>cds-PLY95110.1 pep primary_assembly:Lsat_Salinas_v7:1:95225282:95230132:1 gene:gene-LSAT_1X77960 transcript:rna-gnl|WGS:NBSK|LSAT_1X77960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 5 [Source:Projected from Arabidopsis thaliana (AT5G63640) UniProtKB/Swiss-Prot;Acc:Q9FFQ0] MAAELVNAATSEKLSEMDWTKSIEICELVANDHRQAKDVIKAIKKRLGSKSKDTQLFTVMLLEVLMNNIGEPIHTQVIDTGLLPVLVKIVKKKSNSNLPVREKIFLLLDATQTSLGGASGRFPQYYSAYYDLVSAGVQFSQTPEVLPKNNSTASDSSKSNSSNGEVVINGHDRSAPPTVPQVVPDSSIIQKAGSALEVLRDVLDAIDTRHPEQAKDEFTLDLVEQCSFHKQRVMHLAVTSRDEKTISQAIELNDQLQKALSRHDNLISDTPTPAVNQIDHEDPEEEDPEQLFRRMRKGKARVRPEAENHQTDRPLGVLTSFPPDRLNRPLTLEPKQEPTTTTTTAATTRPSAAVTIPPPPAKHVEREKFFQENKNDGSALGGHMRGLSLHSRNASSSRSDSFDLSD >cds-PLY71379.1 pep primary_assembly:Lsat_Salinas_v7:8:207063176:207064286:-1 gene:gene-LSAT_8X131201 transcript:rna-gnl|WGS:NBSK|LSAT_8X131201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRCVLCKSTAKVYCESDMASLCWSCDAKVHSANFLVARHSRSLLCQLCQSPTIWTASGDKLCPSTASICAKCVVEDISDDEDNGEEKVGGNDEYDGGVYADKLELEVSVNEIDNHVAPSSSTPLPPATSSSTSGDLSISDGGVSMKRKRQNVVDLNLTSEDDFDCSSANTNHLTPSSPIPEQDETTSFQSSSDSTAGKLKRIRQRNKISGDYMSSPVNRTSKSTRIAEFDLNSMP >cds-PLY79936.1 pep primary_assembly:Lsat_Salinas_v7:3:108824932:108826303:-1 gene:gene-LSAT_3X79441 transcript:rna-gnl|WGS:NBSK|LSAT_3X79441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIELFSENSGTGGGGNMTMSPRISFSHDLSQSDTVPVEQLLRSFSSSSSTVDFNFCVQQNPNNPHASMADELFSNGKIIPTQIKHHPPPPPPPPPSSLPPQSPASNYNPLPAVEEEQHNSSKSFWGFKRSSSCGNGYARSLCPIPLLSRSNSAGSSTSTKRSSSSKEGSTHKHPHNSQKPPLRKTSYSYGNNSNSSNGVRVNPVLNLGFGSFFSNGKKK >cds-PLY97242.1 pep primary_assembly:Lsat_Salinas_v7:1:42586275:42586790:-1 gene:gene-LSAT_0X37460 transcript:rna-gnl|WGS:NBSK|LSAT_0X37460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAGKTSAAAEANKDKDKKRPISRSVRVGLQFPVGRIHRHLKTRTSANGHVGATVVGYSVAILEYLTVEVLELAGNASKGLKVKRITPRHLQLAICGDEELDTLIKGTIARGGVIPHIHNSLINLIEKSKKNEIVP >cds-PLY63419.1 pep primary_assembly:Lsat_Salinas_v7:7:148440731:148441102:-1 gene:gene-LSAT_7X87480 transcript:rna-gnl|WGS:NBSK|LSAT_7X87480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRVDGVFSGHTTTKRVRHLRCLAGPEKVRIRKQQRSSGHLRGYIKGSSSIEIDEWTRCLGCLINGKENEGGRKRGLFGCCCSVIITGVYFGWC >cds-PLY72503.1 pep primary_assembly:Lsat_Salinas_v7:2:139109699:139111341:1 gene:gene-LSAT_2X65981 transcript:rna-gnl|WGS:NBSK|LSAT_2X65981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSPREDNVYMAKLAEQAERYEEMVEFMEKVVAAADGSEELTIEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEGHVATIRDYRSKIETELSSICDGILKLLDSKLIGSASSGDSKVFYLKMKGDYYRYLAEFKTGSERKEAAENTLSAYKAAQDIANGELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEDSYKDSTLIMQLLRDNLTLWTSDMQDDSAEEIKEAPKADE >cds-PLY94324.1 pep primary_assembly:Lsat_Salinas_v7:7:166243152:166244116:1 gene:gene-LSAT_7X98121 transcript:rna-gnl|WGS:NBSK|LSAT_7X98121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKQKIVVKVSICCEKQTRKALKIAVGVPGVDSASFVGSDKDQIAVIGEGIDSVKLTTLLRKGVAHTELVSVGPAEEKKEDKESKPNEVTFQFHPYQYYCNGYYMPYYI >cds-PLY67342.1 pep primary_assembly:Lsat_Salinas_v7:4:19001404:19003029:1 gene:gene-LSAT_4X13301 transcript:rna-gnl|WGS:NBSK|LSAT_4X13301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQIGSTVPAHNLDIFQERFAVNCNGCSVKPAIKACVAKTSMKTTSLSRTKFTRKHLSNLERLIGTEPEVIQLEPKVSADNGLPEAESRGKGGLLEGLHLPQIWPENKAAEEMSPRQLNRLQRLLSKNGMEYSPRNSLASRWREYHGSNNWVGLLDPLDENLRREMVRYGEFIQAAYHCFHSDPATSGKEAPSPRQVAIPDRSYRVTKTLYATASVGFPDWVDKVAPDLEWMTQQTSCIGYVAVCDDQREISRMGRRDIVISLRGTSTCLEWAENMRDLLVKIPDQSCGQPKVQCGFLSLYKTSGAHVSSLAESVVSEIKRLTQLYEGENLSITVTGHSLGAALALLVADDLSTCAQVIPPIAVYTFGGPRVGNRAFAKKLSSQQVKVLRIVNSKDIITRVPGMFVSEELDKKLRESKNMNVALNIIDNTMPWAYAHAGTELRVDTSNSPYLKPDADVSCCHDLEAYLHLVDGFLASNCPFRSNAKRSLVKLVREQNSNVKKLYTSKAKGLRLSLDTSKSKGLKLNLERNMQMSNCLPSPS >cds-PLY76617.1 pep primary_assembly:Lsat_Salinas_v7:5:221613402:221618103:1 gene:gene-LSAT_5X104381 transcript:rna-gnl|WGS:NBSK|LSAT_5X104381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKDQDKESAVVVMDTPERTQIATPLSKFEDSPVFNYINSLSPIKPVKSVHFTQTFSALSFASLPSVFTSPHVSSLKDSRFLRRHQFSDPSKPELTSEDAKKSDTNEGNPNVTQNSLNPQTEFNSDSVSETSVVPSNRPSNLESRKLSYEAVSPDTINTSKMDNPSSSSIVPFVNNHSANDPIRIESDIEGIDSLHNKVSACDWDSLISDASELLNFDSPSDTIPYKGPTQTTLDQKPEAKNDNPSEKHEGASTSLNNFEVGELADDMEDNEVGSNLYRGMRRRCLVFEMMGSRRKHLEDISNVTDSNETGLPNDNSLIPMRTGNGNGNGNGNESSRCILPGIGLHLNALASTLVDQKVVKHESSGSGGQLIIAPPSAAYNSTPSGQELITSMGDASGLENDQSGVPVTEDVSKALGFVVNEERRMEHAGETEACKRCNCKKSKCLKLYCECFAAGVYCVEPCSCQECFNKPIHEDTVLQTRKQIESRNPLAFAPKVIKTSDSMQEDESSNTPASARHKRGCNCKKSGCLKKYCECFQGGVGCSINCRCEGCKNTFGRKDGSEMDPEMNVVDECEGNGTDGRFQKMAIHHEVEPISATPPTPSRFGRQSISMISSSKGKPPRSFLAIGSSASSSQRFGKLNPFKVGMKTAADNKQLQTVGEDKIPEILEENGSPISGVKSCSPNSKRVSPPHSGLGQRSSRKLILQSIPSFPCLTPNSKH >cds-PLY97308.1 pep primary_assembly:Lsat_Salinas_v7:1:177671656:177672117:-1 gene:gene-LSAT_1X116581 transcript:rna-gnl|WGS:NBSK|LSAT_1X116581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLSLILSDRENFGGEVLGAEKLVIEERRATDFHVERLAEHQRSTWEKAGPFNNRLKWGYLGYPSNGLKNDNWAAIDSWGSQSLNGKMDLVLTSKQNISPLVNKASDQRIVNWNENNDVYPNQLPSLSPTQGMPYVFQRDRRVRSSSRTEWME >cds-PLY96538.1 pep primary_assembly:Lsat_Salinas_v7:5:336130793:336131778:1 gene:gene-LSAT_5X190001 transcript:rna-gnl|WGS:NBSK|LSAT_5X190001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVALAQPYDGLKTMLQSAVQSVQWTYIIFWQLCPQQRYGNQIWYLILFSEISSKLIGFVFRSSSMVVRVLVWGDGYYNGAIKTRKTVQSVEVSTEEAALCRSEQLRELYDSLVAGEQQATENQQPTIRRPAVALLPEDLTEAEWFYLVCVSFSFPPGVGLVGEAYAKQQHLWLTGANEVDSTVFTRAILAKVHQINYAVKV >cds-PLY88757.1 pep primary_assembly:Lsat_Salinas_v7:4:169978149:169978792:-1 gene:gene-LSAT_4X99940 transcript:rna-gnl|WGS:NBSK|LSAT_4X99940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATFCCPIETEPKTLNQVELNHAREAAVGVLKENEPSEASRILNEGMKPVIGVEEMAMVIDRKDSIYRLQGVSTTREAICQCSVIGVVSTPDQSKLKEPFSAPF >cds-PLY89924.1 pep primary_assembly:Lsat_Salinas_v7:8:68665218:68665700:1 gene:gene-LSAT_8X48940 transcript:rna-gnl|WGS:NBSK|LSAT_8X48940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSANILLDENFRAKISDFGLSKIALANVPCTVLISKVCGTPGYVDPEYYKHGILTQKSDVYSFGVVMFEVLCGKLVGVSKHLDEPFSGELPQNHYEKGTLDEIIDSDLRNQMNPASLSTFSAIAHQCLKCPGKERPRMNVVVKELEKALVYQQLFVSLE >cds-PLY77393.1 pep primary_assembly:Lsat_Salinas_v7:7:9475414:9477448:1 gene:gene-LSAT_7X9521 transcript:rna-gnl|WGS:NBSK|LSAT_7X9521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEEELVKGEDSKKEHTDKEVEKLKAERKVKRKRRLLKEAAKADKRGVCYLSRIPPRMDPIKLRQILSQFGELERIYLTPEDPSAHVHRKKAGGYRGQGFTEGWVEFTNKVVAKRTASMLNGEQIGGRKKSSFYYDLWNIKYLSKFKWDHLTEEIAYKNATREQKLELELSAAKKERDFYLAKVDQSRALSSIDERLKKRHKIQQAAGSTSEEPQAAKVTRQFPQTKPVRDNAEKRKAGLSKDVLAGVRIYLFIYLFKFKCEYYLVKPSFVLLLVGFRWFMKGWRL >cds-PLY69244.1 pep primary_assembly:Lsat_Salinas_v7:2:129848188:129849386:-1 gene:gene-LSAT_2X60840 transcript:rna-gnl|WGS:NBSK|LSAT_2X60840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGCFIRPTIGTTSSSPFPNRSLNLKSFNLRACFIEYPLASKIIVRNLSYSTSESCLKEQFSNFGEIAEVKLIKDGNTKKSKGYAFVQYTNQEDAMSALETMDDKRLDGRVILVELAKPMKGRQLGYPKTSGPPPESTIPAQSESESEY >cds-PLY72771.1 pep primary_assembly:Lsat_Salinas_v7:4:372917684:372918484:1 gene:gene-LSAT_4X183920 transcript:rna-gnl|WGS:NBSK|LSAT_4X183920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQELLYIIPHDIYEQLLDEDKGTPFNVWNALKKQFEGTDKILANRKKVALLDMENFKMLPNETLFDTYSRYNIDVNRVKKLKGERSQEDFNMKFLYNLSPKWDTVHMIMLHTSINLDTMSLFDLYAELQQHEPKFNKLTQGTPFNNRGLVMGNSTTMANHSQNLIANYNQIPNHFANQFANQGYGAYPYQSSSMAQQYNENPYMSNQQPLQIANGYMEYHQDFIGTTMKSPQINEENEYEECLALLTKFNPNFKKFVKKPTGNFRA >cds-PLY74602.1 pep primary_assembly:Lsat_Salinas_v7:7:34074861:34075472:-1 gene:gene-LSAT_7X25500 transcript:rna-gnl|WGS:NBSK|LSAT_7X25500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPWSHTESINRDQLNKMRDEFWDTTPFYGGKRGARYELPKYVLSEPTNMVND >cds-PLY84018.1 pep primary_assembly:Lsat_Salinas_v7:8:35596822:35597235:1 gene:gene-LSAT_8X28121 transcript:rna-gnl|WGS:NBSK|LSAT_8X28121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTASRSSASSVRVGLHTSSLLGRPSPTLPPVGPLRSSKTPLTSPDGTYAMKKVLIHNNDQVELVKEEIRVSALFSHPNLQPLLYYAIIPVKVTYLYPQSYM >cds-PLY65834.1 pep primary_assembly:Lsat_Salinas_v7:7:112893768:112894245:1 gene:gene-LSAT_7X70341 transcript:rna-gnl|WGS:NBSK|LSAT_7X70341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFKTRLLFSPPQLMKPPPASMTLSSSTPLPPSTLPPSTPIVPVKSFKVLEDCATVLVLQVFGGI >cds-PLY82205.1 pep primary_assembly:Lsat_Salinas_v7:1:68352061:68352812:1 gene:gene-LSAT_1X60140 transcript:rna-gnl|WGS:NBSK|LSAT_1X60140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQTLPQHKYTILTILLFSFLLHGESHKFSRKLSPKSLGLKKQKLSHLHFYFQDIVGGDHPTALRVAQSSITNTSSTLFGLAVMIDDALTVGPERTSKIVGRAQGIYASADLNQAALMMVMNYVFLEGKYNGSTLSILGRNQVFLPVREMPIVGGSGLFRFARGYALAKTHFLNMSNGDATVEYNVYVQHY >cds-PLY76395.1 pep primary_assembly:Lsat_Salinas_v7:8:85952206:85956708:-1 gene:gene-LSAT_8X61021 transcript:rna-gnl|WGS:NBSK|LSAT_8X61021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGTQNSLRKALGAIKDSTTVNIAKVSSDYKELDINIVKATNHVERPAKEKHIRAIFAAISATRPRADVAYCIHALARRLSKTHNWAVALKTLIVIHRALREVDPTFQEELLNYGRSRNHVLNLSYFKDDSSPNAWDYSGWVRTYALYLEERLECFRVLKYDVETDRPRTKDLDTPDLLEQLPALQQLLFRVLGCQPQGAAVHNFVIQLALSMFFEMQRHDALKALDIYRRAGQQAERLSEFYEVCKSLDIGRGDRFIKIEQPPSSFLQAMEEYVKEAPRASTVHKDLDSKPKTILAIEYKKETEVQEKQSESPPPPAPVAEPVAEPVKVEVVASKPPPDLLGLDDPVTDVSEFDQKNSLALAIVPVDQPTSTATTSFNGTSGWELALVTAPSSNGTTASASKLAGGLDKLTLDSLYDDAIRRTNQNQTASFNPWEHGPLAGTMMPPPQTAPGSFYGSTALPAPHNIQMAMAQQQHQQQAFMFQQQQMMMMAPPQQSANPFANPYGPTSHPYGSGVPVQSYNPYTGLI >cds-PLY98332.1 pep primary_assembly:Lsat_Salinas_v7:7:173673932:173674258:-1 gene:gene-LSAT_7X103200 transcript:rna-gnl|WGS:NBSK|LSAT_7X103200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFDMLMSMFGGLGAGGIGVPNVPDVAPEQLYATQLSQLQEMGFLYVQENIRVLCATSGNVHAAVERLLGKLWSMMVMM >cds-PLY87916.1 pep primary_assembly:Lsat_Salinas_v7:4:33996546:33997423:1 gene:gene-LSAT_4X22300 transcript:rna-gnl|WGS:NBSK|LSAT_4X22300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPGGQFELSSAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFIGIGFHPKLERKDIPIMPKFLADIQVDDDNIVSRPSVSYDDVP >cds-PLY69969.1 pep primary_assembly:Lsat_Salinas_v7:5:118174067:118174333:-1 gene:gene-LSAT_5X51921 transcript:rna-gnl|WGS:NBSK|LSAT_5X51921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHFNLKTFLSRIFLNCKYIEIVIKFYLFFHYFFALYLTYQLPISRRKWLSESPLTPGTLVSKLLYIPSGYLYLAMFHHAIMSRTQQRL >cds-PLY68625.1 pep primary_assembly:Lsat_Salinas_v7:2:73024537:73026764:1 gene:gene-LSAT_2X32401 transcript:rna-gnl|WGS:NBSK|LSAT_2X32401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHACHFTLSKFLNFGAVITVQRSLNLGFSVKCCSQKVHMESNGEIKARGALIVLEGLDRSGKTSQSARLLSYLNNLGYPVESWRFPDRDTAVGKMISSYLTNQSQLDDHTIHLLFSANRWEKRSLMEAKLKSGISLIVDRYSYSGVAFSSAKGLDIEWCKAPEVGLLAPDLVMYLNIPPKKAAERGGYGGERYEQLEFQKNVAKYYEMLTDPSWKIIDACLPLEDVEKQMKEIVLDCVVCCKKGKPLSHLWLS >cds-PLY78278.1 pep primary_assembly:Lsat_Salinas_v7:1:130828423:130828644:-1 gene:gene-LSAT_1X97901 transcript:rna-gnl|WGS:NBSK|LSAT_1X97901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIRFLLHFDLLGLNLFFISSSMVLQVGGHEFQLHVIVVGIGRKMNKSGVSPTKIENQNLHRLGACSWKGQKS >cds-PLY65264.1 pep primary_assembly:Lsat_Salinas_v7:5:85519882:85523883:-1 gene:gene-LSAT_5X40441 transcript:rna-gnl|WGS:NBSK|LSAT_5X40441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSSNGLGLKKGAWSAHEDKLLKDYIEQYGEGKWHLIPRRTGLNRCRKSCRLRWLNYLRPNIKRGDFAEDEVDLMLRLHQILGNRQALFKYKSTINITNINGLFSCDNLPLVRNYGVVIIWHRWSLIAGRIPGRTANDVKNYWNTHIQPRSKQQKTELLQDAPVTSINPQPHTMSKTQNLSTSDKTQIVSNGGGNFISSSNEGGNNNFNVSSLSSNVLHDDKIKKYLDELFDDREMEIEGDIEWSFGESSAQAEALDVVDQEEENNGFFDFSLDEIMLNPMDSQQP >cds-PLY93973.1 pep primary_assembly:Lsat_Salinas_v7:8:234267294:234270435:1 gene:gene-LSAT_8X142320 transcript:rna-gnl|WGS:NBSK|LSAT_8X142320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPAVQRSSIQRLPTFKDRTTATTSGTPSSSSPPSSSSSLLDSFASDPIFSKFLSSDFDSTRFSSEALSSGTAAARAEKIQDGIRLLEKQLRSEVLSRHNDLLSQLSSVKDAESSLSSIRSAVSTLQSSVRLVRSEIADPNRQIRSKTVQLSNLHFTVDLLQSTVRVLRLSKKLRDVMAEPEAEKLDLSKAAQLHSEILRLCNENDLSGIVPVDEELKWIYEAGQRLRSEGMKVLERGLESFNQAEVGAGLQVFYNLGELRTTVDTLINKYKTQGVKSVSVALDMKAISTSGGGSFGGPGGIQRSGTPQLGGGGKAKDALWQRMAVCMDHLHSVVVAIWHLQRVLSKKRDPFTHALLLDEVMQEGDSILTTRVWEAIVKSFANQMKSTFTASSFVKEIFTVGYPKLFSMIENLLERISRDTDVKGVLPAITVEGRDQMVAAIEVFQTAFLTLCLSRLSDLVNSVFPMSNRGSIPSKEHISRIISRIQEEIEAVQMDARLTLLVLREISKVLLLLAQRAEYQIATGPEARQITGPATPAQVKNFTLCQHLQDIHISISAITPPIATDVLSPSLNTIYEVACDSVTSLFQSMLDRLESTILQIHNQNFGAHGADAAMDNNSSPYMEDLQKHITHFRTEFLSRLLPSSAKGTGTGIGIGTETICMRLVRTMAARVLIFFIRHASLVRPLSESGKLRMARDMAELELSVGQNLYPVEQLGAPYRALRALRPVIFLETSQLGSSPVIQDLPPSVVLHHLYSRGPDELQSPMQRNKLTALQYSLWLDSQGEDQIWKGIKATLDDYAVGVRARGEKEFSPVYPLMLSIGESISENNISSRKA >cds-PLY72088.1 pep primary_assembly:Lsat_Salinas_v7:9:196619120:196619644:1 gene:gene-LSAT_9X121420 transcript:rna-gnl|WGS:NBSK|LSAT_9X121420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFGTWAWGNQLLWGYQESMDTELQQIFNLAVDNSINLFETADSYGTGKLNGQSEKLIGRFIGEFKGQTQVRHNIVIATKLVAYPWRLTPNQFVNACKYSIHVSSVLIPSEIRNVASKHKVGSLQS >cds-PLY66515.1 pep primary_assembly:Lsat_Salinas_v7:4:341504075:341504407:-1 gene:gene-LSAT_4X168660 transcript:rna-gnl|WGS:NBSK|LSAT_4X168660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAAADRVSRASMNEKQLKTLQGVVARIREELHDLEAERRALFEKNCILACEKAALEDHVATLEDRSERLESQVSSLTKEKGVIASELARCQRQLARARVYGDVARGGLQ >cds-PLY78275.1 pep primary_assembly:Lsat_Salinas_v7:1:131444924:131445184:1 gene:gene-LSAT_1X97501 transcript:rna-gnl|WGS:NBSK|LSAT_1X97501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVSLTVVYGDQTRFLGWYSVVSGMVLGGRLLSQQWWFMTVVGRQQRVECGMSISFGLSLDLVPVHSDGWCPTTAVSSAGRSSAVG >cds-PLY74545.1 pep primary_assembly:Lsat_Salinas_v7:7:34742106:34742830:1 gene:gene-LSAT_7X26600 transcript:rna-gnl|WGS:NBSK|LSAT_7X26600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERGNDGSGQGRMRRQEGNGGDIRECKEGVPIGSRASQAAVAARMSLRSSMVDGNSRERKREERGLRKRNTKRKGYCLLGDGMTAMEGPEEAELF >cds-PLY98516.1 pep primary_assembly:Lsat_Salinas_v7:7:126981726:126982661:1 gene:gene-LSAT_7X77260 transcript:rna-gnl|WGS:NBSK|LSAT_7X77260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPNQSAWCSYSEPGLVGQAVTPSVKVLPSFSTPFVLYVNKISILVKIYSNQSSLSPEVTSKSEIPREELQGGVKTLSQKLSATLLNISIKEDLVKQHSKVSEEAVEGI >cds-PLY74836.1 pep primary_assembly:Lsat_Salinas_v7:8:106654547:106655454:1 gene:gene-LSAT_8X72740 transcript:rna-gnl|WGS:NBSK|LSAT_8X72740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESDQPPSEASDDPKQSSTTTTPEEQQQTTTHTPRSYECNFCKRGFTNAQALGGHMNIHRKDKGKFKHSSSTTPPNAVVESPSPTRPRLSATTTTNPFSISHQGNWFPTPQPDEKKPLPLFGPNDVSEVPRNIHQPGNSPSPDREVDLELRLGHVEPPSESSSENKKLISHK >cds-PLY67508.1 pep primary_assembly:Lsat_Salinas_v7:6:71264331:71267159:-1 gene:gene-LSAT_6X51440 transcript:rna-gnl|WGS:NBSK|LSAT_6X51440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPSALKIHLHSWHYINLCRGIFIVHIYIKTIRQTKSIKISIYIMHPCVLIIFLLFLLRLQNTTANQLVVDEGVGGGYDDGVVNKCLDKERDALLQFKENLQDPDGSLSTWRTEDDDCCAWEGVICDDQTGHHVTGLHIPSAGLVGEISHSLVNLTYLNLLDLSSNSFHGTIPPEFGNLTNLKVLNLRDIGSCRVENLDWLSHLSLLEALEMEGISLAKANQWVNVISNLPKLSDLRLDGCELSQVMYPYSSSFLNSSSSISFLSLRNNNLTSSMYRWLLSLTSNSLHILDLSGNMLDGIPKFLGNLCSLESFHFNNNRAVVKFPDFLNNLSGCTSLTLQQLSAETSQFTGSLPDDIQNFSSLEGLYLSNNHINGTISEKFWELPLHAFDVSQNHLSGAISENIGKSKASIINLSKNPLQGVPSTDHMSNQSSVTYIDLNSCKLGPHFPKWIQMLKKLNELDISNTSISDTVPPEFWNIHLSSLNLSSNNISGEVPDLSSSFFGAQMIDLSSNSFNGPIPHLPSGLQLLNLSRNKFSGGISFLCQMVDGLLKFLDLSHNTLTGQLPDCLWHFQHLTVLNLGHNSLSGRLPPSIGSLIELEALYLYKNNFFGELPLSLKNCTSLTSLNLGANKFSGNVPVWIGENLSELYVLTLRSNNFFGTIPSELCQLANLQILDFSRNNLHGSIPSCLNNLTRMAQVGFLPPPNVHPYTSQWHWDLIDEVYIDHAMIEWQGDEREFYRNLGLLKSIDLSSNNLTGHIPHELTNLHELLALNLSKNALLGEIPQQLGEMKNLLALDLSRNSLSGGIPSSMSQMTSLCYLDVSYNKLSGRIPSSTQLQSFEPSRYDGNTELCGLPLPKKCPGDEESQVGKSEGDEEDIDELERWFYIGGGTGFATGFWIACGALLLNRRGRRAFFQFYDNFKDWVYVKVVVFIAILKRVRHT >cds-PLY87397.1 pep primary_assembly:Lsat_Salinas_v7:4:12522065:12524380:-1 gene:gene-LSAT_4X8060 transcript:rna-gnl|WGS:NBSK|LSAT_4X8060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:LEAF RUST 10 DISEASE-RESISTANCE LOCUS RECEPTOR-LIKE PROTEIN KINASE-like 1.5 [Source:Projected from Arabidopsis thaliana (AT1G69910) UniProtKB/Swiss-Prot;Acc:F4I3V3] MPQPPPPLLRLITHLVFIFTTVSGHTCGSSPPCPPFTTTPPPFPFSHSPGCGHPSFQIQCSPPPLPATISINNHRFSVIHYDPTTTSLSLSLHPTTVANCSTTHSLSTIPNRPINLSNSPFQPTDSFCSRLSYLRPCLPPNLPNCSHCPWECRITKNPLQIIHSCGPIHPPQLSTEGCQADILGFLDSFLKFGIEVEWEEKDSYFSSCGACESNNGVCGFNSSDPKKPFLCFESLSKSQKLSPAKEKPKLRVVMMTLAILFLCFLMFILISTYVSRRRKNSPLEDPAVVYLHRHRSASLLPPVYTFEELQSSTNNFDPQRKIGDGGFGSVYVGHLRENRIHAIKYLHKHNPTSNSFSTKSFCNEILILSSLNHPNLVKLHGYCSDPRGLLLVYEYVPNGTLSDHLHVHKKRCLTWQVRIDIALQIATAIEYLHFSVVPPIVHRDITSNNIFVDKDMRVRVGDFGLSRLLDPGCVWTGPQGTPGYLDPDYYRSFRLTEKSDIYSFGVVLLELVTGMRAVDGRRDKREMALADMAVAKIQMGLLGEVIDPRLATVDGGGVAALAELSFRCVAADKDDRPDAKEVVAELRRIKGRLRGVGGGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDEGIQFEQCSGSRWWAACDGLSEFRGAHRKLDTILRYFVKYNFFLFTVLII >cds-PLY85038.1 pep primary_assembly:Lsat_Salinas_v7:7:7008617:7011465:-1 gene:gene-LSAT_7X8300 transcript:rna-gnl|WGS:NBSK|LSAT_7X8300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTNSFGRFPQSIRAKDICILLVNDDHVCCNIVSNMLDHCRYEVFSYEKEMDALSIIREKKDTLEIILTNVHRTYAKKYEIIEHIEKEFKLQIILMSPDVDDIKKVSLSEGGGNGVTVYILKSLSVKEVNNLWANAMAQEKSKREISSSSGKSGDKRKRETDEENGNVEKKRRIVWTKEMHQKFLDAIDQLRNDKVVPKKIAELMNVPGLTRENVASHLQKYRLCMKRAQGMFTSSSYDFTDPFNLNPSQANSQESHWNPFPFQIRSRNTTPSLFSLSCYESRLRTFPNMPLLSKIQFKPDRSFRVCGNEKQNILLSIEDNNRICSDSSFAGFRLANDGKSIQFGQNGHFDDGSVNKSYIQQDDFCPEMGNDDSTLGPERDDWMSSVASLLGVDDNLPSILTPQQPPTPSHQNKVVATTMTQPPPSVGLQWSDWADPPGYSPQQPPPPPGNEVNDNFEIFSDFISTGLCELGKGMDENGVDATGICGFDDLLFNNQDLT >cds-PLY87086.1 pep primary_assembly:Lsat_Salinas_v7:5:256812184:256812411:1 gene:gene-LSAT_5X131220 transcript:rna-gnl|WGS:NBSK|LSAT_5X131220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISSQASTRKHGLKHASICEIQACRKTGTASAEPQEAVGGLAGRNTSVKGVAVHVFNNSFATPMVEAKGWQRWRK >cds-PLY66239.1 pep primary_assembly:Lsat_Salinas_v7:5:142314314:142318628:-1 gene:gene-LSAT_5X62601 transcript:rna-gnl|WGS:NBSK|LSAT_5X62601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVTVSRFLVKSLPGLLGDLPFTLETGYIGVGKSDEVQLFYYFFESEGNPKADPLMIWLTGGPGCSALSGLLYEIGPFMIDYANSTLEKPMLQINPHSWTKVANIIFLDQPAGSGFSYAKTPEAYITNDTLSTMQVYHFLRKWLLEHPKFLNNPLYLGSDSYGGIVVPMIVQEIYNGNEVGEGLHINIKGYVLGNPSTDASLDYNSKIPFAHRMGFLSDAIYKSTKENCHGEYLNVDPNNSLCVHYLQVVDKEYKEIEWVRCNETLRSPNDHKKVLSYTHTVPSTVAYHRHLADRNCQALVYSGDHDMVVPYLGTLKWIESLNLLVVDDWRPWFVDEQVAGYTIKYSNHNYNLTFTTVKGGGHTAPEYKPKECLSMLKRWLANEDL >cds-PLY98084.1 pep primary_assembly:Lsat_Salinas_v7:4:79171499:79173932:-1 gene:gene-LSAT_4X52940 transcript:rna-gnl|WGS:NBSK|LSAT_4X52940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPTKSSSRKKKITESGTPKTEIKRTMVEEDVFNFDANQLKQRAPKKQRSTFEDDFDADISSDIKGLMNALHQIKEKAQKDGQKKKEETISSVATEIRSTFDELKSKVEKERQNFAKALSKSSKECENMLKNETTKFQTIYETFCKDKNSHLQALKDTISKYEEEKERLSMRYEQHRKKEKNMISEHEKACATKISELEESLKKKKQDDKTFSFLRKTLGSFLDTASDDDCPPDE >cds-PLY79246.1 pep primary_assembly:Lsat_Salinas_v7:9:181995062:181995522:1 gene:gene-LSAT_9X111940 transcript:rna-gnl|WGS:NBSK|LSAT_9X111940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGSISRTLMSATRNSSFRSTPPPLPRLRPPQVSAPRVHSSRLRNPRTLGELGCAQSLMPMVAGARLTSHLTVNVRAFCELSHGTFCRSCQDR >cds-PLY68220.1 pep primary_assembly:Lsat_Salinas_v7:8:307144066:307146889:1 gene:gene-LSAT_8X166900 transcript:rna-gnl|WGS:NBSK|LSAT_8X166900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G47320) UniProtKB/Swiss-Prot;Acc:Q94A16] MARIKPQALLQQSKKKKAPRSISIPTIALYSVVTVVMVFFLFATYRHWSQRSVMQTEEGTSNFQVEDDFSDSKKSDVPRYAIFNTSKGLITVELYKEGSPEVVDEFIDACQKGHFKGMLFQRVVKHFVIQGGDSENHAVIEDWTSREKHYNQLEKRLKHEAFMLGTSNMKHEKEGFDIFITTAPIPDLNAKLNVFGRVIKGEDIVQEIEEVDTDEHYRPKSRIGIIDVTLKQKI >cds-PLY88144.1 pep primary_assembly:Lsat_Salinas_v7:5:220746146:220751075:1 gene:gene-LSAT_5X103001 transcript:rna-gnl|WGS:NBSK|LSAT_5X103001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEVIVTMEVGSDGVAVITISNPPVNALAVPILGGLKEKFAEAMRRDDVKAIVLTGKNGRFSGGFDINVFQKVHKTGDISQLPDVSVALVTNTIEDAKKPIVAAVQGLALGGGLELAMGCHARVAAPRAQLGLPELSLGVMPGFGGTQRLPRLLGLSKAIDMMLTSKPILSEEGEKLGLIDAIVPPQELLKVAKKWALDIAEARKPWARSLHRTDKIGSLSEARAIIKAAREHEVKEFNELVVSDTSKGLVHIFFAQRAISKVPKVTDVGLKPRSVKKVAVIGGGLMGSGIATALILGNIKVVLKEVNSEYLQKGIKTIQANVKGLVARKKLPQAQGEKALSLVSGVLDYSQFKDVDMVIEAVIENIPLKQKIFSEIESICPPHCILATNTSTIDLNLIGEKIKSQDRVIGAHFFSPAHVMPLLEIVRTEKTSAQVILDLMTVGKVIKKAPVVVGNCTGFAVNRTFFPYTQGAHVLLHLGVDLFRIDRLITSFGLPMGPFQLQDLAGYGVAVAVGKEFANAFPDRTFRSPIIDLLIKSGRNGKNNGKGYYLYEKGSKPKPDPRVFEIIEEAKRLVNIMPSGKAINVTDKEIVEMILFPVVNEACRVLEEGIVVRASDLDVASVLGMSFPSYRGGIVFWGDLVGAKHIYTSLKKWSEKYSKFYTPSRFLEERANNGVPLSAPMSASSRARL >cds-PLY98681.1 pep primary_assembly:Lsat_Salinas_v7:5:303453648:303459276:1 gene:gene-LSAT_5X163080 transcript:rna-gnl|WGS:NBSK|LSAT_5X163080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFMSRSLQLHNPLRAFNKLGLEHMVIAKGQFQQESMLALLRHDDDTEDKRKRTNISEEVLEMVLDRNDLHAKAGSDENSPFSGIPECFLIEAFSYEKIYSKLLLFKATHHYSTNQEAFNGATANEVVLNPTQPSIPHGVCNAFTCVYSQ >cds-PLY77183.1 pep primary_assembly:Lsat_Salinas_v7:8:26208087:26208599:1 gene:gene-LSAT_8X19021 transcript:rna-gnl|WGS:NBSK|LSAT_8X19021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLGTGNNTDSNGASITLACKLHSSGEPSRVSDDNNKTRISTGNARLIGTHKKGQVAFSPSQGETLLKVTTHIGPRKRGSVSANRAWKMKFGSFIPSRKSERQTSPVLKKYHTGFKNTTFNRTGSTLNTPDSSTGGDGRNLHQDPVVKIERNPKLERNTWMKLEKDTRL >cds-PLY78168.1 pep primary_assembly:Lsat_Salinas_v7:6:108452962:108453812:1 gene:gene-LSAT_6X66800 transcript:rna-gnl|WGS:NBSK|LSAT_6X66800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNTKSMEEIIELTFGDFIVEKKQRACLVKARDFSTLPNLRVLEVIKVCVDKTTYWIRVKEAPRWTPTFVHDFPAPKLDNSEIQRSEQNDEHLLYAKRNEEVSSDPFGIYNAIEKMKVDEVNNDIQKGFNSWGNMKKNKSNREGLQGQYGNSTTENVHYHYTADCHASS >cds-PLY71433.1 pep primary_assembly:Lsat_Salinas_v7:8:42624869:42630354:1 gene:gene-LSAT_8X33181 transcript:rna-gnl|WGS:NBSK|LSAT_8X33181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFPLFHCEFVEYLLRGMGCLFSCFRVRDDPPPPPVSQPITPMEPTDTLASNSLWSVLIPEAEDGDQSQGKDGGSGATGSPFSDDGLRAEAKLLKACGTLPQTPEEIRNSEKFTDSQTQSRDMEATFHSWIPNTTTEKPLEKQPDLVHPPIKLFEEWETESDSSTQSPISYIAEQSSDSNKTSSSDGCVVIDHVKDTQTLNSLTSYTPLMAATTQFKTKSVRFEGENDASSSSSKSTSIETTKPSGTPCDENIKPSPYPTPLKLTNDMQTPGTVFPSYVYTKESGKNPKIRLQYVYSGVDPDIFSRLEPPIEEGLEQVDKETPQSQIHWNPDKVSWWDGNGIPNTTNKYKEDQKVSWHATPFEERLEKALSDDKFINESHRYEIDDNDHGDLRKKAYPKMKKSSWIANQFNKPGCVRGKHRLGLKQNLVWYTN >cds-PLY92281.1 pep primary_assembly:Lsat_Salinas_v7:2:209342254:209344344:-1 gene:gene-LSAT_2X129741 transcript:rna-gnl|WGS:NBSK|LSAT_2X129741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANPLRCQRIGCNATFTEDDNPEDSCTYHESPLFHDGMKEWSCCKKRSHDFTLFLEIPGCKTGKHTTEKPVIAKKATPAAPPKQAIKTPSPSTKESCPRCRQGFFCSDHGSQVKAMNTKPSYQPQSTTSEKVDLDLKESGKTPVKKIVDIHQPQICKNKGCGQTFKEIDNHETACNYHPGPAVFHDRMRGWKCCDVHVKEFDEFMGIPPCAKGWHDAEAAS >cds-PLY86881.1 pep primary_assembly:Lsat_Salinas_v7:5:255418992:255422121:-1 gene:gene-LSAT_5X131461 transcript:rna-gnl|WGS:NBSK|LSAT_5X131461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVCGKRSFFEDLPTSPNSASPPASKKLRCYTSTSPVRFSPFTNSPPSPSLVDKLRSFFPSMDKKLLEKALERSGNDLDSAIKSLNELCLGYVDGISGLSMQSNAITENGSSTESEGVASLENNNNSSANNDNIPKSGAEWVELFVTEMTSATSIDDARLRAMRVLETLEKSISERVAGEAADTLHKASPFPKKMREGNSENLVFKEQIEVLLRDNAILKRAVAIQHERQKEYDERSQEVQQLKQLLSQYQQQIRTLEVNNYALTMHLRQAQESNSIPGRFHPDIF >cds-PLY75274.1 pep primary_assembly:Lsat_Salinas_v7:7:66118438:66119837:-1 gene:gene-LSAT_7X47860 transcript:rna-gnl|WGS:NBSK|LSAT_7X47860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKTTKWIKNLLAGKKDRTTNQPPIATQDRTPRTPISTHPTTPKEKSRWSFRRSSATSTTTPNSTDIASTTPPSFQPENFEHKNHAPAMAAPQGEATTMIRLTNIDVKEATSAIKIQSVFRSYLARKALHALRGLVKLQALVRGHLVRKQAAETLRCMHALVMVQARARAQRRTKDHRSLQGVYNQELMEENIKIVEMDMGHAHAWPCVSPEPPEDFDQSGQLYYSKPDHSRPHSTYSIADYTETINDLGVCPSYMANTQSSRAKARSQSAPKQRPEDQSWAHGRQSTSSIKRRPSLEGRNVPRAVRMQRSSSHVGAGANKNHCPWSIKLDRSSVSLMESECGSTSTILTNGYYSKSVVGYGY >cds-PLY89607.1 pep primary_assembly:Lsat_Salinas_v7:9:39114246:39116318:1 gene:gene-LSAT_9X36040 transcript:rna-gnl|WGS:NBSK|LSAT_9X36040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAELPKTLFSKHLLKLLKSEKDPISALSLFDSAIRHPDYTPTPSVFHHIFRRISQSPKLLPQLARIVNLIQAKKCICSEDIPLIVIKAYSSNSMVDQALDLFQRMTEIFGCEPGIRSYNTLLNALAESNQFNRADLFFKNFRRMGVFPNLETYNILIKLFCNKKQFDKAKELLNWMSERGMVPDVFSYGTLINGLAKGGEMTSALKVFDEMFEREVTPDVMCYNILIDGLFKKGDFLKANEVWEKLVNSSSVYPNVGTYNAMIDGFCKCGQFQESLKIWNRMKENNRQMDLITYSTVINVFCESDNIEGGMTVFKEMIAKNVSPDVAIYNALLNGYCHAGKIKECFNLWDLMEKDNCRNIISFNIFIKGLLENKKVTEAISLWQQLLHENPSLINPTTYGILIHGLCQNGYIDKAFTILKEAQGKSKSNNLDVYAFSSLINGFCNVGRLNDAVCVLDLMVTNGYNPNTNVYNTLLKGFIQAHKIKDAINFFDKMAIRGCPPTIVTYNTLIDGLCKLQRFDEAYRLVKEILEKGLKPDMITNSLLMRGLCQDMKVELALKLFHQVLDNGFKPDVIMYNIIIHGLCSTRNVGYALELYLKMGDNKCVPNLVTLNTLMEGFYKVRDCINAYVIWGRILKIGFQPDIISYNIVLKGLCSCHKMNDAIHCLNDAVAMEIVPSFITWNILVKAVLK >cds-PLY66430.1 pep primary_assembly:Lsat_Salinas_v7:6:152903308:152906215:1 gene:gene-LSAT_6X92481 transcript:rna-gnl|WGS:NBSK|LSAT_6X92481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPFVYQNQQDDVTPFQILGGEAQIVQIMLKPEEKVSARPGCMCYMSGTTQMENVYAPENEAGMWQWLFGKNVTSTVFLNTGSNDGFVGIAAPSLARILPIDLAKFGGEILCQPDAFLCSVGDVKISNTFDQRARNVVPGIEGFLRQKLSGQGLAFITGGGSVVQKNLEVGETLAVDMSSIVAVPSTVNVQIKYNGPMRRVVFGGENLVTAMLTGPGIVFIQSMPFPRLSQRIARAVTSPNMRDNPKLFMQIAIFFFLAYVVVVSSLILTDI >cds-PLY74813.1 pep primary_assembly:Lsat_Salinas_v7:5:58969404:58969661:-1 gene:gene-LSAT_5X27521 transcript:rna-gnl|WGS:NBSK|LSAT_5X27521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETDDRIAIEKFFNGGRCERRQSMVLRSSPVADNKKDQGEDPPVLIFVGLRRRSTIGIRNREAPPVLHRFEGGGGNYRFPVSLP >cds-PLY89415.1 pep primary_assembly:Lsat_Salinas_v7:4:62972802:62974664:-1 gene:gene-LSAT_4X43080 transcript:rna-gnl|WGS:NBSK|LSAT_4X43080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHFGGKYLFARPTAQFNPATDIYPTNMMKIGRRGIHYLHTLNSVPKDVIEKGQNRVMEASLTLIRERAKLKGELVRALGGAKASASLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNATTEEGKELNDPRILTDVGDVPVQELRDCGIDDDRLMNIISESVKLVMEESPLRPLVLGGDHSISYPVVRAVSEKLGGPVDVLHLDAHPDIYDCFEGNKYSHASSFARIMEGGYARRLLQVGIRSITSEGREQGKRFGVEQYEMRTFSKDRPFLENLKLGEGVKGVYISIDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQADVVGADVVEFNPQRDTVDGMTGMVAAKLVRELTAKISK >cds-PLY75294.1 pep primary_assembly:Lsat_Salinas_v7:3:157200597:157200998:1 gene:gene-LSAT_3X99521 transcript:rna-gnl|WGS:NBSK|LSAT_3X99521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDDGKAPEASKRVVIGRAPDSKIDQYLSSGPATTEERREKQKKVSVLKKDKMLLTKNFDQNATCDQPQIFIKEVGKRKFVERYDVCLGIRKWGFEADKNMWKVDFLSWTKVDLAKLVHTPFHYPSNDPNA >cds-PLY65959.1 pep primary_assembly:Lsat_Salinas_v7:4:136834629:136837604:1 gene:gene-LSAT_4X85501 transcript:rna-gnl|WGS:NBSK|LSAT_4X85501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g61800 [Source:Projected from Arabidopsis thaliana (AT5G61800) UniProtKB/Swiss-Prot;Acc:Q9FLS9] MIYCKQSADLICSLKNQCKSIKEIHQILSQIITRGLLSLHPSSASYLHATILHTFNSVISSPPQPPHRHHPISIHYPLSIFNLISNPSIFCWNTIIRSHTLLSLPENAVFFFIRMRRRCVRPDAHTFPFVLKACAQLRELSLAKTIQSQSLKFGFTSDVFVCNNLIHVYCHCGLIDDAYKVFDESAHKDVVSYNVILDGLVKAGETKRARQVFEEMPTRDSATWGTMLSGYTQTKHYNECLDLYDQMLVLGIHPDNTSLVSVLSSCSRLGKLEKGKEVHDHIKRSKIQIDSFLCTSLVDFYSKCGCIEIAMEIFEATSDKNLFTWNAMLVGLAINSHGKMLLNFFSKMVKNRVKPDGVTFLGVLLGCSHAGLIDEARTLFAEMEVVYGVPKELKHYGSMADLLGRGGLIKEAMEMIESMPMSGDVFVWGSLLGGCKLHGNVEVAEKAAECIMEISPEDGGVYSTMADIYANAKRWDDLTKIRRLRDSRRVKKNAGCSLIELNGVCHEFVSGAECTQPMGMEKRKLKIFCWLLMILCLAQIPARLALENIRIAHSKSLRTLVQESQGLGILKLNGNRELLEQDAVMLAKGQKGGRGSGGGTNDRVPHKSESSNEAPHQLGRFVVGVTFCILQFIVT >cds-PLY63021.1 pep primary_assembly:Lsat_Salinas_v7:8:183844276:183851015:-1 gene:gene-LSAT_8X119540 transcript:rna-gnl|WGS:NBSK|LSAT_8X119540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSQTLGGQSRCGWLLGPSLDKIIKNAAWRKHSHIVAACKSALDKLETLTDDSSSSTPLYGVSSVSDAESFILPLILAIDSSSPKVVEPALDCCFRLFSLRLIRCEIDPSEQPSSLIFRLIDSICKCSGLGEEAVELAVLKTLLSAVRSSTVLIRAECLNQIVKTCYNIYLGGVNGTNQICAKSVLAQMMAIIFARVEGDSLLVTFNTVSVTELLEFNDRNLNEGSSIQFVQNFINEVVFTNVVDADLNAKSLPELQDGNASEKAESPHAELPDKEDLSGYSKIRDDGVMVFKNLCKLSMKFSSQDQSDDQILLRGKMLSLELLKVIMDNAGPLWRTNERQVTACLLNRHPLMFLNVIKQFLCLSLLKNSALSVMSIFQLLCAIFQSLLSKYRSVLKSEIGIFFPMLILRVLENVLQPSFIQKMTILNLLDKVSQDSQIMIDIFVNYDCDVDSPNIFERTVNGLLKTALGPPPGSTTTLSPVHDLTFRFESVKCLVMIIKSMGVWMDQQLRIGEFGVKNNTSDNNDSVLESSMSVGGDDVSLPDLDLHQEPNSEHSTATLEQRRAYKIELQKGIALFNRKPSKGIEFLISNKKVEGTPESVAMFLKNTSGLNETMIGDYLGEREDFSLKVMHAYVDSFNFDGRDFGEALRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNSMVKDKMSKADFIRNNRGIDDGKDLAEEYLGALYDQIVKNEIKMKADASSIPQSKQTNSVNRLLGSTYYAVADAAILRFMVEVCWGPMLAAFSVTLDQSDDRAATNHCLHGIRHAVHVTAVMGMQTQRDALVTTVAKFTYLHCAADMKQKNVDAVKTIIAIAIEDGNYLQDSWEHILTCLSRFEHLQLLGEGAPSDASFLSGSNIETEEKSVKSNFTSLKKKGTLQNPVVMAVVRGSSYDSTSSNNHTSGLVTPDQINNFISNLNLLEQIGNFELNHIFAHSQRLDSEAIVAFVKALCKVSMTELQSVTDPRVFSLTKIVEVAHYNMNRIRLVWSRIWSVLSDFFVSVGLSENLSVAIFVMDSLRQLSMKFLEREELANYNFQNEFLRPFVIVMQKSNSVEIRELIVRCLSQMILSRVDNVKSGWKSVFMALTAAGADERKNIVLLAFETMEKIVREYFPYITETETATFTDCVRCLITFTNSRFNSDVSLNAIAFLRFCAVKLAEGGLICNQETVPVDDDSTSSIQVVKETAETDGQTPMEKDEHASYWIPLLSGLSSLTSDPRLAIRKSALEVLFNILKDHGHLFSRSFWITVINTVVFPIFKSVNEKKENQQNKTWDSETCSVATRCLIDLFINFFPIMRGAHLSSVISLLAGFLKTPGQGSASTGVTGLMRLVGELGGLLTEDEWASIFLALKDTSGSMLPGFFKLVRVMDRIEMPPNVGQIYDDAEMLNGNGDGNGSNSIQDYEDDNLQTAGYVVSRMKTHISTQLLIMQVTTDLYNMHQHLLKASSVKIVLEIFLQTVSHSQQLSSETNLHKKLQKACSILELSDPPVIHFENESYQNMLNLLHHLLATDPDLSSEMEIEPQLFSTCEQIIKIYLNCSKLEKQQEHVHWILPLNSAVKEELGARTGLLVSALRVLSEVENDIFRKYAARVFPLMVELVRCEHSSREVQMVLSELFQRCIGPIIIMKS >cds-PLY78806.1 pep primary_assembly:Lsat_Salinas_v7:9:172537473:172541198:-1 gene:gene-LSAT_9X105800 transcript:rna-gnl|WGS:NBSK|LSAT_9X105800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRCKNVGYFFPLNSFQIGDLQSYLSDLTLFLAPESKTFYILVDNRPWLEDLVSGPAQIWQLMVTKSRMSPFAITKGRKDNKDDPVFNDLNYCSTPSNRAQKLKRWLSMSTLSKKRVLLPVKKLRSSLVENSKLHRTLYGFIVFEVVWKDVRGVNYLNELQTDTCLALEAKSMRRWEFDSIAQAAKCITLWLPGTPFERLLLEQHLNSMIGEAFHDAGTDTPSTCSSSDDENISKIEVLSEDDNPYSPNHSEKLEDQTNISNTPPPNINNDEKTPYPEIEDQTNISNTPLTDTDNNNDNNNDNDNDNDNDTDTTSCQIPSPSPSECVESTDPDQYIDVLLLFRFNDLHLPFELRKIIMSDLRLLTLLEAGLPSWVIFFQSYPVFCHLYRPWMCPLARALYVAISFVTVVIGFYDLYKNVPLLKATASRLFGPLFDWIETWEMVSRIKYLGTMLFLHNAEKAIMWFLMVTRTIRSLVSVLTQPLVAPVLLILDVLSPLWNVVIEFGDGLFSIVGILLETSWNLVDSLVDVLLLPIWYISSVVSNFATTVMSPIFLCLWGAIYAPIHLILKLSNFLGFIYKYMYNFVGGIWLFVSSFVKVASTAEATVKTYEVSMWRTLWNDLFSQVFRAIKSILYGVAAFFAACNRHRLSIYNHLREFIRRLYQPMQRSEPESRERRMLPNKSTKQS >cds-PLY86646.1 pep primary_assembly:Lsat_Salinas_v7:4:278716690:278718621:-1 gene:gene-LSAT_4X143120 transcript:rna-gnl|WGS:NBSK|LSAT_4X143120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFYLTFTPFASSLYFKISSFTSNNNQILYRGSAAPSSGAVQLNPVNYVCQVGQAIYADRVQMWDSSSGKVADFTTRFSFTIDTDDQANYGDGFAFFLGPADFQIPPNSAGSFLGLYNLSNYRESSQNHMIHVEFDSFVDTDWDPSYEHVGINKNSIFSANTTAWSAGNHSVDVADVTISYSSQTMLLIVSWTYNNPTPETSSVSYHVDIKEVLTEWVTIGFSAGTGNLKEKNTLLSWEFDSSLNGIWRSPEERPGVSTAGYLVPGAIGVIIGMVIATIIIGILLFIFFKKGCWIKGKGRRTKKSTQEKNKLIKKSSSADIESEGRSAPLKYSYSDLLLATNGFSHKNKLGAGGSGDVYKGRLPIPFLQEVAVKKISDDSTQGENECAAEVATIGKLKHPNLVELVGLCEEQGKFLLIYEIMPNGSLDSYLFGNKGPLGWSNRYKIVQGLASALHHLHEEQGETYVIHRDIKPSNVMLDLEFNPKLGDFGLARLKDSNEQGSKTTKVAGTLGYFAPEYVTSRKASKATDMYSFGVTVLEIGSGRSVANQISDMDLIEWVTHLYREKQLLLTVDERLSHDVNEKQYNCLMTVGLSCTHPDPSKRLIIEEVIHALKHVIPPKVPVNMPTPRYDPPTNDPSSSETKS >cds-PLY64297.1 pep primary_assembly:Lsat_Salinas_v7:5:83968791:83969027:1 gene:gene-LSAT_5X39380 transcript:rna-gnl|WGS:NBSK|LSAT_5X39380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKGKEGGLGGGKLIGKRRGKRPLVLGLIKERRRVEATELLSILEGVARGCSGSFPTTSLLLWEFNTKGKEEAGLR >cds-PLY72321.1 pep primary_assembly:Lsat_Salinas_v7:4:60909727:60911006:-1 gene:gene-LSAT_4X42641 transcript:rna-gnl|WGS:NBSK|LSAT_4X42641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLDMMSPSCTVMEDKEYAKFKDKNLDVYQAYYETLFRDTVAVGDKAKVPCKFGKGSTPNDVPFVDITDGKVDIDKVSLFKDVDPFLTYDSSSMKKRGKKLTPRCDNKRKFEGKNEGKNEGKSMAYSSYEEKLDTIFDVLLTRSTQPSRQTTQSPTTEDCMAILSTFLGFEEGSIGYLEALEVFLKKLARQNFMVPKTNETKMEFLKRLIQKEK >cds-PLY78220.1 pep primary_assembly:Lsat_Salinas_v7:8:79537339:79538753:-1 gene:gene-LSAT_8X57181 transcript:rna-gnl|WGS:NBSK|LSAT_8X57181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWNRTFGKTETDVESGSSEPLYPTMVESPDLRWSFIRKIYTIVAIQLLLTAVVGAIVVSYHPIVIFLTTTRGGFACYILIIITPFIILCPLSCYYQRHPVNYVLLGIFTIALAFGIGLSCAFTSGKVILEAVILTAVVVVSLTLFTFWAAKRGSDFNFLGPFLFGALMVLIVFSIIQIFFPMGKIGVMIYAGVSAIVFCGYIVYDTDNLIKRYSYDEYIWAAVALYLDIINLFISLLTILRTVDR >cds-PLY74323.1 pep primary_assembly:Lsat_Salinas_v7:9:187187451:187187648:-1 gene:gene-LSAT_9X114781 transcript:rna-gnl|WGS:NBSK|LSAT_9X114781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTGNLQRDPLEQGEWAAAPASLECHISKNTAPGCSKGAVGGPLATFQGSTHSNNMIGPFFLITS >cds-PLY80433.1 pep primary_assembly:Lsat_Salinas_v7:3:171080295:171081132:1 gene:gene-LSAT_3X106420 transcript:rna-gnl|WGS:NBSK|LSAT_3X106420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVSRDNLATFELELEATKSEIQKWHSTFKNDSYITTEPKNVVMYLLNLKSSKELLRDQSEVSDLRSQLKPPSMQICVSIYDWDMVL >cds-PLY75748.1 pep primary_assembly:Lsat_Salinas_v7:4:333604353:333605823:1 gene:gene-LSAT_4X164941 transcript:rna-gnl|WGS:NBSK|LSAT_4X164941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SPT [Source:Projected from Arabidopsis thaliana (AT4G36930) UniProtKB/TrEMBL;Acc:A0A178UVX7] MADMFDTPRISSSYSPPPESTDDISLLLRQILFKSASSSSSSSFSLTPKQMQYEFQRQQPPYSSGLSISDQIPTLSSTGVTHIPANTMAHVSSSSVGTMDYDPDEYDCESEEGFENLMDEMVAKQNPPRNPSKRTRAAEVHNLSEKRRRSKINEKMKALQKLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLTMRNGINLYSMCAPANQRTGGLNFGNQSGNMTANQEPVVNPMFSLPVQCAGVGQNPPSILDFSRSMNHEAPFGTQLGS >cds-PLY83800.1 pep primary_assembly:Lsat_Salinas_v7:3:51537484:51537751:1 gene:gene-LSAT_3X40441 transcript:rna-gnl|WGS:NBSK|LSAT_3X40441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIILESALVSNLYFISQLLHRKYSGNFLVNMLGKRKESEYSGQSVPVGGLAYYVTAPSRK >cds-PLY89297.1 pep primary_assembly:Lsat_Salinas_v7:2:48563963:48573797:-1 gene:gene-LSAT_2X21600 transcript:rna-gnl|WGS:NBSK|LSAT_2X21600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSATKIVLESLIGSGGDIIAHIIEIWGQFRVPVLVPLLTLLLYVSLAMSIMLFVEKVYMSSVVGFNYLFGRKTEKCYKWEEFKNDVESGNSVYPLVLIQVPMFNEREVYQLSIGAACGLSWPSDRIVIQVLDDSTDPVIKDMVEVECHKWAGKGTNIHYQVRDNRKGYKAGALKEGLKHGYANECEYVVIFDADFQPESDFLRKTIPFLHHNSEIGLVQARWKFANSDECLMTRMQEMSLNYHFKVEQEFGSSTHAFFGFNGTAGVWRMAAINEAGGWKDRTTVEDMDLAVRASLKGWKFLYLGSLKVKNELPSVFKAYRFQQHRWSCGPANLFRKMIYEIMMNKKVALWKKLHVIYSFFFVRKIVAHIGTFVLYCVVIPASVWIPEVEVPVWATVYIPTVITILNAVATPRSFYLVVFWVVFENVMALHRTKATFIGLFETQRVNEWVVTEKHGDASKAKSAITHQQRLGLKLSDRLLVLEFCMGIILFISGCYDLAYGKYYYYIYLYLQAIAFVVTGLGYVGTHIPNS >cds-PLY72014.1 pep primary_assembly:Lsat_Salinas_v7:8:147981311:147983291:1 gene:gene-LSAT_8X99920 transcript:rna-gnl|WGS:NBSK|LSAT_8X99920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDDDIYTNDGTVTLKNERAIKKKTGNWRACPFILGNECCERLAYYGMSTNLVNYLADRLDQGNVRASINVTNWSGTCYATPLLGAFLADAYLGRYWTIAVFSIIYFFGMTLLTLSACVKGLEPTCNDTGCHPTSTQTAVAFVALYLIALGTGGIKPCVSSFGADQFDETDKSERKKKSSFFNWFYLSINIGALFASSILVWIQMNVGWGWGFGVPAVAMAIAVCFFFSGSPLYRIQKPSGSPLVRIFQVMIASLRKFNVKVPNDKSLLYETSDTESQIVGSRKLEHTDKFRFLDKAAVETESDRNKEVNNPWKLCTVTQVEELKSIIRILPIWASGIIFAAVYSQMSTMFVLQGNTMDQHIGPKFKIPSASLSLFDTLSVIFWAPVYERLIIPIARKYTRHERGFTQLQRMGIGLVISLFAMMAAAALEMYRLNYVRKNNLYDAETIPMSIFWQVPQYFLVGCAEVFTFIAQIEFFYDQAPDAMRSLCSALSLTTIAVGNYLSTVLVTVVAKMTTKNGKPGWIPDNLNEGHLDYFFLLLSVLSLLNLLAYVGIAKWYTYKKVSGYPDGL >cds-PLY80021.1 pep primary_assembly:Lsat_Salinas_v7:9:45932577:45933913:1 gene:gene-LSAT_9X42440 transcript:rna-gnl|WGS:NBSK|LSAT_9X42440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVRKFVQSFNMSRQFVEQQQELLEETLVQEQIEYQPNKRRTDWSEYIEPDINDVGISDDEGDLCEPNVVTELPKPLFKKPKLRANYSAAGLDREDGEELRRPVFGKRISNKKINNGGPYNSTDMEPRTTVRENSKRVQLNNHDYNDEEIGASSRSMMTIPKGLSSVMKKLKRPVSKWDDFIDDGDDMQLESRCQEIDHAAFEMKVSDEIVEDDVHPDFL >cds-PLY92868.1 pep primary_assembly:Lsat_Salinas_v7:8:40766637:40769585:1 gene:gene-LSAT_8X31981 transcript:rna-gnl|WGS:NBSK|LSAT_8X31981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis O-methyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G30920) UniProtKB/Swiss-Prot;Acc:O49354] MFSRSLYRLRNLSRHNGRSFYNSSSYFKHSALITAFQPLLHNKPYATTTQHAASTSSLNQTELAKFAAIAESWWDAEGPFKPLHVMNPTRLAFIRSTLCRHFGKDPFSVRPFEGLKFVDVGCGGGILSEPLARMGASVTGIDAVDKNIKIARLHADLDPVASSIEYQCTTAENLVEEQKKFDAVIALEVIEHVADPAEFCKSLSALTVSNGATVISTINRSMRAYATAIVAAEYILHWLPKGTHEWSSFLTPEELVMTLQRASISVEEMAGFAYNPLTGQWSLSDDVGVNFIAFGIKNSE >cds-PLY71620.1 pep primary_assembly:Lsat_Salinas_v7:9:134467302:134475071:-1 gene:gene-LSAT_9X86780 transcript:rna-gnl|WGS:NBSK|LSAT_9X86780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNVFRKFAKLVFGEKHESKKIIVKGKVVLMKKNLLDFNDLGASVLDRAHEFFGQHISIQFVSATHADGGSSDKKLKGKLGEPAILEDWISTITPLTAAESTYEVTFDWSEEMGLPGAFIVKNLHHSQFYLMTLTLDDVPGYGEVHFVCNSWVYPVENYTKNRVFFTNKAYLPDETPELLRYYRDQELEILRGNGTGMLEEWDRVYDYALYNDLGDPDDKKNVRPVIGGSSEYPYPRRGRTGRKPTKSDPKTESRLLLIESYKIYVPRDERFGHLKRSDFLSYGLEAILKFLLPEFQSLTDATLDEFDNFEDFMKLYNGGIKLPEGPVLETILKDIPLQVVKELIRSDGEGLSRYSIPKVIEADPLAWSTDEEFAREMVAGLNPVVIKLLKEFPPTSNLDEKLYGDQTSSIRSHHIEEHLDGVDVQEVLKANRLFILDHHDSLMPYVRRLNETSSKIYATRTLLLLQTDGTLKPIAIELSLPHPDGDHLGAINKVCTPAKDGVEAAIWKLAKTYVTVNDSGVHQLISHWLNTHACIEPFIIATNRQLSVLHPIYKLLHPHFRDTMNINASARVVLINAGGILERTFFTGPYSIEICSKIYKNWVFPNQALPKDLILRGLAVEDADSRHGLRLLIEDYPYAVDGLEIWSAIKAWVEDYCKFYYKDDNMVQNDAELQSWWKEVREEGHGDLKDETWWPTVSSVQDLIENCTIIIWVSSALHAAVNFGQYPYAGYPPNRPTLTRRLIPEPNNTLEYKELEEDPQKFFLKTVTPQLQSLISVSLIEILSRHTSDEIYLGQRECPGWTMDAEPLKAFEKFGERLKEIEERIVKMNEDERLKNRYGPAKVRYTLLMPSSEEGLTGRGIPNSVSI >cds-PLY90686.1 pep primary_assembly:Lsat_Salinas_v7:8:141596781:141598821:1 gene:gene-LSAT_8X96140 transcript:rna-gnl|WGS:NBSK|LSAT_8X96140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQFKTYILIIPHLFTLSVFSAPDITDITTPAPGGAGGHIKGGAGANPHVVDGGSDYAKPEIETHFLGKWVLETADVRVSAMQLQLMPNDQVIWYDATSLGPSARKLEPEGTCPINPDANNQPDCYAHAVAYDWKTKTSRTIVLSGEPWCSSGNLWPNGNMVATGGTFTGVKAVRMLPMNDLKANFIEKRNVLGDYRWYATNQILEDGSSLVVGGREAFSYEIVPPSLDFKPNKIDFPFLKQTCTPGKGPNKFIENNLYPFVFLLPDGNVFVFANDRAVTFKPLTGEILQEHPVLPGGSRNYPPSGSSALLPFKLSADNKHPLNVEVVICGGNKPDAFEVVDAKHVKEKVFVPALKDCHRIHPMKKHAKWEPEQDMPTPRIMGDLLHLPTGDLIMINGAKKGTSGWEDATDPNFTPLLYQPYKPMGSRFKELNPTKIARMYHSSSAMLPDTNVLVSGSNPHQFYTVNVEFPTEWRVEKFSPPYLDPKLDNQRPIIDAKGTDKVLKYGKPFKIAASLPSNEPMVLGEIKVTMLYPPFTTHGFSQNQRMVVPTLTDVVGNIITALAPPSGKIAPPGYYILFVNRLGVPGTGIWVHIE >cds-PLY71034.1 pep primary_assembly:Lsat_Salinas_v7:5:43493389:43495143:-1 gene:gene-LSAT_5X20860 transcript:rna-gnl|WGS:NBSK|LSAT_5X20860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLAMSLRDGSINLSNSWNRQLSTTSNASIKLSLGSDSSVAVGWRKNDQKMAAAGEIRIGINAIGAVARYTRRFSTKSHGHITRKIGSWETVTLAFQPYGEIKDCSVVIDRVTGKANGFWFLQLHLYYACRFLISSFGFSLTSIATAFHRLLLRLPPQSNQSRSRRFLYDVTVPSCFRQKRTIA >cds-PLY70782.1 pep primary_assembly:Lsat_Salinas_v7:3:136385858:136388281:-1 gene:gene-LSAT_3X91001 transcript:rna-gnl|WGS:NBSK|LSAT_3X91001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVAEASNFPLQNSDLHLHKSIYDSDEDDFTISQLAESKTSLPTTPPPPSSTSLLGKRRKFKPVRYGVSTGEINAEKIHPKPNIVITDPSSNCLSSSKCSKSPVEITPAMILAEEIQSTLGSEHPSCIKLMAKAHVGSGYWMSFPQPFSKLFLPKTDSDMIIEDEKKKLHHIKFIAHKNGLSAGWKKFANRNNLLEGDVLIFQLIEQFKFKVYIIRANDSLLELKAQTENTIPETDTTTKKIKRQRSPTPLNESESEVLEGSRTSKPNLPSTFQGFQIMVKGQCIDSELPEDVKMSYYNLCNSRKELLHDCLPEDLYDKLVVGMIGETVKIANKIKKCKVTVTKEEFGVWDNSLKSFEFLGMKVGFLRERICRLSRFVLEAESRFDFEKYNEAKIEKKRIEDEIVGLKERCGELDVIVGGLKEKVGRHEVKFQEEVNAPW >cds-PLY64766.1 pep primary_assembly:Lsat_Salinas_v7:2:101946786:101948056:-1 gene:gene-LSAT_2X46320 transcript:rna-gnl|WGS:NBSK|LSAT_2X46320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPRLLLRSPTLRTIFTVHRRSVSSTTAPSHHNDHQRNQEYLSPNHYLNSWKPPKDPKEAQAKLDQLRRDYAKKVKAVRKEYIHEMELQRLEKQRKDEIKKEALRIESEERRAAKTAEKKAKAAERQVAEEEFRQTLLKERAEKLEYHKMREKKIMEKKKEKNELLRRQSSIWIDEVELEKKISNAILDSTPL >cds-PLY69258.1 pep primary_assembly:Lsat_Salinas_v7:2:129774426:129778862:1 gene:gene-LSAT_2X60921 transcript:rna-gnl|WGS:NBSK|LSAT_2X60921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPFCLLVLVFVLQGVKCSQKQQKLYDFKTKLHQHSSHFRLLSSSSDVVKSSGRVFYPIGYGGDPSGVEDSTEAIMGAVADAALIDNGQQLLPGVKDLGGAIVDLQGGSFKISKPVVLPPNSGNLVIQGGTLRAFNTFPSDGYLIELRSPNSPNPAKTTTNNSGAGDTFSESKTRNEPIYYEDITFRDILFDSSNIGGGLLVIDAARTRINNCFFVHFITQGILIERGHETFISSSFLGEIPTIGGDARERSFLGTAIDLASNDNAITDVVIFSAATGIVVRGQANMITGVHCYNKATFFGGIGILIKTGEIRVDNCYMDYNSIVVEDPLQVHISNGFFLGGGNVVLRSVNGRISGLNVVNNMFSGDSRSVVEIDGVFTSVDQVVVDRNSVKGGMRLKSTVGKMAVVGNGSRWTADFSPVLVFPNRISHVQYSIFNGGMVEGMGGHAVRNVSENVVVVESEKEINATVWFMVDQNS >cds-PLY83956.1 pep primary_assembly:Lsat_Salinas_v7:8:36039888:36040661:-1 gene:gene-LSAT_8X28960 transcript:rna-gnl|WGS:NBSK|LSAT_8X28960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHGIGGFGGLFPLGDSYLVAGTDSVGTKLKLAFKTGIHDSIGIDLVGMSVNDIITSGAKTLFFLDYSEPSRLDVDLAEKVIKGIVDGCQQSDCALLGGETVEMPDFYAEGEYDLSGFLVGTMKKHSFINGKNIEVGDVLIGLPSSGVHSNGFSLVRRVLVRSGLSLKDKLPGEESVTLAEALMAPTVIYVKQVLDIISKEGNKGIKGIAHITSGSFTDNIPRVFPKGLGTLLYNDSWPIPHFLNGSKRLGGLKMVK >cds-PLY73774.1 pep primary_assembly:Lsat_Salinas_v7:8:92832108:92834212:-1 gene:gene-LSAT_8X64360 transcript:rna-gnl|WGS:NBSK|LSAT_8X64360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTLPHCFPLQSVNGRRNSHRKRCNSGGLRWCTVASQQQELCSEVERIRIDKSALKIEEACSDDELWATSLLRVRSFYDFKSSFGIEDHKKYLAEREFEALKERLSGTKEGEKVSCINATLPLSQTSSFPEELCSSCKFSDNGEGRIVVGSLDVNQCMSLPNEITGMKPKGIGGDFARAYLSNVCVAKECQRNGIAFILIEKSKLVAKDLGISDLYVHVAVDNEAAKNLYMKCGFVYESEEPAWQARFLDRPRRLLLWSGLPHTYDW >cds-PLY75461.1 pep primary_assembly:Lsat_Salinas_v7:7:73718656:73720621:-1 gene:gene-LSAT_7X51321 transcript:rna-gnl|WGS:NBSK|LSAT_7X51321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIWFPISTFFFHEGKIKNKCSKVVLVIWLSMIFIVIQIFTATLSSWLTLDQLRPRLPPTFENAGYQDGSFLKDLITEKYNCSDKQLIPLTSVEDYKSALTNGSVSAIVDELPYIELFLAKYGSEYMKFGPINQESGIAFAFPRGSPLLQDFSRGVINVTESETMMKMKEKYLGFSPADKSQPNQAPPQSLDVQSFIGLFIFMGIVIIAAIVTSEISIMRRNKKVLPVTSSSDT >cds-PLY97690.1 pep primary_assembly:Lsat_Salinas_v7:8:7819884:7821084:-1 gene:gene-LSAT_8X5781 transcript:rna-gnl|WGS:NBSK|LSAT_8X5781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDYYMLCPIKQTEHKKVITMAIPEITTKFSVQENKPPERLVRISMTDLYATDSSSEEEDGGFVRRRVKKYVNEINIQTTCRTAVEVENSKKTTGNRESGLQAKQKPMKTTKTPVNNGRKFRGVRQRPWGKWAAEIRDPARRVRLWLGTYETAEEAARVYDNAAIKLRGPDALTNFSNPPIEENPPPVNIPSTSGYDSSEESHNLLSPTSVLRFSSTNNDNSNSYSKEALEPVKEAEECQSTNLFDPVNELDPNANLVVDKTGLDPFGDVPFLNNLFDFQSPDLTQFGDGAPFNMMVDDFGSLDSIDFDNYVSLSFCENFKDPIYDIGSLSTLEVVNYFQDL >cds-PLY67480.1 pep primary_assembly:Lsat_Salinas_v7:6:68858130:68860779:1 gene:gene-LSAT_6X50320 transcript:rna-gnl|WGS:NBSK|LSAT_6X50320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHPYNLKITNICTYIMNPCVFIIFSLLYLHLELSFANQLVINKCSNNERDALLHFKSHLQDSNDSDPLPTWRADKHDCCTWEGVTCNNQTGRVTKLDLSGYNLEGEISHSLANLSYLNNLYLSGNSFNGTIPTFIGSMTQLRYLDLSYNSLYGTIPPEFGNLTNLEILVVSYAGRCRVENLDWLSHLFHMEILYMDGISLASQNHWVNVVLSIPKLFTLSLKECELSKVMYPYSSFLNSSSSLISLVLGNNNLTSSMYHWMFPLTSNKLQYLCLSDNMLDGIPKYLGNLSSLENLILDNNSAMIEFPVFLNNLSDEIRTLKNLFYLDISNNGILDTVHQDFWDMWPSQLRYLNLSSNSISGKVPDLSSAFDNNSVIDLSSNNFYGPISNVSSTVASLNLSRNKFYGGISFLCQIVHGFLQFLDLSDNFLSGQLPDCLWHFKELKVLNLGDNNLSGRLPPSIGSLMELKVLDLHKNDLDGELPLSLKNCTSLNSLILQGNNFSGNVPIWIGENLLRLYVLILRSNIFFGTIPLQLCQLSNIRILDLSMNNLHGTIPSCLNNLTSMIQQKAHSNSILRFSLVGGLHDNIYDKYVDHALIQWQGYEREFFNNLRFLRMIDLSSNNLTGQIPYEVTNLYELVSLNLSKNALLGEIPQNIGELKKLLTLDLSRNHLSGEMPLSMSSMTFLNDLDVSYNSLSGRIPSSTQLQSFEPSRYDGNAGLCGPPLSRKCLGDEESEPTSVNGKSSGDGEEVDELLGWFYIGGASGFATGFWIACGALLLNRRGRHAFFHFYDSFKDWVYVKVVVFIAYLQKKKKKKIDIHSFLSNFS >cds-PLY83347.1 pep primary_assembly:Lsat_Salinas_v7:1:63365525:63367892:1 gene:gene-LSAT_1X53981 transcript:rna-gnl|WGS:NBSK|LSAT_1X53981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETNKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIMKEVSTYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPTGLTTEVKSVEMHHEALLEALPGDNVGFNVKNVAVKDIKRGYVASNSKDDPAKGAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKEIEKEPKFLKNGDAGMVKMMPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVDKKDPTGAKITKAAAKKK >cds-PLY81565.1 pep primary_assembly:Lsat_Salinas_v7:2:126400381:126401224:1 gene:gene-LSAT_2X58940 transcript:rna-gnl|WGS:NBSK|LSAT_2X58940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDELTGAVIVSYMMVLFNKMKYGSPERDHGICFVNPTLISPSTRKGKSKNIDDASRGLADRLSKRKGNDIIFMPYNPGRHWVLGVLDMKLDNCSYLDSLSSSNFNIQLKQIVDSAMVLYATQSGSNKRVKLNWVNVMCPVRPGGTECGYYMVRFMKEIVEEGIEVLVKDNVRI >cds-PLY72766.1 pep primary_assembly:Lsat_Salinas_v7:4:372740600:372740872:-1 gene:gene-LSAT_4X183440 transcript:rna-gnl|WGS:NBSK|LSAT_4X183440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPSKCEMAFDRSSLVLSLTTFFSKTLHFTVTGIKFEEENDLQGAKSLKMDLDTSIVVFSL >cds-PLY75360.1 pep primary_assembly:Lsat_Salinas_v7:6:180373347:180375758:1 gene:gene-LSAT_6X110580 transcript:rna-gnl|WGS:NBSK|LSAT_6X110580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVVVLVHHHKNTIFFFFFFFFLSYLFFSVHGLTGGSSLSVENTNDILVSPNRVFTAGFHQVGYNAYCFSVWFTDQPKFGGPTVVWMANRDTPVNGKYSKLSLFKDGNLALIDAGQSIIWSTHTKSTSSSFLLQLHDTGNLVLYEGEQIRWESFDHPTDTLLPDQPLTRNKLLVSSRSATNYSSGFYKLFFDLDSILRIRYEGPESTSIYWPDPESLGREGGRNQYINNQRAILDSEGQFNSSDGFVFLSSDFGIGPQRMMRIDVDGNLRVYSRIIGHQRRQKWQVQWQAVSHSCKVHGICGPNSLCTHSQHSGRRCTCIHGYKMVNSEDWSYGCAPEFEECTPEDEGFVVIHQAEFYGYDIRYQPNYTVNECKKECLDDCNCKGFQYSYDYDRACFYCYMKTSLYNGYMQMGFYSDMYIKLPRQLASYFNPKMGFSKTNFSCAGQMGTPIIRLYEKKHDNKLLSDVAVLGCVIGFIEIIGIIIFWYKSSKHSVTINQSYFPVATAFRKFTYSELKRASLNFSEEIGRGGAGVVYKGILSDNRVAAIKKLKNTNHHDEDEFQAEISTIGRLNHMNLIETWGYCAEGKHRLIVYEYMENGSLARNLCLGKLDWETRFDIAKGTAKGLAYLHEECLEWVLHCDVKPHNILLDAKYNPKVADFGLSKLFDRDRIVNLNFSTVRGTRGYMAPEWVFNLPITSKVDVFSYGVVILEMITGRSPAGKQQRINESGHREYALMEWVRDRIKEVDGSQSWVEEIVNSSISGEYDRTAMENLVRIALKCADEDMKVRPSMKQVVNMLLHQ >cds-PLY65625.1 pep primary_assembly:Lsat_Salinas_v7:4:252534644:252535472:-1 gene:gene-LSAT_4X133360 transcript:rna-gnl|WGS:NBSK|LSAT_4X133360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSSNQQVHHHSSSSTGNTASPRTRNTFFFPMLCRLSINDVAKPTRLADLASSSSTSTSCSPDPTSPKISCIGQIKKRSNSNSTSIANNHISASRISTATTGKSATNLNYNKLRKLFSGKNLISLPTDTATISNHCGIRSCNGNGGVRKPNSKKKTYMISIGDPEVAVEELDPPLPVVKCRPRDQQVNVSLGKRRGIELKILQIQPFQLSAVHGTKFINNGDISTDDKLFR >cds-PLY67172.1 pep primary_assembly:Lsat_Salinas_v7:6:162411068:162415814:-1 gene:gene-LSAT_6X99641 transcript:rna-gnl|WGS:NBSK|LSAT_6X99641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDHEEDDLQKALRMSMQHEPPEPKRSKARDDSAETPLEESPDLKNRRLQRELMAAAAEKRMMAASAAMPTTATITSAPKDVPSSSEASSSKVDKTVAIVKEDKFLGKELSSDEAQQLFSMVFGNEVSKGILAQWSNQGIRFSPDEETSMGLVQHEGGPCGVLAAIQAFVLKYLLFFPQELVKGVQNTPMKSISRRLQENQDSVSNIFGSLTEETKSRALVRSMGEILFLCGNNNSATIASLKIFDQNIEGKDEKEKDEIVARSLEGLSLESGVDLQKVLTVSTFTSPASAMQRLESMIPIFRSRMGALLFLLSALLSRGLETVQSDRDDPSQPLVTAPFGHASQEIVNLLLSGMAVANVFDGKMDLGGGMFVKGILTPVEVGFLTLLESLNFCKVGQSLKSPKWPIWVVGSESHYTVLFALDPQVQAENEFENRETTIRRAFDSQDQSGGGGFISVDGFRQVLRETNVNLPPEKMEALCSSGFIVWSEFWQVLLDLDKGFGGLKDSTGLMGKKVFYLWHFNGIAKAVFGSEVGVQRPRLTKLRVSVPPRWTPEEFMAGGSGGSGGGDEVVEVEKPERTQHAPLVDCVRTRWARAVCNWEGDPPSIV >cds-PLY74551.1 pep primary_assembly:Lsat_Salinas_v7:7:36839266:36843667:1 gene:gene-LSAT_7X27220 transcript:rna-gnl|WGS:NBSK|LSAT_7X27220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGNTTFLRCFKFDDFPTGKTTGLYSSDILPSLGANFNRSVSLRKYTVCPFDRRYRAWEMFLIILVVYSAWISPFDFGFLDDKEGTLRIFDNIVNGFFAIDIVLTFFVAYLDSQSYVLVDDHKKIALRYLSTWFIFDVSSTVPFRSLSLLCTDRKSEIGFQVLSMLRLWRLRRVSSLFARLEKDIRFNYFWIRCTKLISVTLFAVHCAGCFNYLIADRYPDPRKTWIGAVYPDFKTDSIWNRYVTSLYWSIVTLTTTGYGDFHAENAREMLFDIFYMLFNLGLTAYIIGNMTNLVVHWTGHTRDFRDKVSAASEFAKRNHLPPQIKDQILSHICLDYKTEGLKQQDTLNCLPKAIRASISRHLFYPIVQNVHLFRGVSHECLFQLVSEMEAEYFPSKEVVILQNETPTNLYILVTGAVDIIAHNEGQDQVVGKAVSGEMFGETGVLYNTPQPFTFQTTEISQILRMEGSALLRIIHTNTQDGFIIMNNFYMKLKGLESFGHANQALVSSEWSKVENSSTDLHNYTSDIDYIDSDDIKNQQQMNNFRQNKKEMNVKVNLPAEEGQTALHVAVKKGHLEMVRLLLEGGANVNKPDLRGCTPKTLAQQQGNKSIYNLLISHENKRSEHKIEFVEPETINTTTYLHTINRDPSCSTSSSEPTASSSTSTSQKIKKSMRRVTIHAKFKMKKTSGNQLPKLIILPDSLEELLIFAGQKFGGCNFVKVVNSENAEVDDLSVIRDGDHLFLLSNDCECRDDYNVT >cds-PLY99642.1 pep primary_assembly:Lsat_Salinas_v7:6:81557728:81559653:1 gene:gene-LSAT_6X57901 transcript:rna-gnl|WGS:NBSK|LSAT_6X57901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGVRRIKLGSQGLEVSAIGLGCMGMSHGYGPAKPEEDMIKVIHHAINSGVTHLDTSDVYGPHTNEILVGKALKGVKREDVQLATKFGIKFGETIGQSEVHGEPEYVRACCEASLKRLDVDYIDLYYVHRIDTTVPIEITMGELKKLVEEGKIKYIGLSEAGPATIRRAHAVHPITAVQLEWSLWTRDAEEEVIPTCRELGIGIVPFAPLGCGFFATGPKLVDNLADNDFRKILPRIQGENFDHNKVLFERIGEMAQRKGCTLSQLALSWVLHQGDDVGPIPGTTKIENLNQNLGALAVKLTAEDLAELQSISFKGARMPDFVLVHSYTNSDTPPLSSWKSE >cds-PLY95673.1 pep primary_assembly:Lsat_Salinas_v7:2:111454977:111456011:1 gene:gene-LSAT_2X50760 transcript:rna-gnl|WGS:NBSK|LSAT_2X50760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAERWLSVQGRKVTLHFQGKKGNPMTNRFIGFQIGQKASSVAQVVTNFQERGAMEYTIVVAETADSPATLQYLAPYTGAALAEYFMYRERHTSIIYDDPSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSSLLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFASDLDKATQNQLARGQRLRELLKQSQSAPLGVEEQVLTIYTGTNGYLDSLEIGQVRKFLVELRTYLKTNKPQFQEIISSTKTFTEEAEAILKEAIKEQRERFILQEQAA >cds-PLY99932.1 pep primary_assembly:Lsat_Salinas_v7:7:16356902:16359281:-1 gene:gene-LSAT_7X14061 transcript:rna-gnl|WGS:NBSK|LSAT_7X14061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRVQSNQGKNERKVESESNKGCSCSEVFRRVMTDATIPAHTHAMLTAYDEEPIEDSDEIKANNIIGSDPSEPYYTLAEHPDPVPFVPDYTLAKHDHISLDNDPD >cds-PLY70471.1 pep primary_assembly:Lsat_Salinas_v7:1:76863906:76866014:1 gene:gene-LSAT_1X64100 transcript:rna-gnl|WGS:NBSK|LSAT_1X64100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAQVYDDDDYLGDILNILDYPVETLEGDALVEDWAEKLGPIPSEVFREILPPPVGNVNGGGGSADSFPESYLLKDTKPHQPILYEEEDLTLKKSKPRSFEAPSPDSVLERRMSSSAGKTISFGTEIAIPVRTRTKRARSTLTENPWSKSTLPIPLQKVKEKKKRRNIGQQQNGNNENKKCSHCEITKTPQWREGPMGPKTLCNACGVRYRSGRLFPEYRPAASPSFVPALHSNSHRKVVEMRMKGGGVNDDYFKKKVWSSNLIDTS >cds-PLY72042.1 pep primary_assembly:Lsat_Salinas_v7:2:204947920:204949206:-1 gene:gene-LSAT_2X124880 transcript:rna-gnl|WGS:NBSK|LSAT_2X124880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTFTTVSLLLCSFNLVYGGWESGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEMRCNDDPKWCLPGSIIVTATNFCPPNPGLSNDNGGWCNPPLQHFDLAEPAFLQIAQYRAGIVPVSFQRVPCMKKGGVRFTINGHSYFNLVLITNVGGAGDVHSVSIKGSKTGWQPMSRNWGQNWQSNSYLNGQSLSFQVTTSDGKTITSYNAAPSNWQFGQTFQGAQF >cds-PLY79290.1 pep primary_assembly:Lsat_Salinas_v7:4:370781156:370782101:-1 gene:gene-LSAT_4X182581 transcript:rna-gnl|WGS:NBSK|LSAT_4X182581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLMAEMDNIGFSPSFSCYSNDSLTSMAAAKVSCEAIEEEHAAEFQEFSDCDEDGFEFSFDFSGEEYSPNELSLAGRIPFPIFNRDLTTMDEVVQVDREIKEVVHDDPSVIISLENLFLDHREDTPSSSSSEAEELEDRNSRTFCVSWRKAGGGLPALGDCKKSKSTGSGSKGWRIKDIFGRSNSAGKDMILFLCPKKIDASKQKRRVNSGEVRKVPGKSKTTSSQSVHELFYVQKKAEQKGDKMKSYLPYKKDLLGFFINVNGIGNKKLPF >cds-PLY80710.1 pep primary_assembly:Lsat_Salinas_v7:3:167111709:167124410:1 gene:gene-LSAT_3X104380 transcript:rna-gnl|WGS:NBSK|LSAT_3X104380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPMVVVDVIEEEAVEIDGIKIPVDTSKPNPNQIEYDNLYLDMNGIIHPCFHPEDRPSPTSFNEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFEREGRKLPPKQESQTFDSNVITPGTEFMAVLSVALQYYVHQRLNNDPGWKPIKVILSDANVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQDKCFLCGQIGHLAATCEGKAKRKAGEFDEKGNAEVVPKKPYQFLNIWTLREYLEYEMRIPNPPSKIDFERIVDDFIFICFFVGNDFLPHMPTLEIREGAINLLLAVYKKEFRSLNGYLTNGSKPDLKKVEQFIQAVGSYEDKIFQKRGRLHQRQAERIKREKSQAKSQAMRGDDAAPQMEPDSLVPVGRFQGSRLASGPSPSPYQPHSQPRPQKLARLSTSSSVGAAIVQAESSLENDVLDNKEELKTKLKGILRDKSDAFNSDQPEEDKIKLGVPGWKERYYEEKFSASSPEELEEIRRDVVLRYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKDLGELNISFELGSPFKPFNQLMGVFPAASSHALPEHYRKLMMDPDSPIIDFYPTDFEVDMNGKRFAWQGIAKLPFIDEDRLLAEVSKVEHTLTEEEARRNSTMCDMLFVALSHTLSPYIFSLNDRCKQLSAKERVEVKEQIDPLASGGMNGYLSLCGGDPCPPIFSSPVDGMDDIMDNQVICAIYRLPEYHNHISRPPAGVKPPKKTVTVDDLQPEPALWHEDSGRKPWENGRSGQNRQHNNYNNNNNNNYNNNNQHQHHHHQSGRQLGDAAHRLVNNSLQIKTDRRNSHYHHPYDPRPPPFHAVRPPPPPAASYHHNQSRMVQSQSGYHPSPQSHNYAQPQPYASPAHANYSSSRSVSDHQTPGYEYDPRVTHQTPHAVTHYYPPQGYNNSGGYGGAANQTAGWAAARGNQGGDRGGGRHHHGGGNQFSALNRRPPHRR >cds-PLY79970.1 pep primary_assembly:Lsat_Salinas_v7:9:44758834:44760124:1 gene:gene-LSAT_9X40600 transcript:rna-gnl|WGS:NBSK|LSAT_9X40600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFLKFFSCFSISDKVADCASEVGGGCCTSTTAVNTKDTCLVTCVKKFSWEEIRKRSRNFSRVIGSGGFSTVYLARLPDSGLTAVKIQSACTERLAGIYDQELRILLRLKHPNIVKLLGHCDDREEERVLLFEYASNGTLHDKLHVSSSVTLTWKVRKLIALQLAEALEYLHGMHIIHGDIKASNILLDEQLNCKLCDFGSAKLGFTSMVLPPSSTKMKRMIMGSQGYMDPHYLKTGLVSKKNDVYSYGVVLLELVTGREAFNLEKGEKLTDVIGPVVCGVVGVEEVVDPLLRYDESLDLEELRAMVTLAEMCIGSSPMVRPSASEIVISMKNNF >cds-PLY71605.1 pep primary_assembly:Lsat_Salinas_v7:2:103904196:103912103:-1 gene:gene-LSAT_2X45581 transcript:rna-gnl|WGS:NBSK|LSAT_2X45581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKGNIFHYRKDSWPPEEYVNRTTLQLLDFDSGAPPEHAWRRKLNSHAGILKEFSVTFMEAMKMIGLGIRLWSYVREEASHGRRAPIDPFNREGKPAATQGVPLGGMGSGSITRGFRGEFRQFQIIPGACEPSPMMANQFSIFISRDGGNKKYASVLSPGKHDGTGTDQGLSSWGWNLNGQHSTYHALFPRAWTVYDGEPDPELKLSCRQISPFLPHNYRDSSLPVTVFVYTLVNTGKERAKVSLLFTWANSIGGTSHFSGDHVNEPFVGEDGVSGVLLHHKTAKDNPPVTFAIAACETQNVNVTVLPSFGLSDESHVTAKDMWGKMAQDGEFDRENFENGATFPSSAGEANCAAVSASAWVEPNGKCTVAFALAWSSPKVKFMKGKSYHRRYTKYYGTSEGAAEDLVHDALTNYKRWEEDIEKWQNPILKNDDLPEWYKFTLFNELYFLVAGGTIWIDTPLPGADDSGSDRQPPSIQDNGDANSSEEDETETPERDDTTISINPNPKSNDNHDNDDENDDVGSFLYLEGVEYIMWCTYDVHFYASFALLELFPKIELSIQREFAKAVLTEDTRKVKFLAEGNSGIRKVKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVLQAYRDFAATGNLSFGADVWPAVRAAMEYMDQFDRDGDCLIENDGFPDQTYDAWTVHGISAYCGCLWLAALQATAAMAVQLGDKGSAQKYKKMFLKAKIAFETKLWNGSYFNYDENSKSIQADQLAGQWYTAAAGLPDLFEGSKIESSLRKIFDFNVMKVRGGRMGAVNGMYPNGKVDETCMQSREVWTGVTYGVAATMIHAGMVEEAFTTAEGIFTSGWSEDGFGYAFQTPEGWTMDGHFRSLVYMRPLSIWAMQSALSAPKAVLDAPGVNVMDRIQTPALSSRTSFNYKAAKKKSRKAKCFSNSVFHCL >cds-PLY99634.1 pep primary_assembly:Lsat_Salinas_v7:6:81911614:81912950:1 gene:gene-LSAT_6X58300 transcript:rna-gnl|WGS:NBSK|LSAT_6X58300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPINVAPHTEIPGEKTHVGTSLVDTAASTIQSFGPTKQIHQHLCAFHFYADDMTRQVEAHHYCGHQNEEMRQCLIYDRPDADARLIGVEYIVTEKLFLTLPDSEKPMWHSHEYEVKSGVLFLPGVPGPVERQDLEKVAKTYGKTIHFWQVDRGDQLPLGLPQIMMALTRDGQLYPNLAKEVESRYGVSFQKERENRAYMEGLTLGIHPKANAGGVGLKTVVREIDCKPSGHPTLEPIPRVFV >cds-PLY99691.1 pep primary_assembly:Lsat_Salinas_v7:9:57435391:57436436:1 gene:gene-LSAT_9X48880 transcript:rna-gnl|WGS:NBSK|LSAT_9X48880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERLVVLPFTAGCVSSSSVSVSVQHGRRPKEDINSAHMVCRSREVPKNSKDTSSDHMMKGSSSSRFSTPSIPNIYIGFHRLTRTIKNLSQSLVFKEEMEEQEMEMEIGLPTDVKHVTHIGFDGSMNPGANGCNHLDISDFLSLCPNSMAQYEQRAMFVPVDATHDSQNTSCKLPDIREE >cds-PLY70635.1 pep primary_assembly:Lsat_Salinas_v7:4:229326859:229327239:-1 gene:gene-LSAT_4X125140 transcript:rna-gnl|WGS:NBSK|LSAT_4X125140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLKSQEHQLKVAMEKTERQHEDHLKLHASNFKYEVQKLHDIAKEHHIFFVGEIKKVEESVNQKVEALMSEMSNKVSKIDQTHLSLHGKIDVIVDAIKKFMEYYTSFTTKFHTKTEADSKVVTKLE >cds-PLY65318.1 pep primary_assembly:Lsat_Salinas_v7:8:104513530:104517812:1 gene:gene-LSAT_8X70680 transcript:rna-gnl|WGS:NBSK|LSAT_8X70680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIRFKFRSSVNFDTIEIDGGKPYISVGELRSKILCQKKLSGICHKDFDLVFLDDLTGQEYNDDEFKIPSGSSVIIKRVPAEPVPLAMLRHHKPEASELSEDIPKGINRNKPEETILEKKPEPVDVEHMELEKVANTKGIDLEKVDLPSELRCPICNTYFKNAVMIPCCQHSFCKKCICDVLPLKARCPKCSSTKYRVEHLLPNLSLRHAIEHFLESQILATAPENDLQKYVPDGESGIQGKEVSTKRKLDLLYSEKGPNQNMGESVYESLNKKNDFLNSTPLLKVKNRDAHKDLTPSVDSEGENQPVMPQVCMPNEGGDRGYAVNNRNNINMGGRTCYMCGSPTHFIRDCPIASNEHHMYHKGDHMFQGGVSGYPMPYWNTPTPMFPPFNPYMNMYGNPGMLPFSPVTPYGVPPYVASTYAAFPVPSGVTRMGGMAPVGPRAEHSTRRPENLDFQNIDNRMKYGHEKRQRSSDYEDDGNGIPKRHESHSHELSRSSEYKSHRSRGKAVSNSEESHGRKLQKDHHYDKHQDLNIRSSHNKRYEKRSHSTNDGREHGSYHTDRSISGVELEVEDVHSGNHRYDEARHKNDKRHHHHHHHHSSRDQSDSDCSCSRHSRKRRDDKRKDTWQMVNGSSDNDYDHHQNKRKKVH >cds-PLY75453.1 pep primary_assembly:Lsat_Salinas_v7:7:74242792:74245500:1 gene:gene-LSAT_7X51600 transcript:rna-gnl|WGS:NBSK|LSAT_7X51600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSACSCCESDKVDEGVLSRDGHSPWRIFTFKELHSATNGFSEDNKLGEGGFGSVYWGKTSDGLQIAVKQLKSMNSKAEMEFAVEVEVLGRVRHKNLLGLRGYCASAEQRLIVYDYMPNLSLLSHLHGQFANEVQLDWKKRMKVAIGSAEGLLYLHHEVTPHIIHRDIKASNVLLDSNFDPLVADFGFAKLIPDGVSHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLELVTGRKPIEKLPGGVKRTITEWAEPYIEKGRFRELADPKLRGNFDEEQLKQFINAAALCVQSEPERRPNMKEVVELLKGNEPKGKVMQLRLKSVKYGDDLVSMDQASDEDYDSDAKGGGRYDESDAYGVFGAMSNVQRMHDPYKRYADRMGKKG >cds-PLY87003.1 pep primary_assembly:Lsat_Salinas_v7:5:265637990:265639668:-1 gene:gene-LSAT_5X136680 transcript:rna-gnl|WGS:NBSK|LSAT_5X136680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIAPTANRSRRLTTDLLRKTEFVKNPSKCYSKPIRSEIFDVEDEFEAAFQGFKDEIEAEVVEHFVSPSNLSVSKGSGSKPVKSDNEPEKSSSRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDEEARRIRGKKAKVNFPLEEKPEVNPIAAATTMGIKPKLFTPSESAAAVFHFNSDQGSNSFGCSDFGWGEHCVMTPDITSVFSEVDDVNPAKKPEKVDESLFQMPCFEGNWDAFLNGDAAQDDGNAVDLWSFNDLPVIMDGSF >cds-PLY92186.1 pep primary_assembly:Lsat_Salinas_v7:6:74294192:74298201:-1 gene:gene-LSAT_6X54241 transcript:rna-gnl|WGS:NBSK|LSAT_6X54241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSYSPSPPRGGYGRRGRSPSPRGRYGGGSRGRDLPTSLLVRNLRHDCRPEDLRRPFGQFGPLKDIYLPRDYYSGEPRGFGFVQFVDPADAAEAKYQMDGQILLGRQLTVVFAEENRKKPSDMRVRERRGGRFSDRRRSPPPRYSRSPRYTRSPPPRYARSPSRSRDYSPPPRRRQYSRSITPRDRRYSRERSYSRSPVRERSLSYDDDVPPRSPMRETSPPYSRSRSRSRSPVREQLPVRRGGSRSRSPSRSRSRSGEPGGYYRDVPPRRDDSVSP >cds-PLY79010.1 pep primary_assembly:Lsat_Salinas_v7:3:9061563:9061742:-1 gene:gene-LSAT_3X8160 transcript:rna-gnl|WGS:NBSK|LSAT_3X8160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVISCEKDMGFMIFLAISFYIPFVSTVSYHHHRDTHDTPLPILHLWMSTLDFPLGRREE >cds-PLY69120.1 pep primary_assembly:Lsat_Salinas_v7:5:287108748:287109761:-1 gene:gene-LSAT_5X152061 transcript:rna-gnl|WGS:NBSK|LSAT_5X152061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTVQSPITGNPLVRPKTGRQPLKPIRSPSNVHPPPSGNTYQKPNLKHGWIEISEDSNKENPNQNEKRNITSNLDPNLSSICAVSVAAAPVQIEEFEVSLAEELNAIREKMERLRSDREKTEKMLRDRELMMETKMKELDQRGEIQKALEIEVDRLYRLNELRSLCNRILPIKSLREKEHEKIKPDKSQATEEEKVRSLREKEKTKPDKPQGGNAEETEEEKVTSPCGVNDSKN >cds-PLY73365.1 pep primary_assembly:Lsat_Salinas_v7:7:63282347:63283059:-1 gene:gene-LSAT_7X47061 transcript:rna-gnl|WGS:NBSK|LSAT_7X47061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTELLLNPDMFLRVREEVSTTIGKDGKIQEAILLDLPYLQAVIKETMRLHLSVPLLAPHKTETEVKLDKYIVPKNTQILVNAWSIARDPRYWENPLMFDPERFLGGKFDYKGQNFEFLPFGSGKRMCPGISLANRVVSLMVASFVYHFDWELPHAREEMDMNDIFGLALLRATPLVATPIPMIP >cds-PLY80786.1 pep primary_assembly:Lsat_Salinas_v7:1:70682248:70686176:-1 gene:gene-LSAT_1X59161 transcript:rna-gnl|WGS:NBSK|LSAT_1X59161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELAKVKEANERMEMELVQLGTNLVEIETLVESRTCELLEVTKELEMTQALAEENEAIATESKQVGMVKGDDERKRLQREELELEFRVFKQQINQVKTSDSDMKRALNEKEKSLQESLQWVELLEKEIANKDKEQSRIPSQTRIPLYLEAVEALAGLGLFPTTISESRCSESTAERVKDESNNEEEEVIKLKEQLNEIVMERKG >cds-PLY73977.1 pep primary_assembly:Lsat_Salinas_v7:8:62656603:62657713:1 gene:gene-LSAT_8X44400 transcript:rna-gnl|WGS:NBSK|LSAT_8X44400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQGLAAPPLSLPQYPELIVAAIEVIDKKNGANKSAISKHIEATYGNLPAAHSTLLTHHLHKMKAAGQLIMVKNNYIKPEADASLRRGRGRPPKAKEPLQPGTVLSPVKPRGRPPKPRDPLAPMTVTPSVSGRKRGRPPKLGSSTVMATPSASGQRAGRGRPPKVKALVAAPVGA >cds-PLY77082.1 pep primary_assembly:Lsat_Salinas_v7:MU042616.1:130868:132163:-1 gene:gene-LSAT_4X76481 transcript:rna-gnl|WGS:NBSK|LSAT_4X76481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFFRTYWNSPVGPKTTHFWGPMANWGFVAAALADLEKPPEMVSGNMTSVMCVYSALCMRFAWMVRPRNYLLLGCHMSNETVQLFQLSRWARGQG >cds-PLY91203.1 pep primary_assembly:Lsat_Salinas_v7:3:76214296:76216229:1 gene:gene-LSAT_3X59260 transcript:rna-gnl|WGS:NBSK|LSAT_3X59260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNRMPRGPREGPIHRAHGPLPPHPAALEEELELQHRDIQRIMAENGHVVEENVSLQRELTAVKDEIHRLGQIIPKLHAEKDARARDLIDRGMKLEAELRDAEPLMADVGQLRSEFQKLTSLRQELSSQIQGLTKDTSRLKAENEQVVGLKTDIDGMHKDLVDMRRDYEMMKKTNEEHVIQKEAMEKNLISMAREIEKLRGEQMRTRGLGGGGYGMLNGSPDMRYHPGGGYGGGGGWGSYDHRGPPRY >cds-PLY96842.1 pep primary_assembly:Lsat_Salinas_v7:2:108664136:108665269:-1 gene:gene-LSAT_2X49621 transcript:rna-gnl|WGS:NBSK|LSAT_2X49621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKLIQHAINAVITFLVTSDIYGPQTNEVLISKMGALKELVEEGKIKYVGLSEASASAIRRAHAVHPLTAIQLEWSLWTRNAEEEIIPTCRELGIGIVTYSPLGRGFFSSGPRIVEKLEDGDLRKLVGVYSKSRYQLCNRPNEWT >cds-PLY82542.1 pep primary_assembly:Lsat_Salinas_v7:2:184370013:184372009:-1 gene:gene-LSAT_2X107601 transcript:rna-gnl|WGS:NBSK|LSAT_2X107601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G36740) UniProtKB/Swiss-Prot;Acc:F4IP06] MESDKEDVVFLDRASRATRGRRMTKLVDEEIEEDDEFWNQEALKDEEDDTNYVEEKEVADVFDSDFDEDEPEPEEEAENEPDERKRPKKRLAFPGKQLPKKKKKKVVSEPDSSNEEETNVDQSTPQEEHEVPDDTEVEKTTRKSTRTSVIVRQAERDAIRAALQATMKPIKRKKEGEEKRMTQEEMLLEAAQTEIMNLRNLERVLAREEEVKKRAIVHKAHYTGPQIRYLSKDGYTYLEFTNGVSFQSQIPTSAPPYPEKAVCVVTGLPAKYRDPKTGLPYATKEAFKIIREKYMNDGSGIKEKNNMGVVYELACGEGGGFRKKKRRTVNPNGKEKSYMRSLARFRQIPAFEYQDSE >cds-PLY67677.1 pep primary_assembly:Lsat_Salinas_v7:4:3021460:3021684:-1 gene:gene-LSAT_4X841 transcript:rna-gnl|WGS:NBSK|LSAT_4X841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQETWALDDWKMNIYGLWINVYGYFIWTFEGNKIRWTCFGLLKIAIGLLGSVKEVKEDQRGKRDNKRILNQHNP >cds-PLY92863.1 pep primary_assembly:Lsat_Salinas_v7:5:328229281:328230214:-1 gene:gene-LSAT_5X182420 transcript:rna-gnl|WGS:NBSK|LSAT_5X182420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETSYFQHFPTTEYSPESSYSWDELLFHHNSLPFNLNDTEDMLLFDMLAANGGASITDQSSESNSSTAGVVKEEEVTSESKPDKPQKEKSYRGVRRRPWGKFAAEIRDSTRHGVRVWLGTFDSAEAAAMAYDQAAFSMRGTAAILNFPVDRVKESLQEMKYGFEEGCSPVIALKKRHSLRKKPVSRKIKQVVEQNTVVFEDLGADYLEQLLTSSENSTTISW >cds-PLY75825.1 pep primary_assembly:Lsat_Salinas_v7:3:68492347:68494125:-1 gene:gene-LSAT_3X52040 transcript:rna-gnl|WGS:NBSK|LSAT_3X52040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLGISSSFLSSPLIQTSHTSTPFHHLNIKLNLNLSRRNSTPKSIQNITNSPSTSSCSSSSSSSNKICLCGRRAFLATISTALIPIRYSNGFDSLSDDPMAVLNRVHPPRPDWYEEFYATAMDTTMKSYETEIAGYKSQLFANLTGKPANILEIGIGTGPNLKYYASATSDANVIGVDPNKKMEKYAQAAAEASGLPQKNFKFIHAVAEALPVSDASMDAVVGTLVLCSVKDVNKTLQEIKRVLKPGGLYIFVEHVAAKDGSLLKVMQSFLDPLQQVVADGCHLTRETGETISKAGFSNVDMKTAFLSSASLINPHAYGIACK >cds-PLY62200.1 pep primary_assembly:Lsat_Salinas_v7:6:32445590:32447845:-1 gene:gene-LSAT_0X41981 transcript:rna-gnl|WGS:NBSK|LSAT_0X41981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRAGVFRGKENHTDYRADRVSSLFDPTTNLSNQSLDFGTRFSHDHDPTGAISCGMHLTPSFGRSIFQPTMASGNATFPIGASNAFHVTSGFLIDTYRTHGFPERNKKVSMPNWLREEIIQKKEVIGTSAIEISMQDTESNEVEVMEGSLGKGDQVVFGMIMMESRKKWNGSFHMNEEEKPVCV >cds-PLY71450.1 pep primary_assembly:Lsat_Salinas_v7:7:190827863:190829098:-1 gene:gene-LSAT_7X115660 transcript:rna-gnl|WGS:NBSK|LSAT_7X115660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRELLAFLLDGLHEDLNRVKCKSYVEAKDGDGRPDEDIVDEYWQNHLTRNDSIIVDVCQGQHRSTLAWDAGARAKQYTFEVRLLRIMDNCDFDDSRVTYASAMKGLTAMMSTFGAASS >cds-PLY69676.1 pep primary_assembly:Lsat_Salinas_v7:5:212353995:212354727:-1 gene:gene-LSAT_5X99100 transcript:rna-gnl|WGS:NBSK|LSAT_5X99100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLVATNVAARGLDINDVQLIIQSEPPRDVEDYIHRSGTTGREGNSGVAITLYELRKSNISKLEREAGVKFEHISAPQPADIAKAVGGDAAEVIIQVVDSVIPVFKSAAEELLNNFGLTPVELLAKALAKSIGYTEIKHMSLLSYMENNITLHLEAGRHVYTPS >cds-PLY66342.1 pep primary_assembly:Lsat_Salinas_v7:5:290243943:290244251:1 gene:gene-LSAT_5X156320 transcript:rna-gnl|WGS:NBSK|LSAT_5X156320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFGRLSDIYSGGNKSKAGKKFGFIRFMGVKDVKALESKLNGTKCRMNMLDINVAKHEKKLPNNLRQHTKPMGSNNWVAGNGFVDNCSYAQVTGSNTAIRVI >cds-PLY79752.1 pep primary_assembly:Lsat_Salinas_v7:1:181198573:181201409:1 gene:gene-LSAT_1X118781 transcript:rna-gnl|WGS:NBSK|LSAT_1X118781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGLARNLFPVRSPSSGRPSSLPDPSGLQRIPLTTGSVSGARSQASPLPDPSGLPRIPLIGGSVPGVGSSPFRDPSGLPCIPITGGSIPGSGSSPLPDPSGLPRNGFVSGARSSPLPDPDLSGLQHNPFLVGSASRARSSPDLNGLPCIPLSGGLSRTPEHEHDPEHGHESVHEPESLMVQMPHYLGTHGGSNDADLNGSHRPFITRKGFGRQSIHRAILKIFWQSINEPWITYRKILKEVVTQMFEHFRTQYRLDPNKEGIIREGFENTLKDRYRGRMRDAREASKQLTGEDPSFIDHYYKTHLTAESKKIYFGGDKEAPVDFVNETSRVVIESYNKALFKKYGDDPTQHNVIDPELWTQTQMLRKCGKQKVNELRQQMSNMEQAMEEKQSEMNLQMQQMRNEMELQVQRQLAAFIKQINPSGNPPSSS >cds-PLY70061.1 pep primary_assembly:Lsat_Salinas_v7:3:239039689:239046212:1 gene:gene-LSAT_3X133181 transcript:rna-gnl|WGS:NBSK|LSAT_3X133181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLATIAYYHFVYKDVEGASTQWDDIQRKLGNLPPKPPAFKPDPFSAAEDEDSKPKTKSWIDNKTKEELKDLEDDLDDNRFLEEYRRKRLAEMKQTVKVAKFRSGIPILGSDFVREVSQAPSDMWVVVILYKDGFKRLTGRSDVAVSPRDYKFYAPRHKYRRSSSNSVSSISGFSTFPGADNSSPMSVAQGYCSLTEPHPQDDEHQQTSSKQHMQSLPHQGQFHIQSLTHGQHGQYSQSSHLPEFGHTQQSSAMSQHLACLQPLTHLGPRLHVLRHPSYYCWCRGCSPFARYGSCRGIQVIIASAGGAAHLPGMLVALTPLPVIGVPARASALDGLDSLLSIVQVKLMEERNMKPLDSNLAALSARCSKDLELNLAKSFLSEMGQCTTSYPYNSCLEH >cds-PLY95371.1 pep primary_assembly:Lsat_Salinas_v7:9:191259064:191260312:-1 gene:gene-LSAT_9X117980 transcript:rna-gnl|WGS:NBSK|LSAT_9X117980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVEYRCFIGGLSWSTSDRALKDAFSKFGHLLDAKAVFSVVAKYMWLFWVHDMLIVGCD >cds-PLY72733.1 pep primary_assembly:Lsat_Salinas_v7:4:372764814:372767322:1 gene:gene-LSAT_4X183401 transcript:rna-gnl|WGS:NBSK|LSAT_4X183401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g71420 [Source:Projected from Arabidopsis thaliana (AT1G71420) UniProtKB/Swiss-Prot;Acc:Q9C9H9] MKFLRCSTCSFSRAFTSSAYAYRNFHENVRFFLTKGRLDEALTLFYTSNITHTQQTYADLFHACARHGNLSQGRALHRHMLDTHNQNTFPNLYVSNHLINMYAKCGSLEYARKLFDEMGERNIVSWTALISGYAQSERLQECCTMFSRMLGDHRPNEFAYASVLSCCDLEVGKQVHAHAMKTCLDAYTYVANALITMYMNNDDKSEAFEALMVFKFLKSRNLVTWNSMIAAFQTRGKLEEALNLFSLMRHDSNIGFDRATLLSIFSSLLIGRRDYGHNMIASCLKYCSQVHCLAIKTWFISEIGVITALAKAYADLGAESFDLSNLYMESEGKRDIVSWTAFITIFAENDPEESLHIFSELCQSGLSPDHHTYSIVLKACANLVTDRHTLSIHSQILKHGFQNDTVLANALIHAYGRSGSLIESKKVFDSTLIKDIISWNTMIKIYGLHGQPKDALKCFSEMNLNLSPDATTFVALLSACNHAGMVEEGSRIFESMLKTYKIVPQLDHYACMVDILGRSGRILDAQKLINKMPMEPDSIIWSSMLGACRKHGEHKLAELAAAKLQELDPKNSLGYVLMSNIHCSIGTFSEALDIRNQMKRWGVKKDPGLSWTEIENRVHEFGSGGIRHPERNSICDDLDELVSELKGLGYVAETNLALHDIEEEDKNRELNYHSEKLAFVYALKHGESKCYGGAIRIVKNIRICVDCHNFMKFASEFVGREIIVRDSNRFHHFKDRVCSCDDYW >cds-PLY75560.1 pep primary_assembly:Lsat_Salinas_v7:9:34460855:34465168:1 gene:gene-LSAT_9X32000 transcript:rna-gnl|WGS:NBSK|LSAT_9X32000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G41460) UniProtKB/Swiss-Prot;Acc:P45951] MIYLFLISNDRSPHLLLPANYLSPNLSLPVIEKPHCNMYLPTKFRVKETTAEGANNNGETSAGMTVQELKTKLRSVGVPTAGSKDDLVCTWNKFFSEEVDEFQEVVEDEKSTRVNDVHGEKVSKRKAKSLSAEEHAEVMSTVNSVDKRSTRRAKQTHADPNITVETCGHMQSRVAKTKSKAKSNTKKEPPLNTNKVSGKKATCLTSEVVSETCNKMDVSELPVEPWTVLAHKKPQKDWIPYNPRTMRPPPLADDAKHVKLMSWNVNGLRALLKLESFSALELAQREDFDVLCLQETKLQEKDVEAIKQRLLEGYENSFWTCSVSKLGYSGTAIISRIPPVSVRYGVGISDHDNEGRLVTTEFDSFYLISGYVPNSGDGLKRLSYRTTEWDPTLSNYVKELEKSKPVILTGDLNCAHQEIDIYNPAGNKRSAGFTIEERMSFEENFLKKGFVDTFRKQHPNVVGYTYWGFRHGGRKNNRGWRLDYFLVSESIADKVHDSFILPDVTGSDHCPIGLVLKL >cds-PLY66838.1 pep primary_assembly:Lsat_Salinas_v7:7:19088542:19108838:1 gene:gene-LSAT_7X15260 transcript:rna-gnl|WGS:NBSK|LSAT_7X15260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVDICTSIPSIYPRGIFFFHFIHECDFQLDHKSGKEPLKCHQCKRNDRIKFIPCTKCEEKLYCVQCIKQWYPQLSEEDVVEICPFCRGNCNCNLCLNSKIKMPKIEFDDDVKLQHLHYLINSLLPFIKQIREEQMEEIAIEAIAQGVPQSSIRIQQTNCFNDERIYCDHCATSIVNLHRSCSNCSYELCLTCCHEIRNNNLLDEKVTFGYLHRGSDYIHGGYPLPNTCHEYTSTEESSSITKWVAEDNGNIFCAPKENGGCGDSLLELKRILQENWIENLEKRAEYILNNFKVDQPNVGLLENGGDSYMYFRAGNREGSDGNYLYCPSSKDVKKREEIVRFRDHFAKGEPLIVREVLEETSGLSWDPMVMWRALGEHVDENVRLKTSEVKTIDCLAGCEVEISTKKFFKGYTEGRQYLNSWPEMLKLKDWPPSDKFEDLLPRHCDEFISALPFRDYTDPKTGFLNLAVKLPPDVLKPDLGPKTYIAYGMAQELGRGDSVTKLHYEREKNKNIVQCELYDCHPQEDWGDKGGALWDIFRREDVKILEEYLLKHSKEFRHTYCCPVNKVYHPIHDQAFYLTLEHKRKLKEEYGVEPWSFEQRVGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENIQECIRLTHEFRKLPRGHKAKEDKLELFFFSLCLSLRSSVRGRGGYSMALWWVVVIDLEALHDRCCGEYSRWPKRLPNNSFPLQFLRNHLLFVMTLARIQFYYDTSPQSSSGRVYRRSQLPMIDDEGFEGNPRIAYLFLVRRDLPLDFLWQSFFENADAANYSIYVHSEPGFIFDETTTRSSFFYNRQLSNSIKVDWGESTMIEAERLLLQAALENPANQRFILLSDSCVPLYNFTYIYNYLMGSSKSFVDSFLDMKEGRYNPRMSSVIPMRKWRKGSQWTALIRSHAKVVAYDDVIFPVFKKLCKRRPPLDSSKGKQNLKLQKQHNCIPDEHYVQTLLAMNDLEGELERRTVTYTLWIQSATNMETKSWHPVTYNYATSNPQQIKTIKDIDHVYYETEHRTEWCHSNAILVPCFLFARKFSRDGAMRLLTQGV >cds-PLY64196.1 pep primary_assembly:Lsat_Salinas_v7:7:3824078:3825300:1 gene:gene-LSAT_7X2560 transcript:rna-gnl|WGS:NBSK|LSAT_7X2560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFNRTTLLLLLTLLIILGVFSPWIGDRSTFFSYSGNSRVSLEAKWRGYTLPEAVAYVAKNGSTVIVCAVSQPYLPFLNNWLISIVRQKHHEKVLVIAEDYATLYTVNGRWPGHAVLIPPAPDAQVAHKFGSQGFFNFTSRRPRHLLQILELGYSVMYNDVDMVWLADPFLYLKGKHDVYFMDDMAAVKPLDHPNVLPPPGKKGRTYICSCMIYMHPTPGAKLVLKKWIEELQSQPWSKAKKANDQPAFNWALNKTAGQVSFSYL >cds-PLY68801.1 pep primary_assembly:Lsat_Salinas_v7:3:62704763:62708584:-1 gene:gene-LSAT_3X49600 transcript:rna-gnl|WGS:NBSK|LSAT_3X49600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCASTLSICSHPKPTANSSFSTGGYVLLRRRLFFSPSLQYVSSGRRRLKFQTFAIKNASASSGRSGRARKVYTESQSEAAPSTSFPVKEIASSVLPVGSFIVVTFVMWKLVEKLMMPKKSKAPVQEKKPTEGVKWSFAAGTNLLPNFGAKIERESKLRLNDFSKELRSFSIVDMSGRNFGDEGLFFLAESLAYNQVAEEVNFAANGITAEGIKAFDGILQSNISLKVLNLSGNSIGDEGAKVLCDILVDNSGIQKLQLNSTNIGDEGAKAIAELLKKNSTLRTLELNNNLIDYSGFSGLAEALLENKSLNSLYLNGNYGGALGASALAKGLEGNKALRELFLHGNSIGDEGVRALITGLSLHKGKLTALDIGNNMITSKGAFHVAEYVKKSKSLLWLNVYMNDIKDEGAEKIADALKENRSITNIDLGGNDIHAKGITAIAEVLKDNTVITALELGYNPMGPDGARALSEVLKFHGNIKTLMLGWCKIGAKGAEFIADTLKYNNTITTLDLRANGLSDEGAKSLARSLKVVNEALVSLDLGFNEIRDEGAFSISQALKANEDVRLTSLNLASNFLTKLGQSALTDARDHVYEMNEKELSIGF >cds-PLY97849.1 pep primary_assembly:Lsat_Salinas_v7:2:216281345:216281782:-1 gene:gene-LSAT_2X136420 transcript:rna-gnl|WGS:NBSK|LSAT_2X136420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHHGRVPIVEEFQLLLDHFGFLLEHGVMIPKKGVPIHDCPQGKVGVPVPLFKAGLRLPTADFFNMIMDHSGFSVDELTPSAINKIIDFELICRSLGCIPTLWVFSYFFCSDTNFGILTLVKRRGVHQLISEQDVPKKTWQRKWL >cds-PLY84650.1 pep primary_assembly:Lsat_Salinas_v7:9:103276948:103290218:1 gene:gene-LSAT_9X74021 transcript:rna-gnl|WGS:NBSK|LSAT_9X74021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLGNTSLHSSDFDLPSTTDVSTGLPSDTIEAEQVTRRRHGGRPRDGSRNMKDDAIVAPMYEVAFNSNENDGVTKCVSKDKDVENSDRCDNVGDDTIEDDISKTPISICGSQDGRESEMICLRHLSSYVVYKMMKKVNLDGNGRWAPLYSCDAFCLNKRESPSIEVAGCDFAQKEHMMFTEGLDTNALRWVKEGSNNPKKETPPSTSNQRTRFDPITSRNNSRGFGLPQPSKFKNGVVPISQVLPRDDTNSTSEDMSSDSEGEVYGGRYSVDSSPHDDRVPSGSSNRHRDHDPVKRGPQYHVYSSDVSSSIEMRKVSDRLLMRGGDRYNVRSSVYTEDESDDSRSSEFSSTQVGASMENLPHKDSYVSDGYSSYVSSHVNGDTSSRKESNGKNIENRQASKEDFPSAPPFSGSFGEIKQEKEHSPVSKANYTPSTADSVDFVPKSTVEKTSVVDLKTNHKQETSNPSRNVGVKTGSGSFPTRLPTFHASSLGPWHAVIAYDACVRLCLHAWAKGCMEAPIFLENECALLRSTFGLQQMLLQSEEELLVKQSSEVDNKGPSQKPKKMVGKMKVQVRKVKMTLDPPTGCNFSSIKPPKVKMETVKRHFANLQMKVSSGWKSVRSIHFSPRVPKNGSFSRHSLAYMQASTQYIKQVSGLLKIGVSTLRSSSSSYEVQESYSCLLRLKSSAEGDTIRMQPGSGETHIFLPDSLGDDLIVEVQDSKGNHYGRVLAQVATISEDQNDKLRWWSIYREPEHELVGKIQLYINYTTSLDDNSMKCGSIAETMAYDLAMEVAMKEQNFQQRNLLFHDPWKWLLTEFAAYYGVSDAYTKLRYLSYIMDVATPTNDCLSLVYDLLLPVIMKGNTKTTLSHQENRILGEIEEQIEEIFAVVFENYKSLDELSPSGMMDVFKPATGVAAPVLEPSVKLYKLLHDILSPEAQNKLYSYFQAAAKKRSRRHLSDTDEYLSGTGEGNLMMDPVAVSTAYQKMKSLCLNIRNEIFTDIEIHNSNVLPSFIDLPNLSSAIYSAELCSRLRSFLVACPPTGPSRPVTDLVIATADFQKDLASWNINPVKGGVDAKELFHLYIIIWIQDKRLSLLETCKLDKVKCSGVRTQHSTTPFVDEMYERLKETLNDYEVIICRWPEYTFALENAIADIEKAVIEALDKQYADVVAPLKENLTPKKFGLKYVQKLAKRTTNPYIVPPELGIFLNSMKRMLDVLRPKIEVQLKSWGSCCIPNEGNTAPGERLSEVTVMLRSKFRNYLQAVVEKLVENTRLQNSTKLKKILQDSKESLAESEIRSRMQPLTEQLTNTVNHLHSIFQSHVFIAICRGYWDRMGQDVLSFLENRKENRSLYKGSRVAVSILDDTFASQMQKLLGNALEEKDIEPPRSIIEVRSMLCKDTGTSTHKKNSYFY >cds-PLY86425.1 pep primary_assembly:Lsat_Salinas_v7:1:10367393:10369412:-1 gene:gene-LSAT_1X7600 transcript:rna-gnl|WGS:NBSK|LSAT_1X7600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLKFVLNSKQQWELKQQTRNWLELPYDVMANILYRVGVCDILLNAQKVCTTWRNICKDTAMWRVINMYNVFYHKNGRPLMQKMCKHAVNRSQGQLVDITIEDFANPQLLRYISDRASQLRRLEFVYCYGETYESWAGSLRKFPLLEELSIYLTDISTGVIVAAGRFCPMLTTLKINDEMVAPCGNVIAVAIGKNLPKLKHLELIGSFMTNTGLQAILDGCCHLELLDLRQCVYIDPKDDLVKKCLEKIKCVKLPYDSLEGCRYVFLDEPIAGPAIV >cds-PLY89739.1 pep primary_assembly:Lsat_Salinas_v7:1:59266439:59268016:-1 gene:gene-LSAT_1X50141 transcript:rna-gnl|WGS:NBSK|LSAT_1X50141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPHFSSSSPAGSDPILHIWSPSPTPCQQPLPLSVTFADATVETTGEGDTDRIPQPLECLQGTLIPPFLSKTFDLVSDPRLDPIISWGGSGQSFVVWDPVEFARIILPRNFKHNNFSSFVRQLNTYGFRKIDTDRWEFANERFLRGKRYLLKNIQRRKSNQSTSSNDEAEIEQLHKEKAEIMKEIINLQHEQRETYQYMESINEKLKAAEHKQKQMISFMAKLIKNPQFVSSIQEKKEHQLHIASPRTIRKFVKHQPLESNPITAPEGHVWKGKTVSEMESEAAPEYLFPVDLGKGKNVLEPVSVGIDDITVKQEDIWSMGFETNAIWSDVGNFELPEFGVGGVESSDLWNLGTSGAVNWNRFDEIERQEDDRLRETDP >cds-PLY75539.1 pep primary_assembly:Lsat_Salinas_v7:9:32158199:32162574:1 gene:gene-LSAT_9X29020 transcript:rna-gnl|WGS:NBSK|LSAT_9X29020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSLISTPFTLPTTKADQLSSISQKHYFLHSFLPKKSSPHLPNSRTPHSLGVKCAVVGNGLFTQTTQEVRRIVPENKQGLPTVKIVYVVLEAQYQSSLSAAVRTLNSSNKFASYEVVGYLVEELRDENNYKSFCLDLEDANIFIGSLIFVEELALKVKDAVEKQRDRMDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKNKKKSSAGFSDQMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLVNFVKMISGSYVPALKGMKIGYSDPVMFLDSGIWHPLAPCMYEDVKEYLNWYDTRRDTNEKLKNPNAPVVGLVLQRSHIVTGDDSHYVAVIMELEAKGAKVIPLFAGGLDFSGPIEKYLIDPITKKPFVNSVVSLTGFALVGGPAKQDHPRAIEVLMKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFSGRDPRTGKSHALHKRVEQLCTRAVRWAELKRKTKTEKRVAITVFSFPPDKGNVGSAAYLNVFASIFSVLQDLKKDGYNVEGLPETSQELIEEILHDKEAQFSSPNLNVAYKMGVREYQQLTPYATALEENWGKPPGNLNSDGENLLVYGKAYGNIFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYTYVEKIFKADAVLHFGTHGSLEFMPGKQVGMSDACFPDSLIGNIPNVYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELIASYQSLKDTGRGQQIVSSIISTAKQCNLDKDVDLPEEGVEISSKERDLVVGKVYSKIMEIESRLLPCGLHVIGEPPTAMEAVATLVNIAALDRPEEGISSLPSILAETVGREIEDIYRSSDKGILKDVELLKQITEVSRGAVDAFVQRSTNSKGQVVDVSGKLSTFLGFGLNEPWIQFLSETKFYRADRDKLRVLFQFLGDCLKLIVADNELGSLKQALEGRYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTTAAMQSAMVVVDRLLERQKADNGGKYPETVALVLWGTDNIKTYGESLGQVLWMIGCRPVADSLGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPLDQNYVRKHALEQAEALGVDVREAATRIFSNASGSYSSNVNLAVENSSWNDEKQLQDMYLSRKSFAFDSDTPGAGMEEKRKVFEMALSTAEATFQNLDSSEISLTDVSHYFDSDPTNLVGSLRKDGKKPNAYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMLSSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANTTFIQDEEMLNRLMNTNPNSFRKLVQTFLEANGRGYWDTTEDNIEKLKQLYSEVEDKIEGVDR >cds-PLY88808.1 pep primary_assembly:Lsat_Salinas_v7:4:94002847:94006154:-1 gene:gene-LSAT_4X61641 transcript:rna-gnl|WGS:NBSK|LSAT_4X61641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGVGTSCLMGFGDNININRSSSGDNNNCIGSLCLPPTPVIYNNTVIFSTHDHHHSNCGASSSAMMLEDNNNGNINDGGGLAFISSSSCSSSVKAKIMSHPHYPRLLSAYLNCQKIGAPPEVVERLEEACRASVMAAMSASSGGSGGGDGGGGTSTTSVGQDPALDQFMEAYCEMLIKYEQELSKPFKEAMLFLSRIETQFKAISFSPSDSGCGEGGMDRNGSSEEDLDVDVSNNMVDPQAEERELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLDWWTRHYKWPYPSEAQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDAAHPHYYMDNIFGNPYPMDVSLL >cds-PLY94758.1 pep primary_assembly:Lsat_Salinas_v7:2:178142141:178160810:1 gene:gene-LSAT_2X97521 transcript:rna-gnl|WGS:NBSK|LSAT_2X97521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKSEEELEVTGEDSKDVILYVNGVRKVLPDGLAHLTLLEYLRDVGLTGTKLGCGEGGCGACTVMVSYVDQNSKKCVHHAINACLAPLYSVEGMHVITVEGLGNHKFGLHPIQELLARNHGSQCGFCTPGFIMSMYALLRSSKTPPTEEQIEESLGGNLCRCTGYRPILDAFRVFSKTNDLLYTNNSVSSQTDGEFVCPSTGKPCSCGSKTIKKGDICHDYKPVSYNEIDGSSYTNKEIIFPPQLLMRKPSCLSLNGFNGIKWHRPLELKHVLELKSRFPDAKLVVGNTEVGIETRLKKLHYPVFVSVTHVPELNILTINDDGMEIGAAVRLSELQKMLKKVVNERSSHETSSSKAIIEQIKWFAGTQIRNVASVGGNICTASPISDLNPLWMASKAKFKIVDSNGNIRTTLAENFFLGYRKVDLGKNEILYSVLLPWTRRFEYVKEFKQAHRREDDIALVNAGIRVFLEEKDQKWIVSDACVVYGGVAPVSLSAVKTKAYVIGKPWNKEMVENAMEILKQDVVISEDAPGGMVEFRKSLTLSFFFKFFLWVSHQMKGQDFFEEPIPVSHLSAFEPFHRPSVMGSQDYEITKQGTSVGSPEVHMSARLQVTGEAEYTDDTPMPPGGLHAAMILSKKPHARLLSIDDSGARSSPGFAGIFFAKDIPGDNATGPVVEDEEVFASDIVTCVGQVIGVVVADTHENAKLAARKVVIEYEVLPPILSIKDAVNSKSFFPNSHRILCKGNVDLSFESNQCDKIIEGEVHVGGQEHFYLEPQSSLVWTMDGGNEVHMISSTQAPQKHQKYVSHVLGLPMSKVVCKVKRIGGGFGGKETRSAFFAAVAAVPAYILNRPVKLTLDRDVDMMISGQRHSFLGKYKVGFTNEGVVVALDLEIYNNGGNSLDLSQSILERAMYHSNNVYEIPNVRVSGSVCFTNYPSNTAFRGFGGPQGMLVTENWIQRIAMEVKKNPEEIREMNFIGDGSILHYGQQVQDCTLHRLWDELKKSCNFLKIRSEVDEFNLHNRWKKRGIAMVPTKFGISFTTKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQIAASAFEIPLSSVFISETSTDKVPNASPTAASASSDMYGAAVLDACNQIKSRMEPIASKKQHTSFKELVNTCYCERIDLSAHGFHIIPDIGFDWATGKGHPYRYFTYGAAFSEVEIDTLTGDFHTRAADVILDLGFSINPAIDVGQIEGAFVQGMGWVALEELKWGDEAHKWIQPGFLFTSGPGNYKIPSVNDVPFKFKVSLLKDAPNNKAIHSSKAVGEPPFFLASSVFFAIKDAIIAARAESGFHGWFPLDNPATPERIRMACADEFTAPFAKSYFRPKLSV >cds-PLY82834.1 pep primary_assembly:Lsat_Salinas_v7:1:86062256:86062717:-1 gene:gene-LSAT_1X72901 transcript:rna-gnl|WGS:NBSK|LSAT_1X72901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARMAMMVFCVVVTCMVVAAPYAEAITCGQVVSNLAPCLGYLKNGGAVPSGCCSGVTALNNAAQSTPDRQTACGCLKSAYSANSGINAGNAAALPGMCGVNIPYKISPSTDCSKVQ >cds-PLY79692.1 pep primary_assembly:Lsat_Salinas_v7:5:253938712:253939654:-1 gene:gene-LSAT_5X127521 transcript:rna-gnl|WGS:NBSK|LSAT_5X127521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFYRTHVDVSFHVHPLLRKMEEINKIIRELWQQTYRGQDIDYISIHSDSECGATRSYSYEVNWLLCKLVMWSLKCEEGEVHVKRWLLHCGIFSLDELTTNLDVPNAESLVAARVSYHGNVQQ >cds-PLY64801.1 pep primary_assembly:Lsat_Salinas_v7:2:102120910:102123810:-1 gene:gene-LSAT_2X46200 transcript:rna-gnl|WGS:NBSK|LSAT_2X46200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKKRLPDWLNSPMWSSPTVTTSPPPKSRSLSPPPPPPSSSNDDRYGIGSYPSKSSSVTSSDSSLNEPHVPLPPPTSIKQEPTPKTRIRDSSTRSVNSDNDVSSSIEDVSRQAQLLEELSRKIINIGELRQLCLLGIPDAAGIRSTVWKLLLAYLPTDKGLWSSELAKKRAQYKQFKENLLMNPSEITRTFEVSASLKNGDLSEGKGLLERSKIPHGEHPLSLGKTSIWNQFFQDSEIIEQIDRDVKRTHPDIPFFSGDSASSKANQESLKNILIIFAKLNPGIRYVQGMNELLAPLFYVFRNDPNEDSLVNAEADTFFCFVELISGFRDNFCQQLDNSIVGIRSTISRLSQLLKQHDEELWRHLEVTTKVNPQFYAFRWITLLLTQEFNFSDSLHIWDALLSDPEGPQETLLRVCCAMLILVRRRLLAGDFTANLKLLQSYPSTNVSQLLYLSNKLRSC >cds-PLY85704.1 pep primary_assembly:Lsat_Salinas_v7:9:201861760:201863836:-1 gene:gene-LSAT_9X124440 transcript:rna-gnl|WGS:NBSK|LSAT_9X124440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLLCAQQLSLSCRLWMGWVSNFFHLLFNCSSSSTPPLSSLSDFVPSVFPSMDVAFIPNFGSRSTEITEVVDCYGLTPPLILGKGGRMAAINLESRAALLAAKQGLAGDASRNLGVN >cds-PLY65272.1 pep primary_assembly:Lsat_Salinas_v7:MU045421.1:235768:238783:1 gene:gene-LSAT_0X12040 transcript:rna-gnl|WGS:NBSK|LSAT_0X12040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTYFPLRWESTGDQWWYASPIDWAAANGHYDLVRELLRLDSNHLIKLSSLRRIRRLEVVWDDEEQFDDVAKNRSFVAQKLLHEGDAKRGKNSLIGSGYGGWLLYTAASAGDFPFVQELLHKDPLLVFGEGEYGVTDVLYAAARGKNTDVFRLIYDFAMSPRFMAMGGGNGGNLEGNLGGIPAAYKEEMKNRAVHAVARGGNLNILMELLGDIDDNQVLSYRDIQGSTILHTAAGRGQVEVVKYLVSTYEMINSTDKQGNTPLHIAASRGQISVVDFLVKSSPSSIHSKNNSGETFLHAAVTGFQTPTFRRLDRQIVLMKQLVSSKAFNIEEIINNTDNEGRTALHLAIHGNIDVNLVELLMMVGMINVNKRDNHGLTPLDLLKQRPVSPSSESLKRHLISAGAILGNHDYTARKILASHLRKGSAGGSPGTAFTVSDSEIFLLSGTESVATPVLTEPSSESKIPNVVDVPSKKKHKGIKRFLRWTKPRKAEEKPELELPIPLRQRYSKPASVSVSVSPPNNKRTLAARSNLPSPTAKKKLASGLVNGVMQAMPNVNRRSRSNSFSRSSASSHASHTGIGFGSGSGIEFPGGSSSNQMYDDGFVSKEHGVVNRRSSVNQYFCFGAPKLPMETGGSEQEREDEIYDRYVLSTA >cds-PLY90135.1 pep primary_assembly:Lsat_Salinas_v7:7:13791649:13791834:-1 gene:gene-LSAT_7X10881 transcript:rna-gnl|WGS:NBSK|LSAT_7X10881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFRLTNNHNHLDYQHHHHQTEIPKRLNPTPNLRLAIDFIDLYLLPLDLTIRVMRTCQPPI >cds-PLY69826.1 pep primary_assembly:Lsat_Salinas_v7:6:4447935:4448366:1 gene:gene-LSAT_6X2881 transcript:rna-gnl|WGS:NBSK|LSAT_6X2881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSFGSSLPQVLTSKLSEIGILMLIPRTLAFKAVQRQAATSRSRRPPRAEQQGWSGGLPMTVPMESLSNLAHTPSFRASLGQFPFGAMGFSGNGTDGVWQVKQACVAAKKRMLIVRKRVEDLLKAIGSNYRPEFDLVGRRDVG >cds-PLY78083.1 pep primary_assembly:Lsat_Salinas_v7:1:6685359:6685952:1 gene:gene-LSAT_1X4641 transcript:rna-gnl|WGS:NBSK|LSAT_1X4641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTNNNGKYATTIGNDHLNTHVILQNNSSMGKKASKKDRHSKINTAQGLRDRRMRLSLDVAKRFFRLQDMLGFDKSPFFHNPALTYRSHSQISLSVANINPIAKSATSSVNTSGVLVTLIPFCLQCPKSTFSNPTLKLDTIPKAGNESINSRSAPALAFPTTSRIEPKFSLKKSFFWGRFHKRKRLKRLSSSCSR >cds-PLY71528.1 pep primary_assembly:Lsat_Salinas_v7:7:29970855:29972141:1 gene:gene-LSAT_7X22241 transcript:rna-gnl|WGS:NBSK|LSAT_7X22241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRHGESIEYYLVLALLSLAIFIFILLVIFIIWKSKKNANSNETVHNQRSDEESPSIKLCASPYTLVEIDVATDGFNERRIIGKGRLGTVYAAVLAGGELVAVKRIHPRFVLRNCGSGLGFSSILKWLSLANHPNVLSILGFSEGPGERIVVMEFMGMMSLDFYLHQNLDGASLLDWGRRLRVAAGVARGLEYLHELMAPSIVHGCVKPSNILIDVKFSAKICDYGLSFLAPNEKEGIVGYVDQEYWLEPRGGSKESDVYGLGVVLLELLSGRRSEGGLIVNWGLPLIKEMKMGELLDPRLMVPSDIKPLVRLAKVASACVGNSRKNRPLIVQVVAILNDLQEEAVLLSNGSF >cds-PLY90975.1 pep primary_assembly:Lsat_Salinas_v7:5:287452802:287454661:1 gene:gene-LSAT_5X151580 transcript:rna-gnl|WGS:NBSK|LSAT_5X151580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTISSISSRKLIILRLELDTRVHVFACFNLRIVEAEVIKQQETKKGLSIESEAQDKGLSLLRMREDLQKAIENENYSWAAELHDQSSKD >cds-PLY95713.1 pep primary_assembly:Lsat_Salinas_v7:2:111895140:111898537:-1 gene:gene-LSAT_2X50460 transcript:rna-gnl|WGS:NBSK|LSAT_2X50460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT4G26330) TAIR;Acc:AT4G26330] MVYSYKHSFSGFSARINSTQAATLAGMERVISVFKSKILKLHTTRSWDFMGLNLDPIGDQATPLQLTHGDNAIVGLFDTGIWPESKSFNEEPNMQPIPKTWRGKCVNGENFDPKKSCNLKLIGARYYLKGYELEHGLLNTTGNLEYQSPRDANGHGTHTASTAVGSTVKKASFLGFGEGTARGGAPRARLAIYKVCWEDGRCSEADILAAFDEAIYDGVDAISASFGSPPPLVPLYNSSSDIGSFHAMQKGISVIFSAGNNGPDPSLVQNVAPWSTCVGASSIDRNFPTRILLDNSLSFVGESLNNKHIEAYLVGARIFFRGGICRMSRWRNNNAFGAVILCFSTPGSVLIEEAEVAVWGANATGLIFVDSPTRQYVDVDIIPTIRVDMIQGTKMGHYLSQSMMYPPKVHIFPSKTIIKQTPAPVVADFSSRGPSSISPDILKPDISAPGVTILAAWPPGIPPTSTSIDQRSVEWNFQSGTSMSCPHVSGIVALIKSLHPNWSPAAIRSALMTTAYNRDMNHDTILSGGTNEESNPFDIGAGHINPLKAIDPGLVYDLKADDYILFLCNNGYTEDQIKRIISLVPGKNVNCPKEMTSNTNLNYPSITISSLESSVIIKRTVRNVGGRKTSLYFARVVCPHGVEVTVWPMILFFSYFRDEVSYYVTFKPKKVSRGRYDYGEIVWSDGFHTVRSPLVVCVNTATPDITIDDSFQALDRESLSLSLSGA >cds-PLY83808.1 pep primary_assembly:Lsat_Salinas_v7:3:48667372:48667674:-1 gene:gene-LSAT_3X37180 transcript:rna-gnl|WGS:NBSK|LSAT_3X37180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHVRSKDDDLGKHNVTSNDYYHWSFCDRLDSTTTFDAEFWWGQSYDCLEAFAKLARRTCDRFGGIGFRIVYCYWQLRSDGFYISPLNVSFPNPSWVFAKP >cds-PLY93990.1 pep primary_assembly:Lsat_Salinas_v7:4:274117097:274118660:1 gene:gene-LSAT_4X140381 transcript:rna-gnl|WGS:NBSK|LSAT_4X140381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANFCHSGSLINCELIIDGGSAMIRADSRFAWITSRSEAKVTPEVLHTTSWTFPIFRSTKVEKYFPTRVFAELALGSITAKDEMVIFNGRSLAEFFRNESYHGANNELRVLTAVEVGLPLNYYLKLALNTFFMQAGFFFCFVMWKVF >cds-PLY98549.1 pep primary_assembly:Lsat_Salinas_v7:1:38023706:38025894:-1 gene:gene-LSAT_1X29661 transcript:rna-gnl|WGS:NBSK|LSAT_1X29661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGNYYYSYIFISSYIKCFPSKAKQTKPNRKFNVVSVSTKPPLAAKMECWACSKHKSFLCWLLMLMMIHILIMAEHTQGDCVEEERKALLEIKTSYMKSYGSEIDNFLPTWVDYGGGTPGDGGSNCCDWERINCSTTTGHVTKLSLYNLIGVEDVYMDNESKLWPLNVSLFLHFKELTSLNLSHNSLDKEFMKSGLERLSSLKKLEVLDLSFNFDIDNNILPSLMTLTSLKVLDLSNTSLNGNFPTNEFAALENLEVLDLSYCGFNGTFEVQGSERVSRLRKLKSLNLAGNWFNESVITSLSILSSLTNLDLSRNPMSGPFPALGTCYDCIYLFP >cds-PLY70472.1 pep primary_assembly:Lsat_Salinas_v7:1:71126350:71128000:-1 gene:gene-LSAT_1X61681 transcript:rna-gnl|WGS:NBSK|LSAT_1X61681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKASVRPIFSAVFLVAAAATLCFRIMVSGGVGGGEFLSWNYVGEAQKLTPVFNETLLRFATIDLAEDSLRQDVEQLVDGNFRNMDGSRQRSFLWSGRHRIDIRARSARGVPVLLRSPEFYRLWLDFRRYLQTWWRNRRFQSDVMSDMVNTVKILNTGVNATARKSGLKYKTCAVVGNSGILLKSDLGSLIDSHDFVIRLNNARTGGFEPNVGSKTSLSFVNSNILHLCARREGCFCHPYGEKVPIMMYMCQMIHFLDYVVCNSSHKSPLMITDPRFDILCARIVKYYSASRFVKETRKPLATWADSHDGSNFHYSSGMQAVMLAVGICEKVSIFGFGKSESAKHHYHTNQKGELHLHDYEAEYDLYQDLVERPKAIPFVSDKFMFPTVVIHR >cds-PLY66330.1 pep primary_assembly:Lsat_Salinas_v7:5:289375477:289375767:1 gene:gene-LSAT_5X157721 transcript:rna-gnl|WGS:NBSK|LSAT_5X157721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIGHAEHNWSPNYRHHMDDNHSNGVTNKDIAINLLQTQLELSLIREDFQDQLRELRRIVDRDLDAMNREVDDVRAGQLDISNVVADLKNHFVSL >cds-PLY75891.1 pep primary_assembly:Lsat_Salinas_v7:1:172358040:172359152:1 gene:gene-LSAT_1X115221 transcript:rna-gnl|WGS:NBSK|LSAT_1X115221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQKFIAARGIKVLAVKNIGQLSESLREFRRQEEEQNRSSSDLSLSFGYLNWPTTTPTSNGVRVPLSALDGTDVSPIPKRNNNNNNNQTGLGVPNFILLVIDTTRVDFNELCKAVAEFRKDSKNVCSRVVWLGSKCIQLQGLDEKKLPPSDIIIPMPLHGSRLHSLIHLLPEFGGNFPATPPPPHANRHQITKQEEIQSSSPLRGKKVLVAEDDSLQQMIAKKILSKLGVSFEMCRNGKEAFTMVSKGLSHQTNLRASHILPYEYIFMDCQMPEMDGCEATRLIRVKEKEYGVHIPIIGLTAHAEGQELNKFIEAGIDINISKPITEQKVLNVIQDLHRRK >cds-PLY81049.1 pep primary_assembly:Lsat_Salinas_v7:6:130684648:130688510:-1 gene:gene-LSAT_6X79500 transcript:rna-gnl|WGS:NBSK|LSAT_6X79500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLVSNSSVSSSSSPFLSQDKTHRLHSRVLVRSIFKINAASCVNRKNTVVSLASYENGGKKSSQNAPEKLEPLWDDGYGTQTMKDYTKIAIDLSRLTSNDGGPPRWFCPVACNRPLKDSPVLMYLPGLEGTGTGLVVHEKALGKVFHVQCLHIPSHDRTPFEGLIKIVEESVKIEQNLSPNKPIYLLGESFGAILALAVASRNPTIDLILILANSATAYERSSLHPLVGFMKTLPVEHYGSFPYLTSFLLGDFVKMAMVNTNGPNHLPSFGQLIGNLTEDIPLLSAMTTIIPRDTLDWRIKLLESAAGYANSRLHTITAQVLILASGKDNLLPSKDEAQRLSRLLPHCDIRVFEENGHTILMENGVNVLSAIKATQLYRHSSKFDIFRDFLPPSMTEFKTLPMEAWWYRLYMGASMFSTMEDGKIVRGLSGVPDEGPVLIVGNHLLWGVDVFSIVLEFLREKKTMIHGLAHPQIFEFDKKYEYLMIPYSDIMKLFGAIPVSGRNFFKLLARNSYTLLYPGGAREALHRKGEGCKLFWPDKQEFVRMAVKFGATIVPFGVVGEDDMSELLIDYNEMKKIPFLDQMVNEFNQGRTNLREGMGGEIAKQPLHFPIFLPKLPGRLYAMFGKPIRTKGKENMLDDKDYIQDLYFQIKCDVEKNMAYLFKKRDDDPYRSVIRRFVWQQMNNGDLDRIPSFDP >cds-PLY91880.1 pep primary_assembly:Lsat_Salinas_v7:8:196654443:196654861:-1 gene:gene-LSAT_8X126501 transcript:rna-gnl|WGS:NBSK|LSAT_8X126501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRLTIQSQNRFSKLSHLRDEEDYRYGLQLHVDVNHPIHGENDAPHITEDDVGVIQPNLAENDVRFKPHPFVNDESESGED >cds-PLY78205.1 pep primary_assembly:Lsat_Salinas_v7:4:226421561:226422764:1 gene:gene-LSAT_4X124841 transcript:rna-gnl|WGS:NBSK|LSAT_4X124841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQIFHSLLVFSLYFLCFSVAKKHNQITKLNKLTESRRSKNPPAGEAWTKQDTSNFSPVLSIEPQQGSKEADRVDRLPGQPQVDFDHFAGYITVDSKAGRALFYYFVESPHNSSTKPLLLWLNGGPGCSSFGYGAMEELGPFRVNSDGKTLYRNHYAWNNVANVLFLESPAGVGFSYSNRSSDYTTGDKQTAKDSYTFLINWLERFPEYKTRDFFITGESYAGHYVPQLASLILSENKKTNGTVINLHGIAVSTFHTWDSTGGRLCKSHICPPHLLNGYILLFCRLGMLG >cds-PLY84800.1 pep primary_assembly:Lsat_Salinas_v7:8:24863092:24867587:-1 gene:gene-LSAT_8X20140 transcript:rna-gnl|WGS:NBSK|LSAT_8X20140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNRSKNSPATSKETSDGVKPVDETKIKNVVVTAKRSPYAVLALFILVIHGIWAVHHYQFENLPEPLSADQVGKRGFSEESAMKHVKALTQLGPHPVGSDALELGLQYVLEEAEKIKTTAHWEVDVDVDLFHASSGANILVGGLFKGKTLVYSDLKHVVLRISPKYSSEEVDSAILVSSHIDTVFSAEGAGDCSSCVAVMLELARGISQWAHGFKNSVIFLFNTGEEEGLNGAHSFITQHPWSSSIHMAIDLEAMGIGGASAIFQTGPNPWAIENYALVAKYPSGQILAQDLFTSGVIKSATDFQVYKEVGGLSGLDFAYADNTAVYHTKNDKLKLLKPGSLQHLGENMLAFLLHTASSSQLTKMASNEKTQHDPAIYFDILGTYMVVFKQSLANMLYNSVIMQSMMIWGTSILMGGSTSAISLSLSFFSILLMWIFSLSFSIFVAFILPFISSSPVPFISNPWLVGGLFLSPAFLGALTGQHIGYLILQKYIFKKMENLSLSLSLSHVVKQNWAKLEAERWLYKSGLLQWLIILVVGHYFKVGSSYFALVWLVSPAFAYGLLEATLSPNRAPKALKTITLIFGLFIPFLISGGMFVRLAGTVVGMGVRFDRNPGGNPEWLGSLLLAVYISAVVCLSLVYLISYIHISGAKKFIAIGSFIVFGISLTSVISGIIPPFTEDISRAVNVVHVVDTSANKEPSSYISLFSTTPGKLTKEIEHIGEGFECGREKVIDFVTFTASYSCWTEGNSVNGWSKSDIPTLNVENDNSTTDERLTQVTIDTKESTRWSLAINTNKIEDFRLKDGEELIQTGTKSSADGWHIIQYSGGKNASRRFGLTLYWGKNGTQVENDENELLLKLRTDVDRLTPKVKRVLEKLPSWCSLFGKSTSPHTLAFISNLRVNF >cds-PLY84454.1 pep primary_assembly:Lsat_Salinas_v7:7:71331636:71334478:-1 gene:gene-LSAT_7X53021 transcript:rna-gnl|WGS:NBSK|LSAT_7X53021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSSLDSKIESLLNVEKQMRLNGDIAGTKKAAIDILQLCFEARAWKTLNDQIVVLSKRRGQLKQAVTAMVQQAMQYIDETPDIETKIELIKTLNSVSAGKIYVEIERARLIKRLAKIKEEQGQIAEAADLMQEIAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADPSKEKKKPKDAEGLVEEAPANIPSLLQLKRIYYEHMIRFYSHSNDYLEICRCYKSIYEIPSVKEDPSQWIPVLRKICWYLVLSPHDPMQSSLLNSTLEDKNLSEIPHFRSLLKQVITMEVVQWTSLWNTFKEEFENEKNMLGGSLGDKAAEDLQERIIEHNILVVSKYYARITVKRLAELLCLTVEEAEKHLSDMVVSKALVAKVDRPLGIVCFQTAKDSNGILNSWAMNLEKLLDLVEKSCHQIHKETMVHKAALKA >cds-PLY91908.1 pep primary_assembly:Lsat_Salinas_v7:8:201424786:201425892:1 gene:gene-LSAT_8X128621 transcript:rna-gnl|WGS:NBSK|LSAT_8X128621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAFLKLIDVILFIVFLVIAIAVPLLDAQTCLPSNIFPDILVNLKTWYTHEYGDYLVSEKPHFFVGLIWLELLFAWPLALASLYGIVAGKSWLRTTCLLYGVSTLTSMVAILSELVGSGKASEKLLKMYSPFMGFAVLAILRGLLPHSRKSNAIGTRPSLVRKKRA >cds-PLY87607.1 pep primary_assembly:Lsat_Salinas_v7:8:112586168:112589255:-1 gene:gene-LSAT_8X78801 transcript:rna-gnl|WGS:NBSK|LSAT_8X78801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEHFILFSVLLSLLASSVSGQVFWSVNCGESEIYTDGNLMVWTPDETLVSNGFARVVQSSNSISTVLDSLRVFTTRKKNCYSTPVTKGEKVLVRASFNYGNYDRLSSPPTFDLHFDGNFWTTVETTNSGLQMYEATYVTKGDTVSVCVAQTKSGQFPFMSALEVRSIDSDVYRILDENRALFLINRVSYGASGTLRFPQDPYDRIWIPALGGTKAVTNDAIFVDSTGTNNPPSGIFDNAIVADSTAESLLLATVSPSNTLVYITTYFSEVNNLDITGESRSFRFYETTTSSSSSISDPISPPYGSMFERHLYNYSVDSITNISLIATTTSDLPPLINAIEGFNISGLLTDGTDSNDVEALYLLQNTFDVLGEWSGDPCLPAPYSWDWLNCSNETPPRITSLFLDGFELSGELPDISSMDALQTIDLHNNSLDGEIPSFFGTMTNLQQVNLADNDFSGPIPTSLSKNNKLKLTVTGNPSVCTSGKSCSTSPGTVNSSPGSTTLTRSKKKKSSMLPVVLGITIPIFFLIWVAVGVFVILRKKKKPMIKAINIMPVASGYTAGGANGKPSGGANGNSDELHKNSEEVMNNETVEHGVQTTMGSPSPLLDNSGQTSDMYGHTGVHNHEES >cds-PLY70198.1 pep primary_assembly:Lsat_Salinas_v7:9:426067:428760:-1 gene:gene-LSAT_9X5220 transcript:rna-gnl|WGS:NBSK|LSAT_9X5220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRSIRSTCISISRGLGAPSFQFRSFSAQSPRLEGKVALITGAASGIGKETATKFISHGAKVVIADIHHYLGHSTATQLGPNASFISCNVTNESDIANAVDFTVSKHGKLDIMYNNAGIPCRTPRSIVDLDLEMFDRVMSVNVRGVLAGIKHASRVMIPRESGSILCTASVTGILGGIAQHSYSISKFTVVGIVKSLASELSQHGVRINCISPFAIPTAFAIDEMKEYFPELDEEELVSMVRNGGEFKGAYCDPGDVANAAVYLASDEAKYVNGHNLVVDGGFTSTKTAKFDVPLPHKHH >cds-PLY81590.1 pep primary_assembly:Lsat_Salinas_v7:2:17443212:17447454:1 gene:gene-LSAT_2X9160 transcript:rna-gnl|WGS:NBSK|LSAT_2X9160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FREE1 [Source:Projected from Arabidopsis thaliana (AT1G20110) UniProtKB/Swiss-Prot;Acc:Q9ASS2] MQQGDYTSTTPYYHNYPQNPNPNPITTDLHHPPVTYASAPPFTNTTTYHPPTSDYYPPYPQQNPDPLPPTAPSYAPPPPNPNQQPSSFPQFEASHTPYQSQQPYNPPYDHHQTPPTYPSPPASSIPHNPIPLNHSSSFQYSSSLYNNPPSVPSQVPGPVYESPFESGYGGNYGRSSSDLGAGGGSVDYYGKRPDVGMSRFESSGGYGGDGYGEGVYAYQGGKVEPYGSRGTAPKSSTWKEQVIFDDFGRPIGLPGKDSPSVSSPKIVKAVPKAETREDVKGGVQKFRVKLLAESGGQSTMDVLCQIGLDGIRMLDPSTSRTLRIYPLDTVTRCEVYDSSTFAFWSKSSVDIEPRRIRLQSNSYTTNTLLDTVTAATIQVKEMGGRSRPADAVKVNDQSSEKKKGLGDWMNIIKPPNEEKDHWVPDEAVTKCTGCGTDFSAFNRKHHCRNCGDIFCDKCTHGRVALTSEENAPQVRVCDRCMLEVTHRLSSAKESAASRSSGLHSHEDLAKKLQEELERNHKSSSVSKFEGSNRRMKEVACPTCTVHLQVQVPSSGSETIECGVCQHPFLVSAR >cds-PLY79420.1 pep primary_assembly:Lsat_Salinas_v7:3:79407821:79408525:1 gene:gene-LSAT_3X61021 transcript:rna-gnl|WGS:NBSK|LSAT_3X61021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYKRVSLRSVHGNLALKFLNWVIKQPSFQQLKNLTYVYYITVHILVKARMYASAKSIFTQLSDMGIDSNSIFAALMDIYPLCNSNPTILCLVGISKREDGCLVFDRGIHGCLFLVFLTGNECRCVLMIQLPFVFVVDGFEEANIDVEKEENIENESVLYQNVLC >cds-PLY76442.1 pep primary_assembly:Lsat_Salinas_v7:5:199587655:199588005:1 gene:gene-LSAT_5X90081 transcript:rna-gnl|WGS:NBSK|LSAT_5X90081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQQIKKLLSYDKDGSWALLCRGSKILTNGHGTTMMQTPSDFDMWKKDIETKWFDLSFMEYHDKLHVAANNCYRFEFPVAAGRVPDGMWCPECHRVMEKYIAFLCCHDQDGLLEID >cds-PLY74408.1 pep primary_assembly:Lsat_Salinas_v7:2:13928693:13929097:1 gene:gene-LSAT_2X7000 transcript:rna-gnl|WGS:NBSK|LSAT_2X7000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIINDVTSSMNAMHLTNRVDHEKRDMEIDDLQTPKLVDEMEVTISDGKREMYEIYLEHLSNESTEEMEIYLSIMSDVVGGYENAIQVLVGNKHDMNNCFLVNLTRSELEKLVKLDNERIRGIYPPYKPINHIG >cds-PLY71743.1 pep primary_assembly:Lsat_Salinas_v7:3:47531821:47532441:-1 gene:gene-LSAT_3X35960 transcript:rna-gnl|WGS:NBSK|LSAT_3X35960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQKTKKPGEPLTLEDVMKMEYKRQVARRPCDSALPSMVPSEKQQLTLNSKDLQFPKARRYNLYDGVLCFSDAVVLSGSNEFNPSRFTDPVQAYAFIPFGGGPRLFAGYQLAKINIRVLVHYVVTQYNWSLLDPEEPIFMDSLPYPSKGIPIRISAKSDNY >cds-PLY64707.1 pep primary_assembly:Lsat_Salinas_v7:7:138343042:138347020:1 gene:gene-LSAT_7X82221 transcript:rna-gnl|WGS:NBSK|LSAT_7X82221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKTMKKSLLAMNCIMLGVGNCGGPLVQRLYFVKGGKRVWTSSWLQTAGWPFLIIPVILSFIYKTRNGKEAKLFSLKPSLFLPCAVLGVLTGFDDYLAAYGVSRLPVSTSALIIATQLAFTAGFAFLLVKQKFSAFTINAIFLLSIGAVVLALHTSSDRPAHESSLMYYEGFFMTLGASALYGFILPSIELTFKKAKQQVTYSLVMEMQIVMSFFATAVCTVGMLINHDFKAIPREARNFDLGEATYYVILAVNALLWQFFFLGAIGVIFCASSLLSGIIIATLLPVTESLAVLFFHEKFQAEKGLALTLSLWGFVSYFYGEIRQMKKMKRERDEGLPA >cds-PLY79092.1 pep primary_assembly:Lsat_Salinas_v7:3:8855629:8856662:-1 gene:gene-LSAT_3X8380 transcript:rna-gnl|WGS:NBSK|LSAT_3X8380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEGSGFSVIPRQPAAAVSPGAAYLSPAMGVPTPSPFSFSVASSRWSSSRPSIHIFILALRAMVLVFSFASSLALAATMSDDNQDGFQKYTEFIYSFVVTTVIFFYSAYQLFKGISDISCRCVLISDKISDYLSFVLDQLAGYLLVSSSSVTAGAIQNTSSSLKKAAIVSVSMSVVALLTTAISAILSGYKLSKRIMW >cds-PLY72974.1 pep primary_assembly:Lsat_Salinas_v7:8:65046208:65047513:1 gene:gene-LSAT_8X45480 transcript:rna-gnl|WGS:NBSK|LSAT_8X45480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQRALIFSSLIFGLLSVSAKNSIIYVKCSKLCFTTRTPYELNVNSLFKSIVNSASVSNFNQFTISPPGCSASDVVYGLFQCQGDLSNSDCRDCIISSVNQVASTCPRSTSGAIQLDGCFLKYDNTSFFGVEDKMEVTKSCGPPITGYTSVTMNLIDDALAYLIVGNGQYFRAGGSGSVQGVAQCVEDLSLSECEDCILEARGRLRSECETSSWGDMYLGKCYIRYADHGFKPEKGNDNNNYNFNDNNYNYDYNYDGLKERKKSKRVKKLAITIGASVGGASLITGIVAAKRCH >cds-PLY96570.1 pep primary_assembly:Lsat_Salinas_v7:4:368900020:368900332:1 gene:gene-LSAT_4X181080 transcript:rna-gnl|WGS:NBSK|LSAT_4X181080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAELRVAVMGGVLWQLRCLSIAFYRHELKESGARKMAGGDEGFSVVLEVGVVLGREEVSGRESGGGVVVYARVGTTEMKKRRFGLWLVNK >cds-PLY87412.1 pep primary_assembly:Lsat_Salinas_v7:5:41169103:41170835:1 gene:gene-LSAT_9X27121 transcript:rna-gnl|WGS:NBSK|LSAT_9X27121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 1-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G61440) UniProtKB/Swiss-Prot;Acc:Q9XFI1] MASSLNPITFPGYPTSFNETLIKSNRPLTPSVHATDFLTKRSQLFSDQKGLTSSSWHAKTPIHAHASICVSKSMRWWEKTLKPNMIEINSAQELVDTLSNSGDRLVIVEFYSPGCGGCKSLHPKICQLAESNPNTVFLQVNYEELKPMCHSLHIHVLPFFRFYKGSQGKLCSFSCTIATIKKFKDAVSKHKNDACDLNLGPAKGLEESELLTLCSIGQISYHLPQKSNEEDLVLEEMNPSINKLLLA >cds-PLY87717.1 pep primary_assembly:Lsat_Salinas_v7:1:4174778:4177836:-1 gene:gene-LSAT_1X3621 transcript:rna-gnl|WGS:NBSK|LSAT_1X3621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAGVNYRNWADLLPDALGLIFSNLSLQETLTIVPRVCKSWNQAVLGPYCWQNINILDWSYRSNPDHIDHMLRLLVTRSGGALREITVSGILNDLTFSFLADHCRSLQTLQIPRCNISDTIVEQISTKLLAVTFMDLSYCRKISARALSAIGKSCKSLVGFRRNMHPIDIQERRPQAEEAVAIAATMSKLKHLEMAYNCVDTKNIVEIIEKCKELEFLDVRGCWDVKLDQDWLDKRAVMVKVLGPHVVDQFEGHSCSDYSDTDSFYDYASLDDGLWDDDDDIDDDDDEDRVGGRLELRFYEGFNENYSNGWV >cds-PLY97905.1 pep primary_assembly:Lsat_Salinas_v7:4:90345902:90346795:-1 gene:gene-LSAT_4X60200 transcript:rna-gnl|WGS:NBSK|LSAT_4X60200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNLIYRETERKKRRRKKWKNSLILDAGLIVILQETQFSASNDSIVLRSWRQSKWKKIWLAA >cds-PLY63621.1 pep primary_assembly:Lsat_Salinas_v7:4:129770152:129773913:1 gene:gene-LSAT_4X81560 transcript:rna-gnl|WGS:NBSK|LSAT_4X81560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGEELTEQETALYDRQIRVWGVDAQRRLSKSHIFVSGLKGTAVEFCKNIVLAGVGSLTLNDDNPVTAEALAANFLIPFDENMGGGGSLAELCCDSLKDFNPMVHVSVEKGDLSSFGVDFFEKFDVVVINCCLLAAKKSVNEKCRKLSKRVAFYSVDCRDSCGEIFVDLQKYCYAKKKIDETVECQLQYPSFEEAIAIPWRSLPKRMSKLYFAMRVVERFEEVEKRKPGETSIADMANVLKLRNELCLAHSLNESQIPDTLLERLVVSTKEFPPVSAVLGGILGQEVIKAISGKGDPLKNFFFFDAVDGKGVIEDISTSTP >cds-PLY66744.1 pep primary_assembly:Lsat_Salinas_v7:1:168453203:168453637:-1 gene:gene-LSAT_1X112281 transcript:rna-gnl|WGS:NBSK|LSAT_1X112281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLDILEMVFSSKDSTIIDRIIAKGEEATAKLDAKMKKFTEDAIKFKMDDTADLYDFDDDKDETKVDFKKITSDYWVEPPQVSC >cds-PLY96474.1 pep primary_assembly:Lsat_Salinas_v7:2:124550455:124553902:-1 gene:gene-LSAT_2X57700 transcript:rna-gnl|WGS:NBSK|LSAT_2X57700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g13650 [Source:Projected from Arabidopsis thaliana (AT4G13650) UniProtKB/Swiss-Prot;Acc:Q9SVP7] MILLRGSFSHNPFQPFPRKSATNFPKYFCGKLSGLRLAAFRTSASFQIVDQEDEKARESFETKVDNNNSGRFFADQQTYCWLLEGYTSSGSLIDAKKLHGRILKSGFDRDYVICSRLADVYASKRDLTDACQVIDEMPNRDVTFWNNLIFGLIGKKLSTIVLGLFSKMLAENHSPNEVTFANVLRAITGDNFHHSNIKQIHNKIIRYSFGSTYIVCNPLINLYAKKGYINTAKYIFQSLDMKDNVSWVAMISGFSQNGHEEESILLFLEMHNSGTSPTPYVFSSVISSCTKIAFFNLGEQLHSLIHKWGFSSETFVCNALVTLYSRSNNFSSVEQIFSRMEYRDGVSYNTLISGLSKKGLNEKALELYERMQSDNLKPDCVTVASLLSACASIGDYHKGTQLHSYAIKSGMCSDIIIEGSLLDLYVKTSDVKTAHEFFNTTRKENVVLWNVMLVAYGQLGDLHESFCIFSQMQIEGLRPNQYTYPSILKTCTLVGDLDLGEQIHTQVVKTGFQLNVYVCSVLIDMYSKHGYLDTGLKILKTLNEKDVVSWTAMIAGYTQHDLFLEALNTFYDMIHEGIQSDNIGFSSAITACAGIQSIHQGSQIHGQTVVNGYSSDLSIGNSLVCLYARCGRIHEAYLAFDKIDLKDNVSWNGLVSGFSQSGNFEESLKVFSKMNKLGVEMNIFTYGSAVSAAANTTNMTQGKQIHGKMIKTGYNLETEASNVLITLYSKCGSLEDAKQEFLEIHNKNEVSWNAMITSYSQHGCGNEAIKLFEEMKCYKFTPNYVTFVGVLAACSHVRLVEKGIEYFKSMSENYGLTPRPEHYACVVDILGRAGYLTRAMEFIKSMEVKPDAMTWRTLLSACTVYKNKEIGEIASKHLLELEPQDSATYVLLSNMYAVSGKWEDRNRTRKMMKERGVKKVPGQSWIEVKNSVHAFFVGDRLHPKADEIYDYLEVINKRVCEIGYVQDRYSLLNDLEQDQKEASSCVHSEKLAITFGLMSLSIRVPIRVMKNLRVCNDCHNWIKFVSKVSNREIVVRDSYRFHHFGEGVCSCKDHW >cds-PLY81054.1 pep primary_assembly:Lsat_Salinas_v7:6:130407030:130407809:1 gene:gene-LSAT_6X78560 transcript:rna-gnl|WGS:NBSK|LSAT_6X78560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSVKEKVSNAASAAKAHVESYKANMEEKAERATARTQEEKEIAHQRRKAKEAEAKMNLHVEKAEHTAEKLHGKHHVLGHDTVAGVYGGHYQAPVGTVGQTTATGVVPGAVQHHHQHPLGAVDPVTGTTAPTYPLGGHLHGHNKHM >cds-PLY65984.1 pep primary_assembly:Lsat_Salinas_v7:4:135396546:135398745:1 gene:gene-LSAT_4X84821 transcript:rna-gnl|WGS:NBSK|LSAT_4X84821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPVTCVRLTTFMNTNQMVGKFSTPKLVHVGQATTDFPCLRTSRFQTSCLKDTSINDATDAIGKRAEEIGANTSDVIEKLVEKKDTLTATANDDIKKIVENTNTTASDLVEKLVEKKEEVETATNDAIKNLVEKANKVATDLTE >cds-PLY71877.1 pep primary_assembly:Lsat_Salinas_v7:7:121372425:121375988:-1 gene:gene-LSAT_7X73040 transcript:rna-gnl|WGS:NBSK|LSAT_7X73040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEHRTLRVNGIDMHIAEKGEGPTILFLHGFPELWYTWRHQIQALAALGYHCVAPDLRGYGNTDAPPSPTSYTCLHVVGDLVALIESLGGEPVYLVAHDWGAMIGWYLCMFRPDKVKAYACMSVPYRPRNPKMKPVETMRAFFGEDYYMCRFQESGVIEAEIKSYETGELLKKILTDRKPGPPCLPKSDPFQLKGLNAPLPLPTWLSEEDLKYNVEKFNQTGFTGAFNYYRALDLNWELTAAWSGVQVNVPVIYVVGDEDMVYTTPGLKEYVHGGGFKKDVPLLEEVVVMEGVGHFLNQEKPQESTDIIYDFIKKF >cds-PLY79389.1 pep primary_assembly:Lsat_Salinas_v7:5:125524500:125533909:-1 gene:gene-LSAT_5X54000 transcript:rna-gnl|WGS:NBSK|LSAT_5X54000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLEEFQHLKIQLEDIKSATGNFDTSNVIGKGGFGMVYKGVLSNCKDRRMVAVKRLDRCYGQGDPEFFKEILMLSRYTHENLISLVGFCDDDGEKILVYEHAYHGSLDCHLSSTTLTWRQRLKICLAAAIGLCYLHDPNETQHRIIHRDIKSSNILLDENWNAKVSDMGLSKIGPANQKHTFLVSNVVGTFGYVDPTYAEKSILTKESDVYSFGVVLFEVLCGRLCFEYKNGHCESLVRMWKKRCKQKKLDEIIFKDLKQQMDMNSLETFSDIAYQCLQNSREVRPKMYHVVEKLEIALRFQEIYEVVEPPIDYNEMSKTAVPPLVYRSKEELKRLLSNGIFVNEGKTWFWLNKNGEHCEMISAKECMTPIRFSSSEYAPPGQTKSRFAVDYYPPFCRKFKTHVKPQFLSPHTTYTVNLVFNLGYKNLDYLGLNYVLSGNTKSSTLYLADKREDGWLMAELYQFTSDRRNIDLEITFLSRYPLAVEGIEFLPLDRVEHEVLKDVEMDIQTISDSETYWEQKLPGDYEEIIKWSKDNVQWTTKKELYSILCKGFPIQDCKEWLYLAKNGKKCLMISARTCLRKQEWSWQSLPELRFEEVAFDLHGSSFWLSCKSKLLSPEKTFAVYLVYKLQENHFGFEAPVKVTDVIARRTYERNHSWYIFFLSPQTPVVRRKKTMEVIGAKDDSEDELSLVRLMDRWSDGARCRMSRLKWRTLGRTSMGDRRLVVFVARGRQLAADRNRQNVE >cds-PLY81162.1 pep primary_assembly:Lsat_Salinas_v7:9:68556239:68556583:1 gene:gene-LSAT_9X57600 transcript:rna-gnl|WGS:NBSK|LSAT_9X57600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDDDNASIAAPVVRSSIHLFQRPSSSRTTYDFRLSPSSSDPVDEEIQAEGETETYPPNDDQATTVKNPGPSEPPVNQDDKKPRLRVHLRRWDHHPTTMLTPVPCWNFVRICLL >cds-PLY91856.1 pep primary_assembly:Lsat_Salinas_v7:8:201451886:201453995:1 gene:gene-LSAT_8X128601 transcript:rna-gnl|WGS:NBSK|LSAT_8X128601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANQRVVLTETELDETNSQVHHPYAFHVSGPRKVSAPNWRDLINSSWKDGNYKRTVIACFIQAVYLLELDRQENRPKETALAPKWFIPFKYKLSQTLIDERDGSIYGAILEWDRSAALSDFILIRPSGAPRAVLALRGTLLKSLTIRRDIEDDLRFLAWESLKGSVRFNGALEALKSIADMYGSNNVAVAGHSLGAGFALQIGKSLAKQGLFVETHLFNPPSVSLAMSLKNMGEKAVFAWQKMKSMMPSATCVVDTDDGGEGGGAGGGFSNPAAFNKWVPHLYVNNSDYICCYYTDPAVGDGGEGGGGGGGEKENVRPAMGGNGGHMAAKLFVLSKGKQKFLEAHGLEQWWSDDLELELALQNSKLISKQLKSLYTVPPNTTGNRL >cds-PLY76273.1 pep primary_assembly:Lsat_Salinas_v7:8:32859428:32863040:1 gene:gene-LSAT_8X26020 transcript:rna-gnl|WGS:NBSK|LSAT_8X26020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDERDVEMEDTGKLLEDEVVGGEECVEKNQLDEKEAGDKQQESFDVQSPNEIVTEQEKPSKEDKSEEESPVDETQVNEKDTKSMDDDDKVHEGNKDATTMDDDKAHDGNKDDDNGVVDEADHDHDALMNMQENNNEGDEKHQELVTPTTLVIQSPSKAEDHSVVTLKNELEDTKMDEGYESGTPEDQETFMKEVEAIYKEKNLEFKPPKFYGQPLNCLKLWRAVIKLGGYERVTASKWWRQVGESFRPPKTCTTVSWTFRQFYEKALLEYEKHKIQTGEVELPLATVYPEAPIVEIETVGHQTPGSGRARRDAAARAMQGWHAQRLLGFGEEKNSNNSQKREKSLKSIGSLKQKRPSEVQHPVKAVRTETSRQVVTTVADVGPPANWVKINVRETKDCFEVYALVPGLLREEVRVQSDPAGRLVITGQPEQLDNPWGIAAFKKVISLPSRIDPLRTSAIVSLHGRLLVRVPFEQSNI >cds-PLY68430.1 pep primary_assembly:Lsat_Salinas_v7:2:58098955:58099533:1 gene:gene-LSAT_2X26580 transcript:rna-gnl|WGS:NBSK|LSAT_2X26580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQLGVIDFLAFSGACKSWRSIALSNWKTYMASKPPMLMLIPPVGNNDRQCWLVDSEGKEFKTIIPHSAGWGCVGLTCGYLILLRWKTYEFWLVNPITRHELRFPPAPCVSDYVSKITSVLFISPSMSKLVFVILASNQIWFSIENEGGWNFVSSTFDFTFKDLHVFKGRIYSVSNKGHFLKHLCEGKLDGL >cds-PLY99277.1 pep primary_assembly:Lsat_Salinas_v7:5:300780549:300781032:-1 gene:gene-LSAT_5X162780 transcript:rna-gnl|WGS:NBSK|LSAT_5X162780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSMVKKASVLAIVAVAISAATVSAQELAPAPSPLAGAAFSLPASGVMIGTSLLLSFVALFRN >cds-PLY63998.1 pep primary_assembly:Lsat_Salinas_v7:3:92458573:92460502:-1 gene:gene-LSAT_3X67921 transcript:rna-gnl|WGS:NBSK|LSAT_3X67921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase/endoribonuclease IRE1a [Source:Projected from Arabidopsis thaliana (AT2G17520) UniProtKB/Swiss-Prot;Acc:Q9C5S2] MAFVVIFILIIAIGGLMYRHHTIMAAMLKQKPSSSSRRKRHRKQGRIYANEDGNVDSERNPVLNFNHLIECDTEGRSIGKLFVSNKEIAKGSNGTVVLEGVYEGRKVAVKRLVRAHHDVAFKEIQNLIASDQHPNIVRWYGVEYDHDFVYLSLERCACSLYDLIQMKKGGLELDSINRDIIMHDVHLWKPNGYPSPILLKLMRDIVSGLVHLHELGIIHRDLKPHNVLIVKEKSICGKLSDMGISRRLVGDMLSLGLHATGSGSSGWQAPEQLLHGRQTRAIDLFSLGCVLFFCMTCGRHPFGDHLERDVNVVKNRVNLFLVRHIPEAFDLFSRLLNPNPELRPRAVDVLHHPLFWDSEVRMSFLRDTSDRVELEDRECDSVLLRELESKGSVALGGKWDEKMEPEFINNIGRYRRYKYNGVRDLLRVIRNKLNHYRELPKEIQELLGGVPEGFNDYFGSRFPKLLMEVYNVMYHYCKDEEWFYKYLEH >cds-PLY96266.1 pep primary_assembly:Lsat_Salinas_v7:6:157817922:157819005:-1 gene:gene-LSAT_6X95701 transcript:rna-gnl|WGS:NBSK|LSAT_6X95701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIRGNIVLIVEFALSESTMVSGKKTKKTHESINNRLALVMKSGKYTLGYKTVLESLRSSKGKLIIISNNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVSCLSIIDAGDSDIIKSLPGDQ >cds-PLY63019.1 pep primary_assembly:Lsat_Salinas_v7:8:184637701:184638413:-1 gene:gene-LSAT_8X120821 transcript:rna-gnl|WGS:NBSK|LSAT_8X120821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTPELGEKQQKQNLDREIRDMINALTRRLAHLHKKPSEGGSASNVHDHEQEEKDANGGFGIITMAGSNEGATMRGELDLMSMENHKLGMKQDNEESLPPLTTCLNGNFQGVNNSIMVGGSYSTNDPGIHLNVDDHYEQHKGEFGLRKYGKKGKKKAHSESSKSDHSN >cds-PLY72402.1 pep primary_assembly:Lsat_Salinas_v7:3:187779730:187784453:1 gene:gene-LSAT_3X111900 transcript:rna-gnl|WGS:NBSK|LSAT_3X111900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTDLKNQDPKQGTAPIDNNLTTLDSRFNQTLRNVQGYARVPIFQLCKSASFLTYFSKSLGFIKGRSFPGKILITRSDPADFTILPSPRVDSSPSENITGSSKHNDDTNEDQVHRSKPDANELKSTISNSENTSKETPRVTMSTRATDTARIMKFTKELGGVNVILDKLRELAWSGVPHYLRPKVWRLLLGYAPPNSDRREGVVGRKRAEYFDCVAQFYDVSDNERTDEEINMLRQIAVDCPRTVPDVTFFQQPEVQKSLERILYTWAIRHPASGYVQGINDLATPFLVVFLSEHLEGSVDNWRISDLDQETISNIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKDLVTRIDEPIARHMEAQGLDFLQFSFRWFNCLLIREIPFHLVNRLWDTYLAEGDALPDFLIYIFASFLLTWSKELQKLDFQEMVMFLQHLPTENWGHQELEMVLSRAFMWHTMFNNSPSHLAS >cds-PLY95591.1 pep primary_assembly:Lsat_Salinas_v7:6:138047991:138050131:1 gene:gene-LSAT_6X83501 transcript:rna-gnl|WGS:NBSK|LSAT_6X83501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSEEAIRTSLGVAGNITAVTLFLSTVPTFFRIWKKGSVEQYSPVPYLASFFNCGLWVLYGMPFVHPHSLLVVTTNGAGVAIELIYLVIFLMFSDTRKRFRVALVMLVEILVCGGLVLLVLTLVHTTHKRSAIVGSISVAANILMYASPLSVMKLVITTKSVEYMPFLLSLFCFSNGLCWFLYALFPFDPFIAVPNGIGALLGILQLLLYATFYKSTKQILEARKEKSEMGLAVMEKGNVAQQSHP >cds-PLY82901.1 pep primary_assembly:Lsat_Salinas_v7:6:94098446:94099384:-1 gene:gene-LSAT_6X61681 transcript:rna-gnl|WGS:NBSK|LSAT_6X61681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDLHIYDDVHALFANIGWERLLGADFGTCPLLTREFLDTPSEVNHEGNFAFLIFSTPHSVHVDQLCTFFHTSATNLAQPAPAFDVRDFRQSITGLEFYDSSQTVQTSIVRPVLKIALKIICNILYAQVETMKTDKAEIFILWCMVTGLYRPHFGDLNIKRFHRILNLRTSSAIRCGGLITVISRTLVEQSPPKYTFFTGESFRLTLQNFQTMHMLRSAPGVYLWMQGRSTYFKVIGPDDIALADPISETVWFLPSNLPPPLRPRRSQQAPRLHSDRPSMSTMHPDYTDATPIYYSHPDPMQTDFQDLPPPT >cds-PLY64949.1 pep primary_assembly:Lsat_Salinas_v7:8:160768997:160769943:-1 gene:gene-LSAT_8X107360 transcript:rna-gnl|WGS:NBSK|LSAT_8X107360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNQAIQTARATRESAVRKATQLQQELEVLKKRNQKSAPVVGFLLKLAIIAGIIGILVVLLLLVPFSMMLFLHLETIYSNSGTFSSLKSTTWKALKMCILSSVLDKCLRLLGFESAAPCDTSCKLMHGFHSWISDKVQGTEHKF >cds-PLY84188.1 pep primary_assembly:Lsat_Salinas_v7:3:146241471:146241752:-1 gene:gene-LSAT_3X95661 transcript:rna-gnl|WGS:NBSK|LSAT_3X95661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSRSSSFWRFDSPLVYLFGGIAAMLALIVVALVILACSQRHRRPAAISDQDVESGKAVMFHDEAYSSPKIVVIMAGDEIPTYLATPAGVN >cds-PLY78732.1 pep primary_assembly:Lsat_Salinas_v7:9:49452901:49456745:-1 gene:gene-LSAT_9X41781 transcript:rna-gnl|WGS:NBSK|LSAT_9X41781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLHRGVLGGGRPSGNIQDYVEDSQKKVKTDKEDLDKSSKLPSDYASLSLRNPFQFLFSDNSSSKQDTIENGILVSDPLTSIRNRHMLTLLFLKVTLVAIVILGLSMSFLWTISLTTTSKGQMIRGYRRLQEQLVSDLWDIGELSLGSTKFKESEFCSLESENFVPCFNITENLEMGFTEGKEYDRHCGPMSKQNCLILAPPKYKIPHRWPTGRDVIWIDNVKITAQEVLSSGSLTKRMMMLDEDQISFSFASSMVDDNIEDYSHQIAEMIGLRNESYLVQAGVRSVLDIGCGYGSLGAHLFPKQVLTMCIANYEASGSQVEIALERGLPAMIGSFASKQLPFPSLSFDMIHTAWDGVEWDKKDGVHLIEVDRVLRPGGYFVWTSAYANTQARDKQNLKRWDFVRNFAKDLCWDLLSQQDKTVVWKKPSKKTCYASRKHGSGPLICKEGHDVELPYYHPLESCIGGTRSHRWIPIEERSTWPSRASLTSKELSVHGVLADDFMEDNLNWRSLVRDYWSLLSPLIFSDHPKRPGDEDPIPPYNMVRNVLDMNAHFGGFNSALLDAKKSVWVMNVVPTTGVNHLPLVLDRGFVGVLHDWCEAFPSYPRSYDMVHADGLLSLETNKQSRCSLLDLFFEIDRLLRPEGWVILRDTSALIESARGITAKLKWEARVVEIDSNNDEKLLVCQKPFLRSQINTI >cds-PLY93798.1 pep primary_assembly:Lsat_Salinas_v7:6:147669728:147670327:1 gene:gene-LSAT_6X88540 transcript:rna-gnl|WGS:NBSK|LSAT_6X88540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASHSIIIFIATLVVLATSISAKEYIVGDKSGWTLDFDYQSWAKDKVFIVGDTLVFNYASGAHTVMKVNGTGFQQCIVSSSNGTLTSGRDIIPLQTPGRKWYICGVGKHCELRNMKLFITVLPQTMAPAPSPVATSASTKLIIPTVYGIVVALFGSILLLFV >cds-PLY95160.1 pep primary_assembly:Lsat_Salinas_v7:1:94112196:94115426:-1 gene:gene-LSAT_1X77921 transcript:rna-gnl|WGS:NBSK|LSAT_1X77921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANNGVAKEGGLIVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVSRLGGKSAFVGKLGDDEFGHMLAGILKENGVSGEGINFDKGARTALAFVTLKADGDREFMFYRNPSADMLLTPDELNLELIRSAKVFHYGSISLIVEPCRSAHLKAMEVAKDAGALLSYDPNLRLPLWPSPEEAREQIMSIWDKAEVIKVSDNELEFLTGNNKIDDECAMSLWHPNLKLLLVTLGDKGCNYYTKHFHGTIGAFAVKAVDTTGAGDSFVGALLTKLVDDHSVLEDEGKLRELLRFSCACGAITTTKKGAIPALPSVSEVHTFLDASHN >cds-PLY97731.1 pep primary_assembly:Lsat_Salinas_v7:1:201872909:201879647:-1 gene:gene-LSAT_1X122260 transcript:rna-gnl|WGS:NBSK|LSAT_1X122260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSDPPFQEFYKKLFESHARNKNDFMILDVAEERELPMIDLGVEEEKCKMEIAKASQEWGFFQVINHGVSLDVLEKMRCEQVRLFHRPFHEKTKEGSEKFNFLAGSYQWGTPSATCLRQLSWSEAFHVPLAGISTTDDISTLSITTKEVATNISNLAQKIAGILAKQLGHKPNFFERDCSTTSCYLRLNRYPACPISLEVFGLMPHTDSDFLTILHQDQIGGLQLVKDGRWISIKPNPEALIINIGDLFQAWSNNIYKSVEHRVVANKNVERFSTAYFFCPSYETMIQSCFENSVYREFSFGEFREQVQEDVKIHGYKIGLPRFII >cds-PLY82571.1 pep primary_assembly:Lsat_Salinas_v7:2:184785079:184789216:-1 gene:gene-LSAT_2X108061 transcript:rna-gnl|WGS:NBSK|LSAT_2X108061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGERRVLTLGGKGSTLSSDSVFEFSTASPPQLLQIDPSALARLSSSPSNHKPNSASAPSKLQLSVPDFLTPEEARASILLLLYKHLLSGSPSSSAVSQLSDILSNDTKTLTLDLDFDKHANIDMLRGCWPNITVNGICALLDYTASSLTIVADAVAALSCEALKADTSTALNLFTDSGDGSSDKDMASVASDLKVLLNGSKFRGTLQCDQVDNIPIIHGRLRSLSKSVHQSTRIALNSTLLANGSVSEDLSTLFSSLAFAIKSLGESSWLRANTLVKDNIFPDLAKSFNAGCPGLDILEASVMSSVTKKMKKNYIESLHEIYALFEAVRKILSWEATVSFISLEGSELMENGERSIKGQEGVDGGNVKPDKKKDKKKKVMGKGTSVLMQFIKDTLQIKVFDTSSLVEKVAQGFLSFLDLKDPNFKSLLDKVKEVVDSNESRRLPKLAKGTRDFAKEQMAVREKAFTIIGNVFKRHGAMALDTPVFELRETLTGKYGEDSKLIYDLADQGGEICSLRYDLTVPFARYVAMNGLTSFRRYQMAKVYRRDNPSKGRYREFYQCDFDIAGDDTIAADFEVVRILVELLDELNIGDYEVKLNHRKLLDGMLEICGVPSHKVRTICSSIDKLDKQSFDQIRKEMIEEKGLDVDTVEKIGKYVCLKGHPLTLLSELKKEESVFLKNEASNQALKDLEKLFECLDKRCVDKVVLDLSLARGLDYYTGVIYEAVFKGATQVGSIAAGGRYDNLIGMFGTKRVAAIGVSLGIERVFTIMEEQQKVENQVIRASETQVLVSVLGDDVGLASKLVQMCWDAKVNAEFMANKRLNKHFDRAKEFGIPWMVIVGEREIKEGIVKIKNKEVNIEQEVAMTDFVDELIKLMNTR >cds-PLY91450.1 pep primary_assembly:Lsat_Salinas_v7:MU039439.1:369592:369968:1 gene:gene-LSAT_0X16740 transcript:rna-gnl|WGS:NBSK|LSAT_0X16740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCVIYFTTDIVTDEENKKHCFKSLELEDLDGISTNILGLEFGWNLNSIPLQHLVADGFHELKSTKSID >cds-PLY81926.1 pep primary_assembly:Lsat_Salinas_v7:8:121888281:121890290:-1 gene:gene-LSAT_8X84160 transcript:rna-gnl|WGS:NBSK|LSAT_8X84160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPPILQMHLSINTPASSLLSFSSQIVSDPTHLFLTSSFSRLSIAKPPPSTTIRMGGGPRTFPGGVSKWQWKRMQAKKAKQLLRARLARERQVYEMRKRAELSAAISELERPWEVVEKAPKLFSTSADEQLKVLADRFQKPGGFDLWSEKDGPQLFETVDGIPSARFFPKGVVHSIKPYGRITDGYSPEEPDLVHRDEHNEKSRKFEKNNTGIGYPFPDDDSGLILRNGHDESSTNANAKSTKKDSRRRIRTGLDSGRDGVNQKDSGSIWRKDRKRGNGRTEHSASNSEVFDMSLQDDGSYGILKEEMKITKNRQEHRGNEIC >cds-PLY91503.1 pep primary_assembly:Lsat_Salinas_v7:7:141483882:141484235:1 gene:gene-LSAT_7X84660 transcript:rna-gnl|WGS:NBSK|LSAT_7X84660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIASLEKFLQERIKVGGKAGNLGDSVTISRKKNKIFVTSDSNFSKRFLGCNQDLVSFDRNWWLIQVQDN >cds-PLY81528.1 pep primary_assembly:Lsat_Salinas_v7:2:127204886:127205089:1 gene:gene-LSAT_2X59540 transcript:rna-gnl|WGS:NBSK|LSAT_2X59540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWELVGLLLLEGGNMGSSGPASPSQSTVVVVSPVRLPVVVPSDLPAGVQAGRSNAPTRKRQSLRVVS >cds-PLY74788.1 pep primary_assembly:Lsat_Salinas_v7:6:118697557:118698403:1 gene:gene-LSAT_6X71020 transcript:rna-gnl|WGS:NBSK|LSAT_6X71020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTIVTHGNALNQGTARGAAVGFRLDSLLLLGLDWVQVQLKYLAEEMQAISKGLEKVVQELSMAKNDGPVSEKFLKLRLRIIIKQKPCVGVGPLCNAQQVSMMA >cds-PLY81649.1 pep primary_assembly:Lsat_Salinas_v7:1:111276494:111278798:1 gene:gene-LSAT_1X89641 transcript:rna-gnl|WGS:NBSK|LSAT_1X89641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METVRVNSKSMRHVVFIPYPAQSHIKCMLKLATLLHHKGLLITFVNTELNHKQLLNSGGLKSFDDETGFEFKTIPDCVSVPEGSPRYQYEVSKSVLTKLLAPFLDLVDTLESPVTCLIADGMMPFTVDAAEKLKVPIMHFWTFSACAFMGYYQAPHLIEKELIPLKDESYLTNGYLDTAIDWIPGLEGFRLKDLPGYIRIANPNDEDYNFVIACINATRKVPNIIIHTFEELESTVIKALQSMIPHVYTIGPLELLLNPINLEEETTKLDIKGYSLWKEEDDCLKWLQSKEPHSVVYVNFGSLISVSLEQLIEFGWGLVNSNQDFLWIIRPNLVVGESSTLPSTLKDMIKKKGFIASWCSQEKVLNHPSVGVFLTHCGWGSTIESLSAGVPMLCWPYLWDQPTNCRKICTEWEVGMEIGSNVNRDEVEMLTRKMIKGPKGKQMRNKAMEWKKKIEIATGHNGSSSLNVETLANDIDMFSRN >cds-PLY71112.1 pep primary_assembly:Lsat_Salinas_v7:5:195935887:195937755:1 gene:gene-LSAT_5X87481 transcript:rna-gnl|WGS:NBSK|LSAT_5X87481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQNNYLSGFIPTEIGNLLNLQTFNSLSGKIPTSLGNLRSLLNFNVSNNFLAGPIPSNGVLDQFGTASFLGNHGLCGKHINQLCKDDDGSSTGSQPTGSQNVKKNSGRHCFLLHLCVSRVVFFTKKLGKNDAKGLAVDVGGDEESHITTIVAGTFGYLAPEYMQSGRATEKTVYRERP >cds-PLY62501.1 pep primary_assembly:Lsat_Salinas_v7:1:82762141:82764535:1 gene:gene-LSAT_1X69340 transcript:rna-gnl|WGS:NBSK|LSAT_1X69340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSLFTQCLPGLVPHDRVAQSMSITSDKEMHLPSFAVEILPSKMGYPYRYAGENIDCQEVSLFKGRVSVSDIISSELKSSKPDASLKSWDSSFDLVNILKHEIRDGQLSFRGKRVLELNCGHGIPGIFACLKGASTVHFQDLNAETIRRTTIPNVMSNLEQERDKQSKQPESPLTPSRQILSPTVHFYAGEWEELPGVLSVVEKNVLEASPVINLSFSEDDFIDRCSYSSHDGGSSIMGQEHSSRKSTGSRVWERANESDVGGGYDVILMTDIPHSGTSLKKLYTLIKKCLKPPYGVVFVATKKNYVGFNSTARQLRSLVDEEGIFGNHLIKEMTDTEIWKFFLK >cds-PLY81363.1 pep primary_assembly:Lsat_Salinas_v7:4:36818115:36819365:1 gene:gene-LSAT_4X23981 transcript:rna-gnl|WGS:NBSK|LSAT_4X23981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPPKAYRKIEPIPNLFQDVIDRLITMQILTAKPDSCVIDIYNEGDHSQPHIWPHWVGRPVCVLLLTECELTFGKVISVDHPGDYRGCLNLSLSPGSMIVMEGRSSDIAKHAIPSIRKQRVLVTLTRSKRVTHGPRVGVGPKHYVPAPTAAPPPGNVFAPAMAFPAASGVGGWTGAPVTLRQHAPPGTGVFLPPGSGNSTEEQQFEEKEGKKHEDENGGDDHHKKQNGGGD >cds-PLY83519.1 pep primary_assembly:Lsat_Salinas_v7:8:189110436:189116117:1 gene:gene-LSAT_8X122461 transcript:rna-gnl|WGS:NBSK|LSAT_8X122461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTVDNERCDSMSMESKRPSTAAMNNNNNRNHIQKLEVYNEILLRLKESSSDEAKEPGFDDELFAHFNRLPTRYALDVNVERAEDVLMHKRLLSLAHDPANRPVFDVRLVQVSQSSEDSVDCSSPGKQTTQSHPPPAFGSAPNLEALALEANGNNSVNSHIDIPRPMHEITFSTDDKPKLLSQLTSLLAEIGLNIQEAHAFSTVDGYSLDVFVVDGWAFEETEELRDAIERKLSKLEKQSWKDEQDQRGKSCQIDHLRIPNDGTDVWEIDPQFLTLDHKVASGSYGDLYKGTYRSQEVAIKMLKAERIDTDMQQEFAQEVYIMRKIRHKNVVQFIGACTKPSSLCIVTEFMSGGSVYDYLHKQKGTFKLPILLKVAIDISRGMNYLHQNNIIHRDLKCANLLMDENDVVKVADFGVARVKAQTGVMTAETGTYRWMAPEVIEHKPYDLKADVFSFGVVLWELLTGKLPYEFLTPVQAAIGVVQKGLRPTIPKNTQPKLAELLGKCWQKDPALRPDFTEIIDILKKITIEVENAEDRRTEKGSGRFLSLLRRGHH >cds-PLY96138.1 pep primary_assembly:Lsat_Salinas_v7:3:100530417:100530898:-1 gene:gene-LSAT_3X73760 transcript:rna-gnl|WGS:NBSK|LSAT_3X73760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDPKSHLLCESTSSKRKQATPYTFSKKTKKHPLLSNSVLLPVVILMLFLAPIVTESVARPGFVYTKTRGRCTPRYWSNRQEAWPRIIQLGIVCFKAYKRYQYDMTLLEATSRNDDGENVYARLVKESTTTLINSYTKKEYTLQEDKPS >cds-PLY66945.1 pep primary_assembly:Lsat_Salinas_v7:7:20872268:20875941:-1 gene:gene-LSAT_7X17780 transcript:rna-gnl|WGS:NBSK|LSAT_7X17780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDIGNENCAIAVAKRSGIDMLLNDESKRETPAVVSFGEKQRFLGSSGAAFATKYPKSTISQIKRLIGRKYKEPSMQEELKLLPFETTEGPHGGVLIHLQYLDKTWTFTPVDILGMLFAHLKQMTEKNLESPVIDCVIGIPSYFTDLQRREYLHAASIAGLRPLQLVHDCTAVALGYGMFKTDFLKKGPTIVLFLDIGQCDTQVTVAAFEKGKMEILAHSFDPNLGGRDFDEVLFSHFATQFKQQYGIDVYTNVRASMRLRTACEKLKKVLSANAEAPLSIECLVDDKDLVGFITREEFEKLSAKLLQRVSDVCQKAIKDSGLSADKIHSIEVVGSGSRIPAIMRKLTSVFDKEPMRTLNGSECVARGCALSCAMLSPTCRVKDYKVKDIFPYSVGLRFDDGENKRHPELKPFPKGSPFPTNKTVPYHGNITFCCEVFYTNKMDFPSGISPLVGRFRISPHLTSGEKSVKATVNVQLNAHGIFEIKSVSFLEVLHPLFDYSKLYSLMMMYANNLMKNGAAGYNTTGSTLRNAHSAVEPRSRAREQKLLVSENYHVMTTIDEIHKAQKRAEMFADQDIKVEKTKEKRNTLESFIYDTRSKLFSSFRSLATDSEVEIISKRLQKTLEWLYEEGDDESEQVYIRKLEHLEKYLDPIENRYTDEKVRQEATRALQTCIKKNRLAADQLPPSQKEEVNNACIQAEWWLNQLSHLQDSLPKNATRIYCLSSISGITQAFKRSCEVIFGSKLPLPKYEDPVESDRKQNPNEMQVDS >cds-PLY70462.1 pep primary_assembly:Lsat_Salinas_v7:1:72410501:72413377:-1 gene:gene-LSAT_1X61561 transcript:rna-gnl|WGS:NBSK|LSAT_1X61561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLQWLLFFVATTFTTETMGAPNKTPKLSPIDPRLRDLNIDNLATVSSDLETFFYNQTLDHFNYKPESYTTFPQRYVINSKWWGGAHNNAPIFAYLGAEGAIDGDIKILGFLPENAPRFKALIVYIEHRFYGQSNPVGSMEKSVKNKNLRGYFNSAQALADYAEVLEHLKKKLHAHNSPIIVMGGSYGGMLASWFRLKYPHIALGALASSAPILYFDNITPEDGYYSVVTNDFREVSENCYTTIRKSWDEIEKVASKPNGLAILSQKFKTCSPLKDPVDLTNFLDSTYASAAQYNAPPKYPTTQICQGIDRASKTIDILDRVYAGIVAYQNKPCYNMTQQVSETSIGWQWQTCSEMVMPIGITSKVSMFPSSPYDLQEFINNCKKLYGVTPRAHWATTYYGGHDIRLVLSKFASNIIFSNGLRDPYSSAGVLEDISDSILAVKTTNGSHCLDILSSKEGDPEWLVKQRQEEVQIIKRWFGKYYHSLRVLKQ >cds-PLY93671.1 pep primary_assembly:Lsat_Salinas_v7:2:201269150:201274018:1 gene:gene-LSAT_2X121880 transcript:rna-gnl|WGS:NBSK|LSAT_2X121880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal nicotinamide adenine dinucleotide carrier [Source:Projected from Arabidopsis thaliana (AT2G39970) UniProtKB/Swiss-Prot;Acc:O04200] MSDALINGLAGAGGGIIAQLITYPLQTVNTRQQTDRDPKKEKKKAGTVEQMYQVVQHEGWGRLYGGLTPSLVGTACSQGVYYYFYQIFRSKAEATALRRKKEGAGDGSVGMLSSLMVAAMSGCVNVLLTNPIWVVVTRMQTHTKKSPSNKVEPIVGGNEPPPFGTSNAVQEVFDEAGVWGFWKGVFPTLVMVSNPSIQFMLYETLLKNLKQRRALSNSNKGVFLLGALAKLGATVVTYPLLVVKSRLQAKQAIGVDKKHQYKGTLDAILKMIRYEGFHGFYKGMSTKIVQSVLAAAVLFMVKEELVNGARWLLLKEAAKSKPS >cds-PLY92783.1 pep primary_assembly:Lsat_Salinas_v7:2:149072340:149075066:-1 gene:gene-LSAT_2X75001 transcript:rna-gnl|WGS:NBSK|LSAT_2X75001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFLGSSGDPSDSYSDRCSKWIPCLSDPPKRSALCMQLMLVVLHLVFLGFLFIFDSEFMEKLKTLSWSTICYLLLFVATIVQYLYTSGSSPGYVLDAMREFARAEASLRASETSNKDNLLQAKNDSVVVTFDGNQRGENILGNNRMNWTKMVMDLYPSGTAVRTCTCTFCNVVQPPRTKHCHDCEKCVLQFDHHCVWLGTCVGQGNHCRFWWYIFEEFALCIWTGIWYINYLNDHVKDSWVKDIFVIIMLAILAISLIFLFLLLVFHTYLIMTNQTTYELVRRRRIHYMRSIPERVHPFSKGVCRNLYDFCCARTSNYRMEALPFSQGVDQMSIP >cds-PLY77208.1 pep primary_assembly:Lsat_Salinas_v7:4:266984369:266986922:1 gene:gene-LSAT_4X137940 transcript:rna-gnl|WGS:NBSK|LSAT_4X137940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGTKIVGALVGSFVLAFTCDYIIADKKIFGGTTPSTVSNKGWWEETDKKFQAWPRTAGPPVVMNPISRQNFIVKAHD >cds-PLY93013.1 pep primary_assembly:Lsat_Salinas_v7:4:193097371:193100208:1 gene:gene-LSAT_4X111040 transcript:rna-gnl|WGS:NBSK|LSAT_4X111040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTWIVAVAAGAGYIAQHFRKCKQTGEQITSESPSFNSSFNDKPNSPKYPPDRPNSPKWPFRRSTKKQDDSKVKSEVSQGVSISTTEVTSTSVNGVISNNCEGNSVFSPSTMFPRFVGSDNRVSEETMGTPRSRMLLRNRRAIKPLTSLESCVMAQLHTPFASPSSKTTRQFLVTDGNKVINRSSGVTFLGSSGIKQNDSDAMLHVCIGMSFGILYSFMANRREVEKLNRLLKQTESLVQDLEEEIEMKDSLVMQELTIDDHKSQRTDDGYNNLEELTDSNNKESVVIQKAKDESFSEIEAELEAELEMLELNMTSSTLERRISDLFEVDPDFEPDVAQGELRADMVMDKRNEDESGSRSRSTTGSPPCANYAVSPRELSLRLHELLQWQLEDRIRELEAEIESKNTQNHKSWKDLSSSDENPNPNIVDVEDEPVVLNLSGEALDAYNEACNEFAKFDDDSDENDDDDDDGTPIINGGSQEDDDDEDEMEKLLIKHIVEKARQGSPMVLNAQRALFSE >cds-PLY76725.1 pep primary_assembly:Lsat_Salinas_v7:8:295046726:295051094:1 gene:gene-LSAT_8X163300 transcript:rna-gnl|WGS:NBSK|LSAT_8X163300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLLFNAYSTNTDEDEENEKHPPPPFKRAKPELPHTSINFDHGKHISTESSISGRYISKRERALMASAPPQIPNPDPPSSSACSPVQGSILDSSIRKDILSSLRNPKGGGNANSERKSTALIGHTKAVNALQWSNSHCHLLASCGMDSSINIWNVWSNEQKKARVLNVHSAAVKDIKWCEKGFSLLSCGYDCTSRLIDVEKGIETRVFKEDQVIGVVKFHPNNSNLFLSGGSKGVIRLWDIRTGNVVNQFSRGLGPILDVEFMNDTKQFISSSDESKSNVSENSIIVWDVSRQLPLSNQIYVEAYTCPCIRHHPYDPYFVAQSNGNYIAIFSSKSPFRLDKYKRYESHFVSGFPIKCNFSTDGKKLASASSDGFIYIYNTKSCHLINKIKAYEQACVDVAFHPILSNVIASCSWNGEISVFE >cds-PLY65063.1 pep primary_assembly:Lsat_Salinas_v7:1:117908604:117910850:-1 gene:gene-LSAT_1X87081 transcript:rna-gnl|WGS:NBSK|LSAT_1X87081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSYYHSSDYCYDIVLPSYFGNRSSSGLSVNLTNQNIESLMYFCEKIVDLEDQDKYSGPMVWIGIYISIASFFCILAMVADLFNGFQKRKFWFPSKYFSLNAVSITVIAVAMKIPVDLSSQMPGWVDQAAKQGSMVFMCMMMANLMPSLASMDNKSLLANVIGFAILIITIIVNMFMEINTGVIDRGYFFVALLLFLLIILISSAISLPISKQILQLKYQAISKITLHDHCPEDMFAIEKLRQHVKRYWIMAETGSPQFVMASNPLSCASGVICVTGLATYILLLVLNFMFPIYIGYQSDYKWSMVVIVITQSIGIVVGVISPMFRCFTVVSFKSFTRWNRNHLEVFKVEKYWTQQLCEWKESHITFLSKGPRSRSLMRNLKKHILSAWIGFQKVVVVTCKIIGLIPIVVLLIFMYCSYYLKSMKGMMLNPPSGSSTHYIDEDLSNYVLLLEDNMEFAERTLKRISNSMNCVMQKAEKEQDNNLLMFLEKSTGFEGVEKFDIYQVQPLLSIELPNTWSLPIVTLTCIAISLPNIGKDATNNLFKCVGGGLFYTHIVEESLNNALKYVNIQKAAMTLWDEVEDNYKWLENTLEKRAYDGKTSREILEWFSHKAEEIVIEVSKSTNGGEPVEYLPWKLIVANSMYRITQTIMLTYQSDILEINEEWLFTLLNHMIADILVACFTNIPRVITMKCHESAIEKREASVEAVAKLLGRTTEIIKRLEICELPSIHHEKMAFIDEWRLHLQRIP >cds-PLY84110.1 pep primary_assembly:Lsat_Salinas_v7:6:188561825:188563730:1 gene:gene-LSAT_6X114300 transcript:rna-gnl|WGS:NBSK|LSAT_6X114300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSAKAHRNGIKKPRKHRHTSTKGMDPKFLRNQRYARKHNKQAGAESTQEE >cds-PLY87128.1 pep primary_assembly:Lsat_Salinas_v7:5:262051676:262053899:1 gene:gene-LSAT_5X133981 transcript:rna-gnl|WGS:NBSK|LSAT_5X133981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIIKAHKLFIEMLMTDHAQEQEMEIEALKAILMDEFEEIHPSESGLNTSNRCFLIKLSLQDDETNESSTSPVQLGLIFSHTEKYPDEIPLLNLKSIKGIPASDLKVLKEKLEQEASENLGMAMIYTLVTSAKEWFTERFDQDTDNDNIKEETANKDEIIVPRGEPVTVETFLAWREKFEAEAALERAKVLTESALATTKEKKLTGRQWFQSGRASARHATPPIMEGSNDEDDDDDFDDDSEDDEDMLDHYLAEKFDSSNN >cds-PLY62412.1 pep primary_assembly:Lsat_Salinas_v7:5:36025719:36026448:-1 gene:gene-LSAT_5X16580 transcript:rna-gnl|WGS:NBSK|LSAT_5X16580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALFFFLLLLISHREQLLRIFLRLREIGQAGGYPRLTSLYKNPLPRGEHKLQDEYVLDYRIHSRPDPPCYFFQNKKRFKERARNDLIKDHKVLKWPDRGGGSRKANCLLAGSCMSGNVHVRLRENGGGQKWPCCTSLSSSMGSALSFLGEYANMILMRCGALHLTFVGLPSSGACVPAFLCNKPLRAKTSGRWSRGAFGKG >cds-PLY99204.1 pep primary_assembly:Lsat_Salinas_v7:4:368085714:368086366:1 gene:gene-LSAT_4X180340 transcript:rna-gnl|WGS:NBSK|LSAT_4X180340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVITRNDQLQAVLFAAGTAVVFACLERAVVVSIFKQWHMWVFLALNLLLIAILFTSTHPPRNSSNPPSKSQETDGTSKTEPPAPAAEANHHEDVFDDGEDELKLSNEEREECNFEEEEDEKSSSLLSNDELNERVEAFISRFRQQYLVSDVKISRTRSENVCTSGVFSKTITV >cds-PLY65148.1 pep primary_assembly:Lsat_Salinas_v7:9:159832601:159834464:-1 gene:gene-LSAT_9X99940 transcript:rna-gnl|WGS:NBSK|LSAT_9X99940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSQTQILVVYLGNKLDSNANGVYHVNVSIHYYPPEKKTKTKTNIETTTLHVNSDHVYKEWADLIIPISQNLPLDDALWFKIYNSNDVKTKQFVIPPNAYRAVLEVYVSVDEADVLWPRNLPNDYISSNNLTGRPGNGPFREVVVSLDGKIVGAVWPFTEVFRKAINPYLWGQISAIGSFDLPSYDIEITPLLGSLLNGKLHDFAFSVTNGLNVWLIDANLHVWLDAKSEKTKGMLLNQTISPLHESLKSNFMGLNGTFVTSVQRSIKSSGWVESSFGKIVTESTQDFNLSNIMVMGHEGGSQTVNQTIEFNDSVDSKVASSVHNTKSLKSFYFYLYTDAMDKVDGTDEEEENVTLGFNEKKIDNFGSKSSSSVLENLQSGQGSMILRNNVMTTGMGNSQQNYSYSDDKLCFFRNISRASYTIIYDKEGDTCTKPKKSRFDFQWVKVGIFLLEIFF >cds-PLY93165.1 pep primary_assembly:Lsat_Salinas_v7:6:5638978:5641176:1 gene:gene-LSAT_6X1841 transcript:rna-gnl|WGS:NBSK|LSAT_6X1841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPIAARDVAVIGAGAAGLVAARHLRREGHFVVVFERESQVGGTWIYTNKTEPDPIGVDPNRPVVHSSLYASLRTNLPREAMSLREYPFVAKKTGHRDPRRFPGHREVLEYLKDYATEFAIGELVRFDTEVSRVSQGENGKWEVRSIKRKEEEHHLDEAFDAVVVCTGHYTDPRIAQIPGADRWPGKQIHSHNYRTPEPFQDQVVVIIGSSASAVDISREIASVAKEVHIASWSTSNEEDQSLPGFTNIWLRPMVESANEDGSISFQHGFKISADIILHCTGYKYSFPFLDTKGIVTVDDNRVGPLYKHVFPPSLAPWLSFVGLPWKIAPFPQFEFQSEWIAGILSGRISLPSPDEMMKDVEAFYASLQASGVPKRYTHNMTGYQFEYNDWIATQCGSPKTEEWRKKMYSAASLGRKERPETYRDEWEDDDLVLQAYQDFTKQISFKGESY >cds-PLY93553.1 pep primary_assembly:Lsat_Salinas_v7:2:173292937:173299939:1 gene:gene-LSAT_2X95941 transcript:rna-gnl|WGS:NBSK|LSAT_2X95941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELGSILNFLGNKTIFVTGVTGFLAKIFVEKILRVQPNVKKLYLLVRATDAKSAMHRFNTQVVEKDLFKILKEKYGTNLQSFLSEKVTPVAGDITYENLGIKDYNLIEEMWENIDIVVNVAATTNFDERYDIALSLNTFGAKNVLNFAAKCIKIKLLLHVSTAYVSGEKPGLILETPYRLGDALNGADGPDINTEEKIIKDKLKELNYDKTATDKSIMLAMKDFGIQRANKFGWPNTYVFTKALGEMVLGHLKGDMPLVILRPTIITSTYKEPFPGWMEGLRTVDSLIASYGKGKLACFLGDPESILDVVPGDMVVNVMIAAITAHANQPYETIYHVGSSTSNPLKYKEIQKNAYNYFSKHPWINKDGKLVIVPEVKVLNSMSSFNRYFNLHYVLPLQAFQVMNMALCHAFNGTYENLKRNINLVLRLAELYKPYLFSKSL >cds-PLY62405.1 pep primary_assembly:Lsat_Salinas_v7:5:309642559:309643471:-1 gene:gene-LSAT_5X168621 transcript:rna-gnl|WGS:NBSK|LSAT_5X168621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAKSKKGAGGRKAGGPRKKAVTRSVKAGLQFPVGRIGRFLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHLLLAIRNDEELGKLLGGVTIAHGGVLPNINPILLPKKTAAKEPSTPSKAAKSPKKAKKAE >cds-PLY65476.1 pep primary_assembly:Lsat_Salinas_v7:8:32450017:32451787:1 gene:gene-LSAT_8X25540 transcript:rna-gnl|WGS:NBSK|LSAT_8X25540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISILKYSTIFSIFFILMMPLLILILLYRQYQKQRSGIPTNWPILGMTPSMLINSHRIYDYATELLLHSGGTFKGKGPWFANMNPLLITNPLDVHHVLTKNFSNYPRGDTFRNIFRFLGDGLITSDGKLWEINHKVTISALKHACFQGMLETVVWNKVENGLLPILESISEHHTEVDLQDIFQRFTFDTMSKVLLDNDPECLSLDFPYNPCLKAFTKGEEALIRRVVTPQSLWKLQQLILRVGKESKLNSAGYTLNNFVYKCIAQNQNDYDKMINGEQQEGKFKFFTALMRELKDIQIDTSVHPTKFIRDVLITLMTAGKDSTASALSWFFYNVARNPTVEGKILKEIHTHFEGKVGQRWNTEELGKMVYLHGALCESLRLFSPVPFNYKSPLRQDILPSGHQVDLNTKIIISFYSMGRMKSVWGEDCMEFKPERWISHKGGIKHEPSYKFTTFSSGPRTCVGKKMALTQLQIVSSIIIYHYHFELVEDHTVLPAGSMVLSMKHGLKVKLTKRSEMN >cds-PLY78955.1 pep primary_assembly:Lsat_Salinas_v7:3:70285969:70286391:1 gene:gene-LSAT_3X55741 transcript:rna-gnl|WGS:NBSK|LSAT_3X55741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSCKEVDYYLHNWYFELGTSMKKLTLLLYLLSCSIGSVIQEIWSLPGPDEKNGITPHGLVENDYGIVCGLLEVEGALMGSSRTCNQFDKDRVTLLLRSEPSNPLDMMQNGSCSILDYRFLYERADSKCEEGDGRQQI >cds-PLY74133.1 pep primary_assembly:Lsat_Salinas_v7:9:11076308:11081087:1 gene:gene-LSAT_9X9421 transcript:rna-gnl|WGS:NBSK|LSAT_9X9421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH3 [Source:Projected from Arabidopsis thaliana (AT4G16430) UniProtKB/Swiss-Prot;Acc:O23487] MLQYESLRSCLAHVTMRVLGSGRLTLMWISAVASARALIDSIILEVVLQPSRWNRLIPSKDMGDKFGLEEEDKAMIENVLGREACDYLLWSASNKLSDEFRSRTSDLGVQDGLRKILEGSDWNYSIFWQVSNSKSGKSALIWGDGHYKDSTETQAGGGHGTNRKKRVLQKLHSCFKGHEKGNLVAKMDLVSDVEMFYLTSMFYLFPFDKPSSPSQSFNTSRSVWVSDTRSCEEHYQSRSFLAKSARFQTLVFIPLKRGVLELGSFKSIPEDQSFIAMAKTLFNGCHPKGLPKIFGQELSLGGNSNGNGGPKSGPISINFSPKVEEDVDFGGESYEIPSRNGFGGNSSNNDNEGKLFPNHVMNGVLNSSQSIQSSSPNQDSLMDRKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAISYITDLQSKIRMMEAEKDVMGAPEVDFMARKDDAVVRVSCPLDEHPVARVIKTFREHQMVMQDTNVSTTEDGKVMHSFSFQGLAGAAVAQQLKEKLESVFSD >cds-PLY77225.1 pep primary_assembly:Lsat_Salinas_v7:4:178005750:178009864:1 gene:gene-LSAT_4X104701 transcript:rna-gnl|WGS:NBSK|LSAT_4X104701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKVAVPLVCHGHSRPVVDLFYSPITPDGFFLISASKDSTPMLRNGETGDWIGTFEGHKGAVWSCCLDTNALRAASASADFSAKLWDALTGDVLHSFDHKHIVRACSFSEDTHYLLTGGFEKMLRIFDLNRPDAPPREFVDSPGSVRTVAWLHSDQTILSSCSDSGGVRLWDVRSGKIVHTLETKASVTSAEVSKDGRYITTADGSSVKFWDANHFGLVKSYEMPCNVESASLEPKFGDKFIAGGEDMWIRLFDFNTGEEIGINKGHHGPVHCVRFSPGGESYASGSEDGTIRIWQTMPLTMNQNQKEKENSVPNGPSTTKEVVNKPITAAEIGTKLEDLKLGKPTTTTK >cds-PLY64446.1 pep primary_assembly:Lsat_Salinas_v7:3:15476785:15480650:1 gene:gene-LSAT_3X11020 transcript:rna-gnl|WGS:NBSK|LSAT_3X11020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT2G01120) UniProtKB/TrEMBL;Acc:F4IM82] MSPMDRGTAEEALVLLRRRLCDANFIFSLFSDSPDSNYSKLKFIVSSSVTEACNNSILLLGPRGCGKIAVLDLVLEDLLKEYPEMISVIKLNGLLHCDDNCALKEIARQLCIEHELMFSKMASFDDNSQFMIAMLRECGLAHKTVIFILDEFDLFAQGKQRLLYSLLDAMQSVTSQAVVIGVSCRLDADQLLEKRVRSRFSHRKLLFHPPPKDDLQRLLKHILLLPTDSSLPHDYITEFNSKISNILADKRFMEVIDKLSDSDSTFSNFIRFLFSAVSLMDLKSGFMTLDNFKSALSSIQRQPKLDCLKDCSILEFYLMVCMRRLETKGQELYNFNSVMNEYKSVHDSYQLQTHDYHSRSVCLRAFEHLVDRQLIEFADRGHNQTIEFRAVKLLISSFELHQGLKSNRLLPLAKIGM >cds-PLY85463.1 pep primary_assembly:Lsat_Salinas_v7:3:43028063:43029842:1 gene:gene-LSAT_3X33360 transcript:rna-gnl|WGS:NBSK|LSAT_3X33360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQSSMFVVRPMESPAIVAPRFPVAITFNSRSGAAGSENVSKLSIRRSGRRSKLVLVSALGDRSNSSTGGDGNSNSKGKAASSVPSSNYVVPLDNPSSSCITRPLAEILRDLNKRIPDNIIVKYPDSIQAASIPWYHANRMLSFYAPGWCGEIRDVIFSDTGSVTVVYRVTVRATDGEAHRESTGTVSSTPNGQITDPVAAAEEIAFCKACARFGLGLYLYHED >cds-PLY69937.1 pep primary_assembly:Lsat_Salinas_v7:4:68915431:68916310:-1 gene:gene-LSAT_4X47480 transcript:rna-gnl|WGS:NBSK|LSAT_4X47480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGEIALHGTNGKPCVEWINVAVKLFNDQLKHLVEKLNKEHNCDVKFTFINITSMSAPQEDIPNPNVPCCQVTNGQCVPNSIPCPDRDLYMYYDSFHPTEVANTIIAKRSYIALSTMDASPYDISHLARL >cds-PLY85170.1 pep primary_assembly:Lsat_Salinas_v7:9:146973702:146977368:1 gene:gene-LSAT_5X81640 transcript:rna-gnl|WGS:NBSK|LSAT_5X81640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDSDWEIHLRTLSSNARDSSFSNDPASYPSLLRSVRKLTQLCKSENSENLIARVYPQLNKIFQRSVSSISHSRSPSGLLLLVNHLXIFHRLREFADPEVAEATLDFLIINKKKILLAFPTLLPQFYPLLLKMIAWNGEKLEKPFLRVFPGLISPGSFLPLFISLVDLPVLIVALEKVEKSSGPLIGSSIASIQKSAAPEMLLALMDEAYTGSTIGDGGADSESEDNNSITSDPLFLELLKDENDGLAQRHWTSPGMESALQASISIPSDRLKQALKMAPRLLDVYFSIALHDVNQSLKCALIPMLLDRNSKLFPEKIFSYEVRKRLLNFILAAFHQSPEFVAILKKPIVDRLGEAYDSPEKTELALQLCWAIGEHGGGGASHKDAARELFESLELLLYENLSSSRLGVGDSVLGSSSSTVRRSSQSRLLCFVVTAIAKIATHHREVLPRARVSLGKVAHSRISDARVWKRARDYLGLMNEPAICLSVLGPTRPSSGSIQKPGMINWSEGRTKMIANIPFYVLGGQEGPPHHDFSLMDILPAKSATYRL >cds-PLY64183.1 pep primary_assembly:Lsat_Salinas_v7:7:2245158:2247484:-1 gene:gene-LSAT_7X1081 transcript:rna-gnl|WGS:NBSK|LSAT_7X1081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVVALRPLCDDSILPSLSVHNQDPIMIQLAMSGSMVPMRVLESESIESVKLRIQSYKGFVVKNQKLVCGGRVLSRSNSLVRDYGVSDGNVVHLVVRLSELQMINVRSSCGKEFTFHVEKNRDVGYVKRQLGREKKGLINMDEQEILCEGEELEDERLINDICKYNSNDAVIHLFVRKSGKIRAKAIKKDFEVKKYDVGVRQVEYYGSVPKEAVNRSLWLEAVIVNPKAALPGVVLELVNSTYEGLKKGNYPIRSSEGTGGAYMMMDASGSKYVSVFKPIDEEPMAVNNPRGLPLSGDGEGLKKGTSVGEGALREVAAYILDHPKGQHNNNNNNNNRSFSGRHNGFSGVPPTLLATCLHPGFNHKHPEGVEYKEKMGSLQMFMDNCGSCEDMGPNAFPVEEVHKISVLDIRMANADRHAGNILVTKTKGEDAKFVLIPIDHGYCLPASFEDCTFDWLYWPQAREAFSSETVEYIKSLDAEEDIALLKFYGWNLPSKCARVFRISTMLLKKGVELLKTPSPFAIGKLMCRENMNKQSVIEEMVQEAEDLLLPGSSEAAFIQTISEVMDRCLCK >cds-PLY65023.1 pep primary_assembly:Lsat_Salinas_v7:1:117136701:117138501:-1 gene:gene-LSAT_1X86621 transcript:rna-gnl|WGS:NBSK|LSAT_1X86621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLWPFKVIKGTNDIPKIVVDYNGEKEFFAEEISSMVLVKLKQVAEAYLGETVTDAVITVPAYFDDSQRQATKDAGYAAGLNVLQIINEPTAAAIAYGLDMKTDITHDTNVLIFDLGGGTFDVSIVTINNNGNITVKAVAGDTHLGGQDFDNAMVEHFMMQFNQKHKTDMGENIKAMGRLRVACEKAKQVLSSTIETTIEIDVLHQGIDFSMGITRAKFEDLNEDLFSKCILLVEKCLGDAEMNKKDVNDIVLVGGSTRIPMIQKMLKDFFNGKELSKNIHADEAVAYGAAILAAKLNGEMNQKVNNLVLLDVVPLSLGVSMHDGFLSVIIQRNSPIPIKKQRISCTIVDNQSTITLDVYQGERSRAMDNNWLGKFQVAVPVAPKGKLLINVVFEVDVNGILNCSAEEVTAGLKKKFRISDDKQRLSKEVIEKMLKDAEKYKLDDKEYKKKVFAHNALEEYVYDVKAKSKSIGSIDNTKIPKEELEKMENVIESANQLLDLNKLEDVDMYEKTLNELEKVCAPIIAQLVK >cds-PLY65127.1 pep primary_assembly:Lsat_Salinas_v7:1:157632965:157647127:1 gene:gene-LSAT_1X110320 transcript:rna-gnl|WGS:NBSK|LSAT_1X110320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKLHQNNLGSTVLDHHPTTARVGGGNLKFWSSCRRRIIATMRCGGGKYRHRETVMSLVMEKPKEESDWEVDMAGKSSRGRNKKGAQNATNATEQAVSSNGHSKDNLNPVEETKVEANGVPASAEIQTTQPEVNESDNVNLENQPKQGDIHLFPVPVKTQFGEKLELQLSPSDSVMDVRQFLLDAPETCFFTCYDLLLHTKDGSSHHLEDYHEISEVADITAGDCSLEMIAALYDDRSIRAHVHRTRELLSLSTLHSSLSTSLALQHENATANSGDPTAKTEVLELENLGFMEDVSGSLSNLLSSPSKEIKCVESIVFSSFNPPPSYRRLVGDLIYLDVVTLEGSKYCITGTTTTFYVNSSSGNTLDPKPTKAASEATTLIGLLQKISSKFKKAFREMLERKASAHPFENIQSLLPPNSWLGLHPVPDHRRDAARAENSLTLSFGSELIGMQRDWNEELQSCREFPHASPQERILRDRALYKVSSDFVDAAISGAIGVISRCIPPINPTDPECFHMYVHNNIFFSFAVDADLEQLSRKQSSDSTLVKSSVVNGENQNHSLNGVVDVQSEAQLAESEQATYASSNNDLKGTKAYQEADVPGLYNLAMAIVDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNQDFHSKVLEASKRLRVKEHSVLDGSGNVFQIAAPVECKGIVGSDDRHYLLDLMRVTPRDANYTGTNSKFCILRPELITAFCQAEAAKSSKSKGEPQEKEKHASPKVDTTVVEQQDQTETAETSDVKPHESEEDKTVIEESGSKTGDVAVEEDIRFNPNVFTEFKLAGSPEDIAADEESVRKVSSYLKEAVLPKFIQDLCTLEVSPMDGQTLTEALHAHGINVRYIGKVADGTKHLPHLWDLCSNEIIVRCAKHILKDVLRDTADHDIGHAVAHFFNCLFGDCQAVSVSGKAVANNTQSKNQKKEAASGKSTKRSKVGGAASSSSSSRKQASCMSLSSESLWADILEFAKVKYQFELPEDARSRVKKVSVIRNICLKVGVTIAAKKYDLSAGTPFQTSDILNLQPVVKHSIPVCSEAKELVETGKVQLAEGMLNEAYTLFTEAFTILQQVTGPMHREVANCCRYLAMVLYHAGDMAAAIMQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQSELALRHMSRALLLLSLSSGPDHPDVAATYINVAMMYQDIGKMNTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCVGAYKLSHQHEKKTYDILVKQLGEDDSRTRDSHNWMKTFKVREAQMTAQKQKGQAVNAVSAQAAIDLLKAHPDLIQAFQAASAGGASGSTNTTTVPGENFPRGSGRGVDERSARAVAEARKKAAARGLLIRPHGVPVPAMPPLTQLLNIINSGMTPENTTTGDDANTNGAVVVETTTPVGLGPGLGALDPKKNKQNATTTATK >cds-PLY78987.1 pep primary_assembly:Lsat_Salinas_v7:3:7090038:7090508:1 gene:gene-LSAT_3X4941 transcript:rna-gnl|WGS:NBSK|LSAT_3X4941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEASNRNLKIAPEQTYWAPTNLTTTPEGEEKLMQKMQISIEKLKKSGFFAAFLNQIRNSEASFHFHRVTESEHKLKMVIYGIGSIESSKSSEVQLSLAILMKKEVDWIGDVEVFDPIISLTELKVIEELGCCVLSVNEWCQREAVNPILFFMPRVE >cds-PLY77352.1 pep primary_assembly:Lsat_Salinas_v7:2:97122280:97122498:1 gene:gene-LSAT_2X42241 transcript:rna-gnl|WGS:NBSK|LSAT_2X42241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLGRCSGAHVIEFLKYLDYFGKTKVHVIGYPYFGYPNPPAPCACPLKSLNMFIGRLKATYEALLENNPSKP >cds-PLY73610.1 pep primary_assembly:Lsat_Salinas_v7:5:206267448:206267762:-1 gene:gene-LSAT_5X91821 transcript:rna-gnl|WGS:NBSK|LSAT_5X91821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDQACLGSNEIYIDQPYVVAFLVRTLRVSARVSTRVSLVVKAIETQHSRFLQNLEHELDQYEGSESENEEPNNDASETAKGRGKRGAKRKWNVYEGKKRCKEV >cds-PLY84603.1 pep primary_assembly:Lsat_Salinas_v7:1:30733348:30742610:1 gene:gene-LSAT_1X26640 transcript:rna-gnl|WGS:NBSK|LSAT_1X26640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRHRQLPLDLQDKIRRFVLYKWLATRGVDEEDILRALPLDLRRQIQRHLCLALVRRVFVSEARMATTEQPKVVTEEAKIDLFEDDDEFEEFDINRVWDGKEEGKELAQQWEDDWDDDDVNDDFSLQLKKELENNADKKKPFRASGISLQNVVTANVDEPQRRLNKKNTARLSLWGIRS >cds-PLY84006.1 pep primary_assembly:Lsat_Salinas_v7:8:38059664:38061609:-1 gene:gene-LSAT_8X30660 transcript:rna-gnl|WGS:NBSK|LSAT_8X30660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQSISGGGGGGGGGGVCGGRGLKIPSEDEVEVISILINLPNLISKSELLSKYSFTWGRKKKRSVLVPKSESSPSTHRQINESRPEKVKAAEADKSPSTPLCFLPSGSGSDGAADKPKQHSSSKKHSKRKATNDLIERYHEMQQEREILVRKIKAMENHREELIAQNLELKRKRQEINTRNMDDLHLWNNSMRMNRDQQHYHRQQQQHQQITMYAPPPAAAPPQLQQHCQQFMVDPNNGKVVAVSCSGKSNNGGRFDFFNQIDARVKIQGETFDFMTPSQPLDQSKYLAMDNDQRVRSAAEARRYRVMRMKENKNSSSAMKLSRGCR >cds-PLY80925.1 pep primary_assembly:Lsat_Salinas_v7:8:256072859:256076087:1 gene:gene-LSAT_8X150301 transcript:rna-gnl|WGS:NBSK|LSAT_8X150301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMCFMNHRGKVEERVHFQESEPLMTHRRASGWCLDDISLRKLRENMMWYPLSFIICNTKPVGYQVLKQLNFPLQLSFYDDKGDIKKAKTPWEFIPIGHKIGTHVPLFKELKDEEVEFFRNKFAGSQADRADWVVKEEAEAKKNKSGKKEKGKSLVLVKKQKQRELLWKRK >cds-PLY82284.1 pep primary_assembly:Lsat_Salinas_v7:1:67245173:67245580:1 gene:gene-LSAT_1X57240 transcript:rna-gnl|WGS:NBSK|LSAT_1X57240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSCVDSRVEEKSNHQKVGVDRPEVHPSAPSNNFSHDCFLGVGGFGHVYRGRLQGSGQVVAVKQLDRNGLQSNREFLIEVLMLSLLHHPNLVNLIGYCPD >cds-PLY86042.1 pep primary_assembly:Lsat_Salinas_v7:8:296853565:296854275:-1 gene:gene-LSAT_8X163860 transcript:rna-gnl|WGS:NBSK|LSAT_8X163860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPASILPFLLLSLLLITSTALAVAETPTVYEALQDYDFPVGLLPTGVTSYTLNKDTGEFEVKLRDTCEFSVSGYDLKYKSTISGVISKDKLTKLKGVSVKVIIIWVDIVEVTRDSDDLDFSVGILSAGFDVDQFEESPECGCGFDCDSLESN >cds-PLY98230.1 pep primary_assembly:Lsat_Salinas_v7:7:173542974:173544535:1 gene:gene-LSAT_7X103301 transcript:rna-gnl|WGS:NBSK|LSAT_7X103301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEATELLDVENMRLYTYKDLQIATGDFKPENKIGQGGFGSVYKGLLKDGKIVAIKVLSAESRQGLREFLTEITIISDIQHENLVKLHGYCVEKDHRILVYGYLKNSSLDQTLLGGNHCSIKFTWEIRRKICIGVAKGLTYLHEEVQPHVIHRDIKASNILLDEDFTPKISDFGLAKLFPSHLTHISTRIAGTLGYLAPEYAIRGQLTRKADIYSFGVLLLEIVSGKSNQNKQLPVEEQYLLERVWELYREGELKRLVDTLMGDNDDDFDKDEACRYLKIGLLCTQRLQKNRPSMSNVMMMLNDEKDLDEKDLSDPGLISELTKFKSNKNNTSGTATSSSHSGKQEDKDSSLYGDTTSSYATMNFTTIIGR >cds-PLY83249.1 pep primary_assembly:Lsat_Salinas_v7:4:146232907:146234623:1 gene:gene-LSAT_4X89280 transcript:rna-gnl|WGS:NBSK|LSAT_4X89280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQILDEFDHRRSSVNSASSSGEGVLHLAKFVSVSFKSTMDLNDLNKVWEVKPLKKVREDEAKEILEKIAKQVQPIMRKHKWKVNILSEFCPPNPALLGLNINGGAEVKLRLRRQNNEWDFFPYEQILDTMLHELCHNQYGPHNADFYNLLDEIRKECEELMAKGITGTGRGFDLPGRRLGGYFRQQQTPSSLRQKALSAAQNRAQMGTLLPSGPHRLGGDSSMKTALSPIQAAAMAAERRLHDDLWCASKSFQEPSVTSEASVSQNISSSEGEPKASSWQCGTCTLLNQPLLLTCEACGTPRIEEKKKMKIWSCKFCTLQNSVAKDRCVACGEWRYSYGPPTAVHGPYLGT >cds-PLY82949.1 pep primary_assembly:Lsat_Salinas_v7:1:18677478:18680974:-1 gene:gene-LSAT_1X16241 transcript:rna-gnl|WGS:NBSK|LSAT_1X16241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPPPDPVAVLRGHRASVMDVCFHPSQNLVFSGSSDGELRIWDSVQHRTISSAWVHSAAHGIISVSTSPSIGNNRVISQGKDGTVKCWDIDHGELSRTPSITIDANTYHFCKLSLVKRPSADTIKSEKKGHHHVTEAIEVSDQISSHDIQEEDQQNVVENSNTFQGFDHVEGRPYVAMAGSELSEVELWDLNAAERFARLPHSSTASTNQFTKGKGMCMAVEAFLVPQQQGFLHVLAGYEDGTMGWWDLRNPEVPLTSVRFHSEPVLSLSLDEMMNGGISGGADDKIVLFTLDHSLGSCVMKKEINLERPGIAGTSIRADGKIFGTAGWDHRIRIYNYKKGNPLAILKYHRATCNAISFAADCKKMASASEDTTVALWELYPST >cds-PLY67941.1 pep primary_assembly:Lsat_Salinas_v7:5:299347133:299349868:1 gene:gene-LSAT_5X160081 transcript:rna-gnl|WGS:NBSK|LSAT_5X160081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQQHTLCFKFDHFQVTRKRPTIFYWTSEKIRFLEDILQENGGFGCGDFSEPCVERECQECEYNEEESGSDEDESDSDVDLCDKDEEYCDVNKVYENKFSYMYQKMEDLKKVLIVKIDEGVLKFPRSQNLKNWKLLFIVEDLSIESFDFHYVSQQSKEPILTLVFVQVNDEDYGNDFPNDDENVEDDDQGKCSGGQGDGSGPHEGNVGKNDVEGKGDVNDAVYEDDIGKNNNFLNETDDEVDKQGNESGFNKEEAMNLNFVVENVTKSVSLIDSQEGVSFSQFICDPVSESFLKTLDQECVIPNVNVIDASPVSFAPPLGTLEGPSKPISVKPKDINEEGTSVVDVKGKRELKFSYVYKSPFKERLIDFKPSLAQVENVVCESFFNLQGNPGEIVLLMETNVMGFRANYESL >cds-PLY96962.1 pep primary_assembly:Lsat_Salinas_v7:4:174560776:174562230:-1 gene:gene-LSAT_4X102701 transcript:rna-gnl|WGS:NBSK|LSAT_4X102701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCREGFMSPQTETKASVGFKAGVKDYKLTYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYGIEPVPGEENQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPTAYVKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIFKSQAETGEIKGHYLNATAGTCEEMMKRAIFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGIHFRVLAKALRMSGGDHIHSGTVVGKLEGEREITLGFVDLLRDDFIEKDRSRGIYFTQDWVSLPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLATEGNEIIREATKWSPELAAACEVWKEIKFEFQAMDTLDQ >cds-PLY66509.1 pep primary_assembly:Lsat_Salinas_v7:4:340323985:340324900:1 gene:gene-LSAT_4X167241 transcript:rna-gnl|WGS:NBSK|LSAT_4X167241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQMDTYYYAAPEWFGYQEDGLTIKSEIYAFGVVLLEILTGLKVYDAKRRPPQKRNLAQWAIPLLQDEVNLRIIIDPQLQNNDFPPMEAFKLAKLVLNCLQQKHDKRPSMEYILEVLHSCYQKKPKQFDY >cds-PLY91896.1 pep primary_assembly:Lsat_Salinas_v7:8:197887814:197888346:1 gene:gene-LSAT_8X127500 transcript:rna-gnl|WGS:NBSK|LSAT_8X127500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMPRIIQAKHILQRSFSNGTRTSTMDLPKGYFAVYVGEQEQEKKRFVVPVSLLSQPSFQDLLHQAEEEYGYDHPMGGLTIPCSQHIFYDLATSLGAL >cds-PLY63513.1 pep primary_assembly:Lsat_Salinas_v7:5:326862159:326863816:-1 gene:gene-LSAT_5X183220 transcript:rna-gnl|WGS:NBSK|LSAT_5X183220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCFIGCFGGSSRDQKRKRQRYKVILRDQKPKIENLVKADASLGLSIIESPLNLFPESREKTEVPLRLKRRKKVTFDTNVTTYEHIEVYDSTESLLEKNEKSETFPKSTQNCKDDSVVLNIPNYRYGNCLESDDEFEDLDHEEYDLDGDDDDLDDDDDNDLDDEEHYDDNNEDMKVQNRNGYVLSVLNPVENLSQWQALKSKGRPQKPLIFKEQKENLSLNTKSLKHKNLNQETSVDASLSNWLISSHINIRNNNKPIC >cds-PLY91915.1 pep primary_assembly:Lsat_Salinas_v7:8:197953562:197953861:1 gene:gene-LSAT_8X127640 transcript:rna-gnl|WGS:NBSK|LSAT_8X127640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILMPRITQAKQILKRSFSNGSSTTKSMDIPKGCLAVYVGEQEKKRFVVPVWLLMQPTFQQLLDQAEEEFGYSHPMGGLTIPCSEYMFMDLASRLGAL >cds-PLY92402.1 pep primary_assembly:Lsat_Salinas_v7:2:155575963:155576650:1 gene:gene-LSAT_2X80661 transcript:rna-gnl|WGS:NBSK|LSAT_2X80661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAILRLRRRGAIYWMNIRLNTRGNLLCPILMEIERKRFLWLLMILKFRDLTRHVDEGFSEAHILAEVILLPDKICCFGQM >cds-PLY77530.1 pep primary_assembly:Lsat_Salinas_v7:2:164145719:164146681:1 gene:gene-LSAT_2X87040 transcript:rna-gnl|WGS:NBSK|LSAT_2X87040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNKFTEKHGKDLSERVILKVPNGDVWRVDIQKSGDEIWLENGWPEFAEHYGLRFGHLLMFNYEGFSIFGVIIFDTSASEIVYPPMKKDPLRNSTKEMSRKTPQQVKLTKPKTVKLEEETCSSSEDESPRVKINVGGEYSRKSLTVGRVKATFKSHKPFFVAFIQKTYLARKRGLRVPNEFRKKYWSGGKKHSKYLLKLTNGGRQKTWEVLAYDDDYLGYGDWMMFVKENGIKVGHICVFELIHTHQNVFHVTIIRSTT >cds-PLY83157.1 pep primary_assembly:Lsat_Salinas_v7:3:95633077:95633637:1 gene:gene-LSAT_3X71461 transcript:rna-gnl|WGS:NBSK|LSAT_3X71461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSMRSYGLIDADSRCLFMINAQPGEHLHLDQLIGVNLSPIQALKIEEGDEDLWCLLIDHEPAYSTFWMEVTMNRGSIATARFVDGSQEFDDEETMEIAEIVVEYDKYSRYLRKISELPVTIIGKNKADGSKEGEGEICVVCQEEYEAGLTIGTLKCGHVYHEKCIKKWLVQKNLCPICRSTALS >cds-PLY77586.1 pep primary_assembly:Lsat_Salinas_v7:2:165121361:165122626:-1 gene:gene-LSAT_2X86000 transcript:rna-gnl|WGS:NBSK|LSAT_2X86000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMHFGYEPSSAAGSVYSCRPESEFFKTISRRSSSIADTFQELESVGEVVTRFELDMACVCEKLANLNLLLMHMETLKSDFEAFVSDTNQNQSDMEVKALEFDFLYGFLNSEVTVLENHISDFQMEKTNMQDFVSSSKMLGESSMETEDMLNDSEKSLQQALDQLSELKTRAGMFEKTVSRFAGGGPRADKDDSVEINNNDLPELKDKMNVQTVEHQRHILRMLEKSLERELESEKRASELAQIEEALTMRLQATEQEVLLAEEETLLTLEKLYSADHTSEILMSITKELMSKMKKDSGFQNQETAVVKDMKERVMKAEQRAEKAELKCSNEVKASFEKVVLLEKKLKEAKIKLKLSKSRRDSFEDVDPMVVMMQVGVTEDYYTLPRFH >cds-PLY84274.1 pep primary_assembly:Lsat_Salinas_v7:1:45364154:45366319:-1 gene:gene-LSAT_1X39440 transcript:rna-gnl|WGS:NBSK|LSAT_1X39440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLMSDDNPREGSSETEPILNESRSAEGVDNHSSTFEIRTEGSSDCSISVEDSQSSDANENTSLVASDQPQCRICLDTEGDDLIAPCHCRGTQKYVHRSCLDNWRSTREGFAFSHCTECRAVFILRANVPPDRWWLRLKFQLLVARDHAFIFVIVQLIVAFLGVLVYKFYGDELREMFGYEEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHILAKQELTKEYIVEDREVNKGVTELDPSHITELRMLGLY >cds-PLY68212.1 pep primary_assembly:Lsat_Salinas_v7:8:118695784:118696183:-1 gene:gene-LSAT_8X82281 transcript:rna-gnl|WGS:NBSK|LSAT_8X82281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPSAIASGYPLRTPPPPSSLPAQPFNSLINASSLPPLSPPALIMSAMSPSSSSLPPSSAASLVLPLPPTSFVGIHEKDQEALVCRTVLVPWIGERGIEAKMGSNGGR >cds-PLY93611.1 pep primary_assembly:Lsat_Salinas_v7:2:173869789:173870973:1 gene:gene-LSAT_2X95421 transcript:rna-gnl|WGS:NBSK|LSAT_2X95421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQITQLDEPSPLGLRLRKRPLLLDLIQMRLLEGNSFSSSTKEHKNTAASAATYKLKASNFPASFLKIGTWVLPYYSINVIIAAVEVDSKSFSSHNFSYPIHYSVWC >cds-PLY83094.1 pep primary_assembly:Lsat_Salinas_v7:1:155445809:155447991:1 gene:gene-LSAT_1X107620 transcript:rna-gnl|WGS:NBSK|LSAT_1X107620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNPTFLKGCFPFISHHPYYYLYHSSDNQSSASHPYLNMSSSLSSSPPPLKEALPLLSKLNLKRDLELEHRYPTYNTAIEDKNGCSFSTSTTTNTGSTTTVNVTLNIGLPTSYSQEKGINGDEVLLGFPCCPKQKGVNGEEMVHGFHWCPNASGKTGVTTRNETLLGFPLNTLKKTKEYWIPNPSQILAGPNQFSCPVCFKSFTRYNNLQMHMWGHGSQYRKGPESLKGSQPTAMLKLPCYCCAPGCKHHIDHPRSRPLKDFRTLQTHYKRKHGTKPFVCRKCGKAIAVKGDWRTHEKNCGRIWYCNCGSDFKHKRSLKDHVKAFGDGHVGASGTDLFQEQEDDEDEGMLSEIEYM >cds-PLY84652.1 pep primary_assembly:Lsat_Salinas_v7:9:103045253:103047300:1 gene:gene-LSAT_9X74940 transcript:rna-gnl|WGS:NBSK|LSAT_9X74940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CutA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G33740) UniProtKB/Swiss-Prot;Acc:P93009] MSISALIPIVSSSAVRRRLPLVGAFCLLSLGLHNLHPSLSLSSNPLSRLSFGSKTQGFRSSSIRMEASNQTTVPSIVVYVTVPNKEAGKKLAASIVKEKLAACVNRVPGIESVYLWEGEIQTDSEELLIIKTRESLLSALTEHVKANHEYEVPEVIAMPITGGSVAYLEWLKNSTRE >cds-PLY65569.1 pep primary_assembly:Lsat_Salinas_v7:2:39208268:39208996:-1 gene:gene-LSAT_2X18260 transcript:rna-gnl|WGS:NBSK|LSAT_2X18260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIQAKVDAATTNRGGGKAGVADRTGQEKGGHAKFECPLCKITVPDVKTMQIHHESKHPKLPYDESKITNLHAVLAPVADTSKGRPGVRGSLKK >cds-PLY81650.1 pep primary_assembly:Lsat_Salinas_v7:1:110634642:110636421:-1 gene:gene-LSAT_1X89820 transcript:rna-gnl|WGS:NBSK|LSAT_1X89820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAGSRETIQPQIWLQDERELKRQRRKQSNRESARRSRLRKQHRETSMSFKTIDDVQVLLYAVSIKEIAMHFHSFVSYFFHISLMIFFLES >cds-PLY78002.1 pep primary_assembly:Lsat_Salinas_v7:9:44319283:44322247:1 gene:gene-LSAT_9X39821 transcript:rna-gnl|WGS:NBSK|LSAT_9X39821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSQCRKVLRDGESNKKTRKRAGKSGDDVRGIRKAQQQQSRFRALREEELQGPPSRTRASLKRRLHETSSSTKLHVEKKLNQEDGSRNDDEPLGAPSITQSLPDKQTLEHIIDILQRKDIYEIFAEPVDPEEVEDYYEIIEEPMDFGTMRAKLHEGMYTSLQQFEHDVFLISGNAMHFNSSGTIFFRQLNHHHHQEHGYRKSLMQFVKDLGPTAQMVAKRKLQSLFGDDASVSHDHQTTRQDPQIPLTGQTKNWILFGSCHSVLNDGKQDSSMKTDTQNRINLSRDQDSSLLSTSQVLTMTNGRDWHESTSTRGLFNRPLMPYAPLDLGFLKSKMRGEDEWRRRI >cds-PLY82230.1 pep primary_assembly:Lsat_Salinas_v7:1:66552831:66555091:-1 gene:gene-LSAT_1X58580 transcript:rna-gnl|WGS:NBSK|LSAT_1X58580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGNEVVLEDESGVAINEDPNVKKEEENSNTVEEPLNSTGVEVIEIIESSDIRGKNSIKVSTNDATSNNKKVVKNIPSTSSSSRNPKSNPSQNSSFPAKTPNPNSMKTAIAGHPVKPRIESKGKATLTNGTGSSRSSSLYTANRRASVGVKSTEVTAKSSGMATRRASLHSVTGLNTSRPKKSNGNEDCPPSEDSLSVKQHSKPREDDDTRSSTSSGQHRNIASGFSFRLDERAEKRRQFYSKLEEKTHAKEMEKTNLQEKSKESQEAEIKKLRKSLTFKAAPLPKFYKKPPPKVELKKMPPTRPKSPNLGRNKSAVAESSGKVNHEKEVVKPEKSTGKVKAKTSPSKAMVKPEKSNENTGKTEDEEKDPVWNEEFEAVQIPSVNPLEVEDWIEVGAEKNAAGVEELTNEDVTPGDIVIVEG >cds-PLY77114.1 pep primary_assembly:Lsat_Salinas_v7:7:183724596:183726387:-1 gene:gene-LSAT_7X108840 transcript:rna-gnl|WGS:NBSK|LSAT_7X108840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEHRGHQQHEVEVRSDVVDVISNLPDCILDHILSFMPTKEVVKTSILSTRWKNLWASAPNIDFDDERLRGKEVDALRLLDVTSFVNFVERVLRLRDASNMEKFRLSCHVFRDAFKIRSWISHAIMQNVQELDLFLFAEDPSVIPQSMFNSTSLVSLKIRMDCVIEFPSDVSFPCLKTLHLSFVRFPNDDFTEKLFSGCRVLEELVLFRICLRNLKNIAISNSTLKRLTICDQSSFVVVNDPTSGCKIKIDAANLTYFEYIGFLSNKIILNNTSSLEKSFIEFLNPRGRGNELEVAGRAIDLLKRLKHVVSLRLSDYYIIETLSFVASVCSVVFPNLTHLMMTMEIENDTFTELMRLLSFCPVLQSICFSEGFKCFMHLGENHYIWYSKPICIENCLKTVSLKNFHGYKSEICFLKCVLKTACALERMDIRWSETYLRDLKRKTKARKELEKIERSSTAFVIKFS >cds-PLY78757.1 pep primary_assembly:Lsat_Salinas_v7:9:50173944:50174746:1 gene:gene-LSAT_9X45101 transcript:rna-gnl|WGS:NBSK|LSAT_9X45101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNNYNHHQINKLLKLTESNANILEDLINRVERMEAELQKFRQNQYEGCDSEFERFSASPPQYYKQQPPSYHQQPPPQLDQLNDAGETSSDEECEWGFCLVTRQNQHLSKVDLIPVFKKNLSFDEFSEWVTEVERFFEFYEIPEDERVEFVTYRLEEEAFSWWELIQNLNMRFNKQPIKEWTEMKEMLMARFLDINCFVTEEYQSSHAES >cds-PLY63986.1 pep primary_assembly:Lsat_Salinas_v7:4:13236171:13236365:-1 gene:gene-LSAT_4X9081 transcript:rna-gnl|WGS:NBSK|LSAT_4X9081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCVLLLVCVFYTPVDMLLYQLQRNGIEGVCSPVTTPLRMPPQHNEHATMPIPSALINPYIFFND >cds-PLY69087.1 pep primary_assembly:Lsat_Salinas_v7:5:276597819:276600290:1 gene:gene-LSAT_5X145081 transcript:rna-gnl|WGS:NBSK|LSAT_5X145081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAESLIASAAINIGLALVILCLFSVFRKQPANANIYYPRRLALRHTISFDRSSNRFFPSVDWIRDAVGVTEDEILSTLGLDALVLIRFFKLGIKFFVVCSVVGLMVLLPLNYSAVSPELSSSSRSMDSFTISNIPRGSNRLWVHFSSLCFISFLGIYLLHKEYKVVLMKRIQQLRNLRNQPSQLTVLVRQVPLCDEHKSLSCSVDHFFSKYHPNAYHSYQILYAGNNLEELPVAFVTFRSRWDAALAAQTQQHPNPLLWITQMAPEPRDVLWKNLSIPYKHLVLYRTGVIVTQILFTIFFAIPVTAVQGITQFEKLKKWFPPAMAVQLIPGLSSVITGYLPSVILSGFVYVVPFVMKGMARVAGYVSRSQQEQKASNMVFYFLMGNVFFLTVLSGSLLDQIGKTFIHPKDVPSRLATAVSAQADFFMTYILASGLSGFSLEILQPGLLTWDALKMRTWGRGKKKSNYLSSFPYYRVIPFVSLFLLVGIVYSIIAPLLLPFLVVYFLLGYVVFINQIQDVYETTYETCGQYWPHLHHHVVVAIIIMQITMIGLFGLKSKPSASFATIPLLVLTIAYNEYSKFRFLPTFYKCSVKVHF >cds-PLY80391.1 pep primary_assembly:Lsat_Salinas_v7:3:237757587:237766585:1 gene:gene-LSAT_3X133341 transcript:rna-gnl|WGS:NBSK|LSAT_3X133341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMELTSGNRIADADSGKQNEYKRRNYSIFELPSNFFDSCGFIELLSTPELANNPATTPGKTVEETEVAPEKNASSSERLTCNTCTSSFESLHDQRSHFKSDFHLFNIKLSIAGKETVREDDFDELSSNSIVKDYDISSISGSENEENREFDSLRDSTKGLLGSMKQKIFMHLSNGETISFWKCLLVGDSEKILLEHDLHGFTKDDNTPCVTVKEVTERLLNADIALEMEMELTSGNRIADADSGKQNEYKRRNYSIFELPSNFFDSCGFIELLSTPELANNPATTPGKTVEETEVAPEKNASSSERLTCNTCTSSFESLHDQRSHFKSDFHLFNIKLSIAGKETVREDDFDELSSNSIVKDYDISSISGSENEENREFDSLRDSTKGLLGSMKQKIFMHLSNGETISFWKCLLVGDSEKILLEHDLHGFTKDDNTPCVTVKEVTERLLNVIHEPRNNTRFRVMLLATGGHFVGCVFDGNSIVAHKTFHRYVTRAKSGKKQSSEDGSGKFSNSAGASIRRHNEHALKKDIQELLDAWKPYFTSSVSIFIHAPSHNHKLLFNGENPLFISQKNIIKHIPLTVRKPTFKEAQRLYNILTQISTEPNQEKVLPIIKKDSTFRLEKEKLMDNSKNGDISEDVFVMLSPLHEAAKAGNVDKVMELLEQGLDPCVLDERGKTPYKVAVNKEVRNVFRRFMAMNFDKWDWEAAKVPCLLTKEMEESQNAKQNFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRDSLKHNARGMLSMANSGPNTNGSQFFITYAKQPHLNGLYTIFGKVIHGFEVLDLMEKTPTGAGDRPLAEIRINRITIHANPLAG >cds-PLY66321.1 pep primary_assembly:Lsat_Salinas_v7:5:289637310:289641346:1 gene:gene-LSAT_5X155741 transcript:rna-gnl|WGS:NBSK|LSAT_5X155741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRRHNLFRKASALWLPETSAHCSRFWKKSPPNFRDIRLSQELYDCVALLLKDQTNQGCLYLRKMTTGKDLKRFRVYVSIYPLLRFLIINRDEFYATFNYKTTCGHADWWKVGYSICGTLKYQAKAFVDFQHESQWVNPVEDVIKVQPSQFAKSLTKLVESMLSKLMEEFENHITSQVELDFRVVFDQELGLILKLKDLMIGWIHEGFQSFFRQLNDELLKQEGAPL >cds-PLY75938.1 pep primary_assembly:Lsat_Salinas_v7:9:198435090:198439540:1 gene:gene-LSAT_9X122580 transcript:rna-gnl|WGS:NBSK|LSAT_9X122580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRKFSGIVFLSLLLLISVASAKVFFEERFEDGWESRWVKSDWKKEDNMAGEWNYTSGKWNGDANDKGIQTSEDYRFYAISAEYPEFSNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKKFGGDTPYSIMFGPDICGYATKKVHAILTYNGENKLIKKDVPCETDQLTHVYTFILRPDATYSILIDNEEKQTGSLYSDWDLLPAKQIKDPEAKKPEDWDEKEYISDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTIPTIPNPEYKGPWKAKKIKNPNYKGKWKAPMIDNPDFKDDPDLYVFPKLKYVGIELWQVKSGTLFDNVLICDDPEYAKQLVEETWAKQKDAEKAAFEELEKKREEEADDEGNDSGDEAEPEEEEEADDNDIKDEL >cds-PLY82740.1 pep primary_assembly:Lsat_Salinas_v7:2:144115734:144119350:-1 gene:gene-LSAT_2X72681 transcript:rna-gnl|WGS:NBSK|LSAT_2X72681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAFMFMVFLTTPATSQPQTNILITACSLVNATNLQNFYANLNSTFQDVRRQLSNNNTYFATAENTRNSESVYVMGQCRNYMSTRDCVACFDFADRSIRYCAGANGGRAVLDGCFLRYESNSFYDQTTLPGNVGLCGNRTSSRQSVFETTVDGLLSNLSIATPKIPGFFAAATAPVNGTNTSTAYGIAQCAPTVTSDGCGACLQVAYANIKSCATDVTDGRGVDSGCFMRYSASPFFPNNTIMDITPFLQDGGSSNKGAIIGGVVGGVGGLIILLIILILLWYRRSKKRTSPGSNLHGATELQGPMAYSYNDLKRATKDFKEDNKLGEGGFGDVYKGIVKGGNIVAVKKLAIGSNNAKDNFESEVRVISNVHHRNLIRLLGCCSKGPELLLVLEYMANGSLEKYLYGDKKGNLSWKQRYDIIFGTARGLAYLHEQYHVTIIHRDIKPSNILLDNDFQPKIADFGLARLLPEDQTHISTRFAGTLGYTAPEYAINGQLSEKVDTYSFGIVVLEIISGKRCTDVPNESAGEQYLLEHAWNLYETRMHLKLVDETLDPSEYREEEIKKVIEIALMCTQSPVSVRPTMSEVVMLLSDRSRVQNPPSRQNVNFNDVRIQVDNSTSTTLSMSNADATITELTGR >cds-PLY88767.1 pep primary_assembly:Lsat_Salinas_v7:4:167993689:168000481:-1 gene:gene-LSAT_4X99501 transcript:rna-gnl|WGS:NBSK|LSAT_4X99501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEENVDSIIRSLGRSLSRAAGSLRMEDVFSIGYGGTHHGRSSHHSVEDEEALRWAALEKLPTYKRIRTTIFKSYIPADLKNQTTADKLLLDVRELDQHSQQDFIDKTFKVVEEDNEMFLKKFRDRVDKVGIVLPTVEVRFQNLTIEADCYIGDRALPSLPNATRNTFEAILGNIGISLSKKTKLSILKDASGIIKPSRMTLLLGPPSSGKTTLLLAMAGKLDRSLKVEGDITYNGYKLNEFEPRRTSAYISQNDVHVGEMTVKETLDFSARCQGVGSKLEMLVELARREKQAGIHPEAEVDLFMKATAIEGEASSLITYYTLRILGLDVCRDTFVGDAMLRGISGGQKKRLTTGEMLVGPAKTLFMDEISTGLDSSTTFQIVKCLQQIVHLTESTILMSLLQPAPETFDLFDDIILISEGQIVYQGPREHVLDFFTSCGFMCPERKGTADFLQEVTSRKDQEQYWVDRTKPYRYIPVSEFAQHFKNFHVGEKLNNELLLPYDKTQSHKAALVFKKYLVSNREMLKASWDKEWLLLKRNRFIYIFKSVQFIIVAFVGMTLFFRTTMHTRDEQDGAIYIGALLLSLLINMFNGLAEISLTIIRLPVIFKQRDLLFHPSWAYTLPTFLLRLPISLFESVAWMVIVYYGVGFAPEVSRFFKHFLVVFMIQNVSGGLFRLIAGVCRTMNMANAGGTLVLLFIFFFGGFLIPKAQIPDIWEWGYWLSPLSYGFNAFAVNEFFAPRWMKKMSSDNVTTLGIAILENMDIPTQRRWFWIGVVSLLGFAVLFNILFTFVLMYFDPPGKPQPIISKETTLGMENQQHSNQQPTLEKIAFKQDVTPQSLFVANGSNSRIIEMQLGQSGSNSYDSNEGVSRKGMILPFTPLAMSFDSINYFVDMPQEMREEGITENRLQLLSEVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKKQETFARISGYCEQTDIHSPQITVHESLIYSAFLRLPKEVGNEDKMIFVHEVLELIELGHLKDAIVGLPGVSGLSTEQRKRLTIGVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVETGRTVVCTIHQPSIDIFESFDELLLMKRGGQVIYAGPLGRNSQNIVEYFEEIPGVSKIPEKYNPATWMLEVSSSAAEIRLNMDFAEYFRSSIIQQRNKHLVMQLSTPPPGAVDLHFETQYSQSTWEQFKSCIWKMWWSYWRNPDYNLVRNFFTLVSALIVGTLYWKIGEIRENTNNLNTIIGAMYGGVFLVGMNNCQTVQPVAATERTVFYRERAAGMYSVLPYAMAQVFVEIPYVFIEATYYTTIVYAMVSFQWTTSKFLWSFFINFFSFLYFTYYGLMTVSITPNEQMAAILAASFYSVFNFFSGFYIPRPRIPKWWVWYYWMCPLAWTAYGFIVSQYHDVEKTIKVPGMSYEQPINLYIQDYYGYRLDFMGPVAAVLVGFCVLFVGIYVFCLRTLNFQVR >cds-PLY96674.1 pep primary_assembly:Lsat_Salinas_v7:7:43105914:43106612:-1 gene:gene-LSAT_7X29740 transcript:rna-gnl|WGS:NBSK|LSAT_7X29740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTVWIAPWGGKAGTKSGEFIIPDGARLTKIDIRSGEAIDFIRFTYKDQSGTGHSETFGSEAGGSFHTITFNENEYLITISGRVGSYAGITLVTSLTFQTNLRTYGPYGTNPGTDFLLGVSSGKFSGFYAKYGDYLDSLGVILQP >cds-PLY76823.1 pep primary_assembly:Lsat_Salinas_v7:3:2954253:2954806:-1 gene:gene-LSAT_3X1500 transcript:rna-gnl|WGS:NBSK|LSAT_3X1500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSKTNTPLALFLALNVLFFALGSGYITYPSPNLKPSDATCPIDSLKLGVCANVLGRLLGLDVGNPPNRPCCSLIEGLVDLEAATCFCTAIKANVLGINLNVPFSLTLLLNICSKKVPTDFQCA >cds-PLY77903.1 pep primary_assembly:Lsat_Salinas_v7:1:23265529:23266477:-1 gene:gene-LSAT_1X19840 transcript:rna-gnl|WGS:NBSK|LSAT_1X19840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALNRCSSATSSSSDSSDSSHSGRKPRNTNKTQRIKGPWSSEEDRILTRLVDSYGARNWSLISTYIKGRSGKSCRLRWCNQLSPNVQHLPFSPAEDETILAAHARYGNRWATIARLLPGRTDNAVKNHWNSTLKRRRVETDATTRSESEMELLRFGTTYTSPGSVCNEDAAADVDDPMTTLSLAPPGMGGYGLPEKRNENLPVEFWDVMRGVIAREVREYVTSSFPETSGFH >cds-PLY90724.1 pep primary_assembly:Lsat_Salinas_v7:1:507313:508149:-1 gene:gene-LSAT_1X1181 transcript:rna-gnl|WGS:NBSK|LSAT_1X1181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGKAITQKNLIIRIITTPYRAICKAKDFYIRSITDCANHNTYAMTHGNPLPRSTSTSSFGSDAATDDLRELIRANSTTSMADLNISRADLELYIKMMKQSSPAMAMGSRKVPRSVSVGMGRIDEDGPVSSFPDNDDLGRKVKSDHMMFPRSKSHAVTSSTKINRFS >cds-PLY65717.1 pep primary_assembly:Lsat_Salinas_v7:5:271848428:271849183:1 gene:gene-LSAT_5X141540 transcript:rna-gnl|WGS:NBSK|LSAT_5X141540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVCQDPRFIGGDGITFYFHGKKDQDFCLVADNNLHINGHFIGKRNKNMGRDFTWVQSIGVLFNNHKVQISAQKTSSWDDTIDRISVTFDGENIYLPKTEGAKWQSSTTSITRTHDTNHIIVEVENLYKITAKVVPITKEESRIHKYDITNDDCFAHLDLKFKFFSLSNEVDGVLGQTYRNDYVSKVKMGVLMPVMGGDSKFVSTNSFATDCSVAKFKGIQEDGSSFNLQLPSLSCQSGIEGRGVVCKR >cds-PLY63879.1 pep primary_assembly:Lsat_Salinas_v7:1:126570014:126570367:1 gene:gene-LSAT_1X95940 transcript:rna-gnl|WGS:NBSK|LSAT_1X95940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQLNDYNDTIEQVLPMSMEEEYPPQTKTQDGAEEIIPETQPESEEKEEGINDTHELPVHLGIVKRRRPSERIVKPKLKKMGCVGTSSNSALELD >cds-PLY74978.1 pep primary_assembly:Lsat_Salinas_v7:5:85991302:85992670:1 gene:gene-LSAT_5X40061 transcript:rna-gnl|WGS:NBSK|LSAT_5X40061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDHNKSSCYSDLLIPPFLVLFALQFVPYVGAQASAPPDGMPYADFNPSIITIIVILVAALFLMGCFSIYIRRRSNEGGGIIRRVSIRRAAVPSRGLDSTVIETFPTFVYSSVKGLKIGKGALECAVCLNEYEDEETLRLIPKCDHVFHPECIDAWFENHVTCPVCRANLVPDSGESGNVPAEVELAVSGDEHHRTTAAGEENDGVSGSGSVSISIAEDPVVNIQEPATHTESLNRNQSGKQNRPQIFPRLFDKFRSHSTGHSLVQPGENLDRFTLRLPEDVRKQVVNRALLNRMGSTVRMTGEGSEKKGFRTAGVGSGRRKSYRRLESLDRVVVRSERWVLSRAPSFLTRAFSIRSPRVVSGDGEASTSATTPKGSKSGDKIPLNNFGPKSC >cds-PLY63082.1 pep primary_assembly:Lsat_Salinas_v7:8:76030486:76032189:-1 gene:gene-LSAT_8X54720 transcript:rna-gnl|WGS:NBSK|LSAT_8X54720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVIGLTGGIATGKSTVSNLFKANGFPVVDADVVARDVLKKGTGGWRKVVAAFGEDILLENGEVDRPKLGQIVFTDPSKRQLLNRLLASYISYGILWEVFKLWIKGCNIIILDVPLLFEAKMDRWTNPIIVVWVDPETQLKRLMERDRTSAQDAQNRINAQMPLDEKRSKADIVIDNNGSLEDLNENFRKILDQVSKPLTWTEFWLSRQGAIVAIVSIFSGVLGCKKLVARL >cds-PLY75460.1 pep primary_assembly:Lsat_Salinas_v7:7:72617477:72617943:-1 gene:gene-LSAT_7X52821 transcript:rna-gnl|WGS:NBSK|LSAT_7X52821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPGILEAAAIQNMTRVPGKRGRNEILHEIIEVSQDELMKNRPREDQVKSTGIAFGPLYQSASSGKGKPTKLHTRKYQIGSLYFDMRSKEMELAERRSKGFLTKGETQAKFGW >cds-PLY69436.1 pep primary_assembly:Lsat_Salinas_v7:1:64236117:64237588:1 gene:gene-LSAT_1X54801 transcript:rna-gnl|WGS:NBSK|LSAT_1X54801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNLPKTFAVILILLFIFTSTSNAEIKSLKIRSDDRPMILFEKFGFTRHGVASVEISSVSVTSSISQIDSSRLGFFLLTEESLIQVLIEFQQNPNFCVVDSKFISLLFTFRDLSPPPHPSFNKSYPVNYPNEYSLFFANCNPESIVSMDVRTELYNTDGDKRDYLSAGLTQLPFLYTIFSLSYMAFLGFWIIECIKNKLSVHRIHLLMCVLLLMKALNLICAAEDKHFVKVTGTPHGWDVLFYIFQAIRVVLLFTVIVLIGTGWSFLKPFLQEKEKKILMIVIPLQVLANVASIVIGETGPFIRDWVTWNQVFLLVDVICCCAIIFPIVWSIRSLRETSKTDGKAARTLAKLTLFRQFYVLVIGYLYFTRIIVFALKTIADYNYQWVASGAEELASLGFYIVMFYMFRPIESNQYFLIEDEEEAAAEVALREEFEL >cds-PLY80155.1 pep primary_assembly:Lsat_Salinas_v7:3:40406593:40410892:-1 gene:gene-LSAT_3X30900 transcript:rna-gnl|WGS:NBSK|LSAT_3X30900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWSEHESGSYSIPSGKTKSHYNGVTDSIPSFPDSLMPNTTLLTIHVFHCLGTKQGDGKYKHTVDLPKTTFGMRANSSVSEPEIQKLWDENQVFKKVSEKNNGLLQYCKVHYIPGWDCHGLPIELKDLRSLDQDARNGLTPIKLRAKSAKFAKATVKAQMASFKRFGVWADWDHPYLTLDPEYEAAQIEVFGQMVFKRYIYRRRKPVHWSPSSRTALAEAELEYPEVHVSKSMYAIFKLLTTPTKDGLLDEFPKLSLAIWTTTPWTIPANAAVAVNSKLQYAIVEVQSPSPDVTSSSEDGKKRIGSVLKGSKIPFFIVALDLLSTLESKWNVKLAVKKTVFGSDLENCRYAHPINGEECPVVIGGDYITTESGTGLVHTAPGHGQDDYITGLKYNLPIISPVDDEGKFTEEAGIFKGLDVLGDGNAAVIDHLDQLSSIIMVEPYKHKHPYDWRTKKPTTTAQWFASVEGFREAAMDAISQVVWTPSQGVVMGLQIPTRFEFYFASISDLSSPLQRLKNPSLHFNPSQLPVTHLPTRFTSLLGLDFVDVIAKLIMFSFSFSIYRINDGFGENPVKQPFECDD >cds-PLY62554.1 pep primary_assembly:Lsat_Salinas_v7:4:355449136:355449654:-1 gene:gene-LSAT_4X175141 transcript:rna-gnl|WGS:NBSK|LSAT_4X175141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNNQNASFQAGQAKGQVEEKGNQLMDKASSAAQSAKETVQEAGSQVQMKASEAADAVKDATGMNK >cds-PLY90892.1 pep primary_assembly:Lsat_Salinas_v7:1:57247965:57252238:-1 gene:gene-LSAT_1X48480 transcript:rna-gnl|WGS:NBSK|LSAT_1X48480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFPRSNNLQVVSGGNDDDDPEILVSKFDSSIDNHFQAMDKIANLSGESEFQYPQIQRFSSSITFLREWRNFCYQPRAIKFACESHEKNVTHQIKLPQFSSALVPKDTSDGNKDFVMYVGGHVWALDWCPILHQNSNTDINVEFVAVAAHPPESSYHKIGAPLTGRGLIQIWCLLNAYTKEQDMIPLVKVKPKRNEETNLETNQPKKPRGRPRKNASDSETVPVPNTNSYPQPLAIEFPQHSKKLVDIDKNTPETAPQQPPKLLAIENHHHHHHTPESVPNSNSVSKDIALPRLVMGLAHNGKVAWDVKWRPDSHDISSYRMGYLAVLLGNGALEVWEVPVLSATKALFSSCQKEGTDPRFLKLKPVFMCSKLKCGDRQSIPLTLEWSTSAPHDLILAGCHDGVVALWKFSTNDSSIDTKPLLCFSADTVPIRALKWAPLPSDPESANIIATSGHKGVRFWDIRDPYHPLWDIPLQKITYSLDWHPDPRCVILSSDDGEIKIINLSKAVSDTPVTATPTVKTQHHGSHSYYCSSSSIWCVHVSRLTDMVAYCCSDGKVIHFQLTTKSVERDPNRNREPHYLCGSVTKEEEKSTLTVLTPSPNIPFPMKKSSNEWGDTPRSKRGFVSITNQEKRAKEYVSKENPKNKNKSSQALVCIDDVANNVKDHMAHKEEDERETLPPKIVAMHRVRWNMNKGSEKWLCYGGAAGILRFQEINLLGNKKEKRKTLHDLSVSTI >cds-PLY71696.1 pep primary_assembly:Lsat_Salinas_v7:3:45359867:45362283:-1 gene:gene-LSAT_3X35560 transcript:rna-gnl|WGS:NBSK|LSAT_3X35560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 5 [Source:Projected from Arabidopsis thaliana (AT1G48930) UniProtKB/Swiss-Prot;Acc:Q9M995] MNASSAFDYGQALDKSLLFFEAQRSGKLPRTQRVNWRGDSGLTDGYQQKVNLVGGYYDAGDHVKFGLPMAYTVTMLSWGAIEYHRNMMDLNQMGHVLDAIKWGTDYFIKAHSQPNVLWGQVGDGDSDHYCWERAEDMTTPRTAYKLDTEHPGSDLAGETAAAMAAASMAFRPYNSSYSDLLLVHAKQLFWFADRFRGLFTDSIPCAKEFYTSSGFEDELLWAATWLYRATNDESYLKYVVDNAASMGGTGWATKEFSWDNKYAGVQILLSKVLLDGHGGAYTATLKQYKAKADYFACACHQKNDGYNAPMTPGGLLYLHQWNNMQYAASAAFLLAVYSDYLTAAKSVLTCPDANADYILGKNPKSMSYIVGYGQNYPIHVHHRGASIASISVVHSVVGCVQGFDAWYHRPAANPNVIHGGLVGGPDRNDNFDDDRSNYEQTEPTLSGTAPLVGLFSKLHSLNLGPKPTTSPSPTKGHPGSYSHAKAPTKPYGAPVEFLHSITNSWTVGGIEYYRHKVIIKNTSEKPITDLKLVIENLSGSLWGLTPTLTKNVYELPQWSKVIKPSSECSFVYVQGGPQAKVSIQSYH >cds-PLY63178.1 pep primary_assembly:Lsat_Salinas_v7:4:312094350:312094649:-1 gene:gene-LSAT_4X157120 transcript:rna-gnl|WGS:NBSK|LSAT_4X157120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTINLTSTTSIEGRLSNVSDCTSTSSISDQEDCTQINSSSVRRSRNWRKLMKKVIEGSKKSIYGSSKPLIFQYDAVSYSLNFDEGNHDDDYYSYGSR >cds-PLY84558.1 pep primary_assembly:Lsat_Salinas_v7:1:29440437:29442182:1 gene:gene-LSAT_1X24860 transcript:rna-gnl|WGS:NBSK|LSAT_1X24860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVPSLAYDHEDQEQNTEIFTSCLLQFGVHNFSTQSNSNNDSSVYYQLLNFSIQNLRFSGSNLPKPTVIVFPETKEQLAKTVICARQSALEIRVRCGGHSYEGSSSVAMEGRPFVVIDMTRLDHVSVDVNSGSAWVESGATLGQTYCAIAEVSSVHGFSAGSCPTVGVGGHISGGGFGLLSRKYGLAADNILDAILVTANGELLDRQAMGEDVFWAIRGGGGGVWGIVYAWNVQLSTVPETVTSFIVSRPGTMKQVTDLVNKWQHVAPKLHDDFYLSSFVGAGLPERKNKPGISATFKGFFLGPKSKAVFIINQAFPELRIVENDCKETSWIESVLFFSGYGVGSSISDLKNRYLQDKLYYKAKSDYVRTPIPRLGLTTALEILEKQPKGYVILDPYGGAMQSISSDSIPFPHRKDNLFTIQYLVEWKETDNDKTNDYLAWIRGFHGSMTPYVSWDPRAAYVNYMDIDLGVMNWIKTRVESNEDGAVEMAREWGEKYFGKNYDRLVKAKTQIDPYNFFRHQQSIPPVSLDNKNQRASRLSE >cds-PLY80293.1 pep primary_assembly:Lsat_Salinas_v7:3:207324472:207326631:-1 gene:gene-LSAT_3X122181 transcript:rna-gnl|WGS:NBSK|LSAT_3X122181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGFISLTIRHEDLNSSLFRYMVREMLACAVIRPVINLADPRFISVRIENVVENSARKPEKVSACSKCFNSPVAWSGNLNAIACASESCARIPRRNSWFIYFLLGTVAHRISTVIDSDMVMVLSFGEMMEYDAPSKLMESDSYFSKLVAEYWSICMT >cds-PLY86822.1 pep primary_assembly:Lsat_Salinas_v7:1:16534592:16535381:-1 gene:gene-LSAT_1X14420 transcript:rna-gnl|WGS:NBSK|LSAT_1X14420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g08040 [Source:Projected from Arabidopsis thaliana (AT5G08040) UniProtKB/TrEMBL;Acc:Q6IDR6] MADAVISLEKVKAFWRSQVQDEDNWALNTKLLRAVGLFAGSIVLMRNFGDLMAI >cds-PLY89766.1 pep primary_assembly:Lsat_Salinas_v7:1:58626935:58627378:1 gene:gene-LSAT_1X50981 transcript:rna-gnl|WGS:NBSK|LSAT_1X50981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPVSNQDSSLSVGQQKPLLPSKRKATTESFSNNPLPLHSSIPNKRVVQIDAHVNSSSPRVSPLSVNKKQTQVQSESYVCAKIRENLAAALSVGIQNKEELSNEDKNVGPQSTPTTDVDIKETSEGQKPQYNYVMPDTDGSFGDTFT >cds-PLY71062.1 pep primary_assembly:Lsat_Salinas_v7:3:163717519:163721946:1 gene:gene-LSAT_3X100261 transcript:rna-gnl|WGS:NBSK|LSAT_3X100261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEKIGTLSVDKMEESRKKEDEIEIRGCWIRFRFLGRCISTRSKVENSICGNSVPYVESKSTNNKILDQHVVHPTSSATTSNTESTPSTPNATEDLKIASQLRKFNFNELKSATRSFRPESLLGEGGFGCVFKGWINENGTTPVKPGIGLSVAVKTLNHDGLQGHKEWLAEVNFLGDLLHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWGTRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMITGRRSMDKNRPTGEHNLVEWARPYLGERRRFYQLMDPLLEGRFSVRGAQKAAQLAALCLNRDPKARPLMSDVYEVLKPLVNLKDMACSSPYFQAVHMGGNQGGGSGGGGLKGQAIRSLSIPGCPYVSPHRRGGDQLCRSPNWV >cds-PLY90951.1 pep primary_assembly:Lsat_Salinas_v7:9:170457933:170460986:1 gene:gene-LSAT_9X105441 transcript:rna-gnl|WGS:NBSK|LSAT_9X105441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFEEKKEGEKSGHEESGDEKENHGNMKRVESEPSLCETEDEGEDAEGNKIQVGPQCTLKEQFEKDKDDESLRKWKEQLLGNVDINDVGESLEPEVKILSLSIVSPGRSDIVLPIPENGKPEGRWFTLKEGCRYSLRFSFQVSHNIVLGLKYTNFVWKTGVKVDSTRQMLGTFSPQNDAYTYEMPEETTPSGYFARGSYSAKSKFVDDDNKCYLEISYSFDIRKDWATVE >cds-PLY89238.1 pep primary_assembly:Lsat_Salinas_v7:5:310891411:310892769:-1 gene:gene-LSAT_5X169860 transcript:rna-gnl|WGS:NBSK|LSAT_5X169860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKEDTVKLISAEGFEFVIDKNAAMVSQTIRNMLTSPGDFAETEHQEVTFPEISTTILEKICQYFYWSLQYASGKETEFHIEPELTLELMMAANYLHT >cds-PLY76939.1 pep primary_assembly:Lsat_Salinas_v7:8:264006210:264010378:-1 gene:gene-LSAT_8X153780 transcript:rna-gnl|WGS:NBSK|LSAT_8X153780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPANAITADALSASGLTSEKLSLPTLPSKTKCHPDCYETELTLIYSQFKSSVELFQQQAALNFTSVVSGGVGSDPTIAKDLGDLAMFLAHVTPLYPNQLLHYPKELVEFLSSSARVLPSSLRVTVTQALILLLNRKILAIKETLALFMELQILSDKPLKELASSHVIHSIRRMNQKHKNETENRALQSILFSMLQEEDEKKAMRSLVTVCDLHRRKIWFDDRTANAICWACFHPSSRIMIAALSFLLDYEKIEQDNDSDESSDEEEAIHQSHVVVSKEAIYKAKNTGATSSKKKKKAKLQRVIRSMKKQQRISSERNDNSNYYSPLNALKDAQGFAEKLFSQLQTCSERFEIKMMMVKVVARTIGLHQLLVLNFYPFLQKFVQPHQKDVTNLLSAAVQACHDMVPPDAVEPLFKQIVNQFVHNRSSTESIAVGLNVVREICLRIPLLMTEDLLQDLVLYKKSHEKAVSSAACSLISLFRGICPSLLIKKDRGRPTDPKAKPKAFGEVTVPSDVPGAELLLDDDVSNASDEDGESIDADISTDDGGLEFEEEEDDDEEMGSEEDEVSDDDDEEEVDGMCIDSRGSEKRKGQKRKFEDVDGEVDVANQSLRARKRLAGAKLEGEATTTDGILSNKDFQRIKELKAKKEAKVALANHGMLKKSSDLKSTTGFKVPTSPQLSLKRVDGFSLEANIRKKMTKEERKALIKAGREDGEKYQARAAIKQKKSGGLSNRQKEHKKAMPLAAKRSKVERSRRQKKLKAKTAGKQFRGQKAWK >cds-PLY71418.1 pep primary_assembly:Lsat_Salinas_v7:4:238087783:238092503:1 gene:gene-LSAT_4X128040 transcript:rna-gnl|WGS:NBSK|LSAT_4X128040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLLGRAIIPHLRLHLHLHLHLNTTLPAAVCFLIRRPCYTTTASESPRPIRKVPSTTTYDDKASLLSTKRHPPKDSRWENDPDYRKWKDKEAEILLEVDPITLLIKNILHSDRYMDGERLTPTDEKMVVEKILAYHPHSEDKIGCGLDSIMVDRHPQFRNSRCLFVVRTDGVWIDFSYQKCIRAYIRQKYPSHAEKFIKEHYKRSSS >cds-PLY68055.1 pep primary_assembly:Lsat_Salinas_v7:5:293104785:293105837:-1 gene:gene-LSAT_5X154941 transcript:rna-gnl|WGS:NBSK|LSAT_5X154941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSYPLFILLCMFLRFFITSCNLQRLQISPYGVQLILVNNCRQSIWPGLQGGAGHQSPKDGGFRLGGAEEVVVDVPDKWSGRIWARQGCDFDGNGKGRCATGDCSGQLHCQGTGGEPPSTVVEMTLGSSSSPLHFYDVSLVDGFNIPVAMAPVGGGVGCGRASCEVDLNVCCPSALEVKVGGQVVGCKSACLAMASPKYCCTGEYANPKTCKPTLFANLFKAICPKAYSYAFDDSSSLNKCRASRYVITFCPPK >cds-PLY78452.1 pep primary_assembly:Lsat_Salinas_v7:2:166972043:166974398:-1 gene:gene-LSAT_2X88380 transcript:rna-gnl|WGS:NBSK|LSAT_2X88380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEGKDPAIKLFGKTIQLPDGVFSQEAVSGDAAGGGGAGGDAASVKSEDRSSSSSSSSEVGEERESDDNNKDQSNDKSADKDENRAHSTISSEITDPNATSMITDTTKTPSPENESATASATTKASKSEEDQSDTSNNNQEKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKTSASQYRQITVSDSSLPIELNHTVLNPNGTVLTFGSDTPLCESMASVLKIADKTMIRKPEELIINDDNTNRPSVNDMQSCHGFSPQVPCFPGGPPPWGAPYPWTPQMGPAFCPPGFPMPFYPAGPYWGCPVPVPVSVSIPGPYWVPPPGNYHPAPPSGPISPTLGKHSRDENVKCGESEEVMKENESEKSLWIPKTLRIDDPDEAAKSSIWATLGIKKDGSVPVNRGGIFKAFESKNEEKKMIQETSTSPALQANPAALSRSLNFQESS >cds-PLY97974.1 pep primary_assembly:Lsat_Salinas_v7:3:19029352:19030092:-1 gene:gene-LSAT_3X14761 transcript:rna-gnl|WGS:NBSK|LSAT_3X14761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGQRKLKEASHRRQPPFTFPPFSSLPSLQILIPSPNLHHPKAISFIFMGNCLFGGPSEDDRIIKVINSAGGIMEFYAPVTAECITDEFPGHGIFRGNDLFWKPIPHTEFLVAGNSYYLLPLDKRRGMKVGHVRSNSLPQNTAAPYRMSFDSRRVFKRSYTDAYSSSSRNNGCGFWKVKLVISPEQLLEILSQEGRTQELIENVRTVAKCGNEAAVSCNSSSALSDQWSLCSSSRNGSKKDVVLEM >cds-PLY84988.1 pep primary_assembly:Lsat_Salinas_v7:3:122451114:122452744:1 gene:gene-LSAT_3X85080 transcript:rna-gnl|WGS:NBSK|LSAT_3X85080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKRGPWSPEEDAKLKSYIEEHGTGGNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGSFSEEEDHIICTLYLSIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKQRKDQQSSKKGAQVKQEMKREVFDDLKPPSLCMSMNLYQSYWPSEYPLILTNPDIHHQEQLHVKNQVPNFNQYPFDTTSNQAEMFHHNCDEKPISTTAYHPQLANTHYYPNGDGINLFQEFNNYPYEINELVYNTTQIEQFDGLIGGLDNIINGSNCTSSSAESTPSWGDPLVCAPPQMGYEDCRRELLQNCHRDQQPSRFQ >cds-PLY66466.1 pep primary_assembly:Lsat_Salinas_v7:5:327275166:327280541:1 gene:gene-LSAT_5X182920 transcript:rna-gnl|WGS:NBSK|LSAT_5X182920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARKLGFTFLLLAVASICEAAAIFNPISESHKSAAIELFSRADGSFSSLEEAFEALRTFEILGIDTKPDLKDSTCKSVVDTLSSESSNSKDLYHALRVGSLLKCKINKEALMGVTSRLKGSVKDAKSLLDFYYSIGGLTLIKDQTSEVDVLLEDADGIFRSIKALSQSDGRWRYSSNNPDSSTYAAGVALETLSGIITLTSSELDGNLIEALKKDAVKLFENIDKYDDGANFFDDQHQGPVSATSSVVRGLTTFASASGSFNIPGDKILGLARFFLGIGIPGNSKDLYHQIDALACLDQNRQVRVTTVLGSTSTTPPLSVKLMQVFTSGSKDASIINQELRFDPKEEVHTLDAFPAGVDVGKYIFAFEIVLSDPEHKKIYATGGRTKVPVYVTGVIKIDNAKIQVLESDSVEETQNKLDLSGKNDVALSANHLQKLRLSFQLTTPLQNPFNPHQALMKLRHESGVEHIFVVGNSRKQFEITLDFLGLVEKLFYLSGKYDIELTVGDAAMENSFSQALGHIDLDLPEVPEKATRPPPQAVDPYSRFGPMPEISHIFRPQEKRPSQQLSYAFSGLVFVPFLAFLIGLSRLGANMKNFPTSTAPATFAILFHGGIAAVLVLYILFWLKLDLFTTLKALGVLGMFLLFVGHRTLSHLASTSSKVKSA >cds-PLY80450.1 pep primary_assembly:Lsat_Salinas_v7:4:175835614:175840328:1 gene:gene-LSAT_4X103420 transcript:rna-gnl|WGS:NBSK|LSAT_4X103420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEYNRIDVQRNSGRQSSRPVSKSLLSGKSTPRGSPSFRRLNSSRTPRKDGRTGGFGTNCFKSNRIVLWLLLITLWAYAGFYIQSRWAHGDNKEGIFGGNDSNDETDKTESEQVPRRDLSVSNNSFTDQVVINVNQPDVKKTNNMVKNISNGVSKSHTIVPIKKRTKRSRRKRGNKKKGLETEISKNLEIQEEQLPNTNATYGMLFGPFGSIEDKVLEWSPTKRSGTCDRKSQFARLVWSRKFVLIFHELSMTGAPLSMMELATELLSCGATVSVVALSRRGGLLTELARKKIRVLEDKDKVSFKTAMKADLVIAGSAVCSSWIEQYLDHSVAGTRQLVWWIMENRREYFDRSKLVLNRVKQLVFLSKSQSKQWMDWCKEENIEFKSPPSLVPLSVNDELAFVAGINCSLNTPAFTTEKMLEKRLMLRKIIREEMGVKDSDMLVMALSSINPGKGHFLLLESLELTVDKSQRGLVDHGESLKKMLRGSDEKKQGGEIKLLIGSVGSKSNKVFYVKSLLKFLSNHSDLEKSVLWTPATTRVASLYSAADVYVINSQGIGETFGRVTIEAMAFGIPVLGTDSGGTKEIVEQNVTGLLHPIGHQGTSILSKNLQYLLKNPSERQRMGLQGRQKVKNMYLKKHMYKIFWEVLYNTMRIK >cds-PLY97906.1 pep primary_assembly:Lsat_Salinas_v7:4:90308772:90310489:-1 gene:gene-LSAT_4X60280 transcript:rna-gnl|WGS:NBSK|LSAT_4X60280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDEGNSRGYLLRLLIKKRNQMVTFELYRRSTIGMCLTETLDEMVSSGILGPKLAIQVLVQFDKSMVEALDNQVKTKVFIKIGEVIDGPDDGNGMKRPVLDGVQYDYGQPFDF >cds-PLY72303.1 pep primary_assembly:Lsat_Salinas_v7:4:60999105:61000030:-1 gene:gene-LSAT_4X42520 transcript:rna-gnl|WGS:NBSK|LSAT_4X42520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDHNVTLIDDVDALRDDLTLKVRVINLWKHMSCYNKDDIWAIELILLDEKGSKIQATVYKKCLYRFINMLKDGSAFYITNPSVASQKPSIFKLTPQDQKLTFVQDTVVTKCAEFSGTKFEFSFIEYESILSFLHPQDTSVDVIGLVVAVSEMMRDNSDKSKDKSKHRLTIHIQDASALQLRVILWGDYAYKMQNYIDNNPPNQHVVVIL >cds-PLY97612.1 pep primary_assembly:Lsat_Salinas_v7:5:235530019:235531797:1 gene:gene-LSAT_5X114881 transcript:rna-gnl|WGS:NBSK|LSAT_5X114881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTNLLPAARSGSAPSPLAVAKPSLPYLHRPLSALNLPSSLSSSASLSFASSSPFSLHLYRNRIKCPNKLASAPISAAYNEDPEKAKLAQVAKRLETTSRNFKRLGSLGFWGQLICTIVSAVILSFSIVITGKITSPATFYATSGGIIAAFLSVFWSFGYIRLSDRLRKTANDPAKAPPRADVVKSLKNGIALNLVGMGAAILGMQATVGFLVAKALTTSATPYYQGTPPGVSPVLALDVFLVQASANTILSHFLGLLFSLELLRSVTVPENTPVPRAA >cds-PLY93105.1 pep primary_assembly:Lsat_Salinas_v7:MU039159.1:102399:109595:1 gene:gene-LSAT_0X9880 transcript:rna-gnl|WGS:NBSK|LSAT_0X9880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase SRS2-like protein At4g25120 [Source:Projected from Arabidopsis thaliana (AT4G25120) UniProtKB/Swiss-Prot;Acc:D1KF50] MSRFDFNQGSHNPNENKPSLDSFKTPIRPVDCNATSSVTPVRQQTCYSLQEISTIMPTIFYEDFDDSILAEIDEICESETKSKIVTEESSCDIQLKKAHAEKNNYELKSNLSPVGLENFKTDNILEENSEALIIKGMPTEYAKYMQSLNDKQREAASSDISIPLMIVAGPGSGKTSTMVGRVLMLLHKGIEASNILAMTFTTAAASEMRDRIAAVAGKATAKELMISTFHSFSLQLCRLHAEKVGRTPEFLVYGQGQQRKAVIEAVRLSESGNKIKISDSDDKLCEESNVIKSCPEDFREKSKKWMKFVAQAKASGKSYEDCKNSGNAIGAAILTKYEEILQSCNALDYHDLIIYSVKLLTDFPEVFKECQESWQAIVVDEFQDTSSMQYDLLKLLASHKRITIVGDEDQSIFSFNGANVSGFKSFRKDFQPHKEVRLNKNYRSTRCIVDAASFLIQNNSKRCQLNKVLTDNSSGSKISVKECCNEDAQCSFVVDKILELTSSSIKGSFGEIAVLYRRQVSGKVFQTAFRERKIPFNVHGVAFYRKKVVRAIISMLRTTLVACDDGSYRRVFKALLPLEKDEKKKVIDHINKVSTVRKCSFISAASDIFSAKISGVFKRSHLTQGRKVLVTLDMISKLVHREQSISAVITSVANLIPQVLQYLLDDVSEFLKSYDFDLDEKDKEEKEKEKGCVNVLKSFIDFISERETENFRVRRHDNDHAVTLTTIHQSKGLEWDTVFIVKANESEIPLLHEYNGVTIDKCASLEEERRLLYVALTRARKKLFILYVTMDSNWQVILRPSRFLKEIPTHLLEIQDESSSVYLQGKKQENIPNKNSGFTDDLVKVKVKPETDETNSNLFDQDSKESSEIIFPCNGNDFLKRFSSEERAYVSHLFHQWAKKAAFKEPKRLLNKVAFVIDERLSAKKSAQKDVLRSLKSCLKTNEAFQYAENILQWLEIPADKRAYITREKQEHFQKLRIETAMDTSDPTSKQIAFLQSLGCTTVPTSRLHASRLIEQYKSL >cds-PLY95542.1 pep primary_assembly:Lsat_Salinas_v7:6:174436452:174437009:-1 gene:gene-LSAT_6X106201 transcript:rna-gnl|WGS:NBSK|LSAT_6X106201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRGLSTPDSPASKKQNALEVAQNLKKFKPSTDDTQMEKITVEKYCDSEETDDATEPKKDTSVKSTFKETSIPDVTTKISNMDAHINSSEQQSTSLLENSKVIPLEVSHSGSNMEEDETLNIKLNLSDKDTNVTMDEGMISIANSTIGTTTIKTSVVPPPTSPPTTSMILLTSTSAISLTFEGVM >cds-PLY75424.1 pep primary_assembly:Lsat_Salinas_v7:7:72369552:72370158:-1 gene:gene-LSAT_7X52581 transcript:rna-gnl|WGS:NBSK|LSAT_7X52581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLESGYNIGKIEGSKGIAMPLDDMAPVELELQDQEEHPDDVEVFADDEVDDVQLDEVQVDDAEVFADDEVDDVQVDEVQVDDVEVFADDEGDDEGVVATNNHVVDEVQVEAGIEATIEGMDDRVNNLSNLSTKPVHRKRKPPERILKLKLKKTVYDKDGSGSSATKPVKLD >cds-PLY66878.1 pep primary_assembly:Lsat_Salinas_v7:7:20539837:20541660:-1 gene:gene-LSAT_7X15841 transcript:rna-gnl|WGS:NBSK|LSAT_7X15841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLGWKPTTQFKPTERTGNLAICDLLNSAHRFIDGNIVSCDFVINSSTIGVNLWTGLSLEKNYVQVEMFRMIGAVVACIRHLAPKRPPMSQALFDFENTHQELKSDLKDLYLNSANQIDVGNKKAVVIHVPYRLRKLIGYEPLILSTPGVTYSHSESIFCCGWIPGVSRSLLDPFALGFSLVQFDQFLSTYGQPFLPTPILPKIAKMHKYYCCTKGEDFVILQEVVIL >cds-PLY82866.1 pep primary_assembly:Lsat_Salinas_v7:4:18107564:18109201:1 gene:gene-LSAT_4X12620 transcript:rna-gnl|WGS:NBSK|LSAT_4X12620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSLTVMWDGSLVVAVNNKGTCYVWRLLRGTQASGILKQNEVNSKSSTKMESPIPIPMTEYSDLDQFGSNTLLVHVV >cds-PLY74060.1 pep primary_assembly:Lsat_Salinas_v7:9:11156089:11156658:-1 gene:gene-LSAT_9X9300 transcript:rna-gnl|WGS:NBSK|LSAT_9X9300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLVGCCHFIANRHIYCAPFYLLSWPVIGITTSLRVVLVCLVPHIGITVGDRFFPLVFADGCGFVVLRSVLAGAMLIVYLWFLTVTDMLPSTLFHDSLVAISCNACFRHGSSSVCTFDDP >cds-PLY64280.1 pep primary_assembly:Lsat_Salinas_v7:7:2967066:2969064:-1 gene:gene-LSAT_7X1901 transcript:rna-gnl|WGS:NBSK|LSAT_7X1901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVNRMLLVTAIAAMFAVFVGIVCPNVRAQSMAPAPAPSSDGTSIDQGIAYALMIGALLLTYLIHPLDAFPRVEDT >cds-PLY97133.1 pep primary_assembly:Lsat_Salinas_v7:4:75177260:75178196:1 gene:gene-LSAT_4X50580 transcript:rna-gnl|WGS:NBSK|LSAT_4X50580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGADDCVVEEESNKKVIIRLRGKPAVMNTTKHLWVGAVAAMVSRTFVAPLERIKLEYMLRGEQKNLFKLTKSIASSQGLKGFWKGNFVNILHTAPFKALNFCAYDSYRKQLLRLTGNQETINFERLFVGVGADMTASILCLPLDTTRTKLVAPGGLVPSTSLATSDPETVFNIKYFSRDQRYNRPPIRRTMLNKDDIVKAMKEKSTFDVVDFTMVYLTTVV >cds-PLY86720.1 pep primary_assembly:Lsat_Salinas_v7:4:8736392:8738392:1 gene:gene-LSAT_4X5840 transcript:rna-gnl|WGS:NBSK|LSAT_4X5840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRHGKSGSFIGRRWKTTTTTDSPRSSFSSPFLPRKSSPVPVPDNINAQLSDSNDDLDEAIPDVDHDQILLEIERFTDELCTADDKTTPPEPDIIDTFSLIIKSKTRKSTRRPGRNGEVTDEDPFLYESVRLLCKLKTALGENPNTSMVMLDRINKLLHRIIMFMEEELRSFLQHSKAKLPPEPSLKMMTSSSRHFSFKPDRSNSNSTTTAIKDEDDDFPGFSEEKIIRITKIVTTMISSGYKNECSHVYSMARGNALYEQLKKNDFEKLNAEDVSRLNSWELLEPDVARWIGIIKQCSDVLIPAERKLGETVFADNVSVFRGLFINLVHSLITSLLDYARAIAKTKASVEKLFRFLDMYEALSGLREAITNNDNDEFLSDSQSRNDLNTEISSSIDRIGGAAVNTLGELENSIRNDPAKTPVPGGAIHPLSSYVLNYLKLACAYGNCLEQIFQNAKLIQPSATSEDMEKSPLAAQVASVIAVLDGNLALKSTLYKDPSLRFFFLMNNGRYILTKVRESNEIKKLMGDNWCRRKSSEVRHYHKSYQRETWTRLLQCITQEGIQVNGKVNKQALNERFKNFNAMFDEIHKTQSTWVVREPQLLSELRASISAVVIPAYRSFVGRYRHHFESAKSVDKYIKYQPEDIEALIETLFDGNINASMSRKRS >cds-PLY74756.1 pep primary_assembly:Lsat_Salinas_v7:6:121069020:121071199:1 gene:gene-LSAT_6X73440 transcript:rna-gnl|WGS:NBSK|LSAT_6X73440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFHPIEFLLAIGSSDRTVKFWDLETFELTGTTRAEAKGVRSVAFHPDGRTLLCGLDNSLKVYSWEPIIFHDAVDIGWSTLGDLCIDDGKLLGCSYYQNSIGVWAADVSVAEREGKFLVELFNKKIGKQNAGRAYSAKDIDLGDPFVYKHLGSMASVGRYKALVDLSQSKVVFHVVQNSGPQCNILSNPRSSDEVGFVHPSQFVAMSDISQANTSDMDMDFWRKDHKLGISTDSIHPLYTAAKHAFMSSQQQYKMLIGLHSKKVDNISATSSPLTGLQNELMKHSRALLLLSCDFGTAGLFLFL >cds-PLY65307.1 pep primary_assembly:Lsat_Salinas_v7:8:105258602:105260975:-1 gene:gene-LSAT_8X71121 transcript:rna-gnl|WGS:NBSK|LSAT_8X71121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:golgi nucleotide sugar transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G07290) TAIR;Acc:AT1G07290] MSTEFKLNVTINPDVKESDFNSSLEISPHGGKVNVVRRIIGGLLHQGKRPGSHILVTTERRGTNERSRNNRDTSSENNELHVDTGDQKRAHELKIRSGPLVSGAAYCLSSCSMILLNKVVLSSYAFNAGISLMFYQNLISSIIVIVLGVSGLVSLEKLNWKLVKVWIPVNLIFVGMLVSGMYSLKYINIAMVTILKNMTNILTAVGELYIFHKRQNQKVWTAMFLMIISAITGGITDLSYDATGYTWQLVNCILTASYSLTLRRVMDTAKAVTKSGSLNEVSMVLLNNLLSLPLGMFLIILFDEWSYVINAEVIKIPMFWVVATTSGILGLAISFTSMWFLNQTGPTTYSLVGSLNKIPISIAGLLLFNVPLSGPNLFSILFGLFAGIFFAKAKMS >cds-PLY77858.1 pep primary_assembly:Lsat_Salinas_v7:1:26649141:26650994:-1 gene:gene-LSAT_1X22441 transcript:rna-gnl|WGS:NBSK|LSAT_1X22441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVAGVVGGGSGGGGGGGGDTDLKSSSLSEWTFTVSRRYQHLLDKSTPHVLHRWIGFAVVLFIYALRVYFVQGFYIITYGLGIYILQLFLAFLSPQVDPELDGPSLPTSRSEEFRPFVRRLPEFKFWYSITKAFCIAFTLTFFSMFDVPVFWPILLFYWLVLFVSTMKRQIMHMIKYRYVPFTFGKRRYNGKKASVVDDGDSGRP >cds-PLY67435.1 pep primary_assembly:Lsat_Salinas_v7:6:73121207:73121464:-1 gene:gene-LSAT_6X52440 transcript:rna-gnl|WGS:NBSK|LSAT_6X52440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVELQLKIANDAFQASKPTHSPIPAPKITPVYNGPPTTKPDDFPEEPLISPPLELFITPISQHIISSTIPPNPLSKDKGKGISLN >cds-PLY77837.1 pep primary_assembly:Lsat_Salinas_v7:1:25798682:25802335:-1 gene:gene-LSAT_1X21861 transcript:rna-gnl|WGS:NBSK|LSAT_1X21861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQIQVQPLTVSGPNGVASAATTTTTPNASGVAAAAAVAGAAVAVAAAGANQSFVTTSLYVGDLEFNVTDSQLYDLFNQVGQVVSVRVCRDLSTRRSLGYGYVNYSNPPDAARAIDVLNFTAVNGKAIRIMYSHRDPSIRKSGTANIFIKNLDKSIDNKALHDTFSSFGNILSCKIAVDGTGQSKGYGFVQFDTEEAAQTAIDKLNGMLMNDKQVYVGHFLRKQERDSSLSRTKFNNVYVKNLSESTTDEDLKQTFGEYGTITSAVVMRDGDGKSKCFGFVNFENADDAANAVEALNGKKFDDKEWYVGKAQKKSEREMELKSRFEQTAKEAVDKFQGVNLYVKNLDDTIDDDKLKEIFAEYGTITSCKVMRDPSGISRGSGFVAFSSTEEASRALSEMNGKMIVSKPLYVALAQRKEERRARLQAQFSQMRPVAMAPSMMAPRMPMYPPGGPGMGQQLFYGQAPPAIIPPQAGFGYQQQLVPGMRPGGGPMPNFFVPVVQQGQQGQRMGGRRGAGPVQQNQQPVPMMQQQMVPRGGGRMYRYPGRNMGDGNMGGGGGGMLPVPYDMGGILPRGGGGGGDGAATGGIQQQPPVPITALASALANAPPEQQRTMLGESLYPLVDQLEHEHAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMDVLRNVQQQHQAANNNTNAPPPAAQLASLSLNENLVS >cds-PLY78766.1 pep primary_assembly:Lsat_Salinas_v7:9:48257529:48258082:-1 gene:gene-LSAT_9X43721 transcript:rna-gnl|WGS:NBSK|LSAT_9X43721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I assembly protein Ycf4 [Source:Projected from Arabidopsis thaliana (ATCG00520) UniProtKB/Swiss-Prot;Acc:P56788] MSCRSEHIWIEPITGARKTSSLGFLLVGTSSYLGNSFFPQGIGMSFYGIAGLFISAYLSCTISWNVGSGYDRFDRKDGIMCIFRWGFLGKNRRVFLQFLIKDIQSVRIEVKEGIYARRVLYMDIRGQGAIPLTRTDEYFTPWEMEQKAIELAYFLRVPIEVF >cds-PLY77284.1 pep primary_assembly:Lsat_Salinas_v7:4:164331021:164333014:1 gene:gene-LSAT_4X99021 transcript:rna-gnl|WGS:NBSK|LSAT_4X99021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESESTTRSHCLRAADMYTKINEMMKIQPAGGWPKYHSYYLKKKTSNIHQRNYIYMKHQDPKLQSSQKVVAAFTGKFFRDVKLPQQKPQDDGVLFPAVLSPNHNTDSTAVEELRDFEEAIRAQKPWLESLLQKSGAIIFRGFAVSSPSDFDRVVEAFSYAEFPYLGGIARRTKVVGRVYTANESPLHMGIPFHHEMSYVPDFPTKVFFYCDEEPGEGGETPIVLSHIIYEKMKKKNPQLVALLEQHGLTYTEVISDEDDSSSFTGRSWKSKFKTDDKNVAEETAAKLGIKIEWIGNAAKATTGPLPAVRFDKESRRKTWFNPITTTYSGSAGKLLSVEIGNGDPLPDDAVEDYRKILEEECVAIPWKKGDVLLINNLMVLHSRRPLLKPPRRILASLCK >cds-PLY86509.1 pep primary_assembly:Lsat_Salinas_v7:8:135100735:135105662:-1 gene:gene-LSAT_8X91881 transcript:rna-gnl|WGS:NBSK|LSAT_8X91881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNTQVVKLRRESVAACMTCPICNKLFRDATTIPECLHTFCRKCIHKKLTDDELECCPICNIDLGCVPLEKLRPDHSLQDVRGKIFPSKRRRVKAPEVVPSVTLPLRRKERSLSSLVVSTPRVSTQTTMTGKRSKVPSRKKPRGSSFSIEKSVKKEENSMDDHQDSSSSREISNKFTQNNNSSPSSAPSPDKETKNGKVDMWKPLNYLVEVANRSKSSKFTSQGSSVKSEPQNTPKSEGQLRKLKGKDQLKRSKFQDENGRSDVDVTESAKPKKIRKKRKNNAGNATVMDADANNAAMEKRISPIWFHLVPSQQQEGEPLGQIEGSFVRLKASYYMEDGIVGPMDANIPVSIIQKFVMSKLKLASEHEVELRCMGQPLVPTLLLGNLMELWLQTQPTSQTLSVIIGSSAKEYMMEISYARKISTPIPIPTPVPIPVPAPAPTPTPTPAPAPVT >cds-PLY95420.1 pep primary_assembly:Lsat_Salinas_v7:9:191292678:191294041:1 gene:gene-LSAT_9X117941 transcript:rna-gnl|WGS:NBSK|LSAT_9X117941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRFGKAIKAVKDQTSIRLAKHGHKASLADLDVAILKATSHDENPPDEHLMVEILTLTTDSPLYVASCVNTISRRLSKTRNWIVALKTLMLVQRLLHDGGPAYEQEVFFATRRGTRLLNMSDFRDALKSSTWDYAAFVRAYALFLDEQLEYKMEGKKGIREFSQDLEQHEFMIQGRKGKHGSRSHDLDQQIEFEMQGRIGRHGSCSYVQEGGELTLSAAVTVPVREMTMDQLFARVNHLMQLLDRFLACRPAGGARIQRLVLLALYPVLIQSFQLYKDIVEIMGVLKDKFEIDDLNVSHSVKVYGIFRRVSKQYEELDMFYYWCKTASNAKNAEYPEVDKISQKDLDAMDERVKEKSGVTN >cds-PLY67910.1 pep primary_assembly:Lsat_Salinas_v7:1:55617967:55619190:1 gene:gene-LSAT_1X49881 transcript:rna-gnl|WGS:NBSK|LSAT_1X49881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAYPAARVRKYNWSVKAIRRKTTGTGRMRYLRNVPRRFKSNFREGTQATPRSKGAVATA >cds-PLY89102.1 pep primary_assembly:Lsat_Salinas_v7:4:145811272:145816993:-1 gene:gene-LSAT_4X89100 transcript:rna-gnl|WGS:NBSK|LSAT_4X89100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSAYGARLTTFEDSEKESECGYVRKVSGPVVIADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLTVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKISNDVYIPRGVSVPALDKDILWEFQPRKIGEGDHVTGGDLYATVFENSLVEHHIALPPGAMGKITYVAPAGHYSLKDTVLELEFQGVKKQFTMLQTWPVRTPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSTALESFYEKFDSDFINIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFYNLANQSVERGAGMDGQKITYTLIKHRLGDLFYRLVSQKFEDPAEGEDVLVGKFKKLYEDLTAGFRNLEDETR >cds-PLY69813.1 pep primary_assembly:Lsat_Salinas_v7:8:259433108:259433786:1 gene:gene-LSAT_8X151120 transcript:rna-gnl|WGS:NBSK|LSAT_8X151120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESQNEIVFRILYSSDKVGSLIGKSGTIIQAIQNESGAHIAIGAPVSDCDERLITISTMECKKSVAESRNSASKNAVILIFNRYVESGFQKGMDMASSSGAQVSARLVISQNQMGYLLGKVGSIVIHMRKMNGAFIKIVGDHQVPKCAPETDQVVLMTGEMINVRDALYNVIG >cds-PLY81540.1 pep primary_assembly:Lsat_Salinas_v7:2:126365883:126367345:1 gene:gene-LSAT_2X58900 transcript:rna-gnl|WGS:NBSK|LSAT_2X58900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSHLLIYGSVNTSLLSKLIRVHPSLNFSNLRFLIRLNSLIMYNEFSGGVLPFLISVNVIRRDRRLKSLDVNSEFLIISREWRFSMSKICQTDLTNIEVLRVASMGCVWFA >cds-PLY62269.1 pep primary_assembly:Lsat_Salinas_v7:5:162404769:162407165:1 gene:gene-LSAT_5X71180 transcript:rna-gnl|WGS:NBSK|LSAT_5X71180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKSPNIGGNLLPPSGRHSVFSPQSGNNFGPKPEPSGSTTLPPSPIGHVASDSSPFSHDISRMPDNPPKHLGHRRAHSEILTLPDDISFDSDLGVVGGFNGPSFSDETEEDLFSMYLDMDKLNSSSATSSFQVGDSSSSGIQASEPATLQPEKPRVRHQHSQSMDGSTTIKPEMLTSGPEETSSVDAKKSMSAAKLAELALVDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATSLSAQLTLMQRDTNGLTAENSELKMRLQTMEQQVHLQDALNDALKEEIQHLKVLTGQNISNFPQTSFSSNHHQQPPQQQQFYSNNQAMNMNMNMNMNMNTMLTAQQFQQLQIQSQKQQQQHHLHRQFQQHHQQQQQQEHQFPAEMKQRNQMTNLPLKEHGADFNLHAPKD >cds-PLY62926.1 pep primary_assembly:Lsat_Salinas_v7:3:144354230:144358190:-1 gene:gene-LSAT_3X94380 transcript:rna-gnl|WGS:NBSK|LSAT_3X94380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSPIGFFPAEQTKTTTTTTTTSTQEDMKNTDAVDSPLRSRTTTSTNPATAARRRTAINATSAKTSPNLCRSQSFGREIGHAASETYLITRLAFTLLRYLGVGRRWISRLLALGLYAMLLMPGFLQVLHQYFFSTQIRRSIVYGDQPRNRLDLYLPKNIDSPKPVIVFVTGGAWIIGYKGWGSLLGFQLAERDIIVTCIDYRNFPQGTISDMVEDVSRGISFICNNIANYGGDPNRIYLMGQSAGAHISSCVLLKQAIIESKGGPTSWSVSQIKAYFGLSGGIMEGDESLQEFSPEILIEDPTAKNAVPLLPHIVLFHGTEDFSIPPDASITYVDALKRAGAKAELILYEGKTHTDLFIQDALRGGKEELFDYIVDYLHAGDTEALANDAMAPPRRRLCPEPLLKLAGLVSPF >cds-PLY88675.1 pep primary_assembly:Lsat_Salinas_v7:5:67680242:67681372:1 gene:gene-LSAT_5X31541 transcript:rna-gnl|WGS:NBSK|LSAT_5X31541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFQKGSKVEVMNKTELPTSWCVAEIVSGNRHTYNLRYDCYPGVEKVSREFIRPCPPLSNDFQSWIAGDIVEVFDDNDNSWKTATVCKVTDQGHFSVRPHGFTHERNVPKSEIRTRQSWQDGHWVPIVKVLLLLPPLLIMEILHKSNCYWIIKISGSYGDVNAKNCQENDNDVGFQESRVVSSKTLKRASPFCSSPGNAKKLKENRRRLIPNQSLEKVDAFGFACANEKNLNGFYEPEKEKLNVFDGCSLSRIPEPDNTDDTDTDTDNDECSVGSCSVSSYGQNKSTSPCKETDNILSSDAESFNTSTPSWAESIHRLELHGYRSTLEALYASGPLSWEKEALLTNLRINLHISNDEHLTELRHLISSGAGFLDYQ >cds-PLY99107.1 pep primary_assembly:Lsat_Salinas_v7:5:138390711:138391990:1 gene:gene-LSAT_5X59120 transcript:rna-gnl|WGS:NBSK|LSAT_5X59120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNQNRCLGEMRKLAAGGGHYAVLIDACSLKELCEFQIADCVTPWNAFMIEDVAYRTGSDALVRLCERLRIKQLLENRDLVLARVRFQGTKTSFSVPATKTLITPVQCKSLWSQFQKETEYTITQAIASQEDNKRNNNWLPPPWAIAAMVVLRFNEFMTLLRNPLWLLVIFVSYLLAKALWVQLDISGEF >cds-PLY90798.1 pep primary_assembly:Lsat_Salinas_v7:2:107686504:107689268:1 gene:gene-LSAT_2X47780 transcript:rna-gnl|WGS:NBSK|LSAT_2X47780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 4 [Source:Projected from Arabidopsis thaliana (AT1G50710) UniProtKB/Swiss-Prot;Acc:Q8GYM3] MAKGGGGQNLPADVTQLIDQLERHCLAPDGSLVSKSVYNDLQLAREEMSRERLRYLEAMAIYCEAIGMVEDHQQAVSVANLGGIRDTHSSNLGLKNSPEVYEALEHRLVVAEAAQRLRLPLISKDGEVHEEEIEKLSGFSSNYTNITPESTVGGVPNRFLGITPAYLWQSQVQHAPLPMDVGEYQMPLLREIEIRLKAKCDKLADAFIDDLDSSTGSQNSTARLPERVKIMIEEIEREETALREDLYSADRKFAEYYNVLEQILGVLIKLVKDLKLQHQHKYDELQKTWLCKRCETMSAKLRVLEHILLLETYTQESVPALHKIRKYLVEATEEASLAYNKAVTRLREYQGVDPHFDTIARQYQEIVKISPVM >cds-PLY71163.1 pep primary_assembly:Lsat_Salinas_v7:9:82235835:82239880:1 gene:gene-LSAT_9X65700 transcript:rna-gnl|WGS:NBSK|LSAT_9X65700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAASDHVYFEEESSMPTLTSQSSNCQAILNPSKYPEPLQIMVECMKCSFLSRALSTAKEVPMRIVTLAFTTAIVNKVNDTVSFQLQGGKRTIISKKNFAKLLCLPTQGPYVTPTSEDLIDMFNSMGHEPYMKKLSEFKKSKLPAVWSLLFGFILRGLTSRACGLDAARREVLSLMYGLYKGVNVDFATVLWGDFVDSIKHSKRETELSAHRFWGLIVSQAYEFHKIPIEESEIPKMVIHQISIPTKVNQSHFSFVGQIPEEMLSLIKCPSKILDQYRASLIIPYPVRPAPKEGEDVKLTKMIRKKRKTPLVRSKLGSTNVKTEFKKKSKRVKKPRIVEEPVAEEEDTQRHQGGSNFEEDVSITTALTIVTSLAETILMSAATTPVETTLVETVPISEPVVENSISEPMSIFEHLTTSETHISTLDHSEATIFERPLKNTLGNVSIDEEEEVIDGFVFKAVEDRFFDDVVDDFEMAALGEDFVASDEEDDEDDNQLMSKRDFKKLNRKLNVVLRSLDSNTQSAQHFNQEKMLVDWSVMLSDQNKKIDSLTNGFGLFKDHINIETKSQMTKVQEVMFNECKKLLDEISKTREENEKSLNKAFSDLKSQLKSLYESLTEAKQREITLQNELTKALAHIEFLRSYTNVVNPEELAKQVETQMVASHLKSLQPVIETYPNSVPKVSLSPSKQGGGESQQFNIMTPEIILISSEGTSSVPSSEATFLATLPSTISNTVAFPVSTQFTKSIPLPLSKPLSIGSTAVTTMLLSSSEPNSSKGKENMEVLSKEELRQRKTEERNRSQLNFDAEYVKGVAEEEKMEAENRVNLIRSLGFSENTVFDLVPKESCSVINSLEKQFDFPISPRAYGYPIMSPKTNENIGDLSYNERLVRFYAFVGKPSKYSWSPKIIRSVESVIETESFENVFQNFKFVVRRDIMDDITFTIADFPNLNPHDLIVLLKLLKDPNSVAMHSIKTFLCYYYRDVARTDIVLAGAINQKVKLPNKEAEGIDNIGAGEIVTKPTWGSTYSVKVAGGRSKKVFFRMNEKERFPNNVLEGIIQRIMLNSKNSESVRKKAVDMLRWWLKIREVLLELVPVLFPDLMKD >cds-PLY66883.1 pep primary_assembly:Lsat_Salinas_v7:7:17842525:17842767:-1 gene:gene-LSAT_7X14460 transcript:rna-gnl|WGS:NBSK|LSAT_7X14460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYDVFLNIRDDEAEHCKTMKACQTHGNLRSPHSSEETVTPVSTVSSEDDLGCILPGAECEGIVDCIKKSITNPPVNHIKP >cds-PLY94800.1 pep primary_assembly:Lsat_Salinas_v7:2:178167861:178168190:-1 gene:gene-LSAT_2X97500 transcript:rna-gnl|WGS:NBSK|LSAT_2X97500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQHPLSNLATPAVSTVSMPLLHYLVINSYHHDPSLPLVTLNYYPSPPPLIVTDNAIVAAPTFATIRWLSVGGPPPLPTCRFLYVFGDPDLINTRISLQPFLPSHHRRY >cds-PLY98222.1 pep primary_assembly:Lsat_Salinas_v7:7:173529613:173531201:-1 gene:gene-LSAT_7X103340 transcript:rna-gnl|WGS:NBSK|LSAT_7X103340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSSRKFFHARHPSNIGRRSVVLTLCSLIGLLFIGIVVLRQDITGVYKCKHSKPISVSVVWDRSGGSSDLVSEGDQNRHKVMGFVGIQTGFASAGRRRSLRKTWMPSDHQGLQRLEEATGLAFRFIIGKTKDSSKMAELRREVEEYDDFLLLDIEEEYSKLPYKTLAFFKAAYALYDSDFYVKADDDIYLRPDRLSLLLAKERHHTQTYLGCMKKGPVFTDPKLKWHEPLGYMLGKEYFLHAYGPIYALSADVVASLVALRNNSFRLFSNEDVTIGAWMLAMNVNHENNRQLCQPECTTTSIAVWDIPKCSGLCNPEKKMLELHQMSMCSNTPTLVSNE >cds-PLY66872.1 pep primary_assembly:Lsat_Salinas_v7:7:17305985:17307481:1 gene:gene-LSAT_7X13421 transcript:rna-gnl|WGS:NBSK|LSAT_7X13421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARLGRSSTRYGPATVFTGPVRKWKKKWIHVTPTNTSAASNNKHHHHQHQSNGSSSSNGNNNNASASHLLLYKWTPIRQTHNTSANNNNSNGDANEDGGAAEVDEEPPRRKMKYIPIAVLEEQNSEEPEVQADEDKPKPVESDSLAAPDDESSLKKEKPDINDMPMEENQVSENIDVEREDLNKSNVGLSLNLNEGEGEGEGEGDGDGDGDGGEESEEAKDETNDD >cds-PLY80031.1 pep primary_assembly:Lsat_Salinas_v7:9:46626751:46628498:1 gene:gene-LSAT_9X43240 transcript:rna-gnl|WGS:NBSK|LSAT_9X43240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAAILTTMVEVAILICLLQLATATATATATTAAASSLSYPSHQILAVQEIIDTTKSAAQVPQTQLLDHETIESGESHGGKRWKLNLVHRDKLSENDDGHRRRTEARLKRDVKRVAILNSRIGGNHTKGGVEGGRFEVEDFGSEVISGMRQGSGEYFVRIGVGSPPRSQYMVVDSGSDVVWVQCLPCKQCYQQSDPLFDPASSASFVAVSCASPVCQHAETAVGCHGHGGGRWCKYEVSYGDGSYTKGTLALETLSFGNTFIRNVAIGCGHRNQGLFVGAAGLLGIGGGSMSLVSQLGGEAGGAFSYCLVSRGAGSLGSLEFGRESLPTDSAVWVPLLRNPRFPSFYYVGLSGLGVGGVQVTIPEPIFKLSDMGHGGVVMDTGTAVTRLPTVAYAAFRDTFIVQTTNLPRAPPVSIFDTCYDLNGFVTVRVPTVSFYFSGGPVLTLPASNFLIPVDDMGTFCFAFAPTSSGLSIIGNIQQEGIQISFDSTNGLMGFGPNVC >cds-PLY90130.1 pep primary_assembly:Lsat_Salinas_v7:7:15067236:15089823:-1 gene:gene-LSAT_7X12221 transcript:rna-gnl|WGS:NBSK|LSAT_7X12221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G45140) UniProtKB/Swiss-Prot;Acc:F4KD38] MFIRENSDRFEYSVGGIIFCMREVDRQFLAAPVKSAVDKFQLLPEFLKVRGLVKEHLDSFNYFVNTEIKKIVRANDLVKSRLDDKVYLRYRDVWIGTPSVVVDGVTDEMSPQKCRLGDMTYSAPIYVNIEYCNGNHGQNTHPLFKREVIIGRMPIMLKSSKCVLYGKDEDELAKVGECPLDPGGYFVVKGNEKVILIQEQLSKNRIIIDTDKKGCIQASIMSSTAMTKSKTVIVMEKEKIYLSLNSFTSKVPIMVVMKAMGMESDQEVVQMLGRDPQYAALLLPSIEDCANHGIYTQEQALEFLEKKHKKNKFGKDEGALGVLRNIFIAIVRVRDNNFHPKCVYVVVMLRRMMDALLNKDSMDDKDYIGNKRLELSGQLISILFEDLFKTLNTNLAKLVDSILNKSSRSSRFDISQHLMKDDITNGLERSLSTGNWNVQRFKMDRKGITQIVSRLSFIAAYGYMTKISPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEQCGLVKNLALMTHVTTDEEEGPIITLCSCLGVEDLSQLSGEELHSPSSYLIILNGLILGKHRKPQFFANAMRKLRRAGKIADFVSVYVNEKQRCVYIASDGGRVCRPLVIADKGVSRIKHHHMKELKDGVRNFDSFLQEGLIEYLDVNEENNALIALYEGEATSETTHIEIEPFTILGVCAGLIPFPHHNQSPRNTYQCAMGKQAMGNIAYNQLCRMDTLIYLLAYPQRPLLTTRTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIVMKRHTAAFQKYQNSCDKFSPPSRAPHDREKNQILDNDGLASPGEIIRHQDIFFTKLCPENANVSISSHPSNTKYRPNRQTYKGAEGEAAVVDRVALCSDKRNNLCVKFMIRHTRRPEVGDKFSSRHGQKGVCGTIIQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGSKAGVSCGKFHYGSAFGEPSGHAHKVEDISETLVKHGFCYNGKDFLYSGITGMPLQAYIFMGPIYYQKLKHMVMDKMHARGMGPRQLITRQPTEGRSKNGGLRVGEMERDCLIAYGASNLIYERLMISSDPFEVQVCRKCGLLGYENHKTKAKVCSTCKNGDNISSMKLPYACKLLFQEIQSMNIVPKLTLSDS >cds-PLY93635.1 pep primary_assembly:Lsat_Salinas_v7:MU039104.1:249480:252866:-1 gene:gene-LSAT_0X15880 transcript:rna-gnl|WGS:NBSK|LSAT_0X15880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVCGSKETGCVRVGFGSRKKKKHEDRSDGDAIPKVRERRRRRLGRKKTNGVTNRYSSRSKVDPSADACNSIDRSYRNPTFQGSTEWFDTATGIESDGDEDFYSIQDDTVSQSSSISASVTPRFSDHVNGASFSTSESLTKPNDLPPVATSAGYENGSHIFGLQNNCLPCLNCTTSTDVKSKSSCSSPQSAKKKVTSRLSFKWREGQSNLSILSPKAILQRPIAGSQVPYCPIEKKMSDCWSTLDPNTFKVRGHNYIRDKKKENASNQAAFYPIGVDVFLSPRKIDHIARFLELPKVESSGKIPHLLVVNLQIPLYPPAIFQHEYDGEGMSFVFYFKISENYEQLPIHFQENIRKIIDDEVERVKGFPVDTISPCRERLKILGRVTNLEDLHLSSAERKLMNAYNEKPVLSRPQHEFFLGENYFEIDLNMHRFSYISRKGFEAFQQRLKHCILDFGLTIQGTKAEELPECILCCLQLKEIDYNNYNLLGF >cds-PLY97645.1 pep primary_assembly:Lsat_Salinas_v7:5:238633698:238636295:-1 gene:gene-LSAT_5X113321 transcript:rna-gnl|WGS:NBSK|LSAT_5X113321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein ZOP1 [Source:Projected from Arabidopsis thaliana (AT1G49590) UniProtKB/Swiss-Prot;Acc:Q7XA66] MTETKDAKDKEKKKTTRVLKQIEEKASHSYQNDISTLKARDSDATSLGAQTSSEEIGDQFTMYGEWEYDTSAGYFYNQTNGCYYDPKSGFFYTNALGKWATLKEVLAAATKLSSQNKPNLATSKSPFQNGQHHKDNVTKSKKPSNMVEKKVPKKKTSRVLTLAQIEEKESNATSLAAQTSNEGIGDGDCGHHLSTMFGDWERDTSYGYYYNQTNGCYYDPNSGLYYPHDLGKWVTLKEALAATPKLASKSQPQTQPSSSVHIKKRKQPNSKPKLVSEEEEAAIKAREVERKRVEEREEYGKLPIRFTYARKKRKDLTD >cds-PLY90398.1 pep primary_assembly:Lsat_Salinas_v7:9:173205540:173206613:1 gene:gene-LSAT_9X107321 transcript:rna-gnl|WGS:NBSK|LSAT_9X107321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQLSHISLVALAFFGLTFAYEPDALQDFCVADPNSSVNVNGKTCKNPTQIQANDFYFSGLHLMGNISNPLGLRVTPVTVAQLPGLNTLGISMVRIDLAPWGINPPHTHPRATEIITVLEGSIQVGFITSDPENRLISKVLYKGDVFVFPVGLVHFQRNIGSKCAVVIGALSSQNPGAITIGNAVFGSNPTITSDVLAKAFQVDKKLIDQLKAKF >cds-PLY70787.1 pep primary_assembly:Lsat_Salinas_v7:3:135004010:135004543:-1 gene:gene-LSAT_3X89380 transcript:rna-gnl|WGS:NBSK|LSAT_3X89380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDPYHRSSVLLESVANSKLRNTEMDILSQTSNTSPFPMDHSRKKKTRSIRDAPKKVAATIGKWRKAERKRQQGVFGFLRVS >cds-PLY70816.1 pep primary_assembly:Lsat_Salinas_v7:4:58499786:58500856:1 gene:gene-LSAT_4X40061 transcript:rna-gnl|WGS:NBSK|LSAT_4X40061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKSTTRSHNDDKRFKTCDNGGAADLNHDVLFLVMMQLRFIDFLAFSGVCKSWRSFVHSNRNKFMASRPPMLMWIHYCSDNNEYYLEDFKGRRFKTLFPRSSHRGCVGLTYGYIILFAWETKDFWLVNPITNHELYFPCVPNFDPGFMREGARAVLVFSTSISGWVFVMSYRLSDKIWFCIHGKGGWSHVSSTFPILDLHAFKGKIYALNNDFRLYEMSLNPHHPKLTLLEINNCLKSCFLRPKLVSLAGEKLYVIDHIPGKDGDLYRVQELDFGKMKWVLPQEKTNGEEYAFFFTILCNGAPVDGAAVKLGSQYKMHECFPNISTRSRKGRFYATQDMWYFPHECMTVHHLIE >cds-PLY95078.1 pep primary_assembly:Lsat_Salinas_v7:1:94450305:94460802:1 gene:gene-LSAT_1X78741 transcript:rna-gnl|WGS:NBSK|LSAT_1X78741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDARTPVFSSLCYQTVVETKDRSIFVASAFSGHQQVHNNFLKKVVDNCQTAVQDRDHKFLTKVVEEAYKGVYNGDGGPFDAVVVCKYEIVMSCHNMVLKHTDPNDHAEVTTIKEPLTIYQAIQIASVKQLIPKTTDELCIHYLGPERKVYQLAVFGELHALLPGVRVHIDFVGPAIPHDSYHY >cds-PLY64812.1 pep primary_assembly:Lsat_Salinas_v7:2:101937165:101942681:1 gene:gene-LSAT_2X46341 transcript:rna-gnl|WGS:NBSK|LSAT_2X46341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDISTGVGDDRKVTKLPIPGKRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKALEENCTPKEICDKYHAIHRDVYKWFNISFDEFGRTSSPAQTEVCQAIFKKLLENNWLSENTMQQLYCDTCNKFLADRLVEGNCPTPGCNYDSARGDQCEKCGKLLNPTELINPRCKVCKTSPRIRDTDHLFLELPLLEEKLREYISTMSVAGGWSQNAIHATNAWLKEGLRQRCITRDLKWGVPVPLEKFKEKVFYVWFDAPIGYVSITKCYTPEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLIGTEENWTMMKTISVTEYLNYETGKFSKSKGVGVFGNDAKDTNIPVEVWRYYLLTNRPEVSDTLFTWADLQAKLNSELLNNLGNFINRVLSFIAKESGLGYGSIIPDAPNAESHLLTKTLGDKIGDYVDQYVEAMEKVKLKQGLKLAMSISGEGNAYLQESQFWKLYKEDLPSCSIVMRTSVGIVYLLACLLEPFMPSFSIEASKCKSVLKQLNLPLQLSLCDDKGDIKKAKTPWEFIPIGHKIGTPVPLFKELKDEEVEFFRNKFAGSQADRADRAVKEEAEAKKVTEKLKNTKISDKSGKKEKGEKSGVSEKAKTKGAPAVEKEVTISRLDIRVGVITEVQKHPDADSLYVEQIDVGEEQPRTVVSGLVKFIPIEQMKNRKVCVLCNLKAATMRGIKSHAMVLCASTSDKVELVEPPEDAVVGERVKFSGFDGEPDDVLNPKKKIWETLQVDLHTDKDLIACFKDLPFTTSAGVCRVSSISNGTIR >cds-PLY87481.1 pep primary_assembly:Lsat_Salinas_v7:2:135859761:135861228:1 gene:gene-LSAT_2X64460 transcript:rna-gnl|WGS:NBSK|LSAT_2X64460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWACRCRVEGISDSVTTQIEQEPTFKKDLIVDSIRHQMVVDEMLITSLSGTLRSPTDTMGKVGTVGEAIEIEFGDFEPNFVYQIAPVKINPSLWVANLVKKLKDI >cds-PLY86604.1 pep primary_assembly:Lsat_Salinas_v7:1:132769482:132770679:1 gene:gene-LSAT_1X97101 transcript:rna-gnl|WGS:NBSK|LSAT_1X97101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQISKAPVPKYLKDDELTQECKDLLSSLPTEMGWVASTFYKYQGFWHPCRHLQGILSCQKHFEAQDKDTLLVTTPKSGTTWLKALLFTLINRTHFHHSAHNHPLQSNSPHVLVPFLEVKLFLEHENPDLSSFKSPRLFSTHMPYVSLPKSIHDSKCKLVYLCRDPKDTLVSLWFFTNKLRLQEMGSRSLEEAFELFCGGVSMYGPFWDHVLGYWEESLKNPDKVFFLKYEDMKDEPVVHLRKLAEFLGCPFSSEEEKDEVLEGIVELCSFDNLSNLKVNKVGKLPSGEDYSVFFRKGEVGDWKNHLTSEMVERLDRICKEKFEGSGLSF >cds-PLY97535.1 pep primary_assembly:Lsat_Salinas_v7:5:239056817:239058163:-1 gene:gene-LSAT_5X117540 transcript:rna-gnl|WGS:NBSK|LSAT_5X117540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTFFFIGGLLFIFYVSSSSLASTGQHLTTLKQPFLASTSQQLTALKQTDLQPEDFKLKVDPTLHFENPRLMKAYCALQEWKKAIYSDPQNLTGNWVGPNVCSYEGVFCSHALDDPNILTVALIDLNYGDIAGQLVPHIALLSDLGVFHINSNRFCGVIPETFSKLNLLFEFDVSNNRFVGPFPKVVLDMPHLRFLDIRYNEFEGKLPPELFDKRLDAIVINNNRFHSTIPENIGNSRVSMLVLANNKFTGCIPKSFGNMPRLEQVSFSNNLLTGCIPEEIVNLQGLILFDVSNNKFIGKLPKNLHTLKRVERFDFSNNRLTGTIPKSICSMPALLDFRIYRNYFHEISGECDKLVDEKLLSMKEKDNCFPKRSHQKPDKICSQMMNIQVDCKNVGCSTPQNNVVEPGNRKITPHKLKPPPQKVHPPPTPVPQPPKPTTKPPPVVRGH >cds-PLY68662.1 pep primary_assembly:Lsat_Salinas_v7:5:166849293:166850518:1 gene:gene-LSAT_5X74540 transcript:rna-gnl|WGS:NBSK|LSAT_5X74540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKTRSMTRNENHNASRSKRFKTCDDDDDPAPWSDLNHELLFTVMMQLGVVDFPAFSGVCKSWRSFALSNKTKFMESRSPMSISISTESNEEDYNYYLDDINTEGRSFNSILPHSGGRTCVGFTCGHLILFGSQTHDFWLLDPVAKHGVHFPDIPSSVSDDPAKIRGVLVISPSKSRWVFVMADGSTNIWYSISGQGEWNHVSSTSPIVDLHTLNGKMYAIDNVCHLCELILNPEPKLSILETKNFPIFPKCQQFFKSCESLYVMGCLPEGYYFYHKLDFEEMKWELCKSSRKVGASSSHNKLKPSAAVKHGKANAVSVAHWHVVMPTKVLLVIFSFE >cds-PLY67723.1 pep primary_assembly:Lsat_Salinas_v7:4:4108835:4109311:-1 gene:gene-LSAT_4X3140 transcript:rna-gnl|WGS:NBSK|LSAT_4X3140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSYSLSSSLNRSSKKSTVNDPKTCDCGFPARILMLTTPKNPGRHFMVCNEGKCKYWKWLEVEPVQIPLMEVVEGMKAELVALKTEVERVKEDMEQMKKEKYSDVIAMKEKIYKFIIGVLFLIIVYMMK >cds-PLY99220.1 pep primary_assembly:Lsat_Salinas_v7:4:367259615:367260456:1 gene:gene-LSAT_4X180041 transcript:rna-gnl|WGS:NBSK|LSAT_4X180041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLFPNHSMIRLKSYRDKYLVADNDEESVSQNRDGSTRNSHWTVEIIDEDCISLRSCYGKYLTASNKPSIPGMRARYLKVAQTQLGNLNADNPISAVDDCSVTGKWSSALQWVPVITEDGSEVRLKTHYGNYLQSNKGPPPLGAMVTHDLPRKEGPMNKRISWQVELVDSTSDLDASKHSDSVKTRMALYAKAFASKGKEKIKGSGKGGKA >cds-PLY94440.1 pep primary_assembly:Lsat_Salinas_v7:6:9715663:9715929:1 gene:gene-LSAT_6X6920 transcript:rna-gnl|WGS:NBSK|LSAT_6X6920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIQHAGIRILAITVFILVVAPVSNSRNIHRITIEGFNQRFQPKHHSYYKHPWHTTAAAPKESRNYNTDPITGVSKRETPGGPNPLHN >cds-PLY89298.1 pep primary_assembly:Lsat_Salinas_v7:2:51283903:51290531:-1 gene:gene-LSAT_2X24400 transcript:rna-gnl|WGS:NBSK|LSAT_2X24400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRNSSSGKILWLIIMPLVLIFGFMALKKSNWDIRFVNFYSGVSSVTEDDSAEPGPVSSENLGGLDWRWTADGGGGQMAEAPSPSSSSSSPTITLEEDMDMQQPNVTMEEDNFATMLNGTEMFISTNETHDPSTIVEVQRNFSGLYKLEASLVQARAAIKEARNGNQMNDPEYVPYGPIYWNASVFHKSYLEMEKMLKVYVYEEGEPPIFHNGPCKSIYAMEGNFIFQMETSKFRTWDPEKAHIFFLPISSTMMVRFILDRKSQDHWHPMKRTVKDYVELVASKHPFWNRSLGADHFIVACHDWGPELSKAVPNLFTNSIRALCNANTSEGFKPSKDVSIPEILLRDGTMHGLVGGPSPRQRHVLAFFAGGVHGPIRPILLEHWENKDPDIQVHTYLPKGVSYMDMLRQSRYCISPSGYEVASPRMVEALYTGCVPVLIKDHYVAPFSDILNWRSFAVIVDVDDIPNLKKILMGISTRQYLRMQRRGKQVKRHFEVNHPPKRYDVFHMILHSVWLRRLNARIHGMN >cds-PLY68437.1 pep primary_assembly:Lsat_Salinas_v7:2:58094859:58095698:1 gene:gene-LSAT_2X26560 transcript:rna-gnl|WGS:NBSK|LSAT_2X26560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDISLRCNKKDRKCRLEDYEGRVYETTLTHSAGMYYVGLTCGYFILFRMKTNKDFWLVNPITRYELNFPPAPWMPDYVSDIKDEGIWNHVSIFDLRFCRDLYVFKGKIYTINSNYYHLCELTLNPEPKVTLLKTVIVMNDLDIIYCPQLVSCSENLYVMESFMYGCLFNVYKLDSGKMEWVHFEDTGEEHGFFFSGVGHGAAVKPEFWAEPWSQYQRYDVDNGGGHGRVFPAIDEWYFPHECLNVNLLDESS >cds-PLY86359.1 pep primary_assembly:Lsat_Salinas_v7:8:28360572:28361364:1 gene:gene-LSAT_8X22940 transcript:rna-gnl|WGS:NBSK|LSAT_8X22940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPNKAVVVLNGRFAGRKAVIVRQFDDGTRDRPYGHCLVAGIAKYPKKVIRKDSAKKTAKKSRVKAFIKLVNYNHIMPTRYTLDVDLKDIVTVDALQSRDKKVTACKETKARLEERFKTGKNRWFFSKLRF >cds-PLY88237.1 pep primary_assembly:Lsat_Salinas_v7:8:149732885:149735899:-1 gene:gene-LSAT_8X100701 transcript:rna-gnl|WGS:NBSK|LSAT_8X100701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDRLGLECQVCGFVGMDDGSDGFFYCQRCGSQADGIRDTAVDNDEILLTKENVGGIVQRRVAVVKPEPLSQSQPPSQFWETLRTQEVDDDDDDGVGPTEPIDFGRRPRTLSYEDYYSEIRMRYVMGVQIMIELQVKALVEKFNVCPIIVDMVEPIWLRFVASTKLFSDDWADEVINESESQVQGETEVVVPIAKHKSEPHNILGKRSVMIWYRSVSKTIPLPYSLAISFLLCHLAREPILSTDIAKWTLEGKLPYFTAFLEIEKQIGSPTNACPLSSNRMFRPLHCISIQKLESLAASIAHSIGLELPPVNFYGIATRYLRQLSLPVETILPHASRIYEWSMPPELWLSGNEFRLPTRACVLSILIFSIRILYKIHGFGKWEKGLAKRKDGKESKILDKKAESESECNMSSLSNNLVSESYDKLLPKQSNLDATKILLLLESKYSQLIDTSVDGRDLETYLEYCKNVVFAGVELSFEDHEEDQIIVDLWNYYHKEEEDHKPSSPSSNCGSHKRPLDFSKTNMNMNKVKKPKDENDAKISNETQKEKAIRGMISNMEEKRFCYIPPRTKIKRADDYLHYTRKKDDGNYTYAAHADYYILLRSCARVGRLDVRIMHGAVLSFERRLAWLEKNIDQCLKEMPSFQVSCELCQQDDMNGDESMDFLS >cds-PLY82797.1 pep primary_assembly:Lsat_Salinas_v7:2:144813431:144814643:1 gene:gene-LSAT_2X71520 transcript:rna-gnl|WGS:NBSK|LSAT_2X71520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METPRIKTSHKYVKNTSYSSDAVRSPTRPVKSDPSSLPIKTKTSVITTKTSIKENMKPEYVKFQTLAKENTKSMEVKLQTKERAVKRAFFNYSIATKLYLMEQQKRQIEKIQKMIEEEEVRMLRKEMIPRAQLMPLFDRPFFPRRSTTPTKAAKKSSSQETHRNSFSCAQEFYNFRENEAR >cds-PLY71855.1 pep primary_assembly:Lsat_Salinas_v7:3:59888754:59891229:1 gene:gene-LSAT_3X46860 transcript:rna-gnl|WGS:NBSK|LSAT_3X46860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARPVSIEVWNPNGKYRVVSTKSMPGTRWINLLVQQDCRVEICTEKKTILSVEDIIALIGNRCDGVIGQLTEDWGETLFSALSKAGGKAFSNMAVGYNNVDVNAATKYGVAVGNTPGVLTETTAELAASLSLAASRRIVEADGFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMIEGFKMNLIYYDLYQATRLEKFVTAYGQFLKASGEEPVTWKRASSMDEVLQVADVISLHPILDKTTYHLINKERLSKMKKEAILINCSRGPVVDEEALVEHLKQNPMFRVGLDVFEDEPYMKPGLADMKNAIVVPHIASASKWTREGMATLAALNVLGKIKGYPTWSNPNQVDAFLDENSPPPSACPSIVNAKQLGLPVSKL >cds-PLY83521.1 pep primary_assembly:Lsat_Salinas_v7:8:189214122:189215804:-1 gene:gene-LSAT_8X122641 transcript:rna-gnl|WGS:NBSK|LSAT_8X122641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPCTFFILLKAFRILSLYTAFSELLPLLALGITPLLEVEKICQQISATTLTINNSITFSTLFATFTSISSANFEVRNAPKSKEDDIWNSTGTLSRIDGRYMIHILTGDLLRSEVAVGSYISLMVIYFFLFRFLYNISMNKVLNWVLLLVCVLNGKTTISTSNYLVGHGSFDITGPAADVNMMGYANSDQTASGIHFRLRAHAFIVAEPQGNRVVFVNLDAFMSSQLVTIKVLERLKAR >cds-PLY88106.1 pep primary_assembly:Lsat_Salinas_v7:6:181957999:181959682:-1 gene:gene-LSAT_6X111401 transcript:rna-gnl|WGS:NBSK|LSAT_6X111401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRGISYPKVNNICCEKRRRDGNILGEKMIQSRKRFKSSSGTPVVTLSGQCDFLDTLPDDIVLFILAKLGATAGCPSDFVSVLSTCKRLNALGVHSLVLSKASPKAFAFKSENWSESAHRFLKQCSDAGNVEACYTLGMIRFYCLQNRGSGASLMAKAAINSHAPALYALAVIQFNGSGGSKTDKDLRAGVALCARAAFLGHIDALRELGHCLQEGYGVRQNIVEGRRFLVQANARELAAVLSTTPSVLMSGNWLTWNPLPHLRHGNVVGPGCPLLSDFGCNVPAPEPHPSNRFLTDWFSDKVLAPGLRLCSHAGCGRPETRKHEFRRCSVCGAVNYCSRACQALDWRMRHKMECRQAERWVNDGDGEDNGNVNDDDGMVVES >cds-PLY71846.1 pep primary_assembly:Lsat_Salinas_v7:3:58569334:58571570:1 gene:gene-LSAT_3X45840 transcript:rna-gnl|WGS:NBSK|LSAT_3X45840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKVLTLILLLLSIDAIQGSEFTIREATIPEIQTAFKQNKLTSKDLVEFYIHEMQKLNPIYRAVIEVNPDAVHQAQKADEERKANAPKSRFGLHGIPILVKDNIATKDKLNTTAGSYALLKSVVPRDAGVVKKLRESGAIILGKASLSEWAHFRSSNAPSGWNARAKQAVNPYVASVDPCGSSTGSAISVATNMVTVSLGTETDGSILCPSSANSVVGIKPTLGLTSRAGVIPISPRQDTVGPMCRTVTDAVYVLDAIVGYDKNDEVETRKASKYIPHGGYLKHLKSGGLKGKRLGIVRDYPNFGFGNDTEILNKFKKHFMILRQNGATLVDNLEVPNYDYIMPMFLGEGIALSAEFKISLNAYLEELVASPVRSLADVIAFNKKFANVEKLKDYPQDIFLEAEKTNGIGELEKEALRNLTRASKLGFEKLMKENKLDALVTPYYGSTALAIGGYPGISVPAGYDKNGAPYGICFGGLKGSEPTLIEIAYGFEQATMVRKPPLIKD >cds-PLY80685.1 pep primary_assembly:Lsat_Salinas_v7:5:179700654:179707009:-1 gene:gene-LSAT_5X81421 transcript:rna-gnl|WGS:NBSK|LSAT_5X81421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENSTSVEKRKLSEESSEGVEAEIKNQESGAKKQRTVARTCVHEVAVPSGYASIKDETIHGTLSDPVYNGTMAKTYPFVLDPFQQISVSCLERKESVLVSAHTSAGKTAVAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTLSPNASCLVMTTEILRGMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNIHKQPCHVVYTDFRPTPLQHYVFPNGGSGLYLVVDENEQFREDNFLKLQDTFTKQKQNLGGNSKSSGRIAKGGNASGGSDIYKIVKMIMERKFQPVIIFSFSRRECEQHAMSMTKLDFNSQEEKDVVEQVFKNAIMCLSEEDRNLPAIELMLPLLQRGIAVHHSGLLPIIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVRKWDGDSHRYVGSGEYIQMSGRAGRRGKDERGICIIMIDEQMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLMRRAEGQFTAEHVIRNSFHQFQYEKTLPDIGKKVAKLEEEVVKLEASGEADVAEYHKLKLEISELETKMMAEITRPERALYFLLPGRLVKVREAGTDWGWGVVVNVVKKPAPPVGSLPPGMSSSRGSSYIVDTLLHCSIGSSESGSRPKPCPPRSGQKGEMHVVPVQLPLISALSKLRISIAPDLRPMEVRQSILLAVQELQKRFPQGLPKLNPVKDMGIEEPQFVDLVNQIEKLEQQLLSHPLNKSQDENQIQCFQRKAEVNHEIQQLKTKMRDSQLQKFRDELKNRSRVLKKLGHIDSEGIVQVKGRAACLIDTGDELLVTELMFNGTFNDLDHHQIAALASCFIPGDKSSEQIHLRSELSKPLQQLQDSARRIAEIQNECKLEVNVDEYVEAAVRPFLMDVIYCWSKGSSFSEVIQMTDIFEGSIIRLARRLDEFLNQASSSIFKNFNHNLRAAAHAVGEAGLEEKFGAASESLRRGIMFANSLYL >cds-PLY93506.1 pep primary_assembly:Lsat_Salinas_v7:5:325719913:325722514:-1 gene:gene-LSAT_5X179841 transcript:rna-gnl|WGS:NBSK|LSAT_5X179841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYSFVARGTVILSEYTEFTGNFTSIAAQCLQKLPATNNKFTYNCDGHTFNYLVADGFTYCVVAVESVGRQVPMAFLERAKEDFTKKYGGGKAATAVANSLNKEFGPKLKEQMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRTQGTQIRRKMWLQNMKIKLIVLGIIIALILIIVLSACGGFNCGK >cds-PLY98986.1 pep primary_assembly:Lsat_Salinas_v7:7:47973777:47976977:-1 gene:gene-LSAT_7X35840 transcript:rna-gnl|WGS:NBSK|LSAT_7X35840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLGTSTAGPPPSNKRRNVFSPTKIQALSDDIICAVFSFLDLVHLIRCTAVCKSWRQVIDKSKLLQTLCYKQRGIFGGDFDISTPSEGIWRRLQALAISQHQSSLRAGSVDIYQWRGHSDGIDKCKMKVGLLLTGGSGKVMRLWSVERYKCLAEYDLPHTGSLIDFDFDESKVVGLVGSNICLWRRKEKKNIFSSQGVQFPRGSCMCYVDPEAIVGCEDGRARVFDMYGRKWARIIKMHDGPITCLSLNDDHLLIGGSSFGRISLSDLSSDQQVGTLKTNDSADLSTLCYNSSSNILFVGSRAGRASSWDLRMMKRLWEVRVSPNVLTSIQHMRDDTSILAIGGIDGVLRLVDPQKGDILSSCIMDESSRKLYRSQNHQSKVTRKKGIRVLEDTRIDLMPRTSRPSINCLAVGMQKVVTTHADGYIRVWRFGK >cds-PLY87566.1 pep primary_assembly:Lsat_Salinas_v7:3:166449286:166451551:1 gene:gene-LSAT_3X102861 transcript:rna-gnl|WGS:NBSK|LSAT_3X102861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTVLEVPVIDMQLLLSEDSSNLELGRLHLACKDWGFFQMINHGVSYSLLEKMKEETQEFFNLPMEDKKKFWQDTGDIEGYGQAFVVSEEQRLDWADMFYLVTLPHEMRKPHLFPKLPLPFRDTLEAYSREVKNVTLKTLIFIAKALKMKVEDMKVLFDEGLQSMRMNYYPPCPEPEKVIGLSPHSDPLGITFLLQINEVEGLQIKKDGIWIPVKPLPNSFIVNLGDIIEIVTNGLYKSIEHRAIVNSEKERISIATFFAPKIDVDFGPVPSLITHETPPKFIRVSLVDYFKNFFSRELKSKNNIEQYYI >cds-PLY92677.1 pep primary_assembly:Lsat_Salinas_v7:4:153269268:153270655:-1 gene:gene-LSAT_4X94280 transcript:rna-gnl|WGS:NBSK|LSAT_4X94280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFNSGGYGGAGCGGNDLELLCKTLQVEHKLFYFNLKENPRSRYLKISEKTSGTRSTVIVSGASVSRNRSTIIVPAESTRDEGWCAFRNILEETNEESKLFVLPIQENGTINKGAEEGANQSIHQGHKSKKTSVETDKKQSEVTSCTSSSMMEGQVPKVVPVESSKIPDVALGSSESGHVPILLTSKNTPASKRPSVSPSPAVLKHEFLAKGI >cds-PLY80895.1 pep primary_assembly:Lsat_Salinas_v7:8:127556553:127557950:-1 gene:gene-LSAT_8X87181 transcript:rna-gnl|WGS:NBSK|LSAT_8X87181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPNCHSEEDWGYLCDYWKSDKAKQYDEQMKHNRGKVVIPSRGGSRSIENHKFAMKIKRLRCLPTQSNYIISSIFIL >cds-PLY76895.1 pep primary_assembly:Lsat_Salinas_v7:6:30038841:30039687:1 gene:gene-LSAT_6X22701 transcript:rna-gnl|WGS:NBSK|LSAT_6X22701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSTDVTVVLVSWDFPWIIFNISACYPPLDEACIWRQTRGTATETLGAENKAKSTEKVQLQFTNLPFRFLKYFINPILSYLSYRVCMFWDPCDQNFPGAPTFLPVVQFAGQHPGGLGVPAVGMAFPGYVTQPNGMGNSEMTWLPILAGAAGAFGCFTLYHNGWCLSCYAIWPDLCIASYKVCYLLL >cds-PLY63225.1 pep primary_assembly:Lsat_Salinas_v7:6:86862451:86862935:1 gene:gene-LSAT_6X60101 transcript:rna-gnl|WGS:NBSK|LSAT_6X60101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMHLEMVDMNGDGVNDAPALKKENIGIVMGSGSGSKISRTGITHSGSDEFGRVERELQPWIAKDDDVGEEMWRVNQRIVRAILHAG >cds-PLY87489.1 pep primary_assembly:Lsat_Salinas_v7:5:182787684:182788088:1 gene:gene-LSAT_5X81780 transcript:rna-gnl|WGS:NBSK|LSAT_5X81780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIETPPSFRRMSHLQLLQHVDVPQKHRSSGFGSSAPPPTSHVSIFSQAIINHLTPTPPLFVFSLMLPSSSVSSYADHHSIAIASFSVADNETALGKSDRSPSPSFSGSRRRRPLLPPPPSSSTHCRNRGSTKNP >cds-PLY65526.1 pep primary_assembly:Lsat_Salinas_v7:9:143130119:143130391:-1 gene:gene-LSAT_9X90840 transcript:rna-gnl|WGS:NBSK|LSAT_9X90840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRIICREKKKRRIDSELHDLNFEPGVLPIFVHDYLISIEIDLFITTASRIHAAEEIDSFNSDFFVVDCANPISNLVQIGGGTRSMSDRN >cds-PLY87841.1 pep primary_assembly:Lsat_Salinas_v7:2:66366272:66369510:1 gene:gene-LSAT_2X29960 transcript:rna-gnl|WGS:NBSK|LSAT_2X29960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGKTVCVTGASGYIASWLVKLLLHRGYTVKASVRDPNNPKKVDHLLKLEGAKERLHLFKANLLEEGSFDAAVVGCEGVFHTASPFFHAVTDPQAELIDPAVKGTLNVLNSCSKASSVKRVVVTSSIAAVAYNGKPRTPEVVVDETWFSNPDFCKEMKMWYVVSKTLAEEVAWKFAKEKGLDIVTINPAMVIGPLLQPTLNTSAEVIANLFNAQTYGNSTFGWVNVKDVANAHIQAFEIPSASGRYCLVEKVAHFSEIVQILRKHYPESQLPQKCADDKPFVPTYQVSKEKAKSLGIDYITLEESLKETVESLKEKGFIGTSSNM >cds-PLY87470.1 pep primary_assembly:Lsat_Salinas_v7:2:135166117:135166476:1 gene:gene-LSAT_2X64901 transcript:rna-gnl|WGS:NBSK|LSAT_2X64901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSINIDEDKFFSNHTHPPPFAASPFADSPSTASPSGNPNKRAKPSTPRPRAPSTSPDPPSCASPKASINADDLALEMQKALRHLTQGPTIPQCLEKLELLELDPVDPLRFVVYHIF >cds-PLY79916.1 pep primary_assembly:Lsat_Salinas_v7:8:15469792:15470774:1 gene:gene-LSAT_8X13541 transcript:rna-gnl|WGS:NBSK|LSAT_8X13541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLRALPLSPAFPASNFTASKQATLTPTNSYYKFRVYSGKTEKGSKEEEDEKPKIRKQNLFESVTEALDFSQVRSAEDAQLIEDARLATKSGEKMNREQYGALRRKIGGTYKDFFKSYVEVDGEYVEEGWVDKTCKVCKKDTRNEPRQVDKLGRYVHVACLDKPKSGNFFTRLFSG >cds-PLY81656.1 pep primary_assembly:Lsat_Salinas_v7:2:25639792:25639974:-1 gene:gene-LSAT_2X14240 transcript:rna-gnl|WGS:NBSK|LSAT_2X14240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTYFERNIAISSDLGQDAWVLRWIAYTRAISCSVRFVELCYPLIPESMFNAQSAISRRP >cds-PLY78573.1 pep primary_assembly:Lsat_Salinas_v7:1:107402054:107404623:1 gene:gene-LSAT_1X85261 transcript:rna-gnl|WGS:NBSK|LSAT_1X85261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSALVAIDMSYVNLKTFEPPMFLAETLDVVQDIDWVISLGNAFAKQYELYTYDDEHSALLHRYELIIIIITFF >cds-PLY95768.1 pep primary_assembly:Lsat_Salinas_v7:3:27452341:27453621:1 gene:gene-LSAT_3X20640 transcript:rna-gnl|WGS:NBSK|LSAT_3X20640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKNSNAGYGTLPTSTAPTTANACTDLPLPLPLPITPNTLIARARFHTENFIARRRPWREFFNHSAVTRPLSYDDATRRVKRNLNYFRVNYAMVILLILFLSLIYQPISMITFLIVFVGWFFLYFFRDPRNPVVIFNHVFDDRVVLMTLSLLTIFALACTDVGTILLVALSVGAAVVVIHAGIRGTDDLFLDEQEAGDGGLVSVVGNK >cds-PLY75341.1 pep primary_assembly:Lsat_Salinas_v7:5:52221158:52222535:-1 gene:gene-LSAT_5X26280 transcript:rna-gnl|WGS:NBSK|LSAT_5X26280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSPSLLPPPVSTPPLPGFEEKNEGTYSEHQRSLKMVTAPLNEVTEKVNLGQGKQSVVVMTTPLNGMFDRVILGHVLNGLSEHIRTEIKILGPHDLDQAMKWAIKLEDKESPRLQRSVTTRNPAPMAISPLFTFSFISLPINLFAPKVADSICNITDNPSELSKLHHPVNQYLSEVVVFVNPNKQEASMDCLEPHDLWQQGISHEGIGFSH >cds-PLY92702.1 pep primary_assembly:Lsat_Salinas_v7:7:5238423:5240378:-1 gene:gene-LSAT_7X4640 transcript:rna-gnl|WGS:NBSK|LSAT_7X4640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGGILEVFLESAKGIRHTNLIGKPKYYVIIECGIQQNKSKSSKGDHHKVSWNEKFNFELSMSEYQKLTHLRLRIMDKELFANDTGFVGEAKIYLEEILVEGNEKGLIEVAPKPYNVVLDDETYKGEIKIGLKFIPNAVIKEVGRGINAIERDTDDRIWNKLVEMCKISWCRLSIYGRKLKPSEYKRI >cds-PLY82271.1 pep primary_assembly:Lsat_Salinas_v7:1:66894809:66898420:-1 gene:gene-LSAT_1X58180 transcript:rna-gnl|WGS:NBSK|LSAT_1X58180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DGD1 [Source:Projected from Arabidopsis thaliana (AT3G11670) UniProtKB/TrEMBL;Acc:A0A178VKL1] MINFRPSESRTSTTSSSVTAEKAFSFISKGWREVKDSTEADLQLMKDRATSFKNLASSFDREFENFLTSASRSTFPVAAIANSSSPTPAEIDFVKNLQPKLSEFRRAYSSPDFSKKVLEKWSPRAKIRIDLSAIKNAIVSEVEEIDERERYRRRSRTRVGDFSWEWKGEGIGDAEEGQLSRNWEPIRALKTRLRELEQKSSSSEIIESLKNSELVEKVKSTLKAICKEPEYSKEVQPLDFQELLACLVRQSGPFLDHLGVKKDISDKIVESLCSKKKNQLSLRSFPTGESSILEGDNINDELDLRIASVLQSTGHHYEGGPWDDVSKQDGKRHVAIVTTASLPWMTGTAVNPLFRAAFLAKSQKQSVTLLVPWLTQTDQELVYPNNITFTSPEEQEVYIRNWLKERVGFNADFKISFYPGKFQKERRSIIPAGDTSKFISSKDADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGALQSFFVKHINNWVTRAYCDKVLRLSAATQDLPKSVVCNVHGVNPKFLEIGERMSAEKFSKGAYFLGKMVWAKGYRELIDLLSKQKNDLNGFKIDVYGNGEDANEVQTAAKKLELNVNFMKGRDHADDSLHSYKIFVNPSVSDVLCTATAEALAMGKFVICADHPSNEFFRSFPNCLTYKTPEEFVNKIREAMCSEPHPLTPEQRYNLSWEAATQRFMEFSDLDKILNNNNLEMEGGVRKSKSVPNLTSVVDGGLAFAHYCLTGNEFLRRCTGAVPGTRDYSMQHCKDLRLLAPHVENPIYGW >cds-PLY78612.1 pep primary_assembly:Lsat_Salinas_v7:4:152709713:152713755:-1 gene:gene-LSAT_4X93560 transcript:rna-gnl|WGS:NBSK|LSAT_4X93560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVKEITGCSIVEKSSFLGHQNRVQFSVNPVRFPLDKTRSRSSRLKKGVRTTSPAVVAAISEDLVKIVRIEKPVTFKVRAVLTVRNKNKEDFNETVLRKIDAFTDQIGRNVVLELYSNDIDPKTRAPKKSKEAAIKDWSKKSNLKTERVNYTADISVDSEFGIPGAITITNKHQSEFFLETITIEGFACGPVHFPCNSWVQSTKDHPKPRIFFSNQPYLPNETPLGLKSLRDKELKDLRGDGDGIRKLSDRIYDYDVYNDLGNPDRGNDYIRPSLGGDKIPYPRRCRTGRVPSDTDIKAESRVEKPLPMYVPRDEQFEESKANAFSTGRLKAVLHNLLPSIVASISKKHDFKGFSQIDSLYSEGVLLKLGLQDDLLKKLPLPNLVTRLQESSQGGGLLKYDTPKILSKDRFAWLRDDEFARQTLAGVNPVSIEKLQTFPPVSRLDPDKYGPQQSVLREEHIAGHLDGMTVQQAIEENKLFIIDYHDIYLPFLDRINALDGRKAYATRTIFYLNPSGTLMPIAIELSLPQALPGSESKQVVTPPVDATGNWMWQLAKAHVCSNDAGVHQLVHHWLRTHAAMEPFILAAHRQLSAMHPVYKLLDPHMRYTLEINALARQNLINADGVIESCFTPGRYCMEISAAAYKNWRFDLEGLPEDLIRRGMAVRDSSKPHGLKLLIEDYPYASDGLLIWEAIENWVRTYVNRYYPDSAQICNDRELQAWYAESINVGHADLRHENWWPTLATTDDLTSILTTIIWLASAQHAALNFGQYPYGGYVPNRPPLMRRLIPDENDPEYKSFLDDPQRYFLSALPSLLQSTKYMAVVDTLSTHSPDEEYIGERQQRSTWSGDAEIVEAFYGFTAEIQRIEKEIERRNNDTCLKNRCGAGVLPYELLVPSSEPGVTCRGVPNSVSI >cds-PLY77872.1 pep primary_assembly:Lsat_Salinas_v7:1:21442758:21444761:1 gene:gene-LSAT_1X17860 transcript:rna-gnl|WGS:NBSK|LSAT_1X17860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDEIGAEEQQMLSFKRDFGDEEAYVAVIEHSYGVILTEKSTMEVALKDGLEKFPHSVVLNEWMEKMNELFKGVFEGAGNKKVHEPACFNEVNMNDVGDGGEGNSSPVGGLILTEVNTEKEVNYTTPVNMTSLTMTQFHRLSGVNEEMIKLLDETELQVYRKKKRMLVISGDNLVGRNIGEAVDNAAGYDDNYKREKRIPKKAKVFHLPYIERIVKVGEKLTKDETWICNSVFASKRGDGDEIWDIGTGHLLHQSFAYQFNHGMFLHSKIIDCWAAFLNKMENYKDESSLSRFFFDTTIVTEDILNELKSEDMKCRLFATLLRIYTKKFDVKPSFRDVALVFFPIVDDGKYYLLIFDLRSSLYYIVDHVKRTGTLERKYGMIPNLVLTFKAARVMNISWLVEKAGTECGIYLMRHMETYMGENEGRWECGLTGKMPADVSATIKLRTKYMARLLTSDFNKFKTMIVKDFEAFRKLDILEQDMLLRESAENR >cds-PLY70414.1 pep primary_assembly:Lsat_Salinas_v7:9:124198557:124202941:-1 gene:gene-LSAT_9X83200 transcript:rna-gnl|WGS:NBSK|LSAT_9X83200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase lambda [Source:Projected from Arabidopsis thaliana (AT1G10520) UniProtKB/Swiss-Prot;Acc:Q9FNY4] MAPKASKNRSPPSDPDGIFSGMVVFLVDNDVQRRRLEIWKQKLVQMGAIIEEEKLSKNVTHVFAVNSDSLRKKFGQKLSQRFKGIILRYQWLEDSLHLGKKVNEESYTIHLDSSQNPPKNSSNENSIKPTDVKESSDNQLHPHKKLKSSPKTSEGSSSEMKDKSLDEKVNAPDASLPYHPPDLNRDITEIFGKLINIYRALGDDRRSFSYYKAIPVIERLPFKIESVDQVKHLPAIGKSMQDHINEIVTTGKLSKLEHFESDEKVRTISLFGEVWGIGPATALKLYEKGHRSLEDLKSDDTLTKSQCLGLKYFDDIKTRIPRDEVQEMECLLQKAGEDVLPGVNVVCGGSFRRGKASCGDMDIVITHPDGKSHVGFLPKYVNHLKDMKFLREDLVFSIHSEQGTDSGVDTYFGLCTYPGRELRHRIDLKVYPKEIYPFGLIAWTGNDVLNRRLRILAESKGFRLDDTGLFPATQGSGGKRVSASTSLKFNSEKEVFEFLGFPWLEPHERNI >cds-PLY69494.1 pep primary_assembly:Lsat_Salinas_v7:6:42491470:42491856:1 gene:gene-LSAT_6X33181 transcript:rna-gnl|WGS:NBSK|LSAT_6X33181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSEFSEDVEDGVCYSSSCRRGLQVKQLGSKANRGCEGAKVQLVSFFVGCLLLLVVSDKVVLPAVGCEYVTGKWRQLPEMHEETNRKDPSSMWLWVCFVLQVKENRKSGLLCFLDRCKKSLVSQKC >cds-PLY80953.1 pep primary_assembly:Lsat_Salinas_v7:8:145311033:145313431:-1 gene:gene-LSAT_8X98561 transcript:rna-gnl|WGS:NBSK|LSAT_8X98561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKSQKSRSYFKRFQVKFKRRRQGKTDYRARIRLINQDKNKYNTPKYRYVVRFTNKDIIAQVISASIAGDMILASAYAHELPHYGLKVGLTNYAAAYCTGLLLARRVLKKLEMDEEYEGNVEATGEDYSVEPAESRRPFRALLDVGLLRTTTGNRVFGALKGALDGGLDIPHSEKRFAGFSKDGKQLDADVHRKYIYGGHVASYMRTLMEDEPEKYQTHFSDYIKAGIDPDNIEEIYKKVHAAIRADPTPKKTQKQPPKEHKRYNLKKLTYDERKQKLIERLNALNAAAGANDDDDDEEDDE >cds-PLY71389.1 pep primary_assembly:Lsat_Salinas_v7:5:46770877:46773226:1 gene:gene-LSAT_5X22901 transcript:rna-gnl|WGS:NBSK|LSAT_5X22901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKLFSLKLITRNPRKIASQTLRSNPFPLHRAPDVPPHHHHLHHRGFSHYTTSPQPLQQAPTNCLMKVLSNQVLLKRLLPNALLKLKATSKIFVDHGELGFVRAQFRRQSFQFDQPISYQKTWQSQFRRRLTSDGVVIGLIVTNVAVFLLWRVADRKFMMQNFMIQLDNFKSGRFHTMITAAFSHIEVGHIISNMIGLYFFGRSIGQQFGPEFLLKLYLAGAFVGSAFFLVHHAFLAPSSKDRRLFEPDPSKVPGLGASGAVNAIMLLDIFLYPTKTIYLEFIIPVPAILLGVFLVGHDMMRILEGNSQISGSAHLGGAAVAAIAWARLRKGRF >cds-PLY64342.1 pep primary_assembly:Lsat_Salinas_v7:4:25376435:25377051:1 gene:gene-LSAT_4X18040 transcript:rna-gnl|WGS:NBSK|LSAT_4X18040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPPLTLSSDLRIPVKAFVFDFALEANCNSCVLYSNNQDGIIDHGIPSYPQPPAIEFPQHSQSSKYLDLDPDVVDSKLQ >cds-PLY95199.1 pep primary_assembly:Lsat_Salinas_v7:8:62005055:62006236:1 gene:gene-LSAT_8X44801 transcript:rna-gnl|WGS:NBSK|LSAT_8X44801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMYKQKKNQAGRGGGNRLLISITVIGSAGPIRFVVNEEELVASVMDTALKSYAREGRLPILGSNIHDFVLYCPIAGTEALSPLKTIGSFGVRNFMLCKRPQAVEEGGDGKPAAVGITRKNSGSWKSWFNKSHSFKVSSH >cds-PLY62522.1 pep primary_assembly:Lsat_Salinas_v7:1:82019050:82020171:-1 gene:gene-LSAT_1X69041 transcript:rna-gnl|WGS:NBSK|LSAT_1X69041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTTVSVVESLVIDPFYPFICSSVTHRFCVELGKPKIRFISFRVGIKNPDPFHVLNFSFKEALQSWIIPIILSVLFLILTESNQIADMAKCLFKLEHPLEKRKSKFSRIREKYPDRVSVIVEKAEKSDIPNIDKKKYLVPADWTIGQFCECCSS >cds-PLY88781.1 pep primary_assembly:Lsat_Salinas_v7:4:169494631:169497088:-1 gene:gene-LSAT_4X100180 transcript:rna-gnl|WGS:NBSK|LSAT_4X100180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEDKVSLLRYALQRAFQEAPNRLMTNNAYLALLGASLNASTTDEGLNFYDSQHRFEHSQLLLVLLSSLPHAPKTFQCRVLQVSDILFTWTDLQPKVNTELLNNLGNFINRVLSFIAKDPDSRGGRGSGYNSIILDAPSVIKHTRR >cds-PLY88752.1 pep primary_assembly:Lsat_Salinas_v7:4:168521470:168522087:-1 gene:gene-LSAT_4X99621 transcript:rna-gnl|WGS:NBSK|LSAT_4X99621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQDTMFIVHTPPSLASDLFSIAKDLGMMGEGYMWIVTSKTMDLLDSMDDEFKEVDSNGIWAYDAIHALAMAVKRVQTREFALKDLGTNIGTSLLLDKMLRVTFHSLGGEFKLMNGRIISNFMEVVNVIGKGDRRVGFWMRAIGGGFVKEIKKPKSSSNQGLEIII >cds-PLY65599.1 pep primary_assembly:Lsat_Salinas_v7:7:164026135:164026526:1 gene:gene-LSAT_7X95580 transcript:rna-gnl|WGS:NBSK|LSAT_7X95580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRDLRRSRVDRKRQRKKRGSPVFGRPQSVLTVLNQEADRWWIPTANREEEGGGVMVRWFTGRRSSTGKMKEKQ >cds-PLY73893.1 pep primary_assembly:Lsat_Salinas_v7:3:38860544:38863590:-1 gene:gene-LSAT_3X30240 transcript:rna-gnl|WGS:NBSK|LSAT_3X30240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGITRLKQHLTHTSGQVTGYPNVTVDIQKKVMESIKEKDNIQKEKKRNIGILRSYTVDLSDEDEDGEFVVHEVSSKRNVSKKIMGASNVQGPLDIIYQTNHGKKKKQKPKPFHHHRPIRSLQKRNPDSVIVYFFLTPIHWLSPKYIPPLTASSSTSIKLRAVGVVERESFTASVIKIRAAGVVEREFTTARSPPLKVSGSSPSPIRNRVLRSLEKYSWSVKSKCCKGGEFCGCPVLSQGGVMTAETGTYRWMAPEVINHQQYDEKATLKWKRDQKDLGVKGTGKRIGVVAKPCAEFVAGVLAIWLNGGVAVPLALSYPEAELLHVMTDSVCSQNNLKLF >cds-PLY83333.1 pep primary_assembly:Lsat_Salinas_v7:1:63241022:63247883:1 gene:gene-LSAT_1X54221 transcript:rna-gnl|WGS:NBSK|LSAT_1X54221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVNSVRTVFLSLFSLCVSEASDLLKNLSLDSQAKTTEIHEPTKKVRYFHHMSSVDAGNGLVQPTNRSVTPLILDFMDPTMAYYPNVYASAYYNGARSREDLPLASDNYSDYVEGLSHEKQGHSYETNFKPNLMEDRQSFIDYKSKNEAIGTSANSGANWRSLSMGQHAQPNPANEWSRSLTNVHQKEPDVLVRLVGADDHMYPDFVDVRGVEFGLGIGYNLEPKAAPPTGSAVKSLRTAVMPQFKSNFVAASASSNNYGNQGSKKMVLSGFVSGGINFKRMNIL >cds-PLY97409.1 pep primary_assembly:Lsat_Salinas_v7:4:16711282:16724888:-1 gene:gene-LSAT_4X10400 transcript:rna-gnl|WGS:NBSK|LSAT_4X10400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKLEIKRIQDKSSRLVTFSKRRTGLFKKARHLSVICDVDVAAFVISDSGKLYEFCSGGYNSNSVELILSRYQAEERTTQEGAFEDMAFNKSTRFRTCKELLKSVRRVDEQPNEVSVSDMTKLEEELHAALLHTRSKKTQLMVERMSTFHEQVRKLTEEKEELKQQLQVASAKKNQKDDVDDDGGEGLDHSANSHSYYQTNLYPPQPLLTLPLFKE >cds-PLY94443.1 pep primary_assembly:Lsat_Salinas_v7:6:9240447:9242758:1 gene:gene-LSAT_6X6221 transcript:rna-gnl|WGS:NBSK|LSAT_6X6221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKVYADRMSQPSRAVLIFCKINGIDFEEIRVDVLKNQQFSPEYKAINPMHQVPAIVDGRFKLFESHAILIYICSAFPGVATGLVFNTILAHNDAHHAYPQVVNQAEGILMKSLNKLETFWLKDGRFLVGSSQPSIADISLVCEIMQLQLLSEKECERILSPFKKVVEWIEDTKKATAPHFDEVHGILFRAQKRIRTESGKDELKSKLRSLFIVFFFVHPSKKKTSKTLKSSLFLVKASTYDSNNIR >cds-PLY84268.1 pep primary_assembly:Lsat_Salinas_v7:8:115287042:115287972:-1 gene:gene-LSAT_8X80081 transcript:rna-gnl|WGS:NBSK|LSAT_8X80081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSYKKYQIRNQNPNPKTSVLLVIDMQNHFSSIAKPIIPSITTTINTCRRASIPVIFTRHCHKSPADHAMLGEWWGNDLIIDGTPDSELMPEIGRREEELVVEKNTYSGFRGTQLEERLKDMGVEEVIVTGVMTNLCCETTAREAFVRGFRVFFSTDATATSSEELHQATLMNMAYGFAYLVDCKTLQTAFLSSM >cds-PLY68371.1 pep primary_assembly:Lsat_Salinas_v7:7:1203949:1204490:-1 gene:gene-LSAT_7X241 transcript:rna-gnl|WGS:NBSK|LSAT_7X241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQPITSLVSSQSTEGEKTILEEDHANDDDVMVSFVEIQFDPEEDNIHDHADTGDRNTASRIKVDLLHKSQDNQLKQAMEQIETKHEECLKRHVENFQFEVKELHAVAKERHLLFVEEVKKVQESVNLKVESLKSEMTKEEAKLKQSYLTLHGKIYVF >cds-PLY99367.1 pep primary_assembly:Lsat_Salinas_v7:7:145271215:145271490:-1 gene:gene-LSAT_0X4041 transcript:rna-gnl|WGS:NBSK|LSAT_0X4041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAFQSEPGGDDQSIPKDNNQSETDYDECKGFLDLDFMAHIPIPLSFVYPDAYFEGEIPQGTHSDIESNDDQLNPRKRKVSFLGGANDAEA >cds-PLY86295.1 pep primary_assembly:Lsat_Salinas_v7:8:57788298:57789271:1 gene:gene-LSAT_8X41901 transcript:rna-gnl|WGS:NBSK|LSAT_8X41901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAADTEDFEMISHIPFLTKYKHDTTKDCIMLKIIRVLPPAVPSSQVKANSSTWEASGETLNNIDHEAMVVDPTLVLTIQPSFRGGNRQKIQEKIVKDKIKLPGFLSSEAHSLLKGVML >cds-PLY86542.1 pep primary_assembly:Lsat_Salinas_v7:2:208167555:208168666:-1 gene:gene-LSAT_2X129080 transcript:rna-gnl|WGS:NBSK|LSAT_2X129080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFIFSVFSALLPFCKRTLIFALSWNSKTDHRSFRGAFALIQLQVDMKKKTKCRSELVSQH >cds-PLY87985.1 pep primary_assembly:Lsat_Salinas_v7:6:171457125:171457358:-1 gene:gene-LSAT_6X105660 transcript:rna-gnl|WGS:NBSK|LSAT_6X105660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCRLHQTEGAMEKEPKRKQWSNTAKRDNTKACRRDFVDRPRDGSSPAFDEAKKEDGEKQRGCLRPLLALRCERGKVR >cds-PLY66805.1 pep primary_assembly:Lsat_Salinas_v7:3:203038743:203040611:1 gene:gene-LSAT_3X118541 transcript:rna-gnl|WGS:NBSK|LSAT_3X118541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFFTDAYDLFAISLVTKLLGRIYYHREGALKPGTLPPGVNSSVTAVALVGTLAGQLFFGWLGDKMGRKKVYGMTLAVMVICSLASGLSFGRERRSVMAGLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILASGIVALVVSAAFDHAFSAPSYATNALLSTPVQADYVWRIILMFGAIPAAMTYYWRMKMPETARYTALVAKNAKQAASDMARVXKKAASDMARVLQVDIEAEEQKVETITQDKRNSFGLFSKAFLRRHGLHLLGTTSTWFLLDIAFYSQNLFQKDVFTAIGWIPPAAKMNAIGEVFRVARAQTLIALCSTVPGYWFTVAFIDIIGRFAIQLMGFFFMTVFMFALAIPYHHWTLHDNRIGFIIMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAYGFLYASQSSDPKKTDAGYPPGIGIKNSLIVLGVINFLGMLFTFLVPEPNGKSLEELSGENEEDDEVQQTTSNRTVPV >cds-PLY78805.1 pep primary_assembly:Lsat_Salinas_v7:9:172500588:172503784:-1 gene:gene-LSAT_9X105840 transcript:rna-gnl|WGS:NBSK|LSAT_9X105840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSAVAQPPPPLSPVDTLHQTTTLLSTILPASSSVNSFTSRWQVIRSKLVVLSSSLSSISDSTHWSENHILQTLLPDLLSTLRRIQTLCDQCTDFSYKAGKLLMQSDLDMATGWLSKQLQDLDLLIRSGVLCHSTAIVLSQPAPGSSSEDLGFFVRDVFTRLQIGGVEFKRKAMECLVQLLIEDEKAVKVVANEGNIRYLIQLLDVNDLSEQAVSAVSILACASEESRKTVFEEGGLGPLLRILEYGSVQLKEKASIAVEAITGDVDNAWAITAYGGVPILLDVCRSGSLTAQSHAIGAIMNVASVEDVRVYLCEESAVAVMVQLLVSGCAASQEKSAHCIAIVASSSKYFRSLLIQEKGLESLLHLLHRSSNPDTLEYVLRSIHSLSTSDSVSRLLSASSIFITQIAEFIEQGNPTLQQISTSILANLCITDSNKRGIVGCMGPLVKLMESAKPVGLQEAAMQALTSLLSVKQNRSFFARDEKSMMRLVHMLDPLTESVPKKFPVAVVYTLMTDGSNTCRRKLMEAGANSYLQRLSETEVVGAKKALQRLSGSRLKSIFNRSWKE >cds-PLY93931.1 pep primary_assembly:Lsat_Salinas_v7:3:165106773:165107339:1 gene:gene-LSAT_3X102581 transcript:rna-gnl|WGS:NBSK|LSAT_3X102581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDETSETLKSQIRPMTSLELVTAPVSTPNIPLITMDNMSFLGDSTALNAPSIEVWRPTPSSSMLSPLVFSKNNQQLAPVFTQTSIPIATQAAGQLSTFILTSILPTIQDVGGSNAFAQTFMPLVNQPVDGPDAFVQTSLSRMIQDTTEASVFAQTPLITHTTIGANSASHTSAYLTMVTTNTLSPLL >cds-PLY93777.1 pep primary_assembly:Lsat_Salinas_v7:6:144770002:144773988:1 gene:gene-LSAT_6X86741 transcript:rna-gnl|WGS:NBSK|LSAT_6X86741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCDGGAVQRSAPKSDKIQRDTGERMEAVLLDCCKKREKSVTRHTSIGMIELMIGVKKANPTFESPKEVGFTSSSSISDSSCDANTPKSLNSHGCLYPFVSSCSSTNQTKRSSKAGWTDDEDNLLTDVVMKYNARNWKKIAERIPGRTDVQCLHRWQKVLNPEIVKGPWTKEEDDHIIKMVEAHGCMKWSVIAKDLPGRIGKQCRERTGNAIKNHWNCSLKKKLDLNIPHILPITVHETTHTDSISDGEKSGLENDSIACITNLALGMDDSIPSVDGEECLGFARFAKGCGFKPPVTCFEPRKKRKIHGTNDLNLGSSPDSSFLSLSRFGSSKYGESPSFGCQIGQFSCSTPPDLTLSISFSASSSESMLMSSTTSCKNANSSAHDWNREIETGDSENVKQNSDLLARKSQPWLGRRLDYIFDSAWDPTAINCCSNSSSS >cds-PLY74859.1 pep primary_assembly:Lsat_Salinas_v7:8:106025572:106026994:1 gene:gene-LSAT_8X72320 transcript:rna-gnl|WGS:NBSK|LSAT_8X72320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIMVKEGCWTNTEDEILRAALSKYGTNQWTRISSLLVHKSATQCKARWYEWLHPSITKIEWTREEEEKLLHLTKLMPSQWRTIAPIVGRTPSQCLEHYEKLLDENYEPRKLKPGEIDPNPESKPARPDPVDMDEDEKQMLLEARARFSNTKGKKAKRKSREKQLAEASLFASLQKRRELKAAGIDNRNWNGKRNGIDYNAEIPFERRPPLGFYDVAGESLLIGEQPNKFPTTIEEIEGERRVDKEARLRKQDIERNKIAQRKDAPSAINKLNDLESVRKRPKMNLPTPRISDYELQNIAKMGLQDLSHGFSSF >cds-PLY69277.1 pep primary_assembly:Lsat_Salinas_v7:7:131144649:131145395:-1 gene:gene-LSAT_7X78041 transcript:rna-gnl|WGS:NBSK|LSAT_7X78041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDLLMVKFPMMQTTTFVTTDPQNFDFVGSIPKTMMEKVLWNNAIIRAYRKLFVSGVRELPIELSKIIEVGDLPKLRGKMKAKATATIDVNVSKKLKKLVKNPKSPLPVFEEDPEERTYSDAQGEDVIRNDKDDTANNITVLIVSEPPTTEASPQVSTPPTSSVPPESDIFENIDPFLKLSQTPPPPLFPTSTSPSILISSIPPLPKFSSVNTSQPQVSISFSTPIFNESTTTPTTTTTVNPPKGSTF >cds-PLY72416.1 pep primary_assembly:Lsat_Salinas_v7:3:190913571:190914928:-1 gene:gene-LSAT_3X113300 transcript:rna-gnl|WGS:NBSK|LSAT_3X113300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKAIIDRVEANFMLNKRTTEVYALGQHISMSAHKARRVIDQIRGRSYEETLMILELMPYRACYPIFKLVYSAAANASFNMGSNEVNLVISKAEVNEGTIVKRLKPRARGRSFAIQKPXYYLFIYNIYIYSYLLILFKTFHPIHVLKTEINLDSLDWIGSNRSIKTNTPKYIDTDSITWSQKPKSKKKHTTMSYYDILGTTQGHHSLWFAQPKNYSEGLQIKKKIRTYIQNYVQKNMKTSSGVEGIAHIEIQKRIDLIQIIIYMRFPKILIESRPRRIEELQMNLQKEFHSVNRKLNIAITRIEKSNGNPNILAEFIVG >cds-PLY82352.1 pep primary_assembly:Lsat_Salinas_v7:5:313028204:313039002:-1 gene:gene-LSAT_5X170320 transcript:rna-gnl|WGS:NBSK|LSAT_5X170320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHMNTINTHPVLLVAAADNSEGLPPTNRRCFSHEIKMGGLTEDQRVEMLSQSLHLIPELVTDRKSMKNEMEKFGVVKQQEISESVKMQILKLWNGDYEKKEIQLGKVDELEMTIFVAARDPSLYGINQVELEKQRKWTTTAPIQEKIIEDLGSELESTSNRLDFVQVSLLSFHDVFDTT >cds-PLY75814.1 pep primary_assembly:Lsat_Salinas_v7:3:68500706:68501815:-1 gene:gene-LSAT_3X51980 transcript:rna-gnl|WGS:NBSK|LSAT_3X51980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWYHGRSGGNLDTPSIGLAVSSNGIHWERGKGAVQSGSDVGLVLKSSTDWWAFDTNGVMPGESMVMSSSKIRASNAVYWLYYTGFSNEKIEFLDNPLDFSLENPENGGKTFGKICRSLPGLAMSQDGRHWARIEGEHHSGALFDLGSEGEWDSMFIASPRVVFHSSGDLRMYYHSFDSEKGHFGIGMARSRDGMRWVKLGKIMGGGQVGNFDECGVMNPCVVKNKKDGGYLMVYEGVGVDGKRSIGLARSSDGLKDWRRVGGEPILEHAEGCWDDGGVGSPYLVHMEGEEDEWRLYYKGIGRIGHTGIGMAVSDGKDVKNFRRWTGFHL >cds-PLY62093.1 pep primary_assembly:Lsat_Salinas_v7:2:57739045:57740085:1 gene:gene-LSAT_2X26041 transcript:rna-gnl|WGS:NBSK|LSAT_2X26041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYDDESSSMTIKTDDVGPLSYLNHDVLSLVVMKLGVVDFVAFSGVCKSWRSVALGNWRRFMVSKPPMLMNISDSDNKDWQFCLEDHERRKFKTTLTHSAGMCNYGFTCGYLILLRIQTNKDFWLVNPITRHELFFPPAPWEFDYVSGVASVLVFSHSISKLVCVMLAKKQIWFSIADEGAWNRVSTFDLKFYKDLHVFKGKIYTLNYKNYHLCELTLNPEPKVTLLKTKHLVLDKDLFFPQLGSCGENLYMMDCSICDNVINVYKLDFGEMEWVPFQDTGEEHGFFVGYVFDHIDAVKQELWADSWSHYPRYDVGNGGGHGRYFPESEGWYFPHECLNVNLLDES >cds-PLY96876.1 pep primary_assembly:Lsat_Salinas_v7:2:107932356:107934751:-1 gene:gene-LSAT_2X47881 transcript:rna-gnl|WGS:NBSK|LSAT_2X47881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTQDQSKTTTSSGHICHRCGWPFPKSHPSSKHRRAHKRICGTIEGYPKLIDSEAVSDDEHYSDEEDIEITPSPKIEKKIIDERSSKSEDDLFSDAVTEFSDGGFSTPGAEERFFDTTKLLFSPVELIKGDDNLEVFQTPDTEDKNGPHSHKDKIKLVDDVAPSKSDVAPSKSDKDQEKKEKEEEEEAEYILSVPSDIPIVDQAETLLQDFKNHDKTIHSNVEDKIEESQTYKNGESTMESEKVDDGVSVLNEESNHEIVKESESESVIECEEKIVESVIEGVDSKDSGSSSRNSLEANWGSVSVLSTASYDVESKDKSQLDKQDAFEGPLMEVEPNEENVDQNQVKVKVKVEEDSQPLKSEGVLVGNNESEGRKRNEEAIAKVTNWSTSEQASGALKNLVSVSEAKSLSPKELKIQKDDDEVEVVEKISEVGKSNLVNNEKISEVGKSNLVNKETSPPKYIGEGKKVRKKAKGKVSWVPFACCSSINVS >cds-PLY85943.1 pep primary_assembly:Lsat_Salinas_v7:9:99958050:99958415:1 gene:gene-LSAT_9X73840 transcript:rna-gnl|WGS:NBSK|LSAT_9X73840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKSKSITRMIISMKNLSTKSDLYITKAAAKATTATDPAASLFKLAEFGGEVGEAVGTGEPEGDNDGEPLGDRGAGGAGGDARGDSVGDEVGELVGGAVTGDGGDAVGDAVGDTIGDPVGD >cds-PLY65997.1 pep primary_assembly:Lsat_Salinas_v7:4:136488884:136492160:1 gene:gene-LSAT_4X84241 transcript:rna-gnl|WGS:NBSK|LSAT_4X84241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTQDLVFLLAIALLAICSQNSQAHVTLENGVKSKVYLSPKITQHPGSVSNKFYYDIEFPKGHIAIKSFNAEVVDEEGNPVSLQETYLHHWVAERYYQRKGIKSPKYNSNIGSHQSDFLVAGNAGVCNIESGLSQFFGLGSETRKTSTHVPDPYGIEVGNPLEVPAGYEEKWVLNVHAIDTRGVVDPIGCTECRCNLYNVTKDEYGQPLDPNYLGGLYCCYDETQCKVKNGVESVKRNLYMKYTVEWVDWSDSIIPVKIYIFDVTDTWQNTGIHDCSIEFDVEHSITNDYTNTRRSNASFPISGDVVYGVAHLHSGGIGSALYGEDGRVICSSRAIYGEGNEAGDEAGYIVGMTTCYPNPGSMKIAKGEVLTLESNYSSQKNHIGVMGLFYILVAESSTTLDSPVQIHQESKVPIFFWGVAMFGLAIFAAVVVAYRRQRQSKDGYQFIAT >cds-PLY67185.1 pep primary_assembly:Lsat_Salinas_v7:6:162378054:162378673:1 gene:gene-LSAT_6X99580 transcript:rna-gnl|WGS:NBSK|LSAT_6X99580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEASEAYLVGLFEDTNLCAIHAKRVTIMPKDMQLARRIRGERA >cds-PLY74514.1 pep primary_assembly:Lsat_Salinas_v7:7:38905793:38917385:-1 gene:gene-LSAT_7X28400 transcript:rna-gnl|WGS:NBSK|LSAT_7X28400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGNDHYLYSTNNFVGRQIWEFDPDAGTPVERQEVEDARQYFRDNRREGVHPCGDLLMRMQLIKENGIDLFSIPPRRLGVNEEVNYDAVTTSVKKALRLNRAIQAKDGHWPAENAGPMFFTPPLLIAMYISGAIDTHLTKEHKEEMIRYIYNHQNEDGGWGFYIEGHSTMIGSALSYVALRLLGEGPDDGNGAVSRAREWILEHGGAMSIPSWGKTYLSVLGVYEWDGCNPLPPEFWLFPETLPYHPAKMWCYCRTTYMPMSYLYGRKFHGPITDLVLQLRQEIHPIPYDNINWNKQRHNCCKEDLYYPHSTLQDLLWDSLNYFSEPLLKHWPFKKLREKGLKRAVELMRYSAEESRYITIGCVEKSLQMMCWWAENPNGDEFKHHLARVPDYLWLAEDGMKMQSFGSQVWDCTLATQAIIASDMVEEYGDSLKKAHFYIKESQIKQNPSGDFSKMCRQFTKGAWTFSDQDQGWVVSDCTAEALKCLLLLSQMPEEISGEKADNERLYEAVNVLLYLQSPISGGFAIWEPPVPQPYLQMLNPSEIFADIVVEKEHVECTSSIIQALLAFKRLHPGHREKEIEISVAKAVGFLEEKQWHDGSWYGYWGICFLYGTFFTIGGLISAGKTYNNSESVRKAVNFFLSTQNEEGGWGESIQSCPSEVYTPLDGNRTNLVQTSWAMLGLMLCGQAERDPTPLHKAAKILINAQMDNGDFPQQEITGVYMKNCMLHYAEYRNIFPLWALGEYRKRVWVN >cds-PLY92661.1 pep primary_assembly:Lsat_Salinas_v7:2:161777712:161778908:1 gene:gene-LSAT_2X85720 transcript:rna-gnl|WGS:NBSK|LSAT_2X85720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVRGELKGRCSCSSAATTTGKRKNDHRPYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVYYLRGPSARLNFPELLASDGTPDELSASSIRKKATEVGARVDAETSGTSVQHALKPCWFEEKPDLNKKPKPEPESEYPDGDDN >cds-PLY99910.1 pep primary_assembly:Lsat_Salinas_v7:7:15830219:15832429:-1 gene:gene-LSAT_7X12841 transcript:rna-gnl|WGS:NBSK|LSAT_7X12841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGRTGLERFKKAQTLQPFSVANSTHKPTTQLHSHSDIHNHQTQNQNHQQVSQKSANNDAEQIATTPQHVTQVGGGQSTWQPPDWAIEPRSGVYYLDVLKDGEVLDRINLDKRRHIFGRQLHTCDFVLDHQSVSRQHAAVVPHKNGSVYVIDLGSAHGTFVANERLTKESPVELEVGQSLRFAASTRVYILKKDNKALFPPPLPTEVSLPPPPNPSDEEAVLAYNTFVNRYNLSKSEVVSTSVSRETERERPVKRTKRAKVSFRDQVGGELVEVVGVSDGVDVETEPGPMGVKEGSSLVGKYESLVQTTIIPKGKESLSIKEVSVNVSSKGVTDKLQEVLNKVKAPPKGGIYDDLYGESLSSKVGSSWAYSSGIKDDENKAPAPGAVTVKGNIGNDDSDDDLFG >cds-PLY75204.1 pep primary_assembly:Lsat_Salinas_v7:2:203299119:203303598:-1 gene:gene-LSAT_2X123720 transcript:rna-gnl|WGS:NBSK|LSAT_2X123720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56040) UniProtKB/Swiss-Prot;Acc:F4IY62] MAPATTTSLLHHNGIYFTFSARDSHTVFFNPHKALRPPNKLPLSSLSSIPSSPLGRRRTLVSTVPVEYVPPAPDFEFSKELARLRTLRSKISACKNLRDKIRVVESDSKVKRYFRRGHYKNGFARVLESFNLDEYELFLLKCIVAAGQEHVLGSGYDADSDVDGEFGSARGSLKAALYTLVEMIEKWDGIDDRQINEKKVDSEALRSLLKTLRDVEEFYDCIGGIIGYQLMVLELLSESAHAEKNINFQHINNSNSIGCQLLEIHPPNVLDLSQDTEYAAQAALWGVEGLPHLAEIYPLGGSADRLGLVDSVTGECLPAAMLPYCGRTLLEGLIRDLQAREFLYYKLHGEQCITPVAIMTSSAKNNHEHITLLCERSKWFGRGRSSFQLFEQPLVPAVSAEDGQWLAKGQFSPVCKPGGHGVIWKLAYDNGIFQWFRDHKRKGATVRQVSNVVAATDVTLLALAGIGLHEGKKLGFASCKRNFGATEGINVLVEKGKPDGTWTYGVSCIEYTEFDKFGIADGSLSSNSLQTEFPANTNILYLDLNAAELIGSSKDESSLPGMVLNVKKTINFIDHFGIQHGVPGGRLECTMQNIADNLTNSFPSRCFEGVEEKLDTFIVYDHRRKVTSSAKKKRKPADMSLHQTPDGALLDIIRNAYDLLSCCDIKLPEIEGNDKYANSGPPYLILLHPALGPLWEVTRQKFHGGSISNGSELQIEASEFLWRNIELDGSLIVVAENVMGSHIDKNHESILEYGHRCARCKLENVKIWNKGIDWSSDKNLYWKHDVERFESLKVILHGNAEFEAVDVNIEGNHLFQVPDGYKMRVTSGTSGLSIDLNPIKKEFMDSGSWFWKYMLNDGHIQLELVELEEGS >cds-PLY97000.1 pep primary_assembly:Lsat_Salinas_v7:2:32672513:32674839:1 gene:gene-LSAT_2X17020 transcript:rna-gnl|WGS:NBSK|LSAT_2X17020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLKNPLYIGGISYTGMLVPKIALEIYEGNERGDQPTLNIQGYIVISPLTDKFKDFNSRFEYAHRMALISDDIYKSAVNNCYGNYVNIDRTNTLCANSLDQYEQCIMRLNFDNILEPFCDENDPEQHCESKFNMVAESWANSEAVQRALNVRQGKIGKWEMRNDTIHYSQGKNDTFCYSYDIFSSFGYHEKLGSKHCQCFIMSGDHDMTFPYVGVEEWISSLNIAVEIPWKPFYVDSQVGGYEMKYAKNDHYSLTFATVKGAGHMVPYYKPKESTAVIDRWFSSQTYSSDY >cds-PLY64782.1 pep primary_assembly:Lsat_Salinas_v7:2:102251762:102262073:-1 gene:gene-LSAT_2X45080 transcript:rna-gnl|WGS:NBSK|LSAT_2X45080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNVALLLMLQLVFYGKVTAATWCVARNDAKEDALQTALDYACGAGADCAPLQDTGLCFLPNTIQAHASYAFNSFYMHSSMDSGACDFSGTAAIAKTDPSYGTCVYPSSPSTAGGGGGMMTPILSTPPQNFTLMDGGSGALTPTDMVPTLANPPSSEAFSKFSISRMLFMCMVLLLA >cds-PLY85574.1 pep primary_assembly:Lsat_Salinas_v7:2:196833419:196835346:-1 gene:gene-LSAT_2X118041 transcript:rna-gnl|WGS:NBSK|LSAT_2X118041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMITMVILLVNAACVVAIQCPDCGKTPVPYPLSTTPTCGHQSYKIRCEMGVLKFDTINNTYPIISISPKNQRLLIRQSHLLPNTCVMADFVTQGIQLDTSLPFTIGLTNTVFFFNCTDAMGTVILDCTPTNICQAYQNFSPQMSMCRRAPPCCSFRKGSSTSLFSIPLNMEKCKAYSSFVNLNISMPVSKWPDPSVELMWAPPPEPPCSSEGHCDSTSTCKDAHDGNGTRRCFCKPKFRWNANSGQCAKDRKKVRAKRNLLLATAICSGVTIFVTIIFAISVFIRRQKIKIARQRLARERQEIVRPSGGGKSSKIFSSKEIKKATNNFSPTGLLGAGGFGEVYKGVLDDGTPVAVKCAKLGNTKSIDQVLNEVRILCQVNHKNLVHLLGCCVELKQPFLVYEYITNGSLHDHLHDRNKHPLTWSQRLAIAHDTAEGLAYLHFSASPPIYHRDIKSSNILLDERMRAKVADFGLSRLAQSDMTHVTTCAQGTLGYLDPDYYWNYQLTDKSDVYSFGVLLLEILTCQRAIDFGRPTDDVNLVAYVKRIVNEERLVDVIDPSLKIHATTLEIDAMKALGFLAISCLEERRENRPSMKEASEEIEYIMGIVATTSDHHGRDI >cds-PLY62741.1 pep primary_assembly:Lsat_Salinas_v7:8:307706369:307711658:1 gene:gene-LSAT_8X166720 transcript:rna-gnl|WGS:NBSK|LSAT_8X166720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVSTSKSTDSSNTRNLRGEQFSGDLGFLTSRINQLKKQIEAERALLIKEKVEKNREKLRSDVSELLSLAMLRTDGSSKENNGEGKMLSSRIKNPLCLLTGQVSSDDNTNTTTQEAAISISAKLPPTDKIPPYTTWIFLDRNQRMADDQSVVGRRRIYYDQNGSEALICSDSEEEITELEEEKHEFSEAENRIMRTICQDYEPSEEVLQILTQHIGGNTSEIYEAYILLKTEEEEEVNRDVCTSTDHEHHRNISLDKSLSASLDSLDNLFCRRCLVFDCRLHGYSQSVIIPTERQYCPLESQENAKPCSDHCYLQFQTEKKHSDCVDVLSETHNRTSDQKIQTGADPGKRKVSNQTTTVLEESKDVTNEQQESSLKKQKRLLSIPDAVSMTIEDTRVCDEKSMEMDVTEDETTRKDEATSSGCVSADVMKDMSRGGVDLSNPVVNCEWKPLEKELYVKGLEIFGRNSCLITRNLLSGLKTCIEVANYMYEIGAETSLKACSMLNGNERVDANAKEQETGSRSRLLRRKGRAKKLKYSWKSAGHPSSIWRRIADGKNQSCKQYIPCGCKSMCGKECPCLDNATCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSVGEPQRRGEGHCGNMRLLLRQQQRILLAKSDVAGWGAFLKNSVNRNEYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMMVAGDHRVGIFARERIEAGEELFYDYRYGPDQAPAWARKPNASKPDDILVPQARAKKH >cds-PLY64676.1 pep primary_assembly:Lsat_Salinas_v7:7:137875595:137877480:1 gene:gene-LSAT_7X82120 transcript:rna-gnl|WGS:NBSK|LSAT_7X82120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFLMSILVTFFFLFILVKLFKRYSSGKVGSKLPPGPWKLPFIGNMLSMISSELPHQVLRNLARKHGPLMHLQLGEIPYLVVSSPQIAKEILVKQDLSFASRPELLVSKIVFYNSSDVAFSSYGTHWRQMRKICAMELLTAKKVQSFSSIREEEVGALMNHILSSAGSPVDLSKHFFTFMNTVTSRAAFGRIYKEQDLLIECVQELAVLAGAFDIVDLFPSYKFLHVFTSIGSKLKTLHRNLDMTLNRILDDHKKKPEDTDGCKTGTEDEDFLDILFRLKNSGELEFPFTTDHIKALVVDVFSAGTETSSTTMEWVMSELVRNPRVMKKAQNEVRAVLNGKKEVHEADIQELKYLKLVIKETMRLHPSLPLLLPRECRESCEIDGYVIPLKTKVIVNAWALARDPEYWHDAECFLPERFEDNYYDFKGSNMEYLPFGAGRRICPGILFGVANVELLLASLLYHFNWKLPDGMNIRDLDMKEKFGASVGRKTSLQLIAAPYDLNCGDS >cds-PLY91076.1 pep primary_assembly:Lsat_Salinas_v7:9:125251074:125251580:-1 gene:gene-LSAT_9X82781 transcript:rna-gnl|WGS:NBSK|LSAT_9X82781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSLDNEHNGESSVAPIINDDSFFNDDDDGVDTYSSDNDDDFTNDDKFINDDVVHMATHVTRGMEETAGNNHHMSSQARYMPVVNLQTQCIGVEMEDA >cds-PLY67827.1 pep primary_assembly:Lsat_Salinas_v7:8:187692794:187693099:-1 gene:gene-LSAT_8X121840 transcript:rna-gnl|WGS:NBSK|LSAT_8X121840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRYGRVLTVEEFQPLQERFGLLPEHGVVILAKGSSVYDCTQGKVGVPVPLFEVGLRLPTSDFFDMIMHHNSFSVDELTPSVINKIVGFKLIWRKGWAFVS >cds-PLY80318.1 pep primary_assembly:Lsat_Salinas_v7:7:157216748:157220739:-1 gene:gene-LSAT_7X91320 transcript:rna-gnl|WGS:NBSK|LSAT_7X91320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTILKASLLLSFLLFLVSPPAYSTSNGGLVRIGLKKRKINQLNQHDASNEEDSRRNFGLHGNFRDSDTDIISLKNYMDAQYYGEIGIGTPPQKFTVIFDTGSSNLWVPSTKCYFSVACLFHSKYKSSQSSTYKKNGKSAAIRYGTGAISGFFSQDSIRLGDFSIKEQDFIEATKEPGITFVAAKFDGILGLGFQEISVGGALPVWYNMVDQGLVHEPVFSFWLNRNTKDNEGGELVFGGVDTNHFRGEHIYVPVTQKGYWQFEMNDVFIGNEPTGFCTNGCAAIVDSGTSLLAGPTAVVTQINQAIGAHGLMSQECKTLVEQYGKSIIDMLLSEAKPEKICSQMKLCMFEGSHETSIIIESVVDKDNGKSSGVNDEMCTFCEMVVFWMQKELKKNKTEDSIINYADKLCENLPSPGGQSTVDCKGLSSMPNIAFTIGGKTFQLSPQQYVLKFGEGAKTQCISGFSALDVPPPRGPLWILGDVFMGKYHTVFDYGNLQVGFAEAA >cds-PLY70349.1 pep primary_assembly:Lsat_Salinas_v7:4:98178720:98180191:-1 gene:gene-LSAT_4X63521 transcript:rna-gnl|WGS:NBSK|LSAT_4X63521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSIVDSYSHCAAIADLQARAINDQSVMDTVNVHDVDIDTPKMKVPNRFHWDPHTFKIFLEECMTELNNRNRTDTYFKQAACQNICKRLLERTGKELDRNQMTNKWDIMRKEFKYYDRLTRLETGISTDPTKNIISASKEWWDEKIKEDKEYAKFKDKNLDVYQTYYEALFQDTVAVGDKAKVPCEFGTGSIPVDVQYVDITNEKMDTDGVRLFEDVDPFLTYDSSSMKRKGKKLTPRHDNKRKFEGNNEGKNEGKNMANLSYEEKLDTIFDVLLTRSTQPSRQTIQSPTTEDYMATVSTFPGFEEGSI >cds-PLY75080.1 pep primary_assembly:Lsat_Salinas_v7:2:93983503:93988476:-1 gene:gene-LSAT_2X41901 transcript:rna-gnl|WGS:NBSK|LSAT_2X41901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALEVVNIAEDGYRPSEYEDDDYNGNFASRKVEVLNNFTGEIKKKRITKRKRKRKRGNCDSQENSEDPLVVFGRDIMLMILNNLDARSVALSLLVSRSWHGVASSDAIWSKKCEELWASKAHLPRVSQTEGLSKLAAYSLSVMDGKRSQIMKEDLWDHAWEFHFKKSAPEFWRNLDPYWKGTGRPMRRYFHPDGSQTADPGDKVWGGHECCYSIVTSFLADGKIRKHYVRINRWPQMLISRGCDWGWKMSNELFCYSSVPDAEKKGGTGPCFV >cds-PLY76084.1 pep primary_assembly:Lsat_Salinas_v7:9:31655674:31657844:1 gene:gene-LSAT_9X27601 transcript:rna-gnl|WGS:NBSK|LSAT_9X27601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRVPVDRQSGLQQKSPSAELPLTEASPSSTSPHISFESSPATRSSTTPCSDQSNKKNRAVILPLSLGVAQRKPPRINWKQENIEKTFLEACLHEITVNGREGNSLKVNSWKNVGQRLQKEHDFDANQRQMKNRYDYLKAKFGAWLKLRNKSGNVYDPIKNKFNLEEEEWQMEIKSNKYVQTLRTAPLLFPDLCIQLFEGVTSNGFDGCGPSSQLPHDMDSPQSQQQPSTPRVLTHAQQPPTPSDESSCRSKKRKSKDSLELKILEIGEEICKVARLLMKNHTIDDDVDACIEKLEKFEWGTEDPRYNTALMLFGESEGLRKVWLRIKPASCENWIRNAGRKYGLL >cds-PLY68912.1 pep primary_assembly:Lsat_Salinas_v7:2:193392944:193396384:1 gene:gene-LSAT_2X114120 transcript:rna-gnl|WGS:NBSK|LSAT_2X114120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNVNGNDPLESFLNSIELVKNALGPLESNFRKAAKDFEHRWPGSKNEHKTEEIGMGKGSKVQLFGVKKKNDQGMVSDERKKGFSTKVPFKAFLGIFTHNDEHKVDVSKKELDIQDCSKDDGTCINCMQFAVSWSVLVSSFVQAVPGPFRNGKKKLQKKTNGDKLCKDVQVNAYKSKISKGVFQENISGDRNHTELKHELIFVPYEETLKAKDGNSLSLDHLLGFLFDQLAQNLQKFELGGSLLESKKADFSGFLGNLKFARVGGVPSGIVDITSNESDDVVNSNATEENVTSFPQKMANGFGLLSIPLSNVERLRSTLSTVSLTELIELVPQLGKSPKDHPDKKKLFSVQDFFRYTEAEGRRFFEELDRDGDGEVTLEDLEVAIRKRKLPRRYARDLMQRTRRHLFSKSFGWKQFLSLMEQKEPTILRAYTSLCLSKSGTLQKSEILASLKNAGLPANEDNAVAMMRFLKSDTEESISYAHFRNFMLLLPSDQLQEDPRNIWFQAATVVAVAPPVEVHTGSVLKSALAGGLACALSTSVMHPIDTIKTRVQASTLSFPEIIAKLPEVGVRGVYRGSVPAIIGQFSSHGLRTGIFEASKIVLINVAPTLPDLQVQSIASFCSTVLGTAVRIPCEVLKQRLQAGIFDNVGEAIICTWRQDGLKGFFRGTGATLCREVPFYVAGMGLYGESKKVVQKILGRELEPWETIAVGAISGGLAAVTTTPFDVMKTRMMTAPQGRPVSMSMVALSILRHEGPLGLFKGALPRFFWIAPLGAMNFAGYELMKNAISKTEEQQAVEEVSQK >cds-PLY93059.1 pep primary_assembly:Lsat_Salinas_v7:9:26702817:26703629:1 gene:gene-LSAT_9X23460 transcript:rna-gnl|WGS:NBSK|LSAT_9X23460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCCSGKCGCGSSCQCGSGCNGCGMYPDIEKSASTAVIVDGVAPKQMFAEGSESSFVAEGGHACKCGDNCKCNPCNC >cds-PLY98071.1 pep primary_assembly:Lsat_Salinas_v7:4:78758190:78758483:-1 gene:gene-LSAT_4X53160 transcript:rna-gnl|WGS:NBSK|LSAT_4X53160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYWKTYRSIVKNAPKLGFHEVYKACCGSSGGPYNFDLSGTCGSDSASSCQNPSQYINWDGVHLTEAMYKVVSELFLNGAFTYPPFKSLLRSKQNSA >cds-PLY77612.1 pep primary_assembly:Lsat_Salinas_v7:2:165271096:165273021:-1 gene:gene-LSAT_2X89601 transcript:rna-gnl|WGS:NBSK|LSAT_2X89601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYNFQQYRNISSPGWTLGWTWAKKEVIWSMVGSQTTEQGDCSRYKGAIPHCCMKTPTVVDLLPGTPYNQQIANCCKGGVISSWAQDPNNYASSFQVSVGVAGTTNRTVKLPKNFTLLAPGPGYTCGPTFVGKSTKFITPDGRRVTQAMMTWNVTCTYSQLFWDTGV >cds-PLY69419.1 pep primary_assembly:Lsat_Salinas_v7:5:301738344:301744090:1 gene:gene-LSAT_5X161621 transcript:rna-gnl|WGS:NBSK|LSAT_5X161621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSGLVSRQVLPACGSLCFFCPALTTRSRQPVKRYKKLISDIFPRSPGEEPNDRKIGKLCEYAGKNPLRVPKITSSLEHRYYKELRNENFQGAKIVMLIYRKLLISCKEQMPLFANSLLSIMQTLLVETRHDEMLIIGCQTLFDFVNCQKDGTYMFNLEGFIQNLCQLAQEVGDDDRVEALRSAGLQALSSMVWFMGRYSHISGEFDNIVSVVLENYGSSNKESDDPNQNRWVQEVLKSDHHVSPSEALIKVPSWRTIVNEKGELNVAVIDAKSPSFWSRVCLHNMAKLGKEGTTMRRVLESLFRFFDTENLWPASKGVAYPVLKDMQIIMDESGQNTHFLLSILIKHLDHKNVLKKPDMQLDILEVTTSLAQETKKEASVAIVGAIADVIRHLRKSVHLALDDADLGEDTIKWNKKFHDLVDKCLVELTSKVGDSSPIFDIMAGMMENISSITVIGRTTVAAVYRTAQIAFPEALFHQLLPAMVHQDHETRVGAHRIFSVVLVPSSVCPRSTPNIQSTVNDPKAAVPRSLSRTVSVFSSSAALFEKLKKDGPLVSKNVSQRNQNQNQVKFSEQRSNNAAALNGIKQSYSRVYSMKLDVNAAMNLNKDGEAVTLRLSSHQISLLLSSIWAQSISPENTPENYEAIAHTYSLVLLFSRGKNSGREALVRSFQLAFSLLGISIAEGGHLPPSRRRSLYTLATAMIVISGKAFSIVPLVPLAKSHLANKMADPYLFLIEDCKLTVGPASSSISFGSKEDDRSALKSLSEVKLKEDHSIESLAAIIVKHLETVSESEILSIKEQLLHGFVPDDDVGSANTLLQMNSEDDRSMDDVIIIKSYKFICYDIYIYIYIKYGVDYVQDTPLLFMDDDDDESHGDFAENDNLLSLSTADTSDLLSINQLLDSVLESAQQVGRMSISVTPEVSYKEMTNQCEALVNGKRMRMSNLMSIQQRPGNQSDAEINKQSRASHPFLEQNAPPSVNRTSCAKEYQNIPQSFRLPASSPYDNFLKAARH >cds-PLY91865.1 pep primary_assembly:Lsat_Salinas_v7:8:197836479:197836896:1 gene:gene-LSAT_8X127440 transcript:rna-gnl|WGS:NBSK|LSAT_8X127440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLPKGYLAVYVGEQEKKRFVIPVSFLSQPSFQDLLCQAEEEFGYDHPMGGLTIRCSEHTFFDLTSRLGAC >cds-PLY95567.1 pep primary_assembly:Lsat_Salinas_v7:6:174607917:174613192:-1 gene:gene-LSAT_6X106421 transcript:rna-gnl|WGS:NBSK|LSAT_6X106421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:VC2 [Source:Projected from Arabidopsis thaliana (AT4G24510) UniProtKB/TrEMBL;Acc:A0A178V1H6] MEAKLSNIRLSTVVPGEVTAANTIRRCTNADLAMKFHYIKGVYFFTKEAADGLIIFELKTAMSYLLNLYSTVAGRIRRRLENNRPFIKCNDSGIRVVEAESNTTVDEWVEMKCDVSELAYSGHVLGPDLEFSPLVSIQFTRFKCGGLSVGLSWSHILGDALFASTFMNLWSKVIKGETLSQIPITINTNNTKIPVQEKTYAFRGVDLAENLWCNANNTKMVTFSLHISSKIVDRITSNANAKPFEALSAIIWKSLAKIREQHDPKIVTFCTYGSPKNEIKAQRNGHFVSRVVVDFTVSEAKVTDLAQMITNTTLNDSVLIEDFVDGENKSSDVTFYGETLTFVNIQGANLYGFELKGHKPVFVNYMMAGSGDEGVILVLQGPENCSGVLVTMTLPRDEVISLKNELQNEWYII >cds-PLY98273.1 pep primary_assembly:Lsat_Salinas_v7:7:166889011:166889283:1 gene:gene-LSAT_7X99000 transcript:rna-gnl|WGS:NBSK|LSAT_7X99000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARFIELGGNGILKDSHIELLVCMILVSMSIFSIIIFVCGGDSDDHHSRKKHRRGGRSGFVNGVYVDNAGGGDGGGHGGGGGGDGGGGGF >cds-PLY98323.1 pep primary_assembly:Lsat_Salinas_v7:7:167076701:167078413:-1 gene:gene-LSAT_7X99181 transcript:rna-gnl|WGS:NBSK|LSAT_7X99181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILDNLPAMRFANQNGNKVQWTGFPIGYTPPNSDNDYIINHLKFKVYVHEYEGTSAQIFGTGEEGMGVISSTNDEKKASGYEIVGFEVFPCSVKYDEKMSKLHQYDDVASMDCPMEIEKLQVIREQERVSFTYEVEFIKSDIKWPSRWDAYLKMEGSRVHWFSILNSLMVIVFLAGIVFVIFLRTVRRDLTRYEDLDKESQAQMNEELSGWKLVVGDVFREPTNSKLLCVMIGTGIQITGMAFVTIVFAALGFMSPASRGMLLTGMIVLYLFLGTGAGYSGVYLWRVIKSTSDGWRGVSWSISCFFPGIVFIILTILNFILWGSNSTGAIPISLYFILFSLWFCISVPLTLLGGYLATRPDPLTYPVRTNQIAREIPERKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVVVCAEVAVVLTYMHLCVEDWGWWWKAFFASGSVSVYVFLYSINYLVFELQSLSGPVSAILYLGYSLIIAVAILLSTGTVGFVTSFYFVHYLFSSVKID >cds-PLY79640.1 pep primary_assembly:Lsat_Salinas_v7:5:254687562:254688478:-1 gene:gene-LSAT_5X128341 transcript:rna-gnl|WGS:NBSK|LSAT_5X128341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLYTVGMLYEMHHSCNKTLDWRCTESLSDYLSKRNIMEYVRLDATFFLLIRVRTTLPQAGLFVIPSIIVAAKQCISLELISI >cds-PLY75367.1 pep primary_assembly:Lsat_Salinas_v7:6:179385699:179389045:-1 gene:gene-LSAT_6X109160 transcript:rna-gnl|WGS:NBSK|LSAT_6X109160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDLQKLLLHDHGLSVSTLPEKGRCLFTTREYSPGEIILSEEPYVSVPNKTPVKSRCDWCFTSSNVKRCSSCQVVWYCGSKCQTSDWKVHRLECQLLAKLEKNRIKSLTPSIRLMVKLYLRRKLQNEKVIPTSVTDNYNLVKDLVSHISEVEEMQLVLYAQMASLVNIILQWSTLNIKEIAQNFSKLACNAHTICDSELIPLGTGLYPVISIINHSCSPNSVLVFEGRIATVRAMQTIPKGSEVLISYIETAGSTMTRQKALKDQYFFICSCPRCIKLGEHDDIEESAILEGYRCKNHTCNGFLLRDLESKGFKCQQCGIVREKEEIVKIASEEKTISNKASVALSSCHNKEALDMYLMVEKLQMKLCHPFSINLMRTRETLLKILMELQDWKKALTYCRLTIPVYERVYPKFHPLRGLQYYTCGKLEWLLGYTDEAIKSLTQAVDVLRITHGTNTSFMKDLIAKLEEAQAEASYKLSSMDD >cds-PLY76847.1 pep primary_assembly:Lsat_Salinas_v7:3:5977034:5977498:-1 gene:gene-LSAT_3X3520 transcript:rna-gnl|WGS:NBSK|LSAT_3X3520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKEIRSKRKNEKVPIVNNLKNKGIKESVKEEQDDKVRKTSTEYPPGLRNLPTRMKCDNITAAIKGMSPEQKQAIFRTSFGSILQVNITSYPGQRSYYLLDVYDPDSRRLVLQISVIEITEETVHDMMGLPIGGEDINELPLCDKGNQILEEWK >cds-PLY87427.1 pep primary_assembly:Lsat_Salinas_v7:2:135717986:135719645:1 gene:gene-LSAT_2X64140 transcript:rna-gnl|WGS:NBSK|LSAT_2X64140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGYRANALLTFSLTILALMCAMASLSDNLNSPSPTASVKVLNINRFLKKSTGDDEVGITLDISADLQSLFTWNTKQVFVFLAAEYSTPKNILNQVSLWDGIIPAQDGAKFSIKTKNKYRFIDQGSNLRGRDFNLTLHWHVMPKTGKMFADKLVLPGFRLPESYR >cds-PLY79440.1 pep primary_assembly:Lsat_Salinas_v7:3:79786444:79786671:-1 gene:gene-LSAT_3X58421 transcript:rna-gnl|WGS:NBSK|LSAT_3X58421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSGDWRLNLKEKSDDEHEASKPHIEASAGDNELQTDRCASDEEDGGVVNGEEDGDAVKGEEDDGLIVPSLSPKD >cds-PLY67730.1 pep primary_assembly:Lsat_Salinas_v7:4:229567491:229569944:1 gene:gene-LSAT_4X125040 transcript:rna-gnl|WGS:NBSK|LSAT_4X125040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-IV [Source:Projected from Arabidopsis thaliana (AT4G33350) UniProtKB/TrEMBL;Acc:A0A178UWE7] MESPNPASSATPNPFAFFHQHFQRLSSDISTRLDETRRGIAKNLFPFQPHKPHRWSLPFASLSQQQDDNTLRSGKHVFDLALSTANVSKTLAGTELYTVSNSDNEFVLISDPNGLKSIGLLCFRQEDAEAFLAQVRLRKRELKGRAKVVPIALDQVYMLKVEGIAFRFLPDPVQLKNAMELNTSTTKNGFDGVPVFQSDLLVIKKKNKRYCPIYFRKEDIEKELLMIPRGPRGPAISQHIMVGCLEDVLKKMEMSEKNSGWEDLVFIPPGKSQSLHLQEVVNA >cds-PLY89834.1 pep primary_assembly:Lsat_Salinas_v7:4:324349808:324351158:1 gene:gene-LSAT_4X160880 transcript:rna-gnl|WGS:NBSK|LSAT_4X160880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKMSILLALLFFLCSSVLCQEPLQILTLNRHDSSYVDPVQTIGVSVEEEKLNQVSNSILMAENWLRTHVLSRYPSSNVNSIVVANDLLCNEKIRNREELVRLTLDAMKNMYYSLTRWGLQREIKVSVSLSFNCLHQSHLQKVFSFLEDINSTYTINTPQFSDQTLRLLTSEPKSMKDLGVFQSETVNVIFPMSKQAKPTSRKLQFLDPLWFPPTPPSQAVEFPPATFTLPFPPETQPPTMAPASSPSPHHMFNLPPCNPYPHFYRAAPPIVGNGGGRMAAPPTAGKSMAAPPASGGDYGQEGMWCVAKPSVPSEKLQVAMDYACGPGGADCDPIKPNGSCYSPDSVVAHASYAFNSYWQKNKKNGGICGFEGTAMLITSDPSKASFLISIFDF >cds-PLY77781.1 pep primary_assembly:Lsat_Salinas_v7:2:169441645:169442013:-1 gene:gene-LSAT_2X92060 transcript:rna-gnl|WGS:NBSK|LSAT_2X92060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQPQTHLNVADNSGARELMCIRIIGASNRRYAHIGDVIVAVIKDAVPNMPLERSEVVRAVIVRTCKELKRDNGMIIRYDDNAAVVIDQEGNPKGTRVFGAIARELRQFNFTKIVSLAPEVL >cds-PLY95005.1 pep primary_assembly:Lsat_Salinas_v7:1:190032916:190038700:1 gene:gene-LSAT_1X124381 transcript:rna-gnl|WGS:NBSK|LSAT_1X124381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRSHEALYEDDDDDVELQRNNQNIHEESEEENDDQDVEQDEQAETDDDGSASSSCSDKDEFVLIKLAEIRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRLGNNECPACRAHCASRRSLRDDPNYDALIAVLYPDIDKYEAEEFAFHEEERARNKQIQASIAQTSRRQLEALGKKRTNAKATAAVFMRRSQANTRNLRGRRSWKSSEPQEESDNDEDDGGGKDSSSGDEPEPEPEPEPEPEPCNEPRPKRYKRWGGSGTRSSLPSSSADGDNEVETARETAVVSAGIGGSSEILAWGRGGIRSHTRHGSLSNSGGKSTRNSRVSKIMDHLRKLPQNNDKLEIHLKLISLDDQNIPNMPHLYLCCPPTMPIIHVGQYVAVEKGLQYDEIELLLVKGDHSSGEQPEPVVINPLTDELQLLEEHQTLLDVAKNSPHKDLTLAYRKKLSSS >cds-PLY85820.1 pep primary_assembly:Lsat_Salinas_v7:8:173064280:173067022:1 gene:gene-LSAT_8X112821 transcript:rna-gnl|WGS:NBSK|LSAT_8X112821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWIFLVLMTIANLGGTKRVRKEPRQISVVSTGEESGSELDPKLCMIRTRKRVMTSVASIGATVARENTRSTSFQPAQEESVSRTTSKTTERPLSQNMESFPNSATRQSGSTEDTQRFSIPLVGEGNVSNTIDNMESQTEHSPNEQMRRQWKRTRNTLKDFWKKNGGMTDPQLARSKMKPDCRSKEDWSHLCDYWETDKAQKNKETQLPPTPIELYHKLHFHPTKEWLNDETRIQYENILQMKEDECTKLVSAGISITPEMEYEIEKKAVKTVCARHKTLLSGWEASSGPIMRKKDLHILSAAEPSQSASTDEMALKNKVTALEEEVRENKEKVKQSEEKCEKMLQFMISKFPDSQNILCPPDKEGFRASDDMPNISDEE >cds-PLY96567.1 pep primary_assembly:Lsat_Salinas_v7:4:368380667:368383949:-1 gene:gene-LSAT_4X180561 transcript:rna-gnl|WGS:NBSK|LSAT_4X180561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNSEQKVVAVIMVGGPTKGTRFRPLSFNTPKPLFPLAGQPMVHHPISACKSIPNLAQIFLIGFHEEREFALYVSSISSELKIPVRYLKEDKPHGSAGGLHYFKDLIMEDNPSHIFLLNCDVCCSFPLPEMLDAHRRYGGMGTLLVIKVSAESASEFGELVADSVTKELLHYTEKPETFVSDLINCGVYVFTPEIFNVIHDVHTQHEDRANLHTLSSYDALRLATKTPTKDFVRLDQDILSPLAGKKQLYTYETMDFWEQIKTPAMSLKCSALYLAQFRFNSPGLLACGDGIKNPTVVGDVYIHPSAKVHPTAKIGPNVSISANVRVAAGVRLISCIILDDAEIQENAFVMYAIVGWKSLLGKWARVQGEGDYKRKLGITILGEAVTVEDEVVVVNSIVLPNKVLNISVQEEIIL >cds-PLY97837.1 pep primary_assembly:Lsat_Salinas_v7:5:197259930:197275313:-1 gene:gene-LSAT_5X88580 transcript:rna-gnl|WGS:NBSK|LSAT_5X88580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAKGKPHKAKGEKKKKEEKVLPTVIEIGIETPEESQVTLKGISTDKILDVRKLLAVHVEACHLTSYSLSHEVRGPRLKDTVETASLKPCHLTVVEEDYTEELAIAHIRRLLDIVACTTAFGGSSTPPKNAASTSSRATTNRPDSSDGEIGQDKKSSSGAKSPETEVSISSDQSDKGDRDPMAEIYPPPRLGQFYDFFSFSHLTPPIQYIRRSTRPFLEDKTNDDFFQIDVRISSGKPMTIVASKKGFYPAGKRILLTHSLVGLLQQISRIFNGAYKALMKAFIDHNKFGNLPYGFRANTWIVPPIVVDNPSLFPPLPVEDENWGGNGGGQGRDGKYDDRQWAKEFSILATMPCKTQEERQVRDRKAFLLHSLFVDISVLKAVSAIKNLAESSSFSSKSSTKSVLHEEKVGDLLIRVTKDLSDANTKLDSKRNLLKGITADESTTVHDTSTLGIVVVRHCGYTAVVKVEAEVKFDVKSIPQDIEIEDQPEGGANALNVNSLRMLLHKSSSTPIQRVQGADVEDLQSAKLLVRKVMEESLQKMQDDEEEEDSRNLKSIRWELGACWMQHLQNQASGKSESKAKVEPKSAVKGLGKGLLKEIKKKSDDKSNKIEQEITTNNKSDDIEEMKKVDEEEKEIMWRKLLPEEAYLRLKESDTGLHLKSPDELIQMAHKYYEDTALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMCSLGRVVELADKLPHVQSLCIHEMVVRAYKHILQSVISSVDNITDLSVTIASCLNILLGNNNDFKWKWIECFLSKRFSFQWKTESHTENLRKFSLLRGLSHKVGLELVPRDYDMDSESPFVKTDIISMVPIYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALAKFVYVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHITCGPSHPNTAATYINVAMMEEGLGNVHIALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQSKLGLEDLRTQDAAAWLEYFESKALEQQEAARHGTPKPDASISSKGHLSVSDLLDYIAPDADMKAQKKQTRAKLLKVKPEQNEESIVNEVPLTEEVSSPKPSVPHKEIKSEQQDTKPDLIEKEQQMIDDIIEVDNSDEGWQEAISKAARKSSTSKKPNLAKLNTKYRPKPANFTSPRTTTVESGTSAGPPPTAAKKFVKSASFSPKSTPLSPAIRSISVKEAGKLFSYKEVALAPPGTIVKAVTVAEKSPEQPSPAIKTETETENIEKPEKEVIPSSEQEKEKEKEKEKTIDEKKEETEVIPESDSDSGKPENEGLEALESEKDEKIRNDGKEMTKKLSAAAPPFNPSLKDQGGILPPPVIIPTMLTVNPTRRSPHQSATARVPYGPRLSRVPRTKPAFHDPRIMNPHAAEFIPSQSWVPHGYLVSPEGYPIAINGFGFPAGYPVSPVDSVESPSVITHGGEENNKSVPVDSVGETQSEIVTESQETDEPV >cds-PLY67502.1 pep primary_assembly:Lsat_Salinas_v7:6:71012293:71012850:-1 gene:gene-LSAT_6X51560 transcript:rna-gnl|WGS:NBSK|LSAT_6X51560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSPGHHCITTVAFTVHRKSAPTVRTHGTLFVPLEGPESPVEQLTKILFRMALKALIARESR >cds-PLY85043.1 pep primary_assembly:Lsat_Salinas_v7:7:6214040:6217394:-1 gene:gene-LSAT_7X5561 transcript:rna-gnl|WGS:NBSK|LSAT_7X5561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTMPSQSPSSSSEDTSTTIDSTPLLSPTSDGAFRSRQFVRGTPSLRGAARFLRRASSRRMLREPSMRVRESAAEQIEERQTDWAYSRPIVILDLIWNLAFIVVSISVLIMSRKENPKRPLRLWIVGYACQCLLHMICVCVEYKHRYQQRSSDYGGNLRSEVNNGNRIPNSSSNSSSSGSDEGENADFPSNSLQNDDDTSVAKHLESANTMFSFIWWIVGFYWVSSGGQHLTLNAPQLYWLCITFLAFDVFFVVICVAVACVVGIAVCCCLPCIIAILYAVADQEGATKDDIERLPKYKFKRIDDFEKQNGEIQKGYGGIMIECDTDSPVERALSEEDAECCICLCSYDDGTELRELPCCHHFHAPCIDKWLYINATCPLCKFNILKNTNQSGSDEV >cds-PLY87066.1 pep primary_assembly:Lsat_Salinas_v7:5:265492475:265494564:-1 gene:gene-LSAT_5X136840 transcript:rna-gnl|WGS:NBSK|LSAT_5X136840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEPVNLNEYQQLARQALPKMYYDYFTGGSEDQHALRENTEAFKRITFRPRILVDVSKIDMSTTILGYKTSAPIMIAPTAMHKLAHPQGEVLTAKAAGACNVIMGLSFMSTCTIEEVASSCNAVRFFQLYVYKRREISALMVKRAEANGFKAILLTADTPKLGRREADIKNKMIAPQLKNFEGLVSTKVEDDEGSNLEAFAARSFDPSFSWKDIAWLRSITKLPILIKGVLTREDAIKAMEVGVEGIIVSNHGARQLDYVPATIDALEEVVLAVKGRKPVIFDGGIRRGTDVFKALALGAQAVMIGRPVVYGLAAKGEYGVRRVIEMLKDELELTMALSGCPTLTHITRNHVRTELDHRHCRL >cds-PLY65304.1 pep primary_assembly:Lsat_Salinas_v7:8:105742682:105745068:-1 gene:gene-LSAT_8X71281 transcript:rna-gnl|WGS:NBSK|LSAT_8X71281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWLQVVVAMVVLVACGFPATIECRIRNYKFNVVMTNTTRLCASKPIVTVNGRFPGPTLVAREDDTVTVKVVNHVKYNVSIHWHGVRQLRTGWADGPAYITQCPIQPGGTYVYKFTLTGQRGTLWWHAHVLWLRSTVHGAIVILPKLGVPYPFPKPNKEVVVVLGEWWKSDTEAVINQAQKSGQAPNVSDAHTINGNPGPIPNCLANGGFKVAVDQGKTYMLRIVNAALNEELFFRIADHKLTVVEVDAVYVKPFTTDTILIAPGQTTNAIVTATQKAGKYLVAVSPFMDAPITVDNLTATASLRYSGVLTSTATKLVAPPPQNSTPVANTFINSLRSLNSATYPAKVPLTVDHSLFFTIGLGINPCSTCVNGSRVVADINNITFVMPTTALLQAHYFNISGVFTDDFPSKPLMPYNYTGTQPKNLATNKGTKLYRLAYNSTVQLVLQDTGMIAPESHPVHLHGFNFFVVGRGIGNFNPNKDPKSFNLVDPVERNTVGVPAGGWTAIRFKADNPGVWFMHCHLEVHTTWGLKMAFVVDNGKGANESVIPPPSDLPKC >cds-PLY86713.1 pep primary_assembly:Lsat_Salinas_v7:4:8422383:8424164:-1 gene:gene-LSAT_4X5421 transcript:rna-gnl|WGS:NBSK|LSAT_4X5421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPKSVVIHVTGFKKFNVFATNPTETLVSNLQNYTEKTGLPLGVSLGSCTILETAGDGALTTLHKVLESSVSNENNFGSKEVVWLHMGLNGGASNFAIEKQAVNEATFSCPDELGWQPKRVPIVPEDGGITRIRETGCSIDAILEFLKKMKGYDDAMISDDAGRFVCNYVYYHSLRFAEEKGHKSLFVHVPPFSRINEETQMKFMGSLLEAIALSC >cds-PLY73229.1 pep primary_assembly:Lsat_Salinas_v7:8:177731750:177736228:-1 gene:gene-LSAT_8X115241 transcript:rna-gnl|WGS:NBSK|LSAT_8X115241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDANSAEFEAILKEIERANSSSTSYTKNDDQEWKTVSYKKSRRKPTNKAPENYTDHGSGNGNVFRSIEQQSEDRRRRVVESQKAAAAAIDAAADDAPLRAGDDGDEDGSDVDNLAGRESGKGEVKKSKPKKLKKPKVTVAEAASKINDSDLAVFLVDITESYESQPDIRLMRFADYFGRAFASVNASQFPWMKTLKEASVEKMIDIPLTHISEDVYRTSADWLNHQPVDALGSFVVWSLDNILTDLALHQGGIKGSKKAIQQAPSKSQVAIFVVLAMVLRRKPDVLISLLPIIKEGSKYQGQDKLPVLLWTITQACQGDLVVGLFMWVHLLLPLVNSKSGGNPQSRDLILQLVERIVSSPKARTILVNGAVRKGERVVPPSALELLMRATFPSPSSRVKATERFEAVYPLLKEVALAVAPGSNSAMASEASDIFLWCLTQNPDCYKQWDDIYLNSLEASIVVLRKLSDEWKIYSVKHSSLEPLKATMKSFKEKNEKALASGSSVSEALVKETDKYAKMILSKFSRGNHCLKATAFLTITMAVGVAFIIKDPTVWNLKQLADFDFSHTFTSLSH >cds-PLY97605.1 pep primary_assembly:Lsat_Salinas_v7:5:235673533:235674544:1 gene:gene-LSAT_5X114801 transcript:rna-gnl|WGS:NBSK|LSAT_5X114801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHFGRGRAGPPDIKDTYITYSVLILNITFHKTGDFRGFAFVRYKYADEAQKAVDKLNGRIANGREIMIKFAKYGLDAECES >cds-PLY66135.1 pep primary_assembly:Lsat_Salinas_v7:7:31957753:31958835:-1 gene:gene-LSAT_7X23940 transcript:rna-gnl|WGS:NBSK|LSAT_7X23940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENNWTMVRRRRKPVNKQETTYFVTNLPKEVAKGELWKAFEKYGNLLDVYMGKKSGKNRQYYAFIRFRGVMNDKELERRLNGAKVRGKILAVNVALHERKEMARNQDFNEKFRRANVGQTAAAKNNGMWTGCSLRDHRSYANVVRPEYTSSVHPPGPPPPAPIPLHQDPITLSWLRKCSLVGEATSLDHLGHLPKLLLAKGETCIEVKYIGGLMVLLLFDHSVAAKEFMEQEYRWKEHLKWVRWGDKVETHGDRVAWIRIVGLPLRLWGQRNFQNITEGFGKIIASFEDIPHRVDLSHVKIGLLTTRKDRINDEIRVAFEGKVYKLGIIEFDEDWFPFRFDDDEDYVESTGIKEKTKEVV >cds-PLY96076.1 pep primary_assembly:Lsat_Salinas_v7:3:102287403:102288734:1 gene:gene-LSAT_3X75041 transcript:rna-gnl|WGS:NBSK|LSAT_3X75041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMEWMKKMGEITHHTIKTNGISMHVAEKGNGPVVLLLHGFPELWFSWHHQITYLTNHGYRVLAPDLRGYGDSDSPSSPSSYTFFHIVGDLIGVLDHFNQQQVFVVGHDWGAHAAWHLSLFRPDRVKGIVALGIPLFPRSPISPTQLFTKSFGDNLYITQFQELGRAERAFAKYDCLTVIKKFLLINHGDVLVAPSGIEIIDHMETPSLPPWITEDELQAYADKFQETGFTGGLNYYRAMDLSWELEAPWQGAKIKVPSKLIIGDEDNGFKSWTKEFVESDVMKELIPDIEVVMIKGHHFIHQEKPQQVSDHILSFLHKLA >cds-PLY79669.1 pep primary_assembly:Lsat_Salinas_v7:5:252033711:252034120:1 gene:gene-LSAT_5X126860 transcript:rna-gnl|WGS:NBSK|LSAT_5X126860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVRGVGRQWRILDDDIEEPIRQVESEDILEPAFERLQSRRHAKPDQAEPTLCDVTQCLDVAQEERHWIMASLVGGTEDEEGEYESSE >cds-PLY89849.1 pep primary_assembly:Lsat_Salinas_v7:4:324447695:324451487:1 gene:gene-LSAT_4X160900 transcript:rna-gnl|WGS:NBSK|LSAT_4X160900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDLFQSNGENIRYVATDLATDMIIRVGDIKFYLHKFPLLSKSARLQKLVAVSDTENNQELEIHDIPGGPAAFEICAKFCYGMTVTLNPYNVVLARCAAEYLEMYETIDKGNLVYKIEVFLNSSIFRTWKDSIIVFQSTKVLVPWSEELKIVSHCLDSIASKVSVETSKVNWSYTYNRKKSGNESPRKVVVPKDWWVEDLCDLSSDLYKRVIITIKTKGNVGSDVLGESLRAYTQKRLPWFGKKDEKKHGNDLKTRSLIEMIMELLPEEKEKETVSCGFLVHLLHACVVLECGVTRKEELMRRIGRELENVSVVDVAILDVDLVQELVAMFMMRDQSGEDQDEFLEVKCVDSNAKVNVAKIIDGYLAEIAKDPCLQLLKFADLADMVSTLPRSTHDGIYRAIDMYLKEHPGIGKSEKKRICRLMDCRKLSPEACRHVVQNERLPLRVVVQVLFFEQVRSTTTGGISEIPPGHGPTGGSRGXXXKNCHDKYRRRMGVGPHK >cds-PLY99084.1 pep primary_assembly:Lsat_Salinas_v7:8:264529112:264533418:-1 gene:gene-LSAT_8X73920 transcript:rna-gnl|WGS:NBSK|LSAT_8X73920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGYGKECSLAYSTCRREFLEESLSRLGFLGLQNSSKPLEDADNDVEIEKWMQPLRCQKLQMLLRNTLVLNQRYVVFLSTWLNRKPCWTIFKLRAGRVAVNETSRDETMRRQSTMVKFHSSNSLLTNALVTWMRATPLRMIYSKSSDAAVEVLEASDAAEKYTGTKSEMMLFSNNLFTCAETSRDETMRRQSTMVKFNSSNSLLTNALVTWMICILYNLGILLFVQITLLYVKPSSCTPITL >cds-PLY66456.1 pep primary_assembly:Lsat_Salinas_v7:5:320794903:320801102:1 gene:gene-LSAT_5X176421 transcript:rna-gnl|WGS:NBSK|LSAT_5X176421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESRCLDYDDCLFLGNQGFRFLNPLVSFTMTMEWNRVTVISVCLYILISITCSNAVESDINCLKSVKASLRDPENLLSSWDFNNNTEGFICRFTGIECWHPDESKVLNIHLSDMGLIGGFPVGLKNCTSMTGLDLSNNRLNGPLPTNMTDVVHFLTTLDLSSNNLSGEIPVSISNISFLNVLKLDNNRFTGRIPYELGGLNRIKEFNVANNLLSGPVPNFGENIKADSYAGNLDLCGGPLQRCEGESSKTSTGVIIGAAVGGVTLAAVLVVVSTMFIKRKVIRRKEEDPDGNKWARSIKGTKTIKLSMFENPVSKMRLSDLMKATNSFSKDNIIGSGRTGSLYKATLEDGSSLMIKRLQDTQHSEKEFASEMATIGNLKHRNLVPLLGFCVAKQERFLVYKYMANGNLHDKLHLVGDDEKRMEWPLRLKIAIGAAKGFAWLHHNCNPRILHRNISSKCILLDGEFEARISDFGLARLMNPVDTHLSTFVNGEFGDIGYVAPEYARTLVATPKGDVYSFGVVLLELVTGERPTHVSKAPESFKGSLVEWISELSAESKLHDSIDVSLAGKGIDNEVFQVLKVACNCVLPAVHKERPSMFEVYQLLRAIGEHYHFTADDEILMPTDDANAGDIELIVSRDMRGMK >cds-PLY95851.1 pep primary_assembly:Lsat_Salinas_v7:5:64161195:64163773:1 gene:gene-LSAT_5X29640 transcript:rna-gnl|WGS:NBSK|LSAT_5X29640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVRRAWHSDRHQPMRGSLIQEIFRIVTEIHSSATRKNKEWQDKLPIVVLKAEEIMYSKANSEAEYMNLETLWERANDAINTIIRLDESTETGDFLQPCIEAALNLGCTPMRTSRSQRNNTSTYYLNIKNPDSNFCPSNNLHKCPQENPIKITQFAPHYPQIMNPRVINVPPFNLKPQIPIPPNETSIRNKFPFQSQPNTKSPSVYPLFYGNGNEPMDPKMNYSEIKENRKLGLFQKPCFSEEHDDGHECDLSLRLGPSNMKTSQQSRNLEVGEFEKSNQWSFEGEKVDLDGRMRKRKAVVGNLYENLQFCWQSKVSSANSNGGL >cds-PLY93867.1 pep primary_assembly:Lsat_Salinas_v7:5:332437532:332441687:-1 gene:gene-LSAT_5X185801 transcript:rna-gnl|WGS:NBSK|LSAT_5X185801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:EOL1 [Source:Projected from Arabidopsis thaliana (AT4G02680) UniProtKB/TrEMBL;Acc:A0A178V4A7] MKTFFPSESCKETHLNALNPQSWLQVERGKLSKVSPHSPSSLESLIKVPDPPILPFFKPVDYVEVLAQIHKELESCPPHERSSLYLLQFQVFKGLGDLKLMRRSLRSAWLKSITVHERLVFGAWLKYEKRGEELISDLLSSCGKCAKEFGLIDIGSELPSVTNDNSVANAAMMNHNTYLSESITFRIGDEKVICDRQKMAALSPPFQSMLNGCFLESLSDNIDLSKNDISPAGMRVISEFSKTGTLPEQLPTDLLLEIMVFSNKFCCENLKDSCDRKLATLVLSRNDAIELLEYALDENCPILASSCLQVFLQELPECLQDERVVEILASVNHLHRSIMVGGASFSLYCLLSQVAMDLDPGSDKSALLLEQLVDSTENTRQKMIAYHMLGCVRLLKKEYNEAERFFKAAVNEGHVYSVVGLARLGRINGKKRESYEKLNSVISDYTPLGWMYQERSLYCDNDEKRWEDLEKATGLDPTLTYPYMYRAASLMKRQNVEESMGEINKILGFKLAVECLELRFCFFLVLEDYRSAICDVQAILTICPDYRMFEGRVPASQFQTLVREHVPSWTTADCWLQLYDRWSAVDDIGSLSVIYQMLESEAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHASSEHERLVYEGWILYDTGHCDEGLTKAEESISLSRSFEAFFLKAYALADSSQDPTCSSTVVSLLQDALKCPSDRLRKGQALNNLGSVYVDSGKLDLAADCYINALKIRHTRAHQGLARVHYLKNEKAAAYEEMTKLIEKARNNASAYEKRSEYCEREQTKIDLEMEKEAIAELSRAIAFKADLHLLHLRAAFHEHIGDVSGAMRDCRAALSFDPNHQEMLELRSRVNSQEP >cds-PLY99338.1 pep primary_assembly:Lsat_Salinas_v7:1:81142766:81145793:-1 gene:gene-LSAT_1X67440 transcript:rna-gnl|WGS:NBSK|LSAT_1X67440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGICVGKPANVAHASSGQFLDDMINNKVKSSSKDSKNQTKTVTFNKVSKAPRDQNLPIPINLKAFSLHDLKTATKNFRPDSLLGEGGFGQVFKGWIDETTFAPAKPGTGLVVAVKILKADSRQGHREWLTELEYMGRLHHKNLVKLIGYSEECENRLLVYEYMPKGCLDNHLFKKNVQPMPWATRMRIAIDVAEGLAFLHSKVPCIIYRDLKASNILLDTEFNAKLSDFGLARNGPVGDNTHVSTRVVGTNGYAAPEYIATGHLTQKNDVYSFGVVLLELLSGRRAIAEERAGGVEETLVEWVKPFLSDTKRVLRIMDTRLGGQYSKKGAQAVAALASKCLHNDHRFRPPMAEVLASLQKIQNVQKEVVRVSPCREEGKLGGLKEISGAINSR >cds-PLY62178.1 pep primary_assembly:Lsat_Salinas_v7:3:210183144:210189365:1 gene:gene-LSAT_3X122920 transcript:rna-gnl|WGS:NBSK|LSAT_3X122920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC4 [Source:Projected from Arabidopsis thaliana (AT3G23100) UniProtKB/Swiss-Prot;Acc:Q682V0] MTSRIRRSQPDTHDFPWYSFPRDVAPETRARWTAKLDLLKKRKVHVPAKVDWHWIGSLGLMEDTEPYLDKVFNGVQGQFMCLGWRQIFEIQEVVYKELVYEFLATTSFAKKDGIYADDNLIFCLVGERCSLSLADFALRVGIYLLAEVHTPLYQQFIAASIRNIEGFKVEDHWHSIANGAYHKGTAQESDIRSPIHRLLHRLITNTINERQEGDECPAVDAFFLWVLTSTEVYADLPFLLADFLTAQPAKDRRGSPLYGASEEEVKERASNWDQPTSEYIDLSERYLGFQQPDSIYGFADAAGGNKRLSWTFKKEGTKLEWRWKFKPAEDSKKITASILDFLMDANIRLSEEVVMKTKSNEKLKTEAEKCLAQSEKFQNEKAEFETTIYSKFLGVLNSKKAKLREMREKMSNQENNVKSEEEEEEEEEEHVGESSDRTETFEGDSEDDDVEEDTATNVTSTSENKPRGRKRK >cds-PLY85799.1 pep primary_assembly:Lsat_Salinas_v7:4:80952245:80955123:-1 gene:gene-LSAT_4X54280 transcript:rna-gnl|WGS:NBSK|LSAT_4X54280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGDMKDYEDLSAGLNSKSFMESHLDKMKFPSSPTTPTSVLPDYPAIESSEDCSIVTFSTPFLLPLRVNAIKKLSPIDVKRLSIHMYPHVAQQVANTFNQIEESKRNPELTKDYVVLVANPPTPPLLSPSPPSPPMSTSRIQTPNPLPQAPPPPPPPPPPSSTVHTVTPQQLPPPPPPPPPMASRDATMTRLSLGKQGPPPPPPPPMTPRTIPPPPPPPMQQGKGGAPPPPPPIGGTQSLRAKATTKLKRSSQMGSLYRLLKGKVEGSSLNGKSLKRSSSKVGAGSSGGSGKQGMADALAEMTKRSSYFIQIEEDVKNYANSIKEVKTSLSSFQTTNMAELIKFHIYVESHMEKLTDETQVLARFEDFPSKKLEGLRMAAALYAKLDTIATTLHNWKIESPANQLIDKVEAYFNKIKGDLDTLERTKDDELKKFKSQNIHFDFGILVRIKELMVEVSSNCMELTLKEAREPKANEHDKSGVKSSGKILWRAFQFAFRVYTFAGGQDDRADNLTRELAQEIQTHP >cds-PLY86730.1 pep primary_assembly:Lsat_Salinas_v7:2:197303434:197304048:1 gene:gene-LSAT_2X118681 transcript:rna-gnl|WGS:NBSK|LSAT_2X118681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQYSQYLMLVKSNNSNILMNPFSSHSWEEQAFAEDGRGPFGGFVWPPRSYSCSFCKREFRSAQALGGHMNVHRKERAKLKHTVNLTSSATICTTKMAPKNHNKQNLCKESLDPGLFPLALSPSRASSIPALGEESFETNSDTKSYVYDEDFAIAHGNGDVETNLVIGFELDSCSNTGSCCKRQKTGVTPTIMDGLDLELRLAR >cds-PLY63521.1 pep primary_assembly:Lsat_Salinas_v7:9:25020515:25020940:-1 gene:gene-LSAT_9X22440 transcript:rna-gnl|WGS:NBSK|LSAT_9X22440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTELTYDTHEPVLKDVFEQHGELIEVKVICDHKSGKSKGYGFVHFVSEESATKTLAEMHGQLPDGRNIQVNYANKK >cds-PLY95151.1 pep primary_assembly:Lsat_Salinas_v7:1:94279723:94282067:1 gene:gene-LSAT_1X77640 transcript:rna-gnl|WGS:NBSK|LSAT_1X77640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSSLTPITDLTIHRSPKFHTQFLQTSLVFRSFSSSSSSSLHTKLHSSELNSRRNSFVCSNSLKQETVQNPTESPSPLTSSNKLVLVTGGTGGVGQLVVASLLNRNVRLRLLLRDPEKAKSLFGEHEEEKLQVMKCDTRNAGGLDPSMFEGVTHVICCTGTTAFPSRRWDGDNTPERVDWEGVRNLVSTLPQSLSRIILVSSVGVTKSNELPWSIMNLFGVLKYKKMGEDFVRNSGIPYTIIRPGRLTDGPYTSYDLNTLLKATAGERRAVLIGQGDKLVGEVSRLVVAEACIQALDIDFTEGQIYEINSVPGDGPGSNTEKWKELFKAAQIQ >cds-PLY65760.1 pep primary_assembly:Lsat_Salinas_v7:5:272784796:272787628:1 gene:gene-LSAT_5X143121 transcript:rna-gnl|WGS:NBSK|LSAT_5X143121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPEGSQFNAQQFDSKMNELLSTDGQDFFTSYDEVYDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYNVVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSAGVHVVVGTPGRVFDMLRRQSLRADYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKVQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTLDDERMLADIQKFYNVVVEELPSNVADLI >cds-PLY69493.1 pep primary_assembly:Lsat_Salinas_v7:6:44625932:44632089:1 gene:gene-LSAT_6X31760 transcript:rna-gnl|WGS:NBSK|LSAT_6X31760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQNQQKERTKNLSSIADDVLQRCSLKLKTSVSVLVKDFDSKWKGEKKQYSRKLVEYCSSKALYEICKDMEQTIREGTFSRLSFDMMLAWEKPACADEQAQMECMAKEKEEVKKPAKEKEDKKKPANSSNKQDDIPLFYSDIMPLLVNNKPNVGEDAFVWLGSLVPLVADFINGKFTFETLTSSTQNRLHYPAYEKFLQEIAKCVQHLQKQATPRNVEMADDEFILHVEGTATSQRVIRHIGKQSWPGRLTLTNYALYFEASGSMTYEDAFKLDLSKDINHSIKPAATGPFGAPLFDKAIVYESAELEDGFQIEFPELTSCTRRDHWLALVNEIMLLHKFLSKFKVESPLEAWEMHARTILGIIRLHAAREMLRISPPNPKNFLIFALFDELPTGSNVLHELAESLKSVKSGHPCSASSILRNLNVSTCIPCAEEDISVEQSDKNVNNQPDNLLSLETAVDQVREEAKEINSAKATADELKEDGIGDSALVLMELMKPLKNALPWFQEVIEWKNPTTTATVMSTSLLVVYKEWVGKAIAAFLLWLVSKMIWARKYEVGKQTKFVVCNNNDQTAVDSIVAAQHGINTIYNILQSVNVSLLKIRSIWLANAPKHTNTMIVVMIGCAVILLVIPLKYIIMSALLSTFAVKLNPGDRRDKKNIMGNQRLKGWWDSIPVSPVEVVQKAGESPKESGLKKE >cds-PLY80470.1 pep primary_assembly:Lsat_Salinas_v7:2:136097847:136102017:1 gene:gene-LSAT_2X65260 transcript:rna-gnl|WGS:NBSK|LSAT_2X65260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNRCSPEALLSIILGMSKEQKESVRSMGFGALLKMKITDIPLKLGFYVLQKFDSERMVIDIEGKELKVTAESVHDMLGIPIGGTKLTQLDQWPKDDTSYDEWKQQFKKDSIIRLSAIKNVIVSTTQADFNFKLNFLVLFVNTFCESTSMGRCNLFPLSYISRKTDISNIDWCSYVLDCLVRIKNSYIPYSDNSFFVGPSAFLVLFYADNIHSEALTVTRKRPTICYWSSEKIRYRETFEQEKGRFGVGELNEEFVNEQNEGDTDLEDSDSDKDEDHSVEAYESKISKMINSFERMKEKLNSKLNDAMTKFPEKESFRIFKEKMKNMIVEEKTESTTLFNFPINEIGVEGINLTPIMGQKTNDQTENEDKEGNGEEDSDNGASQPEVDYLLDSNEADNEGIKNDADKNKKEGEIRVKEKDAKRNENQNDEEEKDDHAEETNNHEETIQQTENQNLLDKVVDNIVDNVLGIGVSSLNSQEDEIWNHPEMKTIFDNIDIGSPMSTGKTNTLAEKEKSEGVHEQGTKVEKTKGDDTGKENSEDRNEGGTEAKNTKDGCEEKQTEIEKGNAEDRVLYLSGKKKSENQNKKGEKADKTKGNKGDTHPSFSLGLSQDSDQTSSKKSNESSPKKALTKKQIKDDHQKVVIRLAKKNVLNPNPISVSIPTEVGPSKHDLDQPREKKLADAFKSPFKCRITDTKPKLTHQESIVCEWLFNLQGNTSDVVVQTKYGQIAERAVMESLYANTEIFGEVLDTWSDLLNHQELERDFGNSPYRLFLKVGVSTAYLTSTLSDERKYEKFKENFHDSTNGYKKILNIKDIDMVFFPVVRSAHIFVIVFNLKKPSIEILDNSAVEGDYEGKYGVIMKPLKNLFVRYFKEINHPRANAISKESIKPQRLEMSWRTVKNKVDCGVFAMRHMETYMGQPLSKWKPGLHKESAVQQTTLEKLRQRYAHIMLTSEINMLKAKVLDLAEKYQKVEFKVRTDHAYKAMQTIQKRLKEY >cds-PLY93481.1 pep primary_assembly:Lsat_Salinas_v7:9:61759353:61759688:-1 gene:gene-LSAT_9X53661 transcript:rna-gnl|WGS:NBSK|LSAT_9X53661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTGYPSSPMATILCVPLFAKQPAFNPYSYYNQGMYPPHTHTTAKEQQSRHTGIGNALTHHGTNGVELIIKSVGACIPHTSNVCATNVVGTTMDAIANRVKGTPAPITCST >cds-PLY69888.1 pep primary_assembly:Lsat_Salinas_v7:4:68958718:68960432:1 gene:gene-LSAT_4X47441 transcript:rna-gnl|WGS:NBSK|LSAT_4X47441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPPLSLLFSVLIVVTMTQLVTFVVSQPQVPCYFIFGDALVDNGNNNALPTVSKANYQPYGIDFPQGVTGRFTNGRTIADIIGQLLGFDNFIPPFANVSNQEINIGVNYGSAGAGIRDETGRHLGDRFTFNKQILNHATIIARLSLLQRNKTFTQEYLKKCIYVSIIGSDDYINNYLMPNNYPTSRIYTVDQYATALVRQYSQQLTALYKLGARKIAVFGLGPLGCTPTEIARFGTGGKPCVDSINNASSVYNAKLKPLVDELNSNFPDARFTFINVTISPSASQQVGPCCQVREDGQCIPNSIPCPDRNLTIFYDGLHPTEITNMGIASRSYNAPSPMDASPYDISSLVQL >cds-PLY81281.1 pep primary_assembly:Lsat_Salinas_v7:2:26890027:26892386:1 gene:gene-LSAT_2X12460 transcript:rna-gnl|WGS:NBSK|LSAT_2X12460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYTSICLIILAISQLSIQGVISSATFTFVNKCDQTVWPGILSNAGIAPLEPTGFALQKGESKVLNAPASWGGRFWGRTHCSEDSDGKFTCGTGDCGSGKLECAGAGATPPATLAEFTLDGDGGMDFFDVSLVDGYNLPMLVAPSGGSGRNCTYTGCMVDLNGACPSPLKVMNSDGEGVACKSACEAFGKPEDCCSGAYGAPDTCKPSSYSQLFKTACPRAYSYAYDDKTSTFTCIGADYQVTFCPPPTTSKKSTEGQETPATPATPEISTGMVYEGADAMETDGAPPLYTYIYSAIAAVTAAVFLW >cds-PLY94748.1 pep primary_assembly:Lsat_Salinas_v7:2:180925851:180927135:-1 gene:gene-LSAT_2X104060 transcript:rna-gnl|WGS:NBSK|LSAT_2X104060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANSEEYIDGQFTGNLGEILIRCNNVLYLRGVPEDEEIEEADRD >cds-PLY87261.1 pep primary_assembly:Lsat_Salinas_v7:1:51422134:51422307:1 gene:gene-LSAT_1X42341 transcript:rna-gnl|WGS:NBSK|LSAT_1X42341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGEMDAKAAEMEAKHQQMREEMDAKAAAIDVKQQQIDAKYEAMEKMYAALQNMMRN >cds-PLY62528.1 pep primary_assembly:Lsat_Salinas_v7:5:294966305:294970178:1 gene:gene-LSAT_5X155920 transcript:rna-gnl|WGS:NBSK|LSAT_5X155920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLSTLTSPLIDTSSSLMLTHPKSVNGKCIGILTFQVQLANEKQLVDHYSSKHPKEKPPRNSEISDLYAFGLMQRLVTQQRPEFKVVLQEVTQLVEEKLASNIKALKTTFGATQINFAPQ >cds-PLY97215.1 pep primary_assembly:Lsat_Salinas_v7:4:95159814:95160983:1 gene:gene-LSAT_4X62821 transcript:rna-gnl|WGS:NBSK|LSAT_4X62821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIKDECNSDEIDDDTEEAKESLERLFRTQKGDPVKKDLLFEVEKAWRRVTEVNSSPPVPGSKRLLMARLRDQGLDAGLCKSKWEKKGRLISGEYEYVDVNVVGTRYIVTISLSEEFETARPTDNYTSLLEILPQISVCKVEEMKEVVRIMCRAVKKTMNQRKMAVPPWKRREYVQAKWFGTYKRTTNEFSTKNTTDLNVNENKIEGFMWTPETCCHGRTYDFARNGLGSKMGSLTMIMNGAC >cds-PLY95251.1 pep primary_assembly:Lsat_Salinas_v7:8:269258842:269259215:1 gene:gene-LSAT_8X156060 transcript:rna-gnl|WGS:NBSK|LSAT_8X156060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELLVLLSLQWKMNPVTPLAIFDYRMRRIALITHRLRLEFMNKCERIALAVVNDLRSLVYFPSVMAAAIMFLLFKVIDPGNSLDYQE >cds-PLY81506.1 pep primary_assembly:Lsat_Salinas_v7:8:156958449:156960219:-1 gene:gene-LSAT_8X104961 transcript:rna-gnl|WGS:NBSK|LSAT_8X104961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGACCTCQTGLRYREAPTDDHHAKPKNIKSEDSNQVIAIDDYGARISLQGSTKFISMFTRQGRKGVNQDAITVWENFGGKRDMVYCGVFDGHGPFGHKVSCYVRDTLPSKLSWLFRDSDIHGKTCSHHGHGSKKKVINIDDSKDPVLSSWKKSIVESFKEMDEDLEANKSIDSYGSGSTSVSVIKQGNNLIITNLGDSRALLCTRNGNQLHTLQLTVDLKPNTKGEYERIKRCGGRVEPMERESSVFRVWMPDQDCPGLAMSRAFGDFCIKDYGLICIPNIYYHKLTNKDEFVVLATDGVWDVLTNNEVVKIVGSVRNRSMAARFLIDNAVRAWRQKYPSSKTDDCSVAILFFKKQRFSLAKSGPELIDLSVDDH >cds-PLY79166.1 pep primary_assembly:Lsat_Salinas_v7:4:218159507:218160446:1 gene:gene-LSAT_4X122700 transcript:rna-gnl|WGS:NBSK|LSAT_4X122700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTNIRKISTHIAARVAAKAYELVPKSYIWPVDNDFYLQPSTSLILDADKEGLQVFGSDFMNDVLLAYNYSYDPVEAYLYFVDNGRFSMDGVLSDNPVTPSVGFSCFSHIGKKHSQPGKGKPLIISFEGAIGEFPGCSDLAYRKAVLDGADIINCPVQMTNDGVAFWQPFIQCS >cds-PLY90822.1 pep primary_assembly:Lsat_Salinas_v7:6:155778533:155783193:1 gene:gene-LSAT_6X93760 transcript:rna-gnl|WGS:NBSK|LSAT_6X93760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESRLVLSHAPGEAITELSTADAELVSRSWNLFAILLSIGRSVPSIELASRCSYLDVSPEFIEFVCSIPNSPLSLTGDGLVTVSSAVCIGLQRFLLNSGRVFKISIPWEMKTRDFERKRAWEDFELTCSRKRRRSRPNISEKADQPDLSMSVGISNAFEKQYANGDDHTSRSLIITNDNTPVEGTFNMSSLINFDAEPLTYELNDCKHEDEKESTTVLSMKELAIQNNFLNGYICFPSPIKRPSRKRKESLQLQSSSHPTERPMTRSLTKRHSKASCQEDKNKKVISLVKTWIENSTEKQTLVMEREHKCTLDSSIHEVNIENVPNNIVHEIMIPELNSGNINTMNCNDMAPCSDNKEKVVCQDVEEQKIVSLTDAEKLCINEAQMDDVKEEEKPICEREELVCSQDTENNLHDNKQLTVVPKDANLSVPTVSITTNNGNDVSAPTEQKNSKKDKKSALMKQKLKPSGEQKIEITRKQENIKENKEKCNATSVKGNLEPREVPVFDSFIVEEEEGSGGYGTVYKARSKKDGTTFAVKNPHENANRNHVYNELKMLERFGGKNFVIKYEGSFKSGNSHCLVLEHVAHDRPEVLKREIDVQQLRWYGYCLFRALSSLHKQGVVHRDVKPGNFLFSLKASKGYLIDFNLATDLHQKFGSTEKSKSNNDASFGLPPTKTRKYTSSKALEPVTHIKKKSDQIKMKSQGADGSGITSTKDATSNRIPSAERLREPIPSTQRKELLNLVHEALQGPDHQPITSKRKRVAAPPTKSDNKKLIYLTPMPLQSTGIAVSGAGLLKNKQRKEGPCVGTKGFRAPEVLFKSMYQGPKIDVWSAGVTLLYLIIGRTPFGGDPDQNIKEIAKLRGSEDLWEVAKLHDRESSFPPELYQLKSLPSVELREWCKQNTRRPDFLIPKSLIDLVDKCLMVNPRARISAEEALRHEFFMPCFKDIEKHRLLRQKPSQPLTFEGLS >cds-PLY78479.1 pep primary_assembly:Lsat_Salinas_v7:7:175332991:175333675:-1 gene:gene-LSAT_7X104520 transcript:rna-gnl|WGS:NBSK|LSAT_7X104520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGEITAAAEVGSHGGGSGCSNYHTEHELLRYISKLQSKDLSLCHSMIPLGSCTMKLNAATEMMPVTWQPLQICILLLLPNRHRVSGCVQEFGGHSVYHNRI >cds-PLY99666.1 pep primary_assembly:Lsat_Salinas_v7:9:56421206:56424489:1 gene:gene-LSAT_9X48100 transcript:rna-gnl|WGS:NBSK|LSAT_9X48100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVYEVGTENGKFLSGDSDILVGHVEVIVDKGFRNEVSGLETDSIDLESATAKDCQVKGVNEEVNIKRETNGNHLEVEKVNESENVVVETVDLESRKITNTDWSLITTETSQPLEDSKSQNTASRFLLSTTNVINTLSPDYATQMAKETEQVETEAIDVQIRDETIISELVEVSVDKTQTNTQQPVKSENKNGEMLLEKHGVPRVTEHQRVDAFDDKQNEFEVKKPKLIFPNTFECPEIKFQFGSFGVHEKDPNNLNLVLEVLSREAEAEPIRGVNINTPDRILDDIQNKSADKATHCMNDVVQPYNRVPRFDDEELKEQIKNAQLQLDEKIMCRYAIGAEIQENRAILKAHYEVLKNAYLEVTAAKKLRKSKRSDLESILLLMKQVTKAEDIDNWHMHKVEQMIEIQNQKKKIKELLNNIKEEVDSLKDNVLKAEVAALVIGKIYDEEYQKGKELRARFQAAEKVCQQAYTHLYSLKKRLYDKKKLFYMYREDATAARTFASGGDKVALHQPCVNQVEKIMEESNSNEEFRKDNISRTTPRTLVETSPESHMNERIAVKAVPISSKLESTSVVSKVEQGKKTVSCVSNICERIATNSVSISSETESVSLVSKVEQRKKTTPTPENQTIWKVARKSNRIMKTTKPVKFVVRDSKFICLSEITEDEEMEKTMVEVDKKEDELSKKEIEFNLKEQVQSEEKRKMNESIERKTRNAENAQKRAELRAQKEAEQRQKVREKRLRRKERKRTVGDNRVHDEKIVTPKEANETENPLAATLTVGDRRRYPQLWKMVTAVGAALIFFLSNIRYVQQYNSFLCFLLIFYR >cds-PLY68309.1 pep primary_assembly:Lsat_Salinas_v7:7:97261387:97263514:1 gene:gene-LSAT_7X64900 transcript:rna-gnl|WGS:NBSK|LSAT_7X64900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENRRYNGRQDIRRRQQKADEEDEASVLEEFAEEFRLPIHHRPTENVDLDNVEQASLDTQLTSSNVGFRLLQKMGWKGKGLGKDEQGITEPIKSGIRDSKLGVGKQEQDDFFTSEENIQRKKLDIEVEETEETAKKREVMADREHKIQTEVNEIRKTFYCELCNKQYKLAVEFEGHLSSYDHNHRKRFKEMREMHGSSSRDDRQKREQLRQEKEMAKFAQMADAHKQQQQQQEESGKSNLAVKNAATVLADQDQRKVLKFGFSSKLGASKSSGMSAAKKPKTPIASVFGNDSDEE >cds-PLY94672.1 pep primary_assembly:Lsat_Salinas_v7:1:41569625:41570347:-1 gene:gene-LSAT_1X37041 transcript:rna-gnl|WGS:NBSK|LSAT_1X37041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQNLPVMAKKVWSLVRVMFIMLKKGIISKRKFFVDLNMMMKRGKIAGKAFHNLMFHGHHNWAASTFHRHPQHLATPTTPPREYEFSCSNTPPYPLSLFSTHKKHKNKHHRVATPNPPLAVDDCDDIIIDDAVFKVLNMLTSAAASPPLPEFRNTPMVEQMRITDSPFPFTHCGEDGHVDEAADKFIKRFYNGLKREN >cds-PLY87024.1 pep primary_assembly:Lsat_Salinas_v7:5:256841010:256841959:1 gene:gene-LSAT_5X131260 transcript:rna-gnl|WGS:NBSK|LSAT_5X131260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAACFVLLCALISTSSQARSSIKTPLPNPDFKIDSANHQNEGTCSFTVRLVTSCSSVSYTRDQISISFGDAYGNQVYAPRLDDPSTRTFERCSADTFEVYGPCTNQICYVYLYRSGYDGWLPERVEVYGYNTKAVSFDYNDWIPAEMWYGFDYCSPYAVDDDVVHSSKAAMK >cds-PLY92357.1 pep primary_assembly:Lsat_Salinas_v7:3:124799420:124800016:1 gene:gene-LSAT_3X86660 transcript:rna-gnl|WGS:NBSK|LSAT_3X86660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPNHQLQQQGFGADVMEMVASWKKGLCADISQRHLQIQHKIDDSESVSQEDKDLARWSVLDVGTGNGLLLQELAKQGFSDLTGIDYSE >cds-PLY80382.1 pep primary_assembly:Lsat_Salinas_v7:3:237739727:237753608:1 gene:gene-LSAT_3X133361 transcript:rna-gnl|WGS:NBSK|LSAT_3X133361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGSGTVEYINKMFPTEASLSGVEPLMKKIHNEIRVVDAEILGAVRQQSTSGTKAKEDLAAATSAVNELMFKVREIKTKAEQSETMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAIEQLQVMASKRQYKEAAAQVEAVNQLCSHFDAYRDIPKITELRDKFKNIKQILKSHVFSDFSRTQLIEIFQNLKEKPDVGTLLLALQRTIEFEEELAEKFGSSSTKSVMSDIEEVDKGENSTQIVMDIRKKYERKLAAHQGNQGDDKDANKDLAVPGAGDETWHMDDGSQTNILSSSMQVFKRVLRAYATKLFMKLPKGGLGIVAAATGMDGHIKTSNKDERLICYIVNTAEYCHKTAGELAENVSKIIDSQLADSVDMSEVQDEFSSVITKALVTLVHGVETKFEVEMAAMTRVPWGMLESVGDQSGYVNSINMILSGCVPVLGSLLSPVYFQFFLDKLASSLGPRFYLNIFKCKQISETGAQQMLLDTQAVKTILLDIPSLGRQAIGAAGYSKFVSREMSKAEALLKVILSPIDSVADTYGALLPEGTPSEFQRILELKGLKKADQQTILDDFNKRGSGISESPMGTQAVQVAHAVATPVPPPMAGPPSAAGISSREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNP >cds-PLY65317.1 pep primary_assembly:Lsat_Salinas_v7:8:105557940:105558604:1 gene:gene-LSAT_8X71220 transcript:rna-gnl|WGS:NBSK|LSAT_8X71220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLNMFLAPATISTTVSSSTSSITTTTTSIATTRARRVQTFATAAKGSGGSSEEKGFFDFLMGALVKFDQLVETDPILQKVEGKSGTTTISSKKTTTSAAPPKKDAGSGGFGGLGGFFAKK >cds-PLY75572.1 pep primary_assembly:Lsat_Salinas_v7:9:32002124:32002651:-1 gene:gene-LSAT_9X28661 transcript:rna-gnl|WGS:NBSK|LSAT_9X28661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMVTFRLMRMDSGDDDQLPPLVEPPPVAVGQSHSANRSIETLVVVLAVITIVAVIAGFIARLCGGRHYGGNGGDHEVEGCIESRCRSCIDAGISTAPPPPAAAAAPPKEEPNPAKEEAKK >cds-PLY89013.1 pep primary_assembly:Lsat_Salinas_v7:3:73517421:73519806:-1 gene:gene-LSAT_3X61861 transcript:rna-gnl|WGS:NBSK|LSAT_3X61861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILAIFFASVLLSCLPFLHSATDHNISKSICPKDFICPNFSPFTYPFYNTTDTNCGLIKVNCTSYGGEIEIGGESHEIVSKYVSDPLVMIHNKTFERLVNETKCEALAYNFTSPSPLLYSISILPFITLYKCTNHTNSINASKYFQQHNYSGYDRCNDHDFYYDYSMISYAEFLPDLPPTCQVIQLPVKFRGPNDPQVPDTTNIFSILGSTFSVSFNLSTPCHKCHQEDGQCNIHNGLFQCLDAKKEKQRRKLKLTLGSSFILILFLVIFITWGRYKTNPFSYFSSKDKSPNAEDGSTLFHGASVFSYTELEDATRHFDPTRELGNGGFGAVYYGKLQDGREVAVKKLYEHNYKRVQQFVNEVRILTRLRHPNLVVLYGCTSRQSHELLLVCEYISNGTLADHLHGNRANASLLPWPLRMNIAIETARALVYLHASEIIHRDVKTSNILLDHNFSAKVADFGLSRLLPDDVNHVSTAPQGTPGYLDPQYHHRYQLTDKSDVYSFGVVLIELISSMVAVDLNRSQDEISLANLALNKIQRCALDQLIDPVLGSDSDREVMRMVTSVAELAFQCLQFYSEMRPTMNEVLDVLEDIQAQGRIDAEESKTLKPPTRSENTDTTVLLKNFPPSPVSVTGEWHSDSTGSTTLSIR >cds-PLY79877.1 pep primary_assembly:Lsat_Salinas_v7:8:15236487:15239683:-1 gene:gene-LSAT_8X11381 transcript:rna-gnl|WGS:NBSK|LSAT_8X11381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVASQCLQMTGNTTRKTVDHHVELDFDFSDVFGAPPQLPSAPNTNGLVYDEPEVICSRSHSLVGPTTFTNQSMKLSTLTLHETEYDLVEGITVSTMALKELEEASFVDDDDGSLVKIQSVGLEDFEILKVVGQGAFAKVYQVRKHGSSEIFAMKVMRKDRIFEKDHAEYMKAERDILTKVDHPFIVQLKYSFQTKHRLFLVLDFVNGGHLFFQLYRQGLFREDLARFYTAEIVSAVSHLHANGIMHRDLKPENILLDAEGHVMLTDFGVAKQFDESTRSNSMCGTLEYMSPEIVQGRGHDKAADWWSVGILMYEMLTGKPPFRGGNRQKIQEKIVKDKIKLPGFLSSEAHSLLKGLLQKDSSRRLGSGAGGGDEIKKHGWFKVINWRKLEGREIKPSFVPQVAGKQCIANFEECWTNMPPLLDSPAASPKCKENPFKGFTYVRPAQE >cds-PLY75156.1 pep primary_assembly:Lsat_Salinas_v7:1:183850164:183851477:-1 gene:gene-LSAT_1X119000 transcript:rna-gnl|WGS:NBSK|LSAT_1X119000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGNIFREVELPEQKPDSDGALFPAVLSPISNADFTSTAKLNGFEEAIKAHKPWLESLLQKRGAILFRGFPVNSTSDMNDVVEAFGFPEAFYVGGRASRTKILGRIYTTNEAPQDKTIPFHHEMAYVPDYPSKLFFFCQEAPRSGGETPIVLSHIIYDKMKEKHPDFVAKLEEHGLTYTKIMSDEDLPSSFTGSGWKSAYMTDDKNVAEERAAKLGTKLEWMGNSVKTITGPVPGIKFDKGSQRKTWFNSLANSYGGPANAEIYDDSRSIEFGNGEPLSDSAMKDCLRILEEECVAIPWKKGDVMLVNNLMVLHSRRPLIKPPRRILVSLCK >cds-PLY62832.1 pep primary_assembly:Lsat_Salinas_v7:4:31814838:31816142:-1 gene:gene-LSAT_4X21261 transcript:rna-gnl|WGS:NBSK|LSAT_4X21261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNTEEVYEQFKEVKWMEWCEDDLTQEKKTLKHLHRLQTTSANFPKEKMKQCKEYISELVIHAEAQALQYQQKYKSLEAMVSLMKTCPSKSSLEAQLLDKSLVRGRGSSQFRCIGNLVQQMNTEKDQELSLAKARLHELE >cds-PLY85310.1 pep primary_assembly:Lsat_Salinas_v7:5:326514404:326517417:-1 gene:gene-LSAT_5X181261 transcript:rna-gnl|WGS:NBSK|LSAT_5X181261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANSYSSSVTASQVGSYFVQQYYQVLQQQPEFVHQFYADSSTMVRVDGESTETASAIFQIHSLIQSLQFSGIEIKTINALESWSEGIVVVVSGSVRSKFFNGWRKFVQTFFLAPQEKGYFVMNDIFHFVSEEVVHHHQPPVSLENKADSQPISSTPSELLVADDTLEVEARENLNSLHLEGNDEDDYYNSQENQHLQQEDYDSEEDEEEEAAVEEPVSDVHHQNTVEYVQEPYHHNNTVEIQEPVVEYVQEPVSAVEEPIVEPVKFTYASILRSKGKSSPQPPVSRNVTTAPAPEWNQTPEPVAQLPSASSVTESTTQVSQEAYASEEGDSKSVYVRNLPTTVTSLEIFQEFKNFGKIKQDGVFLKNRKDVGVCFAFVEFEDFEGVQKAIEASPIQVAGRQVYIEERRANNSAASRGGRGRGGGRGRGDAVSSRGGGGGRYGGGRGNGFR >cds-PLY99342.1 pep primary_assembly:Lsat_Salinas_v7:1:81790406:81791707:1 gene:gene-LSAT_1X68741 transcript:rna-gnl|WGS:NBSK|LSAT_1X68741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRKIEVSNTNFDGNKPEVNVSFQNAANEVTKLYHQAMNSQHVAFDAGRRHSLEKAFEWVSSKAHDGKSVTSSEFMAYLQHELNDSSANSHQELSENMPCSQDQDMKEEHPHM >cds-PLY75060.1 pep primary_assembly:Lsat_Salinas_v7:9:21751076:21753446:1 gene:gene-LSAT_9X19341 transcript:rna-gnl|WGS:NBSK|LSAT_9X19341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKLWIFFYIIFTFLAASLILITIAFSLLNLKIFIANSIRNPKYPPVVGTVYGKLFYFNTLHDYLADIARKHHTFRLLAPNQSELYTTDVTNIEYILKTNFDNYTKGQHNKDIIQDVFGYGIFAVDGVKWKQQRKLSSVEFSTRVLRDFSCAVFRTKAAKVARIVSHFAMVNEVFDIHNLLMRSGLDSIFKVGFGVDLDCLEGSTEEGNSFIDAFDDANALTYRRYIDPLWKIKRFLNIGREASLKKKIKLINDFVLKLISRRREQLQTQKHHVNCCNHKEDILSRFLVESEKNPDMDDRYLMDIILNFILAGKDANANTLSWFFYMLCKNPAIQDKIVSEIEEHIGYQLGKGDNVEDFVERISDEVLEKMHYLHAALSETLRLYPGVPVDGRVAESDDILPDGYELKKGDGVYYISYAMGRMSYIWGDDAEDFKPERWLNDNGIFQPESPFKFVAFHAGPRICLGRDFSYRQMKIMSIALLRFFRFILFDETKKVNYRTMFTLHIDGGLHLVAFPRAII >cds-PLY83414.1 pep primary_assembly:Lsat_Salinas_v7:5:330003529:330004038:1 gene:gene-LSAT_5X186620 transcript:rna-gnl|WGS:NBSK|LSAT_5X186620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWNPSSLSSLPLKIAFIISFSLIASSSSYSPPTPNPGYHFISVPKVNSKKPSATTATAGDILALLGTPQHVASIDPQVVAELQSYFKFIVPFNPTTNTPPGYCFNLINRLSQSLTESRIRFPRRTLNSKPRRDAESYQNELIWSPPTPVLEIARLAFDSGGDPGLIPRS >cds-PLY73120.1 pep primary_assembly:Lsat_Salinas_v7:9:21965248:21967525:1 gene:gene-LSAT_9X21061 transcript:rna-gnl|WGS:NBSK|LSAT_9X21061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ORANGE-LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G06130) UniProtKB/Swiss-Prot;Acc:Q8VYD8] MASISLKCYLLPSSINNNNNSFNLSSSKRIIRLKCVNPSRHREKNNSRASVICCSSDDSAASGSVPSGDNIPSNFCIIESPETVKDFIQMQTKEIQDNIRSRRNKIFLLMEEVRRLRVQQRLKNLKRNESRFEDNEMPDIPSSIPFLPSVTPKTLKQLYLTSFSFISGVIVFGGLLAPILELKLGVGGTSYKDFINHMHLPMQLSEVDPIVASFSGGAVGVISTLMLLEANNVKQQEKKRCKYCHGTGYLACARCSSSGVCVNIEPISVSNASDRPLRAPTTKRCLNCSGAGKVMCPTCLCTGMVMASEHDHRIDPFD >cds-PLY62142.1 pep primary_assembly:Lsat_Salinas_v7:1:211405398:211410723:-1 gene:gene-LSAT_0X22980 transcript:rna-gnl|WGS:NBSK|LSAT_0X22980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKISFQRVLMLKFVSVIFMFLSCVEAITDPTDVQALGVLYSSLNNSAQLTNWKNNAGDPCGESWRGVTCQGTSVVSIELPGLGLDGTLGYLLSSFMSLKTLDLSGNNIHDTLPYQLPPNLTSLNFANNNISGSLPYSIAVMFNLNYMNLSSNMLSQNIGNIFYNLTSLATLDLSHNNFTGDLPNSISSLSNISTFHAQNNQLTGSLSSLSSLPLTNINIANNNFTGWIPKELLSIPTFIYDGNSFENAPTPLPPPSTPPSPLIINNNRSHSLPSVPTGSNGQLSRTSNQKKLRIGAILGISLCSALLLFLGLLLLVFCLRKGVKTEKGHKTSSESHPLSVNTEMQEQWVKPTEENMFEIQNYGSTTTKSVESPITSISYTVAALQTATNSFSQENIIGEGSLGRVYKAEFPRGKIMVIKKIDNSALSLQDEDNFVANVSNMSRLRHPNIVLLEGYCVEHGQRLLVYEYIANGSLHDLLHFGDEHNKSLTWNARVKVALGTARALEYLHEVCMPCVVHKNFKSSNILLDEELNPHLSDCGLAALTPNTQRETSTTQTVGSFGYSAPEFALSGIYTTKSDVYSFGVVMLELLTGRKPLDSSRVRSEQSLVRWATPQLHDIDLLAKMVDPSLNGMYPAKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLMQRASMANKRSNGDSGFIYKTPDHDMLY >cds-PLY95186.1 pep primary_assembly:Lsat_Salinas_v7:6:168472858:168474272:1 gene:gene-LSAT_6X103700 transcript:rna-gnl|WGS:NBSK|LSAT_6X103700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSMLTGLPPPISGHPSLSFRPVSLSLMESQPENATDGLDCLPDPIILIIFNNISDIKTLIRCRAVSRRFNTLVPQTDSLLLRVDRVISSVDSEDDGDASSVIGFIKSIVKSIQDLISPPQDHTLQSSSNQHQSQNSPAMILRGFERIKELEIELPTGDLRLEKRAGIKWKAEFGKTLRSCVILGHRCGGVGESDLGGGGGLKTRVVWTISALIAASARHYMVKEIVKENLHLSRLIIKDRDGEGTVIIDESGIKEFREDKGENQDEDEAQNGNLNAGGVWWRSNRTRVPAVWMRMRHEAKLELSNGVTMEGATLVVVRPTMNTIGVVNGTTEEAEEEQRWDEGLVAAGSRFDGVYGEAVEKLIKRRSYLLEMNSF >cds-PLY95330.1 pep primary_assembly:Lsat_Salinas_v7:8:242589027:242593301:1 gene:gene-LSAT_8X144920 transcript:rna-gnl|WGS:NBSK|LSAT_8X144920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGEMSVIERSEEDDNTWKEEDRDCGGGDLGSFVVHSLSAFLNSFLQNSGISQEVQNPVSVFVVLGIILLGVGFGYWLVRKYIIYEDGEVDVGVAVTCIILSTKDTPLAMAAVGSCLGIYYMITKIVRKMPCRIYATLKSLALV >cds-PLY97128.1 pep primary_assembly:Lsat_Salinas_v7:4:74607484:74611655:-1 gene:gene-LSAT_4X50121 transcript:rna-gnl|WGS:NBSK|LSAT_4X50121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEADYEVGAKTEPITSKPLPSETLGAHLARRLVQIGVKDVFSVPGDFNLTLLDHLIAEPGLNLVGCCNELNAGYAADGYARAKGVGACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFTQEMQCFQTVTCAQAVVNNLEDAHEQIDTAISTALKESKPVYISISCNLPGIPHPTFAREPVPYFLPPISSNPLGLQAAVEETAKFLNKAVKPVIIAGSKLRVSKAQKAFMEFAEASGYPISIMPSAKGLVPEHHPNFIGTYWGAVSTNFVGEIVESADAYIFVGPIFNDYSSVGYSLLIKKEKSIIVQPNRVTIGHGPSLGWVFMADFLSALAKKVKKNNTALENYQRIFVPNGVPLKYEKDEPLRVNILFKHIQEMLSGETAVIAETGDSWFNCQKLRLPENCGYEFQMQYGSIGWSVGATLGYAQAAKHKRVIACIGDGSFQVTAQDISTMIRCGQNTIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLVNAIHNGEGKCWTSKVRTEEELVEAIATSIGAHKDSLCFIEIFVHKDDTSKELLEWGSRVSAANSRPPNPQ >cds-PLY95954.1 pep primary_assembly:Lsat_Salinas_v7:9:42869326:42874000:-1 gene:gene-LSAT_9X38980 transcript:rna-gnl|WGS:NBSK|LSAT_9X38980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription repressor VAL2 [Source:Projected from Arabidopsis thaliana (AT4G32010) UniProtKB/Swiss-Prot;Acc:Q5CCK4] MESRICMNDLCGASLAAAGDYRKGWALRSGDFARLCNKCGTAYEQLAFCELFHLEDTGWRECTSCGKRLHCGCIASTAAIELLENGGVSCASCSNSLRRDPSTSEDKLGECGISVENSIGEIPSSSNKMLKQSNDDGQKYPLPSDNDDTSTSQMKQEEIFPISTFEPAHGTKSFKYRETTGGKDVIGSLVQTDLTMGLASSSSSSQKSPFLLPLDGRELNKPFQQGPWSRHILPKVPKSVFSQGFDANIGMNSQIRIARPPVEGRIKNQLLPRYWPRITEQELQQISGDSNATVVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPIRIQDVKGKEWLFQFRFWPNNNSRMYVLEGVTPCIQSMQLKAGDTVTFSRMEPEGKLLMGFRKASVSASVQDSRMGAMHNGVFPSGSSPSLSGVENLSNMSDYSGLLQSLKRNTDSHLNPLSRSMSLTIGDASWHANEKQGSKSRADSFQPDKKRSRNISSKSKRLLIESQDALELKYTWEELQDMLTPPNTLNPTSVTIEDQDFEEYEEPPVFGKGSIFTARSSGEQEQWAQCDNCSKWRRLPIDFLLPAKWTCQENVWDHMRSACVAPDELGPRELDHLLRLAKEFHKWRNSMNQKPPPDQDQGENQNQNQAEPPPDPSVATTTKHPRHRPGCSCIVCSQPPSGKGKHKPNCTCNVCMTVKRRFKTLMLRKQQRQSEREAEIAEKNNQLKLGPTSNPKEEIEGVMKSQQSSGGGGKSQLDLNIRPHPHVSMMSLLQAANQPLETYMKQNGLTTLIPEPQGTSSGSQPLPQQQASSTAQDEQNNQNSS >cds-PLY93251.1 pep primary_assembly:Lsat_Salinas_v7:6:159787675:159789116:-1 gene:gene-LSAT_6X98760 transcript:rna-gnl|WGS:NBSK|LSAT_6X98760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAREKSNGLYLSVPSFFKCPISMDVMKSPVSLCTGVTYDRASIQKWLDSGHNTCPATMQVLQSTDVVPNLTLRRLIRVWSDSYLLSPASNASFNNHLAFDSLKKLINNEQTQPQLLSLGTLSSIVTFAKLSIENRESLAKLDGFVPTLARNLRISNEIEVVELLVTALDLILPEKGVKEQLINLNSDDASLSPFTFVLQKGGLDARISAARVMKSLAAFDNESRRVIADQITLLKELYRLTSSETDLKAVEAGFAALIAVSTSRQSKKELVRLGIVRTAGKILSGPENTIPVIEKVMKILEMVSTCTEGRTAISEDENCVTAVVHRLMKVSPAATDHGIGVIWSLCYMSRDRMAQESAMRNNGLTKVLLVMQSNCSGTVRQMCGDLVKVFRVNSKSCLASYETRTTHITPY >cds-PLY88231.1 pep primary_assembly:Lsat_Salinas_v7:8:150390700:150391801:-1 gene:gene-LSAT_8X101661 transcript:rna-gnl|WGS:NBSK|LSAT_8X101661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDTQTKKLALLVGCNYANTPNELHGCINDVLAMRQVLISRFGFDPNRINLLTDAPDSLVMPTGANIKDALDHMVDQAEPGDVLYFHYSGHGTRVPSIKPPHTFHRLDEAIVPCDFNLITDLDFRNIVNRVPKGATFTILSDSCHSGGLIDKEKEQIGPSSLQNSSFTPTSIPTITKSKTIPFESILLHLSSLTHINTPDLATHFLELFGDTASLRFRFPSHEIDSIPSLHEDNGILLSGCQANETSADMNPNGGKGKAYGAFSNAIEIVLKENQGDLSNKEVVLRARNVLKIQGFVQHPCLYCGDDHANSVFLK >cds-PLY67854.1 pep primary_assembly:Lsat_Salinas_v7:9:144674701:144679839:-1 gene:gene-LSAT_9X92360 transcript:rna-gnl|WGS:NBSK|LSAT_9X92360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:1,4-alpha-glucan-branching enzyme 3, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT3G20440) UniProtKB/Swiss-Prot;Acc:D2WL32] MTSSLSLLTLFRLPPSNDCSPSNPSINHRRAVVFPSKKWRCSISPGQPPPPPPKQRQRQSKNSESGEKGIDPVGFLSKSGITHKGFALYLRERYKSYKDLKDEILKRHIDFRDMASGFELLGMHRNLQHRVDFMEWAPGARYCAIVGDFNDWSPTENSAREGHFGHDDYGYWFVILEDKVRDEEQPDELYFQQYNYTDDYDKGDTGVTADEIFQKANDEYWEPGEDRFIKSRFEIIAKLYEEIFGPNGPQTKEELEEIPDAETRYKKWKEEHKDDPPSNLPCYDVIDSGKEYDIFNVIDDPVSKAKFRAKKPPIAYWIETRKGRKSWEKKYAPAIPHGSKYRVYLNTPNGPLERIPAWATYVNPEVEGNQGYAIHWEPPPEDAYKWKHKKPKVSKSLRIYEAHIGISGSDPKIASFNEFTEKVLPYVKQAGYNAIQLIGIPEHKDYFTVGYRVTNMFAVSSRYGTPEDFKRLVDEAHGLGLLVFMDIVHSYSAADEMVGLSLFDGSNDCYFHTGKRGQHKFWGTRMFKYEDLDVLHYLLSNLNWWVVEYNVDGFNFHSLASMMYTHNGFASFTGDMEEFYNQYVDRDAILYLILANEILHTLHPKIITIAEDATLYPGLCEPTSQGGLGFDYFVNPRASEMWLSFLENVQDSNWSMSKIVNTLVEISKSPDKMLLYAENHNQSISGGRSFAEILYGKSSVMNESLLRGCSLHKMIRLITYTIGGRAYLNFMGNEFGHPKRVEFPMSSNDFSFSLANRDWDLLAKEGVHQDLLSFDKDMMNLENSERIVSRWLPNIHHINDTNMVISYLRGPFLFIFNFHPTNSYEKYNVGVEEAGEYQVILNTDEKKYSGQGSIGPDQKVRKTISRRVDGMRNCLEVPLPSRSAQAYKLTRILRVISVELEFIKYEPNQIREDFLRVNVTNG >cds-PLY89710.1 pep primary_assembly:Lsat_Salinas_v7:7:42728749:42730060:1 gene:gene-LSAT_7X30761 transcript:rna-gnl|WGS:NBSK|LSAT_7X30761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLKYPGFSFKPTDVHLVMYYLKNKLLGKKLDPEFIAEININDFSPWDLPGTGKDKEVKYKGRTVAMRKTLIFHVGHREKRTITNWVMHEYRMTDEDLANQGVAQEAYVICRVFEKSGLGPKNGAQYGAPFEEKDWDGDGDDDDDDKSTVTSLMMVGVLVNPNNASCLTSTCPSTKTSDPNNASSLGPSGITSWEDERVTYVPINDDVMLTHGDLASLLQNNNTQEEVEDRNGKNVMIDDGIDILNELLDASNPHDLGQDMFNMDTFLGDDFWK >cds-PLY64641.1 pep primary_assembly:Lsat_Salinas_v7:6:37404896:37406589:1 gene:gene-LSAT_6X26860 transcript:rna-gnl|WGS:NBSK|LSAT_6X26860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFNWTFVFVSFITILFLLYLRKKYATTGGKRQPPAPPGWPVFGNLFNLGAMPHRTVAGLAAEYGPVISLKLGSVNTVAILSAKAATEFFKNHDLTFMERTITEASKSHGYDKSSLALAPYGMYWRVLRKICTVEMLVAKRINESIAVRRRCVDDMLTWIEKEADSSETGTGIHVAKFVFLSSFNLLGNLMLSRNLAGPDSKLASEFFTVMVGMMEWGGHPNISDLYPWLKWFDLQGVRKKMDRDVGKAIEIATGWVEERVEERKNGIDRHKDFLDVLLDYEGTGKDELEKMSERDITIFILEIFLAGSETTSSTVEWALSELLRAPDKMIRAKHELNSVIGSNEKLEESSIDNLPYLQAIIKETLRLHAPIPFLVPRKAAHDTDFMGYHILKDTQLFVNAWAIGRDPECWENPNSFEPERFLGSKVDYRGQHFELIPFGGGRRMCVGVPLAHRVLHLVLGSLLHEFDWELESHVKAETLDMNDKMGIVVRKREPLIAIPKKIKC >cds-PLY68454.1 pep primary_assembly:Lsat_Salinas_v7:2:213905221:213906520:1 gene:gene-LSAT_2X134181 transcript:rna-gnl|WGS:NBSK|LSAT_2X134181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATAREGRLYGSGRTRERSEYTNAGLYVFSTIVLLCGFVAQFSREAKSGLVVLMIGLGLIVVVNIHDLFAHLAAIDYQMRLMELDAQILFVEVMADRGFGYEGIEGHALNMVIAGNSLWVVGSIHNSCQFYEHVDAHIQILQHAVQIPFLMGSFLFLVGALLNFRDQLGHTHHGLHLLTHTWVWIGIIASSLLVIGGLTNVVKVVRMINMEMEGLSLEKLRGGAQEQLFHDEDGQIPFLVQGRRRRPKI >cds-PLY78007.1 pep primary_assembly:Lsat_Salinas_v7:9:44484270:44486409:-1 gene:gene-LSAT_9X39540 transcript:rna-gnl|WGS:NBSK|LSAT_9X39540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSENTEDSGKSTPELGQSVLDGVNAIHIEIEMTTAGSSDLPLVAQRLLGKVALVTGGATGIGESIVRLFHKHGAKVCIVDINSHLGEHLCKTLGPTTRFIHADVAIEDDISRAVDFTISNFGALDIMVNNAGMGGPPCPDIREFPISTFEQVFNVNTKGTFIGMKHASRIMIPLKKGSIVNIASVASVIGGVGPHAYTASKHAVVGLTKSVAAELGKHGIRVNCVSPYAVLTDLALAHLPEDERTDDAKAGFRAFSGKNANLQGVELVPDDVANAVLFLASDDARYVSGDNLFVDGGFTCTNHSLRVFR >cds-PLY91407.1 pep primary_assembly:Lsat_Salinas_v7:3:56017826:56024400:1 gene:gene-LSAT_3X44121 transcript:rna-gnl|WGS:NBSK|LSAT_3X44121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEENNSKHLQESTTTVSNESLLENNSMNDTELEIKVPESNTQEEQGEKSSVLSPKLDDPNPFDAVAVGETAEEKVVIVDENSEQTGGCWNSVVVEPTSLLKDENGAEGAANDGISTSDVAATESGGSELTNSTKVDVGEYEAAVIVRDNGLDAVEENANNSEEVTNTNGPFVIENDKDGSDDKMEEAGETMKEGGGVDVPLVPVAEIDEREEVMADVKSDGDEIVLGDEAITESQVDVQEADAEVVADEEEGLEEGNTTDDENAAGAAENEDEEPTLADEEKSLDTELETDEEATMADEEKVPSEEMETELTESVVKRGRRKRKRGGKNSKATHKSTVGRKKIIIDEDVCFICFDGGDLVLCDMRTCPKAYHPSCVNREASFFQTKGRWNCGWHLCSTCEKKAEYMCYTCTFSLCKSCIKTNVILCLKEKEKKGFCETCMKTIMLIEKNSQENQNQVDVDFDDKNSWEYLFKDYWIDMKSKLNLSLPDLLEAKNAWKGFDPAGKKDPPAVHSEGGSGSENPSPSPSLQTKKKRIRKPKKLKEDLKDTEWASKELLEFVAHMTGDDTSFQTQFDVQALLLEYIKTNKLRDSRRKSYIICDARLERLFGKPRVGHFEMLKLLESHFLIKEDSQIDDVQLQGDTVDTDVVQVDNDDEGNENVKSGKDNKKRKIRKKVDREPQSNRDDYAAIDTHNISLIYMRRKLVEDLLKDNETFHKKVFGTFVRIRIPGAHNKSDIYRLVQVTGTIKTEEYSVGKQKTDSMLEILNLDKTESVSIDTISNQEFTEDECKRLRQSIKCGLINRLKVGDILDKAMELQAARVDDWLELEVLRLSHLRDRAKKLQILKTPEERARRLEDLPVIHDDPTMDPKHVSEDDTDEDDKKQDTYKSSVGPRFNNNRRRDYPSKEPWSSTRGPAKNYEFARNLSFSNKTEPHNENVHDQGRDPIMQKKNEDSPSPSPSSSRKEVIPETTPEVNETEKMWHYKDPSGKIQGPFSMAQLRKWNNNKFFPVDLKIWRKSEKEDDGVLLTVVLEGRFKITITPPVKWGPKHDERDGFGLGSLPSPTPTPTPNQSKSMVSSSYHGGNEGLQSPTPSAGGPRMVAFGGGNNMPPPPVVQPVTTTDQSLSGGPYGWSGGAPHNPQPSPQWGGGGGVPNMVQNPAGNFLPPPPPVNLPPPPPPQPPPNVSWQPPPGNQSMNWGANVQGPQLTVNSPWGLQLQAGGGGGGWVGPLPLPPTPTPTPAAGQGWVPGNGIGNPNWVAGEVNQGASSNMMSSGWVGGASEIGWGPQANQGWGSPTPRNRGGMWDRNEQNYNRSGFSGQKRNRGGGGGYTRH >cds-PLY79908.1 pep primary_assembly:Lsat_Salinas_v7:8:17384260:17384697:1 gene:gene-LSAT_8X12180 transcript:rna-gnl|WGS:NBSK|LSAT_8X12180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNLLILIGGAYAFPKVENRNRSNYLDLYAVYITDSEVDNLMVHCKSKDDDLGDKKLTPNQYFHWMFRQNFMLTTIFYCIFRWMTPDSKELKNATFNAFDIEVSILCGDEYSINRCYWLVRKDGFYFSRDDNKPFPDGWKLMHTW >cds-PLY96404.1 pep primary_assembly:Lsat_Salinas_v7:2:87872910:87873446:-1 gene:gene-LSAT_2X37020 transcript:rna-gnl|WGS:NBSK|LSAT_2X37020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPNFGQKDLTQLSSSFVHPVESRKWGKYRAIESVGVKGPISGNDQVNHMYGRRLIVIVGVSSSSGSIDSKHDKGNDWGFCDGARRIKGKGCEDRLKEIVSLLSAETFSFLAARHQQQSIRRVTTSSVIVNMDSAVSIGGRKERGWWVWVVLHRPEGRRGSDGHLDCSFVISSSPIKD >cds-PLY97588.1 pep primary_assembly:Lsat_Salinas_v7:5:240111204:240114729:1 gene:gene-LSAT_5X118500 transcript:rna-gnl|WGS:NBSK|LSAT_5X118500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRKPEENGVSGKPKQLMMADFDPPKPPKRNKYAFACAMLASMTSILLGYDIGVMSGAQIYIKKDFNCTDVQIEVLVGILNVYSLVGSAAAGKTSDMIGRRYTIVLAGAIFFIGAILMGFATNYAFLMVGRFVAGIGVGYALMIAPVYTAEVSPASARGFLTSFPEVFINAGILLGYVSNFAFSKLPLHLGWRFMLGIGAIPSIFLAFGVLGMPESPRWLVMQGRLGDAKIVLDKTSDSLEESKLRLADIKEAAGIPEDCDDDIVQVPKRTADEAIWKELLLHPTPTVRHILMCGVGIHFFQQASGIDAVVLYSTKIFEKAGIKRDTPKLLATIAVGFVKTIFILVATFFLDKVGRRPLLLSSVAGMIVSLMGLAFGLTIIEHSDQKIMWAVALCIATVLTYVAFFSIGMGPITWVYSSEIFPLRLRAQGCSIGVAMNRVVSGVISMTFLSLTDAITIGGAFFLFTGIAIVAFVFFYTLFPETHGRNLEEVEQLFGTFFRWRSRSVELKMKREAEMATKKAQE >cds-PLY70558.1 pep primary_assembly:Lsat_Salinas_v7:1:88377610:88380435:1 gene:gene-LSAT_1X75661 transcript:rna-gnl|WGS:NBSK|LSAT_1X75661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNSEVASSSNCCYEEQSSNPPDIINAATTAATTTANFPLMFEEEIMENDISTPIDLANASHFTNHRYPFSNQDQFDLDLLQNQIPTIIDENNEANARIFSYTNTVLNDQVGPTIGQTQSLSTVCEESGLNSVSPLKFMRLNDCLSRNYSFIDPSINSLECSGIFKGNLFLGNDMPRHELEFHGDNGGIFGCDPPLHAYNSNELQALSNESQHLVKGSVSSTTPLVSDITSLESETFRVATKLTTEERKEKIHRYLKKRKERNFKKKIKYACRKTLADSRPRVRGRFAKNDEFGENNKSNSNDTDENGRSFDQLVVKDEEQSLESSDIFPHLSGLNSFKCNYPIQSWI >cds-PLY93815.1 pep primary_assembly:Lsat_Salinas_v7:6:143926175:143929549:-1 gene:gene-LSAT_6X87440 transcript:rna-gnl|WGS:NBSK|LSAT_6X87440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQDLELDFEKYCVVEGSPKTVLLSPNHSKTEKKKVRKKVIITQNKEFTEINLHRYRSQSCRDDRSTRSTGVNATLKRGSVYQSSKEISKISEKETEGRKKIEFSRNSTPLPFELFGSLCDSEEDDALLGSLSRSFLENSINPIKKQEDQQQQHPLCTPLNKSLSSRLELQLPHSPSKNPKNRFSPLKKMFDPFVKSKSQKSPLGFSTKEHDEATSAGSKNITLQKSLIHDISYADCDSCGSIVSSSCLNGVLKVENENQMPYFEFSLKNSNDVLVGKTSKVGNGCDWVYTFHTTQNRRKINEFKDNKNKDLTKTVGKMRVSCYLCTELVNTGAFDNSMVNEFVLYDLEGITCKSNKSTNENFVGDLHLDLETAAIVVQFPSSEKRESLKCDMNKNESAKVSVVIPSANHGLPSGESRGPSPLLDRWRLGGGCECGGWDMGCPLVVLSNFSLQKEEACKHPVKLFIKGSKENTPALTMKLTDEGQYAVDFHEQLTSLQAFSICVAILHTTETSILVDHDKNREMLQCDSLRVFVEDEVKHLIEAVVEEDKRKPVKNEFPPSFLVNPPFSPMSRA >cds-PLY67516.1 pep primary_assembly:Lsat_Salinas_v7:6:73553163:73554920:-1 gene:gene-LSAT_6X52180 transcript:rna-gnl|WGS:NBSK|LSAT_6X52180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESLAIRHLICDYTTHGMVISVRNPQISPRIAKIGAQNRDKSFVLRSKKSNFQDFQGYAKPVRLLPAHEVKPTTDSSLEKLITSFKEDKLESLYKLTIQTSNYYGSGLTNSNSGILLCLVDENGDSILQRIAATSSTESIENDASDILHFTRGSINHFTFQGPTIGKLKALWIGLESGQWRPTGTRVICWSKSQQNNNNPYGIFQYDFIADDILLGGENDISMVELRPNSITNLSEDNLTSNQIFSQQSFLSNEESMKEYADLKFSLLLYDALLIISGTSIASFCGGGNAAFAFLTGGIGGFLYLLLLQRSVDELASPVLTRTGGLDEMFGRFKGQVLTLVLALGFVVIVVKLGSGDESLVLCPKDLVVGMMGFLSSKVAVVLAAFKPVQVVGVEERR >cds-PLY93469.1 pep primary_assembly:Lsat_Salinas_v7:9:63415357:63416689:-1 gene:gene-LSAT_9X54500 transcript:rna-gnl|WGS:NBSK|LSAT_9X54500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSMDGGSVPGAAGDENEWEVRPGGMLVQKRDPDAETNRIPPPTIRVRVKYGSIYHEININSQATFGELKKMLSGPTGLHHEDQKLMYKDRARDSKSYLDAVGVKDRSKMVVMEDPISQEKRILEMRKNAKMEKAAKSISDISFEVDRLAGQVSAIGSVISKGGKVAEKTLLNVIELLMNQLIKLDGISVDGDVKLQRKLQVKRIQEYVETLDALKLKNSAQSNNGDGNHVQEQRFSDGYGGTPPQSRRRVGKSTSTPVVDQHQPPTTEAAMATTEWEIFDSLATPTSSTTTTTTINPMFKWDLI >cds-PLY94838.1 pep primary_assembly:Lsat_Salinas_v7:2:178903627:178904534:-1 gene:gene-LSAT_2X100821 transcript:rna-gnl|WGS:NBSK|LSAT_2X100821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQKREMEETELKVPETLTLCTPPIPAASSKMSASDDRRSSPDRSDPKSGVIVDFRPNSAASPEKLDLPQKRRLEVVDPFDKSVCDMFKKREVNRCSGCKRKVGLMGFRCRCGEMFCSEHRYSDRHDCNYDYKAAGREAIARENPVVRAAKILKV >cds-PLY97508.1 pep primary_assembly:Lsat_Salinas_v7:4:257228333:257233022:-1 gene:gene-LSAT_4X134761 transcript:rna-gnl|WGS:NBSK|LSAT_4X134761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFGRNANTPADSETQEDTYISETQANYIIDVDDEVQQTQQRSTTTEGKDASRPLLKEVTFNGAGNNKNYGGSKQWTFSKSDTKASPKSELKLLFLALISASPVLRFMASVGMAHNQVEKGGANSMFVDKLPEGVNEMTIKDDKVKKCLETGYTSSIDIWSIGCVLAELLLGQMELIATAYSSGRYKGGSPQVTS >cds-PLY91138.1 pep primary_assembly:Lsat_Salinas_v7:4:258871664:258880088:-1 gene:gene-LSAT_4X135701 transcript:rna-gnl|WGS:NBSK|LSAT_4X135701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGRVLLAFDATKDRNELEFKNVIDDIISRGDVINRGDTIVVFGVLHKVSHPFGYQMQIERVNIRAMEEEIQLKLDMYAKMLQTSAEDCKEEGVDIEVKITCGTPIKKIIQQEASACNATWVVLDRHLKKDVKFYQGQILCKLASVVDNLTIKPLKTEPMSDTDNTEVKVVLYRSKHVQLSAPAPQDHDNVDQSLISASLASYENLEMPSKKLLSSLMHKPSILTDDVDAASKQDVSGSLSCVGKNRTNSSSVVGNLRKSPRRKRSSGTPVLCNACGMTTELYIKESMRFSYSEIHLATNYFSEENLLGEGGYGLVYKGQLNDGQIIAAKVRKQASTQGFEEFSSEIYVLSFARHRNIVMLLGYCCKENINILVYEYICNRSLEWHLFDEAANVLEWRKRHAIAIGTAKGLRFLHEECRSSPIIHRDLRPSNILLTHDFVPMVIYVAPEYAENGMVSVRTDVYAYGITLIQIISGRKAVTSDTQDHHQSLRQWAEPLVESLALHDLIDPRLGDSYDPYELYHMAKTAYLCVKVDPDKRPSMGEVSYLTTT >cds-PLY62763.1 pep primary_assembly:Lsat_Salinas_v7:5:309092026:309096136:1 gene:gene-LSAT_5X167500 transcript:rna-gnl|WGS:NBSK|LSAT_5X167500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIDIEGKELKVTAESVHDMLGIPIGGTTLTQLDQWPKDDTSYGEWKQQFKKDSIIRLSAIKNVIVSTTQVDFNFQLNFLVLFVNTFCESTSMGRRNMFPLSYISRKTDITNIDWCNYVLDCLVRTKNSYIPYSDTSFFVGPSAFLVLFYADNIRLKALTVTRKRPTICYWSSEKIRYRETFKQEKCRFGLGQLNKEFVNEQDERETDLEDSDPDKDEDHPVAYESKISKMLNSFERMKEKLNSKLNDAITKFLEKESFRIFKEKMTNKIVEEKIESTTLFEFPSNETGVEGINLTPIKGQKTNDQKENEDDEGNREEENDNDGSQPEVDYLLDSNEAENEGIKNDGDKNKKEGGTEVKGKDGKNNENDNDEEKKDDDAEETNNHEETIQQTENENLLDKVVDNIVDNILVVGILSLNSQEDEIWNDPEMKTVLDNIDIRSPMTRSKTNTLISLVIQGKENSEHGNKGGAEAKNTKDVGEDKQTEIEKGNAEDRGKKSQKMKTMKDKKLTKQKGMKERCACPDKIWSVKNKVDCEVFAMRHMETYMGQQLSKWKPGLHKESAVQQTTLEKLRKRYAHRMLTSEINMLKAKVLDLAEKYQKVEFKVRSDHAYKAMQTIQKRLKEY >cds-PLY66565.1 pep primary_assembly:Lsat_Salinas_v7:6:167078679:167079127:-1 gene:gene-LSAT_6X101921 transcript:rna-gnl|WGS:NBSK|LSAT_6X101921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDPISSSSLFIGSRRYQDRVKAKSITLLVNKFESEVKSLVIKGSSDVSKSEGPRSGVNLVDSLLDAGCDAISIENKGLGLSNGSDGAVMGSKISSKVVMADIVGSLMVNHVIRNPIKEDGVIEGLSSPVLEFEKRH >cds-PLY73801.1 pep primary_assembly:Lsat_Salinas_v7:7:69673683:69676575:1 gene:gene-LSAT_7X50160 transcript:rna-gnl|WGS:NBSK|LSAT_7X50160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKNIQHDMPFQSKSKDADLDGVINKSMNDEHTRTISVNQKKLKRARKYKTSAHDQLSIVVPLSVEAVGEETHQKNTEASEVLPDVPMVEGQNIQQRLFNLKPHGFFNCSPTVDVPPSLGAYESDVKEGHVKETIATKGRRGKVVLVDLARSEKIGAEGKVLEEAKSINKSLSTRGNVISSLTSSPHAKSLHIPFRDSKLTRLLQDALTDMMDLLGSDLPVESDEGMQFAWSDGIMLQRLADNRNSIQVELNELMKLCKWERNEWFMTMETSKWTRENFKKLIHKYIDVLKQPVVLILTQEAAWSGIKTIADHTYASFSDSFEKYKQVLDVSCNETHFKNRRGLYGLHLEK >cds-PLY74752.1 pep primary_assembly:Lsat_Salinas_v7:6:121244221:121245574:-1 gene:gene-LSAT_6X73601 transcript:rna-gnl|WGS:NBSK|LSAT_6X73601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKCFSIFSSRTKRKGESSPELHEQNPSMKPPTRLVNSSGSITSPILYNFVIISNNMIQQHIVSVTLENFMDTPNTDHGSSLLDVNKMITNFVNFQTDVPMGDANKNPSYWSSADDGTYMEEPGSGAVASVGKKILSVGTLVWVRRYNEKKMEILWCK >cds-PLY97394.1 pep primary_assembly:Lsat_Salinas_v7:4:14713704:14716913:1 gene:gene-LSAT_4X9941 transcript:rna-gnl|WGS:NBSK|LSAT_4X9941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKLNSGDNRSRSFVYIFIIASLCGFFYLFGRWQRSGFGKGDLLVMDITKTSNTNCNSIQNLNFETHHAGIIDNDSTSQTLDFPACDANFTDYTPCQDQKRAMKFPRNDMIYRERHCPPQAEKLKCLVPAPQGYVTPFSWPKSRDYVPYANAPYKALTVEKAIQNWIQYEGNVFRFPGGGTQFPQGADAYIDQLASVIPIDNGTVRTALDTGCGVASFGAYLWKRNVIAMSFAPRDNHEAQVQFALERGVPAVIGVLGSIKMPYPSKAFDMAHCSRCLIPWGSNDGKYMMEVDRVLRPGGYWVLSGPPINWKNNYKSWQRTLEDLEEEQLKIESIAKLLCWEKISEKGEMAIWQKKSNTESCRPTENTPGIQFCSNDPDDVWYKKMETCVSAGSGGDYVAFPERLYDAVPPRVVSGSIPGVSVEKYMEDGKKWKKHVNGYKRMNGMIDSGRYRNVMDMNAGLGGFAAAIHSSKLWVMNVVPNIAETNTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHANGLFNLYNDRCDFEDILLEMDRILRPEGAVIIRDEVDVIMKVKNIATSLRWDTKLADHEDGPLVPEKILIAVKRYWAVDNQNNTTT >cds-PLY92921.1 pep primary_assembly:Lsat_Salinas_v7:3:114213341:114213799:1 gene:gene-LSAT_3X81561 transcript:rna-gnl|WGS:NBSK|LSAT_3X81561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPSIQAVALFMIILTTLASMINGDNLQETNMVPELPDASIKCGSCPCVDPCGSQPTFPPPPLPSPSPPPPPPPLPSPPPPSPPPPATQYCPPAVVAKPPPPPRFIYVTSPPSSVNHPFTLNVYSGGCSRRVGGVTGWIFVVGCVVLEWLLI >cds-PLY88125.1 pep primary_assembly:Lsat_Salinas_v7:9:8157664:8158907:1 gene:gene-LSAT_9X6880 transcript:rna-gnl|WGS:NBSK|LSAT_9X6880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEADPFLGFYPNRGDINVADGSPSLSDFSFTPFPEHQDNIEAQYTLDNSCQDSSLPIVSYTSLLLLDHSKSKKHKFHRCKTAPAMVVMPENLEKSSDPKPSQADSASLVRQASLLLVIYLSLGVSIYYFNRDHFSGLETHPIVDALYFCIVTMCTIGYGDIAPVTPSAKLFSCFFVLVGFGFIDIMLSGVVNYVLDLQEKMILNSTKMNHGDAGGHHVEERRFSPIDYVFDFAKGRMRIRLKVGLALGVVLLSIGLGTLVLYSVEGLDWIDSLYLSVLSVTTVGYGDRAFRTLPGRLFASFWLLFSTLMVARAFLYLAEARIHKRHRRIANWVLHRQITVKDLLAADINNNGFLRLVCISISISISMYC >cds-PLY81091.1 pep primary_assembly:Lsat_Salinas_v7:6:130917616:130921367:1 gene:gene-LSAT_6X79321 transcript:rna-gnl|WGS:NBSK|LSAT_6X79321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASESFTDKNAVFRKLKAKSENKMCFDCNARNPTWASVTYGIFLCIDCSATHRSLGVHISFVRSTNLDSWTPDQLKMMSFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAAELYKQLLSKEVAKSHAEDAANSFPSSPVETLDKPSTDFKPFETLKENPTPTPASKPDTNGSAPVKPAPSSIRAPSVKKPIGGGAKKTGKTGGLGARKLSTKTSESLYDQKPEEPVVQVSSTSASNNASSSALNMTPQISRFEYVDSSESVEAGPGGTNKLSHVAPPKSSSFFAEYGMESGFSKKSSSSSKVQIQESDEARKKFSNAKSISSAQYFGDQNRSETDTQVSLQKFSGSASISSADLFGNDSDNSNLDLSASDLINRLSFQAQQDMSSLKNIAGETGKKLSSIASTFMNDFQDRIL >cds-PLY86933.1 pep primary_assembly:Lsat_Salinas_v7:5:265500774:265503403:-1 gene:gene-LSAT_5X136800 transcript:rna-gnl|WGS:NBSK|LSAT_5X136800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPGLQKTKIQKNESRYVDGYSNTNTPPSGKLLGSGSTTTPLEALGEQTQTQTQTHRSRQLKGNQELNDELKVKANELEKLFAEHKLRDQPNYNAHQSKPVVPTQVSEPTRVVFKSPIQVDDENPRDGLQRSISEIGFSDESKGKFYNSYMKKREERLREQWGSNKSEKEARMKAMHDRLEHSSAEMKAKLSFSADRQDPMSSARRRAERLRSFNARSVMKREKGLDFGQLEDDEDISEFSELKLMSSNGVSRNVQGKKSLPVKNPSTSTPRTPSAPILPRSKVASASGSGRRRVQSENPLAQSVPNFLDLRKENTKPYSVASKAAARRNHTHTHTRSRSTNEEMPAVKEEKTVRSQSLRKKSPTPPENMEHKSFHRRNNSIGGSGIGKMKASMVSEVIIKKEEEYEPEVMEEEEEDDDDEDEDEEFDTMEMEAQDAVETESKSESLINSEPGNGNEIASQTFSQTPDVQDSPGESPMSWHHPFSSDNLESWNLHGLNSSEAEVARMRKKWGSTQKNILVSNSNSNSSSGVQSRKDMAKGFKRLLKFGRKSRGTDSMADWISATTSEGDDDTEDGRDISNRSSDDLRKSRMGLEYSHGLSFESSYNETDYFSDQVHTLQTSIPTPPANFRLREDHLSGSSIKAPRSFFSLSSFRSKGSDSKLR >cds-PLY68659.1 pep primary_assembly:Lsat_Salinas_v7:5:156133598:156137196:-1 gene:gene-LSAT_5X68180 transcript:rna-gnl|WGS:NBSK|LSAT_5X68180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLNFFVSSSRSPDFTISTNSYSKPMLQTPNRYLSFTKSPRKPVVLVSASADNGQGGLSAVTADFVSDNGTKPITIAPPDSSSIEVDSVTEAELKENGFRSTRRTKLVCTIGPATCGFEQLEALAVGGMNVARINMCHGTREWHKTVIERVRRLNEEKGYAVAIMMDTEGSEIHMGDLGGASSAKAEDGEVWTFSVRAYDSLRPERSITVNYDGFAEDVKVGDELLVDGGMVRFEVIEKIGPDVKCLCTDPGLLLPRANLTFWRDGSLVRERNAMLPTISSKDWLDIDFGIAEGVDFIAVSFVKSAEVINHLKSYIKARSRDGDIAVLAKIESIDSLKNLKEIIQASDGAMVARGDLGAQIPLEQVPLAQQKIVETCRKLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQFPDKALTVLRSVSLRIEKWWREEKRHEVMELPDIASSFSDSISEEICNSAAKMANNLEVDALFVYTKDGHMASLLSRSRPDCPIFAFTTDTSVRRRLNLQWGLIPFRLGFSDDMESNLNKTFSLLKARGMIKSGDLVIAVSDMLQSIQVMNVP >cds-PLY78224.1 pep primary_assembly:Lsat_Salinas_v7:MU042329.1:351719:351928:-1 gene:gene-LSAT_0X21721 transcript:rna-gnl|WGS:NBSK|LSAT_0X21721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVVVIVMVMVAVMSSGGSGGWCSDGGGNGGSNAGGGEGVGVGGGGDDGGGGSDGMVTIVSGGAGGR >cds-PLY92960.1 pep primary_assembly:Lsat_Salinas_v7:5:48095848:48097921:-1 gene:gene-LSAT_5X23401 transcript:rna-gnl|WGS:NBSK|LSAT_5X23401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLTRRLIGFPTLTSSRKHCSGAHSRRAMVTLVVATTLDPASIGPASALLAMPGWHPGPFLQDMASFVNKYVRLLKHDNSSITEDHLDKRWEAATGEVVDDVIFLSRHTAASKRPALTIHPIGVPHLREDEVPPAGGKPGWAAPPNTRMGPWLRLLKVIAESHNLTPEFEVTLEATHHGPVIDSPTMFVEIGSTEEYWKRQDAAQAIALLVWKGLGLDGGVPVGDWHRNNGKGKILLGFGGGHYVPRHMDVVMKTDVWVGHLISGYSLLMEDNGDAGIGGTWREAIKVAYQVTKKAFPGGEVLAHLDQKSFKSWQKNAILSFLKEENINVGKPSDFC >cds-PLY65248.1 pep primary_assembly:Lsat_Salinas_v7:8:231486007:231486916:1 gene:gene-LSAT_8X139620 transcript:rna-gnl|WGS:NBSK|LSAT_8X139620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYERGDRVEITNKEEGFAGSYYIANIISSLSKKEYIIQYRTLLNDDGFAPLREIVTADQIRPLPPEVMTTEFSLLDLVDAYDKDGWWVGKVIRKTGSNYLVYFEDSREEIVYPLSMLRIHQEWDGRVWVSSKK >cds-PLY63456.1 pep primary_assembly:Lsat_Salinas_v7:7:149453552:149455263:1 gene:gene-LSAT_7X88480 transcript:rna-gnl|WGS:NBSK|LSAT_7X88480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSNHSISRAQNVSSERPLRQFVTGKDKSAGAQNVNSERPLRQFVIGKDKSAGRNSKGRITIFHKGGRAKRSQRTIDLKRNTSSVGVVEQIEYDTDRSGQMGRRGSRLPPEKSQLPPKKTSPTPTILPSISIKGQFSFSSIHRMLEDKKVESLRPKIDHVVVGLSKGSRTLSSQSQSQTGTHMRNVKDVFLSAFSSSNGKKKHDAPSSFVNVLGVPRMEVAGAKPEFFVPRMKDDVKENESLLLNEVKRWDKDSVVWGA >cds-PLY77008.1 pep primary_assembly:Lsat_Salinas_v7:6:65349510:65349770:1 gene:gene-LSAT_6X47701 transcript:rna-gnl|WGS:NBSK|LSAT_6X47701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCDWQTSLGEVEDENKEQVEKMTTCKEESGDMSNSNTISSDEMMFEPSEFIPMKEKVFVDENVGYLYSKMYLLLKALSEIKSATS >cds-PLY80566.1 pep primary_assembly:Lsat_Salinas_v7:6:12775023:12775759:-1 gene:gene-LSAT_6X8060 transcript:rna-gnl|WGS:NBSK|LSAT_6X8060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEQSFSYGGFRASELDLPTDYELHAMETQLQIEEMRDEMRQQLGEFKEEIRNLKKKVTMMGVVGVAVMSLIRVRVCVECSGWDFWWV >cds-PLY84522.1 pep primary_assembly:Lsat_Salinas_v7:1:31963401:31976749:1 gene:gene-LSAT_1X27801 transcript:rna-gnl|WGS:NBSK|LSAT_1X27801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTIKSSATMEELKQQLKLAGPLCLVSFLDYSLQIISVMFIGHLGELSLSVASMASSFAAVTGSHFLLGTGSVLETFCGQAYGAQQYHMLGVYTQRAMLVLMLIAIPISIIWTYTGTIFIWFGQDVEISMKAETYTRWLIPSIFPYGILQCQFRFLQAQSNTKVLMLSSGFTCLVHILACWILIFKFGLRSNGAALACAISYWIQVSFLAIYIKFSRAFEKTWTGFSKEAFLNLEEFVSLSIPSALMICLEYWAYEFLVLMSGLLPNPKLEISMMSVSLTTSTLIYRIPYGFGSAVSTRVSNELGAGRPQAAHRAAHVVMLVAMTEGLVVSFILVVVRDLWGYLFTYEVEVVSYLSFIMPILALSNFCDGIQGVLSGIVRGCGWQKIGAFVNLGAYYLVGLPFAILWTFVFSYGGKGLWMGIIGGSGIQALLFIYIVMHTNWDQEMIARSKTTASCLFFLLLSFYIFMSHDSDHTGSRRSSFHMWTMIAGVLLIGGLMAVMVRATIVTWTMVLVMMAFAGKRRRVLAVEGRKITGDVAMHLLKVVIKERSIVAVACATFLSSIAMVWVA >cds-PLY80330.1 pep primary_assembly:Lsat_Salinas_v7:7:154572991:154573817:1 gene:gene-LSAT_7X91560 transcript:rna-gnl|WGS:NBSK|LSAT_7X91560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CASPARIAN STRIP INTEGRITY FACTOR 1 [Source:Projected from Arabidopsis thaliana (AT2G16385) UniProtKB/Swiss-Prot;Acc:Q84MD2] MKINMGLKICLLTFLIVASLVSSSSAARCGSSIPMKKLGATDQNQESLYSEDDQISKRDNIHERLLRANTKDYGRPDPAPTFVKPPFKLIPN >cds-PLY82448.1 pep primary_assembly:Lsat_Salinas_v7:2:183837648:183841824:1 gene:gene-LSAT_2X106300 transcript:rna-gnl|WGS:NBSK|LSAT_2X106300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMTTLMEQMKTVTTGTQQQCVQEKMNRQTPYGDASVNRYGGSQSHASAQRMQQHAQTPQFPFRGDGRQQWNTIANPQIELNPMSPHSYSQGGHKGNMKIQSQERMGNQKYNNYENQGNKETRLQSHEQEMEVGYEDNTYTPSQLSFEGMEQRFQDEIMKLIKDLSNAEDAENARHKERIVEINMRYQENLCSLRAQHASRVGEFVGKESETRLHHYQQAANAMTERGPPGGMAREARRRYDNYGAIPDESSYREYQHQHQHPQYREHSREPMTSQGYESRVPHPHGRVYNNSGAHY >cds-PLY95104.1 pep primary_assembly:Lsat_Salinas_v7:1:93955722:93956621:-1 gene:gene-LSAT_1X77761 transcript:rna-gnl|WGS:NBSK|LSAT_1X77761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQSKDPVEVAFEELDESLVDSPDTHDEIVAQTLSYKPNINSQKDDLESSIHPLNQPKSRFRLTSTSAAIPTNQKSKEDDDESILSQFTEEQMSRYESFKRSEFHKLNMKRLLASITGSAKISMPMTIVVSGIFVGELVKIARVVMTERKETGPIRPCHKIYLTSYIHVLNVSCV >cds-PLY78829.1 pep primary_assembly:Lsat_Salinas_v7:8:288609342:288611356:-1 gene:gene-LSAT_8X162001 transcript:rna-gnl|WGS:NBSK|LSAT_8X162001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLIQAASSLAYARRGWVNVDIDKIECESCGATLKYIAPDSWTTIEDLGEESTNQLDEGHKMCILSSVLDKCPRLRGFESAAPCDTSCKLRYVTFNLALVF >cds-PLY63401.1 pep primary_assembly:Lsat_Salinas_v7:7:147844722:147844940:1 gene:gene-LSAT_7X87861 transcript:rna-gnl|WGS:NBSK|LSAT_7X87861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGFTLGNHMISSTKHNQFLLLCTHQQLIFDARALPHFVALLKRHKDAANGVIRRAADAITNENSSIKTRPC >cds-PLY89808.1 pep primary_assembly:Lsat_Salinas_v7:2:138581210:138581757:-1 gene:gene-LSAT_2X68121 transcript:rna-gnl|WGS:NBSK|LSAT_2X68121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLLHYREFIKRGIVPFKDGKYLTDGTLEKTIDWIPRMSNIRYKDVPSFIRTTYLDDIMLDFMGEEAQNNLNAPAIIFNTFDALEHKVLEAITSKFNYPNIFTIGPFPLLAKYVPHDSPVQSLNSSLWKPDSSCLQWLDQKKEGYKCDYDE >cds-PLY87975.1 pep primary_assembly:Lsat_Salinas_v7:6:171344770:171345555:-1 gene:gene-LSAT_6X105720 transcript:rna-gnl|WGS:NBSK|LSAT_6X105720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLFLCTSTRPNLWCEVSQNTCRLHQTEGAMEKERKRKQWSNTARGDNTKAWRRDFVDRPRDSSSPGFDEAKKEDGGEAARLPSSSSGLEVRKREGPMGQISQRGGEMSTSGESPDRRRRKCSVFFDPLLRRGKAQRQESYHRWSQKIDGGKGLFSWCLTGKEEGKLKGKLLCFFVASKQKCYWLETKNKGKNNNSKFNKN >cds-PLY85178.1 pep primary_assembly:Lsat_Salinas_v7:9:148148922:148149287:-1 gene:gene-LSAT_0X41861 transcript:rna-gnl|WGS:NBSK|LSAT_0X41861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKRNKPTFAGGSSKQWKDTIETEDTANSKPKEEEIEEIELGNIEIMQEILSYRNRKGVWPWENPYDWQRFCFPYIHVGIGNEGGWLKKIEEMKNKFNDESVPMENVDKKEFKLWKKIWGN >cds-PLY87399.1 pep primary_assembly:Lsat_Salinas_v7:4:12427409:12428450:-1 gene:gene-LSAT_4X8180 transcript:rna-gnl|WGS:NBSK|LSAT_4X8180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQDGSVLAIKRLSPCKINEKQFRSEMNRLGQLRHPNLVPLLGFCIVEDEKLLVYKHMPNGSLNSLLYTTNKTTTDLDWLARLKIGIGAASGLAWLHHVCEPPYLHQTISSNVVLVDDDFEARIIDFGLARLVGARDSKNSSFENGDLGEFGYVAPEYSSTMVASMKGDVYGFGVVLLELVTGQKPLEVNNGEEGGYKGHLTEWVNRLMGSGKSKDVIDKCIRGKGHDDEILQFLRIACSCVVSRPKERPSMYNVFQSLKGLGEAHGFSRHFDDIPVKYATQDHHHRD >cds-PLY80235.1 pep primary_assembly:Lsat_Salinas_v7:6:124621140:124621835:1 gene:gene-LSAT_6X74401 transcript:rna-gnl|WGS:NBSK|LSAT_6X74401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGDEWTQVPRRRRKPPESPLVTTMFVSNLPLNANKGELWRLFGNFGELSDVYIAQKKDANRRNFAFIRFKNVRNWRILEATLQNLSFAGKKLQVNVAKFERKQKKRVQDFQYRREPINTKATAGIRCNVRDGRSFAAVVAGCDLPPPPPPPSVNPISLHPNDVMADWIDNELTYMGEAISMEHLHSLNPGISMGDDEAFSMKYVGGLKVCLSFRSVDDVNAFLRVKDD >cds-PLY72047.1 pep primary_assembly:Lsat_Salinas_v7:2:204357784:204360018:1 gene:gene-LSAT_2X126080 transcript:rna-gnl|WGS:NBSK|LSAT_2X126080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEPEKQQRQQRVGGGSSILRKPFYDLTNLIPKPHRHSISTSSSQAKNPITSTDPAMSLSRTCSTQIHSLVQQQFAAPRDQHPLPTPRTPSPVTLNLPHTQKRRYDSVGDGKHLAYTGSQTLMKTRSKTKAAAVPVYPIHLERTINNMKGIPMQPRYPLMENTINMEPLSGVKFNNKKKAVLGSSLTDLSKKYEEKMVATPINYGALKKKDEGNSSMRLLRSRSNRYEVNGAEDSLESKSTKVHFPNNKKRSHSSKKSSKKFVLPQDFIDQQRAYFKEIDEYELQVEEEEADEVSS >cds-PLY97553.1 pep primary_assembly:Lsat_Salinas_v7:5:236455657:236457266:1 gene:gene-LSAT_5X116061 transcript:rna-gnl|WGS:NBSK|LSAT_5X116061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLSQDPSYTDYWVDANITTYAYPGGVDIKYVAVGNKSFLKAYNTTYVQITLPALKNVQNALTRAVILCILTSFIAIVTGSDPNSIIGVGINWGTMTSHQLSADKVVEIMRENGFKKVKLFEAEKGIMEALIR >cds-PLY92499.1 pep primary_assembly:Lsat_Salinas_v7:2:152434557:152435155:1 gene:gene-LSAT_2X76241 transcript:rna-gnl|WGS:NBSK|LSAT_2X76241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKNRSFSFDGRRRSFSSDNNKFTRSDNSHLKSELGSSLSFRLTSYVKLSDSMRLYDDVAESSNNYGCRKRTGAWAFVAKIFCLKKAAGAGSDSSDRRRSSSWRPDPNRRWPVQGW >cds-PLY82329.1 pep primary_assembly:Lsat_Salinas_v7:1:66578002:66578253:1 gene:gene-LSAT_1X58561 transcript:rna-gnl|WGS:NBSK|LSAT_1X58561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATDVIVVVAEVVAVVILMVMVMVAVMSSGGGGGWCSGGGGNGGSNSDGDKGGGEGVCGGGCGGDGVSDGMVVVVEVEGSVVV >cds-PLY95182.1 pep primary_assembly:Lsat_Salinas_v7:6:168670743:168672772:1 gene:gene-LSAT_6X103760 transcript:rna-gnl|WGS:NBSK|LSAT_6X103760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRILLILTGSPNCCILATDIETGPPVARLENSHEKVVNRLVNLTETTLASGGDEGHIKIQDSRHAAIHLKFIKSILLI >cds-PLY64790.1 pep primary_assembly:Lsat_Salinas_v7:2:101202539:101204239:-1 gene:gene-LSAT_2X44121 transcript:rna-gnl|WGS:NBSK|LSAT_2X44121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEAASISVLPEGCLSEILSLTSPRDVCRAASISKGFNTAADSDPVWERFLPPDYREIIGRAVSPVVVFGSKKQLYFSLSDSHIILDHAYLSFHLDKESGKKCYMLGAKELSIAWQDEPRYWEWEHITESRFPEVCILREGYSLGIQGKIAAEMLSQKSTYVVFLVFQTTQDTRGLAVPAKTKVSYGGTEMETENVYLRRPQFQQENYVFPCLRNDGWMEMKLGEFECNEGDDGEVEMAFEEVTQRNWKSGLIVEGFELRPK >cds-PLY73731.1 pep primary_assembly:Lsat_Salinas_v7:8:168933238:168936626:-1 gene:gene-LSAT_8X109980 transcript:rna-gnl|WGS:NBSK|LSAT_8X109980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKQTSIESQGSVHVPINIFEPQSSVETSTSNENTSSSVSGRRQVTRAPEKKLTLFALRLAVLEKAATGLGTLGFIWATVVLLGGFAITIDQTDFWFITIILLIEGTRIFSRSHELEWQHQATWSLTDAGISSFRAVKSRSHIVIRACKAVFGYNGGSREVRETASHLRKVKGRYLEKKKTLNRTWTSSDVPLLPYGQWVFLSRNISRLLYWLQLASATACVVLSLIKLVKQNFGEIEKGDTDKRNRKSALIIFYSLALAEAMLFLLEKAYWEWKVIIKKLLDKINDECELGPTGMVSVRRFFYDAYSKCVNGSIFDGLKMDLVSFAMELLDSDSSDEQLIGVRILKNFTKNQRFSEDTLQKIGITITVIERLVEMLNWKDPQEEEIRKSAAEILAKLAGKKQNSLRVAGIPGAMESISCLLNTHRNHSATACGEIPRKEIISDKENYGYWEFNQLGLQILKKLAHDHNNCGKIGNTKGLLAKIIDFTHAGERLLTDTRVTESQILTVKRSLQVVKMLVSTTGGTGRQLRSEISEVVFTITYIRDILKYGEKHPMLQKLGIEILTSLALENDATERIGATGGVLKELFNIFFREGLPENQNHVRIAAGEAIGMLAFESATNSHRILKLKVSEKLVDALDNPLLRINAAIILRNLCIYSGPGFFQQLRGITMAAPTVLREMMTQENKLQEVMVGLAAHVLGYMTSNEANAMFKKAGIQEYDLAMALVQILKKNPQPQTKIPRIRRYVVELVTWMMKNNNKNIDIFKHLEMEEELEGILETTSEVESFNIFSGAIGLSRYKTSIHSLVEIAMDLIKDE >cds-PLY90249.1 pep primary_assembly:Lsat_Salinas_v7:8:14254828:14255651:1 gene:gene-LSAT_8X13801 transcript:rna-gnl|WGS:NBSK|LSAT_8X13801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRSNLCFGECPCGVERNPGGTRRSETVIYKICNGGGDKDRILLLKGVSGAFRPGVLTALMGVSGARKTTLMNMLAGIQKNKKHSHVFLDIVNKTTSIHLMLLFTSLCYTQPGSDEVMDLVELNPLKDALVGLPGVNGLSTEQRKRLTITVELVANPSINKFLALFEVHFYKIGLPF >cds-PLY93549.1 pep primary_assembly:Lsat_Salinas_v7:2:175658421:175660895:1 gene:gene-LSAT_2X99800 transcript:rna-gnl|WGS:NBSK|LSAT_2X99800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDHEEKVGELLKRTYQQQDHSSLHIPNSLPPKMKELLTELAMVENEITRLESQITHLQTDLHKEKEATRESKMKQGEFRQIPNGSLAPATPLLQPNTRGYGDKSSFETKALHFISKAIKGDYSLRDFNSHEKSRTKIVSFSDQKENHENNDELGTRERTPSRRTGILKPPSPARDTRHVTPKRERNLAASSDTSSQSEEENILKWSPNKLSENIMKCLIFIFTRLLRTSRTMELEKSGPISRSANFSMSFRAEPCLNSKASLLLQKESRQQDPYGIFNLEDSIPRDIGCYKNLVKFTSSSLDPKCISSSSYIPLLQKLRAYMNGLQKVDLLFLSSQQKLAFWINMYNACIMHGFLQYGVPSSPEKLLTLMNKATLKIGGNTINAQAIEHVILRRQEASIIEKIYGKAERDDKEAILRKLHGLESMDPNVTFALCCGTRSSPAVRVYTSDGVVNELERAKLEYLQASIVVTSAKKIGLPELLLRNMHDFAQDLERLVEWLCQELPTSGSLRKSMVDCFRGVNNAKVASIVEKLPYEFEFQYLLPM >cds-PLY95491.1 pep primary_assembly:Lsat_Salinas_v7:4:77798296:77798682:-1 gene:gene-LSAT_4X53760 transcript:rna-gnl|WGS:NBSK|LSAT_4X53760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGFEIGDVPFSHDGWGPPESDGSAACILNHPVNVPSPLSPVPMNVDVLLIGPDPTTTILIVSTTAAIILPTPPSISPMTILSAAVSTLTMMPPSASSTASLHHARNLVLNGGSRTIATSSRNAVMRR >cds-PLY81077.1 pep primary_assembly:Lsat_Salinas_v7:6:131874254:131875729:-1 gene:gene-LSAT_6X80380 transcript:rna-gnl|WGS:NBSK|LSAT_6X80380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLETLSSNNELLNLIIYNTATPFSFHETTTFHNHHPPPMEIITYNFPSPTAAQPHSSMENDQKSAVEGVGRKKKRRKKGRVSKNKEEAETQRMTHIAVERNRRKQMNHHLSVLRSLMPESYVQRGDQASIVGGAIEFVKELEHLLQSLEAQKFRLTQQGDAIIHDQNSNFATNPTQGRDIPQLPLHFYKLFSYPQNSSQYPNKYTSKHKATIADIEVNLIHSHANLRIVLQKRLTPLSKMVVFLQKSRLSVLHLNITTLDPLVLYSISLKVEEGCWLNSADQIAGAVHQILALIEEEAILCIDTK >cds-PLY89492.1 pep primary_assembly:Lsat_Salinas_v7:4:149329796:149333907:-1 gene:gene-LSAT_4X92381 transcript:rna-gnl|WGS:NBSK|LSAT_4X92381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHIKDFLAGILNSGKNINLTNKRKKIFLTAFASILLLSALIGIVVGVKSRNSTTETITVSAAHAVVRSSCSATLYPDLCYSVISSHPGMHKNIKKQKDVIELAVNITTNAVEHNYFHIKKLTTRKGRSQRQIAALHDCLEMVSETLDELHDVMKDLEEYQTKRSLRQHADDLKTLMSSTLTNQETCLDGFSHDTADKNLRRSLKKSNDWVEKMCSNALAMICNMTSIDLANERKLNGRNLKEEVNNVWPEWFSAGDRRLLQSETAVTPDVVVAADGSGDYDTVAAAVEAAPKKSKTRYVIRIKSGVYRENVEVAKSKTNIMFMGDGRNDTIITGNLSVKGGTTTFKSATVAVVGDGFLARDITFENTAGAENHQAVALRVGSDFSAFYQCDILGHQDTLYIHRNRQFYINCLIAGTIDFIFGNAAAVFQDCDIHARLPGPGQKNMLTAHSRTDPNQNTGIVIQKCRIGATSDLQPVIGDFPTYLGRPWKEFARTVVMQSVVSDVIHEAGWHEWNGDFALNTLYYGEYENTGEGSETSGRVKWEGVKVITNASEAEVFAPENFIAGHSWLSSTSFPYSLGL >cds-PLY71789.1 pep primary_assembly:Lsat_Salinas_v7:6:90096359:90100254:-1 gene:gene-LSAT_6X61621 transcript:rna-gnl|WGS:NBSK|LSAT_6X61621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDVTKYSHSPTHKAVVTKDYGGLRKIIAGLPRLCDPSEIHNESISLTEEAKADTISSVIDRRDVPNRDTPLHLAVKLGDVTSTEMLMLAGADWSLQNEHGWSALQEAICNREEVIARIIVRHYQPIAWAKWCRRLPRLVGTMRRMRDFYMEITFQFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRADQTVLFLGDGSEDGKVPPGSLCMISHKNKEVMNALDGAGAPASDAEVQQEVQAMSQTNIFRPGIDVTQAVLLPQMTWRRQEKTESVGSWKCKVYDMHNVVVSIKSRRVPGAMSDDEFFSSCNENETESEELNEILTDEERKQLEAALKFDSSEFGHENNENVIGHRHSCFEQRDIPIEDIPSYKNGEIKIEKDKKGWFDGWRKGGNKVDRGGRKGVAPPRGSLCLEEKVSDLLGDSPPSQSQSQGQGQIQPGRHSMEVTVKENESRKVKELKASSSNGSTSGNRRKDGSRENEYKKGLRPILWLAPNFPLRTEELLPLLDILANKVKAIRRLRDLLTTKLPMGTFPVKVAIPVIPTIRVLVTFTKFEELQPLDEFTTPPTSPTSGDRDSPSMANQSSTSSSSWFQWIKTPYHRASSSSGASSSRIETTQDPFAIPADYTWISAEAKKKKMQEKNKSKKGRTQTQTQIKE >cds-PLY76753.1 pep primary_assembly:Lsat_Salinas_v7:7:62124017:62125095:-1 gene:gene-LSAT_7X43960 transcript:rna-gnl|WGS:NBSK|LSAT_7X43960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEDLLDLSKMFNAVKSLVRVPSVDPKYKIALLASKQDHCLVDLLHAWQDGKLPVQISSVISNHDRVGNTHVMRFLERHEIPYHYLSKSKEKNVEDEILGLVEDTDFLVLARYMQAFEAGVKLIGATTHFVTEELDGGPIIEQMVERVSHKDNLLSFIQKSENLEKQCLMKAIKSYCELRVLPYQHNRTVVF >cds-PLY64352.1 pep primary_assembly:Lsat_Salinas_v7:4:24872314:24874141:-1 gene:gene-LSAT_4X17761 transcript:rna-gnl|WGS:NBSK|LSAT_4X17761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNTTHTQHHLHHNRSILLPSSQPLLTQPPENASVLSSSSSFTTDLDLRFYQLLRNKRR >cds-PLY82704.1 pep primary_assembly:Lsat_Salinas_v7:2:147226495:147228051:-1 gene:gene-LSAT_2X74281 transcript:rna-gnl|WGS:NBSK|LSAT_2X74281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLQTFRPFNVAHRGSNGELPEETAPSYLRAIEEGTYFIEMDILVSKDGVLMFDFTLEELKTLRAKQRFSFRDQQYNGKFPIITFEEYIQIAINAPRIVGIYPEIKNPVLMNQHVKWPKGKRFEDVFVEILKKYGYKGSYMSKEWLKQPCFIQSFAPSSLVHIHNKTDLPKIFLIDDVDTYQEITSDRYFNYIREFVIGIGPWKDTIVPVKDNYIETPTDLVDRAHAYNLQVHPYTFRNENKYMFDNSPNLDSAKIPLIWEEVNWGYWIY >cds-PLY86463.1 pep primary_assembly:Lsat_Salinas_v7:8:3500176:3504399:-1 gene:gene-LSAT_8X2880 transcript:rna-gnl|WGS:NBSK|LSAT_8X2880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDNRHRKRKRKGIDYNAEIPFEKRPPPGFYDVADESSIVEQPKFPTTIEELEGERRADKEARLRKQDIARNKIAQRQDAPSAILQANKMNDPETVRKRPKMNLPTPQIPDYELEHIAKFGLPALTDELSEGSGATRALLADYTQTPRQTPLRTPQRTPAGKQDAIMMEAENQARLRLSQTPLLGGENPDLHPSDFSGVTPKKKEIQTPNPMLTPGATPGGMGLTPRIGMTPGQSFGVTPKGTPIRDELHINEEMEMHDNAKIVRRNLRSGLTGLPQPKNEYQIVIQPIPEENDEEQEVKMEEDMSDRIAREKAEEDARQLALLKKRSKVLQRELPRPPGGAVDLIKKSLIRGDEDKSSFVPPTLIEQADEMIRKELLVLLEHDNVKYPIDEKIEKEKKKGNKRAGGKSVSIPVIEEFDEDELKEADKMIKEEASFLKVAMGHENEDIDEFVEAHKTCINDIMFFPTRNSYGLSSVAGNMEKLAAFQDEFENVKKRMDDDTRKAQRLEQKIKLLTNGYQMRGGKIWSQVEATFKQMDTAGTEYECFQALKRQEQVASVNRINSIWEDVQKQKELEKSLQKRYGDLIVEQERIYHLMENYRKEEAAKQEITETKMAEAEEVLVEMTKPPLNNDDESANEPMDVSEPPTPTATVEVDVVAPPEDTEKQASAVDVEVEKNETNEPENAMVDEIIES >cds-PLY92977.1 pep primary_assembly:Lsat_Salinas_v7:8:98746427:98781111:-1 gene:gene-LSAT_8X68280 transcript:rna-gnl|WGS:NBSK|LSAT_8X68280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGSPYRRHPKDLESGGGSGGGFQDYGSVSDPFDIVRTKSASVDSLKRWRQAALVLNASRRFRYTLDLKKEEEKKQIIAKIRTHAQVIRAAYLFQSHGEKADGTPKSPPSPIPTGNYNVNPGQLASMTRDHDFPALQNFGGVNGLAEMLKTNPDKGINDDEANILDRKNVFGSNTYPRKKGRSFWRFLFDACRDTTLIILMVAAAASLALGIKTEGIKEGWYDGGSIALAVIIVIVVTAISDYKQSLQFQNLNEEKQNIQLEVVRGGRRVEISIFDIVVGDVITLKIGDQVPADGVLISGHSLSIDESSMTGESKIVHKDHKSPFLMSGCKVADGYGTMMATSVGIHTEWGLLMASISEDNGEETPLQVRLNGVATFIGIVGLVVAVSVLVILLIRFFTGHTKDDKEQVEFIAGKTSLGDAVDGAIKIFTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMSDKALVRRLSACETMGSATTICSDKTGTLTLNLMTVVEAYICGKKNDPPNDTSALPPKIVSLLVESVAHNTTGSVFLPEGGQEVEVSGSPTEKAILQWGIKLGMNFDVVRSECSVIHASPFNSVKKQSGVAVKRPDSEVHVHWKGAAEIVLAACSRYMDIDEHLVPLDYGKLEYFKKAIEDMSAKGLRCVAFAYKPCAQETLPTDEDDVANWALPDDDLVLLAIVGLKDPCRPGVRDAVQLCIQAGVKVRMVTGDNLQTARAIALECGILGSNAEAQEPNLIEGKSFRAMSEPQRLEVAERISVMGRSSPNDKLLLVQALRKRGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASIVKVVRWGRSVYANIQKFIQFQLTVNVVALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRIPVGRREPLITNIMWRNLLIQATYQVLVLLVLNFHGIQILHLHHNSEHHAKKLKNTLVFNAFIFAQIFNEFNARKPDEINIFKGVTKNHLFMGIVSLTVVLQVIIIMFLGKFTATVRLTWQLWLVSIAIGFISWPLAVIGKFLTPSVNILSIYSHHVHRNVERKVEMRMMSEC >cds-PLY76266.1 pep primary_assembly:Lsat_Salinas_v7:8:33001536:33004718:-1 gene:gene-LSAT_8X26201 transcript:rna-gnl|WGS:NBSK|LSAT_8X26201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALFPIRHRRLRFTILPFCLMLFYACFSIVDCRRSVNYVSHQQRQPSITPINRDLYHTSATLMEEIKKLVHRHQDRFHMETFTGSNKGYQAELNVVTYSQNINEIEEDKSKFRILLSFGQHARELITSELALKILYILSEDQTLPKRNPLLLNRTLQNTIIKVVPIENLNGRKKVEAGELCERRNGRGVDLNRNWSVDWGKKEKDYDPNEENPGSGPFSEPEAQMMRKLSRSFKPHLWVNVHSGMEALFMPYDHKNTTPPGEQSEKMKLMLETLNHLHCEDRCVVGSGGGSVGYLAHGTTTDYMFEVANIPMAFTFEIYGDEKASYKDCFKMFNPVDQPTFNRVVNEWSAAFFSMFEMGAHEMKMKFMEDRAPFNVDNLISIDDYLKGYLIERKNRYAEKTELLEIGLQEMRTYFRLFLLSSILLMFMFCSRIPKSSTSRSNMPPVTP >cds-PLY99001.1 pep primary_assembly:Lsat_Salinas_v7:5:28693336:28695494:1 gene:gene-LSAT_5X14360 transcript:rna-gnl|WGS:NBSK|LSAT_5X14360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPKNFPILSYVMNRLPTMKRSNMQEGDIEQPPSMPHFTSKLTDRSSFNPPPYFELTERMPHLTDPRLLTSMRAAVADVSQTRSLLKTLGERPDHEAVDMAKARLAEIDSTLSNDLDEITLSDKVDPEEDKRKKAIERERQMHKTLISLDQMHESYDKMLSEAEKRLEKIYESVKEGNNPLPGGDEGSSAVAEELTEEVVAILTDALSNGAQRIDLSERRLPFLPEAFGKIHTLVHLNLSSNQLEVIPDSVAGLENLEELNVSSNILTSLPDSIGCLHKLKILDVSSNKLDSLPDSICHCRSLEEFDGSFNKLTYLPTKMGYELLKLKKLAIALNKVRNFPTSIGDMKSLRYLDAHFNELRGLPDSIGKLSNLEVLNLGSNFSDLQELPDTIGDLTSLRELDVSNNQLQELPLTFGRLDKLIKLNVEQNPLVVPPKEVVQKGIQAVKVYMAQRWFDVLVEEEEKNRREAEALAQASWLSRSKSYLTGAVVGAAGTVSLYLGAGDSEDPYLEEAR >cds-PLY62483.1 pep primary_assembly:Lsat_Salinas_v7:1:84444505:84445200:1 gene:gene-LSAT_1X70801 transcript:rna-gnl|WGS:NBSK|LSAT_1X70801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLLLFLFIFLHCHFQISSGVSSLNPNFLTNNEFDPMVKRVCSGTIGGCSEDEMMESEISRRILLMEKKYISYETLKRDLVPCGTPGASYYNCKGKGVANPYNRGCEIITRCARDAINT >cds-PLY83298.1 pep primary_assembly:Lsat_Salinas_v7:4:126373615:126376991:1 gene:gene-LSAT_4X79301 transcript:rna-gnl|WGS:NBSK|LSAT_4X79301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKMIHVSIVFLLLFSNALVYKVCHASSYSRLISGGVDHHRHSPINKQPLAKIAIHKTVIALHESASIRYNLNPLLLGIKVADAQWINIDLEYPEPSEDDWVGVFSPAKFNASDCSSEAGNWPETPTLCTAPIKYKFANQSTTDYVRTGKAKLTFRIINQREDFAFALFTGGLETPKLVAVTDPISFPNPKAPVYPRLAHGKAWDEMTVTWTSGYNIDEATPIVEWGLKGQSKKLSLAGTLTFTRGSMCGPPARTVGWRDPGFIHTSFLHDLWPNTMYEYRMGHIMVDGSITYSDMYTFKSSPYPGQDSLQRVIIFGDMGKAERDGSNEYGNYQPGSLLTTDQLVNDLENYDIVFHIGNLPFANGFLSQWDQFTEQVERISSVKPYMIASGNHERDFPNSGSFYNTPDSGGECGVPAETMYYVPADNRAKFWYATDYGMFHFCIADSEHDWREGSEQYAWIEKCFASVDRQKQPWLIFAANRVLGYSSNNWLADEGAFEEPMGRANLQKLWQKYKVDIAFYGHVHNYERTCPIYQNQCVNSEISRYSGTVNGTIHVVVGSGGSHLSDFTQINTFWSLYKDQDWGFVKLTAFNHSSLLFEYKKSSDGLVYDNFTISRDYRDVLACVHDGCEDTTLAI >cds-PLY94342.1 pep primary_assembly:Lsat_Salinas_v7:7:164942566:164948293:1 gene:gene-LSAT_7X96461 transcript:rna-gnl|WGS:NBSK|LSAT_7X96461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAILASNSCYCRDVQLVNQGRTAENLSFARSLSIQKLDRHECASHKTGRFRKFHVEMQQTESPMKFGTNGRPVKLDTNGQTVKLGANGKPVNLDTNGKPVKLGSNGKPVTMVSTSELVNNKTPSKQTSGLVNGSRSAQIVNGTSLVKRETTPALVKSVKVKEPTGFPSSEELKVLPSDESFSWANENYNSVQRSIDVWSFVLSLRVRVLLDNAKWAYPGGFTEEKQKNRRRKTASWLRESVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPAKAKGFIEKELGAPVHIIFKEFEERPIAAASLGQVHRAILHNGEKVVVKVQRPGLKKLFDIDLKNLKLVAEYFQRSESLGGPTRDWIGIYDECAKILYEEIDYINEGKNADKFRRDFRNVKWVRVPQVFWDYTATKVLTLEYVPGIKINNLDLIKERGYSRSQISSHAIEAYLIQILKTGFFHADPHPGNLAIDSDESLIYYDFGMMGEIKSFTRERLMDLFYAVYEKDAKKVMNSLISLEALQPTGDMSSVRRSVQFFLDNSLNQSPDQQTTLAAIGEDLFSIATDQPFRFPSNFTFVIRAFSTLEGIGYSLDPDFSFVKIAAPYAQELLDLKQQRSGTQLVQEIRKQADDARSYTMSMPYRVQRIEEFVKQLESGDLKLRVRVLESERAARKATILQMGTLYTVLGGTLVNIGLTMTTQGNQLIANGSFIAAGVFLALLIRSMQRVKKLDKFEKMI >cds-PLY98989.1 pep primary_assembly:Lsat_Salinas_v7:7:51081769:51083746:1 gene:gene-LSAT_7X37140 transcript:rna-gnl|WGS:NBSK|LSAT_7X37140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Polyamine catabolis [Source: Projected from Oryza sativa (Os01g0710200)] MVVNKPRIVIIGAGMAGLTAANKLYKTSCSKEAFELCVIEGGNRIGGRINTSEFGGDRIEMGATWIHGIGGSPVHKIAQEINSLESDKPWECMDGFLDDPITIAENGYVLNPSLVHPISNLFKNLMDFAQGKRKSTLVGIGNGGDGIGNMSVGSFLRNGLEDYWETESRKEKDDVNGNGNWNRKLLEEAIFAMHENTQRTYTAANDLLNLDYNAESEYVMCPGEEITIAKGYSSIIQSLASVLPSGVIQLGKKVAKIEWNPGCELGITTENGHEGTRPVKLHFLDGTTLSADHVIVTVSLGVLKAGIRDSNDLGMLKFNPPLPDYKIEAISRLGYGVVNKLFLQLSPDYTDFDQFPFLQFLFHQSDSEVKDPRIPWWIRRTAFVSPIYKKSRVLLSWFAGEEALELETLPNEVILDQVSTALSTFLPNSSDSHEQCNGNGIKNSSKLKLFKVLKSQWGHDPLFMGSYSYVAVGSSCSDMDTLAEPLPKTGSQSQSQSHQLQILFAGEATHRTHYSTTHGAYFSGIREANRLLEHYHCMD >cds-PLY66164.1 pep primary_assembly:Lsat_Salinas_v7:2:4696501:4696677:-1 gene:gene-LSAT_2X3141 transcript:rna-gnl|WGS:NBSK|LSAT_2X3141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYTKGLFSAITRDGEPLVKKLAAVSVFGVLPGAMIASLIYSPPDFIYNKNAPSKSTN >cds-PLY63371.1 pep primary_assembly:Lsat_Salinas_v7:7:148092542:148094011:-1 gene:gene-LSAT_7X87700 transcript:rna-gnl|WGS:NBSK|LSAT_7X87700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYCIIGNKKPLHVPYPCWLGLILSRDEGYVESRGIIILIPAHSSNIINVAPSQGDLPITARMQKWLEKPYVVNSSDSDDDDNDKDDESEKDGNNKGVDEEEGIDKDEEESIAQGMKSPPRINKHDLCDDDDDGTPPNSPGDNPPPPLPPSRNLPPPSPLPSNPPPRTPPPHPGSTPKSDSTKKGRIIKGAIPEIGDQSENDDYERFLDLGFMSQDDVSTVPLKLNARKRKASFSWGAHDAEAGSSSAASSYASSSPPKKKSKFIFDLNEFAETWRLPLRKLDKSC >cds-PLY82177.1 pep primary_assembly:Lsat_Salinas_v7:1:14840603:14842873:1 gene:gene-LSAT_1X13300 transcript:rna-gnl|WGS:NBSK|LSAT_1X13300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRNLLTKHVAAVVKYQKDPLRALEMFNSAKNEDGFKHNLITYKCMIENLGYHGEFEAMEHVFTEMRSDIDNYLLEGAYVFAMKSYGRKGKVQEAVNVFERMDFYNCEPGVHSYNAIMNILVEYGYFDQAHKVYMRMKDKGVVPDVYTFTIRIKAFCRTRRSLAALRLLKNMPASGCEFNAVTYCTVVGGFYEENNQSDAYQLFDEMLMRSIFPDVVTFNKLIHILCKKGNVQESERLFNKVLKRGISPNVFTFNVFIQGLCKSKKLTQAARMLDSASKQNLTPDVVTFNTLIWGLCKNSKVMEAESYLQMMVNKGLEPDDFTYNTIIDGYCKLGMVESASKILKDAVFMGFKPDKFTYTSLIYGYCQDGDVDRAMVVFRDCLRKGVKPITIMYNTLIKGLAKQGLILQALELMDEMPKNGCYPDTWSYNLLIDGLCKMGCVLDADRFMNDALGKGFIPDIYTFNTLIDGYCKQSKMSIAIEMVDKMWNHVTPDAITYNTLLNGLCKNMKSEDVIGTFQEMKNNGFVPDVISYNTLIESLCKARKLDKAMEAFQEMEKTGVNPDEVSYGTLISGFCENGDLEQAYELFRQCNLSHTTPIFNIMIKAFSEKMKMDDAQKLFDEMPEPDNFTFRCMIDGFCRIGDVDHGYKFLLDEIDHGFIPSVATFGQVINCLCVKHRVREAVGVVYLMVQKEVVPETVNTIFEADKKVIAAPKIVVEDLLRKSHITYYAYELLYDGVRDKKLSKKKLSINKTLNQ >cds-PLY79845.1 pep primary_assembly:Lsat_Salinas_v7:8:17368603:17370546:1 gene:gene-LSAT_8X12140 transcript:rna-gnl|WGS:NBSK|LSAT_8X12140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-2 [Source:Projected from Arabidopsis thaliana (AT3G55920) UniProtKB/Swiss-Prot;Acc:Q8L8W5] MPNRTKIPPLAILWGLLLFGTVVFVLNRITDTDVASEQKAVKNQHNHKADGPEGDLDEVTHRVYFDVEIDGKPMGRIIMGLFGKAVPKTAENFRALCTGEKGIGKSGKPLHYKGSTFHRIIPSFMIQGGDFTRGDGRGGESIYGEKFADENFKLKHTDPGVLSMANAGPDTNGSQFFITTVITNWLDGRHVVFGKVVSGMDVVHKIEAEGKQNGTPKSNVVVVDSGELHL >cds-PLY61730.1 pep primary_assembly:Lsat_Salinas_v7:5:216614934:216617060:-1 gene:gene-LSAT_5X99881 transcript:rna-gnl|WGS:NBSK|LSAT_5X99881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNDVENPQKDPLPPPPVIESKNWYDYDTFLHQVSVYGIAVGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSASGVFLFGSLNFLQHDRLDLQTMWRFLPAAIIFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTIFAGSLLYVITDYQFTLMAYSWALAYLISMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLIMGELKKIKHEIKEETDWYSFEVILPVGLSCLFGLAISFFGFSCRRAISATGFTVLGIVNKLLTVVINLMIWDKHSSLIGTIGLLICMFGGVMYQQSTSKNPNSKTSSDVKQVVVQEEDEQQKLLEMQNVTNSEEAK >cds-PLY81605.1 pep primary_assembly:Lsat_Salinas_v7:2:41242706:41250004:-1 gene:gene-LSAT_2X18340 transcript:rna-gnl|WGS:NBSK|LSAT_2X18340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMVSMKYLCVLVLCIFGFLSLVTSIGNSSGGTADGSETWGYVDVRPGAHMFWWLYKSPNKPKHSTKPWPTILWLQGGPGGSGVGFGNFGELGPLDVDLKPRKSTWLQKADLLFVDSPVGTGYSYVDNDNLFVTTDVEAAIDVTTLLKAIYNGNQTTIMSPLYIFAESYGGKFAVTLALAALQAIETGELKLQLGGVALGDSWISPEDFVFSWGPLLKDVSRIDDVGLNKSNSLALKIQQQIADDQFAKATDTWGDLEDVILDSSNGVDFYNFMLDGENDPTSLTTKSSRRFGKKPYAMVSEANGNDLDSVMNGPIRQKLKIIPTNVKWGGQSDGVFLAMEGDFMRPRIAEVDELLSKGVNVTVYNGQIDLICATKGTEAWINKLKWDGLSTYLNLARTPLYCGEDKSTTKGFFKSYENFAFYWILGAGHFPVGDELEPRNKELVCK >cds-PLY86569.1 pep primary_assembly:Lsat_Salinas_v7:9:5255147:5255744:1 gene:gene-LSAT_9X2360 transcript:rna-gnl|WGS:NBSK|LSAT_9X2360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTDLASKKAAVIFTKSSCCMCHSIKALFYELGASPAIHEVDHDADMEWALKRLGCNPSLPAVFIGGKYVGSARDVISLHVDGSLKQKLIEARAIWF >cds-PLY80928.1 pep primary_assembly:Lsat_Salinas_v7:8:257211948:257212283:1 gene:gene-LSAT_8X151580 transcript:rna-gnl|WGS:NBSK|LSAT_8X151580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCNERIADLPPNFEIGESSQAPPHAPLRDDPKNDLIPHFMTQGNQLSDRVGSLEGNMRSLGSTSLEQQVEKLEDEKEGGKIGHSDTMSAHYRALAYKTQMPKSRLDAAEH >cds-PLY63582.1 pep primary_assembly:Lsat_Salinas_v7:MU045890.1:652426:654439:-1 gene:gene-LSAT_0X13241 transcript:rna-gnl|WGS:NBSK|LSAT_0X13241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVSEENVRMLVKAELKTPNIPFFPKEDERHEPGSTSVRYAEDHQTTEAPRSDEKNTYMLYSKDPTIWKMKCMVGRERHSAFCHIQKYVDLKALGTKLQIVCAFAVEHVKGFIYIEAEKQSDINKERQRKAVHRWELQKNQSIKYEAYGDAVRFYTGAMPILKVI >cds-PLY75295.1 pep primary_assembly:Lsat_Salinas_v7:3:156700251:156700586:-1 gene:gene-LSAT_3X99640 transcript:rna-gnl|WGS:NBSK|LSAT_3X99640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKISTVSPPEATQSQPLQQQDQVAIVIGVSCGISKAISLHLASLGAKLIVNYTSNSSKADLVVSEINFKFQSESPQAVSFKVDVSNLIQVKALFYAAKSIGRKRRLERE >cds-PLY87172.1 pep primary_assembly:Lsat_Salinas_v7:5:263618791:263621282:1 gene:gene-LSAT_5X135221 transcript:rna-gnl|WGS:NBSK|LSAT_5X135221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKHKKDIVDISMEEDNNLQPQTTPEQSSKKKHKNKKIRKRDETIEVKIETINGSSDKSLPLIGYFPSGYDPEKRQRTEKPKVRILKNVKRSNRLQLVVSPNQSSQMNFIGTNYSGEGAVPQVCSYALGVLDKQTQTLKIVQIEANKIFRLEPKFDNQENPVDETVKEDENKVTAEDTEAKYNFSTKKSERAAKKERALRANRDPEAQEDLNNKMAEAKVNKEALEVGATVTSARNIPPHDMSATTPQQAYPLQKIIFKGEWRYLLDIMELLQQGVDITTQGFPVFICSRIHKIEEVKDEEAKESLACIFSYINHLIKFKDKHSMDGFSSAKDHKLPNILSQKFNDMFANQESKRLADDKRDLLISYVLVLTLFADNFKTEFSDIAKDLRMSTGDLRRHFEFLGCKFIRENSIMLATLPAPLKFPEVRMRRRR >cds-PLY99340.1 pep primary_assembly:Lsat_Salinas_v7:1:81750389:81752609:1 gene:gene-LSAT_1X68841 transcript:rna-gnl|WGS:NBSK|LSAT_1X68841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKLQWGNRKRLRFVKVKESTVMNGKSDGNGIIVKKKITSQRVDRRVVNEQDSHHPLPPLHASSPQRLNRVTDRKIMSALSSPEKEDRYYTTRGSTGGGFVEESSSSTKALIVDTKEESKKVVWPKLFTTLSSKEKEEDFMAMKGCKLPQRPKKRAKIVQRSLLLVSPGAWLSDLCQGRYEVREKKASKKRPRGLKAMGSMESDSE >cds-PLY80353.1 pep primary_assembly:Lsat_Salinas_v7:7:155438570:155445633:-1 gene:gene-LSAT_7X92181 transcript:rna-gnl|WGS:NBSK|LSAT_7X92181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitate hydratase 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G05710) UniProtKB/Swiss-Prot;Acc:Q9SIB9] MHITGPHSSTLLRRASRSSATRLHSSLSGKSSSPSPSPVASQSRSHTTASAAFRSVRSRWSHGVDWKSPASLSSQIRTTASPVLDRFHRSLATMASDHAFSGILTGLPKPGGGEFGKFYSLPALNDPRVDKLPYSIRILLESAIRNCDNFQVTKADVEKIIDWEKTSPNQVEIPFKPARVLLQDFTGVPAVVDLAVMRDAMNNLGGNSEKINPLVPVDLVVDHSVQVDVARSENAVQANMELEFKRNKERFAFLKWGSKAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGMLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFHGDGVGKISLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDDTVAMIEAYLRANNMFVDYNEPQQERVYSSYLSLDLSEVEPCISGPKRPHDRVPLKDMKADWHSCLDNKVGFKFAVPKETQDKVAKFSFHGQEAELRHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLKVKPWVKTSLAPGSGVVTKYLLQSGLQKYLNEQGFNIVGYGCTTCIGNSGELDESVGAAITENDIIASAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVDIDFETEPIGVGKDGKDVYFRDIWPSTEEIADAVQSSVLPEMFKSTYKSITDGNPMWNDLSVPQAKLYSWDPNSTYIHEPPYFKNMTMDPPGAHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKFLLEHGVDRKDFNSYGSRRGNDEIMARGTFANIRIVNKLLNGEVGPKTVHIPSGEKLSVFDAASKYKEAGQDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADTLGLTGHERYTIDLSSNINEIRPGQDVTVSTDTGKSFTCVARFDTEVELAYFNHGGILPYVIRQLAAQK >cds-PLY82073.1 pep primary_assembly:Lsat_Salinas_v7:8:52493338:52495709:-1 gene:gene-LSAT_8X39180 transcript:rna-gnl|WGS:NBSK|LSAT_8X39180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyllysine-residue acetyltransferase component 4 of pyruvate dehydrogenase complex, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25860) UniProtKB/Swiss-Prot;Acc:Q9SQI8] MSSSLLQARASPSLSFSSSISAANTQWRFTSSPAVTFTRRTRNYTVRAKIREIFMPALSSTMTEGKIVSWIKSEGDVLSKGESVVVVESDKADMDVETFYDGILAAIVVPEGESAPVGAPIGLLAETEAEIEEAKAKAASAGSSAPSIPAASAPSPPPPPPAPVAAPSTPAAAPVAADGSRKIVSTPFAKKLAKQHKVDINKVIGTGPFGRITPADVEAAAGIKPAKSSPATATATAPSAPPPAAPAKSSPTFAEIPGATIVPFTTMQAAVSKNMIESLSVPTFRVGYPIITDALDALYEKVKPKGVTMTALLAKAAAMALVQHPVVNASCKDGKSFTYNNSINIAVAVAINGGLITPVLQDADKLDLYLLSQKWKELVEKARSKQLQPHEYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTAVADKDGYFSVKNQMMVNVTADHRIVYGADLAAFLKTFAKIVQEPDILTM >cds-PLY72230.1 pep primary_assembly:Lsat_Salinas_v7:7:55312171:55315311:1 gene:gene-LSAT_7X39761 transcript:rna-gnl|WGS:NBSK|LSAT_7X39761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEETASMSIYRASRTIKRRQSSLYNSLRSIHEDSIFVSEIAQLWPELPLVANLRCGLWYAKKFHSNCYFKSTDGHTNNLSFNTSRLNLHVAILAGQKGGCMIVDSTRKGKRFPDSMSKTIPIWTCVLNRAIQNYRDKINKPIDELSSSSSQWDSSLHLPLWVPDTEKARIESNINKWVNQLEESGADISSFSSLLKKPLRPLWISQKTVIWLNEVPDYNSWDFTPIILISSSASSDIYRQKTSSEFSWNYIAGAGDDEESWARGLTPLLFWNNVYDLINSGPDLCNQKVADFVEKDRVYRAQRGHNAPQVSIKPTKMEIKSSSHFQDRSLEIIMENDDTGHLIYWLGFTNLAVCATGYDFKAFDADCVLNCDQNKDLCTLEDSEAHLHLPIMNSKFDRFSLQRNLPSALHFAKLHLKKGKRLAVCCNNGEDISVCVCLAILISLFNVEGVYDDGKSFKETQITKFDMRQRLIFLCKYAVNARPSRGNLKQVFNFLAGATY >cds-PLY84324.1 pep primary_assembly:Lsat_Salinas_v7:5:190412464:190414262:-1 gene:gene-LSAT_5X85060 transcript:rna-gnl|WGS:NBSK|LSAT_5X85060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQLKQIHAQTITIGLARFTYITSKLLAFSAMSNIDYAHTILNQTNTPTIFDYNSMILGYSKTSKQEMGILLYNQMCNNRIEPNARTFPVLIKTCDCISSLLQVHGHVVKLGNVCDVYVTSSLIYMYSNFKSVELAIQVFEESLYKNVVCCTSLITGCFNNGLVDEACKVFDEMPERNDVSYSAMISGLVRNELFNKAFELFLHLKQSGLVKPNRPLLLTILTTCGKIGALEIGKNIHHQLLEESFTFDLEIDTALLDFYAKCGDIEKAEHIFIKMPYKDVATWSSMIMGLATNGRNESAIKLFEEMTQKGPLPNDITFISVLVACNHKSLVTKAWCLLGKMFKVFGIQPGIEHYGCMVDVLARSGQLKGAEILINLMPMEPDEAIWGSFLHGCLTHSEICLAERAGKRLIELDPNHSGRYVGLANMYADVGRWENVIRLRNMMVERKVDNTPSWSFIEVDGGVHKFFVHDQFHPRANDLREILQVLNKLSMNQ >cds-PLY97590.1 pep primary_assembly:Lsat_Salinas_v7:5:233895554:233895988:1 gene:gene-LSAT_5X116580 transcript:rna-gnl|WGS:NBSK|LSAT_5X116580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPIDEEKNWLNLNVGQNFEDSYPRSKPISVKICHFCKRKFYSPQALGGHQNAHKRERDAARRYHSLNMDTKFPIHRTLGVHTHSLPYKPTTNEGKMTISGSGATWANGEEGVGLMWSGSFYLDSQMAAPQPSDQLSLDLTLKL >cds-PLY69171.1 pep primary_assembly:Lsat_Salinas_v7:5:285642407:285644789:1 gene:gene-LSAT_5X150061 transcript:rna-gnl|WGS:NBSK|LSAT_5X150061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISTFNVLLALLLSSMILDCAAYRPGDIVPMSKMGQYHSSRTVWHDMIGRHCPIFGVNREVLVPISKPTGFTGADPYKISFQVGKEKYYIPWLLVINRKSSEVPMIDVHLRYSGSDFLGVTAKVLDMPHNYVELHSDIGKQFWDTQIWPKHVLVRYTWEEQSEIDVASGFYVLFGSGLLMSFVLSIYILQSSRDKIERFVRETVAESSMPGGVAKVE >cds-PLY96290.1 pep primary_assembly:Lsat_Salinas_v7:6:157632482:157632997:-1 gene:gene-LSAT_6X95421 transcript:rna-gnl|WGS:NBSK|LSAT_6X95421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDMGFDPKFGFILSKTSSVRQVVMFSATWPLAQEFIDPNSVKVVVGSEDLAANHDVMQIVEVLEDRAHVVTL >cds-PLY64441.1 pep primary_assembly:Lsat_Salinas_v7:9:104254709:104257162:1 gene:gene-LSAT_9X75040 transcript:rna-gnl|WGS:NBSK|LSAT_9X75040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESMLFASSQSPSSPSTILSTQNPNLSSTNHHRNQIFSFSFRCPLTSQSKSHPPPAVSCCASQQPNMTAPAKEAKLWGGRFEEGVTDAVERFTESISFDKALYKHDIMGSRAHASMLAKQGLISFSDKDSILEGLDQIEKQIEKGEFVWRTDREDVHMNIEAALTDLIGEPAKKLHTARSRNDQVSTDFRLWCRDAIDTIISHIKHLQVALVSLAKKNTGVIVPGYTHLQRAQPVLLQHHLLAYVEQLERDAGRLVDCRVRLNFCPLGACALAGTGLPIDRFMTSDALGFTAPMRNSMDAVSDRDFVLELLSANAIIAMHLSRIGEEWVLWASEEFGFITPSDSVSTGSSIMPQKKNPDPMELVRGKSARVFGNLVTLQVLCKGLPLAYNRDLQEDKEPVFDSVKTIIGMLEVSAEFAHNITYNHERIQKSLPAGHLDATTLADYLGIPFRTSHDIVGRAVALCVYKNCQLRELSLDELHGINKIFDEGVYDYLGVENSIKKFSSYGSTGSECVAAQLDFWVSRLDLNL >cds-PLY84658.1 pep primary_assembly:Lsat_Salinas_v7:5:77323814:77334009:-1 gene:gene-LSAT_5X35481 transcript:rna-gnl|WGS:NBSK|LSAT_5X35481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSVVKSPWLNVIIAAEEVDPESSSNPTDAVLFVGISLVLGIASRHLLRGTRVPYTVALLVLGIAMGSIEYGTSHRLGKIGDGIRIWANIDPDLLLAVFLPALLFESSFSMEVHQIKKCMTQMMILAGPGVLISTFILGAALKLIFPYNWSWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYTLFFRMVTGSTFSWGSVIKFLATVSLGAVGMGIAFGLVSYLWLGFIFNDTVIEITLTLAVSYLAYFASQEGADISGVLTVMTLGMFYAAVARTAFKGEGQQSLHHFWEMVAYIANTLIFILSGVVIAEGILGGDSILKHEKSAWGYLILLYVFLQISRAIVVASLYPFLRYFGYGLDWKEGVVLVWSGLRGAVALSLSLSVKQSSDTSTYINRETGVLFVFFTGGIVFLTLIINGSTTQFVLRMLDMDKLSAAKKRILDYTKYEMMNKALEAFGDLVDDEELGPADWPTVKKYITCLHEAEGERIHPHTTSQNDTDVDHMHLSDIRIRFLNGVQAAYWGMLEEGRINQFTANILMQSVDEALDLVSSEPLCDWNGLKSNVHFPNYYKFLQTSTFPRKLVTYFTVERLESACYISAAFLRAHRIARQQLHDFIGDSEIALAVINESETEGEEAKKFLEDVRITFPQVLRVLKTRQVTYSVLNHLIEYVQDLEKSGLLEEKELVHLHDAVQTDLKKLLRNPPLVKIPKPHDLISANPLLGALPEAVREQIVGSTKETMKLRGVALYKEGSKPNGIWLISNGVVKWGSKRIRNKYSLHPTFAHGSTLGLYEVLIGKPYICDIITDSVIMGFFIESEKILSVLGTDNAVEDFLWQESSIILLKLLLPQIFEKMTMHELRSLVAERSSMSTYITGESFEIPHNMIGLLLEGFIKTQSTLELITPPTALFPTSSPDKSFRVSEIAAGASFTHHVTSYVVETRARVIMFEIGGFETLQRRKSSLISHNAENNPGRSSPSREHSGLMIWPERHIKSRQLLENPNPNPNLDHHQHGNNFSARAMQLSMYGSMFVNQISNERHGSIHASPNRETKPPTRKASQSQPQSHSRSYPWVPPTETRRLISVRSEGSATVGRKVSVGELRGPGPRFNPPLETNENENENENQEMGYSSDDDDGGDDEHIVRIDSPSTLSFTRPP >cds-PLY63219.1 pep primary_assembly:Lsat_Salinas_v7:6:88149241:88151422:-1 gene:gene-LSAT_0X41600 transcript:rna-gnl|WGS:NBSK|LSAT_0X41600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEQKWIHEGLITESLPNGMFRVRLDNEDMILGYVSGKIRRSFIRILPGDRVKIERSYNLSTPKQRFKRLGVGNMKRRASVRKICEKCRLICPIPKKGSRGHIGSRKSTRKIPKGVIHIQASFNNTIVTVTNVRGRVVSWSSPGTSGFRGTKRGTPFAAQTAAGHAIRAVVDQGMQRAEVMIKGPGLGRDAALRAIRRSGILLTFVRDVTPMPHNGCRPPKKRLSTRTLQWKCVESAADSKRLLYGRFILSPLMKGQADTIGIAMRRALLGEIEGTCITRAKSEKISHEYSTIMGIQESVHEILMNLKEIVLRSNLYGTCEASICVRGPGYVTAQDIILPPYVEILDNTQHIASLTEPIELVIGLQIEKNRGYLIKAPNTFQDGSYPIDPVFMPVRNANHSIHSYENGNKEILFLEIWTNGSLTPKEALYEASRNLIDLLIPFLHTKEENLNLEGNQHMVPLPPFTFYDKLAKLTKNKKKMALKSIFIDQSELPPRIYNCLKRSNIYTLLDLLNNSQEDXDEEKREFLVQFSTLTTEKRIDQILLSLTHSDHFSKNDSSYQMIEQPGAIYLRYLVDIHKPLLKYE >cds-PLY96880.1 pep primary_assembly:Lsat_Salinas_v7:2:109720062:109722378:-1 gene:gene-LSAT_2X51201 transcript:rna-gnl|WGS:NBSK|LSAT_2X51201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMDVDRAPDTQKIVESSLSVEVCEEAIVMAQGSEPNMAILPDADDKVDYVLIDEGRNPLLISGEASKDAARYPVAAKVVELLMRGLVILETIIAETSTTIHGIKYVIDPRLVKVRSYSPDSGIESLIVVKTSKAQALQRSGHAGREGAGKCFRLYPESRFEGHDDSIIPEIKRGNLSNVILQLSALGVDDILGFDIMEKPDR >cds-PLY92373.1 pep primary_assembly:Lsat_Salinas_v7:3:124712059:124713502:1 gene:gene-LSAT_3X86620 transcript:rna-gnl|WGS:NBSK|LSAT_3X86620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein At2g22870 [Source:Projected from Arabidopsis thaliana (AT2G22870) UniProtKB/Swiss-Prot;Acc:O81004] MPARIRLFTHRFSSLLPSSSSSYPPPPPFSLTFRTSLSHSAPPQSHHVSATKTPKISISDSAKFVRTVLFLPPGTDPEEVTEDMILPGSNIVLGPYAGEAKVKEVQFMGSSIRPKDCPKDERPEFAMLGRSNVGKSSLINSLVRKKEVALTSKKPGKTQLINHFLVNRSWYLVDLPGYGFANAPESARMDWSAFTKGYFLNRESLVSILLLVDASVPPQKIDLDCANWIGRNNLPMTIVFTKCDKMKNKKGNRPDENIKSFQELIKESYKIHPPWIMTSSVTGLGRDELLLHMSQLRNYWDN >cds-PLY83518.1 pep primary_assembly:Lsat_Salinas_v7:8:188198924:188199429:1 gene:gene-LSAT_8X122241 transcript:rna-gnl|WGS:NBSK|LSAT_8X122241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINPTKLIRSAGVLKQKRITLPRAANPTTRGIDKGHFAVYTCDEIRFVLPLGYLKNDIFQELLKVAEDEYGLQTDGPIRLPFEATFIKYMIFLIERSVCNGIEKALLMSIITPEKCLLSSNIQLEPSESQVPVSSF >cds-PLY71309.1 pep primary_assembly:Lsat_Salinas_v7:8:103075689:103080541:-1 gene:gene-LSAT_8X71520 transcript:rna-gnl|WGS:NBSK|LSAT_8X71520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPRTPSFTPRKLDLFFTSVSASTVSSMSVIEMEVFSNAQLIIMTILMFIGGEVFTSMVGLLLRSCSRHSSIKEGGRVNDSMSDLGIPPNFHDQLELGTIANPEFESSKSDIFDFGHDHPEPMDDTDQLKFKSIRFLGVLVLGYLLLVQILGVVSVLVYLNVISSAKNVLQKKGLKTFTFSLFTIVSTFASCGFVPTNENMMIFRKNTGLLLILIPQVLLGNNLFPSALRFSVWAIGKFKKKAETSYLLNNTREIRFHHFLSHVHSSFLVGTALVFIFVQYILFSSLEWNADPMSGLNHYEKFIGVLFQTINTRHTGETIVDLSTIAAASLVLIVVMMYLPPYTSFLPLSGKQSSQRNSDQRKKKSRRVLFENIVFSQLAYLVIFVILVCITERKKIKEDPLNFNVLNVVVEVVSAYGNVGFTTGYNCDHRIKPDGICENKWYGFSAKWSDQGKLILIIVMFFGRLKKFNMNGGKSWKLL >cds-PLY89526.1 pep primary_assembly:Lsat_Salinas_v7:4:158600822:158605414:-1 gene:gene-LSAT_4X95441 transcript:rna-gnl|WGS:NBSK|LSAT_4X95441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRERSMGKQEKDSNNVETVVVAVKASREIPKSALVWALTHVVQPGHCITLLVVMPSGKRLWGLPRFTGDCASRHWRSYLGKSDIADSCSQMILQLHNMYDPNKINVKIKTVSGLPYGAVALEAKQIQATWVVLDKKLKHEQKRCMEELQCNIVTIKKSRPKIIRLNLIGSPKNPPQANHMTNQSSKNLQKDKNYSPQFSPEALSLTEPGTSISSSDPGTSPFFIPIKENHELAESSSDSESENLSSSFSRRFQPWMIDILTSTSQFSQTSKSEHSETEFRGNVRDAISLSRSTPSFPPPLCSICQHKAPIFGKPPRWFTYEELEVATGGFSVNNFLAEGGFGSVHKGLLPDGQAVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCMEDRRRLLVYEYVCNGSLDSHLYGRDRDALEWSARQKIALGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTEEETKVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELITGRKAVDLKRPKGQQCLAEWARPLLEQDAINDLIDPRLRNCYYSEHEVYCMMQAASLCIKRDPHLRPRMSQVLRMLEGDMMKGSGHVYDVGNRSGRIQIDQQRYNVGRMNDTLKGYGGKFLINPNRDCYWENDK >cds-PLY68043.1 pep primary_assembly:Lsat_Salinas_v7:5:292415808:292419508:1 gene:gene-LSAT_5X154281 transcript:rna-gnl|WGS:NBSK|LSAT_5X154281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRRTVTIKWDQLDDFIDEDDEFFESTNRLSAVVPLDMPLSEFDYDENDQDFDGSNVSLSNKLSSINSVKENQNFMVNSQYDMWMVAPGSITDRRRRLLEDMGLNNDKDFTRLTTNKFNKAYTKRLVSTKPVITSLDTKIADEKPNVVSNVKKSSPPLEESKHVEQKQHESLPSAVTQPQPPPQSQAPAKLHSQAHHSQPQPEPQPPQPRPAPQAHVQTQAQASSLPVLLIRSRSDGDIDTSSFNTKQRKEELIGSISKQRLTRTSSELVTPSVGLPCQYANVVRVFTPGRTKKSSVAKAKNKDNTNSATTNTQLDSFFLIKNLDTGKEFVVKETNEEGWNKVSDLQTGKQLTMDEFEKTVGHSPMVKELMRRTSRKKNGNVTGKLASTNSLISKSFRNSKKKGAAILKTIKSSVKGSKVEKEKDDNVASKTTTTTTNNTSSSSSKTSGEQKTSGQPKTSGEQQKTSGEQQKTSGELKTSREQQKTSGELQKTSGDQQQKTTVEHEKTGGGQPKPSGEQKTGGGQKKCTCVEQKASTDKNSNSEWVKARAHGKPVKEFSALHLCQEIQLAHDGSIWTMRFSSDGQYLATAGEDTVINIWEVQECDVMSMRLEDVDKGSITPDNRPPLPDSSPMPADKKKKSKNSKKKGVPDYAKVPETMFGLSDIPICTLEGHKDDVLDLSWSKSQLLLSSSMDKTVRLWDMATKKCLKQFTHSDYVTCIHFNPADDNYFISGSLDTKVRMWSIPSRKVVDWSDVHDMITAVCYYPDGQGAVVGLHNGDCKAYCTADCKLEQKDQIELHTKAKADPKKITGFQFSPSNPSEILVTSADSRIRILEGSHVVNKLIGYRNTSSQFLAQYSSDGKYIICASEDSQVFIWKHEKPKSSGGAKSKYVTTTSYEHFPCTEVTVVVPWSGSSKLQRLDNEPQSTRHSKRSAPDPQKSEDNVQAKKSSQLPPVPKNSTEKGSNSTVDNSEQPSRNESSIGVSDSGDTSSRLDGGNNNTAQSTAWGLVIVTAGVGGEIKVYQNVGLPVKVGTNLF >cds-PLY81602.1 pep primary_assembly:Lsat_Salinas_v7:2:41152796:41153225:1 gene:gene-LSAT_2X18441 transcript:rna-gnl|WGS:NBSK|LSAT_2X18441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSRVDPKLIAPQALCICPTRELAIQFNDVVEYGSVAEMGKHTSIISELAIPTDKANYIPISKRAHVTSQVVIGTPSTINKGITAKN >cds-PLY70690.1 pep primary_assembly:Lsat_Salinas_v7:3:104963231:104967728:-1 gene:gene-LSAT_3X76880 transcript:rna-gnl|WGS:NBSK|LSAT_3X76880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWHIHEYEVKSDVLFLPGVPGPVERQDWEKAAKTYGKTIHFFGRWIEAISFPRSPTVVLPQLRSVTYMELGCDPKGYSHAGNNTTVWYFVVLIGRQSTDSLKCVKVQEILSDGENVNQVDQHQAAMSTLKEKRLTFAWVDSEAQKDIIYLVWQIITRLGMQMGAWKMNININIMQALPLPLRQISMHMKRQELIVIDDLLSALVGIEGPYISINRVGGNDNSIIFNVDGSMDLALQLKHQFRIGRLSIHGLWFYCQIHICCLFTYLQPMMGSMQALSTVIKKASASNFVGSAVLNLLQSQAKIMAGNYLVRSLLEKMIESINSTYLGILESNFPMTYSILYMYICIYAGGCMKEYPLGAVLEATKNTLIQGSTKHSLDFRVVLIWIRKICFSSLNDVNKLTIKCDLF >cds-PLY83154.1 pep primary_assembly:Lsat_Salinas_v7:3:94369300:94370224:1 gene:gene-LSAT_3X70901 transcript:rna-gnl|WGS:NBSK|LSAT_3X70901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSCLPDDTHHIRSTSLPTRAHPIILQAQEEICKFRTWEASISSIPTADSICSALTRLQVLYECVDNVLSLPLTQKALTHNQYTKLVNELLDKSISLMDICGSTRDLVSKVKENARDVQSAMRRRKGEVSLTTSFIKNLKKEAKKAMASLKQIDEKIGDMKPLDLDHHLLSAIKVVRDVGVVRSSVYRSLLLFLSGSVPNLKSTKWSIIQKMIQKKPSAGKDPLEISNKDLECLFQEMENGLECMFRSLIKTRASLLNVLSR >cds-PLY87293.1 pep primary_assembly:Lsat_Salinas_v7:4:331033630:331035564:1 gene:gene-LSAT_4X164280 transcript:rna-gnl|WGS:NBSK|LSAT_4X164280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQSSSSHSLSPAGSTHNHQRSITRSFTSSSARSEILGFRYSKEEASFDFPDATTSFIDYTSEIPDDCLAVVFKFLGAGDRKRCSLVSRRWLLVEGQSRNRLAIDANSGLIPFIPSIFSRFDSVTKLSLRCDRRSVSIDDNGLIMISLRCLNLTRLKLRGCREITDVGMAALAENCKGLKKFSCGSCMFGAKGMNALLDKCSSLEELSVKRLRGINDGVTAEPIGPGAAAKSLKTVCLKELYNGQFFGPLISGAKNLKTLKLLRCLGDWDSLMEMIAVPENSLVEVHLERLQVSDIGLSALSNCSKLEILHIVKTPDCTNVGVISIASQCKYLRKLHIDGWKTNRIGNEALIAIAKNSANLQELVLIGVNPSSISLEAIATNCQKLERLALCGSETIADTEISCIASKCVALKKLCIKGCPVSDEGIEAFAWGCPNLVKIKVKKCRNVTYEVGDWLRARRGSLVVNLDVCAVEAEAMDASASDNGVQEDMEITHVAVAQPHPLATSNSVRGSIFKTRFGLFGARGIVTSTFRRFSNGNTNTSSNGCS >cds-PLY81249.1 pep primary_assembly:Lsat_Salinas_v7:4:307759903:307761500:1 gene:gene-LSAT_4X156301 transcript:rna-gnl|WGS:NBSK|LSAT_4X156301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRFYKQEHGDKDVPSDSSSSSESELDAEASVDTDEEEEENNDNMVMESRKKDHHSSSSSGYESEDGSADEATLDSSGLLTNDDVISCPLSSENNLDMNNTHNINKEAIKSDLLDCVLKSKSVFKCKLCPRIVCLTEETLKAHLQSKRHSRSVKLLKEGRLKMMLDSDGEIEGETHQERHAATLALAMVCNLLFVM >cds-PLY76105.1 pep primary_assembly:Lsat_Salinas_v7:9:30868539:30868811:-1 gene:gene-LSAT_9X28161 transcript:rna-gnl|WGS:NBSK|LSAT_9X28161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRLFTEREKQMINHLLPEEIKEFLGNPTRSVRSFFSDRWSEFHLGSNPTERSTIGRKQPTTPWGYPALGKRSRKRNKYSDNLILRRRSK >cds-PLY87931.1 pep primary_assembly:Lsat_Salinas_v7:4:33375193:33379768:-1 gene:gene-LSAT_4X21881 transcript:rna-gnl|WGS:NBSK|LSAT_4X21881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGGVLSATDQQNMVSLFLEIAVGQTADTARQFLQATSWKLEEAIQLFYIGNEGGAAASSSPYVPPVENDGLLSDQNIGGSENNNGSKNTREDDNNEVRAPLPVKRDVLYDTPMRFGATRLGYTPLEARTVVPFRNFEEELKHPGVWETDQSGGATSTADTSRDNLATLYRPPFALMFHGPFEKAKESAKNQDRWLLVNLQSTREFSSHMLNRDTWANEAVSQTIMSNFIFWQVCDDTEDGSKIKTYYKLDSVPVTLVIDPVTGQKMRLWRGMIQPENLLEDLLQFMDGSPKDHHFSLSHKRPRENSQAPPPKIQAMPVVANGTSEEDEEMRMARALSMETTKNSIEEPSKGSDAIKTGKETPESEKPTYPPLPEEPKGDRNLVCRVGIRMPDGRRLQRNFLRSDPIQLLWSFCHGNLEGSDEKPFRLTHAIPGAVKDLDYNTKSTFGESGIANSMISVTWE >cds-PLY73642.1 pep primary_assembly:Lsat_Salinas_v7:5:207779716:207780381:1 gene:gene-LSAT_5X96221 transcript:rna-gnl|WGS:NBSK|LSAT_5X96221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGLKINLVVIMALMLASVQFHDTAAQTTHVVGDALGWNIPPNGPSAYTTWASGQTFSVGDVLLFNFTTGFHNVAEVSQAAYAPCTTANPISIATTGPARVTLNAPGTHYYICTVGTHCQIGQKLTINVSAASATPAPSPXTPTPTPAPSTTTPPPTSSPAPSSEDASPVSPPTFGQSPSGSNAPSPTDTTILPPPSPSSAPSFTTVVPFTFLAIALAFFH >cds-PLY66532.1 pep primary_assembly:Lsat_Salinas_v7:4:341879670:341883209:-1 gene:gene-LSAT_4X168440 transcript:rna-gnl|WGS:NBSK|LSAT_4X168440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAPNANEAALRTVMVDLLNTVVFETKRTAKFRTLLKRIERTLKNIEPIFYGYERLRKVLYRPESETKMFIYYVANGKEVVLECSEIKCWNVYKKFLHANKLIGLDNMLLRFFQSELQDNLSSSRRSLFEIYALGDKLDQVLAAVTKQTDGFSESCSVPGLPDVIIGLDFHLQELKRMVLKDDTQVVTVSAPGGCGKTTLVKMLCHDNEIKGIFGDNIFYVTVSRTTSLKTLIQKLFAHFHVNHCELQTDEEAKNQLENFMRQMGSKNILLVLDDVWSESESLIEDLKFPISGYKILVTSRFLFSRFGSTYELTLLNDQDAKTLFCSSAFHNMNSINVPDDLVNKMVKYCKGFPLALTVIGASLCGQNVVKWRSTLKKWSEGQSIFHSSSRLLLSLQSSVDALEDLPILRDCFLDLGLFPEDEKITASALMDMWVESYNLDDEGMYTSEYLLELSSRNLLNLVLTRKDGSELEGYCNEHYVTQHDLLRDLAIHLSSQDPIAQRTRLLVEIYKNQIPTWWIQQKQEPMAARLLSIATDESFSSIWYDLNTPNVEVLVLNIRSRKYKLPPFIKKMNKLKVLIITSYGMCPCELHELQFVSSLSNLKRIRLEHLTLSHSIQSILELYELKKLSVIMCEIGSALASCTNVTLPNLLELEIDRCYDLTEIPSEFCSLDHLKKLSITNCHELHSLPKGLGSLSNLEILRIHSCTRLVRLPDSIRFLGNLVFLDISDCLSINMLPYELGKLNGLRVIKMSGCRGLEELPDSVIDLCLLEDVICDEETSYLWSYYEDDLCDLKINTVEDDRFANFMKIVAR >cds-PLY65645.1 pep primary_assembly:Lsat_Salinas_v7:7:27280778:27282053:-1 gene:gene-LSAT_7X21481 transcript:rna-gnl|WGS:NBSK|LSAT_7X21481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRATTRIPMSHRVLSPNHNYNTGDNPHDQPTMQLSGSIFGFLEEEATILSSPENDTYSDNIQHEIEDDNETENPEDIEDKIKFWDTQHKNLHSTLFRTTMFESKIRNIAKEVLLEVEMAENECSCSRPVPRGCRSCRMREICSRLQNSGYDSAICKSKWKSSLDIPSGEHTFVDVIDNSNHKKGEVRVIIELELRGQFEMKKGSEEYNGLVSKLPDIFVGKIDRLQTVIKLLSNAAKKCMKEKKMHLGPWRKQRYMQAKWLKVIERITPITLTLIKPLEVDHSYSTRSPYKAKSSMLTMDLLDNLSNMSYVYVHTVEVL >cds-PLY93516.1 pep primary_assembly:Lsat_Salinas_v7:5:325542466:325548180:-1 gene:gene-LSAT_5X179681 transcript:rna-gnl|WGS:NBSK|LSAT_5X179681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEQSQEPAPKQDQASSSLSSSVEESVKIGKEVASNGGAEVDFSRDDDVAFASGGVVSNGDASYSGSDGRSVHKLRKLQSELTNFILGSSEKFESSSSQFTLEKSKTERPRRSKMLVDEKLSVNQKLQLLNKIATVKDGTVEFEIPGDVELSALETESDKCYNAFNEDLPDSSEPRYLRPLQIVILIVGTRGDVQPFIAIGKRLQEYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAEYMVKNKGFLPSGPSEIPVQRSQMKDIIFSLLAACKEPDIDSGIPFRADAMMANPPAYGHTHVAEALKIPIHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSLIWLGIRDMINDVRKKKLKLRPVTYLSGSQGSETDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYKPPEELVRWLEAGPKPIYIGFGSLPVQEPEKMTQTIVKALEMTGQRGIINKGWGGLGILTEPKDFVYSLDNIPHDWLFLQCASVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHSRGVGPPPIPVDQFNLTKLVDAIKFMLDPKVKERAVELAKAMENEDGVEGAVKAFLKHLPQQIPADCKPSSTKPPTKTKPSKSKVPPPKHSASFSITGCFGCS >cds-PLY73420.1 pep primary_assembly:Lsat_Salinas_v7:4:179915470:179917389:1 gene:gene-LSAT_4X106460 transcript:rna-gnl|WGS:NBSK|LSAT_4X106460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWEHFGEIANVAQLTGLDAVKLIGMIVQAANTARLHKKNCKQFATHLKLIGNLLQQLKISELKRYPETREPLEQLEDALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLKIIPLITLVDNARVRERLEYIEMDQREYTLDDEDRKVQDVIMKPDPSHTDTVVLKKTLSCSYPNLPFKEVIKKENEKLHMELQRSQANLDVGQCEVIQHLIEVTQVVASNSQHETEPQYPNVNTNKQAQDESDKNTITNTKPHSTSRSTSYGHQEWHSDLLGCCSEPKMCMKTFFFPCGTFSKIASVATNRHMTSGEACNELMAYSLILSCCCYTSCIRRKLRMTLNITGGWCDDFLSHIMCCCCALVQELREVEMRGIHGPEKTKTSSPPSQWMES >cds-PLY63798.1 pep primary_assembly:Lsat_Salinas_v7:6:25505007:25505867:-1 gene:gene-LSAT_6X19620 transcript:rna-gnl|WGS:NBSK|LSAT_6X19620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNRRERRISVRRPTVPVDRRWSCSSSHHDSQLLFAGTPIPPWFLIHADQSSQSSTIFCPSSILSTRCVLIFSAQIPTSTSDLQARVEQMEDRLKEDILLEAARLIEFQELILRQK >cds-PLY72202.1 pep primary_assembly:Lsat_Salinas_v7:7:53494278:53495091:1 gene:gene-LSAT_7X38741 transcript:rna-gnl|WGS:NBSK|LSAT_7X38741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKVAKQDKKKQPRGRAHKRIQYNRRFVTAVVGFGKKRGPNSSEK >cds-PLY73366.1 pep primary_assembly:Lsat_Salinas_v7:7:63028697:63030929:-1 gene:gene-LSAT_7X46821 transcript:rna-gnl|WGS:NBSK|LSAT_7X46821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHYFSTFFKKKPTFYTIHRSLASQYHEPHHNVRLITTNSNNQSSSISSYSSSPSKVNVGWRCNYDRYKSVEPLTSSIVVVGLSLGLCYLTSVSQPNSQFVYADSSHQDQSAKFDDKPMYIFGDAYRRKVFFKYEKRIRTQSPPEKVFEYFASHQSPNGESFMTPADLMRAVVPVFTPSEASRVREGSLKGEQAPSELQCSPSKFFMLFDTNNDGLISFAEYIFFVTLLSIPESSFSIAFKMFDLDNNGEIDKEEFKKVMALMRSQHRQGSRHRDGLRTGLKVSTPVENGGLLEYFFGKNGKSCLAHGKFVQFLKDLHNEILSLEFAHYDYKSRGTISAKDFALSMVASADMNHINKFLDRVDQLNEEPNLKNIRITFEDFKKFAELRKQLRPLSLAIFSYGKVNGLLTKKDFQRATEQVKHTCFI >cds-PLY81160.1 pep primary_assembly:Lsat_Salinas_v7:9:69002074:69002814:-1 gene:gene-LSAT_9X55180 transcript:rna-gnl|WGS:NBSK|LSAT_9X55180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVGPNNDGVVRDETKYEQKTPRSRRQPKSHFLRRIFSFQQLNSLALVVVLSASGMVAIEDFGFVVLSFFYMYFMSKVVFPTLSPAHNQSVFDDNNSLLILYCFLGAVIGLFLPVGYIFEGILEGDKEGIKAATPHVFLLASQLFLEGVAFSDGFSLPMRALVSVVYNSTRIYTVMSWVRSEISKVDDEDFHGSRRRLLIGRVLAIANMMYWSFNLFGFLLPFYLPRAFERYYSKAKKIKDKDR >cds-PLY75963.1 pep primary_assembly:Lsat_Salinas_v7:5:247631862:247641876:1 gene:gene-LSAT_5X123461 transcript:rna-gnl|WGS:NBSK|LSAT_5X123461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCVPEVLYEFRSQCPLPESGKQKIQVETAFESVRVQGSRSSVKTEGSERRRWPSWKNLKRRLGWKRINIMGICGTSNWVLHTNSEEEPLMSSADQSPAALETINPPTGSIIGQVPAGGGTTMNLATALAADRRQLNVGPTDGLKTTKPLKSIVRLFEGMDGSKVVKVAENDADDNGSGARGIGSMCCLCTERNKGAALIPCGHTYCRVCSRAMWSKQGSCPLCNRSITEILEIF >cds-PLY73545.1 pep primary_assembly:Lsat_Salinas_v7:9:77186964:77191349:-1 gene:gene-LSAT_9X63360 transcript:rna-gnl|WGS:NBSK|LSAT_9X63360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERREDGVHLGTHRFRDRRPLGTAARIYDKDYKEPEAVPFFEAAELCCWSFYRAAIAEFVATFLFLYISLMTVIQVSKSQNKCSSVGVQGIAWAFGGMIFALVYCTSGISGGHINPSVTFGLFLGRKMSFPRALLYMVMQCAGAICGAGVVKGFEGEKVFELHGGGANVVSEGYGKIDGLGAEIVGTFILVYTVFSATDAKFSARDSRVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKEQAWNEHWIFWAGPMSGATLAAAYHQLIIRAFPFKGRPSLPHVGNIDGKHVNIDGTHVKQ >cds-PLY64701.1 pep primary_assembly:Lsat_Salinas_v7:7:135220000:135220458:1 gene:gene-LSAT_7X80740 transcript:rna-gnl|WGS:NBSK|LSAT_7X80740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSSRSNFNGGTRMRNKNVIRCDYGNVCGVSISRTPDNPGRKFWGCPNYQMEGGNYGFFKWADEELGQNMEMCHIEEIKPLLEVIIGLLVVISLMLAIVVIKM >cds-PLY74709.1 pep primary_assembly:Lsat_Salinas_v7:5:25893066:25893984:-1 gene:gene-LSAT_5X13440 transcript:rna-gnl|WGS:NBSK|LSAT_5X13440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFDQTVWDIKRGVNKKVLKVHSMEHKWDIMDRGTDACNLLLGKTIPLKLSYVGVVNRCQDDIKLNRGIHDTLASE >cds-PLY77939.1 pep primary_assembly:Lsat_Salinas_v7:1:24783789:24786211:1 gene:gene-LSAT_1X21140 transcript:rna-gnl|WGS:NBSK|LSAT_1X21140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFKNLGNQTAEVGNGRPPGGFHLARQGSIYSLTFDELQNTMGGSIGKDFGSLNMDELLKSIWNAEEVQTTGTNIGVQEPTGDGGANIQRQGSLTLPRTLSQKTVDEVWKDISKEFSGFGQPNLPQRQQTLGEMTLEEFLVKAGVVREEPPQLPSKQNDNGLFPNLTNPQNNSGFGAIGFQQAPARNNNQIAFQPANLPLNVNGVRSNPQQQLFPKQPKLSYGAPMAAIPPSKQMGRNGIVGLSDPAMNGNLVSNGMMGAMVAVGSPAVSSDGIGKTNGDTSSVSPVPYEFSGAMRGRKSGAVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVSKLKEQNQELKRKAEMMEMQQNQVMEMMNTQPEAKRRYIRRTQSGPW >cds-PLY70055.1 pep primary_assembly:Lsat_Salinas_v7:5:201028631:201029422:1 gene:gene-LSAT_5X91501 transcript:rna-gnl|WGS:NBSK|LSAT_5X91501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAYASKYPDKIHTDVLSDARQACYKARDAFYACLEKESNKKPTEIASVGLLYPVECKKTRQDYDNVCRRTWVKHFDRQYCAKKRVQRLLDDNESRRGPISLPQTSTFKSPN >cds-PLY81186.1 pep primary_assembly:Lsat_Salinas_v7:5:83216607:83219216:-1 gene:gene-LSAT_5X38921 transcript:rna-gnl|WGS:NBSK|LSAT_5X38921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGGLLLSTFNTTAPEWSLKWRLWGTTTSISRQRYNHNVKSLSSSSEAGVNGELRRALLEQVDKELNKGDDRAALSLVKDSQSKPGGLRCFGAAQQVPQRLYSLNELKLNGIETSALLSPKDNTLGTIERNLQIAALLGFISAWNLFDLGPEELLYFSLGIFFLWTLDSVGFAGGFSSLVLDTIGHNISQKYHNRVIHHEAGHFLIAYLLGILPKGYTLSSLEAFQREGSLNVQAGTVFVDFEFTEEISAGRVSAKMLNRFSCIALAGVATEYLLYGYAEGGLSDVNTLDKLLNSLGFTQKKADSQVRWAVLNTILILRRHERARTHLANAMSAGKSVGSCIDVIEKNIDEIDLMIS >cds-PLY98380.1 pep primary_assembly:Lsat_Salinas_v7:5:316887438:316888537:-1 gene:gene-LSAT_5X174501 transcript:rna-gnl|WGS:NBSK|LSAT_5X174501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSEMEGRNYIAPSPLKLRQNLKPLIDSTPTVRYVIGDHSAAVQHPQIQSLLIDNQHLLTLHEILTVEFSASKNDLRHLSAAAEKIKAERDAEVREAYDRAVTMEAEVRSIDESNAELAKVLGHLQKLRSEKKELDEKLYKLRGDVEKVSLEYHQIPLIKAEIESMQKEIQRGREVIEHEKRVHVSNIKQREAMEKCRMFMAREIERLQVEVNDAVKRARAAAAVEAASILGKFAILLLLASLHF >cds-PLY80720.1 pep primary_assembly:Lsat_Salinas_v7:3:84865369:84866103:1 gene:gene-LSAT_3X64020 transcript:rna-gnl|WGS:NBSK|LSAT_3X64020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGPATRPPNFSTTKPYITEEIDQILEKSKKMKMMELGIFATMDMCIGIGLQILTMALLNFLGGWMLLSQSKLVEVKLSW >cds-PLY83884.1 pep primary_assembly:Lsat_Salinas_v7:3:52317941:52319296:-1 gene:gene-LSAT_3X39620 transcript:rna-gnl|WGS:NBSK|LSAT_3X39620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEYFPRDIYLQILKWLPLNFILQCRTVCKSWNSLVLSNDFISVHHNLTKSIIENGNQTLLIRYFDRTRKLEQYIIGKDDETFGLNFSNIDFQYASTSAYFRVVGYCDGVFCLSDDLFDSMSKVILWNPSIRKSIRVMVPNNEQSLTQRTVLGFGVCPRTHDPKVVRIMYTHNFSMPHKLQDAPLIDVFSLSSGGWRQPLGGNHNSTLHKMIRLTWSQVCFNGVIHWVACDWKHDARLRCLIISFNLVHEVFDEMPLPDVLTHHDVSSLSISTRKGDLVMLEYDMERGKECCGVWAMKEYGVARSWEKLYVVHLPGMLRKTIGFRSNGDMVVALKNHELVTVECTGNIRSLDVYANIRSFFLGSYMESLILISQMDRQMYLVNGDDDTCEEYRDKKEGGHV >cds-PLY87694.1 pep primary_assembly:Lsat_Salinas_v7:6:46237379:46237959:1 gene:gene-LSAT_6X34220 transcript:rna-gnl|WGS:NBSK|LSAT_6X34220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITATQLHRLQGPKPTPLMINKKSSKIIKKNNTSHQHDQKHLLRGRGYKSPVIVYLKSPKIVHVLPHEFMTTVQRLTGKPVTSSSHQTL >cds-PLY68663.1 pep primary_assembly:Lsat_Salinas_v7:5:167174976:167175179:1 gene:gene-LSAT_5X74420 transcript:rna-gnl|WGS:NBSK|LSAT_5X74420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTDVDVLPFSRAVAADEPNAPRKARRRPIAATVHHRNILLAITAVDDNHHQATSVCFFNRSILSLP >cds-PLY98775.1 pep primary_assembly:Lsat_Salinas_v7:7:24506579:24508306:-1 gene:gene-LSAT_7X20501 transcript:rna-gnl|WGS:NBSK|LSAT_7X20501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETFEQGESVVFYVDELQGHERLKSSLAHIGTHALLKMLLVDNFIHADMHPGNILVRNKSSRKGIFKSKPHVVFLDVGMTAELSGSDRVNLLEFFKAVARRDGDTAAKSMLRLSKQQNCPNPQAFIKEVKESFDFWGTEEGDIVHPADCMHQLLEQVRRHRVNVDGNVCTVMVTTLVLEGWQRKLDPDYDVMHTLQTLLLKEDWAKSLTYTIEGLMAP >cds-PLY71283.1 pep primary_assembly:Lsat_Salinas_v7:MU043991.1:23390:23615:1 gene:gene-LSAT_0X38100 transcript:rna-gnl|WGS:NBSK|LSAT_0X38100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPIRSENRASEAGKRTAAQRLGLRQGPATLEGATNHPNHCSKPSLDFTQWIALILFQSTK >cds-PLY65413.1 pep primary_assembly:Lsat_Salinas_v7:1:60737808:60738855:1 gene:gene-LSAT_1X51580 transcript:rna-gnl|WGS:NBSK|LSAT_1X51580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQESKKSESGFYDFDQDIVFMSTEKSNKKRIQQSPNTSDSRAFPIEIIELKVSYVGEKISLVSLKCRKRRDTMVKICEVFKSLKLNVVTANITAVPETLFKTLFIQNL >cds-PLY78352.1 pep primary_assembly:Lsat_Salinas_v7:1:199442808:199447051:-1 gene:gene-LSAT_0X25881 transcript:rna-gnl|WGS:NBSK|LSAT_0X25881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDYVNNPANMHDFSLMNTKAFANLKGSGGNIWEVFEVLDDARRAIFRNTVFGYFIDVPRLQGDALLFHKMFLHQIRPDPVLSPDGIKRLYFRVGNTKMVYGPEEFCLITGFNFGEYPKNIGRKGSEKLISSKKRCLLRERLFPDHTNSSVKIGDLKSLILNQTFLALDDLDAVRVCLIYILCEGFLGKEVNDRVPQDWFYLAENLDLWNSFAWGSYLWDFTYVDLEDTWNKIHHYLSLPERGQTLKYSVSGFTAPIRIWIYEMIPAVRACGFALRKNKDLPRMKRWSGTKKLKWVDVNKIWSKMQEGLPPRQNMLPGDGEMTSFYYMSFQEYVYGEGKAVPSPVRDHFRRQDESSSSMSSSGRSHGRGRGSGKHKLDELLKRVHALEQHVFMNQQKPTEVFFEEVNNEQFWNDIIFEEPTVSQRNYDEQVVQDEVMNKNNTTQNVFGDTQDDKVLEESTQYAGNKFDDDVCDVNDYSEVKEEWEERNDNAGNKFDDDVPDEDELIIMGNVDYFHDDDDDKEVTPDKPRSRKPSQFLCTPYTELHTTPKQKRRTKKKVGMKSTSPVPPPVFGVAHDFSMLRLQPYVAGGEDVIQNYVLHSYDVQHRLFNFVLDRDFWSSLFGHTHDGWLESAHITIWYRLLMERRFESDRHTIMPPNFFVSHALEEGQDWRAFMAGIATYPNFMVAWWDVDTVLLPIHSSPNHWLFGELRLASMEVHIYDSLGRGAYEKFQSEGIFSKFERRVANYLDKIKYWARRNIPRIPLNMQFIYEENVPQQSSHLGDCGVFLCMFMEQLVSGQPIRVLIDPKNAALEFRLRMAKIIWGSSLAPL >cds-PLY68846.1 pep primary_assembly:Lsat_Salinas_v7:3:62574703:62576105:-1 gene:gene-LSAT_3X49081 transcript:rna-gnl|WGS:NBSK|LSAT_3X49081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVFHTPIVSISSTALTTLLAPTKPKSSNLASLPISGCVIPRSLSKIPSLFLLRATPLQKYVYPDPIPEFAVYETKKFREELKKKLYKERDTFGDDLDKVVDTCTELFSEFVHKEYGGPGTLVVEPFTDMLIGLKQRKLPGANLAARASLLWAQNYLDHDWEIWNSKLQ >cds-PLY82780.1 pep primary_assembly:Lsat_Salinas_v7:2:147836510:147837154:1 gene:gene-LSAT_2X73861 transcript:rna-gnl|WGS:NBSK|LSAT_2X73861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEESSIDNQSTTTETTSTVAAPVTTLPLIGSGGSMVLDTDEAGLEASFFNSHSKVEIDALLRKHAYNDELEQSKRRQSPPAKTWSTPGNNFLRRQSPLAISQSYVLSKGWSRFVKEKNLKDGDIVSFQISMGSDKQLYIGWKTKNRSGSSNIQEQATLQHVQEQ >cds-PLY79014.1 pep primary_assembly:Lsat_Salinas_v7:3:8316121:8319093:-1 gene:gene-LSAT_3X5760 transcript:rna-gnl|WGS:NBSK|LSAT_3X5760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYHTEDLPEKIVEESKGSENDVLNQTNHNRTSNTPSTKIRTCNLNILKSVESTLSSCLPSDDCLDIGNWRPSELCNTYKRNGISKLYPWQVDRLQVDGVLRKRNILYCASTSAGKSFVAEILMLRRVLSTRKVAILVLPYLSICIEKVGDQHRGYLFELMLTKLWYGAGDPTHDLQIVGISATLPNVNFVADWLQVCVFYLLLRALDAVGALCSDTSIGQGGTIAWKVLQYTLAYNNVLKLRK >cds-PLY88722.1 pep primary_assembly:Lsat_Salinas_v7:7:193881523:193884350:-1 gene:gene-LSAT_7X114240 transcript:rna-gnl|WGS:NBSK|LSAT_7X114240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIISQDVIKEFENMMESIDEPLKITFENLHQGYPTEALIRFLKARDGNVPKAHKMLVDCLSWRIQNEIDNILSKPIVPADFYRGVRDSQLLGMSGYTKEGRPVFAVGVGLSTYDNASIHYYVQSHIQINEYRDRILLPAATKKFDRHISTCVKVLDMTGLKISQLSQLKLLTVISSIDDLNYPEKSDAYYIVNAPYIFSACWKVVRPLLQERTRKKVQVLSGCGKDELLKIMDYDSLPHFVRREGGGSSSSRGSKNSNGTEDNCFSLDHEFHQELYNYINKQAEDVEPPRVTKHRSFHVDFPEPNPDDCRIAQSIESELQKFSQGNGIADPTLNQDHKQKK >cds-PLY95290.1 pep primary_assembly:Lsat_Salinas_v7:1:190893753:190895652:-1 gene:gene-LSAT_1X125401 transcript:rna-gnl|WGS:NBSK|LSAT_1X125401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGQNTSQYTLPLVCFLIILMDVIAGILGIQAEVAQNQVQSLRVWIVECRDPSNKAFKLGFAAAVLLAFAHAIANILGGCHCVRSREELDNVSYNKRLAFGSLVFLWYPSSLSKVYADIFTETNNSFNLDRLYESLDSNMDNMFDSFSLDRLYESLDFNMDNMFDSFSLDKLYESLDSNMDNIFDSFSLDRLITLVAGFIMLTAGVMANSSSRKSCGISHHRYLSIGGIACIIHAMFAVCYYISATTVEREEKKLNPPSVMHPPPQQTPV >cds-PLY96616.1 pep primary_assembly:Lsat_Salinas_v7:7:47066496:47068629:-1 gene:gene-LSAT_7X36341 transcript:rna-gnl|WGS:NBSK|LSAT_7X36341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELGLGLSLGNKSCKKFHGITTKIPAAAPTFPSAADSSSVGFCMALTINAIYGTNKKRANDDSNKKDDQTTFEDLEGLKESPRIKAIIDGREKVLQLNPPLQLDLLPVPDHTLVNRHAPSLPWSSDNGNSHGGSSENLLAATGFDVKSTPPGTMGTEEATSSLRLDFYSSSRTANVLRNKSFELINDVVEMQRGASRASDEDHNGIYRKKLRLTKQQSAYLEESFKDHSILNHRQKLELARQLNLNPRQVEVWFQNRRARTKLKQTEMDYEYLKNCCETLTGENRRLHKEIQELRALKTTSNPFNLQLQPTTLTMCRSCELVAATTYTITPAPPSTKFLNTPLSDNSSH >cds-PLY92850.1 pep primary_assembly:Lsat_Salinas_v7:5:329080089:329080259:1 gene:gene-LSAT_5X181781 transcript:rna-gnl|WGS:NBSK|LSAT_5X181781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILKISKDIRDIQLTSANVIDLTVHGIQVSINTLSELTQLVLEVLPYEIQFQLGLQ >cds-PLY96691.1 pep primary_assembly:Lsat_Salinas_v7:8:136118827:136122721:-1 gene:gene-LSAT_8X94501 transcript:rna-gnl|WGS:NBSK|LSAT_8X94501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSMLHGAENIANIRGRVYPNGLEFNRSDLHGRKSFLKINLASGNSNLRGISKRLVVPKCSVSVPRPASQPRFIQHKKEAFWFYRFLSIAYDNVFNPGHYTEDMRDEALEPADLNSRDMIVVDVGGGTGFTTLGIVKHVDAKNVTVLDQSPHQLAKAKQKEPLKECKIIEGDAEDLPFETDYADRYISAGSIEYWPDPQRGIKEAYRVLKIGGKACIIGPVYPTYWLSRFFADMFMLFPKEEEYIEWFEKAGFKDVKITRIGPKWYRGVRRHGLIIGCSVTGVKPVSGNSPLQLGPKVEELRKPVNPLVFLARFLVGVMAGGYYAMIPIYMWLKDQIVPKGQPI >cds-PLY90310.1 pep primary_assembly:Lsat_Salinas_v7:2:197556303:197556851:1 gene:gene-LSAT_2X118360 transcript:rna-gnl|WGS:NBSK|LSAT_2X118360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLVKHWVQTLVDLVNSVEANLFHVILGEFWIPNLKTINTGYNYTEVKLSAVASTKLLCESALLLDPAAEELWGKLLDAILTLLLPLPLPQEERERTEDDDDFDIDFGGFVPLKNTGGKKDEDWDPLKEIEDPKHFFVAFPANLSSQFSGRFPMVITKHLSPPNQAALVKLCNSYNIAIV >cds-PLY68384.1 pep primary_assembly:Lsat_Salinas_v7:8:22724915:22728576:-1 gene:gene-LSAT_8X17120 transcript:rna-gnl|WGS:NBSK|LSAT_8X17120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKISSNYLFISDLRPNITDKLQEHVTVTRMWTTYNPSTDRVLSLYLLLGDENVSLSHELFLTLQSTNLVVCDNHQVDVSDKFISKDGSGNMNDDVILAPMYEVAYDSNGNDGVKKSVYEEKDVEDSDRCDNFGDDTIEDDISKTLISICGLEDGGESEDACVVIEVDSSEKTYIGSSVTPMKRRLPRLCEESSEDDTVS >cds-PLY79595.1 pep primary_assembly:Lsat_Salinas_v7:2:166498853:166500965:-1 gene:gene-LSAT_2X87640 transcript:rna-gnl|WGS:NBSK|LSAT_2X87640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEVDLHRSDKKKKKSKSKDTETTNEISDGATAVADYLIKPQSFTPAIDTSEWPILLKNYDRLNVRTGHYTPLPSGYSPLKRPLAEYIRYGVINLDKPANPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARLHSDVPDVAKVARALETLTGAVFQRPPLISAVKRQLRIRTIYESKLLEYDAEKHLVVFWISCEAGTYVRTLCVHLGLILGVGGHMQELRRVRSGIMGEKDNMITMHDVMDAQWSYDNYRDETYLRRVIMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIENGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPTASMKKKLISEGKLSKHGKPNEKTPSEWVRNVVLPTGGDSVVASLAAAPAPEQTVVEGAEGVKVDSEKKKKKKKNKDSEEDGDEGRKRKLEDAEVTETPVKKPKVEEEEEVIKKVEGESTEKKKKKKKKEGVLADEVEGKQENGDDDNKSEKKKKKKKDKEAENGDDEGEEGKSDKKKEKKKKKKKDADEE >cds-PLY92649.1 pep primary_assembly:Lsat_Salinas_v7:2:162054666:162055373:1 gene:gene-LSAT_2X85900 transcript:rna-gnl|WGS:NBSK|LSAT_2X85900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSFNSLLASRALRVTNCAHVNEILTDAALLKRQKKEIEELRAKLQISVLEMEKEKASCFQNLDSFVDLETEKDKDAINKYEKVVGIRPKVGC >cds-PLY94234.1 pep primary_assembly:Lsat_Salinas_v7:8:229796440:229799835:-1 gene:gene-LSAT_8X140040 transcript:rna-gnl|WGS:NBSK|LSAT_8X140040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLCAGGELFDRIIAKGHYSERSAAGVSRQMAQFSQLRPAAMANSMGPRMPMYPPSGPGTGQLLFYGQPPTAMLPHQAGFSYQQRLVPRMRPGGAPMSNFFLPVAAQQGQQGQRLGGIGRCGAGAGSIE >cds-PLY79429.1 pep primary_assembly:Lsat_Salinas_v7:3:79493776:79496083:-1 gene:gene-LSAT_3X57620 transcript:rna-gnl|WGS:NBSK|LSAT_3X57620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSLSLSLSLLQFLDRGALLDHTASSLAPVVDAVAALSCEALKADTSTAFSFTDSGDGCSDKDVTYVSSVMKVLLNGSKLCGNLQCDQVDNIPIVHGRLRSLYKSVHSSTRIAVNSSTPFANGNGSVSEDLTGLFSSLARALKNLGKSSWHRAHICLKGFENHNLYPTLVDSFNAGCPGLDRLNNSIKDATKFELEDKYVESLHEIYILSKAKPDKKKKVMGKGTTLLMQFIKDNLLFVYVANNVNDNSCSTLPEKVAQCFLSHFESLLPKIKQVVESNESNESNESNESNESRRLPKLAKGTRDFAKEQMVVREKAIAIVGNGGEICSLRYDLTVPFARYVAMNGLTSLEADFEVVRILVELLDELNIGDYEIYQVIIIGYILFSWQYYRLYLSMGGSRKIRGVQNLETVRPHQLLEQMVCTAFRAAADTLNQTRFGGLKNMTIKIDQLYFTIASALKPLQVGINGVTNRGRLESGVARTVGK >cds-PLY81895.1 pep primary_assembly:Lsat_Salinas_v7:8:122907187:122910073:-1 gene:gene-LSAT_8X85240 transcript:rna-gnl|WGS:NBSK|LSAT_8X85240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDADMEDYGFEYSEEEPEEQDVDIENQYYNSKGLVETDPEGALTGFAEVVQMEPEKAEWGFKALKQTVKLYYRLGRYKEMTDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFGLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMNKILKELHKSCQRADGTDDQKKGTQLLEVYAIEIQMYTETKNNKKLKQLYQKALTIKSAIPHPRIMGIIHECGGKMHMAERQWAEAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMQSEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEILEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISKELNVPEADVEQLLVSLILDNKVQGHIDQVNRLLERGDRSKGMKKYTAVEKWNTQLRSLYQSVGNRVG >cds-PLY81909.1 pep primary_assembly:Lsat_Salinas_v7:8:122556373:122559641:-1 gene:gene-LSAT_8X83880 transcript:rna-gnl|WGS:NBSK|LSAT_8X83880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEEEPLPSTIAHSQSTPRNADNCSCCCRIAHLFRFRCVFILILGLSVLLSAVFWLPPFFRHGDKGDLDLDSHYKGHDIVATFMVDKPLSLLEDDFPQLGAESSGSNTTKIIFGVDPDEHNSKIPSYAKSVVRDSFVSIVLGQSPLRLTESLFGQPSSFEVLKFVGGITVTPDQSAYPLQKVQIRFNFTLNFSIEQILDHFDELTIQLRSGLHLAQYENLHVSLTNPQGSTVAPYTTVQSSVVLAIGTPSMARSKQLAQTIKGSPTQNLGLNNTQFGKVKQISLSSISLNSSSSSGAAVVPVPSPSPLPNLPPQPHHHHHHHHHHHHDKP >cds-PLY78664.1 pep primary_assembly:Lsat_Salinas_v7:9:47191953:47193663:-1 gene:gene-LSAT_9X44660 transcript:rna-gnl|WGS:NBSK|LSAT_9X44660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRESGKRNGEITKSIFDPYQMHLNNTHNWKHLQTFLVKADDCSNLSKRFKLDVSYHHLCGYPAVNASYYDLTFHPNSSNKDCILYKNRKPIKCYNYDSSK >cds-PLY62479.1 pep primary_assembly:Lsat_Salinas_v7:1:82765590:82765976:-1 gene:gene-LSAT_1X69361 transcript:rna-gnl|WGS:NBSK|LSAT_1X69361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGGDASKHMLEIVQERSCASLESNAHGDSFLTPFYGRITTGKSPSDKAVLVDNVSGARTTTNIPHELITVQGRKQMTSPPMSATPVSRRALMSPKGGLTSQVLLYKVDSHTLNSKVLKANTFTTPLS >cds-PLY76848.1 pep primary_assembly:Lsat_Salinas_v7:3:4616873:4617070:1 gene:gene-LSAT_3X2240 transcript:rna-gnl|WGS:NBSK|LSAT_3X2240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVLRPAMLGICNGDSEVGDGTATLLCTPPLMSTINVPPPILVKTYDMVDDPATDKFLPLRYE >cds-PLY85073.1 pep primary_assembly:Lsat_Salinas_v7:7:6462008:6463550:-1 gene:gene-LSAT_7X5801 transcript:rna-gnl|WGS:NBSK|LSAT_7X5801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGANSSLLSPDSSDGSNHPSKLKLGDIPESCVALVLSYLDPPEICKLARLNRAFRAASSADFIWDAKLPSNYHHLVDDYLIKNPTKLGKNETYARLSRPVPFDSGNKEFWLDKRTGGLCLSISSKALAITGIDDRRYWNYIPTDESRFHTIAYLQQIWWLEVEGDMEFQFPAGTYSLLFKLRLGRFTKKPGRRVATHGGHESVHGWDIKPVQFQLTTPDGQQAISKRFLENTGNWEYHHVGDFVVDDPNTPTKIKFSLTQIDCTHTKGGLSIDSVLICPSDLVKSFRAIL >cds-PLY75349.1 pep primary_assembly:Lsat_Salinas_v7:4:204848087:204850806:1 gene:gene-LSAT_4X116000 transcript:rna-gnl|WGS:NBSK|LSAT_4X116000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGEMDSPAATPRTKKSLWPSVLRWIPSSTDHIIAAEKRLLSIVKTSYTQENVNIGTGPPGSKIRWFRSSSNEPRFINTITFDSKEDLPTLVMVHGYAAAQGFFFRNFDALTKHFRVIAMDQLGWGGSSRPDFTCKSTQETEDWFIDSLEEWRKAKKLDKFILLGHSFGGYVVSKYALKHPEHVQHLVLVGPAGFTSEVDHKSEWLTKFRATWKGAVMSHLWESNFTPMKVLRGLGPWGPDLVRRYTSARFGDYASGDVLTDKESILFTDYIYHTLAAKGSGELCLKYIFSFGAYARSPLLERAVEWKVPTTFIYGFEDWMNYQGAIDARKNMSVPCDIIRVPKAGHFVFLDNANGFHSAVLHACRRFLTSDPNAYPLAEGVISI >cds-PLY75219.1 pep primary_assembly:Lsat_Salinas_v7:2:204232800:204234959:-1 gene:gene-LSAT_2X125961 transcript:rna-gnl|WGS:NBSK|LSAT_2X125961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKGSRKGKKAWRANISTEDIEDFYEKSSKDALSGGSLTEVPSDSLFFVDKSRDLSVKRKIDKHREKILRVDSILQKNSFVQPVPSSNQKNSFKKRKMIQRSDDSSQKDGTTDTGIVDIWDDKGERTFKARKVSNKIIVIPAVEVEPPGCSYNPSSESHQDSLAHAVADEMQKIYTKELRPTPVPLTVPGEAIDEEDMYFLDADKESDGDDDDDDDEQNVDEDLEKRPFKTKRVTRVVLNKRIRNKEKLKKQAEAKKTKEFTKELDSLPDIIDEIAKEDEEKSKRHERRAIAKKERLKSCPPRLGRHKFEPAPVQVLLSEEITGSLRKLKACCTLARDRYKSLEKRGIIVPTAKSGRYCYLNQIFF >cds-PLY74522.1 pep primary_assembly:Lsat_Salinas_v7:7:36025452:36027513:-1 gene:gene-LSAT_7X26461 transcript:rna-gnl|WGS:NBSK|LSAT_7X26461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSQPLPGSHESVQELAKELTLIIPHQYVQEHLEPTFIPNNCSSTFPSIPVIDMKDLIRVGSNMDLKLEHLRSVCHEWGIFQLVNHGVDKSLVEKMKNQLVEFFNTPMEEKLRYKLKGGEYEGYGQTILHAQDQKMDWADRFYMITNPLHRRKSNLLPQFPPLLRDTLEEYMLQLQKLGMTLLSLIGQAVDIEKGEMLEVFEDGMQSVRMTYYPPCPQPDFVVGLTPHSDAAGITILLQVNNVEGLQVKKDGLWIPVNFLPDAFVVNVGDILEILSNGIYNSIEHRAVVNAIKERMSLAMFFNPKLEADVGPSKSLIRNTGNPPLYKTLVMEQYLKDFFARKLNGKTFLEKMKIKVGDRT >cds-PLY81867.1 pep primary_assembly:Lsat_Salinas_v7:8:122850337:122852522:-1 gene:gene-LSAT_8X85320 transcript:rna-gnl|WGS:NBSK|LSAT_8X85320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:Projected from Arabidopsis thaliana (AT5G17710) UniProtKB/TrEMBL;Acc:A0A178U8H4] MAAAATTTTTFQRTPTLHLPLTPNRFTSYSKFSSASFQRSRQSWPTQLPLMSSNSSISPSYKLSRLPVGFFFCSASSHDETLVTEDEKGVATEEFQSQPEDTEDATVEVDSSESDGSEVEESPASILASLQSYKEALADNNESKIAEIESHLKSIEDEKLVLERQVATLSDELSTAKDRVLRISADFDNFRKRTERERVTLVANAQGEVVESLLAVLDNFERAKAQIKVEGEKEEKINNSYQSIYKQFVEILGSLGVVSVETTGQPFDPLLHEAIMREESTEYEEGVVIQEFRKGFRIGERLLRPSMVKVSAGPGPGNTESVGPSEPEGQAPEEEEEVQSESSAAQEE >cds-PLY90503.1 pep primary_assembly:Lsat_Salinas_v7:2:43051307:43053142:-1 gene:gene-LSAT_2X20401 transcript:rna-gnl|WGS:NBSK|LSAT_2X20401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISNSLSFHLHHRPYHHDHRHTLSKQNLNSLPFSLPLPLSNVFNSIPTIKSPLSLHRKPLLFRPMSSTLPDSIPDFQPDPTLINDDLAPTPASQRTYSGWEMASLWVGLVVGVPTYYLAGSLVDLGMSWWQGILTVVTANIITVVALGLTGHPGTKYGIPFPVLARSAFGIHGAHIPTLLRGLVACGWYGIETWIGGEAIFLLLPKSIKNSSLSNSIPWLGTSPIEFACFLVFWVAQLVVVIKGMDGIRELEKYSAPILIILTSALLIWAYVNAGGFGQVLSMSSRLSSSEFWSLFFPSLTANVSFWATLALNIPDFTRYAKSQKDQIIGQAGLPILMGAFTFVGLAVTASTQVIFGRVISNPIELLGKIGGISTMILSIIGITLATITTNIAANVVAPANALVNLSPSLFTFRRGALLTALLGIAFQPWRLLQSSESFVYTWLVGYSALMGPITGIMLADYYLIKSRNLQINDLYTLNPVGNYFYYNGYNLGAIAALVIGILPVIPGFLEKIGVLNSIPELLVMIYNNAWFFGFFSSGVVYWAFSGLKATKQMSDPFLPSTN >cds-PLY75065.1 pep primary_assembly:Lsat_Salinas_v7:9:21895659:21896959:-1 gene:gene-LSAT_9X19160 transcript:rna-gnl|WGS:NBSK|LSAT_9X19160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKLQKRGKKAPKDVAIIFGVTGLVGKQLLEKLLSKSKWKVYGVARRQEMTTIKKPNTNTNPNYHFISCNLLDPVETQSKLSHLHDVTHVFWVTWASQFPLDSVECYDQNKAMMSNALDSILPGANLKHFSLQTGTKHYVSLQGSSLDHLVKRVSYYDENCPRVEGCNFYYGLEDLLKERLMGVVPWSVHRPGLIIGSSRKTLYNFMGSLCVYGTICKYLNLPFVFGGRKDCFEEQFVDVSDARLVAKQQIWASTNDLVQSNNGQAFNSINGDGSTWKDIWGAIGEKFGAVVPWEMLEEDFTFAGCMSDKGAVWKEIVKKEGLVETEMEDLANWYFLDALFRCPVKMLGTREKADRLGFTARYYALDSMSHWIDVMRNEKLIP >cds-PLY75895.1 pep primary_assembly:Lsat_Salinas_v7:9:199835974:199839555:-1 gene:gene-LSAT_9X123441 transcript:rna-gnl|WGS:NBSK|LSAT_9X123441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAKIGLILLFLYRIQFSSSAEQPFDVRQHLATVTRYGVSKDMKENPFVSSNIPDQCSPIHLNLVARHGTRAPTKKRMKDLENFSAQLKSLLKDAKERGASLEKLPSWLWEWRSPWKGKTKGGELISQGEDEMYNLGIRIRERFQELFSDEYHHDVYQIRASQIPRASASAVSFGMGMFSGRGELGVGKHRAFAVLSESRASDIMLRFHDCCKNYKAYRKQQEPAVDKLKEPVLEEITHSLAARYGLNFTIKDTTTLWFLCKQEASLLDITDQACGLFTNDEVSLLEWTDDLEMFIVKGYGNALNYRMGVPLLQDVILSMEQAIKAKEEGYAPGSYEKATLRFAHAETLVPFSCLIGLFLEGSEFEQIQREEPLKYPPKPPERRTWRGSRVAPFAGNNVLVLYSCSRNNTNKYFMQVLHNEQPIPMAGCGGTDFCPFEVFKEKIAAPHLKHNYDELCNAKVQEPECKSYTTKITEMLGSIFSGKTNNDETSSQKEEL >cds-PLY94140.1 pep primary_assembly:Lsat_Salinas_v7:5:32838386:32840385:-1 gene:gene-LSAT_5X15280 transcript:rna-gnl|WGS:NBSK|LSAT_5X15280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFHFKSLVFELSKLNHTLSRTKSFHALLIKSCLFHDPFYATKIIRFYAINNDLTSACNLFDETPNRTVYLWNSIIRAYAQTHSFLDAFSLFKQMLASETKPDNFTFACILRACSEKLDLEALKLVHGQVIVSDLGSDSITASALVSAYSKLGLVDDARMVFDGLNRHDLVLHNTMITGYGSCGYWEKALNLFIIMRRIGYQPDGYTVVGLLSGLTCSSLLEIGQGIHGYCLRSGFDSNTHISSVLVSMYTRCGCMNSAYKVFSGLSHPDLVTWSALISGFSQSGEYHNALIYFRKLNSCGKKPDSVLISILLAVTSQLVTLAPGVELHGYAIRHNLHSEVMVSSALIDMYSKCGFLDLALKVFDEMPKRNIVSYNSVIASFGLYGLASEAFEVFHEVLERGLKPDESTFTALLSACSHGGLLKEGRDVFRRMRDDFSIEANTEHYVHFVKLVGMAGELDEAYEVVNSLGEHVDSGIWGALLSCCDAHNDLKMAEIVSQRLLECKQERISYKVMVSNMLAGNGRWDDVKKLRDELDGVGNRKVCGVSWIEV >cds-PLY80328.1 pep primary_assembly:Lsat_Salinas_v7:7:157285021:157289110:-1 gene:gene-LSAT_7X92541 transcript:rna-gnl|WGS:NBSK|LSAT_7X92541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSSGLSFDSDPIRLLFPHKLTAINSFPDHRSTTWRPMEAATATGNVNTSSSSPPPPPPTTIQFPLNLNCNLHDQHHHHHHPHKQINEMDFFSDKTHDVEEEEDRSRLQPVSSSDPPPPVTELDFNINTGLHLVTGNTSSDQSVVDDGISPNSEDKRAKNELAVVQAELERMNTENQRLREVLDQVTMNYNTLQTHLVTMMQQKQSHEEQSNEDHKIASNEGGGSEAATMVPRPFMDLGLAAPTAAETEEISQSSSDEHSRSPPLHTNSNIDQREESPDQQVIRAGNSNKVPRLSSSKNNNTTNIDQATEATIRKARVSVRARSEAPMIADGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTSSAARMLLSGSMPSSDGLMNSNFLARTFLSCSSSMATISASAPFPTVSLDLTQTPNPLQLRSPGQFQVPFSNPQQPNFTGANAALLPQIFGQALYNQSKFSGLQMSQDMDQAAGASSQLGLPPLHHQGASPAALADTVTALTADPNFSAALAAAISSVFGGGAQPNNGGNTNNGNVTTTNSNNNGSATTTGSDPLFASLGLGDDGGAVPSVPPPPPTENTAAKKGCGCPPKVKKGVGRPRRIGIGSVTVPLSGNVLAPRRRLKRARRLNVGGVNGGVVESKRSGQPYVSRFGRLTGNPLNQPSNAGRGTAVIVTDPRQLVVYQELKTKYDLKTKAFHS >cds-PLY78185.1 pep primary_assembly:Lsat_Salinas_v7:8:177566310:177571016:1 gene:gene-LSAT_8X115380 transcript:rna-gnl|WGS:NBSK|LSAT_8X115380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIQHSLIFNICFLLLLLPKSIQAESDSNRKVYVVYMGHNARDDASTSSFHLRMLQEVVGRDAKKHMLQRYSKSFHGFSARLTEEEVKKLSGMEGVVSVFPSKSNKLATASSWDFLGFPLTVNRSTTESDIIIGVFDTGIWPESASFTDLGYGPPPAKWKGICEANFTCNNKIIGARYFKADGIYDPKELKSPRDSDGHGTHTASTAAGNVVRNANLLGLQSGIARGGVPRARIAVYKVCWIEGCRSEDVLSAFEAAIADGVDIITVSAGLTSAEELFNDVYAIGSFHAMQKGILTVQSAMNEGPMPQTTGSIAPWILSVGASTKNPDLITPVRLGNGIVVNGVSINPFTLDRMYPLIYAGDVPNITAGFNGSISRFCVPNSLDKNLVKGKIILCDAISTGEPEMLAGAVGSIMTYPGPYFELVRSYTLPVSAVNSEQAIRIARYIQSTRNATAIIMKSEDDNNATRPYVASFSSRGPNPTITSILKPDLTAPGVRILAAWPPVAPITEVEGDRRAVPFNMISGTSMACPHVSGIAAYIKTFNPTWSPAAIKSALMTTASTMSARINTDAEFAYGAGNLNPIKAMKPGLIYDADEVDYVSLLCQEGYSSQHIRSLTGDNSSSCSQIMEQTKDLNYPSFVIPTLRKKVIDSSFNRTVTNVGSATSTYRAFITQPLVSGLRIQVEPNVLRFQHIGQKLSFKVSVQATIQNLDNPIVSGALTWDDGLHQVRSPIVVHVP >cds-PLY85644.1 pep primary_assembly:Lsat_Salinas_v7:2:4264041:4265811:-1 gene:gene-LSAT_2X2320 transcript:rna-gnl|WGS:NBSK|LSAT_2X2320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWILHSNHYSKEVKCSVVVVVIGVGVCTVTDVKINVEGFLCACVAVLATSLQQISIGSLQKKYSIGAFELLMAGMVIYSWAVDAENSSSKITTHTKHTLTEEDLNLLKEGLEDIPIKDYELGQSK >cds-PLY70448.1 pep primary_assembly:Lsat_Salinas_v7:1:71443703:71445016:-1 gene:gene-LSAT_1X62101 transcript:rna-gnl|WGS:NBSK|LSAT_1X62101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSHTKKNQNHWAGCRDPLTKAQYITPNSDFARSYFNTSIRHRSESFLSSVVTRMVAEAPFRPREQLLEKQRLFQSIHKHTHLKSPMDKLTSVAIPVALAGSCLFLIGRGIYNMSHGIGKNE >cds-PLY66241.1 pep primary_assembly:Lsat_Salinas_v7:5:142801557:142806341:-1 gene:gene-LSAT_5X62301 transcript:rna-gnl|WGS:NBSK|LSAT_5X62301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIITTAQVFLLLVVSLEVNESRFLVKTLPGESDEVQLFYYFVESEGNPKDDPLMLWLTGGPGCSGLSGLLYEIGPFTINYANSTLEKTMLEINPHSWTKAANIIFLDQPAGTGFSYAKTPETYITNDTSSTMHTYQFLRKWLVDHPTFLKNPLYIGADSYTGLVTPMIVQKIYNGNEVGEWPQINIKGYVLGNPLTDTSGEYNSRIPFAHRMALLSDSIYKLTEDNCQGEHLNVDPNNTLCIHYLQVVDKCLERIRLSHVLEPFCESSNAIKSHLFRRDLRSLDKTSVDIMSLPQLQKQWCRDDNYVYSSIWANSKDVREALNIREELNEIEWVRCNETLHFHFDKEPVSYFHNVPSVVSYHRNLTDKNCRALVYSGDHDMVVPYMATMNWIESLNLLAVNDWRPWFVDEQVAGYTVKYSNHEYSLTYATVKGGGHTAPEYKPKECLSMLMRWLAKDAL >cds-PLY79953.1 pep primary_assembly:Lsat_Salinas_v7:4:249957512:249959539:1 gene:gene-LSAT_4X132541 transcript:rna-gnl|WGS:NBSK|LSAT_4X132541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFIRNSHLSWSVLVVLCMALLVSFCEARVHRGKGSGFVRTKGPNFVLNGSPFLFNGFNAYWMMNVATDPSERMKVTQVLQDAANAGLSVCRTWAFADGGDKALQISPGAYDERVFQGLDFVVAEARKYGLRLILSFVNNYKDFGGRQQYVNWARSSGVQINSDDDFYTNPIVKGYYKNHVQRVITRVNTITRIAYRDDTTIMAWELINEPRCQADSSGRTVNVWVQEMASFVKSLDRHHLLEVGMEGFYGDTMPERKQINPGYQVGTDFISNNLVRGIDFATIHAYPDQWLSGQNEESQMAFMQRWMWSHYQDSKTILKKPLVIAEFGKSSKDPEYNINKRDSYLNAVYRNIYMMARTGGTVGGGLVWQLMVDGMASYCDGYEITLSENLSTSNIISQQSQAMSTLSQLLRIASENVPSGKNHKLQLDHIKGRRSSKTNHHHHHHAHNQKAMP >cds-PLY93943.1 pep primary_assembly:Lsat_Salinas_v7:1:158653156:158653455:1 gene:gene-LSAT_1X109740 transcript:rna-gnl|WGS:NBSK|LSAT_1X109740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHSKVEHMINTILHQKKQMTKSIRTLKRDIRSAKAIQENLAKTLREAPHFLNYAISPQILDTEDAFNTKENNIVVALEEEINETITTLKKVLDNLPVK >cds-PLY92987.1 pep primary_assembly:Lsat_Salinas_v7:1:147179794:147183015:-1 gene:gene-LSAT_1X102980 transcript:rna-gnl|WGS:NBSK|LSAT_1X102980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKFIASAIVVLLIRSEFLFSYANDAGKKCTRSELEALLNFREGLVDPEHRLLSWKGNYCCQWHGIGCDNSTGAVNKIDLHNPYPLSYGHDQPGRYGFWNLSGEIRPSLLKLKSLTYFDLSYNTFTENKVPEFIGFLRNLRYLNLSTAGFSGRIPQSIGNLSRLQYLDVSSNFGSLNVQTLEWLTKIRYLKHLKMTNVDLSHVGPNWWEMLNNLTSLTMLHLSSCGLSTLSRVDLPALSDLDLSMNSFDSKFPDWVLNLTRLSSIDLSNCGFYGRVPLSLGELQNLRYLSLAINNKLTASCTQLFKGSWPKIEVLDFASNKLHGKIPAAIGNMTSLTSLDLFDNNVEGGIPGSIGKLCNLKFLSISGNNMTGSLPEVLEGVDTCVSNSPMPNLEKLWLTNNKLVGRLPEWVGQLGNLEELELDYNQLHGSIRTSLGRLQRLTSLGLGRNKFNGTLPESFGQLSELTNFDVSFNDLSGIVSEAHFLKLREIPSSIGEMVLLEVIDLLNNSLIGSIPPSIGNCLYLKALDLGYNNLSGVIPQSFGNLHFLQSLHLDDNNFSGELPSSLKNLSHLETMDLANNKFSGDIPPWLADHLTALKILSLRSNSFSGRIPTNFPGLSNLQVLDLALNNLSGMIPTNLGNLIAMSKDRIVNQYRFYGVYRGIYYKERLFIYIEGRFLQYTKTLSLVAYMDLSKNSLHGRFPVELTRLIGLVFLNLSRNQIGGSLPENISSLVQLGSLDLSSNKFSGVIPSSVSSLTFLSSLNMSNNNFSGRIPVGGQMTTFGGSSFSGNPNLCGPPLAVNCGSDSSDNRTPLPNDVKAESDDDKWFPLSIGLGFAIGILVPSMIMWIRRPWGDAYFNFVESVVHRIERVKTKTAQITVTYTRRARRPS >cds-PLY85052.1 pep primary_assembly:Lsat_Salinas_v7:7:7259483:7260581:-1 gene:gene-LSAT_7X8000 transcript:rna-gnl|WGS:NBSK|LSAT_7X8000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRNKNDDASSSQEKSKSSAAWSDLDHNLLYLVMMKLGFGDYYSFRGVCKSWRSLAHSNENMFMASMPPMSISIISTNDANEKACYLEDFKGRKFKTIIHGFTGGECVGLTCGYLIFFKKTNARDFWLVNPVTRDQHHFPCFPRDYDDHPTFIGRAILVFSPSINGWVFVVADRLNREIWISIADKGAWSHVSYTHGFIDLYFFKGKIYTLNDDILCELRLNPEPKMTFLKAKNFPDPGFLLPEFVSSCGNLYAMDQVLKNQLHQLDFGEMKWVKTMGDEYAIFLSSLNYGAAVIPESLAPRSYARCAEAVIEETRFFAANMWYFPHECMKVDRMDE >cds-PLY82158.1 pep primary_assembly:Lsat_Salinas_v7:1:14165638:14168217:-1 gene:gene-LSAT_1X12401 transcript:rna-gnl|WGS:NBSK|LSAT_1X12401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase 5-2 [Source:Projected from Arabidopsis thaliana (AT1G35620) UniProtKB/Swiss-Prot;Acc:Q94F09] MSSVFFILSLSIVSLLLPSISSHEFKLDGKVLVLDESNFDAAISSFDFIFVDFYAPWCGHCKRLSPELDKAAPMLSGLKKPIAIAKIDAEKYSRLATKYEIDGYPTLKIFMNGVPTDYYGPRKADKLVRYLKKFVAPDVTLLESDSGVAEFVEAAGTFFPIFIGFDVDESALSKVAIKFKKKAWFSVAKDFSEKAMDLYDFDKAPALVALHPSFNEQNVFYGPFEDKFVEEFIQQSLLPLTLPISPDSLKLLKDDKRKIVLTIFEDETHYEAKQFIKLLRGAASANREFVFAYVSFNQWQEFAEAFEVDGKTSLPKMVVWDGNEIYFSVIGSEKIESEDQGSQITLFLERYKDGKTIEKTFGPSFFGYITSLLGMRTVYILVFLVAVIFLIATIGKEEPLVVGGRDRSELAAAAAVADSRDERASDDKED >cds-PLY78737.1 pep primary_assembly:Lsat_Salinas_v7:9:47820059:47820694:1 gene:gene-LSAT_9X44021 transcript:rna-gnl|WGS:NBSK|LSAT_9X44021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTRNSVHHRPKFLHLEAFVADQRISSCDEDDFDNFEMIKFDIWNTNDDYHINTQIPISKSMVTSRKQPIKPPRSNQRTLPNTGSLPVNVPDWSKILRDAYKQSDSDHVDDDDCRGGCDDHWLPPHEYLSRIRSASLSVHEGVGRTLKGRDLSRLRNAIWKQTGFEQDXYIYIYIYIYIFYFLFYIYFISFHFFNFIFQQFDFFTFCFLFF >cds-PLY67023.1 pep primary_assembly:Lsat_Salinas_v7:5:280152702:280153771:1 gene:gene-LSAT_5X147260 transcript:rna-gnl|WGS:NBSK|LSAT_5X147260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPIAVGDTIPDGTLAYFDEQDQMQQVSVHSLAAGKKVVLFGVPGAFTPTCSMKHVPGFIEKSDELKSKGVEELLLISVNDPFVMKAWKKSYPETKNVKFLADGAAKYTYALGLELDLSEKGLGVRSRRFALLVDNLKVVAANIESGGEFTVSSADDILAAL >cds-PLY90434.1 pep primary_assembly:Lsat_Salinas_v7:1:143598895:143602933:1 gene:gene-LSAT_1X103920 transcript:rna-gnl|WGS:NBSK|LSAT_1X103920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTVYITLRNAFTSTLLGAIVLLHVASGFPATLTLTLERAFPMNHRIELSQLRDRGTIRFGLKGSYDPHVAGLYFTKVKLGSPPKDYYVQIDTRSDVLWVGCKPCIDCPTSSKFNIPITLYDPLSSSTSTPVSCSDQICAQAGQTYNSSSCSHNQCTYTIYYGDGSATLGHYVSDLIHLETFLTDTKSLNVSTSVVFGCSTMETGILATSDGAVDGVLGLGRQSLSIISQLSSQGIAPNSFAHCLADGGGILVIGQPTVPHIIFTPLVKSQGYYSTHLHSISINGKKLSIDPSVFAINNDKAGTIIDSGTTLAYLTEEAYTPFVDAITKSVSLSVQQRTSNGNPCYSITSSVSNIFPIVSLNFVGGASMHLRPQDYLSLQSSKNGAEVWCMGFQRSPEKGITILGDLVLKNKFIVYDLDAQRIGWVDYDCFSIVEVSSNSSSSSGEVVNPSQISSGRSLWIRSHQQIPVIVIASIAQLTMMFLGFQAIDMSL >cds-PLY89454.1 pep primary_assembly:Lsat_Salinas_v7:8:30868765:30869916:-1 gene:gene-LSAT_8X22241 transcript:rna-gnl|WGS:NBSK|LSAT_8X22241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSQQPTTIDNLQGDVLSEIFIRLLAKQLAQMRCVSNNDDILLFFKSKFSFCGGRPFTACPSRSPHHELTDFIKLPVNLQSRQTYGNVIGSVNGLICFNYGAIHDTSFYIWNPSLSVVLTLPPSLFPSHDTDYLRIPPRFGFDPKSDDYKVTKFTRDPQLPLSACLTLDIDDCIETVDYKVEVYSMRKGIWQLVPHRFPSHLKILRAMHYKEFCVDGHVHWFDYADSFWKVQNIVAFDLGEETFREMPLPDLQMVATQFSLGVLGGKLCVMFGIRYHGCEVWVMDEYGVAESWVKRYVFSEFDDVIIPYGFTFHNEFLYEVANYFDNEDDHCLGLYNPIAAKTKSFEVGYGLSKVVEYVDSLVWVAPVEHELSCCNISRLKI >cds-PLY98582.1 pep primary_assembly:Lsat_Salinas_v7:1:36530722:36534374:1 gene:gene-LSAT_1X30880 transcript:rna-gnl|WGS:NBSK|LSAT_1X30880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSTPKTDKLSQDGENDNLKYGVSSMQGWRTSMEDAHAAYLDLDCSTSFFGVYDGHGGQSVSKFCAKYLHQQLMKHEAYSSGDIGTAAQKAFLRMDEMMCGQRGWRELSVLGDKKDQLSGRMEGLICSPKSGEAKSQHDDWPVEEGPHSNYEGPQCGSTACVAIIRNNQLVVANSGDSRCVISRKGQAYNLSKDHKPDLDAEKERISKAGGFIHCGRVNGTLNLTRAIGDMELKKDKSLPAEKQILTANPDINIVELCEDDDFLVIACDGIWDCMSSQQLVDFVREQIKSESKLSVVCEKVFDRCLAPTSGGEGCDNMTMVLVQFKTCPKPGPGPSTSQQTSPDQDSVSSDCKDKPGSN >cds-PLY74486.1 pep primary_assembly:Lsat_Salinas_v7:7:37077501:37081654:-1 gene:gene-LSAT_7X27541 transcript:rna-gnl|WGS:NBSK|LSAT_7X27541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 23 [Source:Projected from Arabidopsis thaliana (AT1G30270) UniProtKB/Swiss-Prot;Acc:Q93VD3] MSSSRPSRTRVGRYELGRTLGEGTFAKVKFARNTETNENVAIKILDKEKVLKHKMITQIKREISTMKLIRHPNVIRMHEVMASKTKIYIVLEFVTGGELFDKIATRGRLKEDEARKYFQQLINAVDYCHSRGVFHRDLKPENLLLDATGCLKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEESNLMALYKKIFKADFSCPPWFSSSAKKLIKRILDPNPLTRITTAEVVENEWFKKGYVPPRFEQEDVSLADVDAIFNEAGDSPILVVERRDERPAQPVTMNAFELISKSQGLNLSSLFEKQMGLVKRETRFTSKCPANEIISKIEEAATPLGFDVKKNNYKLKLQGEKTGRKGHLSVATEIFEVAPSLHMVEVRKAGGDTLEFHKFYKNLSTGLKDIVWRNGDEANGDLNGTTTTLPP >cds-PLY67016.1 pep primary_assembly:Lsat_Salinas_v7:5:281478952:281483542:-1 gene:gene-LSAT_5X148960 transcript:rna-gnl|WGS:NBSK|LSAT_5X148960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPRAAELTTLLESRISNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRSTSESETLYCVYVAIGQKRSTVAQLVQILSEANAMEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVIPITDGQICSETELFYRGIRPAINVGLSVSRVGSAAQLKTMKQVCGSSKLELAQYREVAALAQFGSDLDAATQALLNRAIIITNPSCIDFRKLRVTNVTPLMVHKHQEQEDEEEKRRRLPKTCGNPRRKLVHVFGA >cds-PLY82550.1 pep primary_assembly:Lsat_Salinas_v7:2:183321688:183322415:-1 gene:gene-LSAT_2X105681 transcript:rna-gnl|WGS:NBSK|LSAT_2X105681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPMKIFDAIRRALPLSYGFIYYFPFKPPCFHSNRLTHLQNTNFHLRTTLISQFEEMAEITKR >cds-PLY80651.1 pep primary_assembly:Lsat_Salinas_v7:5:246351997:246353487:1 gene:gene-LSAT_5X121761 transcript:rna-gnl|WGS:NBSK|LSAT_5X121761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPLIWHKVAAVSGMAALGLGTYGAHGFKPNNPSYKEVWNTASLYHLAHTAALIGAPITKNPNIFGGLLTTGIVAFSGTCYVVAFLEDRTYARLAPFGGFAFIAAWGSLLF >cds-PLY99395.1 pep primary_assembly:Lsat_Salinas_v7:4:103102931:103103197:1 gene:gene-LSAT_4X65801 transcript:rna-gnl|WGS:NBSK|LSAT_4X65801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSQQHTLPDIRQEVPNVILPISGMLSEMVYMGIFGNSEDLLFGNGDSYSFAGMSTQRARRKAVRDERSLGRICLFLLCCVMLCLILF >cds-PLY72399.1 pep primary_assembly:Lsat_Salinas_v7:3:188657316:188658319:-1 gene:gene-LSAT_3X112621 transcript:rna-gnl|WGS:NBSK|LSAT_3X112621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSIFCPKKLKNLEKSGEGHANDSSPISRLPDEIILLILNRLIDLKTLCFCYLVSKRFSSIVLQVDAISFASPVLNPKISDKNTIPSRSFLRMISSLYGESFLSAYRFLIKFKGVKSLCIELLAPGHRAVDSRLFKWKVKFTKKIESFIFLWPNSLCDKDGLCVNGNGDEEESLQLIGDLFQKKRVLSFQCLQDIMALHVMLLYLVNDLPMLEEVSISDLERRGRLSLSGKNLSGVKEWVHSASETVLNRINVPTILRNCYIPVLKLPVSGYEMKGIYFCIMEMKDIEGGNEFLMSSENGGSEDKEESAYTEAIMEILKKHKGMMLTRNL >cds-PLY78533.1 pep primary_assembly:Lsat_Salinas_v7:1:105893906:105901412:1 gene:gene-LSAT_1X83080 transcript:rna-gnl|WGS:NBSK|LSAT_1X83080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNGIQTKLLRHDEEENEGDLKERVWAESKKIWRVALPGVISRVCAFGTIVVTQSFIGHISDMDLAAYALVQTLSVRFVNGILLGMSSATETLCGQAFGAGQHHMMGIYLQRSWIVDFFTLTVLLPIFIFATQLYRLIGEDEGIAVAGGYVSLWFIPFVYNFVFSLTIQMFLQAQLKNMVIAWLSIFQFGIHIPLSWLLVYKLGYGLPGAMIALSTSSWFLVIGEFIYIFGGWCPLSWKGFTVAAFKDLVPVVKLSIASGVMVCLELWYNAVLVLLAGYMANAEVAISAFSICLNINAWEFMISLGFLGSAVVRVANELGRGNAKATKFSIKVLLGTSIAIGTFFFVLCLIFGKKIAYFFTDDERVADTVEDLSLLLSFSVLLNSIYPVLSGVAVGAGMQGTVAIINLVCYYVIGIPMGALLGYLTDLQVKGIWIGMIGGIITQTLALIYLTWRTDWDDQVKKAGERLNRFYVKSSDESEQLSK >cds-PLY96774.1 pep primary_assembly:Lsat_Salinas_v7:2:171971418:171973372:-1 gene:gene-LSAT_2X94421 transcript:rna-gnl|WGS:NBSK|LSAT_2X94421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADYHFVYKDVEGASTQWDDIQRKLGNLPPKPPAFKPDPFTPAEDEDSKPKTKSWIDNKTEEELEDLEDDLDDNRFLEEYRRKRLAEMKQTVKVAKFGSVIPISGSDFVREVSQAPSDVWVVVILYKDGYPECGVLMQCVEELATMYSATKFVKIISTDCIPNYPDCNLPTVLVYNNGAVKANYVGLHTFGRRCTPEGVAMILCQSDPVLNDGLNGEASREAVLEGVRKRFIEKVVTLHENDDDGSSSD >cds-PLY67260.1 pep primary_assembly:Lsat_Salinas_v7:3:70811721:70812264:1 gene:gene-LSAT_3X56500 transcript:rna-gnl|WGS:NBSK|LSAT_3X56500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSKTIQIKIKSSCDVFLELWKTNPHQVPTLTPTTIQNCQTQEGEVGTVGSVLFWNYFHDGKDRVIKTLTQEFDEAKKSVTFKALEGDLLELYKTFVTHVHVDTDGSNNLVTWTVEYEKKH >cds-PLY83721.1 pep primary_assembly:Lsat_Salinas_v7:4:40180031:40180549:1 gene:gene-LSAT_4X26081 transcript:rna-gnl|WGS:NBSK|LSAT_4X26081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINTTTAAATTTNTASQPSASSSSNTAAQSLGLKNFFKTPEGWPRQVHHLDNLSTHQGSTSSGSNKHSSFAT >cds-PLY63716.1 pep primary_assembly:Lsat_Salinas_v7:9:84010133:84014672:1 gene:gene-LSAT_9X66481 transcript:rna-gnl|WGS:NBSK|LSAT_9X66481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEITYASSSVSDLVGSDSSMARTATPTPAPPRPVKIIPFQHPYAAADTTPTSSSSTTPFSRWRTKVKRMKTVDWIDYFLPCSRWIRTYNWREYLQPDVIAGVTVGVMLVPQSMSYAKLAGLEPIYGLYTGFVPVFVYAIFGSSRQLAVGPVALVSMLVSNVLSTFDSSPEVYTELAIMLSLMVGILECTMGILRLGWLIRFISHAVISGFTTASAFVIGLSQAKYFLGYDVSRSSQIIPVVKSIIEGADNFSWPPFVMGSSILAILLIMKHLGKTRKNLRFLRAGGPLTAVVLGTAFVKIFHPSSISLVGDIPQGLPPFSLPKEFSHFKSLIPTAILITGVAILESVGIAKALAAKNGYELDSNQELFGLGVANICGSFFSAYPSTGSFSRSAVNHESGAKTGLSGIIMGCIMLGALLFLTPLFEYIPECALAAIVVSAVIGLGDFLGQLCIGTFNNIQKHIHIMGLLRKYELEVDQSCKRGPEVERIYFVILEMAQLIFLGCKCNFCDLIMQIAIANPNKEVLLTLSKSGLIEQIGKEWCFVRVHDAVQVCLQHVPNSNNPPPKIPELSPEKRSRFLERLSEKRKEDLSTSEMESGEKDIIISNDPDPQLEPLLSRKSH >cds-PLY86458.1 pep primary_assembly:Lsat_Salinas_v7:8:3544706:3546130:-1 gene:gene-LSAT_8X2820 transcript:rna-gnl|WGS:NBSK|LSAT_8X2820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCENLNKQYQLCEELGRGRFGVVRRCFSAGTGDSFACKSIDKRLLLDTTDRECIDKEPKVLHLLGGNPHVVQIHALYEDDNYLHMVMDLCDSPDLFDRISNHGGVFSESEAFSVFSPLISAISYCHRSGIAHRDIKPDNVLFDSRGMLKLADFGSAEWFGMNERRTMTGVVGTPYYVAPEILSGGEYNEKVDVWSAGVILYIMLAGVPPFYGETPAETFEAVLRSNLRFPTRIFRSVSPEAKDLLRKMLCKDVSRRISAEQVSRHPWVVTGGETRSMADLS >cds-PLY75880.1 pep primary_assembly:Lsat_Salinas_v7:1:171852074:171853588:-1 gene:gene-LSAT_1X114900 transcript:rna-gnl|WGS:NBSK|LSAT_1X114900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLENRGKPQPSFSQTKPALPALQQLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEANVCPRLVELLNHPSPSFLIPALRTVVNIVTGDDMQTQYIINHQALPCLLNLLTNNHKKSIKKEACWTISNITAGNKEQIQTVIEANIIGPLIHLLQNAEFDIKKEATWAISNATSGGSHDQIKYLVCEGCIKPLCDLLICPDPQVFAYAVNPQVLFASIVNVKKRIKVLCIRNINLKIYRKLQLCPCILIG >cds-PLY92817.1 pep primary_assembly:Lsat_Salinas_v7:2:149719445:149720398:1 gene:gene-LSAT_2X75660 transcript:rna-gnl|WGS:NBSK|LSAT_2X75660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGWTEVRRRKLKQDGKDDVTTFYVSGFHDGTSKGELHRSFDRFGIVAYIYISGKKNRRQQNFAFIRYVGVKDVKTMEATMQGGRIRGKLLTSNLAKYQKESISTTRPPTSFQGKPNVSSIARNGTRDARTFVQVVSGRTSFNTSNSPPIILKSETTMSKWIRKIMVIGEAHSIDHIVNLLAPTLMNDGTKYLGGLKVAILFDSSKDAREFVEDKGRWQEWFKWLILGDQMDSSFERLARLKIIGVPLKYWDEDNFSRIASKFGKVISPFDNIHNRKDLSMGKVGIITTRKKWINEEVEVMVNGTLLSVGVLDYNED >cds-PLY92738.1 pep primary_assembly:Lsat_Salinas_v7:8:66764199:66764815:-1 gene:gene-LSAT_8X47161 transcript:rna-gnl|WGS:NBSK|LSAT_8X47161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGWGGGKTTLASSVYDEISSNFDGCYFVKISRRNQELQVKELQVRRVEELRHIIKDRLQHRNVLIVLEDVDNLEHLEELVGSRDWFGEESRIIRTTRDEHILTGHKVDVIHNISLLNNDESMKLFCKHAPFGHKPIEDYELLSQDVVAYDGGLLLALRHPGRFLCDKDMNEWRSVLARLNEIL >cds-PLY87055.1 pep primary_assembly:Lsat_Salinas_v7:5:266483134:266485535:1 gene:gene-LSAT_5X137401 transcript:rna-gnl|WGS:NBSK|LSAT_5X137401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIDPRQIVAGVLTVTMFLMLGNMVKREHFDAPISHHVNHAGSTQAFEDTKDSEKSLAVDGDDDGPWKEESSGLKPCWSKPGFEEDEDSKGFVTFSLTNGPEYHVSQITDAVVVARYLRATLVIPDIRGSQPGDWRNFGDIYDIEKFVSSLEGVVKVVKSQPSELSSKNLAVLRIPNRVTDEYIAENIEPVFKSKGNVRVATYFPSVNMKKGKIEQEKERNSVGCLAMFGSLELQPEVREVVDSMVDRLKTLSRKSDGQFVAVDVRLDMLDNKGCQGNGDGSKKCYGPQEIAMFLRKLGFDKNSAIYMTQSRWDTSLDAIKDLFPKTYTKEGIMPMEKKQKYLGSDASEYEKVIDFYVCSESDVFVPAISGLFYANVAGKRIGTGKTRILVPANIPSDASVSLGSYVSRYVSKKNHMAYSCFC >cds-PLY65014.1 pep primary_assembly:Lsat_Salinas_v7:1:116140747:116144395:1 gene:gene-LSAT_1X86441 transcript:rna-gnl|WGS:NBSK|LSAT_1X86441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSEVVPSSLVEIAPILRAANEIEDDNPRVAYICRFYVFEKAHKLDPASRGHGVCQFKTALLKRLERKVTSNVSTQLEKAYQNAAALFVVLKAVSRAESIKVADEILKAHAHVVEKTEMYTHNIISLDTKTSDQALPRQPKIKQLDHLKIPLQVIKNCTQDFDERNFIGKGGYGRVYTGILSWVDCINQLVAVKRLDVTGFQGNKEFLTEVTMLSQYQHENIVTLIGFCNDNNEMILVYEYANNGSLDTYLRDTTISGGLPWRQLLKISIGVASALDYLHNHVAEKHRIIHRDVKSANILLDENWNAKLSDFGMSRIGLANQQNTFVITNLAGTHGYCDPQYERTGFLTKESDVYSFGVVLFEVLCGRLACVYNYNDERRFLHHLARSCYKNGELDKIIDHGFRKDIKPRTLFKFSTIAYRCLQETREARPTITEVAFQLKDAMKIQVSFVYINSFNMNFFWWGGEEGE >cds-PLY79975.1 pep primary_assembly:Lsat_Salinas_v7:9:46210862:46212625:-1 gene:gene-LSAT_9X42661 transcript:rna-gnl|WGS:NBSK|LSAT_9X42661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPTLKWAQRADVLFITIDLPDAKNVKLKLEPEGKFYFSATAGAENLAYEIDINLHDKVDVNESKASVGPRTIVYLIKKEESKWWNRLLKEEGKTPMFVKCDWDKWVDEDEQEENGGGDMEFGDMDFSKLNMGGGGDFDEDEDDSDTEDEIEMKNEGEEEATGKMETATALPVSNGVEATA >cds-PLY71373.1 pep primary_assembly:Lsat_Salinas_v7:4:229801925:229803140:1 gene:gene-LSAT_4X125820 transcript:rna-gnl|WGS:NBSK|LSAT_4X125820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSDLKSAALMEQMKTHLSTDAGKAVTKKIGLVYQINIAPKKLGFNEEIYVVDLKKGEVTKGAYEGGKPDATFSFTDEDFFKVATGKMNPQIAFMRGAMKVKGSLSAAQKFTPDIFPKPSKM >cds-PLY85061.1 pep primary_assembly:Lsat_Salinas_v7:7:6971108:6972022:-1 gene:gene-LSAT_7X8460 transcript:rna-gnl|WGS:NBSK|LSAT_7X8460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHKIENISRKLVEINKQANDLGLQNEQPPGPVPGSLNPYFEEFKIFRRENDEQRIIQLLTQLRKEETLTIVPIVGMGGVGKTTLAKSIYYNNPKIEHHFDVQAWVCVSVKSNVNALLAKIYKSLAREECKSQMRAHWDDLRSCMSKVNVETGSGILVTTRNLEIGTKAMSEDFHALQTLFDDWCWSIFREKSFLAGRSPLPELDEIGHEIVKKCRGLPLLVNVIQGMLRNYNIDKEKWLSIQDSKVWDLEDEGDRIQNSLKLSFDNVSGQPKKLA >cds-PLY76264.1 pep primary_assembly:Lsat_Salinas_v7:8:32863750:32864673:-1 gene:gene-LSAT_8X26041 transcript:rna-gnl|WGS:NBSK|LSAT_8X26041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATGLKKHLGNARSVVGNAMGGLRGGSNLASWVVAGTLAYFLWVKPSQELKREQQERAALAAASDRYRYVEKVKPIPDLQETGLIYGNKSRSNTPEN >cds-PLY77344.1 pep primary_assembly:Lsat_Salinas_v7:5:143198965:143199318:-1 gene:gene-LSAT_5X63680 transcript:rna-gnl|WGS:NBSK|LSAT_5X63680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKVIKDRVTGLSKGYGFVKYSDIPQSKSNIASTTTNTKAQTQQSYPSNINAPPAQSPSQFSNVYGTTTTPNTQTAYPYSSYYIVPATAPWSLNLSADQTQQTTYGTDPEYDKAEMK >cds-PLY78566.1 pep primary_assembly:Lsat_Salinas_v7:1:103628264:103628581:-1 gene:gene-LSAT_1X83860 transcript:rna-gnl|WGS:NBSK|LSAT_1X83860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGDGWSEVNRRCKKEDGGASMTTMFVTNLPEGLRTEELRRPFAKHWTIGDIYLAKKKDSKRRCFAFVSYSGVRDVLKLEDSLQGITCKGNRLEVTIAKFERIER >cds-PLY80815.1 pep primary_assembly:Lsat_Salinas_v7:3:192238798:192245951:-1 gene:gene-LSAT_3X114561 transcript:rna-gnl|WGS:NBSK|LSAT_3X114561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEDVLLHLASNSSNQSDQSLPSKIAKLEARMVGKTSTAVVSTLQLPAAQAAATRSSISSSSAAKFGANAAGEIYAESLDSSDSEEDDSNGREFLIQANFQKRRKLADDADSAASGQIKVAVDARQKVVEAVEVKSGTDVNKRKQTRGKGNSTPARGRGSNDQTRIQNSTQLLTSSNGLHENSHHKESSRLKEQLGQNERALLDEELTSLRAKIITLEEDLKKARQEASEYQHLCQQLEKELKELKNNEQQVKPKRMKVISDLLISVSKAERQEARTKVRQDSLRLGNVGVIRAGTVISETWEDGQAIKDLNAHLRRLLELREAVERQRKSLKKKQPDKGEGTEAESTEEDIIIQDEIYKSRLASIKREEESYVRERDRYELEKGRLIREMKRIRDEDGSRFNNYQILNHRYALLNLLGKGGFSEVYKAFDLVEYRYVACKLHGLNAQWSEDKKQSYIRHAIREYNIHKTLVHHHIVRLWDIFEIDQNTFCTILDYCSGKDLDAVLKATPILPEKEARIIIYQIFQGLIYLNKRNQKIIHYDLKPGNVLFDEFGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFELSKIPLISSKVDVWSAGILLYQMLFGKRPFGHDQTQERILREDTIIKARKVDFPSRPSVSNEAKEFIRRCLTYNQEERPDVLTIAQDPYLIYSKK >cds-PLY70519.1 pep primary_assembly:Lsat_Salinas_v7:1:76892393:76895237:-1 gene:gene-LSAT_1X64161 transcript:rna-gnl|WGS:NBSK|LSAT_1X64161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFAFKALLDAKVNMNRQSSNSKLMKKFTIASQRMVSRKKDYLNENVVKVDCMVNSRDHPIEIEDDEVSEGKKFIYDEVKKENDESPIEIYSSNSKNKTIDISSSDSWKKKKPRNNVSQSNYSSMKKRKHGLFGRLNSKSSDSEDYVSDSDYEVRSITRSGKLVNRSVRKVSKTIVSEHEFSSDSDFEDGSSSSVKRVDNIADKKVKKKMELHSDKIKSLYSRVSLHSMYGVVKSMNHKQKECVRSLGFGSLIDMKTQSIPAKLCYFVVDSFDPLEMVIKTEVSNILVTREDVNRVLGLPMGVNQLNSVDLRGNEEWYEIWKDQFKKSLSLITPNDVVYKIIERCEADMVFVANFIILVCTCFGSCNKQGACNLKLLPYLSESYKLDKFDWCTYVLNCVKEEKLIWGRSDIKTFFNGPSVFLTLLYVDRIQCRQMLMVRRYPVINNWTLEQLKVREMNEISNGGFGRPSATVESVDEVYRGSILEFHLEMKWCLVDMSKKVDKAFKTHGNLKIVEFYGMKLKELCSAYKAFRHSIYKCEYPFQSSGKSSSCDDKSNEFRDVGQSINNNVGGNKYGSANLFGKSGNMETKLHVDCEGGHKEKCVVEGIPSFNLGIDDDMHTPPKVSSGVVVNKYGSANLIGKSGFIETKLPLDCKDGHKEKCVVESIPSFNLGIEDDMYTPPKVNPGIDSYVHNNSVSVGISFDSVKGNEPKSCDESEKVKILEKDMISSRPKRSQTLPPVLRSPFVVRAVEIDSNLNESSDSLLPVQYPERLLKLVFICKYLVHEI >cds-PLY86022.1 pep primary_assembly:Lsat_Salinas_v7:3:74021099:74025981:-1 gene:gene-LSAT_3X61260 transcript:rna-gnl|WGS:NBSK|LSAT_3X61260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLMLGLMGPSVEDLEKPVRLPAFHTSELGPWCAIISYEACVRLCLKNWSIGSPEAVSFLKNECSLLRSAFCLEQYLLQPEEELLSKKCSELVVTGVPKKPQKTFGKLKVQVRKVKMVLDPPNGCTFSSIKKPPLMKLQKINRRLSSTKSTIHSEWEAIRRVRVTPNFSTNGSLSHQSLAYLHFGTQYLKDISGLLKVGITSLHNSPTPPEAPEETYVCSLRLKSSSDGDVTRMQPGSSETHVFLPDGMRDDLLIEVTDSKGKYCGHVKAQIADITDDVGDKLRWWPIYHEPEHELVGRIQLHMQYSTTTDENNNVKCGIVAETIAYDSVLEAAMKIQNFQQRKLLLHGSWKWLVTEFASYYGVSDAYTKLRYLSYVMDVATPTADCLNVVYDLLSPVIKKGKMNGTLSVQENRMLVSLSDEIEETIALVFENYKSLDEHSSSGLTESHKPSSGFISPALKPALKLYTLLHDIKSPESQLQLCKYFQTAVRKILARHLAETNEFVSTTTEKSTVDQIAYSSAYQKMKSLCLNFRNEIASDIKINNQHVLPSFVDLPNLSSALYSTELCNRMRAFLVACPPISLSPPVTDLIITTCDFQRDLDSWNISFVKGGVDAKEMFHVYITRRIHDKHLELLESCKLDKGVVAQNSTSVFVDDMYEKLKETLAEFQVIVSRWPQYTLLLEKVIADAERVIMETLEKQYSEILSALKENTIPVKAVQYVQRIAKGNSLPYTFPEELGSLFNLIKKMLDVLQPEIERQFKSRHVCVSVSESENAAPGELLSEVTVMLKTKFRNYQQSAIEKLAGNTRLQNGTNLKKVIQEAKESVGESEIRSRMQPLKDVLIKIISDLHGFLDFNVFTLFCRALWDRMGQDVLRVLEKRKENWSYKASRVALLVLNETFASEMQSLVGYMLTDKDVEPPTSIKEVQSMLCKDS >cds-PLY63929.1 pep primary_assembly:Lsat_Salinas_v7:4:76703739:76712565:1 gene:gene-LSAT_4X51820 transcript:rna-gnl|WGS:NBSK|LSAT_4X51820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEETTWEQRHHALTHILTHHTTTPSLHAQFFIATQIPCYLDWEYPPILCPKHHEFRWALSLFMKRVSVKKASWRSKCPYQLPQPLVLAKGVEEPKWGEEDKIEYVKKRLRRKRSGDITQGLPKVEQVLEVRSIDSISMNLEKRIEGWNKSITRILGIPWAFLIGAELTIVQSRISLVNKVQKVYRSQGVQIHNRHIEIIVRQITSKVLVSEDEMSNVFSPGELIGLLRAERMGRALEEAICYQAVLLGITRASMNTQSFISEASFQETARVLAKAALLGRIDWLKGLKENVVLGGMIPVGSGFKTPSSEPNNIPNNIAFELQKKNLLEGEMKDILFYHRKLFDSCLSNNFHDTQEQSFF >cds-PLY72529.1 pep primary_assembly:Lsat_Salinas_v7:2:141897930:141899620:1 gene:gene-LSAT_2X69560 transcript:rna-gnl|WGS:NBSK|LSAT_2X69560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSPETEHPVKAFGYAARDTSGTLSPLTFSRRATGEKDVRFKVLYCGICHSDLHFIKNEWGFTTYPVTPGHEIVGIVTEVGSKVEKFKIGDKVGVGCLVGSCRSCENCDIDFEQYCPKQVLTYGVPNFDGTKTYGGYSDHMVSDEHFVLRWPENLPLDAGAPLLCAGITTYSPLRYFGLDKPGMKIGVVGLGGLGHVAVKMAKAFGAEVTVFSTTPAKKQESLVGLKADHFIVSKDEKEMQSVAGTLDGIIDTVSATHPIAPLLNALKAQGKLVLVGAPEKPLEVAAFSLIMGRKIVGGSNIGGLKETQEMLDFAAKHGITADVEVIPIDYVNTAMERLLKSDVRYRFVIDVANSLKAE >cds-PLY85116.1 pep primary_assembly:Lsat_Salinas_v7:4:195794215:195795375:1 gene:gene-LSAT_4X114361 transcript:rna-gnl|WGS:NBSK|LSAT_4X114361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVKEKSGPCEVKSTKTKVTQSASISPNRISASPLPPCNSSSSSFYRESLSTYTSLKRSKENIHTSIDIIGHVDSNKSTTTYQLGGIKMEAFNLIDKDGYSCITTKELGTIMKLLGQNRTEVELQDMINELEVEGNGTIDFPEFLNLLTRKMNTQRGAIFTEIKNNVNKIAKWTTPAIVTSANMMRMGYTTRVHPCDHFDLVILAIVGKKQKGTDGQIGTKFDDQFTPHEKFLLLPLNLKIAKLKEKLTTSREIQASMVDIEDRNASFNGLPEWIGAFEVSFVLNKLIGVSYKFEDTRSGDELIEKYREFVLHFEIPGTPFKIYGKAKGKTKRSPRSVWMLYTISIHLEDKVVLLGWGIVMHQLLQYMIDKGNMGNSTNKRTLGTV >cds-PLY89245.1 pep primary_assembly:Lsat_Salinas_v7:5:311302742:311305304:-1 gene:gene-LSAT_5X170020 transcript:rna-gnl|WGS:NBSK|LSAT_5X170020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDTKEKGENPKSNITPEKGVPQSVIPPSSIRINMEDNNEEDEEEYEEEEEDEEEVEYEEEDDESEEEEEEEVEEEKVKKEETNNENAVKEDEKKDKEVKKGEELGEDSKSKEKRASSRDKSTKKSVVNGDNKPESSSRKKGPKRVESMGMVFMCSSKTKTDCFRYKILGLPANKQDQVAKIYKGMRLFLFDVDLRLMYGIFKAAGPGGYNIEPKAFKNEFPSQVRFSVLDDCLPVAEEKFKDVLKENYYTRSKFEGLLKADQVKKLCKLFAVTSKGHNRPKSGLKSRGRTRAVENHRVRSSDERRIRNREDESRDRKKRKIRSREEERRFRPRSRSRSRSRSPPRREKRRYNDYERPPILYERAAPVAVRYLPPPPLPVVSPARSYPYDRPPPYDHRTYHDEMRARDWDRDRERDPYYVYSREGPPNVYALPPEYHIVSREYHHPPTAVQPPPPEYRFSDRVSDYRDVGPPPPEYRSHRTHYRY >cds-PLY73111.1 pep primary_assembly:Lsat_Salinas_v7:9:23185356:23187021:-1 gene:gene-LSAT_9X21120 transcript:rna-gnl|WGS:NBSK|LSAT_9X21120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISSSSTQHRPSFSLASIIRSSLPSLFLFLLLLYLLYSYEILIYPPHLTTKINCQDSDLHPNATKASLFNLTETKQPKIGYDTELKHIAFCIAASSNLWESRKEYIKLWWKPGETRGGVWLDMDVKIKPNESLPDIYISGDTSRFPYTNKQGHRSAIRISRIVSEALRLGGLEDIRWFVMGDDDTVFVKENVVRVLSKYNHSQFYYIGSSSESHLQNIYFSYLMAYGGGGFAISYPLAKELEKMQDRCIKRYPGLYGSDDRMQACMAELNVPLTREPGFHQNDIYGSLLGILSGHPVTPLVSLHHFDVIEPVFPGMERVESIKHLLNSAKYDSASLAQQSICYDRKREWSILVSWGFAVQIMRGILSPRELEIPSRTFINWYKVLDFTSYSFNTRPITGNRCQTPFVFYINSTRYDKARKQIIGIYTHHRERHPRCRWKMESPQTIHTVVVLKQEDPDRWQRAPRKDCCRVLRSRKEGVMYLWVGHCGENEVIEV >cds-PLY93950.1 pep primary_assembly:Lsat_Salinas_v7:8:110540248:110543033:1 gene:gene-LSAT_8X74361 transcript:rna-gnl|WGS:NBSK|LSAT_8X74361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLVSDPVAFGHLQLAIFTNTLKAIRCTDEVVFHPLVGVRSSLSLSEKQFFRCGLSKKSQQTAATMMNNMQSQSTESLRYAVVTGANKGIGFETVRQLAAAGVTVLLTARNEKRGTEAMSLLHGLGFPNVLFHQLDVQDLQSIEGLANFIQTRFGKLDILVNNAGASGVVVDEEGLRALNIDPASWLSGKATNTVQGVIKTTYEKAKECLDTNYYGVKNLTRALLPLLQRSTSGGRIVNVSSIRGELWRIPNEEIRKELTDVENLSEEKIDGLVEKFLDDLRNDELEVKGWSKMLPAYSVSKAMLNAYTRVVAKTYPNMCINCVHPGYVDTDLNWHTGTMTLEEGAQGSVMLALLPQGGPTGCYFDRTQVAQF >cds-PLY92640.1 pep primary_assembly:Lsat_Salinas_v7:2:160830792:160831163:1 gene:gene-LSAT_2X84720 transcript:rna-gnl|WGS:NBSK|LSAT_2X84720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPQMSLSHSDGAMKIVDADEESGFTFFDSYFIETGLSLEELRWKFYEKSVVVGPPLSVEVSNSPPMAMEANLVRVPVGDADRPFFPLSSDATTPADSLPCEGVGPHPHSDRRSSFSDGGAGS >cds-PLY71888.1 pep primary_assembly:Lsat_Salinas_v7:4:377032464:377036835:1 gene:gene-LSAT_4X185980 transcript:rna-gnl|WGS:NBSK|LSAT_4X185980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQSNPTTSISIRALLTTPPASISHSRPQILNIPTKTNQLLYLEHSKHTQREPPRWFSPLDSFSSSRLPNSPLLLYLPGIGGSGLGLSLHHPRLGEMFDIWCLHIPATDRTPFPELVKLVESTVKSEHCQSPERPIYLVGQSFGACLALSVAARNPEIDILLVLANSATCFNGSQFRPLIPLLEAMPKELGASLHDMLNLITCMATQQRDTGLSEALVAMFSDLPGLAEVLSMETLVWKLKLLDSACSYTNSRLHAVKAQTLILSSGKDQLLPSRQEGQRLHRLLPKSDIRIFEDSGHVLFMEQDHDLVTTLKGTSFYRRTRNVDYVLDYLPPTPYEFQKARESHRFVEAAFSPVMLSTLENGKIVRGLSGIPSKDEGPVLFVGYHMMLGLELAPLIARIFSERGVLVRGVAHPMIFKKQKQGGRLPDISQYDTYRLMGAVPVSPTNLFKLFKTNSHILLYPGGMREALHRKGEEYQLFWPDQSEFVRMAAMFGAKIIPFGVVGEDDIGELIFDYEDQMKIPYLRQFIQELTDEAVQLRSNVEGEVANQDVHFPVMRPKLPGRFYYLFGNPIETKGRQQELRNRDKAHELYVEVKSEVERCLSYLKEKRRNDPYRSILSRLVYQLTHGPESEIPTFEP >cds-PLY74878.1 pep primary_assembly:Lsat_Salinas_v7:8:105856988:105859780:1 gene:gene-LSAT_8X72000 transcript:rna-gnl|WGS:NBSK|LSAT_8X72000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLLFKSNPCTYNLLESKQEDDTYTEDGTVDYLKNPANKKKTGTWKACGFILGNECCERLAYYGMSSNLVRYFKYHLNEHSATASRNLSTWTGTCYLTPLIGAFVADTYIGRYWTIATFSIIYAIGMGMLTLSASVPGLKPTCYEKDVCIATKAQIALCFTSLYLVALGTGGIKPCVSSYGADQFDDHDEVEEKQKSSFFNWFYFVINIGALVAHSVLVWIQDNVGWGWGFSIPTIAMGIAVISFFSGTKLYRNQLPGGSPLTRLCQVVVASFRKRKIEVPLDSSLLYESSEEAGFSNSGSRKIDHTFDLVFFDKAAVVTPNGSINPWNLCSVTQVEELKAVIRLLPIWATGIIFSTVYAQMSNLFVLQGSTLDPRVGISGFEIPPASLGVFDTISVVFWVPVYDRVIVHVARRVTGQQNGLTQLQRIGTGLFISIFSMVCAGVLEVVRLQIVSRNDYYELDRIPMSIFWQVPQYFLIGCAEVFTFIGQLEFFYDQAPDSMRSLCAALQLTITALGSYVSALLVTIVTIISTQGGGAGWITDNLNYGHLDYFFWLLAGLSLVNLGVFVVLARKHTYRKPAAAVR >cds-PLY72838.1 pep primary_assembly:Lsat_Salinas_v7:6:22066782:22070419:1 gene:gene-LSAT_6X17181 transcript:rna-gnl|WGS:NBSK|LSAT_6X17181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRLTRIAILKEDRCKPKKCRQECKKSCPVVKTGKLCIEVTSASKVAYISEELCIGCGICVKKCPFDAIEIINLPKDLDKDTTHRYGVNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDERDVKAELCADLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLEGYVPTENLRFRDESLTFKVAETPQETAEEIETYARYKYPSMAKTQGGFTLKVVEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDTVEDSDVEIPEFNVSYKPQKISPKFPHSVRHLLHQKIRDSYMHPQFVSDVMKPLQIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGKPSIDCVANSPQSLLTGMNLFLSHLNITFRRDPTNYRPRINKLNSTKDREQKFAGSYYYLDE >cds-PLY79551.1 pep primary_assembly:Lsat_Salinas_v7:1:33500614:33501450:-1 gene:gene-LSAT_1X29060 transcript:rna-gnl|WGS:NBSK|LSAT_1X29060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSESRRDLLSRFISAGHGDELVRDMVISFMMAGRDTTSSAMTWLLWLLTWHPTVKKNILDEVATIVNDRDQSNKSQSLNFEDLKKMDYLKACLCESMRLYPPVLWDSKHAGDDDVLPDGTPVHKGNRVMYFPYGMGRMKSLWGKDCLAFKPDRWFTEPGVLKMETPYKFPVFQGGPRVCLGKEMAFLQMKYVVASVLKQFELIPVCLEKPVLLPMLTAHMDGGFKVRVHRRSDFDLL >cds-PLY86139.1 pep primary_assembly:Lsat_Salinas_v7:6:156727501:156730124:1 gene:gene-LSAT_6X94680 transcript:rna-gnl|WGS:NBSK|LSAT_6X94680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFMLLIFLRLFFVLVITQGSDFTSSNITLGSSLIPDTNPSWRSPSGLFAFGFYRQDDGFAVGIWLASKSEITVVWTANRDDPPVSTNSSIELTGDGWLLLHTTDGEDKNITSQSFQATSASILDSGNFLLYDQSSVIWESFAYPCDTILGGQKLAIRDQLVSSVSASQHTSGKFFLRMQDDGNLVAYPLIKTRGSDDSYWSSGTLYSNYESLNLSPTGSLSMVGFGVTERVLNSTRSLESRKNVDTVIFRATLNWDGNFVLYSHRFTSPSSNLTSIMKTEWAALQDPCDAKGICGFNSYCSSSTSGNFQCHCFPGFLFVNGTRNVTSNGCYRNFTDEEACNRKPGLQLSYNITVLDNMMLLVHYAYSSVMNLSKEGCRQSCWDDCNCWAGLYAEDGSCKKLKVPIVYAVLSKSRLTTVFIKTSFPYKEVKEPQLRGSRNIDVIVAERKKLVSILGITLGCIALMCSVLAFSAFFFYRVHAHRYQAISETVDNGFYRDHFSLRAFSFDELQKATDGFKEVIGRNSYGEVYKGFISDGSKAVAVKRLERMFEGEGRFRAEITAIAQTHHRNLVRLLGFCIQGATKLLVYEFMSNGSLEDVLFNAEAPPGWKERVRVPLDVARGILYLHDECEARIIHCNIKPHNILFDEAWTAKISDFGLSKLLRSNQSGTLLSVSGDRDRGTRGYLAPEWHKNTLISTKVDIYSFGVVLLEILCCRNSMEIDVLSTWVYNCFIDKDWSRLTGDEEVDVNMLEKMVKVGLLCIQDDPDARPSIKNVILMLEGTADIPIPPSPTSPHLI >cds-PLY88295.1 pep primary_assembly:Lsat_Salinas_v7:6:126710889:126730977:-1 gene:gene-LSAT_6X77481 transcript:rna-gnl|WGS:NBSK|LSAT_6X77481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRIERLMRERELRKKNKASYSNEAIDLEPRLREGDDYMEQYLKGGSSARDEWEKPDEGLFKERLLVVANRLPVSAVRTGEESWSLEVSHDEYVSALLGVKDVVVKWIGWVGVNVPDELGQRSLTKALAQKRCIPVFLDEETVHQYYNGYCKNILWPLFHYLGLPQEDRLATSGTFQSQFDGYKRANQMFADVVTFHYEEGDAVWCHNYHLMFLPKYLKEYNNNMKVVWFLHTPFPSSEIHRTLPSRSELLRAVLAADLVGFQTYDYARHFVSACTHILGVEGTLDGIEDEGRLTRVAAFPIGQDSNRFISALEAPQVKDHIKELKERFFGRKVLLGLDDLDMIKGIPQKILAFEKFLEENPVWRDKVVLLQIAIPPRTDISEYQKLTSQVHEIVGRINGRFGTLTTVPIHYLDRSIDFHALCALYAVTDIALITPLREGMNLISYEFLACQDAKRGVLILSEFAGAAQSLGAGAILVNPWNIPEVAASIYHALNMSTEEREKRYLHNFLHVTNYTAQVWAETLLSALNDTVVEAQLKIRQLPPLLPVDEAIERYVKSSNRLLILGFNATLTDRVDTPNGCQGNQIREMDLKLHLDLKETLTRLCGDPKTTIIILSGSGRAILDENFGEYNMWLAAENGMFLRSTKGNWMMTMPEHLNMDWVDSIKHVFAYFTERTPQSYIEIRETSLIWNYKYADVQFGRLQARDMLQYLWTGSISNASVDVVHGDCTVEVRPFGVTKGLTIGRILGEINYCKSISAPINYVLCIGHSLGKDEDIYTIFEPEFPSRDISIPRLILSDTTNPFGSRKFGRNGSQKLTHEPDLENKQNNNNDSDINNVVENGNNRQSSPFLNKLTWNLLDLERDNYFSCAVGKTRTKARYLLPTSDDVVSLLKGLAQAS >cds-PLY96331.1 pep primary_assembly:Lsat_Salinas_v7:5:192507702:192508768:-1 gene:gene-LSAT_5X86101 transcript:rna-gnl|WGS:NBSK|LSAT_5X86101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGKGRRFIVSSIIFIAFLCTIASINELRFDHLLRFSRCALQLEDTLPTNVSSEEIRVLLGILTLPDNYHRRHFLRLIYATQPVVAGAKVDVKFVFCNLTKEDQKVLIALEIMQYNDIIILNCKENMNNGKSYTYFSSLPDMLNEDPGSSGSLGSSGGTYPPYHYVMKGDDDAYFRLGQLVESLRVLPREDLYYGYTIPCNQMNPYGHYMSGMGYLISWDIVEWLRVSDIPKMHLEGPEDKVFGDWMEKGKKGKNRHNAKWSMYNYPEPPTQCTHELWPDTVAVHLLKTQEKWIRTLKYFNATQDLKPSKLYHMD >cds-PLY74990.1 pep primary_assembly:Lsat_Salinas_v7:5:87909583:87911163:-1 gene:gene-LSAT_5X42001 transcript:rna-gnl|WGS:NBSK|LSAT_5X42001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERVLKSTSGRTIGNRAGVIGFLYTGMESGMVKARDADDIINSVVAGLAIGALYKAETVTRAIGGIAVGLAMTGKQILKRYIPI >cds-PLY81771.1 pep primary_assembly:Lsat_Salinas_v7:3:31043622:31044095:-1 gene:gene-LSAT_3X22100 transcript:rna-gnl|WGS:NBSK|LSAT_3X22100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTNYWRDLVIHLFAELVALEKIMYYQTVLQEYQTDERNAARRFLEIRCNMAHKEVA >cds-PLY81953.1 pep primary_assembly:Lsat_Salinas_v7:9:152764932:152766345:-1 gene:gene-LSAT_9X97280 transcript:rna-gnl|WGS:NBSK|LSAT_9X97280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIATNTRVESLSKSGIHQIPKEYIRPQDELSTITNILHEINNDDKGPQLPTIDLNDINSGDPKTLENCSNELRKAATEWGVMHLVNHGISDDLIARVKAAGESFFSQPVEEKEKHCNDIASGKIQGYGSKLANNASGQLEWEDYFFHTVFPEDKRDLTVWPSTPNDYILATTEYAKQIRALTTKILSVLSLGLGLEAGRLEEEVGGVDELLLQLKINYYPKCPQP >cds-PLY61959.1 pep primary_assembly:Lsat_Salinas_v7:5:160097286:160100983:1 gene:gene-LSAT_5X69840 transcript:rna-gnl|WGS:NBSK|LSAT_5X69840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRQRQPSYPLRHVPNKGTVESVKEEQEDNVRKTNKEYPPGLRYLPTRMKCDNITAAVMGMLPEQKQAILRMGFGSIFQVNITSYLGQLSYYLLDVYDADSKRLVLQNSVIEITE >cds-PLY70184.1 pep primary_assembly:Lsat_Salinas_v7:9:775275:776481:-1 gene:gene-LSAT_9X1701 transcript:rna-gnl|WGS:NBSK|LSAT_9X1701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGSNFFSSWDFTSDPCNFAGVFCDGDRVVALNLGDPRAGAPGLSGRLDPAIGKLTNLAEFTVVPGRVMGKLPATLSELKKLRFLGISRNFISGEIPATLGELQGLRTLDLSYNQLTGSVPWAIGSLPTLANVVLCHNHLSGSIPSFVSQTLTRLDLKHNNLSGSIPPGSLPSSLEYLSLSWNSFSGPVDLLLPQLNRLNFLDLSLNRFTGQIPSCVFSFPITNLQLERNLFSGPVQPFNEVAIPTVDLSHNMLYGQVSPLFSTVQNLYLNNNRFTGSVPTTLMDRLMAGNVQLLYLQHNFLTGVPINPMAAIPLSSSVCLQYNCMVPPVQTPCPIEAGTQKTRPTSQCMEWKG >cds-PLY77493.1 pep primary_assembly:Lsat_Salinas_v7:4:49363411:49373412:1 gene:gene-LSAT_4X33340 transcript:rna-gnl|WGS:NBSK|LSAT_4X33340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTRTNFYKNPSFTYNKDYDLNSVLQNLKDNKSSIFMSSNAHTTQSFCWFEDFYYFNLTAYNAATGNPTLPDDESASSKGKCPLQSNRLQAVEEGSGSASGGRLVLGYDILTWEADLDLKRSVTIIQKEKDRTKEGGDDGPGG >cds-PLY78756.1 pep primary_assembly:Lsat_Salinas_v7:9:50724204:50724536:1 gene:gene-LSAT_9X46601 transcript:rna-gnl|WGS:NBSK|LSAT_9X46601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMHRQNQIKREEETEVEIANMKEYYCSEEGWEVEKAKWRKIYYSTLKEKEKEVHEEEEEVSSEVSESKSESEPQQQFPPAMLPPKQLTPSLSPPSLGYKSRKEDEMKNL >cds-PLY97210.1 pep primary_assembly:Lsat_Salinas_v7:4:94925969:94928357:-1 gene:gene-LSAT_4X62920 transcript:rna-gnl|WGS:NBSK|LSAT_4X62920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRIPSHQLSNGLYVSGRPEQLKERQPTTGSRAIPYTGGDVKKSGELGKMFDIQVSDHPQVPSLLKPHRASSSSQLTSGSFRSGPNSGSGPIPKRGSGSGSGPMVALQPTGLITSGQLGLSAGRRSGQLDPSASVISTGKTVYGSSVTTLGYDDVRLGFRVSRKLMWVLLVMVVMGLMVGAFLTVALKKPVIMAAVAAVLVPAMIIILWNCAWKKRGLLAYLRKYPNAELRGAIDGEFVKVTGIVTCGSIPLESSFQKVSRCVYVSTELYEYKGWGGTSANAKHRCFSWGCSNSEKYVGDFYISDFQSGLRALVKAGYGAKVAPFVKETTVVDITKQNRELSPNFLSWLADRSLSSDDRVMRLKEGYIKEGSTVSVMGVVRRHDNVVMIVPPTEPMSTGCQWACGLLPTYVEGLVLTCDESQNADVIPV >cds-PLY67750.1 pep primary_assembly:Lsat_Salinas_v7:9:166262866:166266123:1 gene:gene-LSAT_9X102601 transcript:rna-gnl|WGS:NBSK|LSAT_9X102601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKSTLLGHRLKRLLRNLRVYSNQPGGEKACFFYINSAGELAAMKDALVRILTPRRSIDIMRNVHVSKEQRKPYVVVFVGVNRVGKSINLAKVAYWLQQHDINVMMVACDTFRSGAVEQLRTHARRLQIGFEFFSLGKFIPELT >cds-PLY82298.1 pep primary_assembly:Lsat_Salinas_v7:1:67652037:67654246:-1 gene:gene-LSAT_1X57621 transcript:rna-gnl|WGS:NBSK|LSAT_1X57621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEVIVGDLSQQCAIRSSNMSHNRPSFQWVRHHLPPDFTLMSCPTRSFDSKKPYISFGLPLLSSKRMILHIMDPCIEGLMKEPKYMSSVDELELHKALENVGMESVRKENQNGNEKENKNKNSPSTLQAFPLNLEQRNPIQDVNQVNCIV >cds-PLY68592.1 pep primary_assembly:Lsat_Salinas_v7:5:181588:183049:1 gene:gene-LSAT_5X561 transcript:rna-gnl|WGS:NBSK|LSAT_5X561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB80 [Source:Projected from Arabidopsis thaliana (AT5G56110) UniProtKB/TrEMBL;Acc:A0A178UM75] MGRIPCCEKESVKKGQWTPEEDHKLSSYIAQHGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGQFSDAEEQIIVRLHSVLGNRWSVIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKPYSHLMAEIATTLAPPQVANLAEATLGCLKDEMLHLLTKKHIDIQFQSPNHAPAPPQNASTSPSYNITSKHEAHDDHDTIEKIKLGLSRAIIHEPQTTNKPWDANGASSANFPGFHYALPSFGHEGDGSPWSQSMCTGSTCTAGDQQPQFHSKLADGNADDSEGEKDTRNVSSMFNPDCDLWDLPSNDLMNPLV >cds-PLY90583.1 pep primary_assembly:Lsat_Salinas_v7:6:53736036:53742306:-1 gene:gene-LSAT_6X40321 transcript:rna-gnl|WGS:NBSK|LSAT_6X40321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSAENINEVASSLIGMKVNAFVYEKESEDILEKNSKVKNDKVKKDNLKSSRKTRSSSKKEKAKEVEFENCQVSVNSKFVHEMLGLPSGGSLLSNMDYISENNEESCMFEWKKQYENIDKLRLKQLKNELVRTSAAD >cds-PLY72043.1 pep primary_assembly:Lsat_Salinas_v7:2:205213757:205221111:-1 gene:gene-LSAT_2X126361 transcript:rna-gnl|WGS:NBSK|LSAT_2X126361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKDVVSGSGAGVKDLPYNIGEPYSSAWGSWTHSRGTSKDDGSPVSIFSLTGSNANDGHLAAGRNGVKRLRTVRHPNILSFLHSTEAEIFDGSSTKVVIYIVTEPVMPLAEKIRELGLEGTQRNEYYAWGLHRIAKAVSFLNNDCKLVHGNVCLESVVVTPTLDWKLHAFDALSEFDGNNELSTGPMLQYEWLVGTQYKPMELAKSDWATIRKSPPWAIDSWGLGCLIYEIFAGLKLSKTEELRNTASIPKSLLPDYQRLLSSMPSRRLNSSKLLENCEYFQNKLVDTIHFMEILNLKDSVEKDTFFRKLPTLAEQLPREIVLKKFLPLVASALEFGSATAPALTAFLKMGAWXYIYIYIYSFYVVVLPTIVKLFASNDRAIRVGLLQHIDQYGESFSAQIVDEQVYPHVATGFSDTSAFLRELTLKSMLVLAPKLSQRTLSGSLLKYLSKLQVDEEPAIRTNTTILLGNIATHLNEGTRKRVLINAFTVRALRDTFPPARGAGIMALCATSSYYEPQEIAARILPNVVVLTIDPDSDVRSKAFQAVEQFLQIVKQYHEKTSGGDSSEGMGSTISSLPGNASILGWAMNSLTTKGKPSEQTTQAVPPKSTSPLVSAVPNAAITASRAHTQSTTTLVRGGSSDYGGDMADQPAPVSPTSTDGWGELENGIGIHEDEEIEKDGWDDMLPLEDEKPPPALANIEAAQKRPVIHTKPQGSIPRPKSTSHVSKDADDDLWGSIAAPAPAPAPAPAPKLASRSSNSNVKAAAGGGIGSGSVVDEDDPWAAIAAPAPTTRARPLAAGRGGGRGGGSKPGVPKLGAQRINRAASTQNTTAQQHRLQIHRFQTNLFPLDFSEEDDQERCAPMVLLTILLHRHIITPHLLRLAIPTMMVHMMVTHWCHSAVALA >cds-PLY75785.1 pep primary_assembly:Lsat_Salinas_v7:3:69990691:69997114:1 gene:gene-LSAT_3X53381 transcript:rna-gnl|WGS:NBSK|LSAT_3X53381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADALSAIPAAVLRNLSDKLYEKRKNAALEVEGIVKQLTAAGDHDKITAVINLLTHEYTYSPQANHRKGGLIGLAAATVGLSAEAAQHLEQILPPVINSFSDQDSRVRYYACEALYNIAKVVRGEFIFHFNKIFDALCKLSADSDPNVQSAAHLLDRLDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASADEFTRWTAITWINEFVKLGGDQLVPYYADILGAILPCIADKEEKIRVVARETNEELRAIKAAPAEGFDVGAILDIARRQLSNEHEATRIESLHWISTLLNRHRQEVLSFLNDIFDTLLKSLSDPSDQVVLLVLEVHAAIAKDQHNFRQLVIFLVQKFRTDHALLERRGALIIRRLCVLLDAERVYRELSKILEGEADLDFASTMVQALNLILLTSSELSDLRDLLKQSLVNAAGKDFFLSLYASWSHSSMAIISLCLLAQAYQHASSVIQSLTEEDINVRFLVQLDKLIHLLETPIFAYLRLQLLEPGRYIWLLKSLYGLLMLLPQQSAAFKILRTRLKTVPSYSFNKESRNPSTLSQDFNNMNMNMNEEEDSSSHNMNMSNNGINFASWLQRFQQKQHQHRLHSKTQARSFSRNISISSKEVKEAEKAEEVRGPTPELNRPPVSRSSRKSGPGQLHL >cds-PLY96617.1 pep primary_assembly:Lsat_Salinas_v7:7:45379790:45380430:-1 gene:gene-LSAT_7X33120 transcript:rna-gnl|WGS:NBSK|LSAT_7X33120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHHFPQMDPSPRTGNLQTQLDPQADAAFWPLSGKPYFYVVLGKLIYGRRFQLVIPRELSEKLPTSTVPASIVCHGKVWDLLYHGDQTKRRFGNEAWGKFVTDNKLVAGDACVFELMEGSLMSRIVKFKVQILRSGFPSKLLEKAEGFNMNNPIAID >cds-PLY81817.1 pep primary_assembly:Lsat_Salinas_v7:3:34071896:34079080:1 gene:gene-LSAT_3X25521 transcript:rna-gnl|WGS:NBSK|LSAT_3X25521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGEVLEVFDSLKLQTFVDCTLGAAGHSSAIIQAHPEMQSYVGLDFDPVAHEKAKARIDSIQSSMSQDSTSNLKTHTFLRNFKNIKSTISEVDEKLLTSGIDGILMDLGMSSMQVNNAKRGFSVLCDGPLDMRMDPQASLTAEDILNLWPEAEVGRILRDYGEENNWRALQKRIVKARLTGGLHSTSELVDLIRSCTSGGKGGRQGWIKTATRVFQGLRIAVNDELKTLEASLYDCFRCLAPGGRLAVISFHSLEDRIVKQTFLDIIKSNSVDGSGSAFPDLKNVENEPWIKQMIGGVGGTILTKRPITPSQDEEKLNVRCRSAKLRQQSLAGCLVNSSSLYPSLHKHSLTTTHHFHERSGVYIFRIGMMKVFKCEGSLILPKAFHYQESRNRFYSRRRLRIISNSSNENFDSNVKKAKLSARKKDRVNIPSYNDLHGRGKKIYPIREFLSHPSGIEALLNTQALQKFEQLDLTTYRCTLPQLNLLNFEVSPVIDLRVTPTTEDCMVELLCCKFEGSEVVTQQNEHFSAEMTNYITWCTKNSEPYLDVDVTLDLTLEIYTQPFTMLPTSAVEVPGSLMMQALVDRLVPLLLQQLIQDYGRWLLTQNPESNFITSNSA >cds-PLY80738.1 pep primary_assembly:Lsat_Salinas_v7:3:84988197:84989164:1 gene:gene-LSAT_3X65920 transcript:rna-gnl|WGS:NBSK|LSAT_3X65920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGKGPTCESQRRASSEGLGLLARLGNDMFTARLTRSLLNDVTGAPEHYVGSIALALGCIQRIAGGMALLSLVPSTVHSISSLAKSSIANLQVWALHGLLLRIEATGLSYVSQVQVVLQQGVGRLINEIVAVLGPELHPGCIFFSRCKSVIAEISTQQETTTLLE >cds-PLY62452.1 pep primary_assembly:Lsat_Salinas_v7:1:84247824:84249297:1 gene:gene-LSAT_1X70200 transcript:rna-gnl|WGS:NBSK|LSAT_1X70200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHHHFLLVSLPVQSHINPTFRLATRLTRAGAQVTLATTVSGLKTLPSVPGLSYHFFPGGGADGKLSSLQELKLVGSIDLKKLMVTKAKEGQKVDFLIYGLSLPWVAKVARELHVPSALFYFQSAASFSVVYHFLKGGGVGNSNIDLTGSIKVPGLPLLRYCEIPSFLMPTHQYAYVSQEHIDTLEKHPNSFILINSFDGLEEQSIQSLPDHINIFSVGPLNSDETEEPFVVDTIQDSDRETYLRWLDSKREKSVVYVSFGSLVKLQKNQKDEIFQGLIELGYPFLWVIRNQGEDDEESRRYTAEGGGLIVRWCSQVEVLNHVAIGCFVTHCGWNSTMESMVSGVAMVGCPQDVDQKMNAKMVEEVWGNGVKAVADDEGVVGREEMKRCLAVVMGGGEITRNCEKLKSMAMEAMSENGSSHRNLNRFFQTLKLVSE >cds-PLY79121.1 pep primary_assembly:Lsat_Salinas_v7:2:15882605:15888213:1 gene:gene-LSAT_2X8460 transcript:rna-gnl|WGS:NBSK|LSAT_2X8460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESNKPPRHGGGTMVATTKPSRFNRICVFCGSSSGKNPIYRHAAIQLGNQLVERRIDLVYGGGSIGLMGLVSKAVFDGGCHVIGVIPKVLMPKEIIGETIGEVKPVLNMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHQKPVGLLNVDGYYNSLLSFIDKAVDEGFVTPTARGIIVSAQTAHELLSKLEEYDPEQNVTDVKLSWEMEQQLGYTAKSEIAR >cds-PLY79340.1 pep primary_assembly:Lsat_Salinas_v7:9:55003309:55014676:-1 gene:gene-LSAT_9X49740 transcript:rna-gnl|WGS:NBSK|LSAT_9X49740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECFSLVRRRPPDPLNCYVAFAAVSVSVENQAATLKLLGSAVAAPLVTQGSLNFTVTDLMVDECKCYQRTVVAGSRLNEFVAAMFLTVNGATPRFIPTVAARFPLPMSPLYATLGGWVPFVNRRRVGGCSSGCIKCKVPTPPQALPKANSNESVESNKKLIDSIAKGLIGLAAAVSVCLDSPTLAESLTIAFPASHTHEVNVVQRTLVETWGLIRENFVDPTFNHQDWDSKFQQTMVEMLPLRTTDAAYSKIKGMLSTLGDCFTRIISLKEYQSFRIGSDGNVQGVGLFVNTEPETGHLVVLSCVEGSLAARARIHVGDELIEINRERVKGISGEAAAQKLKGNVGTSVTVKVHNGKKLAIDSSFREVKLPREFIRLSPISSVIIPHRTPNGHVSKTGYVKLLAFSQGVESCILDLRNNPGGFVKARLDVAQIWLNGDETLVNTIDRDRNMLPINMINGHALTRDPLVVLEGNFSSEDTVRVTTMNKHGKHDLDIPVIVNPRNDPPLINVPEFIMLENVTKDDIHFPGHIHFAVYNTALFIHALTRFVVVSIPLLTSYPFISMLTGFALSLILNCESIIKNVLSVFIITGTFMLQNKDVVIFASSVL >cds-PLY62582.1 pep primary_assembly:Lsat_Salinas_v7:9:73991053:73992784:1 gene:gene-LSAT_9X61920 transcript:rna-gnl|WGS:NBSK|LSAT_9X61920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDEILLMILSLMPLKDAVATGILSKRWISVWCNLIHLSIDGGERLDKIVMIPMLRDQVRSMYIKKVNSIIKHHNAPLLQEFRICFDLDCRNKHAIDYWLHFAMKKKVQTLELDFMYHLKYRMSDEYYDFPLHFLTKNARIFLKLHYLRKLVLKGVNVREAILQNILRTSPHLETLFAHNSGFMAHVLVGGKALKLKHFEIKGCSNIRSIYLYEFDLVSFTYKGPAIDLRLGHLPKMKELDICQGAEGLQNNIFRQIASCVSYIQVLSLNILQTERLMLNSIPELPNVKKLRLTIGAYNDKCLLRFTYIANACLSLETFTIQLLWGSPIWRKRKARHFSTPTRPYNNLCLLELMGYYGRMSDFELVAHIIENAVALKQIVIDPRCQGRIGNTPLLKLKKNLKIEETARTYAHSQLKSITPQGVKLLIL >cds-PLY63244.1 pep primary_assembly:Lsat_Salinas_v7:2:14769433:14769896:1 gene:gene-LSAT_2X7601 transcript:rna-gnl|WGS:NBSK|LSAT_2X7601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGICAIDEFDKMDESDRTTIHEVMVQQTVNIAKAGITTSLNARTTVLAAANPAWGRYDLRRTPAENINLPPALLSRFDLLWLILDKADMDNDPEMARHVVYVHQNRESPALGFAPLEASILKYIFIFP >cds-PLY82108.1 pep primary_assembly:Lsat_Salinas_v7:1:15751453:15752216:1 gene:gene-LSAT_1X14180 transcript:rna-gnl|WGS:NBSK|LSAT_1X14180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEGCMSLFKDPEPQDDKESENKYEKEPMLSRQLKGAIFSIFVIAIAAAEAAIGLAIVSSIYRNRKSTRINQSNLLNKLKPSHVQKWIDPMSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLWHETTRSMGIAY >cds-PLY67873.1 pep primary_assembly:Lsat_Salinas_v7:5:5444697:5444963:1 gene:gene-LSAT_5X3481 transcript:rna-gnl|WGS:NBSK|LSAT_5X3481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATTVRWRWRRFPLVPTQAATKAAGGSYSKEVKTKRWRKWLLYSPLFRQERSQRPTMGASSMTGGVGKKVREEHEWRPPRCHAFDLR >cds-PLY96801.1 pep primary_assembly:Lsat_Salinas_v7:2:171397530:171401886:1 gene:gene-LSAT_2X94840 transcript:rna-gnl|WGS:NBSK|LSAT_2X94840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAEPKPLSSEASSCWRKELDTNLKRLQSLLFGADIALEKRDYSYSQLLSLRLIGFLDSQSLNDVDEAFIRPIRREALSKLDSARQSLIPDSDRQAFEQAGRDPGVAFHKQGDINIEKIKQSKYFKALLQHSKGNTLNEDDEPEKKEDISGKTSKVPVHGKSTTFYGGISRTNNSMHNNSVNSVGNGLKDCNLVEGRGLSTYINSEEERTNGSSLRPKRSRMETISPKNEILLSPSSKNEADTGITGNGFVTARTKLEMDVRQKRGMAGSPNSSVSPQSDGNNRGYGVKSYPPRRGIRGFVPPIRSNGGNTGNVTSRANATKGEDALDDSTKRCMDALCGPDGELPEKLRNLEPRLIEHISNEIMDGKPNVHWDDIAGLHHAKKCVTEMVIWPLLRPDIFEGCRSPGRGLLLFGPPGTGKTMIGKAIAGECNATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGSEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIVRNLLNKDGLFKLSTEDIDTICKLTDGYSGSDMKNLVKDASMGPLREALTQGTEITKLKKEDMRPVSLQDFENALQEVRPSVSLDELGTYEIWNNQFGSLSPSTK >cds-PLY85401.1 pep primary_assembly:Lsat_Salinas_v7:9:79453879:79457819:1 gene:gene-LSAT_9X63521 transcript:rna-gnl|WGS:NBSK|LSAT_9X63521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKAIGVTEVVLAINYQPEVMLNFLKDFETKLGIKITCSQETEPLGTAGPLALARDKLDDGSGEPFFVLNSDVISEYPLKEMIAFHKSHGGEASIMVTKVDEPSKYGVVVMEESTGRVERFVEKPKIFVGNKINAGIYLLNPSILNRIEPKPTSIEKEIFPKIASSNLLFAMVLPGFWMDIGQPKDYITGLKLYLDSLRKKSSPKLASGPHIIGNVLVHESAKIGEGCLIGPDVAIGPGCVVESGVRLSRCTVMRGVRVKMHACISSSIIGWHSTVGMWARVENMTILGEDVHVCDEVYSNGGVVLPHKEIKASILKPEIVM >cds-PLY84884.1 pep primary_assembly:Lsat_Salinas_v7:MU041095.1:111591:113193:1 gene:gene-LSAT_0X47681 transcript:rna-gnl|WGS:NBSK|LSAT_0X47681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIILCDELLQEIFHRLPPPSSSAVSLVSKRWRHLLHSSLSSLTLRLSPNHPSLPSLTSFLSLHTYLFSLSLSSSSSSSAAATTAFSDSLLISISSSCPNLTHLRLSTGPVALSPLLSLSTSCPHISSLSIILSRPLLSLHFLSSFRSLKNLTLILSGNGSPDSISEQYSSSSTELQLESLCLSGIRAGDYELSWLWNNCSYKSLSKLVFENCEGVGDNHSFASFIQRLKNLQEVELKTCRSIIALILLKLAENRCDSLSSMLIYDGGSKEGLLHFIRETNCNLQKLDLRLPLDLDDSHLFEIGTKFTQLHVLRLQSCSMVTGEGLKTLGLALSDNLEELALTNCDVIKRQNGFLVELAQNLPKIKILDLSYNHMLLDKEFASMISSFKDLRKLKLRGCNRLTNASLISLCKNCKQLESIDMVYCHGIQVEGVEFVILNSPQLRKVQVEDRKLSEIARRWMINKFIEVQP >cds-PLY79419.1 pep primary_assembly:Lsat_Salinas_v7:3:78798867:78799472:1 gene:gene-LSAT_3X60541 transcript:rna-gnl|WGS:NBSK|LSAT_3X60541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAPIVDDGKARRDILLFNRWTYDDVQPIYMPHTTGSQARRFRKAQCPIVERLTNSLMMHGRNNDKKVVVVRILKHAMEIIHLLTDANPIQIIMDAVITRYTINHLLQFHSTSFQPSLHFQ >cds-PLY70351.1 pep primary_assembly:Lsat_Salinas_v7:4:99010657:99013959:-1 gene:gene-LSAT_4X64081 transcript:rna-gnl|WGS:NBSK|LSAT_4X64081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKTRAMPPSLDQITTKHVLGDDLEAKLASKLLITQSETAKVPDVSMDQEKKVAEKGSVKNSSVSDKASDGNSSLGKTSGSALVESGKSSMCRGSTSSNVSDESSCSSFSSSINKPHKSNDMRWEAIQVVRARDGALGLTHFRLLKRLGCGDIGSVYLAELTGTKAYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFTEQAVKFYVAEVLLAMEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLVKSSSMDNEPLRRSTVYCVQPSCIEPSCIQPSCVVPTSCFSPRFFSSKSKKERKNKIKNEIGNQVSPLPELMAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFKGSGNRATLFNVVGQPLRFPESPVVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPDVPKPVEFERFSATAPVASTSEKTNVPPVAAPNQKGAGVGAGAGSDNYLEFDFF >cds-PLY90856.1 pep primary_assembly:Lsat_Salinas_v7:9:161343575:161344645:-1 gene:gene-LSAT_9X101640 transcript:rna-gnl|WGS:NBSK|LSAT_9X101640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAKTFAHLFLLLSSLLSVGTLRIVHGDKTWCVAKPSSTNTMLLDNIQYACSQVDCKILQKGGACYSPDSLINHASIAMNIYYQCKGRNTWNCNFGNTGLITITDPSYGGCQYM >cds-PLY85974.1 pep primary_assembly:Lsat_Salinas_v7:3:202072920:202078361:-1 gene:gene-LSAT_3X120140 transcript:rna-gnl|WGS:NBSK|LSAT_3X120140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLINRSGRSVGERVNRTPAHSMRRDLKVIVLTSDSRKDNVTVKNLDEDEDSDFEADITVMKVDGCASIMGHYIVRNFNADRMVLKLHHGEIPINRQVIHEMLGLPLGHATIKSMPYREVTDDTITVWRKQFEDEDNIRPRAVQQVIMQSTRADLMFKVNIFVLLCNTLGQSMSMGTCDLSMLSKVTKDLDLSDIDWCGYVFDCLKETKSAWNPNSKKGFYVGPIILLLGNDAGDVRCKGNHGDDIFSGSGESVETTVSTIKEMYDMILQQKKVLEDKINDAVKKYPDNQLVKEWKNKVNDLFTEVSASEEPEQSQWWYDNEAEIERTLILATTNKQFDNSPIAKCSIQMSQEYADFANRSGTKSFKNTPPSKMEMPIPLSVVPFNNDEHWVSRREYRPRMKSEYLKSPYVIRAVDIIKGVPQQEKRVAEWIFSLQGEPKGFIWKVFFPTCELFGHVIDCWSQVLNLDESKHAPESPLRVYCKTDVTNSYLESGLTESQRKDKFIENLVLSIEDMDARLRFVGLLFLPIIHSFHIFLFVINLQQPEFVIVDNSKVDDPDGERYGQLPQIIKEYIVDYLKSQNHPKAEMFSHVMPHRLEMPWRTINNSIDCGVFTMRHMETYMGGSMNEFKAGFKNESSAQDDQLVKLRTKYLYKILTHEYNVQKDYVLQKVDEFHKIPSKQRSQMLAIAKEEIHRRLDVLS >cds-PLY85004.1 pep primary_assembly:Lsat_Salinas_v7:4:222908783:222910838:-1 gene:gene-LSAT_4X123720 transcript:rna-gnl|WGS:NBSK|LSAT_4X123720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLHSLQQNYTFLLGIGAVVVVLNFLSLITKTRKNNAVPKASGARPILGHLNLFGGSSGPPHIALGSLALKHGPIFTVRLGVRKVLVVNSWEIAKELFTTHDVTISSRPKFTAAKILGYNYAMFGVTPYGPYWREMRKIASLQLLSNRRLEQLKDVRESELENSIRKMHELWKEKKDARGKVLVDMKKWFGEFDMNVMLRMVVGKRCTEAKNVEEENDMNNYGKVFREFFHFLGLFVVGDALPFLGWLDLGGHVKAMKRVAKEIDCITGKWLDEHRRKRGCVEVIEDKDYMDVMISAVETGGLTDYDADAVIKSTCLDIIASSADTITATLTWTLSLLLNNPFALQNVQEEIDKHVGKGRRVNDLDISKLVYLQAIVKESLRLYPAAPLGAPREFSEDCIVAGYHVPKGTWLIVNIWKIQHDPEIWSDPSEFRPERFLSGGTHAHVEVKGTNFELIPFGAGRRSCPGLAFSLQMLHIVLATLLQNFDMSSPNDSIIDMTESVGLINAKASPLKVQIVPRLPSNNW >cds-PLY92253.1 pep primary_assembly:Lsat_Salinas_v7:2:209262739:209264681:1 gene:gene-LSAT_2X129700 transcript:rna-gnl|WGS:NBSK|LSAT_2X129700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLETWLIKVKKSISHSFDSVHGHSLPPNGKPVIKKSRVGVLAFEIAGIMPKLNYMWQMLSDKSMTRLRNESVCLEGVRKIVSPDDVFLLGLACAEMVENLRVVAKSVSRLSKRCEDSSLRSFESVFDGFANTGRDPHGWIMSWKEMEAKIKKIERYVTATTALHREIDELTVIENSLKKSLQCCNNNYKKDVSFTNTMKQQKILDLQQKLQWQKQEIRHLKEKSLWNRSFDTITSLLSKSIFTILSRIKLVFNINHGYPSSLPRSLSASATVYPSDQTSNSFNFVSGPLIKSSKHQENNHISHGFFETNSKILKPSSTTLGSAALALHYANLIIVTEKMIRSPQLIGVDARDDVYSMLPNSIRSSLRRRLKGIGFTATDPVLAGEWREALGKILGWLSPLAHNMMKWQSERSFEHQNRMPKTGVLLLQTLFYADQEKTEAAITELLVGLNYIWRFEREMNAKALLNCSNFKNLQ >cds-PLY79149.1 pep primary_assembly:Lsat_Salinas_v7:4:218211101:218214141:-1 gene:gene-LSAT_4X122721 transcript:rna-gnl|WGS:NBSK|LSAT_4X122721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSTHPNLESASSHIIGFLYQLLRFKYSGYLPSDYLQLVGLRFQGDLRSYLQKIGKLSPSKALTFALDIGRQVVFFNLSLCESGEKAYNYVSGLHTCRPDRATWDLIAMVENGKHEGPATYSVTFVWNGHEGENVELIRGFAGNWKEPVKAIHKGGPQYEPEVRLAQGKSYYKFIANGNWRHSTTSPTEKDDRGNVNNILEVGDVANVRPSIQHPTKENMSEAIKICNKIVQHHPTP >cds-PLY65235.1 pep primary_assembly:Lsat_Salinas_v7:8:20420914:20423200:-1 gene:gene-LSAT_8X15800 transcript:rna-gnl|WGS:NBSK|LSAT_8X15800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTSAEREIVRDMKEKLAYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >cds-PLY74013.1 pep primary_assembly:Lsat_Salinas_v7:1:33080882:33082671:-1 gene:gene-LSAT_1X28720 transcript:rna-gnl|WGS:NBSK|LSAT_1X28720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEINNLVLFSFTLFLVLGFVKGFDYSEKELETEESLWDLYRRWRSHHHVAEISRQEKLKRFNVFKFNLQHVHNTNKMKKPYKLKLNKFADMTNHEFRSMYAGSKISHHRMLKGERIGSKGFMYANDDNVPDSVDWRKENAVTPVKNQGRCGSCWAFSTVVAVEGINQIKTKKLVSLSEQELVDCDTGENHGCNGGLMDMAFDFIKKNGGLTTEDNYPYTAADGSCNKVKVENQVVSIDGHEDVPANDEDSLMKAVANQPVSVAIDAGSSDFQLYSEGVFTGKCGTELNHGVAVVGYDTSDDGTKYWIVKNSWGADWGEKGYIRMQRGISDKNGLCGIAMEASYPVKNSDTNPNSSSIRDEL >cds-PLY78354.1 pep primary_assembly:Lsat_Salinas_v7:9:10141250:10142379:-1 gene:gene-LSAT_9X7881 transcript:rna-gnl|WGS:NBSK|LSAT_9X7881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAERLTYDQINEFRQAFSMIDKDSDGLISTDDLIGVIQTLNENATNEDVQEMMNEVDHGNEQGTIDFDEFLSIMSKRMKDSVSDELKEAFKVFDRDQDGYISPDELRNVMINLGERLKDEELEQMIREADLDGDGVISYEEFVRVMMNSS >cds-PLY70370.1 pep primary_assembly:Lsat_Salinas_v7:4:98183348:98185090:1 gene:gene-LSAT_4X63540 transcript:rna-gnl|WGS:NBSK|LSAT_4X63540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYVGVGVSPGNVPVYHGTDLRAVDRKVRLAELILRSVTCVLALASVLLITTDSQVQDIFTIKKKAKFTDMKSLVFLVVVNGMAAAYSLVQVLRCGLSMVRGSVLLSKSLAWLILSGDQILSYMMLAALGAALQSSIFAKFSEPELDWMKLCDMYGKFCKQSGEGIASSVVACLSMVIVSGLSAFGLFRLYGGNKGTKISAGW >cds-PLY71765.1 pep primary_assembly:Lsat_Salinas_v7:3:45270971:45274265:-1 gene:gene-LSAT_3X35700 transcript:rna-gnl|WGS:NBSK|LSAT_3X35700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSNEQYLLDTEAETDDDESNKGKEMDSVEMMFKDTAIPPWKEQLTLRAFVVSAMLGIMFSFIVMKLNLTTGIIPSLNVAAGLLGFFMVKAWTKVLEQSGMLKDDTFTRQENTIIQTCVVATCGIAFSGGFGSYLFGMSDTVAAQSSDANSPQRDMNVKNPGLGWMICFLLIVSFIGLCSVVPLRKIMIIDFKLIYPSGTATAHLINSFHTPQGAKLAKQQVRELGRFFSISFLWSFFQWFFTSGDHCGFQSFPVFGLKAYDNMFFFDFSTMYIGVGMICPYLINISLLLGTFLSWGILWPIIETKEGDWYESGLEPGNFHGIQGYRVFTAIALILGDGLYNFLKVLGNTFHKLYNQIQNKKSCAVLPVGPDDKEISFDDQRRKEVFMKDQIPTWLAVVGYLGIAAIATVTLPQIFKPLKWYHVLLIYAFAPALAFCNAYGCGLTDWSLASTYGKLAIFVIGEWAGKMQGGVLAGLAACGVMLNIVSTASDLIQDFKTGYMTLASPRSMFVSQVIGTAMGCVIAPCVFWIYYQAFPDLGVAGSEYPAPTATVFRNLAILGVEGFGSLPKHCLSLCCGFFSVAILINVARDIAPKKWARFIPVPMAIAIPFYMTGSSVAIDMCLGSLILFIWETKNKADSEAFGPAVASGLICGEGLWSVPKSILALAKVSPPICMKFLSKDMNDNVDLFIETITR >cds-PLY70366.1 pep primary_assembly:Lsat_Salinas_v7:4:101156278:101160355:1 gene:gene-LSAT_4X64481 transcript:rna-gnl|WGS:NBSK|LSAT_4X64481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFVNVINCCLDSIRELSEELKGAVVYLDAGCTESFKFLGAFSVLLEMGVLSVCSLESMSSLDMAIDWNQKNDDLTKVVFFTCRLLSDAHRYILRCLTTLQHVSQCTIYISISETGHSAYPDSPLGPDAYHEYQILLVQDYEELKKKNNQNSIHKNGNKPKENPIFEDEGWLQLTPRESDTPKSQPFSTSKDTYDGGPKLMAYVHHFPLILCPFSPKFFVLPSEGSISEAYLSGDQENSISSGLPPLSTGTFHDGEDIPPGVALTAQFLYHLTTKMDLKLEIFSLGDLSRSVGKLITDMSSLYDVGRRKKPAGLLLIDRTVDLLTPCCHGDTLIDRIFSSIPRRTRTPSGPKGTRSRPTNLLRSPLDLQIPLTEILQEIPPKDLHLLQTIEPFLQGWSNTNQLSGNLCSSEIELLSGSLISTENFNGTPFLESILDKRTKEGTTLIKKWLQETIRKEKISVNTKIPPGFERLIKSLSKNESTFTRNKGIIQLAAATSHAMTEKNRAKWDSFISAEKILAINATDTTQNLSSQMCDIINKSLTREPESLISIEDALLLTITGYILAGENFPTSSSTGPFSWQEEHFLKEAIVDAILENPELGKFKFLDGLYDDLEANLKKKRDGGVAKDDFEDDQWGSWDEEDGGDNNNNNTSNENAYSDMQLKLELRDRVDNLFKFFHKLTNLKGGKGRVNWESDNDPYSRKGLLYKLVTRILRKDDVPGLEYHSSTVGRLFKTGFGRFGLGQAKPSLSDQNVILVFVVGGMNAIEVLEVQEALLESGRLDIEVVLGGTTFLTPTNMLDLLLGDSSYI >cds-PLY61723.1 pep primary_assembly:Lsat_Salinas_v7:5:214411108:214411799:1 gene:gene-LSAT_5X98861 transcript:rna-gnl|WGS:NBSK|LSAT_5X98861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRILKLKLRGGTSYQGQWVFICPGDKLLVPSLVVAARAWKSKFIIGDGTNMYDFTYVENVAHVHVCAERALTSDGSASKRAAGEAYFITNMEPIKFWEFMSLILVELGFEWPRIKILASIAKMVERTYSV >cds-PLY91740.1 pep primary_assembly:Lsat_Salinas_v7:9:16854467:16855592:-1 gene:gene-LSAT_9X17080 transcript:rna-gnl|WGS:NBSK|LSAT_9X17080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVLVKHWLNGALLSKLRLESLQLHLPTGIQMMMMMIVATSGTKGGSSGSLVIDWQGMAIALNVGSKTSSASTFFFPLERVVRALNFLQKERDCGQDKWEVVSIPRGILQVCLI >cds-PLY68647.1 pep primary_assembly:Lsat_Salinas_v7:3:176790919:176800389:1 gene:gene-LSAT_0X39381 transcript:rna-gnl|WGS:NBSK|LSAT_0X39381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSNLPQLQVGCKMGCTFCATGSMGFKSNLSIGEIVEQLVHASRLSPIRNVVFMGIREPLNNYNALVEAIRVMTAFPFQLSPKKITVSTVGIIHAINKLHGDLQNINLTVSLHAPVQDISQQKIFIEYIMLDGMNDEEQHANQLRRLLDTSEVTLTSLNLNLFLYTQMEFSKYVGVAEECSSSESGWTMYIVSPMHETSYNHHHDHVDDEIYESHGDYSTYHNADSDDSMVSDASSGPIRQGILRGSSKRRESKHAVKRNLLGKNERQVEKKLYERRQRAEKEEHKTRGENKARTIN >cds-PLY64936.1 pep primary_assembly:Lsat_Salinas_v7:8:133708438:133708797:1 gene:gene-LSAT_8X92660 transcript:rna-gnl|WGS:NBSK|LSAT_8X92660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPQMSLLHSDGALKIVDADEESGFTFFNSSFIEIGLSLEELRWKFYEKNTSVGPPLSVEVSDSPPMAMEANMVRVPVGDVDRPFFPLSSDAATQADSLPCEGVDPHPHNSGICLYLCA >cds-PLY63584.1 pep primary_assembly:Lsat_Salinas_v7:MU045890.1:793544:794401:-1 gene:gene-LSAT_0X13361 transcript:rna-gnl|WGS:NBSK|LSAT_0X13361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQQEKTEGSGISPSFSCYSSDSLTSIAVAKVIGEEQAKDHEFSFVLSDEDVSTEENESQGRTVFPVFNRDLLMKDENDHEPKAKENELHVSSSITGSLQKLFIEEREESSLYSSWEEEESESQHSKTYCVLWRTKGDCGSPPRMNKCKKSSSTGSGSKRWSIRCLLRRSNSEGKEPMVLLTPKKVDSQKQKRNSGVVSKVAGRLKVQTPVHELFYVRRRAENEVVTRKSYLPYRQDLVGFFSNVNGIRKMLPF >cds-PLY81107.1 pep primary_assembly:Lsat_Salinas_v7:9:67432454:67433532:-1 gene:gene-LSAT_9X57340 transcript:rna-gnl|WGS:NBSK|LSAT_9X57340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLMVSSISYCCLTKLNSRNFNLSSLFSPSFPNSISPNSFPCSNFSTHRRARTNKRTQIISKSATQDEWLKSLPDKKKPLYSHSLPCVEAWLKQLGFFQSNDDRAVWFIEKPDWHAKLSLDVTDLYIRYLKSGPGNLDKDMERRFSYALSREDIENAILGGP >cds-PLY86852.1 pep primary_assembly:Lsat_Salinas_v7:8:50388871:50390594:1 gene:gene-LSAT_8X37540 transcript:rna-gnl|WGS:NBSK|LSAT_8X37540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTIKRALQDDNELTSVQIIYKLLSRKSKKKLEQLLHQWSEWHTQECSLSEGSNEDLESGEETYLPALNVSSEKSCIISVYMDTQVNKKQKTENTDVNKDSIPVYDRNFPLLMSNDDVATKESVDDIVNASRCFNCDAYDHSLKECLKPFNKEVVSNARKLYLLKSKRPSGPRVLTRYYQNTPGGKFDGLKPGCLDLETRKLLHLGEFDPPPWLNRMREMGYPSGYLDLEDEDQPSGIIIFTNEDTKENEKCFDTNFTEQKRKMSVNIPGINAPIPVNADKNRWVTPNSQLKGLKSFSNNYDNNNNNNSSFLNKRVKSSTELHFQHHSYEQSWRPECRRGSTPVYARGEHIYARAFPLLSNYANRYWDHINQGNSPTSFNG >cds-PLY67295.1 pep primary_assembly:Lsat_Salinas_v7:3:256899548:256901894:1 gene:gene-LSAT_3X140420 transcript:rna-gnl|WGS:NBSK|LSAT_3X140420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSISIQYAIGIRLFVFNYFRNPRPLVISLILLRFSFSSSSSQSFYLSATGMSSSGGGLNLGEGLLFPGKICCCKDNNGLFGAVCNESPDSEAHYVEKDPRGRYVRYSEVLGKGAFKTVYKGFDQLEGIEVAWNHMKIDAVMQSPQDLEKLYSEVHLLRSLKHNNIIKFFDSWVDHKKKNVNMITELFTSGSLRHQNPPVIHRDLKCDNIFVNGNNGEIKIGDLGLATVMQQPTAKSVIGTPEFMAPELYEEEYNELVDIYSFGMCLLEMVTFEYPYSECKNPAQIYKKVTSGIKPGSLSKVGDSELKGFIEKCLVPATERSSAGKLLEDPFLKEPTPTAAPRSLNFMEIDQLNTKNGVAEFKRIHQQNEFRLKGIKNDDNSVSLTLRIADPCGGVRNIHFLFYLDSDTPQSVAIEMVEQLELADHDVCFIAEFIDYLITKVIPGWKPNSRGDKEDSETSDASMGENDVRICEMEVRDLYYGGYGLECVKRINEDFADEVESMSMINNASFLSLSCNEDEDEDDDEMEVHKDIEEDDVELRLGIEAIEAQYQQLSIELKRLREEAVEATRKRWIK >cds-PLY69044.1 pep primary_assembly:Lsat_Salinas_v7:9:142311605:142319217:1 gene:gene-LSAT_9X91061 transcript:rna-gnl|WGS:NBSK|LSAT_9X91061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIAVKFSPLSSILTDDATNIPLTPRLLHGYSRSLYLGFFILLFITWFGRKFRNNSNGGPKQILRSNNRTNESIITSQDTLLGAFVWSFVSLYLHTHVSNSTESLYPIVLKVWWLSFSLISCFCLVLDYLNYQQNQYLIFEALSTFVSLCLCYVGFSDNIVNGTINHLYQESESLLNETITPSTNISIFSLLTFSWIHPLIATGYKKPLDLEDVPELDSVNSARGAFSVLRSKLESGKRENSQITTFVLAKAVILTIWRDILITALLSLVYTLASYVGPFLIDAYVEYVNGKRDIKKGFLLVSAFIVAKIVECLAQRHQDFKLQQAGIKARSALVATIYLKGLTLSSQSKQGESSGEIINLMAVDAERIGEFSHYMHNPWLVILEVGLALAILYKNLGLSSLAAFVATIIVVSTNIPLGRFQKKFQEKLMMYKDKRMKTTFEILKNMRILKLHGWEMKFLXPRTNESIITSQDTLLGAFVWSFVSLYLHTHVSNSTESLYPIVLKVWWLSFSLISCFCLVLDYLNYQQNQYLIFEALSTFVSLCLCYVGFSDNIVNGTINHLYQESESLLNETITPSTNISIFSLLTFSWIHPLIATGYKKPLDLEDVPELDSVNSARGAFSVLRSKLESGKRENSQITTFVLAKAVILTIWRDILITALLSLVYTLASYVGPFLIDAYVEYVNGKRDIKKGFLLVSAFIVAKIVECLAQRHQDFKLQQAGIKARSALVATIYLKGLTLSSQSKQGESSGEIINLMAVDAERIGEFSRSSGEIINLMAVDAERIGEFSHYMHNPWLVILEVGLALAILYKNLGLSSLAAFVATIIVVSTNIPLGRFQKKFQEKLMMYKDKRMKTTFEILKNMRILKLHGWEMKFLSRIHDIRREEAQWLYKNVFTMAMTTFSFWVAPTFVAISTFGTCILAGIPLDSGKVLSALATFKILQESALDLPQTVSMIAQTKVSLDRIASFLRLQDLDSNLVENLPKGSSDTAVEIINGNFSWDVTSANPTLIDINFRAVHGIRVAVCGTVGAGKSSLLSCILGEVPKLSGHVKLCGSRAYVGQTPWIQSGKIEENILFGKEMERERYDKVLEACALKEDLGVLSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHIFKKCMLDFLKSKTVIYVTHQVEFLPDADLILVLKDGRITQAGKYDDIVNTGSNFIELVGAHKEALLEMGKKLASDIKDANDNKRNQGNVKNHIQEVNVNSQKGKEVYPNGKKRQLVEEEERGKGKVDLSSYLKYLTIAYGGALVPLVLLAQITFEVLQISGNYWMASASSKSIEAHVEGSKLIIVYVGFGIGCAFCVLTRAMLVMKAGYETANKLFYKMHFCIFRAPMSFFDSNPSGRILNRASTDQSAVDVKLADTMILFAAVVIQLFGIIIVMSLGAWPVFLFFIPMIGICIRLQRYYIPSARELARLVGVSKAPVIQHFSETISGSTTIRSFDQNGRFENTCLNLVDNYSRPNLHVVGALDWLGLRLDMLSSLMFSFTLIFLVSIPEGTINPSTAGLAVTYGLYLNKLQGWAIQKLCNVEIRFISVERIFQYCCIPSEPPLEIESNRPHSFWPSHGKVDIINLQVRYAPHMPLVLRGITCSFHGGRKTGIVGRTGSGKSTLIQTVFRIVEPICGEIVIDGINISSIGLHDLRSRLSIIPQDPTMFNGTVRSNMDPLEEYTDDQIWEALDKCQIGDEVRKKEGQLDSTVTENGENWSMGQRQLVCLGRVLLKKSKILFLDEATASVDTATDNMIQKTLKQHFSDSTVITIAHRITSVVNSDMVLVLHDGLIEEYDSPTKLLEEKLSAFSKLVAEYSVRSNSSHTISNCS >cds-PLY89304.1 pep primary_assembly:Lsat_Salinas_v7:2:51673836:51676140:1 gene:gene-LSAT_2X24301 transcript:rna-gnl|WGS:NBSK|LSAT_2X24301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTGSRELIKSILIMVGIPLAFSVIARIKNRKISSFQDKIQETESDRNHLKDQILNLKTKIEELQKLEREIEDRFLEFINLKDQEYALMEIQNNLVIEKERAAFLEREVSSMDVETKKFDEVVIEYLNALYELQSSRTENSMLRKRIKKLLKKTRESSKLMSDQNSKIKTREVQMLHIEADLKSKDSVIEGFKHEVDEMRAMISQLQDEKDEVSNKLDAAEIAISSKVEAERIFSENYNRVVNELEQLKKDRAVEVKELIYLRWCHACLRHELARRNQLENERKVDNEKNNELGLGFGGDIVPHEECIGHESDNESVTHINEPFFEHGKHHPKRQWLVRKFKKWVEGNEKNHEAKCFRTHSVVDETRQQHLAGRKSFSSA >cds-PLY93252.1 pep primary_assembly:Lsat_Salinas_v7:6:158352951:158356549:1 gene:gene-LSAT_6X97121 transcript:rna-gnl|WGS:NBSK|LSAT_6X97121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRMQHEPRVNRISAIDQSGNASPVVHSKDYDHQEIIATQDNLQKPGWKAFLSFVGPGFLVSLAYLDPGNLETDLQAGANHRYELLWVILIGLIFALVIQSLAANLGVCTGKHLSEVCKAEYPIFVKYCLWLLAEIAVIAADIPEVIGTAFALNILFKIPVWVGVLLTGFSTLLFLGIQRYGVRKLEVLIALLVLVMAGCFFGEMSYVKPPAADLLKGMFIPKLGGRGATGDAIALLGALVMPHNLFLHSALVLSRKIPNSVQGVNNACRYFLIESGIALFIAFLINVSIIAVSGTVCSAENMPSDALERCNDLSLDSASFLLRNVLGKSSSTLYAIALLASGQSSTITGTYAGQFIMQGFLELKMRKWLRNLITRLIAITPSLIVSIIGGSSGAGRLIIIASMILSFELPFALIPLLKFSSTTTKMGPYKNSMIIIVFSWVVGLGIIGINIYYLSTSFVNWLIHSSLPRVANVFIGIIVFPVMAIYVISVLYLMLRKDVVVTFVEPTKFDPTTQVSMERGENGADRAVELSNAPYREDLADIQFP >cds-PLY79251.1 pep primary_assembly:Lsat_Salinas_v7:9:182617687:182618397:-1 gene:gene-LSAT_9X112380 transcript:rna-gnl|WGS:NBSK|LSAT_9X112380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSKKLKTKSKSSGVVLKDVTYEEAQKSMKHKVVWVLKQFKKFRAQKVEEPSTKATSTSNTFETNHDSGETKDEFFGNNDLTFHDSPRKYDIVESNIEEIRNPGVTVNASNVDTNINSGEPIITSIVKMTIVTPLEGPISKSNMDEGRYSNITEKLSNKDSNVTMGVENSTYAIDTSSVPPPPPTSTIILTTIPTVLPTFQGVIDEPITSLFSSQSTCQETPNKKMKKMKWLDLLS >cds-PLY79757.1 pep primary_assembly:Lsat_Salinas_v7:1:180740827:180745231:-1 gene:gene-LSAT_1X115940 transcript:rna-gnl|WGS:NBSK|LSAT_1X115940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKIVRSVGRKDKHSKICTSKGARDRRIRLSANTAIQFYDVQDRLGYDRPSNAIDWLMKEAKSAIDVLNADQYHHLQELLQPDTTTYVFNPSEAFHRTPEDRDQQNLNQDQINSFSSYPFEVISSRNENELQGENAIPVPRDINFAWNTSYNAGESFELVNREPLQSNFHRLIVHTPSNEFINHEDGLLGFSFEQEIPVQTVIQKSMQLHLKMRKIVRSVGRKDKHSKICTSKGARDRRIRLSANTAIQFYDVQDRLGYDRPSNAIDWLMKEAKSAIDVLNADQYHHLQELLQPDTTTYVFNPSEAFHRTPEDRDQQNLNQDQINSFSSYPFEVISSRNENELQGENAIPVPRDINFAWNTSYNAGESFELVNREPLQSNFHRLIVHTPSNEFINHEDGLLGFSFEQEIPVQTGNR >cds-PLY92620.1 pep primary_assembly:Lsat_Salinas_v7:7:159517107:159520642:1 gene:gene-LSAT_7X95201 transcript:rna-gnl|WGS:NBSK|LSAT_7X95201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGFRLQMTWQTSLLLNKRKNGPPLGFKNLSNTCYLNVVLQFLTYTLPLANFCLRLQHCEKCEFLVQEDKKSDCPFCLLEKRIVRSHNIDSTLDTPGKVDGRKEYEAT >cds-PLY74204.1 pep primary_assembly:Lsat_Salinas_v7:9:24341862:24342439:-1 gene:gene-LSAT_9X21841 transcript:rna-gnl|WGS:NBSK|LSAT_9X21841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGVLKLELSKRTYLTKLRSEYKEAFLEKHGVKFGLMSGFVKAAVSGLQNQPIINDVIDGDDIIYRDYIDISIAVGTPTE >cds-PLY92036.1 pep primary_assembly:Lsat_Salinas_v7:5:323119594:323119842:1 gene:gene-LSAT_5X177780 transcript:rna-gnl|WGS:NBSK|LSAT_5X177780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTKSIIVSENKISREKDKYSWYPDLERHRSDGRMICRRLNGGEVGHLKNPKSSPRIASDLRHHASSIFQICVRPTLKTPKS >cds-PLY65935.1 pep primary_assembly:Lsat_Salinas_v7:4:137653851:137654726:1 gene:gene-LSAT_4X85020 transcript:rna-gnl|WGS:NBSK|LSAT_4X85020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADPPTLYLRSFEGILTGAVASPTVSPTRHSSLRYTPDFGFAFEGMNALDPSSLKIITSNAPPSKPTAQSVVIMISGRCSQDPIQAEGMIWQRRLKDFVVFLGFTSTGEEAKERGEC >cds-PLY64944.1 pep primary_assembly:Lsat_Salinas_v7:6:57128039:57129697:-1 gene:gene-LSAT_6X42840 transcript:rna-gnl|WGS:NBSK|LSAT_6X42840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNAGSEGEFFLATNPKLSGSPPRRAPPAVPFVAPSPIMSDITSLPFEATEEDEPVISNSKSFNSGPAQNLTVDDIDDFEDDDDLEELNSRRYSRRTMNDTVDLVVGLPSFATGITDDDLRESAYEILLAAAGASGYLFFLFCLWLWLLNAMAGKVGKRMDALMITLELLSCVSRTEFSDKKDYIRWQKRQLNMLEEGLVNHPVVGFGEFGRKASEMRILLARIEESKKTTWLGIFYMCIPRGIALGYVYGGWVNLAREQVGL >cds-PLY95906.1 pep primary_assembly:Lsat_Salinas_v7:6:105820011:105820862:-1 gene:gene-LSAT_6X68161 transcript:rna-gnl|WGS:NBSK|LSAT_6X68161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGASYFFWASNWNVRNASATRVSHPTPAPYCNPSLIDGDNNQGEEERIEGRSEKRNSIEIRKPRGTGGLENAMGGGSVVDFNRREEGRRTPSKTTIHPWVFVIPSPTATTWKRNQNNKQQRLGFGCSAGIGEQNSNNRHPWAGFNGSDWQKKKEEDGGFGWCFIDQWGLKSAAPSCFLLSEPMGMSKKRTKEEVDGLLLFLGSHPRSKKKRKKQIFEVSKLVHQ >cds-PLY95474.1 pep primary_assembly:Lsat_Salinas_v7:7:22229463:22230043:1 gene:gene-LSAT_7X17040 transcript:rna-gnl|WGS:NBSK|LSAT_7X17040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPFDPPFQEFYKKLFDDNFFNGPCPGGDEIEFSHNAKECKFELPLIDLSHLNIYGFKREDCKREIAKAAQEWGFFQVVNHGVSQEILEKLMSEQVKAFKKPFQVKVNGLPELNFPTGSYRWGTPSANCLQQLAWSESFHVPLIDLLTIGACAAADFSCS >cds-PLY92912.1 pep primary_assembly:Lsat_Salinas_v7:3:115447373:115448400:-1 gene:gene-LSAT_3X81981 transcript:rna-gnl|WGS:NBSK|LSAT_3X81981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKSTKEMKPVTTTHGLGLRKGAWTAHEDTLLKKCIEKYGEGKWNLVPLRAGLSRCRKSCRLRWLNYLRPNIKRGNFGEDEVDLILRLHKLLGNRWSLIAGRIPGRTANDVKNYWNTNIQPRSKQQKKESSDAKPMQNITTTVIKPKTLALTNTLNQCMDENPQIMAHGECSLIRTSNDDDNNNCDISFGLSSSPSILDDKGKEYFDDLFDDIEMEDGEYGWLFGGSPVAGQELNVVEQEDGQNQLFEFPMDEVAWKLID >cds-PLY83758.1 pep primary_assembly:Lsat_Salinas_v7:4:43378627:43380556:-1 gene:gene-LSAT_4X28880 transcript:rna-gnl|WGS:NBSK|LSAT_4X28880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSSFVGQAVKVAPSGSEITGNGRVSMRKTAVKKVAPSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWATQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVANNAWSYATNFVPGKTSTLIGSKVQGIRNCGEEMSGNWNIVPPKNPPQDLLCERAIHKQMVGSFRISRTYLALDKSNTNSPPRKGQGG >cds-PLY93236.1 pep primary_assembly:Lsat_Salinas_v7:6:159867824:159870544:1 gene:gene-LSAT_6X98701 transcript:rna-gnl|WGS:NBSK|LSAT_6X98701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear speckle RNA-binding protein A [Source:Projected from Arabidopsis thaliana (AT1G76940) UniProtKB/Swiss-Prot;Acc:A1A6K6] MADGYWSRQREQQQQQQQHEQQQLYSSASLLKRPRSDYDLPPAGLPFSYEMPNNYLPQNDDLGGAHSHAIKDTKTIGSAYDHYLQTAKLSSGEGSGYGVVSGVGREIGGGMHSFPLSDPISMTRVGPMGLDHGPNGRALQMDHHNHNHHHHHHHHSPVDMNMMGRGVRETLPLPADASSTLYIEGLPPNCTKREVAHIFRPFVGYKEVRLVSKESKHQRNGDPVIISFVDFSTPACAATAMSALQGYKMDEHDPDSAYLRLQFSKYPGPRSGPTRRGGRR >cds-PLY89319.1 pep primary_assembly:Lsat_Salinas_v7:2:50156780:50165775:-1 gene:gene-LSAT_2X23801 transcript:rna-gnl|WGS:NBSK|LSAT_2X23801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSAIISPIVESLMGPVKKQLGYIFSFTKHVRNMNTIMKQLDGTILDVKKHIERNNISNLEIPARIPGWLEEVEKTKEKAQTISSTGNGCFNLKMRHQVGRNAFKIIEEMKSFIDENNKITWSDAQRPLGKVNSKIASSSAPLDGDAQNHFKSRERTFKDALGFLQQDHKSQVIALCGMGGVGKTTMMEQVKKTAEDKKMFDYVVKVVIGQQINMFSIQQAIAEYMGQSLTETSKTARVDRLRITFGNLPEGKRKVLVIFDDVWETIELKDIGLSPLPNGFKLLLTSRNENICKQIAVEANSDSTLVRVDVMEELEAHNFFWQITGVSKQHDMELNQIGSEIVRRCGFLPLAIKLIAKTLQFHEVSAWRDTFQRLKKKNLDENVQEVIKISYNYIKTEEEKVIFLLCGLFPDDFNIPIEELTRYAWGLQLLSEVSTLGEARDRTKTCVQNLRNANLLMDSDYIGCVKMHDLVLAFVLSRVSKGDHAWIINHGDILKRSRAEVEDSCKRVSITCTGMSEFPGDSEYPNLSLLRLMNGDKSLKFSQGFYERMENLEVVAYEKIQYPLLPRSLECSTKLRTLILHQCLLMFDCSAIGELLNLEVLSFAHCGIKKLPSTIAKLKKLKLLDLTGCLNLRIDDGVLKNLVELEELYMRVACGKAICFTDNNRAELAELSKHLSALEVEFFDNKDTPENMVFTKLKRFRICMGCGLEYNTRKNMHSFENTLRLVTNKNELLESGMNELFEKTEVLYLEVDGMNDLEEVLVQSVHLPRQAFNNLRALDVIKCANLRYLFTVPIANGLMKLERLTVSQCSVLEVLAHSENGGDGVIKFQGLKFLSLKKLPELIGFSNIDIVIELPQLLELRLEGLPNFTSIYPQKTSATSSMSSNVSAIQPFFNKQMLIPKLEILQIHGMDELKEIWAYQFSISDEVNACMLTKIEVKECDNLVNLFPTNPMSLLGRLEELHVSECGSIEVLFDMDVSCIGEIEEFGSKLKHIKVYRLDKLRELWRMKGESSFDILIHTFQAVENIEIRRCERFVNVFTPAVSKYDVRTLMNVSIDGRRPCESSRRNIQFAQKSQELNVISKAEVNNNIRDVESSIHPIPFLNHLERLNVEDCEDVEVVFEIESSSISSSTDFTTTPHKYNHQPPLLLPSLKVLDLQYMESMSDVWKCNWKKLVIPQNQSQSYSFHNLTRINMGFCNSIKYLFSPLMGKLLPNLKEVRIIFCDGIEEVVSSRDINDENEEIISSTHTNTISSFPLLEMLALGALPRLKSIDQFQCSQVDVASWFLCQYSKKISISYCYALSRVFPSYVVGQLNKLEELRISECGSIVEIFEIEGANSNGVDSITNVGDGSDAITIPRSANMTFLQLPNLTILYIHKCEVLEYIFTSSTLESFKQLKELTVIKCKAMQVIVKEDGEHIEKSKSIIVFPRLKSLKLTDLPDLKGFFLGMNEFRWPVLEKVKIYGCPQMMSFTSGHSKASKLNYIHTGLGKHSLDQCGLNFHLTNETQLSMCSTPAMIKLVQFPWSFSNLVEVDAQKSDILLKSSIVFPCKELLNLKNLEKLSITNAYQSVVEEVFEVTNDDVNIGTQSVVAFQKLKEVTLGGISNLKHMWKSHRWIVLNFPNLTKVSIVSCKLLGHVFSSCMIGSLLQLQELIISECKSMDVIVKQVEDSETRPTEVVFPCLKTITLHELPNLKGFCLGKEAFQWPSLDTLKIKDCPEIIVFTNGQSTTPELKLIDTTFGLCHTTEDPNSFIKTYQQEVCGVSFGYY >cds-PLY75238.1 pep primary_assembly:Lsat_Salinas_v7:7:65135195:65135857:-1 gene:gene-LSAT_7X46680 transcript:rna-gnl|WGS:NBSK|LSAT_7X46680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTRAIGINTWLKNEQPVDNVLAICAMGGSGKTTLAQFIYNSNKQDFESSSYLEEIGKHSKQSDGLLGLQKQLLKYILGGNNASISSVSEGTRKVEVALQVKRVLIVLDDIDEHDELDALLGTRAFHTQSKIIIRTRLLDINAWFGSISWSCHVHKLKSLNDDESLELLSCHAFGSKTPMEGYMELAKQLAEYCGGNPLALRLLGSSLFSNAKEPREKIG >cds-PLY66588.1 pep primary_assembly:Lsat_Salinas_v7:6:167204277:167205129:-1 gene:gene-LSAT_6X102161 transcript:rna-gnl|WGS:NBSK|LSAT_6X102161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILGKRHRPPIKRTTSMTEFTLDLNGTAAVGGGHDNPQPPHDRNNPFNTNTPPSGSLDHRFLTATISPRNHRRNSLDHMETAHFLTVCHLCNRRLIPGRDIFMYRGDSAFCSLECRQQQMIQDAKKPVALSSE >cds-PLY77491.1 pep primary_assembly:Lsat_Salinas_v7:4:49484546:49498487:1 gene:gene-LSAT_4X33420 transcript:rna-gnl|WGS:NBSK|LSAT_4X33420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKRRRRKTGQSSMKHNSEFEEVSSRKWEFINMSEQEEDIIYRMHKLVGNRSDLIAGRIPGRNPEEIERFWLMRHSEAYEDLRKRVKS >cds-PLY87499.1 pep primary_assembly:Lsat_Salinas_v7:8:96401671:96402222:-1 gene:gene-LSAT_8X66800 transcript:rna-gnl|WGS:NBSK|LSAT_8X66800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY62637.1 pep primary_assembly:Lsat_Salinas_v7:3:37202182:37205314:-1 gene:gene-LSAT_3X27601 transcript:rna-gnl|WGS:NBSK|LSAT_3X27601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRKTRYPNHRVSLLLSSTRNLPSPLNPNKIIFCNCSSPSSYTFLFCVTSFLCLFRYPFSSKAFCDEIGDELGRESICEIVKNERWDDYRIIKYFDSSLAPIWVSKILLQLNEEPTLALKFFKWAETRPKFCHTTEAYCILTHILFFHKLYNEAHGILKALLSSTLILPGFDVFDVMWSTRNACRPGWGVFDTLFNVLVELGKFEEANECFSRMKSHKVLPKARSCNSFLGRLTKIDKGDFSKKFFKEMIMAGVKPSVFTYNIMIDYLCKVGDMEGAQRFYMEMKALGITPDIVTYNSLIDGYGKLGKLTESICIYNDMKNIGCYPDVITYNALINCLCKFGRMPQAFEFLHHMKKSGLKPNVVTYSTFIDAFCKEGMMQEAIKFFMDMRRVGLIPNEFTYTSLIDANCKAGCIQEAVKLIKEMNEAGVMVNTVTCTALLDGLTKEGKMKEADVFFQEMLKTGISPNIKSYTTLFHGHIKSNNVNEATNVWEQMEAKSFKPDLLLYGTLILRLCNDKKIEDAKAVLQEMKKNGINANFVIYTTIMDAYFKLGHKREALDLFQEMNETGLTPSVVTYSVLIDGLCKLGYVHEGMDHFCKMSDVGIEPNVIVYTALIDGYCKNDQLESGVKLFDEMVGKGVIPDVNAYTCLIDGNLKHGNVKEALELRDKMVESGVKFDLHAYTCLICGLCRCGKMLEARKFYDEMIVNGVCPDDVIYGCLVKKYYEIGDTKEAEELQNEMVGKQIMNGIWDLSNQRGDMNLIDYQVIPSSFSITQFLNLVVLEVIWQQNEIVAVGKNRGEADGVKNL >cds-PLY74596.1 pep primary_assembly:Lsat_Salinas_v7:7:35030009:35034223:1 gene:gene-LSAT_7X26800 transcript:rna-gnl|WGS:NBSK|LSAT_7X26800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFPNNWLNGWLGFRIEFLQVAMIEAYLHANNMFVDYNEGFAVPKETQDKVAKFSFHGQEAELRHGSIVIKQVDMILAYLHANNMFVDYNEGFAVPKETQDKVAKFSFHGQEAELRHGSIVIKQMKQCKKYISKLVIHAEAQALQYQQKVIGKDEAASHDNKRLFYSTLKNDNPLDCIVSKVKVLKIAPGVNLKSIPPCDYYYDMKYNIDYSTFSTIKNDSNKDACESLRLNHPETQIRNESAGDFLDLIKEWDTSQ >cds-PLY78983.1 pep primary_assembly:Lsat_Salinas_v7:3:174735998:174736312:1 gene:gene-LSAT_3X103900 transcript:rna-gnl|WGS:NBSK|LSAT_3X103900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKRLTKVERDVATMKRLMALDDDDDDDMVVDDTPPNSLGDNPPPSPPPSTNLPPLRPPPSHPPPRTPSPPPNCPPQSDAAKREGELSRGSSTTANATGDCISA >cds-PLY70564.1 pep primary_assembly:Lsat_Salinas_v7:1:90332853:90337120:1 gene:gene-LSAT_1X74220 transcript:rna-gnl|WGS:NBSK|LSAT_1X74220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain base (LCB) kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G23450) UniProtKB/TrEMBL;Acc:B3H501] MQNSGSLPKSNSLKTPQQSLRRLNFCSQISTGQQTSPVVFPEKRTKGKVSKRNDVTANNNDSKNSKREEHKIDIGDEQSDLLGYEVISGKLVLDKRKTIKATDSQNSTETSHLDSIDAKLTSRALVWGSHVLTLDDVISVSYSYGMRHFIVHSYPIKKRSYSLSCFLKSGRSRKDFCFLASTPDEAFLWVTGFADQHCFVNCSPHPMVSSKKQDSEILATDFSYRHIKSKSPPRMLVILNPRSGRGRSSKVFHNLVEPIFKLAGFKLEVVKTTSAGHARNLAFSVDFSTCPDGIICVGGDGIVNEVLNGLLCRDNQKEAISIPIGIIPAGSDNSLVWTVLGVRDPVSAALAIVKGGLTATDVFAVEWIQTGVIHFGMTVSYFGFVSDVLELSERYQKRFGPLRYFVAGVLKFLCLPKYTYELEYLPASKQKSDLERKILAEKDTVDMSDLYTDIMKRSEGGGIPRASSLSSIDSIMTPGRTPLEPTTEPSDYVRAIDQKSKRLSSGRPNVTSEPEVIQTSSTPNWPRTRSKSRADKGWSGLTDPTRSSWGNLGGNDKEDISSTMSDPGPIWDTEPKWDTEPNANNWEMENPIQLPLPGPGPVVGVGPATETGVGVGVVLDLEENWVVKKGKFLGILVCNHSCKTVQSLSSQVVAPKAEHDDNTLDLLLVHGSGRLRLLRFFLLLQMGRHLSLPYVEYIKVKSVKLKPGKSTHNGCGIDGELFRVSGQVVSSLLPDQCRLIGRAPSSSVKGL >cds-PLY91082.1 pep primary_assembly:Lsat_Salinas_v7:9:124931802:124937573:-1 gene:gene-LSAT_9X81480 transcript:rna-gnl|WGS:NBSK|LSAT_9X81480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIVCTQILVITGVTDGNDVGALNAIKSSWQNVPPNWSGSDPCGSKWDGINCTNSRVTNLVLAGMGVKSDDIGDIPSLSQLIYLDLSNNKGIKAPLPPSIQNLKNLTTLILVGCSFFGPIPDTIGSLEKLIFLGLNNNSFTGIIPPSIGNLRSLSWLDVSGNKLEGPIPVSNGTAPGLDMLKNAKHFHLADNQLSGDIRSELFRSDMTLLHVIFNNNRLTGNIPSSIGLVSTLQAVRLDSNSLDGIVPQNITNLKSVSKLYLSNNKLSGPVPNLTGMVSLFYVDMSNNSFDASDIPTWFSELPSLTTLLMEKTQLQGEIPSTLFQPQLEKLLLSNNGLNGILDVGNTYNSDLNVDLTNNSILDFNQKSVYNMTLNLASNPVCEGGATGRYCATGKTNIQSRSPSNGCDHVRCGSDKVPSPNCTCAHPYIGTITFLLHSFSNLDNTTYYKLLNDSLMSAFRSKQLPVESIFISNAFIGEYLQYTLHIFPSGQDHFNLLEVSSIGTVINRQPAELIVLPRFGPFFFLDVNYFEGDKSSNHGAIIGVSVGSFVIVCLAISYAIYQKRRATRARQSDPFASWVMDNGSDAGGVPELKGARLCSFEELKRCTDNFSEEYVIGSGGYGKVYRGTLSTGHEVAIKRAKQGSLQGAQEFKTEIELLSRIHHKNVVALVGFCYEQGEQMLVYEYISNGTLKDNLSGKSGMRLNWMKRLTIALDSAKGLTYLHELANPPIIHRDIKSNNILVDDHLIAKVADFGLSKLLGDSKDYVSTQVKGTLGYMDPEYFMTQKLTEKSDVYSFGVVLLELLTARPPIHKGKYIVREVNEAIDKSPNELHKILDPYLGSSKTVGGLTKFVNLAMRCVQDLGVDRPKMGDVVREIEAIINLAVVNVDAESPSTFSTQNIGKVEDLYHPYSDSVSDSSSLYVFETELRR >cds-PLY73474.1 pep primary_assembly:Lsat_Salinas_v7:2:99464137:99464877:1 gene:gene-LSAT_2X43700 transcript:rna-gnl|WGS:NBSK|LSAT_2X43700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMATKSAFRSPIYRLIHQLVASTILHHEEYDNIPSGGVFYIGCLTQTEEEHRWIMASVVGLTQHLGIDHLPFRQMGPIVPASAHACHDGTGPPGTHLRDTDDDNSDEGMKYKEGEYESNDE >cds-PLY90048.1 pep primary_assembly:Lsat_Salinas_v7:8:82127308:82129223:-1 gene:gene-LSAT_8X57341 transcript:rna-gnl|WGS:NBSK|LSAT_8X57341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKERRDRSVSIDRSWTSPYVCNTSHSKRSLSKNPLESENIKEWEEARCPVCMEHPHNAILLLCSSHEKGCRPFMCDTSYRHSNCFDQFQKASSESLETPPTPTPTSTPTPTPTPTPVTTLTCPLCRGQVTGWIVVEAARGFMNGKTRSCASETCEFSGTYADLRKHARLDHPLVRPSEADPDRQRDWRRLERQRDMGDLLSTLQSSIADENGENLENGVTGNGGATTALSFDEGGWLTVFFLIRVFRPRSGSRSSSSWSGTSRARAHVTIRRRPSTRLWGESHNEGVVDDGGNDNDNDNDNDDDGDDDDDDDNESSDGGSGPQIHNNNINNNNDDES >cds-PLY99420.1 pep primary_assembly:Lsat_Salinas_v7:4:102278540:102284470:-1 gene:gene-LSAT_4X66800 transcript:rna-gnl|WGS:NBSK|LSAT_4X66800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Switch 2 [Source:Projected from Arabidopsis thaliana (AT1G03750) UniProtKB/Swiss-Prot;Acc:F4I2H2] MSLNSFKEILKPCAYQSESPSSSSYSQTASTLNPRKPPKSSLSQQLLRLEDDYSFLPTLLPTKQSKESSQFKQHDPLVDDAIEGKFEDEKEKNKDLEKQNGFRIARPKLEAPQFFDPTGPYEPLVLSESGQNPVVQVPASINCRLLEHQRSGVKFLYNLYKKNHGGILGDDMGLGKTIQAIAFLSAIYKKDEEHDTTTNPNKNKQETKGPVLIICPSSVIQNWEIEFSKWSTFNVAIYHGANRDIIFDKIESHGIEILITSFDTYRIHGNILSELNWEVVIIDEAHRLKNEKSKLYEACLSIKTLKRYGLTGTIMQNKIMELFNLFDWVVPGGLGTREHFRDYYDEPLKHGQRSSAPARFVKIAEQRKQHLVSVLGKFLLRRTKEETIGHLMMGKEDNVVFCAMSEVQKRVYKRMLQLPDVQCLINKDLPCSCGSPLKQVECCKRIVPNGVIWPYLHKDNPDGCDSCPFCLVLPCLTKLQQISNHLELIKPNPRDDSDKVKKDKEFASAVFGTDIDLVGGYYQNESFMGLSDVQHCGKMRALDTLMTSWIIKGDKILLFSYSVRMLDILEKFLIRKGYSFSRLDGSTPTAMRQSLVDHFNSSPSKQVFLISTRAGGLGLNLVSANRVVIFDPNWNPAHDLQAQDRSFRFGQKRHVVVFRMLAAGSLEELVYTRQVYKQQLSNIAVSGKLEKRYFEGVQDCKEFQGELFGISNLFRDLSDKLFTSEIIHSHDKQGTNDTEKKQTLSDFGMCFLPPQSRETFSLESKSPDMLGIVYAHRNEDIISHGRGCNVIQEVDTSTQIPILPPVPKNKKKPITITVTHSDNENGKASRKMKQYRLVARFMGMEVVEFSKWVLSASVSERERVLRDFKRRKEKMPLTLR >cds-PLY96719.1 pep primary_assembly:Lsat_Salinas_v7:6:77095690:77098834:-1 gene:gene-LSAT_6X55920 transcript:rna-gnl|WGS:NBSK|LSAT_6X55920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSMSFPKSPEGDKEEGLMADQVFKGSQPVHLIKHPSGVVPTIRNIVSIVNLDYKLDLKTIALQARNAEYNPKVCTGAKSEQQSKLAARKYARIIQKLGFPAKFMDFKIQNIVGSCNVKFPIRLEGLANSHGAFSRYEPEIFPGLVYRMNQPKIVLLIFVSGKIVLTGSKVIEEMYTAFENIYHVLIEFRKNQQ >cds-PLY62347.1 pep primary_assembly:Lsat_Salinas_v7:4:86747249:86749859:-1 gene:gene-LSAT_4X58400 transcript:rna-gnl|WGS:NBSK|LSAT_4X58400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEDFQATVCSGSWWMSPRSNFSRSWCSSVLSDMDNFGPFMSRDLIMDMKSKSSDNKSSDGSMIFHDIQKPSGIMSPDSALQFMATTFSSSPPATTTDHWNQNLLLKVQEELNTFPTSGDHDDSTAVTEADRHQDFTMDQQQSFNSTTTFPVSSTSYEAYPSTLLQSFLDNNSPPPPPPPPLQQLHQQPPYTFQPNSNDLNFIPKQHVPAFWNASGSTLDDNISSYFSSLSSQFLPSSYKEKESRPNLIIKRNHQEIRDMGSSAKKCSGELPLKRPRLETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIDYIKLLHDQVNILSAPYIKNGATKQLQQIDHDQKVKNAEVHKQDLRSLGLCLVPVSSTFPVANGTATGFWTPSYEGSFR >cds-PLY70626.1 pep primary_assembly:Lsat_Salinas_v7:4:226986452:226989937:-1 gene:gene-LSAT_4X124120 transcript:rna-gnl|WGS:NBSK|LSAT_4X124120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSGNDGGSDQQQQTQKTQPAVPTTTTGAAAGMGVGVGLGQQQWVAMQYPAAAMLMQHQMMAPSPANYQVTPHYMPYHPHHLHHQPHTPVVQPPQQGSGGGDNKAIWVGDLHSWMDEDYLRNCFASTGEVASIKVVRNKQTGISEGYGFVEFFSHAAAEKVLQNYGHIVMPNTEQPFRLNWATFSTGDKRSNNNASSDLSIFVGDLAADVTDALLHETFANKYPSVKAAKVVIDINTGRSKGYGFVRFGDDNERTQAMTEMNGTYCSTRPMRIGAATPRKSSAYQQGGYTSNVGSQNDGDSTNTTIFVGGLDPSVSDEDLRQPFTEYGEILSVKIPVGKGCGFVQFANRNNAEEALQKLNGTAIGKQTVRLSWGRNPANKQLRNDFGNQWPATAYYGGQIYDGYGYALQAPHDPSMYAAAYGSYAMYGTHQQQVS >cds-PLY94761.1 pep primary_assembly:Lsat_Salinas_v7:2:177033290:177034506:-1 gene:gene-LSAT_2X98520 transcript:rna-gnl|WGS:NBSK|LSAT_2X98520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGLSETPFIFTENQKRDLPPIPNAVLCIERKTQCNPPSSEDRFNCSEAKQTMISILAQERLLGAALGSVFAGAVIFEQRRDIYKTIAQNQPAKSQMKEHIHSKKIEFAHYWNKSVDRIFGPAIQALSSRRW >cds-PLY73205.1 pep primary_assembly:Lsat_Salinas_v7:5:102230081:102234489:1 gene:gene-LSAT_5X48840 transcript:rna-gnl|WGS:NBSK|LSAT_5X48840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAVTRSYGLSGINISKSNASKIRHDSSVHACEITHQSCWRSGTTGRSFQKLQLQNRKRFCSAGMLTHPLSVTESHSDIEEDDKIGVLLLNLGGPETLHDVQPFLYNLFADPDIIRLPRLFRFLQRPLAQLISTLRAPKSKQGYASIGGGSPLRKITDEQADALKMALEEKKVASNVYVAMRYWHPFTQEAIKRDGITKLVVLPLYPQFSISTTGSSIRLLQTLFREDKILSKLPVAIIQSWYQRQGYVKSMADLIHKELQTFGSPDEVMIFFSAHGVPVSYVRDAGDPYKDQMEECIDLIMHELKARGIHNHHKLAYQSRVGPVEWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIQNWGRVPALGCTSTFIADLADAVIEALPSASATAITTSTTDNANTFVQDPLAFAIKLLLGSFLAFILLLFPGFKNQNV >cds-PLY71611.1 pep primary_assembly:Lsat_Salinas_v7:2:104180121:104182833:1 gene:gene-LSAT_2X45600 transcript:rna-gnl|WGS:NBSK|LSAT_2X45600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVQFLIAAFMMIPMVLVLLQITLSQVSSYIPFTSLRLIFDNEREDLLGRHYAPVRCIEYSYATGQLITGSWDKTLKCWDPYGGGAYERAMEFFDAMAPIIFCLHLAFVVVDFITAILIRAIGQLLQVADIKSLKSLGATTHLNVSEAALVFLQAWNRLTSYSHVIYNHRAIGTPNVKVAAVGTGTSTIFHEATPSSEQFIEVAFTPSKEVGLSKHGFHITRLNTYTTEPVQHVEQTILQQALSAFVVVVASPSAVGSWVDLLPEPHILEGSIACIGETTALDARKLGLTNVYHPSTPSLHGWVDTIFDALRVHNHLSNV >cds-PLY82225.1 pep primary_assembly:Lsat_Salinas_v7:1:66434336:66439632:1 gene:gene-LSAT_1X56901 transcript:rna-gnl|WGS:NBSK|LSAT_1X56901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNPNPNLGLWRTKSDQLAETLTATMKAGEASTGGDSGGGGSSGGTLSRKSSRQTVLASPGRGGKNTHIRKTRSAQMKFELDEVGSGAALSRASSASLGFSFSFTGFTMPPDETTDSRPFSDDDIPEDIEAGTRKKFQTEPTLPIYLKFTEVTYKVVIKGLTSTVEKDILNGISGAVNPGEVLALMGPSGSGKTTLLSLLGGRLADPVPGGSITYNDQPYSKLLKSKIGFVTQDDVLFPHLTVKETLTYAALLRLPKRLTKQEKEKRAQDVIRELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIIELLQDIAETEKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEALVYFSSIGCSPLIAMNPAEFLLDLANGNMNEVSVPSELEDRVQLGNSERETKSGKHTPAVVHDYLVEAYETRVAEETKKQLMIRASPDEDIKSGVGSMKREWGASWREQYSILFWRGLKERQHDYFSWLRITQVLVTAVILGLLWWQSAVHSPKELDNQAGLLFFIAVFWAFFPVFTAIFTFPQERAMLNKERAADMYRLSAYFMARTTSDLPLDLFLPMLFLLVVYFMAGLRQTAESFFLTMVIVFLCIVAAQGLGLAIGATLTDLKRATTLASVTVMAFMLAGGYFVKNVPIFISWLRYLSFNYHTYRLLLKVQYETISPVIDGIKLDSGMKEATALATMVFGYRLLAYISLRRMKIH >cds-PLY64002.1 pep primary_assembly:Lsat_Salinas_v7:4:190911059:190914604:-1 gene:gene-LSAT_4X108960 transcript:rna-gnl|WGS:NBSK|LSAT_4X108960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPRRSNLKPLHWSKVTRALQGSLWEELQRPGETQSAADFDVSELETLFSAIVPKKDTSKGGGRRKSTGSKTEKIHLMDLRRANNTEIMLTKVKMPLPDMMAAALAMDESILDADQVENLIKFCPTKEEMELLKNYTGDRDMLGKCEQFFLELMKVPRVESKLRVFLFKIQFNTQLSEFKKSLNTVNSACDEVRTSVKLKEIMKRILYLGNTLNQGTARGSAVGFKLDSLLKLTDTRASNSKMTLMHYLCKVLAAKSPALLDFHVDLVSLEAATKIQLKSLAEEMQAIIKGLEKVRQELDASANDGPVSEVFHKTLNQFISIAESEVGSVTNLYSVVGRNADALALYFGEDPARCPFEQVTQTLLNFVRLFRKAHEENYKQAELEKKKAQKEVEMERAKGINLTKK >cds-PLY73081.1 pep primary_assembly:Lsat_Salinas_v7:6:93776337:93777272:-1 gene:gene-LSAT_6X61781 transcript:rna-gnl|WGS:NBSK|LSAT_6X61781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKHGWLMIRRQSKLRRADDTSSFYVVGFPEGTCKMDLQRVFGRFGQISDIYIGGKKNRRNQNFTFIRYARVNDTSILEAKMKGVSLRGATLLANLAKYQKERSNSRRTFRDKPKEPKATPGIKVGSRDSRTFAQVAAGINEVRHGNTPPILLNAKTAMSEWIKKTLLIGEAYSLDHIANLPAHTFTHDSTKYLGGLRIAIKFGSSKETREFLEDRSRWHEWFKWLIIEENKDIQYERLAWLIKITGVPLRYWDTNNFSKVASRFGKVIIPFESIVDRRDLSMGKVGAITSRMKWINEEVQIRVDGAVY >cds-PLY80442.1 pep primary_assembly:Lsat_Salinas_v7:4:176445952:176447142:1 gene:gene-LSAT_4X104460 transcript:rna-gnl|WGS:NBSK|LSAT_4X104460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVESVKTIDVNGDSVSSNGYSKKPCRIYVGYDPKEDVAYEVCRYSILKRSSIPVEIIPIKQSELREKKYFWRERGKLESTEFSFTRFLTPFLAGYEGWAMFVDCDFLYLGDIKELFDLVDEKYAVMCVQHDYTPKETTKMDGAVQTLYPRKNWSSMVLYNCGHPKNKVLTPEIVNKESGAFLHRFQWLEDDEIGSVPFVWNFLVGHNRVEKDDPNTFPKAIHYTLGGPWFEAWKDCEFGDLWLSELEECEKVKKSENVE >cds-PLY99300.1 pep primary_assembly:Lsat_Salinas_v7:7:179950223:179951026:1 gene:gene-LSAT_7X105841 transcript:rna-gnl|WGS:NBSK|LSAT_7X105841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPQKTLPESDLPSLAAIKVKSSSPRFRPTNHSATETPTAGAQRRIGIAVDLSDESAFAVKWAVHQYLRPGDAVILIHVRPTSVLYGADWGSVDLSIVDTDNEESQQKLEDDFDTFTTTKSAALAQPLVDAHIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGATKRGTDGRLGSVSDYCVRHCVCPVVVVRYPDEKDPAAAVEPVVSVATADDEEDDPEFHDATDERKC >cds-PLY76638.1 pep primary_assembly:Lsat_Salinas_v7:4:117764692:117766637:-1 gene:gene-LSAT_4X73480 transcript:rna-gnl|WGS:NBSK|LSAT_4X73480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLLRNRVFNFPVSLSLGTFIKHIERASNLKPTVTTRRFASTKSSIVNASHFDTLNPLQKQQVQLYIDALLDWNQKMNLTAVKEASDVMDRHIEDSLSIIPPIQSSYISHCESSFENLNLVDVGSGAGLPGLILAIACPGWEVTLLESLNKRCIFLEHAVGLIGLSNVKIIRGRAEDVGQNQEFREVFDVAVARAVAEMRILAEYCLPLVRVGGLFVAAKGHAPQEEVERGKRAISLMGASLLQTCNVNSHSPYGQRTAIICLKHRPTPNKYPREPGTPAKSPL >cds-PLY85432.1 pep primary_assembly:Lsat_Salinas_v7:2:70674939:70675262:-1 gene:gene-LSAT_2X32301 transcript:rna-gnl|WGS:NBSK|LSAT_2X32301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQVWKTNTQVWYLVWPITVLLLQLFCWGWNKYGQLGVGDVVDRNIPSEVGLQEGCVPRVVACGWSYTLLQAQSVS >cds-PLY65309.1 pep primary_assembly:Lsat_Salinas_v7:8:104248657:104259231:-1 gene:gene-LSAT_8X70581 transcript:rna-gnl|WGS:NBSK|LSAT_8X70581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKGSKVWLEDKGTAWAAGEVSGFSGKQVQVITESGKQVLTFAEKLLLRDADAEYGGVDDMTKLAYLNEPGVLDNLKKRYALNEIYTYTGSILIAVNPFTKLPHLYNVHMMEQYKGAPFGELSPHVFAVADASYRAMMGDAKSQSILVSGESGAGKTETTKLIMQYLTYVGGRAAIDDRTVEQQVLESNPLLEAFGNARTSRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCASGRDADMYNLGPPSNFHYLNQSNVYELEGVSSADEYVKTRRAMDIVGISNEEQESIFRTLAAILHLGNVVFKPGKEHDSSEVKDEKSKFHLETAAMLFKCDLKNLLETLCTRSIQTREGVIVKALDCDAAVASRDTLAKTVYARLFDWLVEKINRSVGQDHNSRMQIGVLDIYGFECFKHNSFEQFCINFANEKLQQHFNEHVFKMEQEEYRKEQITWSYIEFIDNQDVLDLIEKKPIGVIALLDEACMFPKSTHETFANKLFQNLRAHPRLGKAKFSETDFTISHYAGKASNAQVNYQTDSFLDKNRDYVVIDHCNLMSSSTCPFIAGLFPAMPEESSRSSYKFSSVASRFKQQLQALMDTLSSTEPHYVRCVKPNSVNRPQKFENQSVLHQLRCGGVLEAVRISLAGYPTRKTYHEFVDRFGLIAMEVMDGSYDERAMTEKILQKLKLENYQLGKTKVFLRAGQIGVLDSQRAGVLDSAAKRIQLRMRTFIARKDFTLKRKAAISFQAYCRGHLTRVIYARKREAAAAVLIQKYIRGWLLKNAYTQQYVSAVLLQASIRGFITRQRYLHIREHRAATVIQAQWRSYKIRSTFRHRVHDITKIQCLWRRKLAKREFRRRKQEANETGALRLAKTKLEKQLEDLTWRLQLEKKLRGSTDESKSVEIAKLKKTVQSLVLELDAAKLATVNECNKNEVLQNQLEMSVKEKSSLERELVGMADLRNENSYLKSSLSTLEEKNSTLQSQLTEAKEDASSNLKKLREVEKTCALLQQKLKSFEEKLTSLEKENHVLRQKTLSATSRGNWQSNTKPFLEKFSGALALPFTERKSIYETPTPIKSANPNSQGLTDSRRSKMTSEKQQENSEILSRCIKENLGFKDGKPVAATVIYKCLLHWHAFESERTTIFDFIIENINSALKMGDEGLTLPYWLSNASALLCLLQRNFRSNGFLTPQRSGVSSFSNGRVAQGYTPHKYIVDDGISPMEARYPAILFKQQLTACVEKIFGLIRDNLKKEISPLLNSCIQAPKNQRVHGGKPSRSPSGIPQQAAGSQWEKIIEFLDSLMDRLRGNHVPSFFIRKLTTQVFSFLNISLFNSLLLRRECCTFSNGEYVKSGLAELEKWIANAKEEFAGTSWHELNYIRQAVGFLVVTEMREMLNKDSQNLTSNSFLLDDDLSIPFSTEDIYMAIPGIDPTDIEPPAFLAEYPSAQFLLSNPK >cds-PLY80250.1 pep primary_assembly:Lsat_Salinas_v7:6:124063741:124077783:1 gene:gene-LSAT_6X74660 transcript:rna-gnl|WGS:NBSK|LSAT_6X74660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKEKNGFLPLTLPLSTFEIQKLDDSEFRNALSHGYIRVKEYDSSHSRIRSRDRSKSRSYSRTSPQKQKLKLQDLSHLVLDLNQGMPLFSFTRILEFLVVFLNKHIGKKKIDGNGFAMVKMECEYTVAPYCASKWVVERLTKSVAKELLAGMEIVALNLGVINNSLWEWKSVCEDLPYVPDELILQVLHIAGTYQVIEASADSLFDGRSYCSLGIHMRHWSSCNAHAEIGLHILAQTIQAI >cds-PLY80753.1 pep primary_assembly:Lsat_Salinas_v7:8:137970804:137975091:-1 gene:gene-LSAT_8X95280 transcript:rna-gnl|WGS:NBSK|LSAT_8X95280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVAKFPTIPTDCHHRSTQSAPLQLGFCRRSIFWDPIPVSRRGLYVQPCRSFKRDDDEKDKGSSRNLGDFKLDGNKLMEAVKSLILPKDDSIEKLEENLSKIALHLGRYIVTMMSTGVILSIGFNLSGGEGEMNDLIWYSWVGGIIIGTMIGSNMVLDEFSRAGPRNVVITGSTRGLGKALAREFLLSGDHVVIASRSKESVDMTIRELEENLQEGLNTKMNSSTKNLEYAKVIGTSCDVCDPNDVKKLANFAVDQLGSIDIWINNAGTNKGFRPLLQFSDEDIQQIVSTNLVGSILCTREAMRIMGNQHRGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLQSSLFKECKKSKVGVHTASPGMVLTDLLLSGSSIQNKQMFNIICELPETVARTLVPRMRVIKGSGKSINYLTPPRILLALVTAWLRRKRWFDDEGRALYAAEADRIRNWAESRTRFSFTDAIEMYTENTWVSVFSLSVVCAFIILSSTTGSASPGT >cds-PLY81873.1 pep primary_assembly:Lsat_Salinas_v7:8:121556620:121562135:-1 gene:gene-LSAT_8X84500 transcript:rna-gnl|WGS:NBSK|LSAT_8X84500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGNLVASTDEHVKLVLVLLVVVRSVSQWSAGTSQVEESIHKAYISLIEKAEHFIYIENQDYIEVLKKKLVERQKEISDVKEKIKERDLKKEAFHM >cds-PLY73355.1 pep primary_assembly:Lsat_Salinas_v7:7:62647801:62649746:1 gene:gene-LSAT_7X44760 transcript:rna-gnl|WGS:NBSK|LSAT_7X44760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRLLLLTSVQPGRQFFLAEIQSATNDFDDELVIGHGGFGKVYKACISIEETTHVVAIKRLDSKSNQGAPEFRAEIEMLSKLRHCNLVSLIGFCDDNKEMILVYAYMPNGTLYDHLHKAETPLNWMQRIQIAIGAARGLDYLHTGFGTQHGVIHRDVKTSNILLDNNWEAMISDFGLSKISPANQSSSYIDASVKGTFGYLDPEYFYTRKLTRKTDVYAFGVVLFELLSGRLAVDEGNAEEHISLVRWAQKCVKEGKFDQIVDSNIRVTVFSKCLRRFAKIAYRCLLSVLKERPTMAEVVVTLQDLVELQRRHDNSAEAPRITVFTWKIHKFLASTTKQNSDRIGTSSSKSPEMDMIQCSSTNMDGSNQVGVPCQHEELLVTDLKQFRYFDLRRATKNFRNGTYMKCMSELEIYKGWIDKTTYSPSKANTGLPVSVKIVDRRFYFLRPAWSELDLEVLKEFRHPNLEKLIGYCFKGKKLLLVYEFMPNENFEDLLYSGKQHK >cds-PLY72145.1 pep primary_assembly:Lsat_Salinas_v7:7:54024258:54029842:1 gene:gene-LSAT_7X38440 transcript:rna-gnl|WGS:NBSK|LSAT_7X38440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTDKTSDDDENEKLRYGVSSMQGWRTSMEDVHAALLDLDNSTSFFGVYDGHGGQAVSKFCAKYLHQQVLKQEAYAAGDIGTAAQKCFLRMDEMMCGQRGWRELAILGNKMDQFSGMIEGLIWSPKGNDLKCLNDNWSTEEVLSFFLCTHQIY >cds-PLY79647.1 pep primary_assembly:Lsat_Salinas_v7:5:253302707:253306170:1 gene:gene-LSAT_5X129021 transcript:rna-gnl|WGS:NBSK|LSAT_5X129021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFAKIAYKTSFLLTTFTLKVTGRLLHYNGSEWLSDKTDDPTPEKRTSLLHLKGVDIQEERCEQMYGFLPCSETLLGHLFLIVVYEYLLYHGESYVASGGKRIFKILGPGIFGASAFHVLGFLPESLILLVSGLWNNKDEAQKYVLTGVGLLAGSTILLLTLLWGTCVIIGSRKFSSESDSSSSLNPNPNPCRKLLSILNDSGVTTDQETSSAARIMVLSIFPFAFLLIPELFGLNPSQGYMILIALPVSIMFLLVYFIYQVLEPSIQKRRLSYVKHENLVVDILKHLQEHTAEKLLTIDGSANLSAIKGFFTKIDHDGDNYISFSELKDLLQDIKFRQLTWNKETRMEEIMKEFDKDSDSKVSMEEFVDRFTKWLDETKGAVDDRPYRSIRSWKDLYQIVQPWVQTKKKEEEMMKLLVSEIIRHVQSFPLGNLYNEDGTPNIYAIKGLFERIDVDKDNCVSHSELKRLIMEVYSEKMPWNVDEATDQIMQDFDKSIDQGIDEQEFIDRFKQWLCSSNDHTYVPMSPEAQSDPSLKPWEQWMDDGVDRSSWAWIKATSLLVLGIAMLALLAEPLIHSVQNVSSAANIPSFFVSFIFVPLATNARAAISAIKTASQRKERTTSLTFSELYHGVFMNNVLGFSVLLTVIYFRGLTWDFSGELLAVLMVCIIVGATTSFRSKFTLWSSFIAYLLYPLSLIFVYVFNKL >cds-PLY97465.1 pep primary_assembly:Lsat_Salinas_v7:6:90892264:90896455:1 gene:gene-LSAT_6X63121 transcript:rna-gnl|WGS:NBSK|LSAT_6X63121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRFAANLASKSRIANNKAQQIGSRLNWSRNYAAKDIRFGVDARALMLRGVEELADAVRVTMGPKGRNVVIEQSYGPPKVTKDGVTVAKSIEFKDKIKNVGASLVKQVANATNDVAGDGTTCATVLTRAIFSEGCKSVAAGVNAMDLRRGISMAVDSVVTNLKSRARMISTSDEITQVGTISANGEREIGELIAKAMERVGKEGVITIQDGKTLYNELEVVEGMKLDRGYISPHFITNTKNQKCELDDPIVLIHEKKISSISSIIKVLELALKKQRPLLIVAEDVESEALATLILNKLRAGIKVCAIKAPGFGENRKANLQDLATLTGGQLITEELGMNLENVEFEMLGSCKKVTVSKDDTVVLDGAGDKKSIEERCEQIRSAIESSTSDYDKEKFQERLAKLSGGIAVLKIGGASDTEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELEKLQTANFDQKIGVQIIQNALKMPVHTIASNAGVEGSVVVGKLLEQDDQDLGYDAAKGEYVNMVKNGIIDPLKVIRTALVDAASVSSLMTTTEAIVVELPKPASEGAPGMGGGMGGMGGMDF >cds-PLY63808.1 pep primary_assembly:Lsat_Salinas_v7:6:26918271:26921079:1 gene:gene-LSAT_6X20421 transcript:rna-gnl|WGS:NBSK|LSAT_6X20421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKKPSYPFSFLLLFLAVFFLLSNGESHYRISRSTPTSGKFNSWVSRRLLGDEQKNGNKSLVLAQERTTRKDPLDKFKKYRGGWNIKERHYWASVAFTAAPFFVVAAAWFVIFGLSLSLICLCYCCCRKESYGYSKTAYTVSLVLLILFTIMAIVGCIVLYTGQGKFHQTTTKTLRYIVNQADITAKRLRNVSDDLASAKKIAVAQVFLPVDVQADIDEIQTKLNASAVELSERTKENKEDIHDILESVSIALIVISAVMLLWTFLGFIFSILGMQCMVYTLVLFGWVFITITFILCGVFLCLQNVTADSCEAMNQWVENPTAHTNLDDILPCVDNVTAQETEKRTKEVTSQLVTVINQAVRNVTNGNFPANFAPLYYNQSGPPMPTLCNPFNSDLTDRKCDSGEVPLSDGSHVYSKFVCQVSPSGACTTTGRLTPESFSQMSAGIELSYSLYLYGPFLVDLQDCTFVRQTFTDISRDHCPGLCRYLNWIYVGLLMVSLAVMLSLVFWVIYGRERRHRVYTKTVISRSGRGGEKYM >cds-PLY62066.1 pep primary_assembly:Lsat_Salinas_v7:2:55953705:55955756:1 gene:gene-LSAT_2X25161 transcript:rna-gnl|WGS:NBSK|LSAT_2X25161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIGRFFESVGDFFSGSDQIPWCDSDIVVGCEREVNEAEKGSKDELKHECHMRLSWALVHSRRPEDVQRGIAMLEASLVDTNSPLQTREKMYLLAVGYYRSGDYSKSRQLVDRCLEIAPNWMQALNLKRTIEDHIKKDGVIGIGIAATTIGVVFGGIVAAMVRRGRLLEYTFIALSKSQNRILKHFA >cds-PLY95358.1 pep primary_assembly:Lsat_Salinas_v7:5:267594945:267596474:-1 gene:gene-LSAT_5X138960 transcript:rna-gnl|WGS:NBSK|LSAT_5X138960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNLKVREKNWVQNCLELLDLSQYELQESMNHLSPPYDDPPPYAAIKNLLSAAMTNENTCIEGFADLKLNGPSQTRFGRLFQKSLTPIMRMISNCLAITNYLETIGSIYTFKDSRLMSKGVYRDHVPSWMTAADRRLMQTSSRIIPPTVVVARDGTGNFTTIAAAVAAAPSRGKGRHVIQIKAGIYKENLVIPRNKANIMLVGDGMNSTIITSNKNFVDGYTTFTSATLTVVGNNFLARDLTIMNTSGPEKHQAVALRVTSDAAFYHCQFISHQDTLYAHSLSQLYRECAIHGTIDFIFGNAAAIFERCLILVRKPILGQKNVITAQGRLDPNQNTGISLQDCTIMAAPDFKVKERANFSTFLGRPWRNYSRTIVMRSYLGDIIDPQGWCPWNEYSNLETVEYIEYNNFGPGADTRKRVRWAGYHNNSRRNLEKMGIQSFLHGADEWLKSTEYLPLCSGLYAKYCKTGTTQVQ >cds-PLY66198.1 pep primary_assembly:Lsat_Salinas_v7:2:168652319:168660410:-1 gene:gene-LSAT_2X90841 transcript:rna-gnl|WGS:NBSK|LSAT_2X90841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPGLSSDFDKKAQDLLTRKNLKDQKVSVLASSVTEFNTVRYSPKRPVENDVNGMNLVSNTRSTSGAKSQTIGAKRQKKTNEGLKDTGLQINGHPQTNALASENVATTNSKLETEIEKSLSSGQQDALNSKKRPCAFCHSSSQTEGSGPFVSFAQGKEVVGSLANFSKVTHVHRNCIQWAPRIYFKDGIIQNLESEVTRANKLKCSSCGKKGAGLGCYMETCQRSYHVPCAYYIPECRWDDTYLMLCPKHRKMKFPSEMDADDVKQDTEKRTSTHLNPCTTPLDARQNLVFCGSDLSTEEKCSVVEFASNNEAVVFRYWKPNVTHVIAATDSNGACTRTYKVLMAILNGVWIVSVEWVNACMEARCLVNEEPYEVHLDTHGCTGGPKAGRLRVLNNGPKLFKNLEFYFVGDYIEAHKSDLKELVTTAGGTIIEARDQLLSSSNDADGDEDVKRVSLVVYNADLSDHSEFYDEDSIRFQRLAAAEDIAQQSRSQIIQHTWILESVAACRLLPFTSGVQ >cds-PLY81347.1 pep primary_assembly:Lsat_Salinas_v7:8:4407757:4411713:1 gene:gene-LSAT_8X3521 transcript:rna-gnl|WGS:NBSK|LSAT_8X3521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTELIRHHVKEENMEIPSIPPGFESLTAFSLKRVDNSSQTTKDEPGVNYIDDEDVRRSIKRRPWINHGRTDTTSEDESDSKQNQTLGAQLPKGVVRGCEDCSNCQKVIARWHPGEARMPDLLDAPVFHPSEEEFEDTLKYIASIREKAEPYGICRIVPPPSWKPPCPLKEKTVWESSRFSTRIQRIDKLQNRDSLKKIFTPNYHKKRKQRKCSKAVSDNNSSPVEPEAGFGFGFEPGPQFTLKEFEKYADDFKNQYFMKNNNSEPSVETIEGEYWRMVEKPSEQVEVLYGADLETGTFGSGFPKESNQIQIQIQIPGGPHEKFVRSGWNLNNLPRLPGSVLSYENSDISGVLVPWLYIGMCFSSFCWHVEDHHLYSMNYMHFGASKLWYGVPGKDAIKLEAAMRKNLPDLFAEQPDLLHKLVTQLSPSILKSEGVPVFRCVQNPGEFILTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQNAIELYREHARKTSISHDKLLLGASRDAVKAHWELNLLRRNTPSNLKWKDVCGKDGVLSKALKARVEMERVRREFHCKGSQVLKMEESFDDKSERECSVCFFDLHLSAAGCHRCSPVKYSCLNHAKQFCSCSSASKFFLFRHDINDLSILVEALEGKLSSIYRWAKLDLGLSLSSYVVAKDDDDSSQIPPGHNGNSTYTNITPEKPSKPSCMATDTVILVSDDEDEGEGESPPLADMESTRGSHPETVNIPNPVKSEDVSNIKETGVCGQNSLDRYYRQKGPRMAKVVRRINCNPEPLDFGVVQSGHSWCDARAIYPKGFRSRVKYISILDPTDMCYYISEIQDGGHDRPLFMVSLEDCENEVFIHLSAVRCWDMVRERVNNEISKQHKLGRSKLPPLQPPGSLDGMEMFGFLSPSILQRFQSMDRNRVSREYWESRPFHSDDNGDLNTNTNTNTGGDDASLMVSLFEKGSLEELNMLVSVLVKGNSTADWTQLQTLVSEEIQKRKT >cds-PLY87135.1 pep primary_assembly:Lsat_Salinas_v7:5:263144308:263144487:-1 gene:gene-LSAT_5X134920 transcript:rna-gnl|WGS:NBSK|LSAT_5X134920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPMKPREPIPLNQQLELFKWILEEKRKVKTKDMEEKKRIDEEKAILKQFICVKFVPSL >cds-PLY95108.1 pep primary_assembly:Lsat_Salinas_v7:1:93314508:93318027:-1 gene:gene-LSAT_1X77061 transcript:rna-gnl|WGS:NBSK|LSAT_1X77061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENREDLNSILEFLPVVLRSSALFWPSQVVEALKALSKGPQHSKVDSGEVLFLAISDLRNSLNLSADPLAFSTADGFSLFFDDLISRSEANKWFEGTLPALADSLLRLPSMLEAHYTGADSITCVGARAGLKTSLRLLKSQEPGIVLISQELIGALLACSFFCLFPNTSRGANHLQLINFDHLFASLYDNYNEKQENKIKCIIHYFERIHSSMPRGNVSFERKVLANNHNLNSIPCPKVESWSKSVVSLCLFEVCNSGLIEDTSKEALEVDFANKYLGGGALTRGCVQEEIRFMISPELIAGMLFLPSMADNEAIEVIGVERFSKYTGYASSFRFSGDFMDKKDIDMMKRHKTRIIAIDALVNPGERQYRHEFLLREINKAFCGFCDSSKSEKYEKLFKDDENSEVKFEEDEIGIVTGNWGCGAFGGDPEIKAILQWLAASQALRPFMVYYTFSMEALETLEKVTKWIMLHKWNVGDLWNMVMEYSFQRSKRETGIGFFNWLLPLLSSHDPMMLDSPPQTVSKDLECK >cds-PLY78105.1 pep primary_assembly:Lsat_Salinas_v7:1:6154819:6158408:1 gene:gene-LSAT_1X5141 transcript:rna-gnl|WGS:NBSK|LSAT_1X5141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVQQENVIRPTANFPLSVWGDQFLAYEEEDQDGVDKAIDDLIKEVRKEILETLNNPTEHLNCLKLVDAIQRLGIAYYFEVEINQALQHIYDSYGDNWIGAGTSLWFRLMRQQGFFVSSDIFNIYKDDKGEAFNECLKNDIQGLFELYEATYMSIPGEVILDDALDFTRNRLYEIAKDLLCSNSTVSAEIYEALKQPLHKRLPRLEALRYIPFYQKQTCHNDSLLKLSKLAFNALQSLHKKELSEITRWWKVFDVPNNLPYARNRLVECYFWALGVYYEPKYSQSRMFLARVLAMATLFDDTYDAYGTYEELLVLTEAIQMWPSTCLDELPENMKLIYRMLMNLYEEMEEILAKMGKRHHLNYVQEAMQEHIRSYMTEAKWTHEGYIPTVEEHMELTYISSGYKYALVASFAAMGDAITDNTFKWVLTNPPLVKACCVLCRIMDDIVTHKEEQERNHVASGIECYMKQFDVTEQHVYSVFNERVEYAWKEMNKESLICKDVKMPVVMRVINLARAMDVLYKNKDHFTHVGEELISHIKSLVVDAIIT >cds-PLY83804.1 pep primary_assembly:Lsat_Salinas_v7:3:51668890:51669459:1 gene:gene-LSAT_3X40341 transcript:rna-gnl|WGS:NBSK|LSAT_3X40341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTYVNRVWMAAGVAVVNGHTDQSYKLKSLLINSFRHGKKAFTSDLRPLSGLLISDVSVGDKKTTQSDESLRQVMYFNCWGQS >cds-PLY81965.1 pep primary_assembly:Lsat_Salinas_v7:9:153502462:153505214:1 gene:gene-LSAT_9X96581 transcript:rna-gnl|WGS:NBSK|LSAT_9X96581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPSLFPSKILSIPFITITIFILITTVFSSTKTISYSDHCNSFVPEAIPTTITYTRFPFLETITSHYTGAKNILDQDSLSQSYIHFRPKDYNIFKTNNSNTYKTQAQLSFVPPSIYHFQSNHSRFYRPLVFNLDGFLSVSTNKLCMVGSANWFSKKGKSLKLDGVLKLNLPRLMNLNTSLVSGTLESLASPHDSDYFEPISMMGFPQVSQFKYKYSLVSNEDCNGANAIEHPVASLDSLDLCSKFTYRFLIYQMESQGSGYSFLSLYGFQCSVEEKKLRFLVEFQNTSNTRWDKSFNPNVTLIGDATWNGPKEELCIVACHILNQTDPLGSAHIGDCSIRLTLYFPEVRSIMNTHTTEGQIWSTKKAEDVGYFEPIKFQSFDHSSEYYGSMYEFTKLQKVRQFCPKTEVAKREGVEYPSGYNGDMSFDMSVKYRNMDSIGSAIPIFVGEQFYHSYDAFDSNFGSWQDPTSYTGPMNISYEISFRMFANSTSESGSGISSLCLTNNGRVEISAEGFYDNETGRLCMVGCRNLPSSGKNSENESFDCEILVQFQFPSTNGNNGSFLIRGSIESLREKTDVLYFESLNVVSLTYTKLEAMESIWRMDLEIILDLISGTLSCFFIVLQIFHVKKNPDMIPLISVLMMVILTLGYMVPLVLNFEAMFSETPYLQNIQLEGSGGLLEVNKVIVRIVTMVAFTLQFRLLQLTWSKKSGNDNNGKGKSHWNHEIWTLVICLPVYIVGGLMMFLANWKNNDYIISSNRSIWGDLRSYAGLTLDGFLFPQIVLNMFKISKGNALSYLFYIGNTFVRTLPHAYDIYRSQKNISHQFHQFYLYANPRADFYSPSWDVFIACGGVVFAVIVFLQQRFGGRFMFPKRFQETVGYEMVPVVNNE >cds-PLY80817.1 pep primary_assembly:Lsat_Salinas_v7:3:191831465:191832190:1 gene:gene-LSAT_3X114381 transcript:rna-gnl|WGS:NBSK|LSAT_3X114381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADPPLFASGYRNSATIHPVSSPPNAPQNQPTNYSNLYAFKQRTIEHCSFGFLFRSIFCIGILILLIWQFWLISISLPKFHLETITLSNFHEASTAGDLHVHFILRNPNSKVTLHYDGIQAAVWYWHALLDRNSVPGFVQGPKNKTAIMTRFSCFHEFFFDGESKSDHVVINFDFRMMATYRSSAWGAKRKSFKVYCHGLQVDVSLKSHGGKMIGGWKNCMADGVFFSVNSPHFGIFNDHQ >cds-PLY74283.1 pep primary_assembly:Lsat_Salinas_v7:8:164360857:164361488:-1 gene:gene-LSAT_8X109460 transcript:rna-gnl|WGS:NBSK|LSAT_8X109460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSECYHEMIKRNNNGYVYDVERLDEEIEATVMPENYRQKKFFLHFTCVVCGLIDLGNREASKYEESSYLRILLDSRDASR >cds-PLY87688.1 pep primary_assembly:Lsat_Salinas_v7:6:45638459:45640341:-1 gene:gene-LSAT_6X34580 transcript:rna-gnl|WGS:NBSK|LSAT_6X34580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEGENATHLTSPAAFVEGGIQEACEDSCSICLEAFCDSDPGTVTSCKHEFHLQCILEWCQRSSNCPMCWQAISLKDPLSQELLEAIEQERRIRFNPTRNSNSTIFHHPTLGDFELQHLPVGVNDSELEDRIIQHLAAAAAMGRARHVGRRDGSRNRSTGPGQGQGRPQFLVFSTSPNTGPPATMAGSGGPVEESEELGGPAVIGPVSGSGLFTGRGGGTSGMGNDSISQSQQETSVIHRNSSNLSSPPNQDTAGPSELQTASETWKSKFNAISTRYKESLSKSTRGWKERLFNKSPPSMSNMGSEVRREVNEGIANIIERLEVHDNNREDHNGVERIN >cds-PLY74615.1 pep primary_assembly:Lsat_Salinas_v7:7:34171083:34172040:1 gene:gene-LSAT_7X25381 transcript:rna-gnl|WGS:NBSK|LSAT_7X25381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNWGRKKTFQTTNIVSLKTWLLRFKKMKDSAEPKSGNAQRKWNKGRVHHGDDDGSFWRNSFNKERYEDEEMMSNSDYRLNVSPVSCGGFKKSSTERTMREIVDEESRKGRVDSTESVKKVVFEDKPKLVIQETSADNIKTFLKSDKNIKMEEIVLKNEKQRIRSKQGRKANAHSPRTLTRVERRIKALESMKRTRLKMKEINAFSISLDCNAIVKSSFDPETDFRESMMEMMIVKGIRQRDQLEELLACYLTLNCDEYHQLIVKVFQQTYNQFVAL >cds-PLY79034.1 pep primary_assembly:Lsat_Salinas_v7:3:8203045:8204755:-1 gene:gene-LSAT_3X5840 transcript:rna-gnl|WGS:NBSK|LSAT_3X5840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFICSYLLGLLANEGYLIISVPYNVTFDHSKAATEVYDKFHLCLDSFLTSGLPNDDVSSAQLVDLPLYSVGHSNVALLQVLSGSYFSERIPRANAIISYNNRPATEAVPYFEQLGPLVSQLMPVVEASPVSSMAKGATDGDSGMKRESLVIQKETALAHIYRGSNAVTQTLLNFVRLFRKAHEENYKHAKLDKKKAQKEVEMERAKGINLTKRKAC >cds-PLY96681.1 pep primary_assembly:Lsat_Salinas_v7:7:43930936:43931214:-1 gene:gene-LSAT_7X30121 transcript:rna-gnl|WGS:NBSK|LSAT_7X30121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYISHVGEEIQWPLARHEACVKLDQSHYFFSLRAPKEKEHEAMMLNYRLTFASKGQEKLLKEMDELFEHCSSFSVQKEEEKSGALDLTMAE >cds-PLY74282.1 pep primary_assembly:Lsat_Salinas_v7:8:164945164:164948046:1 gene:gene-LSAT_8X109281 transcript:rna-gnl|WGS:NBSK|LSAT_8X109281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEGQRFQLGTIGALGLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMKFFEHKPFDPKAVMGFGILNGTSIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFSKQFSKKIRFSLTVLLMGVGIATVTDLQLNMLGSVLSLLAVLTTCVAQIMTNTIQKKYKVSSTQLLYQSCPYQALTLFIVGPFLDALLTNKNVFAFKYTPLVLGFIVLSCMISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLHDPFSWRNILGILIAVVGMVLYSYFCTRDAQPKVIEPLTQLSQESESDPLINVENGVNTVSESSPQKLGVWSSDKDLHA >cds-PLY66638.1 pep primary_assembly:Lsat_Salinas_v7:1:53337317:53343135:1 gene:gene-LSAT_1X46460 transcript:rna-gnl|WGS:NBSK|LSAT_1X46460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPADLFRGFRQRKLWFPCRFFTINSVSITLITISMKLPVDLSTTLADDQDIEEKYHWSTDKWRKNSKIAQQNRKVADANGSTARHTAGCIGFHEHRNNLEKIMGKPPTQFDVFMKTHGTAEAKKRYFAGDHENLEYCSLTAKEAQEMYLEEMVKKHGEDSSNHKDDARVWEEIQLRRTGKKKGDIYGIGASDIHFVITGTPSSQSTQSTQSDSTQQEVDRLRAQVSTMEQQQQQQMKEQMEMVMRMMNMSGNQPRAPPDNPPEDN >cds-PLY87436.1 pep primary_assembly:Lsat_Salinas_v7:2:135856483:135858199:-1 gene:gene-LSAT_2X64441 transcript:rna-gnl|WGS:NBSK|LSAT_2X64441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRDASEDDEAQARARAMGLTVGTAPSFRSAERAAKRREYYMKLEQKQQALEAEKIESEMRAKEEEEAALKEFRRSLFVKAHPVPSFYREGPPPKVEPKKMPVTRAKSPKLTRGRSCNYELTHSSIEEKGSCSRTASLRGRSVTPSSGRNK >cds-PLY69515.1 pep primary_assembly:Lsat_Salinas_v7:6:42105588:42109642:-1 gene:gene-LSAT_6X33360 transcript:rna-gnl|WGS:NBSK|LSAT_6X33360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGPVVPTIIRRPINTITPAEKPITTVYVGKIASSVDNEFMLSLFVDPTTGALKGFGFCEFRNVEGVLRALRLLSKLSIGGQELMEIEEAEIELDLIQKEQSVEESSNDVTDWRKLPFLASNNLVERTYESDVNGNKFNTRVHTIKC >cds-PLY88490.1 pep primary_assembly:Lsat_Salinas_v7:4:291787792:291788094:-1 gene:gene-LSAT_4X149600 transcript:rna-gnl|WGS:NBSK|LSAT_4X149600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLSTKTRLATISLPPPCDNRRIIGAAIVLVPSPTKKKGHEDIVNHCSWVLLFVVRSHASSSHSSIAPLQPKSATVTLLLPPTIAVIEMAVVVAFPYFD >cds-PLY62682.1 pep primary_assembly:Lsat_Salinas_v7:4:335259221:335261842:1 gene:gene-LSAT_4X165541 transcript:rna-gnl|WGS:NBSK|LSAT_4X165541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATELTTKTTCNKSFCKDYLLLSPKDVGFLDLVMLLFSEHIGNRKFIDCPDGTVEESFGHRFVIFISILAQKILHLVDKPLGWLGSAIEFLPNFSDANGGFFMILLNVVSGKLVLPNKESAEFLTAIGLWDIRRDLDSRIKHDDPRYTSALAIMAAKSAYENQAYIIETVEKHWKMEFLEYFDCWNDYEEDYTTQGFMWSDKTGESELIGISFRGTSPFNAKDWSSDVDLSWYHLPGIGKVHAGFLKALGLQKSLGWPKDIQTDDRKPYAYYVIRQKLKERLEKNPNAKFMVTGHSLGAALTIVFPAVLALHGETDLLSKLEGLYTFGQPRVGDKRFGEFMKEVLVNHGSRYLRFVYCNDLVPRVPFDSSNLLFKHFGDCHYYNSFYKGQVLAEEPNKNYFSILAFIPMFANALWELLRSFIIYYQNGPEYCETFTCRGWRVIGLLIAGLPAHGPQDYVNLTRLGSPELFKLSSHEKSINDVK >cds-PLY98684.1 pep primary_assembly:Lsat_Salinas_v7:5:303361276:303364076:-1 gene:gene-LSAT_5X162941 transcript:rna-gnl|WGS:NBSK|LSAT_5X162941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDGSDTTTTTTAIPWLDELRKLRVLELQRELQNYDLYISSLQLKVKKLTEESEKTGGEPKKKSDLGQRNEEIEIKKAEEEAKNETPEPVVSGDEDSDRDNQSVNGSNGNLETGVEKSENENENENENENENEASPVGTGDEKPESAALSPVREEDSCNGRPEGKEEPVKTEPDGEASEAPESIAESKSEGTTKENSDVQSSASKSKKERVDRMRRGSIKGDERENEDQSTDSIPVRSLPLVDFLHKLHKLGSALFDRRLDRQEKLRYKNLIRQHIDYEILQTRLKEGWYSDGNDKFFRDLLLLVNNTRIFFPKDSPESTAATDLQKLILKEMTSKKKQKSDSKSADKQNSSSKPKIPPDSSLLLKPKLTGPIVVCRKRSSITGKGDRRKEQPDLKKGKNPSSSQDHGKPSSANNEASDTKNEKKKKLTEAEEKKQSAAKFLNRMKRTSSNSNEPLNSLKSNSVGGGGGYDSDSKEQKRGGGSNKGGGGGGGEGKKDQGSKKKGEEQGSPAKRSVGRPPKRAAAPPQVLGKRNRESGESAETLGSKQTKKRSKR >cds-PLY77303.1 pep primary_assembly:Lsat_Salinas_v7:3:212371390:212379234:1 gene:gene-LSAT_3X124400 transcript:rna-gnl|WGS:NBSK|LSAT_3X124400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKITLQICFLLILHLFFHISSSQFLPSNTTGYACNLSQTASSCQTYAFFTAMGPNQLDLASIGDLFSVSRPMIAKPSNITSLTSPLVPNQSLFIPLTCTCNSVNTTTNISYAKINYTIKGGDTFYLVSTTLFNNLTTYQSVELVNPTLVPINLTIGQDVIFPIFCKCPTKTQLQNQINYLISYVFQPSDDISSIASRFGSTTESIVEVNGNRTIRPTETVFVPVSKLPELTQPAPINVSNSQRTTERTSAVIGLGVGLGVCGLVLILMGCFLAYRESVWKKRVGRKKDDGESKKNEAGVSLMADVSDCLDKYKVFRVEELSEATDGFDDRWVIQGSVFKGYIHGKPYAIKKMKWNAYEELKILQKVNHGNLVMLEGFCIDSEDASCYLVYEYLENGSLYTRLHESNVDMLNWRTRLGIAVDVANGLQYIHEHTRPRVVHKDVKSNNILLDTNMRAKIANFGLAKSGCNAITMHIVGTQGYIAPEYLSDGIVSTKMDVFSFGVVLLELISGREAVNIDGKALWVEVHENFNGKEDKHIHNLKGFMDDVLLKESCSIDSVMNVMSIAIACLHRDPSKRPSMVDIVYALCKSDDLFFDISQDGLSPRQVLAR >cds-PLY93093.1 pep primary_assembly:Lsat_Salinas_v7:4:106754090:106755867:1 gene:gene-LSAT_4X69440 transcript:rna-gnl|WGS:NBSK|LSAT_4X69440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGTTGPTTVCGSGRLKQPTKRINRGLRGKKSVRMETGFVGLTIESLTTYDDQQIEDRKWHTTKPPCFSAASSCFCWRLLSPWEKVKSPFTLGPQCKEHWCATRSTGHKLGTHALV >cds-PLY79853.1 pep primary_assembly:Lsat_Salinas_v7:8:14707989:14711574:-1 gene:gene-LSAT_8X10841 transcript:rna-gnl|WGS:NBSK|LSAT_8X10841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMERGIDPDALLDYVELQIFPIQNRYEAYVCSSNRAHKVASGTLKQLLLHSPRVKDLSFKGSNTNFKILPPDNSNDAEWFTTATLKRFLHIIGSPDILSIGNEITQLEETRKFQLSLSVKAEVDITSSINSKNELLRAVDLRLTALKDELALAFDRATGARCSTKDISDLENFAHHFGAKDIRDSLQKFVEISIFPSPQDLINIRFNKNDRNAPAPPIASPAKAAQIERQNSTDDENSSVSSEDKQASVERSRAPTRSSATPRRSASPMRRIQIGRSGSHRAAALTIKSLNYFPPREKLGFQRDAGSNSSEEEEEDPEKPKKNNVLRMSVQDKISLFESKQRDESVNIPKTKTLLNTKKGVLRRWSSGMGENATQNQQHHLPDNINNNTENTLVSTKNVAPEAEVSAETAENIIQVETLEPEREGSCEKHVDSIEWSQLKEAELNQLFTEMMENKLVKPRKLTNDVSKSKKSEQRGGFYDHYKQKRDEKLQRETAGKRAEKEAQLKVMQQRFLDENNKLQKTQKNPIPVTNTRKESPKPLVLKKATPKPPSPLPTTRKSWPSPQPPKPKEASPSRTTSRKPQSTSSSDVRSSTKSEKSKPRSTTPKSTPDVVTNRNLKKTINEKKQKQPTVMKTTKSTKPIKVNNTPESESKPSFYNKVTKKNSVVPLETKPFLRKGSGMKTKVADQPEEASRRSSETLVIQSEENEVGMITDVTETVELEPQVVKLKSEEEFELELESESESVIPKRVEMKEQELVISPTAWVEVEAEVEEEIISSPVQSVSPVKGVVKGGDGIGITSPRIRHSLSQMMLEDNSEEGGDNGEWGNAEHPPALVYHKDSPKGFKRLLNPTKGQLSDSI >cds-PLY67787.1 pep primary_assembly:Lsat_Salinas_v7:9:165583871:165584200:1 gene:gene-LSAT_9X103141 transcript:rna-gnl|WGS:NBSK|LSAT_9X103141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGGTQKHVFDGLSRQGSLHNLTLDEVQQQLVDLGKPFSSMNLDEFLKNVWYAEANQGINNGSSPDYSELTQLASASSLPRQLSLTFTKDLTKKTVDEENLTFGRGK >cds-PLY63941.1 pep primary_assembly:Lsat_Salinas_v7:4:76145215:76145493:-1 gene:gene-LSAT_4X51161 transcript:rna-gnl|WGS:NBSK|LSAT_4X51161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWSLKHTFIIDDTLIFNFADGFHNVVEVTKEAYDTCDTQNLVSIQTTSPARFTINNVDNHYYICTVGLHCKSFLKLAIRVSVPNNSSAMLSH >cds-PLY90004.1 pep primary_assembly:Lsat_Salinas_v7:3:83943268:83944486:-1 gene:gene-LSAT_3X65220 transcript:rna-gnl|WGS:NBSK|LSAT_3X65220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLSSISIKNNSFYHKNIFISAPPNPAKYPQRIHLSSIINATYVVPSSSSTPPITLQQLLIPETNLVQFPVLKGFEFNTYMSEKAKLVNEALESAIPLQEPLKIHEAMRYSLLAGGKRVRPILCLASCELVGGMEVCAMAMASAVEMVHTMSLIHDDLPCMDNDDLRRGKPTNHKVYGEETAVLAGDALLSLAFEHLATRTIGVGPGRVVQAISELGSAVGSQGLVAGQIVDLCSEGKQDVDLNKLEYIHVHKTAKLLEAAVVGGALLGGGNSGEVERVRKYARYIGLLFQVVDDILDVTKSSEELGKTAGKDLVSDKATYPKLMGLEKAKVFAGELLEKAVEELAYFDAGKAAPLYHLAHYIAYRQN >cds-PLY89305.1 pep primary_assembly:Lsat_Salinas_v7:2:50344653:50344946:1 gene:gene-LSAT_2X23941 transcript:rna-gnl|WGS:NBSK|LSAT_2X23941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLGNGSNGYGSRVSWLSWAAVAMSGGGEDLVFTGAVENRSWVSEAGNRWWCSTATSSTRVVVVKVVRMGRIGVSHRWCTEVARWWLQWQELAMWN >cds-PLY73995.1 pep primary_assembly:Lsat_Salinas_v7:1:33212642:33214249:-1 gene:gene-LSAT_1X28540 transcript:rna-gnl|WGS:NBSK|LSAT_1X28540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIILFFIKWQFFPSKSSTNPPPSPPRLPIIGNFHQLGPLHHQFLRSLSLRYGPLMLIHLGNVPTVVASSAEAAREIMKTYDIIFASRPESKLIKKLLYDGKTVSGSPYGDHWRQLKSIMVLQLLSTKRVRFFRSVREEETAIMLKIIRDSNNEPVNLSDLFVTYTNNVTCQVVLGRKYGEGVNGKKFKQLLRDFLITLGSFAVADFIPSLAWVDRINGFDSKVERITREIDEFVEGVLDEHKKKQKDVIEHENFVDILLEIQKEDEDGGILNKTNIKALLLDAYTAGTDTTATVLEWVMAELLKHPRIMKKVKDEVRTILAGKNGIAEEDLEKMSYLKAVIKETLRVHPPLPMLVPNVASKDVRVMGYDISKGTLVMTNVWAIGRDPKHWDEPEEYRPERFLGSNIDFKGHDFELIPFGAGRRICPGIAFAMATNENLLATLLYKFDWKLGNGEKEEELDMSESPGVAVRKRIPLLAVATPV >cds-PLY87839.1 pep primary_assembly:Lsat_Salinas_v7:2:66150803:66154194:-1 gene:gene-LSAT_2X29560 transcript:rna-gnl|WGS:NBSK|LSAT_2X29560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNKHTWTTEEDAKLIEALLELHVSGKYGGANNGFKPGYLKAVQQLQDASLPNSGLKVEPHIKSRMKTSKNHFNTVHDMVYGTNTSRFGWDTDKCCVTVDAEVWDEYIKDRATGHGATDLGEDVTEETQKNSHVDVERLEKIVEETQQTARVNSKRKRPPTDDTESSYKDAAKEMKETFKESEASSLSQGPSSVTYIFWNHPGFYRAAPPIESRPWGQVKRAAASSPDMNSTLNKSRLQALSLCNRFVFSSLPLRLVLRLEKAYASDYCKKISGVSMQSQCDREIRDFINWKTNGFGSNVDVVCGNLNLKKQIKHPPFYQTVQ >cds-PLY81470.1 pep primary_assembly:Lsat_Salinas_v7:5:334716959:334720065:1 gene:gene-LSAT_5X188000 transcript:rna-gnl|WGS:NBSK|LSAT_5X188000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase 1.6 [Source:Projected from Arabidopsis thaliana (AT4G16570) UniProtKB/Swiss-Prot;Acc:Q944R7] MSPESTGLMFQLRVDPLTGNSEWVVIEEEVDDISPKPMLATTSYLDMLNDAPRNKAYRDAIDKTVTKSCHVLDIGSGTGLLSMMGARAMGIAGMVTACESYLPMVKLMKKVLRANNMDHKIRLFNKRSDELQVGVDIASRAHVLVSEILDSELLGEGLIPTLQHAYDNLLVENYKTVPYRATTYAQLVESTYLWKLHDLFNNEADMEDGIHLVPKGKENIIGVKPQQFSMHCDAMAEDIRLLSEPFKIFEFEFWKRPDSHGEKEMYIKATDDGIVHAVISWWVLELDDEGEIFYSTAPKWIGTRNWCDHWKQCVWFTKGKGLSVCKDTHVCMEATHTETNISYGFKAQRSYVNDDDDEFRSQDSHIINLSPERIAIYGDSYWRLSMLKAINHAYLKAVANENGYSMDRVEVISNRKQQWTMDDTHQKKVDLLIAEPFYFGAEAMLPWQNLQFWSKRTMLDSVLSEDVCIMPCKGILKACAMSLPDLWRSRRSLEKIEGFDHSMSVVNSTLGASSSESPCLPFFIWQCGEVEKLSDTCTIMEFDLMKPLSSCHGKAKVEFIEAGVCHGFAVWIDWVMDSSNNIVMSTGPGDSRFWKQGVKLFAEALEVNVGGGTGTTVIEAYFDSSSGQLELSVNV >cds-PLY67292.1 pep primary_assembly:Lsat_Salinas_v7:5:136065508:136115773:-1 gene:gene-LSAT_5X59000 transcript:rna-gnl|WGS:NBSK|LSAT_5X59000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKGTSTATKWLCETPIHSDVEAKLRDLLVELGNADKILGIQVCAYKDGKVIIDTAAGVMGKDDPRPVQPDTLFPVFSTTKGVTAGIIHWLADKGKLKLDENVANIWPEFGTNGKDQIKVNHILNHTSGLHNALAGISENDPGLFCDWDECLKRIAMVAPETEPGCQQLYHYFSYGWLCGGIIEHVTGKKFQDVLEEAFVRPLNVEGELYIGIPHGVESRLATLTYDTTEFNTFASLAANTEFRTASATPSSLTVELLEDLIPLSNTLDVRRAILPAANGHFSARALARYYATLMDGGITPPRHSSSALPPLGSHPHHPTSPSNNQKDETKNASDEINTKIFSTPKSKLHDAFLGSGDYKDLILPNEKFGLGFGRVKTTGGLVIGFGHAGLGGSTGYCDINNGFSIAVTVNKMSFMNLPTSEIIRFVCSELDLPVPEDHAGSFEVIEKSVIN >cds-PLY88330.1 pep primary_assembly:Lsat_Salinas_v7:5:7923832:7924047:-1 gene:gene-LSAT_5X4141 transcript:rna-gnl|WGS:NBSK|LSAT_5X4141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESEDIVHSTSETEIEEVHDSPTVAVAEEHDYQKEDETPSAQEEDDDDPYGDVEFLKEIDFTGISDDIPTK >cds-PLY86501.1 pep primary_assembly:Lsat_Salinas_v7:8:135175476:135176397:1 gene:gene-LSAT_8X91920 transcript:rna-gnl|WGS:NBSK|LSAT_8X91920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNYKATELRLGLPGTNDLPEVNTTTTCSLTKSTKRSSSEMSQNETDESRSSPPAKEQVVGWPPVRSYRKNVLQGKKVLPEMGSAMYVKVSMDGAPYLRKVDLKVYKSYGELMKGLEEMFLCTIGLYNENERYDGSKHAATYEDKDGDWMLVGDVPWEMFVTSCKRLRIMKGYEARGLDL >cds-PLY75414.1 pep primary_assembly:Lsat_Salinas_v7:7:75748151:75752405:1 gene:gene-LSAT_7X54640 transcript:rna-gnl|WGS:NBSK|LSAT_7X54640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNQDFENNQTLFSVLKGEEFPTSKILSRDSSVGQSSRIYYQNTTNEGVPFKWEMQPGTPKNPPQVEIIPPPTPPPAVQSLAIPRPKLVVSGSKDSFSWRFWSWKKLSKNLRLQTYDRRGRHTRFNKHATYSDGDSDAEFVGWVCGADGNFVVINQKGIDPPSLDLLAWTGHAQLGVQAFADALLIVPKTLKILDLIPKTS >cds-PLY63868.1 pep primary_assembly:Lsat_Salinas_v7:1:125966498:125968631:-1 gene:gene-LSAT_1X95380 transcript:rna-gnl|WGS:NBSK|LSAT_1X95380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPALLTPRPIELFMKSQLTVLPRSRSDSEDKVVVDKPDACKKGIYEVKSGDKEDYFGHDDEESNKTSQGYKCMLNLKDFEDAMT >cds-PLY70249.1 pep primary_assembly:Lsat_Salinas_v7:9:1177331:1177522:1 gene:gene-LSAT_9X4381 transcript:rna-gnl|WGS:NBSK|LSAT_9X4381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLGRFDVIVIGVDVVWSSAIKQLNDIKELYLSRNSTSYTTMDHLTMNLEQSEQLTLNLTIL >cds-PLY87292.1 pep primary_assembly:Lsat_Salinas_v7:4:331607555:331610338:1 gene:gene-LSAT_4X164500 transcript:rna-gnl|WGS:NBSK|LSAT_4X164500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCALPKPFRHRHRYRHYLLSFFLLLTASITASAVDPKPVEALLPSDAVALLKFKLKADIGNKLLYNLNERFDYCQWQGAKCVQGRVVRFVLQGCGLSGIFADNTLTRLDQLRVLSLRNNSLSGVIPDLSPLTNLKTLFLDHNAFSGTFPLPLVSLHRLRSLDLARNSLAGPLPIELNSLDRLTYLRLEWNRFNGSLPPLNITTLEVFNVTGNNLTGSIPVTPALSRFDISSYLLNPGLCGKILNKICDSKSPFFDDTPPGVSAPAPLLQNVGSQGLLASPPSTRRHRRIGIILGFIIGLLILIAIVLLLVTIVNKRRNRQHQTKSVDYSSDEENETENEIDNRNVSTFHAISTNTASEVRIVSKEAEIKEKKLELPQHHREKSGNLIFCEGESAMYSLEQLMSASAELLGRGVIGTTYKAVMDNQLIVTVKRLDAGKTATTSGEAFERHLEAVGGLRHPNLVPVRAYFQAKQERLVIYDYQPNGSLYNLIHGSRSTRAKPLHWTSCLKIAEDIALGLAYIHQASRLIHNNLKSSNVLLGSDFEACLTDYCLLVLADPTSIDDSIPTGYKAPELRKSFHRATTKSDVYAFGVLLLELLSGRPPSQHPHLVPDDMAEWVRAMRENDDVAGDDRLGMLVEVAGVCSLTSPEQRPVMRQVLKMLQEIKESGSIDNGNGNGNDTYKGYS >cds-PLY77451.1 pep primary_assembly:Lsat_Salinas_v7:4:309855098:309855412:1 gene:gene-LSAT_4X157661 transcript:rna-gnl|WGS:NBSK|LSAT_4X157661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSEYTSLANRIKYYLEGHDSDIWTFISTGKHTPEFLKDIRVPKADVSPETSKVIFGFVSSVAQLRDRKIKKFEAKALQELLSGIPHDINEQLPNEDKSSPFNI >cds-PLY62044.1 pep primary_assembly:Lsat_Salinas_v7:5:331299595:331301617:-1 gene:gene-LSAT_5X184960 transcript:rna-gnl|WGS:NBSK|LSAT_5X184960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSMLYGGQHLTLTPKGLGFNGSDLHGKNLLKMNLVGRNCNFRSKTLVVPKCSVSVPRPASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLNSRDSIVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKEKEPLKECKIIEGDAEDLPFKTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKMGGKACLIGPVYPTFWLSRFFADMWMLFPKEEEYMEWFEKAGFKDVEIKRIGPKWYRGVRRHGLIMGCSVTGVKPTSGDSPLQLGPKVEDIETPVNPFVFLLRFLLGASAGVYYVLVPVYMWIKDQIVPKGQPI >cds-PLY68756.1 pep primary_assembly:Lsat_Salinas_v7:2:211719892:211723047:-1 gene:gene-LSAT_2X131140 transcript:rna-gnl|WGS:NBSK|LSAT_2X131140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGALRLPSLTSIFAVSSGRSSLFLRRSNQLHVRKLTTTTKLFAQTTPATEVAPPESNQKPLAQEQGITPRSQDFNAWYLDVISQAELADYGPVRGTMVIRPYGYAIWEAIQDYLNIKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTVGGGKELEEKLVVRPTSETIVNHMFTQWIHSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATLEEAEKEAMQMIDVYTKFSYEEAAIPVIPGRKSRVETFAGADRTYTIEAMMGDCKALQAGTSHNLGQNFSRAFGTQFADENGQRQHVWQTSWAISTRFIGGIIMTHGDDAGLMLPPRLASVQVVIVPIWKKGNEKKEVLDAAVSIKDVLHTAGIKVKLDDSDQRTPGWKFNFWEMKGVPLRIEIGPRDVSSGSVVVSRRDIPGKPGKVFGISMEPSVLIPYVKEKLEEIQLSLLDKAKSFRDSNIVDVKSYDELKEAISQGKWARGPWVGSDEDELKVKEETGATIRCFPFEQPQGPNKCLMTGNPADEVAIFAKSY >cds-PLY99530.1 pep primary_assembly:Lsat_Salinas_v7:1:114636116:114638419:-1 gene:gene-LSAT_1X88781 transcript:rna-gnl|WGS:NBSK|LSAT_1X88781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKMGTDDGRTGLLENNKLHDHSEAVDVNVNSEIDDHIESLWMLVMTRWENTGELHVGQGMLEKMSDTSEGSGSIQQVSEQLKRFDNFMRGQPISIQQVSNPAMLKFISEDTTWVPFEKRFDNLTKYTNGLLPRSRFLECIGMNKDSQDFAEELFDVLSRDSYPKVDSIDKEQMKGFWEQISGQSFDSRLQTFLAMIDRDARDMIRYDDVRRIISLSASANKLSNVMNKAEEYAVFIMEELDPGSLGYILTENLKMLLLQTPQHDVRGESQTLKTIQSGNPIRSLYEDYSVTNDLINPLYGAPTQDYNEYEVVLLLGSGTPIINIVKDILYNIKVKEDEENAIENGSMGKLQKKRSGPTNFKTTKAYLYWVSREQGSFEKFNNIINEAAEIDKYGVIEMHAYCTSVFEEDDVRSAFITILQSLYHARNGIDVVSGTHIKARFAEPDWGYLYKQIALNHTGSRIGVFYCGEEAPAKELKQLAQDFSHNTTTKFDFHNQNS >cds-PLY68006.1 pep primary_assembly:Lsat_Salinas_v7:3:240855815:240872768:-1 gene:gene-LSAT_3X134400 transcript:rna-gnl|WGS:NBSK|LSAT_3X134400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFLSIPIIILVPIFLLLVFFYTYNQQHTYGRKTKKYHPVCGTVFNLLIHFNKVHDYLTDLAEKYKTFRLISPFHGEIYTTDPVNVEYILKTNFENYGKGTYTHNILEDLLGHGIFTIDGDKWREQRKVSSHEFSTNVLRNFSSVIFKNNTIKLGNILSEAANNNQIIDIYDLFMKATIDSIFKVAFGIDLDNICGSSEEGVRFSRAFDDANTLTSRRFVDILWKIKKYFNIGSEAELKKNMKVVNEFVYDLIRIKIEQMDKTNNEFSKTQLIKEDILSRFLQMKYEDPKYLRDIILSYVLAGKDPIGITMSWFIYLLCKHPEVQDKVAREIKEATNVKEEITNVAEFAAYVTEGALKKMQYLHAALTETIRLYPALPMDPKICFSDDVLPDGYNVKKGDMVSYLPYAMGRMKFIWGEDAHEYNPKRWLDENGYFHPENPFKFTAFQAGPRTCLGRDFAYMQIKIFSSILLGCFMFKLSDVNKVPRYRMSINLHIDGPLEILVYNRFGLKNR >cds-PLY77262.1 pep primary_assembly:Lsat_Salinas_v7:3:57357902:57360428:1 gene:gene-LSAT_3X44661 transcript:rna-gnl|WGS:NBSK|LSAT_3X44661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIRNHHISPPRFLGLRCINQSQKPFSVTNPNWGQSRVFPATCCSSNKNGSSKPRYVSDYSTKLETSVNDKNFEKIYVQGVDELDEKTPVVVEKKDETVDRDGEESRLKNDVQNENLVSLDPTQRVESEAEKEAWRLLKESVVTYCGSPVGTLAANDAGDKTPLNYDQVFIRDFVPSALAFLLRGEGEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKIRTVPLDDNKVEEVLDPDFGESAIGRVAPVDSGLWWIIMLRAYTKITGDYAMQERVDVQTGIKLILNLCLSDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCAREMLASDEGSKNLVRTINNRLSALSFHIREYYWIDMKKINEIYRYKTEEYSTEATNKFNIYPEQIPHWLMDWIPEEGGYMIGNLQPAHMDFRFFTLGNIWSIVSSLTTPKQNNSILNLIESKWDDLVGNMPVKICYPALEYEEWRIITGSDPKNTPWSYHNGGSWPVLLWQFTLACIKMGRIELARKAVDLAESRLHADHWPEYYDTRNGKFIGKQSRLYQTWTIAGFLTSKMLLENPEKASLLFWEEDYELLEICVCALTKTGRAKCSRGAAKSQILV >cds-PLY91175.1 pep primary_assembly:Lsat_Salinas_v7:8:186576656:186579098:-1 gene:gene-LSAT_8X120320 transcript:rna-gnl|WGS:NBSK|LSAT_8X120320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIAKKEEPEMIEKSRAKNIKKELIALTLPALAGQAIEPLAMLMETAYIGRLGPVQLASAGVSISIFNIVSKLFNIPLLSVATSFVAEDIAKNQGDNSDEANGNGMTERKQLASVSTALLLAVGIGIFEGLSLYFGSGVFLNLMGISSASSMHAPAQQFLSLRALGAPAVVVSLALQGIGNTSAVFLFPILMYYFKLGVTGAAISTVTSQYIVTFLMIWHLNKRAVLLPPKPGALKFGCYMKSGGFLLGRTLAVLITTTLATSMAARQGPIAMAAHQICLQVWLAVSLITDALAASGQALIASSVSKGDYRSVKDITYFVLTIGFVMGVTLAAILGVSFGSIVTLFTKDVGVLAIARTGVLFVSASQPLNALAFIVDGLHYGVSDFPYAAYSMMLVGILSSAFLYYAPSGFGLHGVWSGLTLFMGLRMLAGLIRLLNKNGPWWFLHEDLNLTKVVP >cds-PLY78264.1 pep primary_assembly:Lsat_Salinas_v7:1:130676248:130677123:-1 gene:gene-LSAT_1X97781 transcript:rna-gnl|WGS:NBSK|LSAT_1X97781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNFQWILAVVGQLTNDHRMEYVIDPSFYLEYKQNITGLGNGKWKRRCDVVIWLKANISNGPFPNNTHSMVSDESEHISQVMVAGDFSMLIDNEGAKASLAFPSGYTNAYSIPSRAQMVLRHGVRNFEVMVEDGYFTQGWNYFVIEHEIKCGYAVKFQFCGSSTYEVEIVDWKKSKKVYPWSNLEYHEWI >cds-PLY95949.1 pep primary_assembly:Lsat_Salinas_v7:9:40089875:40093081:1 gene:gene-LSAT_9X37660 transcript:rna-gnl|WGS:NBSK|LSAT_9X37660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHALRLALMLILLAIVHPSSSSYDFEHCKNLVKQWASSSLHSDTNDGHIVKDLLFFLHVPRTGGRTYFHCFLKKLYSSCRLLVTHDDYSMMSKLPTEKTSVVIILRNPIERVFSAYEFSIEVAPRFLVHPNLTSILKMSSRIRSKNGGISTLEIWPWKNLVPWMREDLFTRRDEKKRRGPHYTYGSDSYDMEEIVMPLHEYINHPVAMDIVHNGATFQVACLTNNSNIREAHELGQCVITHEILGKYVLEVAKKRLDDMLYVEITEDHRESATMFANVVGAQFTSQLMASTVNNNNNNNNNNNNNNNNNNSNNTSDCNAYLQGFAPHIRVKVQLQQPITYEQAKYLALSLKDPMPQNTRGCKKKKSKELKNKWGGIVKAKFSQPYSRKKRKESLMIDYPLHQGDTWEYFPCAVIVEFIIWVVVRILSVTTAKGQDTSTTFARVNQSSRKEY >cds-PLY71858.1 pep primary_assembly:Lsat_Salinas_v7:3:58564719:58565285:1 gene:gene-LSAT_3X45820 transcript:rna-gnl|WGS:NBSK|LSAT_3X45820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLVFACLLVLFTATSPAVRGKYYSNSHTVDVPATIQKTHLHFFMHDILSGNNPSAVLVAKPNGTVVQEGNLLPFGAVYVIDDWLTVGPDPKSKIIGNARGMYASSSRGSDLTLLLSADFEFTSGVFNGSSVSVFSRDPLVVAKEVAVVGGRGKFRMAKGFILLNAVYFNTTNGDAILECHATIFH >cds-PLY76940.1 pep primary_assembly:Lsat_Salinas_v7:8:264038226:264043699:1 gene:gene-LSAT_8X153641 transcript:rna-gnl|WGS:NBSK|LSAT_8X153641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGMFYYADGIDKLLLLFGTIGSIGDGLMSPLTMVILSGVIDEYGTGGVSISNDVVDKYGLKLLILAIGIAISAFIEGICWTRTAERQTSRLRMEYLKSVLRQEVGFFDTQVGSSTNFEVITAISGDAQLIQDVMADKIPNCLAHLSALFFSIVVAFTLSWRLAIASLPFALLFVVPVLGVGVLLKKLGMKMQGAYNTGGGVAEQAISSIRTVYSYVGEQQTINKFSNALQTSMELGIKQGFTKGLMIGSMGMIFVAWAFVAWVGSYLVIEKGESGGRVFVTAICVIMAGLSAMSALPNVPFISDATAATTKMFEMISRIPRIDSENHKGKTFPSVSGHIEFRNVEFSYPSRPDSPILQGLNLKVKAGKTIGLVGGSGSGKSTIISLLERFYDPIKGDILLDGHRIKGLQLKWLRSQMGLVNQEPVLFATSITENILFGKEGVSKEFIEVATKAANAHNFIVNLPNGYETQVGQFGIQLSGGQKQRIAIARALLKEPKILLLDEATSALDTESERVVQEALDQASIGRTTIIIAHRLTTIRKADKIVVLQSGKVIESGSHDELMQKNNGEEGGAYYQMVQLQQSATQNETLDYTPYTPISQRNLNHQHQTPTTPISGRSSFQNSPMSPISPTLSMAHSVQMYSYQESDDEYLIETPESSPSQWRLLQMNAPEWKNALLGCLGASGFGAISPIHAYFLGSVVSVYFLPDKGKVKSETGFYCIVFVCLGIGCFFTNLLQHYNFAVMGERLTKRVREKMLENVLTFEVGWFDRDENTSAAVCARLATEASLIKSLVGDRMSLLLQVFVSAFLSFLLALIITWRVSIVMIAVQPLLIASFYSKIVLMKNLSAKAKKAQNEGTQLASEAVVNHRTITAFASQGRIMRLYEETLKGPRKQNIKQSWFSGLGLFSSQFVTTAAISLAFWWGGREMNKGLVTAKEMFQVFFILMTTGKNIADAGSMSSDISKGGGAVRSVLAILDRKSEIDPNDTKGLTIKRINGDIELKNVYFSYPSRPEQMILQGLSLRIEAGKTVALVGESGSGKSTVIGMIERFYDPMKGSILIDERDLKDYNLRDLRSHIALVSQEPTLFAGSIRYNIVYGKEEASEVEIRKAAKLANAHEFISSMKDGYETYCGERGVQLSGGQKQRIALARAILKNPAILLLDEATSALDSVSENLVQEALEKMMVGRTCVVIAHRLSTIQKANSIVVIGNGKVLEQGSHSELLSMGNQGSYHSLIKLQHL >cds-PLY87987.1 pep primary_assembly:Lsat_Salinas_v7:6:171574316:171576104:-1 gene:gene-LSAT_6X105560 transcript:rna-gnl|WGS:NBSK|LSAT_6X105560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLPQETDDYIRESIEYSLGLPVSTHTLELKLRFSEETQRQLRDQYLYLKSKLKEKDETIERVRVESSLNALAVKKFVEENQKLAAECSNLLNQCKKWEKECSLYDHDREALMDFGNEADNRAKEAESRVHDLEDQLSKLSEELQFYKHQSETQQVSEVTKTASSEHFLIDTLLSTLITKDNIPSTAHSFLEANSGVEVCQKMLQIWESLKPSTQKVLALASEVKILLQEKDHLMNNLTTAEEEVKVLYEENDILDKENRRLMKRLQKERQVNNDSGGKTSSASLKNNNKRKCSPRENSPIEKKIDFIESGSPRQALSPLKQNTPESRLNKK >cds-PLY72507.1 pep primary_assembly:Lsat_Salinas_v7:2:139091842:139094879:-1 gene:gene-LSAT_2X66000 transcript:rna-gnl|WGS:NBSK|LSAT_2X66000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPPATVSVESKPESKPDESKPNNPKSYDCGLCDTEVVYKIAQELLPGLASACVDNTTGGLFKNAGTVAVDMRKEMSDYLTQRSETYVAEFLLSENTPVPETSEHPYDIIITLIDDFAASKRNMFSRVSEWVSSDGREDRIDDFVQEMEINGFWLLARRECIASILLKNVDYKNSFHCNVACGSEEEIGKHRLECKFRGMDCVNEGCTTRYCAAQKENHEAICPFMILACEQKCSDFVMRREMDRHCVTICPMKLVNCAFYTVGCKSCIPKCDVQKHNTDDLSGHLLLIIRTAHKEANENDLKHRVEQIRNLTNTEKLARARDARALTFLIKDAEAKLGPLESKANSSSDSSKHDDINKINPPKETEPLIVKDDSAKTEPLVVKDDSAKTEPSIVKDDSAKTEPLIVKDDSVKTEPPVVKDESKEDRPVPPPENPAVKDVHQEPPPKPVESPEERKDKQDANSNSPPIENGESKVPIKEEETKELLGKPSDSKEEEKTKSPKGEVKESMKTSIEDEKSKNGDEEDKKKSPEKGTVEESTK >cds-PLY88323.1 pep primary_assembly:Lsat_Salinas_v7:3:86955997:86957179:-1 gene:gene-LSAT_3X66540 transcript:rna-gnl|WGS:NBSK|LSAT_3X66540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISNETPPPRVQTLALCRYNIKSSSPDYLPFEFRALKVALEAVCTFLLVVMELVTAMELHEHLLKIFDGHFEELHQRQSQWKIYSCKDIEKREEEAMEGDDRDWSTRSPHVTFFN >cds-PLY95337.1 pep primary_assembly:Lsat_Salinas_v7:1:42367196:42369039:-1 gene:gene-LSAT_1X37181 transcript:rna-gnl|WGS:NBSK|LSAT_1X37181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIDDSIDANSASLPIPIAVAIEGMENDQVVAKVISLGKETIEIENETTLTPSLMALVEKNQSKGIKSVFELEYIPLWGLHSVCGKRPEMEDSVAVVPRFMEVPIKMFVGDHVIDGMSSNLTDLTSHFFGVYDGHGGSQVADYCHDRIHLALEEELKLVAQETFNDTLQVQWEKVFTNCFQKVDDEVGGRVSRKTPESESLIPEPVAPETVGSTAVVAVICSSHIIIANCGDSRAVLYRGKEAIALSNDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDGYLKPWIIPEPEVTFTARAREDECLVLASDGLWDVMSNEEVCEIARKRILIWHKKNGGTTTDRGTCTGVGPDPAAQAAADYLVMLALQKGTKDNISVIVVDLKTQRKFKTKS >cds-PLY94753.1 pep primary_assembly:Lsat_Salinas_v7:2:179019542:179021184:-1 gene:gene-LSAT_2X100901 transcript:rna-gnl|WGS:NBSK|LSAT_2X100901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTSLLITAVLVLLVGTAVKGCPPSDKAALLAFKAALHEPYLGIFKSWKGNDCCKKWYGISCDPTTKRVADINLRGESEDPIFQKAHRTGYMNGTISPAICKLTRLSSVIIADWKGISGIIPPCISSLPFLRILDLIGNQLSGEIPFDIGKLSRLTVLNIADNRITGRMPRSIANLSSLMHLDLRNNLISGTIPQNFGKLRMLSRALLSGNRIYGPIPYTISYIYRLSDLDLSLNRISGPVPESLGKMAVLATLNLDGNMISGKLPATLINSSISILNLSRNAIEGNIPDVFGPRSYFMMMDLSYNNLKGPIPKSIASASYIGHLDLSHNHLCGAIPAGSWFEHLEAASFVNNDCLCGKPLKSC >cds-PLY99444.1 pep primary_assembly:Lsat_Salinas_v7:6:20368597:20369672:-1 gene:gene-LSAT_6X15581 transcript:rna-gnl|WGS:NBSK|LSAT_6X15581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIDLFSGSVGIKGMGKTRSMLRKKKYDEARSRIIINGGVGSWSDLNHDILFLVMMKLEFVDFIAFSGVCNSWRSFAVSNRNSFMVSIPPMPISISNSTTDANEKQYYLKDSQGRKMKTIVPHSAKRSCIGVTCGYLILSGVETKDFWLVNLITRHELHFPDVPDEYFCCHRDAKGKREWTCVSSPFSIDDLVAFKGKIYTLHATGICEMKLYPEPKLVLLETKNILNLDSRFMEFVTSGENLYVIDQLSKHPYTILEIDLDQMKWVSPKKTCEEYVFFRSNTDSANHRSLYDGRYVVEDKNGKGGCLYANMWYFFFDCLNVDIIHE >cds-PLY77648.1 pep primary_assembly:Lsat_Salinas_v7:5:174323873:174325548:1 gene:gene-LSAT_5X76980 transcript:rna-gnl|WGS:NBSK|LSAT_5X76980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSFSSSTTTTHHHHLNKKPSSSSSSNPNPNNCTGTCSHHSPSATLDILILILVLFSGAFLVSSYFSYIFHSLSLILPPISLSILIDISSLYLIGFFLFFVLSIIGLEICCGNRSRKCGKKGCKGLKKAMEFDLQLQTEEVLRSGVKGMKEMDELPWKGGSETNPDYECLRTELRKMAPMNGRAVLLFRSKCGCPVAKLEGWGPKRGRRNKKNLSLNGGNHG >cds-PLY87990.1 pep primary_assembly:Lsat_Salinas_v7:6:171150896:171152807:1 gene:gene-LSAT_6X104401 transcript:rna-gnl|WGS:NBSK|LSAT_6X104401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSAREENVYMAKLAEQAERYEEMVEFMEKVSGALSGSDELSVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSVIKGYRSKIEKELSDICDGILKMLDTKLVPSASSGDSKVFYLKMKGDYHRYLAEFKSGGERKEAAESTLNAYKAAQDIANSELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEDSYKDSTLIMQLLRDNLTLWTSDMQDEGADEIKEAGGAAKQSEEQKE >cds-PLY81042.1 pep primary_assembly:Lsat_Salinas_v7:6:130419022:130420596:1 gene:gene-LSAT_6X78620 transcript:rna-gnl|WGS:NBSK|LSAT_6X78620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGDMTQLGSLMAAVMFVWAIFRQLFPDEFQRDVRKYVNKVVSYVYPYVEITFHEYQVDTWFERSKAFVSIERYLSTNSSNRAKRLKANVVRDCESVVLSMDDYEEVTDEFRGIKIWWTSSKSIPQQRAFFSYRGDEEKRYYRLTCKREHRDIITKVYLQHVLDEGKAIEVKTRQRKLYTNNKSEKWSGYRRTMWSHIIFEHPSTFDTLAMDPKKKKEILDDLMMFSKSKEYYKKVGKSWKRGYLLYGPPGTGKSSMIAAMANLLEYDIYDLELTSVKDNTDLRKLLIDTSSKSIIVIEDIDCSLDLTGQRKEKKEESKEEEKDPVKKAEEEKDKKNKGSEVTLSGLLNFIDGLWSACGSERLIVFTTNFLEKLDPALIRRGRMDKHIELSYCCFEIFKVLAKNYLDLESHDLFSTISRLLEETKMTPADVAENLMPKSFEENAESCLNKLIQSLENAKEEARLKAVEDARIKAEEEAAKKIEQNGEKLQEIDGKLGDGGGKKADQDTDILQVTNTKENGVINA >cds-PLY92761.1 pep primary_assembly:Lsat_Salinas_v7:8:66606465:66609726:1 gene:gene-LSAT_8X46820 transcript:rna-gnl|WGS:NBSK|LSAT_8X46820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint protein BUB3.3 [Source:Projected from Arabidopsis thaliana (AT1G69400) UniProtKB/Swiss-Prot;Acc:F4I241] MDGSCLQFEENPIQDAISRIRFAPASNNLLISSWDTNLRLYDVDRSRSIFEASGEAALLDCCFQGESAAFSAASDCSITRYDLHSGMRENFGNHDDLATCVEYSNETGQVVTAGWDKKIKCWDSRSTKTLTAVNTVNTGVKSISLCGFASMVAVGDSVNIYDLRKFNKSFNSKCVEIQIECVRPYLDQGFAAGSTDGRVALKYFNPSDQNNDGYAFRCHPKAKRARHNFAAVNDIAFSPSTYGDFVTGDNKGYVTIWNAQSKKRVYEMPKFENSIASLSYSHGGQFLAVASSYTYSEENELEMPPRIYIHEMDDKYIASFSTGSSK >cds-PLY69312.1 pep primary_assembly:Lsat_Salinas_v7:4:105125934:105126514:1 gene:gene-LSAT_4X67121 transcript:rna-gnl|WGS:NBSK|LSAT_4X67121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMCFCGREAVVRTSWTSANPGRRFLSCPQKGSRCRFLGWIDPPMCARSMLIIPGLLRNINNVNYQVARLKIKKLL >cds-PLY72909.1 pep primary_assembly:Lsat_Salinas_v7:1:209179379:209183706:1 gene:gene-LSAT_1X126820 transcript:rna-gnl|WGS:NBSK|LSAT_1X126820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSMKRERSLADKNPSSGKKSRVNYGDHSLVGSSLHPITKHRVVLNPADCDLDFNIEGNGLKGSALYENGFAYCWSGARATIGITRGKYCFSCKIVSNQPVEMDETTLDQKHLCRVGISNATNKVGNLGETEHSFGFGGTGKFSNFGKFSSYGERFNIGDTILCAVDMESKPMCSIGFAKNGKWLGIAGYFNGGGVESYFPHVLLKNVVVEMQFGCEDGLIPLHGYRPWACAFEDGNGLVGPTFSNVCECELIMMVGLPASGKTTWAEKWANDHPDKRYILLGTNLALDQMKVPGLLRKQNYGKRFDHLMEHATDIFNTLLTRASKTPRNFIIDQTNVYKSARKRKLKPFANFQKIAVVVFPRLEELKARSEKSFRELGKEVPVEAVNQMLANFVLPKTKDMHGTDEYFDQVIYIELNMMESNRCLDEMKAKLQSTTTISPYSSHKSSNPVSSYPQEHVMRPHRISTHQNPLQSHLTSLQNQGGSYSYPSQTTLPNRGSNNRFVPRDDLNHRRSYSGYEHRASSAPMGMGISVFSQKNSYHHTYTSTPTQETMDISPRYSTPHLN >cds-PLY65118.1 pep primary_assembly:Lsat_Salinas_v7:4:4807755:4812993:1 gene:gene-LSAT_4X2320 transcript:rna-gnl|WGS:NBSK|LSAT_4X2320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAMASQGKIDRIELENFKSYKGHQVIGPFFDFTAIIGPNGSGKSNLMDAISFVLGVRTSQLRGGQLKDLIYAFDDREKEQGGRRASVKLVYRLENGTELLFTRIITGAGGSEYMIDDRVVNWDDYNARLKSLGILVKARNFLVFQGDVESIASKTPRELTVLLEQICGSDEDKRLYEDLEEKKGAAEEKSTLAYQKKRTIVMERKQKKEHKEEAQKHMRLQQELLFNIENDIEKANQEIQAESSRLEEVENELGGYENESCKKEKEQAKYRKEIDKQEKKLAEKKNKIDKYQQELLKPKEEKFRLIAKLENTEKELEKRKEEKKKHMVEIQKLQNDLEDLTNQLNNLQTKAQSEKGKQRLHLTDHQWEAYNRIKEEAGMKTIRLRDEKDVQDREQHADVEAEKNLEENLQQLESRKQELESQQKQMQSRLKKIQEAIDEHNEDLKRLKKEQNNITKKLGDSKVKYEKLRGDISDLENKLRELKADKNSNERDTKLSETVEALMRLYPGVHGRMTDLFKSTQDKYNLAVTAAMGRFIDAVVVDNEDTGKECIKYLNDQRLPRMTFIPLNSIRVEPIIEKLRALVLNKAIMFAVGNTLVCDDLDEAKHLSWTGERFRVVTIDGILLTKAGTMTVGTSREMNARSQKKKIQDLKKEKEGFEAELQEVGSIREMQLKESEASVKINGVQKKIQYAEIEKEYTKEKRSKLISEYNNIKSKIGDIEPRLLEIRNKISSRQHKILSLEKRINEIVDKIYKRFSESVGVENIHEYEKSHHELADERLRLHNQQSKIKYQLEYEKKRDMGDRIAKLTLSKNEFKKGLLEVDEREKEVKSSIEKVTKEFATLKEEIKEWKSQSEVCEKEMKQWKIKISISTTNITKLQNRIDAKETLITQLNSKKQDILDKCELDQITLPTVADPMEVYDFSQLGGSHQHNTTPAEREKVEAEFKQKIGSIISEIDQTTPNLKALDQYTALQEKEKTAIKEFELARDEAKKIAKEFEAVRTRRLTRFMEALEHISGNIDKIYKQLTKSSRHPLGGSAYLNLENEEEPFLHGIKYSAMPPTKRFRDMEQLSGGEKTVAALALLFSIHSYKPSPFFILDEVDAALDNLNVAKVAGFIRSKSNGLQSIVISLKDTFYHKAEAMVGVYKDFQTGCSRTLTFDLTKFEES >cds-PLY83241.1 pep primary_assembly:Lsat_Salinas_v7:8:251969422:251969688:1 gene:gene-LSAT_8X147661 transcript:rna-gnl|WGS:NBSK|LSAT_8X147661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSLVLRGTMRAHTDWVTAIATPIDDSDMIDTSSRDKLLNHKYDPLLEMRSLKAVKIMSSSYPKTPRSIIPHLSAVSLNFDKFLTWL >cds-PLY78605.1 pep primary_assembly:Lsat_Salinas_v7:1:106453126:106457460:1 gene:gene-LSAT_1X84880 transcript:rna-gnl|WGS:NBSK|LSAT_1X84880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G10650) UniProtKB/Swiss-Prot;Acc:O82497] MVGTTSFVKKLGISVMKVSESKSSSWWYNHHMRAASRAILERIPLVDLVLEVRDARIPMSSEYAQLRKFPSSSKNIIVLNKMDLASHSKTKEWMEYFKELNYIPYAINSHNKDNIKEFLNFLQARIRDIRRSTDSSNTQTTTIMLVGIPNVGKSAFANSLHQIGRISATEKGKLKHATVSPHPGETKNITSFKIASNPNIYILDTPGILPPEILDAEISSFFFIAWKNISTMDKKENQIINDDHTQDCVVQSVRGALFQEIASFKGKMKDEKDMIQLIEAQFTTLRKAFNVSLDSFEDANSIVATKLINLYRTGRLGHYTLDTLPRTCE >cds-PLY65426.1 pep primary_assembly:Lsat_Salinas_v7:9:185483836:185486617:-1 gene:gene-LSAT_9X114080 transcript:rna-gnl|WGS:NBSK|LSAT_9X114080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSSRFINSAVLHDSGSNSNSNTMINLHCNSTASSSLPYVGSRIRVNFKPVNVSTFSSDRRSGYVNLKCEAASGSDARSESVSKSSSFSAFELLKTSAAERYTKEKSGIMVVGLSFHTAPVEIREKLSIPEAELPQVITELCALNHIEEAAVLSTCNRMEIYAVALSQHRGVKEITEWMSKISGVPISDIRQHQFLLYEREATKHLFEVSSGLDSLVLGEGQILSQVKHVVKVGQGVPGFDRKISGLFKHAITVGKRVRTETQISSGSVSVSSAAVELAQMKLPEHSFASVKVLVVGAGKMGNLVIKHLISKGCKKIVVVNRTEDKVSKIREEFDNIEIVYRPLSDLTSYASNSDVIFTCTASETLIFTKDQVRGFPVNQRLFIDISVPRNVESCVSDVEGARVYNVDDLKEVVESNKRERFRKVVEARSIILEEVKEFESWKDSLETVSTIKKLRAYGERIRASEMEKCLEKMGGELSKKNQKAIHDLSKGIVNKILHGPMQHLRCDENEGRDLDDILENMEALNRVFGLEMEVSILEEKIRSKMEKFQI >cds-PLY78658.1 pep primary_assembly:Lsat_Salinas_v7:9:50835475:50836685:-1 gene:gene-LSAT_9X46540 transcript:rna-gnl|WGS:NBSK|LSAT_9X46540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADKVVHHHASETEDHGVVDCFGKKDENKKCNKDEKNESLMHKLHISDSSSSSSDEECKDGEKKKMKKKKKKDETLKEKVEKKIEEDKVKINVKIEEGKEKVEELKEKTIEKMEEGKEKVLEIKEKLGEKIEEYKEKKEEERKEDSCVPVEKYEDHQAPPPPPPCEVVVHPPEPSCEPEEKKGFLEMIKDKLPGGQKKLEEEHTAPPPPPVVVAVAAHPDHYADVEKEHKEKKGILEMIKEKLPGYHSKSEEEKKEKECD >cds-PLY97088.1 pep primary_assembly:Lsat_Salinas_v7:4:75718314:75723256:1 gene:gene-LSAT_4X50920 transcript:rna-gnl|WGS:NBSK|LSAT_4X50920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVALIVFFAHIGSFVPADAAKVGLTDRHVTSRSLCLLDEFGKGTLTEDGIGLHGGTIDHFISMYSPPKVLICTHLTQIFTDSDLLVDTDGGVGVVVVTVLMVLVCVMVVFGGRFFEGAWVVVVE >cds-PLY67655.1 pep primary_assembly:Lsat_Salinas_v7:8:261992719:261994412:-1 gene:gene-LSAT_8X153341 transcript:rna-gnl|WGS:NBSK|LSAT_8X153341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGWPALSIHGDKSQAERDWVLSEFKAGKTPIMTATDVAACRLDVKDVKYVINYDFPGSLEDDVHRIGRTGRVGAKWTTYTLFTTANARFTKELIAILQEAGQKVNPDLAAMGRVAPPPPSDPKLVWHFGSPSKQGVSSVYLNSDFNDLVGPRCIHCSYCYEYXASLAVAIMKVGHCPVVFIT >cds-PLY85167.1 pep primary_assembly:Lsat_Salinas_v7:9:148091243:148094738:-1 gene:gene-LSAT_9X94461 transcript:rna-gnl|WGS:NBSK|LSAT_9X94461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPGILPINRFICHEDTSSSDTLVKDITGSPPVATDSSTLAGVIPSFSLPTPGLFGHEVSRVLGGGLVPGSLILIGGDPGVEKSTLILQIAAIIAEGREIGKPAPVLYVSGEESVEQIGNRADRMEIDTEEFFLYSSTDIEDILGKAQALSPRALIIDSIQTVQLMGVTGCAGGIYQSSTKDSSENITGQPSGLSKRESYPYQKQPELVELVIKAFKQLHKAKCLAINSDVVRFLSGFPEELERKCPL >cds-PLY69906.1 pep primary_assembly:Lsat_Salinas_v7:4:70223177:70225598:1 gene:gene-LSAT_4X48361 transcript:rna-gnl|WGS:NBSK|LSAT_4X48361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDAQGSSNALPPFITKTYEMVDDPSTDAIVSWSQNNKSFIVWNPPEFCGELLPRFFKHNNFSSFIRQLNTYGFRKIDPELWEFANEDFIKGQPHLLKNIHRRKPVHSHSMQNLSINGLPSSSPLTESEKQIYKEKINNLQYEKESLSILFQEHQQEQKQIESKARELTDRLKLAGKHQKEILCYLNELFQKPALGDTNDRKRRLSGEYDEGQICHFDIPIRESITVDALLGLDNELVEKLESSLVLWEGVLKEAEDDFDVNREKVKTAASEVAGDGVVVGVNDVFWEQFLTENPGGDRDKGGKELDQFGKFWWNMRSVNNFVDQIGQLTPPGRT >cds-PLY97784.1 pep primary_assembly:Lsat_Salinas_v7:4:375070500:375074006:-1 gene:gene-LSAT_4X185500 transcript:rna-gnl|WGS:NBSK|LSAT_4X185500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVAYLQQTKCVFSFNPIYSSTHNRPVSVPSKVAFINSRDYQHNARRSFRWRSRNMCLASSSDTLVSGKSSSPTVASKKDKEFEEEEDLKSWMHKNGLPPCKVVLKDRQAYDSRHPSIHYVAASEDLQAGDIAFSVPSSLIVTLERVLGNETVAELLTTNKLSELACLALYLMYEKKQGKESFWYPYIKELDRQRGRGQLAVESPLLWSESELNYLTGSPIKAEVVERAEGIRKEYNELDTVWFMAGSLFQQYPFDIPTEAFSFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLGYRSNCKAMLTAAEDGAVQLVVDRPYIAGQSIVVWCGPQPNSKLLINYGFVDEDNTYDRLMVEAALNTEDPQYQEKRLVAQRNGKLTIQTFQVNVGKERETVLDMLPYMRLGYVSDPSEMQSVISSQGPVCPVSPCMEHAVLNQLATYFRERLSGYPTTLSEDEALLGECDIDPRKRVATQLLRCEKRILNKCLEATVDLINELPDLSQSPCPAPYAPLLR >cds-PLY90386.1 pep primary_assembly:Lsat_Salinas_v7:9:174269456:174270674:1 gene:gene-LSAT_9X106841 transcript:rna-gnl|WGS:NBSK|LSAT_9X106841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEATTVCNAVALLQSMASHPDTKMGIVRAKMPVFIYPFMNTTEQRLKHFDYLRLTSLGVIGALVKVEDTNSPEIIHFLLETEVIPLCLRCIEVGGELAKTVAAFVVSKILLYEEGQRYCGTFPERFYAVAQVLINVVDQFTIKPPLQLLKHVLNCFLRLSEVSRACDALSKCYPAKLRDPGYLNFACEDPYVRRLADQVLHNVAGHI >cds-PLY84008.1 pep primary_assembly:Lsat_Salinas_v7:8:36846446:36851671:1 gene:gene-LSAT_8X29340 transcript:rna-gnl|WGS:NBSK|LSAT_8X29340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRVGIAGSASNSVRPRKEKRFTYVLNDTDDTKHCAGVNCLAVLKPSKPDNSSYLFTGSRDGTLKRWALTDEDATCSATFESHVDWVNDAVLVGGNTLVSCSSDTTVKTWDSFSNGTCTRTFRQHTDYVTSLAAAEKNSNIVASGGLGGEVFIWDLEAALAPLTKSSEAADEDRPGGTNGSGSLLPVTSLRTISSNNNITLQTNAPQGYVPIAAKGHKESVYALAMNDTGTLLVSGGTEKAVRVWDSRSGSKMMKLRGHTDNIRALLLDSTGRFCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLSTRESILLCTKEHPIQQLAMHDDGIWVATTDSSVHRWPAEAQNPQKVFHRGGSFLAGNLSFSRARASLEGSTPVPVYKEPIFSIKGIPGIVQFEIMNNRRHVLTKDNAGCVKLWEITRGVVIQDYGQVSFEKKKEELFEMVSIPAWFTIDSRLGNLSVHLDTPQCFSAEMYSVDLNITEKAEDDKVNLARETLKGLLAPWLSKKKHKFGPQSLANGEVPPVRDRDRDVSSRSITLSKVEVDGNSENDSSVYPPFDFSTVSPPSIITEGSQNGPWRKKITEMDSTEDEKDLPWWVLDCVLHNRLPPREHTKCSFYLQPYEGSTAQTVTQGKLSAPRILRIHKVVNYVVEKLVLDKPMESLAIDGAFATGDGSFRSGMIKPWQKLKPSIEILCNNQASLDT >cds-PLY85934.1 pep primary_assembly:Lsat_Salinas_v7:2:189789314:189790260:1 gene:gene-LSAT_2X110581 transcript:rna-gnl|WGS:NBSK|LSAT_2X110581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNTNPPNFENLLLQTLMGRLQVRPPNPLQHQSSPSVNQTLESLLFDTINNLPDTDDEEDGNNYSGKTQLAKEESKLEEEVIKIILSGNTEESLKPNSGEAVRIREHHICIGYHVEPDSEYRVWEWHGHIMLFDEENGYNYNPEYIYGNYFEKLRVVPEKMKVKAEEDKVVNSGLKELIESCEDAGSGRILRRNMNVGSTRV >cds-PLY87546.1 pep primary_assembly:Lsat_Salinas_v7:9:118987403:118994353:-1 gene:gene-LSAT_9X80580 transcript:rna-gnl|WGS:NBSK|LSAT_9X80580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEVCWRPRGMNIIFFTATIHATVQTVVRGFDMSSQLTPSKRGLDEGEASEANGKGKLQKSTDTTSQNTSFIVFRVVCPASKTESVIGKEGNKIRGANVRVEETIPGCNDRVIVIEGSDGISNDQKKEADDAEEHEEDMASCVQKGLLCVFERMVEGEAEMNEETFVVRLLVVSTQVGCLLGKSGGVIKQMASESGAEIRILPRDKLPACASSCDELVQISGEVSAVRKALESVSQQLLDHPASHRDKSGSLPANNVIVNNSNNICEQDPHPHPHPHPHHHPNGRAGHREGGRFGPFTDMLTYRLICPDEKVGGVIGKGGATVKALKHETGCDIKVLERSVGSDDRIIVISGPAVPDERICAPQDAVLRVQTRIFRAAPETKAVTAKVIVSAHQIGCILGKGGAVISEMRNSTGAFIRILGKDQTPQYAAENEEVVQINGEFDAVQEALFQITTALRNHYFRDAFPSMNHPPNPPFPSSYMGMGRYSSSFNRFDDRPPPPFMHDRPGFSHPHQPWAPQGIEGGAIGFSEYGGAGAPQRRMGGFGGGSHQAIITNTTVEVIVPRNVVPCIYGEDGGCLKQIREISDARITITDPKPGEKETLIIISGTPEQAHAAQSLIQAFVISETQVSVS >cds-PLY76482.1 pep primary_assembly:Lsat_Salinas_v7:5:199326159:199326837:-1 gene:gene-LSAT_5X89761 transcript:rna-gnl|WGS:NBSK|LSAT_5X89761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPLVSHPPSSMYLFAPPPARTFNKIRALFDLILQPLSLSLSFQIHGPILEYSDLYSPVIETPFFDGRELVAGSIDDSIYVYDVEANIYPDHRFDVEAN >cds-PLY95220.1 pep primary_assembly:Lsat_Salinas_v7:1:212017762:212031566:1 gene:gene-LSAT_1X129200 transcript:rna-gnl|WGS:NBSK|LSAT_1X129200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLIQKENQASRFSGKEMNTGQKASTSVLRRGTKRKDPQFASYKRRGDRKLFLKKMAENPNQKEMFTTETNYSSYEMIRAKHNSCGNIRFSSDPEEKDPNLQTKKVLTPKRNNPVKTMEIPGVEGLYEIPKRSISKKMEMDVENDVSDSDEDYNEEEDDDDDDDDSTLISSLRRSNSSKCKRNQTKDCRASNEKPTSKKIKDDSNSLISSLRRSNPSKCKRNQAKDCRASTEKPTSKKIKDDSNKKEKSNEKQEEKEEEIKDTKQNDVNNTPHHERVMRNRRAASARNKIFENGFFFGVWEDEEDDIVVKEEEDDDFGDDDDDDYVVDDEGNEDENVLLTLTHHHELKDVKTKETLKKVKLENDIDFIEDKKQMSFVKSTPSKSSSSSDTITKRRMRDTGDCSSASSDHITTTADNIKEQRKGKEQLKCHQCKRNDRKYVVPCTKCCETLYCIQCIKQWYPDLSEEEVAELCPFCRGNCNCNLCLHSNIKMPNMDLTDDEKIEHLHYLINSLLPFLKQIREEQMEEITMEAFVQGVSESSISVEQTSCLNEERVYCNHCSTSITDFHRSCPKCLYELCLNCCHEIRNNNLVGQRKVDFGYTDKGFDYIHGGEPLQVSFHENLPTTESNSMIKWVAENNGNIICPPKELGGCNESMLQLKRILPKDWISNLEAKAKLILNKFRNNQQNIVSNTNSLIFRADLYMKAANRKESESDDNYLYCPAFQQGLKGEELIRFRYHWSKGEPIIVKKVLEQTPGLSWEPLVMWRALCEHVDPNVSSKMSQVKAIDCLAGCEVEISTRRFFKGYTEGRQYVNSWPEMLKLKDWPPSDKFEDLLPRHCDEFISMLPFQLYTDPRAGFLNLAVKLPPGVLKPDLGPKTYIAYGMLQELGRGDSVTKLHCDMSDAVNILTHTAEVSISDAQKLAIKELKKRHWYQNIRENTMTVSEHSSNEEQDSKEILISTNEMIQPSGVPLDKTSPKEEEEETGSALWDIFRRQDVPKLHEYLLKYSKEFRHTYCCPVDQVYHPIHDQTFYLTMEHKKRLKQEYGIEPWTFEQKLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENIQECIRLTQEFRKLPINHKAREDKLEVYIIIIYVI >cds-PLY92236.1 pep primary_assembly:Lsat_Salinas_v7:2:211138975:211142119:1 gene:gene-LSAT_2X133001 transcript:rna-gnl|WGS:NBSK|LSAT_2X133001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSTPYYTPTTKPNATTNSAHLLRKNNVLLACLVFFLCSFFYLFGSWQPRVATTSTVTSLHTSTVNCASTQSTSFNSTIDDTYKDLDFSAHHSAAADDSFTVKTYPPCSVKYSEYTPCTDQKRSLKFPRDRLIYRERHCPEKNELLKCRIPAPFGYKNPFKWPTSRDLAWYANVPHKDLTVEKAVQNWIRFEGDRFRFPGGGTMFPNGADAYIDDIGKLINLKDGSIRTAIDTGCGVASWGAYLLSRNIIAMSFAPRDTHEAQVQFALERGVPALIGVIASKRLPYPSRAFDMAHCSRCLIPWGQYDGVYLIEVDRVLRPGGYWILSGPPINWENHWKGWERTKDDLNEEQTQIERVAKSLCWKKVVQKGDLSIWQKPINHLNCKSNRKISQNTPLCPIQNPDSAWYRDIETCLTRLPEVSSSDEVAGGAIAKWPERLHMVPPRVSSGSIEGVSPDIFQEDVLLWKKRIAYYKTVNNQLGQPGRYRNLLDMNAFLGGFAASLVDNPLWVMNIVPVEAKADTLGAIYERGLIGTYQSWCEAMSTYPRTYDLIHADSIFTLYKDRCEMEDIMLEMDRILRPEGSVIIRDDVDLLVKVKRIADGLNWESQIVDHEDGPLEREKLLFAVKLYWTAPADSDQPT >cds-PLY70813.1 pep primary_assembly:Lsat_Salinas_v7:4:59530954:59531442:1 gene:gene-LSAT_4X39780 transcript:rna-gnl|WGS:NBSK|LSAT_4X39780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSDRHHHRCRLYLMYPNLFVTHIRQHLLILHSQRFHYLSPICSVSQWPFFFNTCGYLIVIWSTSVKERGKHPENKGSNNIVFINHGLIA >cds-PLY93653.1 pep primary_assembly:Lsat_Salinas_v7:2:200963130:200966825:-1 gene:gene-LSAT_2X121681 transcript:rna-gnl|WGS:NBSK|LSAT_2X121681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPCESPMAVSHSLIQIISKSTVFPATQSTLPDLKLSASDLPMLSCHYIQKGNLFLRPPIVISDLLFLLREGLSRVLTHFPPLAGRLITDANGYVYITCNDAGVQFLHANATHISVTDIVSPLHVPDSVKGFFAFDRMVSHDGHFNPLLAVQVTELQDGVFIGFSVNHAVVDGTSLWNFINTFAEVCRGVKLITKQPCFTRESVLISPAVLKVPADGLKVTFDEFAPLCERVFSFTRESILKLKDRTNNRKKINSYGEINAAEVMGKQSNDPIKLSDDKVTALIGNWIRNAVVTKAEPVPEISSFQSLCALLWRGVTRARKFPDSKTTTFRMAVTCRHRLEPKLETLYFGNAIQSIPTYASAGDVLSHDLRWCAEQLNKNVLSHDDTMVRRSVYNWEQDPRCFPLGNFDGAMLTMGSSPRFPMFDNDFGWGKPVAVRSGRANKFDGKISAFPGREGGGSVDLEVVLSPETMAELELDPEFMQLPDISFSNGARTTIKLKKLSKIPENGERIGTFATMMIEMLPQDLPFTIFVPSKLAFERDLRLGVNDSLLGEKANDTFAILTRVLSFTVVPWKILSESVPYSREITCDSLSGLKLYVSKDPDETLIVNRIRSKQVDLKKNEIVIHVMDGVIMEADFEQSVRPDDDHDQD >cds-PLY71383.1 pep primary_assembly:Lsat_Salinas_v7:8:207027245:207028137:1 gene:gene-LSAT_8X131180 transcript:rna-gnl|WGS:NBSK|LSAT_8X131180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRPVPPLSTVRADPPSSPRYPPPVSTPTANAQRRIAIAVDLSDESAFAVQWTVQNYLRPGDAVILLHIQLTSVLYGADWGHAMDAENASKETRQKLEDDFDNFTTAKANVLSQPLVEANIPFKIHIVKDHDLKERLCLEVERLGFSVMIMGSRGFGASKRKAKGRLGSVSDYCVRHCVCPVVVVRYSDEDGGDDASGKKVGADEVAKQRGSEEVFHDAKEHVSKGP >cds-PLY90774.1 pep primary_assembly:Lsat_Salinas_v7:3:35475809:35479080:-1 gene:gene-LSAT_3X27260 transcript:rna-gnl|WGS:NBSK|LSAT_3X27260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVVAKPCSNSSSSSSSHVSAVAMVQENGSRNKRKFRADPPPVTDPSKTSSPSHSECLGYEFSAENFNGCDMCSFSHENTDPVELDLGLSCSVSVGGTCEGGRNHNINRAEIEASDEFHDADWSDLTESQLEELVLANLDTIFKSAINKIVSFGYTKEVATNAVLRSGLCYGCKDAVSNIVNNTLVFLRNGQEVDSSREHQFEDLQQMEKYILAELVCVVREVRPFFSTGDAMWCLLVSDMNVSHACTMDSESLSSSSSSNMVGDVSDPNSTQTQPRKEVNSSESQNPNHSSLCPHKSASEPQPPVMTSCGHNNSSFSSPPVKPKTPFVLNRFASEKENHVSKCKSQNHEEKFVSGRKITGISKRESILRQKSVHLEKSYRTYGSKGSSRTGKLTNFGGLLLDKKLKSVSESTGINLKNLSLKINKGVAPQPQRSDFNTETCGNSNPPTLPKTHNNPPASASASASETELSLSNPPKQNDVHVSSNPSFSAIPYDKWISHAKKDETIMKLVPRVHELQNQLQEWTEWANQKVMQAARRLGKDKAELKTLKLEKEEVERLKKEKQTLEENTMKKLSEMENALCKASGQVERANSAVRRLKVENSNLRHEMEAANLRAAESAASCEEVSKREKKTLMQFQSWEKLKSLIQEELIAEKRKFTQLQQDLQVAKQQQDQLESRWKQEEKAKEELVREANSYRIGRLEAESSAKLRNELTRLKADKNLQRYKQDIEKLQKEISMLNLKSDSVKIAALRGGVDGSYASKLTEIKTSNSQQEYQTQTLYTSKIMNNNNPHGIGNVGCVSAMCASSCVYDLQSTS >cds-PLY71266.1 pep primary_assembly:Lsat_Salinas_v7:5:181854252:181856069:1 gene:gene-LSAT_5X81000 transcript:rna-gnl|WGS:NBSK|LSAT_5X81000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIFYASLLSVFVLAVSFYLHFLLYKYKPTEDGKVLPPGRTGWPIIGESIEFLATGWKGCPEKFIFDRMSKFSSVVFRTSLMLEDAAVFCGAQGNKFLFMNENKLVQGWWPASVDKIFPSSDKTSKIEAIKMRKMLPNFFKPEALHRYVPIMDVVTQQHFANGWEGKDEIVTYELTKNFTFWLACKIFVSIDDPERVRYLSTPFESIASGILSIPIDLPGTTFRRGINAANFIRKELLAIVKQRKIDLAEGKATPTQDILSHMLLTSDEDGKFMAEYDIADKIIGLLIGGHDTASSACAFIVKYLAELPEIYDGVYKEQSEIAKSKAPGELLNWDDLSKMKYSWNVACEVMRLAPPLQGAFREAITDFNYNGYSIPKGWKLYWSANSTHKNPECFPEPQKFDPSRFEGSGPAPYTFVPFGGGPRMCPGKEYARLEILVFMHHLVTKFKWEKMIPDEQIVVNPMPSPAKGLPVRLYPHKA >cds-PLY79292.1 pep primary_assembly:Lsat_Salinas_v7:4:370900837:370902635:1 gene:gene-LSAT_4X182640 transcript:rna-gnl|WGS:NBSK|LSAT_4X182640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMCIFWVIRDRGSKLRPPLPPGPKPLPYIGSIITMLRNKPTFRWIHRMMDEMNTKIICIRLGNVHVVAVTDPKIAREFLTDKDGIFSSRPNCMSGFLTSGGYLTTVLVPVSDHWKMMRKILHTEILSPHRHKWLQDKRDREADNLLRYIYNRCYTNIEVTGGIVNVRTVVQQYSTNVIRNIMFGSRYFGKGNTDGGPGVEEIEHVDSLLTVLGYLYAFSVSDYFPRLRWKTDFDGHETIIRKAIRTSRKYQDRLIDERIREWKDGVRTKEDDLLDVFINIKNPPLTADQIKAQIFELILAAFDNPANGIEWAIAEMINRPMIFNKAVQELDSVVGKDRLVQESDIPNLNYIKSCVKEAFRLHPVAPFNLPHVSSGDTVVAGYFIPKGSHVILSRLGLGRNSEVWEDPLTFNPDRHMNDDKEVILTDHSLKMLSFSTGRRGCCGVLLGSTMAIMLLARILHGFTWELPPGELYVDLNENLQDLMKAKPLLALAKPRLSHHLYPI >cds-PLY86709.1 pep primary_assembly:Lsat_Salinas_v7:4:8474222:8474419:-1 gene:gene-LSAT_4X5521 transcript:rna-gnl|WGS:NBSK|LSAT_4X5521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTTIHNIEITLGKGAQLARSAGVVAKLIAKDGKSATLKLPYGEVCLISKTAQQQLDKWGMLG >cds-PLY74821.1 pep primary_assembly:Lsat_Salinas_v7:5:113756061:113758497:1 gene:gene-LSAT_5X51100 transcript:rna-gnl|WGS:NBSK|LSAT_5X51100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGFEDHGGIIGDWMPPSPSPRSFFAAMLGDDPGSRSVPDPPKNDTNNDTGFTFPRPNPQIGSENGDATKSSEFGDQKMSSRAPFVERMAARAGHNAPRFNTEIRKSSDNSQTQQSPYLFSPGVSPTSFLESPVFLSNSLVQPSPTTGKFQFVPNGNGRSSMMFLDSSNRVKENFFEDTNNTSFAFKPFPDSAPVSRDHLLQLLLLTNNKKLMLVMKILQFDTTAVDDLKVNAEYTGYRVGSSVVVWFWEVVKALNKEDRARLHQFVTSTSKVPLEGFKALQGYIGSTEIPNSLLIFT >cds-PLY77712.1 pep primary_assembly:Lsat_Salinas_v7:9:20095796:20100378:-1 gene:gene-LSAT_9X18521 transcript:rna-gnl|WGS:NBSK|LSAT_9X18521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFEHFDDFTLASSWERFISEIEAVCRQWLSSGPKNLLEKGAVSLGELKNLYKVKSELKYAMKNYCMEYYFEISNAGKAVDWSSSLHELQLSFGVKEFMVIAPQSASGVILDSPEASKLLSAVAIAFSNCSSLWPAFVPVHDPSRKAYIGIQNMGTVFTRRFEGDLISTQVPVKLMHLEGLYELFVSKFAFSSSDLSTHFFKVHFTMKLTYKTLPYDEDNEVLNSESEITESGGDNGGDNRNKLQWDDDCPWSEWYTAEDPVKAFELVAMWGEKMVESSMEMAELENASIHDAQNWFIVPEFSSYLTGDYTGNMVGFASQLRLLIDALAMSLDVKFMEDFVSVETSGSDKLRSSAVIPPPTVLDRVLKDLCHDGPELISPEGEGRHKSSRLIKGAPLETLFAQFCLHSLWFGDCNIRAISVLWIEFIREIRWCWEESQPLPRMPTKAAIDLSTCLVHQKLQMLAICIEKKRQQDSTSDNSSDTPSVEANEDFSYPSGAKEEGLVKESDSTPTFMTSGKRRGSAGVVESLMLLKSHDNMHAPFTQDPPIMTEDMHEERLHAVEVLGNSFSFSGQLEKDILSSDMSAFKAANPDAVFEDFIRWHSPKDWEDDKSCGPSGDKWPPQGKLSDRMSESGNSWRKIWNDAPPLPASEQKPFMDPTREGEKILHYLETVRPHQLLEQMVCTAFRAAADTLNQTSFGGLKNMTIKIDQLYFTIASALKPLQANKLPGDMEIIQDVKRLCVVFEHVEKLLTLAASLHRKFLNAPRLSQTIFNEFYNLYAPKMGKVGLVSHEVRKKQEVRGNERDVVTGMFVQPSANQSWRKVLSMGNLLNGHEPMLREIVFSLRDRVDSSYYAPRGSGSGNQWSHQQGEIETHRMYICGTSNDLRVALSVTSCD >cds-PLY82405.1 pep primary_assembly:Lsat_Salinas_v7:2:185378110:185381764:-1 gene:gene-LSAT_2X107160 transcript:rna-gnl|WGS:NBSK|LSAT_2X107160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLNLWSATPIHDGMRTPMCDRAWNPYAPMSPARESWEDGNPGSWGSSPQYQVITRSPRSRAYEAPTPGSRWANSPSGGSDSEAGTPRDNRDRDTTPASYGNAPRPYLPSTPGRQPPMTPSSTYLPGTPGGQPMTPGVGGLDMMSPVVGGDNDGPWFLQDILVNVRRSGEDAVVGVIREALLDGTCRVAVGGDVITASPNEMEVVVPRKSDKIKIMGGPQHGATGKLIGVDGTDGIIKVDDTLDIEPEFFTEYGEASRYQVQEVIGKGSYGVVGSTVDTHTGEKIPRPSVTLPEFGSVFKHEPSEVEYRAPLPVKPNNHLRIPGQTRPMQLHTPLEITQNGLVEEGGAKAELQVTCTSGLV >cds-PLY91910.1 pep primary_assembly:Lsat_Salinas_v7:8:202962067:202963073:-1 gene:gene-LSAT_8X129341 transcript:rna-gnl|WGS:NBSK|LSAT_8X129341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQRVMECQMDTASFIPIKEVLEQRPDFCLVAEFQVGSYIEVEEITMGSAVTLPSQSQSDKFGSDGSVFMSTLDHVSGSGNDDSVETSFESYEEENSD >cds-PLY64767.1 pep primary_assembly:Lsat_Salinas_v7:2:102690778:102693548:1 gene:gene-LSAT_2X44781 transcript:rna-gnl|WGS:NBSK|LSAT_2X44781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLHHLCFVLPSDGDDIHPFDHHNRRLPPSTPPTPQPQTPPSKTRSCGANLQEVLRRTLHRFCVKSPPAIFHDTDGVQFSKETIVSGHNPKTFTYSELYIATKGFSQDEILGSGGFGRVFRAVLPSDGTVAAVKCLAETGERFEKSFAAELVAVAHLRHRNLVPLRGWCVHEDQLLLVYDYMPNRSLDRLLFRRMENSGTPVPVLSWERRMKIVKGLAAALFYLHEQLEAQIIHRDVKTSNVMLDSHFNARLGDFGLARWLEHELGYQTRTPSMNHQYQPCRLVDTTRIGGTIGYLPPESFQKKGVATAKSDVFSFGIVLLEIASGRRSVDITLPDDEIILVDKVRRLADEKMILNAADGRLPNGSYNRHEMSHMIRLGLLCTLHDPKTRPSMKWIIEALSGGICATLPELPSFKSHPQYISVTRSTPTTTATMTSTTTTTTTSFAIASSGGSTTFATARGESLYITAEQEHENSSDIGDGMISSAQMSRRQLSSFPMVEPPREITYKELLSATDNFSESNRLSEVDFGTAYYGVLDNHDIIVKRLGMKTCPALRLRFANELSNLGKLRHRNLIHLRGWCTEQNEMLVVYDYSANRLLGHLLSHHTHRKSQVLKWDHRYNIVKSLACAIRYLHEEWEEQVIHRNITSSAIYIDPDMNPRLGSFALAEFLTRNEHGHHVVVDKKVCVRGIFGYMAPEYMEAGEATTMADIYSFGVVVLEVVSGRMAVDFRRPEVLLVKRVHESERYEGNYEELVDPRLDGEYNRKELVRLVKLAMACTQSNPDLRPTMRMVVSVLDGHDRCFIEEGQKESINEWKERNALSLSLIRRIQALGIQ >cds-PLY72006.1 pep primary_assembly:Lsat_Salinas_v7:8:148499292:148502546:1 gene:gene-LSAT_8X100100 transcript:rna-gnl|WGS:NBSK|LSAT_8X100100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGEKQAEESIVPSNYSETENGERGGKGGDGEFEDHSVFSMKNILWHGGSVWDAWFSCSSNQVAQVLLTLPYSFSQLGMVSGIVLQIFYGLLGSWTAYLISVLYVEYRARKEKENVNFKNHVIQWFEVLDGLLGPYWKAIGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYMAIAALIHGQVDGVEHSAPQKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTLPSSAAVYWAFGDELLNHSNAFSLLPKTRWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRAVARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRKASARQNAAEKPPGFLPSWTAMYVINAFVVVWVLVVGFGFGGWASMTNFVRQVDTFGLFAKCYQCKPAVPPPPKH >cds-PLY89933.1 pep primary_assembly:Lsat_Salinas_v7:8:68055378:68063743:-1 gene:gene-LSAT_8X49880 transcript:rna-gnl|WGS:NBSK|LSAT_8X49880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSVPAYPSPSWKYHVFLSFRGEDTRNSFVGHLYSALEQKGIYTYKDDKKLPQGELIGPSLMKAIGESYISIIIFSENYADSSWCLEELAYIMNCRETRGQIVIPLFYDVEPSELRKQKQKYGEAFLKHELENKTKVESWRKALVDASNLSGWDIANRHESEFIKEIVSKISKMLHPLTSRVNDNLVGIEARVQDLKSRLKIGSSGVLKIGIWGVGGAGKTTLASYFYDEMSREFNGCCFVENIREESSKYGLKKLQEEILSSILKHNEVLGGVKEGSQMIKDRLSHRKVIIVLDDVDQLDQLEALAGSHYWFGEGSLIVITTRDEHLLTAFKVDVIHKIRLLNDHEAIQLLCIHAPRDKIPMEDYGLLSKEVVRYVDGLPLALMVIGRFLCDKNLNEWRSALVRLKEIPHDKILEKLKISYDGLTRVEQKLFLDIACFFRWEKKDRAMEMLDACGFHAVIGVKVLMQKALLSISNGMFDMHDLVQEMGHHIVRGEHPDNPEKHSRIWKEEDVVNICAMDATMELDMIEAIRFKHNSVDHIQRYKHLQPFVANTKNLRWIEWQGDLASPLLTNFPQRKLCCLILHNSSRTQLWEGYKVLPNLKIMELWYLSFLMITPNFNGLPHLERFKLTGCRLLEEIHPSIGCLERLVFLSIEDCRRLKMFPPITQLKKLKTLSFSGCYKLFKLSEIQQNMDNLHLYNSGDTKLGLQFFCNLQELVLRKLDLSWCCLEDDDMSYALWELPNLQELNLEGNKFSQLSFTCLQLPRLKRLDVSWCRKLVELSALPSSIAIVKADHCRSLRSFGDVSNCKWLWNFSHRWESKLGEAGIILNSMLKGNAVEDHFISVAFEHQIPKGFVGRFFTGYSFTRCRPRDANDNEHTFTLRLPDDWYNDFSGFLIRIVTNNKLPDINIIFTHEVYEKDLRFEIWQDSNESPGPEYLKGEVKTYVGYVSFSSLRQTTSLNSSYNIISFSIEDMDWSSFAAELVPRERKDDADKSRKVATDSEYLNDELDMRKAFMIQHDLYSFIQILWQP >cds-PLY70831.1 pep primary_assembly:Lsat_Salinas_v7:4:58845457:58850498:1 gene:gene-LSAT_4X39420 transcript:rna-gnl|WGS:NBSK|LSAT_4X39420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKALHSFSGCSSFGSGHTCERLLEVGLKRVTVSCIFNGRHAKAPSLKPQKPYFSFRSHTNQSYSTFSIQPLSRSSPPIRPMSTINYGLMNGGFGIFAPYRHFSQIPRAVIPKNDACDGEGRRKSTSFLSFNKNWKQTKRLTHKKPLEVGGRTSTKSIKAVKKDDILALKDSPIEDGKIEVNLVENESQLPKTKAKKKPTPKVKKSQEKSAVASEEPPPPPPPQPQASSKKVSRSKKSKSSKNDNLASASEVTPNGKPTIEVSLEDEQPKETTTTSKTRKRKPKTQAKSNDTVEISNVSEVTSKPKTITSVKNNRTIRPLYPPSGKSVVVVESMTKAKVIQGYLGDMFEVIPSYGHVRDLAARSGSVRPEDDFSMVWEVPSAAWTHLKSIKVALAETQNLILASDPDREGEAIAWHIVEMLQQQNALPEDINIARVVFNEITESSIKEALRAPRDIDFNLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSVALALICDRETEIDKFKPEEYWSIEGVFNSQNGQTPSHLTHYDSKKLTQMSIGSQTEANVIENMIKSSEFKVIGKSKKNYKRISPPPYITSTLQQDSASKLHFPSAYTMKLAQKLYEGVKLPDGNSAGLITYMRTDGLHMSDTAVDDIRSFVTERYGKKYIPNTPRKFFKKVKNAQEAHEAIRPTDITRLPSMLSTILDEDSLKLYTLIWCRSISCQMEPSITEQIQIDIGNTNSSIIFRASTSKLDFLGFQAVYKDTESRIIRNNEDEHEGQNGYFEILNNLKSGDSMSLGELEPKQHYTQAPSRYSEGSLVKKMEELGIGRPSTYATTIKVLKDRNYMTVKSRVLYPEFRGRMVSAFLFHHFSEVTDYSFTADMETELDNVSGGLTEWKGLLRDYWTRFSKYCERASSVHIHQVEKMLEKTFGDFLFASLPDQSRLCPSCREGTLIFKVSRFGAGYFIGCDQHPQCKYIAKTLYGDDEDDSSPENSRAVEEPKVLGVHPTSNEKISDVTSITLEDALQLLRYPITLGKHPDDGLPVVLKLARHGLSVKHRRTQAPVPKNTNPNDITLEKAMKFLMGKDAKQTGRPKKNRDKEAVEVL >cds-PLY85778.1 pep primary_assembly:Lsat_Salinas_v7:1:47995679:47996709:1 gene:gene-LSAT_1X42121 transcript:rna-gnl|WGS:NBSK|LSAT_1X42121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANKDPNRSTNTFSKWTCQMQRKFVSVKPEESELEPIKNSSKRRRTASVNIRSNESPKLSPSVDQTVGMWRKNDVGPEAKELLEAIERQYPDTFQGLQIRGKSVWLNMLKELHMVIKRFLETSVDAMLQEDITSLQEDLNMF >cds-PLY91205.1 pep primary_assembly:Lsat_Salinas_v7:3:75186514:75186813:1 gene:gene-LSAT_3X57181 transcript:rna-gnl|WGS:NBSK|LSAT_3X57181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGENVVVDYRMSGDGHQLKLRHHGKRKQGGEAIEVAIFSDLHTSLKSPTISSEKSQRWLFNITTEGRRLEDFTWWRLVNKARVKVSFGDASIIREGK >cds-PLY84379.1 pep primary_assembly:Lsat_Salinas_v7:8:78963125:78964027:-1 gene:gene-LSAT_8X56501 transcript:rna-gnl|WGS:NBSK|LSAT_8X56501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGENGIQVAGSSSSSGDITTTTSTNRIAQNLVTCVYQCRMRNFSYFIITLTWSKTLMGQSLSVEINDSNNQCLCKLDIKPWLFSKRRGYRNLEVGSKLIAIYWDLSYAKFDSSPEPIEGFYFAISMNQELILVLGDMEKEVHKKIDATSLVPNAVFVSKRAHIFGKKAYSTRAQFCGKGQIHDITIECDTMCMNDSYLLIRIDGNPVMQVKCLKWKFRGNYTILVDGLPVEVYWDVHSWLFEKFMGNAVFLFQTCLSAEKLWGSEDASWSTMSKDRHSQTLGFSLVLCAWKNE >cds-PLY88427.1 pep primary_assembly:Lsat_Salinas_v7:8:87593342:87594046:-1 gene:gene-LSAT_8X63180 transcript:rna-gnl|WGS:NBSK|LSAT_8X63180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQELTALNAPYTNTPIIMEHDQEGKDISGNTYYDEDAASTGCFRLFCCFDSQHHRHDGDTAGFLYQPTGDLIDQDNWFMKRAKSVKEYSELVAGPKWKNFIRRFSKRPKTRSNANTPFQYDPQSYALNFNDGYGGDHEDDDLLPRSFSTRFAPPSRSTQI >cds-PLY63665.1 pep primary_assembly:Lsat_Salinas_v7:4:134183870:134185739:-1 gene:gene-LSAT_4X84081 transcript:rna-gnl|WGS:NBSK|LSAT_4X84081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSENEADVVEASNLQLLQSLLDSIPHTVSFKGKWSLIGTKLSDLKSKLSDLSDFPSNPLSVDILRSLSQTLTQALSLSLICHSANPPGGKLKTQNEVDSISAKVDSHIKDCEVLITSGVLHDGVVSTSSASVSKRESIRVESRNLITRLQIGSTESRNSALDSLLGLLQEDDKNVLIAVAQGVVPVLVRLLDSSSTSEIKEKTVTAIARVSTVDSSKHVLIAEGLLLLHHLLRVLESGSGFAKEKACIALNALSTTKENARAIGSRGGISSLLEICLAGTPSSQAIAAGVLRNLANFADTRENFFEEDATPVLLTLASSGTVLAREYSISCLSNLVRDDDNLKLVIVRKGAMDSLRTFWDSAPSVRSLEVAVEFLKNLASDERLVDYIISDDFLPRIIDVLSCGVLGVRIQSAKAIFRLACNTKSRKELGEQGCIPPLIAMLDGKAIEEKQAASKALSTILIYTGNRRIYKKEQRGIVSAVSLLDPSIPNLDKKYPVSILMSLTHSKKCRIQMVNSGALLHLQKLVDMEVEGARKLQETIGRGKLWGVFSRP >cds-PLY89313.1 pep primary_assembly:Lsat_Salinas_v7:2:50716699:50717301:1 gene:gene-LSAT_2X23261 transcript:rna-gnl|WGS:NBSK|LSAT_2X23261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHLPKNPPPLTRLSSQPVPQPSENLATSTSLSSTPTSITLLQVDHLRSSSFLDEDQLSRNGVGKKPPHDIRLLHTDALIKEVQRVFCSGHQDPLEIEKAKKLLKEHEQALADAIARLVDISDSETGTNSNSF >cds-PLY74110.1 pep primary_assembly:Lsat_Salinas_v7:9:10789403:10793836:-1 gene:gene-LSAT_9X9840 transcript:rna-gnl|WGS:NBSK|LSAT_9X9840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSTPKLSTTLSSSTLQTLLYRHKFSTLNRSPFIICPQSSSSSCYSSSFNLSKKALSFLSDHRGNAILKSRRFATRAESTNGAEPRHYDFDLFTIGAGSGGVRASRFAANFGASVAVCELPFATISSDTTGGVGGTCVLRGCVPKKLLVYASKYSHEFEESLGFGWSYGSEPTHDWSTLMANKNAELQRLTGIYKNILNNAGVKLIEGRGKIVDPHTVDVDGKLYTARNILVSVGGRPFIPDIPGREYVIDSDAALDLPSKPTKIAIVGGGYIALEFAGIFNGLQSEVHVFIRQKQVLRGFDEEIRDFLAEQMSLKGIEFHTEESPQAVMKAADGTFSLKTNKGTTEGFSHVMFATGRKPNTRNLGLESVGVKLDKNGAIEVDEYSRTSVPSIWAVGDVTDRMNLTPVALMEGGALAKTLFADEPTKPDFRAVPSAVFSQPPIGQVGLSEQQAIEAYGDIDVYTANFRPLKATLSGLPDRVFMKLIVSANTDQVIGLHMCGEDSAEVVQGFAVAIKAGLTKAQFDATVGVHPTSAEEFVTMRTPTRKIRTPPPAGKTDSESKAAAGV >cds-PLY86254.1 pep primary_assembly:Lsat_Salinas_v7:8:54821061:54822011:1 gene:gene-LSAT_8X40661 transcript:rna-gnl|WGS:NBSK|LSAT_8X40661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPKLRVLCLDEGFDNFDIRYVGEVIKVHVDGDTYWIRIKEAPGWTPTFVHDLPAPELADYEIQDNDQNEVHSHYAERNEEVSSDPFGIYDAIEKIKVDEVKNDIQKGFNSWGIGKKNKSNKEGFQGQNGNLATENVIYHSTADCYHSSAHIASQANSSDAPGPAVNLPTIDAGVQVSVAVPIDVVDPTIVAEEVQTAPTASPILSAAPAVNSGISSA >cds-PLY87377.1 pep primary_assembly:Lsat_Salinas_v7:4:12926082:12927812:-1 gene:gene-LSAT_4X9300 transcript:rna-gnl|WGS:NBSK|LSAT_4X9300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPSTAVPTQPTPAILATPPMPAAGPTQIIVSGRDDRFLPPKIRRAIEPKKPLGNWVLLQRSIGISAMHMQLLHNNKVVIFDRTDFGPSNLSLPFGETCRINDEVVRPDCTAHSILYDVPSNTFRPLTVQTDIWCSSGSVDPSGTLVQTGGYHAGDHKIRLFTPCRDNSCDWVELERNLTVQRWYASNHILPDGNIIIVGGRRAYSYEFYPRNPIGSSSLNLFNLTFLIETTDFQEENNLYPFLHLLPDGNLFIFANQRSISLDYVHGRVVREFPPIPGEKRSYPSTGSSVLLPISLNENVRSPPVEVMICGGARGGSFPMAEQGVYMAAARTCGRMDLNAPQPSWTMEMMPLRRVMPDMIVLPTGDIIIINGAARGTAGWENAVEPVLHPILYEPRKRLFTVLTPTKRPRMYHSAATLLPDGRILVGGSNPHVSYEFTGNYPTDLSLEAFSPPYMDGRFAYYRPSILSVEYGIDEGIIYGQYFTITLTMSLTGLDLRVIVSLVAPSFATHSFAMNQRVLFLHVASLEQYSVFGYRATVRAPSSPNVAPPGYYMLFVVHDGVPGQSVWVNLQSN >cds-PLY68071.1 pep primary_assembly:Lsat_Salinas_v7:5:293409747:293412191:1 gene:gene-LSAT_7X61980 transcript:rna-gnl|WGS:NBSK|LSAT_7X61980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEPWILSAKLLFGIILMHTCLKGVESRPTADEVVELPGQPPVTFKQFAGYISLDDAKPRSLFYYFVEAESDSSSKPLVLWLNGGPGCSSVGEGAFVEHGPFKPSGDVLVKNECSWNKEANMLYLDTPAGVGFSYSDEKSFYQSVNDAITAGDNLAFLEKWFENFPEYKNRDFYITGESYAGHYIPTLANLIVQSKSKINFKGIAIGNPLLNIDTDFNLKGEYLWSHGLISDDTYELLNKECNYSTIRRQTESNTLNPKCTLVANQATREIGQSINAYDITLNICLSNVFSQSQVLDNTQGTETKVDVCVEDETTEYLNRKDVQTALHARLVDGNPWAACTDNLNYDMSDLDVPMAPLLASLIKSGIRVFIYSGDQDSVLPLTGTRVVINGMAKELGLKTTIPYRSWFNGNQVGGWTQVYGDFLSYATIRGAAHEAPFSQPERSLALFKAFLDGKPLPQADEMSEKDDPTHAVFRVELR >cds-PLY74923.1 pep primary_assembly:Lsat_Salinas_v7:3:129920735:129921482:1 gene:gene-LSAT_3X87800 transcript:rna-gnl|WGS:NBSK|LSAT_3X87800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVKMSSDHQHTRGFQPPSTVEELHRQPPSSTNGYNHPDSTTLRLPHTSSLMAPVVITNAPESPLADVLGGGIFTIPTTSSQDAVADTTTTMINTHHPLRLSDTNSSSNQDSPITTSTTPPMVPEPPRRNILLRRSHFDPITSDYQDPEKNKSPPARVSSPPNSRTSPPGSSTRKQIPQSELRKMSDKVREIKQRFWDVIRQFEEDVEDDNPLKACHLIQD >cds-PLY96467.1 pep primary_assembly:Lsat_Salinas_v7:2:124874452:124874718:-1 gene:gene-LSAT_2X58201 transcript:rna-gnl|WGS:NBSK|LSAT_2X58201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSKLKSIQNRNPNERAMMEATAVGTKAAASEVGAGAGAICALTFVTADTAINITTTATKSFIFIDSISSKLKSDIKESKRMFEVMEK >cds-PLY75235.1 pep primary_assembly:Lsat_Salinas_v7:7:65538716:65538943:-1 gene:gene-LSAT_7X48260 transcript:rna-gnl|WGS:NBSK|LSAT_7X48260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVRQDLQLDHLEDPNILERLLQSLGELFGLFNWSEFGDVAEAGSARMEDRAKASHKAFDYHFSLLLSSLAPEQM >cds-PLY63421.1 pep primary_assembly:Lsat_Salinas_v7:7:147088710:147090721:-1 gene:gene-LSAT_7X87101 transcript:rna-gnl|WGS:NBSK|LSAT_7X87101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPQSKPTNTSPLTSSKRKAMEIKKKVLQKKAKQKVSFDLQQNLSVSYDPKSAHWIQPPNSQAKSAATERAKEVQASLPSEFPSFVKPMLPSHVTGGFWLGFPKKFCDVHMPKHDETVVLVDEDDQEFSTKYLVHKFGLSAGWRGFSISHKLLEGDALVFQLIKNWKFKVYIARVNGLNEIDGALGLLNLVPCGMNLSQIERERDEEDMDQDRSLVVFNQSEASEGLRFSQSVVEFKNIKSIKDFNIVVDDLVIDSEIPKHFQIKYYDLCCSQNMYLHENLLKGLNVKLAVGIILETVTVSDAIKACKVTTSRDDFETWDKTLKAFEEVGMKVGFLRGRIGKLVGLLFESSEVLEVKRNEQMKAKEEFRVFNEKLLRVKEVIKNLDFEIESLKMKGEKLELVFCKEANAPW >cds-PLY86615.1 pep primary_assembly:Lsat_Salinas_v7:1:3674733:3675695:-1 gene:gene-LSAT_1X2961 transcript:rna-gnl|WGS:NBSK|LSAT_1X2961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDYRPTQRVVTQPLCATTMMLSGLASNGEKEKSLKYVEELMERGSTVNKEEDYAEVNDCFSRALEIRAAHYVEITPECVRSYYKYRCALLYKAQEEFDPLVSVEDQDEDDEGSDVEDEEDESGRDLAWKMLDVSRAMWEK >cds-PLY98431.1 pep primary_assembly:Lsat_Salinas_v7:7:90157638:90161006:1 gene:gene-LSAT_7X62680 transcript:rna-gnl|WGS:NBSK|LSAT_7X62680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVGLEDSLEDERDLDQIMADRRAAEIELDTMEGVVSREKLPHHLNDQHRCGDVMGKYAAGELKPPSLVCLDGSPPAYQLDRGFGDGVNNWLLNIPPSIVNLSNLSGLDLSDNKLHGPVPVSNETSPGLVLTG >cds-PLY95046.1 pep primary_assembly:Lsat_Salinas_v7:5:226309869:226311367:-1 gene:gene-LSAT_5X105980 transcript:rna-gnl|WGS:NBSK|LSAT_5X105980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNMIIVMFIIFGISEARLKVGFYRKTCPDAESIVGGFVRDAAEFNPQIPAFMLRLHFHDCFVQGCDGSILIDNGPISEKLAVGHQGVKGFDVIENAKAQLEFVCPGVVSCADIVAIAARDAVAFTFGPIYEVETGRKDGFVSNISLADNMPDFRDSIHLLKKKFFDKGLSEKDLVVLTGAHTIGTTACFFLMDRLYNFVPGGGPDPSIDPDFLPELMETCPPEGDINFRLPIDHDSGENFDNGILQNIRSGFAVLQSDSKLLDDPATKQILDSYFGSTNQSSFEADFVTSMVKMGRVGVKTSSKRGEIRRVCNTFND >cds-PLY76478.1 pep primary_assembly:Lsat_Salinas_v7:5:198959824:198964544:1 gene:gene-LSAT_5X89460 transcript:rna-gnl|WGS:NBSK|LSAT_5X89460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQADQTALSLRPGGGNRGRTSGPRFDSLAFGSSDLPALRPHGGAGAPSLAAFKNNDSRFELRERVRFTRDQLLQLREVVDIPEEILKVKQEVETEFFGEAQNWTKSEGTVAVPAQARYSEPDNRDWRGRAPPPVEERSWDSGRENRDFGGRSDGNQYNRQDSNSQFGRSQASPNQGGPAPALVKAEVPWSVRRGTLSDKDRVLKTGVISLIFDKAVLEPTFCPMYAQLCSDLNTKLPPFPSDEPDGKEITFKRVLLNNCQEAFEGADKLRAEIRQMSAPEQESERRDKERMIKLRTLGNIKLIGELLKQKMVPEKIVHHIVQELLGSDNKICPEEENVEAICQFFNTIGKQLDESLKSRRINDLYFNRLKELTTNQQLAPRMRFMVRDVIDLRSNNWIPRREEVKAKTITEIHTEAEKNMRLRPGSTASIRNSRALAAGAQGGGGGGGFNRPGAGGLMPGTPGMSPGPRMMPGTPGLENDNWEVQRSRNMPRGGAQQQPLLNKTPSPTQRFLPQGSGGFISGKSSALLQGSGGGGGGATPVPVSPAKPVPAPAPAPSPVAAAPVKVKNPDELRRKTVSLLEEYFSVRMLDEALQCVEELKAPEYYPEFVKEAVSLALEKSAPCVEPLVKLLDHLVSKKVLTRADLTAGCTAYGSLLDDIAIDLPKAPDSFGQMMGLLVVSGGLDFKVVGDVLKCMEDDFFQKAVFMGVKRVVGSGILEAQAADVAACERLF >cds-PLY91742.1 pep primary_assembly:Lsat_Salinas_v7:9:16952045:16955268:1 gene:gene-LSAT_9X16841 transcript:rna-gnl|WGS:NBSK|LSAT_9X16841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEFYMVNPHFQTRIILHHRDTHGDPLHALSAALDLLEKPKVQAIIGSESTAEAKFLAVLGDKARVPILSLSPCLSCNKHPYFLQVTQDENETTQFKGIAAMAESFRWKEVILICEDSDSGRDMTNTLQTKSILVTHRSLISTSWSNELLQEELHRLSTVQTKIFIMHASPSVASHLLVNAKHLGMMDEGYKWIITGKTMKFLNLLDDEVIESMQGAVGFKSYVPRSRDLHNFTSRWRRKYHVMVKLQEINSYALWVYDAVSALAMAVEQVEQLKRKDVDTTASELTQSQRGTTLLLNEMLSISFHGLGGAFQLRNGKINAAQVMEIVNVIDKGEKRVGFWTLDAGFTKNIGSLNNSVSDEGLEAIIWPKGGITTYPTHRMLQVSSKILRIAIPPKFGVGRVFQVKYDAETNSTVISGFCADVFRAAFKGLGRDISFQFIPFTGEDRIVNYNELIYGVYTGEFDAAVGDITITANRTLYVDFTLPYTDLGVGTLSRNAHAGIWIFMEPLSSDLWLVSASFFILLGFVIWILEHQTNKEFQGSSSQQIGTTLWFAFSTLVFAHRQKLQSNLSRFVVSVWLFVVLVLASSYTATLSSLFTIEQIRLASKGDLIGYRDGSFVQGDIVRNLNFKDTRLKPYYTSEEYADALSRGSKNGGVDAIIDEIPYLKGFLAQYPSGYSMVVSEVTTSGFGFAFPLGSPLVPELSRQISRLRENGSLAKLEKKWFKSDPHSKDSEPALKILNLKTCRGLFLISGVSMAVALSIFLFYFIHEKLHFTYTMLAGGKLAFIMRILNPKPVVELERR >cds-PLY66140.1 pep primary_assembly:Lsat_Salinas_v7:7:30640991:30642287:1 gene:gene-LSAT_7X23761 transcript:rna-gnl|WGS:NBSK|LSAT_7X23761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRVATRLSRRALSSAECRSSFFTISVGRESGIKHSIPGDFHKGVSIPTHSFSSFASGFTPLKHKPLESLIDVERAKLQTPEDLASIWDDFHIGRGHISASMKTKLYKLLEHRAADCRYFVIPVWKGSGYTTMFMQVQMPHIIFTGLEDYKARGTQASPYFTISHYKEFCETKDLVLIRGDVVFTSKLTDSEAKWLLETAQSFYLNDTRYKLVERFNKNTSEFEFKDVLRALDMPMM >cds-PLY96710.1 pep primary_assembly:Lsat_Salinas_v7:6:77901163:77901628:-1 gene:gene-LSAT_6X55420 transcript:rna-gnl|WGS:NBSK|LSAT_6X55420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVQLTSYFVSAIEDERELSHKMREEVKVHKARNKDLQEKNHTLVKEQLEREAQLEDGISEVTLEAKARKTLLRRWWIKSKEEGKLEGKVPKNEIIEVDGEGKKDEGEEAQE >cds-PLY69579.1 pep primary_assembly:Lsat_Salinas_v7:1:12945599:12957171:1 gene:gene-LSAT_1X11101 transcript:rna-gnl|WGS:NBSK|LSAT_1X11101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVASTNGSTSSGLWTQCNALFRKNLTFQKRNMRANLRLILFPVFLCLLLVLLQEKVNMELNKSSLKCGCICIDQDGDGECEKVCGIEYSDMEQVGTCSIPSPPEWPPLLQVPAPQYRAVRTDHLLSFGDLPNDSCISTGSCPITVLMTGNNQSFGESLARNMFSSSFNYNSSNGPADVVLGTTAHTKKSSFLELSFFSGLPVYYLQSQCRANSTFSISVPLASMTVEKEIGCIQCRHLWRNSSSDINNELYVGYKNGNSLKKINEILAGYDFLNSNISNYNVTILYNSTYKKDAGNGPIRLVRVPRSINLVSNAFLQLLVGPTTQMLFDFIKEMPKPETERKLDFSSLLGPLFFTWVILQLFPIVLTALVYEKQQNLRIMMKMHGLGDGPYWMISYTYFLAISLVYILCFVVFGSFVGLKFFTLNDYSIQFVFYFIYINLQISLAFLVAALFSNVKTVAVVGYITVFATGILGGFFFQFFLQDTSLPRVWIVVMELYPGFSLYGGLYEFSQYAFTGNYMGAHGMRWGDLSDNNNGMGHVLIIMTVEWVVVFFIAYYIDQKERVELSLLKQDKSHAIVCDNLKKVYPGRDGNPEKIAVRGLSLALSTGECFGMLGPNGAGKTSFVNMMIGLVKPSSGTGYVHGLDIRSDMDGIYANMGVCPQHDLLWETLTGREHLLFYGRLKNLKCTVLTQAVEESLRSVNLLNGGIADKQVRKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRKNLWNMVKRAKQNCAIILTRQLKGRYGGSYVFTMTTSSNHEADVENIVKGLSPYAKKIYNISGTQKFELPKEAIKIADVFRAVENAKSRFVVQAWGISDTTLEDVFIKVAREAQPFDVLS >cds-PLY84495.1 pep primary_assembly:Lsat_Salinas_v7:1:30581758:30581928:1 gene:gene-LSAT_1X26400 transcript:rna-gnl|WGS:NBSK|LSAT_1X26400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGVVGGGAGGGGGTDDGGGLQVRPTVEGRRYAEPSLVMAQGGCRRWVSHKMFH >cds-PLY69348.1 pep primary_assembly:Lsat_Salinas_v7:7:80660769:80661019:-1 gene:gene-LSAT_7X56840 transcript:rna-gnl|WGS:NBSK|LSAT_7X56840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGHTSDVEATVVACKAAKEAVKMILDAVDQVGGIFVVTVDHGNAEDMVKMNKKG >cds-PLY95597.1 pep primary_assembly:Lsat_Salinas_v7:6:138951496:138953520:1 gene:gene-LSAT_6X84000 transcript:rna-gnl|WGS:NBSK|LSAT_6X84000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAKGSNSMEKKKTLAFFLFFLFKSIFADDNCHPASCGPTEPQVRFPFRLRDRQPSRCGFPGFDLSCNKKNRTQLKLPSSQSFIVKRISYTAQVISIDPDFCRLERIADTELTDTPFDFSPVESYTFFNCTSQNLGFTFQTVPMLPFPCLGSLNHSVFAVRTGWIPLGDIPASCKHMSTISVPIRRYGNIRNELTLMWFRPYCKSCELDGRTCGLQSDDGETACFGSSSHAIPRGAIYGLSLGIGIPALICIIGLAFYTFSKMRSYTHRHRIDLFSIAITPQPPSTTGLDRPTIESYPKTLWGENRNLPNNDPTCAICLSDYEPKEAIRTIPECNHYFHADCVDEWLKLNATCPVCRNSPESSSVMTPCFSVSSDSSNSSRGIAR >cds-PLY91779.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1600790:1603746:-1 gene:gene-LSAT_0X320 transcript:rna-gnl|WGS:NBSK|LSAT_0X320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQSVLDSIVRRLLEVKGRPGKQVQLAEPEIKQLCVVSRDIFLRQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPRSNYLFLGDYVDRGKQSLETICLLLAYKIKYPNNFFLLRGNHECASINRIYGFYDECKRRYNVKLWKIFSDCFNCLPVAALIDEKILCMHGGLSPDLNNLDQIRKLKRPSDVPDGGLLCDLLWSDPCKDVKGWGMNDRGVSYTFGADIVTDFLQKHDLDLVCRAHQVVEDGYEFFANRQLVTVFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAEKKSKFNSGISLFSSTTTSKPGNTPPGIKSFMGARV >cds-PLY83093.1 pep primary_assembly:Lsat_Salinas_v7:5:295521449:295523001:1 gene:gene-LSAT_0X39541 transcript:rna-gnl|WGS:NBSK|LSAT_0X39541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVEKLQKMAGAVRTGGKGSVRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDETVIQFLNPKVQASIAANTWVVSGTPQTKKLQDILPGILNQLGPDNLDNLRKLAEQFQKQVPGGAEAAAATSAQVDDDDDVPELVAGETFEAAAAEEGQKS >cds-PLY85995.1 pep primary_assembly:Lsat_Salinas_v7:3:132734745:132735137:-1 gene:gene-LSAT_3X90020 transcript:rna-gnl|WGS:NBSK|LSAT_3X90020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPNAFKFRSIIKVANVPSSDNGVGHLLFAFYLKYKKPQYETWSASKITAVKVTGPIKADSFPNAKFKVVRGFESQVHEFTLTDLPCINPYDWIMLYNLLMREEQKYKPVAIYLKLMIVSYIQEVGTMDV >cds-PLY77531.1 pep primary_assembly:Lsat_Salinas_v7:2:165302069:165305163:-1 gene:gene-LSAT_2X89661 transcript:rna-gnl|WGS:NBSK|LSAT_2X89661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGGEETKTGEEENQKSSTPFDLNDYTIIKEGEAEILMHTKNEVFYNKTQVNNRDMSVAVLRTFISKRKEEHEAQLSKRTKKAMKASEDHDSGLEAPSGTTASNGKSNGESEKMPHGEEPEAKFRGELKPPRVLEALSATGLRALRYAREVEGIGQVVALDNDKASVEACRRNIKFNGSVASAKVESNLADARVYMLTHPKEFDMVDLDPYGSPSVFLDSAVQSIADGGMLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLACIESHANRYKRYIVPVLSVQMDFYVRVFVRIYTSAGAMKNTPLKLSYVYQCIGCDSFHLQPVGRTVSKNTSVRYLPGFGPVVSQECSDCGKKYNMGGPIWSAPIHDQDWVGSILSDVKSMKDRYPAFDRISAVLTTVSEELPDVPLFLSLHNLGGTLKCTSPSAMVFRSAVINAGYRISGTHVNPLGLKTDAPMDVIWDIMRCWVKNHPVKAQPPEHSGSVILAKEPVLQANFARAVASLSKAQAKKVARFLPNPERHWGPKLRAGRTITSKHASLLGPDIVDGILNNNNNNNNQEQQDEPEPKRKKTQEEEPTSISSS >cds-PLY98702.1 pep primary_assembly:Lsat_Salinas_v7:8:9148295:9148525:-1 gene:gene-LSAT_8X6920 transcript:rna-gnl|WGS:NBSK|LSAT_8X6920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTKLSANPMTTTQLVEKEPAIFTGSEIRMKDDDMPLLTWYLYMYILFFT >cds-PLY94033.1 pep primary_assembly:Lsat_Salinas_v7:7:100550947:100552725:-1 gene:gene-LSAT_7X66100 transcript:rna-gnl|WGS:NBSK|LSAT_7X66100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEQHKATKSPHVLLFPFPSQGHINPLIQFAKRLISKGVKTTLITTIYISKTSPSSNTSITVEPISDGFDDGGYMSAGSDEAYLEKFQQVGSKSLADLIRKLDSEGNPVDAIVYDSFVTWALDVAMEFGINSGCFFTQACAVNNIYYHAYKGVVDVPPAATVSVPELPPLQPCETPSFVHNPGPYPSWAHIVFNQFSNIHQARWVFSNTFYKLEEEVIEWMRKMWPLMVVGPTVPSMYLDKRLEDDQDYDMSLLKPNHIECMEWLKNKPKGSVVYVSFGSYGELGPEQMEEVALGLNESGVDFLWVVRETEKEKLPKGFVANGLVVSWCRQLEVLAHEAVGCFVTHCGFNSTLETISLGVPVVAMPQWTDQPTNGKCLEEIWGVGVRVKANEKGIVTRGNLVSCVKEIMEGERGEVARKNASKWRELAIQAVSEGGSSDKDIQEFVSQLKA >cds-PLY90297.1 pep primary_assembly:Lsat_Salinas_v7:2:198484448:198486008:1 gene:gene-LSAT_2X119580 transcript:rna-gnl|WGS:NBSK|LSAT_2X119580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPMTIVLQIDPFCNCEGHIHQVKKTLRDIGGVKLLAMNPEMGKFTILTAKHPEVIKFAFTQTFRKKNIILSLEHPNQLPGFNNPDNLMNISRQTPVSFATPLGTADVHDIAKAFVTMSHAKGLKAMEFTQSNTIKMNFTNRDNDQPSTSRSSLIHNVRDDHDGVHVKYDGFEYGPPLPPPPPNTTKPSAPLIPTTENYVYGHPAELYGVPRSSRYDDPHGCCTIL >cds-PLY67701.1 pep primary_assembly:Lsat_Salinas_v7:4:4062496:4063323:1 gene:gene-LSAT_4X3201 transcript:rna-gnl|WGS:NBSK|LSAT_4X3201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTINRYGRKQTVLMFPWLVHGHISPFLELAKKLSNTNLFDIYLFSTPANLKSIKKSPVIHQIKLHLPELPELPSDLHTTIGLPLHLMPVLKKAFDMESDEFSGILKILQPDLLIYDLIQHWAPVAASALSIPSVVFITTSVTMASTMFHLYLKSSSGIPFPFLEIYFRKNEYSHVSEILESSTNNRKDKDRVMECVGRSSSIVLVKPFKEIEGKYCDYLSALTNKKIVPVGPLVVELSPAVNVKENSVMQWLDTKAIGSTVFVSFGSEYFLAEDD >cds-PLY76614.1 pep primary_assembly:Lsat_Salinas_v7:5:221644136:221648703:1 gene:gene-LSAT_5X104301 transcript:rna-gnl|WGS:NBSK|LSAT_5X104301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSVKRKSLEEGPSASTPPPHKQQKDNRNNDNRLIGTNNEAEAGVACVHDCSYPENYVAVPRRSNTEEDSKPAKEFPFTLDPFQAEAINCLNAGESVMVSAHTSAGKTVVASYAIAMSLKNKQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSELTREVAWIIFDEVHYMRDRERGVVWEESIVMAPKNARFVFLSATVPNAKEFADWVAKVHQQPCHIVYTDYRPTPLQHYLFPTGGDGLYLVVDEKGKFREDSFQKALNALVPANDGDKKKDYGKKQKGLVNGRGGEDSDIFKMVKMIIQRQYDPVIIFSFSKRECEFLAMQMAKMDLNEDDEKVNIETIFWSAMDMLSDDDKKLPQARLSYLFVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFSNVRKFDGDKFRWLSSGEYIQMSGRAGRRGIDDRGVCILMLDEKLEPSTAKMMVKGSADCLNSAFHLSYNMLLNQLRCEDGNPENLLRNSFYQFQADRAIPDLERQMKLLQEERDSIHIEEEDSLENYYSLLQQYRSLKNDVRDIVFSPRYCLPFLQPGRLVCVRCGNNDENALPLSTEDNVTWGVIVNFQRLKGLSEDDANKSPEDANYSVDILTRCAVSKDELSKKTIKIVPLKSPGEPVVVSVLVSQIDNLSSVRLIIAKDLLPLENRENTLKKVSEVLSRFSKQGMPLLDPEEDMKVQSGSYRKAVRRIEALENLFDKHEVSKSPLIEQKLKVLHKKKEITSQIKTIKKSMRSSSALAFKDELKARKRVLRRLGYVTREDVVELKGKVACEISSADELVLTELMFNGVFKDIKIEEMVSLLSCFVWQEKLQDAQKPREELDMLFTQLQDTARRVAKVQLECKVEIDVESFVNSFRPDIMEAVYSWAKGSKFYEIMEITQVFEGSLIRGIRRLEEILQQLILAAKSIGEVELEAKFEDAVSQIKRDIVFAASLYL >cds-PLY67610.1 pep primary_assembly:Lsat_Salinas_v7:5:117692313:117698059:-1 gene:gene-LSAT_5X51740 transcript:rna-gnl|WGS:NBSK|LSAT_5X51740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSNWRAGQSASGGVGDSSMESGDWRAQLQADSRQRIVNKIMDTLKRHLPFSGHEGLQELKKIAVRFEEKIYTAATSQSDYLRKISLKMLTMETRSQNPMPDAMQSNSGANSVNPSDPGSQVMQQVNSQGQPLPIPVPSNHPQQNQQLLSQTMHNNLGSSGIQGSSSLSAAMPPGTGLAQSTISNVSSQNPSLQNIQNMSGVQVQQNSVGNTMGPNNFANQRQIQGRQQQQQQQQLAPPQQQQQQQQQQQQQQQQPTQNSHYLYQQQLQQMANKKLQVQQGNIAPMQQIQQQQQQQQQQNLLQPSVMQPTMMQSVQNQQSVVPQSSQPLMNHQQSALRQQRQQQVQVQVQPTQQQVQPTQSNPMGQQPNATNMQQNQLMGQQNTYSDMQQQQRMLGQQNKPQQHLIGQHNNLSGMQQQHIGQSSQSGGQQQLLGTQSGNSGMQQHMMQSKIPVQVQQQNQQNSGSLLPNQVQQSQPQLQQQVMPHMQAQSGQVGVGMQQQPNMMQGNMQQRLPTAGGFQQQNVIDQQKQLFQQQRPMQEASSTSLDSTAQTGNANGGDWQEEVYQKIKAMKDLYLLDLNDMHQKILGKLQQHDSLPQQPKNEQLEKLKVFKNMLERFMAFLQIQKHNILANYKDKLSTYEKQIVHVITSNRRKPAPTQQQQQPQQQLPPPHMQSQSQQTHENPMSSQMQTVNPVNLQGNSQQNGNPMSSLTGGSNPQQTMMNSMQPTSSLDPGQSMSGSGSQQMNMNPMGTLQPNPNMLHIKQQEQLLQSQQLKQFQNRQMQQQFLQKQQLMQQQQQQQFRQQGTQVNQMTQLHDTGGDIKLRQQQQQQQMGGVKPGTFQQVAGQRAGYHQQMKPGGSPFSPQLIPGGGSPQISQHASPQIDGQNMFKPANSPFTVPSPSTPGASPLPGELMSGVGVSSLTNGGNIGHGHGQLSGAVLTSQNLSIGTPGISASPLLAEFTSPDGNGVVASIGSGKSSAAEQPLDRLLKVVKSISSNALSSSVDDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARTLVTQEGTNPNAIRKMKRFTSAMPLNVVSSAGSVNDSFKQLTCAETSELDSTASSAIKRPRIETNHALLEEIKEINRGLIDTVVDISAEDVDPAAASTASEGADGTVVKCSFSAVALGPNLKSQYASAQMSPIQPLRLLVPANYPNCSPILLDKFPVEISKEYEDLSVKAKSRFSISLRSLSQPMSLKEIARTWDICARAVISEYAQQTGGGTFSSKYGTWENCLSVVN >cds-PLY67581.1 pep primary_assembly:Lsat_Salinas_v7:MU044895.1:100559:102928:1 gene:gene-LSAT_0X38301 transcript:rna-gnl|WGS:NBSK|LSAT_0X38301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGLSLSHTLSLSSFVTGAEEPDRASYVGESFDFSGRESFVFQFTGSARFLLLVKNASEIRGTSQWKKAHDLLFGGLKHIFLPPLPLILLQVSIRPAICFFHILH >cds-PLY67944.1 pep primary_assembly:Lsat_Salinas_v7:5:299141430:299143281:1 gene:gene-LSAT_5X161140 transcript:rna-gnl|WGS:NBSK|LSAT_5X161140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGRNLKYVFCAHGNHNSVRMKPGQRKDQGYVESVLKVSKCYTLSKYGCAEPDSFQKWIDNEIYIAVGTASSITSLSDTSTIPQNWFHFISKQQIPDFVDQSPDFVGIFVTFRDCLKKNKEPFLLLILRNHSGQEIAISLWRECTDVSDKFDRAAIENTVGPTVIAITNVKIAPVAGSLMLGTTSASYVYINPPIAETTTLLNSFSTNPTSLTTISAPPISLFDMKNKSHSDLLERTFTVTASIVDFKFTDTWYSVLCPQCRIPTLKQGNNWFCPSHGVANDPTYVYKLSAAITDPTHSMNVTLSDNTVQKLTATTSDKLINENEPDNRKTIPSIINEKKGVTKKMAIQMMKTSTANNICFIVTDVEGNNIHKSAIPTTPPPTIGLPPKQPTTTLHPHLTHPSQKLEEI >cds-PLY74326.1 pep primary_assembly:Lsat_Salinas_v7:9:187189451:187189878:-1 gene:gene-LSAT_9X114801 transcript:rna-gnl|WGS:NBSK|LSAT_9X114801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVLQESYSLCSGFLFALDALYFSVEEGRGRKKSQEGSGDHVIDRKYKPRRKIGNGSF >cds-PLY68375.1 pep primary_assembly:Lsat_Salinas_v7:7:1240956:1244333:-1 gene:gene-LSAT_7X261 transcript:rna-gnl|WGS:NBSK|LSAT_7X261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSGSSWLTAVKRAFRSPSKDSDKKTQRRNHDTQAQDLDEEDDKKREKRRWLFRKPTSVHENATVQSTQQAKMNFGASEQKHAIAAMSTAPAAMEVASISRPPAGHSREHYAATIIQTSFRGYLARRALRALKGLVKLQALVRGHNVRKQAKMTLQCMQALVRVQARVLDQRMKQSDQCTSRKSTFSDTNSAWGSRYHQDMSDRRSMSRDGSSIADDWDERPHTIEEVKAMLQNRAARRDKTLSQAFSQEMRRNGRNSSMGSDNEVGVGERQQWLGPKAWDSSRPMGRASTDHRDGVKTVQVDTSQPYSSLPPPNHMRRSSYQYHHHHNQRNSPSSASPLHRAQHHQSPAVTVTPSPSKTRPIQVRSASPHYVREDRSYHSTQSQTPSLRSNYSFNTVMLHHQQQHARGSTSGGGGGAMPNYMAATESAKARVRSQSAPRQRPSTPERDRSGSAKKRLSFPVPDPYGGGNGQDLRSPSFTSVNQASYAYMGMGMEQQSNYSSYYTESIAGGEVSPCSTTDLRRWTLR >cds-PLY87789.1 pep primary_assembly:Lsat_Salinas_v7:8:222909302:222911158:-1 gene:gene-LSAT_8X137361 transcript:rna-gnl|WGS:NBSK|LSAT_8X137361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g56570 [Source:Projected from Arabidopsis thaliana (AT1G56570) UniProtKB/Swiss-Prot;Acc:Q9FXA9] MSFRKLPNQIPLLIRNKPQSNPQPFQSNSHAKQSTNLIKAYFNNGLLNHARQLFEEMPDRDVVAWTAMISGYTACNHHNSAWMMFKDMTRESSDHPNAFTFSSVLKACKGMKSHCCGALSHGLALKHGLVGASIYVDNALLDMYATCCVSMELSHSVFQEIPVKNQVSWTTLITGFTHRDNGVAALEVFQQMFMEKAEQSPYSFSIAIRACAGITSHTYGTQIHASVVKHGFDRNIPVSNSLLDMYCKCNRFQEADNCFKEMNEKDDITWNTLISGYQNSNPIKSLHLLSQMELLGCHPNHFTFSSLITACTNLSILTCGQQIHGKIFKIGLDNNLPLANSLIDMYSKSGDIKDSKRVFSELCNRDVVSWTSMMIGFGNHGYGNEAVRLFNEMVDSGIRPDTIVFMAILTACSHSGLVNEGLSYFKLMINEYGIIPSREIYACVVDLLGRGGRVGEAYEVIKSMPFKGDESVWAAFLGACRAHGQPKMMSACAREVLDLKPRKSGIYVLLGDMYALEGKWGDRVKMRRLLNESGNKKVAGRSWVEVRDRIYSFVAGDGDRCDSYVGPIYEVLSVLGRHMVEKIEVS >cds-PLY87976.1 pep primary_assembly:Lsat_Salinas_v7:6:171099794:171102195:1 gene:gene-LSAT_6X104441 transcript:rna-gnl|WGS:NBSK|LSAT_6X104441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSEGIAFLKLLRTFTILIVILSTTKAASEVALSLPGCPVKCGNITIPYPFGTIEGCYMSIEYQVNCETLSVSNTKFKLLSISLNDGYMRGMLPMGYRCYNKTHAITSESEPRIKLSRFQVSSTLNLLTAVGCDSRVNIKTLNGEGYYTGCLSMTGCDKLTNGSCLGLGCSQVPLPYNLTRFRIHAQSNTRRENVGSWSFNNCTFGFIVEKGNYTFHKTDLDRLHNRSFPVVLEWSIGYTTCEEAQKNISSYGCKENSVCVDTLIESNRSYNGYRCQCAQGYQGNPYLPNGCQDINECEGPQLHDCIYGCGNTNGSYSCSCPLGQHGDGRKGGDGCSNNDLQADGNSVYWGVSMGTAASLVFTFIIYWGLKQRKIMKRREIFFKKNGGLILQKVLFESKRLSSHMAKIFSAKDLEKATNNFHKTNIVGQGGYGTVYKGTLADKTMVAIKKAKSIDESQIEQFINEVIILSEISHPNVVKLLGCCLETQTPLLIYEFVTNKTIFHHLHEQDFISSMTFERRLNIATHTAEALAHIHSTTQIVHRDIKSLNILLTDDYTAKVSDFGISRFIPVDETHLQTLVHGTLGYIDPEYFRSGILTEKSDVYSFGMVLVELLTGRKVFSHDRTESDLGLATYFISSLERGHLLQILDDKVKKDGLNEHIRCFARLAKDCVELEGRKRPNMVEVKEELDELKQSFLKSSIIMSKKIISAELDDLLLFD >cds-PLY76748.1 pep primary_assembly:Lsat_Salinas_v7:7:62103592:62104689:-1 gene:gene-LSAT_7X43980 transcript:rna-gnl|WGS:NBSK|LSAT_7X43980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSPPTTNPKTKSLDPPLHAFGFEIDELAPHKVTGHLQVTDKSCQPFKVLHGGVSALIAESLASSGAFIASGFKRIAGVQLSINHLKRADIGDLVFAEATPLQVGKTIQVWEVRLWKIDPHNPESRLVISISRVTLVTNLPVPNNAKDVVQNFKKYAKL >cds-PLY65822.1 pep primary_assembly:Lsat_Salinas_v7:5:136481513:136481737:-1 gene:gene-LSAT_5X60340 transcript:rna-gnl|WGS:NBSK|LSAT_5X60340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDGSLIGFGHVGVGGSTGYCDVNNRFSIALTLNKLSFGPLVAEIIKFVCSEFDLPLPEDYSGSSKFIKKPMIN >cds-PLY65987.1 pep primary_assembly:Lsat_Salinas_v7:4:136723018:136723230:1 gene:gene-LSAT_4X85521 transcript:rna-gnl|WGS:NBSK|LSAT_4X85521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARDDDDHDIYMVVDDTPPKSPGDNPPPPPPLSTNPPPPSQPSPLTPSPPPNSPPQSNVAKKEVYNQGLF >cds-PLY70699.1 pep primary_assembly:Lsat_Salinas_v7:3:105225665:105227573:-1 gene:gene-LSAT_3X76540 transcript:rna-gnl|WGS:NBSK|LSAT_3X76540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVAIQEPLIILLMKMAGVQTHAIEIEPGTIMNFWVPNETIQKPNKKSKTTVVTTINPKPEKPVVVLVHGFAAEGIATWQFQIGSLSKEYSVYVPDLLFFGGSISDSPDRSPTFQAECLVKGLRKLGVERCVVVGFSYGGMVAFKMAELYPEMIRAMVISGSILSMTDSISELTLKELGFSSSSELLLPDSVKGLKALLSVATHKKLWFPDRLHKDYLEVMFNNRKERGELLEGLIVSNKDITIPYFPQRIHLLWGENDQIFKMELAQNMKWELGENTTVEGIPKAGHLVHIERPCVYSRCLKKFLASLPTEEPLHK >cds-PLY83713.1 pep primary_assembly:Lsat_Salinas_v7:4:41944409:41947445:1 gene:gene-LSAT_4X29681 transcript:rna-gnl|WGS:NBSK|LSAT_4X29681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTRSLQLVFNRIKSRGTVRCFGTATEGIASPAASSRHLIDLEHDYSAHNYHPVPVVFSHGKGSAIWDPEGKKYLDFLAAYSAVNQGHCHPKIMNALMEQAQNLTLSSRAFYNDKFPVFAEYLTKMFGYDMVLPMNTGAEGVETAIKLARKWGYEKKRIPKDQGIIVSCCGCFHGRTMAAISMSCDNDATSGFWPLLPGQLKVDFGDEASLKKLFEEKGEYIAGFLFEPIQGEAGVIIPPDGYLKSVRELCSNHNVLMIADEIQSGLARSGRMLACDWEGVRPDVVILGKALGGGVMPVSAVLADKDVMLCIQPGEHGSTFGGNPLASAVAIASLQVIQDEKLAQRSAEMGEELRGLLRKSQQQFPDVVMEVRGKGLFNAVELISKSLYPANAYDLCIKLKERGILAKPTHNATIRLTPPLSISLDEIREGAKAFHDVLECDLPKLVKEKPMETTPKAPELCHRCGRDLYGSS >cds-PLY89505.1 pep primary_assembly:Lsat_Salinas_v7:4:149052268:149053161:-1 gene:gene-LSAT_4X92221 transcript:rna-gnl|WGS:NBSK|LSAT_4X92221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVRISSMQLVSIHHKPNRTPSTSKRVFISAKSDSEKPSSPPLQIRSNIKLQKVFEDKSSGIVCYRDDKGEVICEGYDEGPRIPQTLFSRFSSHQRDGEAMIRLLKRSLLLVIGGAGKVN >cds-PLY66858.1 pep primary_assembly:Lsat_Salinas_v7:7:18592990:18596592:1 gene:gene-LSAT_7X14900 transcript:rna-gnl|WGS:NBSK|LSAT_7X14900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVRRLARTIQTCRTIAFRKTLTPSIPAHRHISYRTFNQNSHSFHDSSWRSTNAPGLNYLPAMLAGIFGLGLVDVAYADAPEGEAKTPSPPESPLPTHVDMEAIAKKERTRLEELLKTKGMQYGSYPRFTVAVKGKKVTIKFQIPPTCEIALLISNIVANLGVKVEERATGSDMNLRAWDSGVAWQLTLNRPVDKSSSDANPNDEDLCILMFRSLISSDKPEMEFIKQGSFTPEELDALVSVLKLAGTGQNKSMDRRGDNARNSSLDKSVTSLEGMGVKIYGLKEPKLEHSKSEEISWENIAGYSQQKRDIEDTILLALQSPEVYDEIARGTRCKFETNRPRAVLFEGPPGTGKTSCARVIANEAGAPLLYVPLEVIMSKYFGESERLLGKVFSLANEIPNGAIIFLDEVDSFATARDSETHEATRRILSIDGFEQDKKVVVIAATNRKQDLDPALLSRFDSMITFGLPDQQTRQEIAAQYAKHLAKTELSELAAATEEMSGRDIRDVIRGQAQKNEDGASLPPLQEYIESASERHKVLLAAATDKQDHNFSPKKKPQYDFL >cds-PLY92811.1 pep primary_assembly:Lsat_Salinas_v7:2:149295801:149297042:1 gene:gene-LSAT_2X75340 transcript:rna-gnl|WGS:NBSK|LSAT_2X75340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVEWYNERIGSNLKVQCYNLYFFIELGNIRNGKTGFADLIDFNPLRATDVQLPADGTFLIAHSLAESQKVVTAATNYNNRVVECCLASILLGIKLGMELEEAISKVKTISDVEALCASFFGAHGSSNPTIAVKRAFHVYSTAKRVYAFKDVVSSNSE >cds-PLY63387.1 pep primary_assembly:Lsat_Salinas_v7:7:148446620:148454155:-1 gene:gene-LSAT_7X87460 transcript:rna-gnl|WGS:NBSK|LSAT_7X87460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLQDLIEEAKLRTVWWAICIFAVTYFLTHTSKSMWMNVPIAILLVSGVHILITEVDFHWKIRKSRRHSYLAHLEKKQLSVNDSRLNTLPPPPKWKRKIDSPVVEAAMEDFTNKILQEFVVDLWYSDITPDKEAPQLIHAIIMDILAEISMRVKDINLVDMLTRDVVDLIGDHLELFRKNQASIGREVMVTLSSEERDERLKHHLMASKELHPALISPESEYKFLKRIMGALLAAVLRPREAQCPLVRCITRELLTCLVMEPVMRFASPGRINELIEGIFLATNKGEKETADDQSNVTVQPQNQPSVTNNEKGPESNIGSSSIQKLEKTPSTSNIQKESSTTVNPSATMTSGPIQDESIPTRTSDWARVLEAATQRRTEVLQPENLENMWTKGRNYMKKSQKNSASGIIKGGINESSNLDTGFDQQRDQILDGGQNKTPTRLSLVDDNLNFDFGSDGKLKRLNSASESNIQTLSETASPIQVGGSGTIIPEFYSVNPGRDNDAQSVNNIASDNKLIRTEGYVPKLRCRVLGAFFENIDSKSFAVYSIAVTDADNKTWFVKRRYRNFERLHRHLKDIPNYTLHLPPKRIFSSSTEDAFVHQRCIQLDKYLKELLSIANIAEQHEVWDFLSMSSKSYSFGRSSSAVRALAVNVDDAVDDIVRQFKGGIMRKSVGPGGSPSEFSSSSSSAANRNMTWETNEANDSPLKQPIFDMGNNNIVSDYEEGEKDNKTIVNNEEMESMGQVYGGYLDNEVNFKAGLSPKIVNKNDEQNKNLVLEKKNSSEVRSEVLTMAANFPSTSKEDPLGMPAEWSPPNVSVPLLNLVDKIFQLNRRGWLRRQVFWMSKQILQLMMEDAIDDWLLRQIQLLRRDDIVAQGIRWIQDILWPEGTFFLKVKSSQTDSSQTNEGAARAPSGSKANKQGSFEEQLEAARRASDIKKMIFKGAPTTLVSLIGRKQYKRCAKDVYYFLQSAVCLKQLAYGLLELVLITVFPELQEIISNVHEKQKGQGV >cds-PLY83702.1 pep primary_assembly:Lsat_Salinas_v7:4:44046066:44048059:-1 gene:gene-LSAT_4X30441 transcript:rna-gnl|WGS:NBSK|LSAT_4X30441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSATVYSYKCDLYEGSWVYDASYPMYDSTKCPHIRKEYDCIKYGRPDLTYLKFRWQPTHCLLPRFDAEEFLRKMRGKKIMYVGDSVSLNQWQSMVCLLHAALPSESNIHEETINSTTTVTYEDYGVSISMFLSHYLVDITQEKIGRVLKLDSITDGDVWKENDVLIFNTWLWWYRRGEKQPWDYIETGRKILKDMDRMVAFREALTTWANWIDSDVDTVKTRVFFQGVSPSHYNGADWNEPGVTNCGKETLPINGSTYNGGWPKAEMVVEQVLSGVKKPVSLLNITRLSQLRKDGHPSSFNAFRGMDCTHWCVAGVPDTWNQLLSAALG >cds-PLY88181.1 pep primary_assembly:Lsat_Salinas_v7:5:221223205:221224801:-1 gene:gene-LSAT_5X101940 transcript:rna-gnl|WGS:NBSK|LSAT_5X101940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCCFPPIPLDGRFIRRCKQGCLQFVILKPILVAVTFLLYSQGKYNDGNFSVAQSYLYLTIIYTISYSLALYALALFYMACRDLLQPFNPVPKFIIIKSVVFMTYWQGVLVFLAAKYNFIKTAEEAAQFQNLIICVEMLIAAVGHLYAFPYREYAGANVGAPRGFTASLAHALKLNDFYHDTVHQFAPTYHDYVLYNHSSDSGEENGGTKYRARTFVPTGSEMDTIRKSKDLPGGKLSDIQLSVSSMSNSNSGHTTPEIGSPTKEKVPTPSSSLLVDVSESPSIIPFDLSLVDIDMSGYADEVPAVKTPETR >cds-PLY77790.1 pep primary_assembly:Lsat_Salinas_v7:2:169439198:169440356:-1 gene:gene-LSAT_2X92080 transcript:rna-gnl|WGS:NBSK|LSAT_2X92080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKAIPKKGSRGRIGSRKSTRKIPKGVIHIQASFNNTIVTVTDVRGRVVSWSSAGTSGFRGTKRGTPFAAQTAAGHAIRAVVDQGMQRAEVMIKGPGLGRDAALRAIRRSVSTRTLQWKCVESAADSKRLLYGRFILSPLMKGQADTIGIAMRRALLGEIEGTCITRAKSEKISHEYSTIMGIQESVHEILMNLKEIVLRSNLYGTCEASICVRGPGYVTAQDIILPPYVEILDNTQHIASLTEPIELVIGLQIEKNCGYLIKASNNFQDGSYPIDPVFMLVQNANHSIHSYENGNKEILFLEIWTNRSLTPKEALYETS >cds-PLY87414.1 pep primary_assembly:Lsat_Salinas_v7:5:41983020:41984664:-1 gene:gene-LSAT_5X19740 transcript:rna-gnl|WGS:NBSK|LSAT_5X19740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVAMTVVLTTLLSGLIHGYDCKIVQFIFGDSLSDNGNNNRLTKSFAQAALPWYGIDYGSGMPNGRFTNGRTVSDIIGDKIGLPRPPAFLDPSLSEDVILANGVNFASGGGGILNETGGLFIQRFSMYKQIELFRGVQTLIRGSIGDKEATKFFQEAKYVVALGSNDFINNYLMPVYADSWTYNDDTFVGYLMETLGEQLTVLHGMGVRELMVFGLGPMGCIPLQRVLSTSGGCQEKTNTLALSFNKATAKLMDDLSAKLPNASYKFGDAYDVVNNIITNPSKYGFDNADSPCCSFGRIRPALTCVPASRLCKDRSKYLFWDEYHPSDHANEMIADELIRKLGFKTVNQTNGASPSPTSAPAPSDD >cds-PLY81473.1 pep primary_assembly:Lsat_Salinas_v7:5:334699285:334701382:1 gene:gene-LSAT_5X187880 transcript:rna-gnl|WGS:NBSK|LSAT_5X187880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty-acid-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT3G63170) UniProtKB/Swiss-Prot;Acc:Q9M1X2] MVSLRFPFSFSQPATTRVPSGAFSPANIACSVAVSAAIGAGISAGICLSQNTTSPSLHNALNSLLSNFSRSSPLWGSLSLSDGSGDVVTESKTGFSFPAVLKDSQGLLGIGLRRKAVFGLKNIDVYAFGVYADDSDIKNFLSEKYGTLSTTEVKQKNITQELMESDVSVTVRLQIVYGKLSIRSVRNAFEDSVGNRLKKFGGSDNKELLQKFTSQFKDEYKIPRGSIIDLSKEKGYVLRTSIDGKEVGSVQSQLLCRSILDLYIGDEPFDSKAKEDIDLKLASAITK >cds-PLY62331.1 pep primary_assembly:Lsat_Salinas_v7:4:87364259:87364447:-1 gene:gene-LSAT_4X58100 transcript:rna-gnl|WGS:NBSK|LSAT_4X58100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFEIRQREIRDLSIDVEEEAEQEDVLETDRSNRHAKGGTKVAKSSWVYRVRNIGFGRMVRS >cds-PLY94887.1 pep primary_assembly:Lsat_Salinas_v7:4:112758752:112761068:-1 gene:gene-LSAT_4X71980 transcript:rna-gnl|WGS:NBSK|LSAT_4X71980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTLTTTLFLDYQEGSNLPEKEITKAYRSKALELHPDKRPNDPNAPSNFQKLQTSYEILKDEKARKLFDDLLRLKQQKIQLQSQQDSKRRRMMSDLEEREKNAFNHQNSTAKARDEEESIVRKLKEEISRIREKHGKNKMSFTETGESSRRIERVIDIDMDMDKEKMLKVLWEKGGLEYSEERLRGVFETFGEVEDVVIRSFKKKGSALVVMASKEAAVAANGSVCGNVSNPLLVIRLQAAVANCEPMKSSDGQQHVNEEEVVGEAFEDSVLQKLQKAADNTRVTIMKSYNLFFLGKVFQLVFGGNKK >cds-PLY97529.1 pep primary_assembly:Lsat_Salinas_v7:5:236005594:236007365:-1 gene:gene-LSAT_5X114540 transcript:rna-gnl|WGS:NBSK|LSAT_5X114540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTHGIHGNLLEVTVVSCNKLKDTEWISRQDPYVCVEYGSNRSRTRTCTDGGKNPTFQEKFVYTLIEGLRELNVNVWNSNTVKHDDFIGSGKVQLAKALSQGFDDSTWPLQSKTGRHAGEVRLIIHYTNANKPANSHASGAPQYGQPQPSLYSAPPPPPPHQPSVSYPPHASGGYPYPPAGGGGGGGYPAPSPYPSYPPNAAYPPSPYPPQAAAPYAAQPYPPNSAYPPQPYGSFYPPGPPYPGQRPPPY >cds-PLY76297.1 pep primary_assembly:Lsat_Salinas_v7:7:124584828:124586159:-1 gene:gene-LSAT_7X76981 transcript:rna-gnl|WGS:NBSK|LSAT_7X76981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYQQNHLILSVNNSKQTPFLGHNKNFYSQVMSEVSSIIMEIPLSLRLISYLERKGVVKSDNLRSIHSIFSFLEDNFSHLNYVLDILIPYPAHLEILVQALRYWIKDASSLHLLRFFLHECHNWDSLITSNSKKASSSFSKRNHRLFFFLYTSHLCEYESGFLFLRNQSSHLRSTSSGALIERIYFYGKIDHLAEVFARAFQANLWLFKDPFMHYVRYQGKSILGSKGTFLLMNKWKYYFVNFWKSYFYLWSQPGRIYINQLSNHSLDFLGYRSSVRLKPSMVRSQMLENAFIIENAIKKFETIVPIMPLIGSLAKSKFCNALGHPIGKAIWADFSDSDIIDRFGRIYRNLSHYHSGSSKKKSLYRVKYILRLSCARTLARKHKSTVRAFLKRFGSELLEEFFTEEEQVFSLTFPRVSSISRRLSRRRIWYLDIICINDLANHE >cds-PLY94698.1 pep primary_assembly:Lsat_Salinas_v7:6:19961633:19962526:-1 gene:gene-LSAT_6X15041 transcript:rna-gnl|WGS:NBSK|LSAT_6X15041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEHHNTFRGYIVMFVVLKPYRHRGIESFYNYITCYKILKSDNGIRLGIEKGIKVCYSHANHSDRIRYRGSYSKRIYDRGLEDNKEKWCMKGNMMINGLKVG >cds-PLY78941.1 pep primary_assembly:Lsat_Salinas_v7:8:3460449:3462860:1 gene:gene-LSAT_8X1800 transcript:rna-gnl|WGS:NBSK|LSAT_8X1800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRRLNLDIPQNNTFLLPRDILAAADRLIRIKFGMGTLDDMNHLQNKRIRSVADLLQEQFGLALVRLENMARGNIYAALKHNWTPTPQNLVNSTPLTDTYKVFFRLHPLSQVLDRTNPLTQIVHGRKLSYLGPGGLTARTATFPIRDIHPSHYGRICPIDTSEGINVGLIGSLAIHARIGRWGSLESPFYKISERSKGARMLYLSPGRDEYYMVAAGNSLALNQGIQEEQVVPARYRQEFLTIAWEQVHLRSIFSFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSQSEKCIVGTGLEGQAALDSGALAIAEHEGEIIYTDTDKILLSGNGDTLRIPLVMYQRSNKNTCMHQKPQVQRGKCIKKGQILAYGAATVGGELALGKNVLVAYMPWEGYNFEDAVLISERLVYEDIYTSFHIRKYEIQINQGSERVTNEIPHLEVHLLRNLDKNGIVMLGSWVETGDILVGKLTPQMVKESSYAPEDRLLRTILGMRVYTSKETCLKLPIGGRGRVIDVRWVQSSKTDETEKTESIRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGRPVDMVFNPLGVPSRMNVGQIFESSLGLAGGLLDRHYRIAPFDERYEQEASRKLVFSELYEASKQTVNPWIFEPESPGKSRIFDGRTGDPFEQPVIIGKPYILKLIHQVDDKIHGRSSGRYSRLTQQPLKGRAKKGGQRVGEMEVWALEGFGVAYILQEMLTYKSDHIRARQEVLGTIIFGGRIPTPEDAPESFRLFVRELRSLALELNHFLVSEKTFQLNRKEA >cds-PLY96335.1 pep primary_assembly:Lsat_Salinas_v7:5:192760412:192765253:-1 gene:gene-LSAT_5X86321 transcript:rna-gnl|WGS:NBSK|LSAT_5X86321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTAASFDRTPTATTFSFWLLASIKATTCLSLPDLFFALPGGSILGVRFLDPLAGLVVSGMILRVGLETGYQRDLKCDKIFVNGNNGEIKIGDLGLATVMQQPTAKTRIKPGSLSKVGDSELKAFIEKCLVPTTKRSSAGKLLEDPFLKEATPRSLNFMEIDQSGCVYVVDAYKIYWTSCLKGSLSGKMVMRLVEGDGCKIDSGTLNLPTLEFNWVVEGDFGSCNQTQDINFGMATSFGGNETQAKVNTQRVVRT >cds-PLY98293.1 pep primary_assembly:Lsat_Salinas_v7:7:172305961:172307508:1 gene:gene-LSAT_7X102680 transcript:rna-gnl|WGS:NBSK|LSAT_7X102680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSLSLILFCFIFISFAISDAVVPPADTFRYVNQGDFGELDSEYAPTYRPLPPYTSPFQLCFYNTTPGVYTLSLRMGTGRDEAVMPWVWDANRGNPVGENATFSLASDGNLVLADGDGRIAWQTNTANKGVVGFAILSTGNIVLRDSKGGFVWQSFDSPTDTLMFGQSLRIGGPTKLVSRASTTNNVNGAYSFVIEPKRLGLYYKNMLYWSSTFPLFPELNQPNVTIVNATFAIVETEYNNDFNAIRCHLSNSNAQPFLDMDILRFNNTLSYIRLGIDGNLRLYSYRPPNIRFSQWRIVFRLFDNMETTRRGLYEDECQLPERCGKFGLCEDSQCVGCPSPEGVFAWSKNCNAKTPSCKAGGSRYYQLKGVDHFTSKYSPGSGPMKQKDCESKCTKDCKCMGYFYRTDLSRCWIANELKTLTRVGNSTHLAYIKTPIQ >cds-PLY85385.1 pep primary_assembly:Lsat_Salinas_v7:5:244687982:244693322:-1 gene:gene-LSAT_5X121381 transcript:rna-gnl|WGS:NBSK|LSAT_5X121381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDHRFDPLLITDVPQQQQQQQQQQQQHETRSHSPIVSFRTSVMADYDDLCFDKLEEISLRTPETTKRIDLIGKDIHDEISWRNSSPEAVGTEDVLDHENVKTAPVVSFHTSVMADYDDDLCFDKLEEISLRTPEATKDNDCTDPIGNDVLDENSWRNSSPEAIGTEDVLDHENANTGHSKLGFSLTSPDLVISPKSPDTPNGNYDSSPILLKRSSIELSFENGITDGSKINDFNDNPADSKEDLGSSEASFELLPFASCEEKDEHKVEDEGCDEFQEKYENQKNELMKAKKELEMMKMENECKSKECEEALKSLRDLQNELMRKSMHVGSLAFAVEGQVKEKSKWFSSLRDISRKLKIMKMDQIKLLEEAEGYKKCAADMNDMSCIIQSRIDEQAKQHEELKIKFTEGAKERKDLYNKIQELKGNIRVFCRCRPLNSEEIAQGASMAFDFEASRDGELKVKSNIAFKKTFKFDSVFTPEANQVDVFEDTSSFATSVLDGYNVCIFAYGQTGTGKTFTMEGTDGNRGVNFRTLEELFRVIDERKNQIRYEICVSVLEVYNEQIRDLLLPTSQPGVAAKRLEIRQVGEGLHHVPGLVEAQVSNMGEVWEVLKTGSNARAVGSTNSNEHSSRSHCIHCVMVKGENLVNGESTRSKLWLVDLAGSERVAKTEVQGERLKETQNINRSLSALGDVISALATKSPHIPFRNSKLTHLLQDSLGGDSKTLMFVQISPNENDLSESLCSLNFASRVRGIELGPAKKQLESSEVLKYKQMAERYKHEMKNKDLQIKKMEDQFHGLDMKLKEKELKNKYLQDKVKELESQLLVERKLARQHVDTKIAEQQMKQQQQLDDHRLPLVSKPLNTYKNSDESKENQQPLVDKNMTYKLPAPLPPARDLVNLDVDDFIEKENNPYLPEQFTASKRTGRASICTTTSQRVPVRSVPPRRNSLIPLPSAAPVSTKYVPQLYPLPSIKSGSENLDETGECCMEDSPKRSNGSGKKLMSALRRSFKKNQVKSPMMMQPQQVRRVGGLNIAVERVRVSIGSRGRMAHRGVLANGRRVKQQNYMEKERRWNIGKGGV >cds-PLY87469.1 pep primary_assembly:Lsat_Salinas_v7:2:134021510:134022520:1 gene:gene-LSAT_2X63900 transcript:rna-gnl|WGS:NBSK|LSAT_2X63900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVQIGEASQQPNKDATQRLKKLMVGLRSEFGGHESAIGSTIFFIAISRMWTIVVEDDGDWWRYWRLKMTDGFQIAWRLEAILLGSQRLKGRENSGLLPRQSPKTGLKPIGSCMSTSLQILLRKVEEKLVRTMLDEGDLSWQLKVKRAVEKNERKVYEMASFIK >cds-PLY95763.1 pep primary_assembly:Lsat_Salinas_v7:3:27540938:27544386:-1 gene:gene-LSAT_3X19920 transcript:rna-gnl|WGS:NBSK|LSAT_3X19920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRGTCIVINTWVDILSASIYFHVNIFWRFMLWTVSIITLPTRALGALHREKQLQAQLSELQERLDSLAWDRRELQEHIRVAIKEHEMMELVLGELEEEHDVAIHRIQLLETELQNLKDENLRLKEVDGKSQWDTGSGYGQKHKDAKVSYTKDGISPWKLSDQYYKDVMRKAANFEDENKDDGEVPLRRSVYTDDVVGQRREVAVSQSLFSAMLSVLVGMIIWEAKDPCMPLVTALFMVVGMSLKSVVQFFSTIKNKPASDAVALLSLNWFILGTLTYPTLPRVARLLMPFFIKSSKLGSSSKIRNTVSIRHHWKIEPRLEATTLDLNSGNEMDGTTKLSSENARVQERGGGPTSGGGLTGDGRPAAVVVRQL >cds-PLY84462.1 pep primary_assembly:Lsat_Salinas_v7:7:128482720:128483732:-1 gene:gene-LSAT_7X79260 transcript:rna-gnl|WGS:NBSK|LSAT_7X79260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAREIKEPCKKEACDIQACLSKNNFLPQRCIRVIQLLQSCCEQCENKSTHCGSVSGLLKQINK >cds-PLY94189.1 pep primary_assembly:Lsat_Salinas_v7:5:322161000:322163436:-1 gene:gene-LSAT_5X178681 transcript:rna-gnl|WGS:NBSK|LSAT_5X178681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKMRGKSVPGKIILTLCIASFLAGTLFTRHTWPYAPLLNEVHFKNHEQKMSLLSSKECDRKRKLVEDNSGDIMGEVAKTHQAIQSLDKTISTLEMELEVARVSLLGPKFMSERMEASNKTSLQKAFVVIGINTAFSSKKRRDSLRETWMPTGAKLNKLEKEKGIIIRFVIGHSATPGGVLDQAIDMEEEKYQDFLRLNHVEGYHELSTKTQLYFSTAVSIWDAEFYVKVDDDVHVNLGMLVTELARYRSKPRTYIGCMKSGPVLFQKGVRYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINSGILHRYANEDVSLGSWLIGLDVQHIDDRSMCCGTPPDCEWKKQVGNVCVASFDWSCSGICKSVERMKFVHNSCGEGDGVVWNVDL >cds-PLY95283.1 pep primary_assembly:Lsat_Salinas_v7:8:112828129:112828852:-1 gene:gene-LSAT_8X74501 transcript:rna-gnl|WGS:NBSK|LSAT_8X74501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLHYVGLLFLPVVRSFHIFLFVINLQHLDFVIIDNSKVDDHIDKMYILDYFKSQNHLKTEMFSHVMPHRLEMPWRTINNHIDCGVFTMRHMETYMGGSMNEFKVGFKNESPAQDDQLAKLRTKYLYKIITREYNVHKDYVLQKVDKFHKIPARQRSKLLSITKEQIHTRLDDFS >cds-PLY81800.1 pep primary_assembly:Lsat_Salinas_v7:3:30200337:30201724:1 gene:gene-LSAT_3X23221 transcript:rna-gnl|WGS:NBSK|LSAT_3X23221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQAHNLSMTSDDRLIQLAEGGEIKQVDLVVAQDGSGDFSTVVEAIKASENRRTGTDRFIIYVKSGVYIENVIINSSMHNLTLIGEGIDATVITNNKNVNDGYETYNTATFQIWGSGFVAIGITFENTAGPEKLQAVALLSASDLSAFFRCSFKGYQDTLCLFQQRQFYRECDIYGTVDFIFGDAIAVLQDCNIYLRKPLFGQQNTITAQGRTDSSSATGFVIHNSQVTAAPGLTLADGSVKNFLGRPWRDYSRVVFVKCNLDSLIDPQGWMPYRDSSAFDKLYYAEAMNSGEGANTSGRVRWPGFHVLTGDQEAEQFSVRNFLAGDSWIPQTGIPFDSGI >cds-PLY78931.1 pep primary_assembly:Lsat_Salinas_v7:8:2630245:2634324:-1 gene:gene-LSAT_8X521 transcript:rna-gnl|WGS:NBSK|LSAT_8X521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKQIDIPNVHIWEKEQTATLFTVRRQQIKKKDEDIAVCECKLDPGKTELACGERCLNVLTNTECTPGYCPCGTYCNNQRFQKCEYAKTKLFKTEGRGWGLLADENIKAGQFIIEYCGEVISSEEAKARSQTYEAQGLRDAYIISLNGSYFIDATRKGSLGRFINHSCQPNCETRKWTVSGETRVGIFAKQDICVGTELAYDYNFEWYGGVNVRCLCGAPNCSIFLGAKSQGFQEHNHVWEDGDDRYTVDEFPLYDSAEDEAPSEKVNKITTEEPLSVVTVRRSEVNNNNNNGFGYKHKTNFSGGNIKRGGGGMVVSGDFNNGPAKRAGKRSPNRKQKASGRKPVNGKRVAQLFASKKVQDQLIKCEEIRSEASVMLDSVYDEIRPAIEERERESLDSLPTNVAEKWIEASCSKLNADFNLYFTVVKNVVCPPPPPPPPPPPPPSDPQT >cds-PLY82554.1 pep primary_assembly:Lsat_Salinas_v7:2:186186951:186189310:-1 gene:gene-LSAT_2X106620 transcript:rna-gnl|WGS:NBSK|LSAT_2X106620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMCFQSSYCADKDEVPETPTNLSTIRSFGSGSTDRTASGSDSNSQTSLEPRTKFRKIRYPSFSKRSSILREFKFSELKSATKNFAITSKLGEGGFGAVYTGTIKNPRDPTKEINVAVKQLGKRGFQGHKEWVTEVNVLGIVQHPNLVKLVGYCAEDHERGIQRLLVYEYMPNRCVEYHLSSRSGTILSWLTRLKIAQDTARGLAYLHEGMDFQIIFRDFKSSNILVDDQWNAKLSDFGMARLGPKEGYSHVTTAVVGTMGYSAPEYIQTGRLTSKNDVWSYGVFLYELITGRRPMDRNRPAGEQKLLEWVRPFLDDKNFPLIVDSRLDGKYSLRSALKLSLIANKCLSRDPKSRPKMSEVLEMINQLVVVQSESVPRSPVSVPYGGEKTFEDMGNTTSIDMKLGDSAWFSRIWTWKPLISC >cds-PLY80145.1 pep primary_assembly:Lsat_Salinas_v7:3:40071105:40072659:-1 gene:gene-LSAT_3X31140 transcript:rna-gnl|WGS:NBSK|LSAT_3X31140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSGNLYPVEDNNNISGRKRKGRDLTGVGKVNCRKRGKPSSGGVDNFDRLPDELVLSILTFVGSRADCPADFLAVLATCKRFRGLGYDSSVLSKASAETFGVTAKNWSESAHRFLKRCSDAGNVEACYTLGMIRFYCFQNWQNGASLMAKAAMSSHAPALYSLAVIHFNGSGGSKSKKDLGGGVSLCARAAFLGHIDALRELGHCLQDGYGVTQNITEGRRFLVQANARELAVARSTIPSTLIPGDWLKLNPVLIRRGNTLIPGCPLLSDFGCNVIPEYHPANRFLASWFANRVPSPELLICSHAGCGRPETRMQEFRRCAACGVVNYCSRACQAQDWKMRHHKECRQLMRFHNVIAGGGNVNPNRVENNVGQR >cds-PLY63588.1 pep primary_assembly:Lsat_Salinas_v7:1:213763793:213767433:-1 gene:gene-LSAT_1X130341 transcript:rna-gnl|WGS:NBSK|LSAT_1X130341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAMNPPIQDQLQTLTISPLTVTLKRLPHITDDLPNLQTQTNPLQSNSFYHPCDDGFYISHSDVILRQIVFDFSGNFPSSSPHLAYHRAGPRKEIFFDPKQVCAAIVTCGGLCPGLNTVIRELVVGLWELYGVREIFGIKAGYRGFYSGDLIRLDPKLVHNWHKRGGTVLETSRGGFDLQKIVDAIQNRRFNQVYIIGGDGTLRGAVKIFNEIQRRKLNVAVVGIPKTVDNDVGIIDRSFGFQTAVEMAQEAISAAHVEAESAPNGIGLVKLMGRHSGHIALDATLSSCDVDCCLIPENKFYLEGKGGLFEFLGIRLKEHGHAVVVVAEGAGQDIIPRSDSEKQKTDESGNPVFLDVGVWLNSELKRWWERDHKGELFTVKYIDPTYMIRAVTANATDNLYCTLLAHSAIHGIMAGYTGFVTGPINGNYAYIPMEDVAQAKSPVGTKDHKWAWVRSITAQPDFQFTT >cds-PLY86647.1 pep primary_assembly:Lsat_Salinas_v7:4:279759262:279761766:1 gene:gene-LSAT_4X142441 transcript:rna-gnl|WGS:NBSK|LSAT_4X142441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWSSSSFPPSSPLTLCKPRSNSSLSLALPTKTPNSHVDVGDGSSSEATPSIPPFHVKSITSTSNPFVKHCVKLRNSSSYRHSHSSVLLVGTTPLREIYNFQESMQEKPTTIDCLLLHEKASVPEELVESGVHVVRVNSIVMKKLSGLQSTESIDVVSLVKIPSTFHSLENNQHEDFSKWFPSAYRILVLDGIQDPGNLGTLLRSAVAFGWDGAFLLPGCCDPFNEKAVRASRGASFQLPLVSGTWSHLQSLVNGFQMKILAGSPGSKTESKPVSCLSHELARILTNTKVCLVLGSEGSGLSKEAHEACELVNIRMAGAFESLNVSVAGGIFLFMLQPQNKKFD >cds-PLY80772.1 pep primary_assembly:Lsat_Salinas_v7:8:137390617:137390847:-1 gene:gene-LSAT_8X95160 transcript:rna-gnl|WGS:NBSK|LSAT_8X95160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLAFMFHITITTTLTSKSPTRNPQFDHILSATNVSAPPDPSSYCGNWDIELCCTKYMNFLANIPMNVYDAFVWHC >cds-PLY92867.1 pep primary_assembly:Lsat_Salinas_v7:8:40857063:40860198:-1 gene:gene-LSAT_8X31840 transcript:rna-gnl|WGS:NBSK|LSAT_8X31840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit A, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G25660) UniProtKB/Swiss-Prot;Acc:Q9LI77] MLSSVQNPRILLLHCRWSKPLHHHHHRHYPKAIHAAAQAQAVSTSSPTSQIQIIQKSIHSREKTARQFAEEFINRLRLTEPHVKSFLHVSETVLNEADEIDRKIDRNEDVGPLAGVLVAVKDNICTADMPSTAGSKILENYRPPFDATAVRKLKESGAIIVGKTNLDEFGMGSTTEGSAYQVTANPWNLECVPGGSSGGSAAAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLVAYASSLDVIGCFGSSVADAGILLQSVCGHDKLDATSSKREVIDFTSQFVAKDYLESQPLKGLRVGVIRETLGDGVDQEVVLSIRGAISHMEELGCIVTEVSLPSFSLGLPAYYVLASSESSSNLSRYDGIRYGNQIVSDELSSLYGGSRANGLGPEVKRRILMGTYALSAGYYDAYYKRAQQVRTVVQKSFKTALDEHDILISPAAPSAAYKIGEKKNDPLAMYAGDIMTVNVNLAGLPALVLPCGFVQNGLPVGVQMIGAAFDEEKLLRVGHIFEQTLQGCSFIPPLIADEFKF >cds-PLY86399.1 pep primary_assembly:Lsat_Salinas_v7:7:102948924:102949897:-1 gene:gene-LSAT_7X68300 transcript:rna-gnl|WGS:NBSK|LSAT_7X68300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSIVAPNKLQITVRFLTKRWMEMKVNKIIFASIQLYITTVSGSIEDWTVRKKPTQVFDGMLL >cds-PLY89855.1 pep primary_assembly:Lsat_Salinas_v7:4:324452153:324455038:-1 gene:gene-LSAT_4X160921 transcript:rna-gnl|WGS:NBSK|LSAT_4X160921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPPLQFTASSINTIKLPFYQSRFPVVFLRCHASAGVLPLQHNNHIHKHNKHRYSHQQTLVHPPISSLSSSYTTKPASSLQEKLAQKIGKASRHPGASSKARVYTDVNVVRPKEYWDYESLAVQWGEQDDYEVVRKVGRGKYSEVFEGLHSVNDEKCIIKILKPVKKKKIKREIKILQNLCGGPNIIKLLDIVRDQQSKTPSLVFEYVNNTDFKVLYPTLSDFDIRYYIFELLKALEYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGTEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNTYLQKYRIELDPNLSELVGRHNRKAWSKFINADNQHLAVPEAIDFLDKLLRYDHQERPTAKEAMAHPYFYPVRNAESSRTTRA >cds-PLY78175.1 pep primary_assembly:Lsat_Salinas_v7:5:123863883:123872750:1 gene:gene-LSAT_5X54180 transcript:rna-gnl|WGS:NBSK|LSAT_5X54180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDLKRFEHLKVQLEAIRSATNNFGENHLIGKGGFGKVYKGELFHCEGHTTVALKCLDRAFGQGDREFWNEILMLSLYKHENIVPLLGFCDDGGEKILVYKYASRRGLDLYLNSNNLTWVRRLEICIGAARGLTYLHNPGETKQRVLHRDIKSSNILLDENWNAMIADFGLSKFCPADLQYSFIFSNPVGTFGYWDPLYTETGLLTKESDVYSFGVVLFEVLCGRLCTGNHDNSQSFTELVRKHYKEHNLNGIIFGNIKDKINRSSLKVFSVIAYQCLKRDRNKRPLMNEILAALETALEYQQNDLGNTGAMDKLKQLQESVPLESRAKGDPTTRPRTATERMKDPKDKCDFWEWVDEDEKIITKNKNKKDEEHDFNTEVKIAILEHDFIEYKVKTDKECKSFRKELDKMKCSVLMFVVLFVVKYMM >cds-PLY74763.1 pep primary_assembly:Lsat_Salinas_v7:6:121242444:121242909:-1 gene:gene-LSAT_6X73581 transcript:rna-gnl|WGS:NBSK|LSAT_6X73581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLFKEQRLVIPHWRTQRPYIMAQKDFRVVLDQELGLILKLRDMMIVWIHEGF >cds-PLY98492.1 pep primary_assembly:Lsat_Salinas_v7:4:361621392:361625383:1 gene:gene-LSAT_4X179300 transcript:rna-gnl|WGS:NBSK|LSAT_4X179300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRSSHGKRSHSQSDYSDHGRSKRHNNSDDRGGQNSIGSDDTVYRYLCPSKRIGSIMGRGGDIIKQLRSESKSKIRIGETVSGCDERVVTIHSTSDETNDFDDPDERVCPAMDALFKVYDRVVADDLADDMDPEETPQVTVRLLVPSDQIGCVIGKGGQIVQTIRTDTGAQIRIMKDNHLPACALSSDELVQISGEASIVRKALFQIATRLHDNPSRSQHLLSSSTPNANNAYHSGGSLMGGTPGGAPIMGLAPLMGAYGGYKGESGGEWARGFYPGPREDSSPREFHLRLICPTGNIGGVIGKGGAIINQIRQETGAAIKVDNSATEADDCIISISAKEAFEDAFSPAIEAALRLQPRCSERVERDSGLISFTTRLLVPTSRIGCLIGKGGAIITEIRRITKANIRILSKENLPKVADRDDEMVQISADLDLAKDALLQVTSRLRANLFEREGALSTFVPVLPYLPVAPDVADVGKYESRDSSKGHHGRGHSYSSGGYNNNSNNNNNNHNNASTDLPPGDAYGSYGGSLQGRSGGDSYGAYGSYSSGRSGSSGLSRRDNNPSSRRRDYGY >cds-PLY81253.1 pep primary_assembly:Lsat_Salinas_v7:4:305533087:305533371:-1 gene:gene-LSAT_4X154380 transcript:rna-gnl|WGS:NBSK|LSAT_4X154380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKGSLDKFLRGDICRLEWNTLFQIAKGIARGLEYLHQHCNTRIIHFDIKPHNILLDEDFVPKISDFGLAKLCKRKESLVSVTGARGTAGYMAP >cds-PLY94104.1 pep primary_assembly:Lsat_Salinas_v7:8:29855120:29857178:1 gene:gene-LSAT_8X24200 transcript:rna-gnl|WGS:NBSK|LSAT_8X24200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSIIAFIIFFLFVYVESTDHDGVVINVTKHFFFPDFNSPRTVHDLMLLGSSVVDRNGRIQIPDTTQKDGVDDLKHLVGRAVYAYPVRVFDPITRTPASFQTTFSFQIETTPTNLSSGGGDGGDDGGSGFTFMITPDEFTVGRPGPWLGMLNDACDEQYKAVGIEFDTIKNVQFGDPNDNHLGINLGSIVSTITVNVSEFGINLKDGEIHRAWVHYHGQNRFLDIRLGSDSFEYPSKPVFSGRLDISDFLKEYMFIGFSASTGNFTQIHNVLSWNFTSSSQASLRVPSPETCESKITVDNGNGGGRNNLNGFFIFMTVVFLVVVALVSLYYNRKRKSGELAMLLAVKERPRPPNKPRRFTIAEVSSATRCFDELHKVASDERSDTYRGTLLNGCHVAVKRFSVEYFKSHGINRRRVGKEIKAMSKLRHPNLVAIRGWCFDHQETMVVYDCVQNGTFDDWLYGIGILPWSRRLKVVRDVAQALSYLHSQKLAHKNVNTNSVFIDVSFRALLGDFGFVMSGTESSRFEETVSLPTDVFAFGVFMLEVVAGRKRYISESDMLQSESKYDRLDLDLLEWAWTMHEMNEKEKVVDVKMSSVLNMDQAIRVVDIGLLCTLKESRGRPSMAEVVEYVCFETEVPKLPPNRPMVLFPYTSTTGLCTNSYMCASFK >cds-PLY66363.1 pep primary_assembly:Lsat_Salinas_v7:5:290342646:290342933:-1 gene:gene-LSAT_5X156521 transcript:rna-gnl|WGS:NBSK|LSAT_5X156521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSENLPLTTSLKNTSRAVIIGVTTDVDLEHHRLRLAPSPTMPMTPCCSFSDNHWNNRAVIGPPLECHRKPSQIYKEEDEKVVVVCISSLAAT >cds-PLY82563.1 pep primary_assembly:Lsat_Salinas_v7:2:187511043:187512973:1 gene:gene-LSAT_2X109360 transcript:rna-gnl|WGS:NBSK|LSAT_2X109360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLESNIFGPVSSKLSARFVLSTLLMAAMHEDDTPNLSEVAKILEKTLDINDGPLKVRKLVVKICDVVTRRAARLAAAGIVGILKEIGRDGTAGITSRRVKSGKSGIFGRLVSNDFAPKWDIPNLDIPTSEPELNLPIALREPFM >cds-PLY93735.1 pep primary_assembly:Lsat_Salinas_v7:6:146572922:146574585:-1 gene:gene-LSAT_6X88940 transcript:rna-gnl|WGS:NBSK|LSAT_6X88940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSTLDYINQMFPIEASLSGVEPLMQKVHNEIRVVDTEILAAVRQQSNSGTKAKEDLAAATRVVQELMYKVREIKTKAEQSETMVQEICRDIKKLDFAKKHITTKITALHRLNMLEASAQLEAISQLCSHFDGYRDNPKITELRDKFKNIKQILKSHVYSDFSRCFLSWQV >cds-PLY86847.1 pep primary_assembly:Lsat_Salinas_v7:1:16533496:16534382:-1 gene:gene-LSAT_1X14440 transcript:rna-gnl|WGS:NBSK|LSAT_1X14440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATGAPDHTAKVEHVARKPRGRPAGSKNKPKPPIIITRDSANTLRAHAMEVTTGCDVGESLATFARRKQCGICVLSAAGHVTNVTLRQPATSSGTGPIVTLHGHFEILSLVGSVLPPPAPPGVSGLDIYLAGPQGQIVGGAITGPLIASGPVIIMAATFMNATFDRLPIEKDEPPPAISPIAARNQHMISVSDICGIPQNLLSNTNEIYTWATTRPLSKT >cds-PLY86810.1 pep primary_assembly:Lsat_Salinas_v7:5:16931017:16932039:-1 gene:gene-LSAT_5X7741 transcript:rna-gnl|WGS:NBSK|LSAT_5X7741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSRVRNYLEDQLLGFALIPLSEVLIKNGKLENEFTLSSTDLFHSPSGFVHLSLSYTGASPDVIAIPPPLPAKGAADDSELTEFDKIEFPDPKIANENHMMVSEYFGLSSESLVSSDTDDQFDAPIKTQKPDSPPSSVSTNGSHCASTHVLSPESSDDSSRDSKCAKQEYDSHLKEKPAAGGDGGGGADSDSASGGDPIKKPVMAVNFELEQKVVQQDFVDLYMKSMQQFTESLAKMKLPLDVEKERTDSGNSGSEEKIQTPNSTQSRVFYGSRAFF >cds-PLY90324.1 pep primary_assembly:Lsat_Salinas_v7:2:199045729:199046334:-1 gene:gene-LSAT_2X120141 transcript:rna-gnl|WGS:NBSK|LSAT_2X120141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYNRGRRRMGCRQWGTGKTSLVILVLQQGKETNRMTVVERVVKGYRDSTTTILGVFDSGCSVEIGREGWDCLI >cds-PLY80968.1 pep primary_assembly:Lsat_Salinas_v7:9:175527570:175531342:1 gene:gene-LSAT_9X108160 transcript:rna-gnl|WGS:NBSK|LSAT_9X108160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGSSGNDEKSDRREHERSDRRERRSDFENSEDERRTRISSLKKKALNASSKFKHSLKKKSSRRKSDCRVSSVSIEDIRDAEELRAVDAFRQSLVLDELLPQKLDDYHMMLRFLKARKFDIEKAKHMWADMIQWRKEFGTDTIIEEFEFKELDEVMKYYPHGNHGVDKEGRPVYIERLGKVDPNKLMQVTSMDRYIKYHVREFEKSFAFKFPACSIAAKRHIDSSTTILDVQGVGLKNFTKSARELIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTVKSFLDPKTTSKIHVLGCKYQNKLLEIIDSSELPEFLGGTCTCADQGGCLLSDKGPWKNPEIMKLALNSEARRARQVVKVLNSEGKIVAYAKPQLPMLRSSDTSTAESGSEADDIASPKAIMNYSHLRLTPVREESKVIGGTSYAGQFSGYDEYVPMVDKAVDSVLKKQASMPKQSVTRGVGLEFGAERAPKSERLDGRVLAFVMALLMSLFTVFHTTVNRVTKKVSSQNNTEEELALPPIKEEAVPSVLEAEVVSSVMKRLGELEDKVDTLNAKPSEMPYEKEELLNAAVCRVDALEAELIATKKALHEALMRQEELLAYIDGQEEKKFRNVCAEEEDVLLVKKLLLHRG >cds-PLY90019.1 pep primary_assembly:Lsat_Salinas_v7:5:186327398:186328324:1 gene:gene-LSAT_5X82960 transcript:rna-gnl|WGS:NBSK|LSAT_5X82960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSETNVGSKDLNLVDNDQKVEVNNDSSSQEIPKDLEKAEDNPMPTQAQEEAVIKKKYGGLLPRKTPLISKDHDRAFFDSADWALGKPTPHQQVRSRRSSYAPADIIPSVV >cds-PLY95360.1 pep primary_assembly:Lsat_Salinas_v7:5:267813130:267821984:-1 gene:gene-LSAT_5X138780 transcript:rna-gnl|WGS:NBSK|LSAT_5X138780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSMKTGGSELDSFADQYHQAPPSGMCTLNYNLINSKACPSLDGSGFLTDPIVYLTSLFFCISIGCRYTHKMPSKSAMVGVLLMDGSMTDPPLVDAKENKLLKGTFVVGIMSTLVIYGVLQGFMVPSATATPSVVPETPSVAPKTYLVVPETSMMEASVDVLG >cds-PLY85537.1 pep primary_assembly:Lsat_Salinas_v7:2:196875238:196878535:-1 gene:gene-LSAT_2X118081 transcript:rna-gnl|WGS:NBSK|LSAT_2X118081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVEGDDDMKMEMKVDEKSVYMWGYLPGALPQRSPILSPVEVRLPQSIDAGQLWSDVCGGGCGFAMAISDTGKLITWGSTDDLGQSYVTSGKHGETPEPFPLPNEISITRAAAGWAHCVCVTGVGEVYTWGWKECVPSGKVIGDPNSGQSQEKDIFERQNPFLTEQGTILSPRSGGSRSSGVDGKAVGDESTKRRRVSSNKQAVESSSSGDEPLSALPCLVALSPGVRIATVAAGGRHTLALSDTGQVWGWGYGGEGQLGLGSRIRMVSSPHPIPCIVSSSVGKDRREGQGYRAPGSYIKGIACGGRHSAVVTDAGALMTFGWGLYGQCGKGSTDDELTPSCVSSLLGISIDSVAAGLWHTICISSDGDVYAFGGNQFGQLGTGTDQAETLPRLLDAPSLENEHARVVSCGARHSAVVTDGKVFCWGWNKYGQLGVGDVIDRNIPSEVGLQEGCVPRVVACGWWHTLLQAQSVT >cds-PLY72220.1 pep primary_assembly:Lsat_Salinas_v7:7:56718476:56719314:1 gene:gene-LSAT_7X40980 transcript:rna-gnl|WGS:NBSK|LSAT_7X40980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSSYPIFDKDSQLLVPSITFANMGISNGSKPTRDDLPHETPTHDHLIFALAGVYLASSCIGNGKDQKSRIRVNYASKTFVIYSLTDSEITPEALKAIETFEQPFDNFSHHLAELSTDLKKQLCNHIMHAPRHNCSHCKENIEETPFMED >cds-PLY87296.1 pep primary_assembly:Lsat_Salinas_v7:4:331439799:331441276:1 gene:gene-LSAT_4X164440 transcript:rna-gnl|WGS:NBSK|LSAT_4X164440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 15 [Source:Projected from Arabidopsis thaliana (AT2G23320) UniProtKB/Swiss-Prot;Acc:O22176] MAAVDLIMGFRNTTSDETNAVQEAASGLESVQKLIRLLSQSQSQQQNQSPADYKAVADVAVTKFKRVISLLGRPSRELTGHARFRRAPVNHGGYLNHNQTIMKDHDQDDVVSDEGENKVYCPTPIQQVPFVPPPPPAAPATGNQPAVFQRKDSLPKTISFSYSPAVSRASSFMSSLTGDSDGKQLSAGGKPPLCSSSCLKRKCSSSENGASGKCSGGSTGRCHCSKRRKLRMKRVIRVKAISMKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPSMLIVTYEGDHNHALSVAETSGLILESS >cds-PLY64375.1 pep primary_assembly:Lsat_Salinas_v7:4:22895233:22899409:1 gene:gene-LSAT_4X16180 transcript:rna-gnl|WGS:NBSK|LSAT_4X16180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKTEGATSSSIPLITNRRDPYEVLSVSRDASDQEIKSAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDNAGFEAIDAEGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPIGTSVSGKVEKQCAHFFGVTISEEQAQSGIVVRVSSAAQSKFKLLYFEQDNGGGYSLALQEDSDKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSELKSGTHIFAVYGDNFFKTASYTIEAICATTYQDTTVKLKDIEQQILRKRTELRHFETEYRQALQRYQEVTNRYTQEKQNVDELLKQRDSIQSSFTVGRSVVLGGGSSQFSSNGTGGSNSKVSGGEAETSSSPIGEEDGKAYNNNNNNKKKWFNLNLKTSSSSDKKTTG >cds-PLY65855.1 pep primary_assembly:Lsat_Salinas_v7:4:85768828:85775511:1 gene:gene-LSAT_4X56881 transcript:rna-gnl|WGS:NBSK|LSAT_4X56881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYPIILGIDGNLGLNSWRAKMTSLQEGRLPSAFPSHLLQQNMDPNQKRAKVVDRVDSVDTGVASFESTRMCPFPDEVLERVLSLIDSNKDRSSVSLVCKDWYNAERWSRRHVFIGNCYSVSPEIVAARFPRIQSVTLKGKPRFSDFNLVPEDWGADIQPWLSVFATAYPFLEELRLKRMAVSDESLEFLATNFQGFKALSLLSCDGFSTDGLKAIATHCKNITELDIQENGIDDLGGHWLSCFPESLTSLEVLNFASLNSEVSFEALEKLISRSKSLRVLKVNRNISLDQLQKLLLQAPQLMELGTGTFMQELVSGPLTDLKNTFSNCKKLITLSGLWDATSLYLPVIYPACVNLTFLNLSYATLRSGELSNLLSHCKSLRRLWLLDTVGDKGLEAVGSSCPLLEELRVFPADPFDQEIFLGVTESGFVSVSQGCPKLRYVLYFCRQMTNAAVATIARNCPGFTHFRLCIMNPGQPDYLTKEPMDEAFGSIVKACPNLQRLAVSGQLTDRTFEYIGKYSKKLETLSVAFAGSSDLGMEYVLGGCPKLRKLEIRDCPFGNGALLSGLTKYESMRSLWMSACNVTMNGCRVLAKERPRLNVEVMKEEGGDDGEAHKVYVYRTVAGPRKDAPPFVLTL >cds-PLY88523.1 pep primary_assembly:Lsat_Salinas_v7:2:155062685:155063665:1 gene:gene-LSAT_2X79481 transcript:rna-gnl|WGS:NBSK|LSAT_2X79481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASISSSAIATVNRTTSTQASLAAPFTGLKSNVAFPVTKKANNDFSSLPSNGGRVQCMKVWPPIGLKKYETLSYLPPLSDEALSKEIDYLIRNKWIPCLEFELEHGFVYREHHHSPGYYDGRYWTMWKLPMFGCTDSAQVMKEVGECKKEYPNAFIRVIGFDNIRQVQCISFIVAKPPGVL >cds-PLY96885.1 pep primary_assembly:Lsat_Salinas_v7:2:108063723:108065519:-1 gene:gene-LSAT_2X49081 transcript:rna-gnl|WGS:NBSK|LSAT_2X49081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTQANHPHFVLFPFMSKGHTIPLLHLAQLFLRHGVSLTLFTTKANRPFITQFLHHHAADSISIIDLPFPSDVEGIPQGIESTDKLPSMSVFWQFVTATKHMKPHFEQALNNLSEVITCIVSDGFLSWTLESANKLGIPRLSFFGMSGYSTAVALEVGANRLLSGPESDDDLITVTRFPWIKVTRNDFDKPFNQPDPTGPQQDLLMEVVIAMANSYGLIMNSFYELEPLFIDYLNRKSNLKAWCVGPLCLAESGLTVLDHHDQNPKWVEWLDEKLSKGRYVLYIAFGTQVEISTRQLEAISKGLEESEVNFMWVVRKCESEVMSHVVDELQERVGERGIIVREWVDQREILKHESVKGFLSHCGLNSVMESICSGVPILAWPMMADQHLNARMVVEEIKIGLRVETCDGSVRGFVKSEGLKKMVKELMEGERGKEVRKKVMEVGKAAKEAMEKDGSSWRTMDELIDDVQTFRNLNRVI >cds-PLY70746.1 pep primary_assembly:Lsat_Salinas_v7:8:167484411:167488507:-1 gene:gene-LSAT_0X13620 transcript:rna-gnl|WGS:NBSK|LSAT_0X13620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYTHASLYERMRLYPPVLVDTKQASADDVLPDGTVVKKGLARSSSGGILSSITYEANEIASFVLASITNRSKKNKGNFNKVNLDKDKGIGESSTESTPDRNNDVQIYELSSTEGPKFGLYLFRTVPHFIILVCGGDGTAGWVLDAIEKQNDLARVLNWGGGLGSVETQGGLCMMLQHMEHAAAVDGIMDTTGQNEHGKSSTHLISFRGVGYHMVNCGLIMLMMLSEYIDMNAVLPALSSEVYFFVVMGLKAIEDGMYLLSAGKGSMTLQGHYEYVNWCCYNAHDQDFRVVFDQGLGLILKLRDMMIGWIHDRVSELL >cds-PLY93567.1 pep primary_assembly:Lsat_Salinas_v7:2:173546702:173546938:1 gene:gene-LSAT_2X95701 transcript:rna-gnl|WGS:NBSK|LSAT_2X95701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQANGCNPDIKTWTILIKGHCSANDVEKALTIFAKIIEKGYEVDANLLDVLVNGFLSQNNVIEAHQVLVEMTKTRQVG >cds-PLY83563.1 pep primary_assembly:Lsat_Salinas_v7:1:65453047:65453310:-1 gene:gene-LSAT_1X55240 transcript:rna-gnl|WGS:NBSK|LSAT_1X55240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALDGNVAAPQRYNGGRRVRQPPERKPEEEEGRGTSDNIINTTLHRFRQPRRSSDARDGSDKEAAEAFWRRKDGVDGPLNGRNNGR >cds-PLY81576.1 pep primary_assembly:Lsat_Salinas_v7:1:134209802:134210504:-1 gene:gene-LSAT_1X100881 transcript:rna-gnl|WGS:NBSK|LSAT_1X100881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHIPSSHEVATEKGFSGDKETIKDGRSLETLDIQFCRLSDDMVLKHLKPLLNLRVLDISYCRKFTAKSLVAFGNQCTSLIHLKRNNYPTKEFPAMDDSEAKAIADTMPKLQHLKLWFGRFGDSGLCEILSKCKYLKHLDIRACWNVKLEGNLKKMCQKLVCFRIHDCKFIESDLYDMIFPNGLGYKDPFTQLEARSNQVY >cds-PLY91723.1 pep primary_assembly:Lsat_Salinas_v7:9:16799735:16802235:1 gene:gene-LSAT_9X17201 transcript:rna-gnl|WGS:NBSK|LSAT_9X17201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELAEGVDKMMQGYRVFVAQKSRSYFKRFQVKFKRRREGKTDYRARIRLINQDKNKYNTPKYRYVVRFTNKDIVAQIVSASIAGDMVLASAYAHELPSYGLKVGLTNYAAAYCTGLLLARRVLKTLEMDEEYEGNVEATGEDYSVEPAESRRPFRALLDVGLIRTTTGNRVFGALKGALDGGIDIPHSEKRFAGFNKDGKQLDADVHRKYIYGGHVADYMRTLTEDEPEKYQTHFSEYIKAGVEADSIEEVYKKVHAAIRADPTPKKSDKQPAKEHKRYNLKKLTYDERKQKLIERLNALNAAAGADDEDDDDEDDE >cds-PLY69653.1 pep primary_assembly:Lsat_Salinas_v7:MU044352.1:39387:42142:-1 gene:gene-LSAT_0X24261 transcript:rna-gnl|WGS:NBSK|LSAT_0X24261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDHHQHHHHQHRPNRLSLPPRTAIPITSSRHYPPFTPTPTPSKNRHRLPSFTATKNKKISPSSLFLLLFSLRSLYSLLPFLQSSPSSFSIFPFAFLVSLLSFLLSLSFSLFKQKSIFTFHQSITKSQQRILFAKSILLAIVFLIRFQALRYCGTAAMILAETSGYVASHFVTGQNRSFTHLDRVGSNKVRGFFALFIGLLLLSISWDRIECFPFSFVNLGKLGFSSFLIANREKCVRVWPMLLPFLSGFLSGYEQGSINWGTIRELGRKEVRLISLLYTTAILFVPAAISMLVFEAEGDSVSIRTLGWPLTNTVVFGVLLSENYTDEKLITFKDFRKEFLVTFVCTIILELLYYPELSLWGLLICGILLGIGVMELDPARLISLELGLESSDSFLVSVTKSIRHIMSERKSRKIALFLLINSGYMVVEFVAGFMSNSLGLISDACHMLFDCAALAIGLYASYIARLPANNQYNFGRGRFEVLSGYMNAVFLVLVGALIVLESLERILEPQEISTSSLLMVSIGGLAVNVVGLIFFHDEHHHAHGGGASCSHSHSHSHSHSHHHDHAHVGHDHETDGKHLEDHKHKHDHHHHEPHHDHDHHHDHHHEHHDHDHHEHHDHDHVVKEDKQKQHHHHHHIDHNMEGIFLHVLADTLGSVGVVISTLLIQYKGWLIADPACSIFISILIVSSVIPLLRNSTEVLLQRVPRSHENELKTAITSVMKIKGISGIQNFHVWSLANNDVVGTLNVYISEGIDQVSMKEQVLDILHDAGIKDLTLQVEYVSS >cds-PLY79528.1 pep primary_assembly:Lsat_Salinas_v7:1:33626972:33627775:1 gene:gene-LSAT_1X28901 transcript:rna-gnl|WGS:NBSK|LSAT_1X28901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDDKMELQLLPTPHTRATLSRVPSWSSNSIRFQSNDMRCPSDVAGPSLDLQLSNSFQPAESETVGHTGSTEAPKWQADQIQSASTTEKAHIERIREMTQREMELAQAELLYARNMWERTQKEVERVEKLKAKATRYIDSTCKEITCQACRQIFRP >cds-PLY72393.1 pep primary_assembly:Lsat_Salinas_v7:3:188545839:188546165:-1 gene:gene-LSAT_3X112521 transcript:rna-gnl|WGS:NBSK|LSAT_3X112521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQEGENSAADPRIWNRNRNDMTFFGDYDEDDDEDDDEEEEDDRRMDLLIRFVENVFKKISKRARKAIRSVLPINIPTKLVLICISQVINLIQFAGFSWFLLQLSSNY >cds-PLY85853.1 pep primary_assembly:Lsat_Salinas_v7:4:120445667:120448612:1 gene:gene-LSAT_4X75740 transcript:rna-gnl|WGS:NBSK|LSAT_4X75740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTITITTTTTNTLIFLFVFLQLSLTKASSNDSFWLLKIQSQFIDPMGVFNNWNSSLNICTWNGVQCSQDQTRVVGINLSNSGLSGTISPDFSRLFSLQKLDLSVNSLTGLIPRELSELQELQELLLFSNYLSGYIPNEFNLLKKLQVLRIGDNLLTGEIIESIGELSELRVLGLAYCQFNGSIPSQIGNLKHLKSLQLQTNNLGGKIPEEIDGCEELEDFAASNNKLEGGITSSIGQLRSLKILNLANNTLSGSIPDLSNLSTLKYLNFHGNRLTGEIPPEILWLPELQNLDLSTNNLSGNITRFDFENGNLEALVLSSNSFTGGFPEKLCSGESNLRHVFISGNRLSGDFPFPVLNCSSLEVLDISGNNFGNEIPSGVENLKNLTDLLLNNNSFSGSLPHEIGNLSNLVNLYLFGNMITGEIPIEIGKLQKLSVLYLYDNQMSGNIPIELTNCSMLSEIDFFGNHFTGQIPGTIGKLKNLVFLQLRQNDLSGRVPLSLGYCRKLQTLSLGDNKFSGSLSNTFGYLSELSLLSLYNNSFEGPLPETISLLKNLTIVNISHNRFSGSISPLVASDLLLLFDLTNNSFSGFIPSELARSKNLTRIRLAHNLLNGTIPMEFGQLSDLNFLDLSFNSFTGEIPPELSNCKKISHFLVSNNQLTGLVPPWLGMFEELGELDLSSNDFNGTLPKGVGMCSRLLKLSVDQNRLSGGIPEEIGNLTGLNVLKLQRNNLSGEIPRTLGECKKLFELRLSENSFSGSIPPELGTLTELQVILDLSNNLLTGEIPVSLASLVKLERLNLSFNKLHGQVPESLGRLTSLHALNLSNNHLQGDIPSTFSRFPSSSFMANDNLCGPPLISCAESKRQQKKQLSNTAAAGIVVAIVFTATLICLYLLYLMLRIWCKWKQASISNADNRGGVIDGMPVKEEKWVYEDRPIRSNECWDLNKMTLLPCSHSKKDVSMASCIFQDSMSRENSMRSLV >cds-PLY94554.1 pep primary_assembly:Lsat_Salinas_v7:2:156592830:156594349:1 gene:gene-LSAT_2X81981 transcript:rna-gnl|WGS:NBSK|LSAT_2X81981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSEEPDSTASMPQPYRLKQTLTGHKRSISSVKFSDDGKLIATSSADKTARTWSTSDGSPLQEFIGHEQGISDVAFSSDARYLATASDDKTVRLWDVSTGSLVKTLTGHTNYVFCVNYNPQSNMIVSGSFDETVRVWDVKSGKCLKVLPAHSDPVTAVNFNRDGTLIVSSSYDGLCRIWDASTGHCMKTLIDDENPPVSFVKFSPNGKFILVGTLDSTLRLWNLSTGKFLKTYNGHTNSKYCISSAFSITNGKYIVSGSEDNCVYLWELQTRKIVQKLEGHTDAVITVACHPTENMIASGALENDKTVKIWIQEVNKIEDQTDAIETIKQ >cds-PLY73003.1 pep primary_assembly:Lsat_Salinas_v7:9:37291543:37292393:-1 gene:gene-LSAT_9X35220 transcript:rna-gnl|WGS:NBSK|LSAT_9X35220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPKSRRTVVTSESEFENFFQGWLVRQEHYLDELRSNLRTSDHSSDDEHLRDLIARVLSHYQQYYEEKSRISNHDVSLVFSPPWFSSFERSFFWIAGFKPVLAFRIVGSTVGDMSPAQVERMERLKAETKADERELDNELARIQESVAAPPIVEIARRGGNPLVDGEYDEMESVIETLRAQMEVVVANADMLRTRTAEKVMEILTPVQNLRFLAAVTELQLKIRMCGWQLDAQRGR >cds-PLY78198.1 pep primary_assembly:Lsat_Salinas_v7:4:252181098:252188003:-1 gene:gene-LSAT_4X131841 transcript:rna-gnl|WGS:NBSK|LSAT_4X131841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGQMGEEEIRDDELNNQKETVELASESTATEDINMNQAEDSNAEEEDDDDDEDEEEEEEEEEEDSEEPYTLRFEGDIDPFALTEVDAFGVEPYECLERMENEYEALAAKKRKANLSYNHEGMPPEKKLRQEDFPGANFEELLAEMTYGMRRKRKIKKRGRRKGSKRKISPEITRQLGDATLLYAHGRYEEAIPILREIIRVSPNLPDSYHTLGLIYNAIGDKMRSVNFYMIAVHLTPKDASLWKLLVTWSMELGNREQAWYCLDRAIRADPEDVSLRYHRASVHVELGNYQKAAESYEQIWQLRPKNVEALKTAAMMYQRCSQHERIVSILEEYLRKNPKDADLSVVHLLASMHMLGNAHEKALHHIEYAQHNYSAGKDLPVELLVQAGICHVHLGNMEKAQAFFGVFTHETVNDYSHLIIEAADSLMIAKHHESALKYYLMLEGNDGVNKGLLYFNIGRCYSFLSSTTQAIDYFYTALHEREDNIDARLELVSVLLLVDKEDEAISVLSPPSDSESRVDGTSDGVMNPWWTDKNIKLKLCYIYKSKGLTEAFVDAIFPLVRETLFLETIQRKVRPKKKLPKSELHKRVEVLDEYQPDNLFQGFRPIASSSDLSKASRAKKLLRKKAREREERRAAALAAGVEWHSDESDDDSPVYREPPLPNLLKDEEHHMLIVDLCRALVSVQRYWEALEIITSTLKLAQNNLTTDKQEELRSLGAQIAYNIDGPTNGWDCARYIITQNPYSFAAWNIYYKIMLRSRLDKHNKFLLEKLAKHEDCVPALLIKGHVFTMHSQHQVAARYYLKAYRLMPENALLNLCAGTALINLALGLRLHNKHQCVLQGLAFLYNNLRLSDNSQEALYNLARAYHHVGLISLAATCYEKVLAIHQKDHPIPKLPNDGLQPTHDLKPGYCDLKREAAYNLHLIYKNSGAMDLARQLLKDHCTL >cds-PLY84241.1 pep primary_assembly:Lsat_Salinas_v7:7:79152191:79154905:1 gene:gene-LSAT_7X55340 transcript:rna-gnl|WGS:NBSK|LSAT_7X55340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP19-4 [Source:Projected from Arabidopsis thaliana (AT2G29960) UniProtKB/Swiss-Prot;Acc:Q8LDP4] MGKIGKPLRYKGSTFHRIVPSFMIQGGDFRRGDGRDGESIYGEKFADENFKLKHTDPGVLSKASAGPDTNGSQLFITTVINSRKLMLRAGKSKVTYYIFFLNLKLNQIFMYLNVGMDTVEYILCAFLFPPEFFVRDKVWVKLLSKFDKVEVKALENTGAEAKGSGINDPTITMEELYSLLLIIGHVLANEGKGETPLIPRLMEVVLFKFVGLVDVHSVKQSTSDVVKVGNKFL >cds-PLY84231.1 pep primary_assembly:Lsat_Salinas_v7:7:78720482:78723243:-1 gene:gene-LSAT_7X56301 transcript:rna-gnl|WGS:NBSK|LSAT_7X56301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related homeodomain protein [Source:Projected from Arabidopsis thaliana (AT4G29940) UniProtKB/Swiss-Prot;Acc:P48785] MTGVETKETRKNIGKLLHSKCSTQKLHTKRSRKPKTPMSKEKLVEFLTNSKRNDFKCRNASHKTIKSLINPSITTFQNQEETAKCENTDLTSEKLKKRRKRKRTKNKVEVDEASRLQGRTRYLLFKMRKEQNLLDAYSTEGWKGQSREKIKPEKELQRAKKQILKCKLGLRDALRQLDLLSTDGRIDESVIAPDGSIHHDHIHCAKCKLREAFPDNDIILCDGTCNCAFHQMCIDPPLLTENIPPGDQGWFCKYCICKTDIIDAMNAQLGTSYSNDINWQEVFKVEATLPDGGETLLNQEDWPSDDSGDDDYDPERVEKHESSCSNIKLCSEGEGESSDDDGSSNYSFISLDDEILVDDSRELRIPGIEVNSGDFIPGSGSGSGSDFEPVSGRRQRRAVDYRKLYDEMFGKDALANEQVSEDEDWGPTNRKRREKESDAASTLMTLCETEDKMGKDVAEISKNDTDSKRSFFRIPSEAVEKLRLVFAKNELPSRAVKEEISNQLGLDLEKVNKWFKNARYLSLKRKAEDKPTQNDGPSISKESANNEILSENIPTNATKQHDNGDLLVSTSTNMVDCDVIGGTQSDNQESTSAEAHMQKLCYLKTKLENLQNILLVRTPNRRAKTTAAQSSSIDHSSTIFVPIAHLKEKP >cds-PLY83360.1 pep primary_assembly:Lsat_Salinas_v7:1:63395881:63398495:1 gene:gene-LSAT_1X53921 transcript:rna-gnl|WGS:NBSK|LSAT_1X53921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWLSSIKKALSPNTKEKKNQKSKKKALRDETPSVPNVGPASVSRHPPKPEVNPIEVADEQPKVLAAQPSRLTELTRDSGKSMDEIATIRIQTAFRGYLARRAFRALRGLVRLKTLVDGPTANRQIANTLKSMQNLSRVQCQINSRRIRMSEENQTLQRQLLQKQAKELESLQMGEDWNDSLQSKEQIEAKLLSKYEATMRRERAMAYSFSHQQPWKKSSRTTNLLFMDPTNPQWGWSWLERYMAGRAWDPRGEKDHSSVKNGINMTGVEIAKSYARRQLITIPSTPKSRSVPVASRKSKRGPGPRAFGSGLDEDSRSVGTVKSEMNRRHSIAGSSVRDDESIDSSVSVPSYMAQTKSAKARTRGQSLLGPISPEKELGPKKRLSFAEPTGRQRRHSGPPRMQNSTSMVI >cds-PLY65356.1 pep primary_assembly:Lsat_Salinas_v7:6:40352233:40354175:1 gene:gene-LSAT_6X30461 transcript:rna-gnl|WGS:NBSK|LSAT_6X30461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNVGSNSSNGRRRHGGGSSSRRGNHHPHHHQQPPQPEIANRYVYAAATPYTSQYPNPPPPYYQYPTGAYYPPPPLPASPLPPPHHQHQRMPMDPAATAWVGGRYPCTPVMHLPTPYVDHQKAVTIRNDVNLKKETLKIEADEENPGKFLVSFTFDATVPCNITLYFFAKEGEDCNLTTTKEDSLPPLTVSFQQGLGQKFRQKTGTGIDLSTYEESELSKGNETTVYPLAVKAEATKTLTSSSSSENRNPDSGVTNSQITDAVFENDKGEYKVKVLKQILWVNGIRYELQEIYGIGNSADGGEYDGNDPCKECVICLSEPRDTTVLPCRHMCMCNGCAKVLRFQTNRCPICRQPVERLLEIKVNNGVED >cds-PLY88544.1 pep primary_assembly:Lsat_Salinas_v7:7:8983496:8983906:1 gene:gene-LSAT_7X6181 transcript:rna-gnl|WGS:NBSK|LSAT_7X6181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTIGVGDQEGKKKGLPAKNLMEKMDRASKLGGVIDYLSELLQRKDYAPIDLYALMDVCTCLCVPFYSSSILTMVDDIVNLTSHVIFS >cds-PLY71813.1 pep primary_assembly:Lsat_Salinas_v7:3:60282507:60294316:-1 gene:gene-LSAT_3X47300 transcript:rna-gnl|WGS:NBSK|LSAT_3X47300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADWSQLPRELLDLISKHLNSETDLVRFRSVCTSWRSSIQLFTSRFPILPKTGISDSTWGFCLSKRTIFRVELADPDSDSQQTHVPWLIKVERDKPPKTHLMNPLTGSDFIPLLPNFPRSLDLLNFRVTELGQEYVLKYINYRPHANSIGDSVTLYMEKVAFCRSGVNGFMLLTIHTSGRLALLKIGEKKWNIINDLPSPYDDVIFYKGEFYAVDITGRTVVLVMDSETTLKVIANSVFGGDKKFLLESMGELFMVDKFLSVGPDNDFDYDDENEFYEDFDCFMSERTVKLEVYKLDHEEQRWIEVKSLGDRMLFIGDNCGFSASASDFPGCKGNCVFFTGLSREDDGLMKNRGVGVFDLESGSIGPIANNSANSQLFWPPPPWLHSNPVALEKKKSFGLQIGEKDVASSIIGGIVEKGFSTPVSQPQVTVLPFPVARHRSHGPHWAPRTSSSSIHDKDDEDDGEYGDHTDFNLVAANAKPIQRKQKKDLDLKQWQELTNDDNTTKKQHKYNGSAKPSDPKKESGNTNSEVPKSHKQNAGNVGIDAVESNLDNGTQSTIKSKDISRKVKVESKDEIMMDVGELSMPTSHGSTSMESEIDAENRARLEKMSTDEITEAQAEIMKKMDPALIKILQKRGQDKMSKKSSTSAIASIDAKNQKESVISETDNAQKMIKTNPNHSTTGLESKSNASSLWDAWSTNVEAARDLRFSLDGDVLNDYGNESAKGIYGSENAAERDFLRTEGDPSAIGYTIKEALALTRSVVPGQRALALHLLASVLYKAQDNICRNKTGSTLKIGNQNNIVDWEALWAFALGPEPELALSLRMCLDDNHNSVVIACARVIQCVLSYDFNEHFFNISEKTGIYEKDIYTGPIFRSRPKIDVGFLHGGFWKYNTKPSNIFPFNKIMRDEEGDEEEEHTIKDDIVVATQDILAGLVRMGILPRIRYLLESDPSTALEETLISILVAIARHSPTCADAIMKCERLVQLIVHRFTTNNQMGVDFIKIKSVTLIKVLAQSGRCKEFVDNGTFQKLTWHLYRCALGIDDWMNKETEKVKLWSTLLIEQLRFWKVCLQYGYCASYFADMFPALFIWLDVPSFEKLRGKNVINEFLSITNEAYLVLEGLTRTLPNFYLKKIDHSETWSWSNVGPMIDLALKWVSLKSDPELFTFLSLKKEGLTPMLWVISAVFHMLFGVLKSVFPEDNSKKLPWLPDFVPKIGLHIIKNELLSFNKVNKDSFFDFLSQCRHESDQETSLASVCCLNGLISVVVLINKLINLNNTENKVSSIEHDNITIHEKILTDGILECCTSEMTTFMKLTSSAGQLSQSVEMFGRGGPAPGVGVGWGAAGGGFWSSNILVAQMDARLVLQLVEIYFKNPSNEVTVERISCLFDLLLLVGPRDRGIMDKTLGILLQPSNLKFLDVSIRNSLDQKTFEWEYTEEELLTFSENLISHYKNRWLNIKKSKSKSKVKPSDPSSKKTRFSLDTIQEDVDQSIQSTLVTEWAHQRLPLPNHWFLSPISTVDLVNLPVKPTFPEVARSGLFFLLGLEAISNHVSSNSIKGVPVIWKLHVLSVTLFAGLDLLEEEKTRDVYSTLQESYGKILDKKISEIGSKNSIDFLKFDQEIHDSYTTFIETLVENFAGASYGNLLYGRQISMYLHRCVGGQIRLSTWNALSNIRALELLPPLEKCLSQEEGYLEPPEDDEMLLEAYVKSWVCGALDKSVTRNSVSFTLVIHHLSDFIFGDHVGDKVSLRNKLAKSILRDYSRKSEHEGMMVKLIQYKKPASYQQKLDQAEDLLLLPEIERRFMVLREACEGNATLLSIVEKLNNTIMKQQ >cds-PLY71570.1 pep primary_assembly:Lsat_Salinas_v7:3:53708804:53710738:1 gene:gene-LSAT_3X41160 transcript:rna-gnl|WGS:NBSK|LSAT_3X41160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCFSLTITISSGANTISANQSLLGNQTIISERGEFELGFFKAGKSSNYYIGIWYKKVDSNPPTIVWVANPETPISNIFQSELKIINGNLVLLNESKFQIWSTNVSTTTTLMSAIAVLLDDGNLVLRDSSSNSVVPAVWQSFDHPTHTWLPGAKLAYDNRTKNSQLLTSWRSNEDPAVGLFSLELDPSTTEYLCKWNGSQQYWTSGPWNENLGRFDKVPEMRLNYIYNFSYHTNENENYFTYSVYNSTIISRFIMDISGHAQQQTWLEATKEWNLFWTRPRTQCEVYAWCGAFGICRQTELPFCNCLTGFKPRSESEWNQSDFSGGCVRKTELQCGRNMEKPDFLMISIKSLPQNKFMAVGSARDCHTTCLNNCSCNAYSFVDNKCLVWDGDILNLSEDNNNGKQVYVKVAYKDLPHHNKSNQVTMGAVVGSIGVAVFVLGLFSLSVYRKKRISVGKTRMEGSLVAFAYRDLKIATKNFSDKLGGGGFGSVFKGVLHDSSIVAVKKLESISQGEKQFRSKVSTIGTIQHVNLVRLRGFCAQGNNKLLVYDYMKNISLDTHLFHGKQILNWETRYQIALGIARGLVYLHEKCRDCIIHCDIKPENILLDAEFCQKIADFGLAKLVGRDISRVLTTIRGTQGYPAPE >cds-PLY70654.1 pep primary_assembly:Lsat_Salinas_v7:5:70818489:70820421:-1 gene:gene-LSAT_5X33021 transcript:rna-gnl|WGS:NBSK|LSAT_5X33021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:L-gulonolactone oxidase 3 [Source:Projected from Arabidopsis thaliana (AT5G11540) UniProtKB/Swiss-Prot;Acc:Q9LYD8] MASTTIVVLFFLILWVSTTTAYPPPPPIQCTAGNGGCTLQNSYGVWGDRKDCRASNVIYPTTQQQLLSIVANAAKNKLKLKVVSKFSHTIPKFACPAAGNTSVLISTQNYNSGIDIDVSALTVTADAGVSLRDLINKVEDAGLSLVAAPYWEGVSVGGVISTGAHGSSWWGKGGAVHDHVMKIDMVVPAKENEGFSKILHLDAKNQLFNAAKVSLGTLGIISKVTLSLVKGFKRSITFNFTDDGGLEDAFMSHAKAHEFGDITWYPSKHTAVYRYDDRVPMTTKGDGTNDFLGFQSNLIVVSKSTRATERKLEKAKNVKGKCAMASSFITYKKLIANGLKNNLIFTGYPVIGRQGKMQTSGSCLYSSSKDIANTCAWDPRIDGLFFYETTAIFPVRKFMDFILDVKKLRDLNPDNFCGVDIYNGLLIRFIKASTAYLGQSSDSVVVDFNYYRADSGSTPRLNQDVMEEVEQMAFLKYGARPHWAKNRKVAFSKVEKKYEGFNGFVAAKTKMDPENMFSSEWSDELLFGKKGVDFDGCGLEGECVCSEHRHCSPTNGYFCRPGLVYKEARVCRYSPSSLT >cds-PLY72595.1 pep primary_assembly:Lsat_Salinas_v7:3:200212987:200213917:-1 gene:gene-LSAT_3X120420 transcript:rna-gnl|WGS:NBSK|LSAT_3X120420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLMSKRQKLQEELRTIEKQVYELETSYLQESSNFGNVWKGYEGFLSSSKNTTNLKRSRKFQHEDRLFSLSSVTSPAVIL >cds-PLY76806.1 pep primary_assembly:Lsat_Salinas_v7:MU042712.1:1077181:1077887:1 gene:gene-LSAT_0X2660 transcript:rna-gnl|WGS:NBSK|LSAT_0X2660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVLIGVVLFILLQPGLLFQLPGNGKQVEFGSMKTNGKAIAVHTLIFFTIYSILILAVHVHIYTG >cds-PLY65586.1 pep primary_assembly:Lsat_Salinas_v7:MU045302.1:372029:372481:-1 gene:gene-LSAT_0X33400 transcript:rna-gnl|WGS:NBSK|LSAT_0X33400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDILEGMIIDDSGDAFYSQNEDGFDYSGDDSDDNDYIVHESNLQFNVDVDMSEFQSVVDVDEHGILNKQNESIGNDIVDEELILSNLESPPMPFHTSEGQPTLRIHILNNSKNSFLGYDLSMRSTSLFPFHIYKLPSWTLAEIVLQLDAQ >cds-PLY81133.1 pep primary_assembly:Lsat_Salinas_v7:9:67811232:67811411:-1 gene:gene-LSAT_9X56940 transcript:rna-gnl|WGS:NBSK|LSAT_9X56940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVFEVSKYFGNAERLEKELHALLPPSISNGIRVIPPPHGADSAWHGKKLLNVPNRSIG >cds-PLY91240.1 pep primary_assembly:Lsat_Salinas_v7:3:76939847:76943549:1 gene:gene-LSAT_3X59680 transcript:rna-gnl|WGS:NBSK|LSAT_3X59680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMGDEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVDHANVLLPPLETLCTVEETCVREKAVESLCRIGSQMKDTNLVEFFVPLVKRLAAGEWFTARVSACGLFHIAYPSAPEALKAELRSVYSQLCQDDMPMVRRSAATNLGKFAATMEPSHLKTEIMQIFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTKSELVPAYVRLLRDNEAEVRIAAAGKVTKFSRILNAELAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKEATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLGSQLGVGFFDDKLGALCMKWLQDKVYSIRDAAANNLKRLAEEFGPEWAMQHIVPQVLEMVNNPHYLYRMTVIRAISLLAPVMGSEITCSKLLPVVVTASKDRVPNIKFNVAKVLQSLIPIVDHSVVESTIKPCLVDLSEDPDVDVRYFANQAIQALNHDMMSS >cds-PLY90906.1 pep primary_assembly:Lsat_Salinas_v7:1:57549263:57550946:-1 gene:gene-LSAT_1X50000 transcript:rna-gnl|WGS:NBSK|LSAT_1X50000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFSDLQTEAGLKALEAFLSGKTYISGDQITKDDVKVYAAVLDKPSADLYPAASKWYECVASQLESSFPGKSLGVRVSAQSPSEEAAPVKEAPKETEAAAEDDDDLDLFADETEEEKKAAEARDQAKASTKKKESGKSSVLMDVKPWDDETDMKKLEEAVRSVELEGLHWGQSKLVPVGYGIKKMTIMLTIVDDLVSVDDLIEDRLTAEPINEYVQSCDIVAFNKI >cds-PLY72060.1 pep primary_assembly:Lsat_Salinas_v7:2:204362718:204366299:1 gene:gene-LSAT_2X126100 transcript:rna-gnl|WGS:NBSK|LSAT_2X126100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGYTQDGTVDLRRQPVLSSKTGKWKACAFLVGYEAFERMAFYGVASNLVVYLTTQLHEDTVSSVRNVNNWSGAVWMTPIFGAYIADSYLGRFWTFTLSSLIYVLGMVLLTLAVSIKFLKPTCRNGVCNKATTSQIAFFYSSLYIIAIGAGGTKPNISTFGADQFDDFDPSEKRLKVSFFNWWMFSSFTGALIATLGLVYIQENLGWGLGYGIPTIGLIVSLVIFYIGTPMYRHKVRRTKSPAGEIFRVVRAAFANRHREPPRDLSELHEFDLQHYVNSGKRQVYHTPIFRFLDKGALKEDGHVNSRWPPCTITQVEGAKLVLGMIMVWLVTLIPSTIWAQINTLFVKQGTTLDRHLGSNFQLPAASLGSFVTLSMLISVPMYDRYFVPLMRKRTKNPRGITMLQRLGIGFTIQILAIAIAYLVEVKRMKSIESNHILDPKEVIPMSIFWLMPQYVLLGVADVFNAIGLLEFFYDQSPEDMQSLGTTFFTSGIGVGNFLNSFLVTMVDKVTSRDGMKSWIGKNLNDSHLDYYYGFLLFISVLNLGAFLWASKKYVYKRESNVEAKDESLEMECKTLEAIPLGLQV >cds-PLY77886.1 pep primary_assembly:Lsat_Salinas_v7:1:22338233:22339610:-1 gene:gene-LSAT_1X19481 transcript:rna-gnl|WGS:NBSK|LSAT_1X19481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKERCISINISHVEALEILLQGLCGVHRERLRIHELCLKSGPNLGSEASEVRLLCDLEQPEPTWTVRHVGGSMRGAGADQISVLVRTMVESKVSKNVLRLFYLLGYKLDHELLRVGFAFHFQRGAQIAVTVSSVNKMLKLHATDEAVPVTPGIQLVEVTAPASAENYSEVAASVSSFCEYLAPLLHLSKPGVSTGVVPTAAAAAASLMSYGGTTIM >cds-PLY65490.1 pep primary_assembly:Lsat_Salinas_v7:3:116715:117044:-1 gene:gene-LSAT_3X1020 transcript:rna-gnl|WGS:NBSK|LSAT_3X1020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELEWSSMYKKESKQSHLEARMNRDWEQREDEENLGNGGQLPMSVVLLQLLLQTINLVDNVSSTVMEDLVSGRGHDLVCWEEIWELGHKVEPPCICSDSFEGGWDSTKL >cds-PLY89738.1 pep primary_assembly:Lsat_Salinas_v7:1:59076213:59077717:-1 gene:gene-LSAT_1X50480 transcript:rna-gnl|WGS:NBSK|LSAT_1X50480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAEESKIKNVAVASSIDTPSSEEEKEEIKSETGTDLGFSLDILSLGPKKKLLVIPLGGLIVRRAHVRERFTLPKNRRPNLSYGKFMIYKRRYCEGFLKFCFERFEVGLWSSAMEHNIQAVLNHVTGDVKTKFLFTMDQKECTDTGFTCLKNKNKPLFLKQLKYIWEKKYPNGEYSASNTLLITDPEKAILNPPNTAIFLKEYDVKKKDGDFLGPDGELRAFLDGVAEAKDVQSYVKEHPFGEPAITPSHSDWDYYSKIIRFFDKKDK >cds-PLY64710.1 pep primary_assembly:Lsat_Salinas_v7:7:135767756:135769637:-1 gene:gene-LSAT_7X81941 transcript:rna-gnl|WGS:NBSK|LSAT_7X81941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARLFSFTTMKDRWYRYFFSFSGLRSVSNDLGDGTIMHCWIPRTYKPSKPNLLLLHGFGANAMWQYADLLSYFTPKFNVFVPDLLFFGSSFTSRPERSESFQAKCVMKLMVDWFGVRRMRLVGISYGGFVGYSMTVQFPEVVERLVVCCSGLCLEEKDLRDGLFTVSNVEEAEKILLAQTPEKLRELMKVSFVKPVKGIPNYFLADFIDVMCSEYLKEKRELIQSILKDRQYSEYSRMPKVNQPTLILWGEKDQIFPLILGERLLRHLGENARMVVIANAGHAVNLEKPKEFAKHLKDFLHDDSFTSFSTSSRSFYSCISEDELR >cds-PLY77440.1 pep primary_assembly:Lsat_Salinas_v7:9:108008365:108008994:1 gene:gene-LSAT_9X75640 transcript:rna-gnl|WGS:NBSK|LSAT_9X75640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHCGLDITVISASALKNVNFFMKMGVYVVVSLVAGNSVTKQQTHVSKGGKNPIWSHRIQFSVEESATSTIIFSLMSRRVLGDRIIGEVSIPVRELRECISGSETKEHVVEYQVRSIRGKARGTLTFSHKFKERSSQTNKINNDNATSKDSVNTSCPPCNAYVMPQQGAPSYPQVQYPPAQGYNSYQGHHHYGGSLYPQPYSNQQGLRI >cds-PLY72660.1 pep primary_assembly:Lsat_Salinas_v7:3:182765730:182769705:-1 gene:gene-LSAT_3X109761 transcript:rna-gnl|WGS:NBSK|LSAT_3X109761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLIPGVLLKLLQHMNTDVKVGGEHRSSLLQVVSIVPSLSGGSLFKNQGFYIKVSDSSHSTYVSLPDHQNDLILNDKIQLGQYIFVERLKLASPVPILQGCRPLPGRHPCIGTPKDIVATHSLGFLNNNQLSSKKTTPILARSISQLSKSVNDGIGAKGSLNLNNNFSSKKTTPVLARSISEMSKSVNDGIRAKGSLNLNNNFSSSKKTTPLLARSKSHMSKSVNDGISLKGSLRLKAKSINSSQMPLSPTGCYSMPNSFEKFSNGIKKQSSINGVNKTMGKLNLGGKVSQSVKKKFGIQGIDLGHKVLRKSWKGNMEARIPKFSINRKTSKPESRITSTSRKSTSERTQSKEEDNKVKLFEKSSKEESKNEKQVKKIATIKEKSSNGRKSLAKASCKGLSGNMMKEVLKHRDAAQIAAMEAMQEASAAESILQCIRTYSELCSSAKEDNPQPTIDQFLSMNATLNNIHQITKSLTKIINPKSSNPEENPSEEIIKLTSDLEKKANLWVHSALITNLSSFTVYTKQPPCSSHQPTVVPDGSTKTPPPKPRRTVVDQNSRAPPPKWEKGSGLYETINLAEMLKMESGDWFLGFVERFLDADVDMVSDNGWIAGMLTQLKSVSEWLDKIEMSKDEGERIDRIRKKIYDHLLTHVESAAVALGGALVTSQSERKAKM >cds-PLY63985.1 pep primary_assembly:Lsat_Salinas_v7:4:371400107:371400718:-1 gene:gene-LSAT_4X182881 transcript:rna-gnl|WGS:NBSK|LSAT_4X182881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFTSLLQDLKFTHIPRLYLSIDTSVGTSRWTTSLRSFLLRFSLISLGIKGLRDNIAAGIKARDGYPAEPDDIFLTNGSSPGVKLTFHTLY >cds-PLY99573.1 pep primary_assembly:Lsat_Salinas_v7:7:189888163:189889182:1 gene:gene-LSAT_7X112921 transcript:rna-gnl|WGS:NBSK|LSAT_7X112921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTRPDAGDFDGANSIFSECYSGSDDPPDSSQLSPLASDYDIGSRTTAGSPSNVIVKDQLPVTKREVPKPHDHLFDNHISSSPPRRKPLRSHVPNLQVPSHGAFFSAPDSSISNPSRSPLRAFGTEQVINSAFYTSKPNPDFPFLGSGSGHCSSPGSGQNSGHNSMGGDMAGLFWQPSRGSPECSPLPSPKMTSPGPSFRIHSGAVTPLHPRAGGGANQTKIINITTLSPS >cds-PLY98644.1 pep primary_assembly:Lsat_Salinas_v7:1:37614960:37627176:1 gene:gene-LSAT_1X31441 transcript:rna-gnl|WGS:NBSK|LSAT_1X31441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTSDGSSETEKKSHRQSPIKVDLPSSAIFFADYESDISGIPVLCPPSAPPSVLDVNENVVEGASLCGAKMIIGVDVNQDKFEIGLEKFSSLKKLEVLDLSMNYDIDNDILPSLRTLTSLKILDHQRFASFHHLEVLDLSYNSFVGSIPLAIHAISSLRALSFANNELNDSLPDQWLCELKNLHELDLRNNMFDGILLQCFNNLSSLELLDISLNRFTRILPPSLIANLTSLKYIDFSHNKFEGPFLFSSFSNHTKLIVVGLRSDNDKFEVETEEPIDWIPEFQLEVLELSNCNINGHKGHVVPGFLVHKHKLRVVNMNDNSLKGHFPNWLIKNSTNLEVLLLRNNSFGGMPLYRSVNLLELDISGNHMTGAIPDKTPKFFPNISCLDFSRNALSGAIPSSIGDLSELSVLDLSDNKLSGEVPNGLFTNVSHLRILRLSMNKLHGQVLSGNLSMRDIERVHLDNNYFTGEIGTKSMEKLERLSVLDISNNFFTGMIPDWISSMSYLSELVVRNNSFEGQFPYGSTPSSFLDISQNSFFGPIPSCLNLQKNEASSFGWLDSVEDCMFTCYYFLCDSVRKPYMIFCK >cds-PLY91247.1 pep primary_assembly:Lsat_Salinas_v7:3:77688669:77691230:-1 gene:gene-LSAT_3X58161 transcript:rna-gnl|WGS:NBSK|LSAT_3X58161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFHIETIFHPYGVDCRPSYLLLSHAYENESTLAAARADNFYYPPEWEPKKGGLNKFNGQHALRERARKLDQGILIIRFEMPYHIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKAPCCKHVIVIQTDPKNCEYLVISGAQKKVEEFEPEDAETMVLQVEEEKNKLVDPFYRLEHQEEDLKKKKEAEPLLVRLQKVSDSRHLDDYSLNKSLRAKLRSQKKRVAEEETSARKMGLGIRLLPPSEQDIVAAKRVKFSSNFNKNRDEKRALIKSSSIFSGVYSSNSKRSELEMKRRRINAPKASELLSGGFKSSSLTRGVGSSSSVFKNRA >cds-PLY75074.1 pep primary_assembly:Lsat_Salinas_v7:9:21836132:21837583:1 gene:gene-LSAT_9X19241 transcript:rna-gnl|WGS:NBSK|LSAT_9X19241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQLGFAMLCAGSVRAKNALNIMLTNVVDAVVGSLSYYLFGFAFAFGDGSGSNPFIGTQYFALKNIPSTSFDYSFFLYQWAFAIAVAGITSGSIAERTQFSAYLVFSFFQTGFVYPVVAHWVWSSTGWLNPGSTTLLFGSGAIDFAGSGVVHLVGGIAGLWGALIEGPRVGRFDAFGKPVQMRGHNATLVVLGTFLLWFGWFGFNPGSFNKILVSYPDSFDQGNWTGVGRTAVTTTLAGSTAGIVTLFGRRLLVGHWDALDVCNGVLGGFVAITSGCSVVEPWAAIVCGFFAACVLIGLNIVALKLHYDDPLEAAQLHGGCGAWGLIFTGLFAKEEFVVQTYNSGNLGVTRPYGLLLGGGWGLIGAQVVEVLVVVGWVSVTMGPLFYLLHWLRILRISSDEEVAGLDISSHGGYAYHAHPEESGPRLYGEYLRLQDQ >cds-PLY80106.1 pep primary_assembly:Lsat_Salinas_v7:5:147710563:147713993:-1 gene:gene-LSAT_5X64821 transcript:rna-gnl|WGS:NBSK|LSAT_5X64821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLRTSGAADGFRISVSSTPSCSNHNSGHDDIESLGDVFVWGEVWSDVASTDGSINTFQSKSDVTIPRLLESNVVIDVQQIACGVRHLSLVTKQGEVFTWGEESGGRLGHGTEKDFRRPHLVEFLAVTNVDYVACGEFHTCAITNAGDLYTWGDGLHNAGLLGHGTDASHWIPKRVSGPLEGLLVFSVACSTWHTALSTVDGRLFTFGDGRFGVLGHGDRESVKYPKEVQSLNGLKTLKVACGVWHTAAIVEVTNQQGGLSTSRKLFTWGDGDKFRLGHGDKTTYLQPTCISSLMDYNFHQLACGHTMTLALTTSGHVFAMGSPAYGQLGNPQSDGKKPALVQDGLVGEFVEEISCGAYHCAVLTSRSEIFTWGMGANGRLGHGDYEDRKTPTLVESLKDRHVKSISCGASYTTSICIHKWVSGADQSVCSGCKQAFGFTRKRHNCYNCGNFHCHGCSSRKAIKAALAPIPGKPHRVCDSCYAKLKKSGEIGNINNNNNNVSTFHRKFSTQPAQGQQGRTSRLLMSPNIEPVKYLEIMSAMYGPKSAIEGYCFSEFIGGAFKCLETCFWPHDDSATVSTATAATASADVXXXXXXXXPMSAARPASPFARRPSPPCTSGSPMFSRGVIDSLKKSNELLNHEVTKLHNQVKTLKKKTDRQEAEILKLRTKAEQSAALASDESAKCLVAVDVFKCVKSQLKDLSEKLPPELSDDEAFKSISCKMNEFLQSDITSSKRIRSRKYADENNGSSSSGQTTESTSQTSRQGSSRLPRYPSRREEKKELIEQFEPGVYVTLIQLSNGTKIFKRVRFSKRKFVAEQAEEWWKENKERVFKKYSPGRPSNRPTTSKAQPPPPNQEPSTST >cds-PLY99835.1 pep primary_assembly:Lsat_Salinas_v7:4:47255601:47259146:-1 gene:gene-LSAT_4X32541 transcript:rna-gnl|WGS:NBSK|LSAT_4X32541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTLFRSKSCGGVPRPKASFFHYSSSSNYQQGDDDDDDDDDISYYCQDYSYFNNSPIRTPFISPRQQGPADNQSSNHNFTIASLLLAALRKSLLTCAVHDPEDVSSSLDIGCPTDVQHVSHVTFDRFNGFLGLPLAFQPEVPAKVPSASVCVFGVSVESMQCSYDGRGNSVPTILLMMQNRLYSAGGLQAEGIFRINGENGQEEDVRKQLNRGFVPHGVDVHCLAGLIKAWFRELPTGVLDTLTPQQVMHCNTEEECTKLAEFLPPTEAALLDWAINLMADVVMFDKENKMNARNIAMVFAPNMTQMADPLTALIHAVQIMNLLKTLIMKTLHEREESSTGFEPVSSCADCPTPNNNVESSYSLRTATLNRLGSESEEQYWSFPRKSGSVVEYDSMLEKSLSITCTNDPLKSDHKSKHEQQEREVEIEGILERLSLKKGVRKLCRYTVFQLSKSSN >cds-PLY71200.1 pep primary_assembly:Lsat_Salinas_v7:2:132881809:132883093:-1 gene:gene-LSAT_2X62900 transcript:rna-gnl|WGS:NBSK|LSAT_2X62900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45040) UniProtKB/Swiss-Prot;Acc:Q93VA3] MLLSAIPNCNSRLISPAVNQEKGNQRDERQWMNPQRQLKFLQRLTPPLFAAFVALSPIITPPGSYAEAIDVQRGASLFGHACIGCHVAGGNIIQPGATLFLKDLQRNGVDTEEEIYNITYYGKGRMPGFGEMCTPRGQCTFGARLKEDEIKLLANFVKSQADEGWLNIGNGGD >cds-PLY94970.1 pep primary_assembly:Lsat_Salinas_v7:4:110153082:110154922:-1 gene:gene-LSAT_4X71141 transcript:rna-gnl|WGS:NBSK|LSAT_4X71141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 36 [Source:Projected from Arabidopsis thaliana (AT2G17040) UniProtKB/TrEMBL;Acc:F4IME8] MDATYNLELQLPGFRFHPTEEELLDFYLKKMVSGKNARFDIIGYLNIYLYDPWALPGLSKIGEREWYFFVPRDRKHGSGGRPNRTTQNGFWKATGSDRKIFSLSDPKKHLGLKKTLVFYKGRAPRGNKTDWVMNEYRLPDNYPLAKEIVLCKIYRKATSMKVLEQRAAMEEETKTLQVPTPLSPEETISFYTQTNEIAPPNPIPATPMESCHVVSDSNDEFYQDLLLFMDEKLKDECSPESCHVASDNKDGLRHDKMFTFDEKLKEEPDVISDATSSNIEDSTNNKVSGLRVPLGKEMIRELQLPKMNMDWTQDSFWTQLCSPWLENITLTSPYANILNF >cds-PLY90115.1 pep primary_assembly:Lsat_Salinas_v7:7:14346548:14352662:-1 gene:gene-LSAT_7X11601 transcript:rna-gnl|WGS:NBSK|LSAT_7X11601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESLIGLVNRIQRACTALGDYGGGDSTFSSLWDALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEGRQEEYAEFGHLPRRRFTDFALVRKEIADETDRITGKSKQISPIPIHLSIYSPHVVNLTLIDLPGLTKIAVEGQSENIVEDIENMVRLYVEKPNSIILAISPANQDIATSDAIKLAKEVDPTGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQHPWVGIVNRSQADINKNTDMMYARRREREYFATSPDYGHLANKMGSEYLAKLLSQACSLHFLFHLETVIRQKIPGITSLINKGIDEMEAELDRLGRPIAVDAGAQLYTILELCRAFDKIFKEHLDGGRPGGDRIYGVFDNQLPAALRKLPFDRHLSLQNVRKIVSEADGYQPHLIAPEQGYRRLIEGSLNYFRGPAEASVDAVHFVLKELVRKSIGETEELRRFPTLQSTLAAAAGEALEKFRDESKKTVIRLVDMESSYLTVDFFRKLPQEVEKLGPGGPPPPSDRRASSADRKNPPPPPPSDRGGDRGNAVDPLGDRYADAHFRRIGSNVSSYIGMVSDTIKSTIPKAVVYCQVKEAKQNLLNYFYTQIGKKEGKQLAELLDEDPSLMSKRQDIAKQLQLYKSARDEIDSVSWVR >cds-PLY85006.1 pep primary_assembly:Lsat_Salinas_v7:4:222257872:222258158:-1 gene:gene-LSAT_4X120420 transcript:rna-gnl|WGS:NBSK|LSAT_4X120420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTSQIWDRKSEVQGTIGNIKRRKAKAEEASGSKIVLFFFMYKDYSLLLHLFLEHMALRTLATAKTLGAISKF >cds-PLY76221.1 pep primary_assembly:Lsat_Salinas_v7:4:51419341:51429604:-1 gene:gene-LSAT_4X34921 transcript:rna-gnl|WGS:NBSK|LSAT_4X34921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLAAVGVVVLGRPSLRQWWKGNALQDSATQPPPPSPPPSKTPFPFIFSPILFPPNGPPPFSSMSTTILYPPPPPPQQQQLSSVTHYYITNLGLGYAIAIAFGFLVLFSSLLLASYICFRHRHTHRNQIRNPNGGGSDNGVFVPSIIFVDEENNEDLNVVVGLDQSVINSYPKFPFSKGIPKGIETLCAICLCEYREAEMMRMLPDCKHCFHLTCVDAWLKLNASCPVCRSSPLPTPLSTPLAEVVPLSHYSDGRRRR >cds-PLY68049.1 pep primary_assembly:Lsat_Salinas_v7:5:294579918:294581809:-1 gene:gene-LSAT_5X157381 transcript:rna-gnl|WGS:NBSK|LSAT_5X157381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:MAN1 [Source:Projected from Arabidopsis thaliana (AT1G02310) UniProtKB/TrEMBL;Acc:A0A178WL81] MFKGLDFVISEAKKYGIHLILSLVNNWDDFGGKKQYVQWARDHGGQYLNSDDDFFTNTILTRRNSITGVDYKDDFTIFAWELMNEPRCQSDLSGKFLQEWIVEMAAEIKSIDKNHLLEIGLEGFYGESMPEKKQNNPGYEVGTDFITNNGVNNVDFATIHLYPDQWVPGASDEARAKFVEKWINAHIEDCDSILRKPLLIAEFGKSSWSSGYTVEARDEYFGGIFNTAYESARNRGSCSGTTFWQVMAEGMDNWGDGYQVVLDQNPSTAAIIAKQSQRISSLNSSTNLEFSPVAVEK >cds-PLY72782.1 pep primary_assembly:Lsat_Salinas_v7:4:373049211:373049465:-1 gene:gene-LSAT_4X184101 transcript:rna-gnl|WGS:NBSK|LSAT_4X184101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWLLGGSSQASKAQTANDYRWSTGQAMIDEARMDRQWRGGVPVEGSLPQMEQRRRKLASPEMEQHRRWKEVKEWRWIGIKEQR >cds-PLY82491.1 pep primary_assembly:Lsat_Salinas_v7:2:186951250:186952339:-1 gene:gene-LSAT_2X109060 transcript:rna-gnl|WGS:NBSK|LSAT_2X109060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGPLLIGVVIVMVLGLAVYMKLWTIDYQISSNETELIRRQFDLANREAMDESAEWRLKFDVAEERANKCTKELKRVKESLGDKSGASISKRLEMLQKENINLLERVETLKQELEAEKMKCSMQRV >cds-PLY80349.1 pep primary_assembly:Lsat_Salinas_v7:7:154772350:154773913:1 gene:gene-LSAT_7X91740 transcript:rna-gnl|WGS:NBSK|LSAT_7X91740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHQSMAKVRGNEKTLDSYTVKAINESIRPGDCVFMRSPNPSKPPYIAKVDKIVSDDNGSNVKVHIRWYYRPEESKDGKQPFHGSTEVFISDHRDVQSPDTIEGKCKVHTFKSYTSLDDIGNDDFFCRFEYHSSTGDFTPNSVAVYCTCEMPYNPDQFMIQCDGCTDWFHPDCLKMTVDEAKTIEHLFCRSCSSEEQRILQNPRANSRNSTMKVETKRRRR >cds-PLY87492.1 pep primary_assembly:Lsat_Salinas_v7:9:160500750:160503418:-1 gene:gene-LSAT_9X100960 transcript:rna-gnl|WGS:NBSK|LSAT_9X100960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYSTKRSTSNKSKLVRTFAKVLHIRSTTLSDKFQKTKTSENINNNEKIKEEKLREKATMAAFISKLFATISSIKSAYAQLQYAQFPYDAEGIQSADQIVVADLKTLSELKQSFLKKHLDDSTPEMTLLFSEIEEQKNLVKTYEITCKKLDNQTKLKDSEIIFLKEKLEENRRENKSIEKRLNSSGTLSNLWNFDFLSLKPTNFVSVFKQTTKSIRNLVKFMIIGMESANWNLDSAATAIHPDVVYWDPTHICYAFESFVCREMYDGFNFPEFSKHGNHQCRRFFFDKFTELKSLKAREYVTSEPESKLAGFCRWKYLNLVHPKMEMSLFGNLSQRDLVNAGEFPETDFFDLFLECAKRVWVLHCLAFSFEHVASVFQVAKGCRFSEVFMDSVNEEAFLSAGSSPEVGFTVVPGFKLGKTVIQCEVYTI >cds-PLY71773.1 pep primary_assembly:Lsat_Salinas_v7:3:46346602:46354229:-1 gene:gene-LSAT_3X34740 transcript:rna-gnl|WGS:NBSK|LSAT_3X34740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDETVASSEVPVTKVVEETKEDIIPADKEVKKEEEAPLDGEFIKVEKETVEVNDVESHTTSRALPVEDEKPSIVEKSREVLEAQEKVSELESELGKLTTALKHSESENTDLKNQVSVANEKLEQQEKKHEDLEASHKKLQEEFKEAEERYHSQVTNLQEELQAQEANQKELVKVKESFETLNIELESSKKKMQDLEQELGRSADDAQKFEELHKQSGSHAESETKKAMEFERLLQLAQVSAKEMEDQMGLIQEELKGLYAKIADHEKVEETLKNTTVELSAAQQELEVCKSQILEFEQNISSLDNLLSSTKESLQAKESEFEELKLKLQEEEKAKVVVQDEVASIREELTKLTTEKEALDVVVVDLKTELAETKDRCTDVEAKLQVSTENITNTDTLLSQALAERKELEQKNLELEEFVRLSKAETEKAKSQSAVLELKVKETETELQQFSAKISELTSTLFTLEEEKHQLNQQVKDFTDKVAQLESELTQSSSRTTELESELKNVVEKAADHEGRANTSHQRSIELEDIIQKLESELTQSSLRHTELESELKNVLEKAADHEGRANTSHQRSVELEDIIQTLNIRAENSGKKASELELLLEAEKYRIQELEEQISTLEKKCKETEEGSNVHSNKVSELEAELDAFRTKATALEAALESVTKNEQELTESLNLATTEKKQLEDTSRKLSEQVAEAENLVEVLRNELKVSQEKLETVETDLGSKLKSAEEKLEEQERSLGETVANFTSRDTEAKSLLEKLNTFEEQLKTYEAQLVEANERSASLKDELDQLLLIEANTKSENEDLKRKILETEENVTKSTNENDMLVATIIELKDKVNELEISLSGVHSEKESAAQAIDSHVKTIADLTEQHSKATELADLKLTQELAAYEAKLNDLQTSLTAALTEKDETFSHLHISKKEIEELKEKFTSEGQNLQSQISSLMEENNMLNETHQNAKKELQTYITTLEEQVKEHASNETSVKAELESLKAQIEENAKLYDNLKNLEEKLAASQKTIDQQGSERDAALKEVEAKKAAISDLEKQIKDLQAANAKAKEQVTDNSSEIKSRDFGATMTTPSKRKSKKNAESSSTVQNNQASASSKTVQNQATDHDSFGTSVKFIVGVALVSVIIGIVLGKRY >cds-PLY77634.1 pep primary_assembly:Lsat_Salinas_v7:5:113071939:113078679:-1 gene:gene-LSAT_5X44641 transcript:rna-gnl|WGS:NBSK|LSAT_5X44641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G22480) UniProtKB/Swiss-Prot;Acc:Q8VYN6] MGSLSPAISSKLLLPTTTSDRTSFHALIRSSFPCRGERPNMIGVFKRTHCVASAEKKSKSAIDFSDPEWKTKFEEDFEKRFNIPHMRDFFPDAVSYPSTFCLRMRTPVSEEFAQGYPSDEEWHGYINNNDRVLLKVIRYSSLTSAGAECIDPDCTWVEQWIHRAGPREKIYFKPEDVKAAIITCGGLCPGLNDVIRQIVITLEIYGVKKIVGIPFGYRGFGNELAEIPLSRKVVQNVHLSGGSLLGVSRGGPNVSEIVDSMKERGINMLFVLGGNGTHAGANAIHNELIKRGLKVAVVGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEAHSAYRGVGIVKLMGRSSGFIAMQAALASGQIDICLIPEVPFELHGPHGVLKHLKYLLETKGSAVICVAEGAGQNFVEKTNAKDASGNVVLGDIGVHIQQEIKKYFKEIGDPADVKYIDPTYMIRACRANASDGILCTVLGQNAVHGAFAGYSGITVGICNTHYVYLPIPQVISYPRTVDPNSRMWHRCLTSTGQPDFI >cds-PLY98834.1 pep primary_assembly:Lsat_Salinas_v7:7:23257486:23258529:-1 gene:gene-LSAT_7X18561 transcript:rna-gnl|WGS:NBSK|LSAT_7X18561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDHASKVFDEMPERKCPQTVKSFNALLGACVNAKKFDKLDGFFRELPEKLSLKPDIVSYNTAIKAFCEMGSFDSAATIFEEIEKNGLEPDLITFNTLLIGFYGNGRFLEGEKFWGKMMNTSLSPDIRTYNAKLVGLVSEKKLAEAVELFDKLESKNVKPDEFSYNAIINGFCNNGDLKEAKNWYAKLGQSDSTPNKATFAALIRLACKVGDFDWAIELFEQTIEEKCLVDPNVMQLVIHGLVKEKKIEDAKKLVGIGNSNKFRKYNLIVPADE >cds-PLY87887.1 pep primary_assembly:Lsat_Salinas_v7:5:3783256:3798070:-1 gene:gene-LSAT_5X1821 transcript:rna-gnl|WGS:NBSK|LSAT_5X1821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTIGNGAENDVQQQLPASNDEFGGVMVEMKEHMVSDVFCTLLKSSMAHWRSQGKKGVWIKLPIELSNLVDTAVKEGFVYHHAEPKYLMLLYWIPKTINPIPANASHIARVGAIVLNDKREMLVVQEKMGQLRGIWKISTGIIHEGEDISVGVVREVKEETGIDTEFVEVLAFSQEHNVFFGKSELFFMCMMRPLSYDILVQETEIEAAKWMPLEEYAAQSCAQEQELRKYITQLCIAKVDRDYSGFSSIQLTSGSDSNANATYIYFNKSDLNKKGDKKRKRQI >cds-PLY94353.1 pep primary_assembly:Lsat_Salinas_v7:5:170055891:170058060:1 gene:gene-LSAT_5X74801 transcript:rna-gnl|WGS:NBSK|LSAT_5X74801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQSFGGIIRRQQHLIRCLLGDYCNKDTFFLVNKGTRMQSVMIGGPHLEVDALSILRSISPPLDPTKHKGQAGKIAVIGGCREYTGAPYFAAISALKIGADLSHVFCTKDAAPVIKGYSPELIVHPLLEESYNIREEEKTSISKKVLDEVDKWMERFDCLVIGPGLGRDPFLLDGLFLVTNCVDLVKGYPLAVLTPNVNEYKRLVNKVLNCEVNDQDGPQQLLSLAKSIGGVTILRKGQSDYISDGEAVRSVSIYGSPRRCGGQGDILSGSVAVYVAWARELVSKGKVDANPTMLGCIAGSTLMRKAASVAFEDKKRSTLTTDIIECLGRSLEAICPVG >cds-PLY68709.1 pep primary_assembly:Lsat_Salinas_v7:5:279326057:279327850:1 gene:gene-LSAT_5X144460 transcript:rna-gnl|WGS:NBSK|LSAT_5X144460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDKGYINHQEITTITINQQTKQIETFTRLKMAGSQALVMAVLVMGIAFHSTLIDATIAKSMYFNWGAHHSSILGNGDDLRLVLDQTSGSGIQSKRAFLFGSIEMLIKLVPGNSAGTVTAYYLSSTGAKHDEIDFEFLGNSTGEPYTIHTNIYTQGQGNKEQQFKLWFDPTSGYHNYTIHWNPTQVVWYVDSVPIRVFRNYENEGIAYANQQGMRVYSSLWNADNWATRGGLVKIDWTSAPFVANYRRFRARACKWNGPVSISLCAIPTPGNWWMSPVYKQLSNGQQAQLKWVRDNYMIYNYCTDFKRFKGQIPPECSMPQF >cds-PLY71028.1 pep primary_assembly:Lsat_Salinas_v7:5:43147519:43148697:-1 gene:gene-LSAT_0X21300 transcript:rna-gnl|WGS:NBSK|LSAT_0X21300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIGTPKFSPVLLHLLTLILLTTPPLSCSFPIPTTSFHALFSLSDSLLTRVANLRASRGDISGSLRARSIAQKIEKHSHGFSFYGVMWSLGWDYMKNYAWRDIGITSSEMFGAVSDMSELIRGLSELTRMESEAERVAWVRRNYGSVLTISKSLFNRLLRVFSRSGPLKDAIEMIQAEIVDGGLLKDCLELGSSDLKGVIQILKDLALQYSSTTGKTEL >cds-PLY75427.1 pep primary_assembly:Lsat_Salinas_v7:7:72049803:72052119:1 gene:gene-LSAT_7X52280 transcript:rna-gnl|WGS:NBSK|LSAT_7X52280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHADSHSTHPDEVTTLRAIRQSFIDPNSRLRNWRRGDPCVSNWTGVMCFNKTLDDGYLHIRELQLLNLNLSVTLSPKIRRLSYMKILDVMWNNIGGSIPKEIDQLTSLKFFHMNNNSLSVQIPPELSRLPNIVHLLLDNNNLSGYLPPELTKPPYLIIFQFDNNHFEGSITSSYGNMIQLAKFLQGNPACSNASLAQFCESSTTLISHLQSIPSNSIYKCPPESCPNNFEYDSGSLVRCFCFAPLLVGFWLRIRVLCFQVIWMSE >cds-PLY83863.1 pep primary_assembly:Lsat_Salinas_v7:3:48498599:48499280:1 gene:gene-LSAT_3X37261 transcript:rna-gnl|WGS:NBSK|LSAT_3X37261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSFLAASIAAASATTAITASNRKNRTSHQDHGASSNYNEDSSPEKKSCCSEKFAPRFDGLRFIETLVTAHR >cds-PLY87556.1 pep primary_assembly:Lsat_Salinas_v7:8:257783831:257784380:1 gene:gene-LSAT_8X151700 transcript:rna-gnl|WGS:NBSK|LSAT_8X151700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKMVVNHLRLTLTKEDLQNVVDLMQPYGQISNWIEYLTPSNDWARETKFPHAVSFASRGLVVALLPNYDVVDNLWLEPFSWEGITKAKTEGSRFGNVESRSYLEKKLVF >cds-PLY81703.1 pep primary_assembly:Lsat_Salinas_v7:3:32367953:32370983:1 gene:gene-LSAT_3X24160 transcript:rna-gnl|WGS:NBSK|LSAT_3X24160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQSDPVTEWNTSGGQTGLEEPMWQLGLESYPERPDEADCIYYLRTGFCGYGSRCRFNHPRDRSSVVGAMRSAGGEYPQRIGQPVCQYYMRTGMCKFGASCKYHHPRHGIGSSTTVLLNMSGYPLRPGEKECSYYVKTGQCKFGMTCKFHHPQPVGIAPSPPPPPPPESFSPMAASVTYQSMQSPVASSQQYGVFSGNWPVSRPSLLPGSYLAGSYGPPVMLPPGMVPFPGWNPYQAPVNPNSNVGGGSMYAISPSHLSPAVPSYVSMAESAFPERPGEAECQYYLKTGDCKFGSSCRYHHPREWNQPKTNFMLSPMGLPLRPGAAVCTHYAQKGVCKFGPSCKFDHPMGGGGTLSYSSSASSLADMSVAPYPVGTSIGTLAPSSSSSDIVSATNKNKDGLSTSTSTSISVASGSVGSSLSQSMPLSLSKSSTAAD >cds-PLY76685.1 pep primary_assembly:Lsat_Salinas_v7:3:142176741:142177001:-1 gene:gene-LSAT_3X93560 transcript:rna-gnl|WGS:NBSK|LSAT_3X93560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSVVHSSFEVGSSSAPGGSSTPQLVHDAASKQLSKFLAHEGVDPAPRGKGISIGVGSPDKEDSMIFELKEKIGVLNQKLIEKDL >cds-PLY87096.1 pep primary_assembly:Lsat_Salinas_v7:5:263730571:263731837:1 gene:gene-LSAT_5X135041 transcript:rna-gnl|WGS:NBSK|LSAT_5X135041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTLIRTGVSMLSRIMNPFVHPDPAHKFVPQIFEIAPKLSTPQPFPSLLNLQNPLNLIQNDAETLKRVLAEGFLYPCGLPSLRFFLPDGDDSSSSEPLLCIKRTYQPSNLRRKRVHGYLARKATKGGRRVIARRIAKGRSRITA >cds-PLY66245.1 pep primary_assembly:Lsat_Salinas_v7:5:142927968:142932519:1 gene:gene-LSAT_5X63320 transcript:rna-gnl|WGS:NBSK|LSAT_5X63320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVMSSDLMHSRMHEGGPHKQCDNKVEENGGRWYFSRKEIEENSPSRSDGIDLKKETYLRKSYCTFLQDLGMRLQVPQVTIATAIIFCHRFFLRQSHAKNDRRTVATVCMFLAGKVEETPHPLRDVILVSYEINHKKDPEALQRIKQKEVYEEEKEIILIGERVVLATLAFDFNVHHPYKPLVEAIKKFKVSQQALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFNVTPRQLEEVSNQMLELYEQNRVPPSQTSEVLDGSTGGGGFTPKAHEEHNTNDSNSHVGPTTTSKTDHPRTSRNQSNDDGSTDHNKDIDSDVENDDDRNHESDQNPECRFRSPKEAVKKIDKDKVRAAFEKRRKERGGDVNWRSESMDDDDLIERELEDGIELGGESETKKRNRNRCDEVEDFEAVEEGEVGVLDGGDRQHRVPESGRKRKGGGSGTPPPDKRRRN >cds-PLY62208.1 pep primary_assembly:Lsat_Salinas_v7:6:31619046:31620829:1 gene:gene-LSAT_6X24281 transcript:rna-gnl|WGS:NBSK|LSAT_6X24281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCVGDIRRFCRIRRRRRLCPASRWQVHISLAGNGKMRVSWVTKETTPSTVKYGKSQGKYDASNTGTSSSYKYIVYKSGQIHDVVIGPLEPNTTYYYKCGLDSSPELSFQTPPAQFPIDFAVSGDLGQTEWTKSTLEHIGKTGYDVLLLPGDLAYADFYQPSWDTFGRLVEPLASQRPWMVTQGNHEVERIPFIHKERFTAYNARWHMPFEESGSSSNLFYSFDVAGVHVIMLGSYTDFEPDSNQYKWLECDLKKVDRKQTPWLFALVHAPWYNSNSAHQGEKESTRMRDSMEALLYNARVDIVFAGHVHAYERFTRVYKEEADKCGPVHITIGDGGNREGLATKYKDPQPDLSLFREASFGHGQLRVVNASHAQWTWHRNDDKQPDSVWFTSLASDSACVV >cds-PLY78751.1 pep primary_assembly:Lsat_Salinas_v7:9:50239068:50240090:-1 gene:gene-LSAT_9X44960 transcript:rna-gnl|WGS:NBSK|LSAT_9X44960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTPKMVAHFRRSVSFSNTPSPPPPPPSSTYRTTAKRIHFRSTSLPTRSHPVLYQLKYHLNQLKSQWGPETVSESINSSRITDALIRLRIILESLDDLLDLAPSRDSLRRHPEWVEKVLEDFLVMVDVYGTFQMQISTLKQCNVAAQLATRRKDGLKMVVCLKGLKKSGREIFKLMPFLQTIRERPADLTQLDPNPDLELVEVIKGVMEMIVVISEAVFGGISASLETRKASWMMGLKKSKREKGIKEIENGIWSLRNYKDEILNKKMMKEMEECIEGIESGSEKVFRSLINTRVSLLNVLTQ >cds-PLY65085.1 pep primary_assembly:Lsat_Salinas_v7:4:202624740:202626457:1 gene:gene-LSAT_4X115481 transcript:rna-gnl|WGS:NBSK|LSAT_4X115481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISFSSSLLYHHLLFFFLLLLQAPPCPAAGGSWSVLLPSIGISAMHMQLLPNDRVVMYDRTDFGVSNISLPNGKCRPNSTDCSAHSVEYDVGSNTVRPLMVLTNVWCSSGTLMPDGTLVQTGGWDDGYRRVRTYKSCGTCDWNEIPNGLSKQRWYATNLLLPDGRQIIIGGRQAFNYEFYPKKSATENSPSFPFLVQTNDPNVENNLYPFVFLYPDGNLFVFANNRAILFDYSKNQVVKTYPTIPGGQPRNYPSTGSAVLLPLRIKKGVVDTVEVLVCGGASTGAFVNANNGKFEGALDTCGRIKISDPNPQWVMETMPLARVMGDMLLLPNGYVLIINGASAGAAGWELGRNPVLSPVTYTPDNQIGSRFQLENPSTIPRVYHSTAVMLRDGRVLVGGSNPHDKYVFTNVLYPTELSLEAFSPSYLDSNSSSFRPRIISPKTKSDIRYGKRVVITFTVSGPVDLKLVTVTLMSPSFNTHSFSMNQRLLVLDSSNSAKAVGKSTYKVGVVAPPSGNIAPAGNYMLFVVHKDIPSPGIWVQIRR >cds-PLY72964.1 pep primary_assembly:Lsat_Salinas_v7:8:135356957:135371772:-1 gene:gene-LSAT_8X93941 transcript:rna-gnl|WGS:NBSK|LSAT_8X93941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKWVSLFKDIKDKVGLSAPTSAASSSSPYPDQNSNNATSSSYDDPLSRERYELELDFKRSWEEFRTSTSETEKEKALNVTIDVFCRLVEQHSNIAQLNTLLVETHIFSFVVGRAFVSDIERLKVSNKTRSLEIESVISFFSEDTQDGILYGSNLLHAVGFLVSGPIDKQSLLDSGIMCCLVHILDALLGSDGGNVRQHVVTAEEDSEVTENVAPDKRFEVEGSILHIMKALASHSAAAQGLIEDDSLKQLFEVVANGCLVLFSRYKEGLVPLHTIQLHRHAMQVLGLLLANDNGSTAAYIRKHQLIKVLLMGVKGFSPESGDPAYTMGIVDLLLECVELSYRHEAGGIRLREDIHNAHGYQFLIQFALVLSGDQGSQISHSEQNPDSEGSKSTNDMDTYDTSSESLTPTLSRLLDVVVNLAQTGATESTGTPGSKGTKNRLNPDDTYKVKDLDAVQMLQDIFLKANSRELQAEVLNRMFKIFSSHLENYMLCQQLRTVPLLILNMGGFPSSFQEIILKILEYAVTVVNCIPEQELLSLCCLLQQPVTSELKRTILSFFVKLVSFDQQYKKFLREVGVLDVLLDDLKQHTFLVGPEQNDNDSPHEMERKSNPNGFMKHLDSKDVILSSPRLLDSGSGSFPLFEAECIIPAAWDCVLSLLRKAEHNQVIFRSGDGVATALPFLASDVHRAGVLRVLSCLIIEDSAQAHPDELGALVEASKSGMVISTSGSQYKLTDDAKCDIFGAVWRVLGANSSAQRVFGEASGFSLILTTLHSFHGDKGHVSQSSSTVCMKVFTYMLRVITCGTSNNAVNRTKLHAIISSHTFCDVLSESGLICMEYEKQVMQLFFELALEILLPPSFKPEASEASDDLDNVSSTFRIITPSASVHHDKHHIYNAAAVKVLIRLLLLFSPKLQVEFLKLLEELARAGSFNQESLTSAGCVELLLETIYPFLSGSSSLLPHALRIIEVLGAYRLTSAELRVIIRYILQVKQMKLGQTLVDMMERFTDSENIPLAAFIEMDMSKSGHACIQVPLGERSWPPAAGYSFVCWFQYQNLLKSDATTTGQQVMRIFSVGGTDVSSNNTFYAEIYLQDDGTLTLATSHSSSLSFSGLDIDEYRWHHLAVVHSKPNALAGLFQASVSHVYLNGKLRHTGRLGYSPTSSGKSLQVTIGTPVACARVSDLSWKIRSCYLFEEVLTPGSIFFMYILGRGYRGLFQDTNLLQFLPNQACGGGSMSILDSLETDLALASSSSNTQKPESANKQDTSKTDRSGIVWDLEILRNFSLQLSGKKLIFSFDGTCTEAFKVSGTLSMLNLVDPLSAAASPIGGIPRYGRLHGDIYVCKHSTIGEMMRPIGGIALILALVQVAETRDMLHMALTLFSCALQQNPQNVRDMQSYRGYHLLALLLHPKMSLFDMHSLDIFFQIAACEASFHGPKKLEKTNNMSPVEPVKNASFEDLSKFHDDASSGGSHGDMDDFLGNKDAFSHISELDINVDLPTETSNCVVLSNPDMVEHLLLDWTLWVAATVPIQISLLGFLENLVSMHWYRNHNLTVLRRINLVQHLLVTLHRGDVEVPVLEKLVTLVGVILKDGFLVSELEHVVRFVIMTFDPPELTSRNEILRESMGKHIIVRNMLLEMLIDLVVTITSEELLEQWHKIVSSKLITYFFDEAVHPTTMRWVMTLLGVCLTSSPTFSVKFRTGGGYQGLVRVLPSFYDSPDIYYILFCLIFGKPVYPRLPEVRLLDFHALMPSDGSHTELKFLELLDSVIAMAKSTFDRLSIRTMIAYETGAAGLVAELVDACTEMTGELQGEALMHKTYAARLMGGDASAPAAATSVLRFMVDLAKICPPFSAVCRRAEFLEKCVDLYFSCVRSAHAVKMAKKLKVKSEDKNLNECDESPSSHNSLPLDQEHYSKTSISLGSFPPGTSSEDAPVAATTNSDGYQPNNITSPPLESHKPDEVVDQMSTAESDTRDFSFHDQKITLESDKPVEEVDQVAIAESDTQDFSFHDRKITPESDKPVEVSIAESDTKIFSLDDQKTTPESDKPVEVVDQVSTTESDTKDFSFHGRKTTRQGSSLSCVSLNIFDSPYLSEKTTGVHKAQSSSQLFAMSSWQSPNESKPKLAPSPSMASSVSMTLSLNEYDTSSDPKRTTQLQNPTDTYFPINPLLLLDADDSGYGGGPCSAGATAILDFMAEVLSDVVTDQLKATPAIETILENGPLYIDAESLLVFQGLCLSRLMNFLERRILRDDEEDDKKLDKVRWSSNLDALCVMIVDRVYMGAFPEPSGVLKTLEFLLSMLQLANKDGRIEQAGPPGKGILSIGRSKQLDAYILSMFKNMNRTIMYCFHPQFLISVGEDELLSRLGLQIEQKQRLVFHGSEDGVIDISTVLQVLVAHKRLIFCPSNPDNDLNCCLCINLTSLLHDHRQNAQNSAVEILKYLLLYRKTALEDLLASKPSQGPVLDAFHGGFDRLVTGTTSSFFDWFNTSELLVSKTLEQGAVIMWVQYISGSVKFPGVRIKNMDARRKKEIAKKSKESRKFDQRHWEQINERRIALESVCDQMCTELRVVRQDKYGWVLHAESEWQTHLQQLVHERGIFPVLKSVSIEEPNWQLCPIEGPYRMRKKIERCKLKFDMIETILNGEFEGGREFLLTREKDEIDLGGSDFLPHELFDEELYDDSVFKESDNVKDVGGSTRIGWNDGRDRDDSVYEASVHSAAEFSIKSNTLAPPSLRRDASIVSENIRITTEEKVEKEVSDNGEYLIRPYLEHNEKIKSKYNCERVVSLDKHDGVFLIGERSLYIIENFYIDDSGCICEKESEDDISVIDRALGVKKDASSSMTNSMTSWDTNGKVSAGGRAWAHNSGAWGRDKAINSRNVPHLWRMWKVNTVHEISKRDYQLRPVAVEIFSMDGCNDLLVFHKKEREEVFKNLNAINIPKNTMLDPTISGAVKQESTFKIMEKSFSKMWQNGEISNFQYLMHVNTLAGRGYSDLTQYPVFPWILSDYENENLDFTNPETFRKLDKPMGCQTMEGELEFKKRFESWDDPEIPKFHYGSHYSSAGIVLFYLIRLPPFSSENQKLQGGQFDHADRLFHNIHDTWSSAAGKSNTSDVKELIPEFFYLPEFLENRFHLDLGEKQSGEKIGDVGLPPWAKGSAREFIKKHREALESDYVSENLHHWIDLIFGYKQRGKAAEEAVNVFYHYTYEGSVDIDAVADPSMKAAILAQINHFGQTPKQIFHRPHVKRKTDRKHPLNPLKFSPRLNPHEIRKMTSPIAQIVTLNDKILTVGPNNFIKPRTYTKYVAWGFPDRSLRFMSYDQDRLLSTHENLHGGHQIQCASASHDGQLLVTGAYDGMVCVWRIGSYGGPRAPRSLNLQQSLCAHTAKITRIYVSQPYMMIVSGSDDCTVIMWDLSSLVFLRQLPEFPFPISALYMNDLTGEIVTAAGVLLAVWSVNGDCLAVVNTSQLPSDLILSVATCTFSDWVETNWYISGHQSGGIKVWQMVHNCSETARTAHKHPSAQVHCGLGLRGGKVPEYTLVLHKVLKGHNHPVTALHLSSDLKLLLSGDSDGHLFSWTLPDETLRNSIKWG >cds-PLY67667.1 pep primary_assembly:Lsat_Salinas_v7:4:2959585:2959854:1 gene:gene-LSAT_4X760 transcript:rna-gnl|WGS:NBSK|LSAT_4X760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDKPIRRVGGGGSPCRCYPHGYKVFVAVGKGGSGDDYLTVGVGVETSTRWHRVVAVGGDGGSDGLLLFRFGLLVDETVSGDVSSICYI >cds-PLY88709.1 pep primary_assembly:Lsat_Salinas_v7:5:67919712:67919996:1 gene:gene-LSAT_5X32361 transcript:rna-gnl|WGS:NBSK|LSAT_5X32361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGIQQLMYGPCARLNLPNCRTMSVYYSESMVVPNGASSCDSTTTCSYFEDSKNGSGSQMVKDDCESNSNEFGYPPFMTNVKQEVEDDQEDYDW >cds-PLY81769.1 pep primary_assembly:Lsat_Salinas_v7:3:34552408:34555176:1 gene:gene-LSAT_3X25800 transcript:rna-gnl|WGS:NBSK|LSAT_3X25800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) UniProtKB/TrEMBL;Acc:F4ISP4] MFLLLRSYSTSSKLQRRLHLTQENHIPIVGGVSSQRCYCSVATPEPVISETSSTSIKKRIVSGVQPTGSIHLGNYLGAIKNWVSLQDKYETLFFIVDLHAITLPYDVQQLSKATKETAALYLACGVDTSKASVFVQSHVRAHVELMWLLSAATPIGWLNRMIQFKEKSRKAGDENVGVGLLTYPVLMASDILLYQSDLVPVGEDQKQHLELTRELAERINHLYGGRKWKKLGGRGGSIFKVPEPLIPPTGARVMSLTDGLSKMSKSATSDQSRINLLDSKDAIANKIKRCKTDSFPGLEFDNGDRPECNNLLSIYQIITNRTKEEVAQECHDMNWGTFKPVLTDALIDHLHPIQTRYEEIMSDRGYLDEVLAEGASKAAEIADSTLSNVYQAMGFLKR >cds-PLY76207.1 pep primary_assembly:Lsat_Salinas_v7:4:53131977:53135104:1 gene:gene-LSAT_4X36360 transcript:rna-gnl|WGS:NBSK|LSAT_4X36360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEKVEKIGEGTYGVVYKARDKVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSDKRLYLVFEYLDLDLKKHMDSCPEFSKDPRLVKTFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRIMGTPTEDTWPGVTSLPDFKSAFPKWSSKDLATVVPNLEKTGLDLLRKMLCLDPSRRITARTALEHEYFKDIGFVP >cds-PLY66839.1 pep primary_assembly:Lsat_Salinas_v7:7:17303584:17305474:1 gene:gene-LSAT_7X13441 transcript:rna-gnl|WGS:NBSK|LSAT_7X13441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDLFDLVNSFIEKGCGVVVDEEIGRIDDDHNPYDDEDSDVVENTMKDSLKRLFAFENGDEARRKTTLEVQKAWRRVIETNSSPSSPDLKWQLMTQLRHQGFDAGLCRSKWKRNGKVPSGRYEYIDVNINGTRYMIDILIAGEFEIARPTKYYVSLLDVFPQITVCKVIEFKKIVRMMCDAIRLSMNQQQMHVPPWRRHEYVHAKWFGSYKRFTNTYSTKSITDSNIDSKKVVSFLSFSEQNCYWSRREEFGGREFCLKVGNLTMVMNGPT >cds-PLY96051.1 pep primary_assembly:Lsat_Salinas_v7:8:21678211:21679007:1 gene:gene-LSAT_8X16381 transcript:rna-gnl|WGS:NBSK|LSAT_8X16381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTRKIFLQEAGGLSYQHEKYHVPIIHTDIRTSNILLDRELQQKIANFGLIRLLPEDTTHLSTKFSGTLTIAVHFTNPFHVSTRVADKCSDGTLLLQVILHSQVKANLTIHDSWLDLKDGFTLAGESQSNGRPTSAFFPLVVPSTSRAGILFTISLPTNGKHYFISHFITHL >cds-PLY72228.1 pep primary_assembly:Lsat_Salinas_v7:7:55289078:55294141:1 gene:gene-LSAT_7X39841 transcript:rna-gnl|WGS:NBSK|LSAT_7X39841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVMSPLDSIDSLLFSASRALCTPFAVFVQIQGYVICLLLAAGWAGAAYVRNREIRQMKKCMKDGNDFAFLSHDLHDLEHSKQIQLPGVTVVMPLKGFGEHNLHNWRTQLTSLYGGPLEFLFVVDSTEDPAYHAVSRLLLDFKDDVDARIIIAGPSTTCSQKIHNQLVGVEKMHKGSKYVLFLDDDVRLHPGSVGALTAEMEKRPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGRTFFLWGGCMMMHADDFRTDKHGVVSQLSDGGYSDDMTLAAIASAHKRLITSPPVAVFPHPLASDLTFSRYWNYLRKQTFVLESYTTYINWVMNRALFSVHCYLSWGFVAPYVMAFFHVIAALRFYMKEKVAEESPLNPKGLILVGCLVTCTAIELLSMWNLTRIEVRLCNMLSPEAPPLSLSAYNWCLVFVAMVVDNFLYPISAIRSHFSQSINWSGIRYHLRNGKIHKIERTMEKGKKFSDLAAKRLYARKGAQSKISILGSLSRGLAHWRQPKKYDV >cds-PLY78710.1 pep primary_assembly:Lsat_Salinas_v7:9:51219003:51219480:1 gene:gene-LSAT_9X46341 transcript:rna-gnl|WGS:NBSK|LSAT_9X46341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVGNKGLTPIKLFYTSNMPIILQSALVSNLYFISQLLHRKYSGNFLVNMLGKRKESEYSGQSVPVGGLAYYVTAPSSLADMAANPFHALFFFFFNILVN >cds-PLY70346.1 pep primary_assembly:Lsat_Salinas_v7:4:99075986:99076288:-1 gene:gene-LSAT_4X64161 transcript:rna-gnl|WGS:NBSK|LSAT_4X64161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLPIRPILIIALLLAIAVVAHNGGVDHHGVAGGDGGSGSKTPVDGGSPFPVANGGSPFSRSNRDNSTGGLNKSDASSYSVSSSSIKLICLLAYASFS >cds-PLY88209.1 pep primary_assembly:Lsat_Salinas_v7:5:122577030:122578993:1 gene:gene-LSAT_5X53240 transcript:rna-gnl|WGS:NBSK|LSAT_5X53240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPYDVPDIYWKNEHRLMCSGEACTAAERDRYEKSPIRYQSQALRSPGSSQSIDTVKGLFGIDLVSRVHCAESGEESLETESIYSLKCHISHEVNHLHEGLKHGLKSELEKASPSLGEEYLAWRRIAKVCMQFTVDALQDEKKVLQSMLQKAPVITNPVDIKKPPTNIKKASTSTDDLGM >cds-PLY62651.1 pep primary_assembly:Lsat_Salinas_v7:3:37005250:37006420:1 gene:gene-LSAT_3X27380 transcript:rna-gnl|WGS:NBSK|LSAT_3X27380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPSTPAAPFLLHLQFVSTSLHSTSPPLAPLYHRQLQQPCLRLRQLLQIMLKQEEKLSARKLCLNWFN >cds-PLY80186.1 pep primary_assembly:Lsat_Salinas_v7:8:191144448:191144669:1 gene:gene-LSAT_8X124660 transcript:rna-gnl|WGS:NBSK|LSAT_8X124660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHRYSVRDFRLLCRLGGAGYRVLARLDHRRPRRYSHLVVMGLKLLSPSYPLLFLDKSSRQGNCYSKHERE >cds-PLY70266.1 pep primary_assembly:Lsat_Salinas_v7:2:90597080:90601090:-1 gene:gene-LSAT_2X39201 transcript:rna-gnl|WGS:NBSK|LSAT_2X39201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein [Source:Projected from Arabidopsis thaliana (AT3G16270) UniProtKB/TrEMBL;Acc:A0A178VIA7] MESSRRAVESYWRSRMIDGATSDEDKVAPVYKLEEICELLRSSHVSIVKEVSEFIFKRLQHKSPIVKQKALRVIKYAVGKSGVEFRREMQRNSVAVRQLIHYKGQPDPLKGDALNKSVRETAQEALSAIFAGEDNSKPPPQEGLTQRIQGFGNTNFDIPSDDKKSFLSDMVGIGSATIKQGLNSLSQAQTHNKNDTGTYRGPNLRRSLTNESSYSDSKELSSQAATSSRLSTNVSGSWGQDLKTSQIDTENGNASSSYSNEKSREERLLETIVTSGGVRLQPTRDAIQVFLMEASKLNAVALSHALEAKLQSHMWQVRVKAICVLEAILRKKDDEPFSIVTSYFTENIDAVVKCSESPQASLREKANKVLSLLNGEQSGSRISHQDKNIKTEKTIVQMPDLIDTNDPFGTENLITTQTDEPIASLSTSTTTSLMNDFFMDSSTPDLHNEEFKTSDDPFADVAFHNKDEEHEETDIFSGMSTVDKPQVPTQTTAPGIGIGNGNGNGNGPELFDIFGSNSDTPQGNYKNDVNDLMAGLSIDGNSQGVNSNLTHQPDDVLSNLLKSQQAPGNGIPGNPMLPMDPMTYNSGFMFNPATFGTQPVNYAAMSSILAQQQFYLQQMHAQNFGAGNAASGSQVGGGGYDSAALPDIFNPNIATQQQPPASMMNNSKKEDTRAFDFISDHLAAARDPRRVN >cds-PLY82935.1 pep primary_assembly:Lsat_Salinas_v7:1:17257129:17257406:1 gene:gene-LSAT_1X15041 transcript:rna-gnl|WGS:NBSK|LSAT_1X15041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDKIAFSTDSSYLSPPQLPGQSRNLQQIFLFHIYHTLLLLQCEPILMCLFAMEF >cds-PLY63227.1 pep primary_assembly:Lsat_Salinas_v7:6:89331821:89334370:1 gene:gene-LSAT_6X60801 transcript:rna-gnl|WGS:NBSK|LSAT_6X60801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKLRDHFMKLETPVGPLSVSIKKLFVKTDPSTIDKSIINPRPLFNSICTMASQFKGYQQQDSHELLRFLLDGLCNEECSKDKNVQKEHPTFVDALFGGQICSSVSCLECGHTSNVYEPYLDLSLPLPTKKSTSKKMPLVSKSKKPNTPPKRREKIETKVHKASDPATREDIMEKISGITITESGIPLDDCNGNSNSSDISSWLDYLEPTKASSDSWLDYLDPCSSNDHDMVSRNGIQDSGDGNEHIWEDLDEAPRVQESEILLLPYKELTSTSNGNEIAEEASDFDGFGGLFDEPEVEVVSGPSVKNNSDSDSDELDNSDCQVSVDKCLAYFTTTEILSKTDHAWQCEQCTKALLEQRTRLKNKTNGIGIGIPSDSGIEHSFLNGNGSPSIPSDSGVEDSVSNGVGNGNGNRNGNGVPSEMEERGSEENGGNSGLSSHHQSSVTDSNGHDSNGVEDEVDSSSVKVIRDASKRILISKVPPILTIHLKRLSQDARGRLSKLNGHVDFKDTIDLEPYMDPSCCKEKGRERYQYGLIGVVEHSGSARGGHYVAYVRGGLKDDWVWYHASDAHVRQVSLEDVFACEAYILFYEKM >cds-PLY66864.1 pep primary_assembly:Lsat_Salinas_v7:7:19143571:19146592:-1 gene:gene-LSAT_7X15321 transcript:rna-gnl|WGS:NBSK|LSAT_7X15321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRRKEAKQVVRKSVELCRISDLQFNSSNAGIVNNHTADPVMLQGHNGEVTAVDWCSSEIGKIATFADVPYIASTVFIISVCNNQLLDWIENELINY >cds-PLY69698.1 pep primary_assembly:Lsat_Salinas_v7:5:211124668:211126402:-1 gene:gene-LSAT_5X95761 transcript:rna-gnl|WGS:NBSK|LSAT_5X95761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITLSHANRLPVTIQYPYEKLITSECFRRRIHFEFDKCIACEVMEIHEEVLRYLPASGIIGLIFWWEMFFILDNEIIPLLPTQRKTTFLRYTVYAGKLLYVDTFKFDHLQVTHKRPTIFYWTSEKIRFLEDILQESGGVGCGNVNEAYVEEEFQESEYNEEESGGEEDESNGEEDLCDEDEEYFDVNKVSDVEDQGKCSGRQGDGSGPHEGNIGKNRVEGKGDNDKDDEQGNGSGCNKEEAINLNSVVENVTKSVGLINSQEGVSFSQFICDLVVESFLKTLDQGTDGCTNQKQVEDDVNENLTGIDDGKNYLCIFNT >cds-PLY69352.1 pep primary_assembly:Lsat_Salinas_v7:7:82162790:82166762:-1 gene:gene-LSAT_7X57621 transcript:rna-gnl|WGS:NBSK|LSAT_7X57621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSRPTKFTIKMGGKVHEITPCTNHNRQKTIENDQNALEVPTIPSNSDNKGTDHIPNASSSSEYSTEDDENAPPPYPDSQKQMVLYDPSSNHSNAITSIQNKPRSFPKKHLDPKRVVPEVGAFTVQCANCFKWRLIPDQEKYEVIREHITDHPFLCATTRQWDRHVSCDDPTDIEQDGSRIWAIDKPNIAKPPPGWKRLLRLRSEGSSKFADVYYASPTGTKLRSTPEVEKYLASHPEHAQGVAINRFSFQIPKPLRDDYVKKRPSQNPERGILGFNFKNIFC >cds-PLY72127.1 pep primary_assembly:Lsat_Salinas_v7:7:57526864:57528718:1 gene:gene-LSAT_7X42060 transcript:rna-gnl|WGS:NBSK|LSAT_7X42060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G28980 protein [Source:Projected from Arabidopsis thaliana (AT4G28980) UniProtKB/TrEMBL;Acc:B9DFZ7] MDPAPPSTTKSWSIHTRREITSKYEIQNRIGSGAYSDVYKARRLSDDLTVALKEVHDYQSAFREIDALQTLQHSPNVVELHEYFWSEDEDAVLVLEYLPTDLASVIRTAKKEWNGLSVGEIKRWIIQILLAVDACHRSSIIHRDLKPSNLLISATGVLKLADFGQARIRLAPGFLSVHDNTQPQVQESSLFQQQETITQETRPNLMEPPPLRTIEEYPKDPIDELQLEKETNFPDVDTSCLATFTTSDIEDDFLKSNYSYNETGNDESALLTSCVGTRWFRAPELLFGSTNYSAEIDLWSLGCIIAELFTLQPIFPGTSDIDQLSRIFTVLGNLNEEIWPGCTQLPDYKIISFGKVENPSGLASCLVNRSQDEISLVEKLLCFDPAGRAAAMELLHDKYLNEEPGPVPLGELRVPGSNRGGDDDGSSESGEWGGGGPMGSDSDFDDFGGFDVTKGSGGDYSLRFE >cds-PLY62188.1 pep primary_assembly:Lsat_Salinas_v7:6:30956219:30957332:-1 gene:gene-LSAT_6X23641 transcript:rna-gnl|WGS:NBSK|LSAT_6X23641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIQNSTSEPDDCSSNSCNSVPSSPGSTHPLPKLQNPETKTVKRSRDSSTKHPVYRGVRMRSWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALSIKGSSAILNFPELVGSLPRPVSCSPRDVQAAAAKAAAMTELDFPSSSIETASDELDEIIELPVLGSSFDSLELKNDFVYTESVVDGWLYPPPWMNNGGNQEDGYVSGQANVISTAFESLWWNY >cds-PLY63733.1 pep primary_assembly:Lsat_Salinas_v7:9:86899620:86902824:1 gene:gene-LSAT_9X66941 transcript:rna-gnl|WGS:NBSK|LSAT_9X66941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDIHPPWLRSLLQSSRTLIPSSHIHETTTYEAHGSAIQSDFEEYIEKMGSPIFPKKPQKLSNKDSSQAQGTKGPRFEDGPNFDIAFRLFHGQNGVVPLSEGSLQFPQKVKAERVSNPIDPITAKVASVNLSDFRGLYSLDSLKEMIENNRRRRKSSKEDSLQAWGIKDPEFEDDPNFVFHGLNGVVPLSEGSLQFPQKLKVESMSHQFNPLAAKAAKISLSGFGGSFGFDAFGEMFKNQQKKHKSNKKDSSEDWGTKGRAIVTSPRIDKAFSFSNEPVSHQLNNNFSWFDSGFGAFKEMGFNKPKKSNKKDCSKNGDLNMGGNCPSTSSYLGSYFEDPFDIAPTDSQFSSSMYTPWHYGDMWHSNGARTRSCSNTIPIVAKPSNNKNKCMPAIWGATTALANNFLPQSMLPRMMVMSAIGMATNVPLGMWRQHTPTFSPSWFIATLATIPFLIPMFCASDFMPKPIIMFTLGASVLLGQVIGSLAQQYRLRVIPIAATPPTVTQDLVVARSNGAEVSPFHIEVSITLWRYRVVFYVSSQKISLCVSCKHTSPETLTQTTSANNLAPQPMLKQIFLAGAMAMAAKIPLGMLHGFKNFHFLAKLCNWVLMQPQTTMALTFGGSILGMIVRSMAEQYRLEPTAATPPPSPETEASVDGGGSDQAEEMAFHIKVVISLWSYLISLDSSPLESSFLVSQQLALPSSSE >cds-PLY63025.1 pep primary_assembly:Lsat_Salinas_v7:8:184729225:184732795:-1 gene:gene-LSAT_8X120901 transcript:rna-gnl|WGS:NBSK|LSAT_8X120901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCRGSLGTKHTEGFNKPEDHSIATQNPSSALSNNSLDYSPTTLINQNLISQEFSKEPTPKPLPLPKKDTTITMSRHGTSNQAYYVLGHKTDNIRDVYTLGQKLGQGQFGTTYLCTEIATGIDYACKSISKRKLISKEDLEDVRREIQIMHHLAGHKNIVTIKGAYEDPLYVHIVMELCNGGELFDRIIQRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNRDDDFSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGDIDFDSDPWPVISDSAKDLIRKMLCSRPSNRLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFKAMDTDNSGAITFDELKAGLRKFGSTLKDTEIRDLMDAADVDNSGTIDYGEFVAATIHLNKLEREEHLVAAFQYFDKDGSGYITVDELQQACADHNMTDFLVEDIIREVDQDNDGRIDYGEFVAMMTKGNAGVGRRTMRNSLNMSMRDAPGAL >cds-PLY99146.1 pep primary_assembly:Lsat_Salinas_v7:2:11677458:11679626:1 gene:gene-LSAT_2X4981 transcript:rna-gnl|WGS:NBSK|LSAT_2X4981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECQKETEQIAYPVFYDVDPSEIRQQLDPVGEAFSRHNKGEVGKWRESLKEAANLAGWDLRKTSDGIYYAAGLPLTIRVLGSFLCGKNKPEWKDALERLKSIPLKETLVKLELSYTCLEDDYKEIFLEVACLLKGWLKDDAITALESCGFHARNGLRVLEQKSLITISPDQRLGMHDHIEEMGRNIVRRSYPDEPIKHSRLWIGEEIKDVLVDDLVTGAIRAIATNTSTWFDDEKLCSTILINGFGNLKKLRFLHVVSGSSCSFEIGQNFPNALRFLSWHCYPHYCLPKTFQPNNLVALEMPNSRIKQLWHEGERKVLKNLRFLDLSNSKLTTIDCGLLPNLETLKLEKCRHLVEPHTPIGCLRKLVLLNLNGCVGFKSLSFIKPLKSLQVLDLSNLYLTKFPDILPEHSNYSLLAIYFSKNNIQELPSSIGNLEKPVYLDLSGCPRLKSLPQSICTLRSLKNLDLVNCAIEELPEDLGHLESLEWLNLGGTRVEHLPNSICMLKNLKTLLLTSCKVVMNLPEDIGMLESLEELSLAFCKIRDVPSSICKLTHLREFDLGFCDQLERLPEKLGDLKCLEELNVQGTCISLLPQSIFLLKDLKIIGFKSVD >cds-PLY64361.1 pep primary_assembly:Lsat_Salinas_v7:4:23615623:23616370:1 gene:gene-LSAT_4X16480 transcript:rna-gnl|WGS:NBSK|LSAT_4X16480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVCDFSLHPVLTDRSTPTIKLSHKELSRQIEALESQRDSLVKSNKSMRKTMWDWKQKLYTEAEADKKNRLFHFQSSNRFMAKSPYSLNLIPNLPDFIRFQTFKIHIFKI >cds-PLY96273.1 pep primary_assembly:Lsat_Salinas_v7:6:157234628:157236910:-1 gene:gene-LSAT_6X96321 transcript:rna-gnl|WGS:NBSK|LSAT_6X96321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICKHLLEMMINPLLISILLFTLSLAIAEGSDSEKTSVSVLKTYIVHVKKPEASLSTQSEDHDHKAYHRSFLPFRLASLEKEEPLLYSYQNVVSGFSARLTDADIEAMRKKDGFVSAHQERILKLQTTHTPTFLGLHQESGFWKESNFGKGTIIGVLDGGILPDHASFSDYGMPPPPSKWKGRCEFNASTCNNKLIGARSFNIASMASNTSKKVETPIDEDGHGTHTASTAAGGFVKDAEALGGAAGTAVGVAPYAHLAVYKVCFGPDCPESDILAGLDAAVADGVDVISISIGEENVPFFQDNIAIASFAAIQKGIFVSCATGNSGPFNGTATNVAPWVLTVGASTTDRKIKATAKLGNNKEFDGESLFQPKGSPFSTLSPLVYAGANDKQDSKLCVNGSLEGMDVKGKVVLCERGVTSRIEKGQVVKDAGGGAMILMNQQPQGFSLDADAHVLPATQVSYTAGLEIKSYINSTLTPMAALLFKGTVIGDPLAPSVASFSSRGPNTVSPGILKPDIIGPGVSILAAWTTPLPGSTNTKSPFNMMSGTSMSCPHLSGVAALLKATHPNWTPAAIKSAIMTSADLVNLKGTPIVDETLQPADLFATGSGHVNPSKANDPGLIYDIQPDDYIPYLCGLGYSDEEVGIIAHGPIKCSMKSSIPEGQLNYPSFAVKLGSSQTFTRTVTNVGEAYTSYVAKVVAPKGVSVSVNPSKISFRQMNEKATYSVIFRRTNEEAGEYSQGYIAWVSTKYMVRSVISVNFV >cds-PLY81682.1 pep primary_assembly:Lsat_Salinas_v7:6:56811735:56814481:1 gene:gene-LSAT_6X42821 transcript:rna-gnl|WGS:NBSK|LSAT_6X42821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTTARLDLDGNTINPMTICMIGAGGFIGSHLCEKLMQETPHTVLAVDVYNDKIKHLLEPDSLPWAGRIQFHRLNIKNDSRLEGLIKCSDLTINLAAICTPADYNTRPLDTIYSNFIDALPVLKYCSENNKRLIHFSTCEVYGKTIGSYLPKDSPLRQDPAYYILKEDVSPCIFGSVEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFVYIKDAIEAVLLMIENPGRASGHIFNVGNPNNEVTVRQLAEMMTKVYSKVSGEDSIETPTIDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWNPKTSLWDLLESTLTYQHKTYAEAIKQAIAKPLAN >cds-PLY69943.1 pep primary_assembly:Lsat_Salinas_v7:4:67950558:67954581:1 gene:gene-LSAT_4X46121 transcript:rna-gnl|WGS:NBSK|LSAT_4X46121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADGHLFNNISLGGRGGANPGQLKVHPGGILWKKQGGGKAVEVDKSDISGLTWMKVPRTNQLAVRIKDGLKYKFTGFRDQDVISLTNFFQNSCGLTTEEKQLSISGKNWGEVDLNGNMLSFLVGSKQAFEVSLADVSQTQLQGKNDVILEFHVDDTTGANEKDSLMEISFHIPTNNTQFVGDENRPPAQVFREKIMSMADVGAGGEEAVVTFEGIAILTPRGRYNVELHLSFLRLQGQANDFKIQFSSVVRVFVLPKSNQPHTFVVVTLDPPIRKGQTMYPHIVLQFETDYVVESTLSINEDLFATKYKDKLEPSYKGLIHEVFTMVLRGLSGTKLTRPGKFRSCQDGYAVKSSLKAEDGVLYPLEKSFFFLPKPPTLILYDEIDYVEFERHAAGGSNMHYFDLLIRLKTEQEHLFRNIQRNEYHNLFDFINSKGLKIMNLGAAKTTKDGVAAVLQDEEDDNVDPHLERIKNEAGVDDSDEEDEDFVIDKDDGGSPTDDSGGDDSDGSQSGGEKEKEKPVKKETKKEAAPTSSKPSSSKKRPKANEDDGSKKRKPKKKKDPNAPKRAMSGFMFFSQIERENVKKDIPGISFQEIGRVLGERWNKLTVEEKEPYEAKALADKKRYLDELSSYKPQPTNSPNNSE >cds-PLY67582.1 pep primary_assembly:Lsat_Salinas_v7:MU044895.1:95871:97812:1 gene:gene-LSAT_0X38321 transcript:rna-gnl|WGS:NBSK|LSAT_0X38321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTPQVRTQETWFLAVDRNGDVVQILGQRKDQGYLQSVLIPSKCYTIEKYACGIPDRYQKWLDNEVYIAAGMASSITSIQDTHTIPACWFTFITKKQIANYVDRHADTNIRGEDIAISLWKECTNIPSKFDAVGLENTVAPVVVAITSIKISTYDGSLRHGISSASHLYINPPIPETPLLTDSFRTFSDSSIFFDIPTPLGDILQRGHPELLNKSFTTKAVITAYVFSDCWYQVQCPNCKIPAFKQGKSWFCPSDGIINAPTITFKLSATLADENNSIVALLSDNAAQDLFGSTADKLIPDDDINCRGQLPAIATTVQGIAKKMKLRITNTSTDTNIRFMITDIEKSNPQETAYPTTPAPHHRSPSKDNEKDCSSVPQHSRANVRRSLPFESQDTSNTKRKSARKIE >cds-PLY65797.1 pep primary_assembly:Lsat_Salinas_v7:5:269752461:269756078:-1 gene:gene-LSAT_5X140441 transcript:rna-gnl|WGS:NBSK|LSAT_5X140441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLLWLCFLVAILHPSCFTITTAKQTYIVQMNNHQKPTSYVTHHDWYADSLQSLASTNPDAILYSYTNAYHGFSASLDPDQVEALRQSESVLGVYEDTVYQLHTTRTPEFLGIENELGLWSGHTPQQLNAASHDVIIGVLDTGVWPESKSFDDAAMPAVPARWKGECEEGEDFKPTVCNKKLIGARKFSKGFRLAAGAISKEKESPRDQDGHGTHTSTTAAGAQVGNASLFGFASGTARGMSVHARVATYKVCWKTGCFGSDILAGMDRAISDGVDVLSMSLGGGSAPYFRDTIAIGAFKAMEMGVFVSCSAGNSGPAKASLANVAPWIMTVGAGTLDRDFPAYVVLGNGKRVTGVSLYSGKGMGDKPIELVYFNDKGTGSSSNLCMPGSLQPDAVRGKVVFCDRGVNPRVEKGQVVKEAGGIGMILGNTAESGEELVADSHIHMLPAVAVGRKIGDEIREYLKTEKNPKALLSFGGTVLGVKPSPVVAAFSSRGPNMVTPQILKPDVIGPGVNILAGWSEGIGPTGLEMDTRKTQFNIMSGTSMSCPHISGLAALIKAAHPGWSPSAIKSALMTTAYIVDNTKSPLRDAAGGQFSTPFAHGAGHVEPHKAISPGLVYDISTEEYIAFVCSLGYDIKQVQAVVNRANVTCARKLGDPGRLNYPSFSVLFGKSRVVRYTRQLTNVGLAGSAYDVVAEAPEGVQVTVKPKRLVFKKEGDKMRYTVTFVSRKSNSDDLFGSITWKNAENQVRSPVAFGWARVV >cds-PLY83453.1 pep primary_assembly:Lsat_Salinas_v7:9:88217212:88222264:1 gene:gene-LSAT_9X69261 transcript:rna-gnl|WGS:NBSK|LSAT_9X69261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAGPSFKDKPSNGAVHLDIDMEDDDDDDDMMDALSVRDFDEPYLKNFCKRASTSFFKHYGLISHQINSYNDFINVGIQNVFDSIGEIIVEPGYDPSKKGDNPWRYASIKFGQVNLERPRFYTGEKAESGKEFLEFLPRHARLQNMTYSSRMKVKLTLQVYTQELVRSDKFKTGKEKYLDKQIQETRDLDVFVGRIPVMINSDTCWMSEDKKDDCDYDQGGYFIIKGAEKIFIAQEQLGLNRVWLASSPNYRVMYRSVHKRKRVYVKLADTPKIEDIGGGAQVITVYLLSLMDIPIWILFFALGVSSDKEVVDLIDADITDGNIVNILIASIHAADQRCELEKCDEFRQGRNSLNLIEKLLKESKFPPKESVKECIENYLFPNIIGFKRKARFLGYMVKCLLEGYTGKRKVDNKDDFRNKRLELAGELLERELRIHLRHVEKRLVKSLQRDLYPDRSVGEIWQYLDASIITNGLTRAFSTGSWSHPYKNMERTSGVVAMLRRANPLQMISDMRRTRQQVLYAGKAGDARYPHPSHWGKICFLSTPDGENCGLIKNLAGTALVSTAVRGGIFDVLVACGMEKLVDDTSTSLSGMDKVFVDGDWVGFCKNSASFVAEFRRRRRKTEVPPQVEIKRDTRHKEVRIFRDAGRILRPLIVIQNLPKIRTLKGGSYSFQDLLNNGVIELIGPEEEEDCCTAWDIKYLFLENDEHAPKKYTHCELDMSFMMGISCGIIPFANHDHAKRVLFQSQKHSQQAVGYSSTNPDIRVDTLSHQLFYPQRPLFKTVLSDCLEKGQQSGQTQKGMIARPEFFNGQCAIVAVNVHLGYNQEDSLVMNRSSLDRGMFRSEHIRSYKAEVDNTEVSKKKVKSDEAVNFGKIQSKIGRVDSLDEDGFPFIGANLQSGDIVIGKFTEAGTDHSVKLKHTERGMVQKVVLSANDEGKNFAVVSLRQVRAPCLGDKFSSMHGQKGVLGYLESQENFPFSVQGIVPDIVINPHAFPSRQTPGQMLESALGKGIALGGSQRYATPFSSLSIDAITDQLHRAGFSRWGNERLYDGRTGEMVKSLVFMGPTFYQRLVHMSEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLIAHGASANLHERLFTLSDCSEMHVCTKCKRMAGVIQRSVAGGHKIRGPYCRVCDSVEDVIKVSVPYGAKLLTQELFSMGISLKFDTELC >cds-PLY77227.1 pep primary_assembly:Lsat_Salinas_v7:4:176771501:176772679:1 gene:gene-LSAT_4X104301 transcript:rna-gnl|WGS:NBSK|LSAT_4X104301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIKFSSSKEAREFLEDKTRWQEWFKWMVLEEHKDLSYERLAWLNIYGVPLRYWDGDNFSRIATRFGKVIIPFDNIHDRRDLSMGKVGVNTTRKTWINEEVQIGVDGAVYVVGVIEYSEEWSPFNPCHSDKVAKEFDSDVGVDDDDVEEGISDTWLHENDNELEEREFRSDSTPKNQPEKIRCQDENGESPGNPVNEVETTVDSTMRISQGVELVNTDNLCVSIGSPQEVNTNTVIMDTECKVTKDLGNTGMKPTDNVVGPSINNELFDSAGPILNSSPPAQNIFTTQNCTSSSCSSRCSSERKFKRRKRAKGCRSPPTADPTPVNNSSSQYGVYPRLHNTSVSLDLNRDPPISVSTHNSTDGQDKTVPNEIRQTVVMGLKLAFKLSLTIKS >cds-PLY84037.1 pep primary_assembly:Lsat_Salinas_v7:6:191490227:191490688:-1 gene:gene-LSAT_6X117240 transcript:rna-gnl|WGS:NBSK|LSAT_6X117240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSMEVFGKSMVATNVIYLSSILGQDEGFVHKCDWKCENEQVCGNMFRCKLTGLTHICDKNCNQRIVYDNNNSLCRVSRQVFPLTAAEVQAVKGVRRKLEEESSACSSPPESCAFKRRRSGQFHSSPFERSFSAVAPIPVFTQLGDSISMDIN >cds-PLY62105.1 pep primary_assembly:Lsat_Salinas_v7:4:160199507:160202620:-1 gene:gene-LSAT_4X96261 transcript:rna-gnl|WGS:NBSK|LSAT_4X96261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKVDKVDEVVEPTDRISELPEPIIHHILSLLHCPRDVARITALSKKWRSIWASFFFFNFDQKRFKTSGGDHTEKFITFVDNSLASKLDPMVRIHKFKISLSQINPKLKLYTNKWVYSAIKRNVKELEIHVEEKKKKHYMLPNFILTSSTLTSLRLSGCKFDNEVIINLHNLKELSIKNSHVDKNVIPSFIRGCPLVEDLRLVHCRGIGHLEISTPVKLTRVELHECHGLVVINLEVPSLTSFLYIGKKSWFCEINIGDCENLKCLTLKDSNLTDEEFQEMIIKFDNLEKIALRECDYLERITILSMKLKELSVIRCKNVEDVIIDAPNLSVLEYFGERMPFSCMSVGGLCEAKLNLQNKKDKFVPLKELLIFLMKFRRKGDWKMVVSSNKNVTIHEELNNVPYLTSHDLKIELIKSHVKMKGYIGNLLRMSRPKTLSLVSSSSSEFLKDKSIELGPWGGNGGCSWNDGVHSGIREISIVYGSCIDSICVTYDKFGKPFLGEKHGGMGGTKAAQIKLQFPEEILISVSGHYCPVVYGGGPVIRSLTFKSNQRTFGPFGVEEGTPFNFIANGGHVVGFYGRSGWFLDSIGFYLSSTKVSLFQRVQTMFQGLNAFAIKDSEQKKTKGSKGYTWGI >cds-PLY62523.1 pep primary_assembly:Lsat_Salinas_v7:1:82537858:82538306:-1 gene:gene-LSAT_1X71621 transcript:rna-gnl|WGS:NBSK|LSAT_1X71621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPESQKSESGVYDFDQDTVFMSTEKSKKKRIQQSPYISDSRAFPIEIIELKVSYVGEKIALVSLKCRKRRDTMVKICEVFESLKLNVVTAKITAFPETLFKTLFIQVCTRKYTLRWCVLD >cds-PLY95212.1 pep primary_assembly:Lsat_Salinas_v7:2:98025698:98025886:1 gene:gene-LSAT_2X43440 transcript:rna-gnl|WGS:NBSK|LSAT_2X43440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHLENFVANLIRYFHWIPPNGYCGDLSEKLEFIVIIKNRQITQISSRVEKLTRNSVGRWVT >cds-PLY79476.1 pep primary_assembly:Lsat_Salinas_v7:3:248356922:248360383:1 gene:gene-LSAT_3X137080 transcript:rna-gnl|WGS:NBSK|LSAT_3X137080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKFDVLEEISDPGLESLRISSMDHDQSSPTLNSTHSGNSNSYAYCRTNSETTSVFSEQLTDDFTSSSSDRNSPVCWPATGRSPYQPTLSRFGGIRHNHRQDLKPADFELEMMKERFSKLLLGEDMSGSGKGVSTAVTISNAITNLYVSMFGQHQRLEPLYMDKKMMWKREMTCLLSVCDYIVEHIPPMQHLQNGASMEMMFSRLRSDIDINLPALIKLDALLIEILESFQETEFWYDEQGSISGKSLNGSFRKVPQPHRNEEKWWLPVPCVPSGGLSEAAKKHLRQKRDSANQIHKAAMAINTNLLSDMEIPRTYIASLPKSGRASVGDTIYRYMTSTTKFSPDYLLDLLNITSEHEALEIADRVEAAMFTWRQKASLNHPKTSWDIVKEHMEGDKNVVLAEKAHVLLFSLKQRFPELAQTTLDTSKIQYNKDVGQAILESYSRVLEGLAFNIVGWIEDVLFVDKSMRNKEEC >cds-PLY66862.1 pep primary_assembly:Lsat_Salinas_v7:7:16771616:16772074:-1 gene:gene-LSAT_7X13860 transcript:rna-gnl|WGS:NBSK|LSAT_7X13860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVREQSDHKAICSSIAMLQERFRQLEKLKEMREERELLKLISVSSNNHQCNSYYDQQYSLSSNFYEPSKYIFQSEVIFPTQPLDSQLLSLTLWPTSQTKKHADSFWDINIESTQKISSKPSWLTNVPLARPTLVKNIDESSVNSDVDTTLHL >cds-PLY96483.1 pep primary_assembly:Lsat_Salinas_v7:2:124977415:124977807:1 gene:gene-LSAT_2X58320 transcript:rna-gnl|WGS:NBSK|LSAT_2X58320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESQLVSLAKDSENEFIQKIKSEASILRQINEDLCKQVEDLQVSRLNEVGELVYLRWVNSGLKNELQNSTFFTSDISSSQLLLNGLILLYQVMKLLNMVALKRN >cds-PLY96905.1 pep primary_assembly:Lsat_Salinas_v7:4:285705038:285707814:-1 gene:gene-LSAT_4X144940 transcript:rna-gnl|WGS:NBSK|LSAT_4X144940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATNFQSDDSGGGVIKNPRSPGHSQTVSSPWSTIVQGSPVMVPDAVSAAPVSVAPAPTFSSSVEEKIGNFTSDWCPPSMVPDVVSSPDDSGTDGPGSDSGGVASKKPVWNKPNGVVEAVSPVMGAVSWPALGESTKASPKSSSSESLKALGDAPLLPTLQVTGNASPLSHKHTSANNAYPSSTPNHVAPARQRSMRRGGGSSNSNPSANGVLSHTSATNHDLGTENLHNTSGKPGTPASDISPRDKPSHIESPRGNFGPQSHNGNDHQHQRSSYRRSNSGQHHNYGGKRDQDRGNHEWNQHSRSFNGRDNHMQPQRGYPRGYIRPSVHSSTPYISPQMSVPVRPFGNNMMYHDMSPVFYVQGPPPPPDALRAMPLVAPVPPPMFFAVPDPSLHARIVTQIDYYFSNENLVKDTYLRQNMDEQGWVPVSLIAGFKKVLYLTDNIQLILDAMRASTKVEVQGNKIRRRNDWMKWLINTPAHYSNLSSPRAAGQSGSQDQLVSQLHGVTLDDGVDHVGGQQAEQV >cds-PLY98785.1 pep primary_assembly:Lsat_Salinas_v7:7:25879427:25881848:-1 gene:gene-LSAT_7X19921 transcript:rna-gnl|WGS:NBSK|LSAT_7X19921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKFRSWRNLLKKKQNEWLAFTGLKGLPYGIIEPKSDLELKRIWASSSSKLKANVSIPHNLLAMPVGLKMKHNVNTVVRKFLHENFTIILFHYDGHMDGWWDLKWSKKAVHIVAVNQTKWWFAKRFLHPAAVSVYDYVFLWDEDLGVQHFNPRRYLDIVKAAGLEISQPALDPKSSDIHHRITLRKRRHIFHRRVIDPKGSVKCTSDSEGPPCTGFVEGMAPNDLVHGWGMDMKLGYCAQGLKNVGIVDSEYILHQGIPTLGGPAAKKGSNDVNLAKKHHGFDMRTEIRRQSTLELDVFKQRWEKAIKEDKDWVDTFFKHKQKQQAPPPTIHS >cds-PLY64406.1 pep primary_assembly:Lsat_Salinas_v7:4:25833738:25834662:1 gene:gene-LSAT_4X18480 transcript:rna-gnl|WGS:NBSK|LSAT_4X18480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVHQNEEEEEETIFEKTKNMGVVPTTLATFESLTFPLVQEVVLLADFQCKRCQDRVADILSRLNGEAESVEFSLTEKKVTVTLNRRHDPRTAKMPENELQNNGIYKNNPSNKFSLVKRMFSSSSS >cds-PLY82330.1 pep primary_assembly:Lsat_Salinas_v7:1:65781539:65783002:1 gene:gene-LSAT_1X56260 transcript:rna-gnl|WGS:NBSK|LSAT_1X56260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTRTVQVGQLSDLASEREIHEFFSFSGEIEHIQICRGCSDSERKKTAFVTFTDPKALEIALSLSGATLVDQVVSITPVENYVQKPEEICAADNAVSMARQNSFSNIETNGTSPRSGRVYASKAQDVVSSVLAKGSAIGQDAMNKAKAFDQKHQLRANASARVISLDKKVGLTEKFSVGISVVNKKVKSVDQRLQVSDKTMAALMAAERKLNDTGSAVKSSRYVTAGSAWLNGAFGKVAKALRV >cds-PLY62324.1 pep primary_assembly:Lsat_Salinas_v7:4:87057977:87058275:-1 gene:gene-LSAT_4X58240 transcript:rna-gnl|WGS:NBSK|LSAT_4X58240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMGFCGRDTMVRTSWTSANPGWRFLSCPQKGSRCRFLGWIDPPMYARSMLIILGLLRNVNNAISTMQTIKWQD >cds-PLY86079.1 pep primary_assembly:Lsat_Salinas_v7:7:139563838:139566609:1 gene:gene-LSAT_7X83121 transcript:rna-gnl|WGS:NBSK|LSAT_7X83121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESYANIHDFSGECDNGINDNESMDVTLDEIAGGMNFTQNDEFLNLLCDNGKLYNSFVETLGHEEESGGSPSIPEADGDTKGIEYKFLEQALETQADVDANVVHETQIIKDLRENGYTEDEIARCVAVEHTEDDEKEGIGETQPQVTIKK >cds-PLY84625.1 pep primary_assembly:Lsat_Salinas_v7:1:31253714:31254504:1 gene:gene-LSAT_1X27080 transcript:rna-gnl|WGS:NBSK|LSAT_1X27080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSDWFQPPSYSPTFVASMEPNQDQALQQVHCPRCDSTETKFCYYNNYSLSQPRYFCRSCRRYWTKGGTLRNIPVGGVCRKNKKLSSTSSKPDTTHHRRQTPDVNGNGNTSLQLSYPESPAKFTEGGGVGGEYKGDGPFPAMVASGADTGNTHGLILFGEMEHYENMMDKVDAKRSRVFAFEWQEQMDCRSDLYGSGEGRNESFGY >cds-PLY68222.1 pep primary_assembly:Lsat_Salinas_v7:4:221913822:221922951:-1 gene:gene-LSAT_4X120860 transcript:rna-gnl|WGS:NBSK|LSAT_4X120860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGKSNLARNISRSISVAAGSWRIEDAFSGGAGGSHDGRSSRHSMEDEEALRWAALEKLPTYTRLRTTIFKSYIPPGQQETHSADHQMLVDVRELDPTARQSFIDKIFVVPEEDNERFLRKFRDRVDKVGISLPTVEVRFQNLSIEADCHVGDRALPTLINAARNIVESLLATVGISFSQKAKLRILKDVSGVIKPKRMALLLGPPSSGKTSLLLALAGRLDHNLEVDGEITYNGYKLNEFEPRRTSAYISQTDVHVGEMTVKETLDFSARCQGVGSRLEMLAELARREKEEGVTPEAEVDFFMKATAIEGDVSSLITYYTLRILGLDICRDTFVGDQMRRGISGGQKKRVTTGEMLVGPAKTLFMDEISTGLDSSTTFQIVKCLQQVVHLTDSTIFMSLLQPAPETYDLFDDIILLSEGQIVYQGPRDNILEFFEACGFVCPERKGTADFLQEVTSKKDQEQYWADKNRPYRYISVTEFSKRFKHFHVGEKLKNELSVPYDKSKSHQAALVFKKYLVPKMELLKASWDKEWLLMKRNAFIYVFKSIQIVFIGFITMTLYFRTTMHQRDEQDGRIYVGALLNSLLINMFNGLADLSLVIMRLPVVYKQRDLMFHPSWAFTLPSFLLRIPISVLESIVWCGIYYYGVDLAPDASRFFKHLLLVFLIQNVASGLFRLIAGVCKTMNIANTGGSVVLLMIFLLGGFILPKTSIPNWWEWAYWISPLSYGFKSFAINEFLGSRWTSKMSSDNSTNLGYAVLENLDIPTKESSYWIGAAALLGFTFLFNFLFTIALAYLDAPGKPQAIISKEEAAAMNLEDQDTNEQSTSHHANGNKVASDVTSVAKKGMVLQFTPYAMSFDKMSYFVDMPYEMREQGVTENRLQLLSQVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGDIRISGFPKNQETFARISGYCEQTDIHSPTITVHESLIYSAFLRLPKEVSKEDKMTFVGEVMELVELDNIKDAIVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFESFDELLLLKRGGKVIYAGPLGRHSQSIIDYFEEVPGVPKIPEKYNPATWMLEVSSGAAETRLNIDFAEHYSGSSLHQRNKEMVKELSVPPPGAVDLHFETQYSQSMWGQFTSCLWKMWWSYWRNPDYNLVRFFFTLLCALMVGTVFWKIGNKKSSSNDLSTTIGAMYAAIFFVGINNSQTVQPVVATERTVFYRERAAGMYSSLPYAMAQVFVEIPYVFIQTTYYTCIVYTMVSFEWTAPKFFWLFFINFFSFLYFTYYGMMTVSITPNEQIAAIFAAGFYLLFNIFSGFYIPQPKIPGWWVWYYWICPMAWTVYGCIVSQYHDVDSTITVPGMATDPTLTSYLNDYYGFEMDFMGPVAAVLIGFCVFFAFLYATFLRTMNFQMR >cds-PLY86401.1 pep primary_assembly:Lsat_Salinas_v7:2:148080324:148080728:1 gene:gene-LSAT_2X73260 transcript:rna-gnl|WGS:NBSK|LSAT_2X73260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSGRAEHSWSPNYARHMDENHLNGVTNEDIAISLLQTQTELSLIREDFQDQLRELRLAVNRHLDAMNLEVDDVRAGQMDISHMVADLKNHFVSLQGAYVKMVFKDNKRKKLMSCVGIFGVVCASVVTYLVFK >cds-PLY79356.1 pep primary_assembly:Lsat_Salinas_v7:9:54754402:54754773:1 gene:gene-LSAT_9X49141 transcript:rna-gnl|WGS:NBSK|LSAT_9X49141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDWQQYAQTIFFGLIFSFLLAKLFSIIFSFRDQNLRITRANSDEDQSYEKLSESDSEPVQEEKKQGAEFPRDSNTSDEEKPLVGQGKENAWTSSDTDDDWEGVESTELDEAFSAATAFXPSR >cds-PLY97531.1 pep primary_assembly:Lsat_Salinas_v7:5:237714805:237714996:1 gene:gene-LSAT_5X112560 transcript:rna-gnl|WGS:NBSK|LSAT_5X112560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFTTKEFMESMQEFEDFMDEAVEEVVQQIPMQLEGGIDVRGWKIWCLVGLIAIVCRGESTML >cds-PLY76419.1 pep primary_assembly:Lsat_Salinas_v7:8:154412431:154413019:-1 gene:gene-LSAT_8X103401 transcript:rna-gnl|WGS:NBSK|LSAT_8X103401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTMGGDHQKTAEKRVVKGCIKSGKGPWVVQRTTKDGRVITRYRFPSDRERLKNRERERNRRNVARKIFSGLRTFGNYQLSKNADTIDLLKAVCEEAGWHVEEDGTIYKKNVLHDDDGGYCTCDEKMDTYMESRSKMHPQKDHDDINVTLSLTLAS >cds-PLY94772.1 pep primary_assembly:Lsat_Salinas_v7:2:176408531:176410825:1 gene:gene-LSAT_2X100261 transcript:rna-gnl|WGS:NBSK|LSAT_2X100261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRGFAMESQDKRMCKTESAFSGVSVDYNQNQNHRVYDEDCFGDILNMFDFPMEGLEGDGFAEDWASKLGPIPSEVFKELGVSNTFVPSTDLPFEYPVLNNRALHSQPKEAFEAPSPNSVLENRSSSSNSKPISLGIEHSIPVRSRSKRFRPTSNPWLLSPLISKEKKRKTASEIPVFIKRSNVTGNGIKKCAHCEITKTPQWREGPMGPKTLCNACGVRYRSGRLFPEYRPAASPTFVPALHSNSHRKVIEMRNKTVE >cds-PLY84005.1 pep primary_assembly:Lsat_Salinas_v7:8:37699810:37700450:-1 gene:gene-LSAT_8X29900 transcript:rna-gnl|WGS:NBSK|LSAT_8X29900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDVVVRNLMMLYLAVIAAIKAYGQLSGRSYGGASVLILSTAAVGVLLLGALTWDVSRKAATYTVVTRDGDEAEHEMCRGGICWHGVAVKSPASQLRFRLPQRQHINNGQ >cds-PLY67022.1 pep primary_assembly:Lsat_Salinas_v7:5:283118336:283119451:1 gene:gene-LSAT_5X148001 transcript:rna-gnl|WGS:NBSK|LSAT_5X148001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVIDERIGNKRGRDDEKGSLGRLEKKPSGLVVLEEYSGDEMINGVKYEEEKPTPGGDGGGGGGLFSQLIVNLVSSPKSNQQPAEKNGDGVGGEEGGGALSNGGEVAAEKDGGVGGEVVEDEEDGGEDDIVAHLPATLSDVFKIEDTAPASDEASILLHSIIHD >cds-PLY96235.1 pep primary_assembly:Lsat_Salinas_v7:7:182741540:182742404:1 gene:gene-LSAT_7X108181 transcript:rna-gnl|WGS:NBSK|LSAT_7X108181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARRPKWLSSPGASSSIPRTRRSRHSLFFAGLPDYVDSSQDAKLVKILVDQSSVWSESEITTCSTSFPSIDSNPTPNSKSSMELVKEITSLET >cds-PLY97288.1 pep primary_assembly:Lsat_Salinas_v7:1:43580217:43581272:-1 gene:gene-LSAT_1X38200 transcript:rna-gnl|WGS:NBSK|LSAT_1X38200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLALDMVGSWKSLVLLLAVEHKDVCTPRDKVQCLDWRTKYCFTTYLPTDRLIHNASKILLWTHIY >cds-PLY94154.1 pep primary_assembly:Lsat_Salinas_v7:5:35721470:35723209:-1 gene:gene-LSAT_5X16720 transcript:rna-gnl|WGS:NBSK|LSAT_5X16720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHYYQNLEFDYEASASSTSAPIKLKLIFSDDVVVSPVFTRQKITGRNGGNESIKVILVNADTKEEVTTGPMAFSKVKIVLLPGNYDGTTMEDGEFEKNIVVNWGKKKNLLVGDVYVHLRHGTGTIGEIRIQHDKNPIRNVEFRLGAMVVDSSCPYEVKQAITQSFKVKDRRNAPKSFRSLSPTDKVWQLKNISKNGVIKKRLERANVYNVNDFLNMYYSNPQALQEICRVKGKNWETTVNHAKTCNVVGNANYKASGRLESTSQESNIPSSFDDDCYFPQPYENDSMIVDHNFSIHDVEECDMDVWFHEDDEGSLLCQDSREDGIRCALSMVEFEGVTAKKRWMKMRILLFSIASFMCGCDLVG >cds-PLY70633.1 pep primary_assembly:Lsat_Salinas_v7:4:228113130:228113780:-1 gene:gene-LSAT_4X125520 transcript:rna-gnl|WGS:NBSK|LSAT_4X125520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFKTTSMNHSDDDGTRELTIKEFKRWLMRFDTDKDGRISKTELQQLVKATGGWFSGWKGKAGIKAADRNGNGIVDECEIENLIQFAHKELVTPCLEVEVSRSSMSYNPPANKHNE >cds-PLY79920.1 pep primary_assembly:Lsat_Salinas_v7:8:18926511:18927371:1 gene:gene-LSAT_8X14780 transcript:rna-gnl|WGS:NBSK|LSAT_8X14780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLVLGVSINGGPKACSLLNLPQAPSFHHEYSSMSCTIEIVDDVHAAIDHIHKHGSAHTDCIVTEDMEAANIFLRLVDSAAVFHNASTRFSDGFRFGLGAEVGISTSRIHARGPVGVEGLLTTRWIARGSGQVVDNDKGVVYTHKDLTQQA >cds-PLY69699.1 pep primary_assembly:Lsat_Salinas_v7:5:213436777:213437579:1 gene:gene-LSAT_5X97641 transcript:rna-gnl|WGS:NBSK|LSAT_5X97641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGHILGGIATSLLFLAFESWLVAEHNKRGFEQQWLSITFSKAIFLGNGLVSILAGLFGNLLVGSLAMGLVAPFDATSIFLAIRMAIIISSWTENYGDSSESKDLMTQFRGAVVAVASDEKIALLGAIQSLFEGSMYTFVFLQTPALSLNGEDIPHGFIFATFMLSSMLGSYLASRLLAHTLTYIDIVLCCXI >cds-PLY90980.1 pep primary_assembly:Lsat_Salinas_v7:5:287390892:287397184:1 gene:gene-LSAT_5X151480 transcript:rna-gnl|WGS:NBSK|LSAT_5X151480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMLEEGQNQKEEEDGISGLPDCLLLEILSRLPSTKDAIRTGTLSKRWAHLWTWVPTLIFAHYNHPYPWSSCKCLRKPNSIYDFARSVEKTLTQCCHLKLKKFELRTHYDIRFKSLFKNWIRHAISCNVEELNLEFMYPDEFWLDEFIFINSCFTDLKLAGCKLNPSGAISWKNLKSLYISYMKLDEDLIVNILSGSPLLETLVLVDLDDYTGIYTTSDDESEANIMKSNASNSLSLTQYTYSRKRPNNDDDDFVNPPPVTMPIQKIVKKQTRIEKPRNVEDSLRCLNTRFPSEHITKTMPLLNKDQQRCVQSIGFGSALNMQLEKLPRRICYWVVENYNPTSNSIHVQDQRLLVTRERVHEVYGIPMGDIPMSNPSKANSQNKVVKLWKSQFPKTIKRIRLTHVINMIVKDTNAGPFFVMNFLVLFVSVMIEYSTMGIVNQGFLENIPGDMDIKQLDWCGFVVSCLKSSRKMWKPLDDKCVYTGPIVFLLLFYLNFTKVEDGTIQSPTAGMTHWTTDMLKRRELEELSKGGFGNVTISLQHMNMNRTEQEDNYKTEDGEDDDVVGSERDCISPDGFIGNVVQETSENDADNNVKSNFILDEAICIEQRYKMFVDRMNEHIEKFKQCTSFNDVDLVFFPVLENDHYYLIVFDFKKSECIIIDNIYSEELIEKILFTLYIDALNHPKRNSIKRAVTVRLSMAWMTKMNYIDCGVFVLRHMETYKGQDLDKWNVGLEPEFPDNDDQ >cds-PLY70556.1 pep primary_assembly:Lsat_Salinas_v7:1:88517122:88520612:-1 gene:gene-LSAT_1X75540 transcript:rna-gnl|WGS:NBSK|LSAT_1X75540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAISSSSSLFVSQKDAGVSPFSTQSSKSVQISQKKVSRKIVSVMAPRQSERKPSTTGSVKTGMTMTEKIFTKSSEKSQLSPGENVWVNVDVLMTHDVCGPGAIGIFKKEFGSDAKVWDREKVVIIPDHYIFTSDERANRNVDIIRDFSNEQNIKYFYDIKDLGNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKLLLKVPPTLRFVLDGEMPNYLLAKDLILQIIGEISVSGATYKAMEFVGSTVESLTMEERMTLCNMVVEAGGKNGIVPADATTFKYLEDKTSLPYEPVYSDDQARFLAEYRIDVSKLEPLVAKPHSPDNRGLARECKDVKIDRVYIGSCTGGKTEDFLAAAKVFLASGKKVKVPTFLVPATQKVWMDVYTLPVPGSGGKTCSQIFEEAGCDAPASPSCGACLGGPKDTYARMNEAQASISTTFPNIPLYVILVCVSTTNRNFPGRMGHKEGQVYLASPYTAAASALTGFVTDPRDFLQ >cds-PLY93266.1 pep primary_assembly:Lsat_Salinas_v7:6:160162169:160163242:1 gene:gene-LSAT_6X98541 transcript:rna-gnl|WGS:NBSK|LSAT_6X98541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTKRKMSSMVTLLISLAVVIVSLSLPSSATAAYPYSSPPPPPPKKSPPPPPPKHHYVYKSPPPPVYKSPPPPMHKSPPPPVYKSPPPPTPVYKSPPPPKKPYVYKSPPPPVPVHKSPPPPVYKSPPPPMHKSPPPPVYKSPPPPVHKSPPPPVYKSPPPPHYVYKSPPPPVHKSSPPPTPIYKSPPPPPVHKSPPPPKKHYIYKSPPPPPPVYKSPPPPPPKKPYVYKSPPPPTPVYKSPPPPTPVYKSPPPPPPVYKSPPPPPPVYKSPPPPPPPVKKYPPPHYIYSSPPPPHH >cds-PLY82731.1 pep primary_assembly:Lsat_Salinas_v7:2:147960690:147966794:1 gene:gene-LSAT_2X73661 transcript:rna-gnl|WGS:NBSK|LSAT_2X73661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEKASLKKPGGNPDSLKSDDVNPRPIFHYGLPSGCNLFAHDPIQKILAISTIDGRIKLFGQDNTQAVLESPDALPSKFLQFIHNQQFLININANNHIEVWNIDLNSLAHVHVYKEEITSFTILQNTFYMYVGDPIGNVSVLKFDKEQCNITQMKYIIPFSASHGNSTADHTNAAVMYILPQPSAESKRVLIIYRDGFVSLWSIQESKPIFTTGGTLIQSLNHDTKKVTAACWACPFGSKVVIGYNNGELLIWSIDDKELGGTQSGPLFKLNLGYKLDKIPISKLKWAYADGKSSRLYVLGNSDFSSANLLQVIIINDQIESRTTKLGIGTPEPCVDMEILVSYNEQTKHKEDCFLVIGKSGHVYTYDDSSIEKYLIQSQTRSPPSSLPKEIKVKLPYVDTIITVAKFITDNPCMLMLSSNEDYMLMSKKIPSLFSFESKQKDGSSSSSSTSGFTSFSNFKNIYITGHSNGGINFWDASSPLLIPIVSFNQQSEDDQTLSGVPLTALCYDMETRLLISGDQTGTVRIYKFKPEPYAIESSFLSLQASSKKGSNIVQSVKLVKVNGAVVSITTSHDSKHIAVGSDQGYVSVIDIGGATVLYERRIASEISTAVISLQFGMCSLHGFEKSVLMAATKDSSAWALESETGNILNNGSVHPKKPSKALLIQMLDEQGTAGRGSNASKGIIGNSFDDGIVKDSLLLCSEKAVYVYSLSHAVQGVKKVCYKKKFNSSICCWASTCCSDAGLVLVFTNGKIEIRSLPELTLLKATSIRGLALSTSKPNSLPDSSICASQNGDIIMVNGDQEVFIVSVLQQREIFRHLDSATRVYNKDLIVPQGILVEHVKEKKKGIFGSMKKVSKPQHERETELEASRESFEELSTLFAIANFALESESQENIHTDEDEVDLDIDDIDIDGPEEKPKGNTMMGALNKQKLTSKFNAFKGKLKEMNVKKEKVQVKEEQPRDDQKTGSVDQIKKKYGFSLTSETSAAKMAQNKLSDNIRKLKGINLKTAEMQDNAQTFSSMAKEMLRTAENNDRRTS >cds-PLY67548.1 pep primary_assembly:Lsat_Salinas_v7:3:54333769:54337114:-1 gene:gene-LSAT_3X42300 transcript:rna-gnl|WGS:NBSK|LSAT_3X42300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTTKHKEVGTMVVGGLGGVGDGILPGLGEVAVKRLASQSGQGLKEFKNEVVLIGKLQHRNLVRLLGYSMKDHEMILLYEYMHNKSLDRFIFVKTLSVCLDWDLRFDIIMGIARGLLYLHQDSRLRIIHRDLKASNVLLDEDMNPKISDFGLAKIVKGQETEDNTTRVVGT >cds-PLY62595.1 pep primary_assembly:Lsat_Salinas_v7:9:73752803:73755384:1 gene:gene-LSAT_9X61640 transcript:rna-gnl|WGS:NBSK|LSAT_9X61640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCKITQGKLHIVMDGEKFQEKYNGLFLKCSNLLELAAADDVSGFIYMVEEKGENLDEISFWYGRRNGCKGKMGLEERTPLMIASMYGSIHVVKYIINTKKVDVNRISDSDGATALHCAAAGGSPMSVEVVKLLLQASADVNLTDGEGNKPGDLIARGIKSTKPRVLEMLLRGFGIEDGSDEEQTEEKEVVSAKKEYPVDVSMPDINNGVYGSDEFRMYTFKVKPCSRAYTHDWTECPFVHPGENARRRDLRKFNYSCVPCPEFRKGSCVKGDSCEYAHGVFESWLHPAQYRTRLCKDEIGCARKVCFFAHRVEELRPVYASTGSALPSPKSGSVAVNSMEMGSMSPLALGSTPPMSPPTSPMWQNKVNHLTPPALQLPGSRLKTALNARDLEIDMELLGSESIRTQQQQRRQMIDDLATNLYNHNNRFGELNLKPTNLDDVFGSIDQSLLSQFHGLSPKVSSSMTNSPIQLQSPTSHQQFRQNSNPLRASYPSPNYSSSPVRKPTSYGFDSSAAVAQAVMNSRSGSFTKQRSQSFIDRGAGATMSLRSVPQQTSTFTEWGSPDGKLEWGYSGEDANKLRKSASFGYGGGAAVNMNNEPDVSWVNTLVKDVGVGLHSSPEKHRYGGAGGDKLPQWIEQMYIEQEQMVA >cds-PLY81181.1 pep primary_assembly:Lsat_Salinas_v7:4:257533003:257539169:-1 gene:gene-LSAT_4X134040 transcript:rna-gnl|WGS:NBSK|LSAT_4X134040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPATPSSAAAPVSDFSKHINPVHNVNNHNHNHNHNHHVTFNTASDAERQPPAMRLTSGDQRDVKINDIVGSGISGILHKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDKIVVSKETENGSRVIGDESFRRFSRHRNSGATSNNSHHPRRKPVGEVHLKVSSIRESRSDDKRFSIFTGTKRLHLRADTRDDRIAWMEAFQAVKGMFPRLSNSELMAPVGGFTVSTEKLRQRLLQEGVSETAIQDTELIMRTEFSAMQDQLVLLKQKHLLLMDTLRMLETEKVDLENTVVDESQRQSKEVGATSRLRQEKYSEASGSESEDDNERGDADAAEEETDDEENAFFDTRDFLSSGSFKSTGSDMRSSSFSSDDEEDHQDASIRSFGNDFPRVKRRKKLPDPIEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYEWGKRGNSLMRILNVAAFAVSGYASTEGRNCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMIVACHCDGKGWKFWGDSNLKSKFWGRSIQLDPVGVLTLQFEDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNRNYSCKLKFKEQSIIERNPHQVQGVVQDNRSGKTAASLIGKWDESMHYVSGDCSGKGKGDPYSEAQLLWRRSKPPKVPTRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRCLENGEYETANAEKLRLEQRQRQARKMQERGWKPQWFAKDKASDTFVYTGGYWEAREKAKWDSCPDIFGQFSSDQTLE >cds-PLY89922.1 pep primary_assembly:Lsat_Salinas_v7:8:68113430:68117285:-1 gene:gene-LSAT_8X49780 transcript:rna-gnl|WGS:NBSK|LSAT_8X49780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSSSASPSSTGFSSQSCKYHVFLSFRGEDTRNTFVGHLYSALEQEGIYPYKDDETLPRGESIHPSLMKAIEESQIAIIVFSKNYCDSSWCLDELAHIMKCRDTRGQIVMPIFYDVDPTEVRKQKWKYGEAFARHELENKRKVESWRKALVDASNISGWEPKHIANGHEAKGIKQIVYEISQKLQPITSRLNDQNQVGMAARLQGLELELEIGSGGVRMVGIWGVGGGGKTTLAYSIYDEICSKFDGCCFVENIREESGRYGLGKLKDKILSEMEVNRAGGRRRSLINDMFRHRKVLIVLDDVDHPDQLKALAGSHDWFGEGSRIIITTRDEHVLTAHKVDVIHNITLLDNDEAMKLFRKHAPRDNRVMEDYEQLSKEVVSYAGGLPLALTVLGSFLCDKDINEWKSALARLKEIPDTDIVEKLKISFDGLKPVEKDLFLDIACFFRWEYKDEAMKILDACGFHPVVGVKVLIQKALITISNGKFDMHDLVQEMGHYIVRGEHPNNPEKHSRVWKKEDVLNICAMDGMVKLDNIGAINFRHVALDKSQSFLQAVANMNKLRWIDLYVDLINEITRPLPEHFLLSHDLGENFPPRELCCLRLANLMHKQLWEGYKLLPNLKIMELYRLLNLRMTPDFAGLPNLERFNLTLCWYLEEIHPSIGCSERLVVLSISSCPGLKMCPSITGLKKLQILKFSNCSKLFKLSEIQKNSDNLVFHLDNSGEARVKKPGENLIDVEECCLEQPYLPYNNINHIGLWVFSIGLRRLDLSCCTLGDKNISDAVWDLPNLEELDLSQNKFSQLNFDLLRLPQLKWLNVSHCYELVELSELPSSIAVVIADGCKSLKTLGDISNCKWLWKVSLKGKNKVGPLVGDITTLHAPGLAPPFEFQSIY >cds-PLY75418.1 pep primary_assembly:Lsat_Salinas_v7:7:72137614:72139872:-1 gene:gene-LSAT_7X52361 transcript:rna-gnl|WGS:NBSK|LSAT_7X52361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPIAPPTAPIYTNIVTYGSNLHSPHLCIDYQIIIKMTATNGARRIWVGQNGLLSTPVVSAVVRERVGADVDISAIGVSSFSGPNGKFDVDVFDAASDYVKLMKYAITM >cds-PLY91846.1 pep primary_assembly:Lsat_Salinas_v7:6:32603623:32605871:1 gene:gene-LSAT_6X26441 transcript:rna-gnl|WGS:NBSK|LSAT_6X26441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKMDEDKEELNIVAAEQHRELAAARIFVSDLDLAFRLQLEEAMTASLAFQPSSSTSPMKSQRPPILVSDDSDAVNLVDLQTLELDNYEAEIRDRVVIETEKMKFKEDLHRRIHDQKLAREILRMPEDEWEEVGHNFERPYGEGSSSSKSKDNSEVFRVYFKGLLSEERVHGTSSSQKTTTFAGIGVAICDSRDELIFEMRKPLDLIEDDRISRQSVEGKALIEALNAAIALDLKRIRFYCDYYTLYQYVSGRWQPKQAKMKAFVNQIKLLQKSFTYFSPSFVARKDVKFAFKLAREAIDSQINKPPESNNGNKLEHCVICLDDKSTDQFFSAGNCNHRYCFSCMKQHVEVKLLHGIVPKCPHEGCELELKVESCEIFMTPKLIEMMKHRLKEASIPVTEKIYCPYPKCSALMSKTELSKLPRFIHENGARTCCKCHGDFCVNCRVPWHRNMNCAEYKRRNPTPLVDESKLKSLAARNLWRQCIKCKHMIELATGCYHMTCRCGYEFCYTCGAEWKNKKATCTCPLWDEENIVDTDDDDEFDDDDDDDEFDDDEEFDDDYDSDDDEYYF >cds-PLY89699.1 pep primary_assembly:Lsat_Salinas_v7:3:164968077:164971252:1 gene:gene-LSAT_3X102300 transcript:rna-gnl|WGS:NBSK|LSAT_3X102300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTVISRFIIHNLLPPNAPTFTRIHVHFVSSPRRRRFGTVAVSHSPDVSDERMVVVGGGAAGIYGAIRAKTLAPDLNVIVIEKAKPLAKVKISGGGRCNVTNGHCPDNKILAEKYPRGSKEFRGSFFNVHGPGDTMSWFSDHGVKLKIEEDGRVFPVSDNSSTIVDCLLNEARQKGVTLQTGKSVTSASISQSGKFILKIEKRTIDYVDFVEADYLLIASGSSQQGYNLANQLGHSIIKPVPSLFTFKIDDIQLTELSGITFPKVKASLKLESLKKNIPQLTQIGPMLVTHWGLSGPVILRLSAWGARDLFDSSYKGTLLVDFSPDLSNEDIKALLSQHKKQFPKQKVGGSYPQEFGVMKRFWKYLLNREGVDEDILWASISNNSLMSIATLLKQCSFIVKGKGQFKDEFVTAGGVPLSEISLKTMESRIQLRLFFAGEVLNADGITGGFNFQNAWSGGYIAGTTIGQLATSATSAPSTLKQQAA >cds-PLY74931.1 pep primary_assembly:Lsat_Salinas_v7:9:14362030:14366287:1 gene:gene-LSAT_9X12961 transcript:rna-gnl|WGS:NBSK|LSAT_9X12961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription factor ABI3 [Source:Projected from Arabidopsis thaliana (AT3G24650) UniProtKB/Swiss-Prot;Acc:Q01593] MDVCGVDEQESRKSTGFDAVGTTVMTSTDDQRGIWEIDVRAQQQNLIHEMNDPSMFYNDQFPKIPDFPCMSSSSSSSSNPAPAKPISSATTTSSASSSTSSAASWAVLKSEYITEEEAEGEDQLEELRINRKKRSHREEPGPVTSTAAVEAVPVLEKADGSGTIDCMDVMENFGYMDLIDGNEIWDPSSIFEQNPPDLQQECVTARGYSNGSEVVQDSGIKDGGREGGGGRLDELGVMFFDWLKSNKEFISAEDMRNIKLKKSTVECASKRLGSSKEGKKQLLKLILEWHYQGRPPPPPPTPPPPFNCNSWVPPSDMNHGFSPSPWIPPAVAYPSYMADQTSNGMPMVAAGPQATFSYMGDGSDQYNSGLNRLNPYNNSGSGEYQVLESAPSWNPSQITMAASTPYNNQFPDVGNNYGPQIMPLASGYPDQYPYNPQVYATGGCGEQQRLMRLGSSATKEARKKRMARQRRTYFHHHHHSRQNQHMNNHQNHLANSDQHPHAMLGDENCSGKTHGGNWLYWPSPPSTNTVPPPPMESPHRPPARPQQASDRPSKQTPPDKRQGLKTEKNLKFLLQKVLKQSDVGSLGRIVLPKKEAESHLPDLDSRDGISIAMEDIGTSQVWNMRYRFWPNNKSRMYLLENTGDFVKANGLQEGDFIVLYSDVKCGKYLIRGVKVRQPASVKSEGKKPSVKRSYRNASQSTRSCDPSSPPKITAI >cds-PLY65919.1 pep primary_assembly:Lsat_Salinas_v7:4:141482625:141483089:1 gene:gene-LSAT_4X88281 transcript:rna-gnl|WGS:NBSK|LSAT_4X88281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPALKAGSRPPWVGIAAAVWVQMASGNAYAFPLYSHTLKTVLGLSQQQLTILGVANDIGENVGILPGIASNKHPPWVVLLVGVLASFFGYGVIWLAITETVHNIPYWVKAMILDLILNACVPA >cds-PLY71864.1 pep primary_assembly:Lsat_Salinas_v7:3:58264760:58266943:1 gene:gene-LSAT_3X45521 transcript:rna-gnl|WGS:NBSK|LSAT_3X45521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNHAENENGSNQETVSAISSSDNHISTIVIIIAMQTEALPLVDTFKLTEDLNSPFPKGTPWVRYHGSYKGLNVNIIWPGKDATLGVDSVGTVSASLVTYAAIQALKPDLIINAGTAGGFKAKGACIGDVYAASAVAFHDRRIPIPVFDLYGVGLRKGCSTPNLLKDLSLKVGKLSTGDSLDMTPMDESSIIANDATVKDMEGAAVAYVADLLKVPAIFIKAVTDIVDGDKPTSEEFLQNLTAVTVALGESVARVVDYISGKSISEL >cds-PLY97115.1 pep primary_assembly:Lsat_Salinas_v7:4:71561075:71563065:-1 gene:gene-LSAT_4X48480 transcript:rna-gnl|WGS:NBSK|LSAT_4X48480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDHEEHDNSPENSGESPTSDMFNDMKTTSTSSTLKRSRRSIQKRVVSVPLRDIEGSRLKSETNAPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRTDPTMVMVTYACEHNHPWPASRNNNHHHHHYNSAASPPPAASTTTTTTTEETVSNSDDQEEDPPLITKQSISEPDEKFTSTLDQVVTGGPFVTSSDQFGWCYDLESTTSTMLESPLMAGDIVGDADMAMIFSIREEDESLFADLGELPECTTVFRRREIINEEEHHHRRPCNLAPWIRYF >cds-PLY64076.1 pep primary_assembly:Lsat_Salinas_v7:8:94310392:94311216:1 gene:gene-LSAT_8X66721 transcript:rna-gnl|WGS:NBSK|LSAT_8X66721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMAPSSPAVVKVSPWHTPVPYFFGGLAAVLGLIAFALLILACTYLNVTDDDQNDGDGERDLEAGDAKSDNHKKELTVFEDKYLVIMAGEAKPTFLATPVTNKTLSFGSCGCRNNPSEKLSTSEKVKEGSNDQLQVRNIDNQ >cds-PLY97899.1 pep primary_assembly:Lsat_Salinas_v7:4:90645820:90647461:-1 gene:gene-LSAT_4X59880 transcript:rna-gnl|WGS:NBSK|LSAT_4X59880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKRGDDAPAIGIDLGTTYSCVAVWKHDRIEIIPNNQGNRTTPSCVAFVDAARLIGDGAKNQAAINPANTIFDAKRLIGRRFSDSKVQDDMKLWPFKVIEGPADTPKISVSYEGETKEFLAEEISSMVLRKMKETAEAYIGKPVKNAVITVPAYFNESQRQATKDAGAFAGLNIISMINEPTAAAIAYYGLDINKSLIKTKKKKKNVLVFDLGGGTFDVSILTIVEGAHSIKVKAVSGDTHLGGEDFDNCMVDHCVEEFKRKWNNKDLTTNKKALGRLRCACEKAKRIRSCDTQTSIELDCLHEGIDFSMKFSRAKFEVLNMGYFDRCIETVEACLRDTKMKKSSVHEVILVGGSTRIPKIQLMLQKLFYGKELCKSINPDEGVAYGAAVMAEKLNPNSDDKIRFGDLVLLDVTPLSLGIQLMGEKYLTW >cds-PLY73051.1 pep primary_assembly:Lsat_Salinas_v7:9:37180433:37182444:1 gene:gene-LSAT_9X35421 transcript:rna-gnl|WGS:NBSK|LSAT_9X35421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVDSGGHSGGGGGGGDRFPQWSVQETRDFLMIRAELDPTFMETKRNKLLWEVISTKMKERGYNRSAEQCKCKWKNLVTRYKGLEMMEQEGTRQQFPFYNELQMIFTGRMQRMLWMEAEGMAAGGSSKKRSVMHLSSDDEDDNNDESDVDKVISGKKKKKKISNTTTSKSNPDSIIINLKEILEDLMKQQMQIEMQWMKTYEAKEEERRMKEMEWRQTMEALENERIMSDRRWREREEQRRIREENRSEKRDALITALLNKLRQDDL >cds-PLY85546.1 pep primary_assembly:Lsat_Salinas_v7:2:195141669:195144767:-1 gene:gene-LSAT_2X115621 transcript:rna-gnl|WGS:NBSK|LSAT_2X115621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVSTSYLASHALLGAWEDSPMVVAVSNSDVVELIDNEVVEAVLWMSDIKRPPTQVNATIITTIIGSGWFFRKKDDENGG >cds-PLY69497.1 pep primary_assembly:Lsat_Salinas_v7:6:44319920:44321678:-1 gene:gene-LSAT_6X31481 transcript:rna-gnl|WGS:NBSK|LSAT_6X31481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFKLTLILIITSTPTSYGETAITTATCTAIHQQNSPISKCNYVKTNTGCIPKGYINYLQIFYCNFSQFPQLGFIFLLLWLVVLFYILSNTASEYFCPAVEHLSKTLNLSPAIAGTTLLPLGNGSTDVFSSIIAFTTSTDGGDIGLNSILGGAIFVSTVVVGVLSLLITYRRRIVIVDKPNFIRDVVFLLFTLSNLLIIIIIGKITFWSSLFFVSTYIIYILLVSYMHFNTMKKHKTVIDVADEDDQIQSTRVPLLGSVVDEENVIHPSEKPVHFEEQVVPDDPKRWIVTFFYVINMPIYIPRRLTIPVITKEKWSKPFLVMSVALAPLMVALIWNTQYGKLGLKSSMVIYFVVGSIGIALGTCTFAFTSSTAPPQKCLVLWYALGFLMSVTWTYLTADELVSLLESLGNIIGMSPSIIGLTILAWGNSIGDLTSNVAMAVYGGAHGTQIAMSGCYAGPLFNVLIGLGFSFVFVSWSNYPASYVIPEDPNLCETIGFLIVGLLWALVILPKRDMRLDYTLGGGLLVIYFCFLFIKLARALGLLGASCSNLID >cds-PLY90097.1 pep primary_assembly:Lsat_Salinas_v7:6:18315730:18319263:-1 gene:gene-LSAT_6X14080 transcript:rna-gnl|WGS:NBSK|LSAT_6X14080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLIADDMVGISGVMYIDGSSLNWTIHMGVPSFSYSEKASRVAECCLCGGNTDAFRKSTDGQWVHAFCTEAETQKHGVEEWNSLKKVRVAAKILQDAAHGKNYKSDQVRDELGIKDNEKAMVLNFGGQVCGAHDNQQELPHNFKKIAKDVYTPDVMEASDCMLGRDICGKGTWKIGCGWRNCRLLRKPCFAGNHKQAWYCLNRAIRVDPEDMSLRHPRALIYVEPGNYHKAAESYEQIWQLCPKNLEALRTTTMVSTLYMFCVL >cds-PLY79397.1 pep primary_assembly:Lsat_Salinas_v7:7:98781850:98782577:-1 gene:gene-LSAT_7X65621 transcript:rna-gnl|WGS:NBSK|LSAT_7X65621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWFQSLFSPLKKLWVKLHSAHHKSRGIYILYEDVKSCPYEDVHVLWSILVESTHGPSHALALPPLN >cds-PLY91155.1 pep primary_assembly:Lsat_Salinas_v7:4:163809071:163810021:1 gene:gene-LSAT_4X97820 transcript:rna-gnl|WGS:NBSK|LSAT_4X97820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMIVMVNHLIIMVAEEFLMYSAIFKHSPTYKENSIHSDGTPINKSIKEKSVSVEGDNINVVDLHAVTPTTTSLKRPIEIVTTTESFKWSSSKDGVAPHTLKIPKMEKLE >cds-PLY97701.1 pep primary_assembly:Lsat_Salinas_v7:8:6250717:6251223:-1 gene:gene-LSAT_8X5300 transcript:rna-gnl|WGS:NBSK|LSAT_8X5300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDGELDFSNHEIFVGDIPSSGSMNSFFDEIFNDTHTCTHKHTCNPPGPDYHVHTKILLATSDDDKTPIEDTAESSNKKGKNRPSGNREAVRKYREKKKACAASLEDEVVRLTALNQQLMRRVQSQVGLEAEVARQKSLLVDIRGRIDGEIRSFPYQKRHHPVGNQNW >cds-PLY91248.1 pep primary_assembly:Lsat_Salinas_v7:3:76255930:76258384:1 gene:gene-LSAT_3X59300 transcript:rna-gnl|WGS:NBSK|LSAT_3X59300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEYCVTGGTGFIAAYLVKALLEQGHTVRTTVRDPENVEKVGYLLELEGAHDRLKLMKANLMEEGSFDQAIDGVDGVFHTASPVVVPQDNNIQETLIDPCIKGTMNVLSSCKKAKSVKRVVLTSSCSSIRYRYDVQQVSPLNESHWSDIDYCKEYNLWYAYAKTIAEKDAWDVAKENGIDLVVVNPSYVVGPLLAPQPTSTLLMILAYITGTVGEYPNTTVGFVHIEDVVAAHILAMEEKEAEGRLICSSTVAHWSEVIQMLKSKYPFYPYIDKCSSRKGDDNPHSMDSSKILQLGLPPFKSLEQMFDDCIKSFQKKGFLEVSS >cds-PLY72376.1 pep primary_assembly:Lsat_Salinas_v7:5:6383221:6383565:-1 gene:gene-LSAT_5X3660 transcript:rna-gnl|WGS:NBSK|LSAT_5X3660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLDMLMSMFSGLGAGGMGVPNVPDVAPEQLYATQLCQLQEMGFFDVQENIRVFSATSGNVHALLLRGFWGTLVHDGDDVAFFLF >cds-PLY80190.1 pep primary_assembly:Lsat_Salinas_v7:8:190408218:190408541:1 gene:gene-LSAT_8X124300 transcript:rna-gnl|WGS:NBSK|LSAT_8X124300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSMNTQMDEELLLVKSQIFEINQQMAKIVEQKDAPYIDYISQVMDVKLNAAIPKINAVMNTKLNPLVTKFTQLVNVKSSEDTSQQGGEGAEKEAKNVNKEDGP >cds-PLY84690.1 pep primary_assembly:Lsat_Salinas_v7:2:151436426:151438390:1 gene:gene-LSAT_2X78161 transcript:rna-gnl|WGS:NBSK|LSAT_2X78161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESDTEHNHNGSSMMIEQDVPLPHSKQIDPSKARFPYCIVWTPLPVISWLLPFVGHVGIGKEDGVILDFAGPNFICVDNFAFGAVSRYVQINKEKCSMTPNPSTSTMFRTEEEYRLVESGRNQYNTCGWDDALRKSTQEYQHKTYNIFTCNCHSFVANNLNRLEFQGGRWNVVNLAVLILLKGQWVDTKSMVRSCLPFVFFFFIGITFGGVSFLTFLSCFAFLVVGWFLCGSYCFKSFIQL >cds-PLY88746.1 pep primary_assembly:Lsat_Salinas_v7:4:165408543:165410020:1 gene:gene-LSAT_4X98781 transcript:rna-gnl|WGS:NBSK|LSAT_4X98781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEESLVQEVTGLLPQQNCEDGVLFPIVLSPNPKISKAVQLTEAIKANRAWLDSLLHQSGAVLFRGFHVSSASDFNDVVESSGYEDFSYGVGGAGSRTKVVGRVYTANEAPPDQNIPFHHEMSHAPLCPSKLFFFCEVEPGTGGETCIVLSHVIYNKMKQKHPKFVQEMEQKGLIYTRVLGEESDPSSPVGRSWKETFMTDNKIVAEERATKLGMKLEWIEGGVNVLIGPLPGFRYDELRQRKVWFNGVAGGFKDKLNDDPSKGVMFGDETPLPDDVASDCRKILEDECFTLQWRKSDVLLLDNMAVLHARRPLITLPRRVLASFCK >cds-PLY61785.1 pep primary_assembly:Lsat_Salinas_v7:8:77147243:77149016:1 gene:gene-LSAT_8X55401 transcript:rna-gnl|WGS:NBSK|LSAT_8X55401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVSKCFRVGHSLLSSSIQSSSLSQEATCNNLLTQQIRRFIQMRTNLKVVDNSGAKRVMCIQALKGKKGARLGDTIVASVKEAQPGGKVKKGQVVYGVVVRAAMQKGRCDGSEVKFDDNAVVLVNKQGEPIGTRVFGPVPHELRKKKHVKILSLAQHIA >cds-PLY64754.1 pep primary_assembly:Lsat_Salinas_v7:3:186734006:186734233:1 gene:gene-LSAT_0X18680 transcript:rna-gnl|WGS:NBSK|LSAT_0X18680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAKFSYAIPQINNIMGVKLTPIPSKPNQLASVTSSGATAQQGGEGAEKDPRIEKMTENLNNGRESKNVGNPVST >cds-PLY80073.1 pep primary_assembly:Lsat_Salinas_v7:4:349210968:349212471:1 gene:gene-LSAT_4X172000 transcript:rna-gnl|WGS:NBSK|LSAT_4X172000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGGGESSKEIESALKKRRKNDEFPQAIARIAVAQVCESVGFQGFQQSALDTFSDVACKYIREIGKTSNFYANLAGRTECNVFDIIQGLEDLSLSQGFLGASDRDHCLSGSGIIKEISQFVNLSEEIGFAYSVPSFPVIKERKLTPSFLQSGETPPTDIIPPWLPKFPDSNTYISIPPSITEETRMIPNRIEQEQRILEQPSSKLEQNLTRNESEFPNNPFLSVPLQPGEKEVSFVSIPSKLKEEDENEVQNHSSWGNHVSSLDIVPPNQAVNQNSGCDTEEGSRKVLLNNRPSVQMKFHIPKKPLDTTDTPHNEKGKAEVVSWFVNDEKEKITTEKLVKEQQQPMDIEEHNGNDSVVN >cds-PLY73193.1 pep primary_assembly:Lsat_Salinas_v7:9:35021112:35023671:1 gene:gene-LSAT_9X31640 transcript:rna-gnl|WGS:NBSK|LSAT_9X31640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMREIFLLLLFCSLIESSASKNTIKDHLQRGSSLSVNDDSNVIRSPDSTYTCGFYGFQSNAYWFAIWFTNSKDRTVVWTANRNTPVNGRGSKMTFRGNGAMVLTDVDGMVVWETNTSSTDVNRAVLLNTGNLVLKNQKGQILWQSFDYPTDTLLPSQTLTKSKSLISTSKKGSFESGYFGLSFNSINVLILTYDGPEISSVYWPSPDPGFNVWKFGRTSYNSSRMAVFNDVGEFNSSDWWHFSASDMGFGIKRRLVMDYDGNLRIYSLNESTGLWSISWQAIAQPCNIHGICGRNGICVHGVPPECSCPPGHKWTDPNDFSQGCKPTFDRTCVSANSTKFGFLELRNTDYYGFDLNYSTPISFEACRDICLGDCRCEAFNYRLTGEAFCFTKSALFNGYRYPTFPGTIYLKVPIGMQTTDSALLLATSMATCPEVTVKVGSPSMYQSSGKKVKWVYPFSFVIGIGVVEALVIFLGWWIFFKKNAILTNLEEGYRMVSSQFRGFTYQELVKATQNFKVEIGRGGSGTVYKGVLEDERVVAVKRLGDVSEGGEFWAEVSTISKINHMNLVRMWGLCSQKQHRIIVYEYVENLSLDQRLFSSSFLQWKERFKVAIGVAKGLAYLHHECLEWVIHCDVKPENILLDESFEPKIADFGLAKLTQRGGQGSEFTRIRGTKGYMAPEWASNLPITAKVDVYSYGVLVLEMARGIRLSNMIMQEGEKEESELMRFVRITKTKLQGEEMESWIEEMIDSRLGGLFSRKQAVKLVEIGVSCVEEDRNKRPTMGSVVQVLIDCESE >cds-PLY97632.1 pep primary_assembly:Lsat_Salinas_v7:5:236494832:236496659:1 gene:gene-LSAT_5X116021 transcript:rna-gnl|WGS:NBSK|LSAT_5X116021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMPVTLLFNQDSLSFLIPLINFFGSEMGGLHAILLLICITHLFAINGEAVTPRETLEIIIGAGGAASPWPRPEYQECPPPPPPPPPCPPTPPPPSPIPQPSPTSTHPPSPKPPHGPSPAGAFESVLLRRYYDVIQAFKRKVTIDPTGITKTWEGNNICGDYKGFICDIVPDVNLKTISGVNFNNRNLYGPNLTLTEFLSGLKDLAFFHANSNNFIGSIPEDIGTLRYLYELDLSNNKLSGNFPYQVLQAKKLVFLDLRFNTFFGVVPPQVFLLGLDLLFINNNNFKQTLPGNLWSTTALYLSLANNKFVGGIPRSIGRASNTLLEVLFLNNQLTGCLPYEIGLLRKSTVFDVGFNDLTGLIPHSLQCLEKMELLNLAHNKFYRIVPESVCSLPNLLNFTVSYNYISQVGPKCRELIKKGILDVKMNCISYLPNQRSKADCDNFFSNLQPSCTDKKFLTYIPCSKGYSGNQLEPSNVQWATPSTAPAPLVRSYGALSPH >cds-PLY86492.1 pep primary_assembly:Lsat_Salinas_v7:8:134565788:134566000:1 gene:gene-LSAT_8X91200 transcript:rna-gnl|WGS:NBSK|LSAT_8X91200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMTRQGRNGLGSSPNWQGGSGPSDSNLHDWIALEVTEALFEMILVSVVRFKVEIIKMFDEKIIVAIGVG >cds-PLY96660.1 pep primary_assembly:Lsat_Salinas_v7:7:44506537:44507934:-1 gene:gene-LSAT_7X32940 transcript:rna-gnl|WGS:NBSK|LSAT_7X32940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNLTYLTSIDLSRNKFKGLIPESLGNILDLKELDISFNQLEGRVPHSGIFRNISAVALEGNPSLCVANNTKLCATQSRSKAVLMFSILGSVALLIVFIFVTLCCCHVRKTKVKAPDYTPGLTLRRFDRKELEDATHNFSEGNILGTTSLSTVYKGRLEDGRKIAVKILNIAQFSAESDKSFNKEMNTLGKLRHRNLVKVLGYAWESGKLKALVLEYMENGNLDRIIHDSWIDRSRWDLSERVDVLVSVARGLVYLHSGYDFPIVHCDLKPSNILLDEKWDAHVSDFGRARILGVHQQDGSNISSASTFQGTIGYLAPEFVFMTKLTTKVDVFSFGIIVMEFMTRKRPTCVVTEEEGIQTISLPQLVDRALSNGMKDLIEIVDPDLGSNFSMNQGVIIIERLLKLALSCTIMDPKDRPDMNEVLFSLSKISKNV >cds-PLY78979.1 pep primary_assembly:Lsat_Salinas_v7:5:35871636:35873354:-1 gene:gene-LSAT_5X16100 transcript:rna-gnl|WGS:NBSK|LSAT_5X16100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIYELFHYSLFPGLFVAFTYNKKEPPVFGAAPAFWCILLSFLGLPFRHIPNNLSNDNELTANAPFFYQISGTWSNHEGSILSWCRILSFYGFLLCYRGRPQSHNVSKRGGHRESLFYSFVSNFVKNSILSLPSYEQKTGATTQLYTPFVLRTLVDSELRSRRRRTFDGPALFYALFSQRSFAPLGARRFRGSREGKRTHPLLHLARDDKERASSIDEQRIDGALGIALFFSPFLSASSDPFVRNFFVRTEPLAESNPVPQDPISAIHPPCIYAGDVASAMGFGLCRSKMMNGIVALHSPPMRKDDAEKNGRLFRSAACVGSRRTSKLFTLKLKHMGAKGYPALLLRSNRSLLMLLRRRFAFSSLWTGALVDTGREQAKRVVRNGKKETTTSLLCWIAGANTVVSDQDQEPIRILILTCRWFLTVGILPGSWWAHHELGRGGWWFRDPVENASFMPRVLATARIHSVILPLLHSWTSFLNIVTLPCCVSGTSSIRSGLLAPVHNFATDDTRGIFLWWFFLLMTGISMIIFSQMKQQASVRRTYKKEMVVARSTLVHLRHSARAQPRPVML >cds-PLY96414.1 pep primary_assembly:Lsat_Salinas_v7:4:344173764:344178897:1 gene:gene-LSAT_4X169800 transcript:rna-gnl|WGS:NBSK|LSAT_4X169800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILSALLVSVGINFGLCVIYICLFSILRKLPVHADVYAPRIVAETKNDSTLERLLPSSSWVRMAWLPTEDELLMRSGLDGFVFMRIYIFSLKVFTFAAVVGLCILLPINYMGNQIYIDFFDFTNASLESFSISNVNDGSKRLWIHFGAVYAFTAFVCYLLYVEFGYIASKRLAFYSSSKPKPNEFTVLVRGVPKSSTESLSETVEKFFSECYPTLYLSHYMIYNTSKIWKLLDDAEKIYRKLVSLKSTKQSSQRYGRVGCLGLFGPKVDLVEYYEKELEVLEDEAKKQQSLLNGKASYLLSHIHILSSTLPHSYVTRELRAAFVSFKSRIGAAITLNIQQGQNPTEWLTDPAPEDRDIYWAFFSTSFLTRWIGNVVVVLACTALTILFFIPVIIVQGLTNLYTLEILFPFLKGILNINVVSQVITGYLPSLILKTFLKIAPPVMKFLSSIQSYVSHSQIERSACIKVLWFIIWNVFFANALSGSILYRINVFLNPKQIPSILAAAVPGQASFFISYVVTSGWTNTASELLRLTPLIFSYIKRIFATESDEEFEVPSLSYAREIPNILLFGLLGITYFFQSPLILPFLLVYFCMGYLVYRHQLLYVYSPKFETGGQFWPIVHHCTIFSLILMQLIAIGIFGLKQLSLASTLTIPLPIITLLFNGYCQKRFSPLFKGYPVELMTKKDKRDENDPTISEFREKLITAYQDPALKPIKFSSTTDNINAPLLAFET >cds-PLY75140.1 pep primary_assembly:Lsat_Salinas_v7:4:62202184:62203542:-1 gene:gene-LSAT_4X41160 transcript:rna-gnl|WGS:NBSK|LSAT_4X41160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEMPEIVAFMKLALEQAKIAFDNLEVPVGCVIVMDEKVISCGRNRTNETRNATRHAEMEAIDGLLEEWKEKGLTKHEVVEMFSKCYLYVTCEPCIMCASALSFIGIKEVYYGCANDKFGGCGSILSLHTNTTTSDSGEDVGRKSYKCTGGIMGEEAVSLFRNFYELGNPNAPKPHRQPIQQP >cds-PLY98030.1 pep primary_assembly:Lsat_Salinas_v7:8:43214579:43218172:1 gene:gene-LSAT_8X32640 transcript:rna-gnl|WGS:NBSK|LSAT_8X32640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTGFHHQQTSSLNQQAISFQSSPRDSKSEISMIGDLDFHRMNGTHGMIFSGNSAIVNNSSSTFTGIGNSCDSVIVDSVPELKHRAGVAVEWSVEEQYKLEEALLKYANEPGIIRYVKIAATLRNKTVRDVALRCRWMARKRRKHEELSLWKKSKDKKDKLVEFSSKPSVLPPISTFNVAPFSVSMNNRVQTDGNHVEALQGSIRHLLEQNKQVIGQISTNMCALKLQDNVNLFSQMKNNISAILNDMRFIPGPPLPVSLNEDLANTILSTKNQTMMFTSSSGMHMKQEPGSW >cds-PLY84159.1 pep primary_assembly:Lsat_Salinas_v7:6:186745997:186748257:-1 gene:gene-LSAT_6X115600 transcript:rna-gnl|WGS:NBSK|LSAT_6X115600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium uptake protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G32060) UniProtKB/Swiss-Prot;Acc:Q9SZ45] MSSLRRSTPSIHHQIRSISSTPPSLIATSITTAAPDSHNRNPSLLRWLSAVVAGTGVGISLYWCSPSVSSTYAVKSAMGFADWMTATADRFKELYDGQPLSSSSFFIGDALRRRIFFNYEKRLRMLSPPQKVFEYFASVRTTRGEILMTPGDLMRAIVPVFPPSESNLVRDGSLRGERRPGELHCAPSDFFMLFDVNNDGLISFKEYIFFVTLLSIPESSFSVAFKMFDIDNDGEIDREEFKKVMALMRGHNRQGAYQKGGNRTGFKVGGRLENGGLVNYFFGEDGNKRLQLENFVQFLRDLHHEMIWLEFSHYDFKSSGTISAKDFVLSMVASGDIRHMNRLLDRVDELDHESHLKDIRISFEEFKNFAELRKRLQPFSLALFSYGKINGFLTRNDFQRAASHVCGISLSENVIELIFHLFDANQDGHLCSDEFLRVMHRREREIAQRTKAGIMNPV >cds-PLY62007.1 pep primary_assembly:Lsat_Salinas_v7:3:175146384:175157043:1 gene:gene-LSAT_3X106460 transcript:rna-gnl|WGS:NBSK|LSAT_3X106460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase, H3 lysine-9 specific SUVH4 [Source:Projected from Arabidopsis thaliana (AT5G13960) UniProtKB/Swiss-Prot;Acc:Q8GZB6] MAGQSLKAEENDAAAENAPTIQRCGGVDSQATHTCSPARRISARIQKNEKNKAAVRLRQQEEESLTKTEKPTKKQRLNYNKKKVISGNVQTQVVQDDGKRTVVTSNSDGDTEHKEKPNGEEKREDVENGSACKGQVGEKSAYAMVTDTIRAFNKHYLHFVQEEETRCKKVEADQKKTKKLKSKMMDSNTILRPLTRIGSIPGVDVGHQFFSRCEMVSVGFHNHWLCGIDYIGISSKKEYPQYELPITVAIVLSGQYEDDLDNSEDVVYTGQGGNNLLGNKRQISDQVMARGNLGLKNCMDQDVPVRVIRGHQSSSSYVGKVYTYDGLYKVVKYWAEKGVSGFTVYKFRLKRMEGQPSLVTEQVYFHKGRIPNSMSELRGMVCEDISGGLENIPIPATNLVDDPPVPPTGYKYIKSIKVSKNVVVPGSASGCNCKGSCVDPRNCACARLNGSDFPYVHRDGGRLIEPKAVVFECGPNCGCGSGCVNRTSQKGLRYRLEVFRTPKKGWAVRSWDYIPSGALVCEYIGILKKTDDVDSNPENNYIFDIDCLQTMKGIGRRERRVGEVAMPSLLDKDDDDKDSGPEFCIDAGSTGNVARFINHSCQPNLFVQCVLSVHHDITQARVILFAADNIPPLKELTYDYGYELDSVMGPDGKVKKLACYCGAPDCRQRLF >cds-PLY63177.1 pep primary_assembly:Lsat_Salinas_v7:4:311351148:311351633:-1 gene:gene-LSAT_4X156481 transcript:rna-gnl|WGS:NBSK|LSAT_4X156481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTIQLAFSTATEGRLSNASDCTSSSSASDHDEYTTTNRGSVRPSRKWRKLMKMVVEGSKKSIYGSSKPMIFRYDAVSYSLNFDEGNHSDECNIYGSRCS >cds-PLY78651.1 pep primary_assembly:Lsat_Salinas_v7:9:49490431:49493810:-1 gene:gene-LSAT_9X41821 transcript:rna-gnl|WGS:NBSK|LSAT_9X41821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1A [Source:Projected from Arabidopsis thaliana (AT1G76400) UniProtKB/Swiss-Prot;Acc:Q9SFX3] MGRFRFDLLLLLLAVHWGPALSDLVISKLDRRIDLTSQIVRMTMSFKVENTGPDPVSKVSLTFPEHQANNLAVLVAVSTEGKGKTRGPTTNLPIKTNQPADSPPSLIWYAASLPKELVKGESLTMDVLAVFTHTLRPFPEKITQSESQLFLFQESAHFPSPYKVKSQSMTVKLPSENVESYTKLETTKFSNSEIKYGPYENLPPFSYSPVIIHFPSNKPFAVAHELVKEIEISHWGNVQVTEHYTLIHGGAQSTGEFSRLDFQTRPHIRGASAFRNLLAMLPPRAHSIYYRDAIGNISTSNIYGDSSKTLLEIEPRYPMFGGWKTSFTIGYGLPLQDFLFQSEETRFLNITFGSPMNDILVENLTVKVVLPEGSKDISVSVPFPVKQSQETKFSHLDIAGRPTIVLEKINVVPEHNQYFQVHYKFSNISLLTEPLMLILGFFSLFIACIIYMHADFTISKSSPSYLAKLQWDEVLGTIQQLQDMINRCLLIHEKLEASLRELSRTGDVQACKAVRKAADTLLKDVSKESKPLLSLLQSSPQAAHIFPKVEELVVKERDLQEKIMLKHTMVVDSYEKKSGGKDFENRVAAIQQKITVLKQEVDDLVEFVEDI >cds-PLY75237.1 pep primary_assembly:Lsat_Salinas_v7:7:65813099:65819133:1 gene:gene-LSAT_7X48101 transcript:rna-gnl|WGS:NBSK|LSAT_7X48101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANGCSYDVFLSFRGEDTRNSFTDHLYAALVRGGLRVFRDTDEINRGEELKPEIRRAIMESRASVIVLSENYATSTWCLDELWLVIGQRRECNHFVLPVFYHIDPMDVRKQDKSFDIKVQTSTRWTDDNVNRWKTALTEVADLKGMVLSGLETTFLADIVHTIHNKLDFKLVSLPQNLTGMDTRHKDINSWLKQSEEEFLAICGMGGSGKTTLAKYIIDSNWRTFENISFLEDIGSRCKDSRDLCELQEKLLGDILGGKNRKIPSVSHGMCKIEEALQTKKALIVLDDIVEQGQLIALLGNSIINTQSKIIITTRVLNTEEWLGPRSLRCRKYKMKLLDDDESLELLSRHAFRSKIPIEGFEKLTIQAIRYCEGNPLALEVLGSSLFVSADIPKTDNILHWRSTLKLLDREIDSGIQHILRRSYESLPRYSNKELFLHIACFFVGTDLDYVITELMTDSLKNMDNLKLLQLKFVQLTGSYENFSEQLRWLCWIGFHLRTIPSDLFMGNLVALDMSYSCLEVFEPPMILPSLQILNLKDSHKLLEIHNISRLPNLETLILWNCHSLVHVCETIRLLNSLALLNMTGCEKLWKSSWKMQHKSPLKELKVSTSDERVKGLSTFSLPPSLQRLFLNDCYLESTDYSPLCFSSQSFLQYLNLGNNLFEFLPMYNHLKNLRVLDLTWCLRLKWILCLPSTLAELYVYYCKSLERVTFESCRFTLQEFGYEGCLNLLEIEGFIKLVPLVKLDETDLGHLKWLKEHQNHKVCLVGDDELTKGRSLCIQILYEFNIMSTSLPDINDPNMTPDYISESTSLSFNVPLGPKDRRLKGLNIILKYKTSGEDWAWFTKITTSNGVDLMYNPIVFGKPASGEVAIWLSYWPIGNTLKVGDRVNVSTTVMNGLEILECGVSLVYTHDDDEVVNETLENDMEWVKILGGDYSGFQLRTGAYYLCRRDFFEFIEVGRLTPDWFKILVGDSVDCTEIRGWRKTGRPQQLNQSFIELKTVKCIVHASESEQIYKIGEMSKSSHVGKTLGIPSMISATASKSADTDVHTSKASIAETIEFTSSSLKETMKSATRSESSVSESESVEITSPSLLMKGMKAVTESGDSPAKGLLAGKFPVASSLSTVASPWKKFSCFDVYLSCDYIFTTRFKNHLCDRLVTAGIQISPYSLHFVSGGGYLRNSEARASIVVLSENYASSVRCLDELLLILERRAKSNHFVLPVFYDVEASDVRGHQRLFALRWYQTSSTWKGKVDRWKAALTEVANLPGFHVSRYVLSST >cds-PLY92388.1 pep primary_assembly:Lsat_Salinas_v7:3:123538584:123542781:-1 gene:gene-LSAT_3X85620 transcript:rna-gnl|WGS:NBSK|LSAT_3X85620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSMINNNNNNEHNDDNKNNNNWLGFSLSPHMNTTTTTSTMEGAPPSEASHHPSSSSSSNPTSYFNLPSHFNYPNIYCHGVEGENGSGLYSGFPIMPLKSDGSLCLMEAITRSQSQGMVSSTPPKLENFFGGVTMGTPDFDRGGATMALGLDSSTMYYNQNPHHHETLQHNHRHHQHQIQTQHYPDYSGFRALYQTVQQEEVKDDQNIVSDTCNLHLPSIGEDDDITGMKNWISRNYHSGDHGGGGGAGGYGDLQSLSLSMSFGNCSQSTPCVTGSHSQQQIIPPANVTDCVVLDTKKRGSEKVDQQKQIVHRKSLDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQVYLGGYDMEEKAARAYDLAALKYWGPATHINFPLENYEHEVVEMKNMRSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDVAAIKFRGVNAVTNFDISRYNVEKIIASNTLLAGELARRTKVDPTNELLCEQPHINGEPKTMSSEPTINRNMLDWKMTLYDQNGSSNGVGIEGDESSSKLGTHLSNASSLVTSLSSSREDSPERNNNNNINNNNINNSNLPMVLETPPSASNFLGGSSGGGVDAWIPTAATQMRPHIPVFAAWTDA >cds-PLY94715.1 pep primary_assembly:Lsat_Salinas_v7:2:85420836:85422536:-1 gene:gene-LSAT_2X38041 transcript:rna-gnl|WGS:NBSK|LSAT_2X38041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDTIRTISNILRYSTWDSAQKQLETLGIKWDSYTINQVLKTHPPMEKAWLFFNWASGLKNFKHDQFTYTTMMDIFGEARRISSMRFVFQQMEEKGIKIDVVTYTSMLHWLSNDGDIDGSVKLWEEMKEKGFYPTVVSYTAYMKVLFHHGRVKEATKVYREMIQSGCPPNCFTYTVLMEHLAGCGKFNEVLDIFIKMQEAGVQPDKATCNILVENCCKAGETSVMMKILLYMKENSLVLRQPVYAKALETLTSAGKSDVLLKESNRHLSIQHTKVEPLERTISDTSSIDEHLVLNLLTRKHFVGVDFLLKDMMEKTVPLTSGILSLVIEAYVAHDRPHGAVLAYEFGKKTGMKFEKVLYLSLVGLFIRTNSFPKIVDIVEEMVKNGIFLGINQSALLIYKLGCAKKHVSASKVFDLLPDDEKNTTTYTALMAAYFASRNTSKGLQMFKDMKEAGIPVVLGTYNVLLSGLEKSGRVVEFEVYRKEKKQMEKCRFSENQESIEEMTCNFLFARDYVS >cds-PLY91522.1 pep primary_assembly:Lsat_Salinas_v7:7:140754572:140756464:1 gene:gene-LSAT_0X15821 transcript:rna-gnl|WGS:NBSK|LSAT_0X15821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPATAPEHQEVISIDNDRTTTSPQRTFALPVDSENKATKMKVFSVAQPHMRAFHLSWISFCTCVISTFAAAPLVPIIRDNLNLTRSDISNAGIASVSGSILSRLAMGVICDLVGPRYGCAVINILAAPVVFSICFVEDAGGYVAVRFMIGFSLATFVSCQYWTSVMFNGKIIGVVNGISAGWGDLGGGLTQLLMPVLFHVITGMLGTTPFTAWRIAFFIPGWFHLIAGALVLAYGQDLPDGNFAQIYKEGRVAKDKFSKVFRNAISNYRTWIFFLVYGYSMGVQLCLNNVISEYFYDRFNLQLHTAGVVAASFGIANFFTRPFGGYASDLSGRKFGMRGRLWTLWITQTLGGVFCIWLGLSNSLPIAILSMMLMAMGGQAACGATYGIIPFVSRRSLGILSGLTGAGGNVGGGLTQLIFFSGARFSTAWGLTWMGVMTVVLTTPVAFIHFPQWGSMFFPASKNEKYNEEYYYSLEYSEEEREKGLHIGSMKFAENSRAERGSRSVVALTQTPPNTTPNNHV >cds-PLY94487.1 pep primary_assembly:Lsat_Salinas_v7:2:158450001:158451829:-1 gene:gene-LSAT_2X82741 transcript:rna-gnl|WGS:NBSK|LSAT_2X82741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTMFTLRHQQLLSDYQQQHHHHHHRQSFNSTVSSSSSRSSTDHQTTTGAGHYYQPQEECFPSTFFMEEDDLSSSSSLQQHYYSSNQLQPHFHHQFSATPTPTSTTSTTTTPPPPPKTHGFSSNSDHTNFNYSSSQDHIAMEFSNSFSANGWASDVLLEAARAVADKNSTRLQQLMWMLNELSSPYGDVDEKLSCYFLQALYSRMTDSGELNYRNLSSISEKVSTFESTRELVLKFQEVSPWTTFGHVACNGAILEALDGETKLHIVDVSNTYCTQWPTLLEAIATRADEAPHLRLTTVISSRSAGSDGIERIMREIGSRMEKFARLMGVPFKFNVIHHIGDLSDLNFSQLAIQSDEALAINLNGTLRSVSNHRRDYLISMFRSMNPKIMTIVEEEADLEVGIDGFEFLRGFQECLKWFRVYFEALNESFPQTSTERLMLEREAGRAVMDLVACSPANSVERRETANRWSSRLRASGFGGVSYSEEGCDDVRALLRRYKEGWSMAPSETAAGIFLMRKETPVVWASAWKPV >cds-PLY79871.1 pep primary_assembly:Lsat_Salinas_v7:8:17803557:17807226:-1 gene:gene-LSAT_8X12401 transcript:rna-gnl|WGS:NBSK|LSAT_8X12401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDVVFECVIPYIHDSRDRQSVSLVCRRWYELDAQTRKHVTIALCYSSTPEQLWRRFPYLESLKLKGKPRAAMYNLIPEDWGGFVTPWVEEFARSFRCLKAVHFRRMIVTDEDLEVLARARGHVLQVLKLDKCSGFSTDGLLHISRFCRNLKTLFLEESQVTEKDGEWLHELALNNTMLETLNFYMTDLSQVNFKDLELIATKCKSLVSVKIGDCEILDLVGFFRAAVSLQEFGGGCFNDQAGGYGDVAYPPRLCRLGLNYMSSSEMPIVFPFASRLKKLDLLYALLDTEDHCLLLQRCPNLEVLETRTVIGDRGLEVLANSCKKIKRLRVERGADEQEMEYEEGVVSQRGLTAIAKGCLLLEYIAVYVSDITNAALESMGLHLTNLSDFRLVLLDGEDVITDLPLDKGVRSLLSGCRKLKRFALYLRPGGLTDEGLTYIGQYSQHVRWMLLGYVGESDKGLLGFSKGCPSLQKLEVRGCCFSEHALANAVLELKSLRYLWVQGYRGSQNGCDFLAMARPFWNIEIIPSRRVNCGEDKVVDHPAHVLAYYSFAGSRTDCPPSVIPFREIYKRKINGRR >cds-PLY70781.1 pep primary_assembly:Lsat_Salinas_v7:3:134263580:134264734:-1 gene:gene-LSAT_3X89520 transcript:rna-gnl|WGS:NBSK|LSAT_3X89520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKKTLEKKQASTLPKTRALIVGRVKSIQRKAAFHSLVSLWHTPPTSTPRLRGDQKRSFVFPPESALAAFLNKPSSLLCAAFLIEAAELTPMAEFYGEERCNNNWAMRDFFTYCKRKGLLIELDGEAILVISMNLWVGSAGSTTIAARSTVEFLGTVIREVPPRTTPIQFLRELEKRLRVKHRIHITACHLRSAMHSKFRNLGNSIPIKELTKGMSGTGSLLDAVQLADTLGTAGVRSPQVSVLWGTVKHIRQGSRAISLLHSSGRRKVPSDVQQAVSRSGMSVRKLSLYTPTSRKAAGEREGDWARSIRRQFPIQIEAPIKKILRRLRD >cds-PLY85526.1 pep primary_assembly:Lsat_Salinas_v7:2:196280654:196282238:-1 gene:gene-LSAT_2X117121 transcript:rna-gnl|WGS:NBSK|LSAT_2X117121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQRKPQSRGSSSSSSSFTENLFGPKDPSAATSSGLFSSVFGPSSTGLGKDSSHSKNTGASNKQEFGGPYGSGKHSTPDHKTQRCIGEKDGNPIYQNETAEPSYLSSSIYYGGQEVYSPNSQPCRPHHSFKKDGGDEDPNNNSASRGNWWQGSLYY >cds-PLY78454.1 pep primary_assembly:Lsat_Salinas_v7:2:167831883:167834117:1 gene:gene-LSAT_2X88561 transcript:rna-gnl|WGS:NBSK|LSAT_2X88561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKGPGLYTEIGKKARDLLYRDYQGDQKFTITTYSPTGVAITSSGTKKGEIFLADVNTQLKRNNITADVKVDTNSNLSTTITVDEAAPGLKTILSFKVPDQRSGKLELQYLHDYAGICTSVGLTANPIVNFSGVVGTNVGSIGTDVSFDTKTGNFTKYNAGISFSNADLIAALTLNDKGDTLTASYYHIVKPLTNTAVGAEVNHSFSSNENTITIGSQHALDPLTTVKARVNNFGKASALIQHEWRPKSLFTISGEVDTKAVDKSAKFGLALALKP >cds-PLY91059.1 pep primary_assembly:Lsat_Salinas_v7:5:171192673:171194136:1 gene:gene-LSAT_5X77140 transcript:rna-gnl|WGS:NBSK|LSAT_5X77140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLQIIVITLSFISLEHEALAALPYTSVVLPIHKHTDASKPLYSVQVMTAYVNMQFLSQNLLIDTDAPIIWRTCSLQWNMYQGSCPADTQCAFPVSCEEYQCTEVRTSFSYKNPYCQRETNKSTLPGWGECTCPINVVNPIDSSCVQAQLNYDDFTFNQSNGGNVYTGLYGAYPNAACAPSSSLQSFPANVTGVMALSSSPYALPARLVDPLKRVIGLCLPSTLSALGVLLYGDSPYYLLPQSTVDVRSYLSYTPLINHPDSFGYYIGVNNIVIKKRSITIPADAITKISTTEPYTILRTDIYNPVVRRFSMVTKRIPQATPVPPFGLCFSSSTNGTQVSLKVPDIDFSLPEGKKWTMSAANSIKQVTPDVACLALVDGGASFEPAIVIGTFQMEDNFLVFNLENSTFGFSSSLLRKKTSCSNFNYTLVSSY >cds-PLY75455.1 pep primary_assembly:Lsat_Salinas_v7:7:72262335:72262688:1 gene:gene-LSAT_7X52400 transcript:rna-gnl|WGS:NBSK|LSAT_7X52400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSQVGKKKSKSKPKVVKKDASAKNVSGKYRKGGSKKVANEVTENSFEGDSEKEVPIAPMKVVEEVVTKTSIPEVVVRKSKKLKMKSKSFYVVITDVTKKEAQESWKKKISWCLENT >cds-PLY97514.1 pep primary_assembly:Lsat_Salinas_v7:2:6495999:6498831:1 gene:gene-LSAT_2X2680 transcript:rna-gnl|WGS:NBSK|LSAT_2X2680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRLNSDSTRKGDSGNYGQNFNDQQGVLNMSPTPSSCSLSPSYVELVEEITSIETEILHLERHLLSLYRTAFKPPHRHNTDETPESHFPPISDQSCDKLKTNDQIPKSSSRRKSGHRSLGDHLGTPCTDFVLPDRLSEDMVKCISSIYCKLGVGDPNQFHQGPSDSSDSSLSSSSTISTKNLSDTWSTYCNQERGPYGDMIQVLKIGLDDDTFNYAAKMLIHFRTLVKKLEDIDVGKMKREQKLAFWINIHNALVMHAHLAYGTHSNSRTNSILKATYNVGGHCINAYIIQSSILGIRSHFRASWLHSLLSPGRKSATVPQHHVYAIEYPEPLVHFALSLGTFSDPAVRVYKAKNIFGDLRVAKEEFIRSNVHMHKEYSKVSVPKILYYFAKDMALTVPGLLEMVNDCQPESQRRVIEKNVRGKTDKYVCWLSQSSIFGYVIHRDAIEGRVFV >cds-PLY66467.1 pep primary_assembly:Lsat_Salinas_v7:5:327148432:327152040:-1 gene:gene-LSAT_5X182801 transcript:rna-gnl|WGS:NBSK|LSAT_5X182801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHIVGGKFKLGRKIGSGSFGELFLGVNIQTGEEVAVKLESVKTRHPQLHYESKIYRLLQGGTGVPNLKWFGVEGEYNIMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLLNRVEYMHARGFLHRDLKPDNFLMGLGRKANQVYAIDFGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFIRGSLPWQGLKAGNKKQKYDKISEKKMLTPIEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQISASSRARLPGNTGINAGTSMEKQGRTSGGQDSRALDAFPRRNIATGGRYDFSRHRTPDDMTSSKDVQPDSDKLRSSRNGSSSKYAAASGTRPSSSGEQTPTEGQSSSRIMSSAGGRTSTTQRASFGLGYDAKSSGFSRPAKGGREDPLRSFEFLQIRK >cds-PLY67361.1 pep primary_assembly:Lsat_Salinas_v7:4:60038536:60039087:-1 gene:gene-LSAT_4X40660 transcript:rna-gnl|WGS:NBSK|LSAT_4X40660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNLGFFLFLVLLATTSLEINAQTCKPSGGIRGRKPPPGECNRENNSDCCVQGKFYTTYTCSPPVTGDTKATLTINSFQKGGDGGGPSECDNQYHSDDTPVVALSTGWYKGGDRCHKYITINGNGRSVKAMVVDECDSTMGCDDDHDYQPPCPNNIVDASKAVWKALGVSEDNWGDLDITWTE >cds-PLY96858.1 pep primary_assembly:Lsat_Salinas_v7:2:109152280:109152576:-1 gene:gene-LSAT_2X48640 transcript:rna-gnl|WGS:NBSK|LSAT_2X48640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMPQIIQARQILKRSLSNGTRTTETDLPKGYFAVYVGEQEKKRFVIPVSLLSQPSFQDLLRETEEEFGYDHPMGGLTIRCSEQTFYDLASGLGAF >cds-PLY88649.1 pep primary_assembly:Lsat_Salinas_v7:5:139682240:139691689:1 gene:gene-LSAT_5X61021 transcript:rna-gnl|WGS:NBSK|LSAT_5X61021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYQLQQYRQKKDGKGSKSSGKANKPERDVFVGDSSSVAKSSPEQVSATELVELSPQASTDTSVATNADVAAPDRSSTSVAPIEVSKVDDSVSNEDLKSSNLDDAIPTFSPPKIVNIEGKLESGLSVGLKGVLDDSFAHKAGEMIAAHGEDQEDNRTSLIDVEGDMNQVNSGDVEEDAKMEESTSSAIEQTSEERDGFPAFVNADVNSASGLPSSSDQERLIGDSGDASADVHSASALPSSDHEPAIDDSGDTNADAQYASVLPSSSDHEPTIGDSGVSNADEHSLSGLLYSDHGPAIGDSGDTNADVHSASVLPPSSDRELTIGDSGVSNADEQSLSGLLYSDHGPAIGDSSETNVDVYSVSGLPYSERELTIGDSGVSNADEHSLSGLLYSDHGPVIGDSGVSNVDVHSVSGLPSSDHELTIGDSGVSNAHGHSLSGLLYSDQGPAVGDSNDSNADVHSVTVGDSGVSNADEHSLSGLLYSDQGPAIGDSSDSNADVHSVTVGDSGVSNADEHSLSGLLYSDHGPAIGDSSDSNADVHSVTVGDSGVSNADEHSLSGLLYSDLGPAIGDSGDTDADVHSASVLLPSSDHEVAIADSGDTNEDVVVDIHTKSGSAEFPEKLKEQLYINNFEKELVHLQLCEERDVRKEFEQHHLQWENEKSSFITSIADLEGKNRTLSEEIAECRSELNTFANKMEELDMSVSFSKTELDWSSSRIQELETELLNVSSELVDSKGLVSDLQVKNETLNVNVASLTEEKDKLEKEKGDVILENEKLSKDLMECKSLLQSAHVEKVNVKETLALVMDEMKKLEEAKQKYVSEKDKLLSDWKKLEEVLASEREERSKNNEANDHFIQENNKVSMELLESKKLMESLESEIANLSENLDLVTKERTKLGEDLDHLRFEKEECLTELTSCKTLLKNLQVEYEESMNESRDSALRLEKLTEENVTLTSNLGMYKAKVTELDDWKMKSEERASHGKLEELMEAMKEQSNSFEEIFLKNVILDEVMKQYVHTVESKKGDLVNLCEDLRQEVIITKTQNSELTEKLHNSESRIHELQLQVDDMASFSNQLELLHRKLDASIEGISVIHSSEAIVGDNIFARVTTSVDAAIEVIQDLQEKLEDSLKTRNSLSDSYKEMSEKIKDLEQTNELAAYVIHKVFDNLQKIVNGSTEESEDDTRNEQLDHLEISNYDVFIERLIMILRERAQLEAKNREYNLDLLRRIKDLEESNRKLNNPAKDLKLMELQTKVNQLTSSIIPYEIESSIYKESLRSAIEQIAVIQSETKIKETELHQSENRVSALREKLHIAVTKGKGLIQQRDTLKQNLTMKDAALQELETKLKSYSEAGERMEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPEHFHDRDIIDKIDWLAKSVSHEPSQTGVIERAHSYPGSGPLEGWKEDLEPVSDELRRNYEDLQNKFYELAEQNEMLEQSLMERNNLVQRCEEVLEKTNMPLHLRSLEPEDKIDWLRVVLAEANDRCAHLEESLMERDSLVQRCEEVLAKTNMPLHLQSSELEHKIDWLRVGHSEANDLCALLEESLMERNSLVQRCEEVLEKTNMPPHMQSSDLEHKIDWLRDVYSEANDRCALLEQSLMEKSRIVQRCEEVLEKTNMPPQLQSSELEHKIGWLCVVLSEANDRCALLEQSLMEKSRIVQRCEEVLEKTNMPPQLQSSELEHKIGWLCVVLSEANDRCALLEQSLMEKSRIVQRCEEVLEKTNMPPQLQSSELEHKIGWLCVVLSEANDRCALLEQSLMEKSRIVQRCEEVLEKTNMPPQLQSSELEHKIGWLCVVLSEANDRCALLQQDLEEIRGSLSADLEESNRRLLDVEANLKSIADERDQILAHFEAQSQDYNKINEEVSSYEIENVKLKNEIDALQLKLDEKHVDEEQIQHVNGEIKRLQDLVKNMLQDPEMEDFDSSMNDIQCLEGLLGKLEEKYPKYPSGERSIEQLEVLEKKLEEIEGDLVQVKDERDKHMEKSQSLVNEVEALEVKNQELQKLLVQEEQKTGVVREKLNVAVRKGKSLVQQRDTMKQNINELTAEVARLTSEIRVRENTLSDNQEKMKESFKTINELTAEVARLTSEIKVRENTLLGHQEKMKDLFTLQDLVENKDSEIRFLKNRVEEILSALGKIDVGVESKNNDPIEKLEQIEKKCRDLHDGILSAEQDSRKSKRAAELLLEELNEVQERNEDLVDEISKLSREKDSAEAAMRDSLSHLEQLSALHLDERSSQFEELMNLKSDFEQLKPELRNIYNLVNDVLPKDLDHLYNLESSVKSLLESSDASNTSLRKDSKDNLFLDTKREEEHSDDEIVDLWRFVGTHMEDLISNISLFEEKLEAHSKSLHEEAIVLSETVSTLHKEMTSSKSSLESINKQKEKENLALCKHISMLYEACKNSVFEMEKVKGQMVSDDESLLFIEGDTLSVSEEQIMNMVNRLLSHVKDFHSFQTENMEANLKEMKERISSLQKELTEKDVQKDRICVDLVNQIKRAEASAMSNLQQLESSKTQVNDLKGQLEAVNAECKALQQRVKEVEESQEEKVKSLTHALTSKEQEAEALLQALDEEEAQMEDLTNKITELEKLMKQKDHDLENTEAARGKALKKLSITVNKFDELHHLSETLVSEIDNLQSQLQERDSEISFLRDEITRCTGDSLQALESDKKGLDSIQDILTWLQTQDLHLDDNNGESNQVHEYKETLKNQITTIVSELKELRQVAQSKDELLHVERSKREILESSMREQESRLSLHQDNDESARGATSMTSEIVEVEPVINTWQPKGTTSQVRSLRKVNNDQLAISIDDVDSDEKDKLEDEDDDKSHGFKSLTTSKLVPKFTRPVTNFIDGLWVSCDRALQRQPVLRLSLILYWALMHALLAAFVV >cds-PLY74028.1 pep primary_assembly:Lsat_Salinas_v7:7:10167573:10168335:-1 gene:gene-LSAT_7X9081 transcript:rna-gnl|WGS:NBSK|LSAT_7X9081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRMLLSSSCILILFLCLSHECTGRHPSSDSLAMDDNAKLLSDSTIKGFENDLLTVPDHLNLKMTKKRKDHSCKVGNDHHMKTDSKSQNRKVVNKDQMLKKVQEEQVRHRHQHHPKSHTTAIFRIPPRNKRIHHQPGFNLDYSPPKTHPPSHN >cds-PLY95780.1 pep primary_assembly:Lsat_Salinas_v7:3:27039031:27041248:-1 gene:gene-LSAT_3X20761 transcript:rna-gnl|WGS:NBSK|LSAT_3X20761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSREMDKPVKEAKESTKETPTSQEQSSGNPMGAVPDWTGFQAYSPMPPHGYLASSPQAPPYMWGVQHLMPPYGAPHPYLAMYPHGGMYTHPSMPPGSYPYPYAMPSPNGVAEASGNTTPGSMEVNGKSSEGKEKLPIKRSKGSLGSLNMITGKNNESSKAGASGNGSYPKSGESGSEGSSEGSDANSENNSQMKSGSRQDSMEGEESQNGNNMHGCQNGGPNHSMAIVPISGPGSVVGGPTTGTNLNIGGMLAAAAGSRETIQPQIWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEALKDENASLRAEVKRIRTDYDQLLAENASLKERLGETPKKNNEEGETQT >cds-PLY92348.1 pep primary_assembly:Lsat_Salinas_v7:9:178634321:178637980:-1 gene:gene-LSAT_9X109860 transcript:rna-gnl|WGS:NBSK|LSAT_9X109860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:LOH2 [Source:Projected from Arabidopsis thaliana (AT3G19260) UniProtKB/TrEMBL;Acc:A0A178VL39] MESIWSQNVVPAPSRFIFAVYFAFASFGARVLLDRYVYQRLSIWLMSKGNTPLKLNKATKAKVAKFTESMWKLTYYAIIEFTVLAITSHEPWFTDIKGYFRGWPNQELKFSLELVYMCQCGFYVYSIAALVLWETRRKDFSVMMSHHVITVFLIGYSYITRFFRIGSVVLAVHDASDVFLEGAKMFKYSGKEVGASVVFGMFAFSWLILRLIIFPFWVIRASSVHLLEALRPSEVYHMSLYYVFNTMLLTLLVFHIYWWILIFNMIMRQLKNRGQVGEDIRSDSDDDD >cds-PLY66108.1 pep primary_assembly:Lsat_Salinas_v7:7:32327529:32330354:1 gene:gene-LSAT_7X24101 transcript:rna-gnl|WGS:NBSK|LSAT_7X24101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTRNSSVALLLLFISVSLSVSLSVSVSSTLPSEFSILGDQETNVLSSEKITELFRKWKEMHGKTYAHEEEEARRLGNFRKSLKYILEKNSKRKSETEHMVGLNKFADLSNEEFKEMYFSKVRGPRSNKLKMRGAKRNTTLSSKSCDAPASLDWRDKGIVTPIKDQGQCGSCWAFSVTGSIEGVHALATGDLISLSEQELVDCDTNDYGCNGGNMDTAYRWIIKNGGIDSEADYPYTSSNGRDGKCDKTKSKKSVVTIESYVEVESKNEDALLCAVAKQPVTIGIDGSAYDFQLYTGGIYDGECSSSPNSIDHAVLVVGYGTQDGEDYWIVKNQWGTYWGMEGYILMKRNTNNKNGVCGMYLEPLYPTSGVLPPPSPPSPPAPPSPPPPPPSPSPSKCGDFSYCPADQTCCCIFEIYNYCLMYGCCGYTNGVCCKGYSACCPSDYPVCDVKDGYCFKKSGDTVGVKAKKKQLAKHKMPWEKIEETLVEEYQSLVWKRNPFAVAA >cds-PLY82612.1 pep primary_assembly:Lsat_Salinas_v7:8:175682371:175683802:1 gene:gene-LSAT_8X115041 transcript:rna-gnl|WGS:NBSK|LSAT_8X115041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKMTKILKPTTTQKRSTSWGFSLLFSTVAIVVVAMLVIQLDTYETVAFPLQEVSEPMYVPARKNSASLSGVEKVGSGLLIGPEDIVYEPKSGVIYTGCHDGWIKRVTLSVLGADSVVENWVHTGGQPLGLAIDESGDVFVADAYKGLLKVSVDGKIELLTDEAEGIKVGLADGVVVAKNSMVYFTDATYKYNYWSALNDLMEGRPHGRLLSYDPSTKETKVIARDLYFANGVELSPDQDFVIFCETFMRRCSRYYLHGEKKGYIDVFAKNLPGLPDNVRYDGDGHYWMALPWDNSLLFTYTQKYQFVRKIFAFTLKHLRKMPDLMKFGGVIVLDLEGKVVGGYYDETWGMTSSGVKIGESLYLGSVTKPYITRLNLTQHPLRLIS >cds-PLY63035.1 pep primary_assembly:Lsat_Salinas_v7:8:75224640:75228718:1 gene:gene-LSAT_8X54140 transcript:rna-gnl|WGS:NBSK|LSAT_8X54140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVPLEPILHHHHWQETSKSPMESEKHKQSSLNYTWKTRNCLIGNGAKRCNFRPASSRVMKTTVRASSNSSAAELSLSNTSESDNVIFHKTFPLQCIEKVEGKILVRLDCGKDEENLQLVVGCNLPGKWILHWGINYANDIGSDWDQPPVEMRPLGSIPIKEYAIETPLEKSVEKEAGFIYEVKIDFNTRSSISAINFVLRDEETGSWYQHKGTDFKVPLTNISNDDGNTVETKQDFAIWPGTLGHLSNMLQKSDGGNSKESTQKRNLSYEEYDIVKQSLVDNSMSVCIKRCPEFVNNLLHIETDIPGDVVVHWGKKEGGCGCSGLFPLDAGIEGFLFVLKVNNNTWVNCMGDDFYIPMSNERNFKKPEHIGEENVSTSMKLDSSQDVSTNTDELINEIRHLVIGISSEKKRKTKSKETQENILREIEKLAAEAYSIFRSSIPSFKKKPESESESKEAEPDLKISSGTGSGFEILCQGFNWESHKSTSWYSELQDKAAELSSLGFTIVWLPPPTESISPQGYMPKDLYNLNSRYGNIEELKSLVNKFHEVGIRALGDAVINHRCAHSQNQNGVWNIFGGRLDWDDSAVVGDDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRKDLKEWLCWLRKEIGYDGWRLDFVRGFWGGYVKDYMDASEPYFAVGEYWDSLNYTYGEMDYNQDSHRQRIVDWINATNGTAGAFDVTTKGILHSALEKHEYWRLSDSKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPGGKEMQGYAYILTHPGTPSVFYDHIFSHYNSQISTLISIRNRNKIHCRSMVEISKAEGDVYAAIIDEKIAMKIGPGHFEPPQSGPHQWCLAAEGNDYKVWEAL >cds-PLY86158.1 pep primary_assembly:Lsat_Salinas_v7:6:156617247:156620556:-1 gene:gene-LSAT_6X94561 transcript:rna-gnl|WGS:NBSK|LSAT_6X94561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEKYEPLQELGSGNFGVARLVRDKKTKELLAVKYIERGTKIDENVHREIINHRSLKHPNIIRFKEVLLTPTHLAIVMEYAAGGELFVKICSAGRFSEDEARFFFQQLISGVSYCHSMEICHRDLKLENTLLDGSRSPRLKICDFGYSKSGLLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPRDPRNFRKTIGRIMSVQYSIPDYVRVSKDCRHLLSHIFVANPCKRITIAEIKKHPWFIRNMPKELVEGEKTNYENTSRDQSSQSVDEINRIIQEAKTAGDGSNVNGEIVIGDGSLDPEDEIDLEDEIESSGDYAGQI >cds-PLY98677.1 pep primary_assembly:Lsat_Salinas_v7:5:303186180:303187566:-1 gene:gene-LSAT_5X162881 transcript:rna-gnl|WGS:NBSK|LSAT_5X162881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEPPPYIPEQPFPYQGGYGGQYGQTEYQAHSHRCCWGSRPARTWKIHYVEDCNVYVGALETFVEEREVITETEPYHGNNNIDGKDKGPELGVLELDLRSEFPVLFTHQKESRAQIPHSESIKKCSDCEGRGDIICGKCNVDQEPGVWKQYVKLGPTQPVNWCNLHVSLAP >cds-PLY75510.1 pep primary_assembly:Lsat_Salinas_v7:9:33434700:33435349:-1 gene:gene-LSAT_9X31101 transcript:rna-gnl|WGS:NBSK|LSAT_9X31101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHDMDMPMPTMHMATMHMTFFWGKDVEMLFNDWPNGKLGMYILALAFLFVLAVAIEFFSVFTTINSRAYTIVGGLTQAIVYGLRMALAYLVMLSVMSYNLGVFIVVVVGHTTGFFLMKYRLYLKAKDHDTI >cds-PLY89809.1 pep primary_assembly:Lsat_Salinas_v7:2:138320204:138321781:-1 gene:gene-LSAT_2X67821 transcript:rna-gnl|WGS:NBSK|LSAT_2X67821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARPLVTVQALESDMATDGASLPLPEVMKASIRPDIVNFVHSNISKNSRQPYAVSRKAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTRIWRRWHRKINVNQKRYAVVSAIAASAVPSLVMARGHRIESVPELPLVVSDSAEGVEKTQNAIKVLKQIGAFPDAEKAKDSVGIRPGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGVEVANVERLNLLKLAPGGHLGRFIIWTKSAFEKLDSIYGSFEKTSEKKRGYVLPRAKMANADLARIINSDEVQSVVKPIKKEVKRAPMKKNPLKNLNTMLRLNPYAKTAKRMALLAEEQRKKSKKEKLDRMRKPITKVEAAEIKIASKAWYKTMISDSDYAEFDVFTKWLGVSQ >cds-PLY70591.1 pep primary_assembly:Lsat_Salinas_v7:1:89546692:89549645:1 gene:gene-LSAT_1X75020 transcript:rna-gnl|WGS:NBSK|LSAT_1X75020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGSWFSAIKKVFTSNSKDKLHNDIEKKTPDEKKKGRVKSRNGENRSFIPLFREPSSIEKILGEVDQLLYIGHPTPVHQPQPPPPPPPESIHSVRPPSPRVGGSYRAASPRAASPRNSPPRAAHRRTEIAYRPEPTVRQHHLSATIIQAAYRGYMARRSFRALRGLVRLQGVIRGQNVKRQTMNAMKQMQLLVRVQTQIQSRRIQTLENQTLERQTYKNEKEVENSIGKWTFSNLLEMGDEHWDDSLITKEEQEARMQRKMEAVIKRERAMAYAYSNQLWKATPKSAQTALTDIRSGGFPWWWNWLERQLPADETQIKNRNPELTPTPPRTNLDRKTSPRPQSSIFKQSNFSFENLDTPTPKSSRSMVPTRGRPLMTPTRTPPSTTPNLMKYSKPKGSAAGSPYPMKDDDSLMSCPPFSVPNYMSPTVSAKAKARPTGNLKDRTMSAAASETSSKRRFSFPLTQNIGASFKWNKKSSSKDSTASQAPMVLEKHKSPRSIGDLSMDSAISMPAAFGRRPFNRFV >cds-PLY76180.1 pep primary_assembly:Lsat_Salinas_v7:4:55236903:55238272:1 gene:gene-LSAT_4X37400 transcript:rna-gnl|WGS:NBSK|LSAT_4X37400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPFLLPSPSRLPKKETNFRNNPFIFDASFLQNETSIPQQFMWPEDEKPHLDLPLPQLRVPPIDLNDFLTGDSLAVCNAAQLVDAACREHGFFQVVNHGIDQRLINEAHKIMDLFFGMPLLEKQKAQRKVGEYCGYASSFTNRFSSKLPWKETLSVRYSADPLSSNLVQDYFLKVMGEDFSYLGRVCQEYCEAMSKLSLSIMELLGMSLGIGQSYFRDFYEENDSIMRLNYYPPCQKPDQTLGTGPHCDPTSLTILHQDNVGGLEVFVDEKWHSIAPCSEAFVVNIGDTFMALSNGLYKSCLHRAVVNNHTPRKSLAFFLSPRMDKVVCPPKPLVEDVDKQRRYPDFTWSTFLEFTQKHYRADKNTLDAFSNWLKNGRK >cds-PLY78818.1 pep primary_assembly:Lsat_Salinas_v7:8:289809482:289814091:-1 gene:gene-LSAT_8X160821 transcript:rna-gnl|WGS:NBSK|LSAT_8X160821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNNATPFPTVETCSSIGRENHTVVADMDGTLLRGRSSFPYFALVAFEAGGALRLLMVLLSAPLAGLLYYFVSESAGIQVLIFATYAGMKVSDIESVGQAVLPKFYSSDLHPESWRVFSACGKRCVLTANPRIMVEGFLKEFLGADLVLGTEIGTYKGRATGFVLSPGVLVSEKKAEALLKEFGDKRPEIGLGDRQTDFPFMALCKESYIVQYNPEVKPLPNDKLPKPIVFHDGRLVLKPYPIMALLTVLWIPIGFILACLRIAAGSLLPMPIVYYAFWALGVRVTVKGNPPPPVTKSSGQTGVLFICSHRTLLDPIFLSCALGRPIPAVTYSVSRLSEIISPIKTVRLTRDRQTDASMIKKLLEEGDLAICPEGTTCREPFLLRFSAMFAELTDHLVPVAMVNKMSMFHGTTARGWKGMDPFYFFMNPSPSYEVTFLNKLPLELTCSSGKSSHEVANYIQRVIAATLSYECTSFTRKDKYRALAGNDGIVAEKPKQKAIKVNGC >cds-PLY99901.1 pep primary_assembly:Lsat_Salinas_v7:1:35959595:35960997:1 gene:gene-LSAT_1X29881 transcript:rna-gnl|WGS:NBSK|LSAT_1X29881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKIPEHQVAGHHASNGTLGPLIDDSGRFYKPLQGDERGSAEAAFYKQFSSNTQIPDHIRKFFPIFYGTQVMTASDGSEHPHIVLQDLTSSRLNPTIMDIKIGSRTFGHEASDAYIAKCLKRDRETTSLQLGFRISGLQVYINDESGFYKPPRDDVLKSGLDDVRFLFRRFVSSNLAPAAVPQELEANAALASNVYGGTDGILAQLLELKAWFEDQTMYHFCACSLLFMFEKRLALEGVRPNAQVKIIDFAHVTEGKGVIDHNFLGGLCSLIKFISEVLGDCEEHKTDQEGDS >cds-PLY85646.1 pep primary_assembly:Lsat_Salinas_v7:MU040926.1:118018:118470:1 gene:gene-LSAT_0X29480 transcript:rna-gnl|WGS:NBSK|LSAT_0X29480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELARAGVNYRANNDANWPMAMKLELPRFLCFPWFWCKPTMLMPKLHVHDSTELVLRNLILYEQSSLVPEYGTSYMWAMDMLLDSPEDVAKLVKIRGPSQPLWLQRESCKYNKQYMPRCVLRSFLLPSRVGRSGYLLQELLDQCRCSIEA >cds-PLY95487.1 pep primary_assembly:Lsat_Salinas_v7:4:264407913:264411856:-1 gene:gene-LSAT_4X136981 transcript:rna-gnl|WGS:NBSK|LSAT_4X136981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLGSPKKVLMLCGDYMEDAEAMVPFQALQAYGLSVDAVCPGKKSGDICRTAIHQGSPHQTYSESRGHNFSLNATFDEIDSSTYDGLIIPGGRAPEYLSMNESVLDLVKDFVKSEKPIASICHGQLILAAADVVKGRKVTAYPTVGPMLVAAGAHWVEPETMASCCVDGNIITGAAYDGHAEYINHFIKALGGTLTGSNKRILFLCGDYMEDYEVLVPFQSLQALECHVDAICPNKSAGDTCPTAVHDFEGDQTYSEKTGHDFKLTANFKDTDASSYEGLVIPGGRAPEYLALDQNVIKLVKHFMDAGKPIASICHGQQILSAAGVLKGKKCTAYPAVKLNVVLGGGTWLEPDPIDHCFTDGNLVTGAAWPGHPQFVSQFMSLLGVRVCF >cds-PLY85339.1 pep primary_assembly:Lsat_Salinas_v7:9:111856817:111857005:1 gene:gene-LSAT_9X78880 transcript:rna-gnl|WGS:NBSK|LSAT_9X78880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRCSVPQSGFTNTRSSFTGSEPEFHRICFPNQVPSDLKPTSTGFAFPIWFQQLSPLTPWNL >cds-PLY96153.1 pep primary_assembly:Lsat_Salinas_v7:3:99152210:99153598:-1 gene:gene-LSAT_3X74320 transcript:rna-gnl|WGS:NBSK|LSAT_3X74320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WUSCHEL [Source:Projected from Arabidopsis thaliana (AT2G17950) UniProtKB/Swiss-Prot;Acc:Q9SB92] METQQQEQDLGNKNNTSYLCRQSSTRWTPTSDQIRILKELYYNNGIRSPTADQIQRIAARLRHYGKIEGKNVFYWFQNHKARERQKKRFTPVPVTPPPPPPPPPPPPSTATLLPSPFSDHRHHHHHMHVNSHHPPQFYSQQHKLYTTHQISPSEGGSSSTGFMPVGYGYGSVAMEKSFKECSISQGESRVVGGTSQNFGSWVGSDSYSYEKIKPEYEAPEEDDDRGGELSAQMETLPLFPVNGGATMGGSHHDIINMKAPVAVESPLMSEHSNGGCYTGGNWFRSDGRTSLELSLNSYGYYN >cds-PLY92411.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:918868:921596:-1 gene:gene-LSAT_0X10320 transcript:rna-gnl|WGS:NBSK|LSAT_0X10320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSEGKNDEREPATSKLKKERLGWMEWLRGWFYLAYEMLFQRIMASHLQNPMPLPPLNDLTCIITGSTSGIGRETARQLAESGAHVVMAVRNTKAAHDQIKKWQDEWSGRGLPLNIEVMELDLLSLASVVRFSKAWNARSGPLHVLINNAGIFSIGEPQKFSKDGYEEHMQVNHLAPALLSVLLLPSLIRGSPSRIVNVNSIMHQVGFVDTEDMNVVSGKRKFTSLVGYSSSKLAQVMFSNVLHKKLPGEAGVSVVCVSPGIVQTNVARDLPKIVQAAYRLIPYFIFSSRSSLFAATDPQIPEYCEMLKADEWPVSAFISQECRPTNPSEEAYNVETCFKVWEKTLELVGLPLDAVERLIQGEDITCKYGAHENHD >cds-PLY75227.1 pep primary_assembly:Lsat_Salinas_v7:MU043036.1:277495:281857:-1 gene:gene-LSAT_0X5800 transcript:rna-gnl|WGS:NBSK|LSAT_0X5800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTRNKTWSWTDEVLPFVAMLLITCLDMSVLTIVKAAMNGGMNSFVYIVYHDALGTLILFPFFIIHTFRNVGRPPLTFRILFRFFVLGLLGLCLFQVLVYIGIGYSSPTMASAIANLTPGNTFLIAVAFGMEKIDIKSSSSRAKLFGTIVAITGAMVFTFYKGPEIFNMISSSDYSPNHRLLFSQASDWIFGGLILVIGGIVGSIWNVLQSSTSREYPDQVTIIFFFCLFGTIQCVVLSLFLVKNPIDWVLPPGIAIFAVVFGGVFSTAFRSSAVIWCLKKKGPVFVAMFNPLSIVIASTMGVIFLGDSLHLGSAIGAVIVAGGFYTVMWGQAKQKKMETNILDGADEPGSSNPTTPLLSSVNESKC >cds-PLY86640.1 pep primary_assembly:Lsat_Salinas_v7:4:278929596:278930234:1 gene:gene-LSAT_4X142861 transcript:rna-gnl|WGS:NBSK|LSAT_4X142861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTIPDLLLEDIFVRCDAKTIANSRLVSKTWCSILTDKCFISKHAKNSSAKRVLFSDGEDCTTYTVSDSLRHQEQEMRIWTFQWPQYIVGTCNGLLLGLNEDQSCFILTNVLTKESWRHPLRLFQRIFWPKERSCFIGTYGFGCNPSSGDFKALCIGHRGTNFNDLESDFRNDMMVAIYNLTKNTRRLILKPYLDMQIHPTWIYFKNYFIQP >cds-PLY64339.1 pep primary_assembly:Lsat_Salinas_v7:4:23232866:23236568:-1 gene:gene-LSAT_4X16241 transcript:rna-gnl|WGS:NBSK|LSAT_4X16241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRGSDFQIYNTMTKQKEKFVPLVPGKVAMYVCGVTSYDFSHIGHARAYVAFDVLFRYLKHLGYEVKYVRNFTDVDDKIIKRANELGEDPLALSGRFCQEFLIDMADLQCLPPNEQPRVSDHMDQIRDMISKIIDNNWAYPVDGDVYFSVGIPPNNFPNYGRLSGRKPEDNRAGERIAIDSRKRNPADFALWKAAKPGEISWESPWGPGRPGWHIECSAMSATYLTEKFDIHGGGMDLIFPHHENEIAQSSAACPNSNITYWMHNGFVTANDEKMSKSIGNFFTIREVTKLYHPLALRHFLMGTHYRSPVNYSISQIEISSESIFYIYQTLEDCESAVAQEKDLAATVSGGKKYPSTADAQKCITDLKTHFEKKMSDDLHTPSILNDALQEALRLLNKILSMLKKKLQKPQKLSYIQSLVELEKEVRDVLDVLGLLSSSTYTEVLKQLKEKALKRAELSEEDILQRIEERALARKNKDFLRGDQIRSYLTSKGIALMDVGKDTIWRPCVPAGAEEENPTQTLVKASDGQLPGPPDTSDGQLSKSAAVLTL >cds-PLY81088.1 pep primary_assembly:Lsat_Salinas_v7:6:131468912:131470487:1 gene:gene-LSAT_6X79601 transcript:rna-gnl|WGS:NBSK|LSAT_6X79601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGPDEEENKWPPWLKPLLRESFFVQCKLHADSHKSECNMYCLDCMNGPLCSLCLNHHKDHRAIQIRRSSYHDVIRVNEIQKHLDITSVQTYVINSAKVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIAGTSSNFERKRKISPENKHLITAMSDSEDSYSSSSHGRRRSNYNGRIHIQSFTPSTPPPTAASFRTAKRRKGIPHRAPMGGLIIEY >cds-PLY92283.1 pep primary_assembly:Lsat_Salinas_v7:2:208852560:208857767:-1 gene:gene-LSAT_2X129560 transcript:rna-gnl|WGS:NBSK|LSAT_2X129560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHPQPSSEFERTSNNPVVDDAHGNLHPDHQRSGPLLRLTKIPPISIRRIHTMDEMRDNNVGGGCDDDIKSYKDCDRRTDEADILDASSLGLNRIRSRSSSLHRRVVDSTAGTASKSDAYDVDKIANPPGGKLDTSKQPAKADPGKKVSWGQSKSLQVQLQSNAGMEGSNTAFAKEMQSPRFQAIMRVTSGRKKKVPDIKSFSHELNSEGVRPLPLWKPRAYGHVEEIMVMLRGKFEKLKEEVNADLGIFAGDLVGILEETPESHPEWKEMLEDLLIIASKCAKMTSNEFWLKCESIVQSLDDRRQELPMGILKQVHTRLLFILTRCTRLVQFHKECGYEDSHHILGLHQLSDIGAYTESTYNFNNSLVKDQATESQAGSSHEGDQELDQTKGATSSTGKRISSWKKLPSPADKVVKDHNDLDIPSKELSDSSDHLEVKDEHEHDHEHSPPVCPSENFETTSKVRRVTFGFPGEQHHYESSLICRICEVEIPTVHVEQHSRICTIADRCDLKGVSVNERLERVAETLERIIDEWTPKGADMALGSQESARTSLSSISEDLDKSCQFSEDLDSIQETDTEDFHSLPDTNYSTRATMIPIVGPKALSAGCMTPRSPLLTPRANQIDVFLSGRKTISEYEDWQQIHKLLEIARSLAHINTNDYSALDSMLDRLKDLKYAIQDRKVDALIVETFGRRIEKLLQEKYVLLCGQIEDEKTESHMSYEDSLSGEEMGRNVRASPATSAYSKDRTSIEDFEIIKPISRGAFGRVFLARKRATGDVFAIKVLKKADMIRKNAVQSILAERDILISARNPFVVRFFYSFTCRENLYLVMEYLNGGDLFSLLRNLGCLEEGMARVYIAELVLALEYLHSLNVIHRDLKPDNLLIGPDGHIKLTDFGLSKVGLINNTEEISGTGSSTIRTAIFQDNNNNEVKTESPSPSPSPSPSPSPVNSNREERQKLSVVGTPDYLAPEILLGMGHAATADWWSVGVILFELLQIFANIMNRDIPWPEVPEDMSLEAYDLINNLLIDNPIQRLGATGAGEVKRHPFFQNINWDTLARQKATFIPSAEALDTSYFMSRYIWNPDDQHLDGGSDFDDMSEADTSCGHSSLSNMVDEEQSEGYSHMADFGGPHPNVNYSFSNFSYKNLSQLASINYDLLIKSAQDSIQDSKPDTP >cds-PLY75449.1 pep primary_assembly:Lsat_Salinas_v7:7:75410109:75410690:1 gene:gene-LSAT_7X54420 transcript:rna-gnl|WGS:NBSK|LSAT_7X54420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSSSSSLLSSLHIADQRVFSCSYCRRKFYSPQAFGGHQNAHKLERTLAKKSRELCSAARHQQSEWNQMSSSRFDCNDLTHVGKVKPSIVMGLEHQEHLVQFGSNERRREVDYGYKGETVDQLDLSLRL >cds-PLY75751.1 pep primary_assembly:Lsat_Salinas_v7:3:57885677:57889590:1 gene:gene-LSAT_3X45201 transcript:rna-gnl|WGS:NBSK|LSAT_3X45201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPYREAKNGGQGTNGVYALGGIAAAVCGPCCRLIQIPPVVDCLQPVVNIVPLKATHGEPSPRNSHPQSSGAGNDFLVVHNGVITNYEVLKQSFLRHGFTFESDTDTEVIPKLAKFVFDKANEEGEQTVTFSEVVLEVMRLLKGSYALIFKSRHYPNELVACKRGSPLLLGVKEFVEQQNKGTSYNDLKFISTNGHPKELFLSSDPHALVEHMKKVLVIEDSEVVHIKDGGVTILKFEQGKGKDVENISRPASVQRSLSILEMEVEQINKGKYEHYMQKEIHEQPKYLTATMRGRLILSGSSKWKSVLLGGLKDHLKTIRRGRRIVFIGCGTSYNATFAARPILEELSGNGALCVGITNTVGSAIARNTHCGVHMNVGSEIGVTSTKAYTSQIVVMAMVALAIGADTISSKPRREAIKKALYICQV >cds-PLY85419.1 pep primary_assembly:Lsat_Salinas_v7:4:291337456:291341883:-1 gene:gene-LSAT_4X148020 transcript:rna-gnl|WGS:NBSK|LSAT_4X148020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNLKDFLQVFCIGTADTKLDELLFLSESVRSNLKNFSSTSSSKVQVVVIDVSVGSKEINTFKDFKFVSRNELLSSYSESENQPETQIPESRSEALAIMNKALTHYLTKSHHRGSLSGVVGLGGSGGTSMISSAFRSLPLGLPKIIISTVASGQTEGYIGTSDLILFPSVVDICGINNVSRVILSNAGAAFSGMVSGRLMSLKESSSGNDKFTLGLTMFGVTTPCVNAVKERLNKEGYETLVFHATGVGGRAMEDLVREGFIQGVLDITTTEVADHIVGGVMACEESRFDAIIEKKIPFVLSVGALDMVNFGAKNTIPMNFQQRKIYEHNEQVSLMRTTVEENKKFAAFIARKLNKSSSKIRVCLPEIGISALDAPGKPFYDTNATGALIEELKRLIETTEDRKVKVYQYHINDTEFAKALVDSFLEIHKKPNVSTQKQKVIEHDQQPLQEFPKIPSTSYETISYNLTDFPNAKPETLKRTREVLEKLREEIRAGKPIIGAGAGTGISAKFEEAGGVDLIVVYNSGRFRMAGRGSLAGLLPFGDANAIVVEMANEVLPVVKEVAVLAGVCGTDPFRRMDHFLKQLESIGFCGVQNFPTVGLFDGNFRQNLEETRMGYSLEVEMINKAHKMGLLTTPYSFNENEAIEMTKAGADIIVAHMGLTTSGSIGAKTTVTLDESVIRVQAIADATHKINPDAIVLCHGGPISGPLEAEFVLKNTNGVHGFYGASSLERLPVEQAIKGTVQQYKSISLS >cds-PLY92163.1 pep primary_assembly:Lsat_Salinas_v7:8:4942367:4945599:-1 gene:gene-LSAT_8X3761 transcript:rna-gnl|WGS:NBSK|LSAT_8X3761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNWIKKLQCVTQPKVIRAIVKKQQNGILVADKIPVFKETGLGKGNYGSRAIHNGSNLSRDFLVKLWVADAKMKKTHEKRKQKFIESAVKEERGFHGRSLTGDDGPKQLSTEPLESSSPEEAWIAPLLSRSNLLITRDIEWANLTLGFEQANRYAIVDVSNPHEPAGFIREESNVIMRQLLRLRRPFVATITDGFGNELFRVRRPFWWITSSIYAEVGGKEIGVVHRRWHLWRRVYDLYLGDEQFAVVENPGFWHWTFTLKGIHGEVLAEIDRDWRGFGYEVLTDAGQYVIRFESAATSHLSGKAREFKVARRLTLSERAVTVALAVSLDNDYFSRHGGWGLPFIAVAE >cds-PLY62164.1 pep primary_assembly:Lsat_Salinas_v7:2:153446307:153449583:-1 gene:gene-LSAT_2X77240 transcript:rna-gnl|WGS:NBSK|LSAT_2X77240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANNEGQGSEKSSGNTRVSLNERILNSMSRRAVAAHPWHDLEIGPGAPAVFNCVVEIGKGSKVKYELDKASGLIKVDRILYSSVVYPHNYGFIPRTICEDSDPMDVLVLMQEPVLPGTFLRARAIGLMPMIDQGESDDKIIAVCADDPEFRHYTDIKELPPHRLAEIRRFFEDCIHKKNENKSVKVNDFLPANDAIEAIKYSMDLYASYIVESLRQ >cds-PLY98426.1 pep primary_assembly:Lsat_Salinas_v7:7:90211629:90212581:1 gene:gene-LSAT_7X62760 transcript:rna-gnl|WGS:NBSK|LSAT_7X62760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVFKLESILSQERESSNSIVNEERFIYKVRAFFTGKVDIMSDGAVGSKSMKQDGKVVSKSDFSAHHIRKFAYNELVSATNNFKDMERCPTSYTSIYKGWVDEKSYAPTKCGIGLAIYVREEDILTWKWKVLNYIGNLAVQLDLKLLEFSHPNITRLLGYCLTNVTMFWVYELIPGIWLDDHLFKAPDRTPLSWAARLKIAHGAAQGLSFFHQRNRPAYNNFEGNHILVDRVMYTAFRILMLGFPIMK >cds-PLY86339.1 pep primary_assembly:Lsat_Salinas_v7:8:26987280:26990744:-1 gene:gene-LSAT_8X17381 transcript:rna-gnl|WGS:NBSK|LSAT_8X17381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNRNFAPLASRLHLVITFETSALETQWSPDKSSIFGSSAEDGVLNIWDHNKVGERSGPASKFAPGLLFRHSGHRDKVVDFHWNSHDPWTIVSVSDDGESTGGGGTLQIWRMIDLIHRPQEEVLLSFIPRFSSHLWKALVLKTKQDMDIQDRELVNDWLMIIVYKERGFG >cds-PLY64951.1 pep primary_assembly:Lsat_Salinas_v7:8:160951054:160953132:1 gene:gene-LSAT_8X107201 transcript:rna-gnl|WGS:NBSK|LSAT_8X107201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTLMASWCLLNHPSKPKTTTRYEKINNGYLQSCIASTNSGNTCSDFESENLKSQNSVDLSWLPNYDNDNSASESHHHNREIDFDYSWLPDHIHSKSSNSRETGNDSWLPSASTLIVNGGDDDDDDDSWLPNYNDVKKNTSTIPSEPNNLCRSRKTSSFSPTHTVTNGNQSKEIINNNNNNNKHKKLKNEDHESVSSREEQIQHAKPLNKKPESSSSQGQVSPSNSNCSVETDSLGGVSTLCRRFQDLAESRNTNRKPKCSSGGNNNSERGAPPRTAAGNKNEFFSPICNAGDHQKVAGENKCFFHITVKPRLIRGRQAFNDFLNRMEQDKQRELECLAQRKPVSQFSYRGRIRALLRFRFIRPGAESKTHKLKLITKDHHKITELTTPVDKPHEIDTSSHSIHTIQLENYHDCVSDYSQSQSDWDDEEEEYDDDGSSWDMDPFDDWISEIARPKSYWECMRRARYHEMRYLYSYKQDLRELLERRCVVGFLSSDHKNKIDELMMSRVHRNEGLELRKEDMEKEEDEGGWSKVERKCWEYNEFIDQTRVQGERSYQSSSSIVSYYGATRWSDDGKGEINIELCGHMQVKMQWCFMNQCFEYV >cds-PLY71881.1 pep primary_assembly:Lsat_Salinas_v7:4:376937297:376942925:1 gene:gene-LSAT_4X186200 transcript:rna-gnl|WGS:NBSK|LSAT_4X186200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKMMKRLLDISALLVFLCFLGGDCKYMVYDTSQSTQAEKINVHLVPHSHDDVGWLKTVDQYYTGANNSIRGACVQNVLDSVISALLDDKNRKFIYVEMAFFQRWWNQQSELLKTKVKELVNTGQLEFINGGMCMHDEATPHYIDLIDQTTLGHMFIQQEFGQKPRVGWQIDPFGHSSVQAYLLGAEVGFDSLFFARMDYQDRAKRKIDKTLEVVWQGSKSLGSSSQLFTGIFPRHYDPPDGFTFEINDVSPPIQDDVLLFDYNVEERVNDFVAAAMAQANVTRTNHIMWAMGTDFRYQYAVSWFRQMDKFIHYVNLDGRVNALYSTPSIYTDAKHAADETWPLKTDDFFPYADKENTYWTGYFTSRPAFKGYVRTMSGYHLAARQLEFYKGRNKSGPNTDALADALAIAQHHDAVSGTQRQHVASDYAMRISMGYAQAEEVVASSLAFLTSSRSNKNSVGTIHQCPLLNISYCPPSETVLTNGNSLVVVVYNPLGWNREEVVRIPVSSNELIVLDSNGREIESQLLPIFNASLNMRKYHVKAYLGKTPSNTPKYWLGFTASLPPLGFNTYIISNAKHTDTSLTMSTVLTSDNISDETVVVGGGNLKLHYATDEGKLIRYTNKRSLVEGAMEHSYSYYSGYNGTDQASGAYIFRPNATFSIKSQGQKAFTIVRGPLMDEVHQQLNSWIYQVTRVYKEKEHAEVEFIIGPIPIDDGVGKEITTQITSALKTNKTFYTDSNGRDFIKRVRDFRTDWELQVNEPVAGNYYPINLGAYVEDGSMELSVLVDRAVGGSSLVDGQIELMLHRRLLYDDVKGVGEVLNETVCVLNDCKGLMIQGKYYIRMDPVGDGAKWRRTFGQEIYSPLLVAFAQQLIFIIYIYIXNDHVSSFSMIDASYSLPNNTAIITLQELESGKALLRLAHLYEVGEDKDYSVMASVELKKLFPDRKIRKVTEMNLSGNQERGEMERKKLAWKVKGGSEREIVRGGGVDPEKLVVELGPMEIRTFFIDLYYLGMFGSVNDEVFG >cds-PLY88439.1 pep primary_assembly:Lsat_Salinas_v7:8:87616808:87616960:1 gene:gene-LSAT_8X63121 transcript:rna-gnl|WGS:NBSK|LSAT_8X63121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKVGSDESEKKMEESIFTSFTLPLSETKIKANTRLPSLISTSPITLEST >cds-PLY97040.1 pep primary_assembly:Lsat_Salinas_v7:4:351360333:351360677:1 gene:gene-LSAT_4X173461 transcript:rna-gnl|WGS:NBSK|LSAT_4X173461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVVPESPLIGDKTGNSSPMRGSPVKSNPEATRNPYGNVEISKVDTTINQNEQPKTSTPKQTIVKPLGVSTTKSILEEVRTTGITANISNMDANVNKGDGASNNEPKVILLF >cds-PLY84080.1 pep primary_assembly:Lsat_Salinas_v7:6:189032412:189035624:-1 gene:gene-LSAT_6X117020 transcript:rna-gnl|WGS:NBSK|LSAT_6X117020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLIQDLDRLRVIPFREIKLATKNFTTIIGRGGYGPVYKGELSHSGILTSVAVKRLNMTESGQGLKEFLTERQLLSRYKHPNLVSLLGYCDESHEKILIYQYAEHGSFDNYLYPVKTKCPLTWKQRMNICVDVARGLDYLHNHVAANHRVIHRDIKSANILLDQNWKAMIADLGLSKIGRANENETYLITNAAGTGGYCDPDYEEACETQKLEEAMELQKLVVTMQAQELEEALESAELVETLELHTNYAVIKAIQTMTNAPIAYSSTEDLYKHLSTGFLVDDGKVDYFTKLQGPFR >cds-PLY95646.1 pep primary_assembly:Lsat_Salinas_v7:2:111313380:111314942:-1 gene:gene-LSAT_2X50601 transcript:rna-gnl|WGS:NBSK|LSAT_2X50601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PUR ALPHA-1 [Source:Projected from Arabidopsis thaliana (AT2G32080) UniProtKB/TrEMBL;Acc:A0A178VXA3] MEFNSGGGGGAGSGGNDVELLSKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPFNGISWFFDIFNYYVTSDDQDISSKELQLDSKAIYYITHYTYYTYFHIYYSAKWFFPQVFYFDIGENRRGRFLKVSEASVSRNRSTIIVPAGSTRDEGWSAFRNILEEINEASKLFVLPNQQNTDAITPERLVGLSDDVGAGFIAGNSSQTTPPASSELTSTFELPPPSSDESGGFGVSKVIRADQKRFFFDLGSNNRGHYLRISEVAGSDRSSIILPLSGLKQFHEMVGHFVEITKDAIEGMTGANVRNVDPPQSQR >cds-PLY82608.1 pep primary_assembly:Lsat_Salinas_v7:8:176397970:176402729:-1 gene:gene-LSAT_8X114700 transcript:rna-gnl|WGS:NBSK|LSAT_8X114700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQTTTILYHHHGRRTYISPPATSTRSTITTILTIHRRPTIFSITTSLLFHVKPNNRYQQLIGFGEKLVLRKIKANDLEDATIYSYKAAQVGMLDPPREEVREVAKKGIDWNDAKNEVVKFGLVVSASGCFRKDKKKMYNAHSIIDTEQGIGIYMPLNHAGKQKPVNLQEECYFSQTVAIWGYIFQILFQITAGAVMLTDGVYWLVIFPFLNISDYEMSFLTVVHSLNIVLVLGDTSMNSLRFPWFRISYFVMFTVLYVIFEWIVHAFVSTWWIGKMRECLMICFQPVVDAFDPRLLVAPTVSHVINFTIVKEEDLYEIDNPLKFTASVGTRIHGLACWFDVLFNGR >cds-PLY72295.1 pep primary_assembly:Lsat_Salinas_v7:9:104465559:104471406:-1 gene:gene-LSAT_9X75480 transcript:rna-gnl|WGS:NBSK|LSAT_9X75480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLWLGKKKSNDWLRKEEALRIVPFSYVLLSRLCASSPHRLTPSPLLIRELGYTLGKAKGKRSRYTR >cds-PLY99762.1 pep primary_assembly:Lsat_Salinas_v7:9:52971340:52975228:1 gene:gene-LSAT_0X8061 transcript:rna-gnl|WGS:NBSK|LSAT_0X8061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIMKKYDNHKHQTGSCKDALQTCTLWTDGLICAFEALRGHKKHKPQDTIGGKKHGSKTIRTSSSYGDGIDTLDDTQTGNYWIPIGWSRITQLVESIQVNGCWETQHTELAIDDDEVTVADIAVPYRERPVGPTWWCHVDAEHHSVKSWLTNSSKWLHPAISIALRDESKLISERMKHLLYEVPVRVAGGLLFELLGQSAGDPYVEEDDIPVVLRSWQAQNFLVTALHVKGSGTNINVLGVSEVQELLTAGGGNLPQTIHEVIALLASRLARWDDRLFRKYIFGAADEVELKFMDRRNHEDLHLFSIILNQEIRRLSAQVIRVKWSLHAREEIVFELLQHLRGSAAKRLLEGITKSTRQMIEEQEAVRGRLFTVQDVMQSTVRAWLQDKSLRVTHNLGVFGGCGLVLSIITGLFGINVDGIPGSENSPFAFLLFSCVLGVLGIVLIFIGLLYLGLKNPVMEEEVEVRKMELQELVKMFQQQAESHAQLRKSDFRSKVTSSAILPGSYDSYVLMP >cds-PLY76948.1 pep primary_assembly:Lsat_Salinas_v7:7:52096492:52098242:1 gene:gene-LSAT_7X39120 transcript:rna-gnl|WGS:NBSK|LSAT_7X39120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPTEVVVSPPFVFLTSVKSELRPEIQVAAQNCWVKKGGAFTGEVSAEMLANLGVPWVILGHSERRALLNETNEFVGDKVAYALSQGLKVIACVGETLEQREAGTTMEVVAAQTKAIAGKEEKKAQAERDKMLQMQVLHSSFQLLV >cds-PLY65363.1 pep primary_assembly:Lsat_Salinas_v7:6:40861636:40862783:-1 gene:gene-LSAT_6X30120 transcript:rna-gnl|WGS:NBSK|LSAT_6X30120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKPTKPISSPGRTEKFPPPLMRFLRSNVGSKSSNRGRRSRASPMFRWKKNTVIETTQEPSSPKVTCIGQVRVRRSKKNKKTTIATTTTTSSHRRHHPSNRLCRCLRKLRPKSCRSLWSKWVSCFRCGYCKTSRDLHDSPVIDASPTSAPRNVSGDNGDDDEEEKEVEDLTLEADNQGLISRSPPRNAFLLTRCRSAPYRSSSLAGKLWESTGGETGEEEVKEKIKNLSIEEGEEGEEEVIGNENGEMNEESTCNKSNEVEELKAIKAAPLILSRCKSEPARTWDRILV >cds-PLY92602.1 pep primary_assembly:Lsat_Salinas_v7:7:159842399:159844294:1 gene:gene-LSAT_7X95121 transcript:rna-gnl|WGS:NBSK|LSAT_7X95121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEDTKLGQLVPESVLKKQKRNEEWALAKKQETELVKKKNAANRKLIFNRAKQYTKEYEDQQKELIQLKREARLKGGFYVNPEAKMLFIIRIRGINAMDPKSKKILQLLRLRQIFNGVFLKVNKATLNMLHRVEPYVTYGYPNLKSVKELIYKRGYGKLNKQRIALTDNSIVEQGLGKFGLICVEDLIHEILTAGPHFKEANNFLWPFKLKAPLGGMKKKRNHYVEGGDAGNREDYINELIRRMN >cds-PLY71130.1 pep primary_assembly:Lsat_Salinas_v7:9:83018218:83021367:1 gene:gene-LSAT_9X65501 transcript:rna-gnl|WGS:NBSK|LSAT_9X65501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSLDFSQLTDAMVSRSYNKVVDICDQLMLQIASQGVAFQDEWSYVVNLLGHIYLNDLPMMIQSSEKVSRGRVENNTSISTNPDVANVFHGDLKPRNILANTDSKLKICDFGLSRVAFNDPPRNCWNGDCETNARSNSRHFYPYGVAVKEVGLVTFCLCRELIDGVVLVARDAICASIKDMFEEKRSILEPTGALALAGAEAYCEFYNLKDINVGRQQEAVLATFLQEEPESFKQFCELIGSMNITEFKYQYDSGEKQALVLYSVGLHTKFELQAMVERMESYELKTMEFTYNDMVKDHLRHLMGGRTNVENELLCRFVFPERPGTLMKFLHGFSPRWNISLFHY >cds-PLY92615.1 pep primary_assembly:Lsat_Salinas_v7:7:163375199:163377178:-1 gene:gene-LSAT_7X96141 transcript:rna-gnl|WGS:NBSK|LSAT_7X96141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTADPETSDQDRELFVEVDPSGRFGRYSDLLGAGAVKKVYRGFDQEEGRDVAWNQVKLRSFGGDPSVLKRLFSEIKLLQTLENENIIVLYSFWRDTKNSTLNFITEACASGNLRDYRKKHRRVSLKALKNWSRQILRGLEYLHNHEPCIIHRDLNCSNIFINGNIGKVKIGDLGLAAVVGKNHNAHTLLGTPEYMAPELYEEDYNELVDIYSFGMCLLEMATMEIPYSECDSIAKIYRKVTSGVMPQAFNKVTDLELKSFIERCIGQPRVRPSASDLLKDPFLMEANSEESDCSV >cds-PLY89840.1 pep primary_assembly:Lsat_Salinas_v7:4:323953502:323955923:-1 gene:gene-LSAT_4X161681 transcript:rna-gnl|WGS:NBSK|LSAT_4X161681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTVADLEGWPNLKVVETIYEEDSGDSSSSSSTTTPSPSSPPPTSSPSTTSLRSRVKLWSFNNGVETNVIVHVQGSTFHLHKDPLTQCSRYLKRQLTESSEVSLSPALKITPHTFTLVVDYCYGGNLFLTPFNLASLLLASDLLEMTDGADNVENLRRKTEAYFHRTVAGDRDYATVVLKSCIELLPEVETRTGLLSKCIEALRINREVGDGDVFNWFDGVQELSGEEFRLVVGALHQKVAGSHDLLYRIIDFYFKEEGGKMTEEEGSKICNYIDCSNLSSQILMHAVQNPRMPLRFVVQAMFIEQLNTRRSVFSAAKTLKNQNHPIKQPAAGATTVTLGAILQRDAARRQVAHLKATMDYTSTRIQSLEEELNGMRKILNKSDTGQITDRVKSESFRFSSETERRVERGQRGSVSSGSFRAVDMENGSDHSSDQGSPRRFGKRVMNGLKSAFRKSGLGRTRNEIKKDN >cds-PLY80527.1 pep primary_assembly:Lsat_Salinas_v7:5:181293703:181294205:-1 gene:gene-LSAT_5X81760 transcript:rna-gnl|WGS:NBSK|LSAT_5X81760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANQIEDRVVVSSLLTLLPLCLHRIYKGKKNRVLTFMRDSYRKSDLNWLPKVAKYEEDIIERGIKLTAATLSKVRQGLAKARKGPAYDVLWKKWNLHQDNPSTLMTFSTS >cds-PLY88713.1 pep primary_assembly:Lsat_Salinas_v7:7:193950152:193952451:1 gene:gene-LSAT_7X114121 transcript:rna-gnl|WGS:NBSK|LSAT_7X114121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELVPYSDPNTNSNSNSSTSPPWQDMSRSASTRQPDPSPEPQPQLPPESTTNPDRDDSTSLSGDSQVRLALYIAMAHAGLVFALVIIYGVSKLLEEYLRPILWAVLCSIPLRGIQQSLVSFWSEPLELGLTETVLAIPVSVYRVFIGSLVEIREFLYKLILRKKKTRDVVKRKRSGFSILLRWLVSFWVFVMAYEQLGVLGAITLLALGFMFTSRHAKSTMAAVSSFGGRTLNHNRHAAFLTSRILNQLETIVAIGLIVGMIVGSLTGTLLFSYKIGVEGKDVVYSIKTHVERNNIAEKIGLKKWMDDNNVPLMVDKYSTQFYETVNVQIDTLAMQYNVTEIVQEMKHIIIPRIINPSNSSTPSTAIAIRNPYTEKIQTLTKKVVNREWAEIYPEVNGLFKDARVRVNREDMIKNAKAIAFQGKDILQRVLSSSKSIVGGSTKLVFVVVESIVSGAAGILNFVSQSMVFTGVLYSLITSDAGGVTEQVMYMIPISRSARTRAVEVLDKAISGVLLATAEIAFFQGCLTWLLFRLFNIHFLYMSTFLAFINPLLPIFPNFFSTIPAALQLVLEGRYVVAVCLSIIHLLLMDYGTTEIQEDIPGHSAYLTGLSIIGGVALFPSALEGAIMGPLITTVVIALKDLYVEYVLGEPKKGKKD >cds-PLY76989.1 pep primary_assembly:Lsat_Salinas_v7:6:65670741:65671552:-1 gene:gene-LSAT_6X47520 transcript:rna-gnl|WGS:NBSK|LSAT_6X47520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHNIVAPIVDLIHTSFSQLLISTPTTKVRPPKPISNNTTFFSVGILPRQRRRPPLNLHHAPVYLPFDDRILALIYQKICKGDVRMHKWMTPGAQSLIKRILVPNPKTRITMADIKVDEWFKQDYTPAKPNEDEVLSIQENVVQNQELPTHVNAF >cds-PLY88951.1 pep primary_assembly:Lsat_Salinas_v7:8:128531223:128534074:-1 gene:gene-LSAT_8X89101 transcript:rna-gnl|WGS:NBSK|LSAT_8X89101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13020) UniProtKB/TrEMBL;Acc:F4JS69] MERYKSVEEIGDGTCGTVYKAINLETSEIVAVKKMKRKYYVWEECMNLREVKSLRKLNHPNIIKLKEIVRENNELFFIFEYMEHNLYDIMRERERPFTEEEVRGLMTQLLQGLVHMHRSEYFHRDLKPENLLVTNNTIKIADFGLAREVSSEPPFTDYVSTRWYRAPEVLLQSSSYTPAIDMWAVGAILAELFTLCPLFPGESEIDQLYKICCVLGTPDWTLFPEARNVARLMNISYSMIMPANLADLIPNASSEAINLIKQLCSWDPLRRPTAEQCLQHPFFYANTWVPRPLGDPHQLKTDNTGSQPNLELNLWDFSRKSTAEDCFLGLTLAVNPSVSNIEMVHKTRSTKQDLLFCSDYEDESQYAVFWSLFPPDHPPVESSLSLAFSSGIPHSTMGMGGGESGGFRMASLQPNFLTMSSHFQQHQGHCR >cds-PLY76299.1 pep primary_assembly:Lsat_Salinas_v7:7:124278161:124278376:1 gene:gene-LSAT_7X75160 transcript:rna-gnl|WGS:NBSK|LSAT_7X75160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLTGIKKNNEGNGCCVFDDSLTATIKEKKKERKEAEGAAKGGWNGGSQPLFFCHGFSGEAREKRESRRGE >cds-PLY62678.1 pep primary_assembly:Lsat_Salinas_v7:7:100998225:100998512:-1 gene:gene-LSAT_7X65801 transcript:rna-gnl|WGS:NBSK|LSAT_7X65801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGLQSWVSNHKLTSIGTIWTTIVATSMAYTSDKRVALKPSLRLIHATFLIYKLYNLC >cds-PLY70403.1 pep primary_assembly:Lsat_Salinas_v7:4:352626969:352628624:-1 gene:gene-LSAT_4X174400 transcript:rna-gnl|WGS:NBSK|LSAT_4X174400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSFTTVPADGVLISGHSLSVDESSMIGESKIVRKDHKSPILMSGCKVADGAINIFTIAVTIVVVAVLGLPLAVTLTLAYSMRKMMSDKALG >cds-PLY71265.1 pep primary_assembly:Lsat_Salinas_v7:5:181988816:181993779:-1 gene:gene-LSAT_5X81081 transcript:rna-gnl|WGS:NBSK|LSAT_5X81081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDISSIAVNSSVYGVLPTKTSDCFTVSIGKRKNSNNNKFLFGFSNRSSLNLKIQAVHLDEVAADNPKSLTNTRLKDIEWPSPSDKIPFWKREFQTWDSNSEVPVNTEKDSDLLHVIHVTAEMAPIAKVGGLGDVVTGLSRACLSRGHKVDIMLPFYECIQKENIQEISLMSTYNSYYDGNWIETNAYSGMVSGIPVILLEPMNQFFKGEDVYGGSYNELEAYLFFSRACLEWMQVTGTQPDVIHVHEWQTGVLPLLYWDIYHHLSLQKPRIVLTIHNMEHYGECRQEQLQKCGLDGSLYATIDKAIDDRTIGHNPERLSLLKGGIVYSNSVVTVSPTYLQETLCSGWLSSALMTNHDKYYGILNGIDTVMWNPASDVFLPTNYHAQNTGGKKVCKKYLQRGFGLILEGRERVPLVVCISRLVAQKGLHLIRHAINHIQEIGGQMIVLGKAPDSRVQREFEALADLHNKGSTIRILLMYSEELSHMLYAGGDMVLVPSIYEPCGLAQMIGMRYGSVPIVRKTGGLADTVFDMDDESHPEIANGFVFEGIDEGSLSCALDRAFSYYQEKPIEWENIVQRVMQIDNSWNKTAGKYIDVYNSIRVRW >cds-PLY77473.1 pep primary_assembly:Lsat_Salinas_v7:4:48390500:48392011:1 gene:gene-LSAT_4X33681 transcript:rna-gnl|WGS:NBSK|LSAT_4X33681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEARAVWQRTANRYFVQEDAKRAPKLACRPSTSSSSSKQAEIKPANNTTTDRNERFLLPNEKSLYSDLSPDSRWWLQLQTDYIYQRGLTNSHQFVENQDGSSSSSSINSLSNESFELVDINKDSKLQHWVGIEKNGEVSLPWWQIVGKDDSGSVVSKRSHSHDCVENRHLPGLMPEKMIKEKPNPVSDSNRGIILEALRHSQTRAREAENAAKEAYAEKEDLVKVVLRQASELLACRQWIRLLQLENLYYQIKNKKMNDPKLSVCPSKNGKLQKKKMKKGKRRSRRYEDDLGKYAVVFAMGLGLVGAGLLLGWTVGWMLI >cds-PLY68718.1 pep primary_assembly:Lsat_Salinas_v7:5:278923503:278924173:1 gene:gene-LSAT_5X144140 transcript:rna-gnl|WGS:NBSK|LSAT_5X144140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYKRVSLRPVHGNLALKFLNWVIKQPAFQQLKNLTYVYCITVHILVKSRMYASAKSIFTQLSDMGIDSNSIFAALMDTYPFCNSNPTILCLVGISKREDGCLVFDRGIHGCLFLVFLTGNECRCVLTIQPAFVFVVDGFEEANIDVENEET >cds-PLY73930.1 pep primary_assembly:Lsat_Salinas_v7:3:37738837:37740959:1 gene:gene-LSAT_3X28500 transcript:rna-gnl|WGS:NBSK|LSAT_3X28500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CAF2 [Source:Projected from Arabidopsis thaliana (AT1G23400) UniProtKB/TrEMBL;Acc:A0A178WC04] MAILASLPNPNLFSSLPTPPPPXXXXXXXPSPKTIKPPIPIPKYPPVRKSPKPPSPKPQSNPAFKTFHRRSKYYKPVRDGEVVSSDGDRSVVVGESGVSYQLPGAPFEFQYSYSEIPRAKPIAIREPAFLPFAPPTMPRPWTGKAPLKKSTRKIKLFESLNPGNNSNSKQLEMLKPYELGNFEVKPREEVLGKPLSRAERLELVKLHISSNRQVNLGRDGLTHNMLELIHSHWRRDPVCKVRCLGVPTVDMRNVCRVLQEKTGGKIILKTGGVLYLFRGRHYDHNTRPQYPVMLWKPATPVYPKLIQEAPEGLTKEEADELRIKGKKLPPICKLAKNGVYLNLVKDVRQAFEESALVKIDCTGMHASDYKKLGAKLKELVPCVLLSFDDEQILIWRGRDWKSMYHGAPISENNTEVDFYKSKRVSSISSPKMTLLWRRAIESNKAMLLEDIGVGPDDLLKVVEEFETVSQVAEHSYPAEIVSNEDFEEGDYSEDESYFDEDSDDEYDDFSQKSAPPGSLPVDFLAEQLRDSDEWRPNGSYR >cds-PLY67258.1 pep primary_assembly:Lsat_Salinas_v7:8:179950284:179956211:-1 gene:gene-LSAT_8X116820 transcript:rna-gnl|WGS:NBSK|LSAT_8X116820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVRILGLTRAEEESPREITRNNLLSESGGESGWLIRFFDSAFFCEWIAVSYLYKHDHPGVRDYLCNRMYTLPLSGIESYLFQICYMLVHKPSPSLDKFVIDICSKSLKIALKVQWFLMAELEDADDNEGISRIQEKCQIAATIMGEWTPLIKPHTEPTNNIGKNQVLTRLLSSKQRLLSLATSPPPQKTFSFSPGANPLQDEGSSKASPDDNTIFKKFIPSPKVRNALLFRKSVDKDDEEPDKDGFFKRLLRDGKDEDEPDKDGFFKRLLRESKDDDEPDKDGFFKRLLRDSKDEEKDDEDKEKDGFFRRLLKDSKDEDEATSGSDKFFKRLFRDNSKGDLEEKNVSKSAEGDDKDGFFRKLFRDKSEEEEKVTNSIEDDEKEGSFFRKIFKDKFEDKKDESGNDEGKGHANGVEEDSADVPLFRRLFRMQSDDNKTAVPNESINGGGLESSPGTEIFFRKLFRDRDRSVEDSELYGSKKNKEKNGGPSKQKTENSNHKPPLPTASQFRKGTYHESLDFVHSLCETSYGLVDVFPVEDRKSALCESLTEINTHVSAAQTSGGVCFPMGKGMYRVVHIPEDEAVLLNSREKAPYLICVEVLKSETVSIVKDNSTSQKLSKGGIPLANGDAFLPKPPPWAYPLSNGHDLYHSSYDRMSQSASDAIDQAMGQLWDSKAKFVNVSLVVENPSPRCSNSNSFEISDIHHCEGKDCANGGDLEWVRVVLTADSGVKMDDIEVQEPPRRREHRRVPSNLAFEEVKAAALKGEAPAGLPVKGSGQTSSNAQPKDVDGATPKAGDALSGELWETKKDRIREASVYGKLPGWDLCSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLVTSSYTALIETIPDTASIHSIKSRFPNITSLRDFFVAKYQENSSSYKLAQRNFVESMAGYSLVCYLLQVMDSDAEGVPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLSLPEEQCVSLVLSLISSSLDAWRTRQYDYYQKVLNGIL >cds-PLY73272.1 pep primary_assembly:Lsat_Salinas_v7:MU043509.1:16:846:-1 gene:gene-LSAT_0X46700 transcript:rna-gnl|WGS:NBSK|LSAT_0X46700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCEGSGMSKFARLFPKQYFDVAIAEQHAVTFAAGFAISGYKPVVSIYSTFLQRAYDQIIHDVALQKLSIVFAVDRAGIVGNDGRTHQGIFDLAYLRCIPGIVIMTPSNENECRQMLYTGYMHNDGPSVVRYPKGSGTGALLMPMNKIPLGQSLIKRTGKKIAILNFGILLKSAFFSAHNLNATLVDMRFVKPLDQNMIIKLSYEHKFFVTLEEGIISGGAGSAVNEFLMIKKIFLPVLNIGLPDTFIPQGTQEEIRHDYQLDEEGIHKQIFSWLTQ >cds-PLY81998.1 pep primary_assembly:Lsat_Salinas_v7:8:263468712:263471422:-1 gene:gene-LSAT_8X152441 transcript:rna-gnl|WGS:NBSK|LSAT_8X152441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGIVTIIVGIVFGFFIGVSFPAFSPPKLKLIPPIDTYLGDKNSGRSTQTILNVISVTRDNVSTDARKIDDPSLIYVSSNPRGAERLPPAILASESDFFPRRLYGTPSEDLTFKPKYLVTFTVGYNQKDNIDQAVKKFSDNFTVLLFHYDGRTTEWNEFEWSKRAIHISVPKQTKWWYAKRFLHPDIVAPYDFIFIWDEDLGVENFDAEEYIKLVKKHKLEISQPGLDPSSKGLTWQMTKRREDLEVHKETEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWHLIQNDLVHGWGLDFALRKCIEPAYERIGVVDAQWITHQGVPSLGNQGKEENGKAPWEGVRERCKNEWKMFQDRVAKAERDYYNSVGIGSTDHDANWVTPDRIQ >cds-PLY79753.1 pep primary_assembly:Lsat_Salinas_v7:1:180323887:180325409:-1 gene:gene-LSAT_1X117180 transcript:rna-gnl|WGS:NBSK|LSAT_1X117180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSISKRWTTLSGEDNWSGLLDPLDIDLRRYIIHYGEMAQAARDAFNTEKTSKNAGNSLYNRSNLLSKVGIEQGNPFKYEVTKYFYGTSSAPLPSFLVKSLSREAWSKESNWIGYVAVATDEGKVALGRRDVVISWRGTVQNMEWINDLKFPSVSAPEIFREHHHVKVQHGWHSIYTTGDPASRFNKASARDQVLEEVRRLVDLYKDEDISLTVVGHSMGGALATLNAVDIVSNGFNKTTMGMSVKTFPVTAFVFGSPRVGNSDFQKFFSLHKDIHILRVHNAHDVVPHYPLKLGYLHIGEELVIDTSKSAYLKSPGDIHRWHDMESYLHGVAGAQGREGGFKLEVRRDIALVNKYSDTLLDEYHVPPMWWVKKNKGMVRQADGSWLLMDHEDDDVCIS >cds-PLY93258.1 pep primary_assembly:Lsat_Salinas_v7:6:158497015:158498856:-1 gene:gene-LSAT_6X96820 transcript:rna-gnl|WGS:NBSK|LSAT_6X96820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTNEVIRCKAAVAWEAGKPLVIEEVEVAPPQKMEVRIKILFTSLCHTDVYFWEAKGQNPLFPRIFGHEAGGVVESVGEGVTDLQPGDHVLPVFTGECKECAHCKSEESNMCDLLRINTDRGVMINDQKSRFSINGKPIFHFVGTSTFSEYTVVHVGCLAKINPLAPLDKVCVLSCGISTGLGATLNVAKPKKGSSVAIFGLGAVGLAAAEGARIAGASRIIGVDLNANRFELAKKFGVTEFVNPKDHTKPVQEVIAEMTNGGVDRSVECTGHIDAMISAFECVHDGWGVAVLVGVPHKDAVFKTSPLNLLNERTLKGTFFGNYKPRSDIPSVVEKYMNKELELEKFITHELPFSEINKAFDLMLKGEGLRCIIRMGE >cds-PLY71219.1 pep primary_assembly:Lsat_Salinas_v7:6:19341252:19341647:-1 gene:gene-LSAT_6X14680 transcript:rna-gnl|WGS:NBSK|LSAT_6X14680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQSEPNGLFAIVELNYQGVFNRNPFYYTGGVKTMFTDVDFSSMTYYEFVNFFERFMHEECKKFYYCEPGNSLMKGLNPISNDVEYVAFIFDAYGTDGIISVYVDHIGVGVDGWLDDEDNDDDEHESCIDG >cds-PLY70903.1 pep primary_assembly:Lsat_Salinas_v7:9:15398823:15402116:1 gene:gene-LSAT_9X15181 transcript:rna-gnl|WGS:NBSK|LSAT_9X15181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEAIGDQYDPETSEAIEVGLKAIATVDKSAIVGPSGSSEVADGGSSENSSSEDSSSSSTDDEISDILKFDENMYKKIEASHSHSHVDAGESSGVAGEVVEEEIVELEFEKVKPKLSTHSMHCPNCKAEVTKVILRRKVITFRSPEPAVVPVEEPQRDPNDLVGCLSCLSLFTCSGNGCFNPFDIFRKKPDPANVLPPQTTVEGTTATPVVTENENCLSMFLVFKKKQKVAETAVDPQQSDPVLVNREVIIPDQSTLPKYVTGNTSIAKGQPSVASPQPPSTVILKDKEVTSVVDNDGEKKRLLGGNRLPYIPPSTNVPVDDDTTIDVETEEPTENEVVMDAAVGGRRTWFGYEGILAEILKSIVYGGLMEVIASLSIVASAAASDTATLNIIALALASLMGGIIIIGHNLWDLRDDCHKETPNQQTEGGGGAINKYKELLGRINYFPLHAFFAILSFVIFGMVPPVAYGFTFHKTNDRDFTMSAVAITSLLCVSLLAIFKAFINECGVLDYFKTVVYYITTAVSTSGVSYVAGNLVTRLVTELGLFDTSLGGGMSLLPHASTTSLASF >cds-PLY88462.1 pep primary_assembly:Lsat_Salinas_v7:8:86880377:86880888:1 gene:gene-LSAT_8X60440 transcript:rna-gnl|WGS:NBSK|LSAT_8X60440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGTAGDAIFGNVFGGCISSDEFGIDRRPYHRNCSCALHKSGENICPHASHHKQKVSYPVRRSWSEGCLVAMMTSAGSASSGSSPCCPSSPAPTPPVTARLPPHVRSHQLNH >cds-PLY86716.1 pep primary_assembly:Lsat_Salinas_v7:4:7832700:7835336:-1 gene:gene-LSAT_4X4860 transcript:rna-gnl|WGS:NBSK|LSAT_4X4860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFGAKASGVSDDSKKLVMAWKSACKVPEGVVQIPLGHKFLIKPVTLQGPCTGHVTFQIDGTLLAPSKIGSWSKSNLFQWINFKWVQNLTIQGSGIVDGQGYNWWNPMKSKHISDIKPTALRFYASEDITIRDITINNSPQVHLKFDNSRGVKVNNITISSPGNSPNTDGIHLQNTRDVEIMYSNIGTGDDCVSIQTGCSNVHIHHINCGPGHGISIGGLGKDKSIACVSDILIENSIIENTLYGARIKTWQGGVGLVKNVTFSNIQIVDVNFPIVINQYYCDKSLCQNQTGSIAIKGVNFDKIVGTYSTQPIHLACSDEIPCTDIDLSDIQLKPSRGPLGGSQLQQALCWNSYGKSKGPLVPYSINYCLRKGGDDSIHPISGSSNELC >cds-PLY66157.1 pep primary_assembly:Lsat_Salinas_v7:4:255023180:255025645:-1 gene:gene-LSAT_4X133640 transcript:rna-gnl|WGS:NBSK|LSAT_4X133640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPSRMPQDCLLQQNSVVQIIHVNLTQENPKPLEVGKTLDMTYSVKWTETNITFACRFDVYLDYHFFEHQIHWFSIFNLFMMVIFLIGLVSMILMRTLRNDYAKYAREDDDLESLERGVSEESSWKLVHGDVFRTPRNLVLLSAVVGTGAQLALLILLVILFAIVATLYIGYIIFMHHMI >cds-PLY75007.1 pep primary_assembly:Lsat_Salinas_v7:1:112870516:112873620:-1 gene:gene-LSAT_1X87440 transcript:rna-gnl|WGS:NBSK|LSAT_1X87440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAQLTGGGGGGGGGGGGQVRRVHIVYYLSRNGGIEQPHLMRIHQVSYHGVYLRDVKSWLSELRGEDMPERFAWSYKRMYKGGYVWQDLLDDDLITPICDNQYVLKGSEISSTTLNNDINPYNEKEVFDLKNSPTFEVNVKSPKYPSTEKEDPLVFSIDTSFEIEESSFVSNVTTEDTTKNQDTSEDKEITQNPKDKNENNSLNKIFFNKNTDDSNNSNNDKHKKGGNKSVNSCLKASALPFYLFGKSGRFSGSRASHMLRNWITCGTANTDEKAVVAINKRKGGRTSTVTSVSENNFGQISKEQKYKGYEKSFDGINGSKKSKKEESNNIKTSRAANHKPINGPNCSQCGRQFNPEKLHSHMKYCRGMKALAKSASARPKPKISSPHPASHNTFYLTNN >cds-PLY64303.1 pep primary_assembly:Lsat_Salinas_v7:5:83815014:83815345:1 gene:gene-LSAT_5X39180 transcript:rna-gnl|WGS:NBSK|LSAT_5X39180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLPDGVQGLGICEYTLERGVVHACHAGGIVHLLEGWEHNEVGEIDVDHIDLAWEAALKHGFKPV >cds-PLY95522.1 pep primary_assembly:Lsat_Salinas_v7:8:115647758:115648240:-1 gene:gene-LSAT_8X80321 transcript:rna-gnl|WGS:NBSK|LSAT_8X80321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQTLKQYEDSEENKQRLKRERPDDIPTHKPIPASNKSMDDHLSHCKNQRAYDKNRLCRGYYPPSKPCFVNTLI >cds-PLY69307.1 pep primary_assembly:Lsat_Salinas_v7:4:105884151:105887698:-1 gene:gene-LSAT_4X68280 transcript:rna-gnl|WGS:NBSK|LSAT_4X68280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKASSLFVNDGSFMERFKQLQQEKEKGPPLSGSPKPNPVISNNKSSSSGKLAFSLKQKSKLVAPAVKLSEDEEDDESNGVVGDLPLKRQKLEQPYVSDQSLKQVYVAPSDPTIKKVADKLASFVAKNGRQFEHITRQKNPGDTPFKFLFDESCADYKYYEYRLIEEEKALTYSMDSQTSQTGAGKSNAVNNSSQRSHQQPPNYQIPASALYEATDNEHISSSERVNYGESSAPSGSDPIAMMEFYMKKAAQEEKRRQPKHSKDEMPPPASLQGGGKRGHHMGDYIPQEELDKFMASCNDVAAQKAAKEAAERAKIQADNVGHRLLSKMGWKEGEGLGSSRSGIADPIMAGNVKKDNLGVGASQPGEVTPDDDIYEQYKKRMMLGYRHRPNPLGNPRKAYY >cds-PLY68600.1 pep primary_assembly:Lsat_Salinas_v7:5:43872:46043:1 gene:gene-LSAT_5X701 transcript:rna-gnl|WGS:NBSK|LSAT_5X701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDSAYRVDTTSRLAQWRFDDFGFSYRKSDPFKIGNWNWHLVVEKNRKIWLIKLSPEIPKLAGDGPPPPVASFDIRIISFLGGRKTLVHPEVRDKPLHSGKDFIWALEVPLTGRFIIDVEFLDLKTASPDGGGGGGGGEELCSIWGVSEGFPHPQKQNTRAVSCISLGRMLSESIHTDIIIRASDGSIGAHRAVLAARSPVFRSMFSHDLKEKEMSAINISDMSIQACQAFLSYIYANIQYQDFLTHRLHLLRAADKYDVSDLKDACQESLLQDIHANNVLDRLQSAFLYRLPMLKLGCLEYLVKFGKIFDIIDEFNAFIQSADRELIAEVVNEILSVWKGF >cds-PLY94309.1 pep primary_assembly:Lsat_Salinas_v7:7:165751738:165753222:1 gene:gene-LSAT_7X97661 transcript:rna-gnl|WGS:NBSK|LSAT_7X97661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTALFFGFNKIDGLVPVWIWNNSRETLQQFDVLDNSITGFHKSPHFLPWRFLEGFFIGNNHIGGQLPIPPHTTTVYSAPNNYLTGEIPELICEVKSIQLLDLSSNNMSGTLPPCLGDLSNSLLVLDLRRNNFDGTMMNAFMLGSPLRSIDLSENRFTGQLPRSLINCTNLEVLSLGDNLFDDVFPSWLGTLSNLQVLVLRSNKFYGPLQGSTTVWPQFPKLRIIDLSNNAFSGHLHHKYFQTWDSMKSVYDGESYVMEANMSFKSFSIYSVYSMTIIHKGVRTRFEKILTIFTTIDLSCNHFEGEIPQSLQDLQGLQSLNLSNNHFTGQVMQSLGYLKNLESMDLSQNKLSGEIPSPLVQLNFLSIFNVSFNHLSGCIPKGKQFNTFENDSYKGNPGLCGKPLSKKCQAGSKAPRHPPASNESESLFPSERIDWIIIFCGVGSGLVVGVVIGNLLYKRCSDRFTKRKDRWVRPLSNTRRNQGTIIRYLTLQLPR >cds-PLY64373.1 pep primary_assembly:Lsat_Salinas_v7:4:25806394:25809849:1 gene:gene-LSAT_4X18460 transcript:rna-gnl|WGS:NBSK|LSAT_4X18460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSSAFVNWEEVFSTNHRGRREVRYYLKRRDGTSDLAVVGKEKKLASKTKTSSSSLYRYRYAIRDKSLFPSYDIPFENSPKLRSRRQVIDWLSSLVTDGSFSYPPKPIDVVLGAGDTLRLKLENFQDLHLHKKIHQTTELLWVGSWTCKKKRKHYEAYTRSGVKISVHDFVYVLAEENKRLVAYLEDLYEDSRGNKVAVVRWFHKVDEVVLDSPHTTYNNHKEIFFSLCIQDLSIECIDGIASVLSPQHYENFLKLNALNLNLLYPKLDPFVCQNQFEDEGIKPFDITKVKGYWNQNIHKLMSLIHNNHVHPKKSRSSDADVAVDVDGIRPKKRLRRLMETEYEYKIGSEVEVLSQDSGIRGVWFKGVVIKKHNEKIKVKYQDIKDAGDESKNLEEWILSSRVGVDDEFGMRLSGRMTIRPSRLLKKSDVLVDVDVGCVVDAWWNDGWWEGIVVDKELEGKNGNGNRIHVYFPWEKKRLIFGCNDLRYSKEWFKGEWKELKNRFDLVGLISCDSETKSHDKNVLLSSNMVVVVDDDDDKGQIGEYVIGDLKWNWSRKKRQKRKACERFQVESLMTLKKRRKDNTDCKFICDSSLFCHSVASSTPLIMSR >cds-PLY85515.1 pep primary_assembly:Lsat_Salinas_v7:2:195176930:195186455:-1 gene:gene-LSAT_2X115701 transcript:rna-gnl|WGS:NBSK|LSAT_2X115701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKAMYLSVTNQSLLSSPATTKSLQVRSFTVRCSTGAASTGVPVSAPWKVSDARLVLEDGSIWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNIDDEESIKCFLGGLVIRSLSISTSNWRCTESLSDYLSKRNIMGIYDVDTRAITRRLREDGSLIGVLSTEKSKTDEELLEMSRTWDIVGVDLISTVSCKKPYEWVGKTDMEWDFNSNKRHGDAFHVVAYDFGIKHNILRRLASYGCKITVVPSTWPASETLKMKPDGVLFSNGPGDPSAVPYAVETVKAIIGNVPVFGICMGHQLLGQALGGKTYKMKFGHHGGNHPVRNLRNGSVEISAQNHNYAVDPESLPNGVEVTHVNLNDGSCAGLAFPQQKLMSLQYHPEASPGPHDSDLVGKWERLRCDDYRSSCLPVVLEAESLTQDLNLLKVMENSQSTTSVIAKLMGFDETPPHQQPVSRQQRVLSDNYLQKSGSIGKRSRTYSQNNLLGSKKRVNQRTDDSYVEQKVLSSENNRENGKSDTVLTGKKIFLKPNLANIQQSTPPSFLLDSQTTFAWEAKKQLLERLKMTRVFQERQSSRQKCCNLGESFSLNNTKSTSRVGSSIGISSNEGWKYDSATKLPIFKRLNISNLTKKSVKMTTTDVKPGSGKLVNVMRTNTKKNQLVSNSATPFVASSNTDAGTEDGESFYGDTKEHPDSKIEAYHHSPNSVLEPPFREDNSSNSEYCESSSNDLHDLWMEDDFGPEMATSSDDERSCTGQTLGSMTPFGAKESRDFSYMVDVLDESAFQDGDVEIRFEKWHSSECIASYSIFETLEKKYGKQELWQKSERKLLFDRINSGMIEILRPRIDIRVSPNLLQRKMRNMSRRDVIEEELSMFLLNQEKGVNDGVSEKAVGREPWFDPVDEIDSLITELEIFLFDKLTAELVNV >cds-PLY98682.1 pep primary_assembly:Lsat_Salinas_v7:5:303477236:303478849:-1 gene:gene-LSAT_5X163121 transcript:rna-gnl|WGS:NBSK|LSAT_5X163121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFKHQRSQRNSVCRPTFSSAFLLSFYHVPAPFPSTVSLGFLKGWQSSSTAFVCSSIIAIMAPINVNLPKKEVPQVTCECERHFGTQFGGMDQILLGIKFGMEPEEAISKVKTLCDVEALCVSFAGAHESSNPTLAVKVFIRLPKMMAWHECHHVII >cds-PLY76036.1 pep primary_assembly:Lsat_Salinas_v7:5:319908531:319909296:1 gene:gene-LSAT_5X177261 transcript:rna-gnl|WGS:NBSK|LSAT_5X177261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSCAGADRLQTGMRGAFGKPQGVCARVSIGQVLLSVRCKDGNSQHAQEALRRAKFKFPGRQKIIVSRKWGFTKFSRTDYVQWKSENRIMSDGVNAKLLGCHGPLANRQPGRAFLDAVA >cds-PLY68784.1 pep primary_assembly:Lsat_Salinas_v7:8:65802031:65807362:-1 gene:gene-LSAT_8X46480 transcript:rna-gnl|WGS:NBSK|LSAT_8X46480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G69220) UniProtKB/TrEMBL;Acc:O24527] MDFSPAARRTSRKPTKSELYSTFVVHSDEEDDDAQTQKNPKKVDAGDIYATMLCKDDDDPNDDESLPPLLKHLPKDFGADVDSDDDGESISGTMIVKPDRRRVQSPYRREESDEEEDEDEEGTGFGTFVVRSKDTESESVSGTFVRRTSGGSGGMSTMSRAVASMQAVGEGFGRPRKGSATPTSSSQDEEGGYSRKQTSKMSSGSIADSVTREDPSTKYELLHELGKGSYGSVYKARDLKTSEMVAIKVISLSEGEEGYEEIRGEIEMLQQCSHPNVVRYLGSYQGEEYLWIVMEYCGGGSVADLMNVTDEALEEYQIAYICTEALKGLSYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGLPPRSNVHPMRVLFMISIEPAPMLEDKEKWSLVFHDFIAKCLTKDPRIRPTASELLKHKFIEKCKSGASAMLPKLEKAKINRAAMALEAEHVASETIPGYGTLGAKMNEEYGDTVPSKPHQVPNGGVPLVGGSVKPDALEEEREGDFGSVIIHGGVDIEKTAAKTPSAQMTKEPSSSSAHGQILSMADPGEDSVSPWLTKKVTTVETPGTEPTSQATGTNGGVITGTTKNEGVNRKALDKLWSIYAAGNTVPIPFLRATDISPIALLSENVLGSQHLDNVGNVAVEALQDLFTSDGQSNKKNRRQNELPLPPSVYQRLTSSPTLMNLAQALSYHKMCYEEMPLQELQATQEQQTIQNLCDTLRTILRL >cds-PLY87559.1 pep primary_assembly:Lsat_Salinas_v7:3:166256344:166256559:-1 gene:gene-LSAT_3X102940 transcript:rna-gnl|WGS:NBSK|LSAT_3X102940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKKETKQYTWWKTWSVNPTIEEELTEGMGCQLKNQCGGIKAIDESSYEITNPVTDLVFVCGCKSEIEAFS >cds-PLY90006.1 pep primary_assembly:Lsat_Salinas_v7:3:84184397:84187934:-1 gene:gene-LSAT_3X64820 transcript:rna-gnl|WGS:NBSK|LSAT_3X64820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNLLFFFLALLIITLYTIPNFASASLEEANALLKWKSSLQIPKNSLLSSWIPLPLNSSASVPCTSWFGVVCNADGSTQKLNLTSSGLKGTLHQFSFSLLHNLTHFNLSLNNFYGPIPSEIQLLSKLIHLDFSENNFSGVIPPEIGTLHQLTILYLYSNNISGSIPSSLGNLKYLSELFMSHNQLSGSIPLSLAKLSNLQILYLGVNKLSGSIPIELGNFKSLTKLGLSSNQLTGSIPSSLGNLTSLNLLYLHTNQLSGPIPVDLGNSKSLTSLSLTNLGLSNNQLSGSIPSSLGNLTSLNLLYLHTNQLSGPIPVDLGNLKSLTDLAVNENQLNGSIPSSLGNLTSLNVLYLHTNQLSGPIPVDLGNSKSLTDLAVNENQLTGSIPSSLGNLTSLNLLYLHTNQLSGPIPVDLGNSKSLTDLAVNENQLNGSIPSSLGNLTSLNLLYLHTNQLSGPIPVELGNLKSLNKLNVGDNQLSGSIPSSLANLSNIQWLNLGGNKLSGPIPSELGKLKSLTHLSVSRNQNNGFIPSSFGDLTFLNFLYIHHNELVGPIPSELGKLKSLTDFKVNNNKISGSIPSEFGNLTQLQRLDLSSNHLVDEIPKEFGKMKNMLEVYLAGNHLSGVIPLELGFCELLEVLDLSKNRLNGSIPTSIGQWEHIHYLNLSNNKLSEKIPSEIGKLVHLTDLDLSQNFLTKEIPAEVQSLQSLQNLDFSHNRLSGSIPDAFVNLPRGIDINLSFNKLSGPVPLSANFVNASIESNPNLCGNITGLKLCPSQIMKKKNDPFHHKLILVITLPLIGAVLLGVFMYCLISYQQQKKKSPQKPTDKESGNYFSITSFHGKVVYAEILKATNDFDEAYCIGTGGYGTVYKAELQPDNAVAVKKLHSSSENVDHNGFLNEVRALTNIRHRNIVKLYGYCSHVSHSFLIYEYLENGSLGSILRSDVLAKELDWLKRVNIVKGLANGLAYMHHDCSPPIIHRDISIANILLDSDCEAHISDFGTSKLLKLDSSNWTAIAGTYGYIAPELAYTMVANEKCDVYSFGVVAIEVIMGKHPGDLITSFPTLSADYLVPATVGDSRIPPPSS >cds-PLY77450.1 pep primary_assembly:Lsat_Salinas_v7:4:310670032:310673048:-1 gene:gene-LSAT_4X156701 transcript:rna-gnl|WGS:NBSK|LSAT_4X156701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIMHMGGLLHFNAPLKSVRVTYEKKENPNLKELLGFLQTFFKYLQHGYVASGTVSPFDANSSSQATNADSSLPFKNCQPPSLLPSRRSPVAIDSSTNIEEEVNSLKDNVLKIEVAALVIGKIYDEEYEKGKELRARFQVAEEVCQQAYTHLYSLKKRLYDKKKLFYMYIEDATAARNFASGGDKVALHQPCVNQVEKIMEESNSNEEFRKDNISRTTPSTLVETSPESHMNERIAVKAVPISSKLESISVVSKVEQGKKTASCVSDICERIATNAVSISSETESVSLVSLVSKVEXPRKRTSRCP >cds-PLY95729.1 pep primary_assembly:Lsat_Salinas_v7:2:115222905:115223090:1 gene:gene-LSAT_2X53161 transcript:rna-gnl|WGS:NBSK|LSAT_2X53161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFEMYAYNLIGSTNQLLSYKKQLAHISVMMLWHIQQELLLTITIALLATNLVTVTIHTYG >cds-PLY84463.1 pep primary_assembly:Lsat_Salinas_v7:7:128486456:128489489:-1 gene:gene-LSAT_7X79240 transcript:rna-gnl|WGS:NBSK|LSAT_7X79240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANSKGPSTMRSMMYTGKNPLLPPKSPFPSIAPSYADYVSNPAVAPKGPPKYRDANSHQRTSSESFLIEEQPSWLDDLLNEPESPVRRGHRRSSSDSFTYMEAANAVFNNEYRAQNDYRLRNLGSVPSWGPQDFDIYKDSRNPPFYGEHNVLMKNKNRAWDPSQNALTHSNASREHDGNTSIASEKKDAVESVRQDGDSSERKDSSINKPSASETDTKRAKQQFAQRSRVRKLQYIAELEKNVQALQAEGSEVSAEVEFLNQQSLILGMENKALKQRLESLAQEQLIKYMEHEVLEREIGRLRALYQHQQQPQQAVPPPNHRRTASKDKLDSQFANLSLKKDSGSSSTRDVSGPLHI >cds-PLY63355.1 pep primary_assembly:Lsat_Salinas_v7:7:151366049:151367167:1 gene:gene-LSAT_7X88920 transcript:rna-gnl|WGS:NBSK|LSAT_7X88920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHLDWIFFWIWIFRFWDYLNCCCQDLIARSRLLLYSIDGKQVARQIPSRHHHQILDIEGSERRNMEGSLGKGDQLDGESMSRKGDDDEVLFCKSMILWIHRIREGTERFIS >cds-PLY98503.1 pep primary_assembly:Lsat_Salinas_v7:7:126349938:126350336:1 gene:gene-LSAT_7X76841 transcript:rna-gnl|WGS:NBSK|LSAT_7X76841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGYSKMQLIDDSPTSTRSTPPTSSNSQYDDYNNHHELQQKEEDKSFPNATSSIPDTRFNREKKISSNLQTTVKKAFSMRRSSSISEKYCRIHDHSLNLQSECEDEEESQVKGSTNKKGSVVLKACKRIFRI >cds-PLY65975.1 pep primary_assembly:Lsat_Salinas_v7:4:137579481:137583992:-1 gene:gene-LSAT_4X84981 transcript:rna-gnl|WGS:NBSK|LSAT_4X84981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKISQKKNASSNDTNDKKPVISKAKRTRKTVQRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQGAYDDEKAAAHAYDLAALKYWGQDTILNFPLQTYEEELREMDSLSKEEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKWLRPDQKDPNNSNGSQTNFDLNPPPDAASSQDPGLSSYYNNSNNQNQQPESSSSGETTTSVAPPPRGSTGSNTASSALGLLFQSTKFKEMLERTSVADSPSTPPESDLPRRSFPDDIQTYFDCSQESNSYAEGEDNMFAELNSYAAPMFQCELDA >cds-PLY76911.1 pep primary_assembly:Lsat_Salinas_v7:6:29508309:29516398:1 gene:gene-LSAT_6X22800 transcript:rna-gnl|WGS:NBSK|LSAT_6X22800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDVFRVSSARISSSNIWRNSGRDIFSRSSLDEDDEEALKWAAIEKLPTNLRLERGILTEEKGHPREIDIKNLGLVEKRNLLERLVKIAEEDNEKFLLKLKNRIDRVGLQLPTIEVRFEHLNIDAEAYIGGRALPTIFNFLVNIFEGSLNYLHLFPSRKIPLPILHDVSGIIKPGRMALLLGPPSSGKTTLLLALAGKLGTDLQVSGRVTYNGHGMDEFVPQRSSAYISQHDLHIGEMTVRETLAFSARCQGVGASYEMLAELSRREKEANIKPDPDLDIYMKAASLEGQEASVVTEYVIKILGLEVCADTVVGDEMFRGISGGQKKRVTTGEMMVGPARALFMDEISTGLDSSTTFQIVNSIRQSIHILQGTSVISLLQPAPETYELFDDIILLSDGQIVYQGPRENVLEFFEQMGFKCPERKGVADFLQEVTSRKDQEQYWTRRDHSYNFVTSREFAEAFQLFHVGRSLGDELSTPFDRSKSHPAALTKKKFGVSKKELLKACTSREYLLMKRNSFVYIFKMTQLIFMATITLTLFLRTEMPKNTLVDGTIFMGALFFILVMITFNGFSELALSIMKLPVFYKQRDLLFFPAWAYSIPTWVLKIPVTIVEVATWVIMTYYVIGFDSDPGRFFKQMFLLICIQQMSSALFRFMGALGRNIIVANTFGSFGLLTIFVLGGFILARDDIKGWWIWCYWFSPMMYGQNGVAVNEFLGKSWSKVLPNTTETIGVTILKSRGIFPEARWYWIAVGVSIAYVFIFNICFTLALEYLNPFGSSQTVLSEESMAARKDMKTIQPIESSSRAPSSMPMSSRVGSINDIDQNKKRGMVLPFVPLSITFDDIKYAVDMPQEMKAEGVAQDRLELLKGVSGAFRPGVLTALMGISGAGKTTLMDVLAGRKTGGYIEGSITISGYPKKQETFARIAGYCEQTDIHSPHVTIYESLQYSAWLRLPPEVDLATRQMFIEEVMELVELVPLREALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLLKRGGEEIYVGPLGRHSSHLIKYFEEIDGVHKIKDGYNPATWMLEVTSASQEAILGVDFAEVYKNSELYKSNKEMIKESSKPIPGSSDIQFHTQYSQSFWTQCMACLWKQHWSYWRNPPYTAVRFLFTTFIALMFGTIFWDMGSKREKKQDLFNAMGSMYAAILFIGVQNATSVQPVVSIERTVFYRERAAGMYSALPYAFGQVVIEIPYIFVQTIVYGIIVYAMIGFEWTIVKFFWYLFFMYFTFLYFTFYGMMTVAVTPNHNFAAIISSAFYAIWNLFSGFIVPKTRIPIWWRWYYYICPIAWTLYGLVASQFGDITDRLDSGETVGEFIHDFYGFEYDFIKYVAVIIVGFTVLFGFIFAYSIKAFNFQRR >cds-PLY95879.1 pep primary_assembly:Lsat_Salinas_v7:5:80136577:80142850:-1 gene:gene-LSAT_5X37840 transcript:rna-gnl|WGS:NBSK|LSAT_5X37840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLDDSQIVNDNSQQQSPNTSSQGEIHSKSWSANSVQELSFVNLGSKRLSHGSRGGASDPEGFSVSHREINVEDARLVYVNDALKTNERFEFAGNSIRTAKYSVFSFLPRNLFEQFHRVAYIYFLIIAILNQLPQLAVFGRGASILPLSIVLLVTAIKDAYEDWRRHRSDRIENNRMSSVLVNDRFEQKKCKDIQVGEIIKFSADDTIPSDIVLLSTSDPTGVAYIQTINLDGESNLKTRYAKQETLSRTPEKDRINGVIKCEKPNRNIYGFHATMEIDGKHLSLGPSNIVLRGCVLKNTNWAIGVVVYAGRETKAMLNSSGAPSKRSRLETRMNREIILLSIFLVALCTAVSICAGVWLIRYENDLEILQFYRLKDYSEPQVKNYNYSGLGVEVFFTFLMSVIVFQIMIPISLYISMELVRVGQAYFMIRDDKMYDESSNSRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFKHASIFGVDYSGEKTDSDGYFIQVNEQIWRPKMKVKVDMKLLELSKIGNNVKGSDSISKRIHDFFLSLAACNTIVPIVVDTSDPTEKLVDYQGESPDEQALVYAAAAYGFMLMERTSGHIVIDIQGERQRFNVLGMHEFDSDRKRMSVILGYPNGTVKVIVKGADTSMFTIIDNSFSLDITRATESHLQAYSSIGLRTLVVGIRELSVPEFQHWQSAYETASTAVNGRVALLRKVAVNLENNLEIVGASAIEDRLQTGVPEAIESLRKADIKVWVLTGDKQETAISIGYSSRLLTSNMSQIVINCNSKISCGKSLEDGLITCRNFVVSDDLTSIALVIDGTSLVYILDTELEEKLFQLASNCAVVVCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNAVFVLVLFWYVLFTGFTLTTAITEWSSVLYSVLYTSLPTIVIAILDKDLSRRSLLKYPQLYGAGQRHERYNARLFWLTIADTFWQSIVVFYVPLFAYWKTDIDGSSVGDLWTLAVVFLVNIHLGMDVIRWSWVSHVSIWGSIFVTCVCVIAIDVIPVLPGYWAIFDLASKGSFWICLLGIQIAAIVPRFGIKMFMQHCNPSDIQIAREAEKFGNLIESTNQEIEMNTTRR >cds-PLY63515.1 pep primary_assembly:Lsat_Salinas_v7:5:326682859:326683801:1 gene:gene-LSAT_5X183840 transcript:rna-gnl|WGS:NBSK|LSAT_5X183840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDLKPAYAYTVVYVKDVAKSVEFYGKAFGYPVRRLDDSHRWGELESGQTTIAFTPVHQHETDDLTGEVQEQKSKTRRNQLEVCFAYADVDAAYKRAVENGAEAVCPPEDKAWGQKVGYVRDIDGIVVRMGSFVKSR >cds-PLY76975.1 pep primary_assembly:Lsat_Salinas_v7:6:68382627:68383517:-1 gene:gene-LSAT_6X48540 transcript:rna-gnl|WGS:NBSK|LSAT_6X48540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPERFAWLNIVGLPLHMWSEGNFARIAGTVGKLISPKMIPMSLQDVSCGNICVLTERKTKINKEVVVDSNKKILRVGIVEVNFAWSPFPSGPGINNECDGKTRTPNMANSDGMADQESEEDEDGISETVVSPACDPTDRGLSSEELEEGEFRYDCNIGDGKEAAPERMSVDSEASPGSGSPESDAGQPNCASEAGETQPSPIINCQRVDWNSEPNVADSTIVNILEVNVINNFGIYSELVKSGSFGLFKSGLVKNDGRKLPKSFGPINDKLNEPDSDVEFLTGLLEKRRDVPPFLD >cds-PLY86510.1 pep primary_assembly:Lsat_Salinas_v7:8:135099492:135100454:1 gene:gene-LSAT_8X91860 transcript:rna-gnl|WGS:NBSK|LSAT_8X91860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANYATLLVLSFIALSMATIGHCSWISGGAPPPASVGEVDLEVVDELTDELAPGPNGEPTKEKGGSASGPGPAGDFMIQGKRSIWEGFGGVGGDFINQGKASVTPDAAETFIVQGKIYCDPCRIQFPTKISFPLRDVKVILACHKENSNVETYRVEGMSDENGNYKLKAVGDHAEEICEISVTESPEINCPDLMDDENHVRVSLTTKHGIKGRARYANPLGFMAEVADPRCKEVLDELGFTGI >cds-PLY74914.1 pep primary_assembly:Lsat_Salinas_v7:3:129264962:129266539:-1 gene:gene-LSAT_3X88261 transcript:rna-gnl|WGS:NBSK|LSAT_3X88261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVNGAYSTFLSLCRRHHHHHHHNRYHSFLSSPSHTYHITGFLYYFTRNRALSTSAESAEPPELSGRNAYDLLGVPESCTFAEIKASFRKLAKETHPDLSQSPDGFSNSHRFVQILAAYEILSDIQKRAHYDNYLQSQKPILQKPSRQGSSMYTYESHEATGQQMEVVEWLKWYRYAINNIVSEKRIANGTSYFDILENDFYSAIHTAYHGPIIHSMDFLPDCFEADERSFHGTSEILHLVSGHDLFGKVCIAKRVPELSPHASKIENLEVGFKTHELGTQIRSQCDAYKDLELHVSGKIVAIANRIPPKSSTSTIDISNEDYEDHIHVYLNLHEDDEEDKMFLGTIIGLGSSDEEGCCYFHNNCGVKTHVVMKHRTLMVKHMHWFQLGEKASVCECRCTRARLPPSKYWLFEPRSGSHDIGGWYVETYGRGKNGKNVSSQRYWDGVDLSQPSERYS >cds-PLY86085.1 pep primary_assembly:Lsat_Salinas_v7:7:139535296:139540583:-1 gene:gene-LSAT_7X83220 transcript:rna-gnl|WGS:NBSK|LSAT_7X83220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G04850) UniProtKB/Swiss-Prot;Acc:Q9M0Z3] MLEAIASCHGPMGHDLIVHRSSKTSNHHSLKHRFRHIYNGPNRYHKPLHTPAFSTCRRIQYSVHMSSNLPNTPPLLTSSFCGRRQSYISNHSSSFRGGRFRMNATLDVGGAVEVINDLGLDTLTFLAVTVLVVPAFKIVKASPILGFFFAGVVLNQFGLIRNLTDVKVLSEWGILFLLFEMGLELSLARLKALAKFAFGMGLTQVVLSTLAFTAFELPPNGAIGTRILEFLFHSRSDLVNIRSIDEAIVIGAALSLSSSAFVLQLLAEKGELATRFGSATLGILLFQDIAVVPLLVILPVLESQNPVNESILPMLVKESLKALGGLGILSLGGKFLLRRVFEVVAEARSSEAFVALCLLTVAGTSLITQKLGFSDTLGAFLAGALLAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDTQLLIREWPNVLSLLAGLIVIKTLIITAIGPRVGLTLQESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNDLGRKASIFIGENVDEEDKTMEEANFDASEPVVILGFGQTSQVLANFLSTPLVSGLDGDAGWPFVAFDLDPSVVKASRKLGFPILYGDGSRAAVLQSAGISSPKAVMVMYEGKERSVEAVERIRLAFPAIPIYARAQDIPHLLDLKAAGATDAILENAETSLQLGSRLLKGLGVMSDDVTFLSQLVRDSMELQAQALIVTKDPDPDVMTPMQVRVGDLVTTRKPGKMLIGKEESVRISQSERDRILMTQEKVEVVPKKSNELTQGEDARGVLYCELDGDDNNSNQH >cds-PLY97510.1 pep primary_assembly:Lsat_Salinas_v7:2:5946378:5947553:-1 gene:gene-LSAT_2X2580 transcript:rna-gnl|WGS:NBSK|LSAT_2X2580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAVLQCLMAIVHRAFETALSWLEAQICETGDAAEVRESTLAVHACFLIKSLSVREEHIRDVSVNLLSQLRERFPQEQLCKANTWQKAQPTTDVVSLLSEIKIGTGKTDCWKGKKTANIPAVMASVATASGGNLKSTEAFNIEVLSTALNEHCKFWIDDEQWPLSIANSQI >cds-PLY88184.1 pep primary_assembly:Lsat_Salinas_v7:5:221151496:221153014:1 gene:gene-LSAT_5X102081 transcript:rna-gnl|WGS:NBSK|LSAT_5X102081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAADFVVGIVGNIVSILSFTSPISQFRDIVKRKCADNYNGTPYIATFLSCSLWILYGLLDPDEGLLIVTVNAAGVAMQSLYLILLFIYSSKEKRVKYFGFVVLDVVCFGVVVAFTLVAFEGGSRRTFTGILCAAFTTMMYAAPLAALVPNAIGILLGVLQFCVYLMCKNSTPIAMVNEKETEIQDFSFGDIAGIDVKANNAIPQKRALSRLDAFPIIRSPSLSPNRSNSTQHHEADVEHGGAN >cds-PLY95098.1 pep primary_assembly:Lsat_Salinas_v7:1:96322232:96330199:-1 gene:gene-LSAT_1X79880 transcript:rna-gnl|WGS:NBSK|LSAT_1X79880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHNVYEKLEVEFNSRIRERRETEFIEEVVKDIYRTLHISSRIPLPKLIGMDYSKEFLTSWLKDSSSHTADILIIMGMGGIGKTTLAKYVYGLHSHEFATSSFIEDISRASNKRSGGLLALQKQLDDDISTSKSSSTQVHDVSTYISMIENVVARKKVFLVLDDIDRLEQLDALLGSKGFHTGSKIIITTKDAWLIQSCAMFKTNLKPKHEKHLLNGLYEIKSRELLCFHAFMCNHPKVGFEEVLEKLVKYCEGHLLTLEVLGKSLHNRDVAYWEGYIEGLKKEMGSNINNILRMSFDTLSSNDKELFKHIACFFVGMDRDVT >cds-PLY66390.1 pep primary_assembly:Lsat_Salinas_v7:4:118911286:118911579:-1 gene:gene-LSAT_4X74961 transcript:rna-gnl|WGS:NBSK|LSAT_4X74961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVTNNEGNGVGRVGFSYGFQTDGEEEDIGEKGIVAREGDDGENGSLSSMEATLMKLVVEGKQRHFIVSYEKRKVLKTIVGRMEEGDELFVGEWIMH >cds-PLY61954.1 pep primary_assembly:Lsat_Salinas_v7:5:160369622:160383330:1 gene:gene-LSAT_5X70140 transcript:rna-gnl|WGS:NBSK|LSAT_5X70140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTITANGNLVEAKYDGEIDDRVMDVDVAVDDQERCCVGVHVDGVPSSSSLPSLRTLPINNEKLVETNIMSSCSAKTITKNGNLVEDKDKGEINGLLMDVDVPVVDQGRCRADVNVGGLPSSLPSTHTLSRNHEKVELNIISSCNVVRSTKGLVAAENKNQEPKKERYASINRDRGEEQSRFCEYEAEGKEGVQKRVREDDNKNTDTAIESPEKKNKQLHKLCVSTKEERKMTSGVVKSSDDDNKSPEETEEVMVDAQIVLAAPDREENVTTEIVNNKEDNKESGRSKRSTRNDDINYAQIFNYDALEEDARKKKHLQSVSKKEKMSVEKQYKRIQKKHQLSASRKESTSEGEQDKRNQMKQQSKKEKMDEEQHDKRIQKKQQLPTSKIEKMDEEEKDKRIQRKQQHPSSKKEKMDVEEKDKRIQKKQQLPTSKIEKMDEEEKDKRIQRKQQHPSSKKEKMDVEEKDKRIQKKQQLPTSKIEKMDEEEKDKRIQRKQQLPSSKKEKMDEEEQNKRIQRKQLPASKIEKMDEEEHDKKIQKKQQLPARTKQPQLTPKKEKIGEEEQDKRSQKASSSSRKEDDPKPKLYAKNWGLDENGKRVKVVSDMCHQCQRNDKGRVVRCQKCTTKRYCVPCMTTWYPNMTEKMFAERCPVCCDNCNCKRCLRDVHPKVKEKIDFKPNNVQRVRYSVYILHVLFPFLKRLNKEFMKERAMESKIQGCSLSEVHLKKAKCSPDERMYCDCCKTSIFDLHRSCPSCQYDLCLQCCWELRDGNLQGNKEKFIIEFKDPGPDYLHGGKDEYDERKQNRETRNTACYVENAAPKEKQSHEWKSLDDGRIPCPPESMGGCGRGILELMRIKPLDSVSKLLEKTQKLLKMHKLKEDMREMPEEWCSCSDFINKSDGQLRKAASREDSNDNYLYCPRAIDIKTGDLKHFQWHWSKGEPVIVSNVLETTLGLSWEPMVMWRAFRQMTNTKHDKLLDVSALNCLDWCEVDINVRNFFKWYTEGQYDEVGWPKILKLKDWPPSSLFEERLPRHGVEFITCLPFKEYTHPCDGYLNLAVKLPKESCKPDMGPKTYIAYGVHQELGRGDSVTKLHCDMSDAVNVLTHTATVTPNSEHRNSIERLKRQHKAQDQRELFGQVGKTQRDVDNMKDFTSQKGTLGNDCLESKVERKLCDDNVEETSGHVYTRRKFKSVNGTGPCYVTTNCDQSSDSDRDRKLRRRNASIKKVEGQNGNKGSKRKGRKQVISSDSEEGDNQDVISGRCVDGFDLGDGGALWDIFRREDSPKLEKYLRKHFKEFRHIFCRPVEQVIHPIHDQTFYLTMEHKRKLKEEFGIEPWTFVQKLGDAVFIPAGCAHQVRNLKSCIKVALDFVSPENVGECIRLTEDFRVLPQNHRAKEDKLEVKKMALYAVEAALKDLEQNLVPKKK >cds-PLY75494.1 pep primary_assembly:Lsat_Salinas_v7:9:33451019:33451498:-1 gene:gene-LSAT_9X31141 transcript:rna-gnl|WGS:NBSK|LSAT_9X31141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILVSLVVIHLTITVTSGSLTGKIMDVTTPVTTVPTITTGNPNPTTQPKTTTSPVSSSTSSAGRSWCVATQSASQTALQEALDYACGHGGADCSAIQQGGECYNPDTLRHHASYAFNSYYQKNPVPSSCKFGGTAITTSSDPSQLISTLFLVIFLVFML >cds-PLY90779.1 pep primary_assembly:Lsat_Salinas_v7:5:8650383:8651464:-1 gene:gene-LSAT_5X4600 transcript:rna-gnl|WGS:NBSK|LSAT_5X4600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKKGVKSRVVQVDSQEKWNHITSKPMYQDSPIIVNFTAMWCKPATAMEPLFQEQALIHKDAIFLSVDVDEVKGLREKMKIKAMPTFLMMTSNKNKEWEVMDKVVGANPKEIKKRIAAFIQFGCIQVQNNVEVLEF >cds-PLY91621.1 pep primary_assembly:Lsat_Salinas_v7:8:10647307:10648862:1 gene:gene-LSAT_8X7520 transcript:rna-gnl|WGS:NBSK|LSAT_8X7520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRMRTKTTELTSMKGSSAVSATRDGGDEDELEVRPGGMLVQKRDPNEVQTRVPPPTIRVRVKYMSIYHEMIISSQATFGELKKMLTGPTGLHHEDQKIIYKDKERASKTYLDVVGVKDKSKMVLEEDAISKEKRYLEARKNAKIEKAAKLLSEISLEVDRLAGQLSALESVISKGGNVAEKMVSNLIELLMNQLIKLDEIKIDGDVNLKKKKQVERVQRYVETLDVMKVKNARNQVPKQQPPPPTPPATTNGHQSRRKFTPTTVAHQHQEPSSKNTSGAVVVTTQWETFDSLPTIFSAPPSSTSTSTSTTTQTIQPHFNWDLL >cds-PLY97369.1 pep primary_assembly:Lsat_Salinas_v7:3:245126587:245130807:1 gene:gene-LSAT_3X135660 transcript:rna-gnl|WGS:NBSK|LSAT_3X135660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01880) UniProtKB/Swiss-Prot;Acc:Q9LPD2] MGVGGNFWDILKPYARTEGFDFLRNKRVAIDLSYWIVQHETAIKVHTRNPHLRLTFFRTINLLSKFGAFPVFVADGTPSPLKSHARIMRFFQASGIDPASLPISNGTSVERNKKFSKCVQECVELLELFGIPVLKASGEAEGLCAQLNREGRVDACITSDSDAFLFGAKCVIKHINPNSQEPFECYEMSDIEGGLGLKRKHLIAIGLLVGNDHDSKGVQGIGIETALSFVKSFNEDEVLDRLCALGSGDMLSMMGYKGDSVINLDENSTKIKLSHCSLCGHPGSKRSHLKDSCEVCSSSNNHKGCVQKPIGFKCDCLSCHQDKKEKEQKKNEAWKMRVCNKIASEPNFPNNAIIQMYLSNNHTNFTDAEPFISWKNPNTEMLIDYIGYKLNWEPSFTRQKLFPLLSTIFLRNKAKNQETGLLHGQYEFDFIQRTKTRLGHMLYVVTWTKHGQIVNTHIPTTPLEDSQVQEYDDDDDDDDDDDDIDESVNNVCVDIDNECVMTDENMDLVMAAYPQKVNQFIQQKELKESKSKKKGRAKSTSTPEKSLSPSSRSVQLSIKEFYRSSKGESMEKLKDNDSSTTVKRNVTRGNFSKSARRRLLFD >cds-PLY76811.1 pep primary_assembly:Lsat_Salinas_v7:MU042712.1:150954:153879:1 gene:gene-LSAT_0X26060 transcript:rna-gnl|WGS:NBSK|LSAT_0X26060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSREQLLHLFDRFSTLTSQNDVKKRIADAVNDNQEAVAVTTAIQEEILKEMGVDPAYGLACLGKINMDYENDRDLMVRFYAFVAKEEMACEEAELGPDEYSERLQMQQTLHSHQLEMLKHMRNYGVDHQSAILEKLRQKMEKEEFENEAFVFSVDEMNEIVENGV >cds-PLY62393.1 pep primary_assembly:Lsat_Salinas_v7:5:309847993:309848865:-1 gene:gene-LSAT_5X168801 transcript:rna-gnl|WGS:NBSK|LSAT_5X168801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGKAKKGAGGRKGGGPRKKAVTRSVKAGLQFPVGRIGRFLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHLLLAIRNDEELGKLLGGVTIAHGGVLPNINPILLPKKTAAKEPSTPSKAAKSPKKAKKAE >cds-PLY93782.1 pep primary_assembly:Lsat_Salinas_v7:6:147774966:147775996:1 gene:gene-LSAT_6X88700 transcript:rna-gnl|WGS:NBSK|LSAT_6X88700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRFLPKISSAIRPFLPPLSVVVTSLCVGAPLAININSVLSAFGISVLLVVIALHLSAFVLGYTFTGIAFHNSPDVKPLQRTLSYETGMQSSLLALALANKFFQDPLVGVPPAISVVIMSLMGFSHVMIWGKKKNV >cds-PLY92920.1 pep primary_assembly:Lsat_Salinas_v7:3:113683099:113688309:1 gene:gene-LSAT_3X83160 transcript:rna-gnl|WGS:NBSK|LSAT_3X83160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPAPMEGDFPNIFADGYGSRDGDAVMLTHLVFMLKRLHGRDVKTGILAAVYKLADNIDDWSRFAWDMYFWTYTLGLMRGMFEKIEKFSLFKQTNPESKKIWILETFPEATQFYIRTPIELPRMRSWRSKTLLSWVQCRRIIDVSMYFTSGEFTSFGDSIISELDTIEYLNDFPDGHKGNVIVEFIDIVDTP >cds-PLY93227.1 pep primary_assembly:Lsat_Salinas_v7:6:159507065:159508814:-1 gene:gene-LSAT_6X98960 transcript:rna-gnl|WGS:NBSK|LSAT_6X98960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSIQKKPHALCLPAPAQGHINPMLKVAKILHSKGFHITFVNTEFNHQRLLKSQGSDALHGLPSFCFETIPDGLPPPQNPDETQVFPILWKSMDETCLGPFKSLLTKLNASSSPVTCIVADLFMGFTLDAAKELDIPEIVMWTSGVGALMCVHEQHNLLERGLVPREASSFLANEHLDTRIDCVPTMSGMRLKHLPSFVRKTSPGDEYMLEGLCLQAERAKRASAIMFNTFYELESDLLDALSSVFPPCYGVGPFKLLEKESDESVEFIKSNLWKEENTCLKWLESKPKSSVIYVNFGSTTVMSHQYILEFCWGLAKSNCPFLWIIRPDLVDGKSAVLPPEFLEEISSRGLLASWCPQEQVLNHPSIGGFLTHSGWNSTIESISSGVPMICWPFFADQLTNCWSCCNKWEIGMELDIDVKREEVSKLVIELMNGDKGKEMRKNAIDLKEKAEKACTFPFGSSIVNLGKVIDHLLQGSPNSYLSV >cds-PLY89141.1 pep primary_assembly:Lsat_Salinas_v7:3:19849321:19849824:1 gene:gene-LSAT_3X13121 transcript:rna-gnl|WGS:NBSK|LSAT_3X13121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTRKYSSVFIFMILLSLGFQASSDSGIGTINDPPYLPSACYGYEDKGVMIAAANEDLWEGGAACGKYFQVTCTGGTNLGTPHPCTDSPIVTVMITDFCPPPGCKGDLDLSHESFSAIADPAAGGIKISYQQ >cds-PLY96850.1 pep primary_assembly:Lsat_Salinas_v7:2:110511292:110516170:-1 gene:gene-LSAT_2X51700 transcript:rna-gnl|WGS:NBSK|LSAT_2X51700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLCCTCQVEESYSSNHNDPIKNPTDYTDGHQKGQKESPIKPEVQKTAPPIEVPELSLEELKEKTDDFGSKALIGEGSYGRVYFATLNNGKTVAVKKLDVSTEAESNNEFLSQVSMFSMLKHENLIELLGYCVEGNVRVLAYEFATMGSLHDILHGRKGVQGAQPGPVIDWMQRVKIAVDAAKGLEYLHEKAQPCIIHRDIRSSNVLLFEDLKAKIADFNLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKAVAKLAAVAALCVQYESEFRPNMSIVVKALQPLLKASPPTAPEILTE >cds-PLY63210.1 pep primary_assembly:Lsat_Salinas_v7:6:88020276:88023367:-1 gene:gene-LSAT_6X60940 transcript:rna-gnl|WGS:NBSK|LSAT_6X60940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRGNVQEFESHKENLMQITFNQIEGTREGVEGVERVCKESNISGLIGPIYKLIDCEEKYFTAVEIVAGKSLFHVVVDNTETAKTIGKRMNEAQAGRVTLRPLDQARTTCNVDDSELQASHMTPLLKLLKCSPLHKKALSLIFGNTMYLENLREITTHGYDYVTPKGFQFSKGIMRGGYYDENKLKLKFAKIIRENGNLCSEKGECLKDINNRIVQLLERERDKLVGYLETYKKEIAELRKSIDLERYTGKEEEELKGRLINSKKRRKISNSPEKLIADSKKQIEDLEHYATETRAMISEVDKEKDVCMQKISTTVNNCFGDVISKLVPGCSGKLTMIREEDRGPADNTEGGPTNFIGVKMEVSFPGQGETQSTVEKTVAGVALILAILECDPPPFYLFNGIIAKLEDTNYRHAVTGILFILILFLFISFHWRTFQDEDVDG >cds-PLY81185.1 pep primary_assembly:Lsat_Salinas_v7:5:83074393:83074785:-1 gene:gene-LSAT_5X38801 transcript:rna-gnl|WGS:NBSK|LSAT_5X38801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFPILKEQMNVVVLALLLMMIVYSEEKTSPLCDVLKEWGKTCEHEKCMDYCRENNYVRGHCKRYANPDVKWYCVCKRQCAFYSQLKKPVTLTN >cds-PLY87914.1 pep primary_assembly:Lsat_Salinas_v7:9:168823344:168824145:1 gene:gene-LSAT_9X104820 transcript:rna-gnl|WGS:NBSK|LSAT_9X104820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHLENHGLRDEFKKIFELVKPFVVLYGGVSHNVKSFRDQYVKLVDRIFELEKVFPGVDMVSQAEMDKVSVVELENQLHKVDRDMSWVLSYGISKLVDKLIVESSFFEANCDLQNVCVDFGRTTGCEMMKAEHNLRLNEVDIPLYDPTRVQKVEEEFLLYFVVINYLNMDFLWQMLSNYVHWWALLNAGHL >cds-PLY88335.1 pep primary_assembly:Lsat_Salinas_v7:2:10126480:10128012:1 gene:gene-LSAT_2X4240 transcript:rna-gnl|WGS:NBSK|LSAT_2X4240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSATLPFTPPPLPVLPKKILGVKPLSRTRSFFQVKPLYQVAACVGFFFALPHSTIFCAEHVMVQNICKQEGGQWWCLVVGVKMNGADSANIQIGLDYNSIDLSSTTTDLCSEFCKRFKGFLATWPPSSPQPHINELLRSKGFFNHSFMFLLPDKVTQSMKQLSGGQKTVVADLLPIENP >cds-PLY85298.1 pep primary_assembly:Lsat_Salinas_v7:3:71339458:71342433:1 gene:gene-LSAT_3X54841 transcript:rna-gnl|WGS:NBSK|LSAT_3X54841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WVD2-like 7 [Source:Projected from Arabidopsis thaliana (AT1G70950) UniProtKB/Swiss-Prot;Acc:Q67Y69] MAGEIQEPFRLNFQADLLHSGSISFGRFESESLSWERRSIFSHNRYLEEVEKYSKPGSVTEKKAYFEAQFRRKALLKQSSSESQDGGDFPTSGNSNLLELEESCNGNESSSTSDPSVNHENGKDVVALYNENGNGGMDLACFDEIPHNSRCDEDTISQECESQNCEFLPVVNMIDCHVSVHEHVKLDGTHGSETEDLVEITEEIVVDVASEMTDLPLAHQTLEKDDDNTSTRVTEPIRTFSPKVKHASEKKLTRATLKTQPNIDRFQKHMSNEASKGSIKPQTSGSKGLIKKPEKKSPRPSSPLPCSGVTRSEKEVKPQSSLLKKSLPTVTRPKQSISSSKTHVNVTQSCAGFSLKTDQRAENRKEFYMKIAEKMHAKEAEINQVEAKTLEKQAVEIKQFRKSLNFKAKPMPSFYNGSDQNKVTPNQTRPRSNSVAKNGVKSSVPSDIRPISSNLATNRIRVSESVAKSRVEKKNDVSVKKQNKPELRGVKSGHVVVGVIS >cds-PLY77748.1 pep primary_assembly:Lsat_Salinas_v7:2:169370703:169373562:1 gene:gene-LSAT_2X92181 transcript:rna-gnl|WGS:NBSK|LSAT_2X92181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G40280) TAIR;Acc:AT5G40280] MLELQRDNHIDYLMKGLRQLGPSFSVLDANRPWICYWILHSIALLGDCVDEDLESNAIDFLSRCQMPHLATTYAAVNSLITLGGHKALSSINRGKIYSFLRRMKHTSGGFSMHDGGEVDVRACYTAISVASALSILDRELIQGVGDYILSCQTYEGGIAGEPGSEAHGGYTFCGLATMILINEVNRLDLPSLTDWLVFRQGVEGGFQGRTNKLVDGCYSFWQGGAAVLIQRLHAASGGEAEDLFQSHALQQYILLCSQVEGGFRDKPGKSRDHYHTCYCLSGLSAAQFRWPKDADSEPLPGFVLGPYSNLLEPINPLYNIVFDRYDEAREFFTESDDA >cds-PLY81225.1 pep primary_assembly:Lsat_Salinas_v7:4:305543969:305544801:-1 gene:gene-LSAT_4X154340 transcript:rna-gnl|WGS:NBSK|LSAT_4X154340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKLQNLLIVFVSISFSPSIISQKLINCTRSCPGAQFIFVPYPFGFSSGCQIQLNCTADGSVLIGEFPVQQINPDGLTVGLPAMCGRPVDSLSHLNGEHYAPVSTNGILMENCMDQKNNCIIAATTWGTSFEDLNCSVIQDRRSNRSLSCYSGDTTRMFLDHENITNMGCQYLFSGVASEISGNNSEGVSLDVQVVKLGWWLKGSCDCSGDAVCTKILSPSDGSDGYRCRCKSGIDGDGYTASSGCGEAKEVVDVFKN >cds-PLY92389.1 pep primary_assembly:Lsat_Salinas_v7:3:124422573:124423194:1 gene:gene-LSAT_3X86460 transcript:rna-gnl|WGS:NBSK|LSAT_3X86460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKATALFLLLSLLLFSSSLSSASRPEPTTTRTPSKDVEIEEGCDGVGEEECMMRRTLVAHLDYIYTQKANP >cds-PLY79657.1 pep primary_assembly:Lsat_Salinas_v7:5:253347041:253351804:-1 gene:gene-LSAT_5X128960 transcript:rna-gnl|WGS:NBSK|LSAT_5X128960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVIVFCKDYLSTGECLDELVNIMKLSKITEGGLNVLPVFYDVDPLELRNPDEPLGEIRRKNWHFGSMFRREYYSVEQEKLWRQAVVELTKLHGLKLKLKSIPNWDVLDFVEEIAETVMRNLGRMNASSIDENVVELVKPHKKNARSLKVKPEVCSSKFPMATSKLQDFGRTYDVFVSFRGEDTRKNFVDHLCAALEGHQIYTFNDDEKLDRGKSIAPELLKAIEESAISVIIFSKNYASSTWCLDELVKIMSCHKTRGQIVFPVFYHVDPSDVRKQKGHFGKGLAQHYKAEKMQVWREVLVEAANLSGWDLKTIANGHEAICIKDIVKRIQRELNRVSVTTDENLVGLEHRTEEVISLLDGDHGVCMIGIWGMGGAGKTTLARVLFDEISYHFDGVSFLENVREVSRQYGLQNLQKLLLGDVVKEENMRVRSVVDGKHILSKRLRHKKVLLVLDDVDSLSQLEALTGSLNWFGEGSRIVITTRDEHVLVAHGIKEKNIYKISLLNDNEAIQLFKCYAFKTSIPSKESLAEWTSTLNKLKSIPDKETMEVLKMSYDGLDNEYKEAFLHIACFFRGWEKDTVFWILESCEFYPHIVARVLEQKSLIIISNERLLMHDLIQEMGKDIVRRMQPNELGRHSRLWDPHEIVDVLKENTVEEFSFRSHLLHSTLPDKTEQGKKEIKAIVVGNVHVVDSMNMSEAFRNMRNLRLLYFHAMTEEMSPCAPAYLPNELRWLTWNYFNQDSLPKTFNANKLVGLEMPHSNIVQLWTSWDVKRLTYLSLSGCLNLKHLPESLGNLVGLAELNVSHCLIEELPETIGNLYNLVYLNLTYCQKLKSLPSTISRLNRLETLDLHHCISLEKFPDNLDSLESLENLLASSTSVIHLPDAITRLKRLKTLDLHHCFSIKTPLNLSAVCNDIGVGQNLIYLNLSSCIQLKEFPESLGYLENLLNLDLSHNMIKQLPSSIGNLTKLVCLNLTYCHYLKRLPATISRLKDLKTIQLDGCVSLDGLPENLDQLESLEDLIVSSTSIRYLPNNISRCKSLKSLNVHDCKSLTYLPPAIGDIESLEVLRASGSGIMFIPDSICSSKSLKILDLHDCSNLQELPTYLGNIESLEEIYISGTHVAELPPSIDGFEVP >cds-PLY93345.1 pep primary_assembly:Lsat_Salinas_v7:9:63509408:63513234:1 gene:gene-LSAT_9X54401 transcript:rna-gnl|WGS:NBSK|LSAT_9X54401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPSRTGGGMLHSSSTSGIFFQDNMQSQLGSFGNMSNPMHPNVSHSHVSADISNNLMNSVTTSAPSIAASSMVTDANSGLSVGPHLQRSASFNTDSYTRLPASPLSFSSNNLSISGSSIIDGVQNSNQDPHSHQMQKKPRLDIKQDDIIQQHQAVQQILQNPNPNPNPNLNQNPQLQALIQQQRIRQQQQQQQLLQSLPPLQRAHLLQQQQLRQLQQQASVKRPNDGGVCSRRLMQYLYHQRQRPSDNSIAYWRKFVAEYYSPRAKKRWCLSLYENVGHHSLGVFPQASLDTWQCDICGSKSGRGFEATFEVLPRLNEIKFSSGVIDELLFLDLPRESRFPSGIMMLEYGKAVQESVYEQLRVVRQGQLKVIFTPDLKILTWEFCARRHEELLPRRIVAPQVNQLLQVAQKCQSTVSEGGSDGVSQQDLQTNSNMVVTAWRQLARSLELQSLNDLGFSKRYVRCLQISEVVSSMKDLMDFCQDNKVGPIEGLKSYPRQVSAKVEMGQVSSQMVNNRGAMLSGSPQSALTLTNYQNMLMRQKSMNSNAPNNGSLQDWGLQNNRVSGGFHHQPPPSQGGSQAAQHHMIQQLLQDMNNNNGAGGGGGGGGGPVPVPKPTVSGQSGGGGSMRYGGGGGGGGPTRSNSFKGGSHSDSSAGGGNIGVSSQKGGGVLDIVEDIGSEFNENGFFNNDDFEF >cds-PLY81243.1 pep primary_assembly:Lsat_Salinas_v7:4:305532634:305533026:-1 gene:gene-LSAT_4X154400 transcript:rna-gnl|WGS:NBSK|LSAT_4X154400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLEMTGARKKNKSNDTSMSEAYFPDWIYKKVESGDNLGVDGVTTEEEEELARKLVMVSLWCIQSDPWDRPWISKVVEMLEGSFQSLQVPPRRFWSSPTRHTQDNSPSAIQRLSSQGVLKMQATEEESSS >cds-PLY95612.1 pep primary_assembly:Lsat_Salinas_v7:2:68968485:68972316:1 gene:gene-LSAT_2X31341 transcript:rna-gnl|WGS:NBSK|LSAT_2X31341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIEPASSSGGYHRRGNVVNTDETTDHDSDDGGVTMAPSRSMKERATYLVWRDLTVVLPNFGHGHTKRLLNGISGFAEPGRIMAIMGPSGSGKSTLLDSLADRLSKNVVRTGDILLNGEKRKLSYGTVAYVTQEDVLMGTLTVRETITYSAYLRLPTTLSNEEVNDIIEGTIMEMGLEDCSDRLIGNWHLRGLSGGEKKRLSIALEILVRPRLLFLDEPTSGLDSASAFFVVQALKSVARDGRTVVSSIHQPSSEVFALFDDLFLLSGGEMVYFGEAKDAIKFFAETGFPCPTKRNPSDHFLRCINSDFDVVTATLKGSQRNYEEPKGLDTYMKFTTSEIKATLVDKYKWSKYAKKAQSKMKQTSTLHGPQTSTITKSQAGWWKQLTTLTRRSFTNMSRDIGYYWLRVIIYSIVSICVGTIFFDVGTGYTAILARGACGGFITGFMVFMSIGSFPSFIEDMKIFTRERLNGYYGVSVFILANLFSSLPFMLAISLVTGTITWNMVKFRNGFSRYAYYCLNLFASIAVVESCMMVVASLVPNFLMGIVTGAGVIGVMMMTSGFFRQLPDLPKIFWRYPISYINYGSWSLQGAYKNDMIGLVFDGLSPGDPKMTGEDVIRKMYRLPLDHSKWWDLLAIFAILVAYRILFFIVLKLKESASPFFQSMYTKRTLDRLNKRASFKRFSSSRRNHNLRSLSSQEGLSSPLP >cds-PLY82490.1 pep primary_assembly:Lsat_Salinas_v7:2:188022595:188024094:1 gene:gene-LSAT_2X109700 transcript:rna-gnl|WGS:NBSK|LSAT_2X109700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRILYLHERLIVEKDGEWLHELALRNAAMELLNFYKTNLVKFDFKDLELIAKNYSESLLSVKISECDLTDLSDFFNHAVKLQEFGGGAFSDKPKNYAGLKFPPLLTSMALNYMSQPELPVEYRGYEVTIENFLRVLTGRHENVVPRTKRLLSDEGSHILLYMTGHGGDEFLKFQDSDELQSHDLDDLHSPGVLAIGSSMKGENSYSHHLDSDVGVSVVDRFTFYTLAFFERLNMYDNASLIRYKKCCTLKKLLKFSRPI >cds-PLY71100.1 pep primary_assembly:Lsat_Salinas_v7:1:120850177:120855330:1 gene:gene-LSAT_1X91141 transcript:rna-gnl|WGS:NBSK|LSAT_1X91141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRRGSAAIGIDLGTTYSCVGVWQNDQVEIVANDQGNRTMPSCVAFTNGGRLIGEGAKNQIAMNITNTIYDAKRLIGRRFTDTKLQEDMKSWPFDVIKGSNNIPMIVVTYNGEKKEFSAEEISSMVLIKLKEAAEKFLGKVVRDAVITVPAYFDDSQRQATKDAGHVAGLNVLQIINEPTSAAIAYGLDMKNDIVRDINVLIFDLGGGTFDVSLVNIDTNGTITVKAVAGDTHLGGQDFDNAMVDYFVEQFKRKHNIDVSVNKKALSRLRVACEKAKRVLSSIIDTTIDIDGFHDGVDFSMRISRAMFEKLNEDFFSKCIEMVEKCLADAEMNKWQIDEVVLVGGSTRIPKVQQLLKDFFQGKELSKKIHVDEAVAYGATVLAAKLTGCTGKKVSNLVLIDVVPLSLGVDLHDGSFNVIIKRNSSIPTKNERNYYTVLDNQESITFNVYQGERSRAIDNNWLGRFVVAVPRAPKGRSSVRVTFDVDANGILNCSGVELTTGQKIAITITNYKERLSTRNIEKMLEDAHKYKLQDEEYEKTTSVRNALEDYIYDVKSKIKKIGNTTKRFNNKDLEIMEIAIEKASEILKESQLADFGQYQKALNQLEKVCLPIIAQHV >cds-PLY77224.1 pep primary_assembly:Lsat_Salinas_v7:4:176913179:176914527:-1 gene:gene-LSAT_4X104200 transcript:rna-gnl|WGS:NBSK|LSAT_4X104200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKLPTIDFTNKRNLHPGSTSWLTTSIEATRALEQYGCFIAEYDQVPLNLNNAVFQALQDLFDLPIETKVQNKSTKPLYGYVGQIPFIPLYESMGFDYSNTLDGVRSFTDVMWPHGNEAFGATLLAYNGLVAKLEEMVTRMVFESYGVEKHLDAHRNMVTYLCRGMKYRRPEKNETNMGFVAHTDKDFITVLHQNQVDGLEVKARDGEWFTVELLPTSYIVMSGDAAMAWSNERLYSPYHRVTMNGNASRYSIAQFSFLEGIIETPEEFVDEEHPLQFKPFDHLKYLDFYNKEENRKLECAIRTYCGV >cds-PLY89786.1 pep primary_assembly:Lsat_Salinas_v7:1:6788882:6791430:-1 gene:gene-LSAT_1X5740 transcript:rna-gnl|WGS:NBSK|LSAT_1X5740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFFIHLLHEFPSYNAGYMIVVAPFLFTIYVLVLLLLTSSRDPGIVPRNLHPPEEELRYESSVSNDKTPSLQFPRTKEVIVNGVSVRVKYCDTCMLYRPPRCSHCSVCNNCVERFDHHCPWVGQCIGLRNYRFFFLFVSSSTLLCIYVFCMSAFYIKVLMRDYGGSIWSGMRESPLAVVLMAYCFIALWFVGGLTGFHLYLISSNQTTYENFRYRADNRINIYDQGCAGNFKEVFCTKIKPSRNKFRAFMEEVHRPPPPATSRESGGEDSGPEDRRVKVEDDMDLGGDLLKISQRHDIKDINSRHHNSSEFDSVLGSESHQVLAMNLNVDESRGYAAERQGYQ >cds-PLY72464.1 pep primary_assembly:Lsat_Salinas_v7:2:142257634:142259175:1 gene:gene-LSAT_2X70120 transcript:rna-gnl|WGS:NBSK|LSAT_2X70120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMNQQCRCETRIQHTIRTHITYKCDPSEKWLVLIGIAPGSPEKPQLGKGNMHLFSVYQQRSQALEAHAASFASFKVSGNVNPSILIYFATKSSNAGQVTSKLHVIELVAQPDLFFPPDFADDFPVAMQISHKYGLIYVITKLGLLFVYDLETATAVYGNRISPYPIFLTSEASSVGGFYAVNRRGRVLLATLNEATIVPFVSGQVYGPEAAIWSDGVILYILLCGVPPFWGESENEIFEEVLRGKLDFS >cds-PLY66502.1 pep primary_assembly:Lsat_Salinas_v7:5:184104672:184105273:1 gene:gene-LSAT_5X80641 transcript:rna-gnl|WGS:NBSK|LSAT_5X80641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIGHHLYLCHWKLSSRLDKRQWRILSMVVMMILIGKNYIRAINDQYGDENIKSMLSVEMGSGKWLSLKGRRCCFVGTSPTTWFKFNLPIQPPIQNSSK >cds-PLY79564.1 pep primary_assembly:Lsat_Salinas_v7:8:118019276:118020203:1 gene:gene-LSAT_8X82241 transcript:rna-gnl|WGS:NBSK|LSAT_8X82241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPPDLKMAYKDAPAKLVNIGFFLNIEWQGDAGGISINGVNYNLVHSHWHFPSEHTIDGKRFDAELHLLADKMKGLTDTKGIDVGTISASNIKCGGTKYFRYIGSLTTPPCTEGVTWTIAEKAKTISQDQIQMIKEDLERVSLAFHFAFDLNISKTCMHISPICICANR >cds-PLY92535.1 pep primary_assembly:Lsat_Salinas_v7:3:253383623:253386440:1 gene:gene-LSAT_3X139600 transcript:rna-gnl|WGS:NBSK|LSAT_3X139600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKKQGRRAAVKSDRRSSSSVPSNREDSSSRVINPNKRLSMLNTLLFLIVSPAISSYVYRMLYASNTDLDSSLPYVYQRGLVKTDINYQEILTENAKVSENTSIRHFPNPVLAYVTPWNSKGYDLAKEFNSKITHISPVWYDLKSQGAEFILEGRHNVDKGWISDLRMKGNALILPRFVLEAIPMDMLKKKKQRAKVIDLIITECKEMDFDGIVLESWSRWAAYGVLHDPHMRKLALQFVKKLGESMHAVGNLQLVYVIGPPRSDKVQEYDFGPEDLQSLSDDVDGYSLMTYDFSNPQNPGPNAPLKWITSTLQLLLGGSQNLSQKIFLGINFYGNDFVLQGGGLGGGAILGRDYLSLLEKHKPELQWEKKSGEHFFLYSDENNNNIVKHVVFYPSLMSIAMRLDEARSWGAGVSIWEIGQGLDYFFHLL >cds-PLY69534.1 pep primary_assembly:Lsat_Salinas_v7:MU044378.1:148982:150770:-1 gene:gene-LSAT_0X20500 transcript:rna-gnl|WGS:NBSK|LSAT_0X20500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLGNGCKFYKIVVTILARDFFKNENALASLLALKQWTINLASPLVTSPPATLGLPHYKSTNDKLILVTESFHSQLGVRLVHRTDDYECDSSTTPVIASRLTSLAATLIGLSIQLDFSFQLEIDFVPSPTLHLFTKIVVHLSTKIFTGVAMLDISNYERRVDHHTDMRMDIDHMSYKMEYNDQESIRMLDCSHGYHVDCIKKWLTVKNTCSRGNYQKMVAEGKLPKNTLACGDYGNDT >cds-PLY92376.1 pep primary_assembly:Lsat_Salinas_v7:3:123859577:123860676:-1 gene:gene-LSAT_3X86241 transcript:rna-gnl|WGS:NBSK|LSAT_3X86241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQQLRFLVWELSVAQGAKIRGASTIIGVDTNPEKKEKAKAFGVTDFINPNDIDETVQQDIKRLTDGGVEYSFECIGDTEMINTALHSCCDGWGVTVTLGVPKTNPNVACHYGLFITGRTLKGSLFRGWKPKLDIPRFIRTARYYHTTTAIPGLSYHSLL >cds-PLY93009.1 pep primary_assembly:Lsat_Salinas_v7:4:193057615:193061372:1 gene:gene-LSAT_4X111000 transcript:rna-gnl|WGS:NBSK|LSAT_4X111000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGIGAQVSSSASGELRRVGLVYDERMCKHSTPTGEPHPENPDRIRAIWNKLMSANIPQRCVVFDAKEVEDKYIAAVHTSNHINLIKTISSKKLASQRDRISAKLNSIYFNEGSTESAYLAAGSVLDVAAKVAKGELNSAFAIVRPPGHHAEENEPMGFCLFNNIAIATSFLLNQKELGINKILIVDWDVHHGNGTQKTFYKDSQVLFFSVHRDEYGTFYPCGDDGSYDMKGEGEGEGYNINVPWENGKCGDADYIAAWNHILIPVAREFKPDIILVSAGFDAAIGDPLGGCRITPHGFAILLKKLMEFSNGKIVMALEGGYNLNSIANSVLSCVQVLLEDKQIVEPDEIYPFESTWRIIKEVREELSAYWPILAEKLPEKLTSKVTPLVKTYSSESEDENDDDEVSEDDVTIPLSKLKVSDDDAHDKVEDWRSELSKVDVWYASFGSNMSESRFQCYIQGGQVEGMRRACKGAVDKSQPKEVLWKTVPHRLFFGRESTVTWGPGGVAFLNPQTNNHDKTFMCLYRITLEQFNDVLLQENVSSDTTSPFFDLNALNSIENKNNISLKALKDGWYHNVVYLGKEKGVPILTMTCRVDQVEGFKSGKIPLRPPAKEYADTLIRGLVMGKQLSEDEAIAYINEASTKPL >cds-PLY70915.1 pep primary_assembly:Lsat_Salinas_v7:9:14823437:14823751:1 gene:gene-LSAT_9X12240 transcript:rna-gnl|WGS:NBSK|LSAT_9X12240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRYIHRFIWIIRHSTVPRTHRLISSRRKRMTYSSSGYRKVSNSTALTAFKLLPDSRFFFSSSARTLYDKHPHFHFFPSSIYTFSPPPESPTFVFRFRQQHHKRT >cds-PLY76152.1 pep primary_assembly:Lsat_Salinas_v7:4:54512231:54512734:-1 gene:gene-LSAT_4X37121 transcript:rna-gnl|WGS:NBSK|LSAT_4X37121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFKSLSKLELDELPSSLGLFVVISFDIEKKVLKVINGEIKVGRQSVNEMLGIPMGDQKVEDLSFRLVEDECYSKWISQFHKVENIRLNDTTNAIISSKEADFNFKLNFIVLFCNTLVESTPAGKINQNILKKISSTTYFSKIDWCSYTIDSLINNTRSYVSHNCKG >cds-PLY68943.1 pep primary_assembly:Lsat_Salinas_v7:9:195008733:195013665:1 gene:gene-LSAT_9X120921 transcript:rna-gnl|WGS:NBSK|LSAT_9X120921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPSVRSPSSWSVIAALFASFLFILSPVFASEYDHKYQPDDKVTLWVNKVGPYNNPQETYNFYSLPFCHLPGHAAHKWGGLGEVLGGNELIDSQMDVKFQKNVEKTTVCKLELDEPKAMQFKQAIENSYWFELFMDDLPLWGFVGEVHSDRNTDNKHMLFTHMNITLQYNKDQIIHVNLTQESPKPLEVGRTLDMTYSVKWTETNITFARRFDVYLDYHFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPPRNLVLLSAVVGTGAQLALLILLVIIFAIIGMLYIGRGAIVTTFIVCYAFTSFISGYVSGGMYSRNGGKTWIKSMILTASLFPFLCFGIGFILNTVAILYGSLAAIPFGTMVVVLVIWGFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTVCVTIVGTYFLLNAENYYWQWTSFFSAASTAIYVYLYSIYYYYVKTKMSGFFQTSFYFGYTAMFCLGLGILCGAVGHLGSNMFVRRIYRNIKCD >cds-PLY82869.1 pep primary_assembly:Lsat_Salinas_v7:4:17939855:17941353:-1 gene:gene-LSAT_4X11460 transcript:rna-gnl|WGS:NBSK|LSAT_4X11460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHQKTPVRFRRDLTLINRLYRERLLFLGQEVDSEISNQLIGLMIYLSIEDDTKDLYLFINSPGGWVIPGVALYDTMQFVQPDFLTLINRLYREILLFLGQEVDSEISNQLIGLMIYLSIEDDTKDLYLFINSPGGWVIPGVALYDTMQFVQPDVHTICMGSAASMGSFILVGGEITKLATGEFILEVGELLKLRETLTRVYVQRTGKPLWVVSEDMERDVFMSATEAQAYGIVDLVAVE >cds-PLY87574.1 pep primary_assembly:Lsat_Salinas_v7:8:186049403:186050380:1 gene:gene-LSAT_8X121041 transcript:rna-gnl|WGS:NBSK|LSAT_8X121041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCNRCRRRLRTQSSVSSTFSFFFLKGMTSSTSSDSQLRHPSPEAQEIFRPTTTVSPHPEHQNPRKKRTKRIKPQNMNAIVGGDGGGSSGSHSRKPKYTKKPDPNAPKITQPCSECGRMFPSSKALFGHMRCHPERPWRGINPPPPLSNLHHTPPLMAADDGNTNTLPTTTEDHYLASCLLMLSKAPATSFKCTSYKKVFGSHQALGGHRARPSHKNVKGCFGIMRNERGVEFKEGELEGQFDNNMMMIVGSSSEHKCSICLRVFPSGQALGGHKRCHWEKDDGVVPAASTYVLFPSKCNIDLNLPPPREDYSSSSNLDLDLKLGL >cds-PLY93924.1 pep primary_assembly:Lsat_Salinas_v7:7:180204310:180207476:1 gene:gene-LSAT_7X106841 transcript:rna-gnl|WGS:NBSK|LSAT_7X106841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSFNVRILMGKATILVLSLVSLHIHRIYTAEIDLISDSRFLTEADTLVSPAGIFELGFFRQGSSENKYVGIWYKKISVQTVVWVANRDFPLPDASSGILKIISPGNLVIINDTNDIIWSTNTKSSVNAIAQLDDTGNLIVKERIEEKILWQSFDYPTDTLLPGMKLGRSFLTGKEWQLSSWKNSQDPAPGEFTWSTDTNGFPQDLLKQDTSIKFRIGPWNGIWFSGFSPVNRNIIKADMVINATEVSYSYSLINSSVISRLVVSSSGQIERWVWVVEAKKWQLFLQLQRDFCDEYNICYAHGTCSVLTPQRCGCLDEERFVPRNQKAWEVADWSGGCVRRTPLDCRTDGFIKYSYVKLPDTRTSWYNMSMNRKQCEEKCMKNCSCMAYSDTDIRGGGSGCLLWFNELKDVRVFPPGNDGQDIFIRMASSELAQSLHEKKKKKRLNLKIILLVVSVGFLLIVLSSTWFWYAWRKRQDPQPRKDSMELPLFSFSTLARATASFSPENKIGEGGFGSVYKGMLEEGLEIAVKRLSKTSTQGLDEFKNEVIFISKLQHRNLVKLLGCSIQGDEKLLIYEYMPNRSLDSCIFDKTRSTLLDWTTRFNIIKGIARGLLYLHQDSRLRIIHRDLKASNVLLDKDMNPKISDFGMARSFGGNETTPAKTERVVGTYGYMSPEYAINGVFSVKSDVFSFGVIVLEIVSGKRNRGFIHSEHGNNLIGHAWRLHHEGRSMELIDTTLGQSINSSEVIRSIIVGLLCVQQSPEDRPDMSSVVVMLGNEGALLKPKQPAFFTKEILHGADISSSSYPTISTNDLTVTEVVAR >cds-PLY74939.1 pep primary_assembly:Lsat_Salinas_v7:9:14608796:14613824:1 gene:gene-LSAT_9X12681 transcript:rna-gnl|WGS:NBSK|LSAT_9X12681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIMLYLYILFFSVDAAACCSTHLIDGDGSFNATGLDKFMKEVKLGECGLSYAVVSIMGPQSSGKSTLLNHLFHTNFREMDAFKGRQVSVLSQTTKGIWMAHCAGIEPCTIVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREHAANKPLLKTVFQVMMRLFSPRKTTLIFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPEAHKETPLSTFFNIQVVALSSFEEKEEQFKEQVANLRQRFYHSIAPGGLAGDRQGVVPASGFSFSAEQIWKVIKENRDLDLPAHKVMVATVRCEEIANEKYAAFVANKDWCELENTVKSELVPDLGKRLSAMLQTCFSGYDDETTFFEEGVRTCKRKQMEEKLLQLVQPSYHSTLGHIKSETLEKFNKAFESALSEGQGFAVAARDCKTSTMKLFDHQSEGAIIKQADWDTSKVREKCSNEIDAQIADVRNTKLTDLSTLYESKLKEALYGPVEALLQATNDDTWPAIRKLYKRETQTAVTECSAALSGYEMKEDSKKEFLSKLENHGRDIVEKKAKEEAGRVLSLMKERFVSIFNHDSDQMPRVWTGKEDIRAITKTARASSLRLLSVLAVIRLDGDADKIADILVLTLLDPTKVAVKSSTTNDPLASSTWEKIPASRTLITPVQCKSLWCQFQRETEYTEANKRSSNWLPPPWAIAALFVLGFNEFITLLRNPLYLVMIFISYLLLKALWVQLDIGAEFRHGFLTGILSISTKFVPTIFNLMRKLSEQGQRPTELQNRPHSS >cds-PLY98898.1 pep primary_assembly:Lsat_Salinas_v7:7:51854342:51855565:-1 gene:gene-LSAT_7X37701 transcript:rna-gnl|WGS:NBSK|LSAT_7X37701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNNKDSNEAEAYQVRKLEITDKSKGFMELLQQLTVCDPVSDEEFQNRFEELKSCGDDHVICVIEDATSSKIIATGSVFIEKKFIRGCGKVGHIEDIVVDSSARGLQLGKKVVCFLADHARSNGCYKVILDCSDDNKAFYEKCGFKEKEIQMVKYFI >cds-PLY75630.1 pep primary_assembly:Lsat_Salinas_v7:8:212838635:212840775:1 gene:gene-LSAT_8X132900 transcript:rna-gnl|WGS:NBSK|LSAT_8X132900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRSCVQSLLKLVNSFIGMLGIAMIIYGLWMIRAWQRQMDGSDYPTPWFIYATLGLGATLCVIACSGHIAAETANGCCLYCYLVFIFLLLMVEGAVTTDVFLNRNWEEDFPKDPSGNFHEFRDFIKENFDICKWVGLSILGAQGLSIFLALILKALGPWPYHEVNYDSDDYATIEDYPLLRNYPNQPRYVVGAPVYGTR >cds-PLY75754.1 pep primary_assembly:Lsat_Salinas_v7:3:68279661:68287163:1 gene:gene-LSAT_3X52181 transcript:rna-gnl|WGS:NBSK|LSAT_3X52181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERDCLCHYGIRFEGIGYIAITHRFLNPNPKEVQPPSSHPHPPRSPTSHLRLPSSSWVRPLPPDLSRPPLPRKPDYRSNLATLPSYFAITSSAAFGEIMNGRRSPHLERRRSCVGILSVAYALSKGGWLSLVLLLFIAHLSFYTALLLQRCMDSDPLIKTYPNIGGKAFGRIGSAIISAFMYLELYLVSVEFLILEGDNLHKLFPKKSFDIFGKKVGGKQGFILLTGLVVLPTTWLRNLGVLAYVSAGGVIATVILVVAVLWGGVFDGIGFHERGELWNWNGLPTAVSMFMFCYCGHAVFPTLCNSMRDKSQFPKVLLVSFSVSTISYGLMAILGYLMFGEHIASQVTLNLPTKNISSKIAIYTTLMIPIAKYALIIEPVATSIEETLPFRESKMMSCLIRTCLVVSTAFVALLVPFFGYVMAFIGAFLGISMSILFPCLCYWKIVIGFKRFEVEMMVILVILCVGMFFEVVGTYTAVTNIINEVQTK >cds-PLY67640.1 pep primary_assembly:Lsat_Salinas_v7:2:207463461:207463742:-1 gene:gene-LSAT_2X128241 transcript:rna-gnl|WGS:NBSK|LSAT_2X128241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGYVTQPNGMGNSEMTWLPILAGAAGAFGCFTLYHNGWCLSCYAIWPDLCIASYKVCYLLL >cds-PLY98027.1 pep primary_assembly:Lsat_Salinas_v7:8:42925125:42927732:1 gene:gene-LSAT_8X32981 transcript:rna-gnl|WGS:NBSK|LSAT_8X32981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLKILIVVAAMAALGAAYAQDESHCERSCGDVSIMFPFGSGEGCYYNSDFLVTCNQSSSGEQTLFLGLPKNDVVITNMSTDTSEMEIMMFVASDCYNISGPTYRRRASLKLAVTDRDFQISTKNKFIAIGCDTHAYFNGTRGNVSVGTGCISRCGSNKLVTNGSCSGVGCCEVAVPEGMNSFRMSLSSYNNHTNITDFNPCSYGFFVQEGKFSFSTTNLLNFQSRKVPMLLDWGIGNSTCDIAKKDVDKFLCRENSICDKTYKGRGYRCNCSEGYEGNPYVRCNMFDPNEMYSTDVDECQKEDHGCVHICNDEPGNYTCKCRKGYSGDGRKNGTGCTADQSMLIKISVGSSFAAIVLIVFVNWLYFGLKKRKLMILREKFFKQNGGILLQQRISGDGGSNDQAKVFTVEELKRATNNYHDSKIIGKGGYGTVYKGVLSDSRTVAIKKSKLADQTQTQIEQFINEVVILSQINHRNVVKLIGCCLETEVPLLVYEFIPNGTLSDHIHNKGKSSAITWDIRLRIATETAEALSYLHSAASVPVIHRDVKPTNILLDDSFVAKVADFGASRLVPMDQIELETMVQGTLGYLDPEYMQTNQLTDKSDVYSFGVVLVELMTGKKALSFDRPEEERNLAMHFLSSLKQGRLFQILDEQLQKNDDHNEIIKVSTLAARCLHVQGDERPTMKEVAMELEGILASLIQKHPWVQSTLNEDEAEYLLKGPTDDYECTEVATGSSSTFDSISKLTILPIASGRAPKGKLNYHMHEWIDCVFSISIPFINILT >cds-PLY74688.1 pep primary_assembly:Lsat_Salinas_v7:5:176765835:176770194:1 gene:gene-LSAT_5X78640 transcript:rna-gnl|WGS:NBSK|LSAT_5X78640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVKGGDDEILGSSLTMEKVAAAKQFIENHYKTQMKNIQERKERRWILERKLASSDVPKEEQINLIKDLERKETEFMRLKRNKICVDDFELLTIIGRGAFGEVRLCREKKSGNIYAMKKLKKSEMLVRGQVEHVRAERNLLAEVASHCIVKLYYSFQDTEYLYLIMEYLPGGDMMTLLMREDTLKENVAKFYIAQSVMAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCRTLSTLNENEAMSDENIREPMDVDGFPDAVNGHRWKSAHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHLRFPEDTMLSPEAKDLIYRLLCDVEHRLGTGGPDQIKSHPWFKDVVWDQLYEMEAAFKPEVNGELDTQNFMKFDELNPPTSGRTSSGPSRKQQLNPKDLNFVGYTYKNFDAVKALRDNPAGELKMDYTTKGTAEESDIQMITSAGDVMYP >cds-PLY92351.1 pep primary_assembly:Lsat_Salinas_v7:9:178005597:178006666:-1 gene:gene-LSAT_9X110401 transcript:rna-gnl|WGS:NBSK|LSAT_9X110401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPQLLIPSSAPIDFNFDSTSTSPYATAPSSPKILLSSFFYSAPTSPVGVSPMDPEAADDFQSTEPFESCDENHEQKNSDYYEEGYDTDFAFDFSGQLERPLISAADELFYGGKIKPLDPQPLLQSSKDLTLPRSPKLYPVNYKKKYSEPFSNALNQSPRGRERTITTTRNKTSQSLSPMRVSDILLDHQKVSSTNQSSPFGFMWYNKWNLKNLLLFRSTSEGSAATSDDPLKKYAMITKGEQDTRNSSFRSSDGGGSVGGSSRRRSMKRKVSAHEIHYTANRAMAEEMKNKTFLPYKSGLLGCLGFSHNLREVSRGR >cds-PLY95439.1 pep primary_assembly:Lsat_Salinas_v7:9:190145768:190148313:-1 gene:gene-LSAT_9X117321 transcript:rna-gnl|WGS:NBSK|LSAT_9X117321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRVFSKVRNLDAYPKVNEDFYSRTLSGGVITLASSFAMLFLIFSEFGLYLQTVTDTKLVVDTSRGEHLKINFDITFPAVSCTLLSLDAIDISGEQHLDIKHSVMKNRIDSDGHIIQVKFDKIGKPNIGKLKQKHGGDVQDNETYCGSCFGAEQSESECCNTCDEVREAYRRRGWGLMNPDLIDQCKREGFVQRIKAEQGEGCNVYGSIIINKVAGNFHFVKSFHLANMHLPHVHDAHSFEDDSYNISHRINKLAFGDYYPGKVNPLDGVRWYQGTPNGIYQYFLKVVPTVYTPLSGRVIKSNQFSVTEHYKAPQVKHRGLPGVFFFYDLSPIKVTFTERHASFLHFLTNLCAIVGGIFTVAGIVDSFIYHGHKAMKKKREIGKLG >cds-PLY87501.1 pep primary_assembly:Lsat_Salinas_v7:8:95547254:95548500:-1 gene:gene-LSAT_8X67360 transcript:rna-gnl|WGS:NBSK|LSAT_8X67360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSKLSTFLLLFIFLGSISIVSADFNNEFDITWGDGRGKILNGELLTLSLDKSSGSGFESRNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGSNWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIIFSVDGTPIREFKNSESIGVPFPKSQPMRIHSSLWNADDWATRGGLVKTDWSQAPFTASYRNFRADACVVSSGKSSCGGSASSGGNQAWLSEELDNTKQERLEWVQKNYMIYNYCSDSKRFPQGFPPECKMA >cds-PLY95551.1 pep primary_assembly:Lsat_Salinas_v7:6:174811449:174813647:1 gene:gene-LSAT_6X107301 transcript:rna-gnl|WGS:NBSK|LSAT_6X107301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTTLSTLPSPSSCLSSKSLQPIHLTCPKTTISLSKSNFTPFSIHRSNPINLASSRKPISFIYSSSSIVSTSTTNYKILWLNPMALMEFTKNNLSLKKHHVEFVQYRVSVVASELLSQLQDWNNRRQFAHPSYFHADGVNDHFISQALIENTRAYDILKQAPFLVPFTSRVKLVTSQLAAIKERPGSHSLLNRSTFKRHFLRFAISHDFLSEH >cds-PLY73400.1 pep primary_assembly:Lsat_Salinas_v7:8:305605338:305609627:1 gene:gene-LSAT_8X165821 transcript:rna-gnl|WGS:NBSK|LSAT_8X165821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAELKVNVEEELLYSVSEIIYCRICHEGEFESCKTLETPCSCSGTIKFAHRDCVQRWCDEKGNTNCELCLQKFEPGYSAPTPPPKKVDDTRVTIRGSLEIPTPSTEDGDDDEEERLIEREYAECSSAADTTASMCRTVAVIFTVLLLVRHFLAVLMGETGDYPFTILTLLVIKASGILLPMYIIMRLIDVVHNSIKRQNQNQAGSTSNNQTSSSSSQPQEEGQDNV >cds-PLY66337.1 pep primary_assembly:Lsat_Salinas_v7:5:289462218:289473652:1 gene:gene-LSAT_5X157681 transcript:rna-gnl|WGS:NBSK|LSAT_5X157681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPTKDNENGESNHEDTEKKKTLGGIKTMPFILANEVCDRFAGTGFHANLITYLTQQLNLPMVKASNILTNFGGTSSFMPLIGALIADSFAGRFYTIVIGLFVYLLGMVCITTSSILPQLRPPPCPTKENCIEASSSQLWILYLCLFLTSLGSGAIRPNVVTFAADQFDMSTGKSNPSSVGRNFFNWYYFCMGLATLTALTVVVYVQDRVGWGLGLGIPTIAMVLSFLAFVVAAHLYRRVKPEGSPLVRVAQVVVAAVKKRKLVVQENVTPLYENRKLDAGISADGRLLHTNTLKWFDRAAIVTQDDIKDPSCPNLWRLATVHRVEEIKSVIRMIPIWAAAILHVTSQSHQHSFIIIQAGTMDRHMSPSFEIPPASLSIFGVLTMLICLSTYKRFFVPFARRFTKNPVGITCLQRMGIGFAINILATLVSAMVEIKRKQVASDHNLLDKPNVVIPISVFWLVPQFCLHGVAEAFMSVGHLEFLYDQSPESMRSTCMALNWIAVAIGSYIGTLVVSLIHEYTGKEHNWLPDRNLNKGKLDYYYWLMSGIQVVNLMYYVTCAYYYTNKPLELIKDGQGEGDLELAEDKSVSSKSLLDGRNRDSEENGHQDYKEKRSL >cds-PLY68949.1 pep primary_assembly:Lsat_Salinas_v7:4:363439877:363441442:1 gene:gene-LSAT_4X179521 transcript:rna-gnl|WGS:NBSK|LSAT_4X179521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPSRNAFAIIAILFLLLSTGFSRELKKSTTIGDSFASPPSPVEESEGTTRVMIELDYEDAGPNTNKRSGFILPSPDSAAPAPQP >cds-PLY81854.1 pep primary_assembly:Lsat_Salinas_v7:9:181237082:181243591:-1 gene:gene-LSAT_9X111281 transcript:rna-gnl|WGS:NBSK|LSAT_9X111281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFRNPTDVKRFMESLDVWKLWFKWIEKGDRVEIKNDRIAWVKIVGLPLHLWDEENFRAIISKLGVLSSSIEIIMNTLDVSHMKLCILTESLSRINEEVTVVGDNRVFKVGIYEVDDDWKPFSNSKNSMAESEEEDEEDGVSDTIFDSDDGLEDGEIGPDVDSDMRPGAEIGRNFLVDVSDENPAGRATVAPSNSRNQHIESLNNDGIHQSEFNPIINESHRNLEDMMEVNNEEDVGESGSPQASFGCIRDLIPKGMFGPFPNNGPGVRIEPSNPVDLEMDLNGSFLRRRKIRQAPNKPHTNTDQSIPDPVRPSPSLPAHLDLNREPNGSLNTASNPPYEIAPSTIPRNSEMEKMAEVGRLLGFDIRDGCEILADVMGDLGDQIGAEDVLIKVICCGVCHTDVHQIKNDLGMSNYPMVPGHEVVGEVVEVGSAVSKFNVGDTVGVGLLVGCCNSCRPCEAEVEQYCNKKIWSYNDVYTDGKPTQGGFSTSMVVHQKFVVKIPEGLSPEQAAPLLCAGVTVYSPLSHFGLKKNGLKGAIVGLGGVGHMGVLIAKAMGHHVTIISSSDKKRDEAMNVLGANEYFVSSDTAKIQAAVDSFDYIIDTVPANHPLDEYLSLLKLDGKLILLGVINTPLQFISPLLMGGRKTITGTFIGSMKEIEELLEFCKEKDVKSTVEIVKMDYINTAMERVAKNDVRYRFVVDVAGSKLEDE >cds-PLY74617.1 pep primary_assembly:Lsat_Salinas_v7:7:35074800:35081267:1 gene:gene-LSAT_7X26820 transcript:rna-gnl|WGS:NBSK|LSAT_7X26820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAERILGFMLFLTLNFLISLRCSESTVPPQEVVTVSNILADMNATSWRFNGESCNLEMIFQVPRLSSEANASIGCDCNIGNDTDCHVVRITHKWYSLDGILSPEMAKLPYLRSFDVAYNYLQGTIPPEWGVTRLQDISILGNRISGAIPPELGNITTLTRLDFEANYLSGTIPPELGKLYNLKHLMLSSNRFTGRLPPALGQLGNLTNFRINECNFSGPIPDFIQNWKQLNRLEMVASGLTGPIPSNINLLESLTDLRLGDITGPSQQFPPLRNATALIRLILRNCNLSGELPDYIWQVRELELLDVSFNNLVGRISNRVLGRSLRYVFLTRNMLSGDIPDELLVNGAAIDLSYNNFTWQQPNQPSCEQNTNTYVNLFRSSSTGNPIQDVLPCKEDTTCRRYACSVHVNCGGNDVMVTESNGQSVLYKGDADVDGGAAKLYESDKNWGFSSTGDFMDDNIYQNTRYVESLQGNTSLPQLYTTARLSPITLTYFSYCLENGEYLVNLHFAEIVFINDTTFRSLGRRIFDIYIQGQLVKRNFNIQEAAGGFGRPVVVPFNASVTNNILEIRFYWAGKGTTRFPRRGVYGPLVSAIDVDPYFKTCSEGGKKKNKAAVFIGVGVAVPCLVLLLVLIILWRRKSFKGRNRANDKDFEGMSMKTISFSYKQLKSATNNFSPSNKIGEGGFGPVYKGTLGDGTVIAVKQLSAQSRQGNREFLNEIGVISCLQHPNLVKLHGCCIEEDQLLLVYEYMENNSLANALFESKKSLLMLDWATRFKICIGIAKGLAFLHEESRIKIVHRDIKATNVLLDKDLNPKISDFGLARLNEEEKTHVSTRVAGTIGYMAPEYALWGYLSDKADVYSFGVLALEIVSGKKNNSYVPINDCICLLDWACRLETSKQYEELFDERLESRINKEEAETVVKVALLCTNGSASVRPTMTEVVSMLDGKTCVPEIIPESNEYSEDLRFKAMRDFRREMKGQNSYSGGQTQTTIPTGTNYSLTSSDDQFEIQSVDTRSY >cds-PLY90227.1 pep primary_assembly:Lsat_Salinas_v7:4:240673818:240678057:1 gene:gene-LSAT_4X128621 transcript:rna-gnl|WGS:NBSK|LSAT_4X128621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLFVYAYLSISSAITLVNADAGKAIFLLAGQSNMAGRGGVVNDKWDGYVPPQSSPNPAILRLSADLNWQPATEPLHRDIDYFRACGVGPGMAFANSLLRQDSSIGTVGLVPCAVGGTNITEWARGGQLYNQLIRRAEAAVAGGGAIKGLLWYQGESDTVNREDAELYQRRLERFFDHVRHDLVLPALPIIQVALASGAGPYIERVREAQLGTWLVNLRTVDAMGLRLEPDRLHLTTTSQVTLGEMLAQAFPRDHPSPIISQASRTPPNFIYIFFCFLFFIYSNLF >cds-PLY71042.1 pep primary_assembly:Lsat_Salinas_v7:3:162989340:162989531:1 gene:gene-LSAT_3X100620 transcript:rna-gnl|WGS:NBSK|LSAT_3X100620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWLLGGIGGILDGQFYVPKQKEVVVVPSSTKASPLPSIDSPLVNPGSGSMSGGASSSPGGSL >cds-PLY82401.1 pep primary_assembly:Lsat_Salinas_v7:2:187310720:187311108:1 gene:gene-LSAT_2X109180 transcript:rna-gnl|WGS:NBSK|LSAT_2X109180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGDKHEKHLVKLKCSVQNYDWGRIGYVSRVVRPFERNCGGQIKENKHYVEFWIGIYLRSFKNLGRENEWKHKSKAPTVKLSNRKEGWGDPSSATL >cds-PLY73984.1 pep primary_assembly:Lsat_Salinas_v7:6:111605517:111611807:-1 gene:gene-LSAT_6X69380 transcript:rna-gnl|WGS:NBSK|LSAT_6X69380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELTSQVAQLLNNTLSPDGEVRRSASDALDCLSPNPDFSFALISIAVGGGENQGQSIAAATYLKNFTRRNTIQGETASRVNKEFRDRLVLALLQAEPAILKVLIEAFRPIVDAEFVKQNLWDELVPQLRSVIQDSDLVNRSGNSRWKTINSLTVLQSVIRPFQYFLNPKIAKEPVPPQLELIAQEILIHLISLFHLYVEDLCVHNKVNMDAEKSLLIMSKCIYYAVRSHMPSALVPLLPSLCHDLIRILHSLKFEDCDSSEDGYMLRLKTGKRSLLIFCALITRHRKFSDKLMPDIINSAVKLVKFKTDFSKLDNLGERVMSLAFDVISRLLETGPGWRLVSPHFSSLLESAIFPAIVMNEKDITEWEEDSDEYIRKNLPSELEEISGLREDLFTPRKSALNLLGVISISKGPPVAASLTSKRKKGEKNKQKGRSSMGELLVLPFLSKFPIPSHTNAPVRKITNDYYGVLMAYGNLVDFLKEQKPAYTTTLIRSRVLPLYNASFCDPYLVASANWILGELVSCIPEEMSSDVYTSLLKALTMEDTEDISCYPVRVSAAGAIAQLVENEFLPPEWLPILQVVVGRIRESNDEETESAIMFEVLKTLVEAGGDAVVPHIPHIISLLAQHILNHIPLSPEPWPQVVARGFAALSVMGQCWEESFPGGVADDAQSEVVVSGRATIDKAFTDLLQEAWLRPMEDEITESPSPSSCCMDDSSTLLVFIMSNLNEHDEIQIKKVTNLLHAWAHQISNWSSWEEEEDLSIFNCIKEAAHLHRKISVTNFIRRSNSGQPSIIENIGSFVSSAFSQYPSVIYRAASSVHILLHLSTYFPDEEIVMRDLTMAFCQSAFDCFREQQSKPSLWKPLLLAICTCYSCYPDVVENTLEKDQVEGLKTWARALCSISSTEFEHGLTTENEIKLTVLTLAKMITRMITGGNDGGGLLSECLAAMMEATVRLKEAQEEDEDNDENEDENNTDDDTEDDEDDDDDEDSEDDGVHEETEEQFLERCAQAAIEMENGTNLEEIDEEDEEQEIEMGELDEVDALSIVKSLIESNCEIVLQRADLPQQVVSSFVDAFPGLV >cds-PLY95757.1 pep primary_assembly:Lsat_Salinas_v7:3:27273339:27274212:-1 gene:gene-LSAT_3X21081 transcript:rna-gnl|WGS:NBSK|LSAT_3X21081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPALINANPVVYEKKERPVRSRPGDGNFDEYATELIDQLEIFDHIRDIKDPEHPYSLEELKVITEDAIEVDDKRSYVRVTFTPTVEHCSMATVIGLCLRVKLMRSLPSRFKVDIKVAPGTHATEDAVNKQLNDKERVAAALENPNLVDMVDECLTPSYE >cds-PLY99923.1 pep primary_assembly:Lsat_Salinas_v7:7:15917572:15918405:1 gene:gene-LSAT_7X13080 transcript:rna-gnl|WGS:NBSK|LSAT_7X13080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGPRNPLVDLSTPDELLSLAGYGEEKLSTVIVGGTLHCDTYFNRNSISHPISGALVAVSCDNNKKRSKSNWVRGKTDEYGDFLIDLPSHLHAISNMEKRCVVRIVHLPKISPCHRRFTSKHIRIKLTSSGNGIRTYSTDEIHLGHKQQHSHPSKNIRSKGIRKTAQHML >cds-PLY94962.1 pep primary_assembly:Lsat_Salinas_v7:4:107977331:107977984:1 gene:gene-LSAT_4X68580 transcript:rna-gnl|WGS:NBSK|LSAT_4X68580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYLNRVWMTAGVAVVNGHTDQGHKLKSGMKSFQQGKKAFGSSIGADHTELRPFSSVLVSDVGGIFAGDETRKQADDSLRQVMYMSCWGPS >cds-PLY81451.1 pep primary_assembly:Lsat_Salinas_v7:5:335313263:335322595:1 gene:gene-LSAT_5X188520 transcript:rna-gnl|WGS:NBSK|LSAT_5X188520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANKKDIERRDRGQDGFRAPLVSQDERKENNFMVYLSTFVAVCGSYAFGTGVGYSSPTEAGIRRDLNLTMAQYSLFGSILTFGAMIGAIASGPMADLFGRKGALRISSAFCIGGWLAIYFAQGPMPLDIGRLANGFGMGVFSYVVPVFIAEIAPKQLRGLLTAANQLLIVTGVSVSFVVGTILHWRTMALAGLIPCGVLLLGLFIIPESPRWLAKIGKQREFDAALRKLRGEEIDVSEEAAEIREYIETLERLPKARLIDLFQSRYLSSVIIGVGLMISQQFGGINGICFYASSIFASSGFPADTGTIIYAVLQVSLVGMTLGCISTAASFYFKTYQIALGVAPALAVTGILLYIAGFSIGMGAVPWVIMSEIFSIDIKGAAGGLVTLVNWAGAWAVSYTFNFLSKWSSYGTFLLYAAVNVCSILFVMKTVPETKGKTLEQIQAAINGY >cds-PLY88362.1 pep primary_assembly:Lsat_Salinas_v7:9:18058189:18058590:-1 gene:gene-LSAT_9X15621 transcript:rna-gnl|WGS:NBSK|LSAT_9X15621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTTIEGQDRVLATAQQIVKSLNINTKATEDMILILSSFDNRLSNITDLMEGGAGDRFVSAEKIILRHDSGLGEPSSRSSSPWEESSTEAAEYLEVVDTIIQLTEDLNIQSDADSEIMDRAENALQLAKNPSS >cds-PLY74011.1 pep primary_assembly:Lsat_Salinas_v7:1:33265347:33267254:1 gene:gene-LSAT_1X28501 transcript:rna-gnl|WGS:NBSK|LSAT_1X28501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLFIIDQLSSSLILLPLFILVVSILSKWYFFPSKPLKNIPPSPSKLPIIGNLHQLGSSPHRSLQALAKKHGPLMLLHFGRVPVVVASSADASREIMKTHDLIFANRAKSSVPSILSYDARDIAFADYGEYWRQIKSIAVLQLLSQKRVQSFRRMREEETDLLVEKIREISCSSSPVVDMSKLLISLTNGVICRSALGRRHGGEKFKELFAQFVELLGVFSVGDYIPWLSWVDRLNGYAAKAVKIAAEFDEFLESVIEEHVDRKRQTYDVGGDDENKDFVDILLEIQNEKDASFVLDRDTVKALILDVFTGGTDSTYSTLEWELSELLRNPHVMKKLQEEVREVAKGKPKITEDDLDQMQYLKAVVKENFRMHAPLPLLVPRESTQDVKLMGYDIAAGTQVLINAWAVGRDPSLWDDPEEFRPERFLDSPVDYKGLHFELTPFGAGRRKCPGIQFAMSVNELVLASLVYSFDFQLPGGQKGEDLDMSETVGITIHKKLPLLVIATPYVTVL >cds-PLY97315.1 pep primary_assembly:Lsat_Salinas_v7:7:106591242:106593252:1 gene:gene-LSAT_7X69101 transcript:rna-gnl|WGS:NBSK|LSAT_7X69101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFHSKKAAAASTTAMNSLHHNHHQHHERSNMCGVGANNHNTAGAGTIQGDSGLVLTTDPKPRLRWTVELHERFVDAVAQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSIKDSERASALELQRNSTASSSGMMGRSMNEMQIEVQRRLHEQLEVQRHLQLRIEAQGKYMQTILEKACQTLAGDQSMATAAGYNNGNKVVGGMGMNMNMSMSMNISASSSSTSHLDQAAAGHRGGVMLLPGDHVPSAIKDFGSLNFPSFQDLNLYGTSQSPSPPAVAAAGGAPSC >cds-PLY90553.1 pep primary_assembly:Lsat_Salinas_v7:6:54557431:54559707:-1 gene:gene-LSAT_6X40780 transcript:rna-gnl|WGS:NBSK|LSAT_6X40780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPDLIEYLRLLQQLKSYNNVPTELIYSLQSYTSIVYRSDVQNRFSEPMPWIGIYIALASLFCIIAIVADLLHGLRNRKLWFPCKYFTLNAASLSVIAIAMKLPVDLNNSMPGDVDQAAKLGSMGFMCTMMSNLLPALAILDSKELVTNIIALGVLVVTIVVNVCIQIKTGVVSSIEVWYLFDDDYYSNSVSYYTMPIAIIYVAMLLMLLIMHACSALAILKSKQILEMKYQAAHETAMKDKELQQPGRLSVDKIKQLVSNYWIMASTGNPQFFTACSATSCASGVISAISTVLHVIVILFIVGSLGDFRSDYKWSALVILITQFIGSIVGTMAPLSRCFAALSFKLSIKWIWNNMNVFKVESYWTQTLYDLKESTMPFLSCSRKFKIFVENTKVIVLSFCIGFQKTVVVACKMIGLIPIFIVICIFICSCCWKWLKSMFNAFGIVLIKEPEQHETNKILSHYVLQLQEDAELAERTLKRISYSFERIIKKAEKQQPYNLMKLVEGYRGFRRAEKFDSHHVLSLLLEESPSCWSLPLVTLTTMAISLPNIKKDRVDCLLSGVSEGMVYVTIVEDSLNATDDHVIIQKAAQTLWLEVEIYQKWLGNKLRKPVPLANTAGQILLWLRDTAKNMVTRVESRDTKGQNDSSKFWSISASSMYRITEMILHSNHAHVDKVSPEELFEQLSSMIADIFVACLTNLPQVIAMKCHSSEIEKREASVYAAAQILGETMQILNTIQDSELPSLNPDDLVFIVDKLGA >cds-PLY74405.1 pep primary_assembly:Lsat_Salinas_v7:2:14238810:14239235:1 gene:gene-LSAT_2X6821 transcript:rna-gnl|WGS:NBSK|LSAT_2X6821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQKGLPNLLFLTYEDDVLYRVIIARFVFPLVGEFVVEDVETESYKILRRRLRFERMPNFIQSHVPLIPILDDGQSNTKLGLESLRKMKNEKFEFDTKVLVHPYLTPMVPSFFLIASHLNEQIQQGFAPTYWHLKEPLHFP >cds-PLY96941.1 pep primary_assembly:Lsat_Salinas_v7:1:142731462:142737205:1 gene:gene-LSAT_1X105061 transcript:rna-gnl|WGS:NBSK|LSAT_1X105061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPKRKSKVSLFAVVDEATFTTKLNIDDGGTTAHGVYISNMSVVNRWNGRPYSQRYYDMLEKRTSLPVWRQKREFLKAFEDNQVLIIAGEPGSGKTTQISQFVLETIDVESAYKHKRFMVGCTQPHRLAAMSVSRRVAEEMDVTIGEEVGYSVRLEDSISDKTVLKYLTDGILLREAINDPLLWRYKVIILDEANERSLATDVLLGVLKGVLKKRPDLKLVVISETHKAKKFQSYFFGAPLIKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPPGGILVFLAGEQEIEDACHKITELVSEMGDQLGPVKVVPLFSTLTPLMLNKIYEPPPSPLTKDGLAGRKIVVSTNIAETSFSIDDIVYVIDPGFAKQKVYNPRVHVDFSFVSPISKASAHLRSGCVGRTQRGKCFRLYTEKSFKNDLQPKTYPEILRSNIAHTVLTLKKLGIDDLIHFDFMDPPAPEALMRALEVLNYLGALDDNGNLTKLGEILSEFPLDPHIGKMLVASSKFNCSNEILSISAMLSVPNCFVQPRKAQKASVEAKARFRHIDGDHLTLLNVYHAYKRNNEDESWCSENFVNYRALKFADNVRHQLACIMIRLNLKLCSTDFNNRDYYTNIRKALLAGYFMQVAHLESKGHYLTMKDNLVVHLHPSNCLDHKPEWVIYNECVLTSKLFIQTVTDVRGQWLVEIAPHYYDLDNFPQCEAKQLLKKIYKKKEETRNKTR >cds-PLY63451.1 pep primary_assembly:Lsat_Salinas_v7:7:147343242:147344373:-1 gene:gene-LSAT_7X87241 transcript:rna-gnl|WGS:NBSK|LSAT_7X87241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPNQIVKEIPRVIQVYKDGRFKKLSGTDTVPAGVDPSSGVQSKDVIISPETNLSARLYLPKTPTKKLPLLIYFHGGGFITQTAASPFYHNFLNLIAAESNVVIVSVDYRTAPEHPVPTCFEDSWESIKWVAGNCPDTWLNDFADLENVFFAGDSAGANIAHHMAIRVGSENPRLSMNLRGTVLLHPYFWGEDRIGFEEEHPWKALIEDMWIFAYPGASGLDDPLINPDKDPKVSDLGCSKVLVCVAEKDVLKDRGWYYKDILGKSGWNGSIEVIEEKGEDHVFFLFSHSADSSCTLRMRICTFINQIDK >cds-PLY80200.1 pep primary_assembly:Lsat_Salinas_v7:8:189972236:189973692:-1 gene:gene-LSAT_8X123241 transcript:rna-gnl|WGS:NBSK|LSAT_8X123241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKMIVLKSSDGETFEVEEAVALESQTIKHMIEDDCADTSIPLPNVTSKILSKVIEYCKKHVETPKTDDKTAEEDLKSFDSEFVKVDQGTLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENAWAFE >cds-PLY99201.1 pep primary_assembly:Lsat_Salinas_v7:4:367469613:367471393:-1 gene:gene-LSAT_4X180521 transcript:rna-gnl|WGS:NBSK|LSAT_4X180521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADNQKNSVYTHEFPAISKCETFGRETQTVVSDLDGTLLRGRSSFPYFALVAFEVGGVLRLLFLLLASPIAGILYYFISESAGIRVLIFATFAGMKVSDIESVARAVLPKFYSSDLHPEAWRVFSACGKRCVLTANPTVMVEPFLKEFLGADLVMGTEIDSWNGRATGLVKTPGVLVGVNKADVLLKAFEDTPLPELALGDRKTDYPYMKLCKEGYIVPQATEEVKAVTVDKLPKPVVFHDGRLVQKPTPFKALIIILWIPVGFFLACLRMAAGALLPMPLVYYAFWALGVRVTIKGTPPPAAKKSTGQTGVLFICSHRTLLDPIFLSTALGRPIPAVTYSLSRLSEIISPIKTVRLTRDRTSDANMIKKLLEEGDLVICPEGTTCREPFLLRFSALFAELTDELVPVAMSNRMSMFHGTTARGWKAMDPFYFFMNPSPAYEVTFLNKLPYDLTCGNGKISHDVANYIQRMIASTLSYECTNFTRKDKYKILAGNDGTVATRKT >cds-PLY95938.1 pep primary_assembly:Lsat_Salinas_v7:9:40000069:40003556:-1 gene:gene-LSAT_9X37601 transcript:rna-gnl|WGS:NBSK|LSAT_9X37601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSKYLYEDLTHRSLVQKKIGAQEMERGEKRENSNFFWGRSCAHLLLKWLRLRQFECMLGKLCDSNRNLNGEANLKKIFRIYCECI >cds-PLY82087.1 pep primary_assembly:Lsat_Salinas_v7:1:128196626:128197961:1 gene:gene-LSAT_1X96360 transcript:rna-gnl|WGS:NBSK|LSAT_1X96360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKTILRVYPKDAVTLRVYPKEGKEKDQTKRWNQGEQLVEGGVEASGLPHVLRICMVGLGASEWGSDVANVSHRLDEMSKGDRAWPMCPNGFGCGASGLANVSQGLDVLSKGGRA >cds-PLY63653.1 pep primary_assembly:Lsat_Salinas_v7:4:130945316:130945637:1 gene:gene-LSAT_4X82341 transcript:rna-gnl|WGS:NBSK|LSAT_4X82341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIRPKPLHFAIVDELDYVLIDEGRNPLLIRGEASKDAARNTVAAKVAELLMRGLVFYFFALLFPF >cds-PLY85675.1 pep primary_assembly:Lsat_Salinas_v7:7:157824180:157829111:1 gene:gene-LSAT_7X93561 transcript:rna-gnl|WGS:NBSK|LSAT_7X93561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMAINTQNSTFLPLQEKIVHKNTTARVIELVILSLLVSLLIYRVVYFKDQGHYFPWVLALLCESMFTFTWILVMSTKWNQCSTKTYPERLLNSVNESEFPAVDVFVTTADAILEPSIITMNTVLSLLAVDFPSNKLALYLSDDGCSPLTYYSLIETIKFAKVWVPFCKKYNVQVRAPFRYFTPESTPLKDDSLEFQQEWKKMKNEYGDLYKKIEFASQRPIPCDRNSDFADFYNVHRSDHPTIIKIISENKEGNPNDLPHVIYISREKSSKHQHHYKAGAMNVLTRVSGVMTNSPLILNLDCDMYANNPQVFIHAMCMLFSFKNEEDAGFIQFPQAFYNGLKDDPFGNQLANFYYLANGISGIQGTFYAGSNCFHRRKVIYGSYPNDKIKTNKKDLHKIFGKSIELQESAAQILSSSNSKIESQRRPSSFIEAAIRVAGCSYEYGTMWGTQVGWMYGSTTEDVLTGLTIHGRGYRTVICTPDPPAFLGCAPTTYTSANTQQKRWAMGLLEILFTDKSPILLTIKGNLWFRQALAYLWLSSWAIRSFFELIYAALLSYCIITGSHFLPKVNEPAFLIPGGIFVIYNLYVLWEFRRINLSFRMWWNLQRMGRVNAMTAYTFAFVTVVLKLFGLSNIVFEVTQKEESNDDEDTSGRFVYDTSPVIVPGVAIMLVSLTALVSGMSRLGEVGFGEMVCNVWMILCFWEYFKGIFGNGKYRIPTSTIWKAGALALLFVQLCRRSS >cds-PLY89280.1 pep primary_assembly:Lsat_Salinas_v7:2:48266509:48267735:1 gene:gene-LSAT_2X21761 transcript:rna-gnl|WGS:NBSK|LSAT_2X21761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVFVFESMDDPFSISLEPPGLGILFKADSGDLYANLVQFTKVAHIIIKGVDEAIFWYPIAPPSYHSMGCVVIRVDKMPKLNILCCPRNDLVTEANTHENPICKYQSSKSDMKQPSSRLAFTIGDLVKPKTRDNIMITTCFDVTVTNIKLATHGRMDSMNNVLISLIVASTFNAHLDSWEPIVEPFDGIFKNYPAMLSISFRL >cds-PLY73166.1 pep primary_assembly:Lsat_Salinas_v7:2:190736949:190743068:1 gene:gene-LSAT_2X113061 transcript:rna-gnl|WGS:NBSK|LSAT_2X113061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC8 [Source:Projected from Arabidopsis thaliana (AT3G10380) UniProtKB/TrEMBL;Acc:A0A178VA38] MGLFDNLPVPQDKAYLRDDLENVDESWTVARFDSLPHVVHILTSKDRDAEVQTLKDQSDIVEEVVDEVVQTYHGGFNRAIQNYSQILRLFSESTQSIRTLKVDLGNAKKVISARNKQLHQLWYRSMTLRHIISLLDQIENIAQVPSRINKLIDDNQYYAAVQVHVQSSRMLEREGLQTVGALQDVRSELTKLRGVLFYKVLESLHAHLYNTGEYSSATPSMNEKDDAIPTTTVAAFSMNHSQSLSRRTRLQKGDSHVGIASSVDGGSSYDGHDDDDNQDSADMKNTARLPTWLTESTPDEFVEIMRKSESPIHVKYLQTMVECLCMLGKVAAAGAIMCQRLRPTIHEIITSKIKAQAEYVNSCRAGVGQAARTATTGLHYVKGQLQSYQLPKHKHKNGSLLAGTLLAVSPVSPVMAPMGAAQNAAKELLDSILDAIVRIFDNHVVVGELLESKSSQKAPMNTPKSMVTEISGNPDSESSKDTGGYTIGFSMTSECQQLICEILRATPEAASADAAVQTARLANKAPSKEKGDKTEDGLTFAFRFTDASVSSQGADLIRQGRNRKGQNVQEGYGSSSLLPEQGIYLAASVYRPVLQFTDKIAAMLPQKYSQLGNDGLLAFVENFPAAFRPRANPATAYTPSVSKGRPVLQGLLTIDFLAKEVLGWAQAMPKFSGDLVKYVQTFLERTYERCRASYTEAAVLEKQSYMLIGRHDIENLMRRDPASAFLPTSLAHAHANGDNHIADAESDGVELEISALLMKLRPIKQESLIRDNNKLILLASLSDSLEFGNASAKASDEEVEQEMNDVKPTPGHGHHKRNASVAHKDLASFADDYRKLAIDCLKVMATREYLEDQDAEEPDDYVISLITQITRRDEVIGPFIAPTKRNYVFGGICAVASHASIKALAEMKRINLFGVQQICRNTIALEQALSAIPSIDNESVQMRLDHVRTYYELLNMPVEALLAFVTEHDTLFTAIEYYNLLKVQVPGREVPDDAKGRMAEILPI >cds-PLY94943.1 pep primary_assembly:Lsat_Salinas_v7:4:108380027:108381093:-1 gene:gene-LSAT_4X68821 transcript:rna-gnl|WGS:NBSK|LSAT_4X68821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWARFSDIPNEALLQMFSRFGEGYLHGLVNKFGEDPSNRKDDVDVWEESQLRRKGKKKGAIYGIGASDIHFLVLGTPSSQSTQSNSTQQEVDRLRAQVSVMEQQQQMKEQMEMVMRMINMSGNQPRGPPDNPPEDN >cds-PLY79988.1 pep primary_assembly:Lsat_Salinas_v7:9:46569124:46570185:-1 gene:gene-LSAT_9X43161 transcript:rna-gnl|WGS:NBSK|LSAT_9X43161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDYLVNSLGFSTDQAVSASTKVSHLKSTQNPESVIDFFKQCGLDKTQIKNIVCFTPKILTTSVDKILQPKFRVFQELGLSGSVLEKLVKQSPTYSSNRLGSNVDYLKKLLNSDEKVSKVIYRSWWMLSTNFAQKLSANMLVLEKYGLPNDKIETILLRNPGCLLQSPEWLESTIKRVEPVLGIPRNSPRFVDGIEIIISLSKLTLETKFGVFRSFGWTDSEIFTMVKALPFCVRRSEAKIQVSLNFFMNELGYTAQYLATHPKLLVYSLEKRVMPRNKVLEILKEKKLLKNNFSLCSVVAFSEVNFVKDFVAPYHEFVPHLLEAYTNATGQSSH >cds-PLY77333.1 pep primary_assembly:Lsat_Salinas_v7:5:143230661:143232551:-1 gene:gene-LSAT_5X63620 transcript:rna-gnl|WGS:NBSK|LSAT_5X63620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGFISDSSYFAKKTTMVLFINERLVECTALKRKIEIIYAATLPKASKPFIYMSLILPPEHVDVNMHPTKREEVIIEKIQSVIEMKLRNLNESSTYQEQQAVDCSPVSSISANKASCVNTSTSGPYTTTGNLFFEPLSDLLAYAQRKQPQLLILLGPFIDSEPPEIKKGALNRTYDDLFRLEILRRLQDYVEYIGSAARVILVPSIRDAHHDYVFP >cds-PLY85875.1 pep primary_assembly:Lsat_Salinas_v7:8:179162028:179165100:-1 gene:gene-LSAT_8X117040 transcript:rna-gnl|WGS:NBSK|LSAT_8X117040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAIEIAPLRTVLVSTVIPGAGSGGGRNIKSIVKSHNRNFAQGLVTSGRIPSYNCCRKSKQRHSRVNAANASSGSGSDDPSNSTRQLAVLLEVEGVLMDVYRMGNREAFNLAFKKLGLDCANWTEPIYLDLVRKSFGGEEEMLTLYFNKIGWPTSLPTNEKGSFMKSVLREKQKALEDLVMSKSLPLRPGAEDFIDDAHKEGVPVVVLAAYSKSGENIAKPIIERLGKDRMSKIKIVGKMEVEESFYGQLVLGKGVSSSLDEKLISEARKAAAIEKQKIAKEVASALKLSVDIDTTSSESVKKIVAALRAGAEYAEVGVPDCVLVAGSLPGVTAAEQIGMPCVVLRSRLTSRAEFPSADAILDSFGAPDLTISRLRQLRSSS >cds-PLY82774.1 pep primary_assembly:Lsat_Salinas_v7:2:145535857:145540804:1 gene:gene-LSAT_2X71780 transcript:rna-gnl|WGS:NBSK|LSAT_2X71780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLYTVVLLSTLGGLLLLGGRGVAEGATVKVANISKVENATYFRIYYGNTFKVIKNGLDGESYLLIQNNSKMATKTKYCTSRIKSFVIPLSNYSVDANYFPVSFFELLGLLSNMKGITTERIASACVLKLYNGGQIQMLNKSEPQQFSQYTAHFIADNNVNQQTQSCNYVTFLPMGEQAPLQRAEWIKYLGVFVNMEVRANQIYDAVRNNYMCLVQSAANKTKKFKPIVAWMEFFDGVWSFTVEEYKLKYIEDAGGENIDESINKVTYNISIGDDLEQLQAILCTIDVVIDGTLTADPLSYNASTFLQNLNVEDQSCFSFLSSQSLWRYDKRVSTDMALDWFDGAVSQPQLVLADLVEVFFPSGNYTTTYFRNLVKEEPAISIGPENCDRDSSIAMEPTIVICP >cds-PLY96809.1 pep primary_assembly:Lsat_Salinas_v7:2:171588120:171588329:1 gene:gene-LSAT_2X93220 transcript:rna-gnl|WGS:NBSK|LSAT_2X93220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPILVGVVLFILLQPGLLFQLPGNAKQLEFGSMRTNGKAIAVHTLIFFAIYTILVLVLHIHIYTG >cds-PLY74618.1 pep primary_assembly:Lsat_Salinas_v7:7:35333612:35338571:1 gene:gene-LSAT_7X27060 transcript:rna-gnl|WGS:NBSK|LSAT_7X27060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFQNESGDISPQRKLGKGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVRGTIDRYKKACLDPPTSGSVAEANAQFYQQEAAKLRQQIANLQNQNRQFYRNIMGESLADMPVKDLKNLETKLEKAISRIRSKKNELLFAEIEYMQKRELELHNSNQFLRAKIAENERAQQHHMSLMPGSSDYELVTPHQPFDSRSYVHTNELQPDNDYSCQDQTPLRLV >cds-PLY92127.1 pep primary_assembly:Lsat_Salinas_v7:9:192456216:192457936:-1 gene:gene-LSAT_9X116381 transcript:rna-gnl|WGS:NBSK|LSAT_9X116381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANEIGGGGEDEAKEIAAQLSKTLKEGERILAPTRRPDGTLRKPIRIRAGYVPQDEVAIYKSKGALLKKEMESLETVPPGYDPELDAISKPKSKSAKRNERKKEKRLQASVEKGKSEASSTDGVDQALEPLDSIVSQINDITISVATPPLNSTDHVPDIDKKIRALKKKIRLTEGQQQKTGNDLKPEQQEKVAKLEDWRKELKLLEDKKASLEAL >cds-PLY74647.1 pep primary_assembly:Lsat_Salinas_v7:7:36854282:36854611:-1 gene:gene-LSAT_7X27261 transcript:rna-gnl|WGS:NBSK|LSAT_7X27261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAAPPPPPPTREFKNKKTSSIFLTYNKHHKRRQVLFANKNKKRSETMKNNRNKDEVDRDDDRVFSVMFPKGIVPPSGSSSCHNDYPNSVASLCALSSRKPQNMENP >cds-PLY83589.1 pep primary_assembly:Lsat_Salinas_v7:5:18149110:18152120:1 gene:gene-LSAT_5X9040 transcript:rna-gnl|WGS:NBSK|LSAT_5X9040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPASNSSKILKPLPPSPSLESMSLLLLFFLFSFILHAPPTTYATSVVHGYGDKQVFDLKKFRWTQQQTDTLNCFHQRSRTEKSATILELHHRDFCSEPISDWNQILQKHILSDQIRVNSLQSRIKTRFSNGFNQQLSQAEIPLTSGAKLDTLNYIVTVGLGGRNLTVIVDTGSDLTWVQCQPCSSCYNQQEPLFNPSESLSYKSVLCKSTTCENLEDATGSSGVCGINSSSCNYYLSYGDGSYTRGDLATDNLVLGATPVKGFVFGCGRVNDGLFGGVSGLMGLGRSALSVISQSYNVFGGIFSYCLPSVTDSGPGSLILGGETSVYKNSTPISYTNLISNPMMSTFYFLNLTGVSIGGVSLQDPSFGKRDILIDSGTVITRLFPSVYNVVKSEFLKQFSGFPKAPAFSILDTCFDLSGYEEVDIPTIKLHFGKEAELEVDVTGVLYFVKADATQVCLALAGLSDEDEIGIIGNYQQKNTRVVYNTKDSTLGFAKEKCSSD >cds-PLY86166.1 pep primary_assembly:Lsat_Salinas_v7:6:156569858:156570513:-1 gene:gene-LSAT_6X94501 transcript:rna-gnl|WGS:NBSK|LSAT_6X94501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRAAPSAAQCKKEKRLAINACISVLYGRPPSSSCCKRARVSHVKCICPVITPKLAALINVNRFVKLIEGCGRRVPRHFKCGSLTIP >cds-PLY62784.1 pep primary_assembly:Lsat_Salinas_v7:4:31362708:31363595:1 gene:gene-LSAT_4X20940 transcript:rna-gnl|WGS:NBSK|LSAT_4X20940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNILAPDAKGRNKCAPSACMGYEDDDTDEYYLNAGEDEDEEEDEAQREYYRKKIMELYEEKMKKFAREDALADQIKCEERKMLCKRPTKKIKMQQPKPTTQIVSNQVTQQLKQFITNNEMNGAERKKWKSTPTVEKNKKVEIVKNQITQELEEFITNKLKGKEAKVVIQKTLFSSDLRKNQNRLSMPMKQLKPDEFLRKNEKEDLENGMELKVGLLGPRLEMHKKPMMLKMWRMKSTKNYVLKTNWNEFVMVNEKDFKNKENTEIQVWSFRIDEDLCFAITFLEKDVEGQNDAT >cds-PLY62861.1 pep primary_assembly:Lsat_Salinas_v7:9:130742433:130743005:1 gene:gene-LSAT_9X85140 transcript:rna-gnl|WGS:NBSK|LSAT_9X85140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIVGDVKGEDSKLYWLGRVEETKLLWAQGQHEMVINLAKYVSGNRKMNEAAADVYLIVGKWMAETRSSNSTTILEKYLKNVVNLADKHLSTDKKSIARQGKPHFQLAHYAMLFSVAMRKDSPIMSGKQQCA >cds-PLY93090.1 pep primary_assembly:Lsat_Salinas_v7:4:106986607:106987805:-1 gene:gene-LSAT_4X68980 transcript:rna-gnl|WGS:NBSK|LSAT_4X68980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPDSLLWPVTEPRLQLYFLLGLVYSTINPILLPFIIFFFEFAYMFIHHMSTRDIPATTEEDVNVVVDAAHKALKRNGGKEWASTSGDHHAKYFHVIDSKIVEKKSELAKLEVVDCGKPLVEAAWDMVDVAGCFDYNADLAEELDRKQNAFVSLPMDTFKCHLIRVKMENSTCLVALGKDDHDHKFSDY >cds-PLY86497.1 pep primary_assembly:Lsat_Salinas_v7:8:134666659:134666991:-1 gene:gene-LSAT_8X91381 transcript:rna-gnl|WGS:NBSK|LSAT_8X91381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTDLEEAKGHEILKLQNSLQSIHAIVHETYALLTKDKERESAQKAVEEASAIVQETTPIHVEDTEKIDKLSAEVEKLKVMLVTKESESAQKKIYNLSAEVEELKVMFTV >cds-PLY92885.1 pep primary_assembly:Lsat_Salinas_v7:3:181474840:181475049:1 gene:gene-LSAT_3X108720 transcript:rna-gnl|WGS:NBSK|LSAT_3X108720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGPCARLNLPNCRTMSEYYFESMVVPNGASSCDPTTTCSHSEDCKNGRVVKWSKMIVNRIATNLVIRH >cds-PLY72431.1 pep primary_assembly:Lsat_Salinas_v7:2:141272966:141274310:-1 gene:gene-LSAT_2X69461 transcript:rna-gnl|WGS:NBSK|LSAT_2X69461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DHAR3 [Source:Projected from Arabidopsis thaliana (AT5G16710) UniProtKB/TrEMBL;Acc:A0A178UIL9] MSSNPLEVCAKSSITVPNRLGDCPFTQRVLLTLEEKHLPYNLKLIDLANKPEWFLSISPEGKVPIVKLDDKWIADSDVITQTVEEKFPEPPLLTPPEKASIGSKIFSTYIGFLKSKDPNDGKEEALLNELSAFNDYIKENGPFINGKDISGADLSLGPKLYHLEIALGHYKQWSVPDSLPHLKTYMKTVFSLESFTKTMPLTEDVIEGWRPKVMG >cds-PLY69525.1 pep primary_assembly:Lsat_Salinas_v7:5:29505534:29506869:1 gene:gene-LSAT_5X14101 transcript:rna-gnl|WGS:NBSK|LSAT_5X14101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIEEDGIPPLLKLLKEGASPESQIAAAATLHALATDGEKISAFVRSMAIPTIVQVLNDSTSVVVRTNVINLLSKMAEIDPLVQEEFGRENVTRPIVTFLANDVVLDDIITTSGYQYHFNSSLSFNYKKETDVASPEEKLDLKISCARALWTLSKGSLSNTKKITETKALICLAKLIQHENQQLQINCLTTVTELTAVAESNAELRRQVFKPNLPAARTLLDQLLRVLNHEPNPELVVPAITTIGSLARTFPAKETRILGPLVSKLDNEVSDVTNETVMTLTKFVCPDNFNCVEHSKAILEFNGVPRIMGFLKSSDDIKIQVLGLKLLCYLALHVGNSNVLEEARVLSVIEGGARSVLTQYPDMRELFAKAMHHLNLYQPGVRTHKPAYVP >cds-PLY64059.1 pep primary_assembly:Lsat_Salinas_v7:8:94890438:94890964:1 gene:gene-LSAT_8X66041 transcript:rna-gnl|WGS:NBSK|LSAT_8X66041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGYAYAGPISTVKTTVGQGSFENKSQVSFSDKQTGSYGRATATEKASTGNFQWQNGKSGTRSEYKESSTVRIGDRSGYTEVYSEQRVRNVNFNNNGSKNIISYDNGDGGNYGGYGYGHDYDCDY >cds-PLY85985.1 pep primary_assembly:Lsat_Salinas_v7:3:132174274:132178651:-1 gene:gene-LSAT_3X90360 transcript:rna-gnl|WGS:NBSK|LSAT_3X90360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and protein-tyrosine-phosphatase PTEN2B [Source:Projected from Arabidopsis thaliana (AT3G50110) UniProtKB/Swiss-Prot;Acc:Q8H106] MASESNSSSSQPPTEVMDDKTSATTISEQTNNSTTTPSSMETPPVISSSDGVPSFPKPTSPRQNTSQSQSQNTGKWAIARIASGFGLQFSSKSSAPNDNSTPSPSASSPSAVLQSVGKGLVDASLGAVKVVQVRARHMVSQNKRRYQEGGFDLDLTYITDNIIAMGFPAGDMSSGLFGYFEGFYRNHMEEVIKFFETHHKVASFPFNDHNCPPIHLIPLFCQSAYSWLKEDILNVVVVHCKAGKARTGLMICSLLLFLKFFPTSEECIEYYNQRRCVDGKGLILPSQIRYVSYFERILRDFNGESPPGRRCMLRGFRLHECPYWVRPSLTVSDHNGILFTTRKHPKTKNLMPEDFWIRAPKKGIVVFALPGERGLTELTGDFKIQFHDRQGDFYCWLNTTMMENRVLLQGSDFDDFDKRKLPVPGFKVEIVMIDYDGTIPEKYKSSDKPMLISSNDSSPSYNNPSNSNSARNKDSDENVFSDSDDDDDDQKDDDKSTVSIALPPGKIESEVTTLNKKTQLLSLNSNPTLVKNEADKPKPIPNLNPGDIKAIAADASVFSFGDDEDDLSD >cds-PLY79673.1 pep primary_assembly:Lsat_Salinas_v7:5:251848345:251850623:1 gene:gene-LSAT_5X126580 transcript:rna-gnl|WGS:NBSK|LSAT_5X126580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRSFLSRRINSRSKSSKDYTALENVQFKSHQISGGVVYGNEALAVEPNDNENGTGNGEGSWSNMLPELIGEIIKRVEATEDRWPFRQSVVACGCVCKKWREIVKEIVKPPVQGGNITFPSCLKQPGPRDNQLQCLIKRDKKNSMFYLYLAASASFTEKGKFLLAARRYRHGAHTEYIISLDPHDLSQGSNAYVGKLSSDFLGTKFTLYDSQPPHNSAKHSNSKSARRFTSKQISPQVPAGGNFEIGEVSYKFNLLKSRGPRRMVASLASPSSSSPSTSSPSSSSSSSSSNEGSEQPKLTKSKSGGVGVGVGQTLLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVGTMDPSQPGGRGDGETVLLQFGKVGDDMFTMDYRQPLSAFHAFAISLTSFGTKLACE >cds-PLY90606.1 pep primary_assembly:Lsat_Salinas_v7:6:52907743:52907967:-1 gene:gene-LSAT_6X39040 transcript:rna-gnl|WGS:NBSK|LSAT_6X39040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLYCMEFHGPGGVSVMVISWVLILHTLWKMVEMHIEIGQHILGGKLGRYIVVPQQLIVEVGVNIVYMVTGGI >cds-PLY73903.1 pep primary_assembly:Lsat_Salinas_v7:3:39148622:39151169:-1 gene:gene-LSAT_3X29940 transcript:rna-gnl|WGS:NBSK|LSAT_3X29940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDTRFDRFPVTLDPTAQEFRPTYPLSLPPPHTYYSYAYPYNFYSAPLPPPPLPPPVYVSPKPPSLAPTLPPPSITPTRTLLLSSVPSDVSESIVRRELEVFGDVRAVQMEKVRDGVVTVHFYDLRQSTEALHEIQEQHMQQQCRLRKHFDALTFSNSAPSYEYHRNTFLCPPLPPPAPGLIAGRAVWAQFSFPVSVGLPDGYNQGTIVIFNLDPDIAAGTLKEIFEAYGSVKEIRGTPSKKNQRFVEFYDTRDAAKALMNMNGKEIDGKTVVVEFSRPGGHKNSPKHFRLNPITSPRPQPIILARKFPAESPVYRPPPPPSPPASSHNNSRSSKGMSELGGNGNVVEWYRSSLKKTGKKLPEQSASGGGVWSKQRKGSRQTREKYDPRFLIKEDGIISESSFSDSRTTVMIKNIPNKYSQKLLLNMLDNHCIHCNEQITGGDGGSSGEQPLSSYDFVYLPIDFMNKCNVGYGFVNMTSPEATWRLYKAFHHQNWEVFNSKKICEVSYARLQGVEALKEHFKNSRFPCETEDYMPVVFAPPRDGRRLTEPLPIVGRSIITLSDHESSLTESECCNSSNNALVVEDVNSDVSNGGAASDGGGGGHD >cds-PLY62862.1 pep primary_assembly:Lsat_Salinas_v7:9:130317661:130319167:-1 gene:gene-LSAT_9X84461 transcript:rna-gnl|WGS:NBSK|LSAT_9X84461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVFSHNKTPLFFLVLFASLITLALGQTRVGFYQTSCPRVEAIVQSAVAAANRANPGVPPGLVRMFFHDCFVNGCDASILINGDGSERTAPPNTLLRGYEIIDAAKTQLETECPGVVSCADILAIAARDSVLLAGGIARWQVPLGRRDGLVSRAADTTNLPAFNDAVDVQIRKFAEKGLNTQDLVTLSGAHTLGTGACLVFSYRLYNFNNTNGPDPSIDPAFLTTLRNLCPNGGDSRRRVALDTGSENRFDTSYFANLRSGRGVLESDQVLWGNPTTRTLAQRFLGVSGLVGLTFNVEFARSMVRMGNIEVKTGTQGEIRRVCSAFN >cds-PLY90277.1 pep primary_assembly:Lsat_Salinas_v7:7:115668965:115670570:1 gene:gene-LSAT_7X72140 transcript:rna-gnl|WGS:NBSK|LSAT_7X72140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASACVNSIGLSPENILERSQTYSSYGWLKPRFSLGREITDGDTSKSKTKRFSSPAKELADLPEESDPNEFDGFQFSLEDPVMMLPADELFSDGKLIPLHLSVIRQEVASSTTTVMSSPDTPRSHRRMDDAFASDPYLLSPRAPRCSSRWKELLGFGKLYQSSNNNAKQDNNKQTSLASSHNATGATKSIRHFLHRSSKSSNDSSVNLPLLRDTDNESVSVSSRPSLSSSSSSHDLDDLPRLSLDSDKPGKVNLHANPSSRPKTRMVKTRTQSTESRASTRVGRSTMRRSSDSAITTARGLSVDSPRMNSSGKIVFHSLERSSSSPSSLIGGPRFKHRGMERSYSANVRVTPVLNVPVCSLRGSSKSGSMFGFPIFSSQQKRECSSSTSSNGGANRSQRFTGKSRTDCS >cds-PLY96364.1 pep primary_assembly:Lsat_Salinas_v7:4:356410732:356411999:-1 gene:gene-LSAT_4X175901 transcript:rna-gnl|WGS:NBSK|LSAT_4X175901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSSNPFPQLPSSTVGFSHPNFFFYHEKDDVQYNYHRKNDDPFISSDFLFHPYNSSTPPAVMENVTTIKQDFVRQQQFSEGSGLQCSEDHDLLDSVISPYNKRLATSKKDGHSKIYTARGPRDRRVRLSIEISRKFFCLQDLLGFDKPSQTLDWLFTKSLTAINELVEETSCSSSTVTDQSKLTFLETINGGSEKKPVLPKCVSIKKKKTTRRCNKSGFQEDLARDQCRTEARARARERTREKLLVKKLDDDLKTLFPDCCDSGNFWSQTEPKNEYNDICRECIMDQEPSMQSKTFNLSN >cds-PLY78113.1 pep primary_assembly:Lsat_Salinas_v7:6:111429066:111429682:1 gene:gene-LSAT_6X68041 transcript:rna-gnl|WGS:NBSK|LSAT_6X68041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNLILASKEWWDEKIKEDKEYAKFKDKNLDVYQTYYEALFRDTVAVGDKAKVPCEFGNGSTPDDVQFVDITDGKVDTDEVRLFEDVEPFLTYDSSSMKRRGKKLTPRSDNKRKLIRKNEGKNEGKSMANSSYEEKLDTVFDVLLTRSTQPSKQTTQSTTTEDCMAIVSTFPGFEEGSK >cds-PLY75830.1 pep primary_assembly:Lsat_Salinas_v7:3:68711845:68715194:-1 gene:gene-LSAT_3X51680 transcript:rna-gnl|WGS:NBSK|LSAT_3X51680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDEMVTLHNVMPVDLALKREMEYRHKMEALKNQQLNNLNPLLPSQSHVTSNPFPPSQVHPTSQTILKRKEPSTSGIKPKKPTIGLVCKICQITLCTVTQVKDHANSGRHKYNIQQLQKRGENVATPFLCEICHASCSSGIVMAAHLRGTKHAAVLKEVEKAKRARPKEGFAPRSSKDLEGLGNFTQSYLKKPLNHLPQHLYTSKLITFLYLIEVLLAFVFFYPNYKKDDTNILKQSLSKCLAQYYPLAGRIPSPPEPYINCNDEGVEFLEAFNDSPLDDFIHKNVQDEFIDQLFPYGLTCTPQASSPLLLKVQLNHFSGGGAAVALSMSHKFADGATIANFVNHWATVARCGSPINPCFISSSKTDNTKMSDFNVNDLDKGNYVTRRFVFPNSKLQELKTKVIAMGTAPINPTRVEVVTSLLFKHAVSAATTSSGSLKPSNLTITVNMRNKFVENYPETAAGNLSMYGVAKMGDSGKIKLGEVIARIRKAKMELEGIKDEQELVQKFANAISNLQGDLYYITSVCRVPFYQVDFGWGKPVEVIIRFPNVGKNVIVLMDTASGDGIMANVQLPEEEMVILQKDKEFLTYVKDV >cds-PLY94418.1 pep primary_assembly:Lsat_Salinas_v7:6:8849741:8852624:-1 gene:gene-LSAT_6X5581 transcript:rna-gnl|WGS:NBSK|LSAT_6X5581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWIFGRKGESGFSSSSTAEEVTHGVDGSRLTAIVTGATSGIGAETARVLALRGVHVVMAVRNIESGKQVRETILTETPTAKVDVMELDLSSQASVRDFATKYCSSGLPLHILINNAGVLAPHFTLSEEKIELHFATNHLGHFLLTNLLLETMKITSFEQKVEGRIVNVSSTGHRFVNKGTFSDQINDESSYSHIHAYGLSKLANILHANELARLLKEEGINITVNSLHPGFIVTEIFRNFGIFKVLCNAILRHLVKDVSQGAATTCYLALNPKVKGVTGEYFSDNNLVKPSSKAMDPMLGKELWEFSLSLTTTK >cds-PLY79442.1 pep primary_assembly:Lsat_Salinas_v7:3:79190475:79191267:-1 gene:gene-LSAT_3X60220 transcript:rna-gnl|WGS:NBSK|LSAT_3X60220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLSDQVKQLKDIFSRFDMNSDGSLTQLELAALLRSIGLKPQGDQIHSLLSNIDSNGNGSVEFEELLNAILPDLNEEVLINHDQLMEVFRSFDKDGNGYITAAELAGQMAKMGQPLSYKELTEMMREADANGDGVISFNEFTTILGKSASDFFGVTAA >cds-PLY77239.1 pep primary_assembly:Lsat_Salinas_v7:4:177349728:177350480:1 gene:gene-LSAT_4X104021 transcript:rna-gnl|WGS:NBSK|LSAT_4X104021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDVYIVVDVHFQGIFAKYPICYTDGVTQRLVDIEFTGMDKDECYAFMARLSGEMCEKLYYCQPDIDFPKGLILIRNEKDYVEFIAIAYECDVILPIYVDHFGNTNMQEWLDEENEEVFDNMKEEGMSPEEVIDDAEKVDPFDKVNEDEVEVKDEDDDDNGRPLFIKEDKVTQSDMGTNPSVAELVDEDMDDNIDVDPDLPNIFNEHLHWKEQVPVLGMRFESPRQLKHMLCNYVVANGNHLCFVKNNSR >cds-PLY82624.1 pep primary_assembly:Lsat_Salinas_v7:8:308759138:308759975:-1 gene:gene-LSAT_8X167080 transcript:rna-gnl|WGS:NBSK|LSAT_8X167080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMDQDGTTVTELIFSDYLGHYATIFSQYHKYNISGATVIRNDPKFSIGSYPFSGILTKSTLVEEIPERIPTTIPWLLEFTKFSRLHAYAESENLQNVRGIVLQCLPSQEHGADLVTRHDIIIINEEYFPYYETKHYIHDQSTCF >cds-PLY80515.1 pep primary_assembly:Lsat_Salinas_v7:3:91842028:91844816:-1 gene:gene-LSAT_3X67961 transcript:rna-gnl|WGS:NBSK|LSAT_3X67961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:WOX13 [Source:Projected from Arabidopsis thaliana (AT4G35550) UniProtKB/TrEMBL;Acc:A0A178UZQ1] MEQDEGNNTNGGGMFVKVMTDEQMEVLRKQIAIYATICEQLVDLHKSLTSHHDLSGVRLGNLYNDPLVTSGGHKFSGRQRWTPTPVQLQILERLFEQGNGTPSKQKIKEITSELSQHGQISETNVYNWFQNRRARSKRKQQVSSAPNNNNGESEVETEVESPKPQQQQQQHSASTADDNMNMCFQNNPNVSSSVDQRSKKVEPVFPSDATSKAAANHVGQMSYYGTMLANPRMDRIIGKMEVPVPGNYQSYMQAHTQGDEYNMN >cds-PLY74900.1 pep primary_assembly:Lsat_Salinas_v7:3:130463942:130465319:-1 gene:gene-LSAT_3X88960 transcript:rna-gnl|WGS:NBSK|LSAT_3X88960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCNTPKKDMDRIKGPWSPEEDELLQQLVEKHGARNWSLIGKSIPGRSGKSCRLRWCNQLSPQVEHRAFTPEEDETILRAHARFGNKWATIARLLSGRTDNAIKNHWNSTLKRKCSSMTNEEFSEFAIQQPSLKRSVSAGSAVAVSGRYLNPGSPSGSDVSDSSVPAISSSHVYRPVARTGAVVAPQIEVATSSPPPPPPPLQTKDPPTLLSLSLPGVENEESTAAPTPMQLMPPSPVASPLPPPPPPPPVPIHAMPLRQVPVDRYKNINLNNADLMSSIPMAISSAMHQLKVSQNPVTEVDQQDKGFTPFNAEFLSVMQEMIRTEVRNYMTGVEQNNHHQRSGEGGGMCMKQAANGGGDGFRNAAVVKRIGISKID >cds-PLY82716.1 pep primary_assembly:Lsat_Salinas_v7:2:147609902:147611009:-1 gene:gene-LSAT_2X74080 transcript:rna-gnl|WGS:NBSK|LSAT_2X74080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRPYRFLKTADKPMTVADPPEAVAVESDFVVILAALLCALICVAGLIAVARCAWLRRGSVDTSNRIPRQRAANRGLKKKTIQTIPKFTYDSDKEDKGCGGCGKLSSSDCAICLAEYVDGDEIRVLPHCGHGFHAGCIDTWLNSHSSCPSCRQNLVATRCITCGELPPFSTGKTPLEAEDKGGKHSHSSSSSSEDLA >cds-PLY76169.1 pep primary_assembly:Lsat_Salinas_v7:4:53030865:53033727:1 gene:gene-LSAT_4X36180 transcript:rna-gnl|WGS:NBSK|LSAT_4X36180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVQKSDSDGPYRLLYCSGKGNKWGVMQELEKGVEPNVGDYDRRTALHLAACEGCTEIILLLLEKGADVNSTDRWGRTPLSDARSLGHLEICKILEAHGGLDPVGLDSQTPCYQIHYTEVRMKESILIGEQGAYGEVYKVKWRGTEVAAKTIRSSIASSETVRKAFLKELELWQTLRHPNIVQFLGVLKDSDRLIFLTEYLKNGSLYDILKKKGRLDSLTAVSYALDIARGMNYLHHHKPHAIIHRDLTPRNVLQDEAGRLKVTDFGLSKIAQEKDVYGYKMTGGTGSYRYMAPEVYRRESYGKSVDVFSFALIVHEMFQGGPSNREEPPEHVADKRAYEDSRPPLSSYLYPEPVRMLLKRCWHRNPDARPKFEDIITELEKILENEESKVGIRFCSCFVIV >cds-PLY95958.1 pep primary_assembly:Lsat_Salinas_v7:9:42902277:42903567:1 gene:gene-LSAT_9X38901 transcript:rna-gnl|WGS:NBSK|LSAT_9X38901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMHGSEDANSSDHFDGNNKNQVFQTNSERLNLGVRRKRRQVVMSRLGKPFALMRTSRIQKNVIPIGKEVGGYKKAQKHQHRHWDVKKRSQGKKSIAQVRESESDNKDLVEPFVSKTVPSEDEEDEEDSEDDFCGMRSRREAWFKSDLSLLVTDESESESDTETHTKKRGSNIIRGPTPSIHGIEYLVS >cds-PLY82425.1 pep primary_assembly:Lsat_Salinas_v7:2:188508953:188511454:-1 gene:gene-LSAT_2X110381 transcript:rna-gnl|WGS:NBSK|LSAT_2X110381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTNAPIVRRSANYPPSLWSYEYLQSLTNNYVGEEYETRSLSLKEEVKMMISEDAAVQDPLSTLKFVDDLQRLGVSYHFEYKINSVLKILYHCYFDSQEKWNKSDLNLKALGFRLLRQHGYLIPQEIFEDIRDETGNIKAQLREDIVGMLNLYEASFLAVEDESILDEARELTRQCLKEMLEKNSIGNDQCLVMLISHALELPLLWRPQRFEAIWFIEAYKTRSDKNSLLLELAELDFNVLQGIHQEDLKYSSRWWNDLGWDKKFSFARDRLVESFMWAVGANYEPRHGVLRRNITKLISLVNVIDDVYDVYGTLDELKQFSSAVKRWDINAIEELPDYMKICFLGFYNTINEMAYSTLINQELLVLPIVKKAWADYCDANTVEAEWFNSGYIPTLEEYLKNSCITISLPVILSNIYFFTSSDITGEAMQNVVHCSSMILRLADDLGTSSAELQRGDIPKSIQCYMHETGATEENAREYIKKLIMQTWKKLNKERMSVNYPRSGIFIECATNLGRMGQFTYQHASDAFGSPDDWYKSHEISLLFDPKSQRIIT >cds-PLY89291.1 pep primary_assembly:Lsat_Salinas_v7:2:49659073:49660489:-1 gene:gene-LSAT_2X21861 transcript:rna-gnl|WGS:NBSK|LSAT_2X21861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWSSSNPVPFIPRNAQSPFSFLYNTVDPYLYPPGGSDMKYQMLQQASLPMMDLSQIDYDSNNQDKKKRLTSEQLEALENSFQEEIKLDPERKMKLAQELGLQPRQIAVWFQNRRARWKAKQLERLYDALKQEFDAVFREKQQLQEEVLALRAILKEHGNKRQAQVSATGYSDMTGEDTTVESTSVNAIRSSNNVSYQAVATPSSAVAAGECNYMINYDGASVPTQSYYNWAGLPSYP >cds-PLY83703.1 pep primary_assembly:Lsat_Salinas_v7:4:39606097:39609141:1 gene:gene-LSAT_4X26401 transcript:rna-gnl|WGS:NBSK|LSAT_4X26401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINGRDQHHQQQATTVETLPISSPPPSFRSSHTTTTATNNNMQQQQQLPSPISLASDRQLPSHLVTPISAAHPTGEPAMIIPTSSPLARIRLSDLIPYDGAPTDSYLRAIEALSGSLTRHNAAVIELGTDDGALMRCGLESARLYFRTRAQTSGNWNSSNGTTGVYKYRAGRAVEDMDSSPPCMSDVFRCMGKVARAALSAIARHLRLRSDAFNHLLDDNPLPANEASSSILVASYLPTLQKGKSAIGGGNITTNHESEKGLLTLVSSDSPGLQVRDPNGRWYVADIVSNSGDLLLLTGKALSHATAGLRPAASYRAATDHISAGRSSLAFRLMPQGNAILDCSPIRAAGHVIPQSYVPISVSQFMDDLSADEDTPSNGTDNVFAAWKFTNKEQSLRSVLSDSLSGAFLEDAMFVPCGHSFGGMMLKRVIETGRCSLCDAEIGTAPLIPNHALRAAAGAVKREDDRRLFHNAAMRKQRREVSDRWVNGDGNSENGSHRCVQYPFSVNEKVVIKGNRRTPDKFVGKEAIITSQSLNGWYLVNIIESGEKVRLQYRSFRKIPTSPSTAS >cds-PLY80659.1 pep primary_assembly:Lsat_Salinas_v7:5:245609274:245612527:1 gene:gene-LSAT_5X122401 transcript:rna-gnl|WGS:NBSK|LSAT_5X122401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYNCDYCGEARSMVYCRSDAAYLCLSCDRNVHSANPLSKRHMRTLVCDTCNSQPAVVRCVDEKASLCQNCDWVGHNGANSSASTHSRQTLNCYSGCPSAVELSSIWSFMESCEEDMESMSIADNSQEHSENKTQDSSLPDENKSDWMGSFNIEVDNKSQDIFHQVKPLNSSQSKVSYSRTKDSEQVVDDGLYDDFTMDEVDMNIENYEELFGVGHNDPKHLFAKDGIDSLFGMRDSTKEPECSQAASVDSLMSCKTEPNACYAKQHSNLSFSSLTGGDSSGGEYQDCGASSVVPMGEPPWCTPGPGSTTIRSDAVLRYKEKKKMRKFEKRVRYATRKARADVRKRVKGRFIKAGEAYDYDPMTETRSF >cds-PLY94808.1 pep primary_assembly:Lsat_Salinas_v7:2:177263460:177264194:1 gene:gene-LSAT_2X98221 transcript:rna-gnl|WGS:NBSK|LSAT_2X98221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASYSLAGLVGSFHFVTAHSAGFFDEGANRIERFLVDDGDLVRLEGFLGVIEHVLESPQFRYAINKFCDSCLATRKVLRMLEAKELVNSGGKLGDVLEDGADHGVLVDEAMDAFSSLDSVYMLELEKVKHVVQAHVGAESS >cds-PLY85109.1 pep primary_assembly:Lsat_Salinas_v7:1:45539021:45542288:1 gene:gene-LSAT_1X39941 transcript:rna-gnl|WGS:NBSK|LSAT_1X39941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFAVNQKKNKKNTREEEDAMQLRLGKYEIGATLGEGNFGKVKYAKDIQTQHSFAIKIIDKTRIINLKISDQIKREISALKLLKHPNVVRLHEVLASKTKIYMVLEYVNGGELFDTIVSKGKLPESTARKLFQQLIDGVSYCHDKGVFHRDLKLENVLVEAKEKIKITDFGLSALPQHFREDGLLHTTCGSPNYVAPEVLSNRGYDGGASDTWSCGVILYAMLTGYLPFDDRNLAVIYQKICKGDVRMHKWMSPGAKSLIKRILDPNPKTRITMADIKVDEWFKQDYTPAKPNEDEVLSIQETAVENQESPTHINAFELIGMSWSLDLSGFFEKEDVSERKVRFTSTLLPKELLERIEHTVTHMGFKVEKRNGKLKVIEEHKGNRESDRLSVTAEVFEISPCLYVVELRKSCGEASVYRELCDKLLKEFGVSSSQEQLTTKLLEAS >cds-PLY74816.1 pep primary_assembly:Lsat_Salinas_v7:5:59098451:59103861:1 gene:gene-LSAT_5X27580 transcript:rna-gnl|WGS:NBSK|LSAT_5X27580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) UniProtKB/TrEMBL;Acc:F4ISP4] MDKKRRRHGSRGSFGVLIYIHFIGWIRRGDADFVRLKVLLGVGDHVLLVEEDGVQTVGDRLGTPQKMVISHNGKLMASFTHDGQLLVMPADFSSIIFEYSCEVDENLRLIKTSLPEVVEVCVDAARHEFDHFDRRNAKHSTYEEPVTEILDDCMQDVIVYYPSSHDWEKLRSMLSLHLKQVIFEYPQAKMTIEEQNVSLGETHAELVKRLDDGLKSTTWKALKIKITPKQSQTEQIDDGIAEDVEEVENDNLSSKSFASVEDLEHGKLPPEEILSLPMFKAIANKIKHCKIDSFPGLEFDNGDRPECNNLLSIYQIVTNRTKEEVAQECHDMNWGTFKLVLTDALIDHLHPIQTRYEEIMSDRGYWDEGLAEGVSKVAEIADSTHSNVYQAMGFLKR >cds-PLY72341.1 pep primary_assembly:Lsat_Salinas_v7:8:79709541:79723980:1 gene:gene-LSAT_8X58281 transcript:rna-gnl|WGS:NBSK|LSAT_8X58281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQLKQIRDPEEKHMISKRRNGRRKGKGKMLERTPLMLQDSTAEISSEKKLMFHRRPGFGQLGTKCVVKANHFLADLVDKDLTQYSVKMIPEVNSTKLNKAIMSQLVKVHRHKDLGMKLPVYDGRRALYTAGKLPFISKEFTVTIMDDLDWIGITKEREFTVTIEIVAHASMCQLRELLSGKQVESPLEALKIVDLVLKELTAQRYISVGRFFYSPNITNPKSIGCGLQSWRDTLATTFIEPLPVIEFVAQILGKQVYTKQISDADRIKIKKALRGVKVEVTHRGNVRRKYRISGVTSQPTRELMFPVDEERNMKSVVDYFREVYGFTIQHPHLPCLQVGNQKKVNYMPMERPKEQEKEILQTMHQSCFKEDPYLKEFGITIDDKLTSVDARVLPAPWLKYHDSGKQKEVLPQMGQWNMKDKKVINGSVVNYWACINFSRTVPDSAAQSFCHQLVQMCQESGMEFKSDSVVPVYSARPDQVKKALKHVYTATVNKLGGKELELLIAILPDNNGSLYEWTIPFHSAPFLPTKQTVMLLGFQLGGRNTVLLDALRWKVPLVSDIPTIIFGADVTHPESGEDSNPSIAAVVASQDWPEVTKYAGLVSAQPHRQELIQDLYKTWQDPQRGKVNGGMIRELLLSFEKATGKKPLRIIFYRDGVSDGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFPNNHNDRKSTDKSGNILPGTVVDTKICHPVEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPEVHHENGSAHSMCMVKSAGVRPLPALKDKIKICQKKEHVLNVESVRVGLDEYVALVLYREEEHVLFLQVL >cds-PLY89117.1 pep primary_assembly:Lsat_Salinas_v7:4:145914006:145914645:-1 gene:gene-LSAT_4X88960 transcript:rna-gnl|WGS:NBSK|LSAT_4X88960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAVCLVVLFAISITSQARSIIQKPQPNPNFKINSTNHQNARTCSFTVEITTSCSSVRYTRDQISISFGDAYGNQVYAPRIDDPSTRTFERCSGDTFEIYGPCTYQICYLYLYRSGYDGWKPERVDVYGYNTRAVSFYYNVWIPANIWYGFNYCSPYLSASK >cds-PLY76048.1 pep primary_assembly:Lsat_Salinas_v7:5:318477435:318478867:-1 gene:gene-LSAT_5X175300 transcript:rna-gnl|WGS:NBSK|LSAT_5X175300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:LBD13 [Source:Projected from Arabidopsis thaliana (AT2G30340) UniProtKB/TrEMBL;Acc:A0A178VRU4] MGRRQILIPAPGTLNTITPCAACKLLRRRCAEECPFSPYFSPHEPHKFAAVHKIFGASNVSKLLMEVPESQRADAANSLVYEANVRLRDPIYGCMGAISALQQQIQSLQADLNAVRAEILRYKYREAAANTHDINIIASTLVSSGPISVAQLSSIPAPPTPPPPQPSIVISSSSSSSSSSSSSSSVHLYGIPSSTSGYSTSTIPNNNVSSYFA >cds-PLY87870.1 pep primary_assembly:Lsat_Salinas_v7:3:44543453:44544518:1 gene:gene-LSAT_3X32921 transcript:rna-gnl|WGS:NBSK|LSAT_3X32921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSVNQTMASREIYYISTASLFCILPMLADLVNGLCTTNYRFPCKYFSFYPAFITAMSVVLRPSMTLDPAMPDDKVATQGIIATLAFMSTILANMLPSLASMDSETFLQNLISFSILVIVVIVKNCMDLHSGVIGEANLAHIQDATLVLILISAAITIPSLKQVLISKCHDVIQIFSSNHQQQNTAARQNRRQDEGRYEMMVEMCKPELVRATGMICLSGLVIYLLMIVDVLSTSQSGFRWCSLLTTESIGVVVGSIAPICRCLMASTG >cds-PLY67557.1 pep primary_assembly:Lsat_Salinas_v7:3:53967867:53970239:-1 gene:gene-LSAT_3X42080 transcript:rna-gnl|WGS:NBSK|LSAT_3X42080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCFTERKSLVRFLVLYFSLTITISSGASTISANQSLSGDYTIISKGEQFELGFFKAGNSSNYYIGIWYKKLYSNPPTIVWVANRETPISDRFRSELKIIDGNLVLLNESKFQIWSTNVTTTTTIFTSAIVVLLDDGNLVLRDGTSNSVEPIWQSFDHPTHTWLPGAKFGYDNRVKKSQLLTSWRSNDNPAVGLFSLEFDPSSINYVSKWNGSQEYWTTGPWKEQVYNFTPELIRLNSIYNFSYYRNENGSYITYSPTMIARFVMDVSGQLQQQSWLETTKGWNTLWSQPRMQCGVYALCGAFGTCRQNELHFCSCLIGFKPRSENDWNQSDFSGGCVRKTDLGCEGNVEKFDFLMVTVRSLPPNNSVAVGTAGICRTTCLSDCSCNAYSFINNQCSLWNGDLLNLSEDNASGNTIYVKVASKDIPHCKKSNFDIVGVIVGSVAGVVFVLGLIFLLIYRRKRKLVGKTIMEGSLVAFAYKDLKIATKNFSEKLGGGGFGSVFKGVLHDSSTVAVKKLESISQGEKQFRSEVSTMGIIQHVHLVRLRGFCAEGNNKLLVYDYMEKGSLDSHLFHEKQVLNWKTRYQIALGTAKGLVYLHEKCLDCIIHCDIKPDNILLDADFQPKIADFGLAKLVGRDFSRVLTTARGSIGYLAPEWLSGVAVTAKADVYSYGMMLFELVHGKRNAEQCEDSRSTFFPGLVANVLIEGGDILSLLDTRLNREACAEEVTKICKVACWCIQDEEENRPAMSLVERILEGVSDVSMPPIPQIVALFVKDMGDVMFFTDSPSSGC >cds-PLY76648.1 pep primary_assembly:Lsat_Salinas_v7:4:117717129:117717395:-1 gene:gene-LSAT_4X73540 transcript:rna-gnl|WGS:NBSK|LSAT_4X73540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGNYSDQASATDLNRRNYLWADHTDTKTEYVYPTESRRSKIKDKLEDGLEKSKAVASTGLKKIKRGSSVGLHWIKHKYQKTTHKQHS >cds-PLY80575.1 pep primary_assembly:Lsat_Salinas_v7:6:14155312:14159205:1 gene:gene-LSAT_6X12340 transcript:rna-gnl|WGS:NBSK|LSAT_6X12340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLFLLLGVVPLALGGGHDYGQALTKSILFFEAQRSGYLPGNQRVKWRGNSGLLDGKASGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSILEYGSQMSSSGELGHAMDAVKWGTDYLIKAHPQPHVLYGEVGDGNTDHYCWQRPEDMTTSRSAYRIDQNNPGSDLAGETAAAMAAASIVFRRANPAYSRELLTHAHQLFDFADKYRGKYDSSISVAQKYYRSVSGYADELLWAAAWLYKATNQEYYLNYLGENGDALGGTGWAMTEFGWDVKYAGVQTLVAKLLMGGKAGEHSSVFGKYQEKAEMFMCSCMGKGYHNVQRTPGGLIYQQRWNNLQFVTSASFLLTVYSDYLTSARKDLRCSTGSVAPSELLAFAKSQVDYVLGDNPRATSYMVGYGNNYPQQVHHRGSSIVSVKVNPSFVSCRGGYATWFSRKASDPNLLTGAIVGGPDAYDNFADHRDNYEQTEPATYNNAPLLGVLARLHGGHSGSNQLLPVEIPHVAKPIAVQPKPKASPTASAAPIAIDQKATASWVANGKTYYRYSAVVTNKSGKTMKNLNLWVSKLYGPLWGLTKNVNGGSYGFPTWVSSLPAGKSIEFVYIHSAPIADVSVSSYTLA >cds-PLY82098.1 pep primary_assembly:Lsat_Salinas_v7:1:128468548:128469470:-1 gene:gene-LSAT_1X96561 transcript:rna-gnl|WGS:NBSK|LSAT_1X96561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWHLRLSSTSFDPRVVYGKFDEAANDFAIGLYQQILQSNEQNIDDEVHKDEENAVNKEVTQEENHDRNEMNDENVEMRDFAVDDGSEDNIETNES >cds-PLY73686.1 pep primary_assembly:Lsat_Salinas_v7:5:207831318:207831878:1 gene:gene-LSAT_5X96181 transcript:rna-gnl|WGS:NBSK|LSAT_5X96181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAWKLILIEISQALVLNGFRGRLSLKTKGEDFVTLVTKSIHQDTATSMTTTVHRAYNTGRSTRLTVKKYSQVMKRETCELVRINLLMDEVKDLGGTLEEKPYVITWDGALRIEMVVDETRSREKKVNKRKVKSVERNEQLEAMDVELDINETDKSVQVNKTNLVGLLRDNVGQLKELEGKNLV >cds-PLY63989.1 pep primary_assembly:Lsat_Salinas_v7:4:13146948:13173970:-1 gene:gene-LSAT_4X8941 transcript:rna-gnl|WGS:NBSK|LSAT_4X8941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGLPSFFKILLDRSAPHLELPPGFVHKHLEKKIPENPILLSRNGGYEWRLKIKKEGEIYYFADGWSDVVEDSDLGFGDFLVFWLLDESTFKLSIFSPNGCEKNFPLKIQDEHDDTEEEEEEEEKEEDNYYDDGGNGVEYEEDDDDDGGDIDGDDGDPFFIKIISKDKYTLRFPLEFSRLTGLKAGRSINMKNIEGKEWPMKLCLQSGIKYTRYYLSGGWSEFKRANELCEGDKCVFKFLKREDKFCLAKVTKKSEVLKRKSGRQPPRVVVRQPAGKVPAAQVSMRSGGRQVVMGLKVWSTKLDGKASVVEELKRKRERPPRMEVRVEVVLGLKVWSTDEMEFKSRGRPFTKKRLNVDN >cds-PLY64942.1 pep primary_assembly:Lsat_Salinas_v7:5:49205787:49207291:1 gene:gene-LSAT_5X23801 transcript:rna-gnl|WGS:NBSK|LSAT_5X23801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQDIHSIGSGGGGGRLFGGGGGDRRLRPHHHHQGHGPQALKCPRCESLNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKTKRSKPKSSNNNPSSDATVRKSSHSQNSSSDSSSLTANTTTAKTKVKTTPIAPAAAAEVLSGIKSTNSAPTLLNFQESSSGFLNITQSSAPNPSFDPQLLNHSSAENNIFPEIGTFTSLITSSTGQLPLGFNIAADISPFRMHHTGHLVENSNANQQQWMHTDHTMEDDLKVPDTGPLGFMDAHTDQTEFSGLHDKATNDELGTEDWDNGTDQALFDLTGSVDQSYWSQTQWDEHDHGHHQLNYLP >cds-PLY95272.1 pep primary_assembly:Lsat_Salinas_v7:8:137321150:137325358:-1 gene:gene-LSAT_8X94660 transcript:rna-gnl|WGS:NBSK|LSAT_8X94660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRPVMMLSTSFLDDKGIIRCKCHKVNRSGRLDNNVTRYSVDIDSYGLRVVESIASGDSHVPVRDSKLTMLLELQKDMQFHEMDASLNGGVARLKAFMETIK >cds-PLY88751.1 pep primary_assembly:Lsat_Salinas_v7:4:167510704:167511108:-1 gene:gene-LSAT_4X99421 transcript:rna-gnl|WGS:NBSK|LSAT_4X99421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEKGKSSVSESLARSISRGISMASPGWRIEDVFSPGRGGSHDGRTSRNSKEDEEALRWAALEKLPTYSRVRTTIFKSYSPLGQQQKHSDHNQMLLDVRALDSNARQKFIDKIFMDPEEDNDKFLKKFRDRVDK >cds-PLY80208.1 pep primary_assembly:Lsat_Salinas_v7:MU042018.1:571024:574302:-1 gene:gene-LSAT_3X105920 transcript:rna-gnl|WGS:NBSK|LSAT_3X105920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCSCFRAPEPDDESVDSDDLEQVQDSSSVVNRTAPANFASFLQDLTNKCEAVLGRRGGMESMPWPYYQGPSSSQPTIAQSSSSGSSSVSFMRHQKERGPVNLQSTTSEKGSKKATPLMPPSDQVNTISVDDEDVCPICLEGKLYL >cds-PLY62021.1 pep primary_assembly:Lsat_Salinas_v7:5:130843619:130844734:-1 gene:gene-LSAT_5X55700 transcript:rna-gnl|WGS:NBSK|LSAT_5X55700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMHITRKFEHLKIQLQAIKSATNNFVDDKCIGKGGFGKVYKGELLHSKGQTIVALKRLDPTFGQGNPEFWKEIIMLSLYKHENIVSLLGFCDESDEKILVYEYASRKSLDLHLNNDDLTWIDRIKICIGAARGLAYLHNPGETQQRVLHRDIKSSNILLDENWNAKISDLGLSKFGPANQQYTFIVSNAVGTVGYCDPLYVESGLLTKESDVYSFGIVLFEVLCGRLCITHQAGIHQSLVGLVRQYYKQNKINEIIYDTIKDEMTPKSLDAFTKIAYQCLERELEERPLMTEVVRILESVLEYQWKIL >cds-PLY95892.1 pep primary_assembly:Lsat_Salinas_v7:3:186066111:186071655:1 gene:gene-LSAT_3X111480 transcript:rna-gnl|WGS:NBSK|LSAT_3X111480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTRWKIEMRLSRIWLVMLLLLVSNLSRIQGQTPETTLPENGTEIRLADDTVRPDPLESLKKYRGGYDITNVHYWSSTAYTGISGYVLGALWLLCGVVFVIFLVATTCCKRNSKLKKHKTPPSSHKQYYLWHILPAAFFTLLAIVGTGLVLGGNAKFHSRADKIIDIIIDTADDASETIYNTTEAMKMTRTNLQGTNVEASTIRFLDSTSRQLDSTADDIQRQARKNRRKIDLGLRILYIVSTVIFALNLIAVIALTSVGVVKIRRALHILIVLCWLITVLCWVFFGAYFFLSRFGGDTCTALEGFQQDPYNNSLSSILPCDELLSAESVLNVVSAGVYNLVNQVNANITRMQRDSSFGVCNPFSGPPEYNYQPNNCSENDIKIGDIPELLRLLTCVDPVDGVCNGGIPISGNDFRTAVGYASSIQILLNSYPGMESLLHCQTVKDAFSEILENHCKPLKREVKIVWAGLVFLSIVMVFLVLTWTFEAYHEHNHRFSDGSVKPHHVDDTIESGMVDDNTQKT >cds-PLY82773.1 pep primary_assembly:Lsat_Salinas_v7:2:144153580:144155488:-1 gene:gene-LSAT_2X72781 transcript:rna-gnl|WGS:NBSK|LSAT_2X72781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPQTAVNGGENECDWVWNEIKAEARRDAESEPSLASHLYSTIISHSSLIRSLSSPTHLSYALSLSLYNLCLNTFSSDPSLLSDTIADLRATRQRDSACISFATTGNPKEIKNDAKRTKRSMSEPSDSFSPEVQCKIAHIEEINSRIANFVKIPDYLSEGTKSALKCSGVSKLYSHQAESIQASISR >cds-PLY88023.1 pep primary_assembly:Lsat_Salinas_v7:4:178495039:178497137:1 gene:gene-LSAT_4X105961 transcript:rna-gnl|WGS:NBSK|LSAT_4X105961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQKTMTDAQAALGQQQLHRDAATNQQSAQNAGIVEQTGDSVKRMTQGAADIAGGAASGVLGLAQGAAMGAANVAEGAAGAVKNTFGNNPGSQNTTSQQHCQNAGIVDQTNDSVKRIANGATDVVGGATSGMFGLAQGVAMGAANVAEGAAGAVKNTFGNNSSSQDCNAKP >cds-PLY70611.1 pep primary_assembly:Lsat_Salinas_v7:1:87988251:87989706:-1 gene:gene-LSAT_1X75961 transcript:rna-gnl|WGS:NBSK|LSAT_1X75961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIRSIAFGRYDEFKHPDTIKAGVAEFISTFIFVFAGTGSGLAFSKLTNDGPATPTGLMVAAIAHAFALFVAVSISANISGGHVNPAVTLGAFVGGNITFLRGLVYILGQVLGSIVACLLLEFVTNGMSVEEFTFDEGVGFWNAFVFEIVMTFGLVYTVYATAIDPKRGNLGTIAPIAIGFIVGANILAGGAFTGASMNPALSIGRVLVSWNITLPNHLVFWTAPLIGGAIAGFVYDFLFMNQTHDHLPGSA >cds-PLY86811.1 pep primary_assembly:Lsat_Salinas_v7:5:14293479:14293992:1 gene:gene-LSAT_5X6460 transcript:rna-gnl|WGS:NBSK|LSAT_5X6460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSNDFDLLNPPAELEKKMHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >cds-PLY83371.1 pep primary_assembly:Lsat_Salinas_v7:5:94626253:94626831:-1 gene:gene-LSAT_5X43240 transcript:rna-gnl|WGS:NBSK|LSAT_5X43240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTLKALHCELKIMNAKNVQVTNSNGYFFVRCYLSAGNNKRVRLDSQEVSPNKEFSMNESFSLDCIGTKQSMDMISHGTIVLELRWRSNTAAMFRGSQLVGRTELSWRDVFESPNMQMERWVILKSKKKDVKAPSVRIAMKIETPFGCGVDLIERKRKNKWDERCGCCRSDCSQNTCLDGELFAIGAALDAF >cds-PLY73951.1 pep primary_assembly:Lsat_Salinas_v7:5:36712079:36714329:1 gene:gene-LSAT_5X18180 transcript:rna-gnl|WGS:NBSK|LSAT_5X18180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKESVKLSLSNELTKTAQSLSMEAHKQNKPFITNLNKKPSMEVAIFAFPGSWEVSNWYHGDLFGETEVDRKLFKSLCRIGENRPAKVNAAFLKRFQDLLNDSTFKSEIEKAVNAKKKILFTGHLYGGATASFATLWMLDEYIKKRGKKFPIGCVTFGSPLIGDRTLSHAVRREKWAGHFTHFVMEHDIVPRMMLSPKISIQQQLPSILKFFQQKVKPNDHKRKKPSARQPMDEDQSIGDAECVEFFENVIINASTVASHVAFELMEPTNSLMEKLSVDFVKVSPYRPSGFYVFCTSHSQQFRVENPNAVLQLLFYFLQLTDENQKLVDFAYKSFSYEEELKNGLHLENLVDLKDLNDKLLTPNGTAGDAVRTSNEALFQLSTSARCCIIAAEEAENRKEENQRVIDKSLRREQSQSRTESKMIGDILSEIRNYKDSNVDYYEAFKLQNEKADFQANMNRLELAKIWDVIVEMVMREDLPDEFEGREDWVEVGTVFRRLVEPLDIANYYRHLKGDGYMKYRPKRYKFTQRWYEHANCMDFDEVVCESSFVAEVEEIKKQVFETKKKSVEKGKEEVESMDKRVEKWRSDDKIQKQDVFWGESILSMLREKVKL >cds-PLY74524.1 pep primary_assembly:Lsat_Salinas_v7:7:40522479:40522826:-1 gene:gene-LSAT_7X32600 transcript:rna-gnl|WGS:NBSK|LSAT_7X32600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDDEDVAHSIAMALAEASKKGSSPNRRASSLISSPSQSAERMYDESDVGSGYGEDIEGSMEADNTDFTRDKSKERLNVGYMD >cds-PLY91365.1 pep primary_assembly:Lsat_Salinas_v7:8:47454031:47458912:-1 gene:gene-LSAT_8X35321 transcript:rna-gnl|WGS:NBSK|LSAT_8X35321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKTVQVYGYPNLESAEVIKTSLENYTGPGTIYALEVKKSNRGSRSYAKVQFKTRERVEYIIDLANNKRLWFGRTYLKAFINDHDIEQRPKQFAFEMEGATVHFGCQVSKETLHVLSKMKNVSVKFGFGLRRLYFVVSYPTTSYKLQLSYENIWQVQLRRSRGNNAKFIVIQLYGAPRIYQKVEDNIHSFYSEVPDDQWVRATDFTPSSSIGQSSHLCLELPLGVDLPNLAHYFPYYEDNHRQFQLVTGQSFSRNLDLVPIVGPTRYLPYNIVFKICTLVQHGCIPGPLLNATFYELLDPQRRDIGSIEYVLEKLFYLKESCYDPVRWITEEYKNNNRLRSPAISLDSGLVYVRRVQITPSKVYFCGPEVNVSNRVLRHYADYIDNFIRVSFLDEELEKLYSTDLSPRANNLTGVNKTAIYTRILSVLKNGIVIGNKKFEFLAFSSSQLRDNSAWMFASTGRINAADIREWMGDFSSIKNVAKYAARLGQSFGSSKESLSVAQHEVAKIADVEVIRNGVRYIFSDGIGKISAEFAKRVSKKCGYDFIPSAFQIRYGGYKGVVAVDPTSTMKLSLRNSMCKFESDNTKLDVLAISKYQPCYMNRQLITLLSTLGVKDHVFEKKQKEVVDLLDAVLREPMKAQEALELMSPGENTNIMKEMLSCGYKPNAEPFLSMMLQVFRATKLLELRTKTRIFVSKGRAMMGCLDETRTLEYGEVFVHFSGAGRRPLNDNGSSSSGGVGGYKSKIVVGKVVVAKNPCLHPGDVRVLKAVNVPSLHHMVDCVVFPQKGHRPHPNECSGSDLDGDIYFVCWDPDLIPPKQIEPMDYTPAPSMQLDHDVTIEEVEEYFTNYIVNDSLGIIANAHTVFADRELEKAMAPPCIELAKLFSIAVDFPKTGVPAEIPANLRVKEYPDFMEKSDKTTYESHNVIGKLFREVKDIAPQNSQVNPFTRDVARQTYDVDLEVSGFEYYVDEAFDFKTEYDYKLGNLMDYYGIKTEAELLSGSIMKMSKSFDRRNDAEAVGLAVKSLRKEARNWFRKGRGDVDVGDDDVYAKASAWYHVTYHPDYWGKYNEDMKTRDHFLSFPWCVHDKLIEIKRSKGRVSRNIDSDWLQQQFSNALNLI >cds-PLY83507.1 pep primary_assembly:Lsat_Salinas_v7:4:127573628:127576713:-1 gene:gene-LSAT_4X80480 transcript:rna-gnl|WGS:NBSK|LSAT_4X80480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFSNALVDRVCHASSYSRLISSGVDHHLHSPINEQPLAKIAIHKTIIALHESASIRSNPLLLGFKGEDAEWVNVELEYLEASEDDWVGVFSPAKFNSSDCSSEAGNWPETPYLCTAPIKYKFANHSTVNYVETGKANLSFRIINQRADFAFALFTGGLEAPKLVAVSDPISFANPKAPLYPRLAHGKAWDEMTVTWTSGYNIDEATPLVEWGWKGQIKKLSPAGTLTFTRGSMCGPPARTVGWRDPGFIHTSFLKELWPNTMYEYRMGHMLSNGSIIYSKMYTFKSSPYPGQDSLQRVIIFGDMGKAERDGSNEYANYQPGSLITTDQLVSDLDNYDIVFHIGDLPYANGFLSQWDQFTAQVEPISSIKPYMIASGNHERDFPNSGSFYDTPDSGGECGVPAETMYYVPADNRAKFWYATDYGMFHFCIADSEHDWREGSEQYSWLEKCFASVDRQKQPWLIFAAHRVLGYSSNSWLANEGAFEEPMGRANLQKLWQKYKVDIALYGHVHNYERTCPIYQNQCVNLEISQYSGTVNGTIHVVVGGGGSHLSDFTEINTFWSLYKDQDWGFVKLTAFNHSSLLFEYKKSNDGLVYDNFTISRDYRDVLACVHDGCEPTTLAT >cds-PLY88532.1 pep primary_assembly:Lsat_Salinas_v7:7:134985773:134987098:-1 gene:gene-LSAT_7X81381 transcript:rna-gnl|WGS:NBSK|LSAT_7X81381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAVLILCISAAAMYAGLVDSAHHTGAPAPAADCSTVILNMADCLSYVTAGSTVKTPEGTCCSGLKSVLKTDAQCLCEAFKNSAQLGISLNVTKALALPTACNIKAPSVTNCGMSLGTASAPVQAPMAVGEAPTVAAGGLAPAPALGSSNSAGIGMSTGSVFFSMILAVYFY >cds-PLY88191.1 pep primary_assembly:Lsat_Salinas_v7:5:219551911:219552758:1 gene:gene-LSAT_5X102560 transcript:rna-gnl|WGS:NBSK|LSAT_5X102560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENGFKVRITRMFQSPFNSCRTKHTSDVADQPFFFPENRHHRQLIDLFSPKPQPFRKPKHQNVSDRNTLLPEATDAGKPHSATIPPPLFYEKKSKSTKKKKTHYRKTTKSQDFSSVTDNYYYDCCSTDEEGESDGETTMFSSRSLSSDSSVSFRKNRERRLTQKKPKKSRGGRGCKDLKATDVIPLKSKGKFITDSVAVVKKSNDPHEDFRVSMLEMIVERQIFGARDLENLLECFLSLNAQEHHRVIFEVFTEIWETLFSDWL >cds-PLY93468.1 pep primary_assembly:Lsat_Salinas_v7:9:57601118:57604674:1 gene:gene-LSAT_9X50600 transcript:rna-gnl|WGS:NBSK|LSAT_9X50600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSTTAIGLRATNSLLGSSYFYSDTTEKFSCNGDISFTFVTTKNTITAKKQSNYSHSLTSKRDTDSHSIRALKQHVATSSDPSISTDQWVQRFHHLEDKSSEQELPVEALLLLQSFLEKQWKLPTEKNTNTTTDTPTEKDSKKIHIISSQISARKRRIEARKKTPNSCSEIGSELLQNQFKGHVKGVRSEGLLTQSEVIILSKKIKIGLRLEEQKSRLKEKLGYEPSEGQLASSMRISRMDLRKKQIESTLAREKLAMSNVRLVMSIAKRYDNMGAQMADLIQGGLIGLLQGIKKYDSSRGFKISTYVYWWIRQGVSRALIENSKILRLPTHLHERQSAIRNAKAKLEEQGITPSIDRITESLNISRKKVINATQAITKVFSLDNPGQTLDSDIADNCMENDPWHGVDEAALKYEVNKLINMTLGERERDIIRLYYGLDKECLYWEDIGKRIGLSRERVRQIGLVALEKLKHAARKNGLEEMLVKH >cds-PLY91641.1 pep primary_assembly:Lsat_Salinas_v7:8:12294424:12295088:1 gene:gene-LSAT_8X9141 transcript:rna-gnl|WGS:NBSK|LSAT_8X9141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLTHAVVPISTTTTPPSKNTSFRPSLCHIPRRDVALCLIGAVFGLSIVGDRSADAAARRPPPPTPTEKKDPNVSGVLAKVIASKKRKEAMKESIAQLRQKGKAINEPSTPTE >cds-PLY66352.1 pep primary_assembly:Lsat_Salinas_v7:5:289323596:289324063:1 gene:gene-LSAT_5X157821 transcript:rna-gnl|WGS:NBSK|LSAT_5X157821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVTVSKESKNKNKRCFSSPMRFFNKFGDFYVKIMSKWASQLESTGVNVTTLPRSFSVSSSRLSSGDQDLVELMRIVSRRGLTKKVECEFLRRKGLAHGSTRMNDGLHSRSVGVMGKIEEDESFDFGGGNHFKINGVNFARSRSQIVLTRNNGMF >cds-PLY77771.1 pep primary_assembly:Lsat_Salinas_v7:2:169041785:169042719:1 gene:gene-LSAT_2X92561 transcript:rna-gnl|WGS:NBSK|LSAT_2X92561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASITIYSGIDEELIEEVSFPQRRCCFWMPCIHCDRFTFSVEPNWWLGTADDGKADDNRWWSKGLSQFKKIKEWSVLVAVPKLKTFIRRFNKQRRPHAKFQYDRASYLLNFDEGPGHLEDDDWLNHNFSTRYSVVSGKPSMDLGEHRPTFT >cds-PLY83363.1 pep primary_assembly:Lsat_Salinas_v7:5:94714235:94714813:-1 gene:gene-LSAT_5X43140 transcript:rna-gnl|WGS:NBSK|LSAT_5X43140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTLKALHCELKIMNAKNVQVTNSNGYFFVRCYLSAGNNKRVRLDSQEVSPNKEFSMNESFSLDCIGTKQSMDMISHGTIVLELRWRSNTAAMFRGSQLVGRTELSWRDVFESPNMQMERWVILKSKKKDVKAPSVRIAMKIETPFGCGVDLIERKRKNKWDERCGCCRSDCSQNTCLDGELFAIGAALDAF >cds-PLY97716.1 pep primary_assembly:Lsat_Salinas_v7:8:7953908:7957298:-1 gene:gene-LSAT_8X6181 transcript:rna-gnl|WGS:NBSK|LSAT_8X6181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQVVASKLTHTSFACPSSSGSLSNQIEKIKPSGFASKLLIGNNDRISQNHHRVLSVTARRQEVEVIPVTPEDVTKIERNHQSPEVLQQGDSSVGIWSRPLVKRKTKIVCTIGPSTDTKEMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQSKDNVIAIMLDTKGPEVRSGDLPQPVNLVNGEEFTFTIKRGVGTADCVSVNYDDFVNDVEAGDMLLVDGGMMSLLVKSKTEDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNQVDFYAVSFVKDADVIIELKNYLKSCNADIQVIPKIESADSIPNLQSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRTCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVSLRTEASIAGGVTPSNLGQAFKNHMSEMFAFHATSMSNTLGTSIVVFTRTGFMAVLLSHYRPTGTIFAFTNEKRVQQKLALYQGVCPIYMEFSNDAEETFANALGTLKNQGMIKEGEQVALVQSGRQPIWRFQSTHNIQVRKV >cds-PLY78750.1 pep primary_assembly:Lsat_Salinas_v7:9:48005749:48006525:1 gene:gene-LSAT_9X43520 transcript:rna-gnl|WGS:NBSK|LSAT_9X43520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGILLVYDVTDESSFNSKNWIRNIEQHASDNVNKILVGNKVDMDESKRNDLKKEFNIDHTR >cds-PLY76457.1 pep primary_assembly:Lsat_Salinas_v7:5:198645823:198646403:-1 gene:gene-LSAT_5X89201 transcript:rna-gnl|WGS:NBSK|LSAT_5X89201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY97027.1 pep primary_assembly:Lsat_Salinas_v7:4:125903669:125904496:-1 gene:gene-LSAT_4X79941 transcript:rna-gnl|WGS:NBSK|LSAT_4X79941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKNRDGRSFAEVARLGTQEDRPTKSKPTIVLQPDEGINNMMRKISLVGEALSLSHLNHLLVLFVLKEVTDFEIKYIGGLKVLLIFKHSMGAKSFMENRERWGEYFRWVKLWDQNQSMEFDRIASIRIVGLLIQYWGEKNFEDTTSMFGKKISPFDDIPHRVDMSHVKIGIITKAERRINEEIEVLAGGNRIKIGITEFDEDWFPFSFDNAKNPYENDETLDEEDNMEKTMRKEYQIPGNKPTTTTWKRVIQSCGCSTRKRWTTSGQPNDAGDSQ >cds-PLY98081.1 pep primary_assembly:Lsat_Salinas_v7:4:78756495:78757521:1 gene:gene-LSAT_4X53181 transcript:rna-gnl|WGS:NBSK|LSAT_4X53181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMDPGTFDAKKLKELMGLGVNRVSLGVQAFQEELLKACGKAHGVDEVHEAIEIINSCGVTNWSLDLIFSLPHQTQEMWEDSLKLTVEANPNHVSVYDLQVEKDTKFGSLMASKKLSEANYEHYEVSSYSKSGFECKHSYTYWINKPFYAIGLGYASYINGTRYSRLKKLKDYTDYVKNLEGGLVDLSQEGDDVDEWEMAMDIVMLSLRTSKGLNLKSFGDDFRSEVVVELCKVYEPYMRSGHVVFLDDERRDIKEDEFSSLVLDDEKLENDIGFIRLSDPDDFLLSNELISLAFGVIDP >cds-PLY89797.1 pep primary_assembly:Lsat_Salinas_v7:2:138284262:138288065:-1 gene:gene-LSAT_2X67741 transcript:rna-gnl|WGS:NBSK|LSAT_2X67741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHNSIVWDLLNQCYSSEDSSGRPPLFRCGKMGEKSDTKTVSSPYVVLENRPLDQWKVTELKEELKKRKLMTKGLKEDLVKRLDEAVRSEIDDAKRNLEDDLNNESHSDDATAVPVVTEDTTDALDNTMGKNEDLGKDVKELNKEKDGNEGLEKDNVGLDKEKDGNTSVQMEMDMVQADDVLAEKMVTEGGIVSTQVESFQVVEEISVTTTTKTTVLVSEGTVDEGFNVKESQVVGNNGDSKAESQSPKEEQAEVSNQVTEVSQVISDSISVDTTISNSEKNELKDNVIADDVKSEVDVKPEMVLDDSKSEPDDIDNDNVIAADVKSEQVIELKEVKMSTNVVVSVEEVDEPLKDKITDESVDICKKSDSGDVGSSEKLNLDRSSGDDSMEEDVQESKQIDSKFTPNEVGDISGKIEVKEDDSVDVMVVDDKNSAPVEDITSTKRKPQILAENSEVVKRQRRWNTEQQLTNNHSAVTSPKDTIQTPVKRVFSRSESKIDQELPKERIVPPSSKTPTNSLRIDNFLRPFTLKAVQELLGKTGTVVSFWMDHIKTHCYVTYASAEEAIETRNAVCNLQWPVNGGRLLMADFVDPQEVKNRVDPPPPPPPATTMQPPQPSPRLHVQKQQQQQLPPPPALAAMQPQYPSPRLHVQKQPQQLPPPPPPPVREVAALPPPPVDPPIVTLDDLFRKTRATPRIYYLPLSDEQVAAKMKGKAGLKE >cds-PLY74731.1 pep primary_assembly:Lsat_Salinas_v7:6:120886618:120887845:1 gene:gene-LSAT_6X71821 transcript:rna-gnl|WGS:NBSK|LSAT_6X71821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCQHEAERLDVWAMYVPLLGSKEIITPWKPKINPKKWIEHARTTFVVDPRIAFSLGARFPTNSPLKMELTHLVQADILEIRTIPEALPYFVTPKAVDEDSPLLQQLTH >cds-PLY79555.1 pep primary_assembly:Lsat_Salinas_v7:8:118326887:118333426:1 gene:gene-LSAT_8X82001 transcript:rna-gnl|WGS:NBSK|LSAT_8X82001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSDADMSSWTDLLHSSSKLVEQAAPSAQFPPLQRNLDQLEALSKKLKSKTLRTEAPSQSIAATRLLAREGINAEQLARDLKSFELKTTFEDVFPAEATSVEEYLQQVREMAMVSAVQEAQKDNLRNFSDYMMTVLEDDWQKEKRDFLHSLSRISSLPRTNVTDSSIGANRSGPILSLTSSPHISSGPSNMELLAIADKKAAAYAEVVRNLNDARQRSLEYKPATAFKNAYDNLGLDSSGGKSVTMNKIWHLIQTLTGENSSVQRNLSKKMSLIIGARRHLEWGHDKYIMEMIHSHPAQAALGGVVGNLPKIHAFLRIRLRDYGVLDFDAGDARRQPPVDTTWQQIYFCLRTGYYDDAQRVASSSRVSHHFASQLTEWISNGGMVSVETASIAAEECEKMLRMGDRSGRGTFDKKKLLLYALISGSRRQIDRLLREHPTLFNTIEDFLWFKLCAVRDSPTNSNEGLSPYTLHDLQAYLNKFEPSYYTKNGKDPLVYPYVLLLSIQLLPAVLYLSKDIGDDGYNIDATHIAIVLADHGVLADVTGTGGHKLGVMDGFAESASIIRQYGSLYLRHNNLSMALEYYAQAAAAVGGGKLSWSGRGNVDQQRQRSLMMQQLLMELLLRDGGILLLLGARGEGEEGELKRFLVDGKERYQFLFEAARRCLEAGLNDKSIEIQKRIGAFSAALDTINKSLSEAICSLSRGRLDGESRTAGLVHSGNELLETFKYFPNVSLQERELVLEQETVLRQLENILVIHKLARQEHHLDALREIAKLPFLPFDPRASDTAATDTFQNLSPHVQACIPDLLKVSLQSIDNVRDSDGSLRALRIKIANFLANNLNRNWPRDLYERVARSL >cds-PLY87852.1 pep primary_assembly:Lsat_Salinas_v7:3:44333689:44333868:-1 gene:gene-LSAT_0X9221 transcript:rna-gnl|WGS:NBSK|LSAT_0X9221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLKLFPVLYCSIAYMSYLLIAAANGVVIATEKKLPSIFYNYKFPSFYFLLKPEQEG >cds-PLY92184.1 pep primary_assembly:Lsat_Salinas_v7:6:74538574:74541765:1 gene:gene-LSAT_6X54340 transcript:rna-gnl|WGS:NBSK|LSAT_6X54340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFSCPFAAYTDIETSLKSISLEDDEVKSLGLSCSFKIQDSNPTEMQIMSSQVMKIQGSLNSKLSSIDHVEKTEIPMEDDLQQGSPKHEAATKLQKVYKSFRTRRKLADCAVLIEQSWWKLLDFAELKRSSISFFDIDKQETAISRWSRARTRAAKVGKGLSKNSKAQKLALQHWLEAIDPRHRYGHNLHFYYGNWLHSQSKEPFFYWLDIGEGKEVNLIEKCPRSKLQQQCIKYLGPMERKEYEVMIEEGKLLYKQTGEYLDTMDSPKGSKWIFVLSTSRTLYVGIKKKGLFQHSSFLAGGATLAAGRLVSENGVLKAIWPHSGHYRPTQENFQDFISFLKENDVDITNVKMDSDEEDKESLGKQSSIIHIRTHSSEDDVSEKDPLGTDDIVLEEHPSIMSNVIIQHISESPKKSRLSRCSSRKLSTLKIPKKDDLFIDLNTQDFSSETILDGYEAAEDSFVSHQIHNQSGNDMSDDEEQEHDKRNVEDTVSKKSIFRRINSHKGEKSFQLGRQLSCKWTTGAGPRIGCLRDYPMELQSHALEQANLSPKSAPCNININKVFCSSPCSFENKSLVSRNCGPYRTRSSPIGDFTKIF >cds-PLY92138.1 pep primary_assembly:Lsat_Salinas_v7:8:5270503:5282371:1 gene:gene-LSAT_8X3921 transcript:rna-gnl|WGS:NBSK|LSAT_8X3921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQILIFRSFGILLLLWVLLPSSIQIVDANEDYGTQLLSAAKEDKDWLISIRRKLHEYPELLFQEHNTSALIRNELDKLGVSYTYPISMTGFVAQIGTGSPPIVALRADMDALPSELVEWEHKSKNDGVMHGCGHDAHTTMLVGAAKLLNQRKENLKRTVRLIFQPAEEGGAGASHMIKEGALGDSEGIFGMHVDYTIPTGTIATLSGPMLADVCFFKAKIEGKGGHAAEPHNSVDPILAASSIVLSVTYVRGGSASNVIPPYVELGGTLRSLTTKGLQHLQQRVKEVIEKQAAVHICNGTVDMMEDEYPLHPATINDESLKKHVDMEEQKVEEPKPEEKKEENAEEKPPAEEKKEEEPKPPAPFVLFIDLHCVGCAKKIEKSLLRIPGVVGLDIDMGKNQVTIKGVVEPQAVCDKITKKTKRTAKVLSPLPANEGDPIPQVVASEVPGLITVELNVNMHCEACALQLKRKILRMKGVRTVETEVGSSKVMVTGSMDGEKLVEYVYRRTKKQAKIVPQPEPPAAEPAPEAAEKPKEEEAAAKPEEKPAEEAKPEEKPPEEEKKEGGGGGGADGEKEEGKKDGGEKMELVDMQRVMYYHQYPPLYVMERIPPPQLFSDENPNACCIS >cds-PLY98669.1 pep primary_assembly:Lsat_Salinas_v7:5:304265595:304268156:1 gene:gene-LSAT_5X164460 transcript:rna-gnl|WGS:NBSK|LSAT_5X164460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELALSLGDTPKPFSLLDHHSRSQQQKMKDLGFCMGLGGSKNLEVELNFKNMMREKDDDDAHRKSEEKRSSSSSSDPSPPPPPLQLDLLPFSPVLRSAPPPTPSHRSFPWLSKNLITEMGSQNKAVQVLDVKPLAVVSDQENMEETAGGESSPNNSNSGTSSFQMDFSSIFKSSNCGRSFHSRKRDLDLATVNLELIEATESDQRASSRGGGMSDEDENGLTRKKLRLTKEQSAFLEDSFKEHSTLNPKQKQALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELQELRALKTSQPFYMQMPATTLTMCPSCERVATTTTTTTTTNTATPRPQQPPTGSHRH >cds-PLY90725.1 pep primary_assembly:Lsat_Salinas_v7:1:192553:194244:1 gene:gene-LSAT_1X1301 transcript:rna-gnl|WGS:NBSK|LSAT_1X1301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLDAGETIIPVLSFSDFPEDVQLCILSFLTPSEISSFACTSKQFLSVCRSDSKLWFSMCDRRWGSKTQIKKWGSGMISFKHLYKTLQEYENLIGFWRLSSSESQARSPNAIPNQPPALVFFEWGSSFITGSRVSPSNTASYNVVKAPFLWMGISPKGEIVNFLEPNSQLKLDSSSIALVQNDLISSLGNELVSVDVFHKEDHIVIEERVSFGNLNVLNEETRVFESGSPPDRITSELYQYFANRTSPGGERAWRRQRRRERERRGNKKWDSADFVKIVDCSPTTLRPLQGLWKGFCEDANLDFFLMTYNDIGVITCRRIGDSCKPFFSYAPVFWTSNTESPFSSEEECIYDTREHLLPPGHLLCSEKEAVSRILYIDSSYDLVIPGLSTVNPHQAEGRIWQYGDGTFGFGFLRDNHIIDLRHIAVDGCLLDATESL >cds-PLY92240.1 pep primary_assembly:Lsat_Salinas_v7:2:210356969:210357466:-1 gene:gene-LSAT_2X130741 transcript:rna-gnl|WGS:NBSK|LSAT_2X130741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARAKVKLARILNESKRQATLKKRKEALIKKLQTICRECRAESCMMICDGPNDPGTNDSMVWPSRQEAAAMISRFLSLPEHQRSKKMITHEKFLESILVRENNKLQALKRKNEQMEMEDILHKLLIESTSVKLESEKLTQTYFYIEDLIQKIESKKSSSQNVGFP >cds-PLY85113.1 pep primary_assembly:Lsat_Salinas_v7:1:45486747:45489136:1 gene:gene-LSAT_1X40001 transcript:rna-gnl|WGS:NBSK|LSAT_1X40001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKLRWVKDDDGFWDLDVSTPATLNGIARPAPGDPIPSVLGLSRGIRLSRPKQLDFFQRFMFMPFIPSYSNASPHAHGNGFSLQRVLSLPFALDRWFGTVLGQLNVQKLASSIKRNGFRQPNDESPWFKNIARHLSDKSLYAIDFCSEFFPTPDDSLQFSLESYGDDDYKTPRKKAVFHHKFPHHNLTLEAASPGLFVDKNGGFWDIPLNMAIDLASVASDIGPSYHICVNHNAGSPKSFGTHKNDGIPPASIQPGLSATTAFSLKNSIDIWRSEAPKLKKVQPYDAFLSNPHISASGIIGGVMSACFGDNSVRATTGDGFGVGVRRGNCAILADSFATVSLSAQLGSFQKMFFDLTRFQALLDFPSGSTFLTGATHLAKDLYNSQTPNLKTFQQISPVTTLSFQQQLVGPFSFRVDSGIKWGMENGESFVEVKDPVFAVEYALQVLASAKAVAWYSPRQQEFMIELRFFES >cds-PLY71338.1 pep primary_assembly:Lsat_Salinas_v7:7:185556027:185559658:1 gene:gene-LSAT_7X111240 transcript:rna-gnl|WGS:NBSK|LSAT_7X111240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQILEGLQWKVGGVTPKKGGTEHLGIPVFMLVADAKAETKANACVYVPPPFAAAAIMEALEVELDLIDNGSAHVYVVGAHGAAGLVLTVGSEVFEESGRSLVRGTLDYLQGLKVGEVARIAVGATVMLNILIAGPLTGASMNSARTLGPAMAANNYKGIWIYLTAPILGTLASACIYTAVKLPEEEYV >cds-PLY78606.1 pep primary_assembly:Lsat_Salinas_v7:1:106564386:106565229:-1 gene:gene-LSAT_1X84921 transcript:rna-gnl|WGS:NBSK|LSAT_1X84921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTIKDKITDLNATRKARSEAKNEEKAEKELAKSRAEVAHEIRLAKEAEASMEAHVNKAVEKAAQHDAKQQSQQTSKEVQNSQQGAADSVTTNPSGGMKQSNIPGHLSKFM >cds-PLY91702.1 pep primary_assembly:Lsat_Salinas_v7:7:26302043:26304170:-1 gene:gene-LSAT_7X19480 transcript:rna-gnl|WGS:NBSK|LSAT_7X19480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLFWSVIRQLAEIEAMATSKKVITRDEWEKKLNDVKIRKEDMNKLVMNFLVTEGYVDAAEKFRMESGTEPDIDLATITDRMAVKKAVQSGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKIEEALEFAQEELAPRGEENQSFLEELERTVALLAFEDVKNSPVGDLLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLRMLLWAQNQLDEKAAYPRITDLFTATLEDPTV >cds-PLY97848.1 pep primary_assembly:Lsat_Salinas_v7:2:216620858:216622902:-1 gene:gene-LSAT_2X134800 transcript:rna-gnl|WGS:NBSK|LSAT_2X134800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g37570 [Source:Projected from Arabidopsis thaliana (AT5G37570) UniProtKB/Swiss-Prot;Acc:Q9FHR3] MVLCSSSTSLSPLYLLKVCKNFNVRYLEQVHAKIIRQGSEQDHFLVAQFISVCTSVSPNHLTYVSTIFDRLVQPNIYLWNTLIKCHCTHSSLATSINFFRRMKQSCYVAADKYTFPSLVKACSGALALREGQVIHGLIVKYGTEGDVFVGSSLIDLYGKCGELIDARKVFDGMPVRNEVTWTSMIVGYSTNGNSLEAKKLFDEMPQRNHASWNAMINGFAKSGDLASARSLFDEMPEKNLVSFTTMIDGYAKSGDMASARCLFDESPGEKDIVCWSAMIAGYAQNGQAKEARKLFVDMCCKNVKPDEYVMASLISACSQAGDWEFAEWVDMYMSENKIEVTQNHVAAALVNMHAKCGNLERAEALFGNMPKRDLFSYCSMIQGFSVHGDGVKAVALFRRMLEGGGLIPDDVAFTVILTACSHADLVEDGCHFFHSMVNEYALSPSPDHYACIVNLLGRAGKLREAYDILKEMPVPPQPAAWGALLFACRTHGDVSLGKEVAAQLFKNEPQNAANYVLLSDMYAASDQWSAVDHVRNQMSDKGIRKLPGCSWIQQRYQ >cds-PLY66709.1 pep primary_assembly:Lsat_Salinas_v7:6:78241788:78241991:1 gene:gene-LSAT_6X56781 transcript:rna-gnl|WGS:NBSK|LSAT_6X56781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVAGVAAGISGLVSAHVLAKAGLEVVLYEMDNCLDSASKTISVNGIELDLGFMPFNQVAFVPSPST >cds-PLY67685.1 pep primary_assembly:Lsat_Salinas_v7:4:4119977:4120315:1 gene:gene-LSAT_4X3101 transcript:rna-gnl|WGS:NBSK|LSAT_4X3101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTVTVAAVKGDRDRVGFGCGRGRGQGSGKVERRLWMWPRWRLGVRERSRLVVVVVLVKQVAGRWYNHMVLVKTVVVDEFSCEAVSGSTVNRSCEGVGGLRWLMVEKHHY >cds-PLY83838.1 pep primary_assembly:Lsat_Salinas_v7:3:51065352:51068109:-1 gene:gene-LSAT_3X40940 transcript:rna-gnl|WGS:NBSK|LSAT_3X40940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGHAELYNIDDGNGTTKNFLSAGLTQLRFLYIIFSFSYLTLLGFWILVCFKNRRIFNRTHLLKGGLLLVNCVHFMCVAADLHHVKMTGTAHGLDVVFFIFQLMTAVLLSTVIVLIGAGWFFWKPFLKREEELVLMIVIVLEVWANVDPIMPWEAAVPYNNKNGSLADVIYCFAIYFSIALSSILLDEIRETDLNGNKNKLWLFMMLTIVYVLITKLFLLALSTRWEVYVVKETTILVFCMSILYIFRPGYRARITNAAETTGLSTSNSISCFYSPDVVEAVVSQVDVEMEVIDEVEGDEVIGKLLFLSTIVESVRIDGILPFYEGDDHENVNILCYSLMSYSFTTLKSVVVRQ >cds-PLY83802.1 pep primary_assembly:Lsat_Salinas_v7:3:52215813:52217453:1 gene:gene-LSAT_3X39761 transcript:rna-gnl|WGS:NBSK|LSAT_3X39761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPINECIIYFFFSALLFGSGGRCDSVPGIYILGDSLVDVGNNNHLPLSILKANFPHNGLDFPTGKPTGRFSNGKNAADFLAEKVGLPTAPPYLSLATDGKKLASTNVTVTGVSFASGGAGILNGTKFFFQSITLAKQVGYYSEVHDQLVQLLGPDGARTHIAKCLFLIVIGSNDIFAYYHKNSELPSQYTPQQYVDLMTSTLKQLMKRLYELGARKFVVTGVGAIGCCPVQRLKNTTNGCMEKTNNWSMEYNKGLQIMLKELKLESSDINYSYFDIFGVMSDLIQDPQNYGIKETKEACCGLGNLKANIPCIPVSTYCTNRSDHLFWDLVHPTEMVSHMFVDLLYSGSRRYMHPINVQKLVNM >cds-PLY95492.1 pep primary_assembly:Lsat_Salinas_v7:4:77547706:77554151:1 gene:gene-LSAT_4X53901 transcript:rna-gnl|WGS:NBSK|LSAT_4X53901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLELKLIFEIDDGGGDFNFCIGDDCDGSDSGKNVGGGGACDNSKVMRGGGWIHVIEMMKGIDDLGGGGDDDEHWWWTVGGSFPSTLFLGGGRSSDGGAVPRKKKAMVIYEHNGRALKVAIRPIFDEVLGGSLWRFYVGNEGSHLVWILSTKSKKASCVFVLWTGEFNAVRLKEELLPHTIWIKVLL >cds-PLY71574.1 pep primary_assembly:Lsat_Salinas_v7:3:53710787:53711188:1 gene:gene-LSAT_3X41180 transcript:rna-gnl|WGS:NBSK|LSAT_3X41180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLFELVNGKRNAEQSEDSRSPFFPCLVSNVLKVGGDILSLVDSRLNREASVEEVSKICKVACWCIQDEEDSRPSMSLVEQILEGIMDVNMPPIPRSVTLFVDNTEHVVFFTESPSKGSSEVYSSCSPQSSSS >cds-PLY93932.1 pep primary_assembly:Lsat_Salinas_v7:8:273525739:273526257:-1 gene:gene-LSAT_8X156501 transcript:rna-gnl|WGS:NBSK|LSAT_8X156501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLFDAKPHIMRFNEHQMTLFLWWRKPICMGRHAFGDQYKATDTMIKEHGKPKLVFILGGEGEEINLEVYSFTGVGALSMYNTDKSIVTFVEASMNITYQKKWPLYLSTKNTILKKHDERFKDIFQEVYERNTKSKFEAAHVWYEHNLIDDVVAYALKSDERHVWECKNTSF >cds-PLY90518.1 pep primary_assembly:Lsat_Salinas_v7:2:43176698:43176965:-1 gene:gene-LSAT_2X20561 transcript:rna-gnl|WGS:NBSK|LSAT_2X20561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGESLTEQCRVEVEGPRVMNFFSRRRSNDASANSVPAAAVIQRMQALSGMIGRKASVGGFLSPPSNPRAQLWTGGGNYQAGVR >cds-PLY92914.1 pep primary_assembly:Lsat_Salinas_v7:3:116199226:116199693:1 gene:gene-LSAT_3X82201 transcript:rna-gnl|WGS:NBSK|LSAT_3X82201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARIDVSHMKICVITTSLKRISEEITVFANYRIFKVGIYEIYDDWKPFSTQNYDNDSEFEDEDDEDAISDTMIVEEENKSDKIRETLGEGEIDSEFELERVAKSQFEENVHEAIEVEFPVADPADIPDGVGVKAIINDERPENLNGELGRSKEDQ >cds-PLY92735.1 pep primary_assembly:Lsat_Salinas_v7:8:67492681:67494958:-1 gene:gene-LSAT_8X47760 transcript:rna-gnl|WGS:NBSK|LSAT_8X47760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFVNDFSVFKEIQGILSCLWKQMLWILELIMKVLIKKTYLPVSVLDSWSRILHHEEKFIDVVNSPLRLFMNVDITLSFEYNHLNETGKYKVFKENFSRSVSSDRDLKVLKDVDMVFFPILGQKHIYLLVINLKKHVFEVIDNGADDVDFDDKYGAVFKPLIIFLSNGGSVLGVRYNLYENLATNMKNKNLGKWGT >cds-PLY98023.1 pep primary_assembly:Lsat_Salinas_v7:8:43391528:43393795:1 gene:gene-LSAT_8X32820 transcript:rna-gnl|WGS:NBSK|LSAT_8X32820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRALLGSFNDTSVMYTLKDAYSWWDELNDSPIWQDLIFHVLAALYGVVTLVALVQLIRIQLRVPEYGWTTQKVFHFLNVLVNGVRCLIFLFHRDVLQLKPEIVQHILLDVPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPTFFIINGIIYAIQMVLWLIIWWKPISLFVILSKMFFAVVSLFAALGFLLYGGRLFSMLQHFPVESKGRRKKLHEVGYVTAICFVCFLVRCIMMCFNAFDDAANLDLLDHPVLNFIYYLLVEILPSSLVLIILRKLPPKQSIMPYHPIR >cds-PLY98174.1 pep primary_assembly:Lsat_Salinas_v7:1:140012399:140014301:-1 gene:gene-LSAT_1X101521 transcript:rna-gnl|WGS:NBSK|LSAT_1X101521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRLMLLQLNYVQITGSYKNFPKELRWLCMHGFPLKSIPSDLPMENLVALDMSYSNIESFGICYSYPQRLHKRLKQLIGSCSKEKRLLGSLKILNLSFCEQLHTLGGFSHFPKLERLILRGCIGLLEVCESIDQCVELVLIDMSYCNKLEKLLRSIGLLKKVKTLLLKGCSLGESQIKIRDTNSMIQLKSSIGTNTKTSSSVVLEAIPSDLKFFTIPLPRSLVRLSLADNNLSTESFPVDFSCLVMLKELYLDYNPIVSLPSCVRSLPMIEILSMVNCNMLMSVEHPPHTLRFMQLYNDSNKPMLRKVVFDPEMSHFELVINRNLLASSSFEINGLVKIQSMEGVEETVLRALGWTKLDFLIGRRLGTYFDGRREESEIQMFYEFGIFSTIYGGEEMPNWITEKSMGPSISFTIPSSPNNLKGLNFCCVLTCGSIEDEFLGVPVIITSNITQNRTWIYEHYIDRLFNVGVKSLTLLSHWMFGINEMECGDKVTIAVTWNPLLFANEVTKECGVSFVYDDGDTDGEDALSYYKSWNHIIGGDLTGFQVTTGEYILNRSRMPLPYIDKNLLKYDNCMEKAP >cds-PLY61904.1 pep primary_assembly:Lsat_Salinas_v7:6:57476147:57476711:-1 gene:gene-LSAT_6X42020 transcript:rna-gnl|WGS:NBSK|LSAT_6X42020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNADMFHDMLPKETDGRKDTHNDRHGDDRWTKVRQKRHSGDNSKEVTTFYMTNLQAGVTVAVVRESFQSFEKVNIAKYVKNPRRHIPLQRPNRINRPLTPRMKWNNICNRKSFADVVLRNHRKYDIRLPPAVEIPTVFLKRAPMIDSCNN >cds-PLY65136.1 pep primary_assembly:Lsat_Salinas_v7:2:75688486:75690218:1 gene:gene-LSAT_2X33261 transcript:rna-gnl|WGS:NBSK|LSAT_2X33261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPQMASHQKNSLFIVFYRFFVPPPFSYAPPSSPNIHLHDSLTPHSSDSLQQIPATRTIGVISKINQASSDPKVLAAVQAHLLGQGPRSSVDIPWVALIGQSVSIASAQSRNVGSNNSLETSWRAESESLKCGAFD >cds-PLY84761.1 pep primary_assembly:Lsat_Salinas_v7:5:229555436:229555786:1 gene:gene-LSAT_5X109200 transcript:rna-gnl|WGS:NBSK|LSAT_5X109200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEPKHPTAERVSNITGQFQNYQSSSSSGRRRVVGTISNCNTVAPSDHHRDWGLLLPRFVATSRPPLLRSPLHSVSPTKSSFVSPPQVTKSNIGTMRYPPSSRYDLSPSSSSRSA >cds-PLY73428.1 pep primary_assembly:Lsat_Salinas_v7:4:179873861:179876299:-1 gene:gene-LSAT_4X106580 transcript:rna-gnl|WGS:NBSK|LSAT_4X106580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYNATHRRNLSAPTPKTAIDPTTANHHPPPSTTIQDISTHFSRLYLNHKARSFSKPSIHPQAEFTVSHRLAAASLTKSQSQRTRGNPHFINEEHQEESIKKAIVISSNEPRKNVNLLPGNQEKMRKLQQGYEIKMQSLPLVGFDRGKRRSFGCCSSKVELADFLIFNGVKVVSADMPPFMQIHAVDITRKTYDSLEKFTAKTLASTLKKEFDEIYGPAWHCIVGLSFGSFVTHSVGGFLYFSMDQKLYVLLFKTSVQRAH >cds-PLY95432.1 pep primary_assembly:Lsat_Salinas_v7:9:188378263:188378828:1 gene:gene-LSAT_9X115701 transcript:rna-gnl|WGS:NBSK|LSAT_9X115701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSVSNSSAYRSARQVRRRCNCDEPVGRWTSWKPKNPGRRFVGCPNYKVSIFESLSASYTTKCRPMQDKEKDCKFFEWIDPPLPNNWYKQMIYDFHNQGIHGVNDEGFEDFMGEDVEGVVQQIPLQVEGVMVLKGWKIWCLVGLIAIIWVMVM >cds-PLY97923.1 pep primary_assembly:Lsat_Salinas_v7:4:84152554:84153285:1 gene:gene-LSAT_4X55060 transcript:rna-gnl|WGS:NBSK|LSAT_4X55060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTEIKGKFIVELAKFVFGLAAGSQYLLPGADKARFFKLDPKKKGFDPAYLSIGWMVANLIAWLISVVVISIEVVIETGGAIGNVSVANKNKWRRSGLFMDFLSWIFYLIGFGLNVAFNSYSQSVSGFLVLLLLNVCIVVMVFRSIFLLYKFWKAPNTEVNILG >cds-PLY86171.1 pep primary_assembly:Lsat_Salinas_v7:3:242200801:242201416:-1 gene:gene-LSAT_3X135500 transcript:rna-gnl|WGS:NBSK|LSAT_3X135500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELWKTAFMDACERICTVRVAGHDCGCLPVLSRLVMEECMGRLDVAMFNAILRESVDEAPTDPVSDPISDARVLLVSSGKPSFGAGAKLKKVIGNWSRWLIDLFGIDDDDSSFKSFHLLNAFSDLMNAFSDLMMLPKDMLLALP >cds-PLY87355.1 pep primary_assembly:Lsat_Salinas_v7:1:98412628:98427485:1 gene:gene-LSAT_1X80721 transcript:rna-gnl|WGS:NBSK|LSAT_1X80721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNLKLFLSSKPPPQGNHHHCGRTPSISHHHHHHLLFPASNRTFYSLQSFPSSRNNFNSYSRSRRSRYRVCSKAADVQSKDASASEATFVAGRDRLLKVPTENIRNFSIIAHIDHGKSTLADKLLQVTGTVQSRDMKEQFLDNMDLERERGITIKLQAARMRFTHESKPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENNLEVIPVLNKIDLPGAEPSRVVQEIEEVIGLDCSNAIYCSAKEGIGINEILTAIVQRIPPPLDSAKKPLRALIFDSYYDAYRGVIVYFRVIDGTVKKGDRIYFMASGKDYFADEIGVLSPNQLQVDELYAGEVGYISASIRSVADARVGDTITHYTRKADQSLPGYKEATPMVFCGLFPIDADQFPDLRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLSLITTAPSVVYRVHCSNDETVECSNPSALPEPGKRRSIEEPIVKIEMLTPKDYIGALMELSQERRGDFKEMKFITETRASLTYQMPLAEMVGDFFDQLKSRSKGYASMEYSFIGYKESDLIKLDVLINGEGVEPLSTIVHRDKAYSVGRALTQKLKELIPRQMFKVPIQACIGTKVIASESLSAIRKDVLAKCYGGDISRKKKLLKKQAAGKKRMKAIGKVDVPQEAFMAVLKLEKEDQTGAEIAALAPAPTVAEIVAPALAPEISCCPRPWRRSRSPISRHKKSRSPTPRRHKRRKSRTSSLSPVESPTQSITSNALSKSRKEEEEKKRLEQEMELKILEEETARRMEEAIRAKVEEKLMSNEVKLEIEKRVEEGRKKLFDDIEAQLEREKQTALNEARQKEEQARREREELDKMLEENRRRVEEAQRREALELQRKEEERFRELELIQRQKEEALRRKKLEDEEELIKLSSKVKPR >cds-PLY62888.1 pep primary_assembly:Lsat_Salinas_v7:9:132070564:132072285:1 gene:gene-LSAT_9X85820 transcript:rna-gnl|WGS:NBSK|LSAT_9X85820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWQFLQDLFVSWGKNMPESDLLRNVSRENLIWLDNVWLVIKDRFFRKVRNVSSNIQYDSTRSSFVQVRDSSQLKGSSDQSRDHFDSISNEDSEYHTLINQREIQQLKERSILWDPSFLQTEGTEIESNRFPKCLSGYSSMSRLFTEREKQMINHLLPEEIEEFLGNPTRSVRSFFSDRWSEFHLGSNPTERSTRDQKLLKKQQDLSFLRRSENKEMVNLFKIITYLQNTVSIHPISSDSGCDMVPKDEPDMDSSNKISFLNKNPFFDLFHLFHDRNRGGYTLHHDFESEERFQELADLFTLSITEPDLVYHKRFAFSIDSYGLDPKQFLNGVFNSRYEWKTTSLLVLLVLLPIFYEENESFYRRIRKKRVRISCGNDLEEPKPKIVVFASNNIMEAANQYRLIRNLIQIQHSTHRYIRNVLNRFFLMNRSDRNFKYGIQRDQIGKDTLNHRTLMKYMINQHLSNLKKSQKRWFDPLIFFSRTKRSMNRDPDAYRYKWSTGSKNFQEHFVSEQKSRFQVVFDRLRINQYSIDWSEVIDKKDLSKPLRFFLSKLLLFLSNSLPFLFCQFREYPHS >cds-PLY84781.1 pep primary_assembly:Lsat_Salinas_v7:8:25053803:25055281:1 gene:gene-LSAT_8X18761 transcript:rna-gnl|WGS:NBSK|LSAT_8X18761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKKQYNNDILAYWLSNASTLFLLLQRTLKPSGSASGIAPQSCRSSAALFGRVTSVMSFRGTPQGIKLPSFVDGDLSSGVEGGYTKVEAKHPTFHFKQQLTTYVEKTYGTIRDNLKKEISLLLRMCIQAPRISRASLAIGSSRMVSSSAQQTLIAHWQGIMKSLGSFLNVPLVLVRKVFSQIFSFVNVQLCNSNGEYVKAGLAELDHWCFNATDEYSGSAWDELHWLK >cds-PLY88063.1 pep primary_assembly:Lsat_Salinas_v7:6:183830503:183831717:1 gene:gene-LSAT_6X112181 transcript:rna-gnl|WGS:NBSK|LSAT_6X112181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHHTKTDSDATSVTTSSPTRSPPRRPAYYVQSPSHDGDKHLTTISFHSTPALLSPNGSPPHSHSSVGHHSRESSSTRFSGSLKPNGARKISPNDIAMKSFAKSWEKQFNSIEEEGLLEDDDLEKPIPRRCYVLGFVICFLILFFLFALILYGAAKPQKPNLTMKSIKFERFVIQAGSDSTGVATDMVTTNATVKFTFRNTATFFGLHVTSTPIDLSFSQITVGSGSMKKFYQSRKSQRLLTISVIGDKIPLYGSGQGINSLKGSPTSPVPLKLSFVVRSRGYVLGKLVKPKFYHRVECSVAYDPKKINVPMSLKNNCKFL >cds-PLY87811.1 pep primary_assembly:Lsat_Salinas_v7:4:292879114:292884296:-1 gene:gene-LSAT_4X152080 transcript:rna-gnl|WGS:NBSK|LSAT_4X152080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHTAYDSRELLNDCPKKINAVEYYGAKLLLACSDGSLQIYGSESANRSSSSDRLYQTLELKKELYVLERNVNGFSKKQVWSMELLASRELLLSLSESIAIHRLPNLEPVTVISKAKGASAYSWEDRRGFLCFARQKRVCIFRHDGVRGFVEVKEFGVPDTVRSMSWCGENICVGVRREYTILNATNGALYEIFPWGRIAPPVMVPLPSGELLLGKIRSLRVPYPLIQTIVLRNVRHIQQGNNGIIVSLERCLFGLFPVPLGAQIVQLTASGNFEEALVLCKMLPPEDSSLRASKEQSIHIRYAHYLFENDSYEEAMYHFAASQVEITYVLSLYPSIVLPKPVDKSTDVSGESTLSRVNSGTTEYYTDSSPSSQISQMESDDIPALEPKKMNHNTLTALIKYLKKKRPNIVGKAAAEGTEEVVSDAVGQTTRSKKSNKGRVNIPTDSGAREIAGICDTALLQALLLTGQIVAVLELLKGFNYCDMKICEELLQKGNHDVCLLGLYKYNSMHREALKLLHELVEDSKLEQPKITTKNKFKPEMIIDYLKPLCGIDHMLVLEFSTFVLESCPTKTIELFLSGNIPADLVNSYLKQHAPTLRATYLEQMLSMNEDGISPHLQNEMVQIYLSEVLDWHADLTAQNKWDEKLYIPPRRKLITALQGISEYNPEAFLKRIPPDALYEERAILLGKMNQHELALSIYVHKLHVPESALSYCDRVYELGGAHQQYGKAQGNIYLTLMQVYMNPRKTTKNIEKRITNLVTSSSTGNLKSAWSSVRGKGKGLGKKIVEIESAEDSRMKASSGESGRSDYDTDDVIEERSSTVMLDEVLDVLSQRWDRLHGAKTVRLLPKETKLQRLFPSLGPLMKKTSESCRNFQVIKSLRQCENLQVKDDLYKQRKGELKITGDSVCSLCNKKIGTSVFAVYPNGNTIVHFVCFRDSQNMKATRKGSFLRKR >cds-PLY77411.1 pep primary_assembly:Lsat_Salinas_v7:9:200921891:200925301:1 gene:gene-LSAT_9X124900 transcript:rna-gnl|WGS:NBSK|LSAT_9X124900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLLFSNRPLSITSDTGIYHALNMCRNCRTAYYFRPFKIDPLLQGSFIEIGRIRAGKEGDVGIIANANANDVDENRKVGVKMWEKLRSYGGGDTVDGPTVDAGGVAVEEQEKRGECDGDGQGNNGWSGGSKFGIELPTPKEICRGLNEFVIGQEQAKKVLSVAVYNHYKRIYHASMHTNQETENQDVDHVELDKSNVLLMGPTGSGKTLLAKTLARVVNVPFVIADATTLTQAGYVGEDVESILYKLLVAADFNVEAAQQGIVYIDEVDKITKKAESLNSGRDVSGEGVQQALLKMLEGTIVNVPDNRARKQPQGDGIQVDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPVRANMRGGGLTNAVVTSSLLESVESGDLVAYGLIPEFIGRFPILVSSSALDEDQLLQVLTEPKNALGKQYKRMFAMNNVKLHFTDTALRLIAKKAIAKNTGARGLRAILENILVDSMFEVPNPPHQGADFVDAVVVDEEAIGSEEQPGTGAKILYGINALQKYLNNLNSTHPLEATQGNKGGTMEGDLEVTSRAMSL >cds-PLY69027.1 pep primary_assembly:Lsat_Salinas_v7:9:138958885:138959211:-1 gene:gene-LSAT_9X89460 transcript:rna-gnl|WGS:NBSK|LSAT_9X89460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDTIFSHIGSILEVMLAKVPSNTEFINMYRPIPKFGERNIPTSLQAILDVGAVLQMDMGKLKAKVLEVVVTMKPNTQSKLKKKAKVCVVDEGMEKTTICDDHLEDNV >cds-PLY85393.1 pep primary_assembly:Lsat_Salinas_v7:5:244781002:244784174:-1 gene:gene-LSAT_5X121441 transcript:rna-gnl|WGS:NBSK|LSAT_5X121441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEVKSIIEPHDQSTSTSASTNRNMLSMKQFSENCDKKQLWCKVITSLKIALFSNKINLLIPFGPLAMLVDTLTGNHGWVFFLSLLGIIPLAERLGWATEQLAFYTGPTVGGLLNATFGNATELIISMYAMKHGMLRVVQQSLLGSILSNMLLVLGCAFFCGGIFLPKKEQVFDKSNAVMSSGLLLMAVMGLLFPAVLHFTHTELHFGKSELALSRFSSCVMLIAYGAYLFFQLTTQKNSYSPITEEDSPKEDNSDDDDEESPDISKYECVIWLSILTLFISILSEYLVNTIEGASVAMNIPIAFISVILLPIVGNAAEHASAIMFAMKDKLDISLGVAIGSSTQISMFAIPFCVVVGWIMGRPLDLNFQLFETATLFITVLVVAFMLQDGTSNYFKGVMLVFCYLIVAASFFVHIDPLSIRKL >cds-PLY79598.1 pep primary_assembly:Lsat_Salinas_v7:2:166235195:166237859:1 gene:gene-LSAT_2X88061 transcript:rna-gnl|WGS:NBSK|LSAT_2X88061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPISVGITIKKISPLFPINFRSLFFSNSSAKNVPSDSSILAEKLVSSLERCGDVNSLRRLHACILVQGLETNTFLGSKLQNTYAFFGLLTESRSVFSRINNNNLSLWDSVIIGHYKAGQFDEVLRVYLDLRQRKIGIHGSAFTFTLKSCIHLGRPELGKAIHVDIIKFGLNTNRFVGSSLIGFYSMYRDMADACKVFDEITERDLVAYTSLITGYTQTNDHRACEAFAIVQHMQTENLEPNRVTLVSLLQAAAHLQLLNHGELIHAYAKRRGIGCVDEAFQTSLMDMYIKCGAPNKATTVFHTMSIKTTSCWNVLIAGHLKSSQPFEALNFFSLMAQKGHRFDLITLANGIISCANLGLLLVGKSIHSYMFRNRVHLDVVANTALVDMYSKCENCINARKIFDTMKDKDVISFNVMIDGYLQNGHACEAIEAFHNMRTLGLTENEATILTVVSAFSDLNDIRQGRSIHGYVITHGFESKTDIANQVLYLYVKCGYIQYARQIFDRIKHKDLVSWTSMMMGYENLGHVYKVINLFQEMQSEKQLTPDSITLTCLLQAFSQLGCLTQVKEIHCHVIRASMDNQITIMNSLLTTYSKCGMYKISRVLFRKMRTRCLASWNTMIAASGMHGDCVGALALIEEMKKEKIVPDDVTFMSALSSCSHSGFVEGGLNLFRVMKEEYRIVPREEHYGCMVDLLGRAGQLDEAFDFLKCVPHTQSGSALRALVAACRVHGNNEMGESLGRWLLDFDPENSSSYGLVSNMFAESQKWDEVARVRESAKQRGLKMTSGYSMIEIDT >cds-PLY76688.1 pep primary_assembly:Lsat_Salinas_v7:3:140916289:140919431:-1 gene:gene-LSAT_3X93840 transcript:rna-gnl|WGS:NBSK|LSAT_3X93840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNQWGFRLHLIFISIFLCATTYTCLGFNNTSVICPEQERFALLKFKESVQDDFGMLSSWVGNDCCRWGRIQCDPVTGHVERLHLRGDWDDEFLPQTLYLVGNEVSSSLASLSHLKYLDMSWNDFQGSKIPEFIGSLKQLTYLNLSNAGLQDDMAWTFGLSSLKHLDLSFVGLYRAKNVNMVFYMIPSLEELNLHECELYFSDLGHSHNSSKIPPKIKHLDLGWSNFNGPLPRLLQNMTSLEFLDFSYFDLSLSWSFADLLNMIPSLSELHLTNCGLQNTHLSSPRLNYTTLSNIQHLDLTNNLIEGKFPSILTNMSSLSVLDLWGNMLNSSVPIMPNLIELDLSQNMFKQIEHVGIWRQCQLKKLSVSLNPFGLETIESLKNASECSRYSLERLKLGSSLYDIILESLGRLANLRELDLSFCGLTGPITESITGLRFLEVLDLSYNQLTGTIPESLGGLANLRELHLHNCRLIGPIPESVTRLRFLQVLDLSHNQLTGPIPESLGRLVSLQSISLTSNRLNGTIPVSISQLPKLHSVDISNNFLEGVVSEAHFANLSMLKHLDTSSNTGLTFNVSHGWIPPFQLVSFSYCKIGSEFPQWLQSQKTLIKLTLSNATISGSLPTWLGKMHIKFLDLSQNKLIGPLTNLPNGGKIHVSRYPFYAGLFLQDNLFNGSIPRSLCRRIDLIILDLSKNRLTGKIPKCLKNLQNLEGIRLSSNKLYGDIPRSITLFSSSLLGLKLNDNKFSGKLPRELGDLQGLTILDLGDNEFFGNIPEWIGDKLQDLMVLRLRGNNFMGRIPRSMCNISELQILDVAYNNLTGTIPRCLGRLHAMVSDTGGKSGGSDDLEEEYVNQVLKGVDRVYTTSWKIVFNMDLSSNQLVGGIPVELTALSMLMGLNLSNNHLTGFIPDSIGNMTSLESLDFSKNELTGICWRNHN >cds-PLY62670.1 pep primary_assembly:Lsat_Salinas_v7:7:194183573:194186374:-1 gene:gene-LSAT_7X113940 transcript:rna-gnl|WGS:NBSK|LSAT_7X113940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEASSNQPYYWRPTQNLNLYSGDVSQGSQFSNNSTSSVSFSTNGSPVSVSLQESHPDTDYNSQDVDDLQLRLRELETVMLGTDEDFQDLFDVSSALTAATAWRDDDCRTMKEMIDRGDLKQVLLACAKAIAENESITAEWLISMVRPLVSVSGEPTQRLGAYLMEGLVARLSASGSFIYKSLRCKEPTGPELFSYMHMLYEACPYFKFGYLSANGAIAEAMKNEDRIHIIDFQIAQGGQWATLIQALAALPGPPRLRITGIDDSRNAYARGGGLEVVGQRLTKLAEACKLPFEFQGLPVSGSEIEARHFRIDPGEALAVNCAFVLHHLPDESVDPQNHRDRMLRLIKGLSPKVVTLVEQEANVNTAPFLHRFQEALSYYSAMFESIDVTVPRDHKDRINVEQHCLAGEIVNIIACEGAEREERHELLGKWRSRFAMAGFTPYPLSSYVNATIKTLLDNYCDKYRLEERDGALFLGWMNRDLVSSCAWKCNF >cds-PLY95509.1 pep primary_assembly:Lsat_Salinas_v7:4:142569109:142569790:1 gene:gene-LSAT_4X87360 transcript:rna-gnl|WGS:NBSK|LSAT_4X87360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSEGPDPDPHLKPQHSTTFVQADPSNFRAVVQRLTGDTTHKLPNSIPFRHAGKPTAHRRSGYKLQERRKSVKKLEITLSNVSQPVCFMSPLVVERDKSVVGFVGGDGKMVEESPVSTLAVCARGSPGTPMEKEGEEEKAIAEKGFYLHPSPIREPEPELLILFPLTSPKNDPSYSS >cds-PLY69920.1 pep primary_assembly:Lsat_Salinas_v7:4:67345684:67346624:-1 gene:gene-LSAT_4X46700 transcript:rna-gnl|WGS:NBSK|LSAT_4X46700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEHVEADNAEAIITRIEHKSRKIESLLKQYKPVEALKTALEGSPPKTRDERCKSANWIVVHRALMAIKDVDLMFTSLDPEYYDILMKYLYRGLSTGDRPTCDQCLRIHEKLTHKAGLGCILRALSDTVNTV >cds-PLY63903.1 pep primary_assembly:Lsat_Salinas_v7:5:127203540:127206487:1 gene:gene-LSAT_5X56820 transcript:rna-gnl|WGS:NBSK|LSAT_5X56820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRSLDNTLPLTPERPKKAIKVTNSIAKHNPKPKQPVDPNVNDENIAPLDPVAPAVDSVDYVSSEDLKPLSDPDVKFQGLIEGLESKDWLKICDSLNDVRRMALFHSTLLLPILEKVLLILVKAMKNPRSALCKTSIMAASDLFKSYGDKLLESTTCDAVDQMMLQLLLKASQDKKFVCEEAERTLKTMVAFIAPIPLLHKLKGYVSHGNMRVRAKAAVSISHCVSKMDVGEMRDYGVVLLVQMAAELLKDRLPEAREAARGVVVVIHKVVMEEEGSEEEEEKEKEKWQNFCQENLSAIDALAMDEVQTAALKTVYSREFQSMVDGSGSHSGGGDGEDYSGEETGQFSDKNRKLSNHQVRSMDKICLSSDKDVD >cds-PLY93987.1 pep primary_assembly:Lsat_Salinas_v7:4:274257629:274263433:-1 gene:gene-LSAT_4X140940 transcript:rna-gnl|WGS:NBSK|LSAT_4X140940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BONZAI 3 [Source:Projected from Arabidopsis thaliana (AT1G08860) UniProtKB/Swiss-Prot;Acc:Q5XQC7] MGGCFSDVSGGKAAVGGIHNLQHQSGPSSNVAVASSSGTAENEAVDFFFRSKGLQPLFTRIELSLSASKLRDRDLMSKSDPMAVVYAQKRNGTLEELGRTEVIMNSLDPMWIQKINVLFHFEIVQPLIFQVYDVDSKYHNLSTKVLKLNEQDFLGEATCVLSQIMTLRNRSMTLKLHGKEGHIGDFGSITVHAEETIDSKIATEIRLRCSNLDNKDLFSKSDPFLRISRVVESGNPVPICKTEVVNNNLDPVWKPLCITLQQYTSKANPLVIECFDFNSSGNHTLIGKLQTSIEGLELLYKEKIGVNLAIPSSRHQDREKILKGKLFVDGFIEKQIYSFLDYISSGFELNFMVAIDFTASNGDPRRPDSLHYIDPSGRLNAYQQAIMDVGEVIQVYDSDKKFPAWGFGGRMYNGPVSHCFNLSGNTEVEGVQGIMAAYQNALHTVSLHGPTLFGHVVNQAAQIAAHSLSQSSSKYFVLLIITDGALTDLQETKDALVRASDLPLSILIVGVGNADFGAMEILDADNGQRLESSTGRIATRDIVQFVPIRDVHRGDISMVQGLLEELPGQFLTYMRSKGVKPLNFDGNQVPIPPDLLFT >cds-PLY94013.1 pep primary_assembly:Lsat_Salinas_v7:8:99775387:99776506:1 gene:gene-LSAT_8X69941 transcript:rna-gnl|WGS:NBSK|LSAT_8X69941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEGYAIELYFDPALENQVLKAWNVVARRQISTHLIEIESRPHITLFSSPFIDPSKLENIVKGFASKQDPLPLSFGSIGSLPNDNNVLFLAPTPTLPLLQFHFQLCDAMKREGIEIGEEYRPDSWIPFCPVAEEVPKNRMAEAFTVLRDLKLPVTGYAMDIGLVEYSPVRELFSFVLGNSVES >cds-PLY98597.1 pep primary_assembly:Lsat_Salinas_v7:1:36461581:36463689:-1 gene:gene-LSAT_1X30801 transcript:rna-gnl|WGS:NBSK|LSAT_1X30801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENSVVNGFSPVSSTPVFWKSRKRSGNSSLNTTSAKNLYKDIVDEGDKTSEKKEEQVTTTDEKMEDSLSTPPTVLSEKRKALFEPLEPIMDLSGRRPSAESLLPPPDFDTASYPRGWLIGKKRKLVNVDVVESMRRIAVQEMNRKDREINGLNEQLEEDARVLEHLQLQLLDERSKRADVERQNTMLQNQVDMLMNMLQEPENIDDEDGSQEP >cds-PLY88107.1 pep primary_assembly:Lsat_Salinas_v7:6:181389233:181389550:1 gene:gene-LSAT_6X109720 transcript:rna-gnl|WGS:NBSK|LSAT_6X109720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSNHSNHDDRRKIHLQRKRAAAVASRWFSGSNNDGSGLRWRQPGRQLLRSLTGAASFDRSRWPFPSYTRRPATTMMVAFRWRTAGGMVAATGGSGRYKVGDC >cds-PLY76469.1 pep primary_assembly:Lsat_Salinas_v7:5:198359139:198363058:-1 gene:gene-LSAT_5X88901 transcript:rna-gnl|WGS:NBSK|LSAT_5X88901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCSVMVRLVGSVSSRIPITQNSCLHSRRLLTSRPSTGTSNNGVVATTTRVLRRGSSSIHTFKAKAMRREEGERRFPPQHQDGQPGKEYLMDPLPIFSDPNYKPTNKLQGKVALVIGGDSGIGRAVCNFFSKEGATIAFTYVKGVEDIDAKYTLEIINDSKMSDASDPIAIPTDVRYDNNCKDVVDKVVATYGRIDVLVNNAAVQYETYTLDDITEERLERIFRTNIFSQFFMTRHAVKHMKPGSSIINTTSALGFSGSTKLLDYASTKGAIVNFTKSLAIFLVDKGIRVNGVAPGPIWTPLEAASLNDNDIATFGSEAPMNRAAQPVEIAPSYVFLASKDSSYYTGTFLHPDGIILYTQTHMKATYFLL >cds-PLY74024.1 pep primary_assembly:Lsat_Salinas_v7:7:9639530:9643397:1 gene:gene-LSAT_7X8881 transcript:rna-gnl|WGS:NBSK|LSAT_7X8881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPYAAAPTYPPPPSAQIQPPTQDPYLYNQPQPYPYYPPQIPQQQYPNPNPVYQPHPEQEPAPIHPPGVPVQNDPNHIAAYQQGYAQPHFQNDPYYQQQQQPQPQPQPQQLSYPDAGMVHQGQHQWQPQGQTGEFGLTALYPPNGQGQFSGSGRGTGRGGFRGGGQGRGRGKGRSGKITTEPKPRAMAWCELCRVDCNTLEILENHRNGKKHKKNLKIQEDLQKLAAKTQESQIPPAPESEQQQNQNGLKRKTREVERKPVEAVKKQKEIVPFICELCDVKCESGPTFDSHLKGKKHVFNLQRFQEQQATLGQAALQALYPALEALYPALLQTVTQNTNASTSTPLDHQLLQWLQSYLAQTGSAILPQGPGPVPVPGPSFGPLGPTQEGPVPVPGPGFVVPGPTQEGPKEVTGTQVEPVKETEIKIEEKVGPESGAGQVELNRAEPEQEQEPKPEEEAKVEPKAEEAKQ >cds-PLY74009.1 pep primary_assembly:Lsat_Salinas_v7:1:33188818:33190507:-1 gene:gene-LSAT_1X28580 transcript:rna-gnl|WGS:NBSK|LSAT_1X28580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNTGVVAMHMAVTHHNKVVIFDQTNAGPSQYRLRKCKARGGSRESSCYAHSVEYNIVKNKVRALHLATDTWCSSGSFLSNGTLLQTGGNGSGSKKIRYYKPCQNNGCDWMESKKEMFVRRWYASSLSLPKENSVIVVGGQSAFSYEFVPKTSNKPYDLPFLHRTFERNSKGNNLYPILHLSNNGHLFIFANRDSILFDYKKRKVVKNFPKIPGNGARSYPSTGSSVILPLDYNDNFGKVEVMVCGGAARGSYTAAQRRRYLTGLRSCGRMVITGNRHKWRMENMPGPRIMSDMLVLPTGDILIINGAKRGCAGWGTASDPALEPYLYKPDNGPGKRFQTLKKTKIARLYHSSAVLLPDGRILVAGGNPNNRYIMHGVTHPTELRLQALTPDYMDPQFDDLRPKDVMVIYPRKAHGVENGRIFNVTFGLTNDSGNLSVVAYAPPFTTHSLSMNQRMLRLECLGLESGGGQDRVQASVVAPPSIYVAPPGFYMLTVVNGGVPSRSSWLRIL >cds-PLY89183.1 pep primary_assembly:Lsat_Salinas_v7:3:22323427:22324509:-1 gene:gene-LSAT_3X16621 transcript:rna-gnl|WGS:NBSK|LSAT_3X16621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASCILKSVCLFGWNVGKNKANDKPQPNYHNLDLPFPSFLLNKTFLNGKELKCCYKATLDGFSATSFHECSDFKGPCVIIGYTDNSFKFGAFNPEGYRSTDDYYETFDAFLFYWPDEEKNYPIVLPKVGGSGAALFDYARGGPQFGADGLLIGPPLAPVMGGFAGPDTNSGVGDLRQAKSRLGLSYAKREDGKESLFGDESRASLEEVLVFCSPQIASLY >cds-PLY85637.1 pep primary_assembly:Lsat_Salinas_v7:3:88540269:88542198:1 gene:gene-LSAT_3X67441 transcript:rna-gnl|WGS:NBSK|LSAT_3X67441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQEVTNGASKSKKNSKKPEYSRFTQQELPAWKPILTPGWVITSFLAIAMLFIPIGLVSLFASERVVEIVYRYDQDCVPEIYRGNVTSYIQNPLTNKTCIKNVTVPKKMEAPIFVYYQLDNFYQNHRRYVKSRSDKQLRSGEDDFSTKGCEPEAKSSSGKPIVPCGLIAWSLFNDTYNLLMQDKVLKINKKGIAWKSDTKVKFGSNVYPKNFQSGGLIGGGKLNQSIPLSEQEDLIVWMRTAALPTFRKLYGKISVDLEAHETITVVLENNYNTYSFGGQKKLVLSTTSWIGGKNDFLGIAYLTVGGICLFMALNFILLYVFKPR >cds-PLY98508.1 pep primary_assembly:Lsat_Salinas_v7:7:126986927:126994600:1 gene:gene-LSAT_7X77280 transcript:rna-gnl|WGS:NBSK|LSAT_7X77280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTANNYDADREASDASIIKGKVDPAKPASLTWKRKLNTKEIPLSEFGLKFKEVIHMAPFLYRLWRWIREDAANGNGNGTNFNPFKKHCYSSCNGVPLGGIGAGSIGRTYKGEFLRWQLFPKTCEEKPVLANQFSMFVSRPNGKKYSTVLCPPNPEMLKENSVDGIGSWDWNFSGEKSTYHALYPRAWTVYDGEPDPDLKIVCRQISPIIPHNYKESSLPVAVFTYTLSNTGKTAADVTLLFTWENSVGGSSGLSGHHSNSKMASADGQPPVTFAIAAQETNHVRVSECPSFIISGNSKGLTAKDMWNEIKKNGSFDHLDSSQLPMVSEAKSCIGAAIAASVTIPSQAVRTVTFSLAWDCPEVVFPTRTYHRRYTKFYGSHGDAAEKIAHDAILEHGNWEAQIEAWQQPILEDKRLPEWYPITLFNELYYLNSGGTIWTDGLPPVHNLSTINGRQFSVDRSNMDSQSNGDTNTTHHQHDTAVNVLERMTSVLEEIHNPTSKISAFGTNLLQKGEENIGQFLYYEGIEYHMCNTYDVHFYASFALIMLFPKLELSLQRDFASAVMMHDPRKMDILSDGVSVPKKALGAVPHDIGMIDPWFDVNFYNIYNTDQWKDLNPKFVLQAYRDVVATGDKNFAKAVWPSVYIAMAYMEQFDKDGDGMVENEGFPDQTYDTWSVLGVSAYCGGLWVAALQAASAMAGVVGDKGCEEYFWAKFMKARSVYDKLWNGSYFNYDDSKGRTSSSIQADQLAGQWYTRACGLSPIVDEEKAKSALEKVYNFNVLKVNNGKRGAINGMLPTGEPDMSCTESREIWTGVTYGVAAGMIHEGMIDTAFHTASGVYETAWSEEGFGYSFQTPEAWNTDGQYRSMTYMRPLAIWAMQWALTQPKMPNKKIKPDLKPESFMRQHIGYTKVARLLKLPKEQHTRSILQIIFDYTCKKMST >cds-PLY66904.1 pep primary_assembly:Lsat_Salinas_v7:7:18513689:18514854:-1 gene:gene-LSAT_7X14801 transcript:rna-gnl|WGS:NBSK|LSAT_7X14801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGLLDECQNKLDYVLASMLKTSLKVIFKPSSSKVVWPKSYILLMSSSNKGTSGGRPGGVKQKNQKAVAKKASSGDDDEIYSPAPCAPRKRNERVRKKDEEG >cds-PLY72457.1 pep primary_assembly:Lsat_Salinas_v7:2:141440392:141444048:1 gene:gene-LSAT_2X69520 transcript:rna-gnl|WGS:NBSK|LSAT_2X69520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYRKKFQASALIFLYLALELATAYAFIIGIGGLGRVQVLIHGYLRAEGFVEAAIQFFQELGMNVELQDIGSRKIIIIWLWAYDFRMVATPIKCIFFKVLLKVNPNMRLRFRLMIWMSC >cds-PLY94925.1 pep primary_assembly:Lsat_Salinas_v7:4:108961281:108962085:-1 gene:gene-LSAT_4X70120 transcript:rna-gnl|WGS:NBSK|LSAT_4X70120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANIIQSLFVIILVFNFVIAIVIAIRDFPDKNLKTPEEEDVKHPETFFNYDRGYLIPGIGRGIKPKSKEGFNPFTYNPITGGNNGITGVTVPSGGGNIPGYGDLGSSGSAGGSYLPGGDDTLVPTPEDEGPNPAPDSP >cds-PLY71804.1 pep primary_assembly:Lsat_Salinas_v7:3:59943849:59944172:-1 gene:gene-LSAT_3X47641 transcript:rna-gnl|WGS:NBSK|LSAT_3X47641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSGKPPVVRLPSGLAVIYLEKHWLCACHLVWQYLWKRLGMALASSTVGGVILLSITDSWDACIRVLCVDTAAEKGCSLSTGCSCPIVSATVTVEPPSFDASPTITV >cds-PLY63881.1 pep primary_assembly:Lsat_Salinas_v7:1:124828407:124829222:-1 gene:gene-LSAT_1X93700 transcript:rna-gnl|WGS:NBSK|LSAT_1X93700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALHLESRGLRDKFKRVSDLIRPYITLSGGVFHNVQTFRDQYMSLMEKVDELEKASPEAEVDNMRNDLVVLQNERVILETKLAGYRHVEEELGCFGAGGESKSVRGGSGA >cds-PLY99845.1 pep primary_assembly:Lsat_Salinas_v7:4:47664195:47664933:1 gene:gene-LSAT_4X32840 transcript:rna-gnl|WGS:NBSK|LSAT_4X32840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYKSDTCLTESATNASSSQHLEIETTYTSSDTSTRVVKKKSSTKALVKCLLGVVAELSSKVDRVLHQKDEPNKRFVDEEEEEGEMMINEEDEEPYYHDTQFDYGGLEEKVVPTPTHGEPSQDVGEHDTKIVTHIGRPQRKRVVAWYQRPSFTVMQSTPKLKKISKTRTKKIEESPKKTNEDIVNAVSSDVSNHLLPDSISTNSSMSFWKEWIALFQTHH >cds-PLY69855.1 pep primary_assembly:Lsat_Salinas_v7:6:2440985:2443615:1 gene:gene-LSAT_6X220 transcript:rna-gnl|WGS:NBSK|LSAT_6X220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKKEELKNNAENSNLMANSTFSDQIPAVYGGGGGGSGFFDIPQGYLDMMSFQDYGGASLFDLLQQPTPPNLVVEKPQIHPPSTLESPSLPPPVSTVTETPEMVNTSTQNSSSISSSSNEAANNVDQENNRRSAQENDEEQHDDVEDDDQEKNTTNKQLKPKKKNPKKQREPRFAFMTKSDIDHLDDGYRWRKSYHRCTSVACGVKKRVERSSDDPSIVITTYEGTHTHPYPMTPRGSIGILPESAGYGGLGGGDGLGVSSFLFAQPHYQQQQLQPYFHNQTSTSSSLSFSTTNSSAHPSSYSHFLQERRVFPSPSSSLLRDHGLLQDVVPFQIRKDEPKKEQN >cds-PLY74944.1 pep primary_assembly:Lsat_Salinas_v7:5:233186323:233187759:1 gene:gene-LSAT_5X116201 transcript:rna-gnl|WGS:NBSK|LSAT_5X116201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSKQDRVHVFLVTFPAQGHVNPLLRLGKLLASKGNLLVTFSATKSIGKKLKKAGTVVSGDPTPVGNAGGMIRFEFFDDGCSEDNDDERYDLDNYLPKLEAYGKAALTGIINHHAENGRPVSCLINNPFVPWVTDLAEELNIPSAMLWVQSCACFSSYYHYENSLVPFPSDEHPDIDVQLPNMPLLKSDEIPSFLHPSTPYPFLRRAILGQFKNLSKTFCVLMETFEELEGDLINYMSQICRIRSVGPLFNNPLLEASSNISGDLIKADDCLEWLDSKPPSSVVYISFGSVVSLSQEQVTEMAYGVLNSGVSFLWVVRKGATSTGEPGELPKGFLEEAGERGMVVHWSPQAQVLSHSAVSCFVTHCGWNSTMEALSSGVPVVAFPHWGDQVTDAKYLVDEWKVGIRMCRGEAENRVIGREEVEECLREATSGVKATEMKKNALKWKKAAGEAVAEGGTSDRNIQEFVDEVRKISVRSG >cds-PLY92950.1 pep primary_assembly:Lsat_Salinas_v7:5:183066969:183067328:1 gene:gene-LSAT_5X80680 transcript:rna-gnl|WGS:NBSK|LSAT_5X80680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDRKEDVEAPRGCLGNWQVWIMFLCSSFSTLLPPVCVLQLSASISFLYYFLTGFEPLRNIECLFIAFDEVDAIVKGRQTFGLEQNYDENRATIITFNIMDKFYEGHFLTLTSIRRLIS >cds-PLY70736.1 pep primary_assembly:Lsat_Salinas_v7:8:166425141:166426459:1 gene:gene-LSAT_8X108320 transcript:rna-gnl|WGS:NBSK|LSAT_8X108320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHPSLENKSGLNRLTSDDHDHLDPVPVPVSSPKHTTLTVWKRSSMSFHGTDGFTVYDRNGKLAFRVDNYSRNSHVRSRWSCSSVNTHGGAGCGGGGGGGALVLMDGSGIPLITLKPQIFSIQNQWNGCIYREDDNISSKSNRRIFMMRRPSSSMMVLGRTKNEQDECEAEVFFTGTTTEHQNHHHAESETGGGGGCDSRKLLRKPDFRIEGSFWNRNCKIKSTGSGEVAAQIMRKRTAPGAAMMSGGSSSTGSTIILSEEVFTLVVNPGFDPQLIMAFVVVLDRICFKPFFTHLMC >cds-PLY72401.1 pep primary_assembly:Lsat_Salinas_v7:3:188156727:188163181:1 gene:gene-LSAT_3X112240 transcript:rna-gnl|WGS:NBSK|LSAT_3X112240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPHLQLILLLLAFISHEPVAVAQSQVAPITKHTDLATPLYSVQLMITSWVNRDIFYKNLLIDIDAPFTWYNCTVDWNSLIYNRNCPTCPAPVSCQEYQCTNVRTSSTYESPSCLPETNSSTLPGGGDCTCPVNVVNPVNGTCGQALLNFDEFTFRGSDGKNPLPDYLVRSQLNAACAPITTFESFPANVTGVMAFSKSPYAFPAYQFQPFTKTFGLCLPSSLSAHGVMFSGSGPYYLLPNSDVDLRSLLSYVPLLSYPDSFGYFIGVKSVVVKGRSIDVSNSTTKLSTIEPYTILRTDIYKQLVHMISIATMGILRVRQVAPFGLCLKNQIGRSHLVPDIVLELEDGKKWIISSSNSMKQVKKNVACLAFVDGGETSEHGIVIGTFQMEDNFLMFDLDNSSLGFSSSLLSNKTSCANFNFTSP >cds-PLY94511.1 pep primary_assembly:Lsat_Salinas_v7:2:156013905:156019249:1 gene:gene-LSAT_2X81420 transcript:rna-gnl|WGS:NBSK|LSAT_2X81420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANCHVVFFPFMAYGHTIPMADMAVLLASRGLKTTIITTPTNAPRFSRSIQKTINYDHQMELHIIQFKDAELGLPAGSENPDQPVSDELLSTFFEAISMLQEPVEQFIRESHPNCIVADMFYPWSTEIAAKFNIPRIVFNGTGFFPQCVANAVGLIDHAKNVQSDSELFIVPHLPHEIKLTRKQLPHFESEAFKGFLKVLIEAMEAEVKSYGVIFNSFYELEPEYVHHYREVMNRKGWHIGPVSLCNRNTEDKSERGKKSSIDEHECLKWMESKAPDSVVYVSFGTIVKVTRSQVYEIAMGLEACNEYFIWVIKNEQEQWLPEGFQERTAANGKGLVIKGWAPQVLILDHESVGGFVTHCGWNSVLEGVTGGVAMVAWPVMAEQFYNAKLVTDVLKIGVSIGDVEWSATASCDGVERVAIEKAVARVMGGEEGDEMRRRAQVLKEKATAAVKEGGSSYSDLNAFIQDIKTFNASGNLSYVTSQRPLGHGSSSFHVAPLHLMDAPSINIDEDDFFSNHTSEHFTQPPPSTASPSADSPSAASPSGNPNKRAKPLTPRPRSPSASPDPPSSASPKASITADDLALEMQKALRHLTQGPTIT >cds-PLY65829.1 pep primary_assembly:Lsat_Salinas_v7:5:136544451:136544750:1 gene:gene-LSAT_5X60241 transcript:rna-gnl|WGS:NBSK|LSAT_5X60241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILFPRIIQAKQILRRSLSNGSSTTKSMETPKGYLAIYVGEQEKKRFVVPVWLLSQPAFQELLDQAEQEFGYVHQMGGLTIPCSEYTFSDIASQLGAL >cds-PLY94438.1 pep primary_assembly:Lsat_Salinas_v7:6:10771094:10775963:1 gene:gene-LSAT_6X13001 transcript:rna-gnl|WGS:NBSK|LSAT_6X13001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLFRKVITQPGKPEDFALQTVQEAIKPQRQTKLVQDENQLLENILRTLLQELVSAAVQSGENTMHYGASIDDGDNTQGQVPRLLDIVLYLCENEHIEGGMIFQLLEDLTEMSTMRNCEDVFGYIESKQDILGKPELFARGKLVMLRTCNQLLRRLSKSNDVVFCGRIIMFLAHFFPLSERSAVNIKGVFNVSNETKYEKQAPDGISIDFNFYKTFWSLQEYFSNPASITPATPKWQKFSSCLKVVLNTFEAQPLSEEESSTNNLEDEAATFSIKYLTSSNLMGLELKDPSFRRHILVQCLILFDYLKAPGKNEKDLPSETMKEEIKSCEERVKQLLVMTPPKGENFLQSIEHILERERNWVWWKRDGCAPFEKQPMEKKTVRDGGKKRRPRWRLGNKELSQLWKWADQNPNALTDSQRVRTPAVTEYWKPLAEDMDVDAGIEAEYHHKNNRVYCWKGLRFSARQDLEGFSRFTEFGVEGVVPMELMPADIRSKYQAKPSDRSKRAKKEENKTPANQSEDNNQIATPASEGDGEGNNNNNRQDLEASTMAMETDTTGQEPGQIDETEPEPEPEPEQEPGPETEQEPESGMIEAENDADVDTNTVG >cds-PLY94027.1 pep primary_assembly:Lsat_Salinas_v7:7:99933754:99935156:-1 gene:gene-LSAT_7X66480 transcript:rna-gnl|WGS:NBSK|LSAT_7X66480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYFQRHAILALILLSIFCIKHTVKSDDEEDNLFSAINSYRATLNLTTLTQNDRAKCLAEKMADQFKNQPCTNTTGSNTVPGTEPEFSSYPDFLNKCHLNVSTTRDGSVLPACVPNLDAGLVISNYTQSQYSGYLNDTKYSGIGIGSEDNWIVVVLTTNTPEGGFSPGSNAVNFVSKIGPMRSTVFLVVGLLSFLWV >cds-PLY70772.1 pep primary_assembly:Lsat_Salinas_v7:3:135210086:135211280:-1 gene:gene-LSAT_3X89220 transcript:rna-gnl|WGS:NBSK|LSAT_3X89220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWVRGKTIGHGSFATISLAQPTTHNSNLPPLMAIKSCGVSHSASLIYEKHILTELTNCPEIIHCFGDCFTVENGIKIYNIALEYASGGDLSYNLKIFGNFLLSEVRRYTNSILKGLQFIHRNGFVHCDIKLQNILLLSEGDNANVKIADFGLAKKVAGDSKSKYEIRGTPMYMAPETVVSGEQEPPSDIWALGCLVAEMITGNPAWFCSDIGALLFKIGVGTEIPEIPGNLSSAGKDFLGKCFLKDPRKRWTAEMLLNHPFVSKVETEDCICSPSISPRDPFDFTVWESAELLEMTKLPSPELSNLVPVIFPATRLRRLTVDDGPNWSDSSRWMRVR >cds-PLY92235.1 pep primary_assembly:Lsat_Salinas_v7:6:75608395:75609106:-1 gene:gene-LSAT_6X53021 transcript:rna-gnl|WGS:NBSK|LSAT_6X53021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSWNNTSGFGWNFENKMLEAPQSVWQAYAQDPLMNSLKDSADIICSKIDNATSTFNAVFGIERDREDLRKKLNSEMKKVVGLIVSACNKAVRMLSKNDELMVIFFTIEDEDKFEWVKDLLEDDV >cds-PLY80010.1 pep primary_assembly:Lsat_Salinas_v7:9:45827907:45828119:-1 gene:gene-LSAT_9X42281 transcript:rna-gnl|WGS:NBSK|LSAT_9X42281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNHSATSSRSPPHSITVVVRVLDKFEEVSSTPVGRLRQVVDVMAVEMHAGLASEMLLTFVDNLPDGFV >cds-PLY65768.1 pep primary_assembly:Lsat_Salinas_v7:5:270278087:270279518:1 gene:gene-LSAT_5X140720 transcript:rna-gnl|WGS:NBSK|LSAT_5X140720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVAFETNTNSDSNRIRSPGFAQGMWIYNSSETARFTGDDHRSSKFPVDKDEDDQDSSSSSSIGNNSDASGGEGDSDDDAGGGEVQSLFKGSLNNLCALEQALPIKRGISTFYAGKSKSYTSLADAVSAPSIQDIGKPEDAYNRKRKNMIAHGVFLDKTRNFGSKTGISKRSNNTNGVGETENETSSLSLSSSPNLSLPPRPTRLPTKEVTASSSPRARVYSSPWRSYSLSDLQQAAGETSRIKSSLDNIRDKDEDD >cds-PLY92189.1 pep primary_assembly:Lsat_Salinas_v7:6:76147280:76148103:1 gene:gene-LSAT_6X53460 transcript:rna-gnl|WGS:NBSK|LSAT_6X53460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALYYFFLCTLFAFSLSFCGTSAVNYKVTNDASNTPGGIRFTNEIGIPYTKQIMRTINNYVWTTIFEQSDPADRKPVPTMSIYIVEFKGAEAITWGDNINVSSVYLEGYEGDLKWEYTSLLHHEITHVFQWNGEGQAPVGLVEGVADYTILKANYYPPGFAEPGSGDTWDQGYDFTARFLEYCDGIVPGFVAKLNKMMRFSFDVKYFEDLTGKPVDQLWQEYKAQYGNVV >cds-PLY98715.1 pep primary_assembly:Lsat_Salinas_v7:2:151864424:151867227:1 gene:gene-LSAT_2X77901 transcript:rna-gnl|WGS:NBSK|LSAT_2X77901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDNSFSLRLVIFAYFLVPFIPHFPHAIAATGLAGGVHGGTVPVSDGPTVRKEERWPLESSEFGEVSGVKISDGENGCYHLHFITMDANSLFLPVHLYSQMVFYVNSGSGTLNWMNVEEDDDKLRTVKLQKGDIYRLTPQTVFYLQNDIHYQDPQKLEIYAIFSAADDQLQNGQFAKAYASVQDLLLGFDDKVLQSSLSVSEEVIEELREGKQSMIVQGQPETNTSMWEVGSRAIRAFIGSKNDGFFEVENDKKAYNILKADRDVENRYGWSTTVTRKQLDVLKDTDFGVVMVNLTKGVMMGPHWNPNGDEVAVVLHGEGMITVVCPTIEKQTLCKNSKFRVAEGDVFVVPRYHPMAQISFNNDSFVFIVFTITSKKNHPQYLAGKSSILRKLGKKVLTKSFNVRNTTIDQLLSAQREYAIYECTSCAEEEEAGREREGGGGGGWRHDEKEREGEVARREEEEMQRRQREADEAAERGRVGEGEGGSNGRGIE >cds-PLY69936.1 pep primary_assembly:Lsat_Salinas_v7:4:67810177:67812022:-1 gene:gene-LSAT_4X46280 transcript:rna-gnl|WGS:NBSK|LSAT_4X46280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATISSAMISSTSYLHRHPTSKSFKTQLPNSFKLNPNLSQTSLRFTVSPIVSNPRQPTRLLSVAEETPVAVVTVDPSSEAARRLYVGNIPRTTNNDELQKVFEEHGAVEKVEVMYDKYSGRSRRFGFVTMKTVDDANAAIEKLNDTEIGGRKIKVNVTEKPLNGVISILEAEETPFVDSPYKLYVGNLAKNVTSESLKSFFAEKGNVLGAKVSRVPGTSKSSGFGFVSFASEEEVEAAVSSFNDAVLEGQKIRVNKA >cds-PLY81893.1 pep primary_assembly:Lsat_Salinas_v7:8:122758171:122760187:1 gene:gene-LSAT_8X83641 transcript:rna-gnl|WGS:NBSK|LSAT_8X83641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Presenilin [Source:Projected from Arabidopsis thaliana (AT1G08700) UniProtKB/TrEMBL;Acc:Q0V7S4] MDGSILESIGVEIIGVMSPVSICMLLVVLIVYSLTSFSSSSGEPIRTAANLVYLETPTDSTSEKIEGSLLNALVFVILIAAVTFLLVLLYYYNFTNFLKNYMRFSAFFVLGVMGGSIFISIIRQFSIPIDAITCLILLFNFTVVGVLSIFAEGIPIPILLRQVYMVLLGIIVAAWFTNLPEWTTWTLLVALALYDLVAVLAPGGPLKILVELASSRDDELPALVYEARPTVSRNPRGSGFGLLVGGVSSESVEVEMTRHNPSDSDETMEETSPLVPSLRDRMRSSSSGSSEFSSTDRIHRTGTDIIEDEEVDLSPLGAILGMGGNESRRGREIIDEEETSRGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIISGLGCTLILLSVFRQALPALPISIALGIMFYFLTRLLMEPFVVGTSTNLMMF >cds-PLY67371.1 pep primary_assembly:Lsat_Salinas_v7:1:171071968:171073580:-1 gene:gene-LSAT_1X113301 transcript:rna-gnl|WGS:NBSK|LSAT_1X113301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGGDQSRVVVVMVPFVAHGHLNQLLHLSGFISTYDIPVHFVSTAAHLSQARARHHSFSDNNHIHFHAFPTPPFTTPPPNSSIPFPSHLQSSFDSTLHLRRPVADLIISLSQTATRVAVIHDSLMSYVVQDVKSIPNAETYNFRPLSAFYTFWDFWEKAGRPFPVDRNLLNRLPSQSETATPEFKKFTKLQQAHMNLAVGELFDSSRAIEGEFIEYLEREEISGKKKKIWAIGPVNPVQIPYDVTPSETRHRCLQWLDNQPVNSVIYVSFGTTTTFSDDQIRELAIGLEKSEHKFIWVVRAADMADAGNSCGGDTMVRLPDGFEERVNGRGLIERRWAPQLEILGHFATGGFMSHCGWNSSMESISMGVPMATWPMHSDQPRNAFLITDVLGIGVVVKGWERRKELVTAVAVEEAVKRLMGSEEGEEMRRRATRLGDDVKRSVTEGGVRCLEMDSFISHITRHTQTLHISAE >cds-PLY97903.1 pep primary_assembly:Lsat_Salinas_v7:4:90674305:90675919:1 gene:gene-LSAT_4X59781 transcript:rna-gnl|WGS:NBSK|LSAT_4X59781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGRKSVGGKPTGDSTSAMISRATTCLFGFTDGTRKDDIRKPFSNFGKVTDVYFGSKKDYHRKNFAFVWYAGVVDANALEEKLQGIRGGIRVLSVNISKHPRKPILELGRDAQKTTAARATKLGSASGMRDRRTFAQALRGNRDRLPKSNSPPIVLNSKTYMKEWIKKSVLIGEAHSFDHIGTMHDSHIVNEETKYLGGLRLAIEFNNSSSAAQFLGYKIRWRDWFKWLIRADQHELKYERTAWLKILGIPLSPLQKLVPLGCFVPFHINPNNAFSFKSL >cds-PLY93248.1 pep primary_assembly:Lsat_Salinas_v7:6:159744497:159747539:1 gene:gene-LSAT_6X98861 transcript:rna-gnl|WGS:NBSK|LSAT_6X98861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQSTTSLTGESDILEHIADRYKKSRSPHHRQLYAAAAYTQCMIKSKSLPVTPLNYFVNIIDALYDSSYSHTLSNSTFNADAMSGMSSFLTFVLTMVPEKSISMSKAAEAVEIVVKCLEVEFVSLRVLRFMECLRVLLEICDLGDWDIVKLSFQTFIKYAVDNKNEKVRKCAEHCVLKVFKCFQNSIIKESATKLVLDTFRNYILAVEKADGSKDDEGSKCEHLKALHMLDLLKRFIPFLTKPEDMQEVILELQKCMTAKFSTLYVLDVMEEILALIESESELTFVKTVKISDKWKSDYSILIQSITGLLICEATAARASNILKEMFSRVLFSEVELNAESEQSNLVRCLCDSLLEVLRTYPNEHSLSVISALFLHLGLDSFIYMDRIFMKTVGFMSDASTCDVKHFEQCIGSAVMVMGPDKIHTLYPLSFDANEQTCSNTWLLPIYKEYVIYSHVRFFIRTIVPLSESFRKACKRGKKMAVKRKQMQSLSRTCWELLPSFCRYPHDLEESFGSLAEILIPNIKENASMLESIAIALQCLVKQNMSLSLSFPGSSGVIDMGIEKENAERNIKVMASWSEALLKAFTNVFFQVSPEKRSFLKPDVSDQKNANIGLIHELASAIVADVGMDPIDFIYCCIGDCLKVCLFFMFLAFLESYYQTLYTLIFM >cds-PLY84089.1 pep primary_assembly:Lsat_Salinas_v7:6:186783350:186787508:-1 gene:gene-LSAT_6X115560 transcript:rna-gnl|WGS:NBSK|LSAT_6X115560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSTKNTLPFAFLLLLVALFFVFANGEPYFSTFNTPTSGENQRGINDFSETWGTKRLLAEEQNDVNSSLVLAEERTARKDPLNGLKKYRGGWNISEKHYWGSVAFTAAPFFIMALAWFVIFGLCLSLICLCYCCCTREPYGYSKLAYALSLILLILFTVVAIVGCVVLYTGQAKFHHSTNKTLKYVVRQADTTAEKLRNLSDDLASAKKIAVAQVFLPVQVQSDIDEIQTKLNTSSYDLSEKTEDNQDKIEHVLDIVRLVLIILSAVMLFLTFIGFLFSIFGMQCMVYTLVIFGWILVTLTFVLCGVFLVLHNVTSDTCVSMNEWVENPTAHTALDDILPCVDNATAQETSIRSKEVTSQLVNVINQVITNISNINFAPNFTPLYFNQSGPLVPLLCNPHRPDFTDRVCDPKEVALINATQVYSQFVCQVSPSGICTTTGRLTPDFYSQMAGGIKLSYSLYLYGPFLVELQDCTFVRQTFTEISHEYCPGLRRYSNWIYIGLLMVSLAVLLSLVFWVVYGRERRHRVYTKTLIARTEVDKSS >cds-PLY77454.1 pep primary_assembly:Lsat_Salinas_v7:4:49146854:49147692:1 gene:gene-LSAT_4X33160 transcript:rna-gnl|WGS:NBSK|LSAT_4X33160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLIRILIGLLLVSWRSSMVFAHADPSSCARLATMLAPCVGYISGQQPSRPSNFCCLSVKNLKGMMKTKDDRVVICNCVKQAISVIKFDPKRIPLLPEKCDINDIQLPPVDKDYDCEKTKLVHMEVL >cds-PLY67504.1 pep primary_assembly:Lsat_Salinas_v7:6:72850779:72853737:1 gene:gene-LSAT_6X51860 transcript:rna-gnl|WGS:NBSK|LSAT_6X51860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYLDPEKESNEGEDMVVFLCLIDFSPFATTHSSHQKLCCPHRLLYQIPITPPSSIHQFSSPSAMVLLYRCFLDVPVTNTGGRRRRWIGGTIPSAYTAICFEQVFSDGLHRQTTYTTTRLGTFRILTHKALEANDGKPLPLYQKSFCGLTAGAIGACEGSPAELALIRMQADFILAFISPKSRVVGVRDLVDHPRKIALHYLSRFFFLDLFIVLPVPQANDGTYMEEPGSGAVTSIGKKITSVGTVE >cds-PLY92008.1 pep primary_assembly:Lsat_Salinas_v7:8:238626918:238630249:1 gene:gene-LSAT_8X143861 transcript:rna-gnl|WGS:NBSK|LSAT_8X143861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPGTHSDKTSCLTSTIQMGLLSSLEITSFSWRCSQAMKLLSLHKHYPHGKKQHKNLSTLFLRRMLLMSMEVMQKLDHKLVSTTQMMVKSHEQIIYTNVALDPYSSDGHDGVIMNDEIVHQLCKQAV >cds-PLY69654.1 pep primary_assembly:Lsat_Salinas_v7:MU044352.1:110626:113322:1 gene:gene-LSAT_0X24320 transcript:rna-gnl|WGS:NBSK|LSAT_0X24320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLHDSDTKVPSLYSRKEKSLGVLCSKILEKPTGVERRRIYDIVNILESVGVLTRKAKNQYTWRGFSAIPQALDELRKQASSNDNLKMSQYYNFGAAVNENNCRGPSNSNNSNTDTHIKPSGSQKSDANEIQNMTHSLYFLTYISDTNRKEKSLGLLTQNFIKLFISSKEDLISLDTAATTLLGDVHDPTAMRTKVRRLYDIANVFSSMGLLEKMRHPESGKPSFRWLGLKGEKETKSLQPLDTNTITNSSKRRAFGTDITNNVNDSDSKRYRIESLSDWSSKEVTVAMHGNMNMNIDIVKVEMESDENLCLPLHPQNQRNSKEFVFGPFRPVGMSGNKKSKESQDWEKLAHTYRPQYHNKALGDVLGHYVEAWKSWYVEATEKKHVQQPVVQ >cds-PLY74426.1 pep primary_assembly:Lsat_Salinas_v7:6:11827725:11828909:1 gene:gene-LSAT_6X10100 transcript:rna-gnl|WGS:NBSK|LSAT_6X10100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGEMMVTMMTINGRTATFHEKDHSFSTLIPIPIPTPTPAPTWKLYENPFYSCPQNHHQNQENKEQEQELEVGQKKQLHLLHLPVSTRKIATSFWDLTFIKPFMDSELDTARAQIMELKVHLENERKARKKAESINKKLTKELKGKEALEKVCEELAAEVSCSKAEISRLKIDMEEERKMLRVAEVLREERVQMKLSDAKIMLEERISELEITKMIHKKNDGDHHREQKEHNKCLVLSKENRNVISEKSLKLKGRKVSLEPENPHIKRGIRGFVEFPRVVKAIGSRGRHLGTKLECQKAQLMILLKQKTQIQSNNLIMS >cds-PLY66990.1 pep primary_assembly:Lsat_Salinas_v7:6:140596049:140599018:1 gene:gene-LSAT_6X85800 transcript:rna-gnl|WGS:NBSK|LSAT_6X85800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVVGKTSATIANIAEEAKIASEGVKAPSRLALLGICKSLAAGGIAGGVSRTAVAPLERLKILLQVQNPHAIKYNGTIQGLKYIWRTEGLRGMFKGNGTNCARIVPNSAVKFFSYEEASKGILWLYKQQPGNEDAELTPLLRLGAGACAGIIAMSATYPMDLVRGRLTVQTDKSPSQYRGIAHALKTVLREEGPRALYKGWLPSVIGVVPYVGLNFAVYESLKDWLVKTRPFGLTEGTDLSVTTKLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAASVVTAGGKSGGLEYTGMVDAFRKTVRYEGFRALYKGLVPNSVKVVPSIAIAFVTYEVVKDVLHVEMRISD >cds-PLY91976.1 pep primary_assembly:Lsat_Salinas_v7:7:125389000:125389298:-1 gene:gene-LSAT_7X76221 transcript:rna-gnl|WGS:NBSK|LSAT_7X76221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFIQVDKTTYYLLDPLQRCLKDLDAFTAAIVVSIAFSFIPASLVVAIMKVGHSPVIFFT >cds-PLY76195.1 pep primary_assembly:Lsat_Salinas_v7:4:55658039:55679623:-1 gene:gene-LSAT_4X37741 transcript:rna-gnl|WGS:NBSK|LSAT_4X37741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENNSLAHALFEQYNYKLEIEWPTRQRICVGIAKGLAFLHEESVLRMVHRDIKATNILLDADLTPKISDFGLARLHEEENTHIMTRVAGTIGYMAPEYALRGYLTYKADVYSFGVLALEIVVGKSNMKYRPTEDYYCLLDWVVVLQQKGRLIELVDPRLGSEFNKKEAVRMIKIALLCTNKAPALRPTMSEVVNMLDGRTKIKEPNMNVIMSEEELMLKEIGPKFHEMKPHESDQTEISIEPTAFSSHDLYPDSQNF >cds-PLY93989.1 pep primary_assembly:Lsat_Salinas_v7:4:274596304:274597692:1 gene:gene-LSAT_4X140800 transcript:rna-gnl|WGS:NBSK|LSAT_4X140800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDPDEFHHFPAGATAVDSSSKFPMDTDGRHQQLINLSKLQSRMDHVQRFLSDSLNTNTIIGESQMEIVSKEITSAINQVIVNGAALLSCTGLPKSKSETPNLSVRTTSLTFDSKHSPESDLILNQLKHDVDLGVPKTEVFGEEIRDDDWDIVELDAVELLAEHLHFCELCGKGFKRDANLRMHMRAHGNKFKTLEALSKPEKSGLSSSSELTRGGRTRFSCPFAGCTRNKLHKKFRPLKSVICVKNHFKRSHCPKMYSCNRCHKKNFSVLADLKSHLKHCGETKWKCSCGTSFSRKDKLFGHMALFEGHMPAMPEEVVVEEDEKAKGVAVTPAPAPALAAVVVEDAEGGDACKVAKGMDWIDNTMDDGFFDGLGSLDDDFCMQELLVSSNGCFDWNL >cds-PLY92426.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:312972:317080:-1 gene:gene-LSAT_0X14741 transcript:rna-gnl|WGS:NBSK|LSAT_0X14741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANDDGSGGETSADQFPFARSYQIEALEQAIKQNTIVFLETGSGKTLIAIMLLRHYAYLLRKPSRFFAVFLVPTVVLVQQQADNVRKHVDLKVEEYWGEKGVDFWNAADWKKQQDENQIMVMTPQILLNALSHSFLSLDDIKLLIFDECHHAKKKHAMALIMKEFYHRRLCDGGSELPRVLGMTASPVEAKVSNSGNDYWEQINNLETVMNSKIYTCASESVLSGYIPFSTVKRKFYEDRELPYHVLEALKSNLSFLRKKHEDKVSTTTLSTSSMQNAMKRLSKLHSAFVHCLTELGIFLALKAAEAYSCKKTDLFSWGQLDVRGESIARDFCKDATKVFSFYIPKEWSITQVNEATVSIGLLSTKVVCLIESLAEYRHVKDMRCIIFVQRVITARVLTSLLCELHPKIFDWTTECIAGNHSAMQSQSRGLQNKIVEEFHKGMVNIIVATSILEEGLDVQKCNLVIRFDLASTVCSFIQSRGRARMQDSDFLLLVKSGDEKTLNKVNNYLRSGKRMRDESLSHASEPCGPLEKDLYDEVVYHVESTGATLSLSSSVSMVYFYCSRLPSDGYFKPYPRFVIDKETGTCDIYFPKSSPLPHVQVVGPTKMLKQLACLEACKKLHSMGALTDNLVPDTLEKEADDEQEAGFEYVEEQVQYIPPELVGFGDNSTNLYYFYKINLEKHFEYEIPLQDIVLAVSTELEFDNEGLTFDLESDRGNISVSLTYIGTCELTSEQIILTKQFQLIVLRVLIDRNITKLQKPVDFLKIKNDDDVAYDYLLLPSAGPDKA >cds-PLY72576.1 pep primary_assembly:Lsat_Salinas_v7:3:197827233:197829982:-1 gene:gene-LSAT_3X117120 transcript:rna-gnl|WGS:NBSK|LSAT_3X117120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQFPLTNTNLNILQNPNIQTSPNFSLTIKVLTYDRLPSLTRCLRSLAAAHYDNDKVNIHIFIDHFKVLDQKDEYLDQKLNESRQILDFVDGFEWRFGQKMVHYRTGNVGLQAQWLEAWWPASDDEFAFVVEDDIELSPLYYRFLRGLIVNYYYNASNYSPWIYGASLQRPRFVPGKHGNKIHLDEDTQVFLYQLVGTWGQLLFPKPWKEFRLWYDIHKTKGIKPILDGMVTTGWYKKMGDKIWTPWFIKFIHSRTYFNIYTNFPHESALSVSHRDAGVNYGKTAGPDSNLLKETSQISKFSPLRNLKWYDFCFQEVSPNRIVNNVHDLDPILKSTQKSNTLILVNIHRTSKMFIKNLLCHFERLNVRNYVLVGPASDFLFDLARRGNPVINVDRFLDDFKKLKLSNVKNEVFVMAYVVKKALEMNYDTWVLDHDMIPVKPELFFDFLKLGLSVDFYVGERFGILFAKSSGSEIWTDRFVYEIAGMGGEGSFGILVGKLLEAKGVKLERVNDEGVEVKDSGSLMKNETKVVFWNSGLGLELVRNRLESFGLWIIDGECNCKAVICHSS >cds-PLY61672.1 pep primary_assembly:Lsat_Salinas_v7:9:158677232:158678098:-1 gene:gene-LSAT_9X99680 transcript:rna-gnl|WGS:NBSK|LSAT_9X99680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIIMKSSTPSKIQSKNQRKSLTDMSFEVMEKIIVDLAKILVVEAFRMKSVYRFFNDAGKTDEVYKHMELDGLRFHGWSDEKHAVVKKCLEIRNPNILFRNGLMKLFFLEAEHEGKTMLEEASALGHLDSTFVLGMMLMVEGRHRKQEALDLLNNAYYRAKGFLGSLV >cds-PLY95384.1 pep primary_assembly:Lsat_Salinas_v7:9:190018853:190020828:1 gene:gene-LSAT_9X117060 transcript:rna-gnl|WGS:NBSK|LSAT_9X117060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVAVIDWKDSKFEKDILYEDINAPQWIDFSNHDPPVDDEAWFCRPDCDHPKTVEDFFRQRTPNSAKLPRSVSVSEIPEFSDRNRRDAALKKRGFPLNKDSKTTKCIQDSENQNPNFSTPPIHKPKSIKEMIKSSSEKINVENDFLLKEEPQPPRLLKHTLSARNLFAGRDILNQVTEFCNELKRLATRTKDYGEKEIVNKSVVPSKKQEMGVLQESKTERKPLLENHEENVKEKLTRKKMNGDLENTPIALNMKTIRGKDEERLLQIRTNPPSPQCFSANRGTPKPTPPPKASRLRTKERGILEEISIKEVKKEVKVNTNQAAPLLTQNEAKGLDVFWLFKPCTLSS >cds-PLY69353.1 pep primary_assembly:Lsat_Salinas_v7:7:80889023:80892154:-1 gene:gene-LSAT_7X56620 transcript:rna-gnl|WGS:NBSK|LSAT_7X56620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKYRQLLNLGRANRAWAQQASPVKSHQLSRCTQQPPPLPPSSCSRISINKCNAGNIVSRHYLHASLGNLTRIHSHSHYSTLEASQLDYEEEQSSIDYDVIVKDRDVVSASITPAYKHWLPLSNLDLLLPPVAAGVFFCYKKKDGVPMSPATVVKTFRTSLAEALSTFYPLAGEIVQNNQGEPELLCNNSGVEFVHAQADIELKDLDFHRPDDSVKGKLVPKINRGVLSVQVTELNCGSIIISCSIDHRVADGQSLNMFLVSWAEIAQMKQITNIPSFRTSILNPRKPPAYDTIVDSLYLPISFLPPPPSFQDTLYSRMYYIKAESINRIQSQASSMETRRSKLQSFTAFLWKLLAHGGDDTVNTNSRMGIVVNGRQFLNENSEKSSSHMENHFGNVLSIPYGSAKNEDLKTMPLNEVADEVHKFVVKATKEEHFRGLIDWVELHRPEPAVAKIYFGLKEIEGEATVVSSGQGLPIKDMDFGWGKPEFGSYHFPWGSQSGYLTPMPSAKNNGDWVVYMHLKQKDLDLIESMAPGVFTPLNNSHLSF >cds-PLY87487.1 pep primary_assembly:Lsat_Salinas_v7:5:182788654:182789556:1 gene:gene-LSAT_5X81800 transcript:rna-gnl|WGS:NBSK|LSAT_5X81800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDPCTTAGRGSNLTEDGDVECDASVMDGCSGAFGAVGEVLRLQLLLVKEQILGSSLLGRIPPMYAVWRWDKFEAIGHDRVVDWVGNKD >cds-PLY66705.1 pep primary_assembly:Lsat_Salinas_v7:6:79514790:79515103:-1 gene:gene-LSAT_6X55041 transcript:rna-gnl|WGS:NBSK|LSAT_6X55041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNNTPLHCLAHSLHPRYYSDTWLLKDSTRCAPYRNGEISQEMMKYFRRLFPNDDEYNKVLDEYAMLSFKSCHLRVVLLKI >cds-PLY74226.1 pep primary_assembly:Lsat_Salinas_v7:1:78418768:78418950:-1 gene:gene-LSAT_1X65901 transcript:rna-gnl|WGS:NBSK|LSAT_1X65901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMENVHGEVEKLGRHCYAVFCLGVLLILVAISRFAISDDKYPSNHRRESERRFRSLHML >cds-PLY73828.1 pep primary_assembly:Lsat_Salinas_v7:7:69220691:69222674:1 gene:gene-LSAT_7X49720 transcript:rna-gnl|WGS:NBSK|LSAT_7X49720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEVHFESKADAGASKTFPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTGKKLEDIVPSSHNCDVPHVTRTDYQLIDISEDGFVSLLTESGNTKDDLKLPTDENLLKQIKDGFSEGKDLVVSVMSAMGEEQINSLKDIGKN >cds-PLY76731.1 pep primary_assembly:Lsat_Salinas_v7:7:61552805:61557488:1 gene:gene-LSAT_7X43720 transcript:rna-gnl|WGS:NBSK|LSAT_7X43720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDVGPGLDDKKSSLLLRERGRFSPTRYFVDNVIGFDETDLHRSWVKAQATRSPQERNTRLENMCWRIWNLARQKKQLENEENHRVAKRRIEREKGRREAVADMSEDLSEGEKGENINDVSVHGESHRGRLPRMSSLDAMEAWANTQKGKKLYLVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALASMPGVYRVDLLTRQVASPEVDWSYGEPTEMLSPRNSDGMTDEIGESSGAYIIRIPFGPKDKYVPKELLWPHIPEFVDGALGHVIQMSKVLGEQVGGGYPVWPVAIHGHYADAGDSAARLSGALNVPMLFTGHSLGRDKLEQLLRQGRLTKDEINATYKIMRRIEGEENSLDASEIVITSTRQEIDEQWRLYDGFDPVLEKKLRARIRRNVSCYGRFMPRMVVIPPGMEFNHIVPHDVDGENEGSEDHQTSPDPPIWTEIMRFFTNPRKPMILALARPDPKKNLTTLVKAFGECRPLRELANLTLIMGNRDNIDEMSSTSASMLLSIIKMIDKYDLYGQVAYPKHHKQSDVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPMVATKNGGPVDIHRVLDNGLLIDPHDQKSIADALLKLVADKQLWSKCRSNGLRNIHLFSWTEHCKTYLSRIATCKPRHPGWLKNDDDDETSESDSPSDSLRDMQDISLNLKFSMDGGEKGNSINPEDRNSKLENAVLSYTKSIAKVNPEQPKFPALRRRTHIFVVAIDDDDVEGIFGNVRKIFEAVDKEKNEGSIGFILATSLQIAEVHSFLVKKGVNPADFDAFICNSGADLYYSSSHSEDNPFVFDLYYHSHIEYRWGGEGLRKTLVKWASSIIDKKAEKKSEEHVVTEDEEVTTNYCYAFNIHKPGLVPPVKELQKLMRIQALRCHVIYCQNGRKINVIPVSASRSQALRYLYLRWGMELSKVVVFVGESGDTDYEGFLGGVHKVVIMKGVGNSNSNQLHANRVYPLSDVIPTESGNIIQTSEECGSGDIRASLGKIGVLNG >cds-PLY88169.1 pep primary_assembly:Lsat_Salinas_v7:5:221220901:221223159:1 gene:gene-LSAT_5X101961 transcript:rna-gnl|WGS:NBSK|LSAT_5X101961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g04370 [Source:Projected from Arabidopsis thaliana (AT4G04370) UniProtKB/Swiss-Prot;Acc:Q9XE98] MRKVKPQLPLSPPSLATNSTKSYNALINRHSIAGSHREVLLLYFSMLKTNIMPDPYTYPSMLKACTSLNLFSHGLSFHQHSIVNGYSSDAYIAASLINLYAKFGYTDVASKVFDNMPERNVVPWTAIIGCYARSGKVNTTFQMYKQMQCEGIKPSSVTILAMLSGVSEYTHVQSLHASAIQYGFACDLSLANCLLSLYGKYGSVTDAKNLFESMANRDIVSWNSLVSAYALIDDIREISQLLSRMLVSGLNPDQQTFGSLVSAATRQGNLRIGKLVHGKIVISGFEMDFQVKTLLITMYLKFRDVTNAYQIFESTPNKDMILWTSMISGLLWNERPDKSLELFHKMLISQVMPSTTTISCALAACAQLGSLLLGTSIHGYILRKKIILDIPTQNSLITMYAKCGRLNQSCALFDTMNHKDVVTWNAMVAGYAQNGELSKALYIFNKMRESFERPNEVTVVSLLQACASIGAYHQGKWIHNFVVRNCLGGTRSLLIDTALVDMYFKCGNMKNARECFDRMSQHDVVSWSIVIGGYGSHGEGENALKMFSECLETGIEPNHVTFLSVLYACSHNGLVKEGVRLFETMTNRFKMEPKLEHCACVVDLLCRAGRVEDAYDFYKKMFKWPAVDVLGILLDGCRIKGNKVLGDVIARDIRSLNPEDPGNLVQLVHNYASSGGWDGVGEAWIQMRGLGLKKIPGWSFIELNGNITTFYKDHTSHPEYHEIVMCLKAMGMHIKPRKSATDSLKYYEDDCM >cds-PLY70764.1 pep primary_assembly:Lsat_Salinas_v7:3:136355605:136356032:1 gene:gene-LSAT_3X90960 transcript:rna-gnl|WGS:NBSK|LSAT_3X90960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIMRCVQLDPSRSMTFDEFKAWLRRYDDNHDGRISQEEFKEALHGLKVWFGSWKAKKGMTFADSDGNGTIDSANEMEKLVKFAQKHLHMKIYDR >cds-PLY80623.1 pep primary_assembly:Lsat_Salinas_v7:8:249519260:249519777:1 gene:gene-LSAT_8X148400 transcript:rna-gnl|WGS:NBSK|LSAT_8X148400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIILSNSIFQPQKQGEAAIEEYVTDCIEASSINSQAESVIFQELVRQASSPNKSKVNAEAKFPMKIIKKASYFLGELVGSLRKESYKPRGRLLGQNMVYTLLFWALYFCVIIVEFSV >cds-PLY80677.1 pep primary_assembly:Lsat_Salinas_v7:5:247242396:247243796:-1 gene:gene-LSAT_5X121560 transcript:rna-gnl|WGS:NBSK|LSAT_5X121560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVSLEGSKAILVAYMKQHVLKYHQWMQDPALLQATGSEPLTLEEEYEMQLTWTRDPLKRTFIILDKDLVSGEFVHGDPHVEAMVGDVNIYMNDLEDSNLAEIEIMIAEPKSRGKGLGMESVLMMTVFAIENHKINTFRAKIGDSNEASLNMFRKLGFKEVSHSLIFKEVTLELPITPEKSKELIQLVGNMITHS >cds-PLY84561.1 pep primary_assembly:Lsat_Salinas_v7:1:29619207:29620607:-1 gene:gene-LSAT_1X25141 transcript:rna-gnl|WGS:NBSK|LSAT_1X25141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLGGGGTNNLNRPRRVITGRVYEKFKPIFEWKQEDDHDNLLVYLLGFRKEFIKVTIENPNILRIRGECLVSGNKWNRFQEYFTVPETCEKSKICAKFDGGILSITMPKKMTDAPTITFPHQPSFVTSDNTNPNNQGKIEHKQSNQIKENEPSIEKIIKTKTSMDSKDKLERCKMIVKMLAIGGMNDGRMVVVNMVAMVLLVALSWGLCSVLHWNLR >cds-PLY63825.1 pep primary_assembly:Lsat_Salinas_v7:3:229882431:229882655:1 gene:gene-LSAT_3X130880 transcript:rna-gnl|WGS:NBSK|LSAT_3X130880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPMPMWELTTTALGKMHVLVREVGQPWTILEDDIVEPEDIPDPAFMRCQRPSYTDHDQTELNLRDVMQYLIAV >cds-PLY89451.1 pep primary_assembly:Lsat_Salinas_v7:8:30699148:30699562:-1 gene:gene-LSAT_8X21941 transcript:rna-gnl|WGS:NBSK|LSAT_8X21941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKEWKLYDQLMRLETGLGGTRSLIDASPEWWDEKIKENKDYAKFRNVNLSIFDEKSVAVGDQTMTPLQFQNNSNPNGENVEGKGDSDDINLDDEVEPLFPSFH >cds-PLY69288.1 pep primary_assembly:Lsat_Salinas_v7:7:131090922:131092914:-1 gene:gene-LSAT_7X78001 transcript:rna-gnl|WGS:NBSK|LSAT_7X78001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTRGRRRMASRNFRTTPYPILPNLSNPNEKKSPNEIETPKKDWEDITCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSSRYSNCLDQYKKAYTKTTLTNGSGFDPLTGWPIERNLEVAELACPLCRGQVKGWTVVESAREYLNCKKRTCMHEDCVFVGAYKELKKHVKAVHPAAKPREVDPDQEQKWRRFEREREREDVISTVTSSMPGSVVFGDYVIERNSYDSESESEDEEGFDVGGNRNRNRNRGLEVPGDDGLVNVFLLFHAFGGGDGGGDGGGDGGGGGGGGGGDRGGFCLGGRGEGGMGIRK >cds-PLY82570.1 pep primary_assembly:Lsat_Salinas_v7:2:184577772:184578329:1 gene:gene-LSAT_2X107800 transcript:rna-gnl|WGS:NBSK|LSAT_2X107800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFFSDHTSNEYLPFNENDSQEMVLFGMLAESSYDHQTTSSAADKISYRGVRARRWGKYAAEIRDSTRNGARVWLGTFDTAEEAALAYDQAAFAARGSMAVLNFPVETVYESLRAMDYRFEEGGSPVLALKRSHSIRRKAIIREKKRKEMKLDEDRKNVVVFEDLGADYLEEILSLSESSGSW >cds-PLY92475.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:2139139:2141932:-1 gene:gene-LSAT_0X18641 transcript:rna-gnl|WGS:NBSK|LSAT_0X18641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSPTDSNISPPYNKDFTAVGAAAPRKLDAGALFVLKSAGSWLHCGYHLTTAIVAPALLSLPFALALLGWGRGMVVLALAGIVTFYSYNLLSLVLEHHAALGHRQLRFRDMAHDILGPGWGRYYVGPLQLGSCYGAVIACILLGGQSLKFIYLLAIPDGSMQLSHFIAIFGNFTLILAQIPSFHSLRHINLVSLILCFAYCACTTVGAIYIGDSKKAPPKNYSLTGVGINRVFGFFNAISIICTTYGNGIIPEIHATIAAPVKGKMFKGLLVCYTVVISNYFSVGISGYWAFGNKVQSSVLQNFMVGSNPLLPKWFLLMTNSFTVLQVAACTLVYLQPSNVVFERKFADPEKGQLSVRNFVPRLISRSLSVIIATTLAAMLPFFGDIMALFGAFGCIPLDFILPMVFYNLTFKPSKKRIVFWVNTVIGVASTGLSLIGAVASVRQIILDARTYRLFANM >cds-PLY90245.1 pep primary_assembly:Lsat_Salinas_v7:8:14283915:14287076:1 gene:gene-LSAT_8X13781 transcript:rna-gnl|WGS:NBSK|LSAT_8X13781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKALITLVTFSFYSSCLWLLFASSLCTLCSCNQNSDHIQCISTERLVLIQFKNNLVDHSNRLSSWSGDNCCSWSGVVCDNFTHHVQELRLRGPDDDEREEATKQMLGGIISPSLIKLEQLQYLDLSCNDFEFSPIPSFIGSFQNLRYLNISMSQFGGEVPHQLGNLSELLVLDLHDDPMLGNLQSENLKWIEMNLKRLQYLDMSGINLTGASDHWLQAINSLPSLQELHFCSCGLSQIPSDPTRVSFTSINVLDLSYNNFNGLLPGWVFSLHDLVSLDLTGCFIGGLNPGTRGGFNSMPSLTTLRVFGNNFVNSSSILNSLPSLSNLHYLDAGNCNLTDPILCNLQIPSSNIVHLDLSNNQIVEVIPKSLGNLCNLTTLDLQYNKFFGDVSELLERFCECESPKLELLSLRGNYLTGRLPEKIGRLKNLVSIDIAYNRLTGILPRSLGSLSLLQTLQLNTNQLEGWIPDSVGDLSSLKYFDLSYNKLNGSLPKSIGKLGKLSFLTLHRNSLTGIVTEDHFANLTDLDTLWVGDNKLAFDLVNTWIPPFQLNVLSLGSCNLGPRFPLWVQSQTSLEQLDLANANISDTIPNWIWSSFSSLTFLNISHNNIVGKLGDVSVLPPGAVLDLSSNHFSGGLPRNFNKPDLDFLDLSYNNLSGSLDQFLCDGIQESRQLRVLNLANNNMSGGLPNCWMNWESLVILSLEKNKLSGKIPSSLGNIPTLESLDMGNNKLSNEIPMSLLNSKSLLIVELAENELTGRIPTSIGRNDASLKILSLRSNGLEGEIPSELCRLASIQILDLADNNLSGYLPKCFTNFSVISGKEKSSPIVLYDALFQNQLLGSATLVTKGRVSSYSTILYLVTTLDLSDNKFSGSIPDELMALSGLRYLNLSQNQLTGNIPNTFDEMRELESLDLSVNHLDGKIPLSLAGLTALSLLNVSYNNLTGTIPTGRQLQTFNEFSFIGNHALCGVPLPGCRQKTDDTKGANHDHGEPDGTDWILVICIIVGLAVGFWITIGTLIVSKRFRDAYYHFLDETEIKLANFILLVSMYVSHIP >cds-PLY85582.1 pep primary_assembly:Lsat_Salinas_v7:5:44285767:44287628:-1 gene:gene-LSAT_5X21521 transcript:rna-gnl|WGS:NBSK|LSAT_5X21521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLASGAMPDEYTYSYVISACARGKLLRLGAKLHGKILAGGFCSNLFVQTNLVNLYAVAGEGDSSGVKNARKVFDEMGERNVVTWNTLLAGYVKCHDIDGACTVFDKMPEKNIVSWTTLISGCAHNGRCKEALSLLREMLQAHMELDQVTLVSALSACAEIGDLKMGRWIHSYIDRSWHIKNKQRTVRLNNALLHMYAGCGVIDDAYKLFAQMPTRTIVSWTTMISGFAKQGRGKDALSVFQWMQDSQDTKDDHDHDHSSQPDAITMLAVLHACSHSGFVEEGRYIFKNMKPIWGIEPKIEHYGCMVDLLSRAGFLDEAHKLVESMPMEPNDAIWGALLGGCRIHKNVDLASKIGEKIGDLNLEDDKAVAYLVLLSNVYAGAKRWKDVANVREYMVKMALKKPPGRSWIQIGGSVHEFLAGDRSHKHKHDVSLIYEMLLLVTMEAGLTGYKPDVYESAQSYITQ >cds-PLY94812.1 pep primary_assembly:Lsat_Salinas_v7:2:179576752:179577965:-1 gene:gene-LSAT_2X101621 transcript:rna-gnl|WGS:NBSK|LSAT_2X101621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKLLPWLAMVMVFVGLAKGDFDKDQQECADTLIGLATCLPYVSGDAKTPTMDCCSGLKQVVQKNLRCLCILVKDRDDPKLGIKINATLALGLPDSCHTPTNITECPKLMKLPPNSPEAKIFEDYGKNAKKNNITNVASPSGDTSNGKIEASDVGRGKKWLGFEKICAVLYIIIMFLFQIV >cds-PLY67924.1 pep primary_assembly:Lsat_Salinas_v7:5:298530377:298531349:1 gene:gene-LSAT_5X159981 transcript:rna-gnl|WGS:NBSK|LSAT_5X159981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSKELLLVQLKKLSKLGQRDLSNRQECFLILIILARLEEHRDMLDNQILDLDEVAFAREGIEVAQYTVSVMKSAKMELEGMMKNLHNGMKDLMEAQYEIQESLSRSFIPDSIIPRSAVEAAVKKGESKILVVT >cds-PLY67605.1 pep primary_assembly:Lsat_Salinas_v7:6:123329138:123331372:1 gene:gene-LSAT_6X75361 transcript:rna-gnl|WGS:NBSK|LSAT_6X75361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLEEFQHLKFHLDEIKLATNNFDNNNVIGKGGFGYVYKGVLSQCEGQDIVAFKRLDRRYGQGDPEFWKEILMLSHYKHENLISLLGFCDEDGEKILVYEHASHGSLDIHLNSTTLTWRQRLKICLGAAMGLCYLHDPKGTQQRVIHRDVKSSNILLDENWNAKLSDMGLSKIGPANQRHTFLATNVVGTFGYLDPMYLATSILTKESDVYSFGVVLFEVLCGRPCFDYNNGRLQLFVKWWKACYKQKKLDEIIFQDLKKDMDSRSLETYSNIAYQCLQKSRDKRPKMSEVVEKLEMAFRFQELFEESMVYEEIIKTAVPPLNYRSEEELKMLLTKGIFVNRGKKRFWLNKNGEHCEMISAAECLIPIRTVSEYYYRRSKPRLHLLLLFVSMFLRLFHDYIYSNVLFVVSSCRFIASSCRFAVNGHQPLCMEFKTHVKTQFLSRYITYTVNLVFQLKYTNYLGLNYRMAGETKSWTSYLVDKREDGCLMTELYQFTSDNYRNVDLEITFLSEEPLIVEGMVFLPLERVEQEVVEDEEVDMQTISDSDTYWEQKLPSDYEDIIKTSKDSVQWTTKKELYYILCKGFLINDGKQVYF >cds-PLY99334.1 pep primary_assembly:Lsat_Salinas_v7:1:69513742:69515097:1 gene:gene-LSAT_1X58781 transcript:rna-gnl|WGS:NBSK|LSAT_1X58781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESHPDLTELIPGLPDEIALECLTRLHYGAHDVASHVCQRWRRLIQNREFYYHRKRSGFTRKAACFVQSLPIHSTSNVKPEKQPKYGLSVFDPITGIWDQIDPVPKYPDGLPLFCQVTSSEGKLVLMGGWNPVSWEPIRDVFVYEFTTRRWTQRVNMPLTRSFFATGACDGKVYVAGGHDESKNALNSAWVYDISANEWTELTPMSEERDECEGVFIGSEFWVVSGYETDSQGRFKSSADVFDTTTGTWRRVEEAWGVSRCPRSCVAVGQNGNFTSWDEVEPAVQVGTCGVDIGDCSVVTGSAYQGAPQAVFVIEKSNQGQNGKLIKVDVPDEFSGFVQSGCLVEI >cds-PLY79423.1 pep primary_assembly:Lsat_Salinas_v7:3:78603953:78605779:-1 gene:gene-LSAT_3X60900 transcript:rna-gnl|WGS:NBSK|LSAT_3X60900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKIISSSNFLFFSLVLLINTLSFIPNFTSASLEEANALLKWKSSLEIPNNSLLSSWLPLPINSNASFPCTSWFGVACNADGSIQRLNLTSSSLKEIRLLSKLVYLDFSANKFSGVIPPEIGNLRQLTILYLFSNNISGPIPSSLGDLTSLNFLYLHYNQLSGPIPIQLENLKSLIDLGVSYNQLNSSIPSSLANMSNLQYLYLVANKLSGPIPIELGNLKSLTNLLLSQNQLSGSIPSSLGDLTSLNVLHLSNNQLSGHIPIEIGNLKSLIDLQVSYNQLSGSIPSSLGNLSNLQILYLHNNEFSGPIPTELGNLKSLTKLAVSENQLSGSIPSSLANLSNLQSLFLRHNQLSGLIPQGLGSLYLVVLEIDENQLSGHLPEGLCDRGKLQSLTVDGNQLTGPIPRGLRNCTSLIRARFDHNQFSGDISNSFGVYPNLNYLDISHNNFHGKISEKWSKCKNLRSLVMAYNNITGGIPPQFGNSTQLQRLDLSFNDLTGEIPKEFGKMNSMLKLSLADNHLLGIIPLEIGSCELLEVLDLSTNRLNGSIPKSISQWEHIHYLNLSNNMLSEKIPSEIG >cds-PLY63827.1 pep primary_assembly:Lsat_Salinas_v7:3:230613113:230613456:-1 gene:gene-LSAT_3X131220 transcript:rna-gnl|WGS:NBSK|LSAT_3X131220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDELIGAAIVSYMMVLFKKIKYDPPEKDHGICFVNPTVISPSTHKGKSKNIDDASRGVVDRLSTSKGNDIILVPYNPRFDFSILFCK >cds-PLY65595.1 pep primary_assembly:Lsat_Salinas_v7:7:163686739:163689838:1 gene:gene-LSAT_7X95300 transcript:rna-gnl|WGS:NBSK|LSAT_7X95300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSVGEKHFIQGGIAQDLRTDGRKRLTYRPISVETGANGSARVKMGKTEVIASVKAELGRPNSSAPDKGKVSIYIDCSPTAAPQYEGRGGEELSMELSAALRQCLLGGKTGAGAGINLSSLSIVDGKVCWDLYIDGLVINIDGNILDALGAAIKAALSNTGIPKVNVASTAPSDEQPEVDVSDEEFLQFDTTAVPVIVTLTKVGRHYIVDATSEEESEMSSAVSVSVNRQGLICGLTKRGGAGLDPSVVLDMISVGKHVSEQLMNKLDSEIAAAEATMEEE >cds-PLY91885.1 pep primary_assembly:Lsat_Salinas_v7:8:201901950:201904156:-1 gene:gene-LSAT_8X128420 transcript:rna-gnl|WGS:NBSK|LSAT_8X128420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGQIRYFTVFKMNVQILEEEGKFQAEVAEVQAWWNTERFRHTRRPYSARDVVSLRGNLRQSYGSNELAKKLWRTLKNHQANGTSSRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQREARMSMSQEERARTPFIDYLKPIIADGDTGFGGATATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVAVSEHINRLVAARLQFDIMGTETVLVARTDAVGATLIQSNIDTRDHQFIIGVTNPNLKGKGLATLLSEAMAAGKSGPELQALEDNWLSMARLKTFSDAVVDAIGAMNVLETEKRRKLNEWMNCSSYEKCLSNEDAREIVARLGLTNFFWDWDLPRTREGFYRFKGSVEAAIVRGWAFANHADIIWMETASPDMVECTKFAQGVKTMQPETMLAYNLSPSFNWDASGMTDVQMMDFIPRIAKLGYVWQFITLAGFHADALVTDTFAKDYASRGMLAYVERIQREERKHGVDTLAHQKWSGANYYDRVLRTVQGGITSTAAMGKGVTEEQFKETWTRSGATDMGDGSVVIAKSRM >cds-PLY95069.1 pep primary_assembly:Lsat_Salinas_v7:5:228453350:228459344:1 gene:gene-LSAT_5X108460 transcript:rna-gnl|WGS:NBSK|LSAT_5X108460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLIHNNGIRPEPDEEAEWGIEILESKASTAMKKGGGGAYLTWENLGVAVSNSYGNVDKDGRKVILTGATGFAKPGEILAIMGPSGCGKSTLLDALAGRLASNARHTGRVLINGRKTRPTYGTMAYMTQEQALTWTLTVKETVYYSAELQLPKLMSKSEKRERADRIIREMGLQDALNTKIGGWGTKGLSGGQKQRLSICLQLLTHPKFLLLDEPTSGLDSAASYHVMNQIAKLTRQYNMTVLAAIHQPSSQVFGLFDNLCLLSSGKTIYFGPTHAANQFFVVNGFPCPSLQSPAEHYLITINTDFDEGLVNGKTCDEKAIELLSESYKSSEIYVGVQHEISVICQQDGDPFVKKGSMQASSITQCLVLVERSFINMYRDIGYYWLRLGIYIALGFAVGTVFSNIGYGFGSLHARISMLMFVSCFLTILAIGGFPSFVEEMKVFQWERLNGHYGVGSFVISHAMSSMPYLLLISLIPGVIAYSLVGLQREPEKFIYFALVLFTSMILVECLMIIVATIVPNLLMGIISGAGTQGLMILSAGFFQLPNDLPNVFWRYPMYYISFHRYALQGLYKNEFEGLKFPEYLGGPPTMDAEMILKDVLQIEMGYSKWTDLGILLGMVFVYRAILFGTIKITEWLKPIIRAFQLSCMSGN >cds-PLY71596.1 pep primary_assembly:Lsat_Salinas_v7:3:175022174:175022652:1 gene:gene-LSAT_3X104980 transcript:rna-gnl|WGS:NBSK|LSAT_3X104980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTKVTDTSSARARSGKDIQGIPWERLNITRESYRCTRLEQYRNYEDIQLSGDVDKKCKQNSKIGNYYEFFHNTRSLKPKIIHFQAY >cds-PLY80384.1 pep primary_assembly:Lsat_Salinas_v7:3:237804326:237810190:1 gene:gene-LSAT_3X133301 transcript:rna-gnl|WGS:NBSK|LSAT_3X133301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANIGDDNNNLQVTPSNPSPNPKPDNNNITPVADGDLSGSSPSPVDFIRSVASKLASQPLQYSEPDVWGVLTAISEKARRRRQGLNMLLTSDEHLIGRIVADKRFEILSNQISSRHCKIYRKKVATEDAEGQSKLLNCGFLKDTSTNGTYHNWQKLHKNSPECKLTHGDIISFAAPPQHELAYAYVFREVLNPPTVSDSSPLKRKADELGSETKRQRGIGIGASEGPISLDDFRSLQRSNTELRKQLEDQVATIDQLRSENRAAIDLHEVEKKNLKESISNSYQDELKEMRNVIEEKQKELNEVNKITSEQKSAMVDLNERLNASMQSCTEANEIMRSQNATISELKILLDEERDQRKEDREKADANLTASIQRVKAEAQEELKRLSDASLRRENEQQEIINKLQESEKERCSLVETLRSKLEDTREKLVGSDNKVRQLESQLSQEQVVSSVGRKKIQELENESSRLRKELESEKAAREEAWAKVSALELEINSAMRDLEYEKRKLKAARERIMLRETQLRAFYSTTEEISLLFAKQQEQLKSMQRTLEDEENYENISLDINPNINNHTNSLHQNKQPINSHCSGGSKAADSTTSTKKPDNNHPETSTDEDEASMTEKHECGARNQETCEETQEAEFGQSDPPVTKGGFGSDIDGFNTAPIGTETQGLDQNIDLNKLVDDTMVIDDETERVKIDNSHLHHRVVVVEEDTEAGDTIKTGDLLASEVAGSWACSTAPSCHGENESPGSGGGGGGGGGGLNDSVVAESQTGVGRNHEHEAITKMIGIVAPEMKGEFGGVIESDSEKAVVSNSDTEDCTEDEGSESEPLDHDQKMVDKMDGDDNDDDDEATQQGSG >cds-PLY86310.1 pep primary_assembly:Lsat_Salinas_v7:8:58288929:58289436:1 gene:gene-LSAT_8X41461 transcript:rna-gnl|WGS:NBSK|LSAT_8X41461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTQYNNELQKVVNDIPKKYPGVKSLYGAVYDVTQTLRADPKSHGFANVNEACCGAGPKNGKVQCGLNGTRMCEKPSDFLFWDYFHPTEHTYELLAKAFWDGDTKCARPMNLQALVNT >cds-PLY93154.1 pep primary_assembly:Lsat_Salinas_v7:9:3345029:3347448:-1 gene:gene-LSAT_9X4800 transcript:rna-gnl|WGS:NBSK|LSAT_9X4800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQSCIPSLRFNSLAFSSTKSPSSISEQNFHPLPSRKASKLRSNQKSVGTCSSSSVKAVYSGDYSSSVNKNLRQGVWSIREDVQVPSSPYFPAYAQGQGPPPMMQERFQSVISQLFQYRIIRCGGAVDDDMANIIVAQLLYLDAVDPNKDIVMYVNSPGGSVTAGMAIFDTMKHIRPDVSTVCVGLAASMGAFLLGAGTKGKRYSLPNSRIMIHQPLGGAQGGQTDLDIQANEMLHHKANLNGYLAYHTGQSLDRINQDTDRDYFMSAKEAKEYGLIDGVIMNPLKALQPLPATAA >cds-PLY89961.1 pep primary_assembly:Lsat_Salinas_v7:2:191990787:191991643:1 gene:gene-LSAT_2X113301 transcript:rna-gnl|WGS:NBSK|LSAT_2X113301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERDQKKRSRAVDGGSGGGGHRKKEKEAKGKIVEIDSVVVPPPTEDEVDEFFAILRRMREAVKYFEKGNGGNKLSDPSREPDDGLASVNKGTNVALLDLNTVPDERE >cds-PLY63900.1 pep primary_assembly:Lsat_Salinas_v7:9:69347575:69351837:1 gene:gene-LSAT_9X58521 transcript:rna-gnl|WGS:NBSK|LSAT_9X58521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILTELQEEEAAAASSRRYHVFLSFRGKDTRLGFTDYLYEALVNENISTFRDEEEAEIGEELKPELARAIKSSRASIIVFSKNYASSTWCLDELVMILEQRKASNHIVIPVFYDIEPTHVRKQEGTIGEALSEHRQRIAAEKDEEKKIQGARKLEMWTKGLTEVADLIGKDANGRREMVVIEELVKEISSRLELHSECTIPHLIGMDISIDTISSWLKGGSSENAEVLTISGMGGIGKTSLAKYIYRLHYHEFERSSFVEDIERRCAGQTRALLDLQKQLLGDLLGKRMIEEHDVDVLTYKIEKALLNKQMLLVLDGVDNFEQVDVLIGTNGFLHPRSKIIITTKDGSLTEKCALFRMRVPPKHQKHALHGLSDSESLSLLCWHAFGGYDPKEGYENEAIRASKYCGGHPLALKLLGSSLNNEDVDTWRDTFEMLKTREFHTHVHVQKVLQISFDSLPSDNCKELFKHIACFFVGSDKEMIEAILKECGIRTSYGITKLIDRCLLTIGPLNELRMHQLLQEMGRDLVHQESPEKPWKRSRVWNHEESLYLLKEDKGTTKIQGLVLDMKMLEKESLGGSGSTVADSELQINDPNTIFGIGSSTHSILKFSSCCKKTELKTDALRKMDKLSLLQLNHVKFKGSYKYFPKGLRGLCMHGSKLKYIPSSLPMENLVALDMSYSDLTQLWKKPKLLGSLKILNLSYCKQLVRAGGFSGLPALERLILKRCECLVHVCESIGGCDSLLLLDLSYCRKLKMLPSSMIKLKNVQMLSLDGCAARIGKQSHASSSSSSVGEFVPKHPSILLISLPSSLVTLSLKHSNLSNESFPADFTSMSMLKKLLLDGNPIDSLPDCVRNLTRLERLSVMDCSKLKSVLCPPNTVKRLSADWCVSLVKITFSQEITAPPFVRYRYSVSLTEVQGVFKIQAIEKIDDQILCSLGWTHLQHVKDHKVRIWDSAIWSRATKLPVQMCYEFGIFSTCFPGNVVPEWLGHKNNGSSISFTMPSSSTNKRIEGINICFVHTFSGRGMVSSLRTKVRNITKDLTWIYYGYIFVVREADEDLVWLSHWMFGKNELEDGDEVSVTIVEEEEDGGIMVKECAVSPVYNDRENEEDPLSYYKSWKHIIGGDLSAFQLTSGDYFLTHDRFFNHPLAFKDLFQHKTTQNLFGYIPQYKGTTLILKYCSVSKIIF >cds-PLY92460.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:719006:722405:1 gene:gene-LSAT_0X10701 transcript:rna-gnl|WGS:NBSK|LSAT_0X10701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSALEIEARDVIKIVLQFCKENSLHQTFQTLQSECQVSLNTVDSLETFVADINSGRWDAILPQVAQLKLPRKKLEDLYEQIVLEMIELRELDTARAILRQTQAMSVMKQEQPERYLRLEHLLVRTYFDPHEAYQESTKEKRRAQIAQSIAGEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDVDDKYPTTLGHTIKFGKKSHPESARFSPDGQFLVSCSVDGFIEVWDHISGKLKKDLQYQAEESFMMHDDAVLCIDFSRDSEMLASGSQDGKIKVWRIRNGQCLRRLENAHSQGVTSVVFSRDGSQLLSTSFDGTARIHGIKNGKLLKEFRGHSSYVNDATFTTDGLRVITASSDCTVKVWDLKTTDCLQTFKPPPPLRGGDASVNSVHLSPKNPDHVIVCNKTSSIYLMTLQGQVVKSFSSGKREGGDFVAACISPKGEWIYCIGEDKNLYCFSHQSGKLEHLMKVHEKDVIGICHHPHRNLVATYGEDCTMKLWKP >cds-PLY70265.1 pep primary_assembly:Lsat_Salinas_v7:5:3980965:3985543:-1 gene:gene-LSAT_5X2221 transcript:rna-gnl|WGS:NBSK|LSAT_5X2221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVGNGRLFETERGKGRKIYRVFAATVFVSVVWIWVYRATLMAPASGRWFWIGSFAAELWFGLYWMLTQSVRWNPTHRRTFKQRLTQRFGNELPRVDVFVCTADPVIEPPMMVVSTVLSVMAYDYPPEKLSVYLSDDGGSELTFYALTQAAIFSKHWLPYCRNYKVEPLSPAAYFKSAASPRDHTKHRQDFIVIQRLFEDMKNRIEKVTNIGRVPNKLRLEHQGFLEWDSFTSPRDHAAIVQILLDHDEDTEGHCLPKLVYMAREKRPDHFHNFKAGAMNALIRVSSIISTGPIILNVDCDMYSNSSDTIKDALCFFLDEEKGHEIAFVQYPQCFENITKNEVYGGSLRVLREVDFHGLDGIDGPLYVGTGCFHRRDILSGKSFGSSSDQGSKLTDWKSEKDTHYNHRETENIQILASCTFESDTEWGKEIGLKYGCPVEDVITGLSIHCRGWKSVFYNPKRNAFLGVAATTLDQTLVQHKRWSEGDLMILISKYSPAWYGLGRVHPGLLMGYMMYCLWATSSLPTIYYTVVPSLCLLNDVQLFPQVSSTWFLPYAYIIISTTAYNYFEFLGCGGTTRGWWNDRRIWLYKRTSSYLFALLDTILGSDLSFIISSKVTDTDVHERYEKEMIEFGVSSPLSIAVATQSIINMICFLGFAIKSMLMDTRTREAYYEAMAIHIVLCFVLVLLNVPLYLGLFVRRDKGKIPSDVTVKSIFLALFFCTIFAVL >cds-PLY91860.1 pep primary_assembly:Lsat_Salinas_v7:8:197273051:197273803:-1 gene:gene-LSAT_8X126641 transcript:rna-gnl|WGS:NBSK|LSAT_8X126641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIKKSNKLPQAALIKQILKRCSSLGKKHGYDVDGLPLDVPKGHFPVYVGANRTRYIVPISFLTHPEFQRLLRYAEEEFGFHHEMGLTIPCEEVVFRSLTSMLR >cds-PLY93663.1 pep primary_assembly:Lsat_Salinas_v7:2:201892693:201893818:1 gene:gene-LSAT_2X123220 transcript:rna-gnl|WGS:NBSK|LSAT_2X123220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDISNNRFSGVIPKCLRNIISSLVMVDMGNNSFHGIIPDVYKECGELQGLILNGNQLQGEIPSSLSKCQSLMVLDLGNNKLNGTFPHWLGDLPALQALVLKSNKFHGPIETPATYKSAFPGGKYYSVTIMVKGRDLHFLKISVDYVIVDLSNNRFEGEILGVIGCLASLIVLDLSHNSLTGQIPSVLGNISEIESLDLSCNQLTGEIPRSLAGLTFLAFLNLSHNHLGGHIPSGTQFTAFTASSFGGNPELCGIPLPNKCEHPLAPQLQVNGDGDEESGDIGVWVWAILGLVMGYVMLSTGRPKWFNAIVDAGEHTIKTRHNRRRYVYIGK >cds-PLY70750.1 pep primary_assembly:Lsat_Salinas_v7:8:168211707:168211997:-1 gene:gene-LSAT_8X110541 transcript:rna-gnl|WGS:NBSK|LSAT_8X110541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHELAERVGWKMQKRDEDLIIGFCNEIGIDKGIFEVWIHNNKMTFGVKKDSGNHNNDNGSPGGGIDFLTNRNNHHEQSKCLPSYVCRFLSRFQGDK >cds-PLY87465.1 pep primary_assembly:Lsat_Salinas_v7:2:135741791:135742910:-1 gene:gene-LSAT_2X64221 transcript:rna-gnl|WGS:NBSK|LSAT_2X64221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNSEGSSTATPISSSNIGFQLLKKHGWKEGTGLGISEQGRLEPVQAFLKKNKRGLGAAEIKKSQNTGDQKNLASDKTNDKLSKKSKAKLSKKMKKAQEIEKRLQENEFQRAFFREFWPDNV >cds-PLY68086.1 pep primary_assembly:Lsat_Salinas_v7:5:293400808:293401686:-1 gene:gene-LSAT_7X61961 transcript:rna-gnl|WGS:NBSK|LSAT_7X61961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTFTARHVDIREEGKGLQVKEQHLDIPCIGRIMSWCNEFLLISDDIRKESLYVFNLVTKKGSYLPQCNASCVGHSHYARKCGVVLSFDGFKGIYKVVHLFMGPPIQCHIHTLRKDIVSRVSSKWKKMEIPYMNEGQHYSGDPVSIQGRYFYWDIYSSNHLVFMDIVSEKIFQISLPGRGLRRRCEYSLFVMGGFLALFDGVSWDKAEIWILKDLKMMKWEKLHIWSFRVSPGTCRYPVSGVISKRHIILKSRVDNGMYSYDVKYELVKELDIHVESDDESCVVHSSAPSYI >cds-PLY96871.1 pep primary_assembly:Lsat_Salinas_v7:2:109164381:109164982:-1 gene:gene-LSAT_2X48560 transcript:rna-gnl|WGS:NBSK|LSAT_2X48560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRIIQARQILKRFLSNGTRTTETDLLKGYFAVYVGERENKRFVIPVTLLSQPSFQDLLRETEEEFGYNHPMGDLTIRCCEQTFYDLASGLGAF >cds-PLY62295.1 pep primary_assembly:Lsat_Salinas_v7:5:163794856:163797017:-1 gene:gene-LSAT_5X71940 transcript:rna-gnl|WGS:NBSK|LSAT_5X71940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAEPWLVKIGSHVSSNLKHALLLEPSSKKSTRNKQEIQSKTPETIGILSFEVANVMSKTVRLHKSLTNSEISKLKTQILFSDGVKALVSSDETYLLDLALSEKLEELNFIAGVVSRLGKKCTIPQLQGFQHVYRDIVSAAMDVTELGFLVKDMDAMMRKFERFVNSTTTLYGEMEVLNELEITTKKFQQNQHEESRKVFEQKLIWQIQDVRHLKNVSLWNQTYDKVVEMLARTVCTLYARIFSVFNDSISRREMFSSSNTLNSSRSSAQNSNQITGSCSSSLVEKKIVSVKPQIQTKKGETNLFKAEDFNFACGLGPKRLFMECLTMNTSKLHDFNDGSVSIPVTDDQSSHVSGSCSVSSSLKKEITNLQGCNGNLIKIHKTVPQIKLMVKPPPNTIGGSALALHYANIIIVIEKLLHYPHLVGEEARDDLYQMLPKSLRLVLKRNLKSFSRNLAIYDAPLAHDWKDRLDGILSWLAPMAHNMIRWQTERNFDQQHIVSKSNVLLLQTLYFADREKTEVAICELLVGLNYICRYEHQQNALLDCASSFDFDDCTDWQLQ >cds-PLY73261.1 pep primary_assembly:Lsat_Salinas_v7:8:212612791:212613120:-1 gene:gene-LSAT_8X132821 transcript:rna-gnl|WGS:NBSK|LSAT_8X132821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLQWTRATAAIDGGHPSVSVVTRGALNVSDSGIVAVTSIDKAIDMSPAPDSHCHNMNVSVTSYVDSSDGGYDFNGSRRCSGVGGKREEAWLRLEGVKGWRLVAAT >cds-PLY94020.1 pep primary_assembly:Lsat_Salinas_v7:8:99916909:99923346:-1 gene:gene-LSAT_8X69900 transcript:rna-gnl|WGS:NBSK|LSAT_8X69900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAQRMGSQMMPTPGFNNSNTNQSYMKTDMSNNVVGLSTVDSTMVSQPLNQKQQVGGQNSRILHSLGSHMGGGISIVSHLTVRYNSEICGVICRKNSTWMTLAARFVVVIQTPWSSPCDYSQHCILTSSSPTLGLNLIGEGTKSQFRIARAHFCSSAVLEIGSDPVYSIECLRKQNDAVILVVGATKPRDLLVPGRELSGVHFAMEFLHANTKSLLDSNLEDGNYMRGTKRSALIVNVAFDELVVVIIQLVGFDNSKVAMSSVTAFGRQG >cds-PLY92397.1 pep primary_assembly:Lsat_Salinas_v7:2:155370079:155371383:1 gene:gene-LSAT_2X80881 transcript:rna-gnl|WGS:NBSK|LSAT_2X80881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVGFDNESNNLSGNLFMSSIASQNDQLDFDFGSGELFNPASFCSSIFPPKFISQSQLSFSSPSDCSFDDDAFSANAYATENRLSQASFILEYQQLYNCYTVCLTSLQDSVKEVDALRQENEALRVANGDLVQRLNLFSQATMQNRLASSVRSSSSPSSTLIGDFSRLGIGSPFSESNASAEKVPSVSPTSVIEPKQFTRHNGERVSMPKSISVRSKGYLKSVPSQRPSRQQAPTAQLGQSQRVRVSGEKKSREGLEFEVYNQGMSKTELCNKWQETGACPYGDNCHFAHGITELRPVIRHPRYKTEVCRMVLAGDICPYGHRCHFRHSLTDEEMLLGLNPR >cds-PLY99639.1 pep primary_assembly:Lsat_Salinas_v7:6:81813176:81817934:-1 gene:gene-LSAT_6X58161 transcript:rna-gnl|WGS:NBSK|LSAT_6X58161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNQPIELRALDSLGLGFDVASDFRLKFAKSCPDGGRLVELDESRKRDIVLPGCGTTISGVSEDIRCDKGDRIRFKSDVLEFNKMSELLNQKSSIQGKVPSGYFNALFDLSGAWLNDVTDAKYLAFDGYFISLYYLHLTSSPLVLKESVKKSVPTFWNPLILARFIQTYGTHIIVGMAVGGQDLICVKQKPSSTISPADLRGYLDDLGDSLFSDGRSPSLIERKTRDGKQKVPDVFNRMLQPHTMQFTNVTETSSKDGLTVIECKRGGDVFSDTHSKWLQTVGNNPEAMLFKFVPITSLLNGIPGSGYLSHAINLYLRYKPALEDLQCFLEFQVPRLWAPLFCELPLRHQRRKTSCPRLQFNFLGPKIYVSTTQVISDDKPVTGLRLFLEGKKHNRLAMHVQHLSSLPDTMTQNSPKPTTPPQWRGSDDSDSNPNSNSNSNSNSKFLEPVRWKRYSNVCSSIVKHDPNWLQQSQTPGVFIVTGAQLITKGKWPKTILHLRLLFTHLPNCSIRKTEWAGAPVARKSSLFTNLSSTFTTFTRGSVANAEKQVGAMVNSGVFPDSPPVPVRFTKLWKFVDTDEVARGPQDSPGHWLVVAGRLVVDGGKIGLHVKFALLDFGNEL >cds-PLY75075.1 pep primary_assembly:Lsat_Salinas_v7:9:21743176:21743520:-1 gene:gene-LSAT_9X19360 transcript:rna-gnl|WGS:NBSK|LSAT_9X19360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGDLKVGEGGRGEDMGGFIGGVDGGVLELLNLHGGSFLFLAGGRVDGGSFGAVESRSSELPSSEQNGRTKVLFSASISVRNPCSTSISLKSGKPSFSPPLSLHRYNNHHRE >cds-PLY98877.1 pep primary_assembly:Lsat_Salinas_v7:5:20846982:20848312:-1 gene:gene-LSAT_5X10860 transcript:rna-gnl|WGS:NBSK|LSAT_5X10860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTHQKKHGEKVHKEISKLNFVRDGAIKLPPGFRFQPTDQEIVFQYLIRKVFSCPLPASIVPEILDICKFNPWDLPGDWEQDRYFFSKNEPKYGNGHRSNRASEDGYWKTTGFDKQITRCCSNNKSDSRRKEIITGMKKTLVFYKGKPMHGGSTTRTYWIMHEYRLVHSPNCLPNTSSNNDQPVNLFQQRSWIQMGNWVLCHIFMNRRSKVGGAEGKPITSGLTPQEELHHHGFMLRNDGINVKYESSSSLSSSSSCGSSVVTHEVSSVRKLLDDEDISRIM >cds-PLY85406.1 pep primary_assembly:Lsat_Salinas_v7:9:78679583:78680537:1 gene:gene-LSAT_9X64021 transcript:rna-gnl|WGS:NBSK|LSAT_9X64021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFQGFTVTGFVCDATSRPQRQHLLQKVSSIFNGKLNILINNVGTNIVKPSLEYTAEEYSLVMATNLESCYHISQLSHPLLKASGFGSIVFISSIAGSVHVNYTSIYRPTKAVMNQLAKNLACEWAKDNIRSNSVAPGCTRTPLVEPLLSNEEFLGTMVSRIPLKRIAEANEVSSMVAFLCLPAASYITGQTILVDGGFSVNGFP >cds-PLY74719.1 pep primary_assembly:Lsat_Salinas_v7:5:26043881:26045521:1 gene:gene-LSAT_5X13301 transcript:rna-gnl|WGS:NBSK|LSAT_5X13301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLLASPLYNEQNTNRLKVGVVNGVDPRIRFGGTKRKSDLFYDLGTSDLLENDEDKEGQNRSITSFYSQRYLSILDNPNSTWQEAGLTDVSFAHNKMKRSKSLPESSFNDTCSLNAGNMTIYRSKSMNSLPKLRFRDHIWTYTQRYLEAEAIEDAISEYGTVEDDGNRDGMHLVQLLVSCAEAVACRDKTHALSLLAKLRGDALVFGSSFQRVASCFMQGLTDRLALVQPLGAVGLVAPAKNLNAIALEKKEEALQLVYATCPHIQFSHFVANLSILEAFEGESYVHVVDLGMTQGLRHGHQWRALIESLASQTPTPRRLRITAVGPCVNQFVIIGNELEAYAREKGINFEFSTVESSLETLKPEDITTYKNEVMVINSILQLHCVVKESRGALNSVLQIIHELAPKVMVLVEQDSNHNGPFFIGRFMEALHYYSAIFDALDAMLPKYDTKRAKIEQFYFAEEIKNIVSCEGPNRVERHEKVDQWRRRMSRAGFQASPIKMVSRAKQWLAKLEVCEGYTIAEEKGCVVLGWKSKPIVAVSCWKP >cds-PLY80576.1 pep primary_assembly:Lsat_Salinas_v7:6:13455810:13461497:-1 gene:gene-LSAT_6X9941 transcript:rna-gnl|WGS:NBSK|LSAT_6X9941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERRGSKVHTLLKGLEKIVASADIPMLVCGDFNFVPGSVPHALLTIGKVDPMHQDLAVDPLGILRPVTKLIHTLPLELQDKLDAVKMEQPQVRLNDAVTKECAVEMSDEFNITWLHRGDPILNVSNLSIEASRNLGLLLDQLRYQDMKSLSNMVVIVPIK >cds-PLY69317.1 pep primary_assembly:Lsat_Salinas_v7:4:105401072:105403485:-1 gene:gene-LSAT_4X66900 transcript:rna-gnl|WGS:NBSK|LSAT_4X66900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCPFVQRRSGKNILKPYWNHLTSMFVVNMEAKVEERFLLIHMLMFAKLRRQTLLNRLLEEGRLSEVGIIVIDEVHMVGDQQRGYLLELMLTKLRYGAGEGRLEFSKGECSGSNSGKSDPTHGLQIVKMSATSPNVNAVANWLQAALYQTDFRPVVAAGYTSEELYTKTSGLDPKQLQQPHTSHAFALSHVMPNNLNGGPFLTPLELSEPNWELISDAINSTLQFKVKLMEERNMMPLDSNLAALSTRRSKDLELDLAKSFLSEMGQCTIAYPYNQLLGALVLKNYERQDATLFSWNLMYKVD >cds-PLY67889.1 pep primary_assembly:Lsat_Salinas_v7:1:54847583:54849468:1 gene:gene-LSAT_1X49241 transcript:rna-gnl|WGS:NBSK|LSAT_1X49241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLWLLVDLLVFIITVAQDAQPVGVCYGRVGDDLPSQQDVVNLYKINNITRMRIYDPDRATLQALKGTNIELIIGVPNDSLQSLNQQSAANTWVRNNIQNYSDVRFRYVAVGNEVDPNNANSQYVSYVLPAMRNLHNAITAAGLGNQIKVSTATYAGLLGVSYPPSNGAFNDNAREFIEPIIKFLAENNSPMLVNIYPYFVDANGNLLYALFLSPGTIVSDNGRNYSNLFDAILDAHYAAQASVGGENVEIVVSESGWPSAGGDAWTFQTAETYYTNLIAHVTGITGTPAKPGRSIETYLYSMFDEGMKPGAESERHFGIFYPDRRPKYQLSFNLIKSRNGKISERYGLLSAFSVQGF >cds-PLY65603.1 pep primary_assembly:Lsat_Salinas_v7:8:39923077:39923970:1 gene:gene-LSAT_8X31200 transcript:rna-gnl|WGS:NBSK|LSAT_8X31200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIIKGGGLVLVLIILIFISSSSHTIATARSPYNRARQLATDCDSPRQGDIVGCGGGSSGSAKPKRKPCGSAPGSSRCKKGCCGKTKFGDTICC >cds-PLY91288.1 pep primary_assembly:Lsat_Salinas_v7:6:112950533:112953134:-1 gene:gene-LSAT_6X70260 transcript:rna-gnl|WGS:NBSK|LSAT_6X70260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKINPSDEGNLVFGYIPEGSYFSSTFIKLSSNVSQRTIKGRKMSKYDHRMGRGGYTTLRRKLIEENVISKEGIPPRSVMWCKGLESKGEFKDEDVKIMAGSSKEEIKDLKVALHNGKLELEKKDVQLKALSTKVNEQDQTLKLVLAHLNAKGTDFPNPFHTIGISSEKIIRCNETSPVSLKTNEPSEPVTPVIPKPNKKPVQTKSATAAPDAKLISMKSATITNTKTTNKTVESKTTTINHDIPKVSPNNPIHQPIKCSLSISV >cds-PLY61990.1 pep primary_assembly:Lsat_Salinas_v7:5:89231993:89236225:-1 gene:gene-LSAT_5X42521 transcript:rna-gnl|WGS:NBSK|LSAT_5X42521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKGFENLKMQLDSIKSATNTFSKENQIGGGGFGPVFKGELSWKEKTMITVAVKRLNREFGQGDPEFFKEIIMLSAYKHENIISLLGYCDEEEEKILVYEYASKQSLDRHLRSKELTWVTRLQICIGSARGLAYLHDPAGGHQRLLHRDIKSANILLDENWNAKIADFGLSKMGPANQQISFYITHNISVVGTLGYTDPQYMESGILTKESDVYSFGVVLFEVLCGRLCITDPNDRSKSLTNMVRRYHRRDRLNEMVWSHTKDEIHPSSLKCFSTIAYQCLNGEYDTRPIMKKIVRELETSLEYQSVADSKSSLVKEFEHLRIGLDIIKLATNNFGEDHFIAEGGSAGKLYRGEFKHSKGQVVCTVKRLDCTKDDMDLLFWREIMLLSSYKHKNVLSLKGFCDESEERIIVYDFAIHQRLDFLLLDPKFTWIQRLKACLEAACGLEYLHDDKVTQKSVVHGHIRSANILLDKNWNAYITNFGLSRYGHANEEHKLLFSDDKNATLGYFDPIYKNTVQLTKETDVYSFGVVLFEALCSRPCVDNSYKDERRSLPVLIRKNYQEQKIDSVVDDNLRRQIDQNCFDTFVELAYKCLESDKSRHPSANLVMKTLKTALEYQEVFEAKTNRLLDFEEICPPGGSDLVILYTTSVKGIRKTSKDCSRVHSLLKSLKVLYQERDIAMYSDFRDELQNLGKLSALPRLFIKGRYIGGADDIFLLHEQGKFQPLVRDILNKSEGPCKRCAGVRSVVCRKCNGSNILKSVEGGRTRCTECNKNGLIKCPICF >cds-PLY73565.1 pep primary_assembly:Lsat_Salinas_v7:5:119120684:119120848:-1 gene:gene-LSAT_5X52820 transcript:rna-gnl|WGS:NBSK|LSAT_5X52820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGGKPIRQLSSEVWVLYRVIDNTCGFFGGRLDGMKRTLSYNLYVHPQCLCVNG >cds-PLY74167.1 pep primary_assembly:Lsat_Salinas_v7:9:13816542:13819245:1 gene:gene-LSAT_9X12080 transcript:rna-gnl|WGS:NBSK|LSAT_9X12080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRIQNGKNRNPEKPISGCLGRMVNLFDLNTGLGGNKLLTDKPHHDGNGTPIKMLIAQEMSKEDECKQSPSNLVAKLMGLDALPQQHQQPVSASCRSHSRHSRSQSESLDTQSKHEITKCPEQEEYTKDVFEIWQQHYKERCSEKQSESKMDLIREKFMEAKRLSTDEKLRQSKQFQDALEVLSSNKDLFLKFLQEPNSLFSQHLYNLQSVPPPPDSRRITVLRPSKLVDTQKKNEKQDTKPWDKNSSDIFFSPECCKTDENPMQPTRIVILKPHNIKVVDSHSHDDVFDGDPEDSEVLESREAEEEEIALRRDETLLSSVFSNGYIGDDSSFCKSEIDYAAGNLSDSEVVSPTSRHSWDYINRFNSHYATSSSRASYSPESSVCREAKKRLSERWAMMASNKNLQEQRQIQRSSSTLGDMLALSDLKKSVKPEEKKQEFIMGSNDLNKHEDDDNDNSPRKLSRSKSVPTSGVSGSLEGKGDDSKEKLVKSSFKGRVSSLFFSKNKKSSKEKSNQSKDERPRNEGSQCVEDELYRRSQGIVLPEKSGFSFKNPEFLGNHSSENQDQPSPISVLEPHFEEDGHTANAKLNKHDSMKYKLIDKSPPIGSISRTLSWDDTSLGSATPYSGKPSSSAPPLNPQEEEQECLLYVETLLSVAGLHKTRSNSLFARWHSPESPLDPSLRDKYMNLTEKDSILSQNKQRHHHHRSMTKLVFDCVNEALMDIGSGGGAHMAMMMTSALVGDRVWARMKEWLFSGEERWGEWDDSDGDGGSVVEEVDIEGKLLEELVEESVLELTGRASSVF >cds-PLY80695.1 pep primary_assembly:Lsat_Salinas_v7:8:258251400:258252554:1 gene:gene-LSAT_8X152060 transcript:rna-gnl|WGS:NBSK|LSAT_8X152060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGQMSKTSKGSKNYDEGPLQRAPYKKPPFTIGDLKKAIPPHCFNRSILRSFSYLLYDLTISFILYYLASRYIHLLPRNLSCLAWPVYWFFQSSVVGGVWVIAHECGHHAFSNYQWVDDTVGFLLHSALLVPYFSWKYSHRRHHSNTASLEREEVFVPKLKSNLPSLVVYLNNPPGRVLYILFIHTLGWPLYLMFNYGGRNYDRFACHFYPNSPIYSKSERAQIIVSDVGIVIVTYFLYNLVMTKGLTIVLCTYGVPLLIVNISLICVTFLHHTHSSLPHYDSTEWDWLRGALATVDRDYGILNITGHNITNTHVIHHLFPKIPHYHAMEATKAIKPILKDYYRFDDTPILKALYREAKECVYVKSDDNEKAKGVFWFDNKF >cds-PLY91186.1 pep primary_assembly:Lsat_Salinas_v7:4:124590324:124592565:-1 gene:gene-LSAT_4X78480 transcript:rna-gnl|WGS:NBSK|LSAT_4X78480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSITKFLLVSLSLSLVLGIAWSFDFHEKELETEDSLWDMYERWRSHHKVAANHHEKERRFNVFKANAFHVHETNKMDKPYKLKLNKFADMTNHEFRTMFAGSKVKHHRMLQGDRIGNKTFMYANVDSVPTSVDWRKKGAVTPVKDQGQCGSCWAFSTVVAVEGINYIKTKELVSLSEQELIDCDTKENQGCNGGLMDLAFDYIKKIGGLTKEEYYPYMALDEKCDSKKKNAPVVSIDGHEDVPKNNEKALVKAVANQPVSVAIDAGDPDFQFYSEGVFTGKCGTELDHGVAAVGYGTTLDGTKYWIVKNSWGAEWGEKGYIRMQRGISDKRGLCGIAMEASYPIKKSSNNPTSSTKDEL >cds-PLY73277.1 pep primary_assembly:Lsat_Salinas_v7:3:60541831:60545736:-1 gene:gene-LSAT_3X47821 transcript:rna-gnl|WGS:NBSK|LSAT_3X47821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCVGSKPEESPAVALCRQRCTFLDEAIHQRYALAEAHLAYCHSLKNVGVSLHRFFDIHSSAAVHGHGGDTPPSPVLNLPPQRKGESSKPPVTAPVHHHQHQHHDHSHSNSGSSHLHFHSDSDEDSEDDDGSIHLHSVNGGSPLHQHRHRPYENVTYNDQHDTASSSYPPPFYPPSGYPPSGYLPSGFPPSNYPPAGYPPSGYPPAGYPPSGYPPAGYPPPDYPPAGYAPEGYAPGRYTMNFMRKQPTPSVVYQQRPMSPETIHYGEASSSSSYYNNNYNYQNPNSSSYNNNYSNYGEFFGSSSRQPPYGGVSSPPVLQAEASSSNSKPSPPPPPPPPPSSSWDFLNPFETFESYYPPYTPSRDSREVREEEGIPDLEDEDYFQEEVVKEIHSSIPKFVDSGGGGGGGGGGGGSGGKGDSKKAAVVDEDSEKSSGGELHYRSGPTVVEDEPVEFEVHVVDKEETSRSEKPLADFKSDSEVVREIQVQFDRASESGNELAKMLEVGKVPHNRKHAAYQVPSKMLNVFSPSLAIAASKYTSAETTDPSNLDAGIDLRTKSQHLSSTLHKLYLWEKKLFEEVKIEEKMRLLHEEKNRRLKRLDEKGAEPHKVDATRTLVRSLSTKIRIAIQVVDKISEQINRLRDDELWPQLNDFIQGLTRMWRSMLECHHSQTRAIGAAKRLDAIASHKHSSDDSLEATLQLEHELLNWTLRFSCWFGAQKGFVTSLNNWLLKCLLYIPEETADGPVPFSPSRIGAPTVFIICNQWAQAMERISDKEVVESMRDFVRIVLQLWERDKQEMRRRLVMHKNMERKVKDLEREDVKIHKELQVLDKRIVVGSSGDDNGISAVYQSETSKSVGAQTNLRLVFEAMERFTAASLKACEELLQRIEEDKISREQEKVL >cds-PLY85920.1 pep primary_assembly:Lsat_Salinas_v7:2:189866508:189867591:-1 gene:gene-LSAT_2X111720 transcript:rna-gnl|WGS:NBSK|LSAT_2X111720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSAFRRILNGPSSIMSSQFASARFNSTLTAPKLFISGLNRNTTDEKLKEAFEPFGQLVEAKVIMDRATQRSKGFAFVTYASIEEAEKAREGMNAKFLDGWVIFVDPAKPKEYRPPADPESQQQSEFGFKTNKTIGWCG >cds-PLY84260.1 pep primary_assembly:Lsat_Salinas_v7:7:76500132:76504052:1 gene:gene-LSAT_7X53761 transcript:rna-gnl|WGS:NBSK|LSAT_7X53761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSCSFEGFVLDPSKCNKLSMEEKREIVYEVSEWSHGAPELLQSWSRQEILQILCAEMGKERKYTGLTKLKIIEHLLKIVSEKRSNDEEHETTMNLESSENGGSHQRATKRHRKSEHPNRLISTNNHNSLIDVVDLDLVDDNVEFCKNSACRAKLGQNGGFCKRCSCCICREFDDNKDPSLWLICSSDPPFLGSSCGMSCHLECALRHDKSGIPKDGVNRGLDGNFSCVSCGKVNDLLGCWRKQMMIARDTRRVDILCYRVSLSQKLLAGTHRYKKLNEIVNEIMEKLEADVGPLTGLPVKRARGIVNRLSLGQEIQKLCAFAVESVDSLLSGATFNDSSILTPIIRFENISPTSVSIILGSQDQSFINNFKTHRYIMWHRKTLDSEYPLKPTCTLFTQTTTKFLLSNLTPSTQYILKVVHFESTRELGTSEIEFQTAEDEKTHTNQSPPTNSSSLSNPSSVEDENNTIVAYKKSEKQKEKEVVIDTDINIIKNCKDFDPFVPTTSAKLPITPSKKETLKASEKVNNLDDESEEEKQQQDGSSSKKINGEEGDDRDFGYYVKVIRWLECEGHIDTGFRKKFLTWYSLRASKQEVRIVKVFVDTLMEDPSSLAGQLVDTFSDVITGKRCSSSGLCLKLFH >cds-PLY96548.1 pep primary_assembly:Lsat_Salinas_v7:5:336742985:336745261:-1 gene:gene-LSAT_5X189841 transcript:rna-gnl|WGS:NBSK|LSAT_5X189841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIRIRSRDGLERLQVDNPNITIAQLKTLIESQLRVPVHNQTLSTNQSLLLAKTPTEMSRFSDMSNAQTLISTLGIAHGSIIYLAYEGERTVAGPKIRPAGSFGRKMTMDDLIAKQMRITRQENPHCELVSFDRDAANGFQHYVNETLAFAVKRGGFMYGTVSDEGKVEVDFIYEPPQQGTEDNLILLRDPDEERLVEAIALGLGMRKVGFIFTQTIGQTKKDYTLSNREILQAVELQGETDLKEWVTVMVKLEVNDDGAADVHFEAFQMSDLCVKLFKDGWFETEIAADAEPKLSKMKKDVVVGGKDTREVDNDFFLVVVKIFDHQGPLSSTFPVENRNTAITMRTLKNHLDRTKNFPFVKRISDFHVLLLLARYLDVNSDVPALAGCVHAQATVPEGYQILIDSMAASA >cds-PLY77841.1 pep primary_assembly:Lsat_Salinas_v7:1:22154148:22154619:1 gene:gene-LSAT_1X17960 transcript:rna-gnl|WGS:NBSK|LSAT_1X17960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCNNGNANVAVVVDKRFKTLPTAERLPRDEVLGGYIFGYKNDTMQEDLKRQLFVTTFGVSNIDPSA >cds-PLY64630.1 pep primary_assembly:Lsat_Salinas_v7:6:35565313:35567248:1 gene:gene-LSAT_6X28260 transcript:rna-gnl|WGS:NBSK|LSAT_6X28260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGGAVLQKDAPWRAPSTGVKPLPKIHHSPVLCIAQNPYTDYAVSLMKHPDPIGHGLGTEAIVEAAGPECIVPGQITPIKLLGLKVWPVDVDLKFLEPVGKELKNIGKFMDSAVELMNKSFMDER >cds-PLY69705.1 pep primary_assembly:Lsat_Salinas_v7:2:40093123:40097308:1 gene:gene-LSAT_2X17401 transcript:rna-gnl|WGS:NBSK|LSAT_2X17401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Glc:Glc(2)Man(9)GlcNAc(2)-PP-Dol alpha-1,2-glucosyltransferase [Source:Projected from Arabidopsis thaliana (AT5G02410) UniProtKB/Swiss-Prot;Acc:Q8L638] MGRITVALIVSSWVIPISILVNSIVPEPYMDEIFHVPQVQQYCVGNFKSWDPMITTPPGLYFISLAYIASLFPGILFIQPLSSFINSCSISILRSTNGLLAVICSILVHDIIKSLNPSLDDKKATLYAVILALYPLHWFFSFLYYTDVASLTVVLAMYLMCLKKNYISSALLGAISIVIRQTNIIWMLFVACCGILNLIEAKKKHANNFSSEPQFGHFASSSSVKVNSNLKRRRSGNAIHTSTHPIHGKSLHQSTALLTLIYLLIIGIIPFIYGGKL >cds-PLY74498.1 pep primary_assembly:Lsat_Salinas_v7:7:32910902:32912234:1 gene:gene-LSAT_7X24741 transcript:rna-gnl|WGS:NBSK|LSAT_7X24741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKEGETQRKIPSKRRKFQKIGDESEDDRISMLPDCVLVEILSRLPYTKDAIRTGTLSKRWEHLWTLLPILVFKHDQNNLPWSDFVLTVDKTLTQCCPWKLKKFVVNTSTYSGFESQVNNWIRYAIRCNVEELDLTLGGVSIEAEFMVDQVFFISSCFTHLTLEGCILNPTGAISWKNLRSLCISNGKLDEDLIENILYGSPLLETLELEWCYGYKRLNITSKSVKKLVVSEYYDPKYFYDDLHDTLEINAPNILSLTIKGDMLLEKLSWPNVFSLVKADLDYRKMRHLCRTSKGRKLKRFILNHLYLKELKIGSFCSKVVPHLEAKGFVVPSNITPPGVTYNWSSESESESESERESEDDSE >cds-PLY79628.1 pep primary_assembly:Lsat_Salinas_v7:2:166635394:166636487:1 gene:gene-LSAT_2X90220 transcript:rna-gnl|WGS:NBSK|LSAT_2X90220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYASLFQRGEEHVRLPSPNSIQGTTTSFSSSASLDDSLSGVYRSPPRPLPYDVDPRYIRLQRDGLVSRREKGSSRSQEEGEPLRGSDSDTETDPLCAAGNKWNNNSSTCEDGAKGLQTKSSLKVSNTKESTGYAHIFSSSEDEDVCPTCLEEYTTENPKIVTKCSHHFHLGCIYEWMERSDSCPVCGKVMAFDEETV >cds-PLY83232.1 pep primary_assembly:Lsat_Salinas_v7:9:122322823:122323384:-1 gene:gene-LSAT_9X77221 transcript:rna-gnl|WGS:NBSK|LSAT_9X77221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSTSSKMQPRKQSKTITHMPLEVIEKIIFKVGKVSAFDAFKMKVVCRILNEAGKTEEIYKYMEIDELCFHRWSNEKYAVVNKCKELRSPNIKFMDGLMKLFSWKVMKGKRCLKKHLHWDIWMQHFSLE >cds-PLY96217.1 pep primary_assembly:Lsat_Salinas_v7:3:197530840:197531651:1 gene:gene-LSAT_3X117580 transcript:rna-gnl|WGS:NBSK|LSAT_3X117580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVLSAFLTVVFEKLAFEALKKIAGSKGAVSKLKKLERSLIQIKALLNDASQKEITDEAVKGWLNGLQHLAYNIDDLLDDLETQAMHRELANESGATTSKYCHLLVFIRLLL >cds-PLY62871.1 pep primary_assembly:Lsat_Salinas_v7:9:132154417:132154785:-1 gene:gene-LSAT_9X85861 transcript:rna-gnl|WGS:NBSK|LSAT_9X85861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEDFLLNDIDTKGCPALGTMDLRHSLPRAWQAMDCGGQLPYRRRRVWYMLTQNPVETLETEDSEDMEPDYTPAKHPLEPTL >cds-PLY90683.1 pep primary_assembly:Lsat_Salinas_v7:6:51854827:51857064:-1 gene:gene-LSAT_6X38101 transcript:rna-gnl|WGS:NBSK|LSAT_6X38101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEGTMVANEGDEALIGLPPGFRFHPTDEEIITHYLTPKVVNRNFSASAIGEVDLNKCEPWDLPRRAKMGEKEWFFFCQRDRKYPTGMRTNRATDSGYWKATGKDKEIHNKKGKSTGKLVGMKKTLVFYKGRAPKGEKTNWVMHEFRLEGDFSYYNMSRASKDEWVVCRIVQKSTEIRRNNPNNDNHGINSFVDELLDMPPLMDTPAPYLNPGSSYNHSDTNNITNNHKENANAQKFKGLVDNDNYPSYFSHVQPQLLQKDQDYKNFIVSPYNYNFDTNSYQINPFISDHQSNFTCANSFSTNFPYLSSGSTRYMGRSSGLEGEEEPGVRQCKVEPYVTTNTNQSSKISVTSQDTGISNEITTEISSSSMHDTMGPYDHPDDQPAPSALSELDSLLDY >cds-PLY85016.1 pep primary_assembly:Lsat_Salinas_v7:4:223049487:223051578:-1 gene:gene-LSAT_4X123660 transcript:rna-gnl|WGS:NBSK|LSAT_4X123660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSSQHNYIFLHALAAVLVLVHFFLFFTKTGKNNAAPKASGASPIVGHLNLFGGSSGPTHIALGSMAVKHGPIFTVRLGVRKVLVVNSWEIAKELFTTHDVTISSRPKFTAAKILGYNYAMFGFTPYGPYWREMRKIASLQLLSSRRLEQLKDVRVSELDNSIRNIYELWKEKRDAEGKVLLDMKKWFWEFDLNVMLRMVVGKQCRGAKNKEEHNDMNRYCHVFGELFHLLGLFVVADALPFLGWLDLGGHVKAMKRVAKEVDCITGKWLEEHRIQRSAAEVIEEKDYMDVMISAVETEGLTDYDADVVIKSTCLDIIASSADTITVTLTWTLSLLLNNRFALQNVQEEIEKHVGKGRRVNDSDISKLVYLQAIVKESLRLYPAAPLAAPREFSEDCNVAGYHVTKGTWLIVNIWKIQHDPEIWSDPSEFRPERFLSGGTHAHVDVKGTNFELIPFGAGRRSCPGLAFSLQMLHILLATLLQNFDMTSTDGSTIDMTESVGLINVKASPLEVQIVPRFPSINW >cds-PLY76588.1 pep primary_assembly:Lsat_Salinas_v7:5:223872819:223875524:-1 gene:gene-LSAT_5X105520 transcript:rna-gnl|WGS:NBSK|LSAT_5X105520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKFDYPKLPRKEIIGVLAESRIVNVSEAELINPRPDVVNNIYTQILVHLGCLQEDCGLVEFADLEQLENPDLHVDSVRMMNLFNKIRGVISALDCPKNFTLRDLIKPEGDRTEFFIGTILNFCLHRDTRMELIKPVVEDVTNLDEQQQAIENRISQLNAELLEFNESREKEMPLIQEVDIKIKELRQTISSLNNQQMSLKATFRKKKDAVKEMDEKISSAEFALVQSAQENASLRSKIVQSPDKLQRALEEKKGVQIEAKNAEREAMQSFHEKSAILEVYAKASKKMSKHLKQMQALQEQVNSAKQVEKDVKVLKVKNSDDGVLDKSLEAKLFEQQGRADQLEELLQQLEKEKDLKCEEASKEFNNVRSQVEYNRHGLEQRQKNIEALVAEAAAINEKIKVENDSATAKEQILFGKCEEITKEFLEYTNSTGQLLSKIGEGADGLAN >cds-PLY74894.1 pep primary_assembly:Lsat_Salinas_v7:2:87102655:87115824:1 gene:gene-LSAT_2X38240 transcript:rna-gnl|WGS:NBSK|LSAT_2X38240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDGQYHGHGAAEDDDSLLNLTTSNATTRKTTGRWGSTFWKDCQPMHQKHGSEESKSSSAYNNEDGSGNDLSDVDKANKGQNVDEMLSDDYYELDGDDQSDSKHHKLLNNAAAGGYNSMPQRGVSANNLVSRKKSKDSSRGAYVEDADFEDDEEDEEDEDDPADADFDPDLVTTSVGRGKKGHDDDWDGEDLDEDNSEEDEIDISEDDFYKKPSGMQRQKRGRSSSKSSREPKPSTSTSFSRRKRGRASFDEDDSSPDDDLDDDLEEDFKSTRRKSSHPRKDTSFLTKGSGRINEVRTSTRSVRKVSYVESESEEHDDDIKRKGHKDDMEEEDGDSIEKVLWHQPKGMAEEAARTNRSTEPLLLNQLFDSEPDWNNTEFFIKWKGQSHLHCQWKSFSELQNLSGFKKVINYTKKIMEEIRHRRTVSREEIEVIDVSKEMDLDLIKQNCQVERIIADRIGKSSEVPEYLVKWQGLSYAEATWEKIDDIAFAQAAIDEYKAREAALAAAHGKMVDFQRRKSKASLRKLDEQPDWLKGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNSQQIHGPFLVVVPLSTLSNWAKEFRKWLPDMNVIVYVGTRASREVCQQYEFFSDMNTGGTTKFDALLTTYEVLLKDKMVLSTIKWNYLMVDEAHRLKNSEASLYTSLKEFSTKNKLLITGTPLQNSVEELWALLHFLDSDKFNSKDEFVQNYKNLSSFNEIELANLHMELRPHILRRVIKDVEKSLPPKIERVLRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGYGGDSAISGSSKLERVILSSGKLVILDKLLDRLHETHHRVLIFSQMVKMLDILAEYLSIKGFKYQRLDGSTKAEVRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGSAFDKNELSAILRFGAEELFKEDKNEEESKKRLLSMDIDEILERAEKVANFCSAEDDGSFWSRWIKPDAISQAEEALAPRAARNSKSYAEATPSERNSNKRKKKGVELQLQERGIKRRKAAADYSTYQPPALEGASAQVRGWSYGSLPKRDATRFFRAVKKFGNDSQISLIAAEVGGSVEAAPVDAQVELFDALVDGCREAVKGGSMDAKGPLLDFFGVPVKADDVLSRVEELQLLAKRVNRYNDPISQFQALMYLKPATWSKGCGWNQKDDARLLLGIHYHGFGNWEKIRMDEKLGLLKKIAPVELQHHETFLPRAPQLKERASQLLEMELVAVGGKASGTKGGRKGTKKQKDQFPNHNNPISRTRGKQWKPGSGSPEAKLPKNKIKMTRGTGKKTEPLVKEEGEMSDTEEVYEQFKEVKWMEWCEDVLTEEKKTLERLHRLQTTSADLPKEKVLSRIRNYLQLLGRKIDQIVIDHEEDPYKQEKMTTRLWNYVSTFSNLSGAKLQQIYSKLKQERDSAAGVGVGPSQINGGGGGRGFRNDSYHQSSSTSTLVHKGLDTAKFEAWKRRRRAESDPNTHFQPPPHQRPPFANGTRLPDPSIGILGAAPSARPPAFGQRQGGFT >cds-PLY95933.1 pep primary_assembly:Lsat_Salinas_v7:9:41008482:41010786:-1 gene:gene-LSAT_9X36961 transcript:rna-gnl|WGS:NBSK|LSAT_9X36961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGRGKDTPAIGIDLGTTYSCVAVWKHDHIQIIPNDQGNRTTPSCVAFVNGERLIGDGAKNQVAMNPANTIFDAKRLIGRRFSDSKVQDDMKLWPFKVIEGTADTPKIVVSYKGEEKEFLAEEISSMILGKMKETAESYLGKPVKNAVVTVPAYFNDSQRQATKDAGTIAGLNVVRIINEPTAAAIAYGLDNKSNINGKINVLVFDLGGGTFDVSILTIAEGGTIEVKAVAGDTHLGGEDFDSHMVEHCARVFKRKWNKDLTVNKRALGRLRFACEKAKWILSCTKLTSIDIDGLHEGIDFSMNFSRAKFEELNMGYFLKCIETLETCLSDAKMEKLCVNEVILVGGSTRIPKVYQGERARATDNHLLGEFSISGIPPAPKGCIKFKDCFEIDANGILTVTSEIISTGKTEKLTITNANGRLSKKEIEMIKDASKYKLEDQKYKNRADAFNALEDCIYNMKKKIRNMENGERLKRMKHAIADTTKWIEHDQAASVDELQCMKEHLESICMRYS >cds-PLY83052.1 pep primary_assembly:Lsat_Salinas_v7:8:194590889:194591597:-1 gene:gene-LSAT_8X125081 transcript:rna-gnl|WGS:NBSK|LSAT_8X125081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVLHNHNRSTLLTCFLLSQSQSIDFINHQASHYDRLIPSTIKLLNTTAHNQQHRIHLDLRLKYLRSTCTPSTSSIRPPVRSPSVSALILEIHVAGPPSIGLQFMAERNTVVALMKFGVYAWVVDDPTPMNPGGQMAAESSKIGSIRLSKKGWKNTYAKQLGTPPLVHIRGLATGDSLSCWREEKEGEKLMLLVRQIYSSFSLQG >cds-PLY62484.1 pep primary_assembly:Lsat_Salinas_v7:1:82548164:82550372:1 gene:gene-LSAT_1X71660 transcript:rna-gnl|WGS:NBSK|LSAT_1X71660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 35 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G43370) UniProtKB/Swiss-Prot;Acc:Q8VY74] MNRNKNNTNSSKLNSVFYAQTYHPIQAGSIDGTDILPHDNAVYRALLCSSAGLYDPFGDPNVIGEDPYCTVFVGHLSQFTTEDTLRKAMSKYGTVKNLRLVRHIVTGASQGYAFVEFKSEREMQRAYEKAHHSVIDDSEIIVDYNRQQLMPGWIPRRLGGGLGGKKESGQLRFGGRERPFRAPLRPIPLDDLKRLGIPPPPEGHYMSRFEVPSPPLKKRTSVDRDREERHRKSSSRHERRRSSSRDHIYEETKDGYGSKKRSNYNHEHEKRSSSRNYEYDDERDSHKHHRSSTSTSIGYDNDDDHHRSDTRRSRSGDREHRSHKRHRHE >cds-PLY96701.1 pep primary_assembly:Lsat_Salinas_v7:6:76365585:76366988:-1 gene:gene-LSAT_6X56480 transcript:rna-gnl|WGS:NBSK|LSAT_6X56480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSKSVTKRVRDAEAELNLPPGFRFHPTDEELIVHYLCRKSRSTAELVGVVPPPSIIADVDLYKHDPWELPELALFGTKEWYFFTPRDRKYPNGSRPNRVTGNGYWKATGADKPIKRKSDPNTIVGIKKALVFYAGKGPKGIKTNWIMHEYRPNSTPSNKHTDSTISKLEDWVLCRLYNKKNNPKEKITPEDNNIHHSRDLHPASPLDESQSNNSDSTNSFENSEGEFGGNFEGDVMFLSDMPPESLTKVKGTSEQSSMKVMENLVQREDDGNDWLDNLSLEDLNHWLEAMPPNHDVYELPIIYNPNQQYFFN >cds-PLY95154.1 pep primary_assembly:Lsat_Salinas_v7:1:94154640:94156012:1 gene:gene-LSAT_1X77520 transcript:rna-gnl|WGS:NBSK|LSAT_1X77520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFYHDPTTACRTQSTCHEGDIAWNHASEVLSMDENLENDIEKTETPSENHQEPCEGMLFESEEAARTFYDEYATRVGFITRVLSSRKSERDGSVISRGLGCRGDSEHHNNKKNTMNMIQKMNKKSREICTAMILVKREKPGNWVVRKFVKEHNHPLCVSVSKKRATFDEKDKRIQELSGELRVKKRLSALYREQLLALMKDVDDHNEHLSSKVQVVRNNLKKLEARIV >cds-PLY97017.1 pep primary_assembly:Lsat_Salinas_v7:2:35948074:35950220:1 gene:gene-LSAT_2X16181 transcript:rna-gnl|WGS:NBSK|LSAT_2X16181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGGFLKMEKDKHGSEIHGGFVGNFFESLPEGFVANALALTTPRDVCRLSLVCSIFRSAAEWDAVWEKFLPPDYQKILAEAEDGGGSVRCGSKKEMYLRLCDHPVIIDGGNKSFSLDKKTGKKCYMLAARDLSIIWGNTPRYWRWISVPDSRFTEVAELISVCWLEVNGKVNTSVLSTNTKYASYLVYKSTSKAYGFDSQPAEVSTGIHGVETEKKTVFLDPEASHRCENNVGPGSRLGIFGRLIRLGSQTLTSGSARLNGPKMRPDGWLEIELGEYFNEKGEEGELDMSMMEVKGGNWKGGIVIQGIEIRPKVC >cds-PLY89440.1 pep primary_assembly:Lsat_Salinas_v7:8:30750056:30750421:-1 gene:gene-LSAT_8X22041 transcript:rna-gnl|WGS:NBSK|LSAT_8X22041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPQQQPSMDDLPGDVISNILIRLLAKELLQMKRVSKSWNALLSQSSFVKSHLHHSIHKKDGILLVFPKENFFFDHKPFTAHPSRSSDRELTNFIKIPNLQSENTIKAFSLVLSHLARKL >cds-PLY79815.1 pep primary_assembly:Lsat_Salinas_v7:8:18769494:18772970:1 gene:gene-LSAT_8X14640 transcript:rna-gnl|WGS:NBSK|LSAT_8X14640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEDD1 [Source:Projected from Arabidopsis thaliana (AT5G05970) UniProtKB/Swiss-Prot;Acc:B3H5K9] MSLLAASGGDTVKLFDVSMDPGDPCILSHTPSPGSQVNSVKWNHTNLVVASAGDDKRISLWRKNGQSMGTIPTAGSDSGDNAEESIFTINFSSKASRYICSGGSGQTVRIWDLQRRKCIKWLKGHTDTITDVKYNCKDEHLASISLSGDLIIHNLASGARATELKDPNGQVLRVLDYSRISRHLLVTAGDDGSVHLWDTTGRNPKVSWLKQHSAPTAGVSFSPSNDKMIASVGLDKKLYTFDSGSRRPSYCIPYESPFSSVAFRDDGHTLAAGTTTGQVVFYDVRAKPQPFTVLRAYANSEAVTSLCWQRSKPIYVNEKTCTPDTALMGGTVDDSIVMPDPLPSATTSAHLISAARISRAGSGPSPELVTPSMSESSTSEETPLRSTLRAGGLARLHAPRSYNYKDDMEVFSPLVEVQPITPSFDKLWEGTKKDFDKKTSLLFPSSKRFVSSSDVGSDPHSIFDWKPSSTLTQDDNQTPISQPPSSSPKSEEPSSITPPEAWGGERLSDKFIRPPRQQVSLPSRFAAMTSSSTGSMLTGLEDLSSGLPPLANLRVYDSSSTSNQDSPLASLSVGIKGSLESSTPTRRFSSYAERISTNPSFSDGTSTAVGVGSPKMMKKTGGETREELLNSLTPRHDMSSAAMESMNGALETQAQKTNSSQQGSSFSLQLFQGTLDEALGSFQKSIHEDVRNLHIEVLRQFHMQEMQTSNAMRAILENQAELMKEIQLLRKENQELRQLL >cds-PLY84698.1 pep primary_assembly:Lsat_Salinas_v7:2:151371475:151371756:1 gene:gene-LSAT_2X78301 transcript:rna-gnl|WGS:NBSK|LSAT_2X78301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRATQEVIGAIVAAQSLAMGAPVVGGVGVGPDLPVVNVSRAVGLPELRRLRLRKTFIKLTGQSSLSGPPPPSDADSAKRMFIDYLNREFGCG >cds-PLY85712.1 pep primary_assembly:Lsat_Salinas_v7:5:338130918:338135068:1 gene:gene-LSAT_5X191521 transcript:rna-gnl|WGS:NBSK|LSAT_5X191521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETKRKKTLASTNSLKRRKGGDKEKNWKKGPHLPNALQKQLNSLNPTDRSDEEIHSDEDIDVYEYEENIPEEESKKNRRYDPVDNFEYELPKDFEDEDVASDDDEEEKGDSDEEKHARMLQDITGLPGDAFEGKKKKKDVVVFEAYPESEYNPSRDVLDGDGRITVDDLLNPLHGKPGFSKIRKDVQRMDKKSMSIQPPLPKPQQQKIDRRSAYVYSKKKLHEYEALVKKNREAPTIYFDEDIDVGYSTVGAIASEFRPRTEFEKKMDSLINGKELSDAHKGDGARLLELNKVSLEDVKERQDRLSKMRNLLFRHELKAKRIKHIKSKTYRRLLKKDKSKAAEIEMNPEAAKELAEKEEFKRAEERMTLKHKNTSKWAKRIKKRGVDVQDDGTRAAISEQLQQHALLTRKRNSMHDDESSSDDSTEDDDDDDDISGDEDDASKLKLLENGKNRTLEVLGEDDDDDMPKSGVLSLPFMVRGQKKKKEAAEEEARLAFQDYDSSLKQLDGDEDFKDNAGDSLSGRKVYGVAQKKQVPQSKKKVKANTDNYFDNTDSEEDDDDDDDTMDKHGRTTYTQKDVNIDPNILREESEIGHDPLFKSFDDIDKDEGPKIEYEVSLSATNSLKKKRNRKDCNQIKGKKSQVAEVASDIEEHGDDDDDGMVDGIMTSGMDYELPSQDDLIRRAFAGDDVEEDFEMSKQEILNEENPEPEKPVLLPGWGQWTHIQKKRGLPSWMLAEHERAKKKRDQDLNRRPDAHLKHVIISQKLDKKAEKLQTKVLPYPFKDKEHFERSNRMPLGPEFNPATTIGSLNRPDVVKKPGVSIKPIKLRKHH >cds-PLY94763.1 pep primary_assembly:Lsat_Salinas_v7:2:178788888:178791726:1 gene:gene-LSAT_2X100640 transcript:rna-gnl|WGS:NBSK|LSAT_2X100640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSDHPYSTYYNGSWFNLNPNYYYLSPAPAAPPPLSYSSFTHYYQPSPPSPPLREALPLLSLSPSRRSPNQNQEYLSSTTNDSCSTTTTTIAMDVDHHKDKTTTEDDDHETVTVALHLGLPSPSFSEADLITRLSTNSNNNTTEADHKEVEEEEGTHSNGYLTSALNKGQYWIPTPAQILIGPTQFSCPLCFKTFNRYNNMQMHMWGHGSQYRRGPESLRGTQPTAMLRLPCYCCAPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCSCGSDFKHKRSLKDHIKAFGNGHAAYGIDDCCFELEEEEAASEIEQDNESSHCDN >cds-PLY77078.1 pep primary_assembly:Lsat_Salinas_v7:3:220588894:220593240:1 gene:gene-LSAT_3X131740 transcript:rna-gnl|WGS:NBSK|LSAT_3X131740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSAITRFFIIFTLLPIITHSIPFVLFHGIADGCSKKGVKNFTESLSKWSNTQGYCIEIGNGVMDSWFMPFAKQTEIACEKVKNMSELSEGYNIVGLSQGNMVGRGVLEFCDGAPPVKNYISLAGPHAGEAAIPLCGSSFICILVDDLMELAVYSDVVQEHLAPSNYMRVPTDLDAYKEGCKFLPKLNNEFEKNATYKERFSSLQNLVLIMGDKDSVLVPKETSWFGYFPDGAYEPILPAQKTRLYIEDWIGLRTLDEAGRVKFVNVTGGHLEISDDDMKKYIVPYLLDEEAEAPQNPILIESESDSDATSFLSRKHSGQQDLQLNINILHRL >cds-PLY62967.1 pep primary_assembly:Lsat_Salinas_v7:1:211042917:211044881:1 gene:gene-LSAT_1X129780 transcript:rna-gnl|WGS:NBSK|LSAT_1X129780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein PMP22 [Source:Projected from Arabidopsis thaliana (AT4G04470) UniProtKB/TrEMBL;Acc:Q0WTY9] MSIAKKGLQQYLLQLQQHPLRTKALTAGVLSAISDIVSQKLTGIQKLQIRRLLLKVVLLEQVTSSPWNNLIFMLYYGLVIEGRSWIQVKSKIKKEYPTVQYAAWTFWPVIGWINHRHVPLQFRVIVQSFVAMLW >cds-PLY70666.1 pep primary_assembly:Lsat_Salinas_v7:5:71163779:71164820:-1 gene:gene-LSAT_5X33360 transcript:rna-gnl|WGS:NBSK|LSAT_5X33360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAMFEKIEEFREFKQANPESKKGHKYTVPGFILPFKIWILETFPEATMFYIRTLTELPQMRAWRSKTPLNWDQCCRIMNEYVPNNQPINIVANPEELMLSFYVRYVNWTLNPVESPPRQHSSVRNSPPQVLACSKKDVQEKDEADTNVEPDKGFREEEEIINEVEEEKYYHDTHFDYDDISTHGMEGEFGPTPTHVEQSSDVGEHHTKEMTPIVWPQ >cds-PLY94171.1 pep primary_assembly:Lsat_Salinas_v7:5:35624212:35624980:-1 gene:gene-LSAT_5X16840 transcript:rna-gnl|WGS:NBSK|LSAT_5X16840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNLTMGIGLSQKRPVSDLYQDPNLGQAAGSEVGGRAASYEFWGPNKRNVYEGGSTVDRPQGIIPHTNYIEGVVINRYADEVNDSKRACGSGSYKWDYSSGAGRSRQWTLFCHSDDDNDSMESVENHPGYVGGDEVNYPSVSGVKSPDNQGKNRTNDEEVLNLMGHGKDGFTLAISGGGSVGMGVSHEAEIQGSDGLIHRSGSDVGNFSVAGVEVGVGSTSTSSGGGCG >cds-PLY79200.1 pep primary_assembly:Lsat_Salinas_v7:5:125629089:125654564:1 gene:gene-LSAT_5X54841 transcript:rna-gnl|WGS:NBSK|LSAT_5X54841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVPKMFEHLRIPLEAIRSATNDFAKDNCIGEGGLGKVYKGELLLFKGRTKVALKRLDRIALQRNREFWMEIMMLSQYRHENIVSFLGFCDEKDEKILVYEYASNNSLDLHLDSKDLTWVQRLSICIGAARGLEYLHDPAGSQQRKLHRDVKSANILLDENWNASIADLGLSTFHQANTNESVLSTNPVGTMGYCDPSYMETGMLTKESDVYSLGVVLFEVLCGRLCIQNNNRRGESFIHLVKKHYTQNKLNKIIWVNIKDEINPDSLRAFATIAYQCLNSDYEKRPSMNDVVKNLEDARTYQIYTEGTKISLHAIKLATNNFSKNKCIGEGGFAKVYIAEQVHSEGYIMTVAVKRLNLTNEAGNRGFKNERKLSQYRNGNIVNLLGYCDDENEKILVYEYAAKRSLDFYLNNNDLKWVGRLKICIGAARGLVYLHNPDGYQESIWHLDIKSGNILLDENWNAKVTDFGLSKFIVANQKNKSPISGAVGTPGYCDPVYIETGSPAKESDVYSFGVVLFEMLCGRLNTPNKYEHRSLAELTRNCYEKSDLSGIIFGNIKDEISPSSLRAFVTIAYRCLKRDREERPSMKEILTTLETALERQVSPLHLTPPPTLSSKSINEYQRSLKPLLWETTRATVGSLWDVSHKHGSRAPEMDITELDRLFSKYKDNAQLDYETPKLENLFWVHPDRASSCKIMLQDINLHVADIINAILALDSSAVTVDQVYDLNEFCPTNEEMEMLTNYAGDKEILGECEQFFLECAKIPRMNSKLQVFAFAITFSRRVNNFRDTLNIIKDANKEIKESTKLAKIMQIILMLGNKLNAGIAQGSAEGFKLGSLERLGYTWARDKNITLLHFLCKVIAEQTPELLYFYNDMTHLQDAYWIQIKDLYEEKSAIINSFQKVKQEFSSSVSDGSVSAKFRKALRPFLDSADAKLPSLISLFDEVYLDIESLVIYFGEDPDYYSWRQVITSLVNFIEMFKKAHNHNKMKVDARKMKLETNGDEE >cds-PLY87409.1 pep primary_assembly:Lsat_Salinas_v7:4:11869177:11869975:1 gene:gene-LSAT_4X7721 transcript:rna-gnl|WGS:NBSK|LSAT_4X7721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLMVLGKENNVDNTGMRFDKQARNALAFVALRADGECEFMFFHHPSADMLLTEAELDTDLIQKISEDEIMFLTGGDDPYDDKVVLTKLFHPNLKLLIVIEGPNGSRYYTKDFHGKVGGVKVKC >cds-PLY88294.1 pep primary_assembly:Lsat_Salinas_v7:6:126958706:126961649:1 gene:gene-LSAT_6X76300 transcript:rna-gnl|WGS:NBSK|LSAT_6X76300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPKSTIRLITYSNELINGDPLYISSNSLPIKASNYEPAGHSFHDIALKLRGYFEEENITSDNETLPKDKEHDYMQSSDSYSSSKGKKKTADGVIQQDHYALLGLGHLRYLATEEQIRKSYRETALKHHPDKQAALLLGEETEAGKQAKKDEIENRFKAIQEAYEVLIDSTRRRIYDSTDEFDDEIPTDCSPNDFFKVFGPAFMRNGRWSVVQPIPPLGDDTMPLKEVDSFYDFWYGFKSWREFPHDEEFDLEQAESRDHKRWMERQNAKLSEKARKEEHARIRSLVDNAYKRDPRIMRRKETLKLEKQKKKEAKFIVKKQQEEEAAKVAEENRKKKEEEDKQAAEAASNQKKIKEKEKKLLRKERTRLRTISSPVVSENILNLSSDDVESICMKLDMLQLKKLCDDFEGQDGNNKKAELLKSALSVGDQQSEKEKDSVVKKNQVNGSIKVNGSLAQKKEKPWGKEEIELLRKGIVKYPKGTSRRWEVVAEYIGTGRSVEEILKATKTVLLQKPDSAKAFDSFLEKRKPAVSIASPLTTREEVDGVSVSVSVGPTESQPQPSQSEEAGSSGSDQDVWTPVQETALVQALKTFPKEINQRWERVAAAVPGKTVNQCKKQFALLKEKFRNKKK >cds-PLY84894.1 pep primary_assembly:Lsat_Salinas_v7:8:67696370:67699388:1 gene:gene-LSAT_8X48161 transcript:rna-gnl|WGS:NBSK|LSAT_8X48161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRLGVSTGFSSIILLFCLLSVSVHCKTLKRDVKALNEIKASLGWRVVYAWVGDDPCGDGDLPAWSGVTCSTQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLTRLDLHNNKLTGPIPPQIGRLKRLKILNLRWNKLQDVIPPEIGELKKLTHLYLSFNSFKGEIPRELANLPELQHLHLHQNRLIGRIPHELGSLQNLRHLDVGDNHLVGTIRELIRIDGCFPALRNLYLNNNYLTGGIPTQLENLTNLEILHLSYNKMSGIVPFGPAHIPKLTYLYLDHNQFSGRIPDAFYKHPYLKELYIEGNAFKPGVNPIGVHKVLELSDSDFLF >cds-PLY98593.1 pep primary_assembly:Lsat_Salinas_v7:1:39923477:39926183:-1 gene:gene-LSAT_1X35161 transcript:rna-gnl|WGS:NBSK|LSAT_1X35161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFFANMIETWFRRSFYSKCHSNIKLTLMRIEIIKRRRKAMEKYLRNDIVDLLRNGFVADAYHRAAGLYLDQNRSLCYDFIEQFCMLIINHLTVMNDQSECPEECREAVSSLIYAAARIADLPELRELRSLFTERYGNSPEAFINKEFMNLFKKERPTHDMKIHLMQEITLEHGVEWDPNSLEQSSNKPPSSIDDQSQNMYGDGDNEESHGTHNENGLRIKVQETGHHEGSNGEIEKQTDQMRKRILDYWSRTTSLFSTSRETSTSSDDSSSDDSSKGRSFFGLRQKHNGNKENQEVSNNSNIQILDNNATLPPGEKNTRRRKRGPLSRVKSHSTECVPNPLAKKGHVRTVSDVTNNPPRGHVHPKLPDYDEIKSRFAALRAKT >cds-PLY96251.1 pep primary_assembly:Lsat_Salinas_v7:7:182650609:182652530:-1 gene:gene-LSAT_7X108280 transcript:rna-gnl|WGS:NBSK|LSAT_7X108280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSPENTNWIYEYGLIDDIAVPDANYTLPVSGFSWPIQATLNGSSSNPSLDLDGSTVDSDSHNDSRSKKRGRSESCSGTSSKACREKLRRDKLNDKFLELASILEPGRPPKIDKAAILVDAVRTVTQLRKEAQKLKDSSSDLQEKIKELKTEKNELRDEKQRLKIEKEKLEQQLDTMNAQPSFMPMAHHHPPAIPAAAYGANKLVPMISYPGMAMWQFMPPAAVDTSQDHVLHPPVA >cds-PLY77310.1 pep primary_assembly:Lsat_Salinas_v7:3:213540244:213541152:1 gene:gene-LSAT_3X125641 transcript:rna-gnl|WGS:NBSK|LSAT_3X125641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPDAGATNTYSTTAEIRHAIRPWFDDFLTSFTLPLSFPELSLRFQKNLYTFRGNYAIISLLIFILTLILRPIAAIVFLFIIVGWIFLFFARDEPLIVFDFEFGDRLVLISLIVITIVAVAVAGVWWNIFLSIVIAGLLVSLHAILRTPDDTESPYGALLSGVDEDGDSQGAYMQV >cds-PLY93096.1 pep primary_assembly:Lsat_Salinas_v7:4:107598154:107599380:-1 gene:gene-LSAT_4X69761 transcript:rna-gnl|WGS:NBSK|LSAT_4X69761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRCELCKSFATIYCESDQASLCWRCDAKVHSANFLVAKHSRCLLCHSCQSPTRWSASGEKLAPATVSICDRCVVDDDGTGTDADDDYDGDEDETDLDDKEIRDVNQMVAWSSTPPPSASSSSGSEEYFRVADRGVSMKRQRQNVADLSFEVDLNCSLRQIKHQKRSSTVTQPAIGGDEAARIHSLPSRSESSVSIISGDNESATAVEKSEATRAVGFDLNSSPWL >cds-PLY91011.1 pep primary_assembly:Lsat_Salinas_v7:7:178282672:178285635:-1 gene:gene-LSAT_7X105501 transcript:rna-gnl|WGS:NBSK|LSAT_7X105501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLNSLAIYLFVILACSSTLEASINGGRLRFKQENPIKRSDFPPGFLFGAATSAYQVEGAYLEDGKSLSNWDDFCHSKGCGENGENGDVADNHYHLFLKDIEIMHSLGLKAYRFSISWARILPRGRFGEVNPLGIMFYNKIIDNLVLKGIEPFVTIHHQDFPQELEEKYGSWLNPQMQDDFVHFAEICYKSFGDRVKYWITINEPNLFSEMGYERGTYPPARCSYPFGNCLSGNSDVEPLYAMHNMLLAHGKAAKLYHEKFQAIHKPKQGGLIGLVIHCFMYEPLTDSDLDREAAERAMIFNIGWSFDPPIFGDYPKEMRDYHGSELPRFSLEEKSFMKNSIDFIGINHYSAVYTKDCTNSSCLETANRLIKGFVEITGERDGVLIGEPTSMPRFFVVPRGMEEIVDYVKTRYNNKPMFITENGYSSPNIHDVAVEEILNDVKRIEFHQSYLASLAKAIRQVWNGADVRGYFVWALMDNYEWTFGYNVRFGLHYVDQNTFDRIPKLSAKWYQDFLKNNSLIHNPSIRTLESVQMFDV >cds-PLY72033.1 pep primary_assembly:Lsat_Salinas_v7:2:205249319:205251608:1 gene:gene-LSAT_2X126380 transcript:rna-gnl|WGS:NBSK|LSAT_2X126380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 55 [Source:Projected from Arabidopsis thaliana (AT2G40740) TAIR;Acc:AT2G40740] MHLNPNLFTTIFVAYNPPRFSYRSHQHHIHTLDHHNSKKRTQKSAMEEIVSLIFHGRKLVKDLEEALPNIPNQPYLLISLCDEISRVFGDARERLSLVVQEYGHHEPPPTMEVGGGSGSMPEWLRSSHATDMVLPEQLVQLHHGLEAIANQELGGGNVEAQGTDVGHSSLPRTRRRKHEADRRTFRIPAPRMGNTEVPPEDGYTWRKYGQKEILGSRFPRGYYRCTHQKLYNCPAKKQVQKLDNDPNTFEVTYRGDHTCIMSSTAPSMPPLPSAAAEAVPIQSLPSHHDHLPPSSSQTPQWLSMDIKQSVGDLYSITHRHHGMQTYRNQSADIGGEAAGPSSPTTRYVEYGTGMTDLDLVDTMFNSGSSSNNSMELIFPQKEETKDGGDKTN >cds-PLY78859.1 pep primary_assembly:Lsat_Salinas_v7:5:304671856:304674565:1 gene:gene-LSAT_5X165440 transcript:rna-gnl|WGS:NBSK|LSAT_5X165440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGGEEETYSGTPSNNIATAPPRGPPYGGGSNRGEPRGNGPARGGAPQKPLPIETPAVSLSELNRMTDNFGTKSLVGEGSYGRVFHGKLSTGEEAAVKKLDTSSSPEPDNDFTAQLSLVSRLKNEYFVELIGYCLEGNNRILIYQYATMGSLHDVLHGRKGVQGAEPGPILTWIQRVKIAYGAARGLEYLHEKVQPSIVHRDVRSSNILLFDDFRAKIADFNLSNQSSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCIDPKLNNDYPPKAVAKMAAVAALCVQYEADFRPNMTIVVKALQPLFNSKPAAAAEPQTS >cds-PLY64686.1 pep primary_assembly:Lsat_Salinas_v7:7:136338043:136341006:-1 gene:gene-LSAT_7X81601 transcript:rna-gnl|WGS:NBSK|LSAT_7X81601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSIAEMEKPHAICIPYPAQGHINPMMKLAKLLHFKGFHISFVNTHYNHKRLLRSRGSSSLDGLPDFRFYSIPDGLPPSDVEATQSIPALCESVPKQSFEPFCELISRLKGGEESDGPPPTCIISDGCMSFTLKAAQKFGLPEVLFWTPSTCGVLAYTHYRDLVERGYTPLKDMSEVTNGYLERNLDWIPGMNNIRLKDFPSFIRTTDINDTMLNYLITESAAIPRGSAVVLNTFDALEHDSVNPLMALNPRTFTIGPLHLMQQHIENEQVKHIGSNLWKEDESCISWLDTKDPGSVVYVNFGSITVMTKEQLIEFGWGLANSKKDFLWITRPDIVGGNEAMMPPEFVDETKGRGMVTSWCPQEQVLKHPAIGAFLTHSGWNSTIESISSGVPVICWPFFAEQQTNCRYSCVEWGIGMEIDSNVKREEVEAQVREMMDGKKGKMMKCKALEWKKKAEEAVAIEGSSYLNFDKLVTEVLLRK >cds-PLY67993.1 pep primary_assembly:Lsat_Salinas_v7:7:113858523:113860080:-1 gene:gene-LSAT_7X70420 transcript:rna-gnl|WGS:NBSK|LSAT_7X70420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLNPGNFEIHQRLGSALWKMEDCDWKYLYEEIKNGGKTDHGICFVSPSAISKDGRTNNFKKSDEASEVIACRLSTRKDNNIILMPYNPSGHWVLAVLDMQTTTCNYLNSLRCLVVDPDLKQLIEAALSLHARQSGSKKKVKANWINVMHGYTKCGYYVLKFMKEVVGEGLEVLNNNFWGKNEYTDVELDRVREEWAIYVINFLY >cds-PLY62458.1 pep primary_assembly:Lsat_Salinas_v7:1:83347469:83348341:-1 gene:gene-LSAT_1X69801 transcript:rna-gnl|WGS:NBSK|LSAT_1X69801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPDEMIEQIPIRSEASDLIRYRSVSKSWKYVISDPDFIKAHLENSYRRDREYDKMGNRRIAMSATPQCNWEHLFDVDNLYFVRRTCNLLGSSNCLICVSPSRTEFSVINPETREVNKLKKPEILDQVGPLIYGFGFDSSKDDYKVVLGFRKGINLTCFLKFSLESNIWEVIGEVNYTFITRVGVLYNGALHWVVSHGTTNNKKHVILSFDLSDDKFEEIPQTCQRAMLLGTMCVFHGQMFPLKVRVMNEYNVKQSWELVGPNRMINTEVVQQLKNLKYYICSISLYN >cds-PLY74901.1 pep primary_assembly:Lsat_Salinas_v7:3:129058203:129061845:1 gene:gene-LSAT_3X88140 transcript:rna-gnl|WGS:NBSK|LSAT_3X88140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIESEVWENNQPLSIFIFVSCLLSIVCLPQNSSRSSTVFDQSLPSSLLRFQRKFLLVFCSASVMEGMWSVFGEYEMAYFGFNKEQMVSSLIIGSASALFVGTFLGMLSDLIGRKKISLLFCILHLFVAILKTIFSHPSIWLASVCLSLATSIFLFSFETWMVVEHDKLGHRLDSLNEMFWLMTFLESASLIGSQVFANWFISNDFEKNMLSPSTFTALLSLISIIFIAQEWRESPPATFKEYRISFKTHIFYDKRIWLLGWAQSCVHFSVAAFWILWAPTIVGDGREVNLGLIYPCLLGAKMLGSTMFPWFINGPLSIRTEDCLVYTFITSGVILSIVAYDYQEIGILVGLFCLFHASIGLILPSLARLRTFYVPNELRGGMITLSLAPANAAILFCLIQRGYNNSIENSTIIAFSGIGLLSAAVCMHFLKQSGKQSPHQNFHKH >cds-PLY84916.1 pep primary_assembly:Lsat_Salinas_v7:6:15908434:15909987:-1 gene:gene-LSAT_6X10280 transcript:rna-gnl|WGS:NBSK|LSAT_6X10280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQSWTTRRSSNPRFETSVDIPSTPTGEVRYGTTNNIFASFSATSFVSPTILTALIIASWYLSNIGVLLLNKYLLSFYGFKYPIFLTMLHMISCTFYSLIAIRWFQVVPFQQILSRKQFLKIFALSAIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAIFAFLITCKKESAEVYLALMPVVFGIVLASNSEPLFHLFGFLMCVGSTAGRALKSVVQGLLLTSDSEKLHSMNLLLYMAPMAAMILLPLTLYIEGNVFSKTIDKARNDSFMVFLLIGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKAAVAAVVSVLIFKNPVNVMGISGFAVTIMGVVLYSEAKKRSKVTAH >cds-PLY94640.1 pep primary_assembly:Lsat_Salinas_v7:1:41018146:41019480:1 gene:gene-LSAT_1X36380 transcript:rna-gnl|WGS:NBSK|LSAT_1X36380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRSGSSMYSPTSINTNPKFPEHEHLKCPRCDSSNTKFCYYNNYNLSQPRHFCKNCRRYWTKGGTLRNIPIGGGTRKNKRSSISKKRGTNSSPSSSNSMATTMAVAVAPTPVEPKPEASGIYGYGNDQMEGGSVSFSSLMGSSPGGQFAKLLMDGLNPNLVGGSNEDGLIRNPTAEEFESNFLSVNHQTNNQIEENGGGESSCCNQGDNGWPDLSIYTPGSSFR >cds-PLY88697.1 pep primary_assembly:Lsat_Salinas_v7:5:69128286:69136758:1 gene:gene-LSAT_5X32461 transcript:rna-gnl|WGS:NBSK|LSAT_5X32461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGREGFRANSSNIWRNSGRDVFSRSSREEDDEEALKWAAIERLPTNLRLQRGILTEEKGCPKEINVKNLGLVDRMNLLERLVKIAEEDNEKFLMKLRGRIDRVGIRLPTIEVRFEHLNVDAEAYIGGRALPTIFNFLVNILEGSLNYLHLLPSRKKTLPILHDVSGIVKPGRMTLLLGPPSSGKTTLLLALAGKLGSDLQVSGRVTYNGHEMDEFVPQRTSAYISQYDLHIGEMTVRETLAFSARCQGVGANYEMLAELSRREKEANIKPDPDLDIYMKASSIEGQEASVVTEYVIKILGLEVCADTVVGDEMFRGISGGQKKRVTTGEMMVGPSRVLLMDEISTGLDSSTTFQIVNSIKQSIHILEGTSVISLLQPAPETYDLFDDVILLADGQVVYQGPRENVLEFFEYMGFKCPERKAVADFLQEVTSKKDQEQYWIRKNEAYSFVTVQEFSEAFRLFHVGRKLGDELGAAFDKTKSHPAALTTKKYGVSKKELLKACISREYLLMKRNSFVYIFKMTQLTFLATTTMTLFLRTEMPKKTIVDGTVFMGAMFFTILTITFNGFSELGLSIIKLPVFYKQRDLLFYPAWVYSLPTWFLKIPVTILEVATWLLLLICIHQMASAVFRFIAALGRNIIVANTVGSFAFLAFIVLGGFVLARDDIKSWWAWGYWLSPLMYGQNGMAVNEFLGKDWRQVLPNSTETIGVAVLKSRGLFPEAKWYWISVGALLGYMLVFNIFNILALTFLNPLGKPQAVLSEEELAARNAIKTGDAIELAVRDSSETKEIRRSISSKSMSSRVGTITEADKRKKRGMVLPFVPLSLTFDEIRYSVDMPQEMKAQGVPEDKLELLKGVSGSFRPGVLTALMGISGAGKTTLMDVLAGRKTGGYTEGRISISGYPKKQETFARISGYCEQTDIHSPHVTVSESLQYSAWLRLPPEVDSPTKKMFIEEVMELVELTPLSKALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKRGGEEIYFGPLGRHSCHLIKYFEDIDGVSKIKDGYNPATWMLEVTSGAQESALGVDFAEIYKKSELYKRNKELINENSQPPPGSTDIHFRTQYSQSFWTQCMACLWKQHWSYWRNPPYTAVRFLFTTFIALMFGTIFWDMGSKREKQQDLFNAMGSMYAAVTFIGVQNAVSVQPVVSIERTVFYRERAAGMYSAFPYAFGQVMIEIPYIFVQTIVYGIIVYAMIGYEWTIVKFFWQLFFMFFTLLYFTFYGMMTVAVTPNHNIAAIISSAFFALWNLFSGFIVPRTRIPIWWRWYYYICPVAWTLYGLVVSQFGDVKEKLESGETVSEFVSSYFGFDYDFLGFVAVINVGFAVLFGFIFAYSIKAFNFQTR >cds-PLY93598.1 pep primary_assembly:Lsat_Salinas_v7:2:175044265:175046325:-1 gene:gene-LSAT_2X99221 transcript:rna-gnl|WGS:NBSK|LSAT_2X99221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALTATKSSASKSSSKRRNYSSSDSDDDYSSVVDEGASGTLDLHHTVPLVSKYDDRIRPLLNCVDNLRHLKVMQEGIQLPTIVVVGDQSSGKSSVLESLARISLPRAQGICTRVPLIMRLQHHADPRPQLHLEYNNKSVVTDETRIEEDIIAATDEIAGNGKGISHTPLTLIVKKRGVPDLTMVDLPGITRVPVHGQPEDIYEQISAIITEYITPEESIILNVLSVSVDFSTCESIRMSQRVDVTGQRTLAVVTKADKNPEGLLEKVTSNDVNVGLGYICVRNRVGKESYEEARIKEAKLFETHPLLSLVDKSMVGVPVLAEKLVEIQSTIISKCLPDIVTKINEKLTGYVDQLNKLPRKMTSVAEAMTAFMRIIGSVKESLRKILIRGEFEEYPDVKSMHGTARLSEMLNEYFVKLQATADNEGNGFFLMEEIRVLEEAKGIGLPNFLPRAAFLTILNRRVNGISRMPVEFVGNLWHYIEDVLISVLLRHSENYPPLQSSMRRSAHNLMAKIKAASVNRVIEMVEMEKMTDYTCNPEYTLIWQKLMSHEQAFVEAIIDHSKSGKINIEGLSYEVEHLRKYGVDVVKQAFDMKMRMIAYWKIVLRRMVDCMALHMLFSIQNLINRDMEVEILEELMAPHGGGIERMLEEAPMVAGKRDKLNRSIKLLRDSKEVVANVIDQIAAYGD >cds-PLY79535.1 pep primary_assembly:Lsat_Salinas_v7:1:33498240:33498401:-1 gene:gene-LSAT_1X29080 transcript:rna-gnl|WGS:NBSK|LSAT_1X29080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLDLPPSLQLRLLTSSEVILLGCASDLICNFDLKASSDVTFKTLRQADSP >cds-PLY71751.1 pep primary_assembly:Lsat_Salinas_v7:3:46545998:46554039:1 gene:gene-LSAT_3X34601 transcript:rna-gnl|WGS:NBSK|LSAT_3X34601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide exchange factor SPIKE 1 [Source:Projected from Arabidopsis thaliana (AT4G16340) UniProtKB/Swiss-Prot;Acc:Q8SAB7] MSSNGHCFRRKPRQPVAANLDIDSLLDENLEQWPHLNELVQCYKTDWIKDENKYGHYESVGSISFQNQIFEGPDTDIETEMHLANARQDKIEDITDDDVPSTSGRQLTEHYGESPLPAYEPVFDWENERSIIFGQRIPESNMPQSSSGLKISVKVLSLSFQAGLVEPFYGTISLYNKERREKLSEDFIFRVLPSEMQDASSSSESRGLFYLDAPSSSICLLIQLEKCATEEGGVTPSVYSRKEPVHLTEREKQKLQVWSRMMPYREAFSWAIIPLFDSNIGSTSNGSASPSSPLAHSLSGVNLQEGGVSEPIAKVTSDGQLGYSSGNSVVVEVSNLNKVKESYTEDSLQDPKRKVHKPVKGVLRLEIEKLQAANTEYDNASESGSIANEVDHGGQLTDSTVNEWRNIHSNRHKEQTLNGSNSTGHADLTANDVQAFDFRTTIRNEPFLQLFHCLYVYPLTVSLSRKRNLFIRIELRKDDADARKQSLEAMYAREPGSTLQKWAHTQVAPGSRVACYHDEIKVSLPSMWTPQHHLLFTFFHIDLQTKLEAPKPVIIGYAALPLSTHAQLRSEISLPIMKELVPHYLQDTGKERLDYLEDGKNVFRLRLRLCSSLYPISERIRDFFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLHPILNMLLHLIGNGGETLQVAAFRAMVNILTRVQQESVDEAERNVYLVNYVDYAFDDFGGRQTPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLSMAWFFLELIVKSMALEQTRLLYNNLSLGEDIPPMQLKEGVFRCIMQLYDCLLTEVHERCKRGLSLAKRLNSSLAFFCYDLLSTIEPRQVFELVSLYLDKFSGVCQSVLHECKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQELFLTWDHDDLSQRAKAARILVVLLCKHDCDSRYQKPEDKLYIAQLYFPLVGQILDEMPVFYNLNAVEKREVLIVVLQILRNLDDASLVKAWQQNIARTRLFFKLLEECLTLFEHRKSVDSLLIGGSSRSPVAEAPMSPKYSDRLSPAINQYLSEASRQEIRPQGASENGYLWQRVNSQLSSPSQPFSLREALAQAQSSRIGASTQALRESLHPVLRQKLELWEENLSAAISLQILEITEKFSKAAASHSIATDYGKLDCMTSIFTSIFSRSQPLAFWKSLFPVFNNVFQLHGATLMARENDRFLKQIAFHLLRLAVFRNENIRKRAVIGLQILVRSSFSHFTQTARLRAMLTITLSELMSDVQVTQMKSDGTLEESGEARRLRKSLEEMADESKSGKSLTECGLPETSLVDIPEKSTESKWSWSEVKFLSNSLLLALDASLEHALLGSLMNTDRYAAAESFYKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQALVSRNDGVWSNNHVTALRKICPMVSTEITSETSAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELYHFCGSILELVIPVYKSRRSYGQLAKCHSMLTNIYESILEQESSPIPFTDATYYRVGFYGDKFGKLDKKEYVYREPRDVRLGDIMEKLSHIYELRMDGNHTLHIIPDSRQVKAEELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGSVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVVQTQGSFPALVNRLLVTKSESLEFSPVENAIGMIETRTAALRNELEEPRSSDGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGDEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >cds-PLY86840.1 pep primary_assembly:Lsat_Salinas_v7:1:16298431:16298787:-1 gene:gene-LSAT_1X13700 transcript:rna-gnl|WGS:NBSK|LSAT_1X13700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTSFSGHQVLAAAYLCCLIATTLQAPVNHREGNHNHHPQGSRTSTMSNCYCLRCRLLFKNLSEEKDTRFGTEKRLVPGGPNPLHN >cds-PLY94053.1 pep primary_assembly:Lsat_Salinas_v7:4:171062305:171064527:1 gene:gene-LSAT_4X100620 transcript:rna-gnl|WGS:NBSK|LSAT_4X100620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYSKAMVASQLFEEPVDLSVASRKSTFVVGMICCEEELQSRWVNLNALKRLVQADALKMEIIRNPKEGEGIKVLQLETAAGAAIKFFDNAIGINVPRSRFLPVKASSDLLLVQVGDFLKRFKSIPSIIEPDSLKVSGDVWFGSSFVLKGKVVIVAKSGDKLEIPDKVVIQNKEVHGAGDI >cds-PLY63916.1 pep primary_assembly:Lsat_Salinas_v7:5:127872436:127873322:-1 gene:gene-LSAT_5X57261 transcript:rna-gnl|WGS:NBSK|LSAT_5X57261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPETSREPCPDRILDDIGGAFGMGAVGGAAFHFLKGTYHSPKNERLIGGSQAVRMNAPRVGGSFAVWGGLFSTFDCTMVYLRQKEDPWNSIIAGAATGGXXXXXXXXXFLQMRQGLGAASRSAAFGGILLAMIEGAGIMLNKLMSAPQNFPAMEEAPASMAGLGGIPAGVGGIPAMEAASDSSSSSSSSSWFGGMFGGGKPPETAAGGGGAKTQVLESFDAPSPPSFEYK >cds-PLY66102.1 pep primary_assembly:Lsat_Salinas_v7:1:109775770:109776205:-1 gene:gene-LSAT_1X89960 transcript:rna-gnl|WGS:NBSK|LSAT_1X89960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAMLIDLQQTTIMVVDLLQNEHNNGCDPPQTETTAALVVDPPQTTTMVYDPLQTTVVMVVNLPHMVVAKNLVMLMGQVLKVISGRWWER >cds-PLY62197.1 pep primary_assembly:Lsat_Salinas_v7:6:31602521:31607582:1 gene:gene-LSAT_6X24301 transcript:rna-gnl|WGS:NBSK|LSAT_6X24301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQRILSYVFFLLFLLTISGESVWGNGENQGMKTVNCQKSSGFRPTKLFVFGDSYADTGNNPKSLASSWKTPYGLTFPGKPAGRYSDGRVLTDYLARFMGIKSPLPYQWRKYAPNKLRSGVNFAYGGTGVFDTGNFQPNMSTQIGFLEGLIEDSVYAKWDLKSALALVTVSGNDYAAYTSSGGSEQGLPTFITRVVNQISINLKRIHDIGIRRVVVGGLQPLGCLPQITVSSSYNQCNDTQNLAVNFHNQLLQQVVATLNNNTNSSAFLILDLFSSFNTVLKNKGEFTGSLRFDTPLKPCCVATTSDSNCGSLDENGKQLYTVCSKPEGTFFWDTVHPTQAGWRAVYLTLRSSLNQIYY >cds-PLY64272.1 pep primary_assembly:Lsat_Salinas_v7:7:2144872:2159309:-1 gene:gene-LSAT_7X961 transcript:rna-gnl|WGS:NBSK|LSAT_7X961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFERNQGKLEETVIRILKGADLEITNELVVRREAERLLGIDLSNITSKRIVRRVVESFLLSTLPIDEVQEGTEHVEEVQVDKPPVDNQKDASDDGGGRVICKLPGMMRVSVKRFKRTKLLSIREYYQKEGKVFPSGGGITLNPKEWSTIRPSFDDIQEAITKMESMMRLLNLVKTLEMEWNPQTLQFLSQSFLGTLSPQPEPRRRAEKNLSDAADNPNYGLAVLRLVAEPSVDEQIRQCAAVNFKNHLKTRWMPSSATPIPDSEKEQIKTLIVPLMLSATPRIQAQLSEALAVIGNHDFPRLWPALLPELKASLETAINANDFASVNGILATVNSLFKKFRYQFKSDPLLLDLKYCLDNFAAPLSSTVVSISGKINEAAGSAATLRQLIEAQRICFRIFYSLNFLDLPEYFEDNADKWMKEFKNYLTVRYPAIEDSGADGVSLVDELRAAVCENISHYMEKEEELFQKYLKEFVEAVWSLLVVASASPSRERLTVTAIKFLTIVSTSVHHELFAGDEILQQITQSIVIPNVMLRDEDEELFEMNYVEFIRRDMEGSDLDTRRRIACELLKGIAGNYKQKITERVSTQIHNCLAMFAENPAANWKYKDCAIYLVVSLATKKAGGASISTDLVDVESFFRSVIVPELQGQDVNGFPMLKAGALKFFTMFRVLIPKPIAMALVGDVVRFLGSDVNVVHSYAATCIEKLLLVKDNNGVHSQARYSSMDIGPILPVLMTNLFGALEKPESEENQYIMRCIMRVLQVADISPEVASPSITGLTSILNRVCENPKNPVFNHCLFEAVATLVRRACEKTPSLVPAFESCLLPSLQMILAKDVTEFFPYAFQLLAQLVDLSRPPVPAHYMQIFDILLTPDLWKKSGNVPALVRLLQSFLQQAPNELNREGRLRNVLGIFNRLVSSPGTEEQGFHILNPVIENLGYDVMAEYMNHIWASLFTRLQNSKTPRLVRCLIIFMSLFLVKHGIQTLVDSINSVQANLFHVILGQFWIPSLKTITGYTEVKLSAVASSKLLCESASLLDPAAEELWGRLLDGIVTLLSLPEEERVEDEPEVPDFGEATAGYQATFVRLHNAGKKEEDPLKEITDPKHFSVALLSNLSSQFRGRFPPLITKYLSPANQAALAQLCNSYNLAIL >cds-PLY90665.1 pep primary_assembly:Lsat_Salinas_v7:6:51735375:51736214:1 gene:gene-LSAT_6X37880 transcript:rna-gnl|WGS:NBSK|LSAT_6X37880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHSWFSSFREYECNRSIRRQKDHPKMIISWLLRTNQIRWFYFLTCSYGTKIEKIEKISHSQPLMKDSLKSYGLKEVRNLLFDSNSPTPVVAFLSVTSKVAASASATRIFDIPFYFSSNEWHLLLEILAILSMILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNDGYASMITYTLFYISTNLGTFACIVLFGLHTETQNIRDYAGLYTKDPSQSFVIVSLLSRA >cds-PLY67154.1 pep primary_assembly:Lsat_Salinas_v7:8:205169514:205170303:1 gene:gene-LSAT_8X129640 transcript:rna-gnl|WGS:NBSK|LSAT_8X129640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVGVPSPPTDDPCKSKPNYKRFTIRVVHGGFFTDYPGKAYQQTKVHFISYVNIDLLDMELLGRFSRSLGYTSLGNWYHMPIEEHNGLSIVPILNDECLEPFKTLVRAHKFKDIEHLYVEHRHVFVPNNIPHFLMNSPAKRVDKHIHMFVTEHPMASIDDGIAYIQHILNMRIPREKMEDAMDMAKENVVAWKNIA >cds-PLY86027.1 pep primary_assembly:Lsat_Salinas_v7:3:74038698:74040514:-1 gene:gene-LSAT_3X61240 transcript:rna-gnl|WGS:NBSK|LSAT_3X61240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGLNMRNWGYYEPSFKEHLGLQLMSPIVDHRDTKPFLSSRENPIIMNPNMTPYHRSSIPSEPPIPLHYMRDGWIQRERLLHMLPGNPSFSIIPDTSTSQSMHMMPPAPPPDSTKELGMNLEDLQAPPDGSDTGGGGGGGGSVKKRGAGATVSATPKQPRAKKPKKAASIPKETGNQRSKSIKRNMDVVINGIDMDISGIPIPVCSCTGVPQQCYRWGAGGWQSACCTTTISMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLGSESYNFANAIDLRAHWAKHGTNKFVTIR >cds-PLY61706.1 pep primary_assembly:Lsat_Salinas_v7:5:218234328:218237976:-1 gene:gene-LSAT_5X100980 transcript:rna-gnl|WGS:NBSK|LSAT_5X100980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVRGGYAGDTARKVSFEAPRKFTTVFKSHLKETFFPDDPFYDFKGKSLPVKAKKAIQYFVPIFQWLPNYKAKLFLYDLLAGITIASLAIPQGISYAKLANIPPVIGLYSSFVPPLIYAVFGSSKYLAVGTVASSSLIIAATISTKVNPTENPQLYLNLVFTATLISGLIELVLGVFRLGILVDFLSHSTITGFMGGTALIICLHQLKGIFGLKHFTPHTDVISVIRAIFQNRKEIIWESTLIGAIFLIFLQLARFVKQKKPKLFWVSAIAPMFVVIIGCVLAYVWHAEKHGIAIVGELKKGINPPSLKNIDFDRKYIAAPLQAGFITALVALAEGIAIGRSFAILQNEQIDGNKEMIAFGLMNIVGSLTSCYLTTGPFSKTAVNYNAGCKTQMANVVMSLCMMLTLLFLAPLFSYTPLVALSAIIMSAMLGLIEYDKAYHLYKTDKFDFIICMAAFLGVAFVSMDVGLMLSVGLALVRALLYIARPTSSKLCNIPDTSLYRDVEQYPDATDVSKTVIVQIGSPIYFANAGYMRERVLRCVRDEKANRLQGDDVEYVLLDLGGVSSIDMTGVETLVEIRKTLEAKYIKVVLVNPRLEVMEKLIVTNFIEKIGKERVFLSIEDAIEGCKFSLSHERYL >cds-PLY73502.1 pep primary_assembly:Lsat_Salinas_v7:1:197531374:197532252:1 gene:gene-LSAT_1X121201 transcript:rna-gnl|WGS:NBSK|LSAT_1X121201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIPIMITQTELYLEGIHYLTWSEFVIGLKQCQILFSTMNTHPCFQEFLKTLLGNLSLPSSYPSSNSSSFRFSSSDTTPKSSRSETLLDCWKFDDLIFLEIDLFEKVIESMILLHFEHPRICSFIFHYQKSKFFLCSSRDEKCKLSETTINLLSSLNGSSFSCRELLDAYGTSLSLSMRTPERLKLEAFLGSRLDEFRIDDLLVRGKKKLVFDVDLTLRLIKVFLLERRVFGFFPHRAKKVGFLVDLFMIEVAVDPFLKPSKFIALGMALPDFSRESHDRIYHAIDLYLQVH >cds-PLY83958.1 pep primary_assembly:Lsat_Salinas_v7:8:37088385:37091065:1 gene:gene-LSAT_8X29520 transcript:rna-gnl|WGS:NBSK|LSAT_8X29520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVEKPRAEQPIDENEIRITSQGRMRSYITYAMTLLQEKGSSEIVFKAMGRAINKTVTIVELIKRRIVGLHQNTSIGSTDITDTWEPLEEGLLPLETTRHVSMITITLSKKELNTSSIGYQPPLPADQVKVATEFEYDGEGSPTARGRGRGGRGRGRSRPAPGNGYGPDEYDDGGWDGPGGYPPRGRGRGRGRGFRGRGRGNYNNAPYMDTQQEVGGYNNQESPRGRGRNFRGRGRGGYNNGPYMENNQQDFGGYNNQESPRGRGRNFRGRGRGGGYNNNNNDINNNNNNAYMDNQQDVGGYNQEFRGRGRGRGTRGRGRGFRSNRPNQGAGVGGGD >cds-PLY65530.1 pep primary_assembly:Lsat_Salinas_v7:9:143796835:143798389:1 gene:gene-LSAT_9X91980 transcript:rna-gnl|WGS:NBSK|LSAT_9X91980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGIGSRTGRPSSRYGSAPVFTGPVRRWQKQWVHVSSSSSSITYNHSNNNSSNSNNNASTVRIRRWTPVSSAPSGEETESGAEERPRRKLRYAPIVVLEKKKESERTDNDVKEESKTHQPIAQETSETDNENERNFDDVLSAKSQSQNEPSTEDLNHQPMEMEEHDEDHDLGGENKVADWVKAAQRGFAR >cds-PLY65507.1 pep primary_assembly:Lsat_Salinas_v7:3:1255727:1256463:-1 gene:gene-LSAT_3X1301 transcript:rna-gnl|WGS:NBSK|LSAT_3X1301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHTTTSSSSSSTSTTTTTKRSKDGEISDEVTKKSKKTENIDQGEHPVYRGVRRRSWGKWVSEIREPKKKSRIWLGTFDTPEMAAGAHDVAAIAIKGHSAILNFPELANQFPKPASNSPRDVQAAALKAATFVLRNLNSSKDESEPRQLELPCTCSSSSPQSNITDDPFLDLPDLFMDLGNRILYTLPEKFTGNSEFWPEDHFLWNYI >cds-PLY64558.1 pep primary_assembly:Lsat_Salinas_v7:6:37257304:37258817:-1 gene:gene-LSAT_6X26761 transcript:rna-gnl|WGS:NBSK|LSAT_6X26761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSNLTEGGSTAGNGTGLGDIPESCIAGVFTYLTPPEICNLARLNRSFRGAASSDTVWESKLPPNYQDLLDLIPPERYQNLCKKDIFSLLSRPVPFDDGNKEVWLDKVTGRVCVSISAKAMAITGIEDRRYWNWVHTEESRFNIAAYLHQIWWFEVDGVLKFPFPADVYTLSFRIHLGRFSKRLGRRVCSFDQTHGWDIKPVRFELSTSDAQESSSTESFLDDCDKYDETNGNHKRGCWIEYKVGEFIVTSSDPATEVRFSMKQIDCTHSKGGIYVDNVSIIPSDLKLGRRRRRMLK >cds-PLY81113.1 pep primary_assembly:Lsat_Salinas_v7:9:68397690:68399240:1 gene:gene-LSAT_9X56481 transcript:rna-gnl|WGS:NBSK|LSAT_9X56481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKSVSGLRKGKKKKVKDELDRIKQAEKKKRRLEKALATSAAIISELEKKKQKKKEEQQRLDQECAAIAEAVAMQVLSEEGPDDDATRGSSSHHQGFYRNRNEEFGWILNAHGGSRCEWNGYGHGCGDDVSSAVGQMAAHAVSSLRITDDEDMNAFVFNRMVRG >cds-PLY89871.1 pep primary_assembly:Lsat_Salinas_v7:3:252319843:252322704:-1 gene:gene-LSAT_3X139060 transcript:rna-gnl|WGS:NBSK|LSAT_3X139060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPSNFNMGFSERALSAAGAAFLSAIIVNPLDVAKTRLQAQAAGVPFQNLSHTCSFVILQMLPDTRCFPSCYRSILGEPSCSPYKGTLDVFYKVIRQEGFGRLWRGTTASLALSVPTVGIYLPCYDILCNFMEEYSSQNAASMTPYVPLVAGSVARSLACITCYPIELARTRMQAFKDTRHGKKPPGVLKTLDGVISTNRTTGTLGSSLHKFRFLWTGLGAQLARDVPFSAICWATLEPVRRQIFAMSAGDVSSPVTVVGANFCGGFVAGCLAGACTCPLDVAKTRRQIEKDQIRAMQMTTRQTLIEIWRDGGMKGLFMGVGPRVARAGPSVGIVVSFYEVVKYVLHNRKCQTKN >cds-PLY86244.1 pep primary_assembly:Lsat_Salinas_v7:8:59279636:59283334:1 gene:gene-LSAT_8X42960 transcript:rna-gnl|WGS:NBSK|LSAT_8X42960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKFFTTLFILWSFDSSSLLSSALPNSPTLGSRSILRAIKRGADRPSLVVDLNSTNFDAVLKETPAAYAIIEFFAHWCPACRNYKPQYEKVARLFNGANAIHPGIILMTRLDCAHKANTKLCRAFSVHYFPMLLWGPPAKLIAGRYNGKKVKSEIKLVEDWESSKLLLNWINTQLKSSYELEDEKFEHDELLQSNVSDSGQVARAIYDVEESTTIAFDIILEQKELMVAHHPSRRCRKGSANILVNFDDLYPSNISSINKDEMDNIIGLDALSTFQICGKQVPRGYWMFCRGSKKDTRGFSCGLWVLLHSLSVRVDDEESQIAFKATCDFIHKFFICEECSQHFYKMCSSVSPPLNTTREFVLWLWTVHNKVNERLMKTESLLGTGDPKFPKIPFPPTQLCPSCYSKNQTNENNSSINWDHNEVFKFLLDYYGNMLVSSYKDKDTDIISHVSITSQVDSDDLVSGTAIVVPVGAAMAIAVASCLFGALAYVWRSQQKNRKYFHKPEFLKNV >cds-PLY80934.1 pep primary_assembly:Lsat_Salinas_v7:8:257057386:257059821:1 gene:gene-LSAT_8X151560 transcript:rna-gnl|WGS:NBSK|LSAT_8X151560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRWVKPEVYPLMIPIVGVLGLVATQLVRNISTNPDVRVTKENRAAGILENFKEGEKYAEHGLRKFVRGRTPQIMPSINDYFTREK >cds-PLY81705.1 pep primary_assembly:Lsat_Salinas_v7:3:30582503:30583835:-1 gene:gene-LSAT_3X22700 transcript:rna-gnl|WGS:NBSK|LSAT_3X22700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKRKNDGKADIASKNLKLTRATRRSTRQASHGAAKVVLEAAPKIKKAKLSSKEKPDTKAKPGSKDKRQPKPKTTDEAAAAEDPPSNGVNGSKTIVIERCKQCTQLKKWAAKVKLGLETAVSGINVLVNPEKILSRDLEEFLSSSSKMKHIKTSSIPCSISESNSNSKSVDLDSTSGTMNWQKDLVKAIHELVSFYELRFNHVVKLEDNLFKSKKTAQIFLLQQDRKERLRMIQEIIAADITAKN >cds-PLY99386.1 pep primary_assembly:Lsat_Salinas_v7:7:145056480:145057857:1 gene:gene-LSAT_7X86621 transcript:rna-gnl|WGS:NBSK|LSAT_7X86621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQEIKDLTQERDLARTHLEEILRAAGIIDQSLLPWGEQSSRSSGSTAVDKTYSRTASLTRSTSCSAVIEEMTPEYNVVAESMVTKEVEGDASGRILQSKSESDIKSVCRQDSDEKGMKQTVGSRGLFIYSPTRTLKEGMRDDLKEEYISYMAETPRAIGSAKEDNDWDLLFEEQRRKIIKLWDECNMPLIHRTYLFLLIQGSPSDSIYIEIELRRLSFLQKAVDHASRAMDLERAMLSRKLLRKYSAKEREGLFIPDHLQKEAFQHMILILLPLQHPKEWFFAHSLCSHQLQHNE >cds-PLY79971.1 pep primary_assembly:Lsat_Salinas_v7:9:44820819:44822315:1 gene:gene-LSAT_9X40620 transcript:rna-gnl|WGS:NBSK|LSAT_9X40620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFLNFFGCFAISDKVADCASEDGGGCCTSTTAVNTKDTCLVTCVKKFSWEEIRKRSRNFSRVIGSGGFSTVYLARLPDAGFTAVKIQSACTERLAGIHDQELRVLLRLKHPNIVKLLGHCDDREEERVLLFEYASNGTLHDKLHVRSSVTLTWKVRKLIALQLAEALEYLHGMHIIHGDIKASNILLDEQLNCKLCDFGSSKFGFTSMVLPPSSTKMKRMIMGSQGYMDPHYLKTGLVSKKNDVYSYGVVLLELVTGREAFNFEKGEKLTDVIGPVVCEVVGVEEVVDPRLRYDESFDLEAVRAMVTLAEMCIGSSPMVRPSASEIVASMKNNF >cds-PLY84326.1 pep primary_assembly:Lsat_Salinas_v7:5:191217400:191217606:-1 gene:gene-LSAT_5X85400 transcript:rna-gnl|WGS:NBSK|LSAT_5X85400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGDHGMVATCDKKLIFLDDLASWIKIPKEWVQDYALVLSIHPPSDQSPSEIVAKMNQGLSSLKMTTN >cds-PLY79642.1 pep primary_assembly:Lsat_Salinas_v7:5:252155617:252159260:1 gene:gene-LSAT_5X127401 transcript:rna-gnl|WGS:NBSK|LSAT_5X127401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAENDVKEVVEQKNDDSPRKEKRSIFSRIWNGLARLHGDNFEKRLQHISKEEATVLARMKKRSKRWRRTARDIIAFSVLFEIAAIGYGITTTRSVNLEWNTRALRVLPMFVLPFLSWALYCGLQSFKTFRDQRDERSLEKLRAERQKKINELKDRTNYYNTQQLIQRYDSDPAAKAAAASVLASKLGAESGLQVNLDESHLNVHLGTGKSNDFEALQSSGLRRRNTLEGRSPGSGSNSEKEGFQYAGSEVSEISLPSELVVEHSPVAISPQDGGWIARLAALLVGEDPTQSYALICGNCHMHNGLARKEDFLVITYYCPHCHALNKPKNLDENSSCLNSPNMRSPMAHHHYHALNKPTRLTENNSSETNSSESETRSSSKTRNSQSDEKCSDAKAPDVKSSTKPMANAKSMSENVSAKGKVGLPRVESEKKVVEDLADS >cds-PLY93001.1 pep primary_assembly:Lsat_Salinas_v7:4:192805002:192808617:-1 gene:gene-LSAT_4X110600 transcript:rna-gnl|WGS:NBSK|LSAT_4X110600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPDKFTHKTNEALASGHELAMNAGHAQFTPLHIAAALISDHNGIFRQAINNAGGEEAGNSADRVFNQALKKLPSQSPPPDEVPASTSLIKTIRRAQSSQKSRGDTHLAVDQLILGILEDSQISELLKEAGVGASRVKSEVEKLRGKEGKKVESASGDTNFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVIRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRILRGDVPSNLADVRVIALDMGALIAGAKYRGEFEERLKAVLKEVEDAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVLVAEPSVPDTISILRGLKERYEGHHGVRILDRALVVAAQLSSRYITARFLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRMQLEVELHALEKEKDKASKARLVEVKKELDDLRDKLQPLMMKYKKEKERIDEIRRLKQKREELLVALQEAERRYDLARAADLKFGAVQEVETAIAKLEGTTDENVMLTETVGPDQIAEVVSRWTGIPVTRLGTNEKERLIGLSDRLHQRVVGQDQAVNAVAEAVLRSRAGLGRAQQPTGSFLFLGPTGVGKTELAKALAEQLFDDEKLMIRIDMSEYMEQHSVSRLIGAPPGYVGHEEGGQLTEAVRRRPYSVVLFDEVEKAHQSVFNTLLQMLDDGRLTDGQGRTVDFTNTVIIMTSNLGAEYLLKGLSGKTTMEKAREMVMGEVRKHFKPELLNRLDEIVVFDPLSHDQLRKVARLQLKDVAVRLAERGVALGVTEGALDVILNQSYDPVYGARPIRRWLERRVVTELSKMLIREEIDENSTVFIDAEMNGEELSYRTERNGGVVNAATGEKSDVLIHVVNTNANGGARSDAAQAVKRMKIEEVDDDDEMDDE >cds-PLY75420.1 pep primary_assembly:Lsat_Salinas_v7:7:71841402:71841930:-1 gene:gene-LSAT_7X51981 transcript:rna-gnl|WGS:NBSK|LSAT_7X51981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARAIPDTATFYQQYTPYACYGSTPEGVMIAAASDPLWDGGAICGKMFTVKCTGATNPVPHPCYDGKTVTVKIVDHCPGCGGTLDLSKEAFESIADPVAGVIKIEYW >cds-PLY99588.1 pep primary_assembly:Lsat_Salinas_v7:3:164404655:164415782:1 gene:gene-LSAT_3X102101 transcript:rna-gnl|WGS:NBSK|LSAT_3X102101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIKSLRMSTPARKRLMRDFKRLQQDPPAGISGAPYDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFSEDYPNKPPTVRFISRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >cds-PLY71367.1 pep primary_assembly:Lsat_Salinas_v7:4:229850386:229854210:-1 gene:gene-LSAT_4X125861 transcript:rna-gnl|WGS:NBSK|LSAT_4X125861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDIKAVEEGSTTNGESSTNLPNDKPVSDASIPLKNTSDEPETEQETSIDNLSTPTESKPDDFPTEKDSPMGESLAQEAAVPSETSAEKPNNPESSVLLAKGENGDPLVVSNDNIVKEVKNMGPKVGDIDTAAPFESVKEAVSKFGGIVDWKAHKVQTVEKRKYIEQELEKANEEIPLFKKKSESAEEAKLQTLKELNTTKRLIEELKLNLERAQTEEHQAKQDSELVKLRVQEMEQGITDDSSVAAKAQLQVAQARHAAAVSDLETVKNDLDSLRKDYDLLVAEKDVAGKRARAAAAAAKEVEKKVENLTIELMMAKETLESTHAAHLEAEEHRIGAVMAKEQDSLNWERELKKAEDEIRKVNEQIGSNKDLKLKLDGLKTELAVYMESKLESNGSNDSGVGMELAKKDLEAAKEKISKAKEEIAFLKTAAGSLKSELERERAALTAVKQREGMAAVVVSSLESELNRTKLEIARVQAKEKEAREKMVELPKQLQVAAEEADLAKSLAAEAREELKKVKETVEQVKAGERTMASRLVAARKEIEAAKASERLALAAIAALKGSDSGAGITDSGVTVSLEEYYELSKKAHEAEEEANARVAEAISRIGIAKESESESLRKLEEVKLELAERKGALEASLRKAEKAKEGKLGAEQELRKWRSEHEERRKSGAGARGSFEEVKSVGPGSPLPPRNVAAGMKTVLPESGGNTTESSPEVRGLMKKKKRSFFPRIFMLLRRNKRG >cds-PLY91618.1 pep primary_assembly:Lsat_Salinas_v7:5:297383874:297386685:1 gene:gene-LSAT_5X159200 transcript:rna-gnl|WGS:NBSK|LSAT_5X159200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLEDSLRSLSLDYLNLLINGQAFSDVTFSVEGRIVHAHRCILAARSLFFRKFFCSGGTDSPTGSGSDPLGSRMGMGMGPASPRNSTSSQVVIPVNSVGYEVFLLMLQFLYSGQVSIIPQKHEPRPNCGERGCWHTHCTSAVDLALDTLSAARSFGVEQLVLLTQKQLAIMVEKASIEDVMKVLLASRKQDMHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIEELRLKSSLARRSLMPHHHQHHHHDLSVAADLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVESCSREVVKALLELGAADVNYPAGPVGKTPLHIASEMVSPDMVAVLLDHHADPNVRTIEGITPLDILRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAALVISREEGNAAAAANAASNSTQIYPQMNDDHSHTHTHSSSSNSSGNLNLDSRLVYLNLGAAAAAQIGCNKMDVGGGREDNSHHNTHHHHHHHRHGSSQGGCDTSMYHHSHHEY >cds-PLY85271.1 pep primary_assembly:Lsat_Salinas_v7:3:72426964:72427218:-1 gene:gene-LSAT_3X56721 transcript:rna-gnl|WGS:NBSK|LSAT_3X56721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLWFYDVLDIFAPLLFFGFLLGVAGSLLDSGVLESIYIKFVPHRYSLAAVFCCFLCFGPFCPYLLLLFLLTSAVSSYNRLPLEP >cds-PLY75747.1 pep primary_assembly:Lsat_Salinas_v7:4:333733141:333734866:1 gene:gene-LSAT_4X164861 transcript:rna-gnl|WGS:NBSK|LSAT_4X164861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEWGFELPTRNISDHAMIKYIDFLLATTSGKAVGERFPGKLAAPFEKTKLSDYALAAMELMQGFDQETAIVVVARLTSYKMEMEESFDATRWIDRNLIRLCSKFGDYRKDDPSSFSLNPCFSLFPQFMFNLRRSQFVQITNTNFFQTTEDLEFNWVVEGDGCKLDSGTLSLPTLEFNWVIEGDFGS >cds-PLY74958.1 pep primary_assembly:Lsat_Salinas_v7:8:102283066:102290088:1 gene:gene-LSAT_8X69981 transcript:rna-gnl|WGS:NBSK|LSAT_8X69981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLQVGSRDSNEIKNRSQGLFFRLDLSIRILRTDVNGKLKLTEVRALGWYNLTRVVLNVPVKNDKSYNKKCPELLIKIVMKRLKTSFGSSCRPVLHAAARIVQEMGKSRAAAFALGLLNIEEDLVNTFAKSNDWDHVSSESEDDDDTIGSLLASLMEVVRTTAACECVYVRAMVIKALIWMQSPEESSSELKLLIASEISDPSWSATLLNDILLTLHARFKATPDMAVILLELARVFATKVPGKIDADVLQLLWKVTCLVGAGPKGKHTALEAVTVVLDLPPPQPGSMSGITSIDRVSASDPKSALALQRLVQAAVWFVGENANYAASEYAWESETPPGTALMMLDADKMVAAASSRNPTLASALTRLQRVSFSGSWEVRIIAAQALATLAIRSGEPYRLQIYEFLHGLAQGGVQSKFSDMHISNGEDQGASGTGLGSLLSPILKILDEMYSAQDELIKDMRNHDNAKKEWTDEELKKLYETHERLLNLVSLFCYVPRAKYLPLGPISAKLIDNYRDEHDISAFSVMSDPVHVATGISELIYEATKPSPSLEAETFDDDLVNAWATGLADDDGLWGNNALAMNRVVNEFLSGSGTDAPDFEDENIFARSSNDDMWAKTLLEPSELDYDESSTSSSPDSVGSAETSISSQFGGMNYPSLFSSKSKPKVREDPTPYSSPFHKSDTSFENPIAGTEIEYNYHDDDQSSSGNIDFGTALYDFTPGGDDELSLVAGEELEIEYEVDGWFYVKKLRPGLDGKTAGLVPVLYVSKS >cds-PLY64934.1 pep primary_assembly:Lsat_Salinas_v7:8:133058999:133061329:-1 gene:gene-LSAT_8X92281 transcript:rna-gnl|WGS:NBSK|LSAT_8X92281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIESSDSQVTKYFSANPDSSSQAISEVDQKPDTRNIEEAESSLRESNSLNYEEARALLGRYEYQKGNIEAALHVFEGIDLAAATPKMKISLNELRKPQRHSSTYGAPPFSINTVGLLLEAAYLKSKSLQNFGRYKEAAESCKVVLDVIESSFPLGLPQNLGTDHKLQETLTNSVGLLPELYQLAESHQEAIISFRRALLHHWNLDKETTAKIQKQFAIFLLYSGSEEANPPNFRFQTDGSFIPKNNIEEAILILMILLRKVCLKKIEWDPDILDHLSYALSISGGFVSLGKQLEELLPGIIENNERCLLLALCYYAQNDCSSALNLIKIIQETDDRHIVLPLLIASKIHSESSNSTQGVRTSKRAIHLVKDKCEEMMGVAYSFLGVSLSVSSHSKSESESLQCLETAGRLTKMVDSQIVYLLGLESAEQRKLDVAFGYANRLIHLEGGSHVRGWMLLARILSAQKRFKDGESVINAALDQTVKWDQGELLRTKAKLQLARGQVKRAIQTYTQLLAVLQVQFKSFGFSKKNHDRKLELETWNDLAKVYIRLSQWQDAEACLMKSKAICNYSASTRHTTGLLYEAQGLNRQALKAYKHALDVDPGDVESLVSMAVVLRKVGGGAGAVGRGFLNEALRVDRMKSSVWYNLGLYLRDESPMEAADCFEAAGVLKETEPIEPFR >cds-PLY76379.1 pep primary_assembly:Lsat_Salinas_v7:8:84352046:84353140:-1 gene:gene-LSAT_8X62261 transcript:rna-gnl|WGS:NBSK|LSAT_8X62261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSFRKAIGVVKDQTSISIAKVSGNIARDVEVLIVKATGHNNEPPEEKYTREILNLTFYSTSYVNACICTISKRLSKTHDWIVALKVLMLIHRLLDDGEPGFGEEMIHVNRNRTRVLNMSDFHDLVHSNSWEHSGFVKTYALYLTRKLEFMAFDQSIANNSSHFEKVLERLHHLLRVLDRVLSCRPTGDAKSSRIVIIALHLVLKESFRVYENVCEMIEVLLQGFSEMEYDIGVKAFDQFVYAAKMSDELVGFYNWCEDIGVARSSEFPNVQKITDEILGSLETFLSERKIVYDNGKIDDIKAVPLPPPESHNKPPPAAMPTEDLLNLKDDANGRLQSVTQEDPFAASLRVLPPLYMWKQYG >cds-PLY75520.1 pep primary_assembly:Lsat_Salinas_v7:9:34382310:34384446:-1 gene:gene-LSAT_9X31861 transcript:rna-gnl|WGS:NBSK|LSAT_9X31861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLHFTHDHTLSLVHLQPASHDSENSDEEEEKEEGEEDDFVAEEKHVGKCKMCKEGIYSFHMSYYECKDCDDDYSLHKFCAEMPKTLQNHPSHDFHPYHNLTLSKGFFWIYSSDEWACSVCNLMRKNIFNYMCSICEINMDIICATMSQQKMDHPSHPHQLQRMSKELVSHCSACGEKHQGTFYQCTTCLWYSIHLDCALLPAKLLIQQHTDATFTHSHPLTLAYSFPEVDQKAKYNPRCRVCKKYFDCYLWLYKCDKCQYYAHINCATSKTEPFMSIFLHPGGGKTYKNFKDDDYPNLLHCPFPDESYNLLRHYFIKNKKEFITMIKEEEHGGEMLNHFSHQHPLILLDTHARQTSVLGNKSIVLHDPMKKIQVLCDACLKPITEMPYYKCSEISSCGFVLHEWCARLPSKIQDHPGHPEHVLVLVSKNPQRLMGLFFCYNCCLYGNSFAYGCAECEYYVDINCAFLPKEITHEAHPGHLLSRINASSADLSKKLCNSCRCYLEDCNIAFHCPSCDFYLDTECALLLPGMMRHKFDKHPFSLRYNPVENHSSDYFCEICEENFNPELWFYHCSSTCAQSMHPACAPLILECEQHVYAKYGRGVFEFVNVKFGGTVEIKGLHPHRLSFLQGIQSHGLCKVCTEELQYDMIFKCLECDEYALHCFCVYYSDNYVFN >cds-PLY80027.1 pep primary_assembly:Lsat_Salinas_v7:9:46542878:46543922:1 gene:gene-LSAT_9X43080 transcript:rna-gnl|WGS:NBSK|LSAT_9X43080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHIHSLRFHTWFFVIIVFLVLSHGGSLHPYHFIHGEKITHGDHQIHSHPKKQKYEELYPTGSNIPDCSHACGPCFPCKRVMISYKCPMTESCPVIYRCICRGKYYHVPSN >cds-PLY77913.1 pep primary_assembly:Lsat_Salinas_v7:1:21076740:21079329:1 gene:gene-LSAT_0X39640 transcript:rna-gnl|WGS:NBSK|LSAT_0X39640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAICDAKLKSSDIQAAVQDLASLEHLKDRDTSHKKRSLLASFARHARYFIGLSHVGEELVEEFFKGLNITSDQKKVFKKAFHTYHDAAVELLRSEHTGVDLSIGPEVWEFILGCYSLSSTTDYRRRLRIARRVPRSLLLGIRSSHLKDHQKKERELLVKKEFSSSPFR >cds-PLY67819.1 pep primary_assembly:Lsat_Salinas_v7:8:187391809:187393143:1 gene:gene-LSAT_8X121921 transcript:rna-gnl|WGS:NBSK|LSAT_8X121921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFTKLFSRLFAKKEMRILMVGLDVVGKTTILYKLKLGEIVTTIPTIGFNMETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFLVDSNDRDRVGEAKDELHMMLNEDELRDAVLLVFANKQDLPNAMNAVEITDKLGLHSLRQRHWYIQNTCATSGEGLYEGLDWLSNNIANKVKPFTAIIGRFSNYSVLK >cds-PLY93716.1 pep primary_assembly:Lsat_Salinas_v7:2:200256612:200256857:1 gene:gene-LSAT_2X121100 transcript:rna-gnl|WGS:NBSK|LSAT_2X121100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEVFEPVTINVQVDDFVKTKAVSRCKDEFLNVLCEDGDDEPVEVEGERKNARVDVDDEKNIEESPEEDSDEDEILYSSII >cds-PLY65169.1 pep primary_assembly:Lsat_Salinas_v7:5:249869128:249870224:-1 gene:gene-LSAT_5X125200 transcript:rna-gnl|WGS:NBSK|LSAT_5X125200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDMCEKKEAAFYCTADEASLCDGCDRRVHHANKLANKHPRFSLHSSSDQPPRCDICQERKAFLFCKEDRAILCRECDISIHRANEHTKHHNRFLLAGVKLSDSSSFYDNSSDQALCSSNSNGSREADSRINSVVSQTNNSTSVNDYCNGVSHGEGASIEARSMSEYLIETLPGWHGEEFVDPSASNYGFFYEGYDSGTCTLPFMAHDSDNNGDLGNLWPDDLGILVNPSSAMDHQISRSMRVFSNKDQQTFNSSSIKRPRQLW >cds-PLY70252.1 pep primary_assembly:Lsat_Salinas_v7:4:205454907:205455812:-1 gene:gene-LSAT_4X116461 transcript:rna-gnl|WGS:NBSK|LSAT_4X116461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFMLARILLLWFSIIFMYLNNTITLAEAKFISSQCENAANDTRNSTYQRNVENTLSGLLNTNSGYGFFNFSVGQGNDRVYSIALCRGDVESDLCQSCLNDSIVQLRENCREYRYSKAAVIFYDLCLLQYSNEYILGNPRTNKNPLFEWNILNATDQSRFNGSPGPLLKRLTAEAAAGGPLLKFAAGNMSGPDFSTIYGLVQCTPYLSEAQCTTCLDNSINTFAREYYTGSSRGRGLLPTCNFRYETYKFFNTSTLVIPSPSLGSPSFQPPPGNICILTTVNVQIFLILTTIINSSLLLTYL >cds-PLY77419.1 pep primary_assembly:Lsat_Salinas_v7:3:147348513:147348971:1 gene:gene-LSAT_3X96901 transcript:rna-gnl|WGS:NBSK|LSAT_3X96901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSMGSKINIRSRRQALRETCFCEDPVGKWTSWRPTNPGRRFIGCHNFRDEEKDCKYFAWVDPPLPNNWYRNMLMDFHNNGIQVDNKFVEEFVEEAVDFHNNGIQEVPVQGEGEKWKIGFFCVCW >cds-PLY90816.1 pep primary_assembly:Lsat_Salinas_v7:2:107367515:107369660:1 gene:gene-LSAT_2X45981 transcript:rna-gnl|WGS:NBSK|LSAT_2X45981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAQMVVVMVGNVSKRPTGSLSFAKRPLGARIATDKTFFGGSVGMSDQDIEQRLAGVSVFALSNSSEEFVVVSGQNPVKSLELFCFKEEDDQTLLGQMKSMDPRMRPGSKSSLQLALSTRFQIEQTYFCLPLSGLNTFIGIWFKTNTTGVHLLPIYDPISHCFQLVSKRKTINSTVTLEVDTKSNREYSFLWRQAIPPSIENMVYHSTFIDEEGIMKACGCPLLPLKSHIRGPAPVSDQGSFSRSAVNNESEAKTGLSGFVMGIIICSALLFMTPLFEFIPQCALAAIVVSAVIGLLSFLI >cds-PLY80336.1 pep primary_assembly:Lsat_Salinas_v7:7:156695766:156697355:1 gene:gene-LSAT_7X98441 transcript:rna-gnl|WGS:NBSK|LSAT_7X98441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWTRGPVLGRGSSATVSTATSTSGEVFAVKSMELSQAQSLRKEQYFLSTLSSPYVVSYKGCDVTKEDNKMMYNLLMQYMPFGSIVDGINGRNGGGLKSSEILRYTREIVRGLEYLHSRGVVHCDIKGGNVLIDESGAKIGDFGCAKWVDEVAPICGTPMFMAPEVARGEEQGFPADVWALGCTVIEMATGGSPWSNVADAVSVLYRIAFSGEIPEIPDEFSDQAKDFVRKCLNRDPRERWTAKELLKHPFLHQFDDNSKQMICEKIYTDSPTSILDQDVWDSMEEPSTSSSLGTDFAPSTCSSNSLRQRIKQLADKSEIPKWRCEKEETEWITIRINEDSDGKANSGAVTGAVGNEMEVGAP >cds-PLY66585.1 pep primary_assembly:Lsat_Salinas_v7:6:167131544:167135358:-1 gene:gene-LSAT_6X102021 transcript:rna-gnl|WGS:NBSK|LSAT_6X102021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRKSSTCAFCENSNLASICTVCVNYRLNSYGTNLTSLKSRRDSLYSKLSEVLVAKSKADDQISWRILQHEKLATLREKLHFRKEQLSKDKAKVEKTTSDLKVRYELLESAMDVLEKNRKEQLEKYYPNLICTQSLGHMAITSERLHKQSVVIKQICKLFPQRKVNIDGERKDGLSGQYDQICNAKLPRGLDPHSVPSDELAASLGYMVQLLNLIVHNVGAPALHNSGFAGSCSRIWQRDSYWDARPSSRSNEYPLFIPRQNFCTTGVETSWSDKSSSNFGVASMESEKKARLESSSSFSYTSASPHSLETHMDLQKGISLLKKSVACVTAYCYNSLCLEVPPDASTFEAFAKLLSMLSSSKEVRTAVSLKMACSRSSKQVQQLNYSVWNVNSAISSSTLLESTHTSSSMRSTHDKGLSSSPSSYLYANDMITGAHNHNHNGGKKETLTEGWDLVEHPTLPPPPSHTEDVEHWTRAMFIDATKK >cds-PLY73674.1 pep primary_assembly:Lsat_Salinas_v7:5:204203461:204204900:1 gene:gene-LSAT_5X93201 transcript:rna-gnl|WGS:NBSK|LSAT_5X93201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDWQRVKTRSNSDLVFFIGAREVIGWNPKYIEVEDESDYDEEDPITHTDHMNSDDDQVQVDNIIDESSVGSCNNKKLNDSKVVSKDPFRLYNFLPLEKQVEESVEKTYPPGFIPPMRSGVVQDGDDNNVFLIVDLRGNGVSDVRLAPKNASLHDVQDVQSS >cds-PLY97049.1 pep primary_assembly:Lsat_Salinas_v7:4:350972147:350976665:-1 gene:gene-LSAT_4X173021 transcript:rna-gnl|WGS:NBSK|LSAT_4X173021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHFYSLSILLTANQEDHRAMGMYMMTCGGSMHGYCFVIVGYNHFHSYVKIQGSLFCLNQILRAPNEEEILLIHEIFGFCLAGGREVHDVVVKIIQDMAKAFSLYDVELLVINNNXFGSCLAGGREVHDVVVKNIQDLAKAFSLYDIEMLDQLPPTTSNVRKLEERMKGYLIPKHGRCSLKITYSAHTDLSVRFQTPRSRLKGIKNDDNSVSLTLRIANPCGEKLFN >cds-PLY68776.1 pep primary_assembly:Lsat_Salinas_v7:2:211666831:211669162:1 gene:gene-LSAT_2X131201 transcript:rna-gnl|WGS:NBSK|LSAT_2X131201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPFMTAAASSFRLPSLSYRQYCTHSLISSNSSKSEPKLCTFTLRRFSLETSRFSSSENIPTLNRSVVASSNLRFPLISPNDHWGIWTALFATGAFGLWSERTKIGGMISAALVSILLGLAASNMGIIPHEAHAYSIVMEFILPLTIPLMLFRADMRNVIKSTGKLLLAFLIGSVATTFGTLVAFLIVPMRSLGQDSWKIASALMASYIGGAINYVAVSDALGVSPSVVAAGVAADNVICAIYFMVLFTLGSKIPSEALPPTKDPRNNLEGNVATIQTATALAVSFAICKVSTHIIQVLKLPGGTLPAITATVVILATLLPRQIGYLAPAGDVVAAISIQVFFAVLGVSGSIWNVIMVAPSVFVFAFIQVTVHLIVILGLGKLLRLDLKLLLLASNANIGGPTTASGMATAKGWGSLVIPGILVGIFGISTATYLSYFFGTFVLKSMSGFL >cds-PLY66545.1 pep primary_assembly:Lsat_Salinas_v7:4:341889066:341889224:-1 gene:gene-LSAT_4X168420 transcript:rna-gnl|WGS:NBSK|LSAT_4X168420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLRHFIEQVVYSTEAAMIFTPRYHYIARSSLFGLNLTKELMQTCTCSLFHL >cds-PLY83775.1 pep primary_assembly:Lsat_Salinas_v7:4:38919421:38922146:-1 gene:gene-LSAT_4X27080 transcript:rna-gnl|WGS:NBSK|LSAT_4X27080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGASDEEPPSKRVKASSTDHRGLSNGKLPKEPSTFSFSASMARPLALQDDDEVIGSKGVKKVEFVRIITEALYSLGYSKTGAYLEQESGIPFHSSTVTVFIQQILDGSWDESLTSLHKIGIVDETIIKLACFIILQQKFFELLDGEKTMDALKTLRTEIAPLSINNIRVRELSSLILSPSPRIIDGISGLELTKSKPRSELLEDLQKLFPPNVMIPERRLLQLVEQALDLQRDSCLFHNSLVGETSLFIDHRCGRDKIPSQTVQILQEHNDEVWYLQYSKNGKYLASSSSDHSAIIWEVNPDGRVSLKHKLIGHQKPVSCVSWSPNDDQILTCGLEEVVRRWDVSSGECLEVYEKGIIGTISCCWSPDGKSVISGLTDKTIIMWDLDGKEMECFKGQKTLRISDLQMTNERKLITISKENSILILDIESGDERCIKEDQSIVSFTLSGDNKFLLVSLANEELHLWSIEGHFRLISKYRGYKRSRFIVRGCFGGFQQAFIASGSEDSQVYIWHRGSGELIETLGGHSGAVNCVSWNPSNPHIMASASDDRTIRIWGLNHHHHHASDTNSKTCIHYCNGSS >cds-PLY87453.1 pep primary_assembly:Lsat_Salinas_v7:2:135779736:135783671:-1 gene:gene-LSAT_2X64281 transcript:rna-gnl|WGS:NBSK|LSAT_2X64281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNLFRRASSQICSYTKNVGGSRSVLVRWTSTEAVQTADSAVDHKGYKGHDMLAPFTAGWQTDDLHPLVIHKSEGSYVYDINGKKYLDSLAGLWCTALGGSESRLVDAATKQLNTLPFYHSFWNRTTKPSLDLAKELLEMFTASKMKKVFFTNSGSEANDTQVKLVWYYNNALGRPNKKKFIARSKSYHGSTLISASLSGLPALHQKFDLPTPFVLHTDCPHYWRYHLPGETEDQFSTRLATNLENLILKEGPETIAAFIAEPVMGAGGVIPPPEGYFEKVQAVVKKYDILFIADEVICAFGRIGTMFGCDKYKIKPDLVSIAKALSSAYMPIGAVLVSTEVADVISSQSNKLGVLSHGFTYSGHPVACAVALEALKIYQERNIVDTVNKVAPKFQEGLKSFSSSPIIGEIRGTGLILATEFADNKSRDTPFPPEWGVGAYFGAECQKHGMLVRISGDIIMMSPPFIITSGEVDELISIYGKALKATEMKVEELKKSQQ >cds-PLY77746.1 pep primary_assembly:Lsat_Salinas_v7:2:169457028:169459286:1 gene:gene-LSAT_2X92021 transcript:rna-gnl|WGS:NBSK|LSAT_2X92021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSLLSTCAFSSLPSHNSTISSLSRTLSLRTPNSYRHTSCLVASSLREPSHPTYSPTPESTHRSSLDKFLNSCFVICTSVALSASVFVTDVDSAAAFVVATPRKLQTDELATVRLFQENTPSVVYITNLASRQDAFTLDVLEVPQGSGSGFVWDKNGHVVTNYHVIRGASDLRVTLADQTTYDAKVVGFDQDKDVAVLSIDAPKDKLRPIPVGVSADLLVGQKVFAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGSLIGVNTAIYSPSGASSGVGFSIPVDTVGGIVDQLVQFGKVTRPILGIKFAPEQSVEQLGVSGVLVLDAPPNGPAGKAGIVSTKRDAYGRLVLGDIITSVNGKKVANGSDLYRILDQCKVGEKVTVEVLRGDHVEKIPVMLEPKPDET >cds-PLY84902.1 pep primary_assembly:Lsat_Salinas_v7:6:14970749:14972791:1 gene:gene-LSAT_6X10980 transcript:rna-gnl|WGS:NBSK|LSAT_6X10980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAELIVIRNQLFAKPLSFSPSCKLMPMILNRQPFKFSYNPQSLSPSFGRFNRPLLIHPIPRSRLLCKMQDSDMISQLEIGKYSDKRKPEKRVNGIFWILLLNIGIYVADHIFQVREIKALYLYHNFPVWYQFVTSTFCHADWNHLSSNLFFLYIFGKLVEEEEGSFGVWLSYILTGAGANLVSWLILPRNAVSVGASGAVFGLFAISVLVKLSFDWRKILEVLILGQFVIERVMEAAQASTSFASSNSAMQNVNHIAHLSGALVGATLVWLLSRVPSQSVDHDNKK >cds-PLY95121.1 pep primary_assembly:Lsat_Salinas_v7:1:94281655:94287248:-1 gene:gene-LSAT_1X77661 transcript:rna-gnl|WGS:NBSK|LSAT_1X77661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDMDAMESNHVKHSILMVSDFFYPNFGGVENHIYLLSQCLLKLGHKVVVMTHAYANRSGVRYMTNGLKVYYVPWKPFLMQNTLPTFYGTLPIVRTIIIRENISLIHGHQAFSTLCHEALMHARTMGYKVLFTDHSLYGFADIGSIHMNKVLQFTLADVSQAICVSHTSKENTVLRSGLPPEKVFVIPNAVDTTMFKPASERLVMDPIVIVVISRLVYRKGADLLVEVIPEICRLYPNVRFIIGGDGPKRVRLEEMREKHSLQDRVDMLGAVPHAKVRSVLNSGHIFLNSSLTEAFCIAILEAASCGLLTVSTCVGGVPEVLPDDMIVLAKPDPSDMVHAIKKAIKILPQIDPQEMHLRIKGMYSWQDVAKRTEIVYLTCGAWAGKLFCLVMIVDYILWRLLQVWQPDTEVEVVPDLNLSRSNRVTQVHPSNVSS >cds-PLY89020.1 pep primary_assembly:Lsat_Salinas_v7:9:117892887:117895109:-1 gene:gene-LSAT_9X79060 transcript:rna-gnl|WGS:NBSK|LSAT_9X79060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEKEGIDSRKGAMEAYRVACMESEDSTTDEQPVVDQVSSSLINRVDAMLQNLEKEIDDVDEKIGDRWRVLDRIGRKEKRLKSSNTEINDSTAIKENDKSTLKRKTMKIILPGRKENSVDRKLDKHREMVTSRKNLKIAATSSSSKVSVGPVSSSPIRVLSDANLSPSVRTISRKSGKGTQIPRKLLPSEDNTKGISVIDASQRFTGKVELKQKEVFKIKEDNANDAMIPQISGKESLPKSREKDKKCNFQRVKVKGSEPLTELTKQEPFRNKMRKVEVDVDRCRSSMNPEYSNTKTSNDDNDIFGKKISKKRTSGIIGDDKEKQTPLMMSHGGSEEKLGAIGSSEVEKDPKKVFLGDISKKRDLNEVEQKVEGGNLNVKSVVNDCGTMKDLGVIGFVKEYASSQTALTAFKRAEESKDYADRIKFLYAASLLESCSDEFNKSKRVDPVNIYTTSAKLSKNCAQEYEKQKEMAAAALAYKCMEVAYMRIVYCKSSVTRQDLQTRLQMVNQGTFY >cds-PLY99102.1 pep primary_assembly:Lsat_Salinas_v7:5:138618953:138622484:1 gene:gene-LSAT_5X59220 transcript:rna-gnl|WGS:NBSK|LSAT_5X59220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISAISWVPKGASKAVPSAADPPSKEEIEEIKKTVLVEQGVDVESEEDDEDMSVDASKQPAEIEQALGAANALGKASTRKAGGSDLRDIADGLDELNMDDYDDEDDAIDIFGSGLGDTFYPSNELDPYIKDKDDDEDSEELEDVIIKADDAVVVWIVEDPEGDSNMYVHHDILIPAFPLCTAWLDCPIKGGEKGNFIAVGSMEPAIEIWDLDIMDEVQPSLILGGVAEKKKKKKKGKKTEKSIKYKEDSHTDAVLGLSWNKGYRNILASASADKLVKIWDVATGKCKLTMDHHTDKVQAVAWNHHEHEVLLSGSFDHTVVMRDGRVPTHLGFKWSVSADVESLAWDPHEQHSFVVSLEDGTVVGFDIRTATSNPSAELKPNFTLHAHDKAVCAISYNPIAPNLLATGSQDKMVKLWDLSNNQPSCIASQNRKAGAIFSLSFSQDCPFLLALGGSKGNLELWDTLSDVAISRRFGKYAVQNKVPASA >cds-PLY78234.1 pep primary_assembly:Lsat_Salinas_v7:6:7981665:7983784:1 gene:gene-LSAT_6X4741 transcript:rna-gnl|WGS:NBSK|LSAT_6X4741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESLKNPRKLHVVNVVLILVLAFFSAKSVYGRNYQHRLKNRHHHHHMRGYFPYSAVRCRAHTASLTDFGGVGDGKTSNTKAFQTAIDHLAQYGSHGGGSLLYVPPGKYLTGSFNLTSHFTLFLHRNAVLLATQDENEWPVIEPLPSYGRGRDTDGGRYISLIFGTNLTDVIITGNNGTIDGQGQSWWDKFRKGQLKITRPYLIELMFSKNIQISNLTLINSPSWNVHPIYSSNIIIQGITILAPTRSPNTDGINPDSCINTRIEDCYIVSGDDCIAVKSGWDQYGIAFAMPTRQLVIRRLTCISPTSAVIALGSEMSGGIQDVRAEDILAIDSESGVRIKTAVGRGAYVKDIYVRRFTMKTMKWVFWMTGNYGSHPDDKWDRYAIPIIQNINYRDMVAENVTMAGRLEGITGDPFTGICISNVTIGMANVPKKYIWNCTNVEGISSGVTPQPCGALPVQGEKVCDFPKEKLPIEFVKLHSCFYRANYM >cds-PLY88975.1 pep primary_assembly:Lsat_Salinas_v7:8:130373027:130376190:-1 gene:gene-LSAT_8X90301 transcript:rna-gnl|WGS:NBSK|LSAT_8X90301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSSSYTTTTLAAFLTFSLVAATPKAQAPRAFFVFGDSLVDNGNNNYLLTSARADAPPYGIDYPTHRPTGRFSNGLNIPDLISEKLGAEPTLPYLSPELNGKKLLVGANFASAGIGILNDTGIQFANIIRITQQLRNFQTYQDRVSALIGPQETQSLVNKALVLITLGGNDYVNNYFLMPITARKLQYSISQFTRYIIAEYRKILMELYDLGARRILVTGSGPLGCVPSQLASSNSNGQCAYEPQQASALFNPQLVEMIQSLNQELGSDYFIASNANMMQGDFISNPQAFGFVTSKIACCGQGPYNGVGLCNPTSNLCPNRDLYVFWDPFHPTERANKIIVETIYSGSDKHMYPMNLSTIMALDSMT >cds-PLY77622.1 pep primary_assembly:Lsat_Salinas_v7:4:275606991:275610437:1 gene:gene-LSAT_4X141761 transcript:rna-gnl|WGS:NBSK|LSAT_4X141761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVARAFLVAVSMAVVLLLVQCSFSAQAHVIYSSRMIHRYSDEMKALRVSREGHTVEDWPEQGSLGYLRRLIKRDVERQTMNVGSQHQLLFPSAGSKTMSGGNELGWLHYTWIAIGMPSVSFLVALDTGSDLLWLPCDCVQCAPLSVRYYGSLCSLVFGASIMQDSDQNEYNPSHSNTSKSITCSHQLCELGPTCQNPKQPCPYTVKYASTDTSSSGSLVEDILHLASDGVNTSNTYVRAPVIIGCGKSQSGGYLDGIAPDGVLGLGLGEVSIPTLLSKSGVTKNAFSLCFGETGSGNIYFGDQGPPTQKTTPFLPIDGKYIAYIIGVEKVCISTTCLDETNFNAQFDSGTTFTSLPDDIYKAVVKEFDRQANATRVDFEDLPFEYCYKSSSQELPDTPLVTIKFAVNNSFVVRDPLFLINDSQGGVAGFCLAIQSSPYEMGLIGQNFMQGYRLAFNRENLTLGWSRSKCEYSNYILNYKL >cds-PLY71161.1 pep primary_assembly:Lsat_Salinas_v7:9:82276751:82276909:-1 gene:gene-LSAT_9X65781 transcript:rna-gnl|WGS:NBSK|LSAT_9X65781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFAGVEIVMFRKDSMVLVSNVIGDKPSMAVVVNGVLNLRLALEISALLSPK >cds-PLY89718.1 pep primary_assembly:Lsat_Salinas_v7:7:42431698:42436721:-1 gene:gene-LSAT_7X31000 transcript:rna-gnl|WGS:NBSK|LSAT_7X31000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLGESEEPAINNNNTTEAPSSSSGGSNMNPTDKNPTLAQYGTDARLLSGFELSVGSGKSVNCLNLLANAPKAVDGQQMTAYFDAIQRGGFVQPFGCMIAIEEPNFRIISFSENCFSMLSLNLNKNSLLGIDARTLFTSNSRESLERAVASREITLLNPIWVHSKSTHKPFHAILHRIDVGVIIDLEPANSGDPTLLLAGAVQSQKLVVRATSRLQSLPGGDIGALCDTVVEEVRKLTGYDRVMVYKFHDDEHGEVVSEIRRPDLEPYLGLHYPATDIPQASRFLFRQNRVRIIVDCDAESVRVIQSDELKQPLCLINSTLRAPHGCHVVYMANMGSIGSLVMAILVNNNESVKLWGLVACHHTSPRYIPFPLRYACEFLMRSVGLQLSMELHLAEQKAEKRVLSMQTTLCDMVLRDSPLSIITQAPSIMDLVKCDGAGLYYNGKVWLLGVTPNESQVMDIAEWLCSELKDSTGFSTESLLNAGYPGAVLLGDAVCGMAAARITSKDFLFWFRSHKEKETKWAGAKHHPGDEDDANRMHPRSSFKAFLEVEKSRSLPWEVSEINVIHSLQLIMRSSVHDVVGDAGSGGKVVKYGQESESISQGMDEISLVACEMVRLIETASVPIFGVDGCGLINGWNAKIAELTGVMASEAMGKSLVDEVIHETSCEVVKDLLLRALQGEEAKNVELKLRKAVMDPKNNSIIYIMANTCTSRDYMNNVIGVCFVGQDVTTEKIVMDKFVRMEGDYKAIIQTLNPSIPPLFASNEDACCSEWNAAMEELTGHRRHEVLGKVLPGEVFGGLCRLKDEDTLMKFMILLHRTINGHDTSDMPFGFFGKDGNLVEVYLTANKRVGEGGKTSARLKGDGEDDGEFVLKRDNLAYIKQEIKNPLNGLRFTHELLENSGLSDDQKQYLETSVACERQIASIIEDLDIESIEEGSMKLNMDQFVMENLLDAIVSQVMMVLKEKNIPLVHEIPDQVKKLALLGDQIRLQMVLSDFLLSIVHHAPSQNGWVEIKVAPGLRMIQDGHEFIHLQFRMTHPGPGLPANIVRDMYEDRKEWGTQEGLALNLSRKLLGIMKGHVHYVRDDNKCCFLIEIQLKTRK >cds-PLY73388.1 pep primary_assembly:Lsat_Salinas_v7:6:112126086:112133579:1 gene:gene-LSAT_6X70541 transcript:rna-gnl|WGS:NBSK|LSAT_6X70541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL6 [Source:Projected from Arabidopsis thaliana (AT3G17205) UniProtKB/Swiss-Prot;Acc:Q8RWB8] MFFSGDPSNRKRVDLGGRSSKERDRKKLLEQAKLERNKRSQQRQQNSAALTIQKCFRGRKVVKLERGSARERFYTTYGKHCERVDRQCFGPDSEFLRELLYFLSPRNASDISTLVEACRLFSQFAKESGDILNLFGGSHYSSDHALVNYRVKKLAYVCIQAIHHNRHQLKDQLLSTSLDSNTPTSILLKAAALLIDPKLPWSCKIASYLLQQNIYKIFREIVITGRESLNSESRIGNVSLMERVLAQVVTHTGQGHCVCPDIDLQWSFASQILTIPFLWQIFPHLKETYVSQGLCQYYLHKMATCSQNYEKVLPVDISSEFPGYACLLGNLLESVGVALSRPSCSYNMTIDFATVATLLLEALPPIQTSSTGNREENSIMGDDDMIIDDGSVVVPLNKELEKQISNAIDPRFLLQLTNILLGGSSSKDKIKDTEAAAVGAACSFLHVTFTIFPLERIMTVLAYRTELVSVLWSFMKRCYESQNWSSLSAMSAYLPGDAFGWLLPMAVFCPVYRHMLTIVDNEEFYEQEKPLSLKDIRLLIVILRQSLWQILWLNPVAPMKFTKINLSLKKHRVEFVQYRVSVVASELLSQLQDWNNRRQFAHPSNFHADGVNDHFISQAMIENTRAYDILKQAPFLVPFTSRVKLFTSQLAAIKERLGSHSLFNRSTFKIRRDHILEDAFNQLSTLSEEDLRGVIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDMQYGLFKETIDHLLYPNPGSGMIHEQHLQFFHFLGIILGKAMFEGILVDIPFATFFLSKLKQKHNYLNDLPSLDPELYRHLIFLKRYEGDLSELELYFVIVNNEFGEQTSEELIPGGKNIRVVNDNVITFIHLVANHRLNTQIRLQSSHFLRGFQQLIQKDWIDMFNEHELQLLISGSVDGFDVDDLRSNTNYAGGYHREHYVIDMFWEILKNFSLENQHKFLKFVTGCSRGPLLGFKYLEPLFCIQRAAGSASEEALDRLPTSATCMNLLKLPPYRRFVILF >cds-PLY67286.1 pep primary_assembly:Lsat_Salinas_v7:5:134398827:134399710:-1 gene:gene-LSAT_5X58200 transcript:rna-gnl|WGS:NBSK|LSAT_5X58200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDSPFQHFSRVTTSGIELLEPTIFLMRHMTGHDGEFQLIIHYTNANKLLTVMLQ >cds-PLY77965.1 pep primary_assembly:Lsat_Salinas_v7:1:21562260:21563134:-1 gene:gene-LSAT_1X18400 transcript:rna-gnl|WGS:NBSK|LSAT_1X18400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPGSLLWPVTEPQLQLYFLLGLVYSTITPILLPFIIIFFEFSCMFIHHMNTSQDSNLMPSVDLKNAISVNRNSEDSMNSGKIMFCDLHIWDIPAATEEDVNVVVDAAHKALQISSRESIFNHGSYHTRTDTSNSRCFAINAFSKKPDQNIHLESKVVEHLF >cds-PLY97031.1 pep primary_assembly:Lsat_Salinas_v7:2:69597429:69600339:1 gene:gene-LSAT_2X31000 transcript:rna-gnl|WGS:NBSK|LSAT_2X31000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLRHCHLVSLIGYCNHGEEMILVYEYIPHGSLEDHLHKFQTPLSWIRRLKICIGVARGLDYLHTGTGIKHGVIHRDVKTSNILLDDNWAAKISDFGLSKLAPINQPLTYVNTFVKGTFGYLDPDYYATGRLTRKSDVYAFGVVLLEVLSGKRAVDTSLDDEHWGLVNWAQESMKEGRLKQIVDYTIRVGVMPKCLKQFAHLANRCVHDNPKQRPTMAEVVACLESILVLHEKAIAMSMRTFWGRRLNSLEFYFDTVGGENRILRRFEFKTINVATENFAKDNRMLRCSLAPFMYKGKLQNGRGISIARIGCQDYKNEVSLMVKLEHENLLPLIGYSIEGKKVYLVYDFAFCSNLHLSIIGLLDWNKRKKVILGVARALLYLHQHDVIHANVIPGNILLDESFHPKLSNLEFSRCLTTINEAVFVEMGTMWETLEYIAPELHQANRLSAKADVYSFGVLVLETTIGCRMYNCLKRNVWKNWMEGTSSNILDPRIHGNPSSITRFIHIGLLCVQEDADNRPTMEEVVGMLTNSSSINLPIPKEYVPPWMIYD >cds-PLY73616.1 pep primary_assembly:Lsat_Salinas_v7:5:203877299:203882074:1 gene:gene-LSAT_5X92700 transcript:rna-gnl|WGS:NBSK|LSAT_5X92700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLKIAGAWSGVLEAELEAWTVPLLKEHIANRLNCTKDSINLICAGKVLRDDNGTQKLIELGVKNNSKILVTRVSPDQGKELIAEEEKSTRLQRLKAAATSLAKRHADGSLPVDDYNLELENQSGEKVQLGSENDQRALMMGLMFHGKAKRLVKNRKYEDAVEVLAMGEEAFSLCDSKVVELIDNVPILQIDMVWCYFMLKDISKLSVAGKRLEEARKGIERSHGKESTRLRLLHGNSHPELALHLRLELLEGIVAYHSGDLEKAKKSLNSARGKYLKLQVPDEELSILMGMGYGEKAAKRALRMNNQDVERAVNFLVEEKAKKQQKIEDDIKRRNEIMEQKKFGVTPLRKAVDLQKLKELVSIGFEKELAAESLRRNENETQKALDDLTNPDTNSDIQLFIESRKKKRLRQSGDAAIERLTAMGFPRETVVAAVRDYGTEELALNHLLAQSAPNGNPRLIGLDVGTSSGSVGVGPSESMPIDGDTLGGFEHEIQRDVEMEDELTGDLQQEDAFSDYDVELTRESEAIEEYSALVLV >cds-PLY65305.1 pep primary_assembly:Lsat_Salinas_v7:8:105244272:105246510:1 gene:gene-LSAT_8X71100 transcript:rna-gnl|WGS:NBSK|LSAT_8X71100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIASSVSIFLSLMESSGYYGFYGGDQGGGLPNYQMFPLHSPSIYTPTPQLPPPHSFLLDQSYHTSVNYNETFFPSTTFDHIYSSSGGIGHLINKEAAAGVSIAPSFPMDQSAGVNSMLFNTNWVQPRNYRMDNPCTDFYKEVISPPNQISNGGVNTRSRKLFHREPWRKEEDRYGVSDWSGISDSIEGRAGKQCRERWRNYLRPDIKTDEWSEDEEIIIVEAHKKIGNKWAEIAKLLPGRTENAIKNHWNSTKRKKTCSNYRSKKKEYENRKRKSTVLIDYIKSIDSTSTSTSKNTTNPTTSTTVVSSYEQPNPYLGDSPSIDLTIPTDEEITFLQSLFGNSTTFAQSETTTFANKPLTQHLQPESHPNMTNPLLGFNGDSEFGCLSPLFPVNESSTIYHNNNSQTDIQVSLDDYLLLLGETTVFFDYSDPVYGDMDMDVEFNAQGGGFFSH >cds-PLY78839.1 pep primary_assembly:Lsat_Salinas_v7:5:304783344:304785462:1 gene:gene-LSAT_5X165520 transcript:rna-gnl|WGS:NBSK|LSAT_5X165520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVEVLAGLALMFSSSFLLQVLACAIYSNWWPMLSALMYVLVPMPCMFFGGGSTEYLLTRDGGGWIDAAKFLTGASTVGSLAIPIILRHAHLISTGAMLIEFMSFFIFVCTVMCFHRASLEDEW >cds-PLY92175.1 pep primary_assembly:Lsat_Salinas_v7:8:5416022:5417507:1 gene:gene-LSAT_8X4320 transcript:rna-gnl|WGS:NBSK|LSAT_8X4320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSDASVNVPHDDESFLFAMQLASASVLPMVVKTAIELDLLETIAKAGPGASLSSSDLASQLPNVNNPEAPAMLDRICSLLASHSVLTCTLKDSGDGGVERFYGLAPVCKFLIKNEGGVSLAPLLLMNQDKILMESWYHLKDAVLDGGIPFNKAYGMSAFEYHGKDQRFNKVFNSGMFNHSTMTMEKIVDLYDGFSGLKTLVDVGGGIGASLNKIISKHTSLKGINFDLPHVIEDATTYPGIEHVGGDMFQSVPKGDAIFMKWILHDWSDAHCLKILKNCYEALPDNGKVIVAECMLPEAPDSTAATQNVVHIDVIMLAHNPGGKERTEKDFEALAKGAGFKGFRKAACALNTWVMEFSK >cds-PLY98995.1 pep primary_assembly:Lsat_Salinas_v7:6:169373591:169374977:1 gene:gene-LSAT_6X103940 transcript:rna-gnl|WGS:NBSK|LSAT_6X103940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTAMDFWNNNEFQQSTAGGELMEALEPFYKSASPSSSSSSYSQMASSNYQNTLPFSTPSASYPYPSSSFDSFPSSSSYVPTTHQQTGFFPDYSIQDRFVYDQPGSSLGLNLNQLSESQIYQIQTQMEIPTQWPQTNLNFMAQDPDPVPVKQSGSPPKPPKLYRGVRQRHWGKWVAEIRLPKSRTRLWLGTFDSAEEAALAYDKAAYKLRGDYARLNFPQLRQNGSYVTDFKPLHSSVVAKLQTICQCLAEGKSVDGTKKAGSRRSSAGKTATATVLSQEAVKVEGCESEGYAGSGNSSPSSDLTFPEFTEDDNAWSENFSLEKYPSYEIDWGSI >cds-PLY90079.1 pep primary_assembly:Lsat_Salinas_v7:6:16744884:16746121:1 gene:gene-LSAT_6X8700 transcript:rna-gnl|WGS:NBSK|LSAT_6X8700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQYVEDVLFKGTKPLSQALFPSQHLKNRVVNLEKGVTYCYTKEGLNPSDENSALLQYIQDDFKLNIKLQLLALIAKQPSFHQLRSVEQLSYITVLMQRNDFGIRGVQFIIQSTVKGPKHIESRVQSFVKMFETKLYEMPDDEFKSNVNALIEMKIEKHKNLREEFVYFWREIQDGTLKFDRKYHEVAALKQLSKA >cds-PLY80075.1 pep primary_assembly:Lsat_Salinas_v7:4:349548990:349551734:-1 gene:gene-LSAT_4X172281 transcript:rna-gnl|WGS:NBSK|LSAT_4X172281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRRWSKDSDYLSEKNKVSPVYHATTMIKYTNSPSFSAPLKVYQTSWSTKGKKSTTFTWKLPVDFGFRYLIRIHFCQYEPVKRSNGEFDVIVNNQIAEKNVDVSKWSGGYGIAVYKDYVTIMRGDKTNGMSDLSIVIFSKNGLTESKLNGLEIFKLSNPDDSLAGSNPSFPPNSSPNWLPKLPIFGTLNVIATKFIAIVTALNIIVYIQRWFWEEKLGKKDVSTPSTSGETSRCFSLLEINEATRDFDEALVIGIGGFAKVYKGVIDGGKTVAVKRMSSRSKENTLEFWMEIQMICRLQHNHLVSLIGYCHDGTEMILVYEYMPHGTLAEHLFNLGEDQSNRFESLPWDQRLKICVGAARGLDYLHTGTHHGVIHRDVKTSNILLDEDFVGKISDFGLSKVENTSRILQKSYVSTNIKGTVGYLDPDYFLSRKLSRKSDVYAFGVVLFEVLCGRPAVDPGLEEDQRSLALWARQSIREGKMDQIIDPNLRGEISPRCLKIFTDLAEKCTENQPKRRPSMASVISGLEFAIEQQERGDWFMPEEQYLISPKGTSKKSLKPKRLKSWRWDLLWNGSKTAKLDSFVSVSSSKTTDDGFRRFLLSEIHSATNDFDENLIVGSGDFSIVYKGYIDYGSRVVAMKRFKKFLIENWLKKPEIVHEFGKEIETLAQFRDTHLVSLIGYCYHKSEMILVYEYMVNGSLRSHLYGTQISDPLTWKQRLRICIDAAQGLAFLHTVGGQPVLHRDVNPGNILLDEKWVGKVSDYGFTKVGPLYAVSDNLTGVIGNCLGYLDPELMFANSTKPNEKSDVYSFGLVLLEVLCGRKLEDHTLQRDQVFLRSWVKSNIAKGTIHKTIDPSLKGKIAPESLKEFIKITERCLVDQSNERPLMSEVVKALRFAVQQQDVAKFSNGSSVSKNM >cds-PLY83914.1 pep primary_assembly:Lsat_Salinas_v7:5:10308533:10310098:1 gene:gene-LSAT_5X5940 transcript:rna-gnl|WGS:NBSK|LSAT_5X5940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAMATTFTTSISTKAKTSFLEQSSFHGVQISSPIRLQPLKSTQNKMSITISAASTPYDLNSFTFQPIKESIVSREMTRRYMTDMITYADTDVVIVGAGSAGLSCAYELSKNPDVQVAIIEQSVSPGGGAWLGGQLFSAMIVRKPAHLFLDELEIEYDETEHYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIIKGGRVGGVVTNWALVAMNHDTQSCMDPNVMEAKVVVSSCGHDGPMGATGVKRLRSVGMIESVPGMKALDMNTAEDAIVRLTREIVPGMIVTGMEVAEIDGSPRMGPTFGAMMISGQKAAHLALKALGLPNALDGSYVGSSQPELILAAADGGETVDA >cds-PLY92390.1 pep primary_assembly:Lsat_Salinas_v7:3:124869703:124873119:1 gene:gene-LSAT_3X86700 transcript:rna-gnl|WGS:NBSK|LSAT_3X86700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVIVHPGYKIERIYWSISAREVMNSNPRHYVAQVLRSPVVRSDKGLPVKQLKLLRPDDTLLIGQVYRLISYEDVLKEFAAKKCMKLGKLLMERGVIEPGKKKDNADTISPVPITNSVKGERNNSRRRNGNQSHGGGGGQWKPALNSISEIGN >cds-PLY66567.1 pep primary_assembly:Lsat_Salinas_v7:6:166098486:166098869:-1 gene:gene-LSAT_6X101481 transcript:rna-gnl|WGS:NBSK|LSAT_6X101481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADTSNAVVEPSLPVVPPEGSGEVALIQSDAMVVYTIARPSISVPNLHVDVARLSFRQKLFGLGFDYGKTKLNILGAMSIVSTLVEVTRSSKDNTKLEVIDTIDLDSFLPTSNQLVIEVDANIVTPM >cds-PLY96672.1 pep primary_assembly:Lsat_Salinas_v7:7:46410581:46411324:1 gene:gene-LSAT_7X33760 transcript:rna-gnl|WGS:NBSK|LSAT_7X33760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKRLTKAERNVATMKRLMALDDDDDDMVVDKTPPSSPGDNPPLPPPPSSNLSPPPLPPSHPHSRAHSPPLNSLPLFDASENGENNQGVPQLMQMQVVIIPTPSQPEMTERSEDKVVALELIFVANIPNVDDETNHPISETGDQSKTDDYKGFLDLGFMQQALLFVVPLNVVYPSSCFEGEFSQEVPQGTNSDIDPDEGIYLNPRKMKDSFSGGAINHEARSSSAAGDTSAPPQKKKRLIFYLNELA >cds-PLY82852.1 pep primary_assembly:Lsat_Salinas_v7:1:86783435:86784746:1 gene:gene-LSAT_1X73280 transcript:rna-gnl|WGS:NBSK|LSAT_1X73280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLRMIYSKTSDATVEVPEASDITEKYTGAKSEVEQLRGEYITLFKQLTSASQQFKDASTNN >cds-PLY77386.1 pep primary_assembly:Lsat_Salinas_v7:7:9477825:9479727:-1 gene:gene-LSAT_7X9500 transcript:rna-gnl|WGS:NBSK|LSAT_7X9500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPAILMPSPGYPNKICTSVYSRSKVSSTLLKASSSFQKTLYARFWIFHLTQPFLNKDSMRKVSRIRSSADGSGLNPSAPNNNSRGTRLIRALQTFQIRLSERIKDLRKDLPMKLLFFLTGFYCSTAFATVIGQTGDWDILSAALAVVVVEGIGALMYTGSFPLFDKVRKLVTMFNYWKVGLSMGLFLDSFKY >cds-PLY97766.1 pep primary_assembly:Lsat_Salinas_v7:4:374594933:374597077:-1 gene:gene-LSAT_4X183821 transcript:rna-gnl|WGS:NBSK|LSAT_4X183821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSVAVKLYSVFFKLILKRRLQNRIQNPNLNDETNSSFGVTSRPEETIVAANPLFTDGVATKDIHIDPSSCLSIRIFLPETCLVLPDSDVDRLRAKRSELESRSFPSNSENGIPRRNSYGSADAYSVSATPSNRRSSYCNGFSTDDLNLKSENGVYRGYSPFTHRCRKLPLMVQFHGGGFVAGSCDSAANDFFCRRIAKMCDVIVVAVGYRLAPENRYPAAFEDGIKVLHWLAKQANLAECGKSLGRSPDSRKSDVQGHIADAFGASLVEPWLAAHGDPSRCVLLGVSCGANIADYVARKSVESAKQLDPVKVVAQVLMYPFFIGNTPTRSEIKLSNSYFFDKSMSILAWKLFLPEEQFNLDHPAANPLIPNRGGPPLKRMPPTLTVVAEHDWMRDRAIAYAQELKKVNVDAPVLDYKDCVHEFATLDMLLRTPEAQACAEDIAIWVKKYISLRGHEFSY >cds-PLY95855.1 pep primary_assembly:Lsat_Salinas_v7:5:65563578:65565189:-1 gene:gene-LSAT_5X31161 transcript:rna-gnl|WGS:NBSK|LSAT_5X31161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METKLYEASLTGDVQSLNALLRQDQLILDRLSLTVTAFNETPLHIAAMRGHLHFATVLLTQNPKLSTALDTQRRTPLHLASANGNLDMVQEFIRLGSRDVCCFRDQDGLTPLHLAALNEHLEVVKALVTANQDAAKENIPVTGETILHMCVKYNRIEALKVLMELWDEQELAKMTDDGGNTLLHAAAINKHTQILNYLLKKPSIKATGNAVNRFGLTALDVLDQCPQDLKALESRQILMEAGVSKANDLRPFPKPPLQPPTISSSQTKRKGLLSRTWGRYLNDDKQWLEKQRGILIVAALVVAAMAYLSGINPPGGTISDTQNGRYSLGNAVQTEVDMDNFSQFVAYNTVTMIVPLAIVVLLISGVPLRNKFWMWVLTMGTLLAVVFMVATYLQSVAIMSPDMYVNSTTVWICLIWMLVCGVTALIHTIFFVVWVVMKLSNSKTPETQTTRNQDSFDI >cds-PLY78492.1 pep primary_assembly:Lsat_Salinas_v7:9:43432908:43434790:1 gene:gene-LSAT_9X39381 transcript:rna-gnl|WGS:NBSK|LSAT_9X39381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQTATWPESLPSDRIKAFHELIQGKELTNNLREMLQWPRKIECNPTYEDGLVMQIIAMFKNTLLILGSCTSNQHLEIPTSDMCLSYSSDGQKSENSGKNEGNIIPAKRKKGCHKRRKNSWTSSQVTSTLVDDGHAWRKYGQKHINNTNHQRSYYRCTYKFDQGCLAAKQVQKIQDKPPKYKTTYMRNHTCQNLQRAPEIILDSLNSKDTSILFNFETKGLIARTRVGTFFPSIKHEQKESYPSHGNLRNEEYSFANDLHCALNACVSNNPFEPNI >cds-PLY66533.1 pep primary_assembly:Lsat_Salinas_v7:4:341981202:341983245:1 gene:gene-LSAT_4X168241 transcript:rna-gnl|WGS:NBSK|LSAT_4X168241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNERRGKKRKQPPSFSTTVPVEEAPPKHPLTVAKNPNPIFFIGSPEKRSRTQRMINSVESIRWSTVGRYRVDVASFESLALPELQSKVSGFVNSVPCFKPMNPLNPLKMEQLTGGTFGTTLSSRHGNRLLNGVPTRWNSSVPTGVNVLLWCISLNRILFG >cds-PLY91259.1 pep primary_assembly:Lsat_Salinas_v7:3:77624396:77626074:1 gene:gene-LSAT_3X58100 transcript:rna-gnl|WGS:NBSK|LSAT_3X58100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYMYKTKMKKNGSHYRCIWGKVTRRHGSTSIVHAKFTSNLPSKSMQKPQVELDGRACAVVGQNGLMALYDTLFSMLDVTSAQLLMTDNDFRSLEFRKQLTKTMDSLLSLDVLPIFNENDSISTRRAPYEDYSGIFWDDDSLAVLLALELKVDLFVLLSDVDGLYSRPPSDPQSKLIKVDLLVMLSDL >cds-PLY91845.1 pep primary_assembly:Lsat_Salinas_v7:6:33035153:33035392:-1 gene:gene-LSAT_6X26020 transcript:rna-gnl|WGS:NBSK|LSAT_6X26020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGFVKIRGHEQIKECVIRRVIKSLANYPCCSEAAAMEAVWETCYNDTKPFDRAP >cds-PLY85841.1 pep primary_assembly:Lsat_Salinas_v7:3:188815770:188824024:-1 gene:gene-LSAT_3X114020 transcript:rna-gnl|WGS:NBSK|LSAT_3X114020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNLKKSREGDADDSSSISRLPDEIILQILNKLIDLKTLCFSYLVSRRFSSIVLQVDAITISFTAPLVNPSIPDKNTVADVAPPLPKLYSYYGESFVSASNFLRKFKGVKLLCIELPPSHRIAIGNRFFVKWKVKFGSRVESFIFLSPNSVCDKDGFYLYGNGDDEEDIELTIDSIKQKRHISFQCLREANLWHMMLLDCANGFPKLEDVSIIGSGRRERLSLSGEKLIEVKEWLHSASKSELETELARVDVLARVSECYIPVLKLPVSGEDGSFEDKEEAALYTEAVKEILEKHKGERLMRLRMIPNARTSANSPSITFSKDDQNSVLKRPMSPPSIFCPKKLRNLEKSGEGDANDSSPISRLPDEIILLILNRLIDLKTLCFCYLVSKRFSSIVLQVDAISFASPVLNPHISDKKTIPSKSFLRMISSLYGESFLSVYRFLIKFKGVKSLCIELLAPGHSAVDSRLFKWKVNFTKKIESFIFLWPNSLCDKDGFCVNGNGDEEGSLELNSDLLKNKHIISFQCLQDIMAWHVMLLYLVNDLPMLEEVCISDSGRRGRLSLSGKKLSEVKEWVHSASETMLNRVNVPTILRNCYIPVFKLPVSGYVMKGIYFCVMEMKDMEGGNEFLMSSENGGFEDKEESAYTEAMMEILKKYKGMMLTQNLRWNLDEEAGQHPRLQFQISEP >cds-PLY83696.1 pep primary_assembly:Lsat_Salinas_v7:4:40110814:40112794:-1 gene:gene-LSAT_4X26220 transcript:rna-gnl|WGS:NBSK|LSAT_4X26220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGKFGASGSYFLLNTGAKIPAIGLGTWQSGGDFCVEAVKTALSVGYRHIDCAHLYGNEAEVGEALTEFFRGSLKREDLFLTSKLYCTMNSTNKIENSVKVSLKNLGVSYLDLYLMHWPESSAFGDATDPPANSGSEYRQFLSKLKTAWKTMEKLVELGLVRAIGVSNFNIQQMKELLKFAKITPAVNQVELHPFWRQDELVKFCQSKFIHVSAHTPLGVPTSAPKPSESGSSEEDEPGTPRISFRRSRSVHGPMLKLSVVAEIADRHKKTPEQVILRWGLQRGTSVLPCSVKPERIRQNIDIFSWSLSDDEYKRLNRIEPQVCLFGNGPLDTISEDNGSIFGSGPLQAVHESEDDVEFNS >cds-PLY62182.1 pep primary_assembly:Lsat_Salinas_v7:6:32355218:32356288:-1 gene:gene-LSAT_6X23920 transcript:rna-gnl|WGS:NBSK|LSAT_6X23920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKRFTPPTSANTNVTATTITAATSTTTWLPSAAAGRRFSSAFFASRLLRWFFCQPLCSLLPGRSRYDHNVQEMEEHNDEEIEQLRASSNFLVELCDTISSVRVFC >cds-PLY95376.1 pep primary_assembly:Lsat_Salinas_v7:9:189366569:189370234:-1 gene:gene-LSAT_9X116821 transcript:rna-gnl|WGS:NBSK|LSAT_9X116821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MDDDDGSKKTLSDFLTEYHSDQLQSILHSSDHRLHYPLYVDFAEVMEHDSPLAHEILFEPTKYLPLFDEAAKLAQEIMYEQTKKIWENESYKEGLERPPPTIKEFVHVRIEIRGPMLDNPELCPSIGRVRVKHRGVLLTLKGTVIRSGSIKMIEGEREYECRKCKKRFKVHPELESRNSIPKPIFCPFQKPKFCESTSFQLLEGNKVCHDYQEIKIQESTQVLGVGAIPRSIPVILQDDLVDIVKAGDDVIVTGVLTAKWSPDLKDVRCDLEPVFVANYVRRINEIKLDIEIPDDVIQKFENFWSDFKDTPLKGRNAILRAICPQVYGLFTVKLAVTLTLIGGVQHVDGSGTKVRGESHLLLVGDPGTGKSQFLKFAAKLSKRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISIAKAGLVTTLSTKTIVFGATNPKGQYDPNQSLSVNTTLSGPLISRFDIVLVLLDTKNPEWDKVVSDHILDQAESEKNKCHEDLDKMWPLSTLRSYIHFVKGYFRPTLSKEAENVISRYYQLQRSIATENAARTTVRMLESLIRLAQAHARLMFRNEVTRLDAITAILCIESSMTTSAIVDSVGNALHSNFTHNPDQEYAKQEEQILKKLKIS >cds-PLY76290.1 pep primary_assembly:Lsat_Salinas_v7:7:124581127:124581657:-1 gene:gene-LSAT_7X76941 transcript:rna-gnl|WGS:NBSK|LSAT_7X76941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLANRYLFSQEPDLHARRRTYLSGXPGKSRIFDGRTGDPFEQPVIIGKPYILKLIHQVDDKIHGRSSGRYSRLTQQPLKGRAKKGGQRVGEMEVWALEGFGVAYILQEMLTYKSDHIRARQEVLGTIIFGGRIPTPEDAPESFRLFVRELRSLALELNHFLVSEKTFQLNRKEA >cds-PLY68548.1 pep primary_assembly:Lsat_Salinas_v7:1:155006556:155008398:1 gene:gene-LSAT_1X107461 transcript:rna-gnl|WGS:NBSK|LSAT_1X107461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIDHSHHPSVSQKLGLLGSSMTQLRARETGVQQPLYQRQFQYGNYSNAAFQHPTQSSAELSMIVPKVSPICVQAPAEKGLQGFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKSGRLEKPYKGIGECFSRTIKEEGFGSLWRGNTANVIQRTSNAIASISFLHHCIFIPSHYNNALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLGSGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYKKTLASDGVAGLYRGFNISCVGIIVYRGLYFGLYDSLKPVVLTGKMQDSFFASFALGWVITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSYDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLSGYDKLQLIVFGKKYGSGGG >cds-PLY82445.1 pep primary_assembly:Lsat_Salinas_v7:2:182954454:182955107:1 gene:gene-LSAT_2X104281 transcript:rna-gnl|WGS:NBSK|LSAT_2X104281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKSNSEGVLSRVSSTVSESPIVYKGKRAASDAGFVVKKLLRSTGKAAWIAGTTFLILVVPLIIEMDREAQLNELELQQASLLGTPSSAAPH >cds-PLY84452.1 pep primary_assembly:Lsat_Salinas_v7:7:106123218:106125689:-1 gene:gene-LSAT_7X70001 transcript:rna-gnl|WGS:NBSK|LSAT_7X70001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNRLTRCLALPPLTTTTTLQDIASNQSKGVAKVVLKKGKTQLFRDGSPMVYSGAVDRIIGRPPPKTGDVVLVADGTQKPIGWGLYNSCSMFCVRLMQLEEEVSGDPSCALNVEKLLETRIDAAIRLRKSLGLPSANTNAYRLVNSEGDRLSGLIVDVFGDLAVIASSAAWVEKYKQHIKKCISGLNMIKSVVWRPSTEILKEEGLESEKEEEEDLLCERVVKVVENGISYMISLDGQKTGFYADQRENREFISTISDGQRVLDMCCYTGGFALNAAHGHALEVTGVDTSSPALEVANHNVILNNLDPGKISFLKQDATIFMKNAASRNELWDIVIMDPPKLAPRRKVLQSASGMYRNLNSMAMRLTKRGGFLMTCSCSGAMTQKSGQFLSVLQGAASMAGRKITVVRQCGAACDHPIDPSYPEGAYLTNILLRVL >cds-PLY80605.1 pep primary_assembly:Lsat_Salinas_v7:6:12371493:12373835:1 gene:gene-LSAT_6X8340 transcript:rna-gnl|WGS:NBSK|LSAT_6X8340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable aminotransferase ACS10 [Source:Projected from Arabidopsis thaliana (AT1G62960) UniProtKB/Swiss-Prot;Acc:Q9LQ10] MKQKPRNSVDNLHETTTGATGVTTDMRVIVPLQGVVQGGGGLVLGSIIPCALFYFLQLYLKAKNPSDDDPNPSPPPQLPETPPPPPGGLQRVHSVRSIWSPRGANGQVQVSTRANSVIKHPDSPYYVGLKRASDNLYDESSNPEGIIQLGLDENKLSLDLIQDWLVTHTKCSIIGQELQMDQISTYHPFHGSSELKLAVARFMSQVMEGHVSFNPSQIVLTAGTTPALEMLAFCLADPGNAFLVPSPYFPDLDRDIKWRTGVEIIPVPCRSSDNFSLHTTALHRAYNQAKKRGIKIQGVIISNPSNPAGIFHTRDTLYNLLNFATEKNIHIISNEALSGSNGDKNQEFVSMAEIVNSEEYDRNRVHIVYGLSKDLSAPGLRVGVVYSFDKNVLEASKKLVRFSSVSSLNQRMLVSMLNDSRFVEGFIKINRERVEKMSDLFVGGLKSLGIECMKSGGGFYCWADLSGFIRPYSEKGELELWEKLLNVGKVNSTPGSSCHCVEPGWFRFCFTNLEEKEIPLVMERIKRVL >cds-PLY79617.1 pep primary_assembly:Lsat_Salinas_v7:2:166458764:166461121:-1 gene:gene-LSAT_2X87740 transcript:rna-gnl|WGS:NBSK|LSAT_2X87740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPANTTLSDLYTHHRHQDTSQYYSYFPSQNPNPYPLHQQRHLQIESQYSSAAINPDPPGSESYLPSYSISHAGGAYNALHASALTYAQTITAAPPPTYASDLLVQNWVTEESVQPYGSALYATAGLIGQDSSQQLLTSIPSGWTNPSAQQPRGPWKKIPKKTKIAQSAWCEICKIECNTKDILYKHKLGKKHIKNIEKLNTAASLTFGTTSTSNPNPIIGPLENPKNLNPNLIPKKKKVETPQELEMKRRKVVEGGASVNAVRTCTICNVVCNSDTVFRFHLGGQKHISMLKKSHQGSGTV >cds-PLY94397.1 pep primary_assembly:Lsat_Salinas_v7:6:9689748:9690505:1 gene:gene-LSAT_6X6800 transcript:rna-gnl|WGS:NBSK|LSAT_6X6800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSITIPSKSWAFTSRSKGNVHDSLVNRLQQVFDLDLNIHDDLLLLLVLVLVFVSRILVRALWHFHYNKCRNSWVPGITWTISTLCCLLDLCSEWLVKHAECAGEEGSSNAQLDLDLTLRPPGPTAEEIERELSSFLSSFGNRGVTLSVLQNTKIKLQLDVASPAKLLKIRELLRDLQSRQVPAFQVRDALLKALARRERDQTRDP >cds-PLY69926.1 pep primary_assembly:Lsat_Salinas_v7:4:69665902:69668070:-1 gene:gene-LSAT_4X46780 transcript:rna-gnl|WGS:NBSK|LSAT_4X46780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPKVVFQELTKMPCSLTFMLDDELSAKHKRNTLLKIASLGAIDPFKKKPTVVN >cds-PLY80631.1 pep primary_assembly:Lsat_Salinas_v7:2:45039871:45049547:1 gene:gene-LSAT_2X18481 transcript:rna-gnl|WGS:NBSK|LSAT_2X18481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLSEMSWALKDREEPGKTLSCASLCKSSAIMRPSEPPPPLEMVVAVVVSLLLLSDLRTPP >cds-PLY92437.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:2065539:2066999:-1 gene:gene-LSAT_0X18561 transcript:rna-gnl|WGS:NBSK|LSAT_0X18561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHETAVVIVGAGPAGLATSACLNLLSIPNVILERDDCYASLWQKKAYDRLKLHLAKNFCELPHMPFPVSAPTFVPKYMFVRYLHEYVTEFNVDPLYNRSVESAWYDKVTKRWMVIAKNGVSGFVEEYVGEFLVVATGENCEGFIPSVNGLDSFTGSIIHSSKYENGKKFGRKNVLVVGAGNSGMEIAYDLFNWGAQTSIVVRSPVHVLTKELVQLGMYLLKYLSCSFVDKIVLMFSKLLYGDLCKHGIQRPTKGPFYLKRETGRSPVIDVGTVARIKTGDIEVMKSIEEIKGDQIKFSNGQEKRFDAIVFATGFTSNVRKWLKHDGGLFNEKGMPHLKSPNRWKGEHGLYCVGFASAGLFGISNDAKNIANDISQIVKKK >cds-PLY65203.1 pep primary_assembly:Lsat_Salinas_v7:7:59742196:59747345:1 gene:gene-LSAT_7X45621 transcript:rna-gnl|WGS:NBSK|LSAT_7X45621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMASSRFGNDPTPEEFESLQFRFRFMPDHEVQFLRERAIIYQSPMGKVGVPMSIFEAGFRLPITYLFDEVMREYGFYIYDLMSNVVNKIVGFELGPGMLPYDGADTEDFEMISHIPLLAEDKDLIEKLLIEKCICTFDKR >cds-PLY85287.1 pep primary_assembly:Lsat_Salinas_v7:3:71449268:71449435:-1 gene:gene-LSAT_3X54700 transcript:rna-gnl|WGS:NBSK|LSAT_3X54700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALTQECPPPKSQDVHHCGMTRRDMASYHPDLLLSDRRADPLLVPPRPLRAPRPQ >cds-PLY76826.1 pep primary_assembly:Lsat_Salinas_v7:3:5887997:5888674:1 gene:gene-LSAT_3X3621 transcript:rna-gnl|WGS:NBSK|LSAT_3X3621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISGLHSGYWSYMEDIWAWVLYPYPKEEQVVLHTLEMESNYDMLMPLSHTPVSVEEDDESFEDEEEPIEKDEQHDEEFGGVPTDSSPYSDSSSLDDLVKHIETHGVDTTDSEPSLKIIIPLPPSSSRSFSNHASGPMTITTPRKYIPIPSRKIETSSASLPRSSKKRYPSHKSTPQVDK >cds-PLY96406.1 pep primary_assembly:Lsat_Salinas_v7:2:89196682:89197903:1 gene:gene-LSAT_2X37340 transcript:rna-gnl|WGS:NBSK|LSAT_2X37340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit O, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74880) UniProtKB/Swiss-Prot;Acc:Q9S829] MTTAYSSPFSSFQYTKSYPPPPTSTTTKVVLPPGGGGGRFHLSIKAVKSPESADPPPPATAAPKAPKKPVYSMKKGQIVRVEKEKYLNSINYLSVGHPPYYKGLDYIYEDRGEVLDIRVFETGEYALIAWIGVPTAPAWLPTDMLIKSDKLDYERI >cds-PLY92033.1 pep primary_assembly:Lsat_Salinas_v7:8:239452816:239453685:-1 gene:gene-LSAT_8X142540 transcript:rna-gnl|WGS:NBSK|LSAT_8X142540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIHCFIFFNKSFLFLTIFSYLNLPSLSLSSDSISCLPRNCGNGPNLTFPFFIPQQQEPNCGSHGFNITCKNKNPVLTISNDDYIIKDVFYANNSFLLVSSKVFSDSNNCPIPLRNFSTNGSPFSYSSLTIDLHFLYNCSHPYSERTYSVDCDTNSSQFSSFAVFQPEILKRNNYSINSCQSLVHVPVHSDSMNVLMYGNYTDVLRKGFVLEWQFSNREKHGSTNSWRLKLVNLYNLN >cds-PLY64138.1 pep primary_assembly:Lsat_Salinas_v7:1:2358201:2360975:1 gene:gene-LSAT_1X1641 transcript:rna-gnl|WGS:NBSK|LSAT_1X1641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSFLYSASKHKHHGVFGHNTKVAKEGFHGSDSENLAVEALKLLKENAHKLFVEMPKRSHLTSLKHNHESKKPAFGLQTILFLINP >cds-PLY65933.1 pep primary_assembly:Lsat_Salinas_v7:4:137687261:137688738:-1 gene:gene-LSAT_4X85101 transcript:rna-gnl|WGS:NBSK|LSAT_4X85101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDKKKGHNTKVSTSGGVLSPSKLLLSHLICGIGLASSFWLAINVYSINLIDNPAETLRLVWLIEVPVVILIYSLFRKDKNQSSYLKAVARGLLGLPIGAIINALGAIALGAPIGTHYFQRTLNWSLLMSAFTFVPAACVYGSSWADWQQTFVHTKLIGIMDYMICLPAHGAVIGAWFGAWPMPLDWERTWQGTFS >cds-PLY70711.1 pep primary_assembly:Lsat_Salinas_v7:8:165740750:165740926:-1 gene:gene-LSAT_8X109080 transcript:rna-gnl|WGS:NBSK|LSAT_8X109080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHMLCTAPSGYVWMQGLSTYFKVTGPDVIALAEPISETVWVLPSNIPPPPRPRRAQQA >cds-PLY89003.1 pep primary_assembly:Lsat_Salinas_v7:3:72673930:72681719:-1 gene:gene-LSAT_3X57021 transcript:rna-gnl|WGS:NBSK|LSAT_3X57021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino-acid permease BAT1 [Source:Projected from Arabidopsis thaliana (AT2G01170) UniProtKB/Swiss-Prot;Acc:Q9ZU50] MENRLGTYGDDAGSYHRLRDGAGVSNEIIGSGDDSKLNKLGYKQELNRSLSLLSNFSVTFSIISVITGITTLYSTGLAFGGPVSMVYGWLIAGLFTMLVGLSMSEICSAYPTAGGLYFWSAKLCGNDWGPFASWLTGWVLSNFAFSFSIISVLTGITTLYNSGLNFGGPVVLVYGWLVAGAFTMAVGLSMAEICSSYPTSGGLYYWSAKLAGPSWAPFASWITGWYNIVGQWAVTTSVNFSLAQLIEVIILLSTGGKNGGGYVASKYIVLAIHGGLLLVHAIINSLPITWLSFFGQLAAVWNILGVFFLMICIPVVAKERASAEFVFTHFNTDNEAGISSKPYIFLIGLLMSQYTLTGYDASAHMSEETKSADINGPKGIISSIGISIIVGWGYLLGITFTVTDIPSLLSPDNDAGGYAIAEIFYQAFKGRFGSGTGGIICLLVVAVAIFFCAMSSLTSNSRMVYAFSRDGAMPFSSLWHKVNDHEVPIYAVWLSAFISFCMALTSLGSIVAFQAMTSIAVIGLYIAYALPIFFRVTLARKSFVPGPFHLGRYGVIIGWIAVLWVATISVLFSLPVAYPITDQTLNYTPVAVGGLFIIVVSYWIVNARYWFKGPITNMGTLGDV >cds-PLY87757.1 pep primary_assembly:Lsat_Salinas_v7:5:200310578:200310913:-1 gene:gene-LSAT_5X91260 transcript:rna-gnl|WGS:NBSK|LSAT_5X91260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVRFRGVQDAKLLEKQLNGIRYKGRLLETNLSLYERREKVYANDTTRKKEKPTIKHNLRIGLRDQRTVTEVTRIQDHRAGIVTPPSSFPLAPPPLPPILPQQEQENHHWR >cds-PLY95033.1 pep primary_assembly:Lsat_Salinas_v7:5:226935629:226939514:-1 gene:gene-LSAT_5X106761 transcript:rna-gnl|WGS:NBSK|LSAT_5X106761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFKNRALSVFAILAIFMMWQNTNVLAQNTPVFACDINKNPGLRNFSFCDSSLDVQTRVDDLVKRLTLQEKIVNLVDNAGSIDRLGIPKYEWWSEALHGVSYVGPGTHFSSVVPGATSFPQVILTAASFNETLFKTIGKVVSTEARAMYNVGLAGLTFWSPNINILRDPRWGRAQETPGEDPTLTSKYGAAYVQGLQETDDGDNDRLKVGACCKHYTAYDVDNWKGIDRYHFNAVVTKQDMDDTFQPPFKSCVVDGNVASVMCSYNQVNGIPTCGDPDLLTGVIRGEWKLNGYISSDCDSLDVMFNSQHWAKTPEEIAADALIAGLDLNCGNFLGQHTEAAVKAGLVKESEVDRAVSNNFATLMRLGFFDGDPSKHIYGKLGPKDVCTPANQELAREAARQGIVLLKNSIGSLPLSPTSIKSLAVIGPNANVTKTMIGNYEGTPCKYTTPLQGLTAEVATVYQAGCADVGCASAQLDEAKKVASAADAVVLVMGSDQSIEAESRDRIDLTLPGQQSLLISQVAEVSKGPVILVIMSGGGMDIQFAKDDPKITSILWVGFPGEAGGAALADIIFGQYNPSGRLPMSWYPQSYTKVNMTNMNMRPDPATGYPGRTYRFYKGDTVYTFGDGLSFSEFTHHLVEAPKLVSIPLEEGHVCRSSRCKSIDTVDQTCKNLAFNIHLRVTNSGKMRGSHTVFLFSSPPSVHGAPQKHLLGFQKVNLAPREQGVVRFGVDVCKDLSLVDEVGNRKVALGLHVLHVGNLKHSLNVKI >cds-PLY86560.1 pep primary_assembly:Lsat_Salinas_v7:9:5224526:5225201:-1 gene:gene-LSAT_9X2301 transcript:rna-gnl|WGS:NBSK|LSAT_9X2301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVIALANERSVVIFSKTSCCMCHTIKTLITSFGANPTVYELDEHPRGQQLEKELKGMGCKPSVPAVFIGQQLIGGANEIMTLHLKGQLVPLLLSSNAIWV >cds-PLY83104.1 pep primary_assembly:Lsat_Salinas_v7:1:155649622:155650230:1 gene:gene-LSAT_1X107720 transcript:rna-gnl|WGS:NBSK|LSAT_1X107720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGCKGSRKVSSTQIPVSMPEKTDPVISTSTTTKPLTKGIVIGGSSSSSKLNPTEVDKGKWKGISGEPSKEEEKAALEQEIEKQRKLRSILHQRVNDPPGLNKGDPVKCYSYEHIEALSVTGEMHEFEKAPKTSYDTENTNFNQLDFPVNVMMFLDEQFEIAEKYKDKLLFKHLKFRFHVVLGKREEDIWFLVKIVRVLGIS >cds-PLY94074.1 pep primary_assembly:Lsat_Salinas_v7:4:171104600:171107021:1 gene:gene-LSAT_4X100660 transcript:rna-gnl|WGS:NBSK|LSAT_4X100660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGFDDHGGIVGDWMPPSPSPRSFFAAMLGDDPGSRSVPDPPKNDTNNDTGFTFPGPNPQIGSENGDATKSSEFGDQKTSSRAPLVERMATRAGHNAPRLNTEIIKSSDNSQTQQSPYLFSPGVSPTSFLESPVFLSNSLVQPSPTTGKFQFVPNGNGRSSMMFLDSSNRVKENFFEDTNNTSFAFKPFPDSAPVSRDHVNPPFTSTQSFQHNETLVQAERQFPPQKIEPTQNETSSLHIHSGFLNGNSERSQEHHEDDADQRINGDIGNNSNSSSSEDGYNWRKCGPVKLSYTTWLDIVDDLKVNAEYTGYIVGSSVVVWFWEVVKALNKEDRARLLQFVTGTSKVPLEGFKALQGYIGSTEIPNSLLIFT >cds-PLY96303.1 pep primary_assembly:Lsat_Salinas_v7:8:156724642:156727281:1 gene:gene-LSAT_8X104800 transcript:rna-gnl|WGS:NBSK|LSAT_8X104800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHYPKPRIPNFEALLNEENFYLITEGGEQGRVPVLVLSMKESTQSKRPAVVYLHPTNANKEYLRPLLEDYASRGYISIAIDSRYHGDRAKTCTAYQDALVSSWKRGDTMPFIYDTVWDLIKLADYLTERDDIDHSKIGITGNSLGGMHAWFAAFIDTRYSVAAPGFRWAIDNDQWQARVDSIKPVFEEARIDLGKEAIDKEVVEKVWHRIAPGLASEFDSPYTVPVIAPRPLLIINGEDDPRCPIEGIDVPISKTQKAFEDAQLLNHFKVIIEPGIGHDVTPSMLKEVSDWLDKFLKP >cds-PLY89556.1 pep primary_assembly:Lsat_Salinas_v7:4:158230215:158233746:1 gene:gene-LSAT_4X95200 transcript:rna-gnl|WGS:NBSK|LSAT_4X95200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKERGGKGGGQPSVNERYSQWKTLVPILYDWLANHNLLWPSLSCRWGPLLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEIVKPRVAAAEHIAQFNEEARSPFVKKFKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDVEAQPNRHAILGATESRPDLILTGHQENAEFALAMCQSEPFVLSGGKDKSVVLWSIQDHISTLATDSGATKSPGSNGGKSPKTEDKVTESPKIKARGIFQGHQDTVEDVQFCPSSAQEFCSVGDDSCLILWDARTGSSPVVKVEKAHNADLHCVDWNPIDENFILTGSADNTVRLFDRRNLTSNGIGSPVHIFTNHNAAVLCVQWSPDKSSIFGSSAEDGVLNIWDHNKVGERSGPASKFAPGLLFRHSGHRDKVVDFHWNSHDPWTIVSVSDDGESTGGGGTLQIWRMIDLIHRPQEEVINELEQFKSHILTCSTP >cds-PLY69260.1 pep primary_assembly:Lsat_Salinas_v7:2:129867691:129870403:1 gene:gene-LSAT_2X60801 transcript:rna-gnl|WGS:NBSK|LSAT_2X60801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKVTNGEVKRVVGVVCRRCKETYDPSSNAPSACRFHPSFFVCRRHDDQKRYYELGPNDPPYAAKFYDCCGAEDPSASGCTTSFHLSYDDA >cds-PLY62868.1 pep primary_assembly:Lsat_Salinas_v7:9:129806178:129810352:1 gene:gene-LSAT_9X83701 transcript:rna-gnl|WGS:NBSK|LSAT_9X83701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome A [Source:Projected from Arabidopsis thaliana (AT1G09570) TAIR;Acc:AT1G09570] MLTMVSHAVPSVGENPLLGIGTDVRTIFAGPSANALYKALGFGEVSLLNPILVHCKTSGKPFYAIIHRVTGSLIIDFEPVMPNEVPMTAAGALQSYKHAAKAIARLQSLPSGSIERLCDTMVQEVFELTGYDRVMAYKFHDDDHGEVVAEITKPGLDPYLGLHYPATDIPQAARFLFMKNKVRMICDCRAKHVKVLQDKKLPFDLTLCGSTLRAPHSCHLQYMDNMTSIASLVMAVVINDMNDEIDSPDPQKRKKLWGLVVCHNTTPRFVPFPLRYACEFLAQVFAIHINKEIELEHQILEKNILRTQTLLCDLLMRDAPLGIVAQSPNIMDLVKCDGAALLYKNKVYRMGVSPTESQVFDIVSWLYEYHMDSTGLSTDSLYDAGYPGALALGDIVCGMASVRITEKDILFWFRSNTASEIRWGGAKHEKGEKDDGKRMHPRSSFKAFLEVVKTRSYPWKDFEMDAIHSLQLIMRNALKENEVADLKTNVIQSGFNELKLDGMQELEAVTSEMVRLIETASVPILAVDVDGLINGWNTKIAELTGLPVENAIGTNLLTLVEESSVKTVQKMLNLALEGKEETGVQFEIKTHETKKESGPITLVVNACASRDIHESVVGVCCIAQDITHQKTIMDKFTRIEGDYKAIVHNPNPLIPPIFGTDEFGWCSEWNQAMTELSGISRGEVIDKMLLGEVFGTQSACCRVSNQDAFINLSIVLNKAMTSQVSEKISFGFFAKSGKYVDCVLCVSKRVDNEGTVTGLFCFLQLASRDLQQALHFQRMSEKIAAKRLKALAYIRRQIKNPLSGIIHSRKMMEDTELGDEQRELLHTSALCQRQLNKVLDDTDLDRIVDGYLDLEMTEFTLQQILGACISQVMTKSNVMGIQIVNNVPEEMLAEKLFGDSVRLQQVLADFMSLSVSCTPGGGLLFIWAKLTKDHLAKSVQLVNLELRITHTGGGVPEELLRQMFGTSVDATEEGISLVISRNLLKLMSGDVQYLREATKSTFIISVELAAAGTKKL >cds-PLY77947.1 pep primary_assembly:Lsat_Salinas_v7:1:26660887:26662506:-1 gene:gene-LSAT_1X22481 transcript:rna-gnl|WGS:NBSK|LSAT_1X22481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQLPSSTAGVPSCRRNDVIYQSCGSMAQQDLTVFLSPLMRKKIDDGLCVANGISNLPDDCLACVFQFLAPGDRKRSSLVCRRWLEVEGQSRHRLSLNAQSDLLSAVPSLFSRFDAVTKLSLKCDRRSVSIGDDALILISLRCQNLTRLKLRSCRQLTDSGMESFAMNCKKLKKLSVGSCTFGAKGMNAVVDNCSSLEDLSVKRLRGIADGGSTEPIRPGSASSSLKMISLKDLYNGQCFAPLIIGSKNLKTLRLFRCSGEWDGVLKLVTNNIKGLSEMHLERLQVTDIGIAALSNCENLEILHLIKTPECTNLGLSSMAEKCKLLRKLHIDGWKTNKIDDEGLISVAKHCTNLQELVLIGVNLTRVSISRLASNCQKLERLALCGSETIGDAEISCIAAKCTALRKLCIKSCPVSDHGMEALALGCPNLVKVKVKKCKGVTCDGVGWLRASRESLAVNLDALVVENQNQNATVEVVVEEQQPPPAASDIGGRANGGSSSNRRSTSLKARLGLTSGRNLVSCTLRRWSSFNGASASQSH >cds-PLY64567.1 pep primary_assembly:Lsat_Salinas_v7:6:37535041:37535677:-1 gene:gene-LSAT_6X27021 transcript:rna-gnl|WGS:NBSK|LSAT_6X27021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMNLEIESYHSYGGNHNVAEYAVIIDGVVFETWVTSCPHKVQKWIRGIENWNHHRLHRLIVGLDTEWRPNTVRGQENPVAILQLCVSRSFTHYDKQKLYRDYALEVGKTADLRERAAHELTDADLRSAGLKKLAKVILGKDVGNEELMKVRMSDWDNSHLSNDQVLYACLDAYLAFAIGRQLESWYD >cds-PLY80456.1 pep primary_assembly:Lsat_Salinas_v7:2:136134349:136136081:1 gene:gene-LSAT_2X65360 transcript:rna-gnl|WGS:NBSK|LSAT_2X65360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLDPTTSYVSSRYPPLYFHHHPFRSTPPFLHRHYLRLRFAVIPRPISLFHHSRRCKHLSELQVEVTENEIIRETGASTSEIAKRAKKPNVGEGPTAVDEENNMILEHSYHPCHLFGLAKQAFLKCLGIDSGPDNSTRKRHKEKDE >cds-PLY67203.1 pep primary_assembly:Lsat_Salinas_v7:6:134173149:134182690:1 gene:gene-LSAT_6X82840 transcript:rna-gnl|WGS:NBSK|LSAT_6X82840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRVWFSGRWPSLTNKIARQQRFWVPVAVPYRPYLTTLPHLQDRKNFNLFVFSSLCDMRSNGQCFYAKDWMCICLSRRESEEGLRKKTIMSVSPSRTPSSAIAASWRCPNGCRRRWTSLQKIHSSIVNNDTSNVPPPKSKNKTFCSPVYDDFFSKPYAIAAVYKPYAIAAAAVGSLKYAEKVSFIFTNTIDEISSGANCFYSLL >cds-PLY61690.1 pep primary_assembly:Lsat_Salinas_v7:5:216931419:216931592:-1 gene:gene-LSAT_5X100260 transcript:rna-gnl|WGS:NBSK|LSAT_5X100260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTYSYLIRSSSEYRRQLRRESTGQRRCLIIAKQQKTRLYILRRCVSMLMCWNDKYD >cds-PLY85136.1 pep primary_assembly:Lsat_Salinas_v7:9:146327904:146328361:-1 gene:gene-LSAT_9X93801 transcript:rna-gnl|WGS:NBSK|LSAT_9X93801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKFSLGMTLLSLAIKLGYEDDVSICNSLIKFSLRLGKMEMARGVFERMGKRDLISWTTFLDMYLFTGDLLQNNDGKELYVMFNVTHRKEFEKEAVMQQLVNEDGDQVC >cds-PLY77066.1 pep primary_assembly:Lsat_Salinas_v7:8:172334857:172335199:-1 gene:gene-LSAT_8X113341 transcript:rna-gnl|WGS:NBSK|LSAT_8X113341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLKNYSMAEDAKEGSQRFFVPLPSSAAKKIKGKISWNRYFPPTPPSNRNRGKAIAADGGEEVLGACCGVCKRR >cds-PLY68046.1 pep primary_assembly:Lsat_Salinas_v7:5:293162395:293164238:1 gene:gene-LSAT_7X61620 transcript:rna-gnl|WGS:NBSK|LSAT_7X61620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESILISHPSSLFSTTTTTTSISNLRPSHHEIQPYFTGKPISLRCQAVAGTGAGPTSPAATPTPIKRKNRYEMENLTTWLLKQEQAGHIDAELTIVLSSISLACKQIASLLQRSSIINLTGAQGTMNIQGEDQKKLDVISNELFCNCLRSSGRTGIIASEEEDVPVAVEETDSGNYIVVFDPIDGSANIDIALTTGSIFGIYAPDEQCLVDYDNDTLDEAKEKCIVSVCQPGSNLLAAGYCLYSSSVVFTVSIGNGVHGFTLDPAYGEFVLTHEDIKIPKSGRIYSFNEGNFDLWDSKLQNYLNHLRKPGGPNGKPYSGRYIGCLVGEIHRMLLYGGIYGNPKNEKAKSGNLRLLYECAPMSYLVEQAGGKATDGVQRILDIQPDQIHQRTPIFIGSPDEIDKLATYLA >cds-PLY70264.1 pep primary_assembly:Lsat_Salinas_v7:2:75195764:75200684:1 gene:gene-LSAT_2X33141 transcript:rna-gnl|WGS:NBSK|LSAT_2X33141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGMDKLPSLSTIDLFHRLSSNGCSTSGGDTGMGNYWIEGNTSTSSNSDEDDFEYEKGAFFSRHKARDMSQSQGRNHVGYEHLPYFQHNDVGLQYITNQIFKGIPKYVKIVEVGARDGLQNEKNMVPTSVKIQLIQRLVSTGLSVVEATSFVSPKWVPQLADAKDVIEAFKSFDSVRLPALVPNMKGFEAAIAAGAKEIAVFTSASESFSKSNINCTIQESLARYRAIVSAATKLSIPVRGYVSCVIGCPVDGMTRPSKVAYVAKELYDMGCYEISLGDTIGIGTPGTVIPMLGAVMAVVPVEKLAVHFHDTYGQSLPNILVSLQMGISTIDSSIAGLGGCPYAKGASGNVATEDVVYMLDGLGIKTNVDLRKLLQTGDFICKHLGRPSGSKAALAFSHKN >cds-PLY70708.1 pep primary_assembly:Lsat_Salinas_v7:8:166099727:166101891:-1 gene:gene-LSAT_8X108940 transcript:rna-gnl|WGS:NBSK|LSAT_8X108940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAVDFDGSSSSSKTVCVMDAGGSLGSALVHRLLQRGYFVHAAINSHVEMQSMERTIVDKKKLRVFYTDPLDYHSIVEALEGCCGLFYSFEPPSDQPSYDELMTEMEVRAAHNVLEACAQTDTIDKVVFTSSATALIWRDPTDSSSSSPDFDERNWSNVNFCKKFKLWHGLSKTLAEKTAWALAMDRGVSMVSINAGLLLSPDLTITHPYLKGAAEMYKDGIFVTVDIKFLVDSHICVFEESSAYGRYLCFNHVINSNEDAMKLAQILLPSDVSSLPPSMMVGESMMVSQRISNKKINKLMVDFESECHI >cds-PLY69174.1 pep primary_assembly:Lsat_Salinas_v7:3:204826794:204830166:-1 gene:gene-LSAT_3X122081 transcript:rna-gnl|WGS:NBSK|LSAT_3X122081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGIANPDGHRTIGVITKVNIFFFYFFFLFFFLFFFFFFTFSNFILFSSKAFEHLLSLLIKDQWFETLFSSTPKLKDVAQLALKKMPSVEQETISVSEYQRGVSAWNFDLEDLKFKAFLEASNSKATTIGKDSTSDIESSDEVVPSESLINKESNLPETDDKGSIIFEE >cds-PLY94651.1 pep primary_assembly:Lsat_Salinas_v7:1:41386924:41387212:-1 gene:gene-LSAT_1X36861 transcript:rna-gnl|WGS:NBSK|LSAT_1X36861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITSNNTSEFGYDNVNRCGTVESPDVCDSYVKVHKGAEKWRNKSLPHYDDSCIIFGKDRAQWNMAEDCEDM >cds-PLY61912.1 pep primary_assembly:Lsat_Salinas_v7:4:220782988:220783743:1 gene:gene-LSAT_4X120620 transcript:rna-gnl|WGS:NBSK|LSAT_4X120620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMGHGGDDGDEPPHPFSGGFGDHQNDGNVEAARAQAPRGMDRQHWNVAIDHFLTKNIKNDPLETKNAGRSK >cds-PLY87733.1 pep primary_assembly:Lsat_Salinas_v7:1:5347877:5350604:1 gene:gene-LSAT_1X4261 transcript:rna-gnl|WGS:NBSK|LSAT_1X4261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSHDADIGANCKKYGVPFYGASFVPRNALRSAETESKREDEVVGDGSNYVVFAGGGGEGRSGIPNALVISLFDSASNSLSDQPVNKFGLDDELPYRMAVHPGGEGVICSLPKSCRWFEWEASKKDDEFTLNLKQSEKVLYELEHIGQQLAVTFSHDGSLLAVGVQDGKLRAFKWPSMEATIDIPDAHGSVKNLDFSPDGKFLVSVGSGGPGRVWDTSSSTNKASLPKENDEVFGFCKFSHDSLNNEVLYVTAMRDRGGSIVKWNTTTWKRISSKYVVRDPISAFNVSDDGRFLAIGTIQGDIFILNASNLRVQSVVKKAHLGLVTALAFSQDSRALASASLDSSARVTQIKETKNNGFNISVILLLILLAAALYYAKTEGYLLL >cds-PLY68564.1 pep primary_assembly:Lsat_Salinas_v7:2:20940967:20941386:-1 gene:gene-LSAT_2X10661 transcript:rna-gnl|WGS:NBSK|LSAT_2X10661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKHHNYVRKIAELATPFYMNQATNQPNASGLILIGCADFKTELSQSDLFNPRLQEKILNVVDVYYGCENGFNQAIRLCSEILANVKLLQEKRLIGKYFEEINKDRGTIGIDETFEALHMGAMETLLVWENLEINRYVL >cds-PLY90592.1 pep primary_assembly:Lsat_Salinas_v7:6:54809390:54809948:-1 gene:gene-LSAT_6X40200 transcript:rna-gnl|WGS:NBSK|LSAT_6X40200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSSNAINRLQVARVFVKDDVNNCDCDVPAKERTCWKLTNPDRRFWNCNNRSTRLKKCDYFEWKDVALEDGYYKNLIYSMKQQLDSKEDLGVIKNLRTKNVKLEFLLSKEKSLVASMEKGMCDSKKNISMCKLLVVALIVGYLLFVLF >cds-PLY98195.1 pep primary_assembly:Lsat_Salinas_v7:2:83172001:83172300:-1 gene:gene-LSAT_2X36061 transcript:rna-gnl|WGS:NBSK|LSAT_2X36061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQASLFALIIPNQTSPWKQSQPISFNTVKPSKPTTRFTTIKVAATWEVPTKEAPMGFIPPKLDPNTSSPIFVGSTGGLLRKARVEEFYIITWNSPKE >cds-PLY98724.1 pep primary_assembly:Lsat_Salinas_v7:6:103788398:103790746:-1 gene:gene-LSAT_6X68581 transcript:rna-gnl|WGS:NBSK|LSAT_6X68581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNAKIVGYKNENPSSNKDFESSNEDCLLETLAMEVNPKGVLLPSSNKKLQISDFCPQKLFLQQMVGLKRRIPKQIMSLEEKYLRHCLELIHTSAMRANSFSSPSKINFLPDTFTSKTVNGSNCDTLFLFSSGVENVVISSSVDPIVGSITGSRSMINLLNSPLLCPLEVKESLLSVDTSSHDLKKHESVHQRLVSVSSTNSTFSDPSSSSSSSSSSSSNSYSQGMLHCSWNNGFPRYVFSVEDQKEVYTTNLSKIESIKDEGLDYVYMFHDNESDLVAKMKVSTSFTFCPLGTEMTETHFILCATGHDSEEGPNQIVKKSKGLSKKVTNIFRGHPSRNRTCSKFDGMPILLEPSEDGNASPESSFPTNLESVAIVVKEHIKKVEVGGWGLKFLKEGGQTHCEICRENSSQHFSSMDVIVPSGFHGGPKMKNGGASSLVERWKNGGSCDCGGWDIGCPLTLLNAGPNNKKDVFYQAGVFGKTNAFQLFTQGCKQSLPVVEVRNIHDGLYYIHYRSTLSALQSLSIAVAIIHSHSPTLKPKVYKI >cds-PLY81222.1 pep primary_assembly:Lsat_Salinas_v7:4:307990526:307991941:1 gene:gene-LSAT_4X156121 transcript:rna-gnl|WGS:NBSK|LSAT_4X156121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESATVVMYPSPGRGHLVSMVELGKLILNHHPFVSLVILITPPPFETGPTEKYIKTVSATVPSITFHHFPIIAIPPGFSSDIIRLNFAIHELYDPILHNTLVAITEKSTIKAVILDFFTNAAFQVCKNLDLPTYYFYTGGASGLCSFLHLPTIDNITSGSIKDQNLVFDIPGVPPIHSSHFPAGFVDKNRPAYKDFINTARTMAKSTGIIANTFLGFEKRAVDALRDGKCTPEGQTPPTYFIGPLIADDNEVDPSENECLKWLNSQPIKSLVFLSFGSVGVFKKRQVKEIAIGLESSKQRFLWVVRSPPDDESVSNSGGNKELDVDAIFPEGFIARTRDMGLVVKNWAPQLAILGHESVGGFVSHCGWNSTLEAVVNGVPMVAWPLYAEQKMNRVYLVEEMKVALALDIEPDGFVTAMAVEEKVKELMEGEEGRIVREQVLEMSRRAKAATADGGSYRVEFKILCDSWITM >cds-PLY93621.1 pep primary_assembly:Lsat_Salinas_v7:2:173349117:173352095:1 gene:gene-LSAT_2X95881 transcript:rna-gnl|WGS:NBSK|LSAT_2X95881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLSKVDDLWKDFSSADAARQVVKLKAFSKFENTSEALSAATLLIDSKPSKGLRKFLRSHCDGETLAVADSKLGNAIKEKLQIECVHNHTVMELMRGVRSQLTELITGLGAQDLAPMSLGLSHSLSRYKLKFSPDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIVQDNILYAKAVKLMGYRTNAAKLDFSGILQEEVETELKEAAVVSMGTEVSDLDLVNIKDLCDQVLSLSEYRAQLYDYLKSRMNTIAPNLTAIVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALGDSQDNSMGMENRLKLEARLRSLEGRELGKSAGSTKGKPKIEVYNKDLKKGDGAMITPAKTYNVAADSVLGRMEAEAEEDEEMVAAIDEGKKDKKKKKKKEEGVEDEVEGSDGKKDKKKKKKKGGDDADEVVVVVNEEDGDKKKDKKKKKKKDGDDEVEKEEENVEVEGKKKKKRKHGETEGETEKGSKKKDKKKKKVEE >cds-PLY97707.1 pep primary_assembly:Lsat_Salinas_v7:8:7661591:7662835:-1 gene:gene-LSAT_8X6081 transcript:rna-gnl|WGS:NBSK|LSAT_8X6081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGESTSLPDQFFDTSAEQFGETKFLSTGVESPDDIFSILEALEGVSDEFTAFTPLHETDCGTKEGGFHQLASQKSTSSSAVQELEEMELEAFSPRSKKRKVSSAEEGCENSDGQLKMSHVTVERNRRKQMNEHLTVLRSLMPCFYVKRGDQASIIGGVINYITELQQVLQSLEAKKQRKVYSDVLSPRLISSPRTLPLSPRKPPLSPRPSLPISPRTPQSASPYRHRLPSATSYLLSPSSMANITPASPCNSSSNSDTINELVANSKSSVADVEVKFSGPNLLLKTLSPKLPGQATKIVSVLEDLSLEILQATINTDNETMVNSFTIKVS >cds-PLY73695.1 pep primary_assembly:Lsat_Salinas_v7:5:203528739:203530295:-1 gene:gene-LSAT_5X92480 transcript:rna-gnl|WGS:NBSK|LSAT_5X92480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTSLSSMSRQQRWIHQIRKKFVKEVTIDISEENPICIFTVQKSVTIFKPDAYFPQVIALGPYHHMDPHLYDMERYKIATAKSLFNQTCAHLGNIKFRELLISKIKELDPVIRGCYHSYLDFNDNTLSWIMAIDGLFLLNILQDHFANLEDNKMLPASVLSRDLMLLENQIPSVLLKEIYRTLKYNSSEKYDDVVELLHMMEQFCRANSPLKLPSISSCQDHGGTYLHLLDLMYQLIVTNGFSDTQRVPPDEEKEEKQDESEETEVMEDDINSVCDNIDLITKIAMKFGIATKILKPFQVIQGMPWDKILSFLGLKRVNDSGKHEGPMVQEIKIPCVSTLHYYAGITFSSTNGGIMDIKFVEEEATLYLPVITLDVNSEVVLRNLVAYENAMHYSYSSQSISKFVDLISGIIDNAEDVILLKQKGIIKGDLTNNQIAELFNGMNKATRNSDSKTVANINKYYKKRLVVKIFKFIKKRFFYLWKVIARLLTILLLLLLVLYSFCQFYGCPKVVGSSN >cds-PLY92180.1 pep primary_assembly:Lsat_Salinas_v7:8:5700765:5703965:1 gene:gene-LSAT_8X4720 transcript:rna-gnl|WGS:NBSK|LSAT_8X4720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYSPMLSRWLEFDLKFVADIGILGAPNVPMAPKQPNTGLFVGLNKGHVVTKKELAPRPSDRKGAETDREAGGNKGVSDKQTRLKIFSPNVLDITLVDLPGTTKVHVGD >cds-PLY81367.1 pep primary_assembly:Lsat_Salinas_v7:4:36781835:36782926:1 gene:gene-LSAT_4X24021 transcript:rna-gnl|WGS:NBSK|LSAT_4X24021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLRLHFHDCFVQGCDASILLEDGPSIVGERNALPNKGSVRGYEVIDAAKSKVEKLCPGVVSCADILTVAARDASEMVGGPSWSVKLGRRDSTTASRVLAETSLPSFKAPLDSLISTFKDNGLSARDMVALSGAHTIGQAQCFLFRDRIYSNGSDIDAGFASTRRRGCPVNDGNGNLAPLDLVTPNSFDYNYFKNLIQKKGLLESDQVLYSGGSTDSIVSEYSNNPSKFKSDFAAAMVKMSEIRPLTGEAGVIRRICGALS >cds-PLY82511.1 pep primary_assembly:Lsat_Salinas_v7:2:183608792:183609598:1 gene:gene-LSAT_2X106020 transcript:rna-gnl|WGS:NBSK|LSAT_2X106020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEPSYTTGDTLHTERAFTSNLGVFFAVFFLIIVLSYGSYVYRRSIRSQSSLPIISLDDTVHSDDDDECRGLDDDVLGTFPTILYSEVAMLLNGETNTATHADNCGSAGCSICLADYKPADVIRLLPECSHLFHVSCVDTWLRVHPTCPVCRNSPFPTQRI >cds-PLY87695.1 pep primary_assembly:Lsat_Salinas_v7:6:45300481:45302104:1 gene:gene-LSAT_6X34921 transcript:rna-gnl|WGS:NBSK|LSAT_6X34921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSAYYKQHEDGIASNPVGSLSSGSGPWWSGLATQSTFEESVGRMKLSSMENCGEQLMPIAPKPSSHSTDQGNTTHFNTFPGLSDSGDCKNSSKGQKPVQFEAAFSHEYGGQLELGFGQPAVICGKYTYGDQYYGMISGCGPQITGRIMLPLNLSTDDGPIFVNAKQYHGIIRRRRSRAKAEMAKKAPKGRKPYLHLSRHLHAKRRPRGCGGRFLNTKEMEKGNVGILDSKTTEHPIDSQRSEVLQSDHEMNASRPHISGSEVTSMFSMGDLNLFPSLSDMMMSGNDMHGFVMHNKWVAXAAAT >cds-PLY79179.1 pep primary_assembly:Lsat_Salinas_v7:5:57563678:57565270:-1 gene:gene-LSAT_5X27061 transcript:rna-gnl|WGS:NBSK|LSAT_5X27061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTSQIWDRKSEVQGMIGNIKRQKAKAEEASGDWNLQPRKKYFFIRNYSTIVAFAIGKKYIAGNGFHIVGAHTDSPCLKLKPVSKVSKGGYLEVGVQTYGGGLWHTWFDRDLTIARRMIIKEGKGDSASYSHKLVRIEEPVMRIPTLAIHLDRGVNDGFKVNAQTHLAPVLATAVKAELNKKAAENGPDGKPNESLNSSSIQKHHSLLLQILADKAGCKPDEICDFELQACDTQPSIVDGAMQEFVFSGRLDNLCMSFCSLKVLINAIVSEKSIEDESGVRMVALFDHEEVGSNSAQGD >cds-PLY94414.1 pep primary_assembly:Lsat_Salinas_v7:6:9397151:9398465:-1 gene:gene-LSAT_6X5740 transcript:rna-gnl|WGS:NBSK|LSAT_6X5740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNFRVSKISSGYGFNHHSFTVFLSPMKSFKIIVFGEKGKAMSSLSRELVFLILQFLDEEKFKETVYMLEQESGFFFNIRYFEEKVINGEWDKVETYLSRFTNLDDNIYSMKIIFEIRKKKYLEAIDKKDRAKAVEILVKDLKVFSAFNEDLFKEITQLLTTENFRDNEQLSKYGDTKSARGIMLGELKKLIEANPLFRDKLNFPTLKNSRLRTLIN >cds-PLY81773.1 pep primary_assembly:Lsat_Salinas_v7:3:33962040:33989554:1 gene:gene-LSAT_3X26320 transcript:rna-gnl|WGS:NBSK|LSAT_3X26320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATATCVLSSIKCSTNNHPRSVKVGDLKTALSSIVMPSLQRLEKSTLKTEVGEAIKNGSLAMLNTLVDSIFQFVDQPMLSSQKNFAPVEEIGELVKIDYCQGEIPEDFPEGVYIRNGSNPLFGGLKSTISVFGKTDNVWVEGEGMLHVLHFTKDTDRIWSFYYKNRYVETDTYKMESKRKKPAFIPVAEGDAPATLAGSFFNAMRFGQANKIYSNTNVFEHGGKHYSIAENYMPQEINLISLETYGNWNPSGVWSRPFTSHPKKAPETGELVVVGIDTTEPHCVVGVISADGKELVHKLDLQLDHCSLFHDIGVTKKYTILIDFMLTMRPERVMKGGQLFKYEREKDARIAVIPRYGEVDSIMWFHIQPCVTYHLINCFEDGDEVVVRGCTANTTIIPGPVWGEDKLEWFSRGFNFKNVASASNNDNDHKTTGDGMLFTSVREWRLNLKTLEVMEKDVTGTEYSMDFPIINEHFTGLEHKYGYTQVIDSLASSNSGKSKYGGLAKLYFEETDSEGNVKMEYHWLPKNNFCTGSTFVAKTKAVEEDDGWVVTFAHDEDSDTSYVLVVDANNFGNEPIAIINLPQRVPYGHHGSFFLST >cds-PLY62408.1 pep primary_assembly:Lsat_Salinas_v7:5:309921625:309925104:-1 gene:gene-LSAT_5X168921 transcript:rna-gnl|WGS:NBSK|LSAT_5X168921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ALB3 [Source:Projected from Arabidopsis thaliana (AT2G28800) UniProtKB/TrEMBL;Acc:A0A178VUV3] MAKSLISSPSSFVGTPFPSLYRHGRLSPRTKLISTRVKFSFNGLPPISSFDAVSIDFAAIATRAESLMYTLADAAVAVDPAASASGESAATTVQKSGGWFGFISDAMEVVLKVLKDGLTAVHVPYSYGFAIILLTVLVKVATLPLTKQQVESTLAMQNLQPKLKAIQQRYAGNQERIQLETSRLYKQAGVNPLAGCLPTLATIPVWIGLYQALSNVANEGLFTEGFFWIPSLGGPTTIAARQSGSGVSWLFPFVDGHPPLGWHDTAAYLVLPVLLVLSQYVSMEIMKPPQTDDPTQKNTLLIFKFLPLMIGYFSLSVPSGLSIYWFTNNVLSTAQQVWLRKLGGAKPVVDENAGGIISAGRAKRSSSQPSESSGARFKQLKEEEKRKSNKALPGPDVQVLASSASDSEEDDTDEDTTKSTEVLEEAYASSTTKPVPDYSGPRRSKRSKRKRSV >cds-PLY92505.1 pep primary_assembly:Lsat_Salinas_v7:2:152035828:152038020:1 gene:gene-LSAT_2X76561 transcript:rna-gnl|WGS:NBSK|LSAT_2X76561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFKNIVRELKEMRDVIGSMSRRGVEGKHWRNCTRSSHIAPDVPPLESTTEGQWAHLPPELLLDIIRRVEESETSWPARTVVVYCASVCKPWRDITKEIVKTPEECGRLTFPISLKQPGPRDSPIQCYIKRDRATSTFRLYYGLTPSEDESDKLLLASKKIRRATSTEFLISLVADDFSRASSAYKSFDNRSNFLGTKFIMYDSQPPNDESFEPNHTRSTRRINTNQISPRLTAYNYNIATITYELNVLRTRGPRRMNCSMHYIPISSIQQGGTAPTPKSFPHSLSSSHSIKQSEKPSHSNSTIKDSLVLKNKSPRWHEQLQCWCLNFKGRVTVASVKNFQIGASVEDCYNVSNEEKDRVILQFGKIGQDIFTMDYGYPLSAFQAFAICLSSFDTKPACE >cds-PLY83027.1 pep primary_assembly:Lsat_Salinas_v7:5:50386215:50389459:1 gene:gene-LSAT_5X24760 transcript:rna-gnl|WGS:NBSK|LSAT_5X24760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEILELNLTTKNQEEKGDLDDHYPSGESEYKKLGPQKNFMVKLRLLIAYPWERVRKGSILNIKLRGKITDQVKSRFSSGLSLPQICENLIKAAYDPRISGVYLHIETLKCGWAKIEEIRRHILDFRKSGKFIVGYAPVWHEKEYYIACACEELYAPPSAYFSLYGLTAQASFIGGVLEKVGIEPQVERIGKYKSFGDRITRKNISEENREVLTTMLDNIYTNWVDTVSQSRGKKKEDIESFINEGVYQVEKLKEDGWITDIKYEDEVTSMLKKKLGIVEKKKLPLVAYKKYSRVRKWSLGLTGGKDQIAVIRASGSISRVGGSFFEPNSGIIAEKFIEKIRKVRESKRYKAVIIRIDSPGGGAVASDLMWREIRLLAESKPVVASMVDIAASGGYYMAMAAQTIVSENLTLTGSIGVVKLKLNFGKLHERIGFNTEIISKGRFAELYVADRPFRPDEEKLFSESAQNTYKRFRDKAAASRSMSVEKMEEIAQGRLWTGDDAASKGLVDAIGGFSRAVAIAKHKAKIPQHKKVRLVEVSKQSLSLPQILFRMMTSAMGLNHLKDGLISSDEVQARMDDGIMFQGSEGSSLAVADPIFNLLKDYLNFV >cds-PLY97003.1 pep primary_assembly:Lsat_Salinas_v7:2:34053901:34055228:-1 gene:gene-LSAT_0X31661 transcript:rna-gnl|WGS:NBSK|LSAT_0X31661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGEQISVPSSPKNGEDYSVDEPIPKLMNDMKGKKVYITNEDKSLPVCWKGSKPFKSIYDVKNLFEPIILKFKKSKLQLNPESCLIISKNGNACFGILNGSEVGLGNINVIGAWMMMMVMENQKVQAFAIPTGPTWAFYHPIVLQSDITPS >cds-PLY63616.1 pep primary_assembly:Lsat_Salinas_v7:4:128961791:128963449:1 gene:gene-LSAT_4X80721 transcript:rna-gnl|WGS:NBSK|LSAT_4X80721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPSMAGMDLVAQGLWETSLSGWIWPIAHAAARAYDRAVIKFHGLDTDINFNISDYEEDLKEIKNLTKEEFVHILRRQSTGFSRGSSKYRGVTGYDKAAIKCNRREAVTNFEPSSYEGELTTLVTVNGDGGTKLPINPWIS >cds-PLY62280.1 pep primary_assembly:Lsat_Salinas_v7:5:161795237:161795614:1 gene:gene-LSAT_5X70640 transcript:rna-gnl|WGS:NBSK|LSAT_5X70640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPISDDAKDHQYPPPHSTVAVFLESSQPPSTTTKLEAAAVVAWFFLHGSRRWIFSPLCSVLLLHPKLPVADALPYSCPSPAASLLDSMSQITVAVTAFRAAATVGHYVASGYHRREPPGGWLHL >cds-PLY69736.1 pep primary_assembly:Lsat_Salinas_v7:8:117950705:117953774:1 gene:gene-LSAT_8X80701 transcript:rna-gnl|WGS:NBSK|LSAT_8X80701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLYIGREASKLWKRICAETTTEINLLLENWKYILGGLICQYIHGLAARSVHYFHHPGPILHDSGFLLLPELGQERAYVSETVFTFVFLSFVLWTFHPFIFKSKKIYTVLIWVRVLAFLVACQFLRIITFYSTILPGPNYHCREGSRLATLPRPDNLLEVFVIIPRGVLYGCGDLIFSSHMIFSLVFVRTYQKYGSGRFIKQCAWLIAVVQSLLIIASRKHYTVDVIVAWYTVNLVVFFVDHKLPELPDRSLGGGPMLPLSKDGRMKEEGTKLVNGNSVDPVDRRSRSQANGKVNEESDSVHVDVLDGA >cds-PLY91467.1 pep primary_assembly:Lsat_Salinas_v7:7:143077352:143078376:-1 gene:gene-LSAT_7X85621 transcript:rna-gnl|WGS:NBSK|LSAT_7X85621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKTYGPGSRTCRVCGNSHGLIRKYGLMCCRQCFHSNAKEIGFIKYR >cds-PLY92012.1 pep primary_assembly:Lsat_Salinas_v7:8:241723370:241724680:-1 gene:gene-LSAT_8X145260 transcript:rna-gnl|WGS:NBSK|LSAT_8X145260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKLMHSTQIQHSSHPHLLQLSSSLHLHNHPSATCAGCNHNISPPEHIYTCTPCNFFIHPSCSKFPDLITHPAHPAHTLSLLTTPIYPGGFFRCDACTQHGTRFSYHCHTCDFDLHVLCASTPMRVTHPNHPHPLGLTFASPYGEKLGFSCDVCSRIGSGDQWLYRCVSCEFDVHLQCATAKVPTPPAVQHHHSFSAGGAPVAGQGLTHSMSAPPQALQHHQSFPAGGAPMAGHGLTHSMSAGRIQTQWQPPQTPVAAGVQVQGYQRPVQPPAQVGGQNYTMAPQPAGPAVANQGNGLGNVMVAGFVDGMMQQLGQDFVQTLTGGGGGGGGGGGDVVNIDVQTNVTYEDCGNE >cds-PLY65846.1 pep primary_assembly:Lsat_Salinas_v7:4:85113715:85116377:1 gene:gene-LSAT_4X57421 transcript:rna-gnl|WGS:NBSK|LSAT_4X57421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQWGMKTSLMIFMFISLAKTINGEAPTRFFDWNVTYGDVSPLGVKQQGIFINGQFPGPQIDWMTDDNVIVNVHNSLPEPFLITWNGIQQKRNSWQDGVYGTNCPIPPGQNFTYNLQIKDQIGSFFYFPSLAFHRAAGGFGGITISSRPLIPVPFPPPAGDFTIIAGDWFKQNNTDLKAILDGGHDLPFPDGILINGRGPNRLKFTVDPGKTYRLRISNVGLTTSINFRIQNHKMLLVEVEGTHTVQNTYSSLDIHLGQSYSVLVTADQPPHDYYMVFSTRFTSQALASSCTLHYSNSPGTFPAPPPGGPTGQFDWSLNQARSVRQNLKANGPRPNPQGSYHYGTINCTRTIRLANSAPIINGKQRYAVNSVSFIPADTPLKIADYFKIPGVFSLGTIPDSPTGGSGGGILRTSVMAADFRSFAEIVFENSEDTVQSWHIDGSFFFVVGMDGGQWSAASRTRYNLKDGITRSTVQVYPKSWTALYVPLDNVGMWNWRSQNWARQYLGQQFYLRVYSPVNSWRDEYPIPKNILTCGRASGRRPPPL >cds-PLY70463.1 pep primary_assembly:Lsat_Salinas_v7:1:76896084:76897652:1 gene:gene-LSAT_1X64180 transcript:rna-gnl|WGS:NBSK|LSAT_1X64180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVSHPSVHPVEVAPLPPTDGRVIVPPIVRMKVIQGMPGTIGGLGLRFFQFAYAVVSLVVMSTTSDFPSVTAFCYLVAAVALQSLWSLSLAILDIHALLVGRTLQNYRVVSLFSIGDGVTSTLTFAAACASAGITVLIGNDLGLCAQNHCKQFETATAFAFLSWFTALPSFLLNFWSLSSR >cds-PLY99245.1 pep primary_assembly:Lsat_Salinas_v7:6:184686626:184689744:1 gene:gene-LSAT_6X113040 transcript:rna-gnl|WGS:NBSK|LSAT_6X113040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSKINSFFIPSSSSSSSTSRSQALDPPLPPLLDNLSDDEDFTREPEIPIIYTRRATNTDRANDDLFKENDPNKLNFRQELVVATISKKVLNKKRKYAQFHLDLGQSDFLLHTCKTCGFKFAPGDEEDTRVHKEFHKSYTHGIHFKGWRNERVIDTHSFEHGRVILVLNDDPPAHIKKVEEVIKMMEMELGDGWIFHKNCKVYLYISSQRVAGCLVAEPINKAYPLVSNSDHQNHDVTTTLKEVKKSTPTTLQFGSISFQREIIKKDKNQRNSNDTTLLGAIICEKDSIPAVCGIRAIWVTPSNRRKHIATHLLEATRKSFSLDVILEHSDLAFSQPTNVGKLLASSYTNTKSFLVYTTNSYS >cds-PLY85389.1 pep primary_assembly:Lsat_Salinas_v7:5:243785904:243786413:-1 gene:gene-LSAT_5X119701 transcript:rna-gnl|WGS:NBSK|LSAT_5X119701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEGLPDSDEDAISTTWQFPGKKQEEPEEGEIVVESEVEDEFLRSPAPMPANEIGKGTDALMFENESAKIIITTSSTYANATDPTRLTRSNKPNSETLIKPIHTIPLSGGDEWPTSPNPIQNAKTPQTFDIGRRIDKKRRVLRSTPQSFPNTLHRQLSITFDDQPDTDV >cds-PLY68780.1 pep primary_assembly:Lsat_Salinas_v7:8:65838142:65840505:-1 gene:gene-LSAT_8X46420 transcript:rna-gnl|WGS:NBSK|LSAT_8X46420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLFALFCLLLFCSVSGKVVDFLDKGQLLELKNAIFDPHNMLSSWKSTAPDHCSWFGVTCNSHGRVSELRIPGGNFARSSSCLSNHHFDPKKGKFLGGKLSNVIGKFLELKVLSIPFNRIAGELPNEIWGLKSLEVIDIEGNLITGDLSMVDFSNLKKLQVLNLGFNRLSGNIHKSFEECKNLTVLNLAGNRIQGPIPDVLPVLPPQPRRALQDSRGNDVNKKGLSPFEWVLILVASLIVLILLVVIIVYFYMRNRRRSSRVDGINIIPSPPRSGNERLVIFREVGVPLTFNNVIEATGNFTSRNCIGSGGFGSTYRAEISPGITVAVKRLTVEMCQGVPQFNAEIRTLGRIRHSNLVTLIGYYASPSEMFLVYNYLPGGSLEELIRDKRNHVIGLKTVHKIALDIAGALAFLHDDCNPRILHRDVKPSNILLDKDLKACLSDFGLSRLLEGFETHVTTGVAGTFGYVAPEYALACRVSDKADVYSYGVMLLELISDKRALDPSFAKEDNGYTIVFWARTLRREGRVEEVFAAGMWEAGPENVLLDLLKLGLMCTTELPSGRPTMRQVVRKLRHIQRDFDR >cds-PLY64355.1 pep primary_assembly:Lsat_Salinas_v7:4:22886765:22887207:-1 gene:gene-LSAT_4X16161 transcript:rna-gnl|WGS:NBSK|LSAT_4X16161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLEAKAVAIANVVAGLSASMAAQMRIWDFNPDICSIKCSMVGGLLHDSSSCLGGVCCYYLKKERNSCGGGGGFTPDSKAMVAVQATSVATTSGFSAVDSI >cds-PLY70297.1 pep primary_assembly:Lsat_Salinas_v7:2:92334391:92335986:1 gene:gene-LSAT_2X39840 transcript:rna-gnl|WGS:NBSK|LSAT_2X39840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESDSCRDPNGIPNPKEEENPKNSNAKPKVVVIMGATGSGKSRLAIDLTSQFPIEIINADSMQDLRYKVDCIPPIVEPVPSIELEDGEGII >cds-PLY87182.1 pep primary_assembly:Lsat_Salinas_v7:5:155360827:155361069:-1 gene:gene-LSAT_5X67781 transcript:rna-gnl|WGS:NBSK|LSAT_5X67781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPPIVETFSEALGGSGHSDGSGYSSDSDNRDSIVDEDNLLDDPKVDMHDFYLNIHDNLEWFGYTYITTENVVISVRKWW >cds-PLY90998.1 pep primary_assembly:Lsat_Salinas_v7:7:129561084:129562768:-1 gene:gene-LSAT_7X78561 transcript:rna-gnl|WGS:NBSK|LSAT_7X78561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIYIKTMNEDDDKDVVAQACMSVAHITKDFGYVAVESHMHQLVESTLALLWQNSVCQKVKSGIDIEDDDHGHSEMLLDAITDLLPTFSKAMGSDFAPFFEPLFDPLMDLLVSFSKTFSNFTLFSKLIGVLQKESYSPQDRTIVVACLAEVAQHMGTTFSTYVNTLMPLVLRELDSPSATNRRNAAFCVGEMCKNGGEYGLKYLDDVLPCLFLLFEESEKDHAVRDNAAGAVAKIIMAHQNSVPLYRVLPILLKVLPLKKDYEESIPVYNCICNLIFSSNQQILELVPDLVMVFAEAAMSPLETREVKIQIGGALSHLLSLFGREMHPILRNLPPTYARALAAILPKSFGVQL >cds-PLY85758.1 pep primary_assembly:Lsat_Salinas_v7:1:47838362:47841855:1 gene:gene-LSAT_1X41861 transcript:rna-gnl|WGS:NBSK|LSAT_1X41861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g61215 [Source:Projected from Arabidopsis thaliana (AT1G61215) UniProtKB/TrEMBL;Acc:Q6AWX2] MDDVSVVLKKVWGTWEELILGGAVLRHGTGDWDVISSELRTRTVCPYYFTPEACRTRYEDLQQRYTGCKFWYDELRERRVAELKRELEKSQESIGFLVSKLENLKSKKVNCNQIDYDSSQTESPPPSLPKTKGIKIKTVHKQGSKDEFELSSGSFTQDLETNPQPQSHSPSLASSQNIEVKLEVPESCMNNTMIELSNEKSGTIRKRRGKRKRKDGNWNEASTSGMQSSSVDLQEGESCRWGPQSDDLVGIFNSVTENQYALVFRRRLDSQKRARYRKTIRQHMDLDTIRSKIANCGIKSTRELFRDLLLLANNALVFYSKRTREYKSAMLLRGLVSKRYKQLCSDSSSSSSSMPLSSILCFSSISSPPVRPRSVRPRAPCKQPKLVVKFPSSGPIGGPHGYLKVSSNSDSGTLNPEIEGSKSNVDFHGNQKIAKRGLGRPRRVGGGGRGGKQPPPTPRNSSNGKKAHQK >cds-PLY86054.1 pep primary_assembly:Lsat_Salinas_v7:3:195503417:195503854:-1 gene:gene-LSAT_3X116280 transcript:rna-gnl|WGS:NBSK|LSAT_3X116280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFSRGLWPPKLSSLEIGGLKKSISKWGPQTFPTSLVDLILIGGRSEDVSNLCQLSHLLPSSLTSLEINGFEKVESVSLGLQHLTSLQHLSIDHCPKTMDLPEMLLHSLLSLTIEGCPNLKERSSKRGSYWSHISRIPYTNIY >cds-PLY74831.1 pep primary_assembly:Lsat_Salinas_v7:5:114081059:114081229:-1 gene:gene-LSAT_5X50061 transcript:rna-gnl|WGS:NBSK|LSAT_5X50061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNCVIKLQRREISSKGDRADRICNAGEEFRNIHLQVVNPTRFLFTNFFHFKVKSGS >cds-PLY68029.1 pep primary_assembly:Lsat_Salinas_v7:5:292463698:292466334:-1 gene:gene-LSAT_5X154260 transcript:rna-gnl|WGS:NBSK|LSAT_5X154260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPHTGEIVPGLHMMLASLMMAGLLGYSALSQGTLQPLGKSLMRRIQSHFCPLKSYQISHAKLHFVFCYNYLRE >cds-PLY91747.1 pep primary_assembly:Lsat_Salinas_v7:9:17495711:17496004:-1 gene:gene-LSAT_9X16200 transcript:rna-gnl|WGS:NBSK|LSAT_9X16200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRRGAITISVFANSTTMVGWCRPRRRLMRRKSRTIRLGNKHRRLCLRWRPTVQWRVVACPFVILKKFIVKMVSEGRFMEAYYLSLPILRPQLFPLC >cds-PLY88234.1 pep primary_assembly:Lsat_Salinas_v7:8:149788342:149791618:1 gene:gene-LSAT_8X100780 transcript:rna-gnl|WGS:NBSK|LSAT_8X100780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIDDEMIKSKGEEEDKKKPIVVILVGAPGSGKSTFCDHVMRVSTRPWVRVCQDTIGNGKAGTKAQCLAISNTSLKEGKNILIDRCNLDKEQRADFVNLKNSHQVDIHAIVLDLPAKLCISRCVNRTGHEGNLQGGRAAAVVNRMLQKKESPKINEGFTRITFCYNENDVQSAMDTYGSLSSINTLPSGCFGEKKSDSKVQVGIMKFLKRVDAPSKLASNKTDKTEKTDKTTPGPSNDNTTQENHPVNEGKEKKIPFESGTHDGVPTLAFPSISTSDFQFNIEKASEIIVETVEEFVNKIGNGRLVLVDLSHGSKILSLVKTKAAKKNIDSKKFFTFVGDITKLHSGGLKCNVIANAANWRLKPGGGGVNAAIFDAAGPDLDTATKQRAGSLTPGKAITIPLPSTSPLFSKEGVTHVIHVLGPNMNPKRPNCLKDDYQKGCKVLKEAYMSLFGNFESIVRNQEKLCEHFDGVLNSGVEKVRREDDGICSTEKNKKFKGFVEEKEIGGKVNKDWGSWAQALYKIAMNPEKHGNDVIEILDDVVVLNDVYPKAQKHVLVVARVKGLESLSDVGEEHLPILRTMHDVGLKWAQTFLKENESLVFRLGYHSAPSMRQLHLHVISQDFDSKHLKNKKHWNSFNSSFFRDSVDVIEEVREEGKPKLNDDEKFMSMELRCNRCRSAHPNIPRLKSHIAICKSPFPENLLQNGRLLHAPTKV >cds-PLY67650.1 pep primary_assembly:Lsat_Salinas_v7:2:207529832:207530155:-1 gene:gene-LSAT_2X128281 transcript:rna-gnl|WGS:NBSK|LSAT_2X128281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSLACLKNRGYMVLFGTASGVPEPLRVEQIAPKSLYYTFSSITEYTVENRKELLVAAQDLFSNIAKGVLRIRLNHKYPLSQATQAHIDLESRKTSGSVVLIPDEE >cds-PLY73754.1 pep primary_assembly:Lsat_Salinas_v7:2:95602817:95606745:1 gene:gene-LSAT_2X41240 transcript:rna-gnl|WGS:NBSK|LSAT_2X41240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSCSISSASSSFFNKISQKDGDQYAQPSFAITISTQKQASRKILSIMTPQTSERKPSTTGSVKTGMTMTEKIFSRASEKSNLIPGENVWVNVDTLMTHDVCGPGAIGVFKKEFGNNAKVWDCEKIVIIPDHYIFTSDERANRNVDIIRDFSNEQNIKYFYDITDRSNFKANPDYKGVCHIALAQEGHCRPGEILLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKLLLKVPPTLRFVMDGEMPDYLLAKDLILQIIGEISVSGATYKAMEFVGTTVESLSMEERMTLCNMVVEAGGKNGIVPADATTFKYLEDKTSMPYEPVYSDAQARFLSEYRIDVTKLEPLVAKPHSPDNRALARECKDVKLDRVYIGSCTGGKTEDFLAAARVFLASSGNGKVKVPTFIVPATQKVWMDLYTLPVPGSGGKTCSQIFEQVGCDSPRSPSCGACVGGPLDNYARMNEPQVCLSTTNRNFPGRMGHKAGQIYLASPYTAAASALTGFVTDPREFLH >cds-PLY94195.1 pep primary_assembly:Lsat_Salinas_v7:5:321723672:321724581:1 gene:gene-LSAT_5X178360 transcript:rna-gnl|WGS:NBSK|LSAT_5X178360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVVPRRRRKAPVKQRKKEPWVVCDYDYVYDYSEKEDLAPEQPRKDVNVSGKVHPKAVDEDLYKISPELLRAPPRRKSKRWGLFSMCMQPTCVN >cds-PLY91655.1 pep primary_assembly:Lsat_Salinas_v7:8:12801805:12808980:1 gene:gene-LSAT_8X10200 transcript:rna-gnl|WGS:NBSK|LSAT_8X10200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDSDFAFEPPSDEEYDYEDLNSDEEKDDENSDVEEKGKNHNKKTQSPWDFSSYTESVAEEHARRSTTSIDDKISKFIQQQRNPSDSIPEEEEEMDEDDPTDSEPDRQEDFKPEEEDEIPTTASGGGDNKQFFAKADGVSFHANSFMDLHLSRPLLRACEALGYNKPTPIQAACIPLALTGRDICGSAITGSGKTAAFALPTLERLLFRPKHRPAIRVLILTPTRELAVQIHSMIGKLAQFIPDIRCCLVLGGLSTKAQEAALRSLPDIVVATPGRMIDHLRNSMSVDLDDLAVLILDEADRLLELGFDAEIRELVRVCPKRRQTMLFSATMTEQVDELIKLLLNKPLRLSADPTTKRPATLTEEVVRIRRMREGNQEAVLLALCSKTFTSKVIIFSFPNDSGTKQAAHRLKILFGLAGFKAAELHGNLTQAQRLDALELFRRQEVDFLIATNVAARGLDIIGVKTVINYDYVHRVGRTARAGREGYAVTFVTDNDRSLLKAIVKRAGSKLKSRIVAEQSINKWCEMIEKMEDQVASILREEREEMALRKAEMEADKAENLITHRDEIFSRPKRTWFVTEKEKKLVANAGKEKEKGSKKKVMSAEEAEERKQKAKKKRDYEKNLPRKKRRKLEASREMLEDEAENGGNARNNNKEKPGISLVDLAYRRAKAAKGAKKAADNGKVVRTNKKPNNKSKPSSSSTHLNKSRPEEMKELFQSEMSEKKQKRSAHGGGGKKSSSFKSKSRYKRR >cds-PLY85345.1 pep primary_assembly:Lsat_Salinas_v7:5:243564067:243564267:1 gene:gene-LSAT_5X119961 transcript:rna-gnl|WGS:NBSK|LSAT_5X119961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYHFSPKEHHVTSNADIQMAHSGLGDLIDNRRASERKHLHVIGYYSFLSQIRTLEAYELLGFQTG >cds-PLY71171.1 pep primary_assembly:Lsat_Salinas_v7:1:120697680:120700866:-1 gene:gene-LSAT_1X91120 transcript:rna-gnl|WGS:NBSK|LSAT_1X91120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHEWGFELPTGNISDRAMIKYIDFLLATASGKAVGERFPGKLAALFEKTKLSAYALVAMVESVVVSEITNTNFFQTTEDLEFNWVIEGDGCKLDSGTLSLPTLEFNWVIEGDFGS >cds-PLY96550.1 pep primary_assembly:Lsat_Salinas_v7:5:336807833:336808506:-1 gene:gene-LSAT_5X188641 transcript:rna-gnl|WGS:NBSK|LSAT_5X188641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWNQCLNGKNAMIDVTPFLLFESTGDSEEVKAGADEEAAMDESEGVLFRFDDGDDEDDDAQSCSYDHSSYINTSYVNTHHDQTQGFIHDDFDEDDGDVDDDDTNNWGESNMIDLSMQQTGSSKFCVDSSKQREIDRNFWETCLAT >cds-PLY98362.1 pep primary_assembly:Lsat_Salinas_v7:5:316086735:316090851:1 gene:gene-LSAT_5X173260 transcript:rna-gnl|WGS:NBSK|LSAT_5X173260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASISGLASFMNCISVNRNPNRLIPQVYGSFTVNSCNRVSITNRNPIHIGKLREPRFYKAVELDTFVTSDDEDEMSEGFFEAIEELERMTREPSDVLEEMNSKLSARELQLVLVYFSQEGRDSWCALEVFEWLKKENKVDEETMELMVSLMCGWVKKLIEGKHEAEDVIALLVDMECVGLKPNFSMIEKVISLYWDMGEKDKGVLFVKQVLKRGFGYENDDNQGRKGGPTGYLAWKMMEDGNYTDAIKLVINIKESGLKPEVYSYLIAMTAVVKELNEFGKALRKLKAFTKSGLSSDLDTHDTQLIQNYQTTLLEDGVRLSNWVIEEGGPSFHGVVYERLLAMYICAGRGVEAEGQLWKMKLVGKEADGNLYDIVLAICASQKEINAISRLLTRMEVTSLLNKKKSLTWLLRGYIKGSHYVDAAEVVNKMLNLGVYPEFLDRAAILQGLRKRINQKGILESYFKLCKRLSDAGSLQAEGKAIK >cds-PLY75312.1 pep primary_assembly:Lsat_Salinas_v7:5:287620872:287622542:-1 gene:gene-LSAT_5X152640 transcript:rna-gnl|WGS:NBSK|LSAT_5X152640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFESWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLLISAISLIAGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPASRGEYVRWNNFLDVLPHPQGLGPLFTGQWNLYAQNPDSGSHLFGTSQGAGTAILTLLGGFHPQTQSLWLTDMAHHHLAIAFLFLIAGHMYRTNFGIGHSMKDLLDAHIPPGGRLGRGHKGLYDTINNSLHFQLGFALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARILEHKEAIISHLSWASLFLGFHTLGLYVHNDVMHAFGTLEKQILIEPIFAQWIQSAHGKTSYGFDILLSSMNGPAFNAGRSIWLPGWLNAINENSNSLFLTIGLGDFLVHHAIALGLHTTTLILVKLKEGFGTNEEILNKRVGTRR >cds-PLY95813.1 pep primary_assembly:Lsat_Salinas_v7:7:177059635:177064768:-1 gene:gene-LSAT_7X104181 transcript:rna-gnl|WGS:NBSK|LSAT_7X104181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCGKPSAIDDSRESPRDRYGDKTQSELRVPRVASSRREVYRVKSQSDNNDGGPMIIDKHVNGSIRLPGENLERKREKTESIISTHHPVLTSVPRATEGEQVAAGWPPWLAAVAGEAIKGWVPRKADSFEKLDKIGQGTYSNVYRARDLDHGKIVALKKVRFDNLEPESVRFMAREIHILRKLNHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASHPNHKFTESQVKCYIRQLLKGLDHCHSHGILHRDIKGSNLLVDNNGVLKIADFGLASFFDPGQSQPLTSRVVTLWYRPPELLLGATYYGTAVDLWSTGCIVAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQQPYKRCVAETFKEFPAPALALMETLLSIDPADRGSASSALNSEFFTTKPLPCDPSSLPKYPPSKEFDAKIRDEEARRQAAAGGKSHRPDPERRATRESRAMPAPEANAELASSLQKRQGQSYSRSEKFNPQQEESASGFRIDPPRPSQNMEEEQTNNLHKRASHSGPLVQRAAWSKVGKKVESNGGDLSGISGLVAARKSMLSEDRRDKSGTSQHHPRSISRFPGSLKEASSNAASHPNDDDGRSSNKDPVSLGYGSKGSKIHYSGPLLAPSGKVDQMLKDHDRQIQEAVRRARDKTKVRKVQGENTFFSGR >cds-PLY67785.1 pep primary_assembly:Lsat_Salinas_v7:9:167823764:167826842:1 gene:gene-LSAT_9X104161 transcript:rna-gnl|WGS:NBSK|LSAT_9X104161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFRIEFFFSSLVMFLLTTTISSSNGGNETDYWALLQLKSMITLDPSESLSSWNASFHFCDWSGVICGKRHRRVIALNMFSQGLQGSISPYVGNLSFLRGLFLWNNSFHGTLPHELGRLSRLRYLQLGSNKFNGVIPTNLSGCSNIEIIGLYENNLLGSIPKEIGFLSKLTFILLQYNNLTGGIPAFLGNLTSMKVFNAFYNPLGGSIPDTFGNWKNLTEFSLGYTNLNGTIPHSIYNLSLLITFSLAENQLVGSLPSTLCEMLPHLEYLQLRNNQLTGSLPPSISNCSKLGLLEVNNNNFSGKLRIDFSKLNDIQSITLGDNVYGFGEADDMKFIDTMKKCSRLERLDLRNCKFQGLLPASIGNLSDQLRLLVILRNNLYGNLPSSIGNLVGLEVLVLSNNQFTGKIPYTISKLKNLQIAYLDRNQFSGPIPDAIGNLSLLTKLWLHSNRLDGHIPSSLGNCHNLLELKLDDNKLSSQIPKQLLQLLSLTIALDLSKNNLFGSIPIEVGDLKMLTSLDLSNNNLSGSIPSSLGGCISLSFLSLKGNLFNGMVPPSLSSMRGVSKLDLSHNNLSGQIPQFLQRLILLEYVNLSFNDFEGEVPMTGVFANASAFSVLGNSRLCGGLVELGLLKCKETRKAKKRFPLFAIVILIAFIVFTVLCFVYVWCMKRKGQPYQSSTDEQFMKISYTQLFKATNGFSEENLIGKGGFSSVYKGILKHDDGYVAVKVMHLQNRGAHKSFVTECEAWQSIRHRNLLKIMTSCSSVDFQGNDFKALVYEFMPNGSLHDWLHSPASKSRLTLLQRINILLDVAFALDYLHNHCISTIVHCDLKPSNILLDDDTVAHVGDFGLTRFLGTHANENSTTVIRGTIGYAPPEYGLGSEMATSGDVYSFGILVLEVMTGKMPTDNIFGEDLNLHKFAYTTLPDSITNIIDDDILPFLQEDAISKQEHCVASIIKIGVSCSADSPPQRMNIKNVVHGLQHILETLQNI >cds-PLY90995.1 pep primary_assembly:Lsat_Salinas_v7:7:129707992:129709201:-1 gene:gene-LSAT_7X78680 transcript:rna-gnl|WGS:NBSK|LSAT_7X78680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSKETYGRKGDPGEWVEVRRPNFQVYMIITSFYVSNLQLDVTNAMLKEAFHSFGNLVDVTSLVGKTKQARSSRSLNIKEPFPKYYNSNDCSLGTDIKEDLEEDVENNASLDEDGDGISYTWCENFDDLEEGEIVGNSGDQDLITSGNDDVGRQSSTTPILVIPKNINAV >cds-PLY91428.1 pep primary_assembly:Lsat_Salinas_v7:7:132852807:132855537:-1 gene:gene-LSAT_7X80620 transcript:rna-gnl|WGS:NBSK|LSAT_7X80620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERVKGISGEAAVQKLRGHVGTSVTVKVHNGKKLAIDSSFREVKLPREFIRLSPISSVIIPHRTPNGHVSKTGYVKLLAFSQPAATDMRHAIRELENQGVESCILDLRNNPGGLVKAGLDVAQIWLNGDETLVNTINRDRNMLPINMINGHALTRDLLVVLVVSIPLLTSYPFIAMLTGFALSLTLNCASIIKNVLYVFIITGTFMLQNKDVVIFASSVL >cds-PLY77703.1 pep primary_assembly:Lsat_Salinas_v7:9:19257268:19258174:-1 gene:gene-LSAT_9X14361 transcript:rna-gnl|WGS:NBSK|LSAT_9X14361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTEGPKDSLDWKSIGESGNKNEADAGPVVKKRIPKKMRHIPDYYFLPRRSMLANIAIYGSCIVGGIGAGMLTEIWINKKVKEDGNGVLWEFDK >cds-PLY87370.1 pep primary_assembly:Lsat_Salinas_v7:1:97589538:97589876:1 gene:gene-LSAT_1X79340 transcript:rna-gnl|WGS:NBSK|LSAT_1X79340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFPHIVNLSLLIRAVVSWGIMWPLIGKNKGDWYLHSLPDSSMKILNSYKAFISIALILGDGLYNFVKILYITSMSVHKRFKNKSLNPVSDKKASEVELKQNVYFRKTKCDH >cds-PLY91445.1 pep primary_assembly:Lsat_Salinas_v7:7:133160292:133160480:1 gene:gene-LSAT_7X80441 transcript:rna-gnl|WGS:NBSK|LSAT_7X80441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKCLRRRKEVGSVHNGGQNGAVQSPTTDREDRWHTANNPATGRAYEGDFSLNGRSCSSGEV >cds-PLY72049.1 pep primary_assembly:Lsat_Salinas_v7:2:204574362:204576218:1 gene:gene-LSAT_2X125341 transcript:rna-gnl|WGS:NBSK|LSAT_2X125341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAVPPPPLTRLNTFVARSRIGKRFKLDERNTTFTTELRAGTATFLTMAYILAVNASILSDSGATCSVSDCIPLCSDPSFTSNCTGPNLRLIQPDISCKFPPVNPGYTACLERVRKDLIVATVASSLIGCVIMGTFANLPLALAPGMGTNAYFAYTVVGFHGSGNISYESALAAVFIEGMIFLLISAVGLRAKLAKLVPKPVRISSSAGIGLFLAFIGLQNNEGIGLIGYSASTLVTLGACPNSSRASLAPVITFPNGTISLLPGGSVSGNIMCVNNRMESPTLWLGVVGFVIIAYCLVKNIKGAMIYGIVFVTAVSWFRNTQVTVFPDTPTGDSSFQYFKKIVDVHKIQSTAGALSFSSINKGYFWEALVTFLYVDILDTTGTLYSMARFAGFSNEDGDFEGQYFAFMSDASAIVVGSLLGTSPVTAYIESSTGIREGGRTGITALTVAGYFMLAFFFTPLLASIPAWAVGPPLILVGVLMMKSVVEIDWDDMRQAIPAFMTLILMPLTYSIAYGLIGGIGTYMVLNLWDCGEGLLSKYGILKGVRSNEFIINGSLGENGVIKENSVVESRKALEV >cds-PLY73887.1 pep primary_assembly:Lsat_Salinas_v7:3:39654790:39658128:1 gene:gene-LSAT_3X29480 transcript:rna-gnl|WGS:NBSK|LSAT_3X29480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDKKIKIGINGFGRIGRLVARVALQRDDVELVAVNDPFITTEYMTYMFKYDSVHGQWKHHELKVKDEKTLLFGDKPVTVFGIRNPEEIPWGAAGADFVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKPELDIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKKATYEQIKAAIKEESEGKMKGILGYTEDDVVSTDFIGDNRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSSRVIDLICHIASVQA >cds-PLY82141.1 pep primary_assembly:Lsat_Salinas_v7:1:15669650:15670280:1 gene:gene-LSAT_1X14080 transcript:rna-gnl|WGS:NBSK|LSAT_1X14080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML47 [Source:Projected from Arabidopsis thaliana (AT3G47480) UniProtKB/Swiss-Prot;Acc:Q9SN89] MKDHKIGLTSSLSSIAAGMTSRDIWLTRLRSFKYFVEWVMLLRDLYSLLEGPTRWFFCTMHSTISAVILAVAFHQTIHFSHDKIGEEKLLEVDVGIIMERLFMVPDDEDIKIITSVEEITSLFHENEPTFDELKEAFGVFDTNNDGFIDANELQLVLSKFGYPCISESECRRMIDGYDVDKDEKISSKEFLKLIEDAFQ >cds-PLY87238.1 pep primary_assembly:Lsat_Salinas_v7:1:51148798:51154776:1 gene:gene-LSAT_1X42701 transcript:rna-gnl|WGS:NBSK|LSAT_1X42701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQNPNTPPRKRRIDKKCKEIIHWLQNSFNLSTILQGNELKHLEIPFKDIKLATKKFTQTVIGEGTYGDVYRAELDHFDRKVFFSLEKKKKGGVPPKKRSTVAIKRIKIRKDKQGEEGFDAEIKMLTCCDHQNIVSLLGFCKDPHIILVYEYVSRGSLDRYLGSTDNFLNLNWLQRVKMCIDIAHGLKYLHTKAGRKARIIHRDIKSGNILLTENWEAKIADFGLSKYGTENTKEKSLHTVHIAGTEVYLDPEYEKKSKLTTATDIYSFGVVLFEILSGTLAYDPVYTKEHPRGIAHVARRRLKEGTMNDMVDHRLWETTHENSSTISTRPNQCSLHAFFKVAYRCLEDTQAKRPTAKHIIKKLQDVLCLQETNLKRLPISFIDIQSATQDFSKTYLTGLDAYGKVYTAALDHFNSNCFFTIQEKTRDELHMKSCSTVVIRRILVRKDKQQGFLADIRMLTTYKHPNVVSLLGFCEEGTSIILIYEHASKGSLRDYLGNIGYLGNLTWVQRLKICIDIARGLIYLHRPVEDKPSIIHGHITSGSIFFTENWQAKIADLGLSKFCHKNQKPSTMDKKGNIVHTQVYMDPEYHPSNKQSDIYSFGVILFEILSGRLAYDSIYTKKNTKGIATVAQQRFHNETIEEMVDPRLMEETDENISTLNKRPYLDSLDVFSEIAYKCVAETQARRPSAEEIVKKLEEALSLQENPSDYKKFLLKDIELATHNFSDSNLIGRGKFGNLYKGEVTHANGRNAIAAKRLDMKHGHGEPQFSNELKVLMNNKHENIIGLVGYCKKMNEKILVYEYASRQSLDKHLGDSGLTWMKRLEICIDISSGLDFLHGGEATHKLVIHRDINSSNVLLTDDWKAKISDFRISLIIPINEDIDSVIDKAYGTHGYVDPLYEVSLCLTKESDVYSLGIVLFEILCGRLGYDAQKDSCLSQLVEHNHNEGKLDELVFEGIKDQIAPKSLTAFQSIALKCLHRKREERPTTYEVLQQLKKALEFQEDYEIWKPRLPKNYKKIFLQMSNSLDISNQREKDLYNMLCNGVLLEEDKVSMSFSGGSFCLLDMISTLQAAIPNTPWTSK >cds-PLY98287.1 pep primary_assembly:Lsat_Salinas_v7:7:166789454:166791564:1 gene:gene-LSAT_7X98920 transcript:rna-gnl|WGS:NBSK|LSAT_7X98920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RABB1C [Source:Projected from Arabidopsis thaliana (AT4G17170) UniProtKB/TrEMBL;Acc:A0A178V4V1] MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVSTEEGEQFAKEHGLIFMEASAKTAQNVEEAFINTAGTIYKKIQDGVFDVSNEASSYGIKVGYGGIPGPSGGRDGSTSQGGGCCN >cds-PLY80294.1 pep primary_assembly:Lsat_Salinas_v7:3:209178275:209180161:1 gene:gene-LSAT_3X123361 transcript:rna-gnl|WGS:NBSK|LSAT_3X123361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPMRGGSTALLRMRLPSTPTHKGTLLFSSSSSINTSFLLLLGLHNSMDNNQLSLNSNPKSLSTTPAKSDRIGKTIDNLDDDEFSFHQMIHKKPLPLLSEFNRFLVVIVKKKRYSTAISLINQMLFLRISVDAYMMNIAINCFSHLRRIDLGFAMLGCIVKYGHEPLVSTYNTLLKGLVLSDKAFEAEHLFKKLIKEKICEPNEVTYGTIMNGICKAGNTKIAIELLRFMEKRGCKPNKEVYTTIIDSFCKEKKMDDALKLLTEMIDKRVSPNVITYNSLIYGLCSVNRWEKAKEMIIEMDKRKINPDVFTFNTFIDAFCKEGMVEEAESVLHVMIERGEKPDVVTYSSLIDGYCLRGEMKKAMRVFNIMIDKKIKPNIITLNTLINGHCKRSNIDEAMKLFKEITHTNLEPTVSTYSTILYGLFKVGRCEDALKLFEDMQFIPLTPNFITYSVLLDGLCKNNRVNEALSFFRDIMKKGVNPNVAMYTVLIDGSCKNGKLDVALDLFSEMCSKGLQPNIVTYNAMNNGFCIEGMIDEAKELVIKMEKNGVFPNSSTYNILLQGIVKWRKPKDVLLHLKEMDAKGFSFDASSFYLLLHMIQTKKQDSNFVELIRKLAPQDILKYKFRLAK >cds-PLY96946.1 pep primary_assembly:Lsat_Salinas_v7:4:174485364:174487257:1 gene:gene-LSAT_4X102580 transcript:rna-gnl|WGS:NBSK|LSAT_4X102580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWLRGKVALGSFPDLAGAVNKISESVKNIEKNFDNALGLEDQPGGSTSAIDEKDESSTHPSSSKQKEGIDVKDSTNITEEHISPVSEEISQLEEHQHHPVETNIAIPEEVDNSGEKAIDTNTVICKQADGILGLPEEEENIAKKHIEIDTAASSIADNHLEAEANSQAKSSTNDAEDQSDSLEHVQDSESSEIGSTKNLGSIDQLTDSVDEVQTISPDLQKSDHELNVQNTVTTQEDDLVEGVDATYDGQSAIESEPHEMIKTVQKGQPHLLPKNASEKVPEVVPKDNNENAKVNTVNQLVGGDERDEKEHHTISGSRLSDNEDSIVEVETLKSEMKKMEDALLGAARQAQAKADEISKLMNENEQLKFIIEDQKKKSNEAGMESLREECHQRVSTLERKTSIIRNTV >cds-PLY85520.1 pep primary_assembly:Lsat_Salinas_v7:2:195463206:195463859:1 gene:gene-LSAT_2X117620 transcript:rna-gnl|WGS:NBSK|LSAT_2X117620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDQDALTSMANYLMILSRGTTSTESYDVNTVNRVFECKTCNRQFPSFQALGGHRASHKKPRLDGDMTGHHDAIVLGKPKAHKCSICGLEFAIGQALGGHMRRHRAATTTENHSPLPLDLSPAPMVKKVNLRRVFSLDLNLTPLENDSGFRVDDEKVTPITVDFFL >cds-PLY84813.1 pep primary_assembly:Lsat_Salinas_v7:8:24805052:24808354:1 gene:gene-LSAT_8X20201 transcript:rna-gnl|WGS:NBSK|LSAT_8X20201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGENFMDEMEEIDCGSFFDHIDDLIEFPPVNDTSLNSLDCNEFPNIWTNNSDDLQVSDPIFCGSNSDSASVLSAELAVPYEDIVQLEWLSNFVEDSFSGGGLTIPVAAHHQFQTTSPVSVLESSSSSSSSSSTSGGGNMIPFSPIQRGPQRARTKRPRPTTFNPRAMIELLSPLLLLAPVSSESENNFVSDQKKKKKVKRSKIQAGPPTENQNQIQSGQGVRKCLHCEITKTPQWRTGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPTLHSNSHKKVVEMRVKGGPNDVRESEEPTPVSSVSVPVAEPKLIGYMMPQAD >cds-PLY87775.1 pep primary_assembly:Lsat_Salinas_v7:1:46033619:46036313:1 gene:gene-LSAT_1X40281 transcript:rna-gnl|WGS:NBSK|LSAT_1X40281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMANLARRKAINLFNNTVSSDAARYSFALSSTFTRGFASGSDENDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGALGGTCLNVGCIPSKALLHSSHMYHEAKTSFAKHGVKFDNVEIDLPAMMGQKDKAISNLTKGIEGLFKKNKVTYVKGSGKFLSPSEISVDTIDGGNTIVKGKNIIIATGSDVKGLPGITIDEKRIVSSTGALALTEIPKKLIVIGAGYIGLEMGSVWGRLGSEVTVVEFAPDIVPSMDGEIRKQFQRILEKQKMKFMLKTKVVAVDTSTDIVKLTVEPSSGGEQTVLEADVVLVSAGRIPFTSGLGLETIGIETDKIGRIPVDKRFTTNVKGVFAIGDVIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDKVPGVCYTHPEVASVGKTEEQVKESGVAYRVGKFPMLANSRAKSIDDADGLVKIIAEKETDKVLGVHIMCSNAGELIHEAALALSYDASSEDIARTCHAHPTLSEAVKEAAMATYDKAIHI >cds-PLY95886.1 pep primary_assembly:Lsat_Salinas_v7:5:80176845:80177411:-1 gene:gene-LSAT_5X37800 transcript:rna-gnl|WGS:NBSK|LSAT_5X37800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPMSDKNPDRRFCNCLDSLVEMAAEKCKYFKWIDDELPPHYKNAFNNLKYELKLMKYTSYAARLERRVVLLENLNDEAIAAKEIVDGELVKYVEENKKLRGKLKFVRMKFRIAMMFLVLLAVVLMMQKAKVVG >cds-PLY91111.1 pep primary_assembly:Lsat_Salinas_v7:MU039484.1:683783:688853:-1 gene:gene-LSAT_0X6020 transcript:rna-gnl|WGS:NBSK|LSAT_0X6020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEISRDMNTSDDSNIGPDLFQYYIRGVSELLSGDDFSPSSSRLVGTEANKSIASNEKTSPAGLGSLFSNAVGDGLSGVEKARLMSMLRQSVVTLTKEVDEMLGPVFSMHRLRALMGPTKTCARFQDSNCEVVTENHAPKRLKGTPNEQKGMGISDKSCQNKECAKFSETDNNTQKSNTNESKSLCGDCLKQANASHEYMNESFLGSTSRDDKENGEVNDDLQVLLVNRGPKVVEKMEKHSAELSAMLGCMEDKLEELLDVIISSCRHMTLLEKHKLRKLIEKLPPKNLDRVAEIIQRGKPSEKRSCDNIDVDLQQEDNITLWRLYFYVKAVENAQKLC >cds-PLY79576.1 pep primary_assembly:Lsat_Salinas_v7:8:118444375:118445277:-1 gene:gene-LSAT_8X81880 transcript:rna-gnl|WGS:NBSK|LSAT_8X81880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEVKLYGVKESQFVCIVKIALNMKEIKYQIIEEDPSNKSPDLLKYNPVHKKVPVLLHNGNPIAESLVIVEYIDDVWKGAPILPQDFYERAVVRFWAKFVDDKCIPALRVVGSNSDEKVVAKACEQLQVLENELKLKGTKFFGGNNINLIDIAAVFIAYWLRIREEAAGIKFFTEDKFPKLTKWADDFVNCQVAKNTFPPREHMLSFYNKMFGKVN >cds-PLY77847.1 pep primary_assembly:Lsat_Salinas_v7:1:21746417:21747420:1 gene:gene-LSAT_1X18141 transcript:rna-gnl|WGS:NBSK|LSAT_1X18141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSSSPHEIENEYWTDEGICMSLLNFKTGKPLPSNVESEVNPYKYRPQDLPGDLWYFSSVVKTESEFGFWVETGEPCEIFSNSTICGFRTTLRFYEGKTPHERKTDWVMQEYKINVNDQKALSRIFFAVENSSDMECILTGDFFELNDLVDDPGSCSSSSANSSCLTMTSDEYFDSMALLQQLDDDENMKDSSIKFNLSATINSKLVICPTTTVPVKSEICEAKEEDMNKSTSTGVINTSIESSSSNSEGMFEEDKKESVSKKKRRKMMKYLCFLAF >cds-PLY68484.1 pep primary_assembly:Lsat_Salinas_v7:2:213448461:213449810:-1 gene:gene-LSAT_2X134460 transcript:rna-gnl|WGS:NBSK|LSAT_2X134460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSINSKHAHALLLLISINLAFFFFPVHSQSSPNISIGIDNEGLFVYVFSVAAVVQADIPASNVQTTSLQNPAGTDHRDSAATCSGLIPDIRACQSQGVKVFLSLDGSYSVSQDAQQFSDYIWNTFLGGQSSSRPFGDVVFDGINFNIEAGSGSGQFWADVATSLKVHSSSSQAQLQKKLYLSAAVPCLFPADAHLGVGLFDYVWVRFYNNRQCEYGANAVALLAXLSLDGSYSVSQDAQQFSDYIWNTFLGGQSSSRPFGDVVFDGINFNIEAGSGSGQFWADVATSLKVHSSSSQAQLQKKLYLSAAVPCLFPADAHLGVGLFDYVWVRFYNNRQCEYGANAVALLAAWNRWTAQVTSSRIFLGLPAAPAGAALSGYIPADLLTLTVLPFIKTSPKYAGVMLWNTFYDQQTGYSAAIKNSV >cds-PLY93558.1 pep primary_assembly:Lsat_Salinas_v7:2:176006616:176008853:1 gene:gene-LSAT_2X98701 transcript:rna-gnl|WGS:NBSK|LSAT_2X98701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHIYIRFSTAYVAPSSRVFGRGELVIDSSKIAKKYIRGGFLIDVIAALPLPQMLIWLIIPSLSGSTMANTKNVLRFIIIFQYLPRLYLIFPLTSEIVGATGVITETAWAGAAYNLMLYMLASHFLGASWYVLSIERQEACWRHACLLEDPHCQDKFFDCETFGDNKRQIWFNSSNVSTQCVPTSGFYQFGIYGEALTSNVTSALFFNKYFYCLWFGLKNLSSLGQNLLTSTYVGEIIFAIIIAIVGLVLFALLIGNMQTYLQSTTVRLEEWRVRRTDTEQWMRHRQLPPELRESVRRYDQYKWVATRGVDEESLLKDLPLDLRRDIKRHLCYDLVRRVPLFDQMDGRMLDAICERLKPALCTQGTCLVREGDPVDKMLFIIRGNLDSYTTNGGRSGFFNSCRIGPGDFCGEELLTWALDPRPSVVIPSSTRTVKAISEVEAFALIAEDLKFVASQYRRLHSKQLRHKFRFYSHQWRTWAACFVQAAWRRYKRRQNASELRARESFTSVDYESEVNSPAHVSQMVSPEPRLSGYRASTRRSGNLHSVSGTKSSSGSSLQKPTEPDFSVDEE >cds-PLY84199.1 pep primary_assembly:Lsat_Salinas_v7:9:118465211:118467821:1 gene:gene-LSAT_9X77021 transcript:rna-gnl|WGS:NBSK|LSAT_9X77021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLKGSWCFMAEVKISCGHLCTFIARQLHLHSSKFRRPTVTSDLSPHRPSLPSSPVVASEQQAPLLDCSEQGHPKAASLKTMPLPFPDLCARLFDVNSATGNFRSYSTHSSSVAGASSCRLPPLQITATPFLALDDDGDDTSHHASETFHEPPPSAASPSDASPSAASPFAASPSTASPSSYPNKRAKPSTHKAPSTSPSASSPDGTSVTANDLAFEMKKALQSLTKGYTIPQCLEKLEVLQLGPTDPLCFVAYHIFGRTMNMREMWMHLPDVPEILRGWLEMMGTSLGVLKDGKIVR >cds-PLY94467.1 pep primary_assembly:Lsat_Salinas_v7:4:143735747:143736731:-1 gene:gene-LSAT_4X89821 transcript:rna-gnl|WGS:NBSK|LSAT_4X89821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLKKIGRPWKKVQSDPNQASGSKFVRRKKKLGIKRGGGIAEDRVLVDEHDVFDGHVEARGCGEQMKDLFDKEDTNDHSVVDTMCTLEAAICQPKDNYDKGDGLQDAMDAILQSILHANNNKGVEDVEPDLTKTLDQTYLGNEVEDIPYVDGVMEGNEGDGLINDGVEENEGDGEGDEVVEVAGEGDGDDEGEGDGDGDGEADGDGAGEDDGEGNGDVEDEGEGDGEDDATDMEGNDADDEGHVPPRRKRKPSERIILQKLMKPFFNKDRRVPHLAIH >cds-PLY83548.1 pep primary_assembly:Lsat_Salinas_v7:1:65132240:65141387:-1 gene:gene-LSAT_1X55460 transcript:rna-gnl|WGS:NBSK|LSAT_1X55460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOPERIOD-INDEPENDENT EARLY FLOWERING 1 [Source:Projected from Arabidopsis thaliana (AT3G12810) UniProtKB/Swiss-Prot;Acc:Q7X9V2] MASKGPRSRLDHETRAKRQKALEPPKEPQRPRTHWDHLLEEMVWLSKDFESERKFKLGLAKRIAIRASKGMVDQATRGERRVKVLYKHRLELDQKKKKALDKQLEFLLGQTERYSTMLAENLVDSSPHQHVQLSSVQEQRPAIEYKDQSDVNGCAEPNVESQSNILETDEDYKAENEPEDDEHTLEEDEALITEDERREELTALQNEMDLPLEELLRRYTVNEESTPGKTEGEAELAHAPEDNLLCNGNDNSDLGLKESRHCAESNGGLSVLENHHPEVKEHYVRKRKGLKKQKKYLELDFNDENEDVDFVLANGEEKDDETTLLEEEELAKTENHDSVDELAMLQKDSEIPIEELLARYRQDPDTIQSDENDSGSEIEVPDQEEKPDEIADDDGENDDIIADAAAAARSAQPTGNTFLTTKVRTKYPFLLKFSLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKHKRQGWLKPNSFHVCITTYRLVIQDSKIFKRKKWRYLILDEAHLIKNWKSQRWQTLLNFNTKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPITGMVEGQEKVNKEVVDRLHNVLRPFILRRLKRDVEKQLPSKHEHVIYCRLSRRQRNLYEDFIASSETQATLQSSNFFGMISVIMQLRKVCNHPDLFEGRPIISSFDMNGIETQFCSSVCSVLESSPFSTVDLTGLGFVFTHLDFDMTSWETEEVESIATPSELIKSRVVEESMSRLEIKTGSTGFNIFEEIQKALMEERLKEMKARAKSVAWWNSLRARRKPMYSTGLREIVSVENSVSTLTGLHDIVFSPVDRFNQMADQVESFMFAIPAARAPPPVGWCSKSGTTVFVNQDYKTKCLTLLSPLLTPIRPAIVRRQVYFPDRRLIQFDCGKLQELAVLLRRLKSEGHRALIFTQMTKMLDVLEAFINIYGYTYMRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKAKQKRALDDLVIQSGEYNTEFFKKLDPLELFSGHGKNSGSGVAIPVPVPVSNADVEAALKHAEDEADYMALKKVEQEEAVDNQEFTEEAIGKLEDDELLNEEDGKFEELGTGQVEGNNREMVVCSDPNGDPGLTLVKGDDGDDDDMADDVKQMAVAAAASGQEILSFENQLRPIDRYAVRFLEMWDPIVDNVVIESKDRFEGEEWELDHIEKLKEDMEAEGDDDEEPLVYETWDTEFATKVYQEQVKALAEHQLMEEREMEAREKELEESDSLKNEPVVKKPKSKKKTKKTKFKSLKKEALSSETKPINIESPIEFDDDYVDDEIIFLKKRKKPLDESTSEVKSSSKKSKKPKKTPEPIPLDMDSNSLIEQQDEPRDLKPCVNNNLEIDHKPVIRGKTGLKISVTTMPVKRVMTIRLEKLKKGSIWPNDCVPTPDSWLSSEDAMLCAVVHEYGVNWSLASEILNGMTAGGFYRGIVRHPVHCCERYRELVQRHVLSISDNNVHNEKASNVGVGKALLRVTEEHAKALLDMVSELPDQAYTLQKHFFHLLTSVWRSTARSGHRKTPLSLRTGQRVNHTSRDPVRSQLERMEFTNLRQISRLVSDALESSQNIPREDRVSSFSERREVRPVGQLGITLEFPPGRDDQSVPLPSVVSLSINDMESVLPENLPVGGNHCFRSSKDTVECRFRDASRAAIDGGLGLPPSASPATDVKSRTPAKSQLSGKHHRNPELPSKSSKSKSRKAITDSNEAFSLLRDPNLQPSMPPVNLCSRFDTDTESSMDDFLSASSIDLGNEVSFDIVPHDYDPGFTWGLEDCLLSREFTDIG >cds-PLY66353.1 pep primary_assembly:Lsat_Salinas_v7:5:288395281:288397181:-1 gene:gene-LSAT_5X153660 transcript:rna-gnl|WGS:NBSK|LSAT_5X153660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDKYEKLEKVGEGTYGKVYKAKDMQSGQLVALKKTRLEMDEEGIPPTALREISLLQMLSNSIYIVRLIGVQHVHHKGKPLLYLVFEYLDTDLKKFIDSHRKGPSPSPLPSSQIQSFLFQLCKGVAHCHGHGVLHRDLKPQNLLVDKEKGILKIADLGLGRTFTVPLKSYTHEIVTLWYRAPEVLLGSTHYSTGVDMWSVGCIFAEMARRQALFPGDSEFQQLLHIFRLLGTPTEEQWPGVTSLKDWHVYPRWEPQNLARVVPSLEPDGIDLLSKMLKYDPADRISAKAAMDHPYFDSLDKSQF >cds-PLY85128.1 pep primary_assembly:Lsat_Salinas_v7:9:148497323:148499018:-1 gene:gene-LSAT_9X94980 transcript:rna-gnl|WGS:NBSK|LSAT_9X94980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SVR8 [Source:Projected from Arabidopsis thaliana (AT5G54600) UniProtKB/TrEMBL;Acc:A0A178U954] MVAMAALQSSFTALSLSSKSFLGQRLSPCPSSPLVKSTKAPCPPIQAKLKRWERIKCKPNSLPIVHKMHVKLGDTVKVIAGRDKGKIGEITKITKHNSTVVVKEINLKTKHVKSKEEGESGQIIKIEAPIHSSNVMLYSKEQNVASRVGHKILEDGKRVRFLVKTGEIIDSVEKWKKDIKEREKKTEAITVAS >cds-PLY97981.1 pep primary_assembly:Lsat_Salinas_v7:5:295471367:295472991:1 gene:gene-LSAT_5X158540 transcript:rna-gnl|WGS:NBSK|LSAT_5X158540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSIYKIERSILGFYLSQISVPSLTLEHQFIHPRQAIEEVNIFKDETVIQFLNPKVQASIAANTWVVSGSPQTKKLQDILPGILNQLGPDNLDNLRKLAEQFQKQAPGGAEAAAATSAQADDDDEVPELVAGETFEAAAEEGQKS >cds-PLY84918.1 pep primary_assembly:Lsat_Salinas_v7:6:15192737:15194259:-1 gene:gene-LSAT_6X11341 transcript:rna-gnl|WGS:NBSK|LSAT_6X11341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:GLB3 [Source:Projected from Arabidopsis thaliana (AT4G32690) UniProtKB/TrEMBL;Acc:A0A178V2G3] MQSLQQKASEWSGVDQEDAFSIDEVNLFEKLGLQTFINLSTDFYTRVYDDEEEWFRSIFADSKKEDAIQNQYEFFVQRMGGPPLYSQRKGHPALIGRHRPFPVTHKAAERWLHHMQQALDNTTDIDHDSKTRMLNFFRHTAYFLVAGNDLKSQSQGAPPCKNGTNKPAAE >cds-PLY69432.1 pep primary_assembly:Lsat_Salinas_v7:1:64273570:64275477:1 gene:gene-LSAT_1X54761 transcript:rna-gnl|WGS:NBSK|LSAT_1X54761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYGTTRLYVGRLSSRTRSRDLEYLFSKYGRVRDVDMKHDFAFVEFSDPRDADDARYSLNGRDLNGSRLLVEFAKGTPRGPGGSREYVGRGPPPGSGRCFNCGLDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLKRGRSYSRSPVRSRSPRRRRNRSPSYSRSPSYSRSRSPPQKKERVERRTKSPRYSRSRSRSRSPEPSKRRNRSPTPNEDRVSPSPERVNSEYSMSPQRGKSQSPGGSPSEANGRSRSPSPVGDVGQGEGEGSPVDEEGEGPVVEGSPSPGDGEEENREGSE >cds-PLY85250.1 pep primary_assembly:Lsat_Salinas_v7:MU041021.1:49464:51649:1 gene:gene-LSAT_0X30021 transcript:rna-gnl|WGS:NBSK|LSAT_0X30021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGHVAVKPQLPHSQSPATISDFGSKEETFFDSQAWMDSDYEDEFMSVNGDFTPSRGNTPVHHISTIETKHGHDNTGPGTGIGTEPAVMDHNEPVGPTREPSPTPSDKKMRLLDLFKESVREDDDHEHEDDNNKEIVEPQKRHGLKSKSERHLIGLGSCFSNLLYVRGSERQKNKSQTPSVIVG >cds-PLY76785.1 pep primary_assembly:Lsat_Salinas_v7:4:188437698:188438174:-1 gene:gene-LSAT_4X108580 transcript:rna-gnl|WGS:NBSK|LSAT_4X108580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWGTSSNGETFADVVSGRRGDSNFSSANVITLKHVPSMVGWNNSTLIGELINLQFLTELPKLLEADGNWTRNSGDLFYAGRMRVAMRFFILVGAENFLKTTSNWNRWFKWLKWGVSVDSNMNKNHRCSHISQSWRKLYYHHKHLSENITTRWRELEKP >cds-PLY81788.1 pep primary_assembly:Lsat_Salinas_v7:3:32071859:32073361:1 gene:gene-LSAT_3X21740 transcript:rna-gnl|WGS:NBSK|LSAT_3X21740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKIQHKMVAVNGINMHVAELGTGPTILFIHGFPELWYTWRHQILYLASHGYRAVAPDLRGYGDTTGAPVSDPTKFTTLHVVGDLVALINIVAASGEDKVFVVGHDWGAMIAWALCLYRPDKVKALVNMSVPFSPRNPKFKPIDGLRALYGNDYYIIRFQEPGEIEGEFAEWGTYRVLNDFFKYRKPAPLFLPKGIGFGTSHDDPIILPSWMSKEDLEYYSSKFEKTGFTGGLNYYRALNLNWELTGPWTGAQVKVPVKFIVGDLDLTYNSMGARDYIEKGGFKKDVPVLDDVTVLQGVGHFLHEEKPDEINKHIHQFLNSYICIKPDRLCSINENKWCIGSISNKIKETLRRYLLE >cds-PLY87828.1 pep primary_assembly:Lsat_Salinas_v7:2:66108940:66114363:1 gene:gene-LSAT_2X29601 transcript:rna-gnl|WGS:NBSK|LSAT_2X29601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAASSIGAANTSLVKDSKLQLKTFNGFRKNTSYSSTYSLLLDTRIHPFTSISSSTSPAVIRAVSTPVKQETAVETKRSKVEIFKEQSNFIRYPLNEELLTDAPNINEAATQLIKFHGSYQQYNRDERGAKSYSFMLRTKNPCGKVSNQLYLAMDDLADQFGIGTLRLTTRQTFQLHGVIKKDLKTVMSTIIKNMGSTLGACGDLNRNVLAPAAPFVRKDYLFAQQTADNIAALLTPQSGFYYDMWVDGEQFMTAEPPEVVKARNDNSHGTNFPDSAEPIYGTQFLPRKFKVAVTVPTDNSVDLLTNDIGVVVVTDDNGEPQGFNLYVGGGMGRTHRMESTFPRLAEPLGYVPKEDILYAVKAIVVTQRENGRRDDRRYSRMKYLIDSWGIDKFRSVVEEYYGKKFQPPKELPEWEFKSHLGWNEQGDGRLFCGLHVDSGRVKGIMKKTLREIIEKYNLNVRITPNQNIVLCDIRPSWKRPITVALAQGGLLPPTYVDPLNITAMACPALPLCPLAITEAERGIPDLLKRVRAVFEKVGLPYNESIVVRVTGCPNGCARPYMAELGLVGDGPNSYQLWLGGTPGQTALARTFMNKVKIQDLEKVFEPLFHSWKSKRKSKESFGDFTNRVGFEKLQEIVDKWEGVPKSSSRYYLKLFTDKETFEAVDALARVENKSAHQLAMEVIRNFAASQQNGKSQ >cds-PLY63518.1 pep primary_assembly:Lsat_Salinas_v7:9:25013552:25013737:-1 gene:gene-LSAT_9X22500 transcript:rna-gnl|WGS:NBSK|LSAT_9X22500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEACRVDAEWLKYPSLPSTIAYVLSPSITAHQPTLTIIFGDSTQRLPSATLTIIASVIVS >cds-PLY72734.1 pep primary_assembly:Lsat_Salinas_v7:4:374261141:374263236:-1 gene:gene-LSAT_4X184761 transcript:rna-gnl|WGS:NBSK|LSAT_4X184761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSWNSTEMEVLSSVLGWIAFCAWSISFYPQVILNFKRKSVIGLNFDFVVLNLTKHSSYLIYNASVFFSSAVQRQYRQKYGLDEMIPVAANDVAFSIHAVVLTAFTLFQIAIYDRGGQKVSKTSILILSVAWLTVIICMFIAIPSHSWLWLVSCFSTLQVVMTVIKYIPQAVMNFQRKSTVGFSIGNILLDLVGGLANYGQMTVQSIDQHSWVNFYGNIGKTLLSLVSIFFDILFILQHYVIYPAHKIVKSESPGMVSQEPLVTSNEDA >cds-PLY64484.1 pep primary_assembly:Lsat_Salinas_v7:3:15225723:15228780:-1 gene:gene-LSAT_3X10601 transcript:rna-gnl|WGS:NBSK|LSAT_3X10601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRSLTIRARLFAQQRFAPSFSYLHQEDDRKNTHSDEKVTSFLQSRSYGCFGNNSNTSLGFGGGGGGGGGGLSFRDPRWSQFLQVPMTTSGFLLTRNMSTTIGDGDDTEKIEYMTDMADVLANKTMEVASTQSPVLSEVAVAAADSWLPVAALQYAIDGIHNFTGLNWWASIVITTLVIRTLSVPIMINQLKATSKLTILRPELEQIKQEMQDRGMSPSAVAEGQEKMKKVFKEHGVSTFTPLKGLFIQGPVFVSFFLAIQNMVEKVPSFQHGGISWFLDLTTADTFYILPFLTAFSFWITVEFNMQEGLEGNPAAATMKNVSRGFAALTVPLTASFPKASSLPFALFCYWITSNLFSLVYGLIIKKPSVKKFLNIPIIIPPPPSPASQSKPAFSFFEGLKKYAAAQAMQQRQGETKPAITNQTTAPLLSQKPSSDEQKLTTQRVSSSSVLSHRIKNLEKEVKGRKKNKKR >cds-PLY87894.1 pep primary_assembly:Lsat_Salinas_v7:5:3672070:3673074:1 gene:gene-LSAT_5X1780 transcript:rna-gnl|WGS:NBSK|LSAT_5X1780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMSMSMANTLSSTAAPFKLSSCRRSLPLYSTSFLPLNLKTPPSLLSLTPHHHQIQIKSSHRRLIVAKSNDSDSVDIPDRLISAVCYFYPFFDGIQYGKYVITQFSFMETLIQPLVPAIRVFKSFPFNGFLVFLTLYFVVVRNPNFSRYVRFNTMQVIVLDVLLIFPDLLERTFNPKDGIGLDLLMSVDSTVFLFLLVSLIYGSSSCFLGQVPRLPIVADAADRQVM >cds-PLY73360.1 pep primary_assembly:Lsat_Salinas_v7:7:63552082:63554977:1 gene:gene-LSAT_7X47300 transcript:rna-gnl|WGS:NBSK|LSAT_7X47300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSVGSRTDVSFDTKTGNFTKYNVGISFFNPNLIAAFTLNDKGDTLTALQDYIVKPLTNTTSSTTCRSVVALDELVQGTATSNGQAIAYAFTFTFMCFLEHLVNKVQCRGLFSTNDHHLALEYQQTDKVSLCYMVCQVGYGDGGVEDIAPVGEVLPLGDKKMTRLLDILEMVFSSKDSAIIDEDIDRIIAKGEEATVNLMP >cds-PLY87493.1 pep primary_assembly:Lsat_Salinas_v7:9:160365800:160366562:1 gene:gene-LSAT_9X100801 transcript:rna-gnl|WGS:NBSK|LSAT_9X100801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAHLLLFGLLAAGCSLAFASDPSPLQDFCVADQNSRVFVNGLVCKESRLVQADDFFYRGLQLMGNTSNAVGSAVTPVTVEELPGLNTLGISMARIDFAPQGINPPHTHPRATEILTVMEGRILVGFVTSNPQNRLITKELQKGDVFVFPEGLIHFQKNVGNGYAVAIAALSSQNPGVITIANAIFGSNPDIAGDILAKAFQVDINLVYQIQSKF >cds-PLY93003.1 pep primary_assembly:Lsat_Salinas_v7:4:192998704:193002914:1 gene:gene-LSAT_4X110481 transcript:rna-gnl|WGS:NBSK|LSAT_4X110481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNVTLFLLLVFCVQSIRQMAQPNVTLIADLDVLKDDSTIKVRVINLWNLFSFYNNDKLFSIELILIDEQFGFSTAFYPGEINALKSLKLAFKISIKHFNVSKRNNQYSICRVSDDEKLIEELENKFTVSQIGTSQSFDIGEADFESQDNWILKDTISMTDDNITPKTVDKNSTTSPMKSLNTLTVLKRNLEEVFDLEMNEKLSSTKTPKISSERGINQIVKVKLEKSG >cds-PLY94507.1 pep primary_assembly:Lsat_Salinas_v7:2:156660590:156661545:-1 gene:gene-LSAT_2X81860 transcript:rna-gnl|WGS:NBSK|LSAT_2X81860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFRTCMITSQIVQIAKEFIHHPHRYHDRHTSDNRHCSMEESIHVDYQMEETTRRHNRERRPFSGNFVGAVIASLFSSFGLALYSVIIVHREDSIKFAFAYIVVVVSLFDEYTNDLLYLREGSCFPRSIYRENVKAYDRLERRNSIDIKNKRKAQSCEVNERILFRVGLIYVKDNEECVVKGKCKKLGIKLPAWSILQCLLASAKSESSGEGIVS >cds-PLY94709.1 pep primary_assembly:Lsat_Salinas_v7:2:85417011:85420048:-1 gene:gene-LSAT_2X38021 transcript:rna-gnl|WGS:NBSK|LSAT_2X38021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQKNSKPHGSSKRPGKHGSNNLKPKTKSSKKVKKTKPKAPLQPQPQKSQPQLPPPPELLTTASQQLDFFIQQYQSANGIQLSSLELESFTDACIVKLSESLPQDVSNLSEHMKASFGPSWKEVLCKKELKHSEPGSPALLTISLSALRSLELLRSLKPFTKECHAAKLFAKHLKIEEQVSCLKNHVNVGCGTPSRIKKLIDMEALGLSRLSVVVLDMQTDVKGYSLFSIPQIRDEFWELYTTHFHQRLLDGSLRICLYGTIDANKFKKKKGTTTEIPMSDKSS >cds-PLY82145.1 pep primary_assembly:Lsat_Salinas_v7:1:15789123:15790627:1 gene:gene-LSAT_1X14240 transcript:rna-gnl|WGS:NBSK|LSAT_1X14240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKDAKGGGKGKAKQAGGSEDGGAKGKAKGGKASDGLGTCTYVKARHILCEKQGKINEAYKKLQDGWLSNGDKVPPAEFAKIAAEYSECPSGKKGGDLGWFPRGKMAGPFQEVAFNTVVGATSPPFKST >cds-PLY90840.1 pep primary_assembly:Lsat_Salinas_v7:6:155046080:155047020:1 gene:gene-LSAT_6X92800 transcript:rna-gnl|WGS:NBSK|LSAT_6X92800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVIINKLQFVGQMICALHLYMSSAFLLRMGWIITKERKMAKANRSTFGKFARLVGLMKANSSRVRSGKESSC >cds-PLY89822.1 pep primary_assembly:Lsat_Salinas_v7:4:323500551:323500872:-1 gene:gene-LSAT_4X161261 transcript:rna-gnl|WGS:NBSK|LSAT_4X161261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKHLKQTVVVRPSLLGSLSPYQQFLHTKTNVMKNFDGKIINKEIKGIQILQVKPLVEWDSTPPTTPHTQTLFRLLQHLPN >cds-PLY79211.1 pep primary_assembly:Lsat_Salinas_v7:4:235123901:235128250:1 gene:gene-LSAT_4X127280 transcript:rna-gnl|WGS:NBSK|LSAT_4X127280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNSVSDQAFFIESEDYEEDKDSTRDEDDRNDSEFSNYSDDNPQHQIKPSSLNPSWPQSYRKSIDLYRSVPSSRLNFMGTPNLSRLGSSFLSSTLTSRHAAEILPSLSKPFLPPSTDNQHPQERHRVNVLCGVGLPSTPFAVKEGGWVGLSLLFIFGDLSLYTAGGVITSILASIRLFWVGFVDDVGFRIETTKTLNLSTFPVAIGIYGYCFSGHAVFPNIYTSIVKRCQFPMVLLARPYFKLFIDWLLDLSTLDPVFEGANFQVLTALATSFHAMQRLKVPTFRLVS >cds-PLY96709.1 pep primary_assembly:Lsat_Salinas_v7:6:77701735:77704317:1 gene:gene-LSAT_6X55581 transcript:rna-gnl|WGS:NBSK|LSAT_6X55581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALTTSLSILSFLFILNSIPIPSCSCPIHQKQALLRFKSTITTIFDSNSDPNSDEYVPFEELDSWSPKSDCCSWDRVNCTRTRNKTRIVTELHLDAVVPLFVDLVPVFSDILNPLFEIRSLKLLDISMNSLVGEIPGDGFGNLTGLVHLDMMQNSFNGSIPAQLFRLTNLRYLDMSTNYLEGGLGPELVSLRNLTTLMLSVNRFQGMIPPSLFKLESLRFLDLSNNSLTGGLSSEIGNLRNLESLKLNENFLTGSIPEEIGNLTKLRELWIGKNQLSGGIPSSVENLKDLESLDLSGNSFSLQIPSGIGRLPNMTTLDLSKNQFIGPIPPSMQNLRKLETLQLKNNMLAGEIPTWLFNIRSLKKLFIGGKGNRLIWNNKTKIVPKCKLEQISMSSCGFSGEIPEWISSQKDLNLLDLSQNQLEGEFPDWLAKMDIGSIILSDNKLTGSLPPRLFESLSLSILALSRNNFSGELPENIGNARAIMLLMLSGNNFSGQIPMSISNIYRLLLLDLSRNRFSGDKFPVFGDNPLLAYIDLSYNEFSGEIPVTFSTETQILSLGGNRFSGNLPRNLTNLVNLEHLDLHDNDITGNFHEVLPQIPNLQVLSLRNNSLEGFIPRTISNLTSLRILDVSGNNLAGSIPPEIGNLARMINTPDLSPTYDIFNFLIEFQDLILNWKNSFRGLSSRSLDIYSFLDLSENRISGEIPASLGNLKGLKLLNISHNDISGNIPVTFGNLKGIESLDLSHNKISGSIPQSLGKLDQLTILDVSNNRLTGRIPVGGQMNTMNELKYFANNSGLCGMQIRIICPEDVTSSKGEDEEDKKDSWIMWEGTWIGFPVGFFSSILIMGYSLNFLHLFKFW >cds-PLY76632.1 pep primary_assembly:Lsat_Salinas_v7:4:117538980:117540670:1 gene:gene-LSAT_4X74020 transcript:rna-gnl|WGS:NBSK|LSAT_4X74020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYDSIANASIPTAASNSKDFGKKKRVNRTAKLKQSKLDVRREQWLSQVKNRGFKEETKATRVTKMAAPETHAATEREHSIVKLEISVGGEENESLMNNYSDSESPSNSPTSHTSSVSESNISVTNFTGSSSRSSCGSSSSSGDCCSGSMTDEEDDEDDGCLDDWEAIADALAAADDIQKSPVDEHPLTPPEPEQPVLTPAGSTNFRAWRPDDVSRPQSLPNLVKQNSFPMKSGSSAFPSSCPICCEDLDMTDSSFLPCPCGYRLCLFCHKRILEDNGRCPGCRKQYESHGNAASSKLGRCHSMNPSCH >cds-PLY98429.1 pep primary_assembly:Lsat_Salinas_v7:7:89306910:89307260:1 gene:gene-LSAT_7X61501 transcript:rna-gnl|WGS:NBSK|LSAT_7X61501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDENQSNGVTNEDMAINLLKTQMELSLIRVGFQDQLRELRQAVNRHLDAMNLEVDDVRVGQMNISIMVADLKNHVVSLQGAYVKMVFKNNERKKLMSCVGIFGVVCASVVTYLVFK >cds-PLY67238.1 pep primary_assembly:Lsat_Salinas_v7:6:136465532:136466058:-1 gene:gene-LSAT_6X82141 transcript:rna-gnl|WGS:NBSK|LSAT_6X82141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGDEGCRRYSIDLLEELGFPKGVLPLKDFVECGRVRQTGFVWIKQKGPYEHFFEETNTHANYATEISAYIEKCKMTKVTGIKIKKMMMWVPIVEMSMENEKSSKIYFKTSVGVGKSFPVTAFMINEEKKKYPKQSK >cds-PLY92185.1 pep primary_assembly:Lsat_Salinas_v7:6:74734752:74734931:1 gene:gene-LSAT_6X53740 transcript:rna-gnl|WGS:NBSK|LSAT_6X53740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRLKVYDRNLDSSGGYFGNGVLWVKEDGRDFPDSGIDEGFDAGFCLVESFELRLSIVA >cds-PLY86161.1 pep primary_assembly:Lsat_Salinas_v7:6:156351518:156353125:-1 gene:gene-LSAT_6X94181 transcript:rna-gnl|WGS:NBSK|LSAT_6X94181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSATAGEKPVRKFPPPCWTRDEALVLIEAYRERWYALHRAFLRTPDWDAVAEKVTTSCPDVTPPKTSAQCRHKMEKLRQRHRAEKQRASAFSGERFFSSWFYFEAMEAMENGYDTEPGNLQIDSEIHNPKSLNPGRGLRFKPSAVQNLVTLATSSTKHNMKSSPNFGPKVSNRYSLYPNNGSNQEDEDEDGGLVETPIEETIYKGHKFLVETPIREAKNHKNSAIGGGTRNKPPITPQPESGRSGLRPRKFSKVVVHDDEDANNGGMWVKVPRNTNMFRGRSQNANGKESNKGKKNKGGLSEVVSSIKLLGDGFLKMEKMKIDMAREMEKMRMESEMKRNELILESQKQIVDAFVKGVIESRKQQPTTMAHL >cds-PLY85668.1 pep primary_assembly:Lsat_Salinas_v7:7:158187963:158189803:-1 gene:gene-LSAT_7X93120 transcript:rna-gnl|WGS:NBSK|LSAT_7X93120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEVITIADAAYRASKATETAATPSPSAQALSYSVPLLSAFLAFAIAQFLKVITTWYKERKWDPKKIVGSGGMPSSHSSTVVALAVSIGLHEGIESSTFAIAVVLAFIVMYDASGVRLHAGRQAEVLNQIVCEFPPEHPLSTSRPLRDSLGHTPLQVVAGAVLGVMVAFVMKTSD >cds-PLY65474.1 pep primary_assembly:Lsat_Salinas_v7:8:32213859:32214901:1 gene:gene-LSAT_8X25320 transcript:rna-gnl|WGS:NBSK|LSAT_8X25320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMDVPWPMHFVVFADPSVADRVTKEKHNIDGRMVEAKKAVPRDDKLTMSRNNNISSIKSSPGQTRKIFVGGLASTVTENDFKMYFEQFGKVTDAVVMYDHNTKRPRGFGFITYDTQDAVNNVLSKTFHQLNGKTVEVKRAVPKELSPGPTPTRAILSPYPYGLNRTNSVLNGPIGGYNVGMDSRFGLNSGYGIGMELNFEPNTLPYGRGLSPYYGDSSRFRSPVSYDGGNGNNISFFSSNPGNLWANGGVDPIRSLVSPQHGGNGGGFGRNTSGGGYDPPFSDVYGGGGGGSRDLTWGLEGDGIGLFGGFGYASFS >cds-PLY66033.1 pep primary_assembly:Lsat_Salinas_v7:MU045234.1:2726:4434:-1 gene:gene-LSAT_0X43201 transcript:rna-gnl|WGS:NBSK|LSAT_0X43201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTMKRRSIPRMMAFAQEELWENASERITWHENMEGEQAIQNQVHELVTVGNLDAAVNLLLSTPPESFFFYPNALRVVALSPVVSRSLSELAVKVVAANMVRTGRSLSGTHLLCVVGRYQEAFSQESEPEIVHGVGSEARHVIRTTTHPVFFLTLSCRNRLHHLFPYSLGKLGETCDFFLRYLIANFIATSLTCYGCYLNFEVFSAFLTILPLLCVLLSCCVCWSQIFSHAFGAVWSLLPPFGAVWSILGGCNDQSKQVWSNEFSRISIFHGFQLQSVI >cds-PLY92266.1 pep primary_assembly:Lsat_Salinas_v7:2:209953197:209954747:1 gene:gene-LSAT_2X130220 transcript:rna-gnl|WGS:NBSK|LSAT_2X130220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDRKKDGGNAKKSKKTESNWPLIKPKSNLRVTRLKDYDLFTVQNFLTAAESKAFVKTAEAIGFVHQGSLGPTKGEAYRDNDRIAVNDPVLADTLWESGLSKHFSDITIRGKAAVGLNPNIRLYRYKSGQRFGRHIDESVDLGEGKRTHYTLLIYLSGDIESKLKSDVNNSQSQSHEVSEPLVGGETVFYGPRNSLVAEVSPTEGMALFHIHGARCMLHEARNVTKGVKYVLRSDVVFA >cds-PLY94236.1 pep primary_assembly:Lsat_Salinas_v7:8:229670490:229671422:-1 gene:gene-LSAT_8X140160 transcript:rna-gnl|WGS:NBSK|LSAT_8X140160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDQDIEQRLAGMPVYALSNSSEEFVVVSGQNPVKSLGLFCFKEEDAETLLGQMKSMDPRMRPGSKVVLVALSMVFQRKVNGVSTVAVSSARNPEIDILLVLANSATCFNGSQFRPLIPLLEAMPKELGASLHDMLNLITGMATQQRDTGLSEAIVAMFSDLPVSFK >cds-PLY94323.1 pep primary_assembly:Lsat_Salinas_v7:7:165670524:165673461:1 gene:gene-LSAT_7X97721 transcript:rna-gnl|WGS:NBSK|LSAT_7X97721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASYMNHFSLLQAISLLYVLFTLTCTGSSPSHDEECSALFQFKQSLIHQDDALCNARWFQTFQSWKPTSNASDAGFDCCSWYGVECRNDHENGHVIGLDLSECSLCGHINSTSTLFSLVHLQSLNLAMNNFVESQIPSEIAHLKQLRSLNLSYSGFSGQIPNEISQLMQLSSLDLSMNLLKLQTPSGFENLLQNLTGLKELDLSWVDISSSVPHFLANFSSLRSIGLYNCLLQNEFPKAILEMPKLEILDVASNTNLIGSIPEFHNSSLLKLGLSDCSFSGTIPGSLSNLTQLNFLSLAGNKFTGFVPSLASLLKLNVLNLSGNKFKKGRLPNWLAKLTKLNELHLIGMNIKGEIPPFLANLTELGVVVMGRNFLTGHIPSSFFNLTKLTYIQLQENQLQGPISSSFSKFKSLQFVSLFSNNLEGRVDIDMFLHLNKLDTLRLGDNKISLFLPNNYTNNTLPQLIELNLESCNLKEFPSFLRFQDKLIDLRLNDNKIDGLVPVWIWNNSKETLQKIVLSYNSITGFHQHPRFLPWRRLQLFFISHNQVQGQLPIPPQTTVVYAAENNNLNGEIPPMICELKSLQSLDVFSNNISGTLPPCLGSLSNSLSFLDLSRNNFHGKIMNSFKHGCMLKSFDVSENRFTGRLPRSLTNCTNLKVLSLGANSFDDVFPFWMGTLPELQVLDLRSNKLYGPIQGSTAVSTHFSKLRIIDLSNNGFSGQLDQKYFQTWNAMKSVGKSSVMEVNDKSSGFIWDYTIRVIHKTVNTQYEHILTIDMAIDLSCNHFEGEIPVSLQDLQGLQSLNLSNNHFTGSILPSLESLKNVEALDLSHNKLSGEIPQQLVQLNFLSIFNVSFNNLEGRIPQGKQFNTFDKSSYMGNSRLCGHPLSEECQISKASRLPPPTNLSGSLFPSERIDWIIIFCGVGSGLVVGIFIGNFLYGMYSDRFTKRKDRWVRPLRNTRRNQGTIILYITLQL >cds-PLY71428.1 pep primary_assembly:Lsat_Salinas_v7:8:42480731:42483815:-1 gene:gene-LSAT_8X33440 transcript:rna-gnl|WGS:NBSK|LSAT_8X33440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLRFKFVSSLAQLSSYDVSDSSSDENNTSLVCRPLDKLDKFEVIETLHKLNREPNIGLSFITQLKEFGFKHDVVSYMAIVRFLCHWGMDIRLYSLFMDVIDNNNGELLSFEISDLCDALIEEIEMEGLEKLIKAIDVLVKVYASVGRFEDAIDTLYKIKRGRLVLSTRTCNYLMNQLIEWDKLDMVESVYRQLKMKGLVPNVYTYGILIKGLCRKGCLEEANDVFRQMGEAGVEPNAFTFGTYIDGLCSKGKTDHAFQKLKSFRDSNLPVNLFAYTSVIRGFIKESKLEDVENVLLDMLHTEVFPDADCYCVLIQGYCQKGDILRALDLYEEMESRGIKTNCVIVSSIMQCLCGLGKLVESVAWFFDIMKSGVFLDEISYNIAIDALCKLKKMDEAMKLFDDMKGKNMKPDVVHYTTLIKGYYLNEEPWNAYEIFGEMKSNGLKPDFITFDVLASGLSRFGSFEDTIDLLHDMQTQGLEPSSITHNVIIEGLCKGEKTKEAEVYFNTLHPKNLDNYAAMMNGYCEANNTTDAFEILFSERRLFAKRASCLKLLSCLCAEGETKKAMKLYKEFEASDNGPCKTMYSEIIYLLCRVEDMRTARVIFDKMIQKGFTPDVVTYTMMLYGYCRVKWLNEAHNLFLDMKNRGIKPDIYTYTVLLHGARTKEDVKDLTDELKENGLSFDVNCYTVVINKHCQLNNLHEAVLLFKEMKDKGVEPNTVTYTVFARGLCHQGYRNHAVALVDEMISKGIQLNKSTIRALEVVIKKV >cds-PLY93682.1 pep primary_assembly:Lsat_Salinas_v7:2:200796686:200798525:-1 gene:gene-LSAT_2X121501 transcript:rna-gnl|WGS:NBSK|LSAT_2X121501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLQDIEDTKIKVTLWGHNAYYMHDFLVNNNSFAPIVVIVQFAKVKFINGRPFTSTYLDVSRFSVNNDIDEITVYKKGYLFPRDQSCQGENVTPCARDNSTATSPIKFISTPTELKRNLATCIDFDEMENFSTSNSARLSPLDKQPQPLLVPKKVK >cds-PLY63622.1 pep primary_assembly:Lsat_Salinas_v7:4:133860089:133862588:-1 gene:gene-LSAT_4X83721 transcript:rna-gnl|WGS:NBSK|LSAT_4X83721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVTLQKKVYMSILRRELPKLLALSSGTCSHQSLENIVIQLRKAGSHPHLFAGIEPEPYEEGEHLVQASGKLVVLDQLLQKLHTSGHRVLLLAQMTHTLDVLQDYMELRKYPYERLDVSIRAEERFAAIQSFSRKSGIGNSNFEADSDSAFVFMISSRAGGVGLNLVAADTIRDEGTKWSFPIHSDADEEDFGDEDDEEVNKYNNPFIYRSLLKGYLLLDDLSAN >cds-PLY94305.1 pep primary_assembly:Lsat_Salinas_v7:7:165894445:165895180:1 gene:gene-LSAT_7X98301 transcript:rna-gnl|WGS:NBSK|LSAT_7X98301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLCEKCGYHLKMSSSDRIELLIDRGAWEPMDEDMVSLDPVKFHSEEEPYKNRIDSYQRNVGLIEVVQTGRWKINGITVAIRYGIHSRGENYPVIEYATKDFLPLIIVCASRGEHMQEGCLSLMQMAKISFALYDYQSNKKLFHVPVLTSPTIGGVTTSFGMLGDINIAKHNAYIAFVGKRVIEQTLNKTIPDGSQAAVYLFQKVLFDLIVPRNPLKSVQSELFQLHTFFPLNHN >cds-PLY72829.1 pep primary_assembly:Lsat_Salinas_v7:6:22447789:22450800:-1 gene:gene-LSAT_6X17501 transcript:rna-gnl|WGS:NBSK|LSAT_6X17501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSRKWMILMATIWIQAFTGTNFDFSAYSSELKKVLDISQMELNYLATASDLGKAFGWSSGLALIYLPIWMVMFIAAFMGLFGYGVQWLLIRRIITLPYFPVFLLCLLAGCSITWFNTFCFVLCTQNFPTNRPLAISLTVSFNGVSAALYNLAAKAIDPTSYSLYLLLNAFIPLFTSFAALIPILRQPPASSNPISTETLRHDRIIFIILFIFAVITGIYLVAIPPNPAKLFFAGAICLLVLPLGVPGMVYARSWFTQNVTPRVIKRTSFMLDDDDDDLGLRKKLINGGHDDDDDGDGNGCWEMVIMSDRLAFLEEEHDMKRLLFRIDFWLYYFAYFCGGTIGLVYSNNLGQIAQSLGLASTTSTLITLYSSFSFFGRLLSAVPDFLKAKLYVARTGWLAIALVPTPLAFLMLSLTDAKIALQIGTCLIGLSSGFIFSSAVSITSELFGPKSVGVNHNILITNIPIGSLFYGLVSAFVYDGNALLKTASVCMGRDCYFGTFVLWGCVSVLGLVLSVLLFLRTRHAYARLERNQISLVS >cds-PLY62941.1 pep primary_assembly:Lsat_Salinas_v7:2:212605493:212606831:1 gene:gene-LSAT_2X131801 transcript:rna-gnl|WGS:NBSK|LSAT_2X131801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVELLETLKESITAYTGLSPTTFFTVVALGLAIYYVFSVMFGGSSDHHVQPRSSSFEESEPLPPPVQLGEVTEEELKAYDGNDPKKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFDEKDLTGDISGLGMFEMDALRDWEYKFMSKYAKVGTIKTPEPAAPEPESSTSESEATPTEPAESSAAQPTDTVVAADHHNKVTEDAPSENVSTVTSEANKEE >cds-PLY97037.1 pep primary_assembly:Lsat_Salinas_v7:2:70149376:70156739:-1 gene:gene-LSAT_2X32180 transcript:rna-gnl|WGS:NBSK|LSAT_2X32180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKVGRDFSYTMQSLAVPPVSADVMFASTRFPNYKIGANDKIVYGNEDQKLVSMKEVIAKETAQLLEQQKRLSVRDLASKFEKGLAAAAKLSDEAKLRDVTCLEKHVLLKKLRDALESLRGRVVGKNMDDVEDAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASEDAKKLVDEERSLARGEIENARAAVQRVEEALQEQEKNSKASGTQDLEELMKEVQEARRIKMLHQPSKVMDMEHELQALRIQLAEKSKRSIELQKELAISRRVEESTSYLFELNGTPALGSYLQIQPSSKKTSQLSQSSIQWYRFTSENGNREIISGATKSIYAPEPSDVGRILQADVISDGQTITLTTSDPIEPAAGLGNYVEALVRRHDTEFNVVIIQMNGVDHPSESIHVLHVGKMRMKLCKGKTTVAKEYYSPSMQLCGVRGGGNAAAQASFWQPKIGLSFVLGFESERERNAAIMLARRFAFDCNINLAGPDDTAAQKP >cds-PLY69859.1 pep primary_assembly:Lsat_Salinas_v7:6:4047463:4049823:1 gene:gene-LSAT_6X3341 transcript:rna-gnl|WGS:NBSK|LSAT_6X3341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRIPPFPIPWRNIIIDPKVLYNSDEFWQHLVSCENSTAESLSSLWITLYKFDHQNRYSKPMPWIGIYIALASLFCILAMVADLLHGFRNRKLWFPCKYFSLNAASLTVIAVAVKLPMDLTNLMPGYVDQAAKLGSLGFMCTMMANILPSLATMDSKELVTNIIALGVLVITLVVNVCIQINTGSLTYHVDETGSFLAFDNAFVTAQGFIPIAYVGVLLMLLMIYACSSLAILKSKQILESKYQAAHQEMEEPQRLTVEKLKQHVRNYWIMAGTGSPQFMTACSATTSASGVICALSTVLHIHILLSNIRSLRDYKSDYKWSMVVILIIQIIGTILGTIAPLARCFATLSYKLSIKWIWNHIKVSKVESYWTQKLSEWKQSNIPFPSSSRKCKIFIQNLKILILSSCIEFQKAVVVACKMIAVIPILSVICTLYCFHRWKWVRAMFSDSGTIVEMVIPDPPQLGKDKDLSRYVLQLQDDMEFAGRTLKSISKSLNCLIRKAKKQQPNNLMKLLTGSTSFPGVEKFDCVPALLSEEYLGCWSLPLVILTTIAMSLPNIQNNMLDCLLSSVSEGLVYVTLVEESLRSVTSDQVSIQTEAKTLWLEIEVYHKWLGNKLPKRAPQGCTSTAGQILQLLMDTAKNMVTDQVESMDIGNRNDNSKCNYISAKSMYSITETILLSYHADINQVSQEELFEKLSSMITNILASCLINLPQVIVMKCHTSAIEKREASVHAATQLLGETMRIINTLQDRQLQRLNLDKLPYIEKWPCLFKASFFFKNHSILQVTT >cds-PLY97038.1 pep primary_assembly:Lsat_Salinas_v7:MU038333.1:1791:2306:-1 gene:gene-LSAT_0X45920 transcript:rna-gnl|WGS:NBSK|LSAT_0X45920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWGGHETCGVGVVVGANFAAGFVAGVVAGASTCPLDVAKTRRQIEKDRVRALRMTTRQTLLEIWRDGGMKGLFTGVGPRVGRTGPSVGIVVSFYEVVKYALHTYTTQD >cds-PLY67355.1 pep primary_assembly:Lsat_Salinas_v7:3:154038586:154040508:-1 gene:gene-LSAT_3X97861 transcript:rna-gnl|WGS:NBSK|LSAT_3X97861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSRFGNLLKQSGSNHVNMGLSSSNSPLFQSIRSMSSAKLFVGGLAYATDEMGLKEAFQQYGEVIDVRVITDRDSGRSRGFGFVSYTSADAANSALQDMDGKELDGRRIRVSVAQERPRPSFGGGYGGPGGGGYGAGGGGGYGGAGGYMGGGYGGGNSNIGGYGGGNQNAGGRNDLFSGVLGGGGGDAGVSPGGNEGGGDENMNHFGEDDVQENDDDYANTCNK >cds-PLY87323.1 pep primary_assembly:Lsat_Salinas_v7:3:112332170:112339247:-1 gene:gene-LSAT_3X80500 transcript:rna-gnl|WGS:NBSK|LSAT_3X80500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEKYLQLFVDETSLTNQLVLGALLPEKIWTPLPHFFQTWLRNYTAGTLVYFITSFLWSFYVYFYKYNVYVPKDAIPSRKAMGLQIYVAMKAMPWYCLLPTISEYMVENGWTRCFSRISDVGWFSYVLNLGFYLILVEFGIYWMHRELHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPIDGILQAVPHVIALFLVPTHFTTHIGLLFIEAIWTANIHDCVDGKIWPVMGAGYHTIHHTTYRHNYGHYTVWMDWMFGTLRDPLEDEETKKM >cds-PLY88716.1 pep primary_assembly:Lsat_Salinas_v7:7:193574737:193581413:-1 gene:gene-LSAT_7X113821 transcript:rna-gnl|WGS:NBSK|LSAT_7X113821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAAIPNIIGWLCIPFSQDTSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNMRGGLGSVNQLSVTIGIMLSYLLGLFVNWRILAILGTLPCLILIPVLFFILEYPRWLEDLK >cds-PLY80090.1 pep primary_assembly:Lsat_Salinas_v7:5:146321978:146322561:1 gene:gene-LSAT_5X64020 transcript:rna-gnl|WGS:NBSK|LSAT_5X64020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLLPPFFPLFFLAYISYIFYQKRRFKLPPGPRPWPIVGNLYDVKPVRFRCYAEWAQQYGPIISVWFGSTLNVVVSNSELAKEVLKEKDQQMADRHRSRSAAKFSREGQDLIWADYGPHYVKVRKVCTLELFSRKRLEALRPIREDEVTAMVESIFKDCTHPD >cds-PLY97211.1 pep primary_assembly:Lsat_Salinas_v7:4:95510691:95511894:-1 gene:gene-LSAT_4X62400 transcript:rna-gnl|WGS:NBSK|LSAT_4X62400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVYNLMVNLSIALASLIYSHSVGMFIAKGATRFVALFPVIFIFLCLPLNLQTVFFAGPTSFFMTWLGSFKIILYAFGQGPLSSHPPLSLSHFIANACLPIKILKDQESPSHQTTKKSHIDYVPRVLLFIFVIKAYGYKDFLHPLLITACLAYYFVFMLIQFLAALAFLVRTLLGVELEPQFDEPHHSTSIQNFWGKRWNLMVSDTLRPTVYHPARAIFRHLVPERWVSVPAVFITFLVSGLMHELIFYHLGQYTPTWEVTWFFIIHGICVGMEIVMKKTTGRRFEQPPVVTWLLTLTFITITGLWLFFPPFLRLDPCARICRETMAFIGFVKTGHLLDPNEYACRFI >cds-PLY75792.1 pep primary_assembly:Lsat_Salinas_v7:3:69483000:69486083:1 gene:gene-LSAT_3X53840 transcript:rna-gnl|WGS:NBSK|LSAT_3X53840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSAMGVLVLILLSLCCLISGKPAEFSEISQLLELKNAIVDHHNMLSTWKSSNPNHCSWFGITCNSHGRVSELRIPGGNFVHSGSCLSSKYSDLALHGSGIVRDCSSLKKGRFLGGKLSNVIGKFSELRVLSFPFNEFRGELPNGVWGLKKLEVIDIEGNSITANLSTINFNNLKNLQVFNLGFNKLFGEIPKSLSECEALSFLNLAGNQIEGYVPDFLGNFVKLKGINLSSNQFMGSLPDEFWNNCHVLEHVDFSGNFLTGKIPKSFGNCSNLRTMLLFSNGFSGVLPFELTNLQMLEVLDVSRNRISGILDEKLPVRCMRVFDISRNLMFGSIPDFINPCHLNISRHSDPVAKYLSHLTHKTRVETRLPFSRFTPAMIHDFSDNNFTGPIPLLPMISRTEKKIDYAFLAGGNKLSSGLFRENPFKNCHNLNRLFINVSNNIISDRIPSNVGVNWECLKFLDASKNQIAGEIPKSLVNLRNLTALLLNNNKLSGEIPSGFLKIKFNFSFNNLSGSITMDRNSINCKNFIGNPLLHRCQLISLSSVPPQEPLALGLESLNSTVSSNSNQGKKGTNYFELILIIVPSVIIIILVALVLIYLYQKKKKPTSEVAGISLPPLRSPPPPPRSPHHCQQPVVVFKEIGVQLTLDKVVEATGNFTSRNCIGSGGFGSTYRAEISPGTIVAVKRLTVEMCQGVPQFNAEIRSLGRIRHPNLVTLIGYYASPSEMFLIYNYLPGGNLEKFIRERPAHALGWNVIHKIALGIANALSFLHDQCKPRILHRDVKPSNILLDEEFNAYLSDFGLARLLDDFETHVTTGVAGTFGYVAPEYALTCRASEKADVYSYGVMLLELISDKRALDSSFSSQENGYTIVSWAWMLRREGRPEEVFTTGLWEAGPEDVLLELLHLGLVCTGDSVTGRPTMRQVVRKLKQIQPV >cds-PLY65369.1 pep primary_assembly:Lsat_Salinas_v7:6:40967876:40972295:1 gene:gene-LSAT_6X30041 transcript:rna-gnl|WGS:NBSK|LSAT_6X30041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRTKRLCTEVVSNDMDVAIHGDMMIEEEPSILEAQTLSAVEELKLAISSKGKDTVQKKVNALRELRRLLSRSEFPFVEIALKSGAIPLLSQCLSFGSQDEQLLEAAWCLTNIAAGKPEETKALVPTLPLLIAHLGDKSSLCVAEQCAWALGNVAGESEELRDLLLSQGALIPLAKMIFPDKGTTVRTASWALSNLIKGPHPEAATEFIKIDGVVDAILRHLKKSDVELATEVAWVVVYLSAISDVAINVLMNTEIVQVLVDRLASSNTLQSLIPLLRSLGNLMAGDAYTTNILLDPGHETTGHIIRSLSKCLKSEHRFLKKEATWVLSNIAAGSVAHKQLICKSEAVSLLLELLVTSPFDIKKEVAYVLGNLCVAPNDDNDNDNGSGIPFLLLDHLISFVRNGCLCGFIDLVKSSDLEAARLGLHFIELVLRGMPNGEGQKLVERADGIDAMERFQFHENDELRVMANLLVDSYFGEMYGVDE >cds-PLY90488.1 pep primary_assembly:Lsat_Salinas_v7:1:205948085:205949448:1 gene:gene-LSAT_1X126041 transcript:rna-gnl|WGS:NBSK|LSAT_1X126041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANEFFWSYSDEPHASRRRQILARYPQIRQLFGPDPWALLKVILVVSLQLCTAIYLHDVGWTKILTVAYFFGSFLNHNLFLAIHELSHNLAFSNPIYNRFLGIFANLPIGVPMSVTFQKYHLEHHRYQGVDGVDTDIPTLIEARLVKNIVTKCIWVLLQLFFYALRPLLVNPKRPGKWEFLNVIIQIGLDACMICFSGWRSFSYMILSTFVGGGMHPMAGHFISEHYVFDKKEGQETYSYYGPLNIMSWHVGYHNEHHDFPRIPGCRLHKVREIAPEYYDNLDSYRSWSHVIYSYIADNSLGPFSRIKRNLSTKNK >cds-PLY99262.1 pep primary_assembly:Lsat_Salinas_v7:6:184374922:184376072:-1 gene:gene-LSAT_6X112541 transcript:rna-gnl|WGS:NBSK|LSAT_6X112541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACAFEQYTLPKLYVKMQYCVSCAIHSKVVRVRSRVDRRNREPPKRFSRPRDDLPKPGQAPRPGGAAGPAPVRT >cds-PLY82793.1 pep primary_assembly:Lsat_Salinas_v7:2:146136638:146138471:1 gene:gene-LSAT_2X72300 transcript:rna-gnl|WGS:NBSK|LSAT_2X72300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQFRPSPANSSPLTPLSFLDRCATVYGDCPSVVYGDTTYTWNETRRRILQVASSISNFGIKRNDVVSVVAPNVPAMYELYFAIPMAGAIINSINTRLDARTVSVILSHSESKLVFVDYQSVSIILDAIAMFSPGIQKPMLVLITDDGSTPQSMVGFRCTYESMVENGDPGFNWIRPVSEWDPMTLNYTSGTTSSPKGVVHSHRGMFLIAVDSLIEWSVPKQPVYLWTLPMFHANGWSYTWGTAAVGATNICLRKFDGAVVYDAINKHGVTHMCGAPVVLNMLSNVPNVKPLKKPVNIMTAGAPPPAAVLARAESLGFIVSHGYGLTEIGGLTVTCAWKQKWNRFPATERARLKARQGVRTVAFTDMDVVDPESGLSVTRDGSTLGEVVLRGGCVMLGYFKDPVGTTKCMRENGWFYTGDVAVMHPDGYLEIKDRSKDVIISGGENLSSVEVESVLYTHPAVNEAAVVARADDHWGETPCAFVSLKAEMVGKVTEREVAEYCRGKLPGFMVPKTVVFKEELPKTSTGKIQKFILREMAKAMGPNTRNSRL >cds-PLY92916.1 pep primary_assembly:Lsat_Salinas_v7:3:114182709:114183638:1 gene:gene-LSAT_3X83360 transcript:rna-gnl|WGS:NBSK|LSAT_3X83360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLPGVGGGKKKKAKVMKIDGEIFKLQTPIKVFEVIKDYSGHVLLESKAVKRYGIRATPLDLEENLEPGKIYFLVELPKLPETAEKTVAIRRVRSGLNVTAKERLELMKVSRRSVSEVSMVRAESGGGLEACGSVRVKVRLPKAEVDRLIGESRDEVEVAERIVDLYVQKKSGDDGRRMIREKIMVG >cds-PLY88794.1 pep primary_assembly:Lsat_Salinas_v7:4:94394781:94395863:-1 gene:gene-LSAT_4X61701 transcript:rna-gnl|WGS:NBSK|LSAT_4X61701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENPTVLSTSSSGRRSSETNSPEFEFWMVRNPSCPQTFLHSADELFSGGVLVPLQLLNARNSDEPPDSASIATVVSPVSGAASEPTSTLTASKRWKDIFKKNSENKEKDKKKKGVSGFGGGGGISIAELNINLWPFSRSKSAGNGGNRPRPPIAGTRKVSSAPCSRSNSAGESKSRKWPNSPIRGGVHVGRSSPVWQINRVGSGRNLHDNVVRNAAEKVVLKKEKPDTRRSKKSTTGGDATAAVSGVGGKGLVLNLNVQSCIGYRHHFSCRSDEIQVEKTLRFAVGNTGNETRAAVVQPDGEGLRGTNMFNLRNLFVKKVY >cds-PLY75491.1 pep primary_assembly:Lsat_Salinas_v7:7:72367435:72368042:1 gene:gene-LSAT_7X52560 transcript:rna-gnl|WGS:NBSK|LSAT_7X52560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNTNRYRYSDTRINHATVSNHSPPKRNPKLLTIFLKFMVMSLILSLFLIFLGLAAILLLHILIFGSFLQRRRQHTTPPPASSYSFLHLQNNLPSFQYSSAAAASTDCSICLECFNEGDLCRKLPVCNHIFHAHCVDSWLMKVPTCPVCRTPVRLEVDRSSDLIVSDDDCKFFWAIGVGSG >cds-PLY82422.1 pep primary_assembly:Lsat_Salinas_v7:2:184780042:184782526:1 gene:gene-LSAT_2X108040 transcript:rna-gnl|WGS:NBSK|LSAT_2X108040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTRTIDLRSDTVTKPTETMRLAMAKAEVDDDVLIYDPTARHLETEMARITGKEAALFVPSGTMGNLISVLVHCEIRGSEVILGNNSHIHIYENGGISTIGGVHPRPVKNNEDGTMDINLIESAIRNPDFEICYPKTRLICLENSHANSGGRVLSVDYTDQVGELAKKHNLKLHIDGARIFNASVALGVPVHRLVQAADSVSVCLSKGLGAPVGSVIVGTKSFIDRARILRKTLGGGMRQVGILCAAALVALQENVGKLGNDHKNAKTLAEGLNKIKGLKADVASVETNIVYFEILEGSNITALKLGKIMEEHGILLMPDSSSRVRIVIHHQVSASDVQYTLSCIKQAMTGVENGGN >cds-PLY82771.1 pep primary_assembly:Lsat_Salinas_v7:2:144127101:144127659:1 gene:gene-LSAT_2X72700 transcript:rna-gnl|WGS:NBSK|LSAT_2X72700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPEEHIVKSDDIDNNALSFNTKNPSDPSILKPESMTCAVSEMKNEESEYNDIIEKQMGISSYERWTALKNLNFVEDGLLKLHLTVTGKQSHSWKYYHGQLYDVGLHHPGRHRYN >cds-PLY84396.1 pep primary_assembly:Lsat_Salinas_v7:8:79211478:79212431:-1 gene:gene-LSAT_8X56861 transcript:rna-gnl|WGS:NBSK|LSAT_8X56861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKGQEVMSIALVLMVMVWGGAHAQSSTCTNTLMGLASCLNYVTGNSSTPSPSCCSQLSTVVQSQPRCLCSLLNGNGPNIGVTINQTLAISLPGACKVQTPPLNLCNAIANGPASGPTSSTTSPIASPTEPSVETPEAPTASFTPSVPSASGSGSKSTPSTNNNTSNGSKFGAPSYLVLFVLLFVRKL >cds-PLY85362.1 pep primary_assembly:Lsat_Salinas_v7:5:243177228:243177440:1 gene:gene-LSAT_5X120341 transcript:rna-gnl|WGS:NBSK|LSAT_5X120341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTANELSGSLLIIFQLSGSLLAIFQRVPRVRASLQDIGISRKKIPTCSTTRGSLRELNSQHHAPNEYKT >cds-PLY99722.1 pep primary_assembly:Lsat_Salinas_v7:9:55532462:55535170:-1 gene:gene-LSAT_0X9540 transcript:rna-gnl|WGS:NBSK|LSAT_0X9540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANLGSMSRNFTDKSKERLLSRKGYSELGIFNESYISTNNEDTSLLGKLQEWWTNVRLFLVNAYEMGRSDPRQFIFAAKSGLALAIVSVLIFFKEPFTYITQYSIWAILTVIVVFEFSIGATLSKGFNRALGTFSAGVLALAIAQVSMWAGEWQEVVIVVSIFVAGSISSYVKMYPSMKPYEYGFRVFMLTFCIVLVSGTSHFVQTAVSRLLLIGVGAGVCLIVNICVYPIWAGEDLHKLVVKNFRGVATSLEGCVNNYLQHFGYERIPSKILVYQALDDPLYSGYRSAVQSTSEEDALLGFAVWEPPHGRYKMLRYPWSNYVKVSGALRHCAFMVMAMHGCILAEIQASAELRNMFKNEIQKVGTEGARILRELGSKVEKLERLSPDVDLLEKVHEAAEELQMMIDQKSYHLVNSEKWAPGRQPKEFEDPDRFQELKEDEIKPNVINSLSEANLNLNPKPPLPYKTQDRNVPNMSAHPSMVHWGSSEDVLKNQMHWPSRLSILGDTILNVREVRTYESASALSLATFTSLLIEFVARLQNLVSAFEELSEAAKFSEPVNPLEVREKVGLWTKMLKCIGIKD >cds-PLY92417.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:735636:736445:-1 gene:gene-LSAT_0X10620 transcript:rna-gnl|WGS:NBSK|LSAT_0X10620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLIELNGHKVSLSQSNIILRGCQVKNTKWVIGVVVYAEQETKSMLNSAISPSKRSRLEQAMNSETIWLSVFLFILCLVVAIGMCLWLVSHQDAIDTIPYYRKSYLIKGKFPGKPYKYYGIPMETFFAFLSSIIVFQIMIPISLYITMELVRLGQSYFMIEDKHMYDISTNSRFQCRSLSINEDLGQICYVFSDQTRTLTENKMEFRKASIFRKHYHNPPILDKENPEADMKGKKDKI >cds-PLY99194.1 pep primary_assembly:Lsat_Salinas_v7:8:90185056:90185238:1 gene:gene-LSAT_8X59800 transcript:rna-gnl|WGS:NBSK|LSAT_8X59800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKITATSVAESEEFYRFPPQSCRKIAPPSYGGSQTSPIYSHNKNFIITASRWVVLQML >cds-PLY93675.1 pep primary_assembly:Lsat_Salinas_v7:2:200955465:200961821:-1 gene:gene-LSAT_2X121661 transcript:rna-gnl|WGS:NBSK|LSAT_2X121661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKAFVAEVTVFSTTPTKNQESLQGLNADHFIVRKDEKQMQDEQLKRKKKVVELMDESPAPEPGFILNDNGLTSVAMKASKNPRYIDTRVDELFPSRKNSQRLKMQSVKEHVKENMTTDHTNFSQSEFSSRKDTNASTSTTSTKKFKSTHSHITTKKCSKISMFSSVMELSSVGAKSSGVSLDMDEAFKGLATRKLPIVSPSHTESIDRNVNFCSEFHICEHKVPLDFTLKTSMCVIASSSVNWFHRLMSCGTFHGLGQSNSQVGYQKTNCPTAQISNMKPLYSWVYPQCSLPPSVISALTLLPKGEGQMDVLTKRQLAWEASFRSLYVMMRNNIFCTGQFVAMFTNLNGACNAYVSQSTQSLRSLLKEQDIFFTMPLFNSKVEQATTEELFELSEIEKHNLGKTRHTNSLFDVDNSPKSLLMFSDKKNVHGLYDFLLNYRFILPSLNSLDVPLLYSAVPFENAAVSAPEVKCKEVRRMDHMCAPTSDSYYSIELKDAYLPPWIISSVCDAIKLNGDDTFEASFVIEPISIGLNVGLEGVHDETCAYGIGNTVVSHQLSRGFLKGLKYSDKSYVLSLLPV >cds-PLY88388.1 pep primary_assembly:Lsat_Salinas_v7:5:61544308:61545619:-1 gene:gene-LSAT_5X29081 transcript:rna-gnl|WGS:NBSK|LSAT_5X29081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLSSPLQSGYSVFDAIRKHLLDDRDEAPEMLPAVTSPSSDQSCFSKENGPESLKKIMEQESGEGENHTKSENVKKKKKKKTPAANGEDDPVEWTRYRGVRRRPWGKFTAEIRNPERKKARLWLGTFDTPEEAAVAYDKAAFQFRGSRAKVNFPLLLCQDVWKPESSSSSTNADVGKCKKKVAVDPPMGTTTSALVRHDDTHTLSTIEPPVSTNIAVEKESRSDKDLVTKPCKSTVHSPTTSASGTEEMCDLDSIWDFQTCTLPPFSPMVSVGDYTLQSSKSSSGQEDMTDQYLSCNAETMAMATTSSVAEEMSCDNDSFWNILLQNTIDSPTTISPFGVEEMEIYSSDIGSPIWNLPVPAEDFSSNMIESYTMNMAEGADDGSSQQDPFWDFQMHTIIQDDLLFLDCL >cds-PLY95391.1 pep primary_assembly:Lsat_Salinas_v7:9:188753419:188756228:-1 gene:gene-LSAT_9X115440 transcript:rna-gnl|WGS:NBSK|LSAT_9X115440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGPATRKDLMIVNMGPHHPSMHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNAPEQLGNIQVPKRASYIRVIMLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELIYDLFEAATGMRMMHNFFRIGGIAADLPHGWIDKCLDFCDYFLTGIAEYQKLITRNPIFLERVEGVGIIGGEEAINWGLSGPMLRASGIQWDLRKVDHYECYDEFDWEVQWQNEGDSLARYLVRISEMTESIKIIQQALEGIPGGPYENLEIRRFDRVKDTIKDSDSDFTMISVMNSVNTSINEVGKCLDSQLWHACAGGMVQLPPLNSKVFYLPQGHAEHAASGNVNFGDFSPIPPYILCQVSKVTFMADPDTDEVYAKIGLLPLLKHKY >cds-PLY61753.1 pep primary_assembly:Lsat_Salinas_v7:5:302897569:302902558:1 gene:gene-LSAT_5X163821 transcript:rna-gnl|WGS:NBSK|LSAT_5X163821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NIMA-related kinase 7 [Source:Projected from Arabidopsis thaliana (AT3G12200) UniProtKB/TrEMBL;Acc:F4J8P0] MSQMEAENGDGKSKMDDYEVIEQIGRGAFGAAFLVLHKIEKKKYVMKKIRLNKQTEKFKKTAHQEMDLISRLNHPYVVEYKDAWVDKAGICIVTAYCEGGDIAELVRKARGAYFPEEKLCKWLTQLLLALDYLHTNRVLHRDLKCSNIFLTKENDIRLGDFGLAKLLNSEDLASSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEICAHQQPFRAPDMSGLINKINRSTISPLPIVYSSTLKQIIKTMLRKSPEHRPTAAELLRHPHLQHYLLKCHNPSSVFLPVKPPNSPKDNKTPKKHSPMKQNDTKEKERDVQVLKQKVQVTNENAEIPVRSLHNNPIFMEETNLETKRVDPTSYFSGDTSCETTTVCNGDGPEKVSMKSSSLDLLSNGEQEETSPQILEKRDEGILGNEKKDNLVEETTTSNTGELGDEENVSNRVIICEVDTEEQLQPQLQPRAVESEPVKPVVDQEAEKGAPVAEPEPSSEWLNPTQQRADALESLLELCARLLKQEKLEELAAVLKPFGEETVSSRETAIWLTKSLMGAQKFAGGGS >cds-PLY85835.1 pep primary_assembly:Lsat_Salinas_v7:8:172984741:172988954:1 gene:gene-LSAT_8X113021 transcript:rna-gnl|WGS:NBSK|LSAT_8X113021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILTELPEEQEAAMTYDVFLSFRGKDTRLGFMDHLYQALVNENISTFLDEEEVETGEELKPELARAIKSSRASIIVLSKNYASSTWCLDELVIILEQRKVSNHIVLPIFYNVEPTHVRKQESTFREALFVHKQRIESEKDAEKKIEGAHKLEMWTKGLTEIADLKGKDATGRRETVVIEEVVKEINTRLELHLKRRIPHIIGMGESIYTISSWLKRGSSEAAEILTIWGMPGIGKTTLAKHMYMLHFHEFERSSFVEAIGRICAQQTCSLLDLQKQLLGDILKKRNIEEHDADRCTSKVEKALSRKKTLLVLDDVDNFEQLDVLLGTKGFHPGSKIIVTTKDGSLTEKCSLFRMKFPPKHTKLPLLGLTNTTSMRLLCWHAFGNIDPQKGYEKKALRVAKYCGGHPLALKVLGSSLINEGAAIWSDVLEMLEAKGYLTDVQENIQKALQISFDSLSGDCKELFKHIACFFVGKEREVTETILKECGFQVSYGITKLIDRCLLTITYDNKFTMHQLLQDMGRDLVHKESPDKPWKRSRVWKHEETLDLLKKDKGTQRIQGLILDMNLLREETLLRSISSVRKHNFQYDDENKSFRAAQPIQMIYEFFLRIWLVFAQLLLMLSSSHCKKVELRADALRNMDKLKLLQLNYVKLNGSYKNFPKGLRWLCMHGFHLKFIPSDLPMENMVSLDMSHSNLTQLWKNPKLLGSLKILNLSYCKLVRVEGFSGLPALERLFLTNCKSLVYVCESIGGCDNLVILDLSYCNKLSNVPISISKLKNVRILSLNGCLGASGFLIRLKDMESYASSSSIGEFLPKTPKSFLVPSLVTLSLIGNSLSNESFPKDFSSMSMLKTLYLNKNPIDSLPDCVRSLSRLEELHVGECWMLKSVLCPPPTIQYLWADDCPSLIKITFSQEMSGVPFVFYEESESLTEVQGIMKIQAVAQIDDIILCRLGWTNIQHVKDHEIRILDSYKQPCDAKKLPVQMFYQFGIFSTCFPGKAVPDWLPHKSKGSSISISIAMPSSSMNKMIHGINISFVHTFLGTGIISRIRIKVENVTTNRTWIYCGHMYAVGETDEDIVWLCHWMFGDNEIKIGDEVGVTIIDDGTYVGVMVRECAISLVYTDNNDNEEDPLSYYKSWNRRISDIRYNII >cds-PLY89501.1 pep primary_assembly:Lsat_Salinas_v7:4:148250190:148254938:1 gene:gene-LSAT_4X90981 transcript:rna-gnl|WGS:NBSK|LSAT_4X90981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFLKAIPEVEVVADNEAVTREVEVVADKWSSTMEVEACRLGADYRCGEVSATKDTKLKVTLWGHNAYYMQDFLANNNNLAPVVFIVRFARVKFINGRPFSSTYFDVSRLFINNDMNEITSYKNKLVSEDGQQLSSSGIKMMESKQVTEHDDFLKNNVFSNIDDLFEPLEVL >cds-PLY67439.1 pep primary_assembly:Lsat_Salinas_v7:6:73509380:73511360:-1 gene:gene-LSAT_6X52200 transcript:rna-gnl|WGS:NBSK|LSAT_6X52200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVCTVGSVINNARNLNREFTITARVVAPKRPTLPELSLKGIPHTDLHVREIVQRQLYTRHSDHNLCRKPEFNPEFLEDAYDRCRDICAEYAKTFYLGTRLMTEERQKAVWAIYVWCRRTDELVDGPNATHMSATVLDRWEERLEDLFNGRPFDMLDAALTDTVQKFPLDIKPFRDMIEGMRMDTKKSRYENYQELYLYCYYVAGTVALMTVPVMGISPESSSHASSIYNSALSLGIGNQLTNILRDVGEDALRGRVYLPQDELAQYGLCDDDVYSRRVTDNWREFMKGQINRARFYFNQAEEGASKLDKDSRWPVWSSLLLYRTILDAIEENDYDNLTKRAYVGRTKKLLMLPLSYTRSVSMPGFAFH >cds-PLY72987.1 pep primary_assembly:Lsat_Salinas_v7:8:309569807:309573414:1 gene:gene-LSAT_8X167341 transcript:rna-gnl|WGS:NBSK|LSAT_8X167341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVRCKFWLLKKKRFCAIPPLPNTEFCGNHSTRSDDRWIQCSIDPSHSVLESNLENHLRRCPLLKHNNSLSLQPFYQKGINGGDEEDEDVSSESKRMAVQCITVPELIKLIEKIKSVHASICKDIQDSYNIPEVCRNWINRANDWKIPFQEKHVIQQASILGNLEKLGAIKSCSSVGEQLHSPAVVEFGAGRGYLTQMLADCYGVSKVFLIERKSYKLKADRSLRQKESLMLERLRIDIEDLNLNAIGSLQGVPYLAIGKHLCGPATDMTLRCCVGENGSTSYLRGLSIATCCHHLCQWKHYINKSFFLSQGMSKDEFHAVTWFTSWAVDSADHEESDTKMENEKVLEGDDDDDDLLRNMCARDRAVLGFMCKDIIDAGRLMWIREHGLEKSELVKYVPSNISPENRLLIASC >cds-PLY94225.1 pep primary_assembly:Lsat_Salinas_v7:8:229963486:229967178:-1 gene:gene-LSAT_8X139980 transcript:rna-gnl|WGS:NBSK|LSAT_8X139980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGSEDGTVRIWHSTTYRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTINIKSVGADHEVSDGERLPLAVKELGTCDLYPQSLKHNPNRRKYHPKNDGPKVSFFSDDEETTSTPKADALFIPRENPRALVIRPLKQWPGKSSAEKLKNASSPAQTNGDYTGFASSPPLNGRSTENGNRNHSENGTLKEQTPIKTPQKQNGGCDGGEMEDGFEFIVKNKGINTEVAYPYQATDGTCNTKEEAVHAATITGYEKVPANSESALLQAVANQPVSVAIDASGMGFQFYSGGVFTGDFGTDLDHGVTSLLNQMELYVVVYILAILGLDSLQGIMLDQGSCIDTCCTFCRKFFKLSPEESSKDPLYLLRDMDAMGYKRGHFVLIAKIIISALGYLMLGTFIYVLIVDGSTFNANVLSRCMIATTTDFYFCIVIVAVWIAYKESRWISAFFWILSLLSFWRESWYEKLQRWDDALKAYTAKSAQATSQRLILDATLGNSYCSVFIPNPKFFGWRKGSQELSEQGFNISLKEV >cds-PLY81587.1 pep primary_assembly:Lsat_Salinas_v7:2:17591486:17597590:1 gene:gene-LSAT_2X9220 transcript:rna-gnl|WGS:NBSK|LSAT_2X9220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYIAYMIVLPIFMKLHNSESSVTTFWLCLLLFGVHGGARLTLPKNVNVPAVIAFGDSIVDQGANNNLNTLIKSNFSPYGKDFVDGKSTGRFSNNKTPADMIVEELGIKEHLPAYLQPSLDEKELPTGVSFASGGSGFDPQTPKLVGVFSFADQLKQFKEYIEKLKRIVGEERTQNILANSIFLVVAGSDDLANTYFTIGIRRLQYDIHSYTELMVSSASNFIQDIYQLGARRIGVFGTPPIGCLPSQRTLGGGGLRVCAEEYNKAAEIYNNKLQQELAYLTKTHAQSRILYLDIYNPILAIIENPVQYGLEVVDRGCCGSGKIEVSVLCNHLLPTCSDDSKYLFWDSYHPTDKGYSILVNQLLEKYINDFF >cds-PLY82079.1 pep primary_assembly:Lsat_Salinas_v7:8:52557995:52560374:-1 gene:gene-LSAT_8X39080 transcript:rna-gnl|WGS:NBSK|LSAT_8X39080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDINIQHKTNDGKRPKGGLITMPFIFANEVCEKLAVVGFQTNMISYLTQQIHMPMTTAANTLTNFGGTASLTPLLGAFIADSFAGRFWTITVASIIYQIGMVSLTTSAILPNLRPPPCENGQVCQEANTGQLAILYVSLLLTAIGSGGIRPCVVAFGADQFDETDEKQKTSTWKFFNWYYFCMGVSMLVAVTVIVYIQDYVGWGWGLGVPSIAMALSIVAFVFGYPLYRNMDPSGSPFTRLVQVCVAAYKKRNLPMVSDAKLLYENEELDASISVAGRLIHTKQMKFLDKAAIVTEEDYAKSRSKPNLWRLNTVHRVEELKSLLRMGPIWASGIILFTAYVQQNTFSLQQAKTMDRHLTKSFQIPAGSMSVFTLSSMLATIVFYDRVFVPIMRRFTGVERGVSFLTRMAIGFTISILATLIAGFMEIKRKNAAFAHGLMDKPHETIPIMVFWLMPQYCLHGVAEAFMSIGHLEFLYDQAPESMRSTAAALFWMAISAGNYMSTLLVTLVHRFSQGADGSNWLPDDNLNKGRLEYFYWIITLLQVFNLVYYLFCAKFYTFKPIEVVNRDRGEVDHHGKELELGSHV >cds-PLY67106.1 pep primary_assembly:Lsat_Salinas_v7:4:242855409:242855627:1 gene:gene-LSAT_4X130040 transcript:rna-gnl|WGS:NBSK|LSAT_4X130040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNHRCVQMGLVIIVLLSLLVSGAENGNEPIGISRNVQPEKQQKQQNQQQGLGDLYSSKRRVPNASDPLHNR >cds-PLY74003.1 pep primary_assembly:Lsat_Salinas_v7:1:33122779:33124479:1 gene:gene-LSAT_1X28661 transcript:rna-gnl|WGS:NBSK|LSAT_1X28661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKLILVSVTLVWCLGVVVQGFTYDDKEVETVESRSALFERWRSHHNLESISVEAKRKQYNNFLATLETVQASNKAKKSYKLGLNKFSGMSKEEFRSQYTGLKLPLFRQTDPEPFMYRNFTDIPPKIDWKANGALGRVRNQQGCGSCAQFAASEALDGLNFIKTKNLVEVSPKELLDCGNVGGCSGSFFTACYDYVAKNGGITTDKNYPYKPVQEPCNHQKEKDIVVEVHGYENIPAPGTEENLLKIISNQPASCGMYWNDEIASYKEGVYEGSCGPIPIHAVAVVGFDETPDGQKYWLIKNSWGPDWGDKGYMKLIRGKGGEGHCGIAHWCAYPTFDDTGGSHKEKGPMVHSSHEL >cds-PLY89713.1 pep primary_assembly:Lsat_Salinas_v7:7:42521393:42525576:-1 gene:gene-LSAT_7X30960 transcript:rna-gnl|WGS:NBSK|LSAT_7X30960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQMKMKSTMGCLREKNGLHVCQKSSKISKNSSSHIRSFQQEAQLETCISNKHDADSFCSEATMQEIRSDETNCCEHLEEESIQDHTQANCCSAIETIFSPNPESNDNESEATASNNGGSEKDIEVASSGDEDRNSCEYQSCNVSDFFISDMSVSSPPIERSSSSYGITEATCLPDYGCDESNIFFDDDYMILPFLEDSIDTCNKEEDDSRNSLEPVMVSEESSLYLAIHQLRSCNQEIEVNNYPEWDPAECLDPQMFVKNLPDLSEVERKTVTLALDLDETLVHSSLEHCDDADFTFSVYFDLKEHTVYVKQRPYLREFLERVSEMFQIVVFTASQSIYAKQLLDILDPDGKIISHRAYRDSCIFTDGIYTKDLTVLGVDLAKVAIIDNCPQVFQMQVNNGIPIKSWFNDPSDCALITLLPFLETLADAEDVRPIIAKRFGNKE >cds-PLY85771.1 pep primary_assembly:Lsat_Salinas_v7:1:48107297:48107497:1 gene:gene-LSAT_1X45241 transcript:rna-gnl|WGS:NBSK|LSAT_1X45241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNSLIHKCFKYDVFLNFKGEDTSNKFIDHLYYALQQKSIYTYKDDERIKKGERISDELLGSI >cds-PLY91102.1 pep primary_assembly:Lsat_Salinas_v7:MU039484.1:2338351:2339391:-1 gene:gene-LSAT_0X21801 transcript:rna-gnl|WGS:NBSK|LSAT_0X21801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKVHMEPINNNKKRKVTFHRRKNCLIKKALELTTLCDVKVSMIIRTDQQEPEIFPPDPHKVNGLIDLYKRQKSMDPGKIRYFSLSDFFNGRKIKIEQELANEKKKNMEAKYPTWFEFLNNSSEVQLREFANGLEGKIDVVKRKIECLKVDSKIKNLDHHVIYPGTLLDVHSSFVTPSINPSPLPMKMPMNYSHPVFDPSHLFTDHNNINNFNTQPTNPNSEIMKLLMKENDDCYDNKNFGLQPKLPTMIPMNYNVPVFADLNSFNMQSENPNLETLKLVTRENDDCYDYENLSVTQTSTFCLPFEIPELPPFMHMNPVSTSESSSQITEYNAGADGNHYHYTI >cds-PLY86213.1 pep primary_assembly:Lsat_Salinas_v7:8:224752281:224758055:-1 gene:gene-LSAT_8X137620 transcript:rna-gnl|WGS:NBSK|LSAT_8X137620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVHGVLLCVTSPLCCHTTLHQWFPPHKNRTSTLQSLFTEKKPWMDKLQVLHKLASDPESAARIFKAIADPPTTSLQRLKGLTTGGLPTFIDIGNSFGAPAIVEDNLIHQLVANGKRIVMMGDDTWTQLFPNHFNKSYPYPSFNVKDLDTVDNGCISHLVPTLYDDDWDVLIAHFLGVDHAGHIFGVDSAQMIEKLEQYNEQLEKVVEVLQSQSGKGGLHENTLLLVMGDHGQTINGDHGGGTPEEVETSIFAMSLKKPPLPIPSEFDASSCKLDLLGRKVCVSSIQQLDFAATVSALLGIPFPYGSIGGVNPMLYGLVAGTWKNLEEGLSQQKVKEWMQNYAKVLCVNSWQVKRYIDVYTASSVIGFSIDDLKHVEEIYAKAVNTWSNSNSNSTSFHATSSFDSLKTQIDLYSNFLSSVAELARTKFTEFNLRTMGIGFGILFMSIFVHLLFIVKVDRVHKDVFAPNETSPVSFALIVSCVLVAARACSFLSNSYILEEGKMTSFLLGSLGVLSLRHSIMRKKMILEATTFILIISILRFTIELNLSKQGSNEVLDSKMYVADVAPLLPLIILAYMLYKSIASCKLVLKLVITGSIFSYILVALHWILESNLSNMTHPIYDVIKGNVIPRVIYIMGITQLLSLVVFRFFEEVERAKWGETTMMKGVAMLSTWSSTLMILSGRQGPWIALALVVGGWMISRLKGLEEDQKNAKIGVLACYSSSVTQWSLFGSFLFFCTGHWCAFDGLRYAAAFVGFDEFTIIPQAILLTIETYGFSHILPTLGLPFLVAYHHNSSNKPKQTPHSLIQLFQVYLIYGLIMAITTTFTVLCVIIQRRHLMVWGLFAPKFVFDVVGLILTDVLICLSSLFYFVQT >cds-PLY74083.1 pep primary_assembly:Lsat_Salinas_v7:9:12656444:12656605:1 gene:gene-LSAT_9X10400 transcript:rna-gnl|WGS:NBSK|LSAT_9X10400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTHLNTDEKVITSLICRIFFSPSQKNPRKPLLLSPSYKSLTFWVFFCEFYRL >cds-PLY66733.1 pep primary_assembly:Lsat_Salinas_v7:1:167620057:167621469:1 gene:gene-LSAT_1X112400 transcript:rna-gnl|WGS:NBSK|LSAT_1X112400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGIKHKTVSANGLNIHIAEKGQGPLVLLLHGFPELWYSWRHQIVYLADHGYRAVAPDLRGYGDTTGAPVNDHTKFTFHHLAGDIIGVLDAITSEGEKVFVVGHDWGAIIAWYLCMFRPDRVKALVTLSVPFVPWNPNGDLVQLSRHAYGEDYYMVRFQEPGEIEAEVAKMGIPAFLKKFFTHRDAEPYYISKGNGFRHSSGDAPVTLPHWLSEEDVEYFARHLVKTGITGPVNYYRALRLNWELTAAWHGAKVNVPSKYIVGDLYLMYKMFANDYIHGDGFKRDVPLLEEIVIMKGVGHFINQEKPHEISKHIIEFLSKF >cds-PLY71209.1 pep primary_assembly:Lsat_Salinas_v7:3:120377824:120378156:1 gene:gene-LSAT_3X85381 transcript:rna-gnl|WGS:NBSK|LSAT_3X85381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDQNIEQRLAGVPVYALSNSSEEFVVVSGQNPVKSLGLFCFKEEDAETLLGQMKSMDPRMRPRSKVVPVALSMVSNLNYKSFITHNLTKLRKLCLLILEVKITDFQLGM >cds-PLY93917.1 pep primary_assembly:Lsat_Salinas_v7:7:180104976:180108146:1 gene:gene-LSAT_7X107001 transcript:rna-gnl|WGS:NBSK|LSAT_7X107001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRHLNVRILMEKATILVLFLFSLHIQKIYTAEIDLISDSKFLTEADTLVSPGGNFELGFFRPGSPENKYVGIWYKKISVQTVVWVANRDFPVNGSSSATLRIISPGNLVLMKGNDTNDVIWSSNTTSSGNVTVQLDDTGNLVVREGIGEKILWQSFDYPTDTLLPGMKLGRSFLTGKERHLSSWKSNQDPAPGEFTWSAETSGYPQIILKQGTTVIFRGGPWNDVWFSGDSASTRNIVTGDMVINETEVYYTYSLLNSSVISRLVLNSSGQLERWVWVTDVNKWQIFLQLERDFCDGYNICYGYGTCSSLTSKRCSCLDEIRFVPRNQKGWEMADWSGGCVRRTPLDCKNGTDGFIKYSNVKLPDTESSWYNVSMSLNECKEKCFKNCTCMAYSNIDIRRGGNGCLLWFSELLDMKEVPDSNGGQDIFVRMASSELVHEKKERANLKIILPVVFLGVLLIGLSSTWFHYACRKRHHQQLRKDSGEFFDVGRSQRDAMELPLFSFSTLARATARFSPDNKIGEGGFGSVYKGVLEEGLEIAVKRLSTTSSQGLDEFKNEVICISKLQHRNLVRLLGCSILGDEKLLIYEYMPNRSLDLCLFDKKRSSQLDWTTRFNIIKGIARGLLYLHQDSRLRIIHRDLKASNVLLDKDMNPKISDFGMARSFGGNESQANTQRVVGTYGYMSPEYALDGVFSFKSDVFSFGVLVLEIVSGKRNRGFMHSEHDNNLLGHAWRMHNEGRSMELIDTSLSQSSNSSEVIRSIIVGLLCVQQSPEDRPNMSSVVLMLGNEGILQKPKQPGFFIERILHGADVSSCSYPTSSTNDLTVTEVVAR >cds-PLY97116.1 pep primary_assembly:Lsat_Salinas_v7:4:72066178:72067965:1 gene:gene-LSAT_4X48720 transcript:rna-gnl|WGS:NBSK|LSAT_4X48720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAPVPEVRTEGEPAVAKELGQNVDEIIVKVDELLDGEQSYVFWRNLLEGKYPQWKFVHVSTSRQRKRTQYYGETLDTIAPAADVVKKCKKSPASRPVEWGTGGAYEGVNNESQSSPELLHPNVHELCKILKFPSETKEKHVEIKDLKLPPQAICIESKSTEQKISTKSQQNQNVEVSVVENLESSEKLSEAMEEFNREWDDRRAYLENEYKVDKAIIHHLYKNPSIRSEKLRLLDKEFAKKIEEHERDKDIQLKELKSTLLAADVDADVTAKLESSASVEKRIMLFIAFHQTRG >cds-PLY82816.1 pep primary_assembly:Lsat_Salinas_v7:1:87681187:87682027:1 gene:gene-LSAT_0X4721 transcript:rna-gnl|WGS:NBSK|LSAT_0X4721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyethylthiazole kinase [Source:Projected from Arabidopsis thaliana (AT3G24030) UniProtKB/Swiss-Prot;Acc:Q9LIQ4] MDIMANTLLAAGASPAMVHSILEIPDFTPHTKSVLINVGTLTPDWLPAMKAAAGLAKQLGKPWVLDPAAVGASSFRLKACLELIELKPTVIRGNGSEIIALSMASIGSTKGADSLHESSDAIESAKSLAKSSGSIVAISGSVDFVTDGERVVGAHNGVPMMQKITASGCSVTALIAAFVAIDPTHAFEATASAFSVFGLAGEIGMELSLGPASLRMHLIDSLHRLDQDTVLDRVTITSFS >cds-PLY90080.1 pep primary_assembly:Lsat_Salinas_v7:6:17134654:17135992:1 gene:gene-LSAT_6X7601 transcript:rna-gnl|WGS:NBSK|LSAT_6X7601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger CCCH domain-containing protein 23 [Source:Projected from Arabidopsis thaliana (AT2G25900) UniProtKB/Swiss-Prot;Acc:O82307] MVITGRETLNLHQSVGGAGNHPWEMILEVPSANAFLPFSGSSMNDDGSAMFEELTTMQLHHLLSNVEMAEYGDHAYEVGEECGDVQMDDQFCMYEFKVKRCARGRSHDWTDCPYTHPGEKARRRDPRKFHYSGNPCSEFRKGSCVKGDLCEFAHGVFECWLHPSRYRTQPCKDGVQCRRRICFFAHTPEQLRILPPSHHVSTHSNRHDSYDGSPRRLVTDSHFGSSPTSTLYFPHCSTPTDSPPMSPVSSLGPNPFADLASSMRNLQIGKARRLGMSLMNGSPYSSPHIPYAVRPTPSRPSLKALEVWEEGGATEERMMERVESGKGVRAQIYAKLSRENSLSESCGV >cds-PLY72350.1 pep primary_assembly:Lsat_Salinas_v7:8:80566346:80568470:1 gene:gene-LSAT_8X57620 transcript:rna-gnl|WGS:NBSK|LSAT_8X57620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDANLHFVESPALAPPEVQIDLLAQQQHEAELAQAANQPLPDDDDDAFD >cds-PLY87115.1 pep primary_assembly:Lsat_Salinas_v7:5:265088362:265090394:-1 gene:gene-LSAT_5X135940 transcript:rna-gnl|WGS:NBSK|LSAT_5X135940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVPSSISPYTLLHSSHDKLLTISKSYISPPSLGYAKKHSVVCFSSKKGKRGFFDVILDYIEGGPKLRKWYGAPDLDSEDGSILEETVESSEEDEIRDAVLVTDGDDEIGQTIILSLIIKRIRVKALVKDKRAAMESFGTYVESISGDPKDSSFLKKSLKGVRAIICPKEGFLSKVESLKGVQHVVILSQLSVYKSSSGVQAIMNSNARKLSEEDESVLVSSGVPYTIIRTGLLTNDRGGKSGFSFEEGCTENGSLSKEDAAYICIEAVDVVPERGLVFEVVNGEEKVLNWKDQFERLMKQA >cds-PLY81962.1 pep primary_assembly:Lsat_Salinas_v7:9:152882255:152882983:1 gene:gene-LSAT_9X97181 transcript:rna-gnl|WGS:NBSK|LSAT_9X97181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSREEEEFVLLDLDSVSGQLHIPPNAPYVLSGLDTLNPILIIDDKIKLIGEYEETVGTCLVFSEHNASPVVHEETGSSEVNLFSGKCIINPNEVTRKQVKPICQLQKVLRFKLQDDQTNNVVDVPTIEHSTMKND >cds-PLY97755.1 pep primary_assembly:Lsat_Salinas_v7:4:375963936:375965914:-1 gene:gene-LSAT_4X185880 transcript:rna-gnl|WGS:NBSK|LSAT_4X185880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTSLQNDMSSLITESSCLKLNPTSPPLDETKKTPPPPLPPSSALKMEDMEIQSPDLWESFFSEQLQETDFMISSPVPSSNFNYYPSPLAPFNNNTKPKGMSPLQRVFSNSPNNHEFMQVETISLPALENFLDDLEGDDDYLSYSTSGVGVVDDQSYTIPALLDCLTTLPSPSRFFGEATTSNTNNNDQIMYHQPRPAISNAPLLEQLEQERREEKQHRQQHRQQLPSSPLQPQPINHNHLMVPPPVGAEEHDSGLQLVHLLLACAEAVAKEDFMSARRYLHHLNRVVSPLGDSMQRVASCFTEALTARLAATLTTKPASSTPKPPFTPFPPNALEILKFYQIVYQACPYIKFAHFTANQAIFEAFEAEERVHVIDLDILQGYQWPAFMQALAARPGGPPFLRITGIGSSPESVRETGRCLSELAHSLHVPFEFHPVGEQLEDLEPHMFNRRVGEALAVNSVNRLQRVPGHCLGNLLAMIRDQAPNIVTIVEQEASHNGPYFLGRFLEALHYYSAIFDSLDATFPADSPQRAKVEQYIFAPEIRNIVACEGAERVMRHERLEKWRKIMEGKGFQGVPLSENAVTQSKILLSLYSCDGYRLTEDKGCLLLGWQDRSILAASAWRC >cds-PLY75490.1 pep primary_assembly:Lsat_Salinas_v7:7:72531843:72532976:1 gene:gene-LSAT_7X52700 transcript:rna-gnl|WGS:NBSK|LSAT_7X52700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKLSGFFDLLKGPLKIISQNGMLMSITAAVYLILYSITFILNTVSVNPFIIDLTLKTFSLFTARPGTPEYFELLAAIREDIGIFLGIETAYAVFSFFFQIFAQTAIIIIASSYYSGNNLSLKELILKVSRTWTRPFVTLFYVQLLAFGYMGFFFMPFLIASLLLTDHPIILIALQFILAILFITFYLYLSVVWSLGVVVSVFEDTYGLSALGNARELVRGKRVDGYLLNIFFNLVVFAILLVGAKLSLAMPLVVGLFQVVFVGVMSMFQFLAYSVYYYRCKNNMKISGGSVYSQISHGPVVDENIP >cds-PLY86745.1 pep primary_assembly:Lsat_Salinas_v7:5:60120839:60121491:1 gene:gene-LSAT_0X38001 transcript:rna-gnl|WGS:NBSK|LSAT_0X38001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVANLIDNYLAEVASDVNLKLKKFQSLVATVTDFARSIDEGMYRAIDIYLKKFELKWRNLVIVILQAHPWLIDFDWELLCRLMAYQKLSLEANTHAAQNKRLPLRFIVRGSLLREASAADICCRLLLCLLKLQLTDIPEQHVLPESGNVHLFPFVWIASPREEHERKK >cds-PLY88173.1 pep primary_assembly:Lsat_Salinas_v7:5:221481837:221487481:-1 gene:gene-LSAT_5X103320 transcript:rna-gnl|WGS:NBSK|LSAT_5X103320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQILNSDETAVVPTDEHDCTTTPTENIEEELDENSNVESAIDASGKTLDLQVLDESRISDGDNSSIEGLYVYKNTFNLIPRSVGGLVRLKTLKFFGNEVNLFPSEFGNLVNLERLQVKISLPGLTGLPLQKLKALKELELSKAPSRPSAFPLLGDIMGLERLTKLSVCHFSIRYLPPEIGRLTCLEYLDLSHNKMRNLPSEITKLSALITLKVANNKLIQVPPALSSLQRLENLDLSNNRLTSLGSLEFDMMHNLRRLNLQFNKLPSFSQLPSWISCDLEGNDEDMTNGEFINSSVEMDVLESSVTALDDDSINDSPASMSSHLTGSSPNRSFPARKPRKGWKRRYYLQQRARQERLNCSRKWKGDDSSVHASTQNTTENCESCSTIVASEALLEGPSVNASVDLDNKELLPADGEREKDHSSEQVEGKEVEDDCSKEKAELDALSEEKLVVDNSCGNNANTQSKRHSERDLDNPKPRKSRRPTDIHVNQSSKYSTMSFCSIEDYLPDGFYDAGRDRPFLPLSSYEKSLHLGSREVIVMDREKDEELDAVTLCAQSLLYHLNQMYGSTKDEGDIYLGKLQVASLLALFVSDHFGGSDRSTMVDRARKSVSGSNYNKPFVCTCPTGNNNNIMKSTKQTVNSADDTALLCERSLQLIKARRNSIVVPIGTLQFGVCRHRSLLMKYLCDRMEPQVPCELVRGYLDFAPHAWNVIVVKRGDSDIRMVVDACRPHDIREETDPEYFYRYIPLNRINGDAHCSFPSLSAAYEDIKEGDSSSTTLIECNLGSVEAAAKVRTLKVSESSAEEVRNFEYSCLGEVRLLSVLKHPCIVKVLGHQICTKWLPSQSEDGIPEHHRILQSAIFMEHVKGGSLKGYIEKLGRSGEKHVPVNLGLQIARDVAWALSEVHSKDIIHRDLKSENILVEMDESETCAVVKVCDFDRAVPLRSWLHTCCIGHMGIPPPDVCVGTPRWMAPEVYRTIHDRRLYGLEVDIWSFGCLLLELLTLQVPYVGLPESKIHDLLLMGKRPQLTDELEALGAVEEEDDDDSKETELQTEEYKTLRFLIDIYRQCTQDDPYDRPTAIRLYKMLLDFSNLTSQQV >cds-PLY63854.1 pep primary_assembly:Lsat_Salinas_v7:2:84322104:84322316:-1 gene:gene-LSAT_2X34740 transcript:rna-gnl|WGS:NBSK|LSAT_2X34740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLPHLIDEEIRSKGAEERTQQGGVEGSISDDPFVCLIFRLREQQAGREKKIHIVGIWGVLAFLIDKKC >cds-PLY77329.1 pep primary_assembly:Lsat_Salinas_v7:5:143010071:143014391:1 gene:gene-LSAT_5X63781 transcript:rna-gnl|WGS:NBSK|LSAT_5X63781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNIREVEHLKITLESIKSATNNFAPENCIGRGGFGKVYKGEILHSEGQSMVVFKRLDRAFGQGNPEFWKEITMLSLYKHENLVHLLGFCDESNEKILVYEYLSNRSLDFHLNNNDLNWTQRLKICIGMARGLAYLHGSETQLRVLHRDVKSSNILLDENWNAKISDFGLSKFAPTNNFTFLYTSVVGTVGYCDPLYAETGFLTKESDIYSLGVVLFEVLCGRLCISNKDDRPLPGLARECYELNKVDTIIFGNIRDEITPNSLWAFTTIAYRCLKRDREERPSVTDVVRMLYIALGYQANKESWELPDIVDSFHIKALRLPMDAHKVVHLIYTNSGLDLLALTSSGIHKVWKWKPSKRNPSGKSTASIAPKVWQPTKGAVMCNDVSGNKPDEESAACIALPKHDGFFVSASGGKLSMFQMATFHTMISFMPPPPAATCLAFHPRDNNIIAIGREDSVIQIYSLEFFQGFPFHGLHWFHPELMLRQVVDDLCMWDITGAWNWKKKSRSIQSPPGHPSSLVGETKVQFHNDQRHILVVHQSQIAIYDDQLECLRLWSPREPLSAAISSATYSSDGLLIFTGFLDGAVGVFDANSLRLQCRIAPSAYLSTPISSSNSITTYPVVIAAHPSYPNQFALGMSNGYVHIIEPPDHDPKLESSVPPEKGPLATSKLKHVFRFLR >cds-PLY83995.1 pep primary_assembly:Lsat_Salinas_v7:8:37848862:37853294:1 gene:gene-LSAT_8X30781 transcript:rna-gnl|WGS:NBSK|LSAT_8X30781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmin homolog [Source:Projected from Arabidopsis thaliana (AT4G24190) UniProtKB/Swiss-Prot;Acc:Q9STX5] MRKWTLPSVLFLICLLFLLPDQGPKLHANAEADSDKLVDPPKIEDKLGAVPHGLSTDSDVAKREAESMSRKSLRSNAEKFEFQAEVSRLMDIIINSLYSNKDIFLREIISNASDALDKIRFLSLTDKEVLGEGDDTKLEIQIKLDKEKKILSIRDRGVGMTKEDLIKNLGTIAKSGTSAFVEKMQTSGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESKADGAFAVSEDTYNEPLGRGTEIRLHLREEAGEYLEESKLKDLVKKYSEFINFPIYLWATKEVDVEVPADEDDSSEDEEKPESTDGDEEKEEEDSEDEQKPKTKTIKEKTFEWERLNDVKAIWLRSPKEVTEEEYTKFYHSLAKDFGDEKPMAWSHFNAEGDVEFKAVLFVPPKAPHDLYESYYNSNKSNLKLYVRRVFISDEFDELLPKYLMFLMGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDESHDKDKKSTEVEESKENDEKRGQYTKFWNEFGKSIKLGIIEDAANRNRLAKLLRFETTKSDGKLTSLDQYISRMKSGQKDIFYITGSSKEQLEKSPFLERLKKKNFEVILFTDPVDEYLMQYLMDFEDKKFQNVSKEGLKLGKDSKDKEVKESFKELTKWWKEALASENVDDVKISNRLADTPCVVVTSKYGWSANMERIMQSQTLSDASKQAYMRGKRVLEINARHPIIKELRERVVKNPEDEGVKTTAQLMYQTALMESGFMLSDPKEFASRIYDSVKTSLSISKDAAVEEEDEVEEVEVETSGKEESEPASSTQVEEEEYDVKDEL >cds-PLY75567.1 pep primary_assembly:Lsat_Salinas_v7:9:33477085:33479766:-1 gene:gene-LSAT_9X31181 transcript:rna-gnl|WGS:NBSK|LSAT_9X31181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAITRLDVFLGNLKRLIYDSSNKLIASNRLIQARMLEIQLFYEELDYLIESGFNNMINNDEEPFREVDEIEINWKRRLMDVAHKAENIIDTFISTAILKQNLFFISMRSNVVDCPLHLKDVLEDLKSIGEEMSHHNNTIQHHVEYSQSESSSQVSETSFHKVNPCETEHQHEQVIVGFDDDALSIMDRLTRDGKHLDFISIVGMGGVGKTTLATKIFNDPYVVYYFGVRGWITVSETYAKRDLLIELLASIGKPVHEETTEFKLCEMVYKSLKGRKYLIVIDDVWSATAWDDLRLYFPDDKTGSRILITTRVTEVACHARLASGFAHHLQCLNEEKSWELLHKKTFRGYDCPESLIEAGKHIAKKCGGLPLALVVMAGVLENGEKSKDMWEKVAESVSSHIVEDPKGCLDTLALSYDHLPRHLRNCFLYAGGFPEDCEIQVWRLIRLWMAEGFIKESAERSLEEQAEDYLMDLVDRNLLIVVNRRSDGGIKSCRMHDILRELCLIKASEEKFFIKKIDLSYGYRSSYFTSSIKEQHHRLFADYKVLGEIYNSHDCTTHMRSVLCFFNTHQSIRFESTRWVPSFLLLRVLDILNIRLADLSDIFILIHLRYLAVWRPNHDYSFSVRNLWNLQTLILKGEVFDSMDLNMENMVDLRHLWSERTISIDSRSYVLCNLQTLSRVRLAVGDQNLMRSFPNIKKLACSVSGTFRDHAFLNFALLTHLEALDVEYMDNPSPLVNPVRLPETLKKLTLKGLRLPWTYMSKIRFLTKLEVLKLLDSSFEGNQWVTGDEQFRKLKFLKLENLNIHLWEASSMNFPQLRKLEVRTCKNLKEIPLEIGDISTLEHIEIDDSNSLVLRSVNEIQEKQREMGNYDIHVKFVDTAPQEVFFEGDPYYE >cds-PLY91947.1 pep primary_assembly:Lsat_Salinas_v7:8:200155461:200156721:1 gene:gene-LSAT_8X128221 transcript:rna-gnl|WGS:NBSK|LSAT_8X128221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSAFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >cds-PLY99490.1 pep primary_assembly:Lsat_Salinas_v7:4:56926930:56927382:1 gene:gene-LSAT_4X38160 transcript:rna-gnl|WGS:NBSK|LSAT_4X38160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGDFQLNDIGTRGFPALGTTNLRHSMGTRELRMMDRGGQFPLQRRKRAVLVDSELVNTLNTEDGEDTKPDYTPTEHPSEPSLSPDYTPVGLELLHFEYELDEDKDIATLL >cds-PLY97710.1 pep primary_assembly:Lsat_Salinas_v7:8:8392964:8394559:1 gene:gene-LSAT_8X6540 transcript:rna-gnl|WGS:NBSK|LSAT_8X6540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIPDKNRKAISKYLFQEGVCYAKKDYNLAKHPDIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSDIVPATLKKSAKPLGRPMGGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGPAGEFGGEKGGAPADYQPAFNRGAGGRPSFGRGGGGFGGGASGAPPSPSFS >cds-PLY66471.1 pep primary_assembly:Lsat_Salinas_v7:5:327955259:327958461:-1 gene:gene-LSAT_5X183540 transcript:rna-gnl|WGS:NBSK|LSAT_5X183540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTIRHSIWQDLMEKKVPFFLTRLLGRSPQNSEFGEQKGWGITADDTRFGGWGSSSSPGSTSSGSIANGWADEPSDNHYNGWAYEKPENEYNGWESSIPGDESATPEASSNGCMKVELPESVSTSNQRLLNAESFPCPPVPSAPPLPYVGSNEHHATNASNHLCLDVKNDVVSVNQIKKGNASCVVCWEAPVEGACVPCGHMSSCMPCLRQIESKQGICPVCRAKIDKVLRIYAI >cds-PLY73788.1 pep primary_assembly:Lsat_Salinas_v7:8:150492581:150493411:-1 gene:gene-LSAT_8X101460 transcript:rna-gnl|WGS:NBSK|LSAT_8X101460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCVFVGLLDSSTHRQITSSIEGLSSIPTDIIRFYSTDTHSAASPFSLRLPSTSTGLPLDQGGGEGTKQVCCWSIHNECEARPTSID >cds-PLY92442.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:190216:194325:-1 gene:gene-LSAT_0X14601 transcript:rna-gnl|WGS:NBSK|LSAT_0X14601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMHDANDAESLDDDFYSGETAMDSDDGDAADYEFIDNDSDDSDDLLSRRSQQNYTILNEADIHLRQEDDIMKISSILSISHVAAAILLRHYNWSVSKVNDEWFADEEKVRRVVGLLEEPRALPNVKELTCGICFEVYPCDSMSSAACGHPFCVTCWKGYISTSINDGPGCLTLRCPDPSCGAAVGQDMIVSMVSHDDAEKYQRYFLRSFVEDNRKTKWCPAPGCDYAVDFIVGGGTFDVTCGCSYSFCWNCTEEAHRPVDCDTVSKWIMKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYEAAKQEGVYDDTEKRREMAKNSLERYTHYYERWATNQSSRQKALADLQQMQSTHLEKLSDKQCQPESQLKFITEAWLQIVECRRVLKWTYAYGYYLPEREHAKRQFFEYLQGEAESGLERLHQCAEKELQTHLNVESPSKDFNEFRMKLAGLTSVTRNYFENLVRALENGLSDVDGHGGSSRTGGARTLGAGSSKSRSSRSKGAARSSSGSRATDDSGQHWSCEYCTFANAKSATVCQMCQQRR >cds-PLY74947.1 pep primary_assembly:Lsat_Salinas_v7:5:233172840:233173222:1 gene:gene-LSAT_5X116221 transcript:rna-gnl|WGS:NBSK|LSAT_5X116221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTATKLVPVGYGIKMFQIMMTIVYDLMSIDTFIEERLCEEPLMSNSCDIVFNKGLSSWFMIFFDLSNGKPSIGFIFPISILFSDYGFMLEYALILRNN >cds-PLY84254.1 pep primary_assembly:Lsat_Salinas_v7:7:77411166:77412677:-1 gene:gene-LSAT_7X53941 transcript:rna-gnl|WGS:NBSK|LSAT_7X53941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSCYRSEVQRLTALLHSRICDDADATICLPITPMTLPQQPTTIENLSGDLLSKIFILLMAKQLAQMRCVSKSWNALLSHPSFIKSHLHHSINNNDRILLVFYEKTSSSDHEKFVAYPCRPPYLELSNFIKLPLPPVNPKSGDNSSFTDIIGSVHGLICSRYTDDVIHIWNPSLSAVSTLPPYSCSLGDDVSFGFGYDGNTDDYKVVKISGVFGPHTIRPGFSILVVKEWLQAEIYSMRKGCWKFITQRIPSHVAMIFEHNYVCVDGHDGHLHWSGYIVEEGEPQPRTIVVFDLGSETLFEMPLPDAILEDNRMNHLGVLSGKLCVRSYRWFEDEGIDVWVMEEYGVAESWVKRHSFCFSHFNCCPLNGFTSHSEFIYRNTDGHLVLYDPVADKTRILEKHCRGKYRPKRIVEYIDSLVWVAPSLP >cds-PLY97796.1 pep primary_assembly:Lsat_Salinas_v7:7:119712093:119712491:1 gene:gene-LSAT_7X73540 transcript:rna-gnl|WGS:NBSK|LSAT_7X73540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENFGVQNLKVGQLAEFTTVERGFRCAWFRCKVVVDSSQIVPISKTDIGRPHMWLKSTAPLGCVAGRSTVSVKLELLPLTSGIITLDSLQVNVEQGNTHSDSKLF >cds-PLY61995.1 pep primary_assembly:Lsat_Salinas_v7:5:89401719:89406923:1 gene:gene-LSAT_5X42660 transcript:rna-gnl|WGS:NBSK|LSAT_5X42660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLSWQLPTTPFNPLSLSIKPYKYIPSVSSTFCCLSNGGEKVNSTKNGYGGGGVHVPRQKYIAISKSELLDAIVTMFDSRKEKDEFLLMSSCLDSILHAEHKSILEEMRTDYDLTHSANHKLTNREDATSEGKDLAIDLSNILGYSTKNIETSQDISRVPVDTRFQRAFIQLLYNAEFEELSAADLMLTSALNTDYLLTLPVYVDWKKASESKAIIFRRGYATERQNGLLIGEKLDYLQSKLLQALFFGISKPLGKIGIWFAQAFEDSIKGQDLEVWIKRVKVWLKEVSVFRKSYIFDEQMDDDDVIKAQGVEEMSDKELPIWIAAQRAVARYEGILSSSGPRGRLLRKLLMWTGLIPSMPEKIFNLESDITSSESYLRPIFLSRISLSDIWEPASRKFCENNPWKMLKTAFSIFLSRTVLQEPAFQELILLYTKETEEKESINQTPVLQLKIYEKIPIPDLPVRLDAASILGLLAYFINYKFEDIKSSPSAILLDVIAASALVIYLSRIILGYKQTWDRYQLLVNRTLYEKTLASGFGSVHFLLDASEQQQYKEAILAYAILLKSGSTQATFADSARDQCERFMYDVFRKKVEMPIDKAVNTLMRLDLLIEKEVGGRVGLLPIPCSKAYTILKQRWNSFVS >cds-PLY76310.1 pep primary_assembly:Lsat_Salinas_v7:6:153242442:153242906:-1 gene:gene-LSAT_6X92301 transcript:rna-gnl|WGS:NBSK|LSAT_6X92301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMPSPTDNPVDEEAMEPPAEANTFSFVIAFLFNIVAHIVNHLKPSHRVWTNYYQRTFGEEAVIMHPSPFSFIITSLCVFAEIKSKGSPFPFQTHPHSMNIIVTSMLFYGLASAAEHYISATHLHPPSVYVIIARLGRICCLSILVASLASLFYL >cds-PLY90902.1 pep primary_assembly:Lsat_Salinas_v7:1:57724878:57726791:1 gene:gene-LSAT_1X49441 transcript:rna-gnl|WGS:NBSK|LSAT_1X49441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATMLLLLGLLISLLASIDAQSVGVCYGRNGDGLPSQQDAVNLYRSNGITRMRIYDPDQATLQALKGTNIELMIGVPNDALQSLNDQGAANTWVRNNIQNYPDVRFKYIAVGNEVDPNNGNSQYVNFVLQAMRNVQNAINAAGLQNQIKVSTATYTGLLGVSYPPSDGAFNDNVRGFIQPIIGFLTENNSPMLANIYPFFSDPNSNLPYALFTAPGTVVTDNNNGLQYSNLFDAILDAHYAAQARLGGGNVEIVVSESGWPTAGKDVATTENARTYNTNLIRHVRGTTGTPLKPGRSIETYLFAMFDENRKPGEESEKHFGIFSPNQQPKYPLSFN >cds-PLY75943.1 pep primary_assembly:Lsat_Salinas_v7:4:123710289:123711475:-1 gene:gene-LSAT_4X77881 transcript:rna-gnl|WGS:NBSK|LSAT_4X77881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGIGGCCIARHEGRGGCGGLYGMSKVERIMLKYRPIAPKPVAAGSGSGGSTTETSDGYVKCGRGKRKYVRVNGNKKKNGNSKRGSSENKKATTSLCPPPPSTGEDTVVTLSLLPETPDRKENSQVTGFADLLSSTQIKKCKCNNNKKTAPAPVWLSFDNKEQKVGFDPVVVKPPPPPPPRRLQVVSYVTVECVRESWIDGLGIEYCTDKERVMNMEKDTCPGFISDGQDRVVWTNKAFRQMVGVGDVISGEDMSVVLVRKNNWMPSPVRYPTFTCKVTITSAVATYCNGTTPSPASPTRTLPCDVWRMERGACAWRLDVKAALSLGR >cds-PLY94018.1 pep primary_assembly:Lsat_Salinas_v7:8:99451771:99453616:1 gene:gene-LSAT_0X12461 transcript:rna-gnl|WGS:NBSK|LSAT_0X12461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVTLNVERFSNYFQEAPMIHIPGFTHLMRAQFLEDILEITGYKLTSFNQIDDYGQEKLWNTQRQLLPCKKKNEITSLVEDALNQSKFENYSSKSLDSLSALQPREPLVVQMLWSFLKMIGALDENENLTHLGLFPGIAYVVMSMSFKTMDDGQVLLYAVSIKEIAMLFHSFFSYFFHSSLGIFFLGS >cds-PLY66637.1 pep primary_assembly:Lsat_Salinas_v7:5:149962042:149962850:-1 gene:gene-LSAT_5X66420 transcript:rna-gnl|WGS:NBSK|LSAT_5X66420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNKWDIMRNEFKYYDYLTRLETGISTDPVKNTISASKEWWDEKIKEYKEYAKFKDKNLDVYQTYYEALFRDTVAVGDKAKVLCEFGDGSTPDDVQFVDITDGKEDTDEVLLFDDVDPFLTFDSSSMKRRGKKLTPRRDKKIKFEGKNERKSEGNSSYEEKLDTVFDVLLTRSTQPSRQTTQSPTIEECMAIVSTFPGFEEGSIGYLKALEVFLKKPARQNFMVLKTNETNMEFLKRLIEKEK >cds-PLY90109.1 pep primary_assembly:Lsat_Salinas_v7:6:18319444:18320420:1 gene:gene-LSAT_6X14061 transcript:rna-gnl|WGS:NBSK|LSAT_6X14061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSWELSSLTSKIDHMHEFLRRQLENCNRIVGEKKEIEFRRSFKQLFETSHMDNMKILKILISPRDDIQPLFDGNTKMKVSLEVLRRRNVLLLISGLDMSREELSILEEIYNESRIHGSRTNALYEDRWHFRKRPILVVLDPQGRELSPIMQQIKKLLSYDKDGSWALLCRGSKILINGHGTTMMQTPSDFDMWKKDIETKGFDLSFMEYHDKLHVAANNCCRFEFPVAASRVPDGMRCPECHRVMEKYIAFLCCHDQDGPLELD >cds-PLY62186.1 pep primary_assembly:Lsat_Salinas_v7:6:31651272:31655006:1 gene:gene-LSAT_6X24221 transcript:rna-gnl|WGS:NBSK|LSAT_6X24221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWGNKMISPTLATSYTRESKPDSGNLKKVLLVFCMGFSAWAYRTALPPLPKMVGSPGGPSITSPRIKLRDGRHLSYIESGVSKDTAKYKLIFIHGFNLNKYHHPFSATASPALVEELGVYFVAIDRPGYGESDPDPKRTVKSLAFDIEEVADHLNLGPKFYVAGYSMGGQVVWSCLKYIRHRLSGAVLIAPAVNYWWPHLPLNLTNEAFSRQLPQDQWSLRVAHYLPWLSYWWNTQKWFPSFSIIDGNHAILSPPDIEASSKLFGGMNRDQLQAMQSQPRQQGEFESLHRDLNIGFGKWDFDPMDLENPFPNNNGSVHLWQGEKDLIVPVTLQRYIAHQLPWIKYHELTNTGHFIAFADGVIDSILKELLTKKN >cds-PLY78592.1 pep primary_assembly:Lsat_Salinas_v7:1:103706706:103711787:1 gene:gene-LSAT_1X83841 transcript:rna-gnl|WGS:NBSK|LSAT_1X83841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTASTVGVAVKALLIVLFCVMVAGFAYVFAIDGFISCFNLGARWGIALLMEFSVYTAVLGAWVFYKESSWIKATIFIVLMYVLGSLLSVGYVLVQFFKLSREESSTNPLYFVLVRRHKREHTSGISVVTARVIFSTIACLTLGALIYTIIKDISGSYSEAFSKCFLTIMTDLYVHVVMLSVWIAYKESSWIISSLWIILHLCFGSITLCVYILRLLFCLSPDQPASLIIFNGSDIYSQSSDPLLIPHANV >cds-PLY63821.1 pep primary_assembly:Lsat_Salinas_v7:3:229794517:229796012:-1 gene:gene-LSAT_3X130840 transcript:rna-gnl|WGS:NBSK|LSAT_3X130840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRSHEDFDACTSTEAMNIDLDLDLNPLVEETLLPENRKLDGFLGQECNSASSIVDHKSSEKQSPMENVESSKFKAVMTRSHENFDECAKDEMIDIDEYRMVEDFEHNPMVSLHDDVVVYCEPTFTDAESAASKSHQTSNADVGFTVVDSDETVTPVSKFSSTQHQVEDFYYEDVDISTCDDYDLVTVADYSFPMQQQTDDSLPLNWQTKHFCSSPFDLGKLWDTSTSTLENPFGDLTSNITSSVPQKQAAAGGSIVNESYWMARRKYTSPSNTETCGRC >cds-PLY94268.1 pep primary_assembly:Lsat_Salinas_v7:1:156743696:156744720:-1 gene:gene-LSAT_1X108360 transcript:rna-gnl|WGS:NBSK|LSAT_1X108360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTISRRLASKILNPSSSPALFSLYPTSYRLIPDDSHRSFSNSTPNFTTFNLPSSFPTIPVGTSHGLIRSNTSFNASFKIQSLNPNLYQNPKCLSTSIPQSDPEETQKPNENQSQTLDGFKHQEITGPTVERDVSALANETRQVLDQMTKTIYTLSKSLALLGLFQLGLGAWISYVTKSTPIPEVSVQSCLAFGLPFSLSFMLRRSLKPMSFFRKMEEQGRLQILTLTLQVAKNLNVFFVRLNVVSYLCIGVASLGLLVIALY >cds-PLY71686.1 pep primary_assembly:Lsat_Salinas_v7:3:47537110:47538782:-1 gene:gene-LSAT_3X35940 transcript:rna-gnl|WGS:NBSK|LSAT_3X35940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIFIPFPLTFSVLLPFFLIVLFIYRNSKRRINKERLPLPSGEMGLPWIGETVEFYKAQRTNQLFENFFQPRIMKHGQVFKTKLMGSPTVVVNGATANKFFMSNEFKLVVSSWPTSSVELMGKNSIMEKQGDSHRCLRGIITSTLSITGLQAMVPRMCSSIQKHLQQNWQHSEEISLYRSTKMLTFTIVLECLFGIGIEPETLFGVFERVLEGVLSPPVNFPGSKFSRAKKARREIEKVLITEVRRKREEMEGGRDEEDGMLFSKLVAALIRGEITEEEVVDNVVLLVFAAHDTTSYAITMTFKMLATHPDCYSLLLKEHEDIARNKKPGESLTLEDVKKMEYTWQVARETMRLCPPIFGSFRKATTDIEFEGFTIPRGWKVLWTTYGTHYDERCFPDPMSFNPSRFADPVQAYAFIPFGGGARLCAGYQLAKLNILVLVHYVVTQYNWSLLDPEEPIFMDPLPYPSKGMPIRISPKSDN >cds-PLY95288.1 pep primary_assembly:Lsat_Salinas_v7:8:112935710:112936936:1 gene:gene-LSAT_8X74560 transcript:rna-gnl|WGS:NBSK|LSAT_8X74560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKKDELRYHHFSHDHFLDYTKLQPHGHASCSGCRQTISQGRFYYKCKLCGFYLHQTCFNMPENVTHPSDSNHNLTLETFPTTSKLPIKCKACENPIKGFYYRCSKCINYYHTLCLAAPLSMKTPLHRHSLNIEFKPVYDFQCDLCDKPSYSGWMYHCNLCEFDAHLSCVLTNKGSLQPAVQSSAKGNQQRDELIELLTRGLDLHQPHDQNQSNMLSNDQDIANQSFQLSETCFSIDFQNSLLGNDGDKMQYLGHGGGVREAVIPEDSRMYGGTTDDGDSQQQVFEESKRSERSRSSSYSQKSIAVSSGVIGAHIWTELEEATEKRNSKPGDTRLFESNTGRPRLSRRNFMCCFHGQISS >cds-PLY71184.1 pep primary_assembly:Lsat_Salinas_v7:2:133935363:133937034:1 gene:gene-LSAT_2X61760 transcript:rna-gnl|WGS:NBSK|LSAT_2X61760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEVAHDYVTPMGENNEAWGNINELSSSQFFKLPRVVDEVLDMMDKVTGDKKVTYVGDNNYGVDKSLKETINEETTFTTQSSRNLLTDLNESVVVKDRGNIEKESNVTGRRKGKVTNYEQTPFVDRITRVHERVRKEETTLCNSIFASKRDQLELGTTARLYFNTYFMNELVMDETELEISKLKEFTLMLEMFIGNLDIKANISDVGLVFFPIADVDKYYLLCFNLKRGRYLIIDHVKRIGTVESRYGKIPRTFQRFFCNYLMTQNHRMHAELYSKEAKICRVVWEVRDIGPDCGLYLMRHMECYKGDPEGKWETGFKGIKHSDVAVLSRLRYKYMYRLMTSDHNLQKYMLLEEADKLSKLDILQKSMLFDEAKELAKNKRKKYKKNQGKGKSG >cds-PLY93344.1 pep primary_assembly:Lsat_Salinas_v7:9:58041254:58041559:1 gene:gene-LSAT_9X51140 transcript:rna-gnl|WGS:NBSK|LSAT_9X51140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEEPKKPVDEFGEYEQKPQPKSKDQKVNEDSGSKGKGKLIDVDDDEEKEDEGAKLQRKSHDKELDELNRVANEVEAREKELHDAQVAHETQKALFPP >cds-PLY62158.1 pep primary_assembly:Lsat_Salinas_v7:2:153280319:153282350:-1 gene:gene-LSAT_2X77520 transcript:rna-gnl|WGS:NBSK|LSAT_2X77520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEVNSKLKELVKSNRLSNARQLFDKLPHRDEITWTTIISGYVNTSNSSEALSLFSNMWADPSQRMDSFVLSLALKACALSFSAKQGESLHGYLVKINLVSSVFVSSALLNMYMKTGKVYEGCKVFDEMPIRNVVSWTAIITGLNHAGFHIEGISYFSNLLQNGMSYDSYTLANVLKACADACLLRTGKEIHTQTLKKGFDKTSFVANSLITMYNKCGKGEYALYLFDKIKTKDVVSWTTMITSYVQMGQEHNAFNAFLRMQESEVSPNEYTLAGLISACANLARIDFGTQFHACVLRNGFIKFMSVANSIVTMYSKCGKLDSSSIVFQEMRQKDIVSWSTIIRGHALMGCGEEAFKYLSLMRNQRLKPNEFAFSSVLSVCATMANLDLGKQLHAHCLCVGLDHEPMVQSGLINMYSKCGSILKALKIFNEVKCNDIVSWTAMVNGYAEHGLSQQAIDLFERLIGSGLKPDAVTFIGVLTACSHAGLLDLGFQYFDLIKKYNLTLSKEHYGCMIDLLCRSGKLREAENMIKTMPFSGDDVVWSTVLRGCRLHGDVDFGQRAAAKILEKTPNCSSTYITLANLYSGKGRWKEAADLRRLMRIKGVVKEPGWSWIKVKDCVFAFSAGDHSHPQWEDIDCVLRLLCLRKEKDLLLYNIDEVEKIWYSDSS >cds-PLY94385.1 pep primary_assembly:Lsat_Salinas_v7:6:9109268:9111070:1 gene:gene-LSAT_6X6461 transcript:rna-gnl|WGS:NBSK|LSAT_6X6461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLESMAATSLLGPTPILGHHWRQSSGKRVSMNPNRLSKVYAIKAQAMELTREIYNFKEEDRFQKNSDNQFNTNHNLDRKPGSWPPNNKADNPSLQNPLLRQHQMGCGWLGAIFEWEGVLIEDNPDLEKQSWLALSQEEGKSPPPAFLLKRIEGMKNEQAISEVLCWSRDPSQLKRMSSRKEEIHQALQGGIYRFRDGSREFVNVLTRYNIPMALVSTRPRKNLEEAIGAIGINGVFSVVVTSEDVYRGKPDPEMFMYAAQLLKFIPERCIVFGNSNLSVEAAHDAKMKCVAVASKHPVYELSAADLVVRWLDELSVVDLKNLADVETETEVEMELEEGNVLPSSRVAVDEHDDDDDLW >cds-PLY86489.1 pep primary_assembly:Lsat_Salinas_v7:8:134725292:134733860:1 gene:gene-LSAT_8X91480 transcript:rna-gnl|WGS:NBSK|LSAT_8X91480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAEAVNIILGTHVWVEDPNICWIDGQVTKINKQEVEVETTDGKKTYTGNILIAINPFQRLSHLYETHMMEQYKGAPFGELSPHVFAIADVAFRAMITEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRKGTEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKHDRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPPEEVEKYKLGDPKSFHYLNQSNCFQLVGISDAHEYLATRRAMDVVGITDKDQEAIFRLVAAILHLGNVQFIKGKEVDSSLLKDDKSKFHLKTCAELLMCDRAALEDALLKRVMVTPEEVIKRSLNPDAATISRDGLAKTLYSRLFDWLVDKINMSIGQDPNSKYLIGVLDIYGFESFKQNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEKIDWSYIEFVDNKDVLELIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFTKHARFIKPKLARSDFTISHYAGELQLQSLMETLNSTEPHYIRCVKPNNLLKPSIFENANIMQQLRCGGVLEAIRISMAGYPTRKSFFDFLNRFSLLAPEVLGGNLDAKDACKKILDKMGLQGSQIGKTKVFLRAGQMAELDGRRAQKLNTAAKKIQRKIRTHITRNRFLSLRKASISLQSLCRGKLAAKLFDHMKRQAASLKIQKNYRRHLFWMSYDRLKHSSVVLQTGLRAMAAHKAFRYKKTTKAALLVQANWHRHRDFKYYKRLMRAAIVTQTRWRGRVAKKELRKLKMAARETGALQEAKDKLQAQVEDLTWRLQLEKRLKTDLEEAKGQEILKLQNSLQAMQAKFDETNTLLTKEREAAKKAIEEASTIVKETVPIHVEDTEKIDKLSAEVEELKKLLESEKNRANESEKKCNETIETSEAKQKKLEETVNRANQLQESMNRLEEKLANIESENKVLRQQALTMAQNTKLQAARSKSIRRTESTKSTSTPSTPVDLHSPSMQMRDNDVEERPQKSLNEKQQEYQDLLIRCIAQQLGFSKGRPVAACIIYKCLRQWRSFEVEKTSIFDRIIQTIGQAIETQDNNDVLAYWLSNASTLLLLLQRTLKASGAAGAPQHRRTTSATLFGRMTQSFRGTPQGVNISMIDGGSDGASDTLQQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRISRANLLKGTAVALASAASQDILIAHWQGIVNNIGCFLNMLKSNNVPPFLVRKIFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLSELEHWCYKATEEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSLSPDVISNMRVLMTEDSNNAVSSSFLLDDDSSIPFSVDDLSKSMDQINIADVEPPPLIRDNSGFSFLLPRAES >cds-PLY97080.1 pep primary_assembly:Lsat_Salinas_v7:4:72668214:72668531:-1 gene:gene-LSAT_4X49021 transcript:rna-gnl|WGS:NBSK|LSAT_4X49021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHILGRNPCFSAYFENRDLLIRLHPTTETKGKNPNDHPLLPFLSKLVMVVGSKKGTGDQFRVEVYPLVRVFRTQAKYFIRVQPIDALLNDLLLIFFGTILFGVAK >cds-PLY68837.1 pep primary_assembly:Lsat_Salinas_v7:3:61419091:61420708:-1 gene:gene-LSAT_3X48720 transcript:rna-gnl|WGS:NBSK|LSAT_3X48720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKEMSIKAEEKNINDGEEAEGFVKTSISMRSFPPVSASSEDVPAVAYNMDGIGNKTEQPWSFRMFSNEDVTIGAWMLAMNVNHEENHQLCQTECTPTSIAVWDLPKCLDLMCVMNI >cds-PLY92431.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:1068217:1069480:-1 gene:gene-LSAT_0X21500 transcript:rna-gnl|WGS:NBSK|LSAT_0X21500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERLLGLLRIRIKRGVNLAIRDINSSDPYIVIRMGKQKLKTRVMERDVNPVWNEDLTIFVYDPELPIKLAVLDHDFFSMDDKMGDAEFHLKPFLEGLKMNLKNTPSGTVINRIKPSKSNCLAEDSCITWRNNVVVQDLCLRLRNVECGEVEIELHWIDVPGCKLS >cds-PLY97422.1 pep primary_assembly:Lsat_Salinas_v7:4:16180558:16181975:1 gene:gene-LSAT_4X10841 transcript:rna-gnl|WGS:NBSK|LSAT_4X10841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDLEKHFAFYAAYHSNPINIAIHMIFVWPIFFTAVLLFNFIPLPFDLPHIDFSLFGNHVLLIFNFGFLLTLIYAMFYVCFDYKAGSLAALLCLFCWIASSVLASLLGFQLAWKVVLVVQLVSWTAQFVGHGAFERRAPALLDNILQAFLMAPFFVLFEALHNFFGYEPYPGFHVRVTSMVHEEIEDYRNMKGELLS >cds-PLY97454.1 pep primary_assembly:Lsat_Salinas_v7:6:90938007:90939272:-1 gene:gene-LSAT_6X63040 transcript:rna-gnl|WGS:NBSK|LSAT_6X63040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase zeta processivity subunit [Source:Projected from Arabidopsis thaliana (AT1G16590) UniProtKB/Swiss-Prot;Acc:Q94FL5] MDCKENESPESRETSRVVVEFLEVAITSIIFLKGIYPPGAFERRRYMNLLVHRARHPQLNRYILNSVNALEPYIQQGLVERIAVIFFKDDKIPVERFMFKINVNQSCYDGEMMDMEMRLFLVKLSLSEPFSKNAAVLSQSKKSDWRWEITGYFCSLPEAEVGAWISSNTYQWKQPPVITPIKSMNIQPLSLQLYLEHPPPEL >cds-PLY91361.1 pep primary_assembly:Lsat_Salinas_v7:8:47818224:47820535:-1 gene:gene-LSAT_8X35641 transcript:rna-gnl|WGS:NBSK|LSAT_8X35641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASCSGEETTNRTYSRNMEDEYQKFIRRMNPPRVVIDNESCKNATIIQVDSANKHGILLEVVQVLTDLNFIVTKAYISSDGGWFMDVFNVTDQEGNKIRDQGILDYIQKVLGSDTSFTNSIGVTSSTDRTVIELTGSDRPGLLSEVCAVLTHLKCNVLNAEVWTHNTRAAFIVQVTDNESGSAVSDPERLTTIKQMLSYILEGSNKAREAKTSVVSHGVVHTERRLHQMMLADRDYERGSGDGGDGDTRRPEVNVVNWYDKDCSVVSIRCKDRPKLLFDTICTLTDMEYIVFHGHVDAEGPEAYQEYCIRHVDGSPVKSEAERERMIQCIEAAIERRVSEGLKLELCTTDRVGLLSDVTRIFRENSLTVTRAEVATRGGKAINTFYVRDASGYSVDSKIIESIRKEIGQTMLKVKNNPQDSNTSPQESPTRFLFGGLFKSKSFVNFGLVRSYS >cds-PLY94494.1 pep primary_assembly:Lsat_Salinas_v7:2:156025526:156025783:1 gene:gene-LSAT_2X81480 transcript:rna-gnl|WGS:NBSK|LSAT_2X81480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGPTAVMSLVEFDRLLSVESRLRKSTSEIHYLMLSTSLTGRDGGAGSGDARGGGGAGGGVDRSVARTVGLQVVGDGLGEAVS >cds-PLY62708.1 pep primary_assembly:Lsat_Salinas_v7:6:55670764:55672309:1 gene:gene-LSAT_6X41541 transcript:rna-gnl|WGS:NBSK|LSAT_6X41541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTQMKKPPKLLHKSAGNDSCCIFRVPQSLFEINREAYQPRIVSIGPYHHGNKHLEMIEEHKWRYLDDLIQRTGKSIGYFMTIIVSMQDRIRQSYSESLDHFSANDLAKMMVLDGFFLIELFRKVGKLVETDTDDPIFRMVWVSPFLMRDLLKIENQIPFVVLQKLFDVSKDGSKPDDRTLSTLILEFFNYTVDRKKEILNEHKNTEGKHLLDFFRKSFINPKEQNPVPTFDISKNSSQKLIQTPTKLKINNSYLKLIPPANKLKIVGVTFKASHEPDSFLDIEFRNGVLSIPQINMDDFYSSFFLNCIAFELCYFHCSKHITTYVVFMGCLMNTSTDVGVLSEDKIIENYFGTDKELAKFFKSVGKDVAFNIKDNYLTGLFVEVNEYCENGWHVHLAGFKHTYFESPWAAVSAFAAFLLLCFAGLQTFYTVYQYYKAKKG >cds-PLY69760.1 pep primary_assembly:Lsat_Salinas_v7:5:231642543:231650371:1 gene:gene-LSAT_5X111720 transcript:rna-gnl|WGS:NBSK|LSAT_5X111720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYPHYISLVYVVFVSFLHFSHSHNAPQDYVKAHNDVRKLVGMGPMKWDANVAKFAESYANKRKDCALIHSHNPKYGENIAWGTGEFTGLDAVKLWADEKRDYDYNSNTCKPFKMCGHYTQMVWKNSIRIGCARAKCMNGAWFVTCNYDPPGTDLGRC >cds-PLY77777.1 pep primary_assembly:Lsat_Salinas_v7:2:168986147:168995056:-1 gene:gene-LSAT_2X92600 transcript:rna-gnl|WGS:NBSK|LSAT_2X92600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILSSAKSLLLSPIKHFIHGDFHDIYQRMTLIDRLLFLIIHGIDKIGIQWHRLPVFLGLIYLAIRRYLHDEYNLLNVGKTPVGVRFNAGDIPFRTADGKFNDPFNEDAGSEGTFFGRNMPPVDQKDKLLKPDPMVVATKLLARRQIIDTGKQFNMIAASWIQFMIHDWIDHLETTQQIELKAPPEVANQCPLKSFKFYKTKEVDTGFYDIKKGQLNIRTSWWDGSAIYGSNSSKLNQLRTFNEGKLKIGNDGLLQHDSDGVPLSGDVRNGWIGLSTLQALFILEHNAICDALKKEYQDLEDEDLYRHARLVTSAVIAKIHTIDWTVELLKTDMLHVAMRANWYGLLGKRFKDTFGHVGGAILGGLVGLKKPNNHGVPYSLTEEFVSVYRMHSLLPDQLFIRDVNSTPGPNKSPKLTKKVDMINLLGRRGEMELSEIGFTTQMVSMGHQACGALELWNYPTWLRDVVPQNVDGTDRSDHVDLPSLEIYRDRERNVARYNEFRRSLFLIPISKWEDLTDDKEAIDTLREVYNDDVEQLDMLVGMAAEKKIKGFAISETAFVIFIIMASRRLEADRFFTSDFNEDVYTKKGLEWVNTTESLKDVLDRHYPEMTDRWMNSASAFTVWDATPDPDNPIPIYFRVPK >cds-PLY87803.1 pep primary_assembly:Lsat_Salinas_v7:4:293101425:293101730:1 gene:gene-LSAT_4X148740 transcript:rna-gnl|WGS:NBSK|LSAT_4X148740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTGGTFNHSYVKSNPREVENTTWMLTVFHCFGQYFCLHFEAFQLGMTLVYMAFLRFMGDEKDTRITAIV >cds-PLY68603.1 pep primary_assembly:Lsat_Salinas_v7:5:345325:345597:-1 gene:gene-LSAT_5X480 transcript:rna-gnl|WGS:NBSK|LSAT_5X480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLRWRSYGWRMEALRPRLQLRRLSVTRLLIGIDHNGSSDAVTNGDEEGKRWGNSHRKQQLRHHGVLLRLPIAATPHASLPLTVVKPVGE >cds-PLY92997.1 pep primary_assembly:Lsat_Salinas_v7:4:193672389:193675054:1 gene:gene-LSAT_4X110861 transcript:rna-gnl|WGS:NBSK|LSAT_4X110861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSVEKQFVHLKIQLASIISATNNFSEENCIGKGGFGNVYKGDLVHSKGKTKVAVKRLVSEFGQGNSEFWKEVIMLSVYRHDNIITLIGFCDEMSEKILVYDYVSNKSLDLHLNNKDLNWVQRLTICIGAARGLAYLHNPANTHQRVLHRDIKSSNVLLDENWNAKIADFGLSKFGPANQQYTFIVSNNVVGTIGYCDPLYLESGLLTKESDVYSFGVVLFEVLCGRLCIGKKENSHTFTQLVRKHYKEDNMYGIIFSHIKDEIHPNSLKAFTTIAYQCLKRDHKRRPLMTEIATQLETALNYQGPEVRSGDVPKPIPLQEGKEFNFTIKSGVSTNDTVSVNYDGFINDVEPGDIVLVDGGMMSLAVKSKTKSLVKCEVIDGGELKSKSNLIVRRKSPSLPSITGPKSNKSTGNYNQIEEKKIPNTPHTIESLNQLKENVHMIEPEESWAGESVDDVEKLKSTLQNVYAELDVEREASATAASEALSMILQLQEEKAKMQMETSQYKRIMEERYEIQSRSFETNQE >cds-PLY91578.1 pep primary_assembly:Lsat_Salinas_v7:1:11499490:11500387:1 gene:gene-LSAT_1X9780 transcript:rna-gnl|WGS:NBSK|LSAT_1X9780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAEKCFQMVALAPRATVSLQRHNHRRFISMDNPYGCFTQILKPPMKASIITSSSSALTFNHRTRSPVVCKSAVNQVEVVTGCTWTELVVAADMAVMVEFWAPEREMISPVVDEVAREYVGKALCYRINTDDYPNVATQYGIKTIPTLLFFKNGEEQETLIGVVPKSTISATLHSYT >cds-PLY99110.1 pep primary_assembly:Lsat_Salinas_v7:8:204229075:204229578:-1 gene:gene-LSAT_8X130461 transcript:rna-gnl|WGS:NBSK|LSAT_8X130461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTIKILPFCFLFAGILFFRPTTARFIHLSVTPDIKSHDSDPALSALVDSSSSLVPAPAPSLDVEGNGESGGQEVHSEYHHHHSSDKSVAGGDVIIGGLVTAVFGTLYCYIRVTRRKDGEK >cds-PLY77174.1 pep primary_assembly:Lsat_Salinas_v7:8:25669114:25671487:-1 gene:gene-LSAT_8X19541 transcript:rna-gnl|WGS:NBSK|LSAT_8X19541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSEALVVLASASSMSREREEFLMLVKKEINFYHSMMEKEGIDSRKEAMEAYRAAHMESEDSTTNEQPVADEVSSSLINRVNAMLQNLEKEIDDVDEKIGDRWRVLDSQTALTAFKRAEESKDYADCIKFLYAASLVESCSDEFNKSKRVDPVNIYTTSAKLSKNCAQEYEKQKEMAAATLAYKCMEVAYMRIVYCKSSVTRQDLQISLQMVNQGTFY >cds-PLY69274.1 pep primary_assembly:Lsat_Salinas_v7:7:131034776:131037779:-1 gene:gene-LSAT_7X77881 transcript:rna-gnl|WGS:NBSK|LSAT_7X77881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSMKRQPKAIFMAFGTKGDVYPIAAIAAAFACDQMQYNVVFITHSAHQDIKEHLTRKKVTCFPVSSPPALSPCEFNNTSGFHEEKKKLTIDHRQECVVIFENIFGDVASIEGDLVIINFFALEGWSLAEVFDVRCVVAAPYVVPYSAPSSFERKFKQELPLLYDYLQTAPTNKICWKDVIHWMWPLFTEDWGTWRSTKLHLSPLPFTDPVTSLPMWHNRPFSPLLLYGFSKQVVEYPGYWPSNVHVCGFWNLPMEWQFSCKECAQITILPSSENMNKEALLCLAHTKLQLFLNAPASQPPVFIGLSSIGSMGFMKKPHLLLQVLESVVEVTNYRFILFTSGYSPLDAATRFLAGEASSNSCQEIKDGISLFGDRIFCFSGSVPYKWLFPKCAAAIHHGGSGSTSAALHAGTPQVLCPFVLDQFYWAERMFWLGVAPEPLKRNQLVPHEDDDVSIKEAATALTKAIDFALSSQVKENASKIAQRLSSEDGVLEAVEMLKRSINVSRSPKED >cds-PLY85343.1 pep primary_assembly:Lsat_Salinas_v7:5:2133801:2137456:-1 gene:gene-LSAT_5X2281 transcript:rna-gnl|WGS:NBSK|LSAT_5X2281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAPLRNPKLSSSLIFYFFFFPVICHSIDIQGQALLTWKNTLNSSTDVLKSWNPSDPTPCNNWLGIQCNSDAHVVAIKLNSLDIQGPLPSNLQPLNFLNSLILSSTNLTGTIPKEFGDYLQLTLIDISDNLITGSIPPEICSLNKLRTLSLNTNLLEGGIPLDIGNLSSLENLMIFDNQLSGEIPKSIGKLERLQVIRAGGNKNLKGELPEEIGNCSNLVMLGLAETSISGSLPASIGKLKRVQTIAIYTSLLSGPIPDEIGNCTELRNLYLYQNSITGSIPRLIGELRKLESVLLWQNSLVGTIPEEIGRCSQLLTIDLSENSLTGNIPTSFGGLSKLQELQLSVNQLSGMIPLEITNCAALTHLEVDNNQLTGEIPISIGKLQSMTLFFAWQNNLTGNIPESLSRCENLQALDLSYNQLFGTIPNQIFSLKNLTKLLLLSNDLSGFIPSDIGSCTNLYRFRVNGNRLSGIVPSEIGNLKNLNFLDMSNNKFVGGIPPSISGCGNLEFLDLHSNGLNGVFPDTLPKSLQFVDMSDNRLTGSLSPSVGLLTELTKFNLGKNQLSGEIPAEIRSCSKLQLLDLGNNGFSGEIPKQLGQIPSLEISLNLSCNQFTGEIPSEFMGLTKLASLDLSHNRINGKLDVLTNLQNLVSLNVSYNEFSGELPNTPFFRNLPPGDLAGNKALYISGAVVTPADKTGHARSSTKLAMSILISISAVLVLLGIYTLVRTRLVNKEVIRETWEMTFYQKMEVYVDDIVHDLTSANVIGTGSSGVVYKVTTSNGETLAVKKMWSTQESGAFTSEIETLGSIRHKNIVRLLGWGSNQTIKLLFYDYYPNGSLSSLLHGAGVGAGAGKQGGAEWETRYEVVLGVAHALAYLHHDCVPAILHGDVKAMNVLLGPNLQPYLGDFGLARLVTKDQNQRPQLAGSYGYMAPEHGSAQRITEKSDVYSFGVVLLEVLTGRHPLDPSLPGGTHLVQWVRDHLHEKKDPVEILDPKLRGRADPQMHEMLQTLAVSFLCVSSRPNDRPIMNDVVAMLKEIHHEESLIRSSDTQELKGKLLVNPASPAPTPTRSMVLQASSNCSYAFSDDSM >cds-PLY64480.1 pep primary_assembly:Lsat_Salinas_v7:3:15693224:15694903:1 gene:gene-LSAT_3X11340 transcript:rna-gnl|WGS:NBSK|LSAT_3X11340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKQIEAGTVDVYRPASTSFAVFDIHAIKEPWLAEEEQEDDGKPQPEKPVTQLPSPILEKLDNDEDPPRSWDEVSKELEDLKPTLNSPVPPPPLPTPADTSPAPTPMPAKKVKRRSFSFHTLEELEKNTKAANKPTSTLKKTESSNELTKFGSRLRRTDPGTKSISETGSISMQPPLTDGYKSLKENPFLLRDQMERDKQGLPPLFIKRDPLEDYQEICPPGGSEKVVIYTTSLGGIRRTYEDCNQVRSIMELHGFVYEERDVSLHGEFRTQLKELLGEFASVPRMFVKGRYIGGVDEIVGLNETGRLRRILSRVGIEKQVGRQACEGCGGARFVPCVDCGGSCKVVVGDKKEKERCPECNENGLIYCPMCS >cds-PLY97122.1 pep primary_assembly:Lsat_Salinas_v7:4:73235099:73236931:-1 gene:gene-LSAT_4X49520 transcript:rna-gnl|WGS:NBSK|LSAT_4X49520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific TFIIB-related protein PTF2 [Source:Projected from Arabidopsis thaliana (AT4G35540) UniProtKB/Swiss-Prot;Acc:O81787] MGSCKNCKSKNLNVDTVTGNLECSSCGVVQDFDNYEQQTFNADGIIGTNVRLGTSGSRYDYSYRETKIYLAQKVVSDILYKLDLENRVDEVNNMIKIITEEEYGSGNWFNVLVGACIYVVMRKANKWLPLTSVCETVGCDNYELGRMVYRVIDHLDLKLPDFDIVGLFDRVMKELLGGKGNIGKDKIGRMVKQGIFLIQCMIKWYVTTGRRPVPVVVAVIVFVCQLNDVVDVDFEDLASQLNVVVATCKLRYNELLKKLVEVARIRLPWGNDVNVKNIMKNAPIVIQYMEMKSMSNPTNKTKNLEEVGADLDCLVSDCLNKDGRYFVQDFEFDDNKNNNDKSRGSIDWEVEDLEKLNISPECLSTIYLKYLNEYSEMKSSMATLENRNEKRSERYDFLMDGADYWSGNSELSKKLFLDKILEKDVGLNAMPKSFIDGCLKIQKRKKKIKAAKIRIEKIRRPPIEENGLDESGQSGQSQQFVKIPVNSRKRKRKVKKVDIDWEDFVIETLLLHEVKEEEIEKGYYNTLLDLHVFNSGIP >cds-PLY98424.1 pep primary_assembly:Lsat_Salinas_v7:7:89575030:89577615:-1 gene:gene-LSAT_7X62401 transcript:rna-gnl|WGS:NBSK|LSAT_7X62401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQRTSVESQGSVHLPIDDDISAQLNRTHRKNTTIFEPQTSIETANSSNSTPLPKQRQVLTGAPEKKLTLFALRLAILEKAATGLGALGFIWATVVLLGGFAITIDKIDFWLVTIILLIESTRIFSRSHELEWQHQATWSLADAGFSSFRAIRSSSHVFIRAIKSMSQPLTETPRGADRKISESPNMLKNWTNYWESKKTPSRTWTSSDVPLLPYGKWVFVSSNISKLLYWLQLASAAACVFISVTRLVNHDYGAMHKGDNDKMNRKAAVAIFYGLALAEAVLFLLEKAYWEWNVIYKRMLEMVNEELELGHTGLISVKRFFYDTYSKCVNQSIFDGLKMDMVSFAMELLNSDSSDEQLIGVRIFDRFTTNSRFSEDTLQKIGITISVIERLVEMLNWKDPQEEEIRMCAGNILVKLLGKKQNALRVAGIPGAMESISSLLHVNRNSSSMIYGEVFEKEIIGDHGSYDYWAFNQLGLLILKKLACDHDNCGKIGNTRGLLTKIIEFMHTNERLLKNRQITESQITTVKRSLQVVKMLVSSTGITGTQLRTEISELVFTISNIRDILRYGEKHPRLQKLGIDILTSLALEDDATERIGGTGGVLKELFNIFFREEILESQNHVRIAAGEALGMLAFESTRNCHRILKLNVIQKLRNALESQLLRVNAARILRNLCIYSGSSSFNQLRTIITAAPTVLKEIMTDDMKLQEVMVGLAAHMFRFMTSEEANAMFEGSGIRDDELAVALVQILKKCPYPPMKTPRIRRYVIELAIWMMMKDNVRNIKTFKNLGMMEELEGVTETTSELESFNIFSGAIGMSRYKISIHSLVETAMKLMADEH >cds-PLY71386.1 pep primary_assembly:Lsat_Salinas_v7:3:228667272:228668672:1 gene:gene-LSAT_3X130540 transcript:rna-gnl|WGS:NBSK|LSAT_3X130540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKTPHIAILPSPGMGHLIPMAEFAKRIVKHHPISVTIIIPTTRTPPPVAQISVLESLPENIHDLFLPSVNIDGLLDGARPVLLISFIMQSSFSSLRDALISLKSRTNLVALVFHMFGHDSMEVAKELHRFNFLFFPMNSMALSFTFILPKLDEETSCEYKELPDPVKVPGSITFPGREFMAPVQIRTDEVYKGYLLLSKRLNLLDAILVNSFEELEEETFRVLNTGVAGQTPIYPIGPLIQSAPSNGSNPHYCIKWLDSQPDGSVVLVSFGSGGTLSLEQIHELALGLENSGHSQTDPLGFLPEGFLAWTVTQGLIVPSWAPQIEILSHKATGGFLTHCGWNSALESIVHGMPMIAWPLYAEQHMNAKVMTEALCLALRAKTDENGMARKEVIEKVVKELMEGNEGKKISQRMSELKVAANKALVNGGSSMESLSKFAQQLKK >cds-PLY87208.1 pep primary_assembly:Lsat_Salinas_v7:4:308996133:308996606:-1 gene:gene-LSAT_4X154900 transcript:rna-gnl|WGS:NBSK|LSAT_4X154900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSDRKRVSTISSKNGVVMGSVWDSRMKSSFKVFNGDDKNQEIEKPTEKNNPTETTGEIVKMEKVVLRSKQSSNGVSGGGKRKTWKFDCNFEGNEKILVQILKARSKNKKVSGELSVSVDGIGIKKSPVQMKKGRQEWKWSKEQSASENSICSFDID >cds-PLY83562.1 pep primary_assembly:Lsat_Salinas_v7:1:65173325:65175793:1 gene:gene-LSAT_1X55421 transcript:rna-gnl|WGS:NBSK|LSAT_1X55421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVTAGVSDTVIAVRDKLRGKIGQTKVKRYWPGKAPEWADDGDEEGDMRMARSVALEKAFPSREDSNIMKRDDRRLRRLAENRFDNKEEIRADHRRIRQAEIISTEEEEQRRQERIEFEEDDEDALDERRRRIREKLLQREQEEAAMIPEEEEEEEEEEEEESSEYETDSEEEQMGMAMVKPVFVPKSERDTIAEREKIEAEEQAIEELMKRRAEERKIETKQIVVEEIRKDLQIQKNLEAEANIADIETDDELNEAEEYEAWKAREYARIKRDREDRDAMSKEREEIERVRNMTEEERREWERKNPKPASAPKQKWRFMQKYYHKGAFFQDDPDDTAATVGADGIFHRDFSAPTGEDKMDKTILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTYNDTLRAKYNTKMAGMNAPIAKPKGKKIKDWESR >cds-PLY92974.1 pep primary_assembly:Lsat_Salinas_v7:8:98577227:98578629:-1 gene:gene-LSAT_8X68380 transcript:rna-gnl|WGS:NBSK|LSAT_8X68380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAEKTDMQEVVDLSAMSLETLPISSNFNLAIIRVLDISNNNLQVIPESLAARLLNMVALDVHSNQLKTLPNSIGCMSKLKSLNVSGNHLQSLPKTIENCRALEDLNANFNQLTTLPDTIGFELINLKKLSVNSNKLIFLPTSTGHLTNLRHLDVRLNRLRSLPDDLESLINLEILNVSQNFQYLETLPYSVGLLISLVELDVSYNKIAALPESIGCLKKIRKLSVEGNPMVSPPPEVVEKGVQAIKEYMSEKMSGANEIFPKKRSWIGKLKKYGTFNGIRSTPEREGFLMPSYRTIDGFASSPRHISMFSPRRLFSPKRDFTR >cds-PLY74740.1 pep primary_assembly:Lsat_Salinas_v7:6:119257231:119259223:1 gene:gene-LSAT_6X71300 transcript:rna-gnl|WGS:NBSK|LSAT_6X71300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLPRSMHSPLMSHKHGDFPSRINNKKSRQADIVSILGISEGVDHGRITKSLQHQQDIHIPAIMERRRGFENTLNIPVDPRKPSSELSLLENIFSTLDRAIIKFIDPTLHLSVDPSYILCDNFAPVDELSPTECEVVHGFIPACLDGVYIRNGPNPQFPPSGPHHYLDGDGMVHSVRIHGGQATLCSRYVKTNKFVCEHQVKSYIVPNIIGGMQGFGSFVARAAVFAARVVSGHYDIGKGIGVANTNIALLGGSLYALCESDLPYAIKVRDDGDIITLGHHDFDGKLRMNMTAHSKIDPETKEAFSFRYWGTRPYLTYFRFDANGNKQPDVPILSMKQPSLTHDLAITKKYAIIFDIQLGADPMNLIRGRTLVSVDPKKVPRIGVLPRYAKDESDMKWFEVPGFNIFHAVNAWDEIDEDGGEVVVLVAPNILTVEHFLDRVDLIQASMEKVTIHFRTGVVSRETLSTDNLEFTVINPACVAKKNKYVYAAISEKTPIESRMMRTIGVAKLDIEKREDNTDVHDHTVARRIYGDNCFGGEPFFVSREPKNPNSKEDDGYLVSFVHNESLGESRFLVMDAQSHTLEIVAEVKLPQRVPYGLHGIFVRDNDLNKR >cds-PLY61704.1 pep primary_assembly:Lsat_Salinas_v7:5:215381344:215386144:1 gene:gene-LSAT_5X99180 transcript:rna-gnl|WGS:NBSK|LSAT_5X99180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQSQPQPQQQQQPAMDADTMLDVYILDYLIKKNYGNSTKAFEGEAKVPANVRAIDAPRGFLFEWWTVFWDTFISRYKRHQGSMESSNEVIRVPHQQQGGQHQSQSFNANNVNIGKSPWIAEASTIMKQPVPKQWYGDNAALIMNHINSMNQLPIEQQKQALVPRHEITNVTNSMINQSAQVPEAHASGGLTSMPLRGWPLTQQGLDQIQTRLQQQQQPYRSQNEGQIQSSIDRKRKQPMTSGISNAIGVFSSNVSKPNSPQNEKINVDDFIDYGAFDGNNDNSLLPQTDKESEINTSHGFTFLEVGSVQATSINCCHISFDGKLVAVGGQDKKARLWCTSTRENKATLDEHLQEITDIRFSPSMQLLATSSQDKTIRIWDLENLGGGSVRTFTGHIASVISIDFHPKKDDIVCSCDESEIRYWTIKNAGCVKVTKGGANLVRFQSGVGRILAAVVGKSVSLTDLENSQARKHVLKGHASNVQSICWDSSGENLISVSEDLVKVWRMDSGGKANCIHELSVNGKRFHCGIFHPAYPSLLIIGCYQSMELWNMAENKMMMPIEEPVSALAVSSASGLIASAGHNDNVVKIWK >cds-PLY63271.1 pep primary_assembly:Lsat_Salinas_v7:4:200553951:200554625:1 gene:gene-LSAT_4X111981 transcript:rna-gnl|WGS:NBSK|LSAT_4X111981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNTITIFMAVAFFSSILTVYSIEFQVGGDKGWVIPTSKDSTDLYDVWASKNRFNINDTLHFAYKKDSVLVVSKEEHAKCKSSHPIFFSNNGDTTFEIDRSGYFYFISGVSGHCERGLKMIVKVLEHGNIAQTANQTSTNSSEAVSLKMDSTVCSQIVIIVGLISMLTVFV >cds-PLY83897.1 pep primary_assembly:Lsat_Salinas_v7:3:52186987:52189350:-1 gene:gene-LSAT_3X39780 transcript:rna-gnl|WGS:NBSK|LSAT_3X39780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPTKQKKPQQSKEKQTHLQNPHEPTNTIIKDLLWFSLISTFFKLLLIPAYHSTDFEVHRNWLALTHTLPLSQWYTDETSPWTLDYPPFFAYFEYLLSHFASLVDPTITDLYNGLNYKSPSVIIFQRLTVIASDSILIYSIYKLTKNLNSKKRFLIWISVISSPGLLIVDHIHFQYNGFLLGLLMASLGALQNGHDLTGGFIFAILLCFKHLFAVAGPVYFVYILRHYCRGGFLRGFSKLITMGVVVIAVFVTAYGPFACHGQIQDVLKRMFPFGRGLCHAYWAPNFWVFYILSDKLLAFVFTKLGFYIKTPTASFTGGLVGDSSPFAILPTVTPLVTFGVVLLAILPCVVKIWRNPDPKKIVRWVAYAYTCGFLFGWHVHEKASLHFLIPLAVVAVESIQDARHYFMLSIVSIYSLFPLLYEAQEYPVKVILLLLYVAIMWFGFSSTFSEKKDGGVVSGWIEKSYMVGFVVVEIWGQFLHPLILGDRFPFLPLMIISFYCALGMMYSWIWQLKSIIRSH >cds-PLY67810.1 pep primary_assembly:Lsat_Salinas_v7:9:7250039:7251958:1 gene:gene-LSAT_9X461 transcript:rna-gnl|WGS:NBSK|LSAT_9X461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQWIIRFPGFVSATMVMIVVVPCLQSFHPAEAIRSSHTHIDGPIRLPGGHNPGSVSSFRRASTFRNAAGCEPKKGSGSGNVCDPSLVHVAITLDFEYLRGSIAAVHSILQHSSCPESIFFHFLVSDTGLKTLVGSTFPELKFKVYYFEPEIVRKLISTSVRQALEQPLNYARNYLADILEACVGRVIYLDSDLVVVDDVSKLWNTSLGEKTIGAPEYCHANFTKYFTAGFWSNSQYSSIFQDRNPKPCYFNTGVMVIDLGKWRHSGYTKRIERWMEIQKNHRIYELGSLPPFLLVFAGDVAPIDHGWNQHGLGGDNVKGSCRDLHPGPVSLLHWSGSGKPWLRLDSKHPCPLDALWAPYDLYGY >cds-PLY98031.1 pep primary_assembly:Lsat_Salinas_v7:8:44050903:44055293:-1 gene:gene-LSAT_8X33860 transcript:rna-gnl|WGS:NBSK|LSAT_8X33860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGVLSATDQQQMVSSFLEIAVGQTADTARQFLQATSWKLEEAIQLFYIGNEGGAASLPPYASPQNDTAMSDQSLGLSEFENIRETDVSEVRAPLPVKRDVLYDSPMLYGASRMGVSPHATIPFRNFDEELKRPGVWETDQGSTSTAESSRDNLASLYRPPFGLMYHGPFEKAKEAANVQDRWLIVNVQSTREFSSHMLNRDTWANEALAQTITSNFIFWQVCDDTEEGSKISTYYKLDSVPVTFVIDPITGQKMRLWRGMIQPENLLEALLQFMDGSPKDHHLSLSNKRPREKYQPEPPKIQDESNEEEEDMKVAQALSMGTMEDNSEILKDLEAIKEAPKQSYPPLPEEPKVDRNLTCRVGVRLPDGRRVQRNFLLSDPIQLLWSFCAANCGDERGFRLTHAIPGAVKDMEYESMLSFEESGVANSMISVTWSEA >cds-PLY90594.1 pep primary_assembly:Lsat_Salinas_v7:6:52724340:52725727:1 gene:gene-LSAT_6X39241 transcript:rna-gnl|WGS:NBSK|LSAT_6X39241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAKLNIVPVLIIFLLFQYSYSAKVTYNVLSFGAKANGRLDSRSAFLKAWGLACNSTNPAIIYVPIGRYLIGSAITFSGQTCKSKAITMKIDGTLVAPSTYNAVGNAQVWIKFYRTNHVTISGGTLDAQGSSLWACKSSGKTCPKGATTLGIYHSQNIVISNLRSLNSQMFHILLYACTNAKLQGVSISAPGLSPNTDGIHLSSSTGVTILNSKISTGDDCISIGPGNSNIWIEKVVCGPGHGISIGSLGWDVIEAGVQNVTVKTATFIGSDNGLRIKTWARRSNGFVKDVVFQHASMVNVKNPILIDANYCPNNENCPNQVSGVKISNVLYEDVHGTSATRVAVKFDCRKGKPCTNIRLKDVNLKYAGQPAVSSCSFAAGTASGLLQPTSCL >cds-PLY93630.1 pep primary_assembly:Lsat_Salinas_v7:MU039104.1:244727:246971:1 gene:gene-LSAT_0X15921 transcript:rna-gnl|WGS:NBSK|LSAT_0X15921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFRAFFIPYFLCSLLFFPNFSIQSSGLRNIRGSIIRLPNGDSYGHPFDPTRVTQISWHPRAFLYRNFLTDEECDHLIQLAKDKLEKSMVADNESGKSIESEVRTSSGMFLNKAQDKVVAGIESRISAWTFLPVENGEAMQILHYENGQKYEPHWDYFHDKANQAMGGHRIATVLMYLSNVQKGGETVFPESEIKESQPKAKEDWSECAKKGYAVKPKKGDALLFFSLHPNATTDVLSLHGSCPVIEGEKWSATKWIHVRSFDKSDNTSDDCTDDNANCAMWAAAGECKRNPVYMVGSPEGSGYCRKSCKVC >cds-PLY84768.1 pep primary_assembly:Lsat_Salinas_v7:5:229060809:229061966:-1 gene:gene-LSAT_5X108861 transcript:rna-gnl|WGS:NBSK|LSAT_5X108861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPRSSSTAYGVRSFATLLICRYRVSQGSSIGVPAHKHISGDMRLSKMGYGIGNSMHQALTISRGNSTKRPESKMEQEDTLHILPSDPASPKPSIFYWVKWVLGTILPLLFSSWKQKWDNILKLEDKLEEVVKEVEEVAEVVEKVASTTEKLSAEVAENLNNGEMREIALMVEHVSSVTVKDARMTQNFLHKVGDLKQDLAELENLVESAKDKMEHKK >cds-PLY83478.1 pep primary_assembly:Lsat_Salinas_v7:2:182337261:182338195:1 gene:gene-LSAT_2X104760 transcript:rna-gnl|WGS:NBSK|LSAT_2X104760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEECDYLFKTVLIGDSAVGKSNLLSRFAKDEFHLDTKPTIGVEFAYRNTKLGDKIIKAQIWDTAGQERFRAITNSYYRGALGAMLVYDITRNETFENVRKWLQELREFGDPDMVIVLVGNKSDLVDLREVEVEDGQKLAEVEKLCFLETSAKENLNVEDAFLQMITKIYKIASQKSLEAKNIASKPNLDGWKEIQVVDEVAIKQNVCCTLV >cds-PLY95618.1 pep primary_assembly:Lsat_Salinas_v7:4:124423753:124425150:1 gene:gene-LSAT_4X78160 transcript:rna-gnl|WGS:NBSK|LSAT_4X78160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKQLRSGPGLEDIPDLFHCIQMQLPIKEAARTSVLSKSWLHAWSTIPTLRFREAYLSVVSKEPETELFKLVDRTLVRYLRDNIPVKSIDVIIDIENQESASFAENWIRPMAIRSCLRELSLAIRYSNASLTLPDEILSGENLIKMSVSSSMIDSVLMASHPVIKCLSLRELNLQDVHISEQVLNDILSTCSLLVKVQLFSCTGFKTIKVKNLHCLRELGIVSEYVLAMSTVLEINDVPNIRSFTFISKPLPFDLDSLVSVTELSLGHMIVDDAFVNIIQSKFPFLESLTLDLDFWKLECFNFTCVTMKKLSIVLDQFIKPVNIKVYAPNLISFHFRGFTMPSLLFQATILEEMDLDLFLMRPLIIDESFFLKMREALTFSRKCNIQIQITKFDDIIPSDINVDDLTRRVPFPAINVTQLTFRTFRQDKGLGDQRLPFFDALFTICHPKQVVAVVDSNSKHNYFS >cds-PLY75760.1 pep primary_assembly:Lsat_Salinas_v7:3:68503002:68505966:-1 gene:gene-LSAT_3X51960 transcript:rna-gnl|WGS:NBSK|LSAT_3X51960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIHSRLKRKEEKSRNVAKSTRRSSAAGDLLTKTRKLVNGLAKVKPVWLKAMEKSPPAVFPRAEKKVERICLPEDVYVNKFYKKHPESLHEDPIKIRDFDPTPSRIFGCRVLELKEEGVTEEDAIDVADTEYKLQKKAKKKAYKRLKEIAKIRGTKLPPNPYPSAVKEIQAEEKKYVHDRFFNPRILEIVDKLKEQQAADMLDRGRPAGTY >cds-PLY96597.1 pep primary_assembly:Lsat_Salinas_v7:7:44507997:44509472:-1 gene:gene-LSAT_7X32920 transcript:rna-gnl|WGS:NBSK|LSAT_7X32920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLELSLDDNKLNGTIPDRIGDLMNLQQLGAYNNRLQGSIPTSVGNLKKLIALDFSQNQLFGIIPTQIGNLSNLQALQLFENSLSGKIPPELGHCTNLSLLNLYSNKLVGSIPPEIGNLLGLQVLRLYDNQLNSTIPNSLFRLKSLLVLQLSENNLIGNLSSDISSLKSLQSLTLHQNNLSGEIPASVTRLVNLTYLTISLNFLTGSVPSSIGSLHNLRNLSLSNNLLEGSIPSSITNCTNMRWIDFARNRMTGEMPQGLGKLSNLTYLVSDNRMSGRIPDDLFNCSGLVILDMAHNNFSGLLKSGIGRLSNLQILQIHGNSFSGHLPGELGNLTSLMLLNLGQNQFSGTIPVEFSNISSLQSLSLGNNNLQGQIPDEIFELKQLTELYLMNNKFVGSILNSVSKLEQLSQLDLSGNRFQGSIPDSMRKLNKLISIDLSHNLFIGSISGSVIGGMKNTQIFLNFSNNFLTGNIPNELGELEMIQAIDLSQMN >cds-PLY81898.1 pep primary_assembly:Lsat_Salinas_v7:8:123091018:123091185:1 gene:gene-LSAT_8X85061 transcript:rna-gnl|WGS:NBSK|LSAT_8X85061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLSLGKEVAIPRPFLIQYDPWNNNVPSFLPGHHPPLFPPPPPQQGRNDCNKF >cds-PLY93666.1 pep primary_assembly:Lsat_Salinas_v7:2:200298106:200301228:1 gene:gene-LSAT_2X121160 transcript:rna-gnl|WGS:NBSK|LSAT_2X121160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSGQPTTSLSSSSCFAFIFSCFTIFLITVSGVGNNEADYQALLQFKSTIRNEEALSSWNSSFHFCDWSGVSCGKQNKKVTALWLESQGLEGSLSPYIGNLSFLRLFSIANNSFQGTIPHELGRLYRLRVLDLGHNKFNGVIPSNLSGCSKLERLGLNENKLVGSIPKEMSFLSKLTFIAVHDNKLTGGIPSVLGNISIESFSASDNPLGGSIPDTISHWKSLTEFYIGGCNLNGTIPHSIFNHSLLTHFSIASNHLTGSLPSALGAMLPRLVYLQLYGNKLTGPLPASLSNCSKLGFLEAQDNNFSGKLKIDFAKLKDMYWISIGGNNFGFGEADDMKFIDTLKNCSKLDVLDLSSSKLQGVLPASIGNLSDRLSYLFLDQNLIYGNLPPSIGNLAGLTILILANNRFTGKIPSTIGQLQKLQVAALFKNQFSGPIPDTIGNLSLLTNLRLNSNRLESHIPSSLGKCHHLLDVNLSDNKLSGRIPKQLLQLISLTIVLNLSQNNLSGSLPTEVGKLQMLTSLDLSYNNLSGKIPSSISGCISLIFLSLKGNLFQGTIPPSLSSLRGVSTLDLSHNNLSGQIPRFLERLILLEYVNLSYNDFEGDVPVVGVFANASAYSVLGNSRLCGGLAELGLPKCKETGKHQKRIPVFVIVLLIAFILFTVLCFLYAWCKKFKDQPSQSSVNGEQSKQVSYTYSQLFKATNGFSEANLIGEGGFSSIYKGILDDHDKYVAVKVIHLQNRGAHKSFIAECEAWRNIRHRNLLKIITSCSSLDFQGNDFKALVYEFMPNGSLHDWLHSSESKSRLNLLQRINILIDVASALDYLHNHCLPSIVHCDLKPSNILLDDDMVAHVGDFGLARFLGTNSDQNSTSGIRGTIGYAPPEYGVGSEMTSSGDVYSFGILLLEIMTGKRPTDNIFNEGLSLHKFAYLALPDHVTDVVDDDLLNFLQEHSDPKKCTSANAKIIEECVASIVKTGVSCSMDSPPQRMNIRIVAHQLHHVWDTLQNILPALHT >cds-PLY90868.1 pep primary_assembly:Lsat_Salinas_v7:9:162072077:162072362:1 gene:gene-LSAT_9X101281 transcript:rna-gnl|WGS:NBSK|LSAT_9X101281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLARESFMAPTSSGHREVKSVQYGIHAKSTWCIGMTNTEWKNLATLVFGRKN >cds-PLY68460.1 pep primary_assembly:Lsat_Salinas_v7:2:213165594:213167095:-1 gene:gene-LSAT_2X133481 transcript:rna-gnl|WGS:NBSK|LSAT_2X133481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVFSLLKFWRNAGVGDPISAGDFDITDDEGSFFDLVFTNPNNLEDQECGESPSVNVISGFHSDHYQQFSKLGGADSKSNHTYSSPHAVFFNNKRKILPLDSSNTKTPRSPFRGLMLGFQNNKTKLDKKEMKCEIEEVTISSLLKRDNSFRNKLRSEKFLDHDQMSSKRFSKDVVHKYLNLIKPLYIKVSKKSNDKVKSPDQTSFPSSSPATAPVFSPRKEEKPSGRTVFKEVRKHLGKSRSSSSSSATIKSIPSPASRKDDSALQQQDGIQSAILHCKRSYNSPSQGCNVLSRSGSAPSHGPRISIDEEKRSSI >cds-PLY65552.1 pep primary_assembly:Lsat_Salinas_v7:9:143809252:143814990:1 gene:gene-LSAT_9X92020 transcript:rna-gnl|WGS:NBSK|LSAT_9X92020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHAKVSSIIVFGDSTVDAGNNNHVATVLRSNFQPYGRDFYGSRPTGRFCNGRIATDFISEAFGIRSIVPAYLDPNYNMVDFAKGVSFASAGTGYDNLTAAILSVIPLWKELEYFKEYQTNLKAHLGDAKASKVLSEALYLISLGTNDFLENYFALPIRSRVYSIEEYEKFLEDIARNFVKDLYNLGARKISLNGLPPMGCLPLERTENYFSGTKCVQLYNRVAKEFNLKLKHLVKKLNKELAGIQLVYSDIYYILSDVIKNPRSFGFDDGERACCATGRFEMSYLCNRYNPFTCKVANKYVFWDSFHPSEKTNLIVAQHAIKTSLVAFM >cds-PLY64353.1 pep primary_assembly:Lsat_Salinas_v7:4:26662057:26663487:1 gene:gene-LSAT_4X20121 transcript:rna-gnl|WGS:NBSK|LSAT_4X20121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLTSQYEQDIQKLELYVPLLENLIQHVEVIGDHTKVIRWTSDLKIRWTSPLSSSSFFNLMGPRFFQIDKLQFELGMVLFFYGAMLREWASHVLKTDLVQSTTLFRKAAGVYHYLAHEILPSLQPALTPEAPPEASSSVSSIMSFICLAEAQIVTIMKAEEKQIAVGLLAKLHYGVVQLFDEAKNCFLKSVKECKDLSPSLMEYITCSKVVHELRSYKYMAQSLKGEGEIGNAIGVLKRVLEWNNNNSNVVGGMESWKVVIKEEIECVRDLVRKYTHENEFVWHEKIPYEDDLPLAQGRKIATCIDYHPQRWERNLALKI >cds-PLY61799.1 pep primary_assembly:Lsat_Salinas_v7:6:57181201:57182747:1 gene:gene-LSAT_6X42420 transcript:rna-gnl|WGS:NBSK|LSAT_6X42420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSETSYRRVDFLLVLYVGILHLSNTKGDIQPLVPGIMVFGDSIVTVGNNNHLTTVVQSNFPPYGRDFKNQLPTGRFCNGKLALDFTCENLGLPKYPPEILNKELAKGENLLVGANFASGGSGYYETTARLYNTIPLSKQVEYYKEYQIKLVDVAGSSNATSIIKGSIYLVSAGTSDFVQNYYVNPFLYEVYTPYQFSDILIRAYSHFVQELYRLGARKIGVSTLPPIGCLPASITLFGKGCNECVTKMNSVAQYFNKKLNATSNILKAKLSGLNIAVLNVYKPLYNLIQKPNDFGFFEARKACCGTGLLETSFLCNEKSPGTCANATGYIFWDGFHPSEATNKILANELLLDAISLLS >cds-PLY80581.1 pep primary_assembly:Lsat_Salinas_v7:6:14331940:14332497:-1 gene:gene-LSAT_6X12561 transcript:rna-gnl|WGS:NBSK|LSAT_6X12561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKYIYIMATTIAMMVVMSHATTPNSKFCEFARLKPLCNRLVHNATNYHQALKNAFRATLVLNKRNSVHLLPMVTSVIRNTNSPSRAKILKTCQQKVTDVASYLTGLIEAVDEDNKDAIEYYLRTGEITLRDCVESISEIDMTIPPALSKLAQDVEDYKETTLVIFLQAPIETVYNKPLPIDYSG >cds-PLY70817.1 pep primary_assembly:Lsat_Salinas_v7:4:58564039:58564564:1 gene:gene-LSAT_4X39961 transcript:rna-gnl|WGS:NBSK|LSAT_4X39961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTSTRSVKKKKTITKVLVKRLLGVVANLTSKVDRVLQKKNEQDTQFGEDVNMVNEEEEATYYHGAELDYDDISTHGLEGNVGRTPTHVETLPDMQSTPKLKKITKTRKRSSGES >cds-PLY75077.1 pep primary_assembly:Lsat_Salinas_v7:2:93497086:93497753:1 gene:gene-LSAT_2X41540 transcript:rna-gnl|WGS:NBSK|LSAT_2X41540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAVASNIIVGSQVWVEDPEIAWIDGDVVEIKGADIKIKLSSGKDVVTTSAHVHPKDPEAPPCGVDDMTKLAYLHEPGVLQNLKSRYNMDEIYTYTGNILIAVNPFKRLPHLYDKHMMEQYKGAGLGELSPHPYAIADSSYRHVVVYVFFLIT >cds-PLY70434.1 pep primary_assembly:Lsat_Salinas_v7:1:72679425:72680408:1 gene:gene-LSAT_1X64981 transcript:rna-gnl|WGS:NBSK|LSAT_1X64981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSEKYFDDTFEYRHVVLPPEVAKLLPKNRLLAETEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQNQENQAQAHQGLLAK >cds-PLY79405.1 pep primary_assembly:Lsat_Salinas_v7:3:79089586:79092644:-1 gene:gene-LSAT_3X60280 transcript:rna-gnl|WGS:NBSK|LSAT_3X60280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYFLFFSLTLLFITVLSPVPNFASASVEEGDALLKWKETLQVPNNSLLSSWIPLPMNSSASIPCTSWFGVVCNADESIQKLNLTSSGLRGTLQEISFFLLQNLTHFDLRVNSFFGPIPPQIRLLSKLIYLDFSQNQFSGEIPPEIGSMVSLEFLYLYSNNLSSSIPSSLGALTSLNVLSLYQNQLSGLIPSSLGNLSNLQSLYVAENNLFGPIPNELGNLKSLTILVMAMNQLSGYIPSSLANLSNLNRLNVAHNKLSGPIPIELGKLKSLTRLLVSNNTLSGSIPSSIGNLSNLQFLFLDQNELSGPIPIELGNLKALTNFQVSQNLLNGSIPSSLENLSNLECMFLQRNNFSGLIPNELGNLKSLTDLVISKNQLSGSIPLSLSNLSNLQILYLDVNRLSGPIPTGLGNLKSLTDLDISNNKLRGSIPPEFANSTRLQRLDLSSNQLVGEIPKEFGKMKSMLNLYLSNNQLSGIIPLELGSFHELLVLDLSTNRLNGSITRSIGQWAQINYMNLSNNKLGGKIPSEIGKLVHLEKLDLSRNLFTQEIPSEVQSLQTLQKLDLSHNRLSGSIPNTFTNLHGGIDIDLSYNELTGPVPPSPNLLNASVQGNPGLCGNVTGVKLCTSQITKKKNDPFRQKLILVIMLPLIGVVLLCLFTYGLIAYRQRWKKSPRKPLEEESGDYFHITSFDGKVVYDDILKATNDFNEDYCIGTGGYGIVYKAKLQPDNVVAVKKLHSSSENVDHSGFLNEVRALTNIRHRNIVKLYGYCSHARNSILIYEYLENGSLGSILKSDVLAKELDWLTRVNIVKGVANGLAYMHHDCSPPIVHRDISISNILLDSDYEARISDFGTSKLLKLDSSNWTAVAGTYGYIAPELAYTMVANEKCDVYSFGVVALEVVMGKHPGELITSLPTLSDDHLVLANVGDSRIPPPSSQVEKDVMLVLRVSRACLNSNPHERPTMHQVSNLLMKA >cds-PLY79690.1 pep primary_assembly:Lsat_Salinas_v7:5:254724610:254725936:1 gene:gene-LSAT_5X128440 transcript:rna-gnl|WGS:NBSK|LSAT_5X128440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSANPLALSVPDPAFESWLRDSGYLEVLDQRTSDLHRVSSTAAAPSTTGDSNSARADPPSVAAAVSGGYFLSTVLNCFGILLSLLTINPFSKLTNEDFSGDTPPWTLGFIGFSDSYSFPSSRPQARMRVTENIKRYCRNYASLFILFFACSLYQIPIALVGLVSSLVLWDLFAYCGKRWGLENYPVIRECLLRLVQCATAVIIFLCNVQMALLCALGVSYGVMMLHASFRKLTPVKQQPTKGKQK >cds-PLY64490.1 pep primary_assembly:Lsat_Salinas_v7:3:15597944:15600532:1 gene:gene-LSAT_3X11200 transcript:rna-gnl|WGS:NBSK|LSAT_3X11200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKPITPTNVNLHTNNYLLFQEEQHDQSFFKLSLPLMISFWLPFLLVLSTFGFNHGNEGNVDAYNRNLTNTNTTTTTHPYMKEQDENHTDRVLLEFNVSDSGAASHDHNSSVDTEKNHVQGTSPVEEVVSKVLGYSALVCERELQDSYMEKKQEDIQNGRAHLTYLDLDEFRNSTQQDIGLNGAPSGLVNITHRLEPDGTDYNYASASKGAKVLAHNKEANGASNILGLDHDKYLRNPCSVLEKYVIIELSEETLVDAVKIANFEHHSSNFKHFSLSGSLVFPTDIWYHLGDFVAENVKHDQYFKLPEPKWARYLNLTLISHYGSEFYCTLNVIEVYGVDAIEKMLEDLIVTSEESTNLNSTASPASQSTGPKKGNIIDGEKGNIEATSKKMEGVDDGKRVDDDVAKKSLSVTKIPELVAKGNGRMHGDAVLKILMQKVRLLEKNLSLLEDYIKELNKRHGDVLPHLDVELVKYSTLVEKTRAEIKELLLWKATMEKEIADLESWKAFVSSHLESIVKENAMLRQAIAKVAGDQESLDKAELTVLSVSVSFVVAAILKIVSDRLCNNSSGHFKTQREDRSWKLLVITCVVTGVVVFNLY >cds-PLY74724.1 pep primary_assembly:Lsat_Salinas_v7:5:25638682:25641024:-1 gene:gene-LSAT_5X13660 transcript:rna-gnl|WGS:NBSK|LSAT_5X13660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIEEESPRSPEAKLGMELEELWDIQEAQLTPTEKLNACFESIPVSEFPHASPSQVIEIKSDASLGEAVRLLSQNKILSAPVVNVDAPEDASWIDRYLGMVEFAGIVVWILHQSEKNDGSDVFSSTLDNSLRPAVVAAAGGMSSPRYRSSQPGSPKTAGNFFELLTSSDFYKNTKVKDISGSFRWAPFLALQTSNSFLTMLLLLSKYRMKSVPVVDPDDGKIHNIITQSAVIHMLEECADLQWFKSWGSKKLCELGLPLMNTSEVIKVDEDEPVLQAFRLMRQKGIGGLPVVNGNKPVANISIRDIQFLLIAPEIYKDYRSITAKNFVTSVKRYLEENKKASPLVSGMITCRKDDTLKEVILKLDSKEIHRIYVVDEEGDLEGVITLRDIISRIVHEPRGYFGDFFDGVLPLPANSRV >cds-PLY79803.1 pep primary_assembly:Lsat_Salinas_v7:8:17670152:17671590:1 gene:gene-LSAT_8X12320 transcript:rna-gnl|WGS:NBSK|LSAT_8X12320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLVTLEGKIYSCKHCKTQLALCDDIVSKSFHCRHGKAYLFSKVVNVTVGVKEDRMMMTGLHTVADIFCVKCGSIVGWTYETAHEKNQKYKEGKSVLERFKLSGPDGSNYWVSNEAQIGRSQQENV >cds-PLY63790.1 pep primary_assembly:Lsat_Salinas_v7:6:26886332:26888003:-1 gene:gene-LSAT_6X20460 transcript:rna-gnl|WGS:NBSK|LSAT_6X20460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRVASASEYLVITGFGIEDIKIAKKAWVLPGQSYSVFDISPVNYTFEVQAMSAEKLPFILPAVFTIGPRSDDDDSLHKYAKLISPHDKLSHHVNELVKGIIEGETRVLAASMTMEEIFKGTKDFKQEVFEKVQLELNQFGLWIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQARVDVAEAKMKGEIGSKLREGQTLQNAAKIDAETKIIATQRQGQGKKEEIKVRTEVKVFENEREAEVAEANAELAMKKAKWAKDSQVAEVEANKAVALRAAELQKEVEIMNALTQTEKLKAEFLSKASVEYETKVQEANWELYRKQKAAEAILYEKEKEAEAQKAIAEATFYTRQQVADGELYAKQKEAEGLVALAQAQGTYIRTLLGAMGGNYGALRDYLMINGGMYQEIAKINAEAVKGLQPKISIWTGANGGGEGGDGGAMKEVAGVYKMLPPLFNTVHEQTGMLPPAWMGKITES >cds-PLY76719.1 pep primary_assembly:Lsat_Salinas_v7:3:141757886:141760030:1 gene:gene-LSAT_3X93641 transcript:rna-gnl|WGS:NBSK|LSAT_3X93641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESFPNSGDTATRQSGSTEDTQRFSIPLVGEGNVSNTTDNMESQTEHSHAPNQRRRRGPNINKKASHILDKIVENQPDARITLRKDTYTKIFVGDSAVYFATEVGIVMRKFCPMEFHTWEKVPKENKEEMIDRLRKYADQMKNNREKLVISSRGGSRSIANHKFSMKNKETQLPPTPIELYHKLHFHPTKEWLNDETRIQYENILQMKEDECTKLVSAGISITPEMEYEIEKKAVKTVCARHKTLLSGWEASSGPIMRKKDLHILSAAEPSQSASTDEMALKNKVTALEEEVRENKEKVKQSEEKCEKMLQFMISKFPDSQNILCPPDKEGFRASDDMPNISDEE >cds-PLY82125.1 pep primary_assembly:Lsat_Salinas_v7:1:13634655:13634906:-1 gene:gene-LSAT_1X11801 transcript:rna-gnl|WGS:NBSK|LSAT_1X11801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDDEGSNKKMKIRSKSRSMSRSRSMSRPPVHELVLGEGYKDSAQKLKAFKMGKSSVHKRNKAAKTGEGDRVILTLNLLFG >cds-PLY81748.1 pep primary_assembly:Lsat_Salinas_v7:3:34288173:34291455:1 gene:gene-LSAT_3X25301 transcript:rna-gnl|WGS:NBSK|LSAT_3X25301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSAISVLLLGCFSFFFTSNQEIKIVSIDLEEVTTAGDQIRATDFCISNGLGWDTICWLYPDVLPHGRYKKMESSASPGSGGD >cds-PLY97303.1 pep primary_assembly:Lsat_Salinas_v7:1:177046259:177047494:1 gene:gene-LSAT_1X118041 transcript:rna-gnl|WGS:NBSK|LSAT_1X118041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHMGYEKPSAIVLKAIREQVEGSDPSSETRSKIADLLSLRTNQELLIEAVALENLKENAEQAEKIGDLEYIEEMIALVTHMHDCFVEMKQSESSNPVPIPPDFCCPLSLELMTDPVIVASGQTYERGYIRNWIDLGLNVCPKTMQTLVHNNLIPNYTVKALIANWCESHNVKLPDPVKQPLRLTLNQPTSPRSNGIHQEEASSPVHRHVHSSSEDSGKGNEFNTVESGEKSLDSGGPGPGPSGVDEGSPPEAPAIESSSSAPATAYNSDASGELATEPQAAIAASQHAVSPRFGNRARNQIWRRSSFGPRVVSSATEARPDLTELETQVKKLVSDLSSASIDTVRNATGELRLLARQMKLKGRGATLLFLFVSPHINIEKSRTPAGLICSEDGIKDLVILRFCCGRKEDL >cds-PLY78584.1 pep primary_assembly:Lsat_Salinas_v7:1:103289176:103303704:1 gene:gene-LSAT_1X83961 transcript:rna-gnl|WGS:NBSK|LSAT_1X83961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAASMGVAVRALMIVLLFVMVAAFANLFAVDGYISCFDFSARWGVKILMEFSVYTVVMGAWFFYKESGWIKTIIFTLSMYFLGSLLSIGYILVQFFKLSREESSTNPLYFVLARHHTREHTSRIPVVTVRAIFSALAFLTMGALIYTLIKDISGSYADAFTKCFLANMIDLYIHAVMFAVWIAYKESSWIRASLWIISLLFFGSITLCVYIVRQLFSISPEKPASSIIFSSSDICEPLLAPHANV >cds-PLY96752.1 pep primary_assembly:Lsat_Salinas_v7:2:172138226:172140604:-1 gene:gene-LSAT_2X94520 transcript:rna-gnl|WGS:NBSK|LSAT_2X94520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPQRSSKTSEPRAPNPNDPKISKAFSAMECLGISREVVKPVLKNLLKLYGGSWELIEEDNYRTLADAIFESFDDKKKSKAVMVVESEDQASSARENGNKVKESNSDSNRKAHNSNVEGSSVDTRLGAQRVSSSSGIQRKRHQNNESGDHSATDKRLIHKINDITRGTERLKISLIDEIGIDLPKFGYIPENTSYQDAYVPFTLARIADENCCLKCNGDCLSSRVPCACSRETGGEFAYTSQGLLKDEFLGACISMYREPLNHHHFYCQDCPLERAKNVQNPEPCKGHLVRKFIKECWRKCGCSMECGNRVVQRGITCKLQVFAMEDKGWGLRTLENLPKGSFVCEYVGEILTNMELYERNKKTRINERHTYPVSLDSDWGSEQILKDEEALCLDATNYGNVARFINHRCFDSNLIEIPVEVETPDHHYYHIAFFTKRNVNAYEELTWDYQIDFEDDGHPIKAFKCQCGSSYCRDVRREAVGTKVKELGVKRRKSGTSRVN >cds-PLY81164.1 pep primary_assembly:Lsat_Salinas_v7:9:21046119:21048061:1 gene:gene-LSAT_9X20060 transcript:rna-gnl|WGS:NBSK|LSAT_9X20060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSAAVLCSLLLIGTLALTEAKKSNKDLTEVTNKVYFDVEIAGKPAGRIVFGLFGKTVPKTAENFRALCTGEKGVGKSGKPLYYKGSAFHRIIPSFMIQGGDFTNGDGTGGESIYGEKFKDENFKIKHTGPGLLSMANAGKNTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDIVYKMEAEGTQSGSPKAKVTIADSGEVPL >cds-PLY72906.1 pep primary_assembly:Lsat_Salinas_v7:1:208797018:208799008:1 gene:gene-LSAT_1X123341 transcript:rna-gnl|WGS:NBSK|LSAT_1X123341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPTKLLDRVSIPIKESLEEPRANINVLIQEYISQLKLEVLSLTSEMVFITQVFVRKVIKLHGKYLAYVNDCFMNHTLFHKAFKEAFEIFCDKGVAGSSSAELLATFCDNILKNGGSEKLNDEAVEDTLEKVVKLLAYISDKDLFAEFYSQGLRIALPEAPATGYMHTILMVQLYLLENEDRKTL >cds-PLY67366.1 pep primary_assembly:Lsat_Salinas_v7:1:171168591:171170062:1 gene:gene-LSAT_1X114441 transcript:rna-gnl|WGS:NBSK|LSAT_1X114441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPFVAQGHLNQLLHLSRLVSAYNIPVHVVSTTHHIGQVRSRHHSFDQLSDDVSTHIHFHAFPTPPFITPPPHTSIPFPTHLQPSFDSTLHLRHPVADLIRSLSQIVKRVAVIHDVLMSYVVQDVKSIPNAETYVFQPPPAFYRASTHWEKTGGPFPVDLDLMNRLPSRDGISSPEFLNFVMLQQPHLNFHVGELYDSSRVIEGKFIEYLKSEEISGKTKIWAVGPFNPVLDMVNVKASKNRHECLQWLDKQPANSVIYVSFGTTTTFTADQIRELAIGLERSGERFIWVVRPVDMGVEEHGLVELPEGFEERVKGRGVVERRWAPQLEILGHVATGGFMTHCGWNSSIESISMGVPMATWPMHSDQPRNGFLMTEVLGIGVAMKDWERRKELVTAEVVEDAVKTLLGSKEGEEMRRRVEKLAGEVKKSVEKGGASRKEMDSFISYILR >cds-PLY81753.1 pep primary_assembly:Lsat_Salinas_v7:3:30301288:30302940:1 gene:gene-LSAT_3X23161 transcript:rna-gnl|WGS:NBSK|LSAT_3X23161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRREVEDVPELLQHIQSRLAVKEAARTSLLSKSWLHAWSTIPTLRIHVLKNPKRKSMKLVDVDHTLIRYLHDNTPIEKFELVIDIENQESASHAEKWIRPVATKTCLKEFSLSVMLYGAPFRLPNEILSGLNLTKIRVSSRLKIHSVVWMTTTTTTTTTPPIDVIKCLSLRELHLDGVCISEEALNHILSSCTFLEKIELLHSCEGFKTIKVKNLDRLYELRISFGNTNNGYRHSTSTTALEISDVPNLGVFSCNLHFPFKSHSISLGSSVTQLMFGGVVITDNASLDIIKSGFPFLESLTLDDMSSWMLKSFRFTSASIKRLTLQLCPCTLIDIQVHAPKLLFFRFDGSALPNLCIPVSSTLEQINISLRLHLAVDAYFFLKMREALALSHEAEIRITTFNSKPLLDVDMDELRTRLLSPPATNVQKLSFETWKDECLWERSPFFDAILEICHPKLVFAQPDMQFSHSNHFCRLMLREVLEKKTTTTPLYWPHYLKHVQIRQSPYQTWKTLTNSHRSFLDGSAPDVYMYFKLTWC >cds-PLY91313.1 pep primary_assembly:Lsat_Salinas_v7:5:251275227:251277143:-1 gene:gene-LSAT_5X126341 transcript:rna-gnl|WGS:NBSK|LSAT_5X126341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNVCGSAEATVLCCADEAALCWACDEKIHAANKLASKHQRVPLSNSNKQMPKCDICQEGDGYFFCLEDRALLCRKCDVAIHTVNSLVSSHQRFLLTGVKIGLETSSDPVSSSSVKSLPCEKIPESLSLPKSTNTQYNKVLREDFSYPKLTYGGGSPAEVIQQWQFDEFFGLTDPNQNYDYINNTSSNKGDSSKMGDSDCCSILRALEVELDSEDCLGQVSESSWAVPQIYSPPTASGLCSPKQEHCHEMSTAAFVPDVCYNDMSNSHHSMQTLKRRRNF >cds-PLY84871.1 pep primary_assembly:Lsat_Salinas_v7:4:294165221:294165397:1 gene:gene-LSAT_4X151320 transcript:rna-gnl|WGS:NBSK|LSAT_4X151320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESIDEALGTNDNQSPCQNSTTRELFDEDFESENEEQVFEEGEYESDGVQILEEVRGD >cds-PLY91688.1 pep primary_assembly:Lsat_Salinas_v7:8:11875909:11880149:1 gene:gene-LSAT_8X8000 transcript:rna-gnl|WGS:NBSK|LSAT_8X8000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARVFAKRDGRFPCVLSVLIIIAFCGRILVSARSDQETRERFYGTLVNSSAPDSGEASIAKIFDRVLEKEFSENESTDGSTRSSFNNSVTDQEAVLETVAKITHEKTNKNDTQETTEDDGTQTLIDKQDNVFVISNKKSKYPILQVDVRLISDLVVIIVSAAIGGIICSCLGQPVIVGYLLAGSLIGPGGLKFVSEMVQVETFAQFGVVFLLFALGLEFSMQKLKAVGPVAVLGGLLQILILMFLCGTLAVLCGAGLSEGVFVGCFLSMSSTAVVVKFLVEKNSNNALHGQVTIGTLIFQDCAVGLLFALLPVLGGNSGVLQGMASMGKVLMTLSTYLFGASLLTWSFMPRFLKLMVQLSSQTNELYQLAAVAFCLLSAWCSDKLGLSLELGSFVAGVMISTTDFAQHTLDQVEPIRNLFAALFLSSIGMLIHVQFLWTHVDILLASVILVIVVKTTVSAVITKAFGYSVKTSFLVGIMLAQIGEFAFVLLSRASNLHLVEGKMYLLLLGTTALSLVTTPVLFKLIPAVMHLGVLMHWFPQDTLPLPEEKTSVIETHSKMTEQQRDRVL >cds-PLY96511.1 pep primary_assembly:Lsat_Salinas_v7:5:337201748:337202570:1 gene:gene-LSAT_5X189020 transcript:rna-gnl|WGS:NBSK|LSAT_5X189020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVRALVNERPVVIFSKSSCCMCYTIKTLISSFGANPTVYEVDEHPQGKQIEKELRGLGCKPSVPAVFIGEELIGGANEIMSLHLKGQLVPLLLNANAIWL >cds-PLY88798.1 pep primary_assembly:Lsat_Salinas_v7:4:92944045:92947890:-1 gene:gene-LSAT_4X59260 transcript:rna-gnl|WGS:NBSK|LSAT_4X59260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGILRRKAASASSYNLVNYGSVQSIGRARPALTGSKSHGYVESILVPEAQTLGRNHNLIQQIHQCAPVCSMPLRNVVSSLKTDFSTQLWTRSFSSDSGDLVDAVVPFMGESISDGTLATFLKKPGDRVEVDEPIAQIETDKVTIDVASPEAGVIKEFVAKEGDTVEPGTKVAIISKSGEGATTHVEPSDSDPQPPTKAAPEPAPAKVEKPPPTPPPKEAPKVSSPPPPKPSASEPQLPPKDRERRVPMTRLRKRVATRLKDSQNTFALLTTFNEVDMTNLMKLRSEYKEAFLEKHGVKLGLMSGFVKAAVSGLQNQPIINAVIDGDDIIYRDYIDISIAVGTPKGLVVPVIRDAEKMNFAEIEKTINGLAKKANSGSLSIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVNKPMVVGGEIKARPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >cds-PLY78843.1 pep primary_assembly:Lsat_Salinas_v7:5:308338484:308339699:1 gene:gene-LSAT_5X167300 transcript:rna-gnl|WGS:NBSK|LSAT_5X167300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHPDIMSLISQYLRKLPDLERFFRQVKSTFHSSALLLLPLIGSKILKQRYLGLSGNDGIDKFLNQFEAAIDSDFPNYQAHEIKDSDAEILSILIELFMEKSNEWFQLILALNSIDVLRSFAATSNFSCLAMCRPVIVPRSNSSGPTLDMKGLWHPYALGETGGTPVPNDLALGDNQFGYNPRTFLLTGPNMGGKSTLLRATCLAVTLAQLDCYVPCETCVISPADVIFTRLGATDRIMTGESTFLNECTETASVLQNASQDSLVILDEFGRGTSTFDGYAISYAFSLHPL >cds-PLY92582.1 pep primary_assembly:Lsat_Salinas_v7:7:163250697:163256622:1 gene:gene-LSAT_7X96020 transcript:rna-gnl|WGS:NBSK|LSAT_7X96020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGGFALHLNSQSGHLPTCVTKGGCRARHSSGHDVRRWSLTWRLSILSSAAPLSAAMAATMALRLAAVRRSGGNHLLEWSRRQGRLEEHRRHHKRLWAALVGFVGRKHKSNGSGAAPELEKKEEEGNDSWGEQGWRGW >cds-PLY79895.1 pep primary_assembly:Lsat_Salinas_v7:8:15098014:15098490:1 gene:gene-LSAT_8X11220 transcript:rna-gnl|WGS:NBSK|LSAT_8X11220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPYNQQSEGDKNISTTTPPVVAAQSKPNLKKIQMMSKTTGEDNIQSIKTYVTELKERVSKLQYQKQLLVCQAFEVEEANDGGGGGASDETDFVEEMEYPIMPRHLVLCQVPMEQACTPRIIVLQSLILP >cds-PLY88382.1 pep primary_assembly:Lsat_Salinas_v7:5:62716609:62717631:1 gene:gene-LSAT_5X30040 transcript:rna-gnl|WGS:NBSK|LSAT_5X30040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCSKPNFKIHPRSRVSSSSSSCFSVSAVITKEEQETVGEISSDCFNFKAYMGEKAVRVNRALDESISIKTPPTIHEAMRYSLLAGGKRVRPILCIAACELVGGDESTAMPAACAVEMIHTMSLIHDDLPCMDNDDFRRGKPTNHKVYGEDVAVLAGDSLLAFAFQHIASATVGASPERVLAAVGELAKSIGTEGLVAGQVVDIASTGAKDVGLDQLEFIHIHKTAALLEAAVVLGAILGGGSDTQVEKLRKFARCIGLLFQVVDDILDVTKSSEELGKTAGKDLLVDKMTYPKLMGLEKSRQFSEELLAEAKQQLEGFESYKGVAPLVALAEYIAYRQN >cds-PLY98904.1 pep primary_assembly:Lsat_Salinas_v7:7:50427910:50429500:-1 gene:gene-LSAT_7X36821 transcript:rna-gnl|WGS:NBSK|LSAT_7X36821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRFILVLLISLTPFSSSQQIQETFHQCLSNIQTPNSFFTKNDTNFISILNSRAINLRFTTQSTPKPESIFTPSNESHIQSAVICAKKLGIHIRIRSGGHDYEGVSYTSVTGPTFVVIDLSKMRAVNVDLADNSVWVEAGATIGEVYYRVAEKSKTVGIPGSICTSLGVGGLVSGGGYGSLMRKYGLLGDNVIDARIVNANGKILDRKSMGEDVFWAIRGGGGGSFGIIVSWKLKLVPVPATVTVFNVPRTLEQGATKILYKWQQVAPNFDEDLFVRAFISRTSDKTLSTTYQALFLGRGDRLLEIMNAGFPELGVKKEDFIEMSWIESVMFIGAYPLNTPPSVLLDGKPRSLNYFKAKSDFVKEVIPESGLEGLWKIMLEEGSPFIIWNPYGGIMSKISESSIPFPHRNGILFKIQYYSSWMDSGMEDKYVSMNRKVYEYMTKYVSKSPREAYVNYRDLDLGINGESNVNWGINYFKDNFSKLRKIKSKFDPSNFFRHEQSIPVLK >cds-PLY70531.1 pep primary_assembly:Lsat_Salinas_v7:1:71448087:71450672:1 gene:gene-LSAT_1X62120 transcript:rna-gnl|WGS:NBSK|LSAT_1X62120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESDSCRDPNGIPNPKEEENPKISNSKPKVVVIMGATGSGKSRLAIDLASQFPIEIINADSMQVYEGLDVLTNKVPLDDQKGVPHHLLGTISPMVEFTAKDFRDSAISLINDITSRNHLPVIVGGTNYYIQALVSRFLLDDSPVDTDELSLDETYGDKQQPNELIQEQENFIYSYDNLKDLDPVAANRIHPNDQRKINQYLHIYASSGVLPSKYLQEKTMENWGNADNLRFNCCFICVDASLHVIDKYVDKRVDQMIDSGLLLEVYDIFNPDADYTRGLRQAIGVREFEVFLKTYLSKGQNGNFESPIDKSKLNMNEILSIPGEKELLQDAIDKVKLNTRRLVRRQKRRLIRLQTLFGWNIHYVDATECLSCFTEESWTTNVVKPSIQIIKSYFEKDINLIPDFNATNGVKGLTLKERDLWTQHICKACGNKVLRGGYEWEQHKQGRNHRKRVCRLRKSGITALDE >cds-PLY81759.1 pep primary_assembly:Lsat_Salinas_v7:3:32476903:32479079:-1 gene:gene-LSAT_3X24381 transcript:rna-gnl|WGS:NBSK|LSAT_3X24381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRGLVLYGDGLARFVNPSHIHLHSLAAQSLCGFLSLPHFPPSENVDGRTIGEFLNLVDAYEDYSTLSVESQDKCITPPISERFMGVRAALITENTTLKSCGDKLGLSVLQSDELISKSCSLFDSSVNLVAMELLKLLGFEDEKVLDTNKFDLIFVHVGGGHEEQSNKKYTEYINSLIGEIISKAKPKSEIGSRLHLSVVLSYGDTEKDDDECNFTILNKNGSMKSDLASLIPRQSYTMKGSNPRTNVREYCPMLMAQWQEGVTRKDLVKTFSFQDFKENGGNLTIPADRFVHEVAFKLWKAPKYGA >cds-PLY73411.1 pep primary_assembly:Lsat_Salinas_v7:5:91827072:91830943:-1 gene:gene-LSAT_5X41341 transcript:rna-gnl|WGS:NBSK|LSAT_5X41341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSEDIQPLVLDNGTGMMKAGFAGDDAPRGVFPNIVGRPRHTGVMVGMGQRDAYVGDEAISTSCILTLKYPTEHGVVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTEVMFEAFNVPAMYVAIGAVLSLYASGRTTGVMLDCGEGVSHTVAIYKGYVLPHSLLRLNLAGYDLTDYLIRILTERGYTYNTTAEQEVIRDVKEKLAYVALDYEQELETARSSASVEKNYELPDGQVITIGSARFRCPEALFQPSLIGMEAVGVHEMTYNSIMMCDVDLRKYFYENILLSGGSTMFSGFADRMSKEITSLAPSSMKIKVFAPPERKYSVWIGGSILASLSTFQQVNYFLFPDSSKTLALFLP >cds-PLY97185.1 pep primary_assembly:Lsat_Salinas_v7:5:152530942:152531367:1 gene:gene-LSAT_5X66841 transcript:rna-gnl|WGS:NBSK|LSAT_5X66841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNEHMEFTSMVSQIRHAAFFTGEEFGHCGLKADVDSSAYDPNSSDSRSSHTTRLDDALFAFATIDHASLLGLGHLEMEEMRQICALEDVGEIYDDMLIEGAGGGGGQNVGGSGGAVVGGDCDGDGGVEASNGDNSIDS >cds-PLY84845.1 pep primary_assembly:Lsat_Salinas_v7:1:59959513:59961282:1 gene:gene-LSAT_1X52601 transcript:rna-gnl|WGS:NBSK|LSAT_1X52601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTNSRTIFFWISVTTIALLSIILSFYNTNSTITTAISLPGFQVTFIKRVVENSGIYELFSFITQISGHHHHHHHHHHHHHHHQKATCVNKWNSCLVSEYRVSLVLTVDQEGCGNFSSLQQAVDAVPDSSVGATLIILDSGTYREKVTVNQSKSNLIIQGQGYLNTEITWNDTANSTGGTVYSSTVSIFAQKFTAYNISFKNTAPPPNQGAVGGQALALRIAGDQAAFYGCGFYGAQDTLHDDRGRHYFKECFIEGSIDFIFGNGRSLYEDSTLNSIASDATNDGGITGAITAQGRSSENEKTGFSFVSCRIGGSGRVWLGRAWGPYATVVFLKTYMSQVVSSDGWNDWRDSSRDQTVFYGEYGCSGPGANSTSRVSYAKQLSAEEANPFMDISFIDGKEWLSESKLVNTI >cds-PLY91344.1 pep primary_assembly:Lsat_Salinas_v7:8:46749381:46752446:-1 gene:gene-LSAT_8X36300 transcript:rna-gnl|WGS:NBSK|LSAT_8X36300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein MALE MEIOCYTE DEATH 1 [Source:Projected from Arabidopsis thaliana (AT1G66170) UniProtKB/Swiss-Prot;Acc:Q7X6Y7] MATLEACIKNNTNRKRKQRIFEFKSFGKSITDFSRPFRDNIRSFLDEYGEQIEQRQLTGAVSNNVWSTLLLCESNGAIFPLYTIEEHINDQSIRPFCCHCKSVGWGHHFVCKRRYRFMIPSYGDKQPLKDHDQKNSLELDESHILHGLIHCNGFGHLISINKLELDSSNSLTETDVMNLWDSICNSLKTRKVSVADVKLERSMESRLIHGIAFRSSWFGNWGYKFGHGSFGVTEDKYTVAVGYLAELHLDNIINDLKNTYHGKKTQQIISKYRELSETRLFSLSDLLQFLLEFKLSVDTERQILAPSRKIPKHERATFEEDIQNPKCLDEFLISITNSDCRWPQRRVEYSIDVIVKLLKQKNVAMSRHELRESARQFIGDTGLIDFVLKSINVLCYDNYIIRRVVNSLTKLVEFEIHEMAEDPKNIKSVSSLWKLEPRWPKQRLEKTAKVIANILKEHKIMNTRRNGAMSRKDLRNMASKYVGDTGLIDFVLKSIDKLSIGNQIITRMKNPLTRLMEFEIRDQNNEEFHEEDGDVYGDILFLYRNVLLGYPWWNSVSEASRVVLNSKYFVKKWEFEVKSNESMTLTCRVLPSFDELETELTRQLPPGEVVVVSPWITVGELREVAQYALRDTYCVMDKFVVSQIGGLKGIKDEVVLSCAVEAGAQVWVRGSGLDLGTTLRYEGYDGDAVESRVDCLCGARDDDGERMVACDECHVWRHTRCCGIEDDEGAPVEFVCGDCDAKSKSELLSQ >cds-PLY94909.1 pep primary_assembly:Lsat_Salinas_v7:4:113652582:113654851:1 gene:gene-LSAT_4X71761 transcript:rna-gnl|WGS:NBSK|LSAT_4X71761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGERALHPDCRNSSNPYHECSEFCYKVIAEAKKLASISEPAGLQAAQPTSVQTKVPDNDQSDPDDNPSDDDNNAPDDQPQVDFTKLTGKQKKLFELRLKMNEARKANQTAMVAEKKRMEAPQESRGISKQKWIEERKKKIGKLLDSNGLDMSKAYMLDTQQMAETKYKKWEKDPAPAGWDVFNQKTLYDAYKKRTKKMDVDLEEYNKMKEADPEFYREASSLQYGKAPKISEDKIERMVKELKDRDEKKNTFSRRRRFHEEKDIDSINDRNEHFNKKIERAFGRYTLEIKNNLERGTALPD >cds-PLY69755.1 pep primary_assembly:Lsat_Salinas_v7:5:231898166:231899240:-1 gene:gene-LSAT_5X112041 transcript:rna-gnl|WGS:NBSK|LSAT_5X112041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSAKVRIALAEKGIKYEYRQEDIPHNKSPLLLKLNPVYKKIPVLIHNGRHVCESKIIVEYIDETWKDKSPLLPSDPYLRSQARFWADYTDKIYEIGMKLIMGSKGEEMEKARQEFLVCLKVFEGELGEKPFFMGDAFGHVDIALISYYHHFYTYETLGNFSLKNDCPKLFAWATKCMKRESVSTILTDPNIIYEAIIIFRKSMNLED >cds-PLY78055.1 pep primary_assembly:Lsat_Salinas_v7:4:353854821:353857382:1 gene:gene-LSAT_4X174021 transcript:rna-gnl|WGS:NBSK|LSAT_4X174021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVGDFTLRSDLDVLKDMFTMKLRIIRLWTLEDYYNKDGIFFIQLILMDEQGNKIQGHVPNTYIYKFRNVLKEGEAYFIKIPNLTKMDERKFQLTDQMQRLTFNRETTVTPCLDFSGSVVGFAFIDYHPIIADTVPQNISLDVIGLVVAVGEIDARNEDRKRHKMRLQIQDVNLNQDGLNENSSRIFSYIKSNQSFEKDDFVLNHELKTIVDIFEPNEAVPKNPSDEPNVTYECRNPKCTKTETLTVPRFMILVRVQDHTGSITLTKFEQDAKKLLKISAKDLVAKTAKLGFSTNVYPSDINVLKDMKLAFVVSVSKYNVQRNTNRYTIYRISDDEIMIEELEKKFRVAEGANSQSFEHGTTNCESQDNIFIKDVISQTDDNVTPMNVFKSTATNPKKNLDATKDLKRALKDDFVLDVNDNMSSSKTTKGIGGEAGQHKLVKVKLEK >cds-PLY79865.1 pep primary_assembly:Lsat_Salinas_v7:8:15274724:15279813:-1 gene:gene-LSAT_8X11421 transcript:rna-gnl|WGS:NBSK|LSAT_8X11421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYASKVHNNGSTEDKPINGKSFVRFESIIFRRPKEVAAKQNEMQQSTGLVEAILVEVKNRDKIGGSYLNSNAICCTPELANDGSCKVGEVIIRQDPDNPGWPKRIQTPFEGKNEEAKMLLQTVEINRTGMYYLYFMFCDPQLKGTTMSGRTVWRNPNGYLPGKMTPLMPFFGFMSLTYLILGLLWFLRFVQHWKDIIQLHYHITMVIGLGMCEMALWYFEYANFNATGSRPIGITLWAVTFSAFKKTFSRLLLLVVSMGFGVVRPTLGGVTLKVLLLGLIYFVASEALELVENLGNINDFSGKARVFLVLPVALLDSCFILWIFSSLSKTLEKLQTRRNMGKLELYRKFTNALAVSVLVSVAWIGYELYFNASDPLSELWQRAWIIQAFWAVLAYVLLVVICILWAPSHNPTRYSYSEVEDIEEDGISLTGGGVKVSGDLIERKPAVITDHVFEVLEEDKRE >cds-PLY90823.1 pep primary_assembly:Lsat_Salinas_v7:6:155206713:155207551:1 gene:gene-LSAT_6X92900 transcript:rna-gnl|WGS:NBSK|LSAT_6X92900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNNGFDSDFALLESIRRHLLDDSTMYSGGGAPPMNCRTNTLFPCLSDTWGELPLKQNDSDDMLIARFLHETLDFEGLLSPGKTMIPNIATVKSEPEVFVGSPEISSYENGSPPQTVGVDDVTQQKGKHYRGVRRRPWGKFAAEIRDPAKNGARVWLGTFETAEDAAFAYDRAAYKMRGSPANSSEDRSLKRTKKVEQIHVANS >cds-PLY84355.1 pep primary_assembly:Lsat_Salinas_v7:4:215922549:215922776:-1 gene:gene-LSAT_4X121700 transcript:rna-gnl|WGS:NBSK|LSAT_4X121700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTRGDSTKSEEEKLKKLREKKLLKKLSVVQDVADGLMAVADIRDGKGRLSGPLLMASAGMLSAIISTHKNWLSC >cds-PLY96088.1 pep primary_assembly:Lsat_Salinas_v7:3:100554936:100557905:-1 gene:gene-LSAT_3X73720 transcript:rna-gnl|WGS:NBSK|LSAT_3X73720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVIVLDLRPGLGIGPFSLGMPICEAFAQIEQQPNIYDVVHVKYFDEDPLKLDVVISFPDHGFHLRFDPWSQRLRLIEIFDVKRLQMRYATSLIGGPSTPATFVAVYAVFGPTFPGIYDKERGVYTLFYPGLSFAFPIPSQYTDCCHNGEAELPLEFPDGTTPVTCRVCIYDSSADSKVGVGSLMNKASAPLLPNGSLYMEEVHVKLGEELWFTVGGQHIPFGASPQDIWTELGRPDGIHQKQVDQMVIHSASELRPKTTLCGDYFYNYFARGFDILFDGQTHKIKKFVLHTNYPGHADFNSYIKCNFVIHATEFDGGFHQDMNSPKSSRITPGTKWDQVKEILGDCGRAAIQTQGSTSNPFGSTFVYGYQNVAFEVMKNGYIATVTLFQSF >cds-PLY89771.1 pep primary_assembly:Lsat_Salinas_v7:8:147382856:147384008:1 gene:gene-LSAT_8X99240 transcript:rna-gnl|WGS:NBSK|LSAT_8X99240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDELILLDCWASSYGMRVKIALAEKGLEYECREENFQEKSHLLLKSNPIHKTIPVLVHNGNPICESLNIVRYIDEFWPHKSPLLPSDPYKRSQNLFWADYIDKKIYSIGKRVWRGKGDEDQEIAKREFIECLKKLEDELGNKPYFGGENVGFVDVALVPFTSWFYTYEARGNFSIEAECPKLVSWANRCIRDNESVAKALPHPRKIYDFALNLLSNDSK >cds-PLY63238.1 pep primary_assembly:Lsat_Salinas_v7:8:271125072:271126047:-1 gene:gene-LSAT_8X156261 transcript:rna-gnl|WGS:NBSK|LSAT_8X156261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERENRKSDGHVAKEVGWTKVRRKKADNSHTKVISSFYISNIPVGTSRVTINDIFKSFGKIVDIYLTGRKDRGGLYIGFVKFINVVDVLALERKMQWLKCGHCILQVIELKQVPAMVGWNHSDVVGEVLSLKHLTELPKLLKADLNCSMNTFYAGGMCVVLRFDIPADAKNFLSNDNSWNRWFKWVRMGVFDEPSLERIAWVKVTGVPISLRAEENYKAVTNPFGTTLQVDGDNWGNMDLSYGIACILTCCLTRINEFVTCSFNNKTYKVGVIEYDFNWHPFYHIPAPQDQHDDEDQNEE >cds-PLY67628.1 pep primary_assembly:Lsat_Salinas_v7:5:27413760:27414291:1 gene:gene-LSAT_5X12661 transcript:rna-gnl|WGS:NBSK|LSAT_5X12661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKLDLESVAYLLGAGYSMVEIESLRGVKVELDDMPPVEMDVTEVEDIPYVDGVMEGNEGDGLINDGVDENKGDDEGDEAGKVEGKANDDGVGEDDGEGDGDVEDEGEGDGEDDAVDMEEMILMMNGMYHLEGQENPQKGSSYKS >cds-PLY83866.1 pep primary_assembly:Lsat_Salinas_v7:3:51918466:51919355:-1 gene:gene-LSAT_3X40020 transcript:rna-gnl|WGS:NBSK|LSAT_3X40020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGRRSCCCQRTHTHTDQVYKTKSVVTSFRHGKKAFAASTGVDSSDLRPVSGFLNEGIVGSGNRKTTDTDESLRQVMYFNCWGPS >cds-PLY64861.1 pep primary_assembly:Lsat_Salinas_v7:3:17199937:17201092:1 gene:gene-LSAT_3X12421 transcript:rna-gnl|WGS:NBSK|LSAT_3X12421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCSKVGLHRGAWSNEEDKLLTEYIQTHGEGQWRSMPSKAGLLRCGKSCRLRWMNYLRPGIRRGNFTEEENDMIIRLHSIHGNRWSFIATELSGRTDNEVKNYWNSHLKRKLALDSGEKQVDGSKNNKKRKNKKKTDQKSRKVKIVEKPEQTVPHSASSSSSLPSSQSASMALSKNEMSSASSNSTTYQGEADLLATDTDFSWTNWAPLLEMEGSGARMDGQDDLDFQFDGFDLLMFKDEESNMLEKLYDECLHFLEDENGV >cds-PLY68892.1 pep primary_assembly:Lsat_Salinas_v7:2:193185522:193190723:1 gene:gene-LSAT_2X113780 transcript:rna-gnl|WGS:NBSK|LSAT_2X113780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPLPFDRKDFLKDRKPSYADPVGIVPPRWREPPTTPSQHNIHGSSSFCRWGAGGGPSDFRRPFSGLHGKRVGGGWHNTTVESGSKENSCMAFGSLKDPKGVNSWETTASPNGNSLPHNDFVNSLDQLQSADQHAKNSQLGNNTPLRSPSEDASPCVTPMTHDEATSRKKQRLGWGEGLAKYEKKKVDPEDILDKEAGARNGMVDGVSGSEPLLTSPSSSTDKSPSVNGYSECASPTTPYSYACSSSPGLEEKESTKTVTVDNSTCNPASCHVSENDTEGLSSNLENLELNFSSALDKLLQADDLCSPGSKFVKSTAMDKLHVWKAELTKTLEITETEIDSLEHELKSLVSDAGSFPTEYQNKTCGGPLPVEKTDSSPEEVNGAEDSDDKSSDTTSEFVESVSKCSEDVGNDDVLGFVPSCGDRSSSTVSDGEDVDNGREDDKLYHFIFATNKVIANETSDELNRILLPTTHLCNKISKSTDESLNKTKIASRKRFLKLKERVITSKFRVLQHAWKEDLQLLSVKKTGGKSQKKFESSSRMGYADHQKYCSSIHSRLYPSGGSVSLVPSTEALDYVKKLLSDSRVKVQRKTLKMPCLILDRSERMMTRFVSDNGLVEDPVEVEKERSIVGAWTEEEQKIFLEKYSLFGKDFKKIASFLKNKTCGDCVEFYYKNHKSDCFQKIKKKSAFAKGMSCITNTYLVTSGRRMAADATSLEMLGAASEMVASVDGEHKLHSEMFCNAQETGAGDESCGGEMNPTSSDWTDEEKSSFLQAVRSYGKDFSMISRCLKTRSRDECKVFYSKARKCLGLDSIHSKAGDGGDDGDVDHGHDDTCMVDSGSVISCDKSSSDVKMEVEDLLHSSDSKQEVPESESEQIVVKEESKQLQDLNSRDSSICMDLSIEQQPVNNNNTNHMELNGVEKSKGCEDNGFLPGVSSHRKSVSQDDIDTSSRLSFRKSIGGSQRCSSTDGYHHLPKHSLMDCVESSQVVQKDENLLPEHCLPRDSCLQKPSQRQRQGEGEGDVKLFGQILIKPSLSLSGSKTNTSSLQENGEKRKSSFNLKFDIDNNTNNDHGLSEVPLRRSYGFWDGNRIQTTGFPSLPDPSSILVSGFGNSSSSSSVKTGFQTRQVYSYKNQEPFKGVLVSDPVAAIRMHYAKTEQYNNGSNSGDIGSR >cds-PLY89421.1 pep primary_assembly:Lsat_Salinas_v7:4:62966511:62972695:1 gene:gene-LSAT_4X43101 transcript:rna-gnl|WGS:NBSK|LSAT_4X43101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESRKESNQLPSTTDHLSMLCNNDFQSVKNKLLNECTRDGSLDWNGKPAVKQKTGGWKSGMLLLVNEGLATLAFTGVEVNMVLFSKSALRQSNAESATMFSTWMGSVYLFSLLGAFFSDSYLGRYLTCVIFQVILTIGLVALSLTTQAFMLQPKGCGKIGEVCNAHSSVEKAIFYLSIYLVALGNGGAEPALATFGADQFDEEDPEEKRAKTTFLSYFYVALNLGSLISETLLVYIETMGEYVVAFWISTACGFIALMSVLSGSFRYRHFKPSGNPISRFSQVIVASMRKIKLEVPSNGDGLYEDYSRDEGGTRRIYHTNDFKFLDRASIMTPDDAQNPHPWRLCTITQVEEVKCVLRLLPIWLCTILSSVVFIQMISLFVEQGAAMNRELSNFHIPPASMTIFDIISTSAFIICYDKLILPLYTKVTKTKPNPPTELQRMGIGLAIATLAIITAGFVEHHRLKSKGPKETSSLSIFWQTPQYVLVGVAEAFTYVAQWEFFAAQVPDKLKSIGLGLSMCSSAMGSYISSIILSVVMKITSKDGKPGWVPANLNDGHLDRFFFLCAGLTAVNLVLFVSCAKRYKNIVVERRDEAREMD >cds-PLY65755.1 pep primary_assembly:Lsat_Salinas_v7:5:269625897:269626891:-1 gene:gene-LSAT_5X140381 transcript:rna-gnl|WGS:NBSK|LSAT_5X140381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRNIGFDKDTWIDVSEAERVGMFEYLLIWFDFGAITNDPMAPVYWVSLNNRICAWYRGRINVPKSRLTDFERDMEAARAQVPTGMDLQRWNAAIDYFLTEKHKKRSVGNKECRKKQVVNNRGETCDYGSACFKNTHHIADSDGDPDTIDRITIFEKVLGTRRGHVKGIGPKPSSAMGTSTPYRSHSHKHRNQHMYLLNLKEFCSFDILLRT >cds-PLY64246.1 pep primary_assembly:Lsat_Salinas_v7:7:3745194:3745722:-1 gene:gene-LSAT_7X2481 transcript:rna-gnl|WGS:NBSK|LSAT_7X2481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAASASFRWILQLHKDVPKAAKFYKEGLDFTINVCTLRWAELESGPLKLALMHSSSVTDINSTVTKLMALGAEMDGPIKYEVHGKVAAMRCIDGHMLGLYEPV >cds-PLY69769.1 pep primary_assembly:Lsat_Salinas_v7:5:231665893:231666612:1 gene:gene-LSAT_5X111780 transcript:rna-gnl|WGS:NBSK|LSAT_5X111780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHWRIKISLVVAISMTILHSSIAHNEPEDFLHAHGCIRRLLDIPPLVWDPELAKTAQAWADQRKDCKLTPSDKVGENMAQGPNLNASYAVQMWVEERPDYDHGKNECLPGTQCAHYTQVTWKNTERVGCGRAQCSDGVCYVIVCNYDPPGNIVGEKPY >cds-PLY64360.1 pep primary_assembly:Lsat_Salinas_v7:4:26316678:26319052:-1 gene:gene-LSAT_4X18881 transcript:rna-gnl|WGS:NBSK|LSAT_4X18881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHAQTRFSSSSSNVSASMTTAAQQSADRGNAISMNPTLGASRHWRDVFWLGIFVLHLILVGFALGILGLNRFRKKDRLNIDQYTNRFLENQTGLTEDYWPLYAISGAISTVIGWIWLLLLGSRSNQMMKVSVHILTTYLAVVSVLCFWGKLVFWGVAFAIGSGLHFLYIISVIDRLPFTMLVLQRAVKMVWKLPEIMRIVCAFMLVMLLWLALWSFGASGVVASSIGDGGRWWLLVVFSVSLFWTGAVLCNTVHVIVSGMVFLVLIHGGREAASMPRNPLLQSLKYAVTTSFGSICYGSLFTAAIRTLRWEIRGFRSKIGNNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVYGKSFNHSARDAWELFQSTGVEALIAYDCSGAVLLMGMILGGLITGTCAVVLTRIKHPDREMMMGSTAILMGMILVGLAMVVVESAVTSIYICYAEDPLLIHRWDPEFFNQMSETLHQRLQHRSARIRDGSSQSQYIDRDRIQETITV >cds-PLY86770.1 pep primary_assembly:Lsat_Salinas_v7:4:296755995:296758199:1 gene:gene-LSAT_4X147760 transcript:rna-gnl|WGS:NBSK|LSAT_4X147760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFESWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLLISAISLIAGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPASRGEYVRWNNFLDVLPHPQGLGPLFTGQWNLYAQNPDSGSHLFGTSQGAGTAILTLLGGFHPQTQSLWLTDMAHHHLAIAFLFLIAGHMYRTNFGIGHSMKDLLDAHIPPGGRLGRGHKGLYDTINNSLHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLEHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDILLSSTNGPAFNAGRSIWLPGWLNAINENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >cds-PLY87195.1 pep primary_assembly:Lsat_Salinas_v7:1:135140702:135145908:1 gene:gene-LSAT_1X98620 transcript:rna-gnl|WGS:NBSK|LSAT_1X98620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDYYVDDVIEEEREDVSESDGVWESDSSAYRVACQASIKGSSSNVMDEVTKDLPPIIYEDSIPSGNTAKLYKNREIPEDAVVISSPNSDMNQVKSHMNNGVPSFSYVVAILVLLGSQLMVNGSMPPVLRTQKHGVEEWNSLKKVRVELERLRLTCERIIRREKLKVMIKIWVNGAEGQKLEGQSDPFSAKKAETVDAGAKQSVVVPNPSNCCSNLSSQWDPTGSLLALCSDDTTSKIWSMKQEKRIHDVRYHTKNVSHNAYGKDPYAKEFVDKDLVVCFMYFVHQVKLMEERNMKPLDSNLAALSARCSKDLELNLAKSFISEMGQCTTAYPYSLK >cds-PLY66309.1 pep primary_assembly:Lsat_Salinas_v7:5:288554264:288559192:-1 gene:gene-LSAT_5X153480 transcript:rna-gnl|WGS:NBSK|LSAT_5X153480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKNKVQSIVVSWWRKNPLLLSTSRTLNTSATGYDNQILKSPPLVSLDFPDVWTSSSTNYNPPSTHKLGSDEKEIARVIDGKQIAEEIISAVGVEVRRMKKTIGEVPGLGVILVGERRDSLTYVRNKMKACEEAGFKFDLTQFSDKCNEDEVIDAIMRFNTDPSFHGILVQLPLPQHLNEEKILGVLRLEKDVDGFHPMNMGHLAMRGREPLFIPCTPKGCVELLIRSGVEIMGKNAVVIGRSNIVGLPVSLLLQRHNATVSVVNESTSNPEEITREADIVVSAVGIPNLVRGDWIKPGAVVVDVGTHPVEEEGGCEEGGYRLMGDVCFEEASRVASSITPVPGGVGPMTVAMLLCNTLQSAARKYNFV >cds-PLY67111.1 pep primary_assembly:Lsat_Salinas_v7:4:242124907:242125104:1 gene:gene-LSAT_4X129921 transcript:rna-gnl|WGS:NBSK|LSAT_4X129921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFRYKRRSSSFTVRLFGKNACMDEDQDSRKPLINSVKDEQESDMESTPMLKTKTKYCRKSPNFA >cds-PLY83594.1 pep primary_assembly:Lsat_Salinas_v7:5:17863829:17866005:-1 gene:gene-LSAT_5X8141 transcript:rna-gnl|WGS:NBSK|LSAT_5X8141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESEDTASAPSTPATPGTPGAPLFSGFRPDHRNHGPNALKKSLLNSFKCFSVETLAKEEGTLPTVTCYLPPPPISLARKVGAEFIGTMILIFAGTATAIVNQKTPGSETLIGLAGSSGLAVMIVILSTGHISGAHLNPSITIAFAALKHFPWKHVPVYIGAQMLASICAAFTLKAVFDPMMGGGVTVPSVKYGQAYALEFIIAFNLMFVVTAVATDTRAVGELAGIAVGATVMLNILIAGPLTGASMNPARTLGPAMAANNYKGIWIYLTAPILGALAGAGIYTAVKLPEEDGDDHHDPNKPQGHSFRR >cds-PLY70037.1 pep primary_assembly:Lsat_Salinas_v7:5:200749881:200754249:1 gene:gene-LSAT_5X91640 transcript:rna-gnl|WGS:NBSK|LSAT_5X91640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEPTSGLDARAAAIVMRAVRKTIDTGRTVVFTIHQPSTTFLKLLMRGGQEMYVGPVGRHSWDIIEYFETIDGVSKIKDRYNPATWMLKVSTSTQELTLGVDFTGCWKYQQYSLLRGDLMIKISNNTRFNRLADAIISWFPVFPLVPSIKSLVEDRTERKMMIDIVGKIIKGKRNYSRYFGALTLTSFTLYLQDGRIERVVYKFVPILFASVYAGARVSQWVSPTSTTPTGVFRRLSFPMGCLHNFFHRLLSFEQEEDFDTNFIEAYFSDKKTEEERSQFHSCSTR >cds-PLY73700.1 pep primary_assembly:Lsat_Salinas_v7:5:207484793:207485865:-1 gene:gene-LSAT_5X96520 transcript:rna-gnl|WGS:NBSK|LSAT_5X96520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEHHRPNKKITTELPHEILFYNILPRLPAESLHRFRYVSKQWHMFLSSPEFIKLHLHHVTNDHHQNHHKLLVLSTTAPCNLHTIDCESPESGLSRSRPIPFKVCPENMTIMTSCNGLVCVGITKRKYDDKYHNLILWNPLTDDYKKLSKSHSCKECYEVCGGPYGLYYSYSHDDYRLLRVTHDRHAYIYSLKSDSWRKLDSSTSDLKSITCLISESWGESIVQNEKVYFIKQGKRRTLGHLSNSIIRKFSRVECQVLEDGWRWRLDRADKLSDIAEFILHSEATTLDEEWELGDILFALWMSSLQTGSGKTFL >cds-PLY78226.1 pep primary_assembly:Lsat_Salinas_v7:6:7603120:7603434:1 gene:gene-LSAT_6X5140 transcript:rna-gnl|WGS:NBSK|LSAT_6X5140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTYGASKSLHVVKKKPSSKHGVYEKTVPGDSEKNVATVSMKVVKEISDNVIKDVSKKEFQSGFEKVIEKVVKDVHETVVKKSKKLKSKHKSQGVFIKEIHDEVV >cds-PLY62889.1 pep primary_assembly:Lsat_Salinas_v7:9:130466907:130467539:-1 gene:gene-LSAT_9X84640 transcript:rna-gnl|WGS:NBSK|LSAT_9X84640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNADPWARPKFQLWSVRVEPPRNTLVVEYGKANEKIFTIRVVHGGFFTDYPGKAYQQTKYHMPTEEHGGLSMIPILNDECLGPFKTLVRAHKFREIEHLHVEHRPVFVPNNFPHFLMNSPAKRVDKLIHMFVTKHPMASVDDGIAYINHMINIRIPREKMEDAMDMAKENVIAWKDIT >cds-PLY76805.1 pep primary_assembly:Lsat_Salinas_v7:MU042712.1:316756:320078:-1 gene:gene-LSAT_0X20660 transcript:rna-gnl|WGS:NBSK|LSAT_0X20660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKDLILTFFNNRWLVFVAAMWIQSCAGIGYLFGSISPVIKSSLNYNQKQVARLGVAKDLGDSVGFLAGSMSEILPLWALLLVGAVQNFVGYGWVWLIITGRAPVLPLWVMCLLIFIGTNGETYFNTVALVSCVQNFPKSRGPVVGILKGFAGLGGAILTQIYALVKSPDSASLIFMVAVGPSMVVISLMFIVRPVGGHRQLRTSDASSFSFIYCICLILAAYLMGVMLVEDLVDLSQTIVQIFTAILFVLLVVPIGIPLWLTFSSDRRNPNPNEESLLPESEYKEPERSEHDPNEIIFSELEDEKPREVDLLPASERQKRILQLQSKLAQAAAEGAVRIKRRRGPHRGEDFDLTQALIKADFWLIFWSLLLGSGSGLTVIDNLGQMSESLGYDNTHIFVSMISIWNFLGRVGGGYFSEIIVRDYAYPRPVAMAVAQAIMAVGHLFFAMGWPGAMYIGTLLIGLGYGAHWAIVPATASELFGLKKFGALYNFLTLANPAGSLVFSGVIASYIYDSEAEKQAAQRHQQITNSTSSFLTKLFDTDDALKCEGSVCFFLTFMIMSGLCIIALILSLILVRRTKVVYQNLYGRTRA >cds-PLY90062.1 pep primary_assembly:Lsat_Salinas_v7:6:17361039:17363173:1 gene:gene-LSAT_6X7421 transcript:rna-gnl|WGS:NBSK|LSAT_6X7421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGEESLLDSILDADNVEDLEDVDMVDVEEGEFVENYQQTELGQNSSGDGGTISQEPKSKSQKRRNKKKNRKKKAASGPGVLDINRFVLDTCRRLKERKSYLIWTAVGCLGVPAVSDLVKEVDAIQACGGQMTSDGGRYRNGGGILWNILRKRDPNVYKEIMKKGREFEKQFKPPDFRKAANQSKRGLSEAEAEAEVEGVKEEVERDEGQNGELDIEERQVKKRPVHERIRVPVSYDDLLEVEDPKEEAN >cds-PLY63257.1 pep primary_assembly:Lsat_Salinas_v7:4:200434829:200435167:1 gene:gene-LSAT_4X112101 transcript:rna-gnl|WGS:NBSK|LSAT_4X112101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPIMDPISLFAPLFSGINLGCSFPYKTDTASGELLERDKEDGKLLGKCVEELKKKGLEFDLLKEVDALRRAKSLRVEGKAVKKWSMRDSVTLFLFTVSCLVLVLTRVILCN >cds-PLY87382.1 pep primary_assembly:Lsat_Salinas_v7:4:12346111:12350076:1 gene:gene-LSAT_4X8401 transcript:rna-gnl|WGS:NBSK|LSAT_4X8401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPGKYSESGGTDGGGGGGGIGGAAAFSSAMNSGGIRGKGIMLFGVRLMEGGGGGSSFRKSASMNNLVQYDEQPQESNIDAAGYASDDVVHLSARSRERKRGVPWTEEEHRLFLVGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRTNHNRRRRRSSLFDITTDTCLNSLNDDMNQKPPANLKYEPEKYVMTSFPLIPSRLQLTGGGDHNSIDTLTLGRPSTTKQAGNLMPPIPIPPSTKMAKLNLSTPDNYKHHEPPLPLTLNLTTSTSTSTPTTSSDQQSSSPSRHIVVKFQEMSGSFNSSNSSSSSNGDSIISVA >cds-PLY91876.1 pep primary_assembly:Lsat_Salinas_v7:8:201893368:201897934:1 gene:gene-LSAT_8X128461 transcript:rna-gnl|WGS:NBSK|LSAT_8X128461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIQMSTRLPRSNSDMGMETFNKQIQEIEKQVDKLSGLLKKLKDSNEESKSVTKASSMKAIRKRMEKDVDEVGKIARNVKVKIEAVNKENLANRQKPGCGPGTGVDRSRTNMTNSLAKKFRDIMTEFQTLRQKIDDEYREVVERRVITVTGTRPDEETINNLIETGNSEQIFQNAMKEMGRGQVLNTLEEIQERHDAVREIEKKLLDLHQIYLDMAVLVEAQGDLLDNIESQVTNAVDHVQSGTTALQTAKKLQKKSRKCMCIAIILLLIIIAIVVVGVIQPWKSGKGA >cds-PLY74012.1 pep primary_assembly:Lsat_Salinas_v7:1:33154398:33158699:-1 gene:gene-LSAT_1X28620 transcript:rna-gnl|WGS:NBSK|LSAT_1X28620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEINGSSGKPESDEKEKVSRGLLGMLFEHADWSDMVLMALGTCGCFVDGLSVSIMMLVFSHLMNVYASVSSLTPADVNKYALAFIYIAIGVGAGAFLEGFCWGRTAERQSSRIRTKYLKAILRQQVGYFDTTLEASRVTTSISTDMINLHGVVSEKIPNFITNIWMFIAAEITGMYLCWRLAIVAVPAMFLLILPGSIYGKRLSSNEEKLQEAYAVAGGVAEQAFSSIKTVHSYVGEEKMVNRFSTALGPTLSLGIEQGLLKGIVFGSVGVIYAIWALLSWYGGVLVIDKGLKGGDILSAGVCVIYGGFGLGSSFMNIKYFAEAGISAGVISEMIERIPTIDSEDQQGTTIDTIKGELEFKDVYFAYPSRPESLVLKKFNLKVKPCQTVGLVGSSGSGKSTVINLIERFYDPLEGEILLDDISIKSLKLNWLRRQIGLVSQDPILFATSIKENILFGKEDATSEEIVEAAKRANAHEFITQLPKAYDTQVGELGTQMSGGQKQRISIARVLLRDPKILLLDEATSSLDSHSEKAVQQALTQASIGRTTLIIAHRLSTLDNADVIFVIQSGEVIESGSHNQLVSNTHGPYSTMVQLQNTLSVNGQTVSPSQETKIKTLDDDDDDDDDDDDDGGGLSMRREKTRLIVDSSYLEKDSNLSWKELIRMNAPEWKSALVGCIGALLNGLIQPLLAFFQGAMLSIFFLKNHDEIRSQTTTLCYIFLAIAGFSFIIGVIQHYYFGIMGENLTKRVRESMFSKIMSFEIEWFYQENNNTGALCSRLATDTIMVRNLVADRLAFFAQAISASISAVILSMILSWKLALVAVSLQLVIIVCFYLKALVTTETENKSSEIASEAVNNHRVITAYHSQEQVMRLFEETQKGRKMESDKKNWYQGMALFARPFLTNINIAILYWYGGRLLYEGDITFKHLFQTFYIVVSAGMIIAETGSMTGDLSLGKNALQSLFMILKREGKMQTPKQDMIMPEKVEGRIELKEVDFFYLSRPTKMALKGLSLKINGGEVVALVGTSGSGKSTIIGLIQRFFDPCKGSVEVDGVDIIRYNLRALRSFIAWVSQEPTLFAETIKENIAYGKENATEAEIIQAANLANIHEFISSMKDGYETYCGERGVQLSGGQKQRIVIARAILKNPAILLLDEATSALDLRSEALVQDALEKTMVGRTCVIVAHRLSTIQRSNKISVIDNGRVVEEGSHDDLLAKGKEGAYFSLFSLQQQTSHK >cds-PLY68782.1 pep primary_assembly:Lsat_Salinas_v7:8:65638820:65639842:-1 gene:gene-LSAT_8X46120 transcript:rna-gnl|WGS:NBSK|LSAT_8X46120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSVEYSLIHHHLSLCYEICVCCCSLPWQGLKAGTKKQKYDKISEKKMFTPIETTTKLPLNPGISAERAERTLVKQEVRDRFSGAVESFTRRNGSSSGLHGDHQPRHRSSENVTPSKDAVSKNKKILTFTFLPIKAMFLHLKNCLTLDNI >cds-PLY95434.1 pep primary_assembly:Lsat_Salinas_v7:9:189406559:189407995:-1 gene:gene-LSAT_9X116901 transcript:rna-gnl|WGS:NBSK|LSAT_9X116901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSELVFIPVPAFGHLTSTIELAKRLLDQDERLSITVLLIPPLGETDLGSYTKSLAASDARIRYTMIPPTNYLSQPPSAPMAFEKFASLFIESHKSHVERAVLELLSDDSTHLVGFVLDMFCCCMIDVANTFKVPSYVIFSSNTAFLGFLLHLPERNNNEQQMNAFYMVRELGLAVELSLVYRSSGSEIVMTDQIKTAINCLMDDTNPVRERVKKISEESRKSLIKGGSSLVTLGKLVEDMLENLGKINTAI >cds-PLY86367.1 pep primary_assembly:Lsat_Salinas_v7:8:28379601:28385268:-1 gene:gene-LSAT_8X23021 transcript:rna-gnl|WGS:NBSK|LSAT_8X23021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSHHLQLEEPIRMASILEPSKPSFFPAMTKIVGTLGPKSRSVEIISSCLQAGMSVARFDFSWGDMEFHQETLENLKMAIRSTKKLCAVMLDTVGPELQVVNKTEHPISLEAETLVILTPDQEKEATSNLLPINFSGLSEAVKTGDTIFIGQYLFTGSETTSVWLEVNEVKGDDVVCLIKNSATLSGSLFTLHVSQIRIELPTLTDKDKEVISTWGVRNKIDFLSLSYTRHAEDIRHARDYLSKSGNLSQTQIFAKIENFEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAAVYKCNMAGKPAVITRVVDSMTDNLRPTRAEATDVANAVLDGSDAIILGAETLRGLYPVDTISIVGKICAESEKVFNQDLYFKTTVKYVGEPMSHLESIASSAVRAAIKVKASVIICFTSSGRTARLIAKYRPTMPVIAVVIPRLKTNQLRWTFTGAFEARQTLIVRGLFPILADPRHPAESTGATNESILNISLDHGKAIGLIKSHDRVVICQKVGDDSVVKIIELQE >cds-PLY92949.1 pep primary_assembly:Lsat_Salinas_v7:5:183337422:183338685:1 gene:gene-LSAT_5X80820 transcript:rna-gnl|WGS:NBSK|LSAT_5X80820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSFNDENSLFNFVVKEGNGVKGLMDSGLTEVPRRFIQPPDERLDKQHAAAPSSENMIIDLSELDGPNHDQVVKAISHAAETLGFFQVVNHGVPLELLDSLKTATHKFFDQPAEKKATYLKEVSDNPMIMYATSFIPEKEKVWMWRDFFKMTYTNDDDALQYWPNECKEVVLEYVKTSTVMMKKLLQVLIGNLGVKLNDSRLDTLLGSKSVHMIFCPTCPNPELTIGVRKHSDKGTLTMLLQDDIGGLYVKKGENLSSGDEEWIEVPPIHGALGVNVGDMLQILSNGRYKSAEHVVRTTNTASRVSVPIFNAPLPVAIIGPFPELVASDGVARYRDINYKEYTNNIDIEKPCGRKMALEFVSI >cds-PLY78883.1 pep primary_assembly:Lsat_Salinas_v7:5:305089039:305090042:1 gene:gene-LSAT_5X165660 transcript:rna-gnl|WGS:NBSK|LSAT_5X165660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGVASYRHRRSPSSDRFLGVFSPPSSSGVISGGSSAAGDDFSEDDVFWTGDFAEQKRQPGDPVKGNNWNPTGNHQPFRHQDKFGILAALPEDNGRKPNNRPVLNRRNPTISSPTTPAPSSSRLIPSIPRPNKLDRDLSQSMPLKFQQSAPVNVPMFARKPRTGGELADVDIGEDDEEEMLPPHEIVARGSSKSPHTTFSVLEGAGRTLKGRDLRLVRNAVWRKTGFLD >cds-PLY98962.1 pep primary_assembly:Lsat_Salinas_v7:7:49234299:49235990:-1 gene:gene-LSAT_7X35080 transcript:rna-gnl|WGS:NBSK|LSAT_7X35080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSHSLRSLLEKFQPLFSTHFRFNELLDSCSSLSQLKQVHALILTNGSHKSLPVSTKLINKAVSLSPTMDYARQMFDAMPHRDVFLWNTLIRSYSHLGPCKEAIFTYRNMHRSGLSPDTYTFTSVVRSCAMLSALQEGKQVHCNVIKNGFDVDVYIQSSLVTMYAQNGEPLDSEQAFDDIVVRNVVTWTSMVAANVQNGYLIKGLKTFIEMVTSGTKPNAITLVSVLPVCASLQFLNMGMLVHGLGVKLGVDSYISLTNSLIALYGKCGNVTIARALFDQMEARTLVSWNAMIASYEHNNSNEAAIQLFNTMQEKNINFDNITMVSVISACAGLGSLDMGKRVHELVKRNGLDSNVAITNALIDMYSKCGSLDLASNVFYSLPHRSVVSWTSIISAYASHGYGKEAMFLFSKMKEEGIRPNGVTFMAILTACRHSGLIEEGKKHFKSMSEDYFIIPGIDHCVSMVDLLGKNGELIEAYEFIQNMPIQPDVDVWVALLSACRIHGNLELANRIYQITPRNVGCDVIMIDMYAGADIWGHVARLRTCLDEKKMKRICGHTLLTGF >cds-PLY96970.1 pep primary_assembly:Lsat_Salinas_v7:2:36734618:36738767:1 gene:gene-LSAT_2X17061 transcript:rna-gnl|WGS:NBSK|LSAT_2X17061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENQDEIPVEPETESSTFISAYEADDWSNYKDSDVMLQQSAIFEEDAAKSPFVGDKEPLSSLEAEYKSGSPILLEKIKVLSEQYAAIRRTRGDGNCFFRSFMFSYLEHILESQDIAEVERIKTNVEKCKKTLQGLGYADFTFEDFFALFIEQLESVVTGNEAPISHDELIVRSRDQSVSDYVVMFFRFVTSGEIRKRSEFFEPFIFGLANLTVEQFCKTSVEPMGEESDHVHITALSDALGVPIRVVYLDRSSCDTGGISVNHHDFVPASDDNDTSSSAAGGSDPNPNPNPNPIPFIVLLYRPGHYDILYRK >cds-PLY72799.1 pep primary_assembly:Lsat_Salinas_v7:6:21767359:21769803:-1 gene:gene-LSAT_6X16060 transcript:rna-gnl|WGS:NBSK|LSAT_6X16060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKGVAVLNSSEGVSGTILFEQETEGAPTTVTGNLSGLKPGPHGFHVHALGDTTNGCMSTGPHYNPHGKEHGAPDDEVRHAGDLGNVTVGEDGTAKFTIVDKQIPLIGSQSIIGRAVVVHADADDLGKGGHELSKSTGNAGGRVACGIIGLQA >cds-PLY66076.1 pep primary_assembly:Lsat_Salinas_v7:2:206641397:206649004:-1 gene:gene-LSAT_2X127180 transcript:rna-gnl|WGS:NBSK|LSAT_2X127180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ORANGE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G61670) UniProtKB/Swiss-Prot;Acc:Q9FKF4] MNMGNGGYVFTISAQQGSNPGGFSTTHDVQQRSDGGVLMAESAGYMVAVVRLCDGGWRKRRRRIAAFGGCEKKFPLEHDHIHDDEEEDDDIDGDVGDPFFTKVIPKKGKQKFALVRFGVISNHKFIIYNDNNFCLHQRFPLEFTRLIRLTAGRTMSMKNLEGKEWPVRWRSKASSDPDASMFSAAASIDADSAEKNAAGFCIIEGPETVQDFDKMDIQEIQDNIRSRRNKIFLHMEEVRRLRIQQRIKSAELGISDEEQDGELPNFPSFIPFLPPLTSANLKQYYASCFSLISGIIIFGGLLAPTLELKLGIGGTSYADFISSMHLPMQLSDVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCASTGAIVLIDPVASANGGNQPLSPPKTERCSNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >cds-PLY82346.1 pep primary_assembly:Lsat_Salinas_v7:5:312707775:312709461:-1 gene:gene-LSAT_5X170600 transcript:rna-gnl|WGS:NBSK|LSAT_5X170600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKEDNKKSAAQPQSSNAEPEGENAYASFQGLLALARITGSNADEARGSCKKCGRVGHLTFQCRNFLSIKEDKDKDPEAIQAAVMSGLEKLKGNGKRTENDSDDESDEEESESSDSDYDSEIEKAIALKYGKRVGISKSRKKKDDDDDSDEDESDSKERRKRGRSKKKSVKRSKSDSDDDVSGKKRKKEKRRRKDELSDEDEDDDDDDRERRSEKRKSRREKRRRRSHRHSDDDSDSSEEESSRRHKRKSKKKSKSKSKRAALSSDSDGSSFEEDSRVGRDKKRSEKRRSRK >cds-PLY90959.1 pep primary_assembly:Lsat_Salinas_v7:9:171430736:171434972:-1 gene:gene-LSAT_9X106160 transcript:rna-gnl|WGS:NBSK|LSAT_9X106160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDNRRVQRNGGVANSSSNSSVHNIEEAVRRLRIQTDGKEDGTAMAGSMPYPDRPGEPDCIFYLRTGLCGYGNICRFNHPTHIGQVNQLQHGGELPERVGEPDCVYFLKTGTCKYGSTCKYNHPRDRRGAGPVMLNMVGLPMRQEEKACAHYIRTGSCKFGVACKFHHPQPSMDGSNIQLPYSSQQPQPLMNGVSTWPLPRATYVSDPLLQTPQTYLPIVLPHSQGIGPTQGWSTYMGSLSSVSSGSIYGGPGSIEQPYSSASSNLPERPGEPECRYFMHTGNCKYGSDCKYHHPKEKIAQLAASSLGPLGLPLRPGQAVCSYYSLYGICKFGPTCKYDHPLMGYSYNYSMSLPNPPILDPSLFPYGGVNSSTLHSSGSSPSKSSKNEGAKTPAGPSPSPSPSPSPSPQRVASPSHSE >cds-PLY98964.1 pep primary_assembly:Lsat_Salinas_v7:7:49773687:49774468:1 gene:gene-LSAT_7X34561 transcript:rna-gnl|WGS:NBSK|LSAT_7X34561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITKATPATPWWRLATASPRRGGIGGMVVEMDATTARWRWLEAHGLNNIEYPFLIRDRCSNPSTRQQVALERVEMATESHFRRQRRGDISSNSEDDDEVQVLNIDYLRGQPIHVFPMPVVFPNMTRFKGSFKARGSMLNSLPVERIKLQSALFSRKDM >cds-PLY73321.1 pep primary_assembly:Lsat_Salinas_v7:8:260433844:260434591:-1 gene:gene-LSAT_8X150861 transcript:rna-gnl|WGS:NBSK|LSAT_8X150861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNYAGKKYDRFACHYDPNSPIYSKNERAQIFVSDVGILVVTYLLYLLAMNKGLTWVFCIYVVPLFILNSSLVTVTYLQHTHPSLPHYDSTEWDWLRGALATVDRDYGIMNRLGHNIANTHVIHHLFPKIPHYHAMEATEAIKPILKDYYKFDETPVLKALYREAKECVYVESDDNEEAKGVFWFDNKF >cds-PLY66620.1 pep primary_assembly:Lsat_Salinas_v7:4:282891952:282893170:-1 gene:gene-LSAT_4X143700 transcript:rna-gnl|WGS:NBSK|LSAT_4X143700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNLPPDIIEAILVRLPAKSLSRFKSVSKHWCSLISNPQFITTHLHLNHHPTSCKLICFSRNTNNKKCLCSIDLNLNSDDVSANEVSFLPPPVMWEIIWGSCNGLVLATDEDERLYLVNPTIGEIFKLPPFALPREEGYQTYGFGNDSSTDDYKVIVISFSNTDMFVDVYSLSNNSWRNLPNSPYRQSFVYLVGGVSVNNNLHWLIVRPNFSSMIIAFSLAKEEFIEMNLPDSLGNNHPFNVLVVIGGKLCVFNQLGKDLWVMEEYGVGMSWSKVSIHGVDIDHLKPICSVEDNNRDIVLVDDDRVVIYNVDERISRNVRILGSPSGFTIRGTYVESLVSPKCIRRIP >cds-PLY64279.1 pep primary_assembly:Lsat_Salinas_v7:7:3006279:3006515:1 gene:gene-LSAT_7X2000 transcript:rna-gnl|WGS:NBSK|LSAT_7X2000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISGGTESMVHPIPNGNQVQDRCGHFEMPLHYPRYTRKEYETMPEWKLDCLLKSYGLPIAGDVNHKRAFAMGAFLWQY >cds-PLY63329.1 pep primary_assembly:Lsat_Salinas_v7:9:133531050:133535522:-1 gene:gene-LSAT_9X86101 transcript:rna-gnl|WGS:NBSK|LSAT_9X86101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase P2 [Source:Projected from Arabidopsis thaliana (AT3G05350) UniProtKB/Swiss-Prot;Acc:Q8RY11] MSGLTLSKSPPRSAYLSSYSPHRCFSFFSLSLPIYRSLNSMKRPPIHVSHSNPMFIVRNSSSSSSGSSSITAKPSSEMRKHHNGSSKGLDRDQKLTALRELFGKPGINIDAYIIPSQDAHQSEFIAECYMRRAYISGFTGSAGTAVVTKHKAALWTDGRYFLQAEKQLSSSWILMRAGNWGVPNTTEWLNDVLAPGGRIGIDPFLFSSDAVNELKEAVAKSNHELVYLYDVNLVDEVWKESRPMPPNKPIRVHDLKFAGVDVSTKLSNLRSELTNAGSSAIVISMLDEVAWLLNLRGNDVPHSPVMYAYLIVEINAAKLFVDKSKVTPEVNDYLKKAGIELKPYDSILSEIKSLAARGAHLWLDTSSVNAAIVSTYATACDNFLEGLGNNSKSKGKNLSGAPSAFCKSSPISLAKAVKNDAELEGMRNSHLRDAAALAEFWSWLEEEMSKKTVLTEVEVSDKLLEFRSSQSGFVDTSFDTISGSGANGAIIHYRAEPESCSIVDTQKLFLLDSGAQYLDGTTDITRTVHFGQPSERQKECFTRVLQGHIALDQAVFPENTPGFVLDAFARSSLWKVGLDYRHGTGHGVGAALNVHEGPQSISFRFGNMTPLLKGMIISNEPGYYEDHAFGIRIENLLFVKEVDTPNRFGGITYIGFEKLTFVPIQTKLVDLTLLSAAEVDWLNDYHSQVWEKVSSLVEGSAREWLWNNTRPVVKP >cds-PLY90932.1 pep primary_assembly:Lsat_Salinas_v7:9:170585477:170587567:-1 gene:gene-LSAT_9X105400 transcript:rna-gnl|WGS:NBSK|LSAT_9X105400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMYPIQRNTDLPFEIQPEIRILRPSIHARRANLVVKFQDLYGFTVEGNVDDVNVLNEVREKVREQGRVWWAMEANKGANWYLHTYGSSSIALTSSLRFSVLVNAMTLKKLIRKGIPPSLRPKVWFSLSGAAKKKSTVPDSYYNDLTGAVSDKITPATKQIDHDLPRTFPGHPWLDTPEGHAAVRRVLVGYSFRDSDVGYCQGLNYVAAMLLLVMKTEEEAFWMLAVLLENVLVNDCYTDNLSGCHVDQRVFKDLLTKKCPRLSAHLETLGFDVSLVATEWFLCLFSKSLPSETTMRVWDVLFYEGAKVLFNVALAIFKMKEEELLVTYHVGDAIKIIQNTTHHLFDPDDLLTNGY >cds-PLY89557.1 pep primary_assembly:Lsat_Salinas_v7:4:159829628:159830662:-1 gene:gene-LSAT_4X96180 transcript:rna-gnl|WGS:NBSK|LSAT_4X96180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEWGFELPIGNISYRAMIKYIYFLLATTSGKAVAERFPGKLTAPFEKTKLSAYALAAMVESAILSEELMQGFDQETVVVVVARLTSYKMEMEESFDATRWIDRNLICLCSKFGDYRKDDPSSFSLNPSFSLFPQFMFNLRRSQFVQPEHQAFAQLLQAPHDDA >cds-PLY76353.1 pep primary_assembly:Lsat_Salinas_v7:5:225786096:225786715:-1 gene:gene-LSAT_5X107600 transcript:rna-gnl|WGS:NBSK|LSAT_5X107600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSSNPTTHLEQQSRFTEPEVEAALQLIQLSSESDAYLQGHVLSISSGEILATISMKRRTKEVVGDHDESQGSSTSDITSAVRRFFVPRFEEEGDHEDENNDVAGSRRRKRKKFRSYIEMLIDRNSEGDMYIFLVERGVFVQNPVMMFFDMIA >cds-PLY72808.1 pep primary_assembly:Lsat_Salinas_v7:6:21878883:21882730:-1 gene:gene-LSAT_6X15800 transcript:rna-gnl|WGS:NBSK|LSAT_6X15800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGEHKQRNWMLPPPTDRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDEVTHSLKGKTVMTDKERYESLRHCKWVDEVIPDAPWVLTQEFIDKHQIDYVAHDSLPYADASGAGKDVYEFVKSIGRFKETKRTDGISTSDIIMRIVKDYNEYVMRNLDRGYSRKDLGVSYVKEKRLRVNMGLKKLREKVKKQQEKIQTVAKTAGMNHNLWVENADRLVAGFLEMFEEGCHKMGTAIRDRIQEQLKANGNRRGLTYENNDNEEEEDEDEYYYDYSTDEDEEELSSGSE >cds-PLY97430.1 pep primary_assembly:Lsat_Salinas_v7:4:15366174:15366386:-1 gene:gene-LSAT_4X11180 transcript:rna-gnl|WGS:NBSK|LSAT_4X11180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMVDVGWDVIISDIGGMDIGIEIGGGEDGLERTGNLFVGEGGGVPPWTELSSYESSLLLSLSLGGWMGR >cds-PLY73931.1 pep primary_assembly:Lsat_Salinas_v7:3:38292720:38296389:1 gene:gene-LSAT_3X28980 transcript:rna-gnl|WGS:NBSK|LSAT_3X28980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSVQITLSAIMIILLVVVDRSEGDPRSQVLNLTCSQQRATSANFIPNFVRVMEEISTRIQTSHRATAVMGTGPDLIYALAECYGDLSADDCMICYAAARTAIPVCLPGVGSQVFLDGCFIRTQNYNFFEENAGSNDIVICGNTTRYGVSLDSAIHSISDAARDAPRNRDYFARKVVGTANESTYVLADCWGTLNESCCKECLERASSSILQCLPWSEGRALHTGCFMRYSNIDFLNADSTRGNNGGNAKSQLIQRNCEHQQLNTRTDFSLNFGQAMADISTKMETSHFGTAVKGTKADKVYGLAQCYGGVSTRECNLCSAEALTTLPGCLPSTGGRVYLTGCFMRYENYSFFGEHIGEEDRVICVNKTRRNNAFEQSASKAVFQTVETAPKSKEYHAGKQALISGTANKSVYAMADCWRTLDTDSCTYCLQKAARSMLGCLPESEGYALYAGCFMKYSDSNFLYPDHHNTLSKGKTIAIVMAVTSALVFLIASIIAFYAWKRRNKSKRRRQGSDDTQLLMMVNNSSLNFKYSTIEKATDGREIAAKRLFINHRHRAGDFYHEVNIISSVDHKNLVKLIGFSCLGPESILIYEYLPNKSLDHFIFDAVRGKELNWAKRIDIIVGIAEGLAYLHENSKTRIIHRDIKAANILLDSRLGAKIADFGLARSFQEDKNHISTGIAGTLGYMAPEYILNGKLTEKVDVYSFGVVLLEVVTGIPNRRIQTSEDTHSLLWIAWRNFQQGTLEELFDPNLLLKDDTSSNMKKEIKSVIHIGLLCIQQVPSLRPTMSMALQMLSKNIVPLPSPSNPPCIPQSDTETNEFAQITAFRHRLNNPASLPTVTHTSFNPR >cds-PLY83920.1 pep primary_assembly:Lsat_Salinas_v7:5:10266830:10267534:-1 gene:gene-LSAT_5X5901 transcript:rna-gnl|WGS:NBSK|LSAT_5X5901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGVLALTFAALIACTSVSAFRTTFFTTTIEDDDNVVLTRSSREQQSCGKEIQSEQLNDCQKYLEQNSPYVGEVLEMTVEDPRKQHEKKQLEQCCRDLRNVKEECQCEAVQEAFRQAEKQQQQGGSRHGSQQERQQRQILQKAQNLPSECRLKVQQCRIRSPWI >cds-PLY94026.1 pep primary_assembly:Lsat_Salinas_v7:7:100644672:100647793:1 gene:gene-LSAT_7X66001 transcript:rna-gnl|WGS:NBSK|LSAT_7X66001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAFKSEEISQLQIVEREELEDEEDLFEAIDKLTSHGINAGDVKKLQDAGIYTCNGLMMHTKKNLTGIKGLSEAKVDKICEAAEKIVNFGYITGSDALLRRKAVVRITTGSQALDELLGGGNLNPNVLCGIETLQITEAFGEFRSGKTQLAHTLCVSTQLPTNMKGGNGKVAYIDTEGTFRPDRIVPIAERFGMDAGAVLDNIIYARAYTYEHQYNLLLGLAAKMSEEPFRLLIVDSVIALFRVDFTGRGELAERQQKLAQMLSRLTKIAEEFNVAVYMTNQVIADPGGGVFISDPKKPAGGHVLAHAATVRLMFRKGKGEQRVCKITAGGIADAKD >cds-PLY86826.1 pep primary_assembly:Lsat_Salinas_v7:1:16252868:16254581:1 gene:gene-LSAT_1X13801 transcript:rna-gnl|WGS:NBSK|LSAT_1X13801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWSFGSKAIASNKSPRPNEPPCSDCSESDDEISIDNNTQEEGEGLECPICCESFNIVENVPYVLLCGHTLCKNCVLGLQSSVVKLLPSFPIHLPFFISCPWCNNLSFRILYKGTLKFPRKNYFLVWMVESLNGDRVIKSHSSYLDNHHHHPVCQTGSVGPRVHHDPPPERLHLSLRKSLMFFVNLTAKFPLIFMFLVIVVYAIPASIAILLLYILVTILFAVPSFLVLYFAYPGLDWLVSEIVN >cds-PLY63485.1 pep primary_assembly:Lsat_Salinas_v7:9:168225236:168226121:1 gene:gene-LSAT_9X104780 transcript:rna-gnl|WGS:NBSK|LSAT_9X104780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTAIQQSAFAGQQALKPQNELVRKTGSFNGGRFTMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSKNGVTFGEAVWFKAGSQIFSEGGLDYLGNPNLIHAQSILAIWASQVVLMGLIEGYRVGGGPLGEGLDKIYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHLADPVANNAWAYATNFVPGK >cds-PLY84614.1 pep primary_assembly:Lsat_Salinas_v7:1:28846121:28850007:1 gene:gene-LSAT_1X24340 transcript:rna-gnl|WGS:NBSK|LSAT_1X24340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTEQPPKKRKLYDLPQPPSTAEPQPPAPQTSANVPTTTLSQEEIARKRRNQEEIANFYESYKRLKYCISQKDARLMPELEQAYLSLITASRGCTSVQRLVAEFVPKYASCCPTALEAAAKVCINVHNWSMAIINRGEDADGFSFGTAKICIFGLSTICQAASSETPTSSVIQGICSAVFLNVLTFFISSFEGQGIFQIVNKDVTNIFDSPKTFSELKEKFSNENEAPSVILSKFRVLCVLWIFFTCPRNSLAACFELCTSSSTSTDAVPVPNGGQYFLNQVTAGLDTCDDEVSTDKNCLLSLVLDKDPSLKKWILSTVKSISKSASSNIVSDITSAFEKILEELKSGEKQADSDGDDTNSSKFTPRQFLVPRTSEASVREGSITSNTSSNNSGGPKSMDLDSVDHKELPHASSSTPRDPFDGRNQIGNNRTFESPNKHHLTPLTGQPSWLGDGDRAAMDVYTASRQLWVGSLGGPDSPEGHVRFQFERFGPIDKFLYVAFKGFAVIEYNNILDAIKGREVMRGRSPWVIKFLDIGVGTRGDINGVAVGCSCHVYVGNIQSQLEKDEILYEVRKVVFKGPLAVNELMSEGALLMEFVSPEEVATVIGHLRHYRKDKTQRDSVSFQSNNMQYQNWTYRNNPETVSLSHMQGHYGPPSHSQPIQMRPMYYPPPPPPPPPNSSWGDPQPHGMIHHHHVAAPPPFLPPAASVTPLTHNALPPPHHDQMYYVPPQPDVGPPPLPPQLTLPPPLPLPPQPNMPPPLPIHQELPPPLPPSPPPLFESQPPPPPPPAVESPMMGSHWQGTLSKSGVHYSTIHAHKLHSDVCNYSDNNISEPTEWPAKLDITKRTDFRHVMSTFSSTPPHKREVCQLLPSAAADQKGFQDFISYLKQRECAGVIKIGTTRTIWSRLVFILPYSHETCSLLSIAPDTPDCLIALVLPKETNFEWV >cds-PLY66553.1 pep primary_assembly:Lsat_Salinas_v7:4:340432254:340432862:1 gene:gene-LSAT_4X167181 transcript:rna-gnl|WGS:NBSK|LSAT_4X167181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPTPIKDTESSFIFPDQTCQRFTVAEIQSATQNFDEALVIGWGGFGKVYKCSNIGSMSEVVVKRLHSMSNQGANEFESEVKVLSMLRHGNLLSLIGYCNDEREMVLVYEFMPNGTLEDYLRKPNSSLSWLQLLKICVGAAQGLDYLHRGTSAQHGVIHRDVKTSNILLDANFVAKVSDFGLAKVGLMDRTHVSTAVKGTFG >cds-PLY80367.1 pep primary_assembly:Lsat_Salinas_v7:7:155320946:155323129:-1 gene:gene-LSAT_7X92081 transcript:rna-gnl|WGS:NBSK|LSAT_7X92081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQISRKMYRLDLKRTILWRVALLRRCARSLWHQILACWIGKSVRYRQLLHSGPLIPTSRRSPTTTIDPATVSHQFPASSGEMSSSSGGSDHDQDTSDLVTLKISLLGDHHIGKTSFLAKYVGKEKNQEELKVKGINQMDKTLCVKGARISYTIWEVGCDAFCQDADLKTSIPAACKDSVALLFMFDLTSRCTLNSVINWHQQARTYNQSAIHVMVGSKFDEFVQLPLDLQWTIASQARAYAKAINATLFFSSASYNINVNKIFKFVTAKLFDLPWTLERNLTIGEPIIDF >cds-PLY66167.1 pep primary_assembly:Lsat_Salinas_v7:2:4438747:4442787:-1 gene:gene-LSAT_2X3240 transcript:rna-gnl|WGS:NBSK|LSAT_2X3240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLSENEGIEGKAFAVTGGLGFVGSALCLELVRRGARLVRAFDLRSTSPWSDHLLKNGVHYVKGDISNRKDVEKALRGVDCVFHLASFGMSGKEMLQFGRVDDVNINGTCHVLEACHENGVKRLVYVSTANVVFAGNEIINGNERLPYIPLDIHVDPYSRSKSIAEQLVLKTNGRPSKKKKGEFFYTCAIRPAAIYGPGEERHIPRLISLAKLGLLPFKIGDSNVKSDWVYVDNLVLALVLASMGLLDDIPGRGKQPVAAGQPYFISDGSPVNTFEFLRPLLRGLDYDMPKASLSLPRALFFGKIISIVYTIMYPWLNHAWLPQPLLLPAEVYKVGVTHYFNYLKAKQELGYTPMTTPQQGMATTISFYQEQKRKSLDGPTIYAWFFCVFGMSTVIAAAFFPDITPVPLLRSICLFFLRSMLVLRILAVWAIAMHVGEAVYAWRLAKRVDPGNSSGWFWQTFVLGVFSLKFLLKRAKK >cds-PLY82473.1 pep primary_assembly:Lsat_Salinas_v7:2:182880237:182882629:-1 gene:gene-LSAT_2X104420 transcript:rna-gnl|WGS:NBSK|LSAT_2X104420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRALYGACTSALLTTAVTRQSSLFSASKQQPCQQLRGGAISAKGGMAVATADDQRLKKGIAEFYDESSGVWENIWGEHMHHGFYDPDTVVQISDHRSAQIRMIEQALQFASVPEDSQKKPKSIVDVGCGIGGSSRYISRKYGAECYGITLSPVQAKRANDLAAAQGLANKVSFEVADALNQPFPDGKFDLVWSMESGEHMPDKLKFVSELARVAAPGGTIIIVTWCHRDLSPGEESLRPEEKRLLDKICDAFYLPAWCSTSDYVKLLESLSLQDIKSADWSENVAPFWPAVIRSALTWEGLTSLLQSGWKTIRGALVMPLMIEGFNKGVIKFSIITCRKPE >cds-PLY94683.1 pep primary_assembly:Lsat_Salinas_v7:6:19477233:19479894:1 gene:gene-LSAT_6X13741 transcript:rna-gnl|WGS:NBSK|LSAT_6X13741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNVFSYPKSPTYKNKEDNSQEDNLPFTLTHLAIVMEYASEGELFDRICTARRVDESPSISKEIDKNVEREIINHRSLRHPNIVRFKELILTPTHVAIMMEYASEGELFDRICTAGRFNEDEIWMKVSTYISRNCIPHQVGDNWCR >cds-PLY99644.1 pep primary_assembly:Lsat_Salinas_v7:6:82707676:82708341:1 gene:gene-LSAT_6X58740 transcript:rna-gnl|WGS:NBSK|LSAT_6X58740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRSTILTLSIVFFVLFISNFVANGIPGGRKKVENVKTNKEVQELGRYSVEEYNRLEGSQKGGVGSLKFSQVVEAETQVVSGIKYYLKIVAFSKSGVSKVFDAEVVVKAWMHSKELLNFKPSPANK >cds-PLY87139.1 pep primary_assembly:Lsat_Salinas_v7:5:260697100:260697632:1 gene:gene-LSAT_5X130740 transcript:rna-gnl|WGS:NBSK|LSAT_5X130740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYHHRHLNLKHFQQLVFSFHIEKVTASVARRMPPPPPTLLATILVEKMCSIGCIRFLTTTISVRSGLHNLHHLSATPPIGVHPDRGGWNKEFEINGGCREMFG >cds-PLY80865.1 pep primary_assembly:Lsat_Salinas_v7:4:325401742:325410624:1 gene:gene-LSAT_4X161940 transcript:rna-gnl|WGS:NBSK|LSAT_4X161940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRSIVASASHKLPDPLLYFDLLSDLILSIHDEPKDPVEFKEVLDDTAVAVTFLLSFF >cds-PLY85739.1 pep primary_assembly:Lsat_Salinas_v7:1:47335624:47339555:-1 gene:gene-LSAT_1X41340 transcript:rna-gnl|WGS:NBSK|LSAT_1X41340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENDKNINTIERPPIEMLLKKIQELEKGHAHLKESISKLIISDEYKKSVSPGRGGASQTFSKTESSSYRKILPPRRENNNDRFHEGGGAAVDGGGAMKLTENQYINILQTMGQALHVFDLTGRIIYWNQMAQSLYGYTVGEAIGKTAPDIIIPPNYSELATIIIHRGLQGERWSGEFPVRNKRGQKFTVITNVSPFHDENGTIRGATCISTDSRPFREIIPQLNVNIAPWRISSSRFGLDDQQPLQTAIASKISNLASKFKSKIKSRENNEDDFTKSGDYHYYDIAPCDHTQDMKSPYGHFTVKPSTDSSRGSEKENKPGIHEVLSSKAEAWLGKKGISWPWKGNESDQDHDYGPQTSSSASIKVETQMHESFINTNEAPKSLSSSCGASSSGRNVIYKIDIDIDNLDVEVSWDDLVINEQIGQGSCGTVYHGLWYGSDVAIKMFRKQEYPEDVILSFRKEVSLMKRLRHPNILLFMGAVTSPHHLCIVSEFLPRGSLFGLLQRNTTKLDWRRRIHMAMDIARGMNYLHHCNPPIIHRDLKSSNLLVDKNWTVKVGDFGISRIKHETYLSTNTGKGTPQWMAPDVLRNEQVDEKSDVYSYGVVLWELTTEKIPWDNLNSMQVIGAVGFMNKRLEIPKDIDPQWASLIESCWSSEPKSRPTFQEILIKLKDLQRKLVIQTQVPRRVNSNQKGS >cds-PLY93925.1 pep primary_assembly:Lsat_Salinas_v7:1:196205309:196208760:1 gene:gene-LSAT_1X127481 transcript:rna-gnl|WGS:NBSK|LSAT_1X127481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSCYEPLMGIQISSNESSHRFRLVGPRFGPQLSSLSGSKVSTRVMLSTVSAETSASNATHPIDGELQRITMYLTIELCSTSYVFMLMSTI >cds-PLY78293.1 pep primary_assembly:Lsat_Salinas_v7:6:152089354:152091690:1 gene:gene-LSAT_6X91741 transcript:rna-gnl|WGS:NBSK|LSAT_6X91741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLKFSFPHYPHEEASCLMIEMSIDTPTINQIQRYLLLLDESTNANSIFACKIKWIKEKVLGEE >cds-PLY63349.1 pep primary_assembly:Lsat_Salinas_v7:7:150672088:150672764:1 gene:gene-LSAT_7X89581 transcript:rna-gnl|WGS:NBSK|LSAT_7X89581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDLGSSCFETDHLCSYVQSRSYRAPQVLLGLPYDKKIDVWSLGCILAELCTGNVRFFFQNDSPATLLARVIGIISQIDQEMLVKGKDTHKYFTKNHMLYEHNQDTNRLEYLIQKKTSLRHRLPMGDQGFIDFVSHLLEMNPKKRPSAAQALKYPWLSYPYEPISS >cds-PLY66665.1 pep primary_assembly:Lsat_Salinas_v7:1:54313802:54317765:-1 gene:gene-LSAT_1X47121 transcript:rna-gnl|WGS:NBSK|LSAT_1X47121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNEVQYILESKRLVWLVAVVFAMVIMFQYFEFPYGDYVSSSLFSAKKTQPPVSGSLQYEASPKSPKSLDNITLFDGSNHTNPLDILEKGNKIIDNGDKNDVEVKHNSTVDKVQENGDLVTNNATLSVGNLSINNVSYSTSQEKNPDVASSPAPITPSTSIVSISPSMAIFDNNTSKADIGAPNSDHNKESATSQKIHVKKPPPMGDVVTISNMYDILLYNRANVRSMKPLWSLKVDQELLDAKYQIENAPINDNDRALYPPLYRNVSMFKRSYELMEKILKVYVYKEGERPIFHHPQSVLNGIYASEGWFMKHMEESKHFVTNDPKQAHLFYLPFSSRILEEKLYVTGSGSRDNLVQHLKNYLNLIAMKYDSWNRTGGSDHFLVACHDWATDETRKVMDTCIRALCNSDVKREGFQLGKDVSLPETYVRSPQNPLRELGGKPPSQRPILAFFAGQMHGYVRPILLKHWENKDPDMKIFQKLPKSKGNKNYIQYMKSSKYCICAKGYEVNSPRVVEAIFHECVPVIISDNFVPPFFEILNWESFAVFVQEKDIPNLKNILVSISKRRYLVMQQRVKKVQQHFLWHVKPVKYDIFHMILHSIWYNRVFRVDSGS >cds-PLY94005.1 pep primary_assembly:Lsat_Salinas_v7:3:179225232:179227537:1 gene:gene-LSAT_0X13960 transcript:rna-gnl|WGS:NBSK|LSAT_0X13960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEGFQRFEVEIRLILIVWKLGLGSLITSSSRSEYGSIGPICSLQSLALELFIVRYYILAVKSSLGQKKISRFQVWDLLFLHWILDDINVKAIGGKDTYRLKW >cds-PLY88837.1 pep primary_assembly:Lsat_Salinas_v7:3:193661384:193662823:-1 gene:gene-LSAT_3X115000 transcript:rna-gnl|WGS:NBSK|LSAT_3X115000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRTVEVRKKLEAVETRISKSIFSLQKDGKEIIFKTKDGHKVERIFFETLIPSAWIHPKVIDCWSMLLNDEKKRKANTTPLCFYFPTRMLTNEMLDRDTPYDKRLEKFEESMNEAMKNYPHLKDLKKVRIWWTENNMNDSGVMLMRHMETFKGQGPNNWDSEIEKDQKQQKKQLTKLQSKYVTKILVNDINIHNNKIIEEGLQFEKLTKEEQKQVVNHATENYEERFQLFGQD >cds-PLY62611.1 pep primary_assembly:Lsat_Salinas_v7:9:75902123:75906928:1 gene:gene-LSAT_9X61061 transcript:rna-gnl|WGS:NBSK|LSAT_9X61061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVLRKTLKPSSVFTTIHRFIATVNLPEPRSSAAYDDLIASAGHKRDFATVHHLLNQRYAKGFLTTNNTFKFISTDISVLNELLKTLSALNEGHCRKSAYDSLISWLCKVKLTEAALRVADAAIHGKYGADASSFHPILSLLTRKKNFDEAWRVIEFMRANGISRDITSYNFFLTSYSITGNLKSCADVLKKMGEEGLKADGRTYDALVLGACKAGKMDGAMAILRRMLDDGIEAMYATYAHVIGNLVRLGYCAQAVEFVMSYAGKNPKLDSHNFGLLATRLIAVEKVNEAKLYTIDPSYGAYFRTEADTDLEGCTRKRKRKPKVVGAPSGVDWEAARYD >cds-PLY67392.1 pep primary_assembly:Lsat_Salinas_v7:4:211304497:211307371:-1 gene:gene-LSAT_4X119120 transcript:rna-gnl|WGS:NBSK|LSAT_4X119120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSTTTTSFFLLLLLLLNTAAVVRSQSYIGVNYGVTADNLPPPSATAKLLQSTSIGKVRMYGVDAGIIKALANTGIGITIGTSNSDIPALAADLNFAKGWISSNVSPYYPASKIIIINVGNEVLYYPDENLKTQLLPAMQNLQNALEAASLGGKIKVSTVHPMSVLGQSEPPSAGRFDPKYEALLKGFLEFNKATASPFMINPYPYFAYQSDPRPDTLAFCLFEPNAGRPDSGTNVKYMNMFDAQVDAVRSALNAMAFPDVEIVVAETGWPYKGGDTEHGATIENAKAYNGNLVAHLRSMVGTPLMPGKSIDTYLFALYDEDLKPGAGSERFFGIYKTDLTSIYDIGLSKTGQGPLATAPAPALSPPADAPTTGGATTLPTNSSTTTTPTTTPPNSTIPQYSPTARVNASKNTGSLNTIAISFIVVVFLAVTSML >cds-PLY62848.1 pep primary_assembly:Lsat_Salinas_v7:4:29998444:29998776:-1 gene:gene-LSAT_4X17100 transcript:rna-gnl|WGS:NBSK|LSAT_4X17100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDPISSYSLFIRSIRRFSPSFFRCRLFLRWRINSWLVLICSYLLPYSVDIVGIVLMETVPPDLEPDVIMKRKGKNENPRNKLKIDSGEDSKLPSDPNQSLFLSISLNQK >cds-PLY64340.1 pep primary_assembly:Lsat_Salinas_v7:4:26115662:26118998:-1 gene:gene-LSAT_4X18741 transcript:rna-gnl|WGS:NBSK|LSAT_4X18741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVVDEIRSAGRCGCKYGRVEVEGDEGEVTKKGVKSQLEYLQQCLRGYEQFGISRKGSKYVSDQYCTIFYDKEKVELLEGGTFWLSESPSIPESISWGSESPSIATWAISFSSI >cds-PLY70068.1 pep primary_assembly:Lsat_Salinas_v7:8:114132415:114132969:1 gene:gene-LSAT_8X76321 transcript:rna-gnl|WGS:NBSK|LSAT_8X76321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSLVHFGGGGFDPYPAFISLRAPYSEAVLYHDSSEAFQPAINVGLSVSRVGSAAQLKTMKQVCGSSKLELAQYRKVAALAQFGSDLDAATQALLNRGARLMEVPKQPQYAPLPIEKQILVIYAAVNGFCDRMPLDRISQYERAILKSIKTELLQSLLEKGGLTNERKMEPDTFLKECALGYTI >cds-PLY71402.1 pep primary_assembly:Lsat_Salinas_v7:4:239737132:239737987:1 gene:gene-LSAT_4X128480 transcript:rna-gnl|WGS:NBSK|LSAT_4X128480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTTLMCLHNFHHHSTDSRLHHLRSWLKWFKCEGMLWKNKVLIEKGVGLLLLLISGLFDIAHQVLDEILQRTDTALKVRGTHDLCRRCERNLNNL >cds-PLY78087.1 pep primary_assembly:Lsat_Salinas_v7:1:6498048:6502063:-1 gene:gene-LSAT_1X5521 transcript:rna-gnl|WGS:NBSK|LSAT_1X5521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINRTHPHSFCYLLKLIFFHSTDFFNWNKVKIRYCDGASFAGHPESEQKVCSFLGIRQDKMQAIRRNKCSRGESNGVGYMQARLLLDMLGKGIESALIDPSALVDKPWSTLQRVKTLSLGHCQFQREDTKEQSDSDALENNPQYRLGVEFS >cds-PLY91816.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:10026:13014:-1 gene:gene-LSAT_0X33100 transcript:rna-gnl|WGS:NBSK|LSAT_0X33100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAYGQLNLEESPSWGSRSVECFEKLEQIGEGTYGQVYMAREIKTGEIVALKKIRMDNEKEGFPITAIREIKILKKLQHENVIKLKEIVTSSGPEVDEHGKSGGHKYKGSIYMVFEYMDHDLTGLSDRPGLRFTIPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSYSTDHKGNLTNRVITLWYRPPELLLGATRYGPSVDMWSVGCIFAELLHGKPILTGKNEPEQLNKIYELCGSPDEINWPGVSRTPWYSKFKPSRQMKRRVRDVFRQLSSLLILFIFN >cds-PLY74942.1 pep primary_assembly:Lsat_Salinas_v7:5:233135816:233138937:1 gene:gene-LSAT_5X116261 transcript:rna-gnl|WGS:NBSK|LSAT_5X116261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSILQALMWVVALSLCISSTECLTGDSIIDHSQSVDGGLCFNLIGPSGYTCLEHTAQTKDGFLLGLQRVSSGILNLGAQTGPPVLLLHGLFMAGDIWFMDSPNESLGFILADHGFDVWVGNIRGTKWSHAHESLSDGDKEFWDWSWEEMALYDLETMLSYINSKTGSKVFVVGHSQGTIMSLAAFTQPDIVRMVEAAALLSPISYLDHITSKLVLNLVHVYFDEALGLLGMHQLNLKSDILTNMIESVCDGHLDCSELLSPLTGENCCFNNSRVDVYLEYEPHPTSVKNLKHLFQMIRKGTFARYDYGSIKNLLQYGKLKPPTFDLSKIPESLPIWMAHGGNDALGDVIDVQHTLKELKSKPNVLFLEDYGHIDFLLSTRGYEDLYDNMINFFKSCEMSS >cds-PLY92705.1 pep primary_assembly:Lsat_Salinas_v7:7:4977642:4980537:1 gene:gene-LSAT_7X4881 transcript:rna-gnl|WGS:NBSK|LSAT_7X4881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex protein 5 [Source:Projected from Arabidopsis thaliana (AT4G29910) TAIR;Acc:AT4G29910] MNKVDSPQVTKRKTRLSSSCNPNAIESATTTINLPPLTLRDLVFGEEEPLTYDDLISSLPGRRNQIFELVRLLGPVDSPVSPIFVYGGASTGKTSTILQVFRHLNRPFVYSSCRTCYNARQLFENILNQLLLHRKSEINGYSSAKKCEKQADFVNLLKEALVGVVDSLKGNSGKSSGGFVNGSMVYLIFDSLELVRDWDKSSTILPFLFKLHDILKVPDVGLIFVSSSSLDTFYSDTGFVDPIPVYFPDYTEDALRQILMRNQPNPKLYSAFLDLVLKPFCRVTRKLDELSAGLSPLFKKFCEPLDDLEVNPVGENKRKLLNCLQPHIAPAINEILRVTAMSSQVNMKKKKSGASDSIDEIEFHMSTSAKYLVISAFLASRNPATLDASFFDSTGGPANQKKKRKSSEKSKELKEALEEEQFMKGPGTFPLERLLAIFQCITSGSEYPLEEEEEEEEEEEDKSLMSDILLQVSSLCSANFISKGGSCPLEGSTRYRSTISEDLALKVAKSLKFPLAKYLYRK >cds-PLY73226.1 pep primary_assembly:Lsat_Salinas_v7:8:178781517:178783074:-1 gene:gene-LSAT_8X115941 transcript:rna-gnl|WGS:NBSK|LSAT_8X115941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLQTFRPFNVAHRGSNGELPEETAPSYLRAIEEGTYFIEMDILVSKDGVLMFDFTLEELKTLRAKQRFSFRDQQYNGKFPIITFEEYIQIAINAPRVVGIYPEIKNPVLMNQHVKWPKGKRFEDVFMEILNKEFVIGIGPWKDTIVPVKDNYVETLTDLVDRAHAYNLQVHPYTFRNENKYMSDNSPNLDLAKIPLIWEEVN >cds-PLY98276.1 pep primary_assembly:Lsat_Salinas_v7:7:170980970:170982183:-1 gene:gene-LSAT_7X100320 transcript:rna-gnl|WGS:NBSK|LSAT_7X100320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase B1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G53670) UniProtKB/Swiss-Prot;Acc:Q9C8M2] MASHFLTPNNFRLQLLVSSKPQFNSHLKSSNRFQLSIPIARFSSSIRSMGSSSSSSQKPDTSPLETGNMDFKSVSDQEWKKKLTGEQFYVTRQKGTERAFSGEYWNTKTAGIYHCICCDTPLFESSTKFDSGTGWPSYYEPIGSNVKSKLDLSIIFMPRQEVLCAACDAHLGHVFDDGPPPTGKRYCINSASLKLNPK >cds-PLY65209.1 pep primary_assembly:Lsat_Salinas_v7:8:20493190:20493625:-1 gene:gene-LSAT_8X15760 transcript:rna-gnl|WGS:NBSK|LSAT_8X15760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGKFGGKQPTGTPSVAWSTVVVIVSLLSGASVVHNIFKPDLTLPPIESVSADKMQQPAKE >cds-PLY76920.1 pep primary_assembly:Lsat_Salinas_v7:2:199916315:199916719:-1 gene:gene-LSAT_2X122201 transcript:rna-gnl|WGS:NBSK|LSAT_2X122201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGLLLAESYDPDEYVSKILFVIKPTTTYAKWIPFPTSEYTATKFALVVISSNPLHFKVIRLSYTKPSDMPKEKVDCDYYNIELFSSTTWQWREFQNIQLPSSVYLVSD >cds-PLY91297.1 pep primary_assembly:Lsat_Salinas_v7:5:250781904:250782446:1 gene:gene-LSAT_5X125700 transcript:rna-gnl|WGS:NBSK|LSAT_5X125700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METYDIVLIVCVSVVAAAIVLCCLYCLCNSSDWKEKSTIPPLRTARRREIGTTTTSDGGAVFSAATAAVLFNASAGDGCGHHGGGCGGGGCGGGGI >cds-PLY82200.1 pep primary_assembly:Lsat_Salinas_v7:1:13905917:13906888:-1 gene:gene-LSAT_1X11160 transcript:rna-gnl|WGS:NBSK|LSAT_1X11160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLSDAKPHIMKFNEHQMTLLLWWRKPICMGRHAFGDQYKATDAMIKEHGKPKLVFILGGEGEEINLEVYSFTGVGALSMYNTDKSIVTFVEASMNITYQKKWPLYHSTKNTILKKHDERFKYIFQEVYERNTKSKFEAAHVWYERNLIDDVVAYALKSDERVHQKRGKTSKISIASICVWTCVMTHMTTLDNNSKLLDFNEKFEAACIDTFEFGKMIKDLAILTIWTVLQTLGLRTSLYFKMVVLIENVIKNGSSLKTREKLRDQIVILCAFCFALM >cds-PLY79357.1 pep primary_assembly:Lsat_Salinas_v7:9:54197504:54198639:-1 gene:gene-LSAT_9X50281 transcript:rna-gnl|WGS:NBSK|LSAT_9X50281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASALSKADGIDYTDPEELELLVATIMDLNAMDGKGSVSLLAECSTSPDVNTRGSSVCSGDCPPDAILRHEECNKANKIAYYSELHKVLGWYLQLY >cds-PLY79159.1 pep primary_assembly:Lsat_Salinas_v7:4:218710055:218712723:-1 gene:gene-LSAT_4X123041 transcript:rna-gnl|WGS:NBSK|LSAT_4X123041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNNSVSIPVTDDLPDEFGGKMRSRPRRKRRKSEFRGKSELANRTCKQLTRWWPVLLFVAAVLLLVFEASKLGWRSSTIKSDLDTREKKPNVVVSPVKKSEGNLNRLDAPRCLKLLPPEELEHLDFPHDKDSFVPIKKLVYISQNDTPFDKTSQQEMGITRFNQFTGYQTLNQRDQSFKVNETACVHCGFYSEAGGFTISKEDKSFMNSCKSVVSTCTFGGGDDLHQPIGMSDASLQKVCYVAFWDETTLATQEAQGHVFNKDGFIGKWRIVIVRNLPFRDQRLNGKIPKMLAHRLFPNARYSIWVDSKSQFRRDPLGVFESLLWRSKSVFAISEHGARSSVYDEAKAVVKKNKATPEEVEIQITQYTLDGLPQDKRFNGKKALNEASVIVREHTSVTNLFMCLWFNEVVRFTSRDQLSFPYVLWRVKVLKNINMFPVCIRKDLVNSMGHLRKAKPLALGG >cds-PLY94453.1 pep primary_assembly:Lsat_Salinas_v7:9:23486510:23487209:-1 gene:gene-LSAT_9X21900 transcript:rna-gnl|WGS:NBSK|LSAT_9X21900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKYNFGGQMFMLLVVRFLFSTHVSEASRFNLPLHDHKEKRNCTYAITVETTCTKGADTSNHVSIRFGDSNSNDILVHSLNSKQVKRLDPLEPQVLDEVPIKPFQVCTIDQMEHTSQCVDSPVCYLYLKLSGKDDWRPGFAQIRVLESPHLSSSYFYFRRYLPRNVWHGIDLCHTKVTPFGLKYKRKVFG >cds-PLY81264.1 pep primary_assembly:Lsat_Salinas_v7:4:306408827:306409138:1 gene:gene-LSAT_4X155741 transcript:rna-gnl|WGS:NBSK|LSAT_4X155741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNSPSFVGLQWFTVVVMALLLSTGYLQVTEAQRLRCDPVEISWCLQAMVSNMPPSSTCCQKLKGQEPCLCRETSDPTFGGYLRLPGAKRVAAACGVNFPSCR >cds-PLY68370.1 pep primary_assembly:Lsat_Salinas_v7:7:83129915:83130274:-1 gene:gene-LSAT_7X57881 transcript:rna-gnl|WGS:NBSK|LSAT_7X57881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKDPLNFNVLNVIFEVISAYGNVGFSLGYSCKRQLQPYQECRDACYGFVGRWSYISKHILVLAMIFGRLRKFHERGGKAWKLS >cds-PLY70870.1 pep primary_assembly:Lsat_Salinas_v7:9:15427721:15430035:-1 gene:gene-LSAT_9X15140 transcript:rna-gnl|WGS:NBSK|LSAT_9X15140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAESWCFCNGICKSEKTKSTIYSGKGPAMVRISTGTGFLIHRNLLLTTHAILPSVAAAEAAEIQLQNGAVASLFPHRFFITSSVLDLTIVGLDVMDGDSNSQIQQSHYLKTCSKPNLDLGNTVYLLGYTEKNELTVGEGKVIIATDNLIKVCSGGLAWSPGSAGFDVHGNLSFMVCDPMKLATSPTTKSSSTSSSSTSFSIKNPPMQFGIPIPIIYDWLNQHWEGSLDDLVNKPKLPIIRLMSTGQKSEHSCSSFTKRRVFKSIEDENEATTPTPSSENITPKTKVQHQPGTSSSPMANSFQDETPPILTRAQGIPTPEIYDSPKLTSTPFRKNEKSPKTQIPLLDINFPPRTTIATATPPVRKKVPASKGEKLGSNSNMGAEAESSVNGAQSEVQSCASPVELLQGQNGNYSSEGEMTMYSAETAESHNYPSPREGRNQVGRSQSCVNYNRWGPVQRRKGTTGYSQGTTSQRSNDFCSPTVSSIMKKQNNSERWVNRPRPTATRSSPKWTF >cds-PLY84949.1 pep primary_assembly:Lsat_Salinas_v7:2:123217162:123223115:1 gene:gene-LSAT_2X56141 transcript:rna-gnl|WGS:NBSK|LSAT_2X56141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCVRLRKTMPELACDCQFSGGDGDTTTSYSLSSNGSVSSSGFWSKHRNDVSCNQLQKFWNGLSPQARQKLLRVDKQTLFEQARKNMYCSRCNGLLLEGFLQIVMYGKSSQQDAIVNGCRTSNNRNNEDDCDDDIQDPAVHPWGGLTTTRDGTLTLLDCYLYSKSLKGLQNVFGSARARERERELLYPDACGGGGRGWISQGMVGYGRGHGTRETCALHTARLSVDTLVDFWSALGEETRHSLLKMKEEDFMERLMYRFDSKRFCRDCRKNVIREFKELKELKRMRKEPHCTSFFCVADTSFQYEVTRDTIRADWHQTYADSAGTYHHYEWAVGTAEGKSDILEFENVGLNVRVQVNGLDLEGLHACYITLRAWKKDGRYSELSVKAHALKGQQCVHCRLVIGDGFVTITRGEIIRRFFEHAEEAEEEEDDDSMDNDGIEMDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVSLALKLLEERVLVACKDIITLEKQFKLLEEEEKEKRDEEERKERRRAKEKEKKLRRKERLRNKELEKERENCQRNQVIPVSIPHEEEEEPTHANDTINLQCKSEQDSVLSEDYIPEEQNSISNHDRNPDSDILHPRRKSKFWDQSLKWSDRGQFPVVSENNRLLRNNSPRVTIRSNGSRLHCSHNKSNDRYAPHGCNCYQTDYRPKSEYVHDKTNRNKTNRDSQCVKKTWEPMETQKRYTYTPPTFKTDPVNPDLSNENTLNTEDNDLKESKNTEVDTTISNSNSDSSSCLSEGDGNTSVSSTTQNLESSSTSDSEDVIVVKETPLNTKELPNENNNGITDSIPVSQPPLQPQGIHFPVFPPPSVGYYHQSPVPWVTSAANGLMPMPFPPPHPNHYLFPSPIGYNWNGNSQFLPYSPLAPPLVNHSQHPMYQSVPHVNKVIEIETASPPIKGGQNGNCEKTMKGNTEFSLFHFGGPVDVSNGSVEIDSGVGLKGLGDGYACGKDVVEVEEYNLFAASNGIRFSF >cds-PLY93073.1 pep primary_assembly:Lsat_Salinas_v7:9:25664742:25667641:1 gene:gene-LSAT_9X22920 transcript:rna-gnl|WGS:NBSK|LSAT_9X22920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDASVQSDIKLWPFKVTPGPAEKPMIAVNYKGEEKTFAAEEISSMVLIKMKEIAEAFLGSTVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDITGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGVDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSIHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTVKDEKIGEKLTPGDKKKIEDAIDEAIAWLDTNQLAEADEFEDKMKELENVCNPIIAKMYQGGAGDAAGGMDEDPAPSGGGAGPKIEEVD >cds-PLY62573.1 pep primary_assembly:Lsat_Salinas_v7:9:72670124:72671887:-1 gene:gene-LSAT_9X61420 transcript:rna-gnl|WGS:NBSK|LSAT_9X61420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLSLSSNTLSMQENKNTKWTNEQNTWFESALAIFDTDTPDRWSNVAALVPGKSEIDVKNQYEKLKADINEIEADMVPDPGYFTSSFEDHSFSIFGKRPRSCDQERRKGVPWTEEEHRRFLVGLQVHGKGDWRSISRNFVMTKTPTQVASHAQKYYARQHSDGKEKRRPSIHDITIINHPDNSERSPPSINKPTGLPKDMLHWNNPSDETVTSMAYPFEIAKMNKNVRVYNSGLRLQPTRYQVQG >cds-PLY98595.1 pep primary_assembly:Lsat_Salinas_v7:1:39977088:39979034:1 gene:gene-LSAT_1X35280 transcript:rna-gnl|WGS:NBSK|LSAT_1X35280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIRVQATAKLKRWTLSHDKLLFSSLTTIVDSDSSSGSRQQCRSSYHSQTTHHRHLPPKLHLTNRSPLDYQHILKIFIARRAIQPGRQLHAHLCLIGLGNDTILGTKLVDLYCSCNHLSNAHLLFDRISKRNAFLWNVLIRGYAWNGPYNAAISLYYEMINKGVIPDNFTFPFVLKACSNLSAIDTGRDVHDHVVRTGWETDVFVGAALIDMYAKCGNVNNARQVFDKILQRDVVVWNSMLAAYAQNSHPEDCLVLCSEMASNMVRPTVATLVTTISAAADMASLPQGRELHGHSWRQGFYFQGKVKTALVDMYAKSGYVKVARILFNQLSEKRIASWNAMITGYAMHGHATEALNLFEKMTCEANPDHITFVGVLSACNHGGLLQKGREYFESMIHNYKIEPTVQHYSSIIDLIGHYGRLDEAYNMIKNMTIIPDAGVWGALLNSCKIHGNVELGELALEKLTELEPDEPGNYVIMSNIYAQAGRYSGVEKLRELMTKRELKKDVACSWIEVNNKVNAFLSGDTSHPMSDEIDAELKRVEKLMSEAGYVPNTTPVFHDVDDDEKIRMVCRHSERLAIAFGLISTPPRSRLLITKNLRVCEDCHVAIKFISKITEREIVCRDLNRYHHFKDGMCSCGDYW >cds-PLY66297.1 pep primary_assembly:Lsat_Salinas_v7:8:217923141:217923587:1 gene:gene-LSAT_8X134960 transcript:rna-gnl|WGS:NBSK|LSAT_8X134960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPASHLVAKSRTQYPLHGGMRWSRRSILAVGDLRQHISRTKGRRKRDGGSERRPTLRLQPAAMISSSLYDTSAEDEKEVWSQMATASTTGRTPAGGLHNPHDGIVTTLSRLGRGQREKWWRPAVLLDRKHKGGGDWFLMQAGGGCREL >cds-PLY75657.1 pep primary_assembly:Lsat_Salinas_v7:1:100365168:100365692:-1 gene:gene-LSAT_1X81681 transcript:rna-gnl|WGS:NBSK|LSAT_1X81681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAKTPTTSSLSGDTKILMGEPGTKLLWSFQVEVRLPQPKTETTDCVAALLIGETTDSETNAPLLFQLLANATISLISSFVFSAVVIVSSRHSCCVPTPSAANNSLPSSFSCATTTFATDLSGCWVYFPGNRGVCACSGGVVQLASLDVSGCCCRRKVKEKPPWPPAMVAAA >cds-PLY92590.1 pep primary_assembly:Lsat_Salinas_v7:7:163064012:163071043:-1 gene:gene-LSAT_7X95961 transcript:rna-gnl|WGS:NBSK|LSAT_7X95961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTENSIRQAICCLFLIAYIARSHAAVVNIKTKGAKGDGITDDGQAIVSAWNEACGKEAPSSVLIPRGTYMVFPPIDLIGPCKAPIKIKATGAVVKAPPELEKFTTTYWIAIRNVSKLTMIGGTYDGQGEQTWKQNLCPDGGPGPCPLPVNLQFTNVTNSLLQHITSTDSKFFHMRLSLCDNTRLDHITILSPANSVNTDGIQIGRLSGVNITNSVMRTNDDCISIGQGCRNIRIKNIMCGPGDGIGIGSLGRRANEEPVQGIWIKNVTMTDTEHGFRIKTWPTSFPGSVSDLHYEDIIMNNVSFPIFFDQQFCPLNNCQNGTASNVNISNVSFRNIRGTSATKVALKFDCSADVPCKNIKVADINLTYEGPEGGPATSECANIKPKVVGKVVPPACPGGPD >cds-PLY71186.1 pep primary_assembly:Lsat_Salinas_v7:2:133124344:133126863:-1 gene:gene-LSAT_2X62680 transcript:rna-gnl|WGS:NBSK|LSAT_2X62680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEMAMVTIHVLTEDTVQPENVECTSGAELKFAIMGTNWFGKQEAITTLNVAYKTLWSTLTSLLKKLYIQKGILMLFPLVRDVDLLLPDTFVNDTIIDFYVKYLKNKIRPEERQRFHFFNSFFFRKLAEPEKEPLDALEGKTAFQRVRKWTRKVNLFEKDFVFIPVNYNYHWSLXMCHLGEFAKYKDEEDVIELIKVPCVLHMDPIRGSHTGLKGLMQRQQEAFEDISSRFDNLRFISLELSQQPNSFDCGLFLLHYVELFLEQAPINFNPFKITKSVHFLNTDWFPPADASLKRVVIQRLVYDLLQQPYDEAEAFYKVFSQVSAKRFLLAKFHINFDPCVNFNSPFVKLINMTVLPLSDSWILYFIGCLQL >cds-PLY67153.1 pep primary_assembly:Lsat_Salinas_v7:8:205541085:205541414:-1 gene:gene-LSAT_8X129840 transcript:rna-gnl|WGS:NBSK|LSAT_8X129840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGTTTESVDHRSRHHEPAVVVTFDSTPPSSPYSVTIDTVSDRDYHRGNTMGRTRCCVVMNKLESSATGRKTRYYWLLSPFRRRCHHKNPLLPPCAVCGCAMVLWVRLL >cds-PLY94069.1 pep primary_assembly:Lsat_Salinas_v7:4:172723083:172726327:1 gene:gene-LSAT_4X101760 transcript:rna-gnl|WGS:NBSK|LSAT_4X101760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEQMEELNQETQVSSRKKGGLVTMPFIIANEAFEKVASYGLVPNMIFYLMTDYKISVAKGTNILFLWTAASNFAPVLGAFLSDSYLGRFLTIGLGSCFSFLGMLLLWITTMVPHLKPPICNQFTETCKQATHSQFAFLIFAFVFISLGSGGVRPCSLAFGAEQIDDKHNPKNERALESFFGWYYAAGAIAVLIAFTGIVYIQEHAGWKVGFGVPLILMFLSTLLFFVASSLYVKMKVKKSLFTSFLQVIVVAYKNRKLPSQPPNSDSWQYHKDSPESLPTKRLRFLNKACVVQNPKDLTSDGVALDPWSLCTVEQTEELKALIRVIPLWSSGLMMSINVAQSSFPVIQASTMDRHMGSSFQIPAGSFAFFTIAVLALWVILYDRVIIPLATKIRGKQVHLGVKLRMGIGLVISTIAMVVSAIVEHARRKKAIEQGLYNNPQAVVAMSAMWLVPQYCLHGLAEAFSAIGQNEFYYSEFPKSMSSVAASLFLLGMAVANLLASFILNTVQRLTRGGGKEGWITTNINHGRYDSYYWVLAVMSFVNLFYFLACSWAYGPCADEMVKEEATSNSSKDPNEELQRARSVVDERQNLFSSLPS >cds-PLY90469.1 pep primary_assembly:Lsat_Salinas_v7:9:92292764:92296571:-1 gene:gene-LSAT_9X70320 transcript:rna-gnl|WGS:NBSK|LSAT_9X70320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSTQGVGVIGGPTEKTQRLRWWRVHDNGGVSSTLSFLFVSFMVFSSIGFLYTYTMYKSPNYSVGCEEDSEGSWSIGVFYGDSPFSLKPIETMNVWKNTSAAWPVANPIVTCGSVTDSGFPSNFVADPFLYVQGDVLYLFFETKNSITMQGDIGVAKSVDNGASWEQLGVVLDEEWHLSYPYVFNYNDQIYMMPEGSKKGDLRLYRAVNFPLKWELEKVILKRPLIDSFIIKYESRYYLFGSDHTRVSTKKNGELEIWYSNSPLGPWKPHKKNPVYNTDKETGARNGGRPFVYNKNVYRLGQDDGETYGKRVRVFKIEVLTPYNYQEVEVEFGLPKPTKERNAWNGARSHHLDTQQLPSGQWIAVSDGDRTASGDMSHRFIIGSLLLLATGVLVLIMGMLLGFVRCIVPLSWCPHNIKKRTDAFFVWERSSHLSSRVRQYCTRLNRMTAVLRCKMNPKTSLGRFAFLVILIGSIVLMCVGLGYIYGGSGAREPYSVDHHYSQFTLLAMTYDARLWNLKWYIQHYSRCSSVREIVVIWNKGTPPDVTDFDSAVPVRIRVESKNSLNNRFKLDPLIKTKAVLELDDDIMMSCDYIERGFKVWRQNPDRLVGFYPRLVNGPGPLTYRAEKHARKFNGYNMILTGAAFMDHRAAFGRYWSEEARAGREAVDELFNCEDVLMNFLYANATSSGPTVEYVKPSWSFDTSKFSGVAISENTQSHYRVRSKCLEKFTELYGGLSEKVEFKRRKDGWDM >cds-PLY77662.1 pep primary_assembly:Lsat_Salinas_v7:5:172448949:172449507:-1 gene:gene-LSAT_5X76780 transcript:rna-gnl|WGS:NBSK|LSAT_5X76780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYQSIQNDITNDFKMQRIGNFLSRASRSDRVGLNMMLREGSSPNVQDYDNRTALHLSASKGHASIVELFLHYKADGNATNGMTAVRNLENITGDSSSSMGEGDEQDDSH >cds-PLY74512.1 pep primary_assembly:Lsat_Salinas_v7:7:35236276:35239031:1 gene:gene-LSAT_7X27020 transcript:rna-gnl|WGS:NBSK|LSAT_7X27020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPDRVSDSDSEEEEVDGLDAIDPRVRLMFFSSEGNLDGIKELLSSGTDVNFQDIDNRTALHVAACQGFCDVAELLLEGGAEVDVKDRWGSTPLADSIHYKNHDMVKLLEKHGAKPLMAPMHVKNAREVPEYEINAKELDFTDSVNITKGTFTIASWRGTKVAVKKLGDELFTDKEKVRAFRDELELLQKIRHPNVVQFLGAVTQSSPMMIITEYLPKGDLRLFLKRKEALKPSTALKFAMDIARGMNYLHENKPEPIIHRDLEPSNILRADSGHLKVADFGISELFKVTDNVKQEKLMTYNDTTWRYVAPEVFRNEDYDTKVDVFSFALILQEMIEGCQPFFTMDENDVPKAYVANERPPFNAPSKSYAHGLKELIEQCWHENPNERPTFRNIIPRLESIFYSINRRRRWKVRPLKWFQKMETIWKKEDSERSSRDRSSHI >cds-PLY76347.1 pep primary_assembly:Lsat_Salinas_v7:5:225893446:225894774:-1 gene:gene-LSAT_5X107520 transcript:rna-gnl|WGS:NBSK|LSAT_5X107520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTFSISAALLLLIFTNTVLVTAVPEREYYSMLSALRFRGYHLFANAITTTDLRYDILTGSNFTFFAPIDSALYSLDMSMSAADYTMALRFHGVPHRLSLPDLRMLPYGSNSFPSLVRGHEIHIVNPLLLPFPVVVEGIDIAFPGLFYDEHIAVHGLEGIIDFRSLTDTGNATSIIGSNVASNSTRDHGYESAATNLTNVHTPPSPMTVPSPQPPSNSTVNQTVAVPEAATPRPSSNVAESPVLVPMTSVVPSPAINTDMQGISISGAPPHRPPEVYSAFTPRGREEHMSTATRYELISEKIPGVSLATKSTANATEEFTQVDEKNIDCPTTEDDVEQLNIANIRRGDPYTRELYTPPNMTFAQEY >cds-PLY74905.1 pep primary_assembly:Lsat_Salinas_v7:3:129260831:129263302:1 gene:gene-LSAT_3X88240 transcript:rna-gnl|WGS:NBSK|LSAT_3X88240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CONSERVED IN THE GREEN LINEAGE AND DIATOMS 27, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67370) UniProtKB/Swiss-Prot;Acc:Q9FN15] MIRLSVYCSLLSPPSQKVKDHQRISPASFWQQKWNRSSSLSSSSSSSSSSSTQRSITTIAMALKKDEMDGRFSNLPGQSWEPGLEMEVPFEQRPVNEYSSLKEGPLYSWGELSPRSFFIRVGGLWLVTFTVLGLPVSAASFNPSNDPLRFLLAAGTGTLFLVSLVVLRIYLGWSYVGDRLLSAVIPYEESGWYDGQMWVKPPEILARDRLLGSYKVKPVIKLLKQTLVGTGVLLVTAVSLIIFATPVEDFFQKTFANENYVAATKINANMRDRYYRALAGGQYCKWEDLLN >cds-PLY67359.1 pep primary_assembly:Lsat_Salinas_v7:4:60298147:60299203:1 gene:gene-LSAT_4X40241 transcript:rna-gnl|WGS:NBSK|LSAT_4X40241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTEVEFDFPFLESIPKHLFDDHLEICHNTTFQNPISYMSSNVNNWSFPEKSEESPNMEDISTFCNSPNSSKSSNMCSPNSSPIFPFFVDDEVAPSLNLDELIEIYSSLDDKSYSTLPDTNIDHLSEVPSILEETQSEFSTATIGNHPCIHNSSERDRSKPQKWDFSTGHVVQFGEEAFSNRKDSRNLPTVKELQSDDGHDVHVQHLSFLSCRSYRGIRRRPWGKYTAEMRNPEKRGSRLWLGTYETPEAAAMAYDRAAFKYRGSNALLNFPHLIESHKEMPEKNFTKNLSSSSSLKSTKDTIRKRTRTLVI >cds-PLY78035.1 pep primary_assembly:Lsat_Salinas_v7:7:192918229:192923880:1 gene:gene-LSAT_7X114780 transcript:rna-gnl|WGS:NBSK|LSAT_7X114780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEVVLSATLHRCWRLLGGLKAPIVAAAPVAYSRPPNGCNTHTKHTTTTKGDATVVALRFLKWRVVDEASHDGDGIRRRRRRRGGRMVDVRWPPAGRKQTTVFDDNCYIFTEEEKKCGDNIGSTTAVVLAAMLHRNWKLLGDFKAPTAAAALVAYSRPPNGRNSHMKHTPKAEGDATVVALWFAKWRVVDEASYDGN >cds-PLY74111.1 pep primary_assembly:Lsat_Salinas_v7:9:11929331:11930112:-1 gene:gene-LSAT_9X8540 transcript:rna-gnl|WGS:NBSK|LSAT_9X8540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVADHCKGTFLELQRKKAHRYVIFKIDDKKNEVVVEKTGSPAESYDDFTLALPENDCRYAIYDYDFVTSENCQKSKIFFIAWSPASSRIRAKMLYATSKDRLRHELDGVHYEIQATDPTEMELDVLKERVY >cds-PLY74916.1 pep primary_assembly:Lsat_Salinas_v7:3:129944385:129945404:1 gene:gene-LSAT_3X87860 transcript:rna-gnl|WGS:NBSK|LSAT_3X87860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRQDTSGFQPPNTVEEFHRQPPSSTTGDNLPDSTTLHLPHTPSPMAPSIETNESESPISASLGGAIFTTPSTSFDGAAAATTTTVNTHNPVPRTLTANDSSANQDPPTITTTPPKVSESPTRNSLLRRSHFDQELRRQKNKTPPPGVSSSPAKSRTSPPGSSNTHKHILESKLRKMGDKVCEIKQRFCDVICMTSYSQSQEHAENDNSPQEMVENLHNGCFRLELSCSCGKRKGICRDAAFFLNIILMLCFFFMIGISYSFLLVLSKIFPWLFATS >cds-PLY65549.1 pep primary_assembly:Lsat_Salinas_v7:9:143025813:143028890:1 gene:gene-LSAT_9X91001 transcript:rna-gnl|WGS:NBSK|LSAT_9X91001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDTDGKDEKHEAPPSGSAVRDDASESSCYATEDDEETQIHLGPKISIKEHLEKDKDDESLRRWKEQLLGSVDVSQAEEVQEPDVKILSLTIISAGRDDIVLQIPESGNQKGLWFTLKEGSKYNLKFSIKVSNDIVCGLKYTNTVWKTGIKVDSSKEMLGTFSPQAEPYTHVMPEEVTPSGMFARGSYSAKTKFVDDDNKCYLELNYTFDIQKDWPKS >cds-PLY76056.1 pep primary_assembly:Lsat_Salinas_v7:5:319373428:319380696:-1 gene:gene-LSAT_5X175021 transcript:rna-gnl|WGS:NBSK|LSAT_5X175021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGGEIYGLPKTTSLSKWPPMMSGHHSSQIPSDPLEFNKLSLVWGKPQQHLLGVEEDMEMKMLGSRQILTHRDGYGDKPCVMWFHQLNNAQKIFCFENMSFLTIMLYQTSQISCLGIFHYIILFLVALHGIFVCFTAKSYKSLMMEELDIELIVEWRSSEDVDVFPVNNFQDPLCLH >cds-PLY72692.1 pep primary_assembly:Lsat_Salinas_v7:6:29130864:29132940:-1 gene:gene-LSAT_6X21660 transcript:rna-gnl|WGS:NBSK|LSAT_6X21660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRAVSISLDGVRDKNLMQLKKLNSVLFPVRYNDKYYADALASGEFTKLAYYNDICVGSIACRLEKKESGAVRVYIMTLGVLAPYRGLGIGTKLLNHVLELSQKQNIGEIYLHVQTNNEDAISFYKKFGFEITETIKNYYTNITPPDCYVVTKYIPQSQSKK >cds-PLY68067.1 pep primary_assembly:Lsat_Salinas_v7:5:293381128:293384780:1 gene:gene-LSAT_7X61900 transcript:rna-gnl|WGS:NBSK|LSAT_7X61900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVPKSAARMDYWREYFGSANANIFDIIEGAIMMAASDYPNEFRIRRDSIAQTLFSCRLIKCSGCDKEELALPVDDNADDDDDDDDAGDDDGDEGVKYKSKLTDVDGNWSKESSKVINSSRNDDDDDEEEEEEEDDDDDHHRRDVEVNEMNHHVSNYSYGDAEALTDEIEEESQMFDEVMRIKEIVDNSPDESTFDICNSLRKLQLMNLSVETLKATEIGKSVNVLRKHASKDVRQIAKVLIEVWKRMVDEWVKATTKVTVSEATPESMNPSVLDEEEGLPSPPLDDLAFLNPHAMSLELSEKFFDGMDDYGNLRKSAESNKNRDNNRKPPVEKQNITKLKEQKPLNGPTMARKEDRDSNVMKQQTGVKPTRPPVANLAPRIKPNDRKLQNPEKPTLQKRPIAHQQRKPWRANEESVQDKLEETKRKLQERYQQAENAKRQRTIQVMELHDLPKQEVQVDRKNQQMRPGNNHNRHWAHGRC >cds-PLY80288.1 pep primary_assembly:Lsat_Salinas_v7:3:209796008:209796842:-1 gene:gene-LSAT_3X122780 transcript:rna-gnl|WGS:NBSK|LSAT_3X122780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRMVKPTVETRSQELRLDDHAEQILKIKATLNEIRTIQDREREEEGEFQKSMLTWMKQQDPEFFSDISNFPDSIVKLLEPVVGDASRDMLPAAPMVLDEVDHECRDTSLEDELLSVVYASELESKTPPSFLQPPLSLTTVAETSDMASDFQSHLPSDAIPIELMDLPYVDKNQSTGVLWIRPSAEGKIDGFQFDVLQRCNGFEIQHSYKPSFQGNPIKVSFTSRPSGSDFIVAVQFLKCNDLGVRPLPRTEWGE >cds-PLY65898.1 pep primary_assembly:Lsat_Salinas_v7:5:38608263:38608748:1 gene:gene-LSAT_5X18660 transcript:rna-gnl|WGS:NBSK|LSAT_5X18660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPRGADAYIDDISELIPLTNGTIRTAIDTGCGVASWGAYLLKRDIIAMSFAPRDTHEAQVWFALERGVPAVLGIMGSQRLPYPARAFDMAHCSRCLIPWSKYGIYNLYTYTARVSH >cds-PLY92435.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:364946:369025:-1 gene:gene-LSAT_0X14841 transcript:rna-gnl|WGS:NBSK|LSAT_0X14841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSREEDFAYAMQLVTSTSLPMVLVTTIKLKVFDKIAEAGPGAQLSAHEIVSRLSMTNPSAHQMLDRMLRLLASYSVVTCNQRDHDQLGLVRVYGLTSVAKYFIPNKDGVSLCPVMELLQDKVFIESWFKLKDAVLEGGVPFDKVHGTHAFEYPALDARFNRVFNNGMLNFTTIMMNEILKCYHGFDNLKCVIDVGGGLGVALNIITSKYPTIKGINFDLPHVIRHAPVYPGIEHMGGDMFEEVPQGDAIFMKWILHDWSDDHCAKLLMNCYKALPNDGKVIVVEYILPFLSNTSSFDKVTTHMDASMMTQNQGGKERMEDEFLVLAKGAGFKGIRKECCVCNMYVIEFYK >cds-PLY87619.1 pep primary_assembly:Lsat_Salinas_v7:8:112203478:112205540:1 gene:gene-LSAT_8X78460 transcript:rna-gnl|WGS:NBSK|LSAT_8X78460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPHEERLVLELHSKFGNRWSKIARKLPGRTDNEIKNYWRTHMRKKAQEKKRTSLSRSPSPSFSHSSSSSSICTSNPTIDSMPMIETKERSFYDTGGVEMFSVMKGKNKNNNNSFKKAATRVSDSSEGHEGYCSMDDIWKDIDLDLLEDDHSIKPVFDPYSEIAVPSLTWEYNYCSSTSWTFNGQEEFGKDMISLTSQQFSAGLTD >cds-PLY75684.1 pep primary_assembly:Lsat_Salinas_v7:8:247675687:247694252:1 gene:gene-LSAT_8X146581 transcript:rna-gnl|WGS:NBSK|LSAT_8X146581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SCD1 [Source:Projected from Arabidopsis thaliana (AT1G49040) UniProtKB/TrEMBL;Acc:A0A178WQS8] MSQLFEYFVVCGIGPEIRTLDGEKGFHGTGVFYLASLLDQYPPPTHTLYPPPPPQLPTCVLPAGVEFYTTGFDSSNPLSFPRSYPIVLTEGDGSKIYVSCIAFRDPVCEDIAEAYRIPTNSFADKCICLVSRAPSFHILREVLEKIHQLCFSSNGSSKPLWDVISYTVSNVPLPTPGKGRVLFAIENSLLSVDFPPKDGLPHADISFQPLVQCLDVDNFIKLFTAVLIERRVLLRSDKYSLLTLASEAICHLIYPFRWQHVYIPLLFFSGVDYIDAPTPYMMGLHSGVDTTGLSMDGVVVVDLEHNCIMSSEEIPPIPEPEMSCLRSEILKLLHPNVVWIDSMKADLESYEQYSRFNTKAWSPDHDVQLRIIFLKFFASILGGYRNFIENTANQVFNTQAFLKKRSRATHQPIDEMVTQFLESQGFLDYLERGLGSGENSSNLVDKLQDAIGRGQNPLSILPSASAEPEIITISDPGLGISGSGARYTYDRFPSNYRSQDQEEKRKQILAAASGALEYAAKHTPSSPSVYTDGDSKGESLSPRERAAERERMVLDIKVKLQGLWLRLLKLGATDDPLSSFEYGTILALIEIDAEGIGGSGFVECIREHIHSGWKGHLTEEQFTAVKELLKTAITRATSRNDIATIRDALEVSAEMYKKDRNNVADYVQRHLRSLSIWEELRFWDVYFEYLMECFSNKSTNYAALVTAQLIILATHMAGLGLPDTDSWYIIETIAGKNNIGYSHIIKLRGYMSHIQQLCVGYWGVYSVKSGSVGMGGLLPSPRPQDASSAIGIGIDNQQPAEASGRSWVQSMFTRDTTTLRTNSSFTRVRKWTSDQGKSENGVSGQHKLQSSIRTLRGHNGAITALHCVTQREVWDLVGDREDAGFFISGSTDCTVKIWDPSLRGNELRATLKGHTRSVRAISSDRGKIVSGSDDAYVFVWDKQTTQLLEELKGHDAEVSCARMLSGERVLTAAYDGTVKMWDVRTDACVATVGRCSSAVLCMEYDDSTGVLAAAGRDAVANIWDIRSGRQMHKLLGHSKWIRSIRMVGDTVITGSDDWTARMWSISRGTCDAVLACHGGPVLCVEYSKSDRGVITGSSDGLVRFWENEDGGGGGLKSSKNVTLHGGGVLSINAGENWLGIGAADNTMSLFHRPASASASASKTSTWQLYRTPHKTVAMVRCVASDLERKRICSGGRNGLLRLWDATINI >cds-PLY74414.1 pep primary_assembly:Lsat_Salinas_v7:2:13917565:13926854:1 gene:gene-LSAT_2X6980 transcript:rna-gnl|WGS:NBSK|LSAT_2X6980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANAMNENRGEPDKTLTFTKQPYIVDVGPRKIKDVKFSMFSGEDVLKLGEVQVSRSQSYVSQEDKRPVDNGVLDAHMGPTNKLGTCETCHGDFHSCPGHFGYLPLVLPVYNVGYMSHVVDILKCICKFCSRILLVETERVNFLKRIRNPNLDHLRKTGIYKQVVKRCTAMASSKKVVICSRCHYVNGTVKKAVGTVGVIHDRSKVQNTTSNEFNDTIYLKGSNTPAKLSPSVGPDKALKLFKKMLDEDCELLYLADRPEKLIISIIPVPPICIRPSVPVAGGAMSNENDITLRLAEIIRANASVREALSGASVHNKSLGVLWDNLQLSVAQYVNSDVRVADSSQQNGKRITGLVQRQKGKQGRIRKNLSGKRVEYTGRTVISPDPNLKITEVGVPIKVAMTLSYPERVSDHNIERLKRAIRNGTYVYPGATHLRKKDGSIMSLRFNARKRLAEELKLGDIVNRHLKDGDVVLFNRQPSLHRMSMMSHRAKIKHWNTFSFNESVCNPYNADFDGDETNIHVPQTEEARTEALMLMGVQHNLCTPKNGEILVASTQDFLTTSFLITRKDTFYDRASFALICCYMGDANDPIDLPTPAILKPIELWTGKQLFSVLLRPYADMRVYLNLSVTEKSYTKPSGERVKPYETMCPNDGYIYIRNSELLSGQLGKATLGNGNKDGLYSVLLRDYHSLAAAVCMNRLAKLSARWIGDHGFSIGIDDVQPGDNLNHNTNRIISQGNKKCDNFILDFNKGNLKCQPGCNAAQTLEAQITGVLNKIRDETGKVCMEKLHWRNSPLIMSQCGSKGSPINISQMIACVGQQSVGGQRAPNGFIDRSLPHFPTNSKTPAAKGFVANSFYSGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLVKGMEDLFVCYDDTVRDSNAIIIQFTYGSDGRDPSQMEGKSGFPLNFDRLLNKVKATCPAGQHRGMSPTEICEMVDERLSMHDMSTEGGCSEDFRRKLKEFLEKKAATLEFTRRVLNGEESLTLENVAQSICGITSQQLKVFLEVCISRYHNKKIDPGTNVGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRINEILNATKKIRTPVITAKLTCNDSIPFARLVKGKMERTLLGQVAKSIKLVMGLRSASIIISLDTETIGALHLSCINAKTVKESILKTPRIKLKDKHIRVLDDRRLEVNNPSTYDRNKLLFDLQMLINKLPSVIVMGVGTIERAVINKKKERDKFNLLVEGTGLQAVMGTEGVNGLETTSNHILEVEETLGIEAARRSIIKEIQYTMESHGMSIDIRHMMLLADLMTYKGEVLGVNRFGIQKMKESVLMLASFETTADLLFNAAVKGQVDKIEGVSECIIMGIPIQTGTGTIKLKQRDAQIEKMCKGLELILSE >cds-PLY79083.1 pep primary_assembly:Lsat_Salinas_v7:3:6877186:6878812:-1 gene:gene-LSAT_3X5140 transcript:rna-gnl|WGS:NBSK|LSAT_3X5140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDANKMNDATSFQPSEPALCANGCGFFGTAATMNLCSKCFRDLRIQDEQVASAKAAVDKLVNKVVSFPPQSAASPSSSLSPASVPETASQPSTAEEVKVSNRCLTCKKKIGVMGFKCKCGESFCGSHRYPEKHDCEFDFKRSGRDAIAKANPVVKADKVNRI >cds-PLY73464.1 pep primary_assembly:Lsat_Salinas_v7:4:183062690:183067752:1 gene:gene-LSAT_4X107361 transcript:rna-gnl|WGS:NBSK|LSAT_4X107361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTNQVLIWVFSAIFVATILFSTCSFALTEDGLTLLEFKQALNDTKNTLSNWVDNVETPCQWTGITCHPTDQRVLAINLPYMELGGFISPSIGKLTRLQRLALHQNSLHGQIPNEISKCVELRAMYLRANYLQGVIPSNIGNLSLLTILDLSSNTLKGAIPPSLGHLTRLKYLNLSTNFFSGEIPNFGALSNFGNNSYIGNLDLCGQQIHKPCKTSLGFPAVLPHAEIPKHSSPFMKGALIAAMIALGLAVVLFVLLLVFMLSKKERAAKRYVVVKKQVQQETSTQLITFHGDLPYPSSEIIEKLESLDEEHVVGAGGFGTVYRMVMNDCGTFAVKRIERSREGRDQAFERELEILGSVKHINLVNLRGYCRFPDSKLLIYDYMSKGSLENFLHECRGEEKTLNWNARIKVAYGSARGLAYLHHDCSPRIVHRDIKSSNILLDENLEPCVSDFGLAKLLVDEDAHVTTVVAGTFGYLAPEYLQSGRATVKSDVYSFGVLLLELITGKRPTDPAFVKRGLNVVGWENKLEDVVDKRCTDADASTVEAVLEIAGRCTDANPEERPSMQQVLQFLEQEVLSPCPSDFYDSHSDYA >cds-PLY84439.1 pep primary_assembly:Lsat_Salinas_v7:8:280940241:280942816:-1 gene:gene-LSAT_8X158900 transcript:rna-gnl|WGS:NBSK|LSAT_8X158900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRSSLALATPWPTRVILNNSLPYPTRTFSLFSFLTAKPSFMNPPVVPPMDASSSISWSLGLPLIPPFLNDKESDNVVALGPGVNYAVVAATALDTSWRWAGVNYAVVAATALDTSFHEARGTVDPVANISLGVELAWFKRSLASICSSASDCRNLIGRSLILMGEIGGTDYNGPIFDYKPIEEVESYVPLVIDTIISAVNVQ >cds-PLY87661.1 pep primary_assembly:Lsat_Salinas_v7:1:169373433:169376440:-1 gene:gene-LSAT_1X113160 transcript:rna-gnl|WGS:NBSK|LSAT_1X113160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pterin-4-alpha-carbinolamine dehydratase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G29810) UniProtKB/Swiss-Prot;Acc:Q6QJ72] MLPTRLLLPLAYVPLKSSQLSCLRPYGRSSAHVAELLPDLVEISLSRAILNGFRTFCSSQDLSLKKCVPCKRKDLSPMTEGNASELKPKVPEWELVNDGGIMKLRRNWKVKNFLQGLEFFKVVGDLAEAEGHHPDLHLVGWNNVTIEIWTHACGGLTENDFILAAKIDKLPLESFLRRK >cds-PLY83439.1 pep primary_assembly:Lsat_Salinas_v7:5:329781311:329785266:-1 gene:gene-LSAT_5X186541 transcript:rna-gnl|WGS:NBSK|LSAT_5X186541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEDNISEHETEAMPENSVPVEIQDPENEQDDAVETQDNEDNEEEQGGADVEDADVAGTAVVDTVAEGAVEKKWPGWPGENVFRLLVPVQKVGGIIGRKGEYIKKTCEETRARIKILDGPPGTTERTVLISAKEEPDALIPPAIDGLLKVHQRVMDADSDPARVQPATISTRLLVAGTQGGSLIGKQGATIKTIQDSSNCKIRVIGENLPIFALPDDSVVEIQGEATGVHKAVELVATHLRKFLVDRSVIGIFEMQMQMPNPRQHQEMPPPQPWGPGPGPSPQGGFPMNAGGFGANQFMPPQQHQFDNFYPPQPMDKVQPRQQGPPPSFGRDPSMGPPHSHTSTPQPQAQQSIVTKVSHNMQIPLSYADAVIGTSGTNISYIRRASGATIAIQETRGVPDEMTVEINGSASQVQTAQQLIQNFVAEAATAAQNSAASAPPPAQAYNPYPGHAPPPAYASQPPPTGHAPPAAEYGGPVYGGNYGY >cds-PLY66871.1 pep primary_assembly:Lsat_Salinas_v7:7:20063944:20065366:1 gene:gene-LSAT_7X16501 transcript:rna-gnl|WGS:NBSK|LSAT_7X16501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAINQAPTFMRSNASSTSPFPSSSHKYITNAAISFPKIKTNNISIRPQKIPSNIALVEETDIYSRIIPKNPTSSPRNVSGDPQVMEKLYLIMEAVSDRVEMHKNIGEQRNSWNSLLLTSINTITLSAATMAGIAAAMTTTPGAPLEVLKLSSTFMYLAATGLLVIMNKIQPSQLAEEQRNAARLLKQLESEIQTKIAIGNPTLRDVNEAMKKVLAIDRAYPLPLLGAMLEKFPAKTEPAVWWPEKRRASAKGKNDKNGWSVELEEEMRKIIKVLEVKDKEDYLRLGDKALKLNKALAMAGPLLTGLGAIGSTFLSSSPHNSWAVVLGIMGGAMASVVNTVEHGGQVGMVFEMYRSNAGFFKMMEESIDSNLKERDEERRENGEVFEIKVALQLGRSLSELRDVAASSSKKGKDIEEFGSKLF >cds-PLY97464.1 pep primary_assembly:Lsat_Salinas_v7:6:91122579:91123671:1 gene:gene-LSAT_6X63420 transcript:rna-gnl|WGS:NBSK|LSAT_6X63420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSKLTLEPDSINKPFFMVVLFVDNRDGTYTGFYMAMNVGTYKIYASFDGMSISPYPFEVATLNNNHVVVGELLESKSSQKAPMNTSKSMVTEISRNPDSKSSKDAGGYTIGFSMIVLQSECQQLIWKIL >cds-PLY97678.1 pep primary_assembly:Lsat_Salinas_v7:8:7582294:7583291:-1 gene:gene-LSAT_8X5941 transcript:rna-gnl|WGS:NBSK|LSAT_8X5941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLEIDPYERSYILYNIGLIHTSNGEHTKALEYYFRVLERNRFLPQAIRQGDSEIAEAWFDQATEYWKQAL >cds-PLY85696.1 pep primary_assembly:Lsat_Salinas_v7:7:157882792:157883643:-1 gene:gene-LSAT_7X93520 transcript:rna-gnl|WGS:NBSK|LSAT_7X93520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIALALAFTALVAFASAHSTIITTTIEEESTFSKQQCSRQLQGQRLNQCQRYLAQGQSLYEEDDRSQKQGGLQLCCQELQFVDEQCQCEAVKEAFREAQKMQQQQQGQQGGSFGSKQIRQMMQKAQNLPNQCKLQTRQCQVGKISITTFTTITEDTTYSRRGSQQQCEHIRSRQFNQCQNFIQRQMGSYATLLMSVSRQGQQPQGLEQCCNELQNVEEECQCEAMQEVYRQAQRQQQQGSQQRSRRGGQPQTQDLQQIVQSLPNQCKLEVQQCRIPSTMF >cds-PLY70398.1 pep primary_assembly:Lsat_Salinas_v7:3:180544449:180555865:-1 gene:gene-LSAT_3X109020 transcript:rna-gnl|WGS:NBSK|LSAT_3X109020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSIAAINDTDSKNQWEPLAPTKEAQEFRLSQTYQEGLLKLQAKEYEKARELLENVLKDPLLPNGQVDNCGSDGHLLQLRFLTLKNLATVFLQQGSNHYESALHCYLQAVDIDSKDSVVWNQLGTLSCSMGLLSISRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVACLSVADLILRHWPSHSRALKVKHVIEESEPTPFSPRGIDKLEPHHVRLKFLDKRKATNHDLNDNGSTKKSNQNTILHLSEASWSALAGELLKILIPLNDSNKSADFRLIIRMPCSSDNPIKEKEGVNGSSIPANTESNMNEEQHQERRSSRLERLRSRKPDKEESDFTPTKDLVKLVPQFLEPFIMGESRITNLRMDSEDTTDTHNNESIDVAQFVHKTSKNYGVYHVAGMLLEEVGCRRISYQDTCEKFLELEELTRNLGESRTPECSLFLAELAYDFGIRSSDESIRSDFMLKASYHLCKLIESVALNDVDVSAIGSLLDNKRDFWVRFFWVSGKLSIFNGDREKARKEFGVSLALLTNTDKERNNLVSICLPHLKVMNKLTVDRVLHEINLLEVDFLMKNSVNEMMEKGLHLECVNLLAPLLSCMKDDHVSGSSFEKDGEGADSAELSALNVLIKACERLKPVDANVYLRCHRQRLKLLMAATGVEEGFGSQKSSNESELSKNSTTDLHPLLAEEVKAISQCTQEMKNSIIPCGSINGSVIPLRIIGDIQSLLLAVMCHIANICFSKKSIEVDNPDEDEKRQKCCFVDAAIAFFRLQHLNPNISIKTQIELIIAIHEMLAEHGICCASGDGEEDGAFLKLAIKHLLHLDMKLKSINKGPESTQCGDEQVLQEGYAKISGNDSNVEMASSDQLLESGKQLTEEEKEDLEIEIDTALDQCFFCLYGLHLRSDSSYEDDLAMHKNTSRGDYQTKEQCADVFQYILPYAKASSRTGLVKLRRVLRAIRKHFPQPPESALNGNAIDKFLDDPNLCEDKLSEEAGSDGFRDSIMSIIYQNSSILQQQPTNIDRSEPYMDVYGNLYYLVAQSEEMSATDKWAGFVLTKEGEEFVQQTAKLFKYDLLFNPMRFDSWQRLATIYDEEVDLLLNDGSKQINVTGWRKNATFAQRVDTSRRRSRRCLLMTLALAQTPIQQGEIHDLLALVYYDGLQNVVPIYDQRLNLPVKDAEWKMFCENSMKHFEKAFAQKEDWSYVYYLGKLSEKLKYSREKSFAYYDKAIALNPSAVDPFYRMHASRLKLLWSCPHNDKDALKIAATYCFNQSTKETVMNILDKSNPEKTPDDTWHMLYNDCLSGLQLCVEGDLKHFHKARYMLAQGLYRKGESGDLEKAKDELSFCFKSSRSCFTINMWEIDSTVKKGRRKTPGRVLEVNLAESSRKFITCIRKYILFYMKLLEETGDISTLERAYISIRADKRFSLCLEDLVPIALGRYIKALVSSINQIESGTNIHDNIALEHLLEKMFCLYIEQMNLWSDICNLPEIKCPELSESSLFGYLFKYIQTLEKNCKLEALEGINEKIRKRLKNPKLSNTNCAKVHKHVSMSWCRCLIINMASITPLNSRFLAEPQLPPLSDTTTNNQLLCIDILTDQLWQSTFEDTNTNTNTITNHLKSMQSKWDSLLLKVKDVVIKKPLEENLEAATVLLRSAYNFYRDATCAVLPSCLNLYAVPCQLLTEGHIESNLHSVDILEMNTQKKLLLWAYTLLHGRCITNVSMVIKYCEETVKLKMKKGGGSTSTTPTMHSVIAYQTGVVGKDVVGISGAKETTTLNVDPTPLTETKNPENAPNCDEGSTLLQEIETTPPVSQNHTFSSAVPLMVPCNNADETQGPDSAKT >cds-PLY75608.1 pep primary_assembly:Lsat_Salinas_v7:9:32722116:32724533:-1 gene:gene-LSAT_9X30741 transcript:rna-gnl|WGS:NBSK|LSAT_9X30741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Short integuments 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G41670) UniProtKB/Swiss-Prot;Acc:Q8L607] MGGAGKILKKGLGEMGFTSGGGNINWFPGHMAAATRAINARLKLADLVIEVRDSRIPLSSANQDLQPRLSTKRRVIALNKKDLANPNIMHKWVDYFSSCKQDCLPINAHSRSSVQKLLELVELKLKEKIAKEPTLLVMVVGVPNVGKSALINCIHQIASSRFPVQEKKKRAKVGPLPGVTQDIAGFKIAQRPSIYVLDTPGVLVPSIPDIETGLKLALSGSVKDSVVGEERIAQYFLAVLNTRQTPLHWRNVHNNNTLKEMQNENRNDDKHDYNLKDLLPKRRTLPVASNVHYVEGVAREVQSALYSTLSEFKGDLEDENQLEILIEEQFVALQKALKISSKATEARMMVSKKFLTLFRAGKLGPFILDDVPNLES >cds-PLY72076.1 pep primary_assembly:Lsat_Salinas_v7:9:196708178:196711641:1 gene:gene-LSAT_9X121500 transcript:rna-gnl|WGS:NBSK|LSAT_9X121500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKANKAYKKEDYSEEWCFVCKDGGDLIICDHKDCLKSYHPECAQSSANSKRRFICDLHKCDICDRTSDLVHCYCCPKGVCRRCIKSADFVHVKGKKGFCNHCLKLALLVEEKKDVDSDGETIDFNNRNTYETLYKEYWEIINNTEKLTLDVLIAAKGQLKSGKNYDSDKYDDHSDDNQCSDYEEKGDDMELHESAKKTKRSKPESSSKTKGKSKAKEKEKMKPNKEEFMGWGSTRLIQFLENIGKDTTNALSQRELEKIVKGYGKEKDLIQKNKIIECDVWLRSIFKRKTIKLNRIYDSLETHLAENQVSSDDDDDDELGLDDDDMDCKEEEVVASKRKKKNNGDKLIEKEEPAVDITCFRFASIVPENIRLVYLRRSLVQKLEKEPESFESKVIGSFVRVKEDANGFFLRNAYRLMQVTGVKKCLVGESEQTFMLQSLETDICINLLSDDEFTEEECQDLKNKVKSGLLKKLEVVEVEEKARSLHKDIVTHWIPRELALLKHRIDQANEKGWRKEYPFLVVNN >cds-PLY62329.1 pep primary_assembly:Lsat_Salinas_v7:4:88146659:88149945:-1 gene:gene-LSAT_4X57521 transcript:rna-gnl|WGS:NBSK|LSAT_4X57521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNFRLRFFVSLLIVTQLVSVTIAETDFWYQYCYGTYTASSSFKRNVDDVLYSITKTNNGYGFFNQTAGEGLDKVNAVALCRADVDQSDCERCVDDASRKLQENCIYQKEAMGCYDYCQLVYSNSSLLYSTVMDKDDTKCFNNPSFTSNWTSLKPSMVNLFYQLRTEASKGGSLRKYAARSMSVIPDSSTISGLVQCTPDLSESQCNQCLVDAINLLLNCSFGRTGSRVYKRGCNARYELYRFFNETWFPTHSPSLQSPQSDHKDHESDVPSSIYVDKSKFDGDNDDPFEMHHFHLSTIQDATNGFSLENKLGEGGFGPVYLGKLVDGREIAVKRLSKNSSQGLEEFMTEKSNYVSLLASSTFSDPNKAKELNWIKREKIICGVAKGLRYLHEDSRLKIIHRDLKTSNILLDDAMDPKISDFGTARIFGTNQIEAKTNIVVGTYGYMAPEYAMEGLFSTKSDVYSFGVLLLEIIHGRRNNGFYFQEHDETLLSYAWRTWKEGRGEELIDKILIKNCALNKTLRLIHIALLCVQEDPKDRPNMSSVVFMLEGQWSTLPEPSEPPLSFTKIVTFDQVSATDTRTLTTSSFSQPLEA >cds-PLY70168.1 pep primary_assembly:Lsat_Salinas_v7:9:1221971:1222273:1 gene:gene-LSAT_9X4301 transcript:rna-gnl|WGS:NBSK|LSAT_9X4301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRVTSINVYWDVDSRDYLRVDNHNARLLVLSNPAHNTHWRLLSNLGVSIPRPTQDIEFESQFDSDSDSDEPIPDALIHDDVAPVQQELVMHPYHDMYTQ >cds-PLY65536.1 pep primary_assembly:Lsat_Salinas_v7:9:143280183:143281648:1 gene:gene-LSAT_9X90761 transcript:rna-gnl|WGS:NBSK|LSAT_9X90761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSNHKRSYNSSFSCSNPRSHLVPCYISITVLSHARTLFLSHARTLDHQRSYNSSFNCLILSIRIRLHQQLIQSRTKIYLKKIRDRGRGRARGGGGGRGQGRSFGGGRPTGPPRRGPLAVNARPTGTPNEQSILEEHLNSMLGDEFYDAPKEFQDYPCTKDDEKTLQGENLDKKVETLENETSEEIHTPPPYKRRKLTN >cds-PLY98733.1 pep primary_assembly:Lsat_Salinas_v7:6:103189223:103191107:-1 gene:gene-LSAT_6X68860 transcript:rna-gnl|WGS:NBSK|LSAT_6X68860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFCDVVLGSGGGPRRVVCVTSGGTTVPLEQRCVRYIDNFSSGHRGATSTEYFLKSGYSVIFLYRRGTCQPYCRSLPEDPLLECFRVTDDDSCIQVQVHESHSEAVKTAISKHHAAVSGGFLLKLPFTTIFEYLQILRLIATSMKMLGPSGMFYLAAAVSDFYVPWESMAVHKIQSASGPLDMRLAQVPKMLSVLRKVWAPTAFCVSFKLETDKEILLEKADAALKRYKMNAVVANELSSRKEVVILVTNSGKVSVYRDNKDSDVESPLIKLLVDKHSAHMNTTKLPFS >cds-PLY96119.1 pep primary_assembly:Lsat_Salinas_v7:3:101787444:101788688:-1 gene:gene-LSAT_3X75360 transcript:rna-gnl|WGS:NBSK|LSAT_3X75360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWHSRPSGSVIGYMRSRPEFEGLLQFRPPELCRRRLFAVVGISFPSLSSTCHRHHHQSFILRVQVSTMEEQQMEMVENDEYV >cds-PLY79403.1 pep primary_assembly:Lsat_Salinas_v7:3:80515377:80518601:-1 gene:gene-LSAT_3X62741 transcript:rna-gnl|WGS:NBSK|LSAT_3X62741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTPLKLFSAPLYHQYYNPPRSKSPIIGSIVICLFRVFPEETGDPILSERSELFDDDKSNLSTLILLSTEIKAKKPTWKIGSLLSLKKLVKSLPKVQIVNDMDLIDEDNLLSEEDLKKPQLPPVGDCEVGSPRKACKNCSCGCAKEEEKVQKLGVTMDQLENPKSAYGSKLKLKLVLIFCYSEYLIVIVPLRSKSKDDIVIAHDFHCHQLGSTWKGLMWKGLCFQYHLRILELEKVGGHGFALVQVNHQ >cds-PLY98183.1 pep primary_assembly:Lsat_Salinas_v7:3:28716322:28717493:1 gene:gene-LSAT_3X20221 transcript:rna-gnl|WGS:NBSK|LSAT_3X20221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKDRLTEEKIKRFEEFVDRRLKPDLVRAIGERDKVFEQQKVFSDLRRNLESLEKNSVTSLRSMVNLGSEVYAQADVPDTRHIFVDVGLGFHVELTWSEALKIIPAREEKFARQIEEYTRLIAQIKAQIKMVSQGIRELLQLPAE >cds-PLY75594.1 pep primary_assembly:Lsat_Salinas_v7:9:32152347:32153632:1 gene:gene-LSAT_9X29000 transcript:rna-gnl|WGS:NBSK|LSAT_9X29000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein N-terminal glutamine amidohydrolase [Source:Projected from Arabidopsis thaliana (AT2G41760) UniProtKB/Swiss-Prot;Acc:O22944] MFHYLKSGVLSLDFVFEFGCSEENVYLLCKKLCADKVADVSDLFVVFISNENKHVPLWHQKASHRADGVILWDYHVICIQRRKEGKSMDLVWDLDSSLPFPSTLSSYISESIRPSFELFSEFQRVFRIVHAPIFLRYFASDRRHMKDSQGNWMANPPEYEVIVAEDGNVHNLNEYIEMSTKDVLKDLGEDKINAVFTKQFGLLVGESQLEQFFSSISQ >cds-PLY98160.1 pep primary_assembly:Lsat_Salinas_v7:1:139910325:139912844:1 gene:gene-LSAT_1X101360 transcript:rna-gnl|WGS:NBSK|LSAT_1X101360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENFPQSDEILDKVHGKIPTITPSFNPTPTQIPLNVKLKLAHQSFCAGNLNESIGCAQHIGIIYKI >cds-PLY95020.1 pep primary_assembly:Lsat_Salinas_v7:5:226906670:226910006:-1 gene:gene-LSAT_5X106701 transcript:rna-gnl|WGS:NBSK|LSAT_5X106701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKEEVKSFKELGLVEQLVEACDSLGWKNPSKIQAEAIPHALEGKDLIGLAQTGSGKTGAFALPILQALLAAAAAVPPVQHAFFACVLSPTRELAIQIAEQFEALGSSISLKTAVLVGGVDHVQQSIALGKRPHIVVATPGRLVDHLSNTKGFSLRTIKYLVLDEADRLLNEDFEKSLDEILNAIPRERRTYLFSATMTKKVQKLQRACLRNPVKIEAASKYSTVDTLKQQFRFVPAKHKDCYLTYILNEKSGSTSMVFTRTCEATRLLALMLRNLSFRAIPISGQMTQAKRLGALNKFKAGECNILICTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYIQIEKLIGKKLPEFEAQEEEVLLFLERVTEAKRLSLMKIKEAGGHKRRRGGEEEEDEVDKFHGKNRNNKSSNNKKSKRR >cds-PLY99216.1 pep primary_assembly:Lsat_Salinas_v7:4:367345099:367346495:1 gene:gene-LSAT_4X180461 transcript:rna-gnl|WGS:NBSK|LSAT_4X180461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEREIRSKRKYERVPIVNNLKNKGIKESVNDKKEDKVRKTSKEYPPGLRNLPTRIKCDNITATVKGMSPEQKQTILRMGFESILQVNITSYQGQLSYYLLDVYDPDSRGLVLQNSVIEITKETVHDMMGLPIGGEDFNVLPLCDNGNQILEEWKGQYTCDKFNGEEYLSRIQTTTEDSLMFRLNFLTLFINNFIESMLMRTNQIKVVRKLVLVDDFSKLNWCKYMLNCLGSRKKLWKRDDKSSYYSGPITLLIAYATVLEHSYVLIVTEKHIMEVALKDGLEKFSDSVVLKEWMEDE >cds-PLY85801.1 pep primary_assembly:Lsat_Salinas_v7:7:70299477:70302720:-1 gene:gene-LSAT_7X49561 transcript:rna-gnl|WGS:NBSK|LSAT_7X49561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPHKLHYLFFCTVLCSIVSGDTKAQIFNERASLLTFFSGITSDPENVLQSWNSSVIPVCNWTGVTCNQNHDSILELDLSGSLLHGIISPAISQLSQLTVLDLSRNFFEGGIPGEIGYLSELKQLSLSSNLLRGSIPFELGFLSKIQYLDLGSNMLVGDIPMALFCNGTSVLEYVDISNNSISGPIPLTEECEIHELRFLLVWSNKLTGRVPRALANSSKLKWLDLEVNGFEGELPFEIVKNLSNLQFVYLSYNRFSGPLEPFFSALSNSLYLQELELAGNRLFGKIPDVIGNLPKSLVQLQLDDNQISGEIPLDINNLLNLTLLNLSRNLLTGKIPHELCLMEKLERLYLSDNLLSGEIPSAFGNVSRLGLLDLSKNQLSNSIPDTFSNLSQLRSLLLNNNKLVGTIPSSLAQCVNLEILDLSYNQISGLIPNDFARLNSLKLYLNISHNHLNGPLPPELSKMDMVLAMDLSSNNFSGEIPSQLGSCIALEHLNLSGNALEGPLPDSIGKLPFLETFDVSLNRLSGKIPVSFQTSSKLRQLNFSYNNFSGNVSFPFLDINSFLGNPGLCSSGMEGMPNCKNKRKKHSIQLWVLLTLLAVATLIMSMIPVVFKWKSKKKFSKTFSRKIGFEEDDEEERNEQSYPKISHQELMAATNGFSRSNLIGTGQFGQVYKGILKDNTKIAVKVISYTKTEESSGSFKRECEVLKNTRHRNLIRIKTICSRPDFKALVLPLMQNGSLEDHLYPNDGLSRVNLVQMVRILSDVAEGLAYLHNYAPVKVAHCDLKPSNILLDDDMNAILSDFGIAKLVKEDHEKIPMAAGEPPSVSSTDGLLCGSIGYIPPEYGMGRRASTQGDVYSFGVLLLEMVTRKRPTDVVFDDGSSLHEWVKSHYPYPDRLEPIIKEALLAYGPMSCSSKLLHDMILELIELGLICTQYNPSTRPTMVDVAHEMATWKEYLFRPSDLSTE >cds-PLY95688.1 pep primary_assembly:Lsat_Salinas_v7:2:112638734:112639228:-1 gene:gene-LSAT_2X50080 transcript:rna-gnl|WGS:NBSK|LSAT_2X50080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVVEMTMTEFQSGRPGRRMSIRLKTVMEGEDTIDLIQDDSDSRRLQRVDSGVTSGGAGGEADSTLRADDSSITLLKRVRLVWTPQLHKRIVEVVGHLGVKNVVPKTIMQMMNVEGLTRENVATHLQKYRLYVKQMHGSSNEGPSSSDPLFASAVVPKRFHESD >cds-PLY97959.1 pep primary_assembly:Lsat_Salinas_v7:3:18035359:18037119:-1 gene:gene-LSAT_3X13701 transcript:rna-gnl|WGS:NBSK|LSAT_3X13701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAATFSANSPSYTPLKRVGTHNGSFHCDEALGCFMIRLTNKYSGAEIVRTRDPQMLETLDAVLDVGGVYDPSHDRYDHHQKGFAEVFGHGFTTKLSSAGLIYKHFGTEIIAKELQVDEGHPDVQRLYLAIYKSFMEAIDAIDNGINQYDTDQPPKYVNNTHLSSRVGKFNLDWTDPDQSSEKENQAFQKAMNLAGTEFLDSVRFHVKSWLPARSIVMECLAARTSIDPSGEIMVLDRFCPWKLHLYELEQELKTEPSVKYVLYQDERSKSWRVQAVAKSPDSFESRKALPAQWRGLRDDDLSKESGIPGCVFVHMSGFIGGNHTYEGALAMARGGLKL >cds-PLY95296.1 pep primary_assembly:Lsat_Salinas_v7:4:297735758:297739867:-1 gene:gene-LSAT_4X152501 transcript:rna-gnl|WGS:NBSK|LSAT_4X152501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTTVALDSLIEPKASKLASTRKTKPEPKLERRNSSSTLTKQASGIHGADGKQELGNTTITMERKHHWNQISPALYATPEPTPLPDSQLSFPSSPYVVDHKRRGPRLSKTYSAVLHRDEKKTVEIGKSLEAEDVGSSKVFDTKDTVSSNADVPHVKFLNNGDLGSKKVLNVINTVSGDVDDTRVKFHHNADGRENSRKHDALEREGEVDDFFDPNDTMSGSDGETNHVVERPLNVNTPFAEFYDAWEELATETGIQHKATDIEGELREMRSGFLYEREKRRQAENRLNDMKSQWGRIREKLAIVGLNLPLDPTVVEDDQTKDPGEEICRQVDVLRFVSNSVGKGIARAEMESQFQSKNFEIARLLDRVHYYEAVNHEMSQRNQESVETMRRLRQKRKKRQRWIWGSIGVAITLGSTALAWSYLPTAKPSSSESNRSTQ >cds-PLY91474.1 pep primary_assembly:Lsat_Salinas_v7:7:141426792:141430040:-1 gene:gene-LSAT_7X84621 transcript:rna-gnl|WGS:NBSK|LSAT_7X84621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHNASSISIVSNEFLPDEKFDDDGRIKRTGTWQSATAHIITTIVGSGVLSLSWCFAQLGWIAGIITLILFGIIMLFTSFLLVDCYRSPDPVNGTRNYTYMNAVKANLGMLQYKICGIAQYGVLIGVTIAYTTTTAISMAAIKKSNCFHKHGHHADCHVGNNPFMVTFAIIQIILSQVPNFQKLAPLSIIAAIMSFMYCTIGIGLSISKLIREGMAKTSLTGIPVGKDFTGEEKMWKMFLALGDVAVAFSFSFVLLEIQDTLKSSPPENKSMKKATTVGILASTVFYMMCGALGYAAFGNNAPGNFLTGFGFFDPFWLVDLANLCLVIHLIGAYQVLAQPIFRLLEDWSRKRWPQSALITKYYTLGNVKINLFRLILRSTYVTLMTTIAMIFPFFNEFMGLLGACTFWPLSVYFPIEMYISQTKIRKLSFTWIFMQLLSLGCLLVSLLAGAGSTRGLIASLKIFKPLGSVS >cds-PLY62601.1 pep primary_assembly:Lsat_Salinas_v7:9:74360321:74364505:1 gene:gene-LSAT_9X62200 transcript:rna-gnl|WGS:NBSK|LSAT_9X62200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYNRKNNHLSVSIVLLSLFLIGIIFYDEDIKSLTQFPFSTPNSQKIQSHENPLPESNINEQQSSKLSAMVEDDEDMEFPPESCDIFDGNWVFDDLTRPLYREEECEFLTEQVTCLKNGRQESMYQKWRWQPKDCNLPKFRGKLLVEKLKNKRLMFVGDSLNRNQWESMVCMVQSVVSSGRKSLIRTGSFSIFRIEDYNATVEFYWAPFLVESNSDDPRKHSILDRIIMPKSINIHGQNWKNVDYLVFNTYIWWMNTRNMKVLRGSFDEGAIEYDEIERPLAYARVLKTWAEWIDKNINPNRTTIFFNSMSPIHMNLDWDNPHDTNCAKETSPILNVSTPPNVGTDRRLFTVTTDVIQSMKLPVNLINITTLSEYRKDAHTSIYTIRQGKLLTPKQKANPDVYADCIHWCLPGLPDTWNEFLYTLIISHL >cds-PLY87709.1 pep primary_assembly:Lsat_Salinas_v7:1:5497352:5501018:1 gene:gene-LSAT_1X4101 transcript:rna-gnl|WGS:NBSK|LSAT_1X4101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVTQLQRQFIEYTTSLYKEGYLDDQFTQLQKLQDESNPDFVVEVVSLFFEDSEKLLNNLATALLILMSTSSRVVVPGTSVRRKTLRVGATNLASRRVDPYDGMNSWA >cds-PLY66752.1 pep primary_assembly:Lsat_Salinas_v7:8:72567040:72567943:-1 gene:gene-LSAT_8X52220 transcript:rna-gnl|WGS:NBSK|LSAT_8X52220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGITYVTKGYSTYTDIPTQQGGYDHGSNKIDWAQTPTTGYTDFVGSPSKIELLKEYVHSPTKFNSPNHGFNYENSSSPTFHNEGSWSMKSSPKKYPHSSPVHGYHSDESHMVQSGPGFVARQVRTGIISGPPRTHHPITTSTNNINEALGFLESMTHSPRSDPRQRGVLDELSIRAQPVEPQKRYARPTFVAKPNDVYRNNY >cds-PLY61878.1 pep primary_assembly:Lsat_Salinas_v7:6:58660992:58661150:1 gene:gene-LSAT_6X45081 transcript:rna-gnl|WGS:NBSK|LSAT_6X45081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLQLLKREEIEDGTVTSRSATPLLFLLPHVPLPSPPSQLYDHHGFLNCGSI >cds-PLY66664.1 pep primary_assembly:Lsat_Salinas_v7:1:52061179:52064819:-1 gene:gene-LSAT_1X42160 transcript:rna-gnl|WGS:NBSK|LSAT_1X42160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDRQQRILERELYQIEQIRQLDSEELEVEEVEHGHQSSEDDQFDDRIYGGASASGGYTFNTSLASMHSYLGEVEDTHNRLAFLDGGVILHVPLFYLEGVVLFPEATLPLRVIQPNFIAAVDRALRQVEAPYTIGVIRVYRDNDDGRIRFSTTGTTAEIRQYRRLEDGSINVVARGQQRFRLRRRWIELEGAPCGEIQIIEEDLPLRTPREAVGKLTPLKNLSHSHSHSKQPLHGNQDHDDSDAMSDDSFESELSLTERKLHSSALVSSYSSRLFGESTSSDENDEKSKNSLHTSNNEEVFRGAKRENYNYSVRVRGLRKCPRAYWPYWVYKMHDSYCLAQRLSDMWKKIVGTPNMDALMRKPGLLSFHIASKIPVSESTRQELLEIDGISYRLRREIELLESFDRVKCKSCQTVIASRSDMLVMSSDGPLGAYVNPSGYVHEIMTLLKANGLALIGVPSEEYSWFPGYAWTIAYCATCEYQMGWLFTATKKKLKPRSFWGIRSSQVADDMH >cds-PLY96911.1 pep primary_assembly:Lsat_Salinas_v7:4:170183418:170185467:-1 gene:gene-LSAT_4X101120 transcript:rna-gnl|WGS:NBSK|LSAT_4X101120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWVVTQAIINNDTTGFRKMVEEDEHVVDKRMENATVLHLASRLGHVEMVSLILELRPQMVTEENNINSETPIHEACRMGQYSVVRLLMEANKWMAAKLNSENQSALFLACDYGHLNIVNFLLDHTYTSLWLLNIFDHAACLYAAASRGQPDIAKRLLERCPNLANQKDRNGSLALHGACRSGQLEITSMLLRMDSNYQAFQFDNSGYTPLHVAAIHGKLAILEEFASVAPSSFQTLSKHGENLFHLTIRFNQFDAFKFVGGVLKGATYMFYQPDRFGNTIQHLAHIGGLNQFSEYINRESEEKINHQIVGNHRGILYQTELPTTSMNLPEIHFEATILDNKRHEINRYTSLVSQTTEQEEKHIKAHKKNPKKQNIKLQQEALQNARRRITIVAILIASIAFTNGLNPPRGVYQGNSVMGKKRAFKIFAISNHIAFFASLCIVAVLVGVIRFRRKPLKLILAAAYMVTWVAFSFMVVSCVAAIW >cds-PLY68337.1 pep primary_assembly:Lsat_Salinas_v7:4:157522091:157526236:1 gene:gene-LSAT_4X94480 transcript:rna-gnl|WGS:NBSK|LSAT_4X94480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGGHPFVNGDDEQLQFDNLNQNHEDYDDNVDDSGGGNEIRHSINDSSRDSSAGKLFVGGIAWETSEESFSSYFSHYGELTDSVIMMDKISGRPRGFGFVTFADPADADKVLEQDHVIDGRPVEVKRTVPREDMQGSRGVSRTKKIFVGGIPLTLTEDEMREYFLSYGEIVEHQIMLDHVTGRSRGFGFVTFDSEEAVDKIFADGQLHELQGKQVEIKRAEPKRAGAGAGGDFSYDSRSRGRGGGSKSYGGGFGRGAAGYGGKADRGYDDYGGGYAGYDGYGGGYGGGTAGFYAGYGGYGYGYGFGGPMYGGAGYAGYGGYGGAAGYAGGRGYGSGSGSGGGGGGYGGKGFGRGGGGYGYGYGYDGSKGYDSSNGGGGGSSGGSGGGRFHPYRK >cds-PLY63659.1 pep primary_assembly:Lsat_Salinas_v7:4:128698905:128699893:-1 gene:gene-LSAT_4X80860 transcript:rna-gnl|WGS:NBSK|LSAT_4X80860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPEEPLSYPLNSPLLHILSPKSSLNLTLPQKKSFLKMSLTKRKSILILVFILVIASLIRVLRITITTSYFPNVVSSSMDHDLITTRSNVSDKTLSEKELTFLSDIILQKSPCNLLVFGLEDQYLKLPTINKGGATVFLEDKPEKLMKMKGNGDGVQVFRIRYKTSAKEAYKLLKHARRDSSCSVRRLTSKCKIAVTGFPKEVLKIKWDVIVVDGPGGDGPESPGRMGAIYMAGVLARSGNGTNVVVHDVDRMIEKWFSWEFLSEKNLVSSKGKFWNFKIGQRKKLVSLKRS >cds-PLY62266.1 pep primary_assembly:Lsat_Salinas_v7:5:162161823:162164010:1 gene:gene-LSAT_5X71020 transcript:rna-gnl|WGS:NBSK|LSAT_5X71020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGIFLSLLAITSLLPLYTIGGTTRSYEFNIELQNVTRLCHTKSMVTVNGKFPGPRIVAREGXIIKLHGIRQLRSGWADGPAYITQCPIQTGQSYVYNYTVIGQRGTLFWHAHISWLRASVYGPLIILPKLNVPYPFTKPYKEVPIIFGEWFNTDPEAIISQATQTGGGPNVSDAYTFNGLPGPLYNCSAKDTFKLKVKTGKTYLLRLINAALNDELFFSIANHTLTVVEADAIYVKPFKTETLILAPGQTTNVLLKTKSKFPGANFLMSARPYVTGQGTFDNSTVAGILEYESPVPMKNLPLFTPTLPSLNDTSFVSKFSNRLRSLANSKFPANVPQKIDKHLFFTVGLGTAPCAQNRTCQGPNGARFAASINNVSFVQPSVALLQSHFFNKSKGVYSPYFPINPVHWFNYTGTPPNNTFVSNGTKLMVLPFNTSVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNYDPKKDPKNFNLVDPIERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTGWGLKMAWLVLDGELPNQKLLPPPADLPKC >cds-PLY68899.1 pep primary_assembly:Lsat_Salinas_v7:2:193449536:193450696:-1 gene:gene-LSAT_2X114141 transcript:rna-gnl|WGS:NBSK|LSAT_2X114141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGSWVVMVVAGGGWWWWR >cds-PLY77265.1 pep primary_assembly:Lsat_Salinas_v7:3:56645123:56647005:-1 gene:gene-LSAT_3X44460 transcript:rna-gnl|WGS:NBSK|LSAT_3X44460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKFSIPRWDNKFCTWVSNLCNVCGNALQMKVAAAAVTIYFYFSIYVQVLEALKYVAVIMDFDKGIKFEVAKDPPNILHHNLQLRGYSIFCNETL >cds-PLY82818.1 pep primary_assembly:Lsat_Salinas_v7:1:86703839:86705273:1 gene:gene-LSAT_1X73180 transcript:rna-gnl|WGS:NBSK|LSAT_1X73180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDAIKLDKRLPFIGHITGANLLEIQCFEISVGGFGRQFWDEHEDVDMKDETRGEEEQLVSFKRDFRDEEEYAAILGHSYGLNVTEKNTMEVTLKDGLEKFPDSVVLKERMEKMNELFMELHEGENNKKVHEPEGYNELNLNDIGDDGEGSSSPVIELIVNEGNACTYVNYITPIDTNYLTMTQFYRLPEVNEEMIKMLDETELRVSRRKKLMSEFSVVDNAAEDDDNDKREKHIPKKAKIFHSPYIERIIKVRDKLSKDKIGVCNSVFSSNRYDVDEIWDIGTCNLLHQGFAYQFNQGMFIHSNTKDILNELKTEDLKCRLFAALLRIYIKKFDVKPSFRDVVIVRK >cds-PLY86557.1 pep primary_assembly:Lsat_Salinas_v7:1:162685270:162693905:1 gene:gene-LSAT_1X110100 transcript:rna-gnl|WGS:NBSK|LSAT_1X110100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECCYSPTTNPSFSSFKFLDCEIFESLIASFSSPSASEAEKSMDASGIILVAGATGGVGRRVVDVLRKKGLPVRVLVRNKEKAEKMLGPDIDLVVGDITKASTLLPDYFKGVKKVINAVSVIVGPKEGDTPDRAKYSQGIKFFEPEIKGDSPEMVEYIGMQNLIKALKDNVGLRSGKLVFGFEDGSSRVLPWGALDDVVMGGVSESSFLIVPNGSETGGPTGLFKGIVSTANNGGFTSIRTKNFDVAENLCGYDGLELRVKGDGRRYKLIVRTSRDWDTVGYTIGFDTVKDQWQSIRLAFSSLRPIFRAKTVVDALAFDPSQIISLQACFPNFSSFILMFSKFEYDGKLNPTFVEGPFELPVSSIRAYIADPITPRLVHVGSAGVTRPERPGLDLSKQPPAVRLNKELGSILTFKLKGEDLIRESGIPYTIVRPCALTEEPAGADLIFDQGDNITGKISREEVARICVAALESPYACDKTFEVKCVVPFSEQYTVDPENPPPEKDYDVYFQSLKDGITGKEMLERTPVSV >cds-PLY91864.1 pep primary_assembly:Lsat_Salinas_v7:8:195976321:195979040:1 gene:gene-LSAT_8X126681 transcript:rna-gnl|WGS:NBSK|LSAT_8X126681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLGKGGSKVADMRKMTGAFIKIVGDHQVPKCAPENDQVVLMGQDIRTGSTSVGRSAAIVSNMSVEILVPQTVIALVYGENGRNLTRLRQILLSHMLKISNGYVYDVERLDEEIEATVTPKDYRQKKVWILCNECNDTSEFLEF >cds-PLY86723.1 pep primary_assembly:Lsat_Salinas_v7:4:8324034:8326311:-1 gene:gene-LSAT_4X5261 transcript:rna-gnl|WGS:NBSK|LSAT_4X5261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDTTALSYWLNWRFFLCGSWVLIVIITAWILIIKYEVFNTKRLQQQADETEPIGILYEDETWKTSLRVIHPAWLLAYRLIAFGVLLALIISNLVIGGARVLFFYTQWTFVLVTFYFGLASSLSIYGCCQYWNEAVDDNMNDVGLVDSLIQVSVEPQHMSMKVSNHGDIISTRKTASIWAYSCQIVFQICGGAVGLTDGVFWFIIYPFLAPVTYKLNFLNVSLHSINVVLLLIDVMLNRLRFPFFRLAYFGLWTSTFVIFQWILHACVSIRWPYPFLDLSSSYAPIWYFGLGLIHIPVFGIFSLIVKWKQLLLSRFS >cds-PLY91486.1 pep primary_assembly:Lsat_Salinas_v7:7:144373108:144373572:-1 gene:gene-LSAT_7X85700 transcript:rna-gnl|WGS:NBSK|LSAT_7X85700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRDKSGDAQKQWLKLSLGPYLGGSSSSSRPTTSMKYTCSFCRKKFYSPQALGGHQNGHRRERVAAKRYREFKINQSIMNVHSNLVVNTPARDGETHVARLLDDGDGFGVACVHPYAIEDSVDLEWSGSFYYNPQPASQQSDPCFLDLNLKL >cds-PLY62606.1 pep primary_assembly:Lsat_Salinas_v7:9:76840942:76848357:-1 gene:gene-LSAT_9X60620 transcript:rna-gnl|WGS:NBSK|LSAT_9X60620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQESNKQVVYNVSPGVTKQVAEVKAMMHESNKQVVDNVSLGVPNSSCDSMPALGAITIQGRKLHNSTPSWLQNIVGGCTKKNTLAPMNKLKPIELLRERLKNNPLILVVADYGILEAEKFEFWIAREEILRLLNKRTLDVTILTVWHMNLHSISRVKNKCFFLNPHRILWADCQENPEAVINYIVDAMRCELIKENNFLSLHIIKDIEAYDVDTKLNKVTVTGNVTNEEVIKALHKIGKQATNWEQFQTSTTN >cds-PLY87540.1 pep primary_assembly:Lsat_Salinas_v7:8:96212159:96221079:1 gene:gene-LSAT_8X66921 transcript:rna-gnl|WGS:NBSK|LSAT_8X66921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKILRSNDSEDWLLIRIKEMMKHKMMYRFHKRNSFSALYVDFKSGEKLDFKFSTLQALQDGETYAYLLNVLAPEHCSPSTLDTKDPTERANLVLEHVEKMDCKRYLASKDIVEGSANLNLAFVAHTAQDSLGR >cds-PLY87039.1 pep primary_assembly:Lsat_Salinas_v7:5:260753304:260755403:1 gene:gene-LSAT_5X130880 transcript:rna-gnl|WGS:NBSK|LSAT_5X130880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRAAEDEQNMNPGVGDEGATNNDSKCSVSDLDTVKEKFAKLLLGEDMSGGGKGVSSAQALSNAITNLAAAVFGEQSRLEPMPPERKARWRKEMDWLLSVTDHIVEFVPSKQNTNGVNMEIMVTRQRSDLHMNIPALRKLDAMLIECLDNFQGEHEFTYASKDDNEAKSQRKREEDKWWLPTPKVPADGLSESTKKWLQFQKDAVHQVLKAALAINAQILMEMEVPESYTETLPKNGRASLGDYIYKSITVEHFDPDHFLSSMDLTIDHKIVDLKNRIEASVVIWKRKMSAKDGRSSWGSGVSLEKREQFGDRAETILLILKQRYPGIPQSILEISKIEHNRDVGLAILESYSRILESLAHKVLSRIEDVQHADALTQNPSLGDMKTNSLKDSVKILTSGKFPDAVEEIES >cds-PLY87336.1 pep primary_assembly:Lsat_Salinas_v7:3:112099132:112102773:1 gene:gene-LSAT_3X80641 transcript:rna-gnl|WGS:NBSK|LSAT_3X80641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLLYCVPAIYAPGLSVVGERYYKVTAEALRVCGELVRANIEVSNFDFKPYVHPIYNAILSRLTNRDQDQEVQECVISCMGLVVSTFGDHLTTELLACLPVLVDRMGNEITRLTAVKHPGGLGVPAVGMAFPGYVTQPNGMGNSEMTWLPILAGAAGAFGCFTLYHNGWCLSCNAIWPDLCIASYKVCYFLL >cds-PLY75699.1 pep primary_assembly:Lsat_Salinas_v7:8:195531024:195531595:1 gene:gene-LSAT_8X125800 transcript:rna-gnl|WGS:NBSK|LSAT_8X125800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPSKAGDEQLNVFRFPPLVAPIKCTVFPLVQNAQYEQVAKNISRSLTAAGISNKKDITGTSIGKRYARTDELGVPFAVTVDSTSSVTIRERDSKDQIRVSIDEVAAVVKEVSEGRATWADILKKYPAHTSLSVKEALLSCKSRN >cds-PLY98614.1 pep primary_assembly:Lsat_Salinas_v7:1:39283131:39286436:-1 gene:gene-LSAT_1X33801 transcript:rna-gnl|WGS:NBSK|LSAT_1X33801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMADDLMFSAEELRVDDGLGYPKAYAKLCRDRGFGPYSHGPPFTFTPFALQSHQALRAKELDELFPIIDLKAKPSAKPKIFVNLLWKQLNHLGNAGFDPESFRVDSYGNVLYFHADSASPLAWDIDHWFPCSRGGLTVSSNLRLLQWQVCKKKHHKLEFLVPWWDLQVGISVNQFLSIFASSNSDFRQRGFYLLFSNGESEELNSTQTVDSHCFPQPFNESQKKLGLAPAAIVVSRKESNIMTAARKSRSNMSKENENPEMVMNPYQAIVIARDSLRQNEETVKKQKEIEKLDEEVMELKQKNEEERTSIQDLELVLIKKRRRAEKCRRLAEAQASYKSMLEKMIRDAMHQSVVYKEQVRLNQAASNSLMARLEAQKAICDSSERELHKKFKHRDELEKQIRPELEQTRKRSRMDDGKDDKMVLYQQPESKESQEPLQDLALLQEKDDNTVLYLPGSNSEHKQLRVFLEEEHKESEAEIERNEEPIDDIDIDNGKKMERLRLDENGVSYDIRFPVDDDDEEELVKEEEDEDEEKRKQRGKGNVEKWLQILLEEEGGTSTHDNIHINTSNASNGNKTDEIIRKMNLKYPQKETLKNEATESVEEDTKRTALIKNPPYVRKSSVEEEDTKTALRNPPYIRKSSVGEMECEKSVSRRKSFEVKERSEKIGKFKDIARSESARVLRRIPSSPSIILGMKKGVDCIRRKPAVMGDDEDVENRGVNGNFIKSSYKVIKKVVKM >cds-PLY85894.1 pep primary_assembly:Lsat_Salinas_v7:2:190104266:190104858:1 gene:gene-LSAT_2X112140 transcript:rna-gnl|WGS:NBSK|LSAT_2X112140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQPSPNQLRDLDGNLVRSGTKYYILHVIRGMGGGLIPASTRNESCPLDVVQANQEVNNGMPLTFTPVNPKKGVIRESTDLNIIFSAASTCIQSNVWMLEEYDGQLIVTSHGVAGNPGQETISNWFKIEKYEDHYKLVVCPTVCDICKPVCGNIGVVIAKNGSRRLAISEVPLKIMFKKA >cds-PLY78946.1 pep primary_assembly:Lsat_Salinas_v7:8:2424458:2424917:-1 gene:gene-LSAT_8X401 transcript:rna-gnl|WGS:NBSK|LSAT_8X401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGVQLEFDDTPLVKLDVNDFIDDHQYDDDVDMDDGAEGVRDVDDGVGGGDDEGEGAADIDDSNGAQGDGDNMGEDDRNRDDDEGHDVIPVVKRTRKTSERITKIKLRKGVYNKDGGGSSSTNPVTLE >cds-PLY80886.1 pep primary_assembly:Lsat_Salinas_v7:8:126557873:126559487:1 gene:gene-LSAT_8X88280 transcript:rna-gnl|WGS:NBSK|LSAT_8X88280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFILMVNKQGQTRLAQYYEYLTLEERRALEGEIVRKCLARNEQQCSFVEHRNYKIVYRRYASLFFLVGVDNEENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKAHFMLEEMVMNGCIVETSKTNILAPIQLMDKAS >cds-PLY68085.1 pep primary_assembly:Lsat_Salinas_v7:5:294487742:294490680:-1 gene:gene-LSAT_5X157261 transcript:rna-gnl|WGS:NBSK|LSAT_5X157261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETEVEESRGSFIIKDKNVQFICNHQTIEEIKVLKSQTEFEFESGFLEFQSESPVLLITGRVFGMKKNKKPLLQKWESVEKDVCSYVNYFILFDQKNSNQIGFQVKLGKPNFVNVKLSPKIYRGHFRKLTRPRRIRIRLCGRRRHQVVTDSSNEEENVAMKEKNGLGFGFFNRICVTYSSERNERFFGFGEQFSHMDFKGRKVPIFVQEQGIGRGDQPITFAANLVSYRAGGDWSTTYAPSPFYMTSKMRSLYLEGYDYSVFDLTHDDSVQIHIHGDSVEGRILYGNSPSELIEQFTESIGRPPVLPDWIISGAIVGMQGGTNSVKNVWEELVAYDVPISAFWLQDWVGERKTVIGSQLWWNWEVDETRYQGWKELIRDLSVRHIKVMTYCNPCLAPMDRKVDARRNLFEEAKKLDILVKDKNGEAYMVPNTAFDVGMLDLTHPHTATWFKKILHEMVDDGVRGWMADFGEGLPVDACIYSGEDPISAHNRYPELWAQINREFTEEWKSKLIGKEKEDPSESLVFFMRSGFRNSPKWAMLFWEGDQMVSWQANDGIKSAVVGLLSSGLCGYAFNHSDIGGYCGVNLPFFKYRRDEELLLRWMELNAFTTIFRTHEGNKPSVNSQFYSNQKTLTHFARFAKVYKAWKFYRVQLVKEASEKGLPVCRHLFLHYPNDDHVHSLTYEQFLVGEEILVVPTLDKHRKNVKAYFPVGESCVWKHIWTGDLYDIKGSEALIEAPIGYPAIFVKDGSVVGENFLRNLREFSIL >cds-PLY93480.1 pep primary_assembly:Lsat_Salinas_v7:9:63756995:63758071:1 gene:gene-LSAT_0X29861 transcript:rna-gnl|WGS:NBSK|LSAT_0X29861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAKRAYNDHRWTNERHLHFLKSLEASFVRTMLENSDDRVFLPMDRYVPDSCESTLDSKRMTTATTATKRRKRNFPADYLDPNISIEPRITKFRLHPSTLPQEDQIVPQIKRIKTEDDDNIWTNLP >cds-PLY82802.1 pep primary_assembly:Lsat_Salinas_v7:1:86096300:86096762:-1 gene:gene-LSAT_1X72941 transcript:rna-gnl|WGS:NBSK|LSAT_1X72941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARMAMMVFCVVVTCMVVAAPYAEAITCGQVVSNLAPCLGYLKNGGAVPSGCCSGVTALNNAAQSTPDRQTACGCLKSAYSANSGINAGNAAALPGMCGVNIPYKISPSTDCSKVQ >cds-PLY84409.1 pep primary_assembly:Lsat_Salinas_v7:8:78864174:78865467:-1 gene:gene-LSAT_8X56341 transcript:rna-gnl|WGS:NBSK|LSAT_8X56341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAVCQGLQSCLEPPLTESTVLTHKSSSKPSQLHQKPNTEANSVTDDKNGERVGKNKVFSFFNVLENPSSNSNLKNEEVYVHPMVKLSASTLSTMSLEMCTESLGTETGSDVSESSDELNWEEREMFRSKARNLYRKVASRSHRGGFPPPLTSISGSDGTVKVSPHREGGRLVIKAVSVSDCGTKFEAERTDGRLRLSLSKDCCENVETGRANKKKEGGEKESCDGDVEDGGWWWEVDGRNSNSKRPTRVAANSFDQESTEFRLRSCKDGGNGNKGIGNWSLFRPVVIS >cds-PLY95677.1 pep primary_assembly:Lsat_Salinas_v7:2:113364770:113365105:-1 gene:gene-LSAT_2X52241 transcript:rna-gnl|WGS:NBSK|LSAT_2X52241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLTPNVVTYTSLVDGLCKQGEIDIANDMHATSEIYKGMLGKGVSPNTNTYDVLIRGQCKARNMEAWFLYKEMVEKGYDLTVNGYNALIKGFIKRKNYFQLTSCNPFNSRA >cds-PLY74538.1 pep primary_assembly:Lsat_Salinas_v7:7:39829283:39833485:1 gene:gene-LSAT_7X28860 transcript:rna-gnl|WGS:NBSK|LSAT_7X28860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVRTSTPLLFQSKLLCSSLSYLFLSLFFAFYTSLSPTTKCHFRSSPFDPIQSPLFIYPQSYGQHKHPIPTIKSSCNSPVFFSDYSFVLDEIRRVIENSSSDSNGLRYVQGNENGEKFGMNYTTQTRLSYYDYHDDGIEIPCGFLKKFPISDYDRIAMESCNGVVVVSAIFGNHDKIRQPQGLGFNTLDHVCFYMFVDNVTIKQLYFHNLLSRKTKENRIGVWRIVEVSSEELYENPAMNGVIPKYLVHRLFPNSKYSIWVDAKMQLVVDPLLLLHSLVIMEDVDMAISKHPLYVHTMEEAMATARWKKWWDVDSLKIQIETYCENGLQPWSSKKHPYTSDVPDSAIILRKHGVRNNLFSCLLFNELEAFNPRDQLAFAFVRDQMNPKMKINMFDVEVFEHMASEYRHSIKRGPDVIQSIRTKRANPGLPANGGIRTKCDKYLEKMWDE >cds-PLY99456.1 pep primary_assembly:Lsat_Salinas_v7:6:20310448:20311968:1 gene:gene-LSAT_6X15460 transcript:rna-gnl|WGS:NBSK|LSAT_6X15460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDMALKVSGSSKGKPPTGPGNKGYNYRAYTDYDTTLSKGIKYPYTIQPPESSSSVPPVWDGGYEPPRQSGHLVLDEDDDPIEWMAQVEPGVQITFVSLPNGGNDLKRIRFNREMFDKWQAQRWWGENYDRIMELYNVQRFNCQALDTPSRSEDGGRDSCYSKLGSVKDSPMMNTMRNHQYNNVGPGGGGVYGGPSSMSMDASRTTTSSRDEAGSVSISNASDVDSEWIEEDEPGVYITIRQLVDGTRELRRVRFSREKFGEVHAKQWWEQNRERIQSQYL >cds-PLY68546.1 pep primary_assembly:Lsat_Salinas_v7:2:5306864:5308505:1 gene:gene-LSAT_2X2860 transcript:rna-gnl|WGS:NBSK|LSAT_2X2860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGIELSLNQTQKLRLQRVLELLESMSSGTNYDSSVTVADSIHVKQEDGVLKGHGMTELEGEVVTTVCGVIERVNKLVYVRTLRARYKPEVGDIIVAHVIEAIDELNMCTIFEENDVICAEVRDFMCDGNLQLQARSQKYGKVWLALLCNLCVYVVFSCPQVWKDSNSFQENFSFCEPVGVS >cds-PLY86705.1 pep primary_assembly:Lsat_Salinas_v7:4:7718563:7727245:-1 gene:gene-LSAT_4X4920 transcript:rna-gnl|WGS:NBSK|LSAT_4X4920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVGHGLLRPTVLEHQIKTNSNGIGGNTVLQAQANSQIRRFSSSLSSSSSSPSLLSSDFRGQRVTVRKLTLPINRVISDLPQAVLATDPASQQLGGKFILEGNIEMQVNVKVSSVALVEIQITNSTDHLYLHWGGMQNRKEEWVLPSRHPEGTKVYNNEALRTPFVKSGSDSFLKVEIDDPAIEAIQFLIVDERHNRWYKDNGQNFYVKLPLREKAVSDVKIPEDLVQIQAYLRWERNGKQTYTPEQEKKEFEEARKELQKELEKGSSVDDIRNKTTKGDVQKKVQKQQEKKIYFTPERINRKKRDITQLLKKPTPVTLKSMEEKNTIKPKTLSALQLFSKDIEEQNDTDVLYKKTYRLYDKELLVLVTKAEGKTRVHLATDMEGPLILHWALSERTGEWLKPPVSLLPEGSISLEKAAETQFSTICVDDPSNKQIQTFGLEIEEGTFVGMPFVLRHCENWVKNNDSDFYVDFTGPKRAIKDACDGKGTAKDLLDKIASLESEAEKSFMHRFNIAAGLMEEAKEADELGLAGILVWMRFMATRQLIWNKNYCVKPREISQAQDRLVDKLQNVYKSYPQYSELVRMIMSTVGRGGEGDVGQRIRDEILVVQKKNNCKGGMMEEWHQKLHNNTSPDDVIICQALIDYIKSDMDISVYWNTLNSNGITKERLLSYDRAIRNEPKFTSDQKEGLLRDLGNYMRTLKAVHSGADLESAISNCMGYRSEGKGFMVGVKINPISGLPSGFPELLQFVLEHVEDKNVEPLLEGLLEARAELKPLLSTSNDRLKDLLFMDIALDSTVRTAIERSYEELKNAKPEKIMYLVTLLLENLILSSDNNEDLIYCWKGWDRALTMLKSGENDWALFAKSVLDRTRLALASKGELYHQLFQPSAEYLGALLGLDQWAVSIFTEEMIRSGSAASLSSLVNRLDPILRSVANLGSWQVISPVEAVGYIVVVDELLSVQNKTYEKPTILVAKTVSGEEEIPDGTVAVLTPDMPDVLSHVSVRARNSKVCFATCFDTDILNDLRAQEGKLLSLKPTSADITYSEVKEEDVTRSSNLEEVDPSPTIKLVKKQFNGSYAISSDEFTSEMVGAKSRNIAYLKGKVPSWVGIPTSVALPFGVFEKVLSDDLNRGVSEKLQILNKQLGAGESDVLGEIRKTVLELAAPPQLVQELKDKMKSSEMPWPGDEGEKRWEQAWMAIKKVWGSKWNERAYFSTKKVKLDHDFLCMAVLVQEIINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFISKKDNLDSPKVLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDKVILDYSCDPLIVDVDFRKSILSSIARAGDAIEKLYGSPQDIEGVVRDGKIYVVQTRPQM >cds-PLY78057.1 pep primary_assembly:Lsat_Salinas_v7:4:353954273:353962186:1 gene:gene-LSAT_4X173941 transcript:rna-gnl|WGS:NBSK|LSAT_4X173941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAGSAAKLIVDALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMVARHTPVPLLEALLRWRETESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWIGLENFVFDWLINADRVVSQIEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTSGARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLNRVPHKRKSELHNALCNMLSNILAPLADGGKGNWPPPGVDPALTLWYEAVARIRSQLMQWTDKQSKHIAVAYPLVTLLLCLGDPVVFLNNFGPHMEQLYKHLRDKNNRFMALDCLHRVLRFYLSVHGNSQPPNRVWDYLDSVTAQLLTILRKGMLTQDVQHDKVVEFCVTIAEHNLDFSMNHVILELLKQDSPSEAKVIGLRALLAIAMSPTSQHVGLEILHAHDIGHYVPKVKAAIESILRSCNRAYSQALLTSSKTTIDAVTKEKSQGYLFRSVLKCIPYLIEEVGRTDKITEIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFAVMRGMSNFILQLPDEFPLLIQTSLGRLLELLRFWRACLSDDNNIEKNKNDDENVVVVSDNAKKQKGFKRSSFHPGEQPIEYRASEIDAVGLIFLSSADSQIRHTALELLRCVRALRNDIRNLSLYERSDFLKDEAEPIFIIDVLEENGDDIVQSCYWDSGRPFDLRRESDVVPPDATLQAILFESPDKNRWARCLSELVKYGAVLCPQSVQDAKLEVIQRLEHITPVELGGKAHQYQEADSKLDQWLMYAMFACSCPPGREGAGAATTRDLFHLIFPSLKSGSEAHVHAATMALGHSHLEVCEIMFSELASFMDEISLETDGKSKWKSQKLRREELRIRIANIYRTVAENIWPGMLSRKPVFRLHYLKYIDETTRLISTSPLESFQEMQPLRYSLACVLRSLAPEFVESKSEKFDPKTRKRLFDLLLSWCDESGSTWSQDSASDYRREVERYKSSQHSRSKDSIDKISFDKEVTEQVEAIQWASMNAMASLLYGPCFDDNARKMSGRVISWINSLFIEPAPRAPFGYSPVDPRTPSYNKYGGDGGRGGTGRDRNRGGHLRVSLAKMALKNLLLTNLDLFPACIDQCYYSDAAIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSVREWAEDKIEGSGSYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSERLLKSLYYVTWRHGDQFPDEIEKLWRTIASKPRNIRPVLDFLITKGIEDCDSNASAEISGAFATYFSVAKRYGTGEADC >cds-PLY74691.1 pep primary_assembly:Lsat_Salinas_v7:5:25134515:25135298:1 gene:gene-LSAT_5X12541 transcript:rna-gnl|WGS:NBSK|LSAT_5X12541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHQFNMLKTTSKRLADRKVERFEKNIKKRGSVPETTTKKKDSYPVGPIVLGFFIFVVIGSSLFQIIRTATSGGMA >cds-PLY88984.1 pep primary_assembly:Lsat_Salinas_v7:5:161309522:161310950:1 gene:gene-LSAT_5X70421 transcript:rna-gnl|WGS:NBSK|LSAT_5X70421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIELPAKWTDLWELPLRYNNKGITIGSPPRHPPQVHENPMISKSKDPSSTDIRTGIKKRNRKNKQQNIAELEPSCWNRICPQNVVDAGIHLNPAKKRHYVWFSLTPSRDQNTKNTLQLLVEPYVQIIMEENCNPDVSILLKYIVLQLKHVCQQEVGIFLNGKLLAPEMKLLDVLKQWMAIVDSERKITKIGSSAKSFCVKLTYARRE >cds-PLY89661.1 pep primary_assembly:Lsat_Salinas_v7:3:184779586:184785262:1 gene:gene-LSAT_3X110980 transcript:rna-gnl|WGS:NBSK|LSAT_3X110980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl 4-hydroxylase 1 [Source:Projected from Arabidopsis thaliana (AT2G43080) UniProtKB/Swiss-Prot;Acc:Q9ZW86] MASAMRIVIGLLTLVTIGMIAGSLLQLAFIRNLEDSYGTTFPSLRRTLGSNQLPTAVSGWGNDKEAAILRIGYVKPEIISWSPRIIVFHNFLSSEECDYLRALAKPRLQVSTVVDAKTGKGIKSNVRTSSGMFLNHEERKYPMIKAIEKRISTYSQVPVENGELIQVLRYEPNQFYRPHHDYFSDTFNLKRGGQRVATMLMYLTDNVEGGETLFPMAGSGECSCGGKMVRGLCVKPNKGDAVLFWSMGLDGQSDPDSIHGGCEVLAGEKWSATKWMRQRHTT >cds-PLY64885.1 pep primary_assembly:Lsat_Salinas_v7:2:77836928:77844370:-1 gene:gene-LSAT_2X35581 transcript:rna-gnl|WGS:NBSK|LSAT_2X35581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPGMMDPEMMRLAQEQMSRMSPADLSRIQQQMMSNPELIRMATDSMKYMKADDLRHAAEQLKSTRPDEMAEIGEKMANATPEELAAMRSRVDAQLTYQLNAAQMLKKQGNEFHSQGRYNDALEKYMRAKNNLKGVPASKGGALLLACSLNLMSCYLKTGQYHECIKEGTEVLANDAKNVKALYRRGQAYKNLGQLEKAVSDLSQARELSPEDETIADVLRDAKEGLTEQGDEGAAGGIRIEEITDEVATTTCEMKQASSSESCDHVSKQASSGGVLPTNSEHLQDKESIRSFQKFMSKADPETLASLSGGKFENISPDMVKTASSLITKMPPEEFQKMLQMATSFQGENPLLNNNNNNYNGSGMPNVTPDMLRTATDMMTKMPAEELQKMFEMASSLNGQNPMATESGGSNISEGQESQSQGFLNSRIGPQPSSDIRSQLKNPAMREMMSSMMKNMSPDMMANMSEQFGVKLSREDAERAQQAMSSLSPENLDRLMKWADRIQRAGEGAVKTKNWLLGRQGMVMAVCMLLFAMFLHWLGYIGS >cds-PLY76729.1 pep primary_assembly:Lsat_Salinas_v7:7:61400540:61403062:1 gene:gene-LSAT_7X44320 transcript:rna-gnl|WGS:NBSK|LSAT_7X44320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMTVASPKNSLKTVFERVGVYGFGAGSSNRQKRIRYEIHDEDDTMEMVQLGANRTKNVLILMSDTGGGHRXSAEAIRDAFKIEFGDEYKIFVKDVWKEYTGWPLNDMENQYKFMVKHVQLWSVAFHGTSPRWIHGVYLAAIAAFYAKEVEAGLMEYKPDIIISVHPMMQHIPLWVLKWQGLEKKVIFVTVITDLNTCHRTWFHPRVKRCYCPSEEVSKRALLDGLEQNQDDLRVELELDPVLPAVLLMGGGEGMGPVKKTAKALAESLFDKENGKALGQMIIICGRNKALASSLESLEWKIPVKVRGFETQMQKWMGACDCIITKAGPGTIAEALIRGLPIILNDYIPGQEKGNVPYVVGNGAGVFTRSPKETARIVAGWFTNNSDERKQISENALKLAQPEAVFDIVRDIHDLACQRGPLPSYDSFVLTSSFSNLI >cds-PLY64665.1 pep primary_assembly:Lsat_Salinas_v7:7:136235896:136242965:1 gene:gene-LSAT_7X81560 transcript:rna-gnl|WGS:NBSK|LSAT_7X81560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNIWRMNAGNMSSYVDALREVSAAREEVPGRRGHPGYMYTDLATIYERARRIEGRKGSITQQYTDLALQALSIQYGVFQSIHSYRKEKRERWLKPILRRLQMQRRENNVQSFEKLLNLTFYLSIK >cds-PLY89770.1 pep primary_assembly:Lsat_Salinas_v7:1:59002243:59005033:-1 gene:gene-LSAT_1X50640 transcript:rna-gnl|WGS:NBSK|LSAT_1X50640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 3-deoxy-D-manno-octulosonic acid transferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G03770) UniProtKB/Swiss-Prot;Acc:Q8VZA5] MGAIKGGEMVYMIYKAVTYGLSPLINLHLRWRKFRGLEHPLRWPERLGRPSLPRPPGSLIWFHAVSLGEGMSVMPVIKRCVERRPDVTVLMTTTTASAFEVLKTLLPCDVIYQFAPVDTPAAVNAFLEYWKPYALVLVESELWPNLVLTASANGVMLTLLNARMSTKSFNNWSTPVIRLLTSLMLSKFSLIVPLSNIQAISFQLLQAPPSIINFSGDLKLGTEVNVSQNMRNIEDLQEKITDRCVWMASSIHKGEEEVMISVHRALMQKHMNILAIIVPRHPDLGQEIALDLQKKGVHVALRSHGDSLTSETSVYVVDTLGELKEFYRFTPIAVIGGSFLPGLTGHNISEAAAVGCAVLTGQHVGHFSHMIMAMQRLNPLSVIQVGGGMELEEILDKLLSDPQLLEAHRMAAKQTFRALSSGVIENVWNLLELHIYRKIQAQGS >cds-PLY83067.1 pep primary_assembly:Lsat_Salinas_v7:3:81269333:81271545:-1 gene:gene-LSAT_3X63181 transcript:rna-gnl|WGS:NBSK|LSAT_3X63181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFNTLRTSFHCFLPLHHSFSFTRPSYSNIFSRSLSVATASANMESQRPVVLVLSGKSESEKEFARSLKENSTLKIIDDVKLQTYLHSEMETQSEKDEFNIDTYMNSLETKCFGRFILWSPRLPSTQDIVSLNFFQLPIGSVCIADLQFKGRGRSQNTWESPKGSLLLSFTMQMENGQLVPLVQYVVCLAMTEAIKNLSIRNGIPPLDVRIKWPNDLYLNGLKVGGILCGSTYQSKIFNISVGVGLNVDNDKPTTSLNSELQKLNSDYKLQREDITSTFFDKFEHFFHILIHQGFQPLEELYYKTWLHSGQRVIVQEKNQEQDLPTENVVTVQGLTSSGYLLAITDNGERCELHPDGNSFDFFKGLVRSKLA >cds-PLY93927.1 pep primary_assembly:Lsat_Salinas_v7:1:196368076:196375688:-1 gene:gene-LSAT_1X127360 transcript:rna-gnl|WGS:NBSK|LSAT_1X127360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:(E,E)-geranyllinalool synthase [Source:Projected from Arabidopsis thaliana (AT1G61120) UniProtKB/Swiss-Prot;Acc:Q93YV0] MGSSLVSINILVTKLKEEIFSNTKNHHLQSFVSPSAYDTAWLAMIPHTLEHNSPLFKGCLEWLLENQNKEGYWGESINGLPTIDTLPATLTSMAVLRKWGTGIHNIENGLKFIHANTENMLHHHHHDLPRWFFIVFPATIELAGSFGLDLMFSDDAKSIISQIYHVRKQIFGMEEMVDKWQYPPLMAYLETFQMTERNVDEETIIKHLSEDGSLFQSPSATAQAYLSTRNQKCLDYLISLVQKYPNGVPEKYPMDEELVELSMVDQVQKLGLSEYFTEEIDNILRKVYRSYMDQESPQDNKIFIATKLYKDSLAFRLFRMHGYNISPRTFCWFLYDNEILDHLENNSIQFTSLLYNVYKATDLMFFEESEVDEARSFSKKVLQRISATKNIVINDTVVMLPNISKMIEEELSIPWIARLDHLDHRMWIEQNNEGPLWVGKASFYRLSCIHNFKLMQLAVENYAFRQLIYQNELAELKRWSKKWGLTEMGFGREKTVYCYFSVAASTCLPHDSIIRMLVAKSAIVITVADDFFDMIGNLEELHILIDAIRRWDGKGLSGPSKVIFDVLDDLVRDTTETLVLQGNIDVIEDFRDLWRETFNSWLTETTWGKNGYIPSVNEYLEIGMISIATHILVLTSSCFLNSSLPQFKVKPQKYENITRSLMATTRLLNDIESYKKEQEEGKMNLVLLHLKENPDASMDDSISFVKTFLEGKRKELLKHVFTEDDSNFPKQWKSLHLSCFKAFQMLFNSSNLYDSDADLQLDIEKAIYIPPKRELPKYLKPQTTMHPFPQKRNLMITGEYFQTPARRFVHGFVGIKCHQLPTKSMRNVIYEVLSPPMFISCFI >cds-PLY98692.1 pep primary_assembly:Lsat_Salinas_v7:5:303678189:303678979:1 gene:gene-LSAT_5X163480 transcript:rna-gnl|WGS:NBSK|LSAT_5X163480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTATVTPTPTVAVQHVTKASSDELLSKFAELASPDRPSKKSSRLSKRQKRSAQSLTPMTGESSEFTGTLVVERKSLLPPVASGRRSSGAGALVRQLKGGRAQFRSRNFRNRSFFGTIEKTWRRTIDGASKVFMEKQYNRHKRLLTDTT >cds-PLY83381.1 pep primary_assembly:Lsat_Salinas_v7:5:94931209:94931562:1 gene:gene-LSAT_5X43041 transcript:rna-gnl|WGS:NBSK|LSAT_5X43041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:hydrogen ion transporting ATP synthases, rotational mechanism;zinc ion binding [Source:Projected from Arabidopsis thaliana (ATMG00640) TAIR;Acc:ATMG00640] MRLSSTNIQARKMLCAAILSICASGSKKISIYNEEMIVACCFIGFIIFIRKSLVKTFKETLDRRIQAIQEETQQFLNPNEVVPPESNEQQRLLRISLRICGTVVESLPMAHTCPQVT >cds-PLY93288.1 pep primary_assembly:Lsat_Salinas_v7:4:300271548:300276410:-1 gene:gene-LSAT_4X150441 transcript:rna-gnl|WGS:NBSK|LSAT_4X150441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSTSSIRKSFKYDVFLSFRGEDTRSNFVDHLYYALQQKNIHTYKDDEGIKKGKRISDELIRSIEESRFYIIIFSKNYASSSWCLDELVKIMECHGTTEHTAYPVFYDVEPSEVRRQSGTVEEAFSKHKMEEASGKWREALKEAADLAGWELKNTTDGHEAKFIQKIVEELSLELRSISLTVDEKLVGMETRIKDVVSSLGSGIDDVRMIGIKGMGGGGKTTLARAVFDQISFQFEGKSFVENVREVSNASLSGLKSLQKQILRDVLNDKCISVSSVYDGKHVIKRMMHDRKVLVVLDDVDNIDQLEALAGETNWFKPGSRIIITTRDEQVLVSHRVQFIRDINLLSDKEAVCLFSRYAFGRDSPIQGYEKLSREVIRYAAGLPLTLRVLGSFLCGKIELEWIDALERLKTIPLSETLKKLELSYIGLEEDYKEIFLDVACILKGWPKEITIKALESCGFHARNGLRVLEQKSLITISDNNLCVGMHDHIEEMGRNIVRRAHPDKPYKHSRLWISDEIEDILANDLGTKATRYIKFHNWKLNPHIIIKGLRKMKELRFLSMDLGYRLQCWEFDIVSPDFPNALRYLDLKHYPFSSLPETFQANNLVALEMVDSKIIQLWEVGERKVLNKLKYLDLSRSMLRTLDLGLTPNLETLNLGGCSNLEDLHIPIGCLKLMSINISMSRLRTLDLRFARNLKKLLLDKCFDLIDLHMPSCSNLEVLLLSRSKLRTLDIKLTPNLKYLDLNNCYYLEELHMANVCENLTYLEISHSKLRTLDLGLTPNLERLDLNTCSNLVELHTPIRCLQKLIYLDVSGCLRFRDFLFNLKCDASCSVEESLEVVPLAELHVVAKSLDQCPLHPDNDLPNFRFSYFYKEDHHSLTRNLEMLISIGMCACTNLETFSGSICGLQRLRKLKLEGSIQEAPKDLGQLECLEELIFLSTKINHLPESMCKLKHLKSLKLISCWFLEKLPEDLGGLERLEELTLFCTLIKDLPDSICMLKHLKSLELFSCSLLEKLPEEFGRLKLLEKLELSHAKITHLPDSICMLQQMKHIDLHNCSLLEKLPEDLGRLECLEKLIIINCKLLQNIPISICRMKCLKDFHLRYCIGIEKLPEELGSLDCLKELDIEGTIISHLPQSILLLKGLRIIGSRELLRSSGFTSEIRIQEHETSCYVVVG >cds-PLY74446.1 pep primary_assembly:Lsat_Salinas_v7:7:40283774:40284724:-1 gene:gene-LSAT_7X29241 transcript:rna-gnl|WGS:NBSK|LSAT_7X29241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAADPLLAMEETLDWLKMPHELMANIIRRSDAKEILNSALKVCTTWSRICKDPAMWRIIDMHEPGEACDEDYDLEALTKQAVDLSCGELIDISIQGFGTGDLLHYIVLRSSKLKSLCLKNCDVTGDELSDAVKRVPMLEKLHLSYISIDAYDIEVIGQNCSQLKSFMLNSKEFEPHPQTENDNDALAIANNMPELRHLDLFDSDITNKGLKAILNGCPHLESLDVRMCYNLDLDGSIGKLCMERIKDLKYADDSVDNSWFHYWMHEYDESYESDSD >cds-PLY83536.1 pep primary_assembly:Lsat_Salinas_v7:1:65178633:65180039:1 gene:gene-LSAT_1X55401 transcript:rna-gnl|WGS:NBSK|LSAT_1X55401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAENGEKSEVRDSPSVPASYLEVSCRSSSKIRRFSSGTEAGFALNLINRKLDEGLPQALYIEAVKEEEEPINFGPNSVLVSYGHGWKLRTVTETEGGPRARTRTRRTSNQESSSPGFDDMQSTKGLLPSVIGANYIAKVLLAFVLLFLFGAIFTLALENLPRFLISISSSI >cds-PLY90815.1 pep primary_assembly:Lsat_Salinas_v7:2:106323603:106326124:-1 gene:gene-LSAT_2X47601 transcript:rna-gnl|WGS:NBSK|LSAT_2X47601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPVNVWGNTALDAADPEIFDLIEKEKRRQCRGIELIASENFTSFAVIQALGSALTNKYSEGMPGNRYYGGNEYIDQIENLCRSRALQAYRLDPTKWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSTTGFIDYEKMEEKALDFRPKLIICGGSAYPRDWDYKTIRAIADKCGALMLCDMAHISGLVAAQEAADPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEDAVYDFEDKINFAVFPALQGGPHNHQIGALAVALKQVMTPGFKAYAKQVRANAVALGKFLMSKDYKLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLANITVNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEQIGEFLHRAIQITLNIQKEFGKLLKDFNKGLTNNKEIEALKADVEKFSGSFDMPGFSLADMKYKD >cds-PLY84148.1 pep primary_assembly:Lsat_Salinas_v7:6:187033275:187034555:-1 gene:gene-LSAT_6X115320 transcript:rna-gnl|WGS:NBSK|LSAT_6X115320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAVLHPQDCLNDNIFSTPVKSRRAPKPSPNFSNSSPNITRSEGRKRSPPTGVRRNKTATALVVDPPQTAVAKNLVMGQVKILKRGEPLDESSTVLKEMDDRKVSNGTATTTPTKEVVVDRKASKDPTASRRVLPTKKKSEKEKRSFSIPNPRTDIKIDDFALSSTNRLGPDPEMVPKQMKKNIAEFFFAGSAFVDSPPPSSLPLPGFFTKNFVTAAVKEDPSTDLRRILGLNLS >cds-PLY64124.1 pep primary_assembly:Lsat_Salinas_v7:1:1330589:1331188:1 gene:gene-LSAT_1X141 transcript:rna-gnl|WGS:NBSK|LSAT_1X141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLVGSRRKQNGVLDSLGKELKEIYRVTKENRATGILDNFVEGEKYAEHRLRKFVRNKSPEIMPFVNSFFTDPK >cds-PLY92671.1 pep primary_assembly:Lsat_Salinas_v7:8:155893721:155894381:-1 gene:gene-LSAT_8X104261 transcript:rna-gnl|WGS:NBSK|LSAT_8X104261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVECSRQSVSIPGGAATKENDSLIFELSSTEGLEVGLYLFRRVPHSRILVCGGDGTAGWVLDAIEKQSYVSPPPIAFLPVGTGNDVARVLNWGGSLGSVEKQGGLCMMLQHMEHASVTVLDRWKIYIANHRGRPLRAPKFMNNYLGTHNCFHLYA >cds-PLY83734.1 pep primary_assembly:Lsat_Salinas_v7:4:40841587:40842496:-1 gene:gene-LSAT_4X28400 transcript:rna-gnl|WGS:NBSK|LSAT_4X28400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKSHITTTTIRVLEAAPSPSPPPTTTTNGGGGVPACASCRHQRKKCTEKCILAPFFPAEKTQDFQAVHKVFGVSNVTKLVKDLTREDGKKAVDSLIWEANCRLKDPVLGPLGEFQRVSEELRVYKTQYQQANIHHHHLRQIPITQNGVLYNNKSGQQRLIGSWNGDNNGINNGAVLDYIHSNGIGNGGGIDNSRMFNYGSLQNMEKLKQERDQQQGSLIHPQQHMMNDFSQFY >cds-PLY72612.1 pep primary_assembly:Lsat_Salinas_v7:5:44541228:44541512:-1 gene:gene-LSAT_5X21200 transcript:rna-gnl|WGS:NBSK|LSAT_5X21200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNNDVVSWNSMISGYSRTRDIKKALHLFQEMPERNSTSWNVMLSDYVESDKNDSARSFYNSMSQRNTISCITMIGGYWVLKVWRYRIGASSIQ >cds-PLY99816.1 pep primary_assembly:Lsat_Salinas_v7:MU037944.1:641214:644054:-1 gene:gene-LSAT_3X53060 transcript:rna-gnl|WGS:NBSK|LSAT_3X53060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGYTIQHTLTPEAATVVKQALGLARRRGHAQVTPLHVASAMLASPTSLLRKACLQPNSHPLHCKALELCFNVALNRLPTSQSSPIMINPTQSHHPSLSNALVAAFKRAQAHQRRGSIENQQQPILALKVEIEQLIISILDDPSVSRVMREAGFSSTQVKSNIEQMEISISSPNPVSFSQSKENIKPKSLAKVQDEDVMSVIQMMMNRKRKNIVVIRECLASADAIVRGVIDKFETENNINLRFMQFVSLPLHSLNHLSREDIEDKVRELRCLVKSFVGRGVILYLGDLQWVSDYWSNHSERKLNRSYYYSPMEHMIMELSGLIFGVDSGKLWLMGIANSQTYMRCKTGHPSLETLWDLCPFTLPVTSLDLTLNLESGFSFISSKDSGNEMKMLTCCSECSVNFSREAARTISGYNRTNHVSMTTTTTGSTLPSWLQQYKEENSRQTSNDQGCEKVANLCKKWNSICSSLHKQPMASSPNLHPHHLTWPVIFETNRSPKEHQFFNGVDQCFEEPNPKTFMPELLSNPNSSPNSASCSEASEDDDHDDQYYLHKFKEVNSENLNILSSALERVVPWQREVIPEIASTVLQCRSGGMEREGKEETWLSFLGADNHGKDKISRELAKIVFGSRSNIVHIGISRFSATRADSTDDDQEFISNKRARDEHGQSYLERFAEALQENASRVFFMEDVEQVDYHSQMGIKKAIKTGVITLNGDQTVSLKDAIVIFSCENFNSISRACSPSLRRKYSDNDQEETIEDYGKDATISLDLNVATDDHKRSNDHKRSNEHGSVSDIGILDLVDKQVIFKLQML >cds-PLY92697.1 pep primary_assembly:Lsat_Salinas_v7:7:5287678:5291713:1 gene:gene-LSAT_7X4541 transcript:rna-gnl|WGS:NBSK|LSAT_7X4541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 7 [Source:Projected from Arabidopsis thaliana (AT2G45880) UniProtKB/Swiss-Prot;Acc:O80831] MATEMQRFGTSEEEDEEMGLDVKEEGENDEDDDDKNSTPPTMVGVDGGLMTSSRSNNRFQHQQQFQDQMTPQGGGRRCRPIEEKERTKLRERQRRAITAKILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQGVRPAAGVSTSSTSLPLQQNQATSLRGISSACPIEMDNEACQMKGLFVPSSAYDVSSSGRSQSSHMLGVEVDGQDDPLIGVSVDTVAGRQVVDMPSKLQERDFAGTPYVPVYVLLPLGAVNMKCEVVDPDGLLNQLRVLKSMNVDGVMVDCWWGIVEAHVPQEYNWKGYKRLFQMVRELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPDIFFTDRSGRRNPECLSLGIDKERVLRGRTASEVYFDYMRSFRVEFDEFFVDGVISMIEIGLGPCGELRYPSNPVKHGWRYPGVGEFQCYDRYMLKSLTKAAETRGHSFWGRGPENAGSYNSRPHETGFFCNAGDYDGYYGRFFLDWYSQLLINHADRLLSLAKFAFEGTFITAKLSGIHWWYKTSSHAAELTAGFYNPSNRDGYAPIMEVLKKHGVALNFTLAHMNINMDMDMDSSEALEDPDALAWQVMNAAWDSCIAMTSENPLPCVDKVSYNYILEKAKPMNDPDGRHYSAFTYLRLGQLLMDPHNIMEFERFVKRMHGEAVLEIHA >cds-PLY97206.1 pep primary_assembly:Lsat_Salinas_v7:4:94817512:94818886:1 gene:gene-LSAT_4X63061 transcript:rna-gnl|WGS:NBSK|LSAT_4X63061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSKGRTTPTHVYQQLEPSIEWVNEDDCNTLLVYLPGFTKEQLRVQLRSKTLIISGERKLHDNAWIRFRKEFIASEQCVISKISAKFEGSILFVKQPKSVAPMAEEEGKPPIEAPAPKPEKPIDETKKHQKRTRVEHDTKPTSTTESNDRNGVGQNAKKDSEKNVELKEQKGVCENASEKKVSDLGFVMKMKPSKNVVNLILVLVVGLLVGVYCSEAIKSCNA >cds-PLY86940.1 pep primary_assembly:Lsat_Salinas_v7:5:262742334:262745369:1 gene:gene-LSAT_5X133581 transcript:rna-gnl|WGS:NBSK|LSAT_5X133581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D alpha 4 [Source:Projected from Arabidopsis thaliana (AT1G55180) UniProtKB/Swiss-Prot;Acc:Q9C888] MEGKPKFLHGTLEATIFHATPYSPPFLLNCINPAGKPTYVTIKINHKKIASTSHESSRIWNQTIRVLCAHSINTTITITLKTKCSILGKVNIQADRLLQESSLIDGLFPVCMENGKPNPELELRLMLWFKPANLEPNWRKIIDKNVFHGVKDATFPLRGKCGVTLYQDSHHHPTFKPPCGSPRKLWEDVFVAIENAKYLIYIAGWSFNPKMILVRDSETEIPHAKGVSLGEILKRKAEEGVSVRIMIWDDETSLPFIKNKGVMRTHDEDAFAYFKNTKVVCKLCPRLAHNFPTLFTHHQKTITMDTRGHSSSSKREITSFIGGIDLCDGRYDTEKHSIFQKSNTDFYQTSISGAQVDRGGPREPWHDAHACIIGEAAWDIMRNFEQRWVKQFDPSLLIPVNKITDLGRESVTITERNWNVQVFRSIDHVSVCHVAKNFTIEQSIHNAYVEAIRRAERFIYIENQYFIGGCDLWEKEKDQHSGCRNLIPIEIALKVVSKIKAKERFAVYILIPMWPEGVPESEPVQDILYWTRETMKMMYGLIGDAIRDSGEGYHPKDYLNFFCLGNREVEKEGEYIPRYSPHHGTQYWNAQKHRRFMVYVHAKLMIVDDSYMLIGSANINQRSMDGTRDTEIAVGCYQSKDENVEKTDKRDVHGYRMSLWYEHTGRVEQVFQDPESLECVVMMRLFGERMWEIYSGDEVIDMEGAHLISYPVSITREGEVEDYVDSGGCFPDTKAQVKGRRSKVLPPIFTT >cds-PLY61722.1 pep primary_assembly:Lsat_Salinas_v7:5:215532723:215533373:-1 gene:gene-LSAT_5X99221 transcript:rna-gnl|WGS:NBSK|LSAT_5X99221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLKLKHHLPHGFHTRAPIISLNSINSTWLTRNFSQPAKQTQEHEEEEIEIDQKRLPTDYDPTTFDPTEHRSPPTDRVWRLVDEMSSLTLLEVAELSSIMMKKMGMKEPPVVAVMKPGAAGLAXAAAGTGGGQAAAKEEVKPEKSVFELKLESFEAASKIKIIKEVRSCTDLGLKEAKDLVEKAPVVFKKGVSKEECEQIIEKMKGVGAKVVME >cds-PLY63404.1 pep primary_assembly:Lsat_Salinas_v7:7:148078824:148080012:-1 gene:gene-LSAT_7X87720 transcript:rna-gnl|WGS:NBSK|LSAT_7X87720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVRYGVWAAMKNDTRIAASLLRLHFHDCFVNGCDGSVLLDDTKTFKGEKKAGPNRNSVRGFDVIDNIKADVERACPSTVSCVDILTLAAREAVFLSGGPNWAVALGRRDGVTANLKAANENLPTPFEPLANITAKFAVVGLDLRDVVALSGAHTIGLAQCFTFKNRLFNFKGTGQPDPNLDSSLASSLKTSCPNVDKSNTNLNSLDMVTTYQFDNAYYKNLVNNGGLLESDQALMGDPQSAAIVNDYSMYPYLFYNEFSASMVKLGNIGVITGQNGQIRRKCSQVNL >cds-PLY71576.1 pep primary_assembly:Lsat_Salinas_v7:1:116030443:116031504:1 gene:gene-LSAT_1X88080 transcript:rna-gnl|WGS:NBSK|LSAT_1X88080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGKVTKDENDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFAVGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWTFVALHGAFGLIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPRGNAL >cds-PLY62005.1 pep primary_assembly:Lsat_Salinas_v7:MU046195.1:753:1010:-1 gene:gene-LSAT_0X46301 transcript:rna-gnl|WGS:NBSK|LSAT_0X46301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVTENQVVKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCGTRSNHPLGGGGGGEGGGPSSPNMSVLTVQSANGASVKDSP >cds-PLY68655.1 pep primary_assembly:Lsat_Salinas_v7:5:156356509:156361806:1 gene:gene-LSAT_5X68301 transcript:rna-gnl|WGS:NBSK|LSAT_5X68301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDEELRRRKYEEALEVKSLRRIISAYLNYPEAAEEDVKRYERSFRRLPVSHKAHLAHLPLKYQKLRRCISKNTFFIFEMLKAFEPPVDMSQDDETCEHLDDDDGSCGHHHDHDHHHHHHSGDRDPCACESASVSGRPNPAICGEVCSGHEEKNENCCDPESANECTTNTHNDGCDNITDVKNQELFDSNKDISSVPHESESPDRLDSMPHFHVPLVDVDKVRCVIRNIVRDWATEGQKEREQCYGPILEELKRHFPNINKESPPTCLVPGAGLGRLALEISCLGFVSQGNEFSYYMMICSSFILNQTQVIGEWTIHPWIHSNCNSLSDSDQLRPVSIPDIHPASAGITEGFSMCGGDFVEVYSDPSQVGAWDSVVTCFFLDTAHNIVEYIEIISKILKQGGVSIKYFTCFLSSNIYTPNCIFLSLSTLFFTYCQVWINLGPLLYHFADVYGQDDEMSVELSLEDVKRVAINYGFELEVEKTIETTYTTNPKAMMQNHYFSAFWTMRKKSSTTSIQVSMDE >cds-PLY87393.1 pep primary_assembly:Lsat_Salinas_v7:4:12391844:12392218:-1 gene:gene-LSAT_4X8280 transcript:rna-gnl|WGS:NBSK|LSAT_4X8280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLSRSFQKLSSFPLSGNDVRSSSSISSPVKKPRKPTPKKPNSLHVDGLEGGGNSSSIKIQGNDHRDIRISDDASWYSGMLTSELKASVTNLKASDYIRRFHERNKHESVSMVLPPPPPPPVLR >cds-PLY79761.1 pep primary_assembly:Lsat_Salinas_v7:1:179775185:179783597:1 gene:gene-LSAT_1X118201 transcript:rna-gnl|WGS:NBSK|LSAT_1X118201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPSPNSINSGEDINYNVLHSIFYDCGPKITENQTEMKRLKEQLGQDYIVCRIDHISLQHKLDDHDQKFKVVGVALGGMMLGMLLLLVVVLHFLVKLWYIMKNMECGCGAEAIIRTSRATNNPGRPFYACPKKAELADYDPVRGTMVIRPYDYAIWEAIQNKNHNFTNNDVEDKSMKLHCYMDTRSSLSQGVLSQRRSSFQGNQFPLVVEAARKAPIRNMERMQKKLQHQLIGNAPNVEESVIAAFACKCCCRSDILENDTPDVAVTRLFASAKKDTSQYGDYGAFANCLQQLPPEGQIRLHLEPASSKSLPANRNGSITQKLRVTNTQHGKGILGPCASLEKKGALCSDTSIGQGGTTTWKVLQYTLAYNNVHKLTKEIAM >cds-PLY75965.1 pep primary_assembly:Lsat_Salinas_v7:5:247450171:247452754:-1 gene:gene-LSAT_5X124581 transcript:rna-gnl|WGS:NBSK|LSAT_5X124581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAPPTPAETLDETTTLLCHLLPASLSINSFPGRWQVLRSKLATLKSLLSELSYSTHWSENQLLITLLPNLLSTLRRIQTLCDRCTDSTYTAGKLLMQSDLDMAAGWLSKQLHDLDLLLRSGVLRQSNAIVLSQPAPGSAKEDLSLFVRDLFTRLQIGGVEFKRKALESLIQLLVEDEKAATLVAKEGNIGYLINLFDVNTHREQSVSAISILACASDQSRKTVFEEGGLGPLLRIVESGSLPLKEKASMAVEAITADPDNAWAISAYGGVPILLDVCRCGSLTAQSHAIGAIRNVASVEDIRASLGEEAAVPVIVGLLVSGSAAAKEKAANCISILASSGEYFRGMIIQEKGLQKLLQLLHQSSNPDTIEHILRAIHSLSSSDSVSRLLSTSSIFITQISGIIKQGNFTLQQISVSILANLSINDANKRAIAGCMGSLVKLLEFAKPAGLQDSAMKSLVSLLTIKPNRKEFVKDEKNMMRLVQMLDPTNESVPKKYPVAIVHALMTGGSNGCRKRLVDAGAHGHLQSLTEMNVAGAKKASQRLSGNRLKNIFSRTWRE >cds-PLY76768.1 pep primary_assembly:Lsat_Salinas_v7:4:187758304:187759783:-1 gene:gene-LSAT_4X108780 transcript:rna-gnl|WGS:NBSK|LSAT_4X108780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFAFKSFVGLRPSLPEKHHHHSLTTLPKSIAPSQAHYRRLNVVAAKTSPKITGRNLRVAVVGGGPAGGAAAETLAKGGIETFLIERKLDNAKPCGGAIPLCMVGEFDLPLDIIDRRVTKMKIISPSNIAVNVGQTLKPHEYFGMVRREVLDAYLRDRAAASGATIINGFFVKIDKPQEKKAPYVLYYNAYNGKRGSAGERMSIEVDAIIGADGANSRVAKSIEAGDYEYAIAFQEQVKIPEDQMHYYEDLAEVYVGKDVSPDFYGWVFPKCDHVAVGTGTVTHKPDIKKFQLATRLRAHDKIRGGKTIRVEAHPVPQHPRPRRVVERVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSENGRRMVDEGDLRSYLKKWDKTYWPTYKVLDVLQKVFYRSNPAKEAFVEMCSNEYVQKMTFDSYLYKKVAPGNPLEVLKLAVNTIGSLVRAHALNNEMVKIY >cds-PLY86410.1 pep primary_assembly:Lsat_Salinas_v7:2:148246510:148250022:-1 gene:gene-LSAT_2X73481 transcript:rna-gnl|WGS:NBSK|LSAT_2X73481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKAHPSQKLYTRMRLWEFPDQYIVEPTDGSSGSCLAVNRVNGSMSLVDEVPHCTMVRVPKIQTVFGVVGMLKLLAGSYLLVITDRESVGTYLGHPFFKVLSLKVFPCDHSLKNSPEEQKRVESEFSNLLKVAEKTHGLYFSYDVNITLSAQRLNDLGDESRLLPLWRQAEPRFLWNNYMLEVFIDNKLDPYMLPVIQGSFQTFQSAIGLEIIDVTLIARRCTRRTGTRLWRRGADADGYVANFVESEQIIQLKGFTSSFVQVRGSMPFIWEQIVDLTYKPKFDILRREEAPRVAERHFLDLRKKYGNVFAVDLVNTDGGEGQLTQQFANSVHNILSNDVRYLHFDFHRICGHVHFERLSILYEHIEDFLIKNRYYMLNEKGEIVEKQIGILRTNCVDCLDRTNVTQSMIGRKMLELQLQRLGVFDANETISTYPNFDDRFKILWANHGDDISIQYSGTPALKGDFVRVGKRTTQGILKDGWNALMRYYLNNFVDGTKQDSIDLLQGHYIVSVSRDLVSTAPKGGIEAIASLPLALFLITMGFFFAMMSLMRVGDDIWQLLFSLFWAGLSVGVASFVKTNGRIFCNRPRLHKPPR >cds-PLY82971.1 pep primary_assembly:Lsat_Salinas_v7:1:18918618:18920972:1 gene:gene-LSAT_1X16440 transcript:rna-gnl|WGS:NBSK|LSAT_1X16440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGLFSLGGGFGIGKDEEEQQHDHHNQEQEDSIITPNSLYLFKNEDIYSKGFELWQQYYQLHQHRQQNHHQQPPHYDLSVGGGCTGRRRSSTASGGVDDLCLNSNSSNNNNNNHHHLYASSWNFVDRSSGSGDETKVMRQTGYSIGGGDHGGGGSGSGGGGMNCQDCGNQAKKDCQHMRCRTCCKSRGFQCQTHVKSTWVPAAKRRERQQQLSSLTQQQQQQQQHHHNLGNQQLSLMMRGGGIDQNPKRLREDHQLMTTGGSGGGGGGVTLNLPSTHHHTTSSGFEVGHFPAEVSSPAIFRCVRVSAMDEAEEQLAYQTAVNIGGHLFKGILYDHGPEGRYNHPAGGGDSSSAGGAQHHQQLNLITSATMGIPAATSVNPHVTSIDPSSIYPAPLNAFMAGTQFFPPPRS >cds-PLY89853.1 pep primary_assembly:Lsat_Salinas_v7:4:323836676:323838148:-1 gene:gene-LSAT_4X161521 transcript:rna-gnl|WGS:NBSK|LSAT_4X161521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRRIGSHSSNAHRFVLPQLISRFSTDALSVEYKAGEIGTVSGIPDEHLQRRVLIYSPARTASQQGAGKVGKWKINFMSTQKWENPLMGWTSTGDPYANVGDSALSFSSEADAKAFAERHGWDYTVKKYQSPLLKAKAYSDNFKWKGLPKTPEN >cds-PLY78809.1 pep primary_assembly:Lsat_Salinas_v7:9:172395627:172397198:-1 gene:gene-LSAT_9X105880 transcript:rna-gnl|WGS:NBSK|LSAT_9X105880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANFPLINMEKLNGEERSATMELIKDACENWGFFELLNHGISHELLDKVEKMTKEHYKKCMEQRFKDMVAAKALEGLKAEVTDIDWESTFFLRHLPTSNISEVPDLEDEYRNLMKDFAGKLEKLAEELLDLLCENLGLEKGYLKKAFHGSKGPNFGTKVSNYPPCPTPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDGEWIDVPPMRHSIVINLGDQIEVITNGKYKSVMHRVIAQTDGTRMSIASFYNPGNDAVIFPALALLTEATEKEQSYPKFVFDDYMKLYAKLKFQAKEPRFEAMKGVEANVSLDPVATA >cds-PLY85540.1 pep primary_assembly:Lsat_Salinas_v7:2:195360557:195363311:1 gene:gene-LSAT_2X117520 transcript:rna-gnl|WGS:NBSK|LSAT_2X117520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHSKKFILYSFLCSLHLQFVICSSNTRHVDSFLISCGEAKPVELEDGRVFESDLGNNTHVNISPISHTIVSNSDMGVPKILSSARVFTSSSIYTFNTQKIGRHWLRLHFYPVENTEFDLNSAVFSVEANGITLIHEFSFFRGNHSFPLFKEYLIQITSSNSGKLVLGLLPWDNSIAFINGIEILSVPNKQFSSKVIPIPLAFAQELPTHVGFETIYRLNMGGPSLSPKNDSLWRKWESDTPFLINPAAVRNVSINPSLIQYHNGVSHEIAPNFVYATAQEMADARVNNQRFNISWLFQVEEGFGYFIRFHFCDIVGSKLHDLVFNVYINNQSAIESFDVSRQAKGLSSAYFIDFFTNLSIGSDKILVQIGPSHLEGYLPTALLNGLEIMKMSNPSDSLDGRLADYLESNDIDKSKKTRMTIVIYSCLGGGFLLLLLVLTSFVFLLCIRHKKKLKKKDSVTWSPLPTYVGNSGTKFSSNTFGSTTASHSLGQMISFSEVREATKNFDKKLLLGSGGFGKVYKGVLENGLVVAVKRGNPRSQQGLIEFKTEIEMLSKLRHRHLVSLIGYCEELNEMILVYEFMAGGPLRRHLYGSNLPPLTWKQRVEICVGAAKGLHYLHTGVAETIIHRDVKTTNILLDENLEAKVADFGLSKFGPSLNQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVMLEVLCARPAINPTLPREQVNIAEWGMNWQKRGELEKIMDENLMGSVNLESLRKFGETAQKCLSENGNERPSMGDVLWNLEYALQLQEVCNESGGGDGISDIPDRIPDVERVEEIDVSDLESNGTMRD >cds-PLY91235.1 pep primary_assembly:Lsat_Salinas_v7:3:76777453:76779003:1 gene:gene-LSAT_3X59560 transcript:rna-gnl|WGS:NBSK|LSAT_3X59560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLMNYGPWFGIMGAGLYSVTLADLKNAKHDISSNERTYQVGTEGESLGFDKTDAANSSLWTHGTELPTNQSLIWNKSIFIAPEGKGPLSLNLGSMGKGEAWVNGQSIGRYWSTYLSPSTGCTNNCDYRGTYNAQKCQKKCGQPAQNLYHVPRTLVHPGENLVVLHEELGGDPSKIYVLTRTGLKVCGHVLEDDPIPVEL >cds-PLY84411.1 pep primary_assembly:Lsat_Salinas_v7:8:77695306:77701779:-1 gene:gene-LSAT_8X55821 transcript:rna-gnl|WGS:NBSK|LSAT_8X55821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVSDITASATINGLSAILFLVLFGILRLQPMNDRVYFSKWYLKGIRENTKTTGPFVKKFINLDYRMYLKLLNWVPASLKMPESELIDHAGLDSAVYIRIYLLGLKIFVPIALLAFTVLLPVNYTDRNFDILITKVEDLTFSQIDKFSISNVPSGSKRLFVHIFMAYVFTFWTCYMLYREYKIVTDMRLHFLATEKRRPDQFTVLVRNIPPDVDESVSEYVEHFFRVNHPDQYLLHQVVYNANKLAKIVTQKKDLRNRLVYYTNKYERRPNRRPTTKAGFWGLWGKTIDAIDYYSAEIEKLSNKEVAERERVIGDPKAVVPAAFVSFKSRWGAAVCAQTQQTRNPTHWITDWAPEPRDVYWDNLSMPFMELNIRRVLMAGAFIGLTFFFMIPIAFVQTLANIESIEKVVPFLKPIIDGGTMKPIIQGFLPGIVLKIFLILLPMILMEMSKIEGYFTISTLESRAAGKFHLFLLVNVFLGSIITGTVLQQIQVFLKESPSEIPKIASVAIPMKATFFITYIMVDGWAGIAAEILKVVPLIIFHLKNIFLVKTEIDREEATDQGSLLWATYEPRMQLYFLLGLVYSTVTPILLPFIIVFFAFAYLVFRHQVINVYDQKYESAASYWPDVHRRIIIGLMISQILLLGLLSTKQALHSTPFMLVLPVLTFLFHRYCKSRFESAFRRFPLQDAMIKDTLENAREPHLNLRTYLRGAYIHPVFKGLELDTSMDVYEDDNPVVATTRRSHKGSSGELSSILVV >cds-PLY64520.1 pep primary_assembly:Lsat_Salinas_v7:6:36619159:36624129:-1 gene:gene-LSAT_6X28040 transcript:rna-gnl|WGS:NBSK|LSAT_6X28040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRQQRNGKSPSSGERIDFKFSNFQALQVPKVWDKLLVSIISVETGKTVARSNKALVRNGNCQWTETLSESIWISHDDSSKELEEHLFKFVVSMGSARSGILGEATVNIARYYTSSRSSAPLSLPLKKCNHGTVLQVKIQCLTPRTKLRVEGLKAEAMDESYDDALSRSNGSAASVDLSAKSSQSQELNPTSLLGKIKIMMQETSYQPSGSNQNNDSAEYSLEKERFYKNNHMNGIKNKLNGNSRNSSPRSNYPNEEDLSISKSNNSSFKSRITHDDQEEEYEESPPPVLPTSSMPNTGSSKNLLEAAEDTIEELREEAKMWERNSQKLMLDLEILKERFSDQSKNLTDSKMELSAARMERDGMKKEVDQLKRLVEVKQKVKVESAYKSESGSQLLKELEIELKAHKESNTDLSLQLKRNQESNIELVCILQELEETTETQRAEIEELLEVKSKFNDLEKSFNFNLVEIRSLQLNLQQMEESEKTLQANMQILEQALENKISDLENERISNSHTLSLLEKDYKTNLSIKEEEINNLESKLSEKTQSESQQMQEIQELQQKISEVEKECSELTNENLELLCEIKELKKKIQEKNVVIEEDQKVIKDYNLKIQELERLNEEQEDQISDLQKEKEELQENMEDALEESNITSKCLDNLRNDLMVLSSSVDSQVSANKLLEKKAFDLEKVKHEAELRLFEVEEENIRLSESLTSLESQLRRMKDELQESESVKFDLQNEVEKLYDIEKLLLEAQEECGILKSEKKKLLESSEGLIEECSNLEKLYEEMRKEKGELSEKCSSLEVELMEARGNLVISSERVASLEEKHSSMLEEYMFKEKSLSSHLDELNQENWKLKEKVTMEESLLNQMYLEKTSEIENFQKEVQHLQNEISKLHEQKSKVVSEKSKLESSLKEIHSRNESIENQLQTLHKESESKIQDLETDLIAIKESNKKLMTDHEKKSKVLFGYRIREERRKTMENDLELKLTVSEYERQQLIEEASKLKDKLQKTSNLDNEVMDHKRKLDKLKYEKNNLEASFRSLSSSFEEVKEEKISFLEKISTLEASVKEYEECKHEKNVLEEKIMQLEGDLMSKGASRSLDSEMKNELSRIKSANLQYQLKVQQIEGEKNECLKKVHALEEDLRLLSTKSGSKSGVHETHSQDDIDNVEKIEMLEAQLDEALDANNKYRAQLKRLKSEGRNSLSSNPGKSKVEGDLVTKERFERTKSSLETELKDLRDRYLEMSLKYAEVEAEREDLVMQLKTNNSTRRRFQFLESQ >cds-PLY80893.1 pep primary_assembly:Lsat_Salinas_v7:8:126013791:126014123:1 gene:gene-LSAT_8X88040 transcript:rna-gnl|WGS:NBSK|LSAT_8X88040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSHKSSEHDTITKRMNVIEEMAGMDVLCSDKIGTLTLNKLVVDNTQVEIFAMDCYKDTLILMGARASRVENQDAIDACIVNMLGDAKEARAWINEIHFLPFNPVDKR >cds-PLY65865.1 pep primary_assembly:Lsat_Salinas_v7:4:85136452:85141074:-1 gene:gene-LSAT_4X57360 transcript:rna-gnl|WGS:NBSK|LSAT_4X57360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKVRSLGLILFIIILHGAWFFELGFSVTVTYDSKALIIDGRKRILQSGSIHYPRATPEMWPDIIGKAKEGGLDVIETYVFWNYHEPVKGQYYFEGRFDLVKFVKTVHEAGLFVHLRIGPYACAEWNYGGFPLWLHFIPGIQFRTTNGPYQAEMKRFLAKIVNLMKEENLFASQGGPIILAQVENEYGDVEWAYGIGGELYVKWAAETALSFNTSVPWVMCKQSDAPDPIINTCNGFYCDEFIPNSPSKPKMWTENYPGWFLAFGYPVPYRPVEDLAFAVARFFEKGGTFQNYYMYFGGTNFGRTAGGPMIATSYDYDAPIDEYGFIRQPKWGHLRDLHMAIKQCEEYLVNADPTHQSLGINLEADVYYRTPDDCAAFLANYGSTLDANVTFNGKSYFLPAWSVSILPDCKNVIFNTAKVVAQKSVGDAATFVETEFSLSDSSWSWYVEKVGVWSNESFTDTSLVEQINTTKDTSDFLWYTTRIEADEKKDAFLVIQSLGHAALVFVNKKVVAFGYGYHDDAIFSINEKISLEQGSNTLDIISMMIGLQNYGPWFDTWGAGLYSVTLLDLKNAKQDISSNEWTYQVGTEGESLGLDKTDVANSSIWTHGKEIPTNQSLIWYKSTFIAPEGKGPLSLNLSSMGKGQAWINGQSIGRYWSAYLSPSTGCTDNCDYRGTYNAQKCQKKCGQPAQILYHIPRTWVHPGENLVVLHEELGGDPSKISVLTRTGQEVCGHVSEDDPIPVELWKPNSDSASQSPQLRLTCDQGWKISSVGFASFGNPKGDCGAGFTQGGCHIDVLSIVEQVCIGKQKCWVPVSTASFGDPCPLVAKSLAIEASCTA >cds-PLY73710.1 pep primary_assembly:Lsat_Salinas_v7:8:170160778:170161620:-1 gene:gene-LSAT_8X110981 transcript:rna-gnl|WGS:NBSK|LSAT_8X110981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWLSRKNSFNSSPSSPSRRNHTSSFNRHSFKNIEDLLIDDDMHVSTTNDLNNDHQHPFQKQKQPPSPIPNSRNCVFHRVRLANQLTKSLATVRLKPVSEKSPPELQNASPMYKSETSITIPGAEKKIVVYTTSLRVVRPTFEACRTVRSILQGFRVAVDVRDLSMDSSFKEELQKIMAQGGEVIQKNKVALPSVFLGGSYLGDAEDVRELCETGELKKLVERLPAVPRRVCEGCGDFRFIICNECNGSRKCYKKKGGFWSCTVCNMNGLIRCPACWTVNS >cds-PLY75928.1 pep primary_assembly:Lsat_Salinas_v7:9:199827235:199829056:-1 gene:gene-LSAT_9X123421 transcript:rna-gnl|WGS:NBSK|LSAT_9X123421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGEEEEDILQPLQQQQTPTRHSSSSSMASSITGDDSYTTISPMNSNFAALICKDILRTIFEKLPVVDLARSACVCRLWSSVASDREIQVRAFKAPWKLKGVIGNPSSGSFWRDNRLSRFAISHRLVRSDTVASLAVKYSVQVMDIKRLNNMMSDHGIHSRDRLLIPVSSPSLLINGTCYIELDAHAKREVAVLYLEGKPERRVTGVLNNVTSEQGKRRIIDSLRRSMQVDDGTAQYYLSLSNGDPRGAVMEFSEDLRWEREVRFA >cds-PLY87895.1 pep primary_assembly:Lsat_Salinas_v7:MU040310.1:340361:344251:1 gene:gene-LSAT_0X17581 transcript:rna-gnl|WGS:NBSK|LSAT_0X17581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTGVEEVSAMQKAPVGTPEGGGLIAVIIHEGEDLEGKHHTHPSVCVLYHGEEKRTKIASADVSTVVIALDCGFVPVLHGDAVLNESLVKLMEERNMKPLDSNLATLSTRCSKDLELNLAKSFLSEMGQCTTAYPYN >cds-PLY87502.1 pep primary_assembly:Lsat_Salinas_v7:8:96103870:96105247:1 gene:gene-LSAT_8X67001 transcript:rna-gnl|WGS:NBSK|LSAT_8X67001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKLLDRVPIPIKESLEEPRAKINVLSQEYISQLKLEGLSLTSDMVFITQVFIRKVIKLHGKYLAYVNDCFMNHPLFRKAFKEAFEIFCDKGVAGSSSAELLATFCDNILKNGGSEKLNDEAVEDTLEKVC >cds-PLY83623.1 pep primary_assembly:Lsat_Salinas_v7:9:101472052:101473055:1 gene:gene-LSAT_9X74581 transcript:rna-gnl|WGS:NBSK|LSAT_9X74581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVATVGKVLIALAVTIIVSLGWKVLYWVWLKPKKLEKLLRRQGYKGNSYKLLIGDIVELATMVKEARSKSIHPISHDISSHVMPFDHHVFNKYGKKSYIWFGPNPRIFIMDPELIKEILSRPDEFQKPHPEAFRDSIVGGLVISEGHKWETHRQIISPAFNVESMKSMFTAICSSCSEMIKKWELLTASTGVVDVDVWPYIDDFAGDVISRTAFSSSFEDGKKIFQIQKEQMDLAIQLLFILYLPGARYQ >cds-PLY68428.1 pep primary_assembly:Lsat_Salinas_v7:2:58507911:58508375:-1 gene:gene-LSAT_2X26340 transcript:rna-gnl|WGS:NBSK|LSAT_2X26340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTINDCLHKHQGFRLRLVGHSFGGAIASMLSIMIRKKTCDELGFSPDIVTTVGYRTRPCVSRDLADSCSDFVTTVCMQNDIIPRLSVATLMRLRKEILQTDW >cds-PLY96669.1 pep primary_assembly:Lsat_Salinas_v7:7:45860877:45863598:-1 gene:gene-LSAT_7X33880 transcript:rna-gnl|WGS:NBSK|LSAT_7X33880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFKTCMPTIKLSSCNAVKHTSSSSSLEIDVREEYANTFRTQSYIDFWTRVLDSTHGGSTINKTLSSVTAARLPSYRLFAENLLDPDQPTVTQILAMANHHAKNHTLLSDYFTETANASIVCGALLQDVDRLRSNYRSLKTALKSIETTKVSFISNFKIIQARLTDFSNSVNPFTVPKSSQGVQAVQLACFKLLKRLELRRDMAQMKLQRINKIKHGSAVTLVVLTVSLTVIIVTHGLAVIVAAPGMVVAAMGLGSSGKLAKLSAQLDVAAKGMYILNRDLDTVSRLVGRLNDELEHMKATTKFWLKRGHNRVQSVEEFGRQLKKTDLSFCEQLDELEEHLYLCFMTINRARNLVVKELCMN >cds-PLY94631.1 pep primary_assembly:Lsat_Salinas_v7:8:144875335:144878350:1 gene:gene-LSAT_8X97700 transcript:rna-gnl|WGS:NBSK|LSAT_8X97700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLSISPNPDRLIDGIFVSPRRQLLRRVAINSSPSGFNFLTKLTSAEKHRRNKVAAVRMSSISPASHSYDVVVVGAGIIGLSIARQLLIGSHLSVAVVDAAVPCSGATGAGQGYIWMVHKVPGSEKWELAMRSRELWEKFAEDIKYQGMDPQEVLGWKKTGSLLVGKTSDEMAVLKEKVDKLSKAGLKAELLSIIDLKEIEPVLVVGEEGGAAFLPNDYQLDARRSVAYIEKENRKYASEGRYGEYYNQPVTGLLRSGNGEVEAVQTPKYSLYSKKAIVISTGCWTGSLMQDLIRDSDIKLNIPVKPRKGHLLVIENFNSFKLNHGLMEVGYIGHQDAILQPNNLDSGAETSSISMTATMDTLGNLVLGSSRQFVGFNTEINEEIINKIWERAKEFFPSLRELLLKDLEKNREVRVGLRPYMPGGKPMIGPVPGLSNVFLAAGHEGEGLTLALGTAEMVADMVLGNPSKVDNAPYALHAHCS >cds-PLY82229.1 pep primary_assembly:Lsat_Salinas_v7:1:65837865:65841179:1 gene:gene-LSAT_1X56280 transcript:rna-gnl|WGS:NBSK|LSAT_1X56280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVRKLIVEVVDARNLLPKDGHGTSSPYVILDFYGQRRKTRVVARDLNPVWNEVLEFNVGKPSDVFGDMLEVDVNHDKTLGPTTRHNFLGRVRLNSQQFVKKGEEALIYYPLEKKHLFSWIQGEIGLKIYFVDDVAPPPAPPSPPPSEEVKAEPKSLEEAKSEADAAPKTDSEPPPVPEVAPTEPAPPSEASDDDAEVPDLDGIDPVEASTPMKQERFDHDQLRTSRSMPDIKIGGAIPIGPQPIPRTSSVSSFTTDVSDRFPIERSSFDLVEKMHYLFVRVVKARALPTPGNPVTKIVVSGCQVVSKPARKTMYFEWDQTFAFRRDAHDSASILEVSVWDPLISSSMSDVAGHNFLGGICFDATEIPLRDPPDSPLAPQWYRLEGGGAHKGDLMLATWVGTQADESFPEAWKTDTAGNPSSRSKIYQSPKLWYLRAIIIEAQDVPPTSSFQIKAQLGFQVQKTKSIATRNGTSSWNEDLMFVAAEPFSDQPLMLFLVEQRGPKETTVVGVASLPLASIERRVDDRIVVSKWLTFEDPNEEKRVYRGRIQVKIFFDGGYHVMDEAAHVCSDYRPTAKQLWKSPIGTIELGIVGCKNLLPMKSINGKGSTDAYAVAKYGNKWVRTRAISDNLDPKWNEQYTWRVYDPSTVLTIGVFDSCEAFGSDGQKESTRSDFRMGKVRIRISTLEMGKVYKNTYSLMLLNAVGLKKMGELELAVRFVRMAPTLDFLNVYSQPLLPIMHHIKPIGVVQQEILRTVAVKITAAHLARSEPPLRREVVAYMLDADTHAFSMRKVRANWLRIVNVLSGVIDMVKWFDDTRSWKNPTSTFLVHILLMMLVWFPDLIIPTLAFYVFVVGVWNYRFRSRAAPPHFDPKLSLAETIDGNELDEEFDMVPCTRSNEMVRLRYDKLRMLGARVQTVLGDIATQGERVQALVTWRDPRATGIFVGMCLVVAVILYLVPSKMVAVTFGFYYMRHPIFRDRMPSPALNFFRRLPSLSDRIL >cds-PLY87747.1 pep primary_assembly:Lsat_Salinas_v7:2:79035881:79039346:1 gene:gene-LSAT_2X35481 transcript:rna-gnl|WGS:NBSK|LSAT_2X35481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPAFPKTYKPVLTGKCPFNFSSISNIIEKTASDCSQLLAPLVANVICCPQFGSSLHIFQGHFTKSSDVLVLENSTADDCFSDIVEVLGSRGANSSVCSFQSSNLTGGSCPVKDVNTFERTVNTSKLLSACSVIDHLKECCRSVCQPAIAEAAFQISSLASNSDNTHVLNDCKGVVYSWLSRKLPSEAADSAFRLLAACKVNKVCPLEFKQPVEVIKACRNLGAPSPLCCSSLNSYIAGVQRQMLITNQQAIICASVFGSMLRKGGVLTDVYELCDVDLKDFSLQGCLLRSMPADVVYDNSSGFSFTCDLSDNIAAPWPSSSSMASFSLCAPEMSLPALPTSQTEYPGCNGFLLDVLIFMFVLFIVPLND >cds-PLY91357.1 pep primary_assembly:Lsat_Salinas_v7:8:47353431:47355370:1 gene:gene-LSAT_8X35220 transcript:rna-gnl|WGS:NBSK|LSAT_8X35220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-8 [Source:Projected from Arabidopsis thaliana (AT1G67970) UniProtKB/Swiss-Prot;Acc:Q9S7U5] MVKKSSETGGLTIAPFLSKCYEMVDDPSTDALISWSPTSDSFIVWNESEFTSELLPKYFKHSNYASFQRQLNIYGFRKIDTDRWEFANEGFIKGQKHLLKSINRKKVTHVTPHQKVTQEKAPESKLIHVTTHDENRYANLRKEVENLKTDKNALMQELVKQRQHQDNSQTKMLVLREQLKGMEKNQHQMLSFIVMAMQSPGFLAQLSTPVQTTWLKSESNSKTILKPVVEDTEDTEESVILPHEGAIVKYQPPVEFPQDGFEFELSSEEVKDLLKDFDPEVAFDERIESYDPFVIHDNGDDMLDQLLSSPFSEKNKSVKDFIDEEHGFEEIGLESMDRFRVLTEEFEKSLSVSTMES >cds-PLY84968.1 pep primary_assembly:Lsat_Salinas_v7:2:123986905:123988599:-1 gene:gene-LSAT_2X57060 transcript:rna-gnl|WGS:NBSK|LSAT_2X57060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGPLDVAQIWTISDGPCSSNLQKGPLDVAVLKHYTNDKSKDVTTLDWNGDGTLLATGELMSTLTKHKGPIFSLKWNKKGAYLLSGSVDQTAIVWDIKTGEWKQQFEFHTAPTDVNLCL >cds-PLY90700.1 pep primary_assembly:Lsat_Salinas_v7:8:143304110:143307934:1 gene:gene-LSAT_8X96880 transcript:rna-gnl|WGS:NBSK|LSAT_8X96880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLESMKDGEATVGGGVEDVYGEDRATEDQLVTPWTVSVASGYTLLRDPRHNKGLAFTERERDSHYLRGLLPPAVSTQELQERKLMQSIRNYEVPLHKYVAMMELEERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFKRPQGLYISMKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNQKLLDDEFYIGLKQKRTTGKEYYELLEEFMSAVKQNYGEKVLVQFEDFANHNAFELLAKYRNTHLVFNDDIQGTASVVLAGLVASLKLLGGSLADHTFLFLGAGEAGTGIAELIALEISTKTNIPVEETRKKIWLVDSKGLIVSSRKESLQHFKQPWAHEHEPLTTLLDAVKAIKPSVLIGTSGVGQTFTKDVIEALAAVNERPLIMALSNPTSQAECTAEQAYTWTKGKAIFSSGSPFDPYEYDGKLFIPGQANNAYIFPGLGFGLVISGAIRVHDEMLLAASEALANQVTQEHYDKGMIYPPLTNIRKISANIAAKVAEKAYDLGLATRLPRPTDLLKYAESCMYTPNYRSYR >cds-PLY98592.1 pep primary_assembly:Lsat_Salinas_v7:1:37641569:37644521:-1 gene:gene-LSAT_1X31380 transcript:rna-gnl|WGS:NBSK|LSAT_1X31380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEVFIGSIDQGTTSTRFIIYDKHAQAIGSHQVEFTQFCPQAGWVEHDPMEILESVKTCVTKAVDKATADGFNVDNGLKAIGITNQRETTVVWSKSTGVALYNAIVWMDNRTTSICRRLEKELSGGKSHFVESCGLPISTYFSALKLLWLLENVDAVKAAVEKGDALFGTIDSWLIWNLTGGIDNGIHVTDVSNASRTMLMNLKTLDWDESTLKTLKIPKAILPKIVSNAEVIGHIGKEWLTPGIPISGCLGDQHAAMLGQSCRKGEAKSTYGTGAFILLNTGEEVIPSKHGLLTTLAFKLGKDAPANYALEGSIAIAGAAVQWLRDSLGIIRSASEIEELASQVESTGGVYFVPAFNGLFAPWWRDDARGVCIGITRFTNKSHIARAVLESMCFQVKDVLDSMHKDAGEKGETKNEKGQFLLRVDGGATVNNTLMQIQADLLGSPVVRPADIETTALGAAYAAGLAVGVWTEEEIFANGERMKKDTTFNPVLNEELRKKKVASWCKAVERTFDLADLSL >cds-PLY88436.1 pep primary_assembly:Lsat_Salinas_v7:8:86540120:86542336:1 gene:gene-LSAT_8X59400 transcript:rna-gnl|WGS:NBSK|LSAT_8X59400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPERLTEYERKRLENIKRNEEQLASLNIRSKLADLCTSTKRHREQTNRPQKKAKSEIPIVTRRSLRNQGEKPESTGLIDNFYEVPEKPKSPKSKVAPEKSALKLKPLSMREVSVSSKPDEPLVKKILSVSEESRSKGVNKDAGIRVRSSIDLESMKLIPENIASVVTNKILSVKFFPSADMKTVVVGNTFGDLGFWNIDSETEDGDGIYTYHPHPAPISSICIHPFSINKIITCSYHGFIRTLDVEKEIFDLTYSTKQEIFSMSQTSDDVNSLYVGEGKGIFHVLDERSKSSSMTCNLHTSRINTIDFNPTNANLMATSSSDRTVCIWDLRKLGKNSNPNSIIKITRDKPVHSAYFSPSGNLLATTSTDDKIGVASGANFDDEFSVYHDNQTGKWVSIFKGVWGWDDSYIFVGNMKIGIDVISTKEKRIITTLKSPRMSVVPSRFDAHPLKPGMLAGSTDRGQVYVWSL >cds-PLY98696.1 pep primary_assembly:Lsat_Salinas_v7:1:45007178:45009821:-1 gene:gene-LSAT_1X39301 transcript:rna-gnl|WGS:NBSK|LSAT_1X39301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNSNNKNHTLLYLFATLLLTSLVLGTHRSHSNIIKSSCAITLYPDICYSTLSSTRNLATRKDVIKLAIHKTKEIIRENFITIKKLMVTVNLTKRGKIALHDCLEMIAGTLEDLDMVIRDLEAYPSNKSLQEQANDLKTLMSTTITNKETCLDGLSHDAACEHLRKSIIHGQDLGGKMCSNVLAMITDMTNIDIMANKLAESNVRKQKEEKLMRQPEWLSRKDRRLLWGKVMRPNVIVSKDGKGNYTTVAAAVKAAPFSSKSRYVIKILAGVYREYVEIPKNKTNLMFIGANRNNTIITGNMSVGGGSTTWKSATVAVNGKGFWARDITFQNSAGAALHQAVALRVSSDLSAFYRCGILAYQDTLYVTSGRQFFVNCMIVGTVDFIFGNAAAVFQFCKILARRPNPHQGNMLTAQGRTDPHQNTGLVIQKCKLGATSDLEQVKADFKTYLGRPWKTYSRTVIMGSLISDVIDPAGWSPWKGTFALDTLYYREYQNTGPGADTSKRVKWKGWGVMKNKIEAIPFTVGSFINGWTWLLSTGFPVWPLW >cds-PLY85919.1 pep primary_assembly:Lsat_Salinas_v7:2:189790541:189790954:-1 gene:gene-LSAT_2X110600 transcript:rna-gnl|WGS:NBSK|LSAT_2X110600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKKTAEKKPSTADKKPLKAEKKLPKEGVSSAGIEKKKKKSKKSVETYKIYLFKVLKQVHPDIGISGKAMGIMNSFISDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELSKHAVSEGTKAVTKFTSS >cds-PLY94783.1 pep primary_assembly:Lsat_Salinas_v7:2:178005778:178007588:1 gene:gene-LSAT_2X97741 transcript:rna-gnl|WGS:NBSK|LSAT_2X97741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSDCFPRFLKKHVDQLIFKTPSASLFEDMNDSIKIIRPDSSFSNLLELAANNDVDGCKQSITRIPSAINEVGLWYGRQRSSKRMLMEHRTPLMIAATYGSLDVVKLILSFTEVDVNSSCGLDKTTALHCAASGGSSNAFDVIKILLISGADPDLVDADGRRPVDVLLVPPNLPNLKISLEKLLKNDGFWVEQEDDFTCFKSNEKKEYPIDPFFPDLKSDAYSTDEFRMFAFKIRPCSRAYSHDWTECPFIHPGESARRRDPRKIHYSCVPCPDFKKGQCRRGDLCEFAHGVFECWLHPAQYRTRLCKDGTFCARRVCFFAHTPEELRPLYVSTGSAMPSPRSSPAAASTMSPPFSPPPMLQLHGGNLQASRLRLSFNARDVHAEELKLLLDLEMHQRQQAAVNLFSPVNTFSPKGYEYWGSPRGMEQQQPHLPPFSPQFSKWDYAKKVDWSVNGGEQAPARRLQVTEPDVSWVQSLVKEPPPPVAAVEPPETEKNDHAALGAWLENMQLDQIVA >cds-PLY73636.1 pep primary_assembly:Lsat_Salinas_v7:5:207702880:207705973:-1 gene:gene-LSAT_5X96300 transcript:rna-gnl|WGS:NBSK|LSAT_5X96300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLKEMLVGIVFDQPENLLLDSQGNLKISDFGLSALPAEYRPSGSSSLIYMSAGCQYPSNNLWNSQLCCTRGVLSHKGYNGALADVWSCGVILYVLMVGYLPFDEIHIGIEEIRNDEWFKKSYVPTRVVEYEDVNLDDVNAVFDDSEEEGGGDEQQTDEDACPLSLNAFDMIILSQGLNMSSMFDRGQMRVKGLSANKKSHFSVILEVFQVTSNFVMVDIQKAAGDGAEYLKISSGNHLTNNNSMGNPKSPKQRANTVRSLDKGQYLVQINWIKQQQIKQEILLVCDSPQSPAQERTSRIQVV >cds-PLY67108.1 pep primary_assembly:Lsat_Salinas_v7:4:243112738:243114602:-1 gene:gene-LSAT_4X130081 transcript:rna-gnl|WGS:NBSK|LSAT_4X130081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRAMEAIESQLQPAKKNRVVLGELSNNAVVSENETTISDLVKIQKRKCRSKKVKKTVKAVLETQDLGFEKIDEKFSDPQMCEPYVSDIYEYLHNMEIEAKRRPTPEYIEKVQKDVSVNMRAILVDWLVEVAEEYKLLSDTLYLTISYIDKFLSTTTLNRQRLQLLGVSSMLIAAKYEEITPPHTEDFCYITDNTYTKQEVVKMEADVLKALNFEMGNPTVKSFLRRFAISSQEVHEKPNLQMEFLGYYLAELSLLEYSCLKFLPSMVAASVAFLSRFTLKPRSHPWNPSLEQLSGYKASDLKECVQILHDLQSSKRAGNLLAVREKYKQHKFKCVSKLSSPSAIPDSYFEDVRES >cds-PLY66777.1 pep primary_assembly:Lsat_Salinas_v7:3:66079820:66080299:1 gene:gene-LSAT_3X51101 transcript:rna-gnl|WGS:NBSK|LSAT_3X51101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSATRRVQVFQKEVIVADILDTDVTTDDQPIPDTSGQSMTDDYEGFLDLGFMLQATVPVVILKVVYHDSYFEGEVPQGTNSDIDFDNDQLNPQNRKASFSGGAHDTEAGSSNVGNPSTPFHSKKSKNNKPILDLNNLAKIWDIYVDKVREIMIENNTII >cds-PLY96478.1 pep primary_assembly:Lsat_Salinas_v7:2:125086762:125090529:-1 gene:gene-LSAT_2X58440 transcript:rna-gnl|WGS:NBSK|LSAT_2X58440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFSWPYANINDLDDHIESIISKSLSFKEQDVRTVLRSFSFDDIRSSKPAKMRSFRSNSMIRGSLSFNGRERKKASTFKRSSTEKYQKPAKTTLENTLLEPETKRVDPETHGPEPPSPKSPMNRDLAALKLQKTYKSFRTRRQLADCAVLVEQRWWKLLDFAQLKCSSVSFFEVEKPETAVSRWSRARTRAAKVGKGLSKNEKARKLALQHWLEAIDPRHRYGHNLQFYYAKWLHCESRQPFFYWLDIGEGKEVNLERCSRTKLLQQCIKYLGPTEREAYEVIVEDGKFMYKHNKIIIDTTGESDDVKWIFVLSTSMVLYIGMKQKGKFQHSSFLAGGATISAGRVVIKDGVLKAVWPHSGHYLPTEENFDAFLTFLMQHNVDVHAVKKSPDNEEEEASFEIAGFGMRNSVSEPSIFEGIIQTNTKTYQRKNSRKDRCRNRVYLSTDLKEKITKLEIPKNEKVILAFQNQALEPEPESESESESESDRTTDYDTAEEFLSDFELMVSKKNLFDYGEEDGAYDEQHVTQEKIMKRINSHKENKSFQLGKQLSCKWTTGAGPRIGCVRDYPLELQARAMEEMCLSPKCSATSPKGSAMSPRRFGMLLETSSPVKKSPLGHGQNLEIAMVF >cds-PLY79654.1 pep primary_assembly:Lsat_Salinas_v7:5:252593949:252594724:-1 gene:gene-LSAT_5X127080 transcript:rna-gnl|WGS:NBSK|LSAT_5X127080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKSKGTGEIVEVEGGGRIVRSLRRKDRHNKVCTSKGPRDRRVHLSTNIAIPFYDVQDRLGYDRPSKAIDWMMKEAKTVIDALENYHHEHELLTSIVDTYEAFHRIVVSGTRRSPLSRKSVVLIQRVFYDKQHLKNRIKLKWELWRLESYVTVFVAVGGAIRKEGISSLRSSLDRGDPQMRFDGLLLQSNIKMNDVDLLDRGFDIVDMPYEDRRLVVVVICQNM >cds-PLY67675.1 pep primary_assembly:Lsat_Salinas_v7:4:1565397:1566824:-1 gene:gene-LSAT_4X1821 transcript:rna-gnl|WGS:NBSK|LSAT_4X1821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLNFVKNGVLRLPPGFRFHPTDEELVVQYLKRKAQSCPLPASIIPEVDVNKSDPWDLPGDLEQERFFFSTREVKYPNGNRSNRATVSGYWKATGLDKQIVTCRTNQNQVVGMKKTLVFYKGKPPTGSRTDWIMHEYRLALATQNPTTQGMENWVLCRIFLKKRGNGNKNQEEEKETIEPKSNPVFYDFLAKTKPKTADLNLLPASSSSGSSGITDASVYGCRETDEHEESSSNCDHVSTFRRKQWP >cds-PLY92358.1 pep primary_assembly:Lsat_Salinas_v7:3:125556552:125561676:1 gene:gene-LSAT_3X86121 transcript:rna-gnl|WGS:NBSK|LSAT_3X86121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENYLNQNFHAVKAKHSSEEVLQKWRGLCHIVKNPKRRFRHTANIVKRNEAAAMRRAQTGDYKVPDDVKAAGFDICADEAGALVEGHDQKKLKFHGGVEGLAEKLKTSTTNGLSVDTEGITRRQQVFGVNKFAESPQRSFWVFVWEALHDMTLMILAMCAFVSLIVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFRDLDKEKKKISIQVTRSGYRQKLSIYDLLAGDIVHLAIGDQVPADGVFISGFSVSIDESSLTGESEPVMISAENPWLMSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATVIGKIGLFFAIVTFAVLVQKLLNRKISEGTQWNWIADDALELLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMDDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKSCICLNAKDVNKEVKHGMPDKALKILLQSIFNNTGGEVVNNKQGKREILGTPTEAAILEFGLSLGGDFTAERQVGSVLRVEPFNSSKKRMGVVLKLPEGTIRAHCKGASEIILAACDKVVNANGDVIPLNEGSLKYLKGTIDEFASEALRTLCLAYIDLDKNVNSETPIPSNGYTCIGIVGIKDPVRPGVKESVALCRSAGITVRMVTGDNINTAKAIAKECGILREGGVAIEGPDFREKSLEELNDLVPKIQVMARSSPLDKHTLVKHLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNIVALLVNFTSACMTGSTPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRIPVGRSGNFISNVMWRNIFGQSTYQFVIIWFLQSRGKEFYGLYGPNSDLILNTLIFNSFVFCQLFNEVNSREMEKVNVLNGIFSNNVFMSVLGATAVFQVIIIEFLGTFANTTPLTMKQWYYSVLTGFLSMPIAVVLKMIPI >cds-PLY81635.1 pep primary_assembly:Lsat_Salinas_v7:8:219665072:219669316:-1 gene:gene-LSAT_8X134661 transcript:rna-gnl|WGS:NBSK|LSAT_8X134661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TPX2 [Source:Projected from Arabidopsis thaliana (AT1G03780) UniProtKB/Swiss-Prot;Acc:F4I2H7] MEESGDMMIDTDYEYSAPKFFDFILGETEDEMRVAQLWFDTALTYAPSPFMHKVKTTRAVELESLCDFREADSQKESSDSTISLNTIKKINLEPEKQRSTDTCKEDETSKDALNNTLEPEKQSEKTELDPPVESKEDVTSKDETNGHKKSLPNQLSVDQKVNDTPKPRVSSQTVSKNHQTARKIASALKNPSSLKSKSQSSQLKATKTPANQTNKTPNLFVQENQAIKTQKLEGGKTRQILNIKTQILPHKARPGFVTNGHNTNLPTTSRKEERKMYVRENAQIPFVSVAEMMRKFQSGTRDLNLPPRPSSISHGDSNNQIMQQRKSKLTLTRPKEPTFETTQRIRTIKLKSSAELEEELMAKIPKFKARPVNKKILEAPTLPAMKRSTPQVPEFQEFHLQTMERANQNAETSTVASNEAATQKVVHKWKPHLTTPKTPPLQTLVRARPPTIKTTEELEQEELEKAPKFKARPLNKKIFESKGELGLFCNKKRQVTIPQEFHFAIDERIPPPIPNVSELFDKLSLCSDSSAYQKPLPKLTAPNPFHLHTEERGAEKEKKFVMDIIHKQIEEEKARIPKATPYPYTTDYPVVPPKPEPKHCTKPEPFQLESVVRHEEEMQREMEERKRKEEEEAKMRLFKAQPILKEDPIPVPEKIRRPLTEVQEFELHVEHRAVNRAEFDQKVKEKEMMYKRYRDEAESAKMMEEEKALKQLRRTLVPHARPVPNFNKPFLPRRSSKGVTKPRSPRLKINERRKMVVASATSSAASNMR >cds-PLY68915.1 pep primary_assembly:Lsat_Salinas_v7:2:193340003:193342541:1 gene:gene-LSAT_2X114040 transcript:rna-gnl|WGS:NBSK|LSAT_2X114040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKGNWFSAVKKALSPSDSKEKKEKRSDKRTPKKSWFGKQRRLDKDFSQTEAAGLPYPATVTTAATRSPPTEDAKLTEAENEQTKHAYSVAYATAVAAEAAVAAAHAAAQVVRLTSTTRFVGKSTEEIAAIKIQTAYRGHLARRALRAVRGLGRLKSLIQGQSVKRQAISTLKCMQTLAHVQSQVRSRRIRMSEENQTFKRQFLLKHEKEFNTTRSSFGNSWDDSRKSKEEIEARLQNRQEAAVRRERAMAYAHSHQQTWKNAKNSTNPMFLDPNNPHWGWSWLERWMAARPWENQSISDKAPPVSHHSPARAPSSSGRVRPESQKGSVDEDTRSYYSVQSERNRRHSVASSVRDDESFGSSPAVPGYMASTKSTKARSRGASPSPSPLGSGRKGTSEKGSVAGSAKKRLSFSGSPARNTKKFPESPLKNSNS >cds-PLY81767.1 pep primary_assembly:Lsat_Salinas_v7:3:30472321:30475123:1 gene:gene-LSAT_3X22841 transcript:rna-gnl|WGS:NBSK|LSAT_3X22841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQENKSEGSEGPNPLSGKRDGADGEDMAPFKKRVRFLSSDDLTEVTNDKTESFGANHDDVTVASTSDLTEAGEENKKDIIPTQKISACTTCNEEKFSASLSQQAISESGDTGIEHGGDGAEKHEEESEELIEHTAAVGGSGSCSQTGTTVSGTTSGQDTEVGRLEEIQEAIMTNDGSNGSSAPRRKEQSKGDIFYRRRRPKQSSSSDKKPVQDKLTPEGESDNSGDGLQDSVLEENHIQITEGGTKRRVDTCSEDERHEEVQNAATEVGEMSQDDGGERGKDKMVPEGEDDCSGDAPDVFGEDEHIPKVGETKQEDSGVARSPTDPPIECGADHIDQALEVAVKDGHDGSSAPISGEDPSKVDNKLLEEDESEMIHDDDAFSKEETNTIIEDNNGGNGEGDSEQQGIHSATENDSEGNNNNSSAPEGEKTMEGGEKPLDYVVSTLLIHDGGHGCSDNILGDGKQAQEPELVTKHSGGSSRSFADTKAGSGEDDGHEQVQLAAMEDGGNENISNSEARVEEQSNKGKEPVEADHHEQEQQQTAIEDDGNENVADVVVSGEEVQDKKGKKPMEYSPSGDDPESGPDVGNKGQLDHQGTNLDANDGSGPSTFVVSSEGDHNNQIQQAAIFEDPDTEIINMNANANSTGYMAIQGDRQAQQDSMTTIDLGKRTRSIHEQGEASHDVGGDTPGQEARKKCKFGGDGGSTSALCFNGAGYNEPPLQKANRSFLLFGAPIPREQEPDDVAINSNDGLESGNDHVAGSEFVNGSPPTQQPTNGIQLFGVYITEEQVQPVARRVQPVARRGIQLFGAYMITGQPSVGMQNGDRGNRHGGGESSMQRPKSGLRLFGFDI >cds-PLY66877.1 pep primary_assembly:Lsat_Salinas_v7:7:17887763:17890362:-1 gene:gene-LSAT_7X14360 transcript:rna-gnl|WGS:NBSK|LSAT_7X14360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATFPIVNMEKLNGEERSATMNLINDACENWGFFEIVHHGISTELLDTVEKMTKGHYKKCMEERFKEMVASKGLEAVQSEIEDLDWESTFYLRHLPESNISEIPDLEDEYRKVMKEFAKEIEKLAENILDILCENLGLEKGYLKKAFYGSKGPTFGTKVSNYPPCPKPDLIKGLRAHTDAGGVILLFQDDKVSGLQLLKDGEWIDVPPMHHSIVINLGDQLEVITNGRYKSVMHRVIAQTDGTRMSIASFYNPGSDAVIYPAPELVNKAEEKNNIYPKFVFEDYMKLYAQVKFQAKEPRFEAFKTAMEDTIRVDPIATV >cds-PLY85341.1 pep primary_assembly:Lsat_Salinas_v7:5:2100599:2105029:1 gene:gene-LSAT_5X2260 transcript:rna-gnl|WGS:NBSK|LSAT_5X2260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G44730) UniProtKB/TrEMBL;Acc:A0A1I9LSV1] MDHGAAEVLSTEPSEEHFCLSLRNGLILCNVLNKVNPGAIPKVVEIPIIDTEGAAQTTIQYFENMRNFLVAVARMKLLTFEVSDLEKGGSSGKVVDCILCLKGYYEWRQSGGVGVWKYGGTVRITSTSFPKGSPSSLIGSESADESLDESESSQFEELLEYLHLSNEVSLHESKVSTALTFLFDHLGIGLLQTYLTETNELDDFPMNSMVIDIVLRKAVKDLSSLLISQGNQLGVFLKNMLKGNCKPLLKHEFLQAISNYIDQRSGLVSNELSKFCICGNKGKDISYLTDKTSVLDVQQKQLEELKASFHLTKLEVQQAHLTWEQELKKIAHHTKDLEVASSSYHKVLEENRQLYNQVQDLKGAIRVYCRVKPFVHEQSDEQHSTVDYIGENGNIMIVNRQKQGKEARKMFTFNKVFGGNTSQEQIYLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPDMTTEDTWGVNYRALRDLFELTEARMDVIKYEVGVQMIEIYNEQLNGLNVPDASLVSVKCTQDVLHLMRIGHRNRAVGSTALNERSSRSHSVVTVHIRGKEVVSGCSLKGCLHLVDLAGSERVDKSEAVGERLKEAQHINRSLSALGDVISALAQKSTHIPYRNSKLTQVLQDSLGGHAKTLMFVHINPEINAIGETISTLKFAERVASIELGAAKSNKETAQLREMKEEISNLKLLLEKKEAELQQLTSGNNNNTRGGGGGVISPVGMPRPRCNQTNPNQHCVDDINKLPPSEVRSCSSGKQRRPRLPSKFTDKDYIPKMPLLAEEGSQSPSTIRRSISTDRDRGAYMKIQYPIRASVNRSLAVATENRRGYLSSQDDKFSETTLNTHNHLPSRRKGNQEQEEEQMLNVVREGKINNPKNQVAVKISKSTSTTLELEEGELSSENENVQIHIPMKKLQRSSSRSHHHMEVRESLLITTGGRNENKPSNNNTSIPKLFRRSRSTPRGKFMLLP >cds-PLY81709.1 pep primary_assembly:Lsat_Salinas_v7:3:34496763:34500284:-1 gene:gene-LSAT_3X25661 transcript:rna-gnl|WGS:NBSK|LSAT_3X25661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYSSLSLSDMNKENIPVANTQCTTSRVTRARAKALGTSGVLLLPPLHPVVKVKQEHKQILQPKTKRASSDNNKSASTDVVPIVQSKRRAVLKEITNNPFNHSSIKLTDGIRLQISNEVKRCTSKKNDRVMMAVAVASSVCVGPQGKTTTEALNDESIIDIDSKHKGPQMCSLYATEVYSNLRTAELKWRHSVDYMKTVQLEITQEMRSILIDWLVEVCEEYGLASETFYLTVALIDHYLSKKNIGKRRLQLVGITSMLIASKYEEISAPRVEEFCFITDSTYTKGEVLEMEQEILDVISFQLSLPTTKKFLRRFLLAAQSSYKAPIIELEYLANYLAELTLIEYSFLKFLPSLIAASAVFLAKWTLDHDEYPWNGTLEHYTGYKASELEITVLALQDLQLNNAAPLHAIRQKYKQQKKTCEIGVVKCDELCAGIFWEFLYFLFWIIYHLSLDATFGACCKW >cds-PLY76171.1 pep primary_assembly:Lsat_Salinas_v7:4:55559132:55561026:1 gene:gene-LSAT_4X37680 transcript:rna-gnl|WGS:NBSK|LSAT_4X37680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRNIVRRLHPNDPRRHSRLFIHEEIEDVLANDLGTEATKSIIISEELRPEIIMRGLGKMKELSALHLHVDDMCYGSDYSWITEGWKFDQVSHYFPKALRYLCWRAYPYNYLPKTFQANNLVVLDMSLSGIVKLWKGEQKVLNKLRILDLGFTKLRTLDLGMAPNLERLILEACDDLIEIHVPNGCGKSLVYLNLDFCTRLRSLSFIKQLESVEVLDLNELSLWEFPDLIVDLRELKFSKNNIEELPSSIGNLHCLVSLDLSKNNIEELPSSIGNLHYLVSLDLSSCRDLKSLPESICSLQHLRHLNLKECAIEELPEDLGHLECLDWLNLSYTLIKHLPESFCMLKHLENLYLQHCDFIQNLPDDLGRLECLERLYLSFSKVREIPDSICKLKHLKQLLLEGCNQLKELPEKLGDLEALELLNVKGTCISHLPLSISLLKGLKICGFESKGQSIDTSIDDYQKHQILEEPSTKNHQKRGTTFFYLLVLLFCLQFKTNT >cds-PLY80121.1 pep primary_assembly:Lsat_Salinas_v7:5:17117092:17117862:-1 gene:gene-LSAT_5X7621 transcript:rna-gnl|WGS:NBSK|LSAT_5X7621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATMDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLLSKEATVGEKQFSSQGHQGNFSKNEGAGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIEPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGVNFNGNRQGYIPKSGARFQNQYYGLLLKSISLILYKKGTADLILYKNSRTDTYLSL >cds-PLY70868.1 pep primary_assembly:Lsat_Salinas_v7:9:16589815:16592117:-1 gene:gene-LSAT_9X17921 transcript:rna-gnl|WGS:NBSK|LSAT_9X17921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGVNSAAMQAEQLKLDGNLCFMKNRFGAAIDAYTEAITLCPNVAIYWTNRALCHRKRNDWTRVEEDCRKAVQLDHNSVKGHYMLGLALMQRKKYAEGIKALERSLDLGRGANPRSYMVEEIWQELARAKYQEWEHDSTQRSWDLQNLKECCEIALVEKYSLDVTQMEGFTDEITDSASQQLQALNSVFSKASEPDTPKEIPDYLCCRITLDIFRDPVIAPSGFTYERAVILDHLNKVGMFDPITRQPLRPSQLVQNLAVKEAVQAFLETHGWAYRMD >cds-PLY87479.1 pep primary_assembly:Lsat_Salinas_v7:2:134956967:134957636:1 gene:gene-LSAT_2X64540 transcript:rna-gnl|WGS:NBSK|LSAT_2X64540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTQSTETGGSSAPALMRRPSRSVAATVTTEVFDSEVVPSSLQQIAPILRVANEIEHERPRVAYLCRSYAFEKADRLDRSSSGRGVRQFKTTLLQRLERFETSK >cds-PLY66700.1 pep primary_assembly:Lsat_Salinas_v7:6:79946397:79961669:-1 gene:gene-LSAT_6X57180 transcript:rna-gnl|WGS:NBSK|LSAT_6X57180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTSFISLLFVVLISVSGAASNDGDFRGAVFGVNSKFSGKERSLSLFKAHDNLRHLQILASGVDLPLGGTGRPDAVGLYYAKIGIGTPPKEYYVQVDTGSDIMWINCIQCRECPKRGYHGLELTLYDPEDSFTGKLVTCSQDFCAEINGGAVTGCKANASCLYTETYGDGSYSIGYFVMDVVQYDSISGDLETKLANGSVIFGCGARQSGNLGSSEDALDGILGFGKSNSSIISQLASSGKVKKMFAHCLDGDNGGGIFAIGHVVQPKVNSTPLIPDQPHYAANLMGIEVGNQFLNISTNTNGSGDKRRTIIDSGTTLAYLPDAIYKPLVNEIVIAQPDLKLHTLHDQYTCFEFSRSVDDGFPPVTFYFENSLSLKVYPHDYLFAFEDYLCFGWQNNGMDSLSSSDTLVLGDLVLSNKLVLYDLENQTIGWTEYNCSSSIKLRDEITGSVHLVGYIKFLSLDPTFIAIIRGPYQVMAMDYRMPDNDVEGIDYMADEVDILDFVMDDDEVNGDEARFADDNEMVTDTSSAQARRGKDIQGIPWERLNITRESYRQTRLEQYRNYENIPLSGDAVDKKCKQKQKGGNYYEFFHNTRIVKPTILHFQLRNLVWANSKHDVYLMSNYSIMHWSSLSYNLTEILNFSGHVAPTEKHAGSLLEGFTQTQISTLSVKDDFLVAGGFQGELACKRLDKQGVSFCTRTTYDDNAITNAVEIYDSLSGGKHFMASNNDGGVREYDMERFQLMNHFRFPWPVNHSSLSPDRKLIAVVGDHLDALVVDSTNGKTVGSVEGHLDYSFASAWHPDGRVFATGNQDKTCRVWDLRNLSNPVSVLKGNMGAVRSVRFSSNGQFLVVAEPADFVHVYDTNLNYEKRQEIDFFGEISGVSLSPDDESLYIGVWDRTYASLLQYNKRHNYGYLDSFV >cds-PLY85340.1 pep primary_assembly:Lsat_Salinas_v7:9:111609857:111613870:1 gene:gene-LSAT_9X78621 transcript:rna-gnl|WGS:NBSK|LSAT_9X78621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:With no lysine (K) kinase 2 [Source:Projected from Arabidopsis thaliana (AT3G22420) UniProtKB/TrEMBL;Acc:A0A1I9LMD0] MNGGVASLNSDESEFVEVDPTGRYGRYNEILGKGASKTVYRAFDEYEGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHNNIMKFYTSWVDTANRNMNFVTEMFTSGTLRQYRQKHRRVNLRAIKHWCRQILKGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAARCVGTPEFMAPEVYAEEYNELVDIYAFGMCILEMVTFEYPYSECTHPAQIYKKVISGKKPDALYKVKDPEVRQFVEKCLVTVSLRLPAKELLKDPFLQPDDYGYDLRPIDYWRDFDGMPLINNHLITNSPPTDNYSNYLGYELEGNEIELFTTQEQDHLEDIDISIKGRRGDDDGIFLRLRIADREGRVRNIYFPFDIESDTAFSVANEMVFELDITNQDVHKIADMIDGEISCLVPDWKKGLSPLEESPHHENNGFCQNCEPNGSRINYLPARTTNGKNLLHCSRHHCAAIHGRFEEITYQFEGSEHCVTEGAPVVSSQSDGLQYSDIWAHHEGPEMGTSEYQSCTEDEPGEREVLKAVKLKVLEETETAPHEQQDFADDYENEIRQELRWLKAKYQMELRELRDKQLGLAPKVYSSSSKHTKEKSSSSIEHKDDDHLLKSFASGTHFVSFPINDETCEETSFEDNYLLPQALHRATSLPVDAIDG >cds-PLY82071.1 pep primary_assembly:Lsat_Salinas_v7:8:53745607:53746496:1 gene:gene-LSAT_8X39880 transcript:rna-gnl|WGS:NBSK|LSAT_8X39880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMETPNVEVWEMDDEVPQVEEIEAYKNYFCFMADDDKERSSLNQQVVRSAEYRVSYYKDELTTTQFRLEELRCNMAKLENGLAIKTEAHLTLVEQYEIVLNQRDIIAKDNREMYTQINTKTTSYKASKTLLEHNSHAILKGPKPSWIKYGLGYDEMNKEIMGLNTQPELLQCDPSLFTGDNEDKEEVVTCSPDVIATSFSSIPLEVTSSRDLSDLDTKSNSPVEFSLECPTMESFNSEDATSEKSNISDSKSSVKQPKAYDPI >cds-PLY86460.1 pep primary_assembly:Lsat_Salinas_v7:8:4346739:4349326:-1 gene:gene-LSAT_8X3301 transcript:rna-gnl|WGS:NBSK|LSAT_8X3301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPTSITPRSPFPTQLCSLRRTHILSVVSISTPSPPFLRFFSVRCNSSTPSPTTVNFKVPKENFVIKDDVAIENFEVEVGNPKFPSYPFPARLSLSDQAFFLLTFIACTATAAFTGFVMAAVPTLYAMSRAAISFAKLADTAREELPSTMAAIRLSGMEISDLTLELSDLSQEISDGVNKSAQAVQAAEAGVRQIGSLARHKTMSMIEERASLPVISIQPVVAGVAKKTSQAVGHATKTFMNIISGTDSTLDI >cds-PLY96925.1 pep primary_assembly:Lsat_Salinas_v7:4:9083762:9085144:1 gene:gene-LSAT_4X6320 transcript:rna-gnl|WGS:NBSK|LSAT_4X6320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYVGNLDPRVSERELEDEFRVYGVLRNVWVARRPPGYAFVEFDDRRDALDAIRALDGKNGWRVELSHNSKDGGGGGRGGGGRGRGGGGGDDLKCYECGEPGHFARECRLRVGPRGLGSGRRRSPSPRRRRSPSYGRRSYSPRYSPRGRRSPPRRSISPYRGRASRSPPPYRRARRDSPYANGV >cds-PLY68823.1 pep primary_assembly:Lsat_Salinas_v7:3:63115815:63117351:-1 gene:gene-LSAT_3X49340 transcript:rna-gnl|WGS:NBSK|LSAT_3X49340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRFRFCSRSLNVAIVALFLLSFPFMCFGIRYFPANGEMEVHSGSDVLFGYTEAPEYRNGVGCSRDSIHVAMTIDLEYLRGSIAAVHSVLRHASCPENVFFHFIAAEFDPASPRDLTRLVRSTFPSLNFKVYIFREDTVINLISSSIRIALENPLNYARNYLGDILDPYVDRVIYLDSDVVIVDDIQKLWNITLQKNRIIGAPEYCHTNFTKYFTDSFWSDPMMSRVFGSRKPCYFNTGVMVMDMEKWRKGNYRKRIENWMELQRKKRIYELGSLPPFLLVFGGNIEPIHHRWNQHGLGGDNVKGSCRSLHSGPVSLLHWSGKGKPWVRLDEKRPCPLDHLWEPYDLYKRNHHRHQSAVGSFNFVGYSNYFI >cds-PLY82139.1 pep primary_assembly:Lsat_Salinas_v7:1:14550804:14567137:-1 gene:gene-LSAT_1X13101 transcript:rna-gnl|WGS:NBSK|LSAT_1X13101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADTFSDSIDASAHAFNLILQGLTLIPIIHYEIAFVCFLIIFIYNFLEFHFFHDVLTLFRGSPVSLTYNSASEIYHGVASKCRLLHGRYMATPWLSSPHIQTTFLNFHGRPPAVNYRRKLFHASDGGTFALDWLKSSDVLGDSTCEESAALRHDKTPILIVIPGLTSDSSSAYIKHLAYSTAERGWDVVVCNHRGLGGISVTSDCFYNAGWTKDTRDVINDLHREYPNAPLFLVGTSIGANILVKYLGEDGEDVPIAGAVAICSPWDLLIGSRFICRRTVQKFYDRALTVGLQQYAKLHQTLFHRLADWDGILKSRRIRDFDNYATRLVGKFESVDTYYRHCSSAQYVTKVAIPLLCISTLDDPVCTWEAIPWDECRANKNIVLAVTRHGGHLAFFEGLTASTLWWVRATNEFLGVLHCSPFMHTQKKNPPHIGPESYVDQGPYVNVSNGMVAAITNDPSSPTDNVKLNEEDQFERQKVNDQSAAAADVLVVPDAKNVVSSSSGQTSVKAVDLNSIKKWLEQLFQQNRRSTWMLTYIAVISTLPLMGATAALYMLLFRKKFKQPKSLN >cds-PLY77701.1 pep primary_assembly:Lsat_Salinas_v7:9:18824995:18830809:1 gene:gene-LSAT_9X13800 transcript:rna-gnl|WGS:NBSK|LSAT_9X13800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKVCMNGLCGAKTTPQWKRGWPMKAGGFATLCYTCGIAYENVTYCERFHLNEPGWRECKFCEKPVHCGCVVSKYLHECLDLGGISCIKCIRARGTQALKPIQSYPTDIPNGFIPFSATWHSSVIGNRINGGASLEKGKLTQLSESIEKHHRQPSPSTPSLTHQIKQDENRLSSNKELNTIFPSASSLFGTPDHHNNSGRTDLGLKALYEAIPPQPSLSYSLGNNNNALTTTTKPSNANANANVSSSDGRETEKVAGFKQGQRSRVTFPKPSKTGTGSSNSQRSQSNKGAVSENRVARPPAEGRGRNQLLPRYWPKITDQELLQISGDLNSNCTITPLFEKVLSASDAGRIGRLVLPKACAEAYFPAINQSEGLPIRIQDIKGKEWTFQFRFWPNNNSRMYVLEGVTPCIQNMQLQAGDTVIFSRLDPGEKLVIGCRKATISAEIQEGETAAVNGVAGGTSGGNGNVATKNMEQEGMGNGDSAQVQRGAVVNVIQEKNKKTRNIGSKNKRLLMHNEDAMELKVTWEEAHELLRPPPTSKPTLSMIENCEFEEYDEPPVFGKKTVFTSHASGSQEQWGQCDSCSKWRKLPPDVLLPSKWTCSDNVWDPDRCSCSVPDEINTRDLERIFKLGKDMKKRKHPEGRAVEEQEPSGLDALATAAVLGESEFGESSAAGPTTRHPRHRPGCTCIVCIQPPSGKGKHKPNCFCNVCLTVKRRFKTLMLRKKKRLSDREAEVAQKALAASLNNGIGISEQNGNGNGIEVEVEVGESSSKGGGQRLDLNCDPDKEEEMTPVATSNNNNNASALTIEWERLLEVLVPCSQPPPKATTEMEVCPPAPVEIKVEGQPSSLAATDETPVPLPLVDVVEVEVEMEEKLVEEDR >cds-PLY76232.1 pep primary_assembly:Lsat_Salinas_v7:4:56652193:56662817:1 gene:gene-LSAT_4X39200 transcript:rna-gnl|WGS:NBSK|LSAT_4X39200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTPGFLTDWPWKPLRSFKYVVWAPWVVKSTYDFFTSDAKDRDYGLMLIFPFMLFRMLNNQFWISLSRYKTAKGKNRIVDKTIEFDQVDRERDWDDQIIFNGLLYYIGFYSLDESKQLPLWRLDGVIIVTLLHMGVVEFLYYWLHRALHHHYLYNRYHSHHHSSIVTEPITSVIHPFGEHIAYFTLFAIPLLTVTLTKTGSIVAFAGYVTYIDVMNNMGHCNFELIPKIAFSIFPPLKYLMYTPTYHSLHHTQFRTNYSLFMPFYDYVYGTLDKSTDTLHEKSLKQEDEAPNVVHLTHLTTPESIYHIRLGFASVASKPYTSPKWYLLMLWPVTMISMLITWIYGKTFVVERNVFKNLIMQTWAIPKYKIQYFMEWHRESINELIENAILEADVKGAKVVTLGLLNQAEELNGSGELFIRRNPKLKVKLVDGSSLAVAVVLNSIPKGTTQVVIRGNFNKVAYSLVLALCHKGIQVSISRSHNYQRLKSELELSGDQDKLITSRSCSQKNWLPRRVMSAWRIAGILHGLEGWNVNECGNEMFNIDKIWQASLLHGFTPLTTSFN >cds-PLY91987.1 pep primary_assembly:Lsat_Salinas_v7:7:90834815:90837112:1 gene:gene-LSAT_7X62820 transcript:rna-gnl|WGS:NBSK|LSAT_7X62820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLRHCHLVSLFGYCNYEKEMILIYEYIPNGTLEDHLHKLGTPLSWLQRLKICIGAARGLDYLHTGTGIEFGVIHRDVKSSNILLHERWAAKISDFGLSKIGPTNQPSTTVITGVKGTFGYFDPSYFTTGKLTRKSDVYAFGVVLMEVLCRKRAVDRTIDEDHWGLVMWAQDSIKEGNLKHIIDSGIRGQISIKCLKEFVQIAEKCLLRNPKLRPTMAEIVVGLNSVLASEEKTSSFFPPDDRKKLDIFPLPSNGENSGRTVVPLPSNGENSGISSFREPSHPCRQFDFPEILLATRNFHESLLIGVGGFGKVYKGNIINGSSVEVAAIKRLNTMSSEGASVFWAEIETLSKLRHYHLVSLLGYCNYEKEMILIYNYLPNGTLEHHLHKLGTPLSWLQRLNICIGAARGLDYLHTGMGIDFVVHRNVNTSTILLHENWEAKISSFALSKIGPANQSLTFVNTLIKGTLGYLDPDYYSTGRLTRKSDVYSFGVVLLEVLCRKRAIERSLDVETWALATWAQQCIKEGNLKHIIDFDIRDQISPYCLQEFIRLVEGCLHSSPKQRPTMAEVVGLLVHIRTIQEKMNSPLQLAHRKFFGRMFDNKRGH >cds-PLY88327.1 pep primary_assembly:Lsat_Salinas_v7:6:47669144:47672306:-1 gene:gene-LSAT_6X35360 transcript:rna-gnl|WGS:NBSK|LSAT_6X35360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SMAX1-LIKE 3 [Source:Projected from Arabidopsis thaliana (AT3G52490) UniProtKB/Swiss-Prot;Acc:Q9SVD0] MRAGGFTIQQALSSDAASIIKQAVTLARRRGHAQVTPLHVANTMLSSATGLLRTACLQSQSHSHPLQCKALELCFNVALNRLPTSSSSPMLGPNHSSQHPSISNALVAAFKRAQAHQRRGSIENQQQPLLAVKIELEQLIISILDDPSVSRVMREAGFSSTQVKNNVEQVVSLELSSQTPPPSASCNNIKSKNCSTIDNPHHNQDVMYVIDNLINMKRSRSLVVVGECVATIEGVVARIMEKVDKGDVPEALRDVKFISLPLFSFGKLSKGEVEQKLGELRTLVNGFLRRGVVLYLGDLKWITEYRTSSWGQGRGYYCPVEHMIMELGRLLCGINEEISGKLWVMGISSFQTYMKCRNGNPSLEIIWKLHPLTIPAGSLSLSLVPHSDMQSECGSKNGDNGGSRLMLENVEEKQLTCCVDCSLNFENEAKSSRSSSLPSWLADEKIVVNNHDQEFVSIKELSKKWNSICTSVHRNKPLFQRSLSFSSVSPSSPASCFTYNQENPNSNRTSIDRQLWDFTALEKNIESHVEVDTRQRFPSNVNSTPNSVSSSDVMEVDYIQKFKEFNGENLKILCNSLEDKVPWQKDIVPDIASTILKCRSGMVRRKDKINNNDTKEETWFCFQGIDSHIKGRIARELAKVVFGSHSSFIEIALSNFSSSPRVDTTDEPRNKRSRDEQSCSYLERFAEAVSANPHRVFLVEDVEQADYCSQMGIKKAIERGKLIHPKGEEVSFFDAIIVLSCESFSSISRTCSPPVKQKVQEEEEDEEEGSPCGSLDLNISFDEDHDEQSMSMDDIGLLESVDKCINFNSQDLSL >cds-PLY74771.1 pep primary_assembly:Lsat_Salinas_v7:6:118444096:118444676:-1 gene:gene-LSAT_6X70841 transcript:rna-gnl|WGS:NBSK|LSAT_6X70841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPCLGCIQVGQSTVVVKEYSGKFDKDNMFVTMVAFIQYHALYDKVIDALYKLSNNKQKVQAYVFDDWSLMAFS >cds-PLY86077.1 pep primary_assembly:Lsat_Salinas_v7:7:139551915:139553588:-1 gene:gene-LSAT_7X83160 transcript:rna-gnl|WGS:NBSK|LSAT_7X83160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKLPSTLLTWLVLSTCSLIFFYSKLLPLYPSSKPSKIPSSPPPTPCNLFSGKWVIDPRRRPLYDETCPFHRNAWNCIRNQRDNMNRINSWKWVPEECELHDIDPFEFMTLMRDKRIGFIGDSLNENFLVSLLCTLRVADMDAKKWKRKGAWRGAYFPKFNVTIAYHRAVLLAKYDQEINGVKGAYRVDVDIPAKEWAEIGGFYDVLVFNTGHWWGYDKFPKESPLAFYKRGKPIIPAPNMYEGLEIVLKNMVVYIEKEFPKTLKFWRLQSPRHFHGGDWNQNGSCLFDDPLKKFEVDLWFDPRNNGVNKEARLINELIIKALEETSIELLDLTHLSEFRADAHPATWLGKKDAVSVWGQDCMHWCLPGVPDTWVNVLWQLMKNRIGRR >cds-PLY63594.1 pep primary_assembly:Lsat_Salinas_v7:4:135173854:135176333:-1 gene:gene-LSAT_4X84001 transcript:rna-gnl|WGS:NBSK|LSAT_4X84001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLQSVIPMFLYSSSSSSKNLIEFEHLIAKRRNSSSSLSPPSELGMEMFSPAYYAACSIGGIFSCGLTHMAVTPLDLIKCNMQINPAKFKSISSGFGTLLKEQGIKGFFTGWVPTLLGYSVQGACKFGFYEFFKKAYSDISGPENAAKYKTLIYLAGSASAELIADIALCPFEAVKVRVQTNPGFAKGFSDGFPKFINAEGTAGLYKGLVPLWGRQIPYTMMKFASFETIVEMIYKHAIPIPKDQCSKSLQLGVSFVGGYVAGVFCAIISHPADNLVSFLNNSQGATVGNAVKEIGLFGLFTRGLPLRILMIGTLTGAQWGIYDAFKVLVGLPTTGGAPPIRKKTTRQTDKIE >cds-PLY92432.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:766915:770115:-1 gene:gene-LSAT_0X10560 transcript:rna-gnl|WGS:NBSK|LSAT_0X10560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQEVYSGGGGNGGDGAAPQLYFCYQCNRTVFIRPSPTASSELVCPNCNGGFLEEYENPNPRPETPNPFLAFEDPSPFSSFSSGFPLVFSTTSRAGAGSGDFQNPNDLSALFGGPMSRPGGVQSPGEFNPFAFLQNYLNTLRAGGANIQFVIENNTDGDPSGFRLPSNLGDYFIGPGLEQLIQQLAENDPNRYGTPPASKSAVENLPNIKITKQLLESDYSDCAVCKDSFELDEEAKQLPCKHMYHQDCILPWLELHNSCPVCRYELPTDDPDYENRSRQASSGGGSLGAAASGGGSQDNPLTPRTLERRFRINLPWPFTGSSTPAETSNSGAGNNNSGDSNQGSGGHARQEDLD >cds-PLY61759.1 pep primary_assembly:Lsat_Salinas_v7:5:302752701:302753391:-1 gene:gene-LSAT_5X164000 transcript:rna-gnl|WGS:NBSK|LSAT_5X164000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAVKDKGYDLIKTSIPLLDQVHDNVCLDADRCIDKVDAKLKAVVEKKVGRIIGLVGLAFSTTQKVRN >cds-PLY80428.1 pep primary_assembly:Lsat_Salinas_v7:4:361317637:361318304:1 gene:gene-LSAT_4X177540 transcript:rna-gnl|WGS:NBSK|LSAT_4X177540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKALFYYWITSNLFSLVYGLSKLIEVFWHESTGSVEQLKSTVDQPLTNSPLSKMLCNVYPGLHYFFFTEFGVVENPPLLGYLQSFLQLSSTILPSQAAKTVFDVFEQWNDGMESGILSSEDIGYLKKSIHKKKTAILPTVQDKWISLHESFGLLCRCDDEHLKKEFKNLNNVDFLCFGDLNLEEKQILWDKISVLL >cds-PLY72229.1 pep primary_assembly:Lsat_Salinas_v7:7:52764569:52765903:1 gene:gene-LSAT_7X38301 transcript:rna-gnl|WGS:NBSK|LSAT_7X38301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A1 [Source:Projected from Arabidopsis thaliana (ATCG00350) UniProtKB/Swiss-Prot;Acc:P56766] MIIRSPEPEVKILVDRDHIKTSFEEWARPGHFSRTIAKGPETTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIRPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITSELQLYCTAIGGLVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQVHVSLPINQFLNAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYADFLTFRGGLDPVTGGLWLTDTAHHHLAIAILFLIAGHMYRTNWGIGHGLKDILEAHKGPFMGQVHKGLYEILTMSWHAQLSLNLAMLGSLTIFVAHHMYVMPPYPYLATDYGTQLSLFTYHMLIGGFAIFMVIYYDLTTRYNDLLDRVLRHRDAILSQRSILIPTDRSFFWYKK >cds-PLY98153.1 pep primary_assembly:Lsat_Salinas_v7:1:141603601:141610598:1 gene:gene-LSAT_1X104440 transcript:rna-gnl|WGS:NBSK|LSAT_1X104440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQVNLKNYLIPLEEIKRATENFSQQRCIGGGGFGLVYKGQLSERWQNFIVAIKRLGPDSYQGEHEFRNEVEMISMFHHENIISFIGYCDEEHEMIIVYKYAVHGSLDRHLEDPNKMCHITWTQRLMICRGAARGLDYLHSGLGEHKRVIHRDVKSANVLLDDNFVAKVCDFGLSKFGARNLPNTEVFTKVAGTQFYVDPTYNESRILRKESDVYSFGVVMFEMLSGMLVYSERSIEDDRTEFLMNLVRRYDHNVVDKLIDPHMRDQIDSHSLDMFKEIAYQCISLNFTKRPTMDKVTERIQEALTIQDNVDTLILCATKYMGFSYGKPVAAFTIYKCLLHWKYLEAGRTSVVDRLLKLIDSAIKDQDNYDVMAYWLSNASNLLFLIYSGIKPDVSSIVSNGGGQTSLFRRITRIVGTY >cds-PLY96804.1 pep primary_assembly:Lsat_Salinas_v7:2:171047566:171050337:-1 gene:gene-LSAT_2X93881 transcript:rna-gnl|WGS:NBSK|LSAT_2X93881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRGIYHLLRGRLHSHSAAPPALSSLILRKDQNDTGSAGVKSLRAIALLGAGVSGILSFTTIASADEAEHGLESPSYPWPHQGILSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVEDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVTIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRKLVLDVVN >cds-PLY64603.1 pep primary_assembly:Lsat_Salinas_v7:6:34567169:34568745:-1 gene:gene-LSAT_6X25160 transcript:rna-gnl|WGS:NBSK|LSAT_6X25160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 8 [Source:Projected from Arabidopsis thaliana (AT4G34340) UniProtKB/Swiss-Prot;Acc:Q9SYZ9] MSNGGGVDDNEFDEKKNRVAADEFGRAVAKVAVAQICERVGFHSVNDSALASLADIAIRYVKDLGKTSKFYANVANRTECNVFDVIQSLEDLSSSVGFPGGSETCTNLIRSSSSMKEIMEYVEAIEEDELPFAQPIPTFPIVRDRKLTPSFLQMGETPEFKHVPDWLPAFPDPHTYIHSAVWNERTSDPRSDKVELARQRRKAENSLLTLQKRLLSKSPPTSSSSEVANGSSKKIRFGFGEKPVVLSDKHVNDVNLENHVSILEAFAPVIEALNSRVSESGENGETERDVLDKRPAVRLNFSNGRKLIGDSLDLRLWNRGTGRISSWFGRDDVMDEKKRRAEYILRQSMENRLDLAQL >cds-PLY83968.1 pep primary_assembly:Lsat_Salinas_v7:8:36764474:36767732:1 gene:gene-LSAT_8X29280 transcript:rna-gnl|WGS:NBSK|LSAT_8X29280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLHLILLAFFSITFATIDTCVGAQNCSEDELLALLKFKRSIKDDFKMLSSWVSTDCCSWKGVRCDDYTGSVVGLHLRGNITRSYSCNYGGNSYASTVEDNSLISENYYLVGEEVSSSLADLRHLKSLDLSGNDFHGIRIPEFIGSLKQLNYLNLSNTGFMGIIPHHIGNLSNLKSLDLNSLSDSQNLMVDDMAWISGLSSLEHLDLNGVDLNQAPNRHMVLYMIPSLTDLSLSCCGISNVDLVPYVNLSKILPNVINLDLSYNSIEGKFPSVLANMSSLSTLDLSGNSLSSSIPVIHGLLNLDLSYNNFEHIGIWKQCHLKSLIVWNNNLGLEMIGPSTNISTCPNYSFEILDLFGNGLNDLSLESLGRLTNLRVLNLGSNRLKGQIHEALGRLKLLRVLDLSFNQLEGPIPTFLGQLTELDLSYNHLTGPIPESFGNLVDLTVLKLESNQLMGPIPESLERLDSLQVFSVSSNSLNGTIPVSIGQLTHLNFLDVSNNSLEGLVSESHFANLSRLKYLDMSSNTNLTFHVSGDWIPRFQLKTFALGSCKISDEFPQWIQTQRNLDKLVLSNASISGALPTWLQQMPIIRFLDLSHNNLSGSLRNLPNGFTDEYGGSLYVQNNLFKGLIPRSLCKRTSLEILDLSRNRLTGKIPNCLENLKNLNMMLFSSNRLSGVIPRSLGHVSPSLSWLNLNDNSFTGEIPQDLGKLRALRVLDFGDNKFSGNIPKWIGENVTTLMVLRLHNNNFTGRIPQSLCRNSNLQILDVAYNGLTGSIPRCFGELNGMIEKREQDIRNGSSSSGETVIQGMKGVDLEYTKTLGLVFNMDLSSNKLTGEIPKELTSLSLLVGLNLSHNHLIGVIPDRIGNMKLLFSLDFSGNELSGMIPQTLAALNFLSHLNLSHNNLSGRIPTGNQLQTLTDPSIYVGNIDLCGAPLPKDCSYDYEDPRTITSNNKLEANDVSEIWFYLGAMCGLSVGFWGVIGVLVFKKQWRKKLYMFVEVTMDMVKVAVTIRVSKMKRGREAA >cds-PLY69683.1 pep primary_assembly:Lsat_Salinas_v7:5:211126901:211127949:-1 gene:gene-LSAT_5X95781 transcript:rna-gnl|WGS:NBSK|LSAT_5X95781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTIQIFRVVLDLQRNQKEKLKFSRKIKKADVKKEFPSLKNRCSSGLLLGVIQGLSREQKDCVRAMGFGSLLGMKMIDVLLKIVYYVLDHFDFESLKVEFDNCQISVDSKSVQEMLGLPSGGSLLSNMDYISENKEESCMFEWKKQYENIDKLRLKQLKNELVQTSAADDNFRINFLVLFINTLCESTSMGVVLGVLTASFGVTAYSLPRDQIGYWAVKIVTGVPEAIPIIGSPLVELLPGSASVGQSTLTRFYSLRTGGKGGTKLN >cds-PLY83736.1 pep primary_assembly:Lsat_Salinas_v7:4:42441983:42443179:1 gene:gene-LSAT_4X29341 transcript:rna-gnl|WGS:NBSK|LSAT_4X29341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRVRMSSHQPPVLKSGDSEVKSTPKFRLADVTDHLLESDSCFDPLLPGLPDDIALSCLLRLPVKFHSTGRTVCRRWYNLFCDKGRFFTQRKQMGFQDPWMFVFSFHKRTGKIQWQVLDLIHLSWHTIPAMPCMEKVCPLGFRCFSVSKNGSLFVCGGVASDVDCPLNLVLKFDVKSNHWTVMKKMMTPRSFFAGGVIAGKVYVAGGNSSDQFELNSAEVMDPKGGVWYPIANMGTNMACYDSAVLDGKLFVTEGWFWPFYVVPRGQVYDPRTDHWESMATGLREGWTGSSVVIYGRLFVVSEHERTKLKVYDSSHDTWETIKGPPLPEQICKPFVVNGCNDRIYVTGRDLFVAVGVIHRLNSSSTDENIKFAVQWQVVEAPEVFSDLTPSSAQILFA >cds-PLY89552.1 pep primary_assembly:Lsat_Salinas_v7:4:158128205:158132920:-1 gene:gene-LSAT_4X95121 transcript:rna-gnl|WGS:NBSK|LSAT_4X95121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVIYFSDREAECCSTHLIDGDGTFNAAGLDNFIKQVKLAECGLSYAVVAIMGPQSSGMNSKTRSQTTKGIWIARCPAIEPCTIVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMLRLFSPRKTTLMFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPEAHKHTPLSEFFNVQVVALSSYEEKEEQFKEQVADLRQKFFHSIAPGGLAGDRRGVVPASGFSFSAQQIWKVMVATVRCEEIANEKYSSFVTNENWLELEEAVQSGYVLGFGKKLSSLLNKTLSSYDEEATYFEDSVRSAKRKQLEEKLLQLVQPAYQLMLEHIKSGTLENFKKALIDALNGGQGFAVAARDNTAKFTTLFEEQCEDAIIKQSKWDSSKIRDKFSRDLDSHILEVRTAKLSELNALYETKLKEGLYGPVEALLEGGSDDTWAAIRKLLHQVTEKAVSEFSFALSGFEMDDEEKEDMILKLKNYARGVVEGKTKEESAKVLYRMKERFTSIFNHDNDSMPRVWTGKEDIRAITKMARSSSLKLLSVLAAIRLDEENDTIGDTLVLALVDPKKATNNKTTLSDPLASSTWEEVPPTKTLITPVQCKSLWSQFQKETEYTITQAIASQEANKRNNNWLPPPWAIAAMVVLGFNEFMTLLRNPLWLLVIFVSYLLAKALWVQLDISGEFRNGALPGILSLSTKFIPTVTNLLRKLAEQGERPVNPTAQTIQGSTSGFSSTASSDVTNENEMEYTSPTSRSHNKDL >cds-PLY77228.1 pep primary_assembly:Lsat_Salinas_v7:4:177999949:178001424:1 gene:gene-LSAT_4X104721 transcript:rna-gnl|WGS:NBSK|LSAT_4X104721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGTAIVIGASSSWARALVKISPYTFSAIGIAISIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPASQTYAPESMRAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPTNV >cds-PLY87364.1 pep primary_assembly:Lsat_Salinas_v7:1:97453580:97459123:1 gene:gene-LSAT_1X79240 transcript:rna-gnl|WGS:NBSK|LSAT_1X79240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g10380 [Source:Projected from Arabidopsis thaliana (AT4G10380) UniProtKB/TrEMBL;Acc:A3KPG0] MVVQRILKVKVNLGKGKRAVDELAGIVVGATVMLNFLIVRPLTGASMNPVRTLGPAMAANNYKGIWFYLTAPILGALAGAGIYTAVKLPKEDDVLMAISSSFHDPRFYFEASQALLVKSNILRFSGFVWHENEEKQKAKVQEKLDKYTKEMLFEFCDLLDIPIVKTSAKKPNKGSKLKKSASTSSKIVSVCLVEKNMNPQSCLNIIIKGMVGELVGIDVGATVMLNILIDGSLTGALMNPTRTLGPSMAANNYKGILIYLTAPILGALAGAGIYTTVKQPKEDDV >cds-PLY81673.1 pep primary_assembly:Lsat_Salinas_v7:2:25375537:25376167:-1 gene:gene-LSAT_2X14440 transcript:rna-gnl|WGS:NBSK|LSAT_2X14440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLELHYAPRSSLAVSPELCSNDVFYSLYNYFVLLLLSLLRRHHSSCISHSLFPQTNPRIPPPNPQTLPPIDISSPRSRRRRGEGYRDTQKLVEYLQDKIGVTAASFAPAAVVAAPGAGAEAVATVEEKTEFDVMIDEVPSNARIMTIKAIRALTSLALKEAKELIEGLPKKFKEGISRDEAEEAKKQLEEAGAKISIV >cds-PLY74148.1 pep primary_assembly:Lsat_Salinas_v7:9:13853785:13856517:-1 gene:gene-LSAT_9X11260 transcript:rna-gnl|WGS:NBSK|LSAT_9X11260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTSCCHSFVAFILKFLIFFQTFLGISIIVYSGYMLNQWEKHLPIPSPPPSPSPSPSPSSSPSPSPSPSPSPSPSPSPSPSPPPSDLAPTPSPDSPESVFSIFNTGRVSNQVIHLNLGTGTIDSFYGGIKLDSNPIPAPWFIYAFMGLGVVLCCISCIGHIAAEAINGCCLCCYTILKVVLILLEVALVAFIALDHRWEKDLPQDPTGEIDSIRGFIEDNIDICKWVGIAIIVIQVVSLLLAVVLGMMVSSEKKKKDDDVEEGSVSGSRGKAWEPLLNSNSNQASSSTSTSGGDAKAFKSDIWTSRIREKYGLNSNQSAALDRKV >cds-PLY62013.1 pep primary_assembly:Lsat_Salinas_v7:5:116330840:116332185:1 gene:gene-LSAT_5X51381 transcript:rna-gnl|WGS:NBSK|LSAT_5X51381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein CONSTANS-LIKE 3 [Source:Projected from Arabidopsis thaliana (AT2G24790) UniProtKB/Swiss-Prot;Acc:Q9SK53] MAATLCDSCKTTSAALFCRADSAFLCIPCDGKVHAANKLASRHARVWMCDVCERAPASFTCKADAATLCVTCDREIHSANPLARRHERFPVVPFYDPATAVSKVGGGGDNDNDNNDHHFLLDFDVNVAGTEAEEAEAASWLIPDPNINKIVDGSEDDNKSEYLFNEIDPYLDIDLKTPDQKANQIIRRYNSPTDGVVPVQNKIENRHYQMPPHAHASSPDVVEGLPAYDVDYTGSKPFMYNFTSQSVSQSVSSSSLEIEVGVVPDHNANATMADVSNNHHHPSLPEVYPTPVSGFDREARVLRYKEKRKNRRFEKTIRYASRKAYAETRPRIKGRFAKRTEIDLEVEDTFISPDSSYGIVPSY >cds-PLY82397.1 pep primary_assembly:Lsat_Salinas_v7:2:184931887:184936307:1 gene:gene-LSAT_2X108280 transcript:rna-gnl|WGS:NBSK|LSAT_2X108280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGNGNGNGKSLERMVSLKALQMGNSLSCQICVIGFLCGVCLTSLFLAALTSFDFAAAPNSTSTSYFLNAFTGRESDSKPQAVEKMLISQNIIAVRVKDPINSIYSAWSAILNKSNSGEDLFSQNTATDGSKVHRPPHLENCKSTSEVNRLVDKHTENGELPPWTMWKGFLSSYPLSTKDEQQGYNGHQINSKAAYPPWIKGSDEDNYPLTREVQRDIWVHQHPVNCSDPNVRFLVSDWERIPGFGMGAQFAGMCGLLAIAINEKRVLVTNYYNRADHDGCKGASRSSWSCYFFPETSQECRDRAFELMNVKEAWEKGIITVKENYTTKQIWTAPIPRVWGSPWSYMQPTTEINGKLIGYHRKMDWRWWRAQALRYLMRFQTEYTCGLLNVARHSAFGWEAAELVHSAHVSESIQDDMKGSRSGIEEYVWSNHEPWTPRPLLSVHVRMGDKACEMKVVQFEEYMRLANRIRHRFPDLNRIWLSSEMKEVIDKTKIYGNWKFYYSNNVRRQVGNMTMAVYEASLGRETSTNYPLVNFLMATEADYFIGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >cds-PLY76402.1 pep primary_assembly:Lsat_Salinas_v7:8:84161504:84161945:-1 gene:gene-LSAT_8X59261 transcript:rna-gnl|WGS:NBSK|LSAT_8X59261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVVSMEFHSSTGEHYVVGYDYHWRLPSAESFYLVWSRLHTFKSNDVLVFIFNNTIHNVAEVTKEAYEHCNGTNPISLHTTSPTELTIKNMDNHYYICTIGDHCKKGQKVGIEVKDSPN >cds-PLY75061.1 pep primary_assembly:Lsat_Salinas_v7:9:21601049:21603367:-1 gene:gene-LSAT_9X19540 transcript:rna-gnl|WGS:NBSK|LSAT_9X19540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGHGGGFPNYVNLHKHQHVPPPLTAIGRFLQGQSLENPFSHQNFEMNKETFIPSNGVCGFSSYSDGGIGGLYDECEVPLVPCFSMEKILAPDRGAFSKSYRNIELTNEVIKSGKKMMKSKGCGRKCLIKGQWTDEEDRKLLRLVKQHGVRKWAHIAEQMTGRAGKQCRERWHNHLRPDIKKDTWSEDEERKMVEAHRKVGNKWAEIAKLIPGRTENAIKNHWNATKRRQNSRRKSKKNDTKNTKSRPSVLQEYIKSKGTTNTSDHNLASITTTMVAATPGSSTTISSDDPSIKFNALFTELPNSNSDDSPSLDITQSYDDELTFMQSFFGDSNPIRQDTNTNSNQSSIYVKDPKSYLHTNPLGFSDNSQYGFGSSSSISNESSVHFNPESTLDLTSLGFTDISQYGFASSSSIPHDENSGSYLDKVDSSKNQLASDVYISYLLEGATTLTNCSDYGYHKDTKRDMVFDAEQSAVSGSSSNGKKEMDLVEMMLSSQFSQGSNFLI >cds-PLY74467.1 pep primary_assembly:Lsat_Salinas_v7:7:40498879:40499948:1 gene:gene-LSAT_7X32621 transcript:rna-gnl|WGS:NBSK|LSAT_7X32621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPHDVMVDIIQRLPTLEILNSARKVCRTWQRICKDPAMWKVIHMNTLYDGEDLEILTKHAVNLSCGELIDISIEYFGSNDLLSYIALRSSNLKCLSLTNCFHMTGSGLSRAVRKLARLEKLHISLIDINEEDIEVIGKNCSQLKSFDMSKPFRGRFKCDYHAFAIACYMPQLIHLKLFDNEMTNDGLEAILYGCPHLQSLDVRMCDNLDLGGNLGKLCMERIKDFKHSSTQNRGFDVQIYDYADVDDFY >cds-PLY93507.1 pep primary_assembly:Lsat_Salinas_v7:5:325444751:325447473:1 gene:gene-LSAT_5X179560 transcript:rna-gnl|WGS:NBSK|LSAT_5X179560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative kinase-like protein TMKL1 [Source:Projected from Arabidopsis thaliana (AT3G24660) UniProtKB/Swiss-Prot;Acc:P33543] MAVSVSVSSLFISPIFSFFFFFFTLAISTDVDLLLKRIKPSIQGETENLLLNSWNTSVPLCQWRGLKWVFTDGSPLTCTDISSPQWSNISLSKDPSLHLRSLQLPSANLSGTLPPELGELSTLQSLYLSVNSISGSIPLEIGYSSSLSDIDLGNNLLTGSVPASIWNLCDRLISLRLHGNLLSGSIPEPALPNATCSTLLYFDVGQNKFSGIFPGFIPGFKGLRELDLSSNNFSGKIPDELAILSLEKLNLSYNNFSGVLPNFGQLKFGVDSFEGNDANLCGPPLKRCKQTSGGLSSGAVAGLVIGLMTGMVVFASLLIGYSQGRKKKTFDEEDEEYDDGEDDENGDGSGEGKLILFQGGEHLTLEDVLNATGQVMEKTSYGTIYKAKLADGGTIALRLLREGSCKDGGLCLPAIKQLGRIRHDNLIPLRAFYQGKRGEKLLIYDYLSNRTLHELLHESRPGKPVLNWARRHKIALAIAKGLAHLHSTIETPVTHGNVRSRNVLVDDFFVARLTDFGLHKLMVPAVADEIIASAKSDGYKAPELQKMKNCNSRTDVYAFGILLLEILLGKKPGKSGGRDGFVDLPAVVKVAVLEETTMEVFDIEVLKGIRSPMEDGVVQALKLAMGCCAPVASVRPSMDEVVKQLEENRPRNRSALYSPAETRSEIGTPF >cds-PLY86491.1 pep primary_assembly:Lsat_Salinas_v7:8:134457849:134460375:-1 gene:gene-LSAT_8X91121 transcript:rna-gnl|WGS:NBSK|LSAT_8X91121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLSSWSSAAIYLPENYVFPVQSRPGDATVPFCNTLPMIDLNTAFAGGRQDAVQQVLEACQDFGVFQVINHGVDEDLVIDTMRVVKEFFDMPNEDKANVYSEDPNKKCRLYTSTYDYENEKVHLWRDNLRHHCHPIDEFLHLWPQKPARYGDVVKKYSLQVGDLSSRILELICEGLGLGPTYFGDGLTGVQLFSANHYPPCPNPNLALGLPKHCDANLITFLLQDDISGLQLYRNGEWLGIEPIPNAFVVILGHQAQVISNGKLTSPEHRAVTNSTDHRTSIVYSINPKPDSIIEPAKALINDSNPPLYRAFQFKEFLKTYEVKKDYNEGALEDFKIKA >cds-PLY80232.1 pep primary_assembly:Lsat_Salinas_v7:6:124874870:124881746:-1 gene:gene-LSAT_6X74120 transcript:rna-gnl|WGS:NBSK|LSAT_6X74120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHNDDCCASISKYFRYGQEDQLERDKINRPIEEVFQLRKLLPISLPYNINVHIMDFQPGKFLNVKMCILSSVLDKCLRLLGFESAAPCDTSCKLSYLLLVGGL >cds-PLY76231.1 pep primary_assembly:Lsat_Salinas_v7:4:53078461:53081793:-1 gene:gene-LSAT_4X36301 transcript:rna-gnl|WGS:NBSK|LSAT_4X36301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVLFTFINMGSFCTKLIPCLVSPHKASILEDPNGGNEEEAGNLPAFKEFSFEQLKNATSGFATENIVSEHGEKAPNVVYKGKLENQVRIAVKRFNRSAWPDSRQFLEEAKLVGQLRNVRLANLLGCCCEHDERLLVAEYLPNNTLAKHLFHWESQPMKWAMRLRVVLHLAEALEYCTSKGRALYHDLNAYRILFDEEGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSNDDGTELVRLASRCLQYEPRERPNPKSLVASLIVLQKETDVPSYLLMGIPATTSFSPRSPLGEACLRMDLTAIHEILETISYKDDEGQTNELSFQMWTDQMQESLNSKKKGDMAFRHKDFKDAIECYSQFIEHGPMVSPTVYARRSLSYLMNDMSQPQEALSDAMQAQVVSPVWHIASYLQAASLFALKMENEAHAALKEAATLEAKRTSPSTNGSAQ >cds-PLY97186.1 pep primary_assembly:Lsat_Salinas_v7:5:153077872:153080737:-1 gene:gene-LSAT_5X67500 transcript:rna-gnl|WGS:NBSK|LSAT_5X67500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGYDRRRVAGRKPVNKATNHRPKALGVEKKPKAKLVSLKRQIRSTERLIRKDTRPEVQEALTKKLEGLKNQQQLLDNLALEHKIFLRYRKIKFFERRKVERRIRRLEKQQRAASGQAQEADLADQLSKLKEDLEYIRFFPKAEKYVSLFKKGDNTETVNKRNALRTQIKANIAAAIASGKDLEETGSEDDGLDLSEDDFFQSGSSSDEADADDEWTDKSAREQASSASGKAASGMSSDERNQRHISARALMPPPRASNNPNPNHMKSRYTKSFNKNTSSSSHRVDMSSSSRRVDMSSSSNTSTSVERPFFNNRRPVESGTGNSGSNLSSNSDARKPKRKRRPKKKKQQG >cds-PLY69218.1 pep primary_assembly:Lsat_Salinas_v7:1:79632051:79633165:-1 gene:gene-LSAT_1X67781 transcript:rna-gnl|WGS:NBSK|LSAT_1X67781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASINRSLLIALISIHLTTTAIATEIHDLLPEYGLPKGILPNAVDSYNISPTDGAFTVQLKRPCYVKFEDQTVYYSKNIKGKLSYGSVSDVSGIQAKELFLWLSVTGMDMDSGSDMLEFHVGALSKKLPADMFQDVPDWILSKISSQTNLFQSVL >cds-PLY94176.1 pep primary_assembly:Lsat_Salinas_v7:5:35400157:35401089:-1 gene:gene-LSAT_5X17100 transcript:rna-gnl|WGS:NBSK|LSAT_5X17100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWIFYGLPVVHPHSLLIVTVNSAGVLINVTFCSIFFRYSAWPLRKKMIIVFILEAIFIAGMVAVALTLEHTHPARSMLVGILCVIMNIVMYASPLTVVKTVIKTKSVKYMPIFLSIGNLLNGSIWIVYAALDFDPYIMVPNVLGAISGMIQVGLYVKYNKTTNWDEEEEPPNEVEMPPSASNA >cds-PLY89129.1 pep primary_assembly:Lsat_Salinas_v7:3:20762051:20764904:1 gene:gene-LSAT_3X15540 transcript:rna-gnl|WGS:NBSK|LSAT_3X15540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRERGGGGSKGKMERSSTATSSKGGGAGLNLNGKETNKDRSLPGNSKISSLPRSSYPNKKLSKGEENIVEESETDSEESDVSGSDGEDTSWISWFCSLRGNEFFCEVDENYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFSEEQNEIIESAAEMLYGLIHVRYILTTKGLAAMLEKYKNAEFGRCPRVYCCGQPCLPVGQSDVSRQGNVKIFCPKCEDIYTPQSRFQDNLDGAYFGSTFPHLFLMTYGHLKPQKTSQDYVPRIFGFKVYNP >cds-PLY88588.1 pep primary_assembly:Lsat_Salinas_v7:7:8031749:8036579:-1 gene:gene-LSAT_7X7501 transcript:rna-gnl|WGS:NBSK|LSAT_7X7501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYEYKKDTQMGPACLRSEMMVGEFTEPGCYSKALVAVNLALAFVDIAIAVLAFYQLLRIHSRNPQRGWTRQKVFHLMIGFANTGYGLYFVLTLVAACKKWICWSSSCGFVVMALPKILFLAAFLLLLSFWVDLCHQANDEDEDESEGSPYEALVEKVNKPNPNPNLKVINYTRRRCCNIRIFPGGSRQQVVILVTLLILVLMLASAVLIWIGLGKNPIDSSVVARVYVDTFAVAMFFLGGALACYGYVLVSKMSKVRSERTSCEMWKVAGLAIVSVVCFTSSSVVAIFTNIPVLYHCEWRGIGGLYASLLLIVYYFIGSSVPSGFVLWVMRELPPSVAVNVPEESRTLAFVSDYSTTTTQPQHWTTITTAQNQGSRASPI >cds-PLY97025.1 pep primary_assembly:Lsat_Salinas_v7:4:125594721:125596436:-1 gene:gene-LSAT_4X79901 transcript:rna-gnl|WGS:NBSK|LSAT_4X79901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKQLKAFKQMDLTVTYIACATLNGIKMGDKTLTVRRANQGQTQPKPEQESMVLHPQQQIALQRMMLQPPPSIGTTATKVLFLTQVVTKDELKDDEDYQDILQDMKIECGKFGSLVNVVIPRPNPTGEPAPGVGKVFLEYADVELDSLSPWAWWSNCAKIM >cds-PLY84817.1 pep primary_assembly:Lsat_Salinas_v7:8:24916315:24918164:-1 gene:gene-LSAT_8X18880 transcript:rna-gnl|WGS:NBSK|LSAT_8X18880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKATVNFNGDTYECRNYCTTLRQAEHSAAEVALNSLATHGPSNSLAAKILDETGVYKNLLQEVSQRVGSSLPTYTTTRSGLGHFPVFTCTVDLAGCIFTGEAAKNKKQAEKNAAMSAWLSLKLLAQQSETFSLQKGNIEIEEQEHVTVARALQKFRLKAKMTNIPFPIKFPTPKPKLATTQSPQSSTTTSKILPLIHPKTTKTRAHKFPAAEAPPYVPVGRFMAHCRIAPPVTIRNAIPVFSALPPRMGVAPPPPPPNQLFPVTIRRTVIEDVVKPLKEEERS >cds-PLY98205.1 pep primary_assembly:Lsat_Salinas_v7:2:83271930:83274979:-1 gene:gene-LSAT_2X36241 transcript:rna-gnl|WGS:NBSK|LSAT_2X36241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRSKAKDKRASGKEPLKPSAKPTTAGAGGYNPLLGTFHTLETTPVSTVPPVNGRFRDIDDTDDPSGNAVGIGVDYDCLSNNGSWSGESEDPKDKSSQGTASRQEAAAVSGVDSEKREKIRQKNEKKHQRQKERRAQELHDRCCGYLMSRKLEALAQKLVAMGFTSERATMALIMNEGRVEESVAWLFEGSEDSGHHNLDTGGGNLKVDISQELAQISEMEIKFKCSKQEVERAVVACEGDLQRAAENLIKLQKQESQPPPLKSTEPPAVAVGGGGGGGKQSLPTPPKVQPKRTLSSGALPIQKLDDNYPSMAAPAGRLLAESGTKNIQLLKKAQPQPQPISDWVKPQQPISAPEKRWSVNGLSMSPSASFTMAAPPPPPAASKSEILGNEFKGLQLGSVVMMQRPQSKQIPLPTTSMSSSLSQSPSPSPSPSSSSSHFSDWNPPHMPTPTTTRSFNNTTTYGNGNQFHYQHRQQPLPQHYVPTPTLAAASSLGLFSGLGSGGAPGGTTGAGAAHVDWNGDWSSQFDYTNIDWSLNRIPSPSSLRPNGMWMGGSGSKMAVRPVAANGLLHHHTDGVGVVGGGSEAVGGGSREWSSPFEEKDLFSLPRKFVSSPTL >cds-PLY71221.1 pep primary_assembly:Lsat_Salinas_v7:6:18635668:18637147:1 gene:gene-LSAT_6X14280 transcript:rna-gnl|WGS:NBSK|LSAT_6X14280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSAKRAVNWVSALRKGSGPSTRDTNGTRDEPEPIQFCNRIGCSGRLNHTKHTPNQILSSPKRPSSRSSNIKEVATNVKKPLHESKKKLSSKPEIEQLKTSATNHKSKSKGTESNGRTNGQKSGVANYDAQIGSSRRNTVKKRSTEGETSSSRGKKMSEPLSVRTRRSVNLNGNNQSVVQSNGETSSDGSNHHGLSSMMTAGYNVDAIANVLLALERIDQDEGLTYEQILSFDGNSFVGGFNLYDQHRDMRLDIDNMSYEELLALEEEMGIVSTALSEEELSKCLKISIYEPLQIKESRTKISWCLDESKCSICQEEFIAGDEIGRMGCNHGYHAVCVNQWLQLKNWCPVCKASAKP >cds-PLY70943.1 pep primary_assembly:Lsat_Salinas_v7:5:151526895:151530921:1 gene:gene-LSAT_5X67100 transcript:rna-gnl|WGS:NBSK|LSAT_5X67100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVENGGSNSHVEPNDVDQSKSLISKSRSSDQHQSSDQQKTTPPNGNGSLAYNNGVSNNHHQHQMTTNGVDHHNQEDEEEEEEEGFKKEMRDLAEMLSKLNPMAAEFVPPSLSNNHPRPLLVPPSPTSHFGYAAINNFLLQTNTTPAFTNANSNGASTRRKKSNFSHGKRRMNSRTSLAQREDVIKRTVYVSDIDHQVTEEQLAALFIGCGQVVDCRVCGDPNSVLRFAFIEFTDEEGARNALTLGGTMLGYYPVRVLPSKTAIAPVNPTFLPRSEDEREMCARTIYCTNIDKKVTQADVKLFFESLCGEVYRLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGAVLGSLPIRVSPSKTPVRPRAPRSMVH >cds-PLY61766.1 pep primary_assembly:Lsat_Salinas_v7:5:302388621:302388890:1 gene:gene-LSAT_5X164221 transcript:rna-gnl|WGS:NBSK|LSAT_5X164221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPHFYLIALQFVSHPSLETSDAPTSSQRQHLPSPPPPSSSLPSATTPSDLQIVPLSLFKAGNNGDLPLIALIIDSVFCSPPTYLHSGS >cds-PLY86356.1 pep primary_assembly:Lsat_Salinas_v7:8:28614080:28614493:-1 gene:gene-LSAT_8X23241 transcript:rna-gnl|WGS:NBSK|LSAT_8X23241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMDENTLRTNPTTTTICHYEDQMVPSIMMLLFRGGEEESSSKIGNFREDSKVEVPINQGAYGDGDGDGQMVRTGNMQPPIDITRALRISDDLSANSSNSSSSSSRERDGGVMPVWSPSTSSFSEMFVGEDTSYL >cds-PLY86369.1 pep primary_assembly:Lsat_Salinas_v7:8:28576710:28584686:1 gene:gene-LSAT_8X23220 transcript:rna-gnl|WGS:NBSK|LSAT_8X23220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPLFTAHCRLHRLHRRLLPEYKLLQRDRCLSMAPTYFPLRWESTGDQWWYTSPIDWAAANGHYDLVRELLCLDSNQLIKVTSLRHIRRLEVVWDEDEQFDDIAKNRCLVARKLLHKGESKRGKTSLIRAGYGGWLLYTAASLHD >cds-PLY91614.1 pep primary_assembly:Lsat_Salinas_v7:5:297583807:297585805:1 gene:gene-LSAT_5X159340 transcript:rna-gnl|WGS:NBSK|LSAT_5X159340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIAIFKGQIISCKRKLSGPHSTLPLISSLRLPSSSYHLLQQQIETQNNPNSLPKNQRKFHVAFQLLDRMLQPEFHCHYGVKVSPVDATRIRPWRRTHKLHISKVNGFKMMILLRTSMNKEEVEFMIRDTIHASFSW >cds-PLY82407.1 pep primary_assembly:Lsat_Salinas_v7:2:183866173:183866939:-1 gene:gene-LSAT_2X106361 transcript:rna-gnl|WGS:NBSK|LSAT_2X106361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVPVGSAPVLPIYSAMAPGPSLAPAPAPGPGGPRHHFDGESQVKDFIHTLLHYGGYNEMADILVNLTNLATEMGKLVSEGYVLTVLAPNDEAMAKLTTDQLAEPGAPERIMYYHIVPEYQTEESMYNAVRRFGKIQYDTLHLPHKVMAQEADGSVKFGDGEETAYLFDPDIYTDGRISVQGIDGVLFPSTTESDLKKTKTAETVDHVKVIPKTRRGTSIIFLH >cds-PLY87587.1 pep primary_assembly:Lsat_Salinas_v7:8:111119309:111119698:1 gene:gene-LSAT_8X78121 transcript:rna-gnl|WGS:NBSK|LSAT_8X78121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSGPPPIAGRCARYDKGPWEKPMKSQGRASEPAQAKIGTRRLEEQPEKGKAVETADSPVRHTVRLTTADREVTIPVFLFQFPGVNVGSADGGSGVNIQPKASWGSAIAKHCGHHSSSYVWLAYNLRAS >cds-PLY78981.1 pep primary_assembly:Lsat_Salinas_v7:5:35873642:35873932:-1 gene:gene-LSAT_5X16060 transcript:rna-gnl|WGS:NBSK|LSAT_5X16060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSSVYSTSIHHFEPYTEGFSVPAPSTYTAVEAPKGEFGVFMVSNGNNRPYRRKIRAPSSAHSQGLDSMSKHHMPSDVVTIIGTQDIVSGEVDR >cds-PLY99192.1 pep primary_assembly:Lsat_Salinas_v7:8:90215709:90219408:-1 gene:gene-LSAT_8X59861 transcript:rna-gnl|WGS:NBSK|LSAT_8X59861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNRREESLQKKRREALTGSQFAVPVQSASAAEKKLENLPAMVAGVFSDDNNMQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLVREDFPQLQFEAAWALTNIASGTSEHTKVVIDHGAVPIFVKLLASPSDDVREQAVWALGNVAGDSPKCRDLVLAQGALIPLLSQLNEHAKLSMLRNATWTLSNFCRGKPQPSFSQTKPALPALQQLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEANVCPRLVELLNHPSPSVLIPALRTVGNIVTGDDMQTQYIINHQALPCLLNLLTNNHKKSIKKEACWTISNITAGNKEQIQTVIEANIIGPLIHLLQNAEFDIKKEAAWAISNATSGGSHDQIKYWVSEGSIKPLCDLLICLDPRIVTVCLEGLENILKVGEAEKNLGRSGDVNLYAQMIDDAEGLEKIENLQSHDNNEIYEKAVKLLETYWLEEEDDAMPPGDAAAAQPPSAAGGGFHFGGGDVSVPSGGFNFN >cds-PLY83687.1 pep primary_assembly:Lsat_Salinas_v7:4:41837395:41838319:-1 gene:gene-LSAT_4X27820 transcript:rna-gnl|WGS:NBSK|LSAT_4X27820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLWSGSWHANGCYTSIFSFGDSLTDTGNFKQVASITDEFFPFLQPPYGETFFHKPTGRCSDGRLIIDFLAESLGLPLVRPFLHDCDNVIEPGQGVNYAVVGATALNSSFLEARGIVNDLTNASLGVQLAWFKQSLASICSNVSDCRNLIGRSLILVGEIGGNDYNYPITDGKTIDEVEPFVPLVTDTIVSAVDVIRSHSNHTKHILNHQHQHHAQVKMSFKYRN >cds-PLY62956.1 pep primary_assembly:Lsat_Salinas_v7:2:211939177:211941794:1 gene:gene-LSAT_2X132821 transcript:rna-gnl|WGS:NBSK|LSAT_2X132821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQITNEEKHLKVEILNKTHVKPQKSLGKKECQLVTFDLPYIAFYYNQKLLIYKGGVDKFEDTVEKLKDGLRVVLEDFHQLAGKLDKDEDGVFKVVYDDDMEGVEVVSATAESIETADLMDEDGTIKLKELMPYNGVLNIEGLHRPLLSIQITKLKDGLALGCTFNHAILDGTSTWHFMSSWAEICNGSKSISVQPFLDRTQARNTRVKLDLTPPAQQNGDAKPAAAPPLREKIFRFSESAIDKIKAKVNANPPEGSTKPFSTFQSLSTHIWHAVTRARQLKPEDYTVYTVFADCRKRVDPPMPDSYFGNLIQAIFTVTAAGLLQANPPEFAASMIQKAIDAHDAKAIEGRNKEWESNPVIFQYKDAGVNCVAVGSSPRFKVYDVDFGFGKPESVRSGANNRFDGMVYLYRGKDGGRSIDAEISLEATAMENLEKDKEFLIQE >cds-PLY97495.1 pep primary_assembly:Lsat_Salinas_v7:1:194321571:194322606:1 gene:gene-LSAT_1X127161 transcript:rna-gnl|WGS:NBSK|LSAT_1X127161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVATYTAKSAQVYQGHPFIVEAGVSMGGKCFKQGINIFLFANRVPLLFEQDADVVTTTATKRIKWERYKIFKRQEKIGVFVNIVSTKIPFKGSGMAKAVKTCLEDCCNQLRSLDLKRNMSFYIDQAATACASITEIGRDLNGPDTKVWTKEELVRDFREDCKKAGLITD >cds-PLY93629.1 pep primary_assembly:Lsat_Salinas_v7:MU039104.1:247529:249019:1 gene:gene-LSAT_0X15901 transcript:rna-gnl|WGS:NBSK|LSAT_0X15901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB35 [Source:Projected from Arabidopsis thaliana (AT3G28470) UniProtKB/Swiss-Prot;Acc:Q9LSI7] MGRRPPCCDKSHVKKGPWTAEEDAKILAYVASHGIGNWTLVPQKAGLNRCGKSCRLRWTNYLRPDLKHDSFTPHEEQLILRYHQAIGSRWSLIAKQLPGRTDNDVKNHWNTKLKKKLSKMGIDPITHKPFGQLLSDYGNINEIIPXXXPPPPPITSTLNR >cds-PLY81721.1 pep primary_assembly:Lsat_Salinas_v7:3:31851504:31851734:-1 gene:gene-LSAT_3X21541 transcript:rna-gnl|WGS:NBSK|LSAT_3X21541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPQALLVKVCMEVLNTENERLGKKGKSSSPLLQQSCHYQYVPQQPIVHLMVAPPKPTTDGNKVRSMLSSSVVVGS >cds-PLY88653.1 pep primary_assembly:Lsat_Salinas_v7:5:140568875:140572150:-1 gene:gene-LSAT_5X61840 transcript:rna-gnl|WGS:NBSK|LSAT_5X61840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAFKRLDSNCGQGNPEFFKEILMLSRYTHENLISLLGFCDGDGEKILAYEYASHGSLDRHLSSMTLTWTQRLKICLGAARGLCYLHDPKETRERVIHRDIKSSNILLDENWNVKVSDMGLSKIGPANQLQSFLATNVVGTFFYIDPMYMETSILTKESDVYSFGVVLFEVLCGSLCYETKTNHHPQSLVRWWKKCYKEKKMEEIIFHHLKQDMDLRSLETFSEIAYRCLQKSRSERPKMSYVVEKLEAALGFQQEISKKEKLFQEGISKFVEFKEMVNTVVNIEELEMLLSKGIIINEGKTWFSLNKNGEHIEMISAECFEHTAAFNWDYDPYGRETKSRFEGRKRRGSYGAFKTHVRTQLLSPYITYRVNLVFKFRGKRRDFLGLWYKLAGETRFSNSFVAQERGDGWLMVEFCQFISNTENVDLQVKFYCVTAIEVEGIEFQPLERVEHEKLLEDEKEDMDMQTISHPDTYWEQKLPNDWKEILKLSKYHSLRLKTKKELYSILCKGFAINNDKEWFFLAKNGKKCHMPSAELFLRYADKHLESRSLPNLRLSETTFDHVDLFWIECRSNMLSPETTYAAYLVYKLQENHFRFEPPVKVYMPLTSSVTDPWYIYLLSHQAPLIRDKVYQKKRLPQQRSDGWMEVQVYEFQTHTTTTARITIHFESMLSDNRSFKGLTLQGIEFKPI >cds-PLY69490.1 pep primary_assembly:Lsat_Salinas_v7:6:44046511:44048523:1 gene:gene-LSAT_6X32441 transcript:rna-gnl|WGS:NBSK|LSAT_6X32441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPPIEAQTKHTEYHHHSHAPLNERILSSLSRRSVAAHPWHDLEIGPGAPTIFNCVIEISKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFVPRTLCEDNDPLDVLVIMQEPILPGCFLRAKAIGVMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIHRFFEDYKKNENKEVVVNDFLPAAEAIEVVKHSMDLYADYIVESLRR >cds-PLY88391.1 pep primary_assembly:Lsat_Salinas_v7:5:62870638:62872346:-1 gene:gene-LSAT_5X30141 transcript:rna-gnl|WGS:NBSK|LSAT_5X30141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPILYSLVARGSVVLAEFSGTPTNASTIARQILEKTPGDNDMNVSYSQDRYIFHVKRTDGLTVLCMADDVAGRRIPFAFLEDIHQKFVRSYGRAVLSAQAYGMNDEFSRVLSQQMEYYSNDPNADRINRLKGEMGQVRTVMLENIDKVLERGDRLDLLVDKTDTMQTNTLRFRKQTRRYRTSVWWKNVKLTIALILLILVIAYVVLAFVCNGITLPSCI >cds-PLY64740.1 pep primary_assembly:Lsat_Salinas_v7:6:107893396:107899192:1 gene:gene-LSAT_6X68740 transcript:rna-gnl|WGS:NBSK|LSAT_6X68740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTNPFDLLGDDDNDDPSQLIAKLPAMAPSIKKSPAGVAAAKPAAKLPSKPLPPAQAVQEARNGGQRGGRFGGRGFNRDFGNNENSNGTNNGGYSRPSEDGDVDKSERRGGGGYNGPRGGYRGGRRGGYTNGGDVEGERPRRVFERRSGTGRGNGFKREGAGRGNWGTATDEVALEPEEAMVEGEKKVDSEKPAVQEESVDANKENPVNETEEKEPEEKEMTLEEYEKVLEEKRKALLALKSEERKVGLDKDLAKMQLLSNKKSEEDIFVKLGSEKDKRKEADKEDRARKASSFDLRFHLLLISLSINEFLKPSEGEKYHNPGSGGRGRGRGRGGVSRGGGNRMMDVAAPAIEDVGQFPSLSVK >cds-PLY79051.1 pep primary_assembly:Lsat_Salinas_v7:3:8636426:8639180:-1 gene:gene-LSAT_3X5420 transcript:rna-gnl|WGS:NBSK|LSAT_3X5420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPNQESIETFMSITGSSGPVAIQKLTEHGGNLNEAVNSYFTQGDTNIRHQTDVADHQEDLMDIDEEPSETSNHRPPFLPFPASAPRIENVIDTLPENPGTLRSIDDDDDDFPSLRSSHLAGLSGQNENLFSHHDTDLIPSAPGVSDLPDYGIEEEMIRAAIEASKHDAEISQPDDVLLVQQESEAGPSETRASKLSVVEEAGASASSNKRLGVEDGSEDTDEQEQPLVRVRHTEEQQNINNHVDNWGGMSSEEHDEAVMLEAAMFGGIPEGIGHRVRYARHRNMQNGFNRGVGVGAYPRPPPRPTSPSLTAQRLIREQQDDEYLAALQADREKELLAMEEARQKEEEAQKKLDQEQEIERQLTAKEASLPEEPKPDDENVVSLLVRMPDGSRRGRRFLRSHKLQYLFDFIDVGRVVKPTTYRLVRPYPRRAFGDEESKLSLNEVGLSSKQEALFLELI >cds-PLY77966.1 pep primary_assembly:Lsat_Salinas_v7:1:24876410:24882088:-1 gene:gene-LSAT_1X21261 transcript:rna-gnl|WGS:NBSK|LSAT_1X21261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAEEFCENYLVLDASEASLYDIACILICSTTFLNTKKFYKQRSQDVEKSTVSFRQRWVVFASVLLQKLFIWGKKPMALIGSLIELWLNLLSSNGGFFGLLINIITGKVVNPVESPEKYNSIIGVLDKRVKLDESIKKGDGRYKPSLAMMASKLAYENEAFVQAAVQQHLKMDFIGFYKFWNDYQQKFTTYAMMFQDRSDPNLIVIAFRGTEPYDVTQWVVDVDISWYEFKDINNSTTIGKIHGGFMKALGLQQIKGWPKELDLPPNAKDKHPFAYYYLREKIKAILEKNPNAKFMVAGHSLGGALAILFLAVLGLHEEIWLLKRFEGVYTFGQPRVGDGRFGRHMMKIIKDHDVRYFRFVYSNDIVPRVPFDDNALFYKHFGSTLYYDSFYNGKVLKEEPNKNYFSLVWVIPKYLTAFWEIVRSIILPYWKGKEYKEEYLEIMLRIIGLVIPGISAHSPKDYIDITRLGTNLIPANDEHAYNQHVEGKYE >cds-PLY74737.1 pep primary_assembly:Lsat_Salinas_v7:6:120008704:120009429:1 gene:gene-LSAT_6X72421 transcript:rna-gnl|WGS:NBSK|LSAT_6X72421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYNFTIGTFNMLVAVVIIVLLCFYSYPNPPKLSIEEFSVPAFNYSSNHTAENIYFDLKLRNMNKAIGLYYEDPLSIAFFYYPYDDPYQKYVWAGTLAAFYQGNGKTKHIKSFMGNDLQLPSTVVVDPEEHIQDLVKTDHVRSLLKDHLQLPSTLAETRKEMVGRIQALNIRIAVVINYRFKYWVGSSKHQLELGGNVMVDLTTGEMVSPGSIDLVESAASAGGQVMLVLLFTAFLLIICF >cds-PLY82083.1 pep primary_assembly:Lsat_Salinas_v7:8:52296691:52297436:-1 gene:gene-LSAT_8X39280 transcript:rna-gnl|WGS:NBSK|LSAT_8X39280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLYFIQFEMTDFQVLETEVRPSDVVCAINTDDVMVQDVISEKGFKVVGMHFMVMDAGTRDALEAEKSVDGFNIAQEAGEWKATIFHPYLPSHAYLTCRFFSARKHGLMDELFCDS >cds-PLY82637.1 pep primary_assembly:Lsat_Salinas_v7:1:192648910:192652310:1 gene:gene-LSAT_1X127701 transcript:rna-gnl|WGS:NBSK|LSAT_1X127701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRISCLPNDVLCHILSFLPTKHAVATSILSSRWVNLWAFLPIIDLDNSLYLNPLKRESNSVLFFDFVERVLSLNKSQTVVKFRLHINDSCGQSMSRINGFIHNVISRNIIEIDLGIFLNFPLDDDCLKISNSQSLQLLKIRSDNGLKMPAFSGCFPSLTVFDVRVSLYESGEDLITKLFPCLSVLEKLSLVGDLTDCTGEIYFNISGTALKSLELELLVDNYDDCDAMVVIDAPHLEYLRLQDGFLASYLVKNKPAISEVNLDVGDYDEEFLEFLDEVGPTRLSRVNELLHNLVNAKFISVSARTSKVIFSQKWNLPVLPSLMKLELSVHTTSWILLLFWLESCPNLKVLSLIIKEKPMEDFDMIEELELAEPDHVPSCLTLRIKEIEIQRLGKVEEEEMLKYLLDNAKALDKFTVNTRVYIKDGILQ >cds-PLY95128.1 pep primary_assembly:Lsat_Salinas_v7:1:96276954:96277166:1 gene:gene-LSAT_1X79901 transcript:rna-gnl|WGS:NBSK|LSAT_1X79901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKTDSSKFSPPPYTLESHNQFHDNKLRGVCIPSPSSTCRDTKAAGCYCCIGPAVDICIPGLDLCQAICS >cds-PLY80119.1 pep primary_assembly:Lsat_Salinas_v7:5:148416727:148417429:1 gene:gene-LSAT_5X65860 transcript:rna-gnl|WGS:NBSK|LSAT_5X65860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSVAFFAFLLLLLVLAISDIATVKGELCEKASKTWSGNCGNTRHCDDQCKSWEGAAHGACHVRGGKHMCFCYFNCSKAEKMAQDKLKAKELTKDEIEAEKPPHVEHPVGPIHP >cds-PLY68287.1 pep primary_assembly:Lsat_Salinas_v7:1:27818608:27818997:1 gene:gene-LSAT_1X23301 transcript:rna-gnl|WGS:NBSK|LSAT_1X23301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKSKGIGEIVEVEGGGRIVRSLRRKDRHSKVCTSKGLRDRRVHLSANTVIQFYDVQDRLGCDRPSKAIDWMMKEVKPVTLENYHHEHELLTSVVLSISLKHFNEQVVSGTRRSPLSRKLVVLMTTQWG >cds-PLY68355.1 pep primary_assembly:Lsat_Salinas_v7:4:191274218:191276436:-1 gene:gene-LSAT_4X109941 transcript:rna-gnl|WGS:NBSK|LSAT_4X109941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQNHQTLQHLSCSGYDRTTNDWDDYSRYVIPDGVDLSHGVYGHGYAPYGPYYPTGSPMPTPQVEVKSFPAEAINPLACNTDGTYIVGGVSGHIYLWEVATGRLLKKWHGHYRPVTCLVFSNDQSLLISGSKDGTVRVWSLLMIFDEEGQQRAGHLYEYSFTGHALPVTDIVMGYGGSNAIILSASLDRT >cds-PLY80142.1 pep primary_assembly:Lsat_Salinas_v7:3:40281921:40283050:-1 gene:gene-LSAT_3X31020 transcript:rna-gnl|WGS:NBSK|LSAT_3X31020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLRPFPDRKIFIAFSLLALIGGGLVVFSFIGDGTNQLICTGAFDNFQSSAASMQLRAILHYATSKTVPQQSLNEISISFNVLKSISPCNFLVFGLGHDSLMWASFNPGGVTLFLEEDPKWVQAVLKDAPDLKAVNVKYRTQLSQADDLMKTYRSEPECSPTKSYIRGNTRCRLALTGLPDAVYDKEWDIIMIDAPRGWFAEAPGRMGAIYSAAVMARNRKKPGVTHVFLHDVDRKVEKAYAEEFLCRKNRKDGTGRLWHFEIPPAWNVTDGKGGTSFC >cds-PLY71538.1 pep primary_assembly:Lsat_Salinas_v7:7:29856690:29857094:-1 gene:gene-LSAT_7X22320 transcript:rna-gnl|WGS:NBSK|LSAT_7X22320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLILIDDSEPEEDPSEDPEEMEQDPDEDPREDPEEMEHEPEEEMKSEAYESVGDVTLIKAEPVASRPPISYYLGSRIPRNRKHAHKTISMCGGIKKKPRMRVPRFQSLTGNFHPYHIAPVKPTWNLVPQVSRET >cds-PLY71890.1 pep primary_assembly:Lsat_Salinas_v7:8:279683194:279686352:1 gene:gene-LSAT_8X159121 transcript:rna-gnl|WGS:NBSK|LSAT_8X159121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGRILLVFSLALLLLLCVNVGVSAAPATSPARIVNGVFSNVASALMKWAWSLKTPAKTSITGRPLMKFESGYNVETVFDGSKLGIEPHALEVLPNGELLVLDSANSNLYKISSSLSLYSRPKLVAGSADGYSGHVDGKLREAKMNHPKGLTVDDKGNIYIADTANMAIRKISDTGVTTIAGGGKVGRGGGHVDGPSEDAKFSNDFDVLYIGSSCSLLVIDRGNQAIREIQLPFDDCAYQYGSGFPLGIAVLVAAGFFGYMLALLQRRVGSMISAQQDEPQPTMKQTTVPPPPYQKPPLRPPLIPVENEPEKPEEGFFGSFAKLISNSTSSLSEILTGLFPGLKKKQVNHNYQSQHHHKYGSNPWPVQDSYVIPDGDDPPPPSIETRTPTPKKTYPFMTNDAEKIQHFRQSSRHFYTGWDQNLQQQQQYQQQQQQQQQHHNRYYSSMPETYYEQSAEKTNEIVFGAVQEQAESMVIKPLDHGSSVYDHRGKMRSRSRGYTRGD >cds-PLY87869.1 pep primary_assembly:Lsat_Salinas_v7:3:43498313:43500146:1 gene:gene-LSAT_3X34040 transcript:rna-gnl|WGS:NBSK|LSAT_3X34040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSQLSSSIFILIITLSFSLSWAALSSILKATSDAEDFTSCVISNSSNVTSISELIFTPANASFLPVWQVHVQNTRFLKPSTPKPSAIVTPVNETLIRIVLYCAKMYDYELRIRSGGHDYEGLSYTADVPFVMLDFTNMRAIDVDVANKTAWVQAGAALGEVYYAISQKTDTLYFPAGVCPTVGVGGYMGGAGYGNLLRKYGTAADNVVDVRFMDVNGNILDRNSMGEDLFWAIRGGGASSFGIVLAWKLGLVEVPERVTVFILNKTLEDGVTEIFHKYQYVLPLIDRNLHMRTQIFSEYIGNSTMKTIRIMFEGIYQGTTDTLLPLLYEKFPELGVTPEICEEITMVQSTLVFWGLPSSTPTEFLTNRSAIAKLNNKSKSDYVRKPIPISGLKKIWNKLMENDESALLMINPFGGRMSDYSETAIPYPHRGGVVLQMLKTVNFAGQTSDTTPVSRSRIAWLNSLDELLTPYVSKNPREAYSNYNDLDFGVGNANYQEASLWGERYWKRANFKKLIRIKAKVDPENFFRHPQSIPVFSTSLSDM >cds-PLY89576.1 pep primary_assembly:Lsat_Salinas_v7:4:366788960:366790465:1 gene:gene-LSAT_4X176901 transcript:rna-gnl|WGS:NBSK|LSAT_4X176901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPWCFGYMVAGKLSLRVQQLDIRCETKTKDNVFVTMVASVQYCALSDKAVDAFYKLSNTKIQVQAYVFDVIRASVPKLQLDDVFLQKNDIAKGVEDELQKAMSGYGLEIVQTLIVDIEPDQHVKKSMNEINAAARMRVAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLAFSENVQGTSAKDVMDMVLLTQYFDTMKEIGASSSSNAVFLPHGPGAVKDIASQIREGLLQAETVRR >cds-PLY85319.1 pep primary_assembly:Lsat_Salinas_v7:5:326250773:326253812:1 gene:gene-LSAT_5X181020 transcript:rna-gnl|WGS:NBSK|LSAT_5X181020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic L-amino acid decarboxylase (AADC), Serotonin biosynthesi [Source: Projected from Oryza sativa (Os07g0437500)] MDADQLREHGHKMVDFIADYYKTIESFPVLSQVEPGYLRKLLPDSAPVQPESLQAVLEDVQTKIMPGVTHWQSPDYFAYFPSNSSVAGFLGEMLSAGINMVGFSWITSPAATELEMIVLDWLANMLKLPDDFLSTGAGGGVIQGTASEALLVVLLAARDKVLREVGKDALGRLVVYASDQTHSSLQKACQIAGIYPENCKPIRTEICNEYALSPESLTDSISHDVASGLIPLFLCATVGTTSSTAVDPLLALGKITKRYGIWFHVDAAYAGSACICPEYRHHLNGIEEADSFNMNCHKWFLTNFDCSALWIKDRHALIQSLSTNPEFLKNKASQGGTVVDYKDWQIPLGRRFRSLKLWMVLRLYGVENLQSYIRNHIDLAKHFEHLVSQDPRFEMVATRTFSLVCFRVVPANKNEDYVNKVNRELLDAVNSSGKIFISHTVLSGKYVLRFVVGAPLTEERHVIEAWKLFQETASTLLKN >cds-PLY80669.1 pep primary_assembly:Lsat_Salinas_v7:5:245706832:245707790:-1 gene:gene-LSAT_5X122240 transcript:rna-gnl|WGS:NBSK|LSAT_5X122240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEITCGEKVLLSGGTDGPPTTPSGTRKDRIVLAQLNVTRDTTKFVNGAFVGARDVFEFDPGVRIGSDLQFFSCYQVSSTQFIQLKDVEEGAGKVPPPVLVLHGHFGGSVGDHRRYDAFTLGGPYSLRGYNRSRISAARSILELATELRIPVKKSYVYGFAEIGLDLGSSMEVQRRIAHGFSYGVGAKVGLVSAEYSVKHNSGTGALFFRFGDS >cds-PLY66655.1 pep primary_assembly:Lsat_Salinas_v7:1:53132307:53134541:1 gene:gene-LSAT_1X46300 transcript:rna-gnl|WGS:NBSK|LSAT_1X46300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFKITLNRSHLRVVHEAEEIGGNVIASASREQMGYSYDDLRSYVPQIVELLVDSANYTTPCMVLDASGVEHEELLKYAKHLLSDLPSGTQVEEPKSAYVGGNHRVMADIGVCLAKILDVYYEDLFTLPDKKLPYNADSMTTNIHIKKILLLHKGNSFVKMVSTLIIIRCLIVASSSCPIFKTGKTVSEEINSPNHHILIRE >cds-PLY67869.1 pep primary_assembly:Lsat_Salinas_v7:5:12034860:12037025:1 gene:gene-LSAT_5X5481 transcript:rna-gnl|WGS:NBSK|LSAT_5X5481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLEFRLLFCEKTYGEFQSFAHSTCGYISLSNNIPPTSSPPKDIGEVEKLVSEGKVAVVFVEPIHGNGGIKSFTPQYLRSLRTACDKSGVLLAFDEVQCGIGQTGRLWAHQALGVEPDFMIVRFRGMDIGALVVNKKMNALIHKYENEHMHIPRECGEALNVFRKVAQRRYLDHQLGRNARVKGIQAFGLVAAVELDVDAQSCVDECKKRGLGIEKRQITLKEANSKKLQQAKS >cds-PLY96330.1 pep primary_assembly:Lsat_Salinas_v7:5:193780416:193780682:-1 gene:gene-LSAT_5X86641 transcript:rna-gnl|WGS:NBSK|LSAT_5X86641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWIHPQSDQFEQGIRTVRDHDVELRRWIGYIHNRIKGNRSFMRFADYQGTGSLRSTNGSGAFKEISQPLGRLLDLQMVSTKKFLHLH >cds-PLY96091.1 pep primary_assembly:Lsat_Salinas_v7:3:102375986:102376267:-1 gene:gene-LSAT_3X74940 transcript:rna-gnl|WGS:NBSK|LSAT_3X74940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQQQQQHVLQSQLGIQAPRSGRGTSMEGQGCGVFPDFSFGEVASSHGVRTATFLTAKDNRGIAGSASSHDTHEHEGSHDFPQKKGKLTTTTT >cds-PLY73283.1 pep primary_assembly:Lsat_Salinas_v7:8:284940243:284940680:1 gene:gene-LSAT_8X162820 transcript:rna-gnl|WGS:NBSK|LSAT_8X162820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAIALRKILKKYDKVHNFVSGVNFRSNLQAEHLEILQSTWFIELVASYMNFSESNEMICCELCSYFSCDLSVIISKLVLKLVLPDYVALEYSLTCVVCLVRLAIYQNYEKFIA >cds-PLY85635.1 pep primary_assembly:Lsat_Salinas_v7:5:76511687:76512338:-1 gene:gene-LSAT_5X35720 transcript:rna-gnl|WGS:NBSK|LSAT_5X35720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVVTDEIRATATELYHGDKVCQDKTKHLLTEVGLPNGLLPLEDIEECGYVKDTGFVWLKQKKKKEHKFEKVNRLASYANEVTAFVEKSKIKKLTGVKTKELMMWVNLSEIYVDDPPTGKITFKAPSGLYRTFPVSAFQIEEDQKLEVKEV >cds-PLY66911.1 pep primary_assembly:Lsat_Salinas_v7:7:20608313:20611805:1 gene:gene-LSAT_7X15900 transcript:rna-gnl|WGS:NBSK|LSAT_7X15900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESQNNELHQPFLEPIEDCTAGGHGGSAELERVLCDTETPLMKRLVVASRIELNLLYKLAAPAVMVYLINNAMSMSTRIFSGQLGNLELAAASLGNSGIQLFAYGLMLGMGSAVETLCGQAFGARKYDMLGVYLQRSAIVLTLTGIPVTMIYVFCKPILLLLGQSAPMASAAALFVYGLIPQVFAYAINFPIQKFLQAQSIVTPSAYISAGTLVVHLILSWIIVYKFGLGLIGASLALSLSWWIIVVGQFIYILMSDKCKATWTGFNSNAFGGLWDFVKLSSGSAVMLCLETWYFQILVLIAGLLENPELALDALSVCMGVNGLLFMVSVGFNAAASVRVGNELGAGNPKAAAFSVLTVTSVSFLISVVESMIVLSTRHFISYAFTGGETVANAVSDLCPLLAITIILNGIQPVLSGVAVGCGWQAYVAYVNVGCYYIVGIPLGCLFGFYFNFGIKGIWSGMIGGTAMQTVILLWSTFNTDWNKEVEKASKRLDKWEASKETE >cds-PLY99252.1 pep primary_assembly:Lsat_Salinas_v7:6:184618234:184621382:-1 gene:gene-LSAT_6X112901 transcript:rna-gnl|WGS:NBSK|LSAT_6X112901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSWWKRKSSDRSPGETESSTSISSHSESNSDEKVDHDSLQKSQSSEVTSRVSTPNTETNDSFKNLTEKLSAALVNVGAKEDLVKQHAKVAEEAVAGWEKAENEVTTLKQQLEAALQQNLALEVRTNHLDGALKECVKQLRQAREEQEQRINEALEDKKHEWELTKKNLEMQICNLKAKSQVNKSECSPVDPKIILKLETLEKENSALKSEIIIQSEELEIRTIERDLSTQAAESASKQQLESIKKVAKLEAECRKLTSLTRKLPSVNDNNHKAVSISSFYVDSLTDSQSDRSEKLDVDSFKVNKSEHNENDDSWALALIAELDQFKSGKSVAKNVEISNIMDDFLEMERIASLSEAQNETSRCNSETEDMKSEVEVLRMRVCELEEKLEELEAEKGELESALNASKESLDETKSQMVDLQKELMMVNESKKSVESRLVDLEMEARIISSENDSIKEDIKKERILSSEMTIKCQRLEKEVMKKSEEFKLQQSAISNGELKVKQDLEVAAADRLSECQKTISSLARQLESLATLEDFLIDTANLPGFSNGSSVSKTKTGVELWKLHSNDTFMPKKTLLPAKEDESNCSPSMDDESPGSSSSSSSTSSGHSKSNNSFEKLFLRSKNGVQGESHQG >cds-PLY94732.1 pep primary_assembly:Lsat_Salinas_v7:8:50551154:50555868:1 gene:gene-LSAT_8X37441 transcript:rna-gnl|WGS:NBSK|LSAT_8X37441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVRLGFIVAASIAAYAVKQVNVKRPPSTGNDESTSGQNKKEEEDKKQITNEEEEEKEEVKLISGLINAQSNTPDFEDDILPEFEDLLSGEIDIPFPTAEKERVYEIEMANNANELERLRNLVKELEEREVKLEGELLEYYGLKEQESDIVELQRQLKIKTVEIDMLNITVKSLQTERKKLQEEVVNGANYKKELDAARNKIKELQRQFQLEANQTKGQLLLLKQQVGILQTKEQDAFKKDTDIERKLKSLKELEVEVVELKRKNRELQHEKRQLVVKLDAAESRVAVLSSTTETEMVARVKEEVNKLAHTNEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRFELRNYETPSGKTSARDLNKNLSPRSQEKAKQLMLEYAGSERGQGGDTDLESNFSQPSSPGSEDFDTASIDSSMSRYSSFSKKPSLIQKLKKWGKSKDDSNYSSALTSPARSFTGGSPRVSVSQKPRGPLEALMLRNAGESVAITTFGVADQDSANSPETPNNVASSFHLMSKSVEGVLDEKYPAYKDRHKLALEREKKIKEKADQARAARFGDSTTSFKPPSYSKSVSLPPKLAQVKERAVISPSADVISGDQSTDGKSTSMPPVSKIPFADIEKRPPRTPRPPPKRTGAAPATTANPVSTGGGPPPPPPPPGAPPPPPPPGGPPRPPPPPGTLARGAGGGDKVHRAPELVEFYQSLMKREAKKDTSIISSSASNTADARSNMIGEIENRSTFLLAVKADVETQGDFVESLASEVRAASFTDIEDLVTFVNWLDEELSFLVDERAVLKHFDWPEGKADAFREAAFEYQDLMKLEKQVSNFVDDPSVPCEPALKKMYKLLEKVENSVYALLRTRDMAMSRYKEFGIPINWLQDSGVVGKIKLSSVQLARKYMKRVASELDALDGPEKEPNREFLVLQGVRFAFRVHQFAGGFDSESMKAFEELRSRMNKQTTEENTPEA >cds-PLY96690.1 pep primary_assembly:Lsat_Salinas_v7:8:136061102:136062884:1 gene:gene-LSAT_8X94420 transcript:rna-gnl|WGS:NBSK|LSAT_8X94420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAGKTTAAAAAAANKDKDKKRPTSRSSRAGLQFPVGRIHRHLKTRTSANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKE >cds-PLY71181.1 pep primary_assembly:Lsat_Salinas_v7:2:132481368:132486755:-1 gene:gene-LSAT_2X62621 transcript:rna-gnl|WGS:NBSK|LSAT_2X62621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTFQVFYLLILILLTTTLIEAQDFFSKPRCSSRCGDVRIRYPFGIGSNCSANDWFNIDCNSSTPYLSALNNVEVLDLDTFTQRVIVNIPEFKADCKNPVQNSNLVLGANHGKSPFRISGSLNRFVVKGCGSATIMEEENGTIVTGCSTTCGKDTVSDTNNCFGIGCCQTLIPRDLESYTFNLTGMAKKEGDGTCGSAFLLDITYLERRHAITFPNQIYGDGLPLPTSLSWNESFNLSSTRCNETCGEISIPYPFGIQSLCSESDWFNVDCKSSKPYLSAINNVEVLAFGKETVTVNVSMNSDCENPVQNNNLDLSKSPFSFSKSDNIFVVEGCGSATIIENGSIVSGCSTACGNATVSDRNNCFGNGCCQSTIPRNLESFTLDLSRLGRQVGNETCGSALLVDMNSFMEERFSRQFVPITLGWPTINFYDSTECRWCERQGGICYTNYNEEGRISFGSCHGSSKKSLGVILGISISTGLLILTVISYALFRIIKKTKAKRRKQRCFKRNGGLLLKQQEATDTSLVDKTILFTSTDLEKATDHFSENRILGRGGQGTVYKGMLADGRIVAIKKSKVVDESQLEQFINEVVILSQVNHRNVVKLLGCCLETEVPLLVSEFISNGTLYDFIHDDTVSVSLNLRLQIATEVAGAVSYLHSATSIPIYHRDIKTTNILLDEKYRAKVSDFGTSRFVSVDQTHLTTLVKGTFGYLDPEYFQSSQFTEKSDVYSFGVVLLELLTRENPISLTRFGENRSLATHFLLAMEEGRVMSIFDATVVKEGSRTELLDVANLAMRCLNFNGKNRPTMKEVSIELDNIRLSHVPSTVETNFGHVKHREEVISMYSESTSTSMTFDHSLSR >cds-PLY86907.1 pep primary_assembly:Lsat_Salinas_v7:5:263210161:263214508:1 gene:gene-LSAT_5X134821 transcript:rna-gnl|WGS:NBSK|LSAT_5X134821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVKASWDTHDRLPYNPHRTYPKNPNSLTPANLSIEQNLKSTSTDRNLISVSALLSRKSPSPSQARLEETYLGYDTWMPSAPKVEKPRSTYNAASLAYIGDCIYELYARRHFLFPPLSIEEYNDRVMAVVRCEAQDAMLHKLMKDKVLSEEERDVLRWGKNISSSKTRTKKRAGVAVYNRASSLETLIGYLYLTKVERLEEIMQKLGFSTGVSSQMILEEASVTEKIDLAK >cds-PLY63315.1 pep primary_assembly:Lsat_Salinas_v7:8:308977902:308982751:-1 gene:gene-LSAT_8X167301 transcript:rna-gnl|WGS:NBSK|LSAT_8X167301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEARWQSISQPDDFRDPKILIMADSVNWTTIPWAEEDQVVPHSHEPEDSPLLELSVPLSPLISDEEPYEEDDPSEQVSGSDEPVDIETEPVEEETEFIDVVTESVDEEIDPAEREVDYSEWEDEEPEEEKETTKEPKLAIEQQAPPPLTTFQIYRHTFNGPSVAYTPRKSIPIRKQK >cds-PLY89416.1 pep primary_assembly:Lsat_Salinas_v7:4:63012805:63015599:-1 gene:gene-LSAT_4X43000 transcript:rna-gnl|WGS:NBSK|LSAT_4X43000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSIKSLIPKSSHRVYARNRFLNQAQATARPCFTCIGRDYSTTRRTTSNNVDIISSKSSNLHLWSTSPLLVTTHLLNHRLYSSSTSKDVSASSSTGSGINDTSGIDGNDLLHKAKEVWASSVNVASQTGEKAKEALDEVTPHVEQLLNTYPYLRDVVVPVSGTIMGTILAWAILPRIFRRFHKYSTEGSSTILPVGSLWGAVPYEKSFWGALESPVKSLITFMAFSQIGVMVAPTTIASQYIAPVWRGAVIICLVWFLHRWKTSVITRALVMKTVVGVDRDKLLTLDKISSVGLFVLGGMALAEACGVAVQSILTVGGIGGVATAFAAKDILGNVLSGLSVQLSQPFSIGDTIKAGSVEGQVMEMGLTTTSLLSAEKFPIVVPNSLFSSQAIVNKSRAGWRVMVSKIPVQIDEYEKIPAISEEIKNMMKLNLNVFLEKEQPYCYLSRVERSFVELTLGCNLKQMSKDKLFSTEQDLLLQSIQIIRKHGASLANT >cds-PLY95399.1 pep primary_assembly:Lsat_Salinas_v7:9:188329972:188332809:1 gene:gene-LSAT_9X115821 transcript:rna-gnl|WGS:NBSK|LSAT_9X115821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEELRRTKRFAVLLCAEDSDYVKKKYGGYFGVFIGMLAEDGEIWDVFRVCSGQFPSDDEIGLYDGFVITGSCNDAHGNDAWICKLLILLKKLDSLNKKVLGICFGHQVLARSLGGKVARATSGWDIGVRTINFSTSTKTFSKLKLPARLSLIECHRDEVHELPSKVEVLASSDKTRVEMFRYGDHLMGVQGHPEYTKDILLQLIDCLLKRNLIEEWNAIKGRASMEDNEPDREAWKQLCTSFLKGRL >cds-PLY90880.1 pep primary_assembly:Lsat_Salinas_v7:1:57462413:57463027:1 gene:gene-LSAT_1X48061 transcript:rna-gnl|WGS:NBSK|LSAT_1X48061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFATSLCMRLIVSNLVTRIPVYTSASDARPPKKQHAPQKMDATQNPRCLVSRSSVVSVIPGNIIVRQRGTRFHPGDYVGMGKDHTLYALKEGCVKFERHTLSGRKWVNVEPKERHALHPLYLKAAPKPDSNTTSYVSL >cds-PLY71677.1 pep primary_assembly:Lsat_Salinas_v7:3:46641673:46642172:1 gene:gene-LSAT_3X34401 transcript:rna-gnl|WGS:NBSK|LSAT_3X34401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPVTHFLPLLPRARNGTEREASSSPKLIEIMKQKLSHGAQMIQLGSTPGKIFRKTFGIREDEKLLQDSQCFFYTTAGTITGILYVSTERVAFCSDRSLTTYSTTGELLKFQYKVSIPLGKNKRSKRKHELKEDII >cds-PLY82932.1 pep primary_assembly:Lsat_Salinas_v7:1:16881827:16882543:1 gene:gene-LSAT_1X15361 transcript:rna-gnl|WGS:NBSK|LSAT_1X15361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEASTSSKMKPRKQSKMLNYMPLEVIVKIIVEVGKFSAFDAFKMKVVCKIWNDAGKTKEIYKQMEIDGLICFHRWSDEKYAVVKKCKELRNPNIFFTDGLMKLFFLEDDERKTMLE >cds-PLY73337.1 pep primary_assembly:Lsat_Salinas_v7:7:62973155:62976990:1 gene:gene-LSAT_7X45841 transcript:rna-gnl|WGS:NBSK|LSAT_7X45841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSEIEVEENTDPTAAGNGNGAAEGGVGVPEESLKNDIYTAAAYGDLEKLRNLVETEGCSINKPDDQGYRALQWAALNNRVAAAQYIVEHGADVNAVDVSGQTALHWSAVRGAIQIADLLLEEGARVNAADVFGYQATHVAAQYGQTAYLYHMVTKWNADPDIPDNEGRSPLHWAAYKGFADSIRLLLFLDAYRGRPDKEGCTPLHWAAIRGHLEACTVLVQAGKKDDLMVTDNTGLTPAQLASDKNHRQVAFFLGNARKLLEKRYEGTFGKLTKLGLAPALLCVIFVLLLTYINSVIMASNLPKLTAGSAFFAWIGVTLASAGLILFYRCSCKDPGYIKANRNESKDTRDDEPLLKLEVRDPALLAGNWNQLCATCKIVRPLRAKHCSTCERCVEQFDHHCPWVSNCVGKKNKWDFLVFLVLEVFAMLITGTVALTRIVKDPLAPSSFGGWLQHVGNQHLGVLLFLVSDFSLLIGVAALTCMQISQVGRNITTNEMANMMRYNYLRGNGGRFRNPYDHGCKKNCSDFLINGYNEDIEVAEEPSSAAAADQFDGINMMPMTASSLALNLQNGGPIASAAAAAAAAGSGGGGYSHQTNGNGHHVHSPGCSHGKPKTESVPLGLGLGLGRGSRSRVAL >cds-PLY84598.1 pep primary_assembly:Lsat_Salinas_v7:1:28878573:28879961:-1 gene:gene-LSAT_1X24401 transcript:rna-gnl|WGS:NBSK|LSAT_1X24401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase, Strigolactone receptor, Strigolactone perception, Reguration of shoot branchin [Source: Projected from Oryza sativa (Os03g0203200)] MGPSLLDALNVRVVGSGKKFMVLAHGLGTDQSVWSRILPYFRTHYRVILYDLAFAGSVNPDYFDFNRYNSLDAYVDDLLQILDSLGVDRCFYVGHSLSSMIGILAAIRRPELFSKLILIGASPRFLNDKDYHGGFEAGEVEKVFLAMESNYEAWVNGFAPLAVGADVPAAVREFSRTLFNMRPDISLFVSRTVFNSDLRGILGLVRVPCCIIQTAKDVSVPTSVATYLKEHLGGRNTVEMMNVEGHLPHLSAPALLAHHLNRALSR >cds-PLY73210.1 pep primary_assembly:Lsat_Salinas_v7:8:178812686:178815831:-1 gene:gene-LSAT_8X116001 transcript:rna-gnl|WGS:NBSK|LSAT_8X116001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARFLSLTSQSHPPKPSLNPFNGITNTISEHSSSRRAFASICTVSSLIALVQPQILVAQTTSSSATNTILSGLTSTKSWFRFFGSGFAIRIPPDFEDIMEPEDYNAGLSLYGDKAKPKTFAARFATPDGSEVLSVVIRPSNQLKITFLEAKDVSDFGTLKEAKKIFVPGGTSLYSARAIKIKEDEGYRSYYFYEFGNDDQRVALVAAVNSGKVDGYM >cds-PLY90309.1 pep primary_assembly:Lsat_Salinas_v7:2:198241126:198243304:1 gene:gene-LSAT_2X119201 transcript:rna-gnl|WGS:NBSK|LSAT_2X119201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCGVYAATGDPWLMMGSSGSGGGGGGGVGGQMLGGAFSHESEHDLAAMVSDFLENGSSCGADSRCSSDSDSGFCELAHLADKISYYKVLLDKHGIDMLSVVNSLILSINTMDLHFIRSGSCSCNASCIRFSLVKLLRLSGYDAAVCTSRWQGTGKVPGGDHEYVDIINYNDSGNVDRLIIDIDFRSHFEIARAVPSYNRILKSLPVVYVGTLTKLKQFLQVMVEAAKSSLKQNSMPLPPWRSLAYLQSKWHSPYQRHVNPELESELESELFSGSGFGSEHKLCIGHLNRLKSLIQFEMETERNHVKKPNPTSRVKIVKRFIK >cds-PLY82419.1 pep primary_assembly:Lsat_Salinas_v7:2:186243150:186243743:1 gene:gene-LSAT_2X106561 transcript:rna-gnl|WGS:NBSK|LSAT_2X106561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRGEILKAWLKRCGKMNNEVLPSDAECDKCWQWAFRWSSSFMHGGKEEACIPRDVPKGHLVVYVGESQRRFVIKVKLLKHPLFGALLDQAREEYEFTADSRLYIPCNEEIFLGVVRCAMTPRDRRIMFCF >cds-PLY64292.1 pep primary_assembly:Lsat_Salinas_v7:5:83524376:83531763:-1 gene:gene-LSAT_5X39040 transcript:rna-gnl|WGS:NBSK|LSAT_5X39040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRNQNKANNDKHDNSNGLIPNSFRFISSYIKTVSSNVRSAGASVSGSVSGDSSDELRKDQVLWCCFDRLELNPSTIKNVLLLGYSNGFQVLDVDDGCNFSELVSTRDDPVTFLQIQPTPKEATTSDAHEGFKMSHPLLLVVASEEARVSGISHSHNERDESQMDNSMYSPRAVRFYSLRSHNYVHVLRFRSTVYMVRCSPRIVAVGLTSQIYCFDAVTLENKFSVLTYPISQLGGQGVSGINIGYGPMAVGPRWLAYSSNNPLLSNTGRLSPQNLSPSPGVSPSTSPGSGSLMARYAMESSKQFASGLINLGDMSYRTFSKYCHDLLPDATRAVPHSTENANAGMVVIKDFVSRAVVSQFRAHTSPISALCFDPSGTLLVTASVHGNNINIFRILPSSSHNESGNRNFDWNSAHVHLYKLHRGMTSAVIQDICFSNYSQWIAVVSSRGTCHIFLLSPFGGETGIQLQNSNKTKLSPFVSQPWWSTSSFTKDQSSPPPPPITLSVVSRIKNVNFGWINTAGKAAVPPGVIAACFHSSVRRNHPEPSVSKADVLEHLLVYTPSGYVIQYELLPSLGREQGESSGSPQDEELRVKVEPVQWWDVCRRTDWPEKEEFIGIGGGGGGGRHGLVEAAMANSDDEDGGVVEKDLSKLKERSRWYLSHAEVQMRSGRVPVWQKSKIYFYAMAPQSHDDGHGGEVDIETIPVHEIEVREKDLLPVFDSSCVVHPGWTDNRVFGAGRYSALSSHGGSSNEKFPQDSIVSHGSSLEATEAQIASYPILASTVNNKDSMNRLTCYPPVDDEIMNSPSPVDHSIPPDLTVARGVKSLESVVTSDGSNRSDSSMNNTVNGYGHDFQEEYCNPSTQEKREINEVDGKNGLHQRNKSEEDGDDDMLGGVFAFSEEGQ >cds-PLY74107.1 pep primary_assembly:Lsat_Salinas_v7:9:11087544:11087895:1 gene:gene-LSAT_9X9401 transcript:rna-gnl|WGS:NBSK|LSAT_9X9401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLVLWLTMVDPCYAIINKNQPALLPLLILPSLDHSGPHSPGNGCNHSDNGGNHCITPVNGKAFARSHEGGAATNRKMMRQASGASDQI >cds-PLY69835.1 pep primary_assembly:Lsat_Salinas_v7:6:3354178:3355411:-1 gene:gene-LSAT_6X3861 transcript:rna-gnl|WGS:NBSK|LSAT_6X3861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCSESSDLKKGPWTPEEDEVLTTYIQKHGHESWRALPKRAGLNRCGKSCRLRWINYLRPDIKRGNFSEDEEILIINLHSTLGNKWSRIASQLPGRTDNEIKNFWNTHVRKKLLKAGIDPKTHQPVPNITFLLDTISKLNLPLKVPAIMSPWINNINDQLLNNLLQFVNMTPLPKPTFLDSQCYMEEMVNQYAINRSEDWMEYVNNVSLEPQSECDSIGASLMGSYGNGTEIPELFTDLKSMSHNLFMENQLPGMSPEEPMNLKNSLESNAFETGFDDYYESLLKI >cds-PLY96431.1 pep primary_assembly:Lsat_Salinas_v7:4:342539544:342540683:1 gene:gene-LSAT_4X169300 transcript:rna-gnl|WGS:NBSK|LSAT_4X169300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHTFVHKDQIDLPPGFRFHPTDEELISHYLYPKVSNINFSALAIGEVDLNKVEPWELPWRANLGEQEWFFFCVRDRKYPTGSRTNRATSAGYWKATGKDKEIFKEKSLVGMKKTLVFYKGRAPKGEKTDWVMHEYRLDGKFSTINLPKSSKGEWVISRVFYKTTGGKKISISALLRMKNGNTYEHDFGSADLPPLMEISSVEGGSRTETSHVTCFSNSMEEQKPKNEEIMGSWSSGNSLMESRTDNVCFLSNQMAPSVESYQYQDTTWMQDPSILKILLEANNDSSIRQNLKTELVDDQEYGMNLGGQVDLDNIWNY >cds-PLY86444.1 pep primary_assembly:Lsat_Salinas_v7:8:3532798:3534758:-1 gene:gene-LSAT_8X2860 transcript:rna-gnl|WGS:NBSK|LSAT_8X2860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPLRLQSLLRVFTSINTPFYPTAIDVNLGFFRHRLQTDEIFRQQQMEWNFPNIFVDGYGSQPNNHLVVVVANDTELMETFCIRYVNWTLNHE >cds-PLY72286.1 pep primary_assembly:Lsat_Salinas_v7:3:167483715:167484203:-1 gene:gene-LSAT_3X107140 transcript:rna-gnl|WGS:NBSK|LSAT_3X107140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEDKKVESLRPKIDHVVVGLSKGSRNLSSQSQSQTRTHMRNVKDVFLSAFSSSNGKKRHDAPYSFVNVLGVPRMAVAGAKPEFFVQRMKDDVKENESLLLNEVKRLRQRGILGVVNESESKSNVRESEKMGNLEWIELL >cds-PLY95116.1 pep primary_assembly:Lsat_Salinas_v7:1:95270332:95273748:1 gene:gene-LSAT_1X78000 transcript:rna-gnl|WGS:NBSK|LSAT_1X78000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesylcysteine lyase [Source:Projected from Arabidopsis thaliana (AT5G63910) UniProtKB/Swiss-Prot;Acc:P57681] MFTITVVILSILSLTTPSSSSDQPPRSPTDVCIIGTGIAGSSVAHFLRQYSSSISQIRMFERHPIVGGRMATVTIGGETFEAGASILHPKNYHALNFTHLLNLKVKGPSASDSSFSLGIWDGQKFLFKTIDSKSKSSVVQYFVSLANSLRMFVRYGVSLLKMTNFVEVTVDNFLKYYESKESRPIFNTVEDMLKWADLYNLTTQTLEEKLVALNYSPLLIQELITVITRINYGQSVRISGLAGAVSLAGSGGNLWAVEGGNWQMAAGLVNRSDVTLHLEEEIESVTNLDGFYELNSTKGNSYTCQVTVVATPLDELNIQFTPKFSIPERKLQHTHATFVRGLLNPVYFGLDSVLEIPELVGTIESDELPFTCISILKEHSENDMTYKMFSRQSLSDTLLDQIFSIRSETIRINWGAYPHYHAPEKFAPFMLDDGHLYYVNAFENAASTMETGAVAAENIARLVLSRLSSQQPLRMYGLKTSILDSSPEHREL >cds-PLY70745.1 pep primary_assembly:Lsat_Salinas_v7:8:166732417:166737506:-1 gene:gene-LSAT_8X109740 transcript:rna-gnl|WGS:NBSK|LSAT_8X109740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDEVSAIVVDLGSHTCKAGYAGEDAPKAVFPSVIGSIDQMEVDENDNSEKNSEPKSKGKRKLYVGSSAMGYRRDHMEVLSSIKDGIVTDWDIVESIWDHAFRECLLVDPKEHPMLLAEPSSNTQQQREKAAELMFEKYQAPALFLAKNAVLTSFASGRATSLVVDSGGGSTIVAPVHDGYVLQKAVSASPIGGELLTDCLMKSLESKGVKIRPRYSFKRKEVRPGEFQTVDLDFPNTTESYRLYCQRVIASDIKECVCRAPDTPYDDTSYSNIPMTAYELPDGQTIEIGSDRFKTPDVLFNPSLIKTIPGMEGSVDIASSARGLPQMVIESINKCDVDIRRELFSSILLAGGTASMQQLKERLEKDLLEESPQAARVKVLASGNSTERRFSVWIGGSILASLGSFQQMWFSKSEYEEHGSSYIQRKCP >cds-PLY83729.1 pep primary_assembly:Lsat_Salinas_v7:4:38696601:38709480:-1 gene:gene-LSAT_4X27300 transcript:rna-gnl|WGS:NBSK|LSAT_4X27300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNECNNKNNRKLEVSNVAEKQEIKDLWTVLPKEEIETYGQTNGPRTRIQCSDTEFVNSWKELGEERCTILRTNLKDNPFQSLLELDGGVRNNDLILDLVSIFNVSNKSLNINGHDYRVTPEEFTHIMGIKDGVEEIDLKKHPSKELKTLFADSNPCQFTVSNKKIAVHLKSNDMNIATKAYALLSLLRIVCAPGRGSLNGKYLNNIDDMNHKRWATHAIETLVQSIASFQNRKGLKQKYLGGCVLFLQLFYMNKASMNPKKDVLLLHHFKKNVVDTFLKEHKKRTAGLLFTVGKRVEVRIKVGNLKVWFPATVVENLGDNSFLVEHQQPGIGDEATLHKVTVDYQQIRPSPPHLSLRDKDFVLLEKVDAYYDFGWWRGVVTKKLADNRYNVFFKYTKKEREFDCSSVRPRMKWKRGKWFITFQGQGECNSVKGETDGRTTQSKQLEQTTPKQSTVATSIAKRTNQTDLDSNDSNSLPSRRLGNEIRSDDPSLSSQNTNGQSQGLDTECSKRGKGAEPKTPTMDSSRKKGRLETELIGKGAKDDPAKTRSHKNVEKDVTQCNGMTISQEKKLKQLINVAEGETKQASPCAVLSPLTVVDKEEKEGKEEAEIVSPTPTPKRKRGRPPKLQAISPEIPVSAAAGVAVNDHDHENEGVGPLEMESSVTKRLEQPGAEDNNENVKVQAHPQPQEGKVYSSMRGKGGKRRIISIDSESPPSGATKGEEGLGFGDNFPFKISSPTLWKTIESMEVLRKIPQKPHFGPLEGVKESEREGVAIGSMVTFSRVVDKTCALQFEDPRSAIEECLETVAELELHGFQVELVRERLTQLLLIKEKQEEEDLQQQRLKRITQKMEEAQMEGKRLDSEIDEIDTEIRDLEKRRRRLLSNKEKHDSRIGLIKAAGDRLHQHLTEVGLDFHCLAAAPFLPSGIIMND >cds-PLY78561.1 pep primary_assembly:Lsat_Salinas_v7:1:104198053:104199174:-1 gene:gene-LSAT_1X83600 transcript:rna-gnl|WGS:NBSK|LSAT_1X83600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMLSYSGGDDSHFLEACSLCSKSLGHNSDIFMYRGDNPFCSQECRQEQIEIDDAKEKKWRVSLKKSMETKKKSPKSNVQTGTLLVA >cds-PLY62131.1 pep primary_assembly:Lsat_Salinas_v7:6:47286800:47287368:-1 gene:gene-LSAT_6X35520 transcript:rna-gnl|WGS:NBSK|LSAT_6X35520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKYESQNGSPLEHLRYQKSINKSQRLSSTGSCTLLRSLLWKVGEHDEIIVVVVFGPSATV >cds-PLY88325.1 pep primary_assembly:Lsat_Salinas_v7:3:86248502:86253951:1 gene:gene-LSAT_3X66800 transcript:rna-gnl|WGS:NBSK|LSAT_3X66800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKTCSLLVHFDKGTPALANEIKEALEGNDDAAKIDAMKKAVMLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIDKTDSKGKILPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSILANLEHRHPFIRRNAILAVMAIYKLPQGDQLLVDAPEMIEKVLSTEADQSAKRNAFLMLFTCAQERAVNYLLTHVERVAEWGELLQMVVLELIRKVCRANKGEKGKYIKIIISLLTVPSAAVIYECAGTLVSLSSAPTAIRAAANTYCQLLQSQSDNNVKLIVLDRLNELKSSHREIMVEMIMDVLRALSSPNHDIRRKTLDIVLDLITPRNINEVVLTLKKEVVKTQSGELEKDGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAMDVAVFVREIIETNPKLRVSIITRLLDTFYQIRSARVCSCALWIISEYCLSLSEVESGISTIKQCLGELPFYSASEEGENNDSSKKSQQVNSITVSSKRPAILADGTYATQSAASETAFSPPTVVQGTLTSGNLRSLLLTGDFFLGAVVACTLTKLVLRLAEVQPLKSEVNRASTQVLLIVVSMIQLGQSSFLPHPIDNDSYDRIVVCIRLLCNPGEEIKKIWLQSCRESFVQMLADKQMRETEELKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFIKEGDAANKLNRILQLTGFSDPVYAEAYVTVNHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVMERTVIVLNDIHIDIMDYISPAVCSDAAFRTMWAEFEWENKVAVNTVIQDEKEFLDHIIKSTNMKCLTAPSALEGDCGFLAANLYAKSVFGEDALVNLSIEKQGDGKLSGYIRIRSKTQGIALSLGDKITLKQKGGA >cds-PLY70592.1 pep primary_assembly:Lsat_Salinas_v7:1:88426017:88429010:-1 gene:gene-LSAT_1X75620 transcript:rna-gnl|WGS:NBSK|LSAT_1X75620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSWKDRVTDKLTNLFSDSPSSPSSPSIDSPQPHTARSHSKDGNYFSSVLTFMRPSSDSPSNNHGNNLRPIQSLPTRWKSKDISWQHIPLDPYDEDEEEDEDEFNPHEQSGQQSQHIKTENKEVITSNGRVEENGDQGSSRSSSSSSEFEDATEPNTPMKAIIDFSLDSFFINQDLYQFFQSSLPNLVKGRRWVMLYSTLRHGISLRTLIRKSSDLSGPCLLITGDPKGAIFGGLLNCPLTPTSKRKYQGTYETFVFTTLYGAPRLFRPTGANRYFYMCLNDMLAIGGGGNFALCLDEDLLHGTSGSSDTFGNQCLAHDEAFALKNVELWGFAHSSRYP >cds-PLY87892.1 pep primary_assembly:Lsat_Salinas_v7:5:3765322:3766887:1 gene:gene-LSAT_5X1800 transcript:rna-gnl|WGS:NBSK|LSAT_5X1800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 1 [Source:Projected from Arabidopsis thaliana (AT5G55730) UniProtKB/Swiss-Prot;Acc:Q9FM65] MQLPYTAVVISMVVLLLPGATVEGHNITSILAKFPEFSTFNHYLTITHLADEINNRQTITVCAVNNAGMSDLLSKHLSVYAMKNVLSLHVLLDYFGAKKLHQITNGTALAATMFQATGTASGSSGFVNITDLKGGKVGFGSEDTGRTDATFVKSLHELPYNISVIQISSMLPSAEAEAPTPEPAAVNITSLMSAHGCMNFAEALLASDAMKTYEDNIDGGLSVFCPLDDAFKGFLPKYKNLTVSGKQSLLEYHGVPIYQSMSMLKSSNGLMNTLATDGASKYDFTVQNDGQEVTIKTSIVTAKIVGTLIDQQPLVIFTINKVLLPKELFKAALSPAPAPAPEADAPAESPKSSKKKKHKSPPASDSPSDSPADSPDDGVADQEADSNSATEIKGFRFAAVAVAVASSFWFASLVV >cds-PLY94842.1 pep primary_assembly:Lsat_Salinas_v7:2:178336251:178336475:-1 gene:gene-LSAT_2X97160 transcript:rna-gnl|WGS:NBSK|LSAT_2X97160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHEDNQFGRCGRTLLWISDHILHRALLSLPSPSSHYGRRRRRNREEGISNNWFYYGTAHNVHIDLLCASASSTG >cds-PLY82730.1 pep primary_assembly:Lsat_Salinas_v7:2:144618668:144618850:1 gene:gene-LSAT_2X71360 transcript:rna-gnl|WGS:NBSK|LSAT_2X71360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIVEIALMCTQSPASLRPTMSEVVLMLSSGPTLGKRQIFRPTFIDFDRRIHIGGFSECP >cds-PLY92489.1 pep primary_assembly:Lsat_Salinas_v7:2:152551511:152552828:1 gene:gene-LSAT_2X76680 transcript:rna-gnl|WGS:NBSK|LSAT_2X76680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKASFRGSYDANNSDGTGSIVVNAGGVNLQASVTGGTFFNGPLLNDLTLSVESPGSFVVDYIVPKKDVRFQFMNTFKINEKPLNLTYTHSVVENQTSLDGKLALNSNHKVSANYGFKSGSCKVKYNYVHGGVTTIEPCYDFAENSWDLAVSRRVDDDSVVRASYQSSTRVLGLDLRRNSSNNRSIKVSASVNLADKEKKPTIKAESIWDIEM >cds-PLY69941.1 pep primary_assembly:Lsat_Salinas_v7:4:69569480:69569674:-1 gene:gene-LSAT_4X46860 transcript:rna-gnl|WGS:NBSK|LSAT_4X46860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTIHSRNPKSQNLDGNKGTIHGAYLTRHESSLMNSTWQELFRESSLTTRVRRELFLHGYDFKN >cds-PLY91516.1 pep primary_assembly:Lsat_Salinas_v7:7:142451657:142451962:-1 gene:gene-LSAT_7X85081 transcript:rna-gnl|WGS:NBSK|LSAT_7X85081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHSTTPSISVNIRRIGGEECCRHSLQPLGSGRKQGSMIGAGVYILVGTVAREHFGPALAFSFLIAGIAAVVCAFCYAELASRCPSAGSAYHYSFICVGEG >cds-PLY63437.1 pep primary_assembly:Lsat_Salinas_v7:7:152646787:152647593:1 gene:gene-LSAT_7X91221 transcript:rna-gnl|WGS:NBSK|LSAT_7X91221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMSSRGSGSWTTKQNKAFEKALAVFDKDTPDRWHNVAKAVPGKTAEEVERHYQLLVEDVNNIESGRVPYPIYRTSHKGA >cds-PLY66460.1 pep primary_assembly:Lsat_Salinas_v7:5:327235005:327236501:-1 gene:gene-LSAT_5X182821 transcript:rna-gnl|WGS:NBSK|LSAT_5X182821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAGFDVVQGALELIQPHEQWDYNNTLGAYVTSTATTSSSLNGFSDYSSKAPANIERPNELSEWVEHVTKTLVEDLPHQESNLEDQSFHTDATLPAANYGVVSPDVCETRPRKIPRGNWSDDVRSCGDQGNNKGLNLIALLFECAVAISVDNLGEAHRMVLELTQMASPYSPSCGERVVAYFAKAMASRVVNSWLGVCSPLTNHKLIHGAFQVFNNISPFVKFAHFTSNQAILEAFQRRERVHIVDLDIMQGLQWPALFHILATRMEGPPHVRMTGMGTSMELLVETGKQLLNFAKRLGMSFEFHPVVKKFGDVADLSMLQIRRGDALAVHWLQHSLYDATGTDWKTMRLLQELNPRIITLVEQDISHGGSFLDRFVGSLHYYSAIFDALGAFLPSDDNSRHRVEHSLIHREINNVLAVGGPGRSGEDKFRVWRSELGRNGFLQVPMSGNSLAQGQLILNMFPPTHGYTLGQVDGTLRLGWKETALYTASAWTCHVSR >cds-PLY86981.1 pep primary_assembly:Lsat_Salinas_v7:5:265191192:265193437:-1 gene:gene-LSAT_5X135860 transcript:rna-gnl|WGS:NBSK|LSAT_5X135860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPVVNDGAAEQIILRWDATASEDARVRMIFDGDRQEIERYLQAVDQIQRSMDSTTLSDDDQQSTKVNNAIQIAMARLEDEFRNILITNSTPIETESVTESISSTRLTTRTNSSRSESPENDDCSNRGEDDAVSRDGSSSFPERSATFESCRSMTSIREQDLIPSESIADLRCIAERMFTAGYSRECVQVYGSVRKSVVDGSFKKLGVEKLSIGDIQRLEWDALNTKIGRWIRAAKICVRVLFASEKKLCKQIFEDLGTAADDACFMETVKGPAIQLFNFVEAISISRRSPEKLFKILDLHDSLLDLLPDIDDVFDSKPAESIKTQATEILSRLAEAARGMLSEFEGAVLREPSRVPVPGGTIHPLTRYVMNYISLISDYKQTLAELIVSKPTTGSRYSDDSTTPDMDFTDHEGQSPLALHLIWIIAILQFNLEGKSKHYKDNSLAHLFIMNNVHYIVQKIKGSSELREMIGDQYLRTLTRIFRQAATNHQRATWVGVLRCLRDEGLHTTKTFSSAGVSKSSLRERFKSFNAIFDEVHRTQSLWLVPDEQLREELRISILETLIPAYRSFLGRFRNHIESGRHPENYIKYSVEDLETAVLDLFEGYAASQHSRRRSQ >cds-PLY67884.1 pep primary_assembly:Lsat_Salinas_v7:5:5663984:5664540:1 gene:gene-LSAT_5X2901 transcript:rna-gnl|WGS:NBSK|LSAT_5X2901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTRILRPTVYDPIREISLPVLGKLWSRLPAIFATFLVSGLMHELIYLYFTRVRPTWEVTWFFVLQGVCTAVEVAVKKAAKGKFQLHRAMSGPLTVVFVAVTGVWLFLPQILRNGVDVKAINEYSILLKFLRSTWESKI >cds-PLY82424.1 pep primary_assembly:Lsat_Salinas_v7:2:183175253:183176788:-1 gene:gene-LSAT_2X105521 transcript:rna-gnl|WGS:NBSK|LSAT_2X105521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENQINSCIYDQQKIARIQFQSTAESTWTRYEDKLFERALVDVPENITERWDEIAKAVPGKTAEQVKIHFMKLLHDLDAIESGHFEFPKYKDNYDEKESIVSLDSELRRSQISFRAVGRGSRHGECERKKGTPWTQEEHRNFLKGLKLYGKGDWRSISRNCVITRTPTQVASHAQKYFLRQSSLTKERKRASIHDITTTMVMQPPLLTSGQGGARHGYEYQKNFWCPIEDQHLVNKFSTKLLP >cds-PLY93955.1 pep primary_assembly:Lsat_Salinas_v7:8:110726771:110730258:1 gene:gene-LSAT_8X75600 transcript:rna-gnl|WGS:NBSK|LSAT_8X75600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRUBBELIG-RECEPTOR FAMILY 2 [Source:Projected from Arabidopsis thaliana (AT5G06820) UniProtKB/Swiss-Prot;Acc:Q9FG24] MHTPQQQLQELLDSLGFNPNQSTVSNTFCAISTVRALQDLYRSFNTPPQLKGWKSNGGDPCQESWTGISCAGSSIIQIKLDNLNITGNLGYQLNNFHHLKQLDVSNNNIYGEIPYGLPLNLTHLNLACNNLSQYIPYSISSMKNLRHMNLSHNFLSGPVGNVFTGLSCLKEMDLSYNQFIGDLPSSFGTLRGLSRLFLQHNEFTGSVIFLADLQLTDLNIQDNHFSGIIPKQFQTIHNLWFGGNMFDKGDNSPPWDFPMENPNEQQNNTSPPSTESSSAVKSYPLIEPVNHKKKKSGHVVIFYGVGALMAACFVIFIVIRLRNHSRKLRRPASSEGSQPSLPVNCSSTALDESPEVSVISSPTTGPRHAAPVRTKVVRVNRRRSFAKKSRMPIGATLYTVAELQLATNSFDRSNFLGEGSLGSVYKAEFPDGQVFAVKCINTVALSLHEEQQFLEVIWNASRVRHPNIIQLHGYCVEQGQHMLVYEYVRNLSLDYALHSEAYMPLSWGLRLRIALGIARALNYLHTTCAPPLAHRNLKAANVLLDEDLTPRISDCYLAVLKPLTISSARAQASETDTGGISYEHTKPGTGNQKDDVYAFGVLLLEILTGRKPFDGDPLRDESSLVQWASSRLHDSESLDEMVEAGIRKTIPPKVLSRYADIVSLCTQAERGFRPSMTEIMESLGQMLEEYRRMRTGVDTDFDPYERSFRSTNSRFIGSPTVSYMSI >cds-PLY99398.1 pep primary_assembly:Lsat_Salinas_v7:4:102883166:102883435:1 gene:gene-LSAT_4X66441 transcript:rna-gnl|WGS:NBSK|LSAT_4X66441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLNAITLICILLLIGAAGKSAQIGSHTWSPDAMEGPTPVSALIHAATMVTAGVFMIARCSPLFEYPPTALIVITFVGAMTSFLATYFI >cds-PLY94233.1 pep primary_assembly:Lsat_Salinas_v7:8:230466810:230468997:1 gene:gene-LSAT_8X139801 transcript:rna-gnl|WGS:NBSK|LSAT_8X139801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDQLRRENKTGEREIHVEKDKVPKMASHFESLTVKDTGDAVVSHHVIGTMGGKDDEMHGQSKTQKDKTQGTTTMKSREHSKLGEAHKQSGDVQEQYKGPSLEDISKLRGTAQQNSMEAIRAAEERHQKAKEMGASQGENVTQSASRPKGQQSKVSATHTGHKGQQTSEQTKNSVSGATQTASGKGAKATDYTLEKGRQTGEQARERVSGATQTAAEKAARAKDYAVEKGQQTGQQAKQSLTSATQTVGEKAARAKDYTLEKGQQTGQQAKQSLTSAAQTAAEKAARAKDYTLEKGQQTGQQAKQSLTYAAQTAAEKAARAKDYTLEKGQQTGQQAKESLSAATQTAMEKAAMAKDYTVEKSVQAKDVVAEKTTGITDTAVDVSKKGASYVGEKAVAAKDVALETGKTTAGYVGKVAGVVKDKAVVAGWSAAELAGDTAVGVTKTVANVTAGVAGFAGDTAVATKDVVVKVGTKTKDMVVGAEENVKDYAAKKKSEKLRELEAKNAKDEGEFGGLRNESESVGEMASDFMNQGTETNKGYDEQGGYEQSFEHEGMEEGGFEKQSGGGGGAAAGGVMRVIGETLVEIGQNTKEMLVGQGDYKGEQQIGEGGGKNRRQ >cds-PLY90473.1 pep primary_assembly:Lsat_Salinas_v7:9:93203292:93205086:1 gene:gene-LSAT_9X70980 transcript:rna-gnl|WGS:NBSK|LSAT_9X70980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIFSIFSSWLLTALLVLSMFWICRYTLKSNRSSMVSPKLPPNPPKLPIIGNLHQLLGKPRHLAFWQLSQEYGPVMLLNIGTRSCLIISSSAMAMEVLKDQDQILCSRPISKTTKLLTYNYVDAAFSPHSNHWRKMRKALVSEFVGPKRARLTNHVLLTEVEIMLRSISLHPSNSAVNITELFLAIAKAMICKVAFGSNYREREQLLKGPSLEVMLDEVMELLDPSLSDLFPWLGPIVDQISGRNHMLEKLFSNLDAYIQTNVDDHKNHIGKVDDDEKDFLHTLLELSSIENASHDDRFTIEEIKALIMDIFIGGIDTTFATMVWAMSEIIRNPRVMQKVQSEIRNCAGRKQKLDEMDVTKMTYLKMVVKETLRLHPPAALLLPHESLSHCQIGGYDVLPETMVFINGWVIGRDPSTWGKNAAEFYPERFENLEGDFRGGNYEMVPFGGGRRTCPALKTAPATIEFTIANLLYWYDWKIPGGVKNEDLDMVEEGSLLVRKKLPLFLVPINHNWED >cds-PLY87891.1 pep primary_assembly:Lsat_Salinas_v7:5:3848022:3850196:-1 gene:gene-LSAT_5X1881 transcript:rna-gnl|WGS:NBSK|LSAT_5X1881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMSSIAIAAVFMVILTANIGEVLGIRFVIDRDECFSHKSEYGATVRFSFVVIKVEGAWHYNEDGVDLVVTGPKGEQIQDFRDKTSDKSDFVAHNEGLYKFCFTNKSPYHETLDFDVHSSHFYNDVEHAKDDHFKPILEQISKLEDALYNIQFEQHWLEAETDRQAIINEGMGKRAMHKAVVESAALIGASVLQVYLLTRLFERKLGLSRV >cds-PLY70801.1 pep primary_assembly:Lsat_Salinas_v7:4:59664729:59665147:1 gene:gene-LSAT_4X40881 transcript:rna-gnl|WGS:NBSK|LSAT_4X40881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSSQDAGQLFQTDKWFIPPLIQPPESPITVLTTTDNTTNTTTSTTDGNLTRLEMGVDHDTHLDFQMFSLKPEEHSPSMVQPSATSDEASQEEVGTDLDLLWNFDATTPDDFRFLEYFRE >cds-PLY70696.1 pep primary_assembly:Lsat_Salinas_v7:3:105457612:105462679:1 gene:gene-LSAT_3X76401 transcript:rna-gnl|WGS:NBSK|LSAT_3X76401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHTAFDSLELLNGCPTKIDAVESYGSNLLVACADGSLRIYGPESSTSSADRSPPSDRHSKTLELKREPYVLERTVNGFSRKPMLAMEVLGSRELLLSLSESISFHKLPNLETLAVITKAKGANAYSWDDRRGFLCFARQKRVCIFRHDGGRGFVEVKEFSVPDTVKSMSWCGENICLGIRREYTILNATNGALSEVFPSGRIAPPLVVSLPSGELLLGKDNIGVLVDQNGKLLQEGRICWSEAPAVVVIQKPYATALLQRHIEIRSLRVPYPLIQTVVLRDVRLIHQGNNAVIVALNNSIHGLFPVPIGAQIVQLTASGNFEEALALCKLLPPEDSNLRASKEQSIHIRYAHYLFENGSYEEAMDHFVASQVEITYVLSLYQSIVIPKSSVVSGPEKFLDMSGEVYLSRASSGVSDDMETSSTSHTLEYDESSALESKKMSHNTLMALVKFLQKKRNSVIGKAAAEGTEEVISDAVGHSHESNRSKRSNKGRVNMPLDSGAREMALILDTALLQALLLTSQSTAALDLLKSLNYCDVKICEEILRNGNHYLCLLELYKWNSMHREALKLLHKLVNESKSDQKFKPEMIIEYLKPLCGPDPMLVLEFSMHVLESCPSQTIDLFLTGNIPADLVNSYLKQHAPKMQAMYLELMLSMNENGISGNLQNEMVQIYLSEVLDWYNDLIGEKKWDEKSYTASRKKLLSALEGISGYNPEILLKRLPPNALYEERAILSGKMNQHELALSIYVHKLHVPSLALSYCDKLYEAGLHQHQTPKTNIYLTLLQIYLNPKKTIKNIEKRIANLVSSSSSPSPKVGWTSVKTKAKGLGKKIADIEGAEDSRISPSGTDSGKSDDGDGDDFGEEVVSNIMLDEVLDVLGQRWDRVNGAHALKLLPKETKLHNLLPFLGPLLRKTSEAHRNFSVIKRLRECQNLQTKDELYSQRKVDVKITGESMCSLCNKKIGTSVFAVYPNGNTIVHFVCFRDSQNMKATGKGSSLRRR >cds-PLY93673.1 pep primary_assembly:Lsat_Salinas_v7:2:201548138:201549135:-1 gene:gene-LSAT_2X122581 transcript:rna-gnl|WGS:NBSK|LSAT_2X122581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQESKSSKWTREQNKWFESALAIFDTETPDRWSNVAALVPGKSEFEVRQQYEELKADINNIEAGLVPDPGYFTFKSELLQDRRFNSFGSRLFRSRSLDHERRKGIPWTEDEHRRFLMGLQVHGKGDWRNISRNFVVTKTPTQVASHAQKYFARQHADGKEKRRPSIHDITTVHLPRTTSFSGDMDKSSPLMVNTQNNGINIRVYNSGLFFQPTRYQVQG >cds-PLY73171.1 pep primary_assembly:Lsat_Salinas_v7:1:178855022:178856703:1 gene:gene-LSAT_1X117760 transcript:rna-gnl|WGS:NBSK|LSAT_1X117760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQSEKEAIAAASEELSQEFKTLIDSQDMDSLKQLQHIILGRLQDSNAVLSHFNDYSENCYAEVSSDLYTNTRLLKSMKLDLDYIFQKLRSLKAKIKATYPDAFPDDSTIETLDRRPDLEIPQ >cds-PLY89805.1 pep primary_assembly:Lsat_Salinas_v7:2:138415893:138416849:1 gene:gene-LSAT_2X67920 transcript:rna-gnl|WGS:NBSK|LSAT_2X67920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCVALDVSPSITLFFYFYVLMSNGDWVAFSLRHGSVELCDGLPTSIKYWKEEFFYVHAFAFSGPKVYGATTDRVADLSPELSPDELLITERLASNFFRWTGPDETMLGMAGMSPHWNRLEDVMIPDSPIHSESNMDSALEVSQTEISKSIPLNLCSKGVKFVSDRGRPPIVSTRASSTGFILKRLYESDQDDQLIQMYPPRRKRSGRETKSRSLNDLANPLILDVTGSKRNFEGPTTTIFHVLPIESDSKMKHMEIEAEASHLAKLGLEDATKNPPENPPFKEGKS >cds-PLY90805.1 pep primary_assembly:Lsat_Salinas_v7:2:105918388:105922470:1 gene:gene-LSAT_2X47480 transcript:rna-gnl|WGS:NBSK|LSAT_2X47480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFHMLSISSSGDSFTLDRYVHKYRLSIMHGVTLPFPSSSSILEPLAGNVGFYLRHLVSGLRLPPRSFFIEVLYSYGAHLIHLYPKEEFDKACQFISHVNDILAVMNCKKLKLYDGSECMKLASEEVLFETGNLHVELSDQFRATFLENQCSLLEANYEAFLRENHVMHAQLTGKSS >cds-PLY74441.1 pep primary_assembly:Lsat_Salinas_v7:6:11278860:11280744:1 gene:gene-LSAT_6X8840 transcript:rna-gnl|WGS:NBSK|LSAT_6X8840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFHSFLTVVLLVICTCTFLKMQFPAILEQKTGFRGFFWKAARIGERLSPWVAVGCFTMGVSIIFF >cds-PLY67568.1 pep primary_assembly:Lsat_Salinas_v7:3:55223211:55224793:-1 gene:gene-LSAT_3X43161 transcript:rna-gnl|WGS:NBSK|LSAT_3X43161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSSSSLIALALLLSLSVSSVTSTSKFDELFQPYWAADHFSFDGDAVNMKLDNFSGAGFSSKSKYMFGKVNVQIKLVEGDSAGTVTAFYMSSDGPKHHEFDFEFLGNTTGEPYIVQTNVYVNGVGNREQRLNLWFDPTKDFHTYSILWNQRQVVFLVDETPIRVHSNLEHKGIPFPKDQAMGVYSSIWNADDWATQGGRVKTDWTHAPFVASYRSFEINGCECPVSTADSDNAKRCATSGGWWDQPILSELNVHQSHQLIWVRANHMIYDYCSDAGRFPSVPVECEHHRH >cds-PLY67018.1 pep primary_assembly:Lsat_Salinas_v7:5:280379522:280379758:1 gene:gene-LSAT_5X146901 transcript:rna-gnl|WGS:NBSK|LSAT_5X146901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKETLDDSSSTGGPTSESPETEIFVDRLSQVGLRYRSGTEKKVDAWKNKKSGKKSGGSSVSRANMSLPPMPLKEPISS >cds-PLY93952.1 pep primary_assembly:Lsat_Salinas_v7:8:110749722:110752552:1 gene:gene-LSAT_8X75620 transcript:rna-gnl|WGS:NBSK|LSAT_8X75620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDQIAKGQEFEKKADKKLNGWGFFGSKFEDAGDLYEKAANSYKLAKSWDQAGSVYVKLAECHLKMDSKHEAASAYADAAHSYKKTSTKECIANLEHALNIFMEIGRLSMAARYCKEIAELYEQEQNLEQAIAYYDKASDLFQGEEVTTSANQCKQKIAQFSAQLEQYQKAIEIYEEIAKQSLNNNLLKYGVRGHLLNAGICQLCKGDVVAITNALDRYQELDPTFSGTRECKLLADLAAALDEEDVEKFTDAVKEFDSMTKLDEWKTTLLLRVKLLLKAKEEEDDDDLT >cds-PLY80647.1 pep primary_assembly:Lsat_Salinas_v7:5:246944020:246946784:-1 gene:gene-LSAT_5X122901 transcript:rna-gnl|WGS:NBSK|LSAT_5X122901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNTSNNLQLTVVPESKEQPSLSNQERNPEPIHDMHQSPSSDSGTHVSNSNQEPPVTPKKASSNTSQQTPPPPGSISEADQENYIVAIRPEKGLDKLPLRRNIDNLTPTQSDQGITFSKLPEKPTGDGYNWRKYGQKLVKGNTFVRSYYKCTFGNCPARKQVEHSHDGHITEINYLWKHEHPKPINTLVKGSAFVLPIQSKASDDLSLVTSEDHSSVHPAASHEPETNQLQLVPVPDNSQELAVSRSEGNNDISSESKRQKRENEGISTKTNCEPRVVVHTTSAVDIVNDGYRWRKYGQKLVKGNVNPRSYYRCSSAGCPAKKHVERAAHDEKVVITTYEGRHDHDLPAGGRNVTPNISGIGTGTGNADSNDGSRPQPVESGAN >cds-PLY96758.1 pep primary_assembly:Lsat_Salinas_v7:2:171266848:171270471:1 gene:gene-LSAT_2X94140 transcript:rna-gnl|WGS:NBSK|LSAT_2X94140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVFFLSAPPSISASLITSRSTTTVTSSSSVQILPLPLSQPPPPTLSGRLSSNSLLSNPQTTPISSSGCSLNCPHFESCSGCTHEDNLHRPVILEEATTFFKKLGVSDFTFDTCRLWGWRCRAKLAIRGSWSDPLIGLYQEGTHNVVDIPDCKAHHPSINAAVELLRKGIKILNIDPYDEDERTGYLRYVQMAVTTYNTSLPASERYRSGRVQVSIVWNSRSETSASFEKLNDLATFMWRNGGPNSKVHLIHSVWANFQTSTNNVIFGNRWRHLLGERDFWEHVGGIDVYLAPSSFGQANTRAFDSLLQKLHKYVPVGASVTDLYAGAGVIGLSLASARKCRKEVSVRCVEINKESKLAFEKTIDRLPSSIDSSISWHLADTSVEPLSWLVGSEVVVVDPPRKGLDPSLISALQAIRSAERKAMTLESPTFKAKDEKRPWILRAREDSIEIPSRTTQEESRSLPQTLIYISCGWESFKDNCMALLSSKAWHLSKAHGFNFFPGTQSIEILAVFKRGAGASLKKKKSGKKKKKKNKPSVSL >cds-PLY79814.1 pep primary_assembly:Lsat_Salinas_v7:8:16200784:16201909:1 gene:gene-LSAT_8X12861 transcript:rna-gnl|WGS:NBSK|LSAT_8X12861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTGPRKLGFLGKARIDLVSFLRNHEDADCHGVHADYGGLKNCPIFMATGKVRTSSFSKNFSAAPTSSVPGLKYGPNGTIFLSSGIPDLDKILGGGFHLGSLVMIMEDTEAPHHMLLLRTFMSQGLVHNQPVLYASPVKNPRAFLGTLPTTLVPKDDKSRNTDAEQKDLRIAWQYKKYLGENKQHNEERDGKIEYCNEFDLRKPI >cds-PLY75547.1 pep primary_assembly:Lsat_Salinas_v7:9:34006853:34011524:-1 gene:gene-LSAT_9X30121 transcript:rna-gnl|WGS:NBSK|LSAT_9X30121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVELDKKYAIIAIRACYRSASKHPVVAVLILFTIWFYRSFPLLFSLLVYASPILVSTAVLLGTLLLFGHQNASKIRKEGKQQHHHHHQIHNLRVRAIEDTGIDGKGARSEARENAIGKKNSDVSNVNLNDDHPRVQIIKRRNSIDNSVLMAYKKLKEEAKNEVYNHNQKIDLGGEKTMFVNRCAQFQPPHANNTEDHKRWETGSQHAETSFPTASMVGAFPMVHDLQQPEVPQNNHMLHVHRSEPEVPHHDAQTLHAHRSDSEVPHHAHTSHVHRNEPEVPRHHAQTLHVHRNEPEVLHHHAQTLHVHRNEAEVPHHAQMSNVNAYAASNHSLESDDHDDDDEEEEARTWTEDDQKNLRNLGKSEIERNQHMENLVARQKALRNMRIQAEENLVNINLDISYSSTPIASTRRNSNASTRRNSMDVPYDFNETVVESTPSDMMPRTNPYDLPYDSSGPSFQSDALSFQPNHEGGGSRQNEGYYTFGASENVSQRSRSSDDDDMVKVHHVTRDGRVYEFKASINGGETRKEKSNFESDSSSFQPNNQSSHRHESSDVGSSTLGGYVHNDEVKTSDVGEKGYQGKSSSESSSSSSSFSDVSDHLDDEEEEYDYRSAVMPSTPERQIQEQQRKNIQQSPKLEHGDGKLSQRPPKGLTSWFGWKP >cds-PLY70880.1 pep primary_assembly:Lsat_Salinas_v7:9:14757200:14761126:1 gene:gene-LSAT_9X12120 transcript:rna-gnl|WGS:NBSK|LSAT_9X12120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMAPPSLSRTLVSSYSSSMDPHHSNAAHVQYLSGYTTHSRARLFKDDAHEVPSGPNPISNNSWWENKNKDSGELQGHDVSPKRAKKVKVDIKDQDNKNLSAKIFTFKELATATKNFKEECILGEGGFGRVYKGKLEKTGKVVAIKQLNPEGKQGNKEFLVEVMMLSHLSHPHLVNLVGYCADGEQRLLVYEYMRAGSLENHLLDLPRGKRPLDWTTRMKVALHAAKGLEYLHETNNPPIIYRDLKSSNILLDKDFNAKLSDFGLARIGPVGEKTHVSSRVMGTFGYCAPEYQKTGRLTVKSDIYSYGVVLLELITGRRAVDLTRDTEELHLVQWVEPKIRDPHKYAEVVDPLLQGKYPQSDLSQVLAIAAMCLGVNAPLRPPMSDVVAVLDSLVHDSIHHSYD >cds-PLY72643.1 pep primary_assembly:Lsat_Salinas_v7:3:182875684:182877281:1 gene:gene-LSAT_3X109820 transcript:rna-gnl|WGS:NBSK|LSAT_3X109820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLRIQYLPTALDPIRIHKIQVRYATTITCGLRKPLRARRRSDGVLSTEAIQAVQSLKLAARNPSKLDQVFESKLTRLLKDDLLDAFSELQRQQHLDLALKVFEFMRKEAWYEPDQSLYGDLMLMFGKKKLIITVENLFCELIKEGLKPNTRVYTELIGAYLKVEMIERAMEAYKLMKASGCVPDELTLTIMIRNFESAGEEELASIIKNDCVEYLDSPKKFLKEVARKYPRRLRLNLV >cds-PLY76777.1 pep primary_assembly:Lsat_Salinas_v7:4:187626692:187628198:1 gene:gene-LSAT_4X108841 transcript:rna-gnl|WGS:NBSK|LSAT_4X108841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIHPERGFYVYRDMIRQDIRPNPQSSSFVTKSCIRSSSLFRGLQIHARIFRDGHHSDTLLLTTLIDFYSSTGNSNNARKVFDEMPQRDTIAWNVLISCYTRNNRTRDALHLFDTMQSQEHKCKPDDVTCLLTLQACSNLCMLEFGERVHEYIKHHGYNQSLNLCNSLVSMYSKCGDLKKAYEVFKDIPNKDVVSWTSMISGFASSGYGQEAINVFKEMVKTGIPPDEQTFTALLSGCSHSGLINEARFIFDQMEKQFNVIPNIHHYGCIVDLMGRVGLLEDAYNLIISMNCTPDATIWRTLLGSCKLHSHFDLGERVINHLIELKGQEAGDYILLLNIYHSIGNFEKVMEIRKLMKEKGIQTTPSASTIEIKGEIHEFRVDDTLHLRISEVYEKLDEIEKQLKIGGYVEEIGGKGRYHSEKLAMAFGVLVTPPRTKLRVAKDLRICVDCHNFAKVFSGVYDREVVIRDRMRFHHFREGRCSCNDFW >cds-PLY66954.1 pep primary_assembly:Lsat_Salinas_v7:7:20884594:20888288:-1 gene:gene-LSAT_7X17740 transcript:rna-gnl|WGS:NBSK|LSAT_7X17740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDIGNENCAIAVAKRGGIDVLLNDESKRETPAVVSFGEKQRFLGSAGAAFATKFPKSTISQIKRLIGKLYNEPSVKEDLKLLPFETSEGPYGGVLIHLEYLGKTWTFTPVEILGMLFAHLKQLTEKNLESPVEDCVIGIPSYFTDLQRREYINAALIAGLRPLKLMHDCTAIALGYGIYKTDFSDEKTTNVIFVDIGHSDTQVTVVAFEEGKMTILSHSFDPNLGGRDFDEVLFRHFATQFKEEHDIDVYSNARASIRLRASCEKLKKILSANAEAPLSIDCLIDDKDLNGFIRREEFEKLSAKLLQRVSDVCQEAIKDSGLGADKIDTIELVGSGSRIPSVTRKIASIFDKEPMRTLNGSECVARGCALACAMLSPTCQVKDHKVQDSFPYSTGVFFDDGKRCPEFTLFPKGSSFPRNKTVAYHGNTTSHCHVCYINKTDFPAGLSHEAGYFMIRPSQASGAEKVLVKVKVHLNIHGIVEIEAASFQFIEHKHPSSKKSFRYSWPLGIKAKRLFQNNAAYRRFSSSRLSTERYAEEARWGRARNLEVSENYCVLTTIDEIRVAQKRAQMFAEHDIKVEKTKEKRNTLESFIYETRSKLLSSYRSVTTDSEVEIISETLQKTEDWLYEEGDDESETVYIRRLEDLSKVNDECIEAEGWLDHLSRLQDSLAKSVTRVYFLSAISEITQALKRRCEAIFSSKLSLLKYEEPVDSDQMQNPSEMQVEN >cds-PLY88919.1 pep primary_assembly:Lsat_Salinas_v7:8:297229135:297229491:1 gene:gene-LSAT_8X164121 transcript:rna-gnl|WGS:NBSK|LSAT_8X164121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGHNIKSQNYPHPSSSRSVASATSQSVVNFLTDGNGGAGMVGGTAAMAAQQNCSSLTMKGSSDGHGLSSLAMEQHPLNVLLVSAVHGGLWWSIAAAGRNIRVRVKWGVVAMMFIYGG >cds-PLY64816.1 pep primary_assembly:Lsat_Salinas_v7:2:102077989:102078873:1 gene:gene-LSAT_2X46221 transcript:rna-gnl|WGS:NBSK|LSAT_2X46221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENTTLTLEKNTIKKGAWSKEEDDKLRAYIQRYGHWNWTLLPKFAGLSRSGKSCRLRWMNYLRPNIKHGNFTKEDDEIIMRLHKQLGNKWTAIAAQLPGRSDNEIKNRWNSHLKKRVEDDQTHEVLENINHDETMKPNEAPPGASSTCSSSNYHIPSDVTLQTYDYELSGDFWSDPFLLDIMSPVDQNTTPSDLYHNFGFHSSWDDMTMSEDLSWSALGSYSEYNNY >cds-PLY62027.1 pep primary_assembly:Lsat_Salinas_v7:5:130347345:130350411:-1 gene:gene-LSAT_5X55501 transcript:rna-gnl|WGS:NBSK|LSAT_5X55501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQPNTGLFVGLNKEHVVTKKEIAPHPSDRKGEVDPCEDLTDDDIRTAIQNATGPRFALFVPKMGHRCMVNELQRFPVHRKRMDDVIGNFLSDGLQPSETMIGHIVEMEMDYINTSHPNFVCGSKVVEVTLQQVKSSKLATTVSRQKDGVESEKAPQSERSIKSRAILSRPVNGIVIEQVLFFAFNF >cds-PLY86406.1 pep primary_assembly:Lsat_Salinas_v7:2:148156698:148159095:-1 gene:gene-LSAT_2X73361 transcript:rna-gnl|WGS:NBSK|LSAT_2X73361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab escort protein 1 [Source:Projected from Arabidopsis thaliana (AT3G06540) UniProtKB/Swiss-Prot;Acc:Q8LLD4] MAETESYPPIDPTNFDLIVVGTGLPESIIAAAASAAGKTVLHLDHNPFYGSHYSSLTLQDLTSFLRSHSEIPKTNPPQSDDTETLDVNPRPLYSDVEISSYDDSLEEHSRKFNLDLAGPRVLFCADSAVNLLLKSSANQYVEFKNIDANYIGDGNGNLMNVPDSKSAVFKDKTMKYSEKNQLNSFFKLVQGHLEAVKSVDVAVDDEKIISDEDLESPFVVFMDKMKLPPKIKSIILYAIAMVDYDQDAGESCKDILKTKDGIDRLALYHSSVGRFPNALGALIYPIYGQGELPQAFCRRAAVKGCLYVLRMPVISVLLNKDNGNYKGVKLVSGQELTSDKLVMDPSFTITSSNSPSNVSKLARGICITNSALKPDISSCLVIYPPRSLYPDQATSIRVLQLGSSLAVSPSGKFVFYISVVCDNAIEGKKSLQAAIDDLFSLHSDETESKELKPTLLWSALYIQDLIEGSVGPVVMTPTPDGNLNYNDLLDATSKLFKKLYPDDEFFPETSNTDSSDKIEDDSEVVLDS >cds-PLY73812.1 pep primary_assembly:Lsat_Salinas_v7:7:67727126:67757855:-1 gene:gene-LSAT_7X48860 transcript:rna-gnl|WGS:NBSK|LSAT_7X48860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNAPPPCASAPIQDLDYLYASIANISNFVSVKLSSDGNYHLWKTQMLCLMNSHNMVGLVDDTIVGPRIQSNLILGQYNSVLKGWIFASVSENVLDAVVDLKSAKEVWDKLKSFYDSTTGPHQDLGPTKLEAMQEVIEEVVPAEQGPHDTATINIGTDDNQTENMIPVTKEEHEKLHKATVKGDWKEAESIIRNKKHAVELEMSKDGSTILHLAVGIGHNEFVENLLWNIKDGDLCKRRRSSDGSTALHIAAIVGNRYAAHLLLEKSKDLLRIKDHKGHVAWHKAYENMHLDTLVYLFKASGPVAITRALSLPSRLQNRSLTSQDTTHPQLHPNTEIGDDLLVSFISAHEFNLASELIKDFPEFAVKTDGVLMALAKSFPSGLNHWETLIYPSLDGIWEALENASIIFFGSFLIIPIVIRSFFVVEEDPLPKWSILMVIPCFVGGLIYFLISVVYYIYYLLWKASSVIVAPIKHIEKKKKEWEEAENVLNLVCNEIDKLEYCGTHHPYYTGPILEAARQNVYKVVDEILFRSPEAIRCTDKNGYDIIILAVLHRSYKVYNLIYDIGERKNLYRTFVDSSKNNIVHLVGKLAPSDELSRRTGAALQLQRELQWHEEVKKLVFPTYITQENIFKETPDMVFTREHENLLKEGEKWMKTTSESCSITAVLITTIVFAAAITVPGGSSETTGAPLFRKDVGFTIFAISDAISLFASTTALLVFLSILTARFAENDFLVSLPRRLLIGICALLISTTAMMVAFSATLFLVFSDQKPWMLGPICGLAFIPIFTFVTLQFPLIADLFRSTHLPIFGKQRKSAYTRFNADTVRLCFDMYRKA >cds-PLY94714.1 pep primary_assembly:Lsat_Salinas_v7:2:85198570:85201242:1 gene:gene-LSAT_2X38821 transcript:rna-gnl|WGS:NBSK|LSAT_2X38821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEDEPAPLVIKKEPKSMWDDEDADENDVKDSWEDDDEPAPPPVTAAPPPVEKAPKKSTAKATQEKGKAAVQPPKEEPPLDPVAEKLRQQRLVEEADYKNTAELFASKKADDRTIDNFIPKSESDFTEYAELISSKLRPFEKSFHYIGLLKHVMRLSMTSLKASDAKEVASSVTAIANEKLKAEKEAAAGGKKKQLLVDKPDDDSVVNAGYDGYDDFDFM >cds-PLY65812.1 pep primary_assembly:Lsat_Salinas_v7:4:360142891:360147360:1 gene:gene-LSAT_4X178521 transcript:rna-gnl|WGS:NBSK|LSAT_4X178521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFESSMAELGVVTCTHKSSKSFPGKNGVEEDNQKTKSSTNSDNNNHLPNAEIQNSLRNEILQLEKRLQDQVAVRGALEQALGYRSSSLEITNQALIPKPATELIKDIAVLEYEVSHLEQYLLSLYRKAFDQQISCPYDDEASKSPLMITPRGKYVETCRNDISLKLQDANHNNNRGVEVKEEEEEEDIVLDSGVHRCHSSLSHQSIENPINTLGKELRACHSQPLSMMEYAQNNSSNIISLAEHLGTRICDHVPETPNKLSEDIIKCMSAIYCKLADPPLANHGILSPTSSLSSMGAFSPKDHSDMLWSPGFKRVSSSFDVRLDNPFHVQGLKEFSGPYSTMVEIQCIYRDGQKLGDIEDMLQNFRLLVSRLEEVDPRKLKHEEKLAFWINVHNALVMHAVLAYGIPQNNIKRVFLLLKAAYNVGGHVVSADVIQNSILGCKMCRSGQWLRLLLSSRKKFKSGDARQDYAIDHPEPLLHFALSSGCHSDPAVRIYTPKRVIQELEVAKEEFVRATFGVRKDHRILLPKMVESFAKDSGLCEGGVMEMIQMCLPESIRKNIKKHQMVKSRKMIEWVPHNFGFRYLICKDLVR >cds-PLY92424.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:2482453:2491186:1 gene:gene-LSAT_0X17001 transcript:rna-gnl|WGS:NBSK|LSAT_0X17001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYGRGSPLPYYEKSNSWTPATAIPPENGIGPRRGSWTAAMLGGPIPGVGGLGETQGRANPVDDEVICGKESESWMTIPRGNGIMGHRDLLTTIHQKNGIVSNGQNWNPVIPGGSSWTPVTPGKPISQRSNLITVNKPTNQIEQNENWRDLVGMYKDLLREETLNLNEVAQEVYPIQNNGNQNQNRVSQMNLIPILNSTPIPTPNPNPNHDSNPVSYFPYEDRNWDNNLLSAIVRPKKSSENIPFINTPQNNGVHDLNIIPVSDSTIQVQSNFLFKNQQSPTFALDMINGYNSKQLHSDGFPVPYRPSYNLNSPPRSEPDAASGVTGPLPFAPITPDTGRKQIENQWVPVNNTRESQSQSQSRSQSQRNENTDNHDSELLHNIVESTSSAVSTTQKDPLLFEEGGELGIDLNKTPNQKTPARRKKHRPKVIREAKPKKTKTPKDPTETPVKRKYVRKKAVDVLETPQGNEVRVKRKYVRKKGVENTDSKKTDVEEITGPIVKTPVKSVKKKLNFDLEESQMREGEHGGGINLNVNPQDIEQERRINSVLERSAMKVAQNNVNDPAIHMAKARDNALNVLARNLTMQNGYNNKVGQMVNLDERRGIKRQFFEQVNPRILNAMDSLVMYQKLLLGGDVRVDHGQDLASINHLESHKKTKTQNDGFAPEDNSRVYPSVPALQLLNSCSQRVVNPPYHTMNGGGHFRPPVAEVTQNLQKHPEASGITQRSIQGGRVNPVTAMVSWNRPPATPPKDPLRSAVVTYPGTMKDKKKTTTPKSSNKIQRSNLEVHQQSTKPKGGRKQKVSVAVSVEDVTGMLEGLCIYDENEKMRKAIVPFRGSNVIVPFEPVKKRKPRPKVDLDPESDRLWRLLMGKEGSEANETLDKDKEKWWENERRVFRGRADSFIARMHLVQGDRRFSRWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAAKFPFKTSTDETRCQNGTRILVEEPIESIVEKHNRTSESVILSQDSLDSCTIQAVDEIRSSSGSNSEAEDQITGFETSKNPIQPEKVNMFNKLFSHDNMNTARSQYIHDVEIPKTPLSGSLGFGLLGGESISSLPSIKSRYHNTSNISFQQQNEANSKEVNYTSPERLNTAATKISRERKGAIEDEKKKSFDWDSLRRDVLLKCEKGERSKDAKDSLDYEALRCAHVNQISDAIRERGMNNLLADRIKDFLDRLVRDHGSIDLEWLRDVPPDKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLEMYPVLESIQKYLWPRLCKLDQLTLYELHYQMITFGKVFCTKSKPNCNACPMRAECRHFASAFASARLALPGPEEKKIVPSVPNATESNPIPPLFTRPMSLPPSENNYTSNTQSFGRQCEPIIEEPTTPEPETAELSLSDIEDQYYDDDGDGDEIPTIKLDMNEFTMNLQKMQESMDIQGDMSKALVALNPQAASIPTPKLKNVSRLRTEHQVYELPDSHPILEGLDRREPDDPSPYLLAIWTPGETANSMQPPERGCQAQETGVLCDRTTCFSCNCIKEANAQRVRGTILMPCRTATRGSFPLNGTYFQVNEMFADHASSLNPIDVPRAWIWNLPRRTVYFGTSVSTIFKGLTTQEIQQCFWRGFVCVRGFDQKTRAPRPLMARLHFPASRLVNKKNEDK >cds-PLY82506.1 pep primary_assembly:Lsat_Salinas_v7:2:188188375:188188678:-1 gene:gene-LSAT_2X109841 transcript:rna-gnl|WGS:NBSK|LSAT_2X109841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSKPYKVLISHNKDITNVAFHRNYPLFTACSHDSTAYVFHGMVYSDLNHNPLIVPLEILHGHRDTNGRGFF >cds-PLY67045.1 pep primary_assembly:Lsat_Salinas_v7:5:281225188:281225580:-1 gene:gene-LSAT_5X149000 transcript:rna-gnl|WGS:NBSK|LSAT_5X149000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFMEHKELEKQLEKDENHSKHPMSTPMTFEVFAFTRPPDQVTKTTEEKEEEYDEPHFDNKHQERDKTKAKDMGMRKEPKRKHQEDVNPTMQESSRKAFNRRVAYKQIRLKMMENREVTLPCDATLSGVPT >cds-PLY85620.1 pep primary_assembly:Lsat_Salinas_v7:8:162933066:162933556:-1 gene:gene-LSAT_8X107720 transcript:rna-gnl|WGS:NBSK|LSAT_8X107720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTKAMDEVEEVKKRFGDEQSTLLDRFERLSFEVHLNQAILGRSFSEPRAPRYQLPPLPQPPPPPPLESKVTQGRRHQHHHRGLRFQKVLKKLLRPIFGSRKEERKESINVQKDFKFMKAFSRSLRV >cds-PLY75877.1 pep primary_assembly:Lsat_Salinas_v7:1:172001779:172002661:-1 gene:gene-LSAT_1X114780 transcript:rna-gnl|WGS:NBSK|LSAT_1X114780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHNLLVFFLLPFLFCNSSAALGGAPLTGGWRPIPNVTAPTVVDIGKFAVDEHNKNDHTSLKFEKVTKGESQVVAGMNYKLTITAEDGSVNNNYEAVVWVKPWQKFRQLVSFKGPV >cds-PLY64617.1 pep primary_assembly:Lsat_Salinas_v7:6:35706652:35712005:-1 gene:gene-LSAT_6X28341 transcript:rna-gnl|WGS:NBSK|LSAT_6X28341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDTQLQLTSTETKEQQNQRLVFAVNGERFELSSVDPSTTLLQFLRSRTRFKSVKLGCGEGGCGACNVLLSKFDSNLKQVEDYTVSSCLTLVCSINGCSITTTEGLGNSKDGFHSIHQRFAGFHASQCGFCTPGMCVSLFSALVNSEKNDHPQPPLGSSKLTSSEAEKSISGNLCRCTGYRSIADVCKSFACDVDMEDLGFNSFWKKEKTPDSKLLKLPFYDPKKICTYPEFLKNESKSPMHLKYQKRSWYTPVSMKELHNLLESTSAEKGKMVKLVAGNTGIGYYKEVDPYDKYIDLRFIPELSTIKRADSQIKVGATVSISKLIFALKEERDDDDDDDDEGDMVFQKIASHLEKIASESVRNSATIGGNLVMAQRHGFPSDIATLLVAVKSEVTIMNGIKKVLTLEEFLAQPALDSTTLLMSVHIPFMKPNKNGYNSDKSDTKLLFETYRASPRPLGNSLAYLNAAFLAEVSPYKSGNHVINNIQLAFGAFGNKHAIRANTVENYLLGKTLSVGLLSESLKLLKANIQPEDDTSHSAYRSSLASSFLFEFLFPLLDSDASYIKSSSLTSDHYDEKRTLLSSSKQVLESSHEHYPVGEPIIKTGASIQASGEAVFVDDIPSPLNCLHGAFIYSTNPLAWVKGVEVKKDVHGVVSFQDIPKGGENIGAKTLFGPEPLFANELTECTGQRIAFVVADSQKNADIAAETAMVDYDTQDLEPPILTVEHAVENSSFFEVPSFIYPSQVGDFIKGMAEADHKIHSAEIKLGSQYYFYMETQTALAVPDEDNCMVVYSSIQVPEFAQSVIAQCLGIPEHNVRVITRRVGGGFGGKAIKAMPVATACALAAYKLNRPVRTYVNRKTDMIMAGGRHPMKINYTVGFKSSGKITALHLDILINAGISPDISPVMPWNMLGALKKYNWGALSFDFKICKTNHSSKSAMRAPGEVQASFIAEAVIEHVASVVSMDVGCVREKNFHTFDSLKMFYGVDSVGEFVEYTLPTIWDTLMKSSNFNDRVETIKKFNKCNTWRKKGISRVPILHEVSLRATPGKVSILRDGSIVVEVGGIELGQGLWTKVKQMTAYCLKAIQCEGADGNQLLEKIRVIQADTLSMIQGGFTAGSTTSEASCEAVRLCCNVLVERLVGLKEILEAQMGFVKWDSLILHANMQSVNLSASSFFVPEFNSMRYINYGAAVSEVEVNLLTGETKILQADIVYDCGQSLNPAVDLGQVEGAFVQGIGFFMLEEYSINSNGLVIADSTWTYKIPTIDTIPKQLNVHILNSGHHKKRVLSSKASGEPPLLLAVSVHCATRAAIKEARNQVRSWKGLEGSDSIFQLDVPATMPVVKTLCGLDNVDLYLQSLMSSS >cds-PLY85999.1 pep primary_assembly:Lsat_Salinas_v7:3:132444291:132447091:1 gene:gene-LSAT_3X90121 transcript:rna-gnl|WGS:NBSK|LSAT_3X90121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDMAAYYPPPAGVHTTQFSYYQNPPPPPGATQHPPAAVSQLHHQYHQPPLTSYAPPLYTPSFQDEVRTLFIAGLPEDVKPREIYNLFREFPGYESCHLRSPSATQTQPFGFAVFMDQPSALAALHTLNGMVFDLEKGSTLHIDLAKSNSRSKRSRDDDRHGSDKRAKGSSSFSRGFSDPGVGSIHMPGLSNSAYNTIGYPSAQSHGSFEARTENTSRLRNSSAPPCPTIFVANLGQGCTEQELNQVFSRCRGFLKLKMQSTYGTPVAFVDFDDTACSSEALNHLQGTVLYSSVSGEGXXXXXXXIYILYLPFLFIVY >cds-PLY79493.1 pep primary_assembly:Lsat_Salinas_v7:3:248143834:248147290:-1 gene:gene-LSAT_3X136841 transcript:rna-gnl|WGS:NBSK|LSAT_3X136841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAANPNPEIANLWRTVMVIHRPIPTTMTIVRIQALEPIHFIKEREGRFDECNQTANFSLLKNLSILFGLTSKESNWLGAITSTAALAVAQKVFKGLYENAANTAHVGAHLAMLGSIHDASKLMALERLGQVDEVVNDPDYKFEFPTPDDRPSPPIISFRFDVIIAWEGRAYDYCMENLKNVGFPIDGFTFNPDLVIRGLLIEKEKGNLV >cds-PLY76389.1 pep primary_assembly:Lsat_Salinas_v7:8:85087344:85089895:1 gene:gene-LSAT_8X59641 transcript:rna-gnl|WGS:NBSK|LSAT_8X59641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASNLTFIPDLDVTRDDLTFKLHVQSLHAALKIDTFKLTPQDQKLTFIPQTVVTECNDFSGHEFGFSFVDYQNILSFAHPQDKSIDVMGLIVAVTEIQRDNPDKSKHKLNINIEDAKGLQLHVYLWGDYAYKMQEYIHNNPHNRRIVVNLQFGQINVFRDRPSVNTYFTSSKLFINSHIDEIIRFNKRFMIPIRVQDNTGTLTLTMFERDGNVETVLIPIRPSNEQSLDFGSADLQSQDIKNAKDAISGTDDNITPSALDKNETTSPMKTLTTTPILKRNLKEVFDLESNDHLSSTKTPKITPDGPGK >cds-PLY62624.1 pep primary_assembly:Lsat_Salinas_v7:8:107994401:107995307:-1 gene:gene-LSAT_8X73001 transcript:rna-gnl|WGS:NBSK|LSAT_8X73001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCCNGKCGCGSSCSCGSSCKGCGMYPDIETSTNATVIVDGVAPKKMYDDGSEGSFVAEGAQGCKCGGNCKCDPCNC >cds-PLY75769.1 pep primary_assembly:Lsat_Salinas_v7:3:67954342:67957110:-1 gene:gene-LSAT_3X52340 transcript:rna-gnl|WGS:NBSK|LSAT_3X52340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLVLDVNQPIKRSADMEVPSSSNTEALVEDENGASYDEEAPLLTMAECRICQEEDSIDALETPCSCNGSLKYAHRKCVQHWCNEKGDITCEICHQPYQPGYIAPPPRPCMEETTIDIGGAWQISEADRQYLEAEYDDYNSTNASGAAFCRSVVLILMALLLLRHASSVPDSEGDGDEDASTFLTLFLLRIAGFLLPCYIMIWAINILQRRRQRQEAAALAAAQFAFVLQAGQGNGLHLTVSSLGPAPAQAPAPAPTVTPTAAPQDENV >cds-PLY66786.1 pep primary_assembly:Lsat_Salinas_v7:3:66280426:66283067:-1 gene:gene-LSAT_3X50980 transcript:rna-gnl|WGS:NBSK|LSAT_3X50980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCTGMAFFSTNFMLQSSQEDDHHAPTSLTPILPPCTTTQDFSGVASFLGKRSMSYSGVNNMDGCDQEGNMNGEDDLSDDGSQLLAGEKKRRLNMEQVKTLERNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDALKRQFEAVKAENDSLQSQNHKLHAEIMALKNREPTELINLNIKETEGSCSNRSENSSEIKLDISRTPATDSPLSSHQHQHQHHQQPIPNLFPSSNIERPNTNNIVAHQLFHNSSLRPADHQLHCQKIDQSSAIKEECFSNMFVGMEDQSGFWPWLEQPQFN >cds-PLY66247.1 pep primary_assembly:Lsat_Salinas_v7:5:142477817:142492332:1 gene:gene-LSAT_5X62840 transcript:rna-gnl|WGS:NBSK|LSAT_5X62840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIQRLYPETLMVGLTLDCTSNNTSQPEVVRSSAGTCFILFMAYTSIQFIRSNMKPQSLSYLQQWIRFNNWSSVVFLLLLVFLEVTESQFLVKTLPGLPGDLPFTLETGYIGVGEYDEVQLFYYFVESEGNPKDDPLMLWLTGGPGCSGLSGLLYEIGPFTINYVNSTVENVMLEINPHSWTKAANIIFLDQPAGSGFSYAKTPEAYITNDTLSTVQIYHFLRKWLVDHPTFLKNPLYICADSYSGLVVPMILLEIYNGNEVGEGPQINIKGYVIGNPLADTNGDYNSRIPFAHRMALLSDAIYNSTKETCHGEYLNVDPNNTRCVHDLQVVDKFLEGICMPHILEPVCDTSNALKFHLFKTDLRSLDKTSADVPRLQKQWCRVLLYLKTFNFVSNKLKDDNYVYSSIWANRRDVQEALHIPEELNGIEWVRCNETLVFSTDTEPISYTHNVISAVSYHQKLIDKHCRALVYSGDHDMVIPYMATINWIESLNLLIVNDWRPFFVDEQVAGYTMKYSNKNYNLTYATVKGGGHTAPEYKPKECLSMLMRWLDNGIL >cds-PLY94727.1 pep primary_assembly:Lsat_Salinas_v7:8:300614668:300614997:-1 gene:gene-LSAT_8X165921 transcript:rna-gnl|WGS:NBSK|LSAT_8X165921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPPKDNTIEVNPTPSEPPVNKGGDKDKSESSTSDTRSSAENYANPFVLLELEDNISVTMSKVESLDKSVAQIDSKIDAKVSSLDTKLDAILHSLSEMKKVGPLDAEWA >cds-PLY88881.1 pep primary_assembly:Lsat_Salinas_v7:4:253642878:253644563:-1 gene:gene-LSAT_4X133080 transcript:rna-gnl|WGS:NBSK|LSAT_4X133080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNTHPKKLLILRFLLNPIAFKTPNPNSFISSTTSSFLSSKSPSNNHPFSVVRFFSVTSEDKRPISDKNVGFQDMTLTHALVTRKRRIDLPQPLDVSPQMVSQVIDVVRSSNGDCGSKLDSMGITLSKRSVCEIFRVLSCDRVPGLKFFEWVRDNNPDIHRSADVCSLMIDNCGWLGDYDTMKDLLMQFKQEGICLTDNAFAFLPVLGSSKSHAMESISLVIQILNEVGGSIRSSGVFSMIHMLCVVDSFELAKFVMEVTEKKLNYYAIIAREKCRRGHSDEAYALLGEMRMAGCEPDSKIYNYILGSLCKNDKLSEAMNLLKEMKEAGVDPDPITFEVIIANSCRSGKMEFAKEILRRLLHMGHTPRLTTHAAFVKGYFDAGKYEEAYEYVRDIEVKKMPATNKMYGFLARIHQRKGNIDVACRILDEMMEKGLKPDYRNYKKIENVLRHTGGRHLAQELQNKFSKFRVE >cds-PLY73737.1 pep primary_assembly:Lsat_Salinas_v7:8:170531353:170531868:1 gene:gene-LSAT_8X111460 transcript:rna-gnl|WGS:NBSK|LSAT_8X111460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSYSSKRQDEFQADHPCDCSLPSHLKISRTLDNPGRKFRACQKSMNGKSPSCKFWQWMDEDEGRTYGRSSYRRKPEESCNLTLKFCTLENEISICRMKIEQENNTNLVNRQKLDKVKWKLFIHKVALILLFVLYVKMLI >cds-PLY87666.1 pep primary_assembly:Lsat_Salinas_v7:6:46336984:46337454:1 gene:gene-LSAT_6X34280 transcript:rna-gnl|WGS:NBSK|LSAT_6X34280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCVGSKLDDSMAVALCRKRCQFIDEAINRQYALVEAHIAYFYSLKPVGDSIHRFFDLQYTAAGDDDGLSSPMLNLPDQRKGDSSGLSAPTVTSALAVAQRHSHSIFCSSHLHFHTDSDDDSCDEDESLHLHSENGGSLPLHQQQYEYFTCCAFLN >cds-PLY79746.1 pep primary_assembly:Lsat_Salinas_v7:4:265311547:265320711:1 gene:gene-LSAT_4X137601 transcript:rna-gnl|WGS:NBSK|LSAT_4X137601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMVSLHSSDKTLVKPFSLSSSSHVFFPKFVRLKLNRTKFSFHGNNRLKIRASLSSNSVVSSSTPDNEDAESSQLFEKLKDREKERINKLEELDRKANTQLERQLVMASNWSRTLLTIQQKLKGTEWDPENSHKIEYSEFLRLLNSNNVQYMEYSNYGQTVSVILPHYKDGVSKSESEKDIVFKRHIVDRMPIDSWNDVWKKLHQQLVNVDVVNVNNIPAEVYSSVATIVVWSMRLALAIGLYVWIDNIMRPIYAKLIPCDLGTPPKKIKQPLKNQALGSLGESRAKFISAEETTGVSFDDFAGQEYIKRELQEIVRILKNEEEFQNKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFSSSRSFAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKESTSQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSQDGRLAILKVHAKNKYFRSEEEKDTLLLEIAEKTEDFTGAELQNILNEAGILTARKDLDYIGREELLEALKRQKGTFETGQEDKSEVPEELRIRLAYREAAVSILACYFPDPYRPFTGTDINSVKSQSNLQYVEVPGRVFKRKSDYVNSIVSACAPRVIEEEMFGLDNLCWISAKATLEASMLAEFLILQTGMTSFGKAYYRKNHDLVPNLAVKLEALKDEYMRFAMEKCYSVLREHYSAVEAITDILIEKGEIEADEIWSIYKNSPRIPQPRVTPVDEYGALIYAGRWGIHGASLPGRVTFAPGNVGFATFGAPRPMETQIISDDTWKLVDKIWDTKVEEIREGAKREIEAENEKPQVLMASHFL >cds-PLY71607.1 pep primary_assembly:Lsat_Salinas_v7:2:104418326:104420420:-1 gene:gene-LSAT_2X45841 transcript:rna-gnl|WGS:NBSK|LSAT_2X45841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYSRGDEFEDAGTRTSLFSITDAFEEISNLIIDGRNGDFPVLKLNPFCDACSFVFVFFRFCARHSKSSIWFSEEALERYVSLSSVVDYDVKWKIVKSRGSHTHNLRRVRRDAASSAYQQVCAPYHSWAVRTAFSAGICALPSREQLLLNLNETGFYKIQWERSKQLCTSFVSK >cds-PLY73632.1 pep primary_assembly:Lsat_Salinas_v7:5:207486656:207486841:1 gene:gene-LSAT_5X96501 transcript:rna-gnl|WGS:NBSK|LSAT_5X96501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGKTIAIDSGCVQSKPEDVHHNLRWWRGQFTVGLGGNEGRNRWRHDGGDMVVVAVGGWRE >cds-PLY68473.1 pep primary_assembly:Lsat_Salinas_v7:2:214139790:214141474:-1 gene:gene-LSAT_2X135821 transcript:rna-gnl|WGS:NBSK|LSAT_2X135821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICSSYLRTLILQGGHIGKPVEGLLQFAKLKTSPIVTFSSDHKWLTTGKRMMAAGASGSRGLIADALTEKDSDGSYTSGGWKSEDGKLSCGYSSFRGKRPSMEDFYDIKTCKIDGKMVCLFGIFDGHGGSRASQYLKENLFKNLMKHPEFITNTKVAISETYQQTDKDFLESEKDNFRDDGSTASTAVLVGNYLYVANVGDSRTVISNEGKAIALSEDHKPNRSDERMRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQYVVAEPEIQEQELNEAFELLVLASDGLWDDAVSLAQTEEEPEAAAQKLTETAFTRGSCDNITCIVVKPTTIKPQTQTDA >cds-PLY79749.1 pep primary_assembly:Lsat_Salinas_v7:8:177674805:177686696:1 gene:gene-LSAT_8X115521 transcript:rna-gnl|WGS:NBSK|LSAT_8X115521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQRYNMLQRYTKSFHGFAARLTEEEAAKLLGMEGIVSVFPSRMNKMATTSSWDFLGFPITAKRSTKESDIIIGVFDSGIWQESPTFSDKGYGPPPAKWKGICEANFTCNKCATEDVLSAFEAAIADGVDIISVSVGSPAAEDLFQNPFSIGSFHAMQNGILTVQSAANQGPMPQTISSVVPWILSVAASTKNPDLISSIKLGNGIVVNGVSVNPFTLHGMYPLIYAGDVPNVMAGFNGSVSRFCSPNSLDKNLVKGKIILCDAVSSGETEMMAGAVGSIMKYPGPYFDAVASYTLPVSVVNSDQAIRIARYIKSTRNATAVIMKSKDVKNASAPFVASFTSRGPNPITKSILKPDLAAPGVRILAAWSPAAPITHVEGDHRAVNFNMISGTSMACPHVSGIAAYVKSYNPTWSPAAIKSALMTTASAMSSLINTDAEFAYGAGNLNPTKALKPGLIYDAVEVDYVSVLCQEGYSSKDIRIMTGVKTSNCSQLMEQAKDLNYPTFVILTLRNKVVDLSFNRTVTNVGSATSTYRASITQPLVSGLIIQVEPDVLHLNHIGQKVSFTVFVQATIQNLDNPIVSSALIWDDGVHQVRSPIVVHVP >cds-PLY64156.1 pep primary_assembly:Lsat_Salinas_v7:1:1243447:1248352:1 gene:gene-LSAT_1X221 transcript:rna-gnl|WGS:NBSK|LSAT_1X221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC4 [Source:Projected from Arabidopsis thaliana (AT1G09960) UniProtKB/Swiss-Prot;Acc:Q9FE59] MTVPESQTQRHRPRGGGAVTPVETPTRLRVLFRVASVACGIQFGWALQLSLLTPYVQELGIPHAWSSIIWLCGPLSGFLVQPLAGHMSDRSTSKYGRRRPFIVIGCASIILSVLLIGYAADIGGLLGDREKKTRAIIVFIIGFWLLDMANNATQGPCRALLADLTVNDHRRTRVANAYYSLFMAIGNVLGYATGAYSGWYKVLPFTMNSACDINCANLKAAFLLDVIFIIITTYVSVTAIHEQPGTAHAHHDEGGESQEAFFWEMFGTFKFLPGPVWLILFIVSLTWVGWFPFILFDTDWMGREIYRGDPTGDLKYSDGVRMGAFGLMLNSVILGITSVFMENLCRRWGSGFIWGVSNIVMFLCFLAMLVLSFVAAQAEYAADGSPPNGIIIAALVIFSILGMPLAVTYSVPYALVSSRIESLGLGQGLSMGVLNVAIVIPQMLVSLGSGPWDQLFGGGNSPAFAIAAVAAFASGLVAILAIPRNRPEKSKIRH >cds-PLY86679.1 pep primary_assembly:Lsat_Salinas_v7:4:319468952:319469206:1 gene:gene-LSAT_4X160041 transcript:rna-gnl|WGS:NBSK|LSAT_4X160041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAKDVHSLASLHHRRRQELRLTSAAAAEALSSAGGASAGRHRATSEEEQVGKGGTSSGNAVGTAVDRGFAGNRFVVGFGC >cds-PLY86717.1 pep primary_assembly:Lsat_Salinas_v7:4:8406794:8409179:1 gene:gene-LSAT_4X5360 transcript:rna-gnl|WGS:NBSK|LSAT_4X5360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGGSNTNSLVPIFLDENLFQYPSNQLQLFGNVPATHHVDPVNYSGREHNSPAFRPNKRSREADTNLMQKKLQISLNQNFYNEESDHPSNIPNPHTVSTGLKLSYDDEERNSSITSASGSMTGATPLMSSFGDSVTTELDRQNEELERYILLQGENMVKGVKDIRQRHMASFLTSISKGIDKKIREKDLEIEAINRKNKDLVERIKQVANEAQNWHYRAKYNESIANMLRANLQQALAQGNEQQIKEGFGDNTDLENDAVSSIDPNNYLGKFDNHNSNHNGVMICRACKVKEVCILVMPCRHLSLCKDCDSRGVNVCPVCQVVKSVGVEVYMS >cds-PLY65174.1 pep primary_assembly:Lsat_Salinas_v7:6:110087544:110093934:1 gene:gene-LSAT_6X66461 transcript:rna-gnl|WGS:NBSK|LSAT_6X66461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoacetylglucosamine mutase [Source:Projected from Arabidopsis thaliana (AT5G18070) UniProtKB/Swiss-Prot;Acc:P57750] MNEEQRSILLQSSSRFPPPKGTKLSYGTAGFRADASILQSTVFRIGILAALRSLKTGGAVIGLMITASHNKVSDNGIKVADPSGGMLTQDWEPFADSIANAPDPESLVKLITDFAKKENIPLDGAKAAATVLLGRDTRPSGESLLEAANKGICCVVGAVAIDMGIVTTPELHWMVRARNKGLKATELHYFDQLSTSFRCLIDLIPEKSRDNHHPIGKLVVDCSNGVGGEKLQVLKEKLNAFLHLEIRNSGNSGILNEGVGADYVQKEKVAPQGFFGHSDVGIRCLIDLIPEKSRDNHHPIGKLVVDCSNGVGGEKLQVLKEKLNAFLHLEIRNSGNSGILNEGVGADYVQKEKVAPQGFFGHSDVGIRCASLDGDADRFVYFTLTSNGDNKINLVDGDKILSLFALFIKQQLSILNEDVGVGVVQTAYANGASTKYLNDLGLKVVFTPTGVKYLHGKAEEYDIGIYFEANGHGTVLFSDHLLSWLDGIKNEPCSGLEKQNAAKRLLAVANLMNQAVGDALSGLLLVEAILQHMGWSVDKWNELYHDLPSRQLKVKVADRSAVVTTNAETSVEKPPGLQEAITALTAKYPQGRCFVRPSGTEDVVRVYAEAKTQEEADELADSVAKIVQQFLG >cds-PLY84699.1 pep primary_assembly:Lsat_Salinas_v7:2:150039054:150040210:-1 gene:gene-LSAT_2X76060 transcript:rna-gnl|WGS:NBSK|LSAT_2X76060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTQTSLGSEIPNAHIVIKQWWIPVIYLLLLLLFQSCSAESEIVTIDVHRANSLLNNDNYRYLDVRTSEEFMKGHIHVDDALNIPYMFNTPQGRVKNVNFSEQVLHLCKKDDHLVVGCQSGVRSVYATTILLDAGFKHVYNMGGGYLAWVENGLPVAVVTPKVEL >cds-PLY84385.1 pep primary_assembly:Lsat_Salinas_v7:8:77759804:77761503:-1 gene:gene-LSAT_8X55881 transcript:rna-gnl|WGS:NBSK|LSAT_8X55881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWVIKTQSLEPEDISPPPDEDCRRAEGGTNFYKAVLYMFLFLVVVERIKNNVIGDKIDPKAVLNLDPGLGVLDAFFASLSMILVSEIGDETFIIAALMAMHHPKSIVLSGALSALFVMTILSTGLGRIVPNLISRKHTNSVATGMY >cds-PLY84842.1 pep primary_assembly:Lsat_Salinas_v7:1:59845493:59846723:-1 gene:gene-LSAT_1X52800 transcript:rna-gnl|WGS:NBSK|LSAT_1X52800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKQSIGGMSGRRRNRKKKANAASVTALGKGSDNITGDQELIASTAVEKIQRVEEGIEYLKNYLVVGAYGDVDYIRVITARRGPSELQQAICDLLDKQDIKWEFENRNKGRLIITFGRQKKELDLVESNRKNSKFRRNRVRIVNGRVEVFKPDHQSVIDDLLR >cds-PLY75311.1 pep primary_assembly:Lsat_Salinas_v7:5:287619588:287619878:1 gene:gene-LSAT_5X152661 transcript:rna-gnl|WGS:NBSK|LSAT_5X152661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSSVYSTSIHHFEPYTEGFSVPAPSTYTAVEAPKGEFGVFLVSNGSNRPYRRKIRAPGSAHSQGLDSMSKHHMPADVVTIIGTQDIVSGEVDR >cds-PLY83303.1 pep primary_assembly:Lsat_Salinas_v7:4:127230659:127232550:-1 gene:gene-LSAT_4X80361 transcript:rna-gnl|WGS:NBSK|LSAT_4X80361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEGSPKSPEAKLGLEVEDLWDVQEPQLSPTEKLNACFESIPVSQFPQTSSSEVIEIRSDASLGEAVRLLSQNKLLSAPVVDVDAPEDSSWIDRYLGIVEFAGIVVWILHQSEKKDGLDAAEVFARALQESTGPAVAAAASGMSSPRYRSSQPGSPKTAGNFFELLTSSDFYKNTKVKDISGTFRWAPFLALQPSNSFLTMLLLLSKYRMKSVPVVDLGDRKIENIITQSAVFHMLEECVDLQWFKSWGSKKLHEIGLPLMKSNRIIKVEEDEPVLQAFKIMQEKGVGGVPVVASGGGNKAVGNISIRDIQFLLIAPEIYKDYRSITAKNFITAVKSYLEKNQKGSALMSEMVSCRKDDTLKDVILKLDSLKIHRIYVVDNEGKLEGLITLRDIISRLVHEPRGYFGDFFDGILPIPQNSRV >cds-PLY98939.1 pep primary_assembly:Lsat_Salinas_v7:7:48736585:48737928:1 gene:gene-LSAT_7X35561 transcript:rna-gnl|WGS:NBSK|LSAT_7X35561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVATNILSLYHLSTTMISTKPATNPNPPVPDHLLRQLQTIRATINHLTRLQPNPSVQTTASNIPSDLLLYTQLSPIASACRHHPDLLHQYMNYTPFSVCPQLSSAAAEPLILRGCHPLPRRRCFSPTPSKLPSSLPTNPFSPVPENAILWSNYKCKTFDCLNPDLGFDLKKEKLKFLSYKTDLDLPISQLNDIVKKSKNVLRIALDIGGGTGTFAAQMKQENVTVITTTMSIGAPYNEAAALRGLIPLHVPLQQRLPVFDGVLDLVRCGRAVNRWIPAQSLEFLLYDVDRVLRGGGYLWIDHFFSKSIDLDKIFSPLIGKLGYKKVKWAVGKKMDTSGVKNGEAYLTALLQKPVSR >cds-PLY80892.1 pep primary_assembly:Lsat_Salinas_v7:8:126007448:126009480:-1 gene:gene-LSAT_8X88021 transcript:rna-gnl|WGS:NBSK|LSAT_8X88021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKESKMIEDVRSAVFKHSESLEGSCAKIQGYDFNDGINYTQILKSLLSTGFQASNFGDAIEIVNEMLDWRLSHEQVTEDCSEEESNPAYRESVKCKIFLGFTSNLISSGVRDIIRYLAQHHMVDVIVTTAGGIEEDLIKCLADTYRGEFSLPGAALRSKGLNRTGNLLVPNDNYCKFEDWIIPILDQMLQEQNTQHVLWTPSKVISRMGKEINDESSYLYWAYKNNIPVFCPALTDGSLGDMLYFHSFRNPGLVIDIVQDVVAMDSEAVNANPRKTGMILLGGGLPKHHICNANMMRNGADYAVYINTAQEFDGSDSGARPDEAVSWGKIRVSAKSVKVHCDATIAFPLLVAQTFATKKTQKPSS >cds-PLY84390.1 pep primary_assembly:Lsat_Salinas_v7:8:79254577:79255425:1 gene:gene-LSAT_8X57000 transcript:rna-gnl|WGS:NBSK|LSAT_8X57000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAAGINAGGIAIYWGQNGGEGTLAETCSTGNYDFVNLAFLPTFGNGQTPMINLAGHCDPYSNGCTNLSSEIKSCQAKGIKVMLTIGGAAGSYYLTSAADAKQVATYLWNNFLGGKSSTRPLGEAVLDGIDFDIEGGTTQHWDDLARYLSGYSSQGKKVYLTAAPQCPFPDAYIGTALKTGLFDFVWVQFYNNPPCQYSGGMTSFEDSWKQWTSEIPATKIFLGLPASPTAAGSGYISVGDLTSKVLPAIKGSAKYGGVMLWDKYHDDQTGYSSSIKTHV >cds-PLY86461.1 pep primary_assembly:Lsat_Salinas_v7:8:4312792:4314192:-1 gene:gene-LSAT_8X3281 transcript:rna-gnl|WGS:NBSK|LSAT_8X3281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSRLSKMENEDSVERCFADDDFFGSLPEGFVAKALSLTSPRDACRLSLVSSLFRSAAEWDAVWETFLPFDYQKIVSEAEDGGCSLSFSSKKQLYLRLCDHPLIIDGGNKSFSLDKKTGKKCYMLAARDLSIVWGDTPRYWRWISSPESRFAEVAELISVCWFEVHGRISTSMLSPDTTYVAFIVFKSTSETYGFEYQPAEVSIGISGLETQTQTVLLEPESEERRRYPVVPRRRMGMFHRRHLPNHPVVVPVNTHSSKGPKQREDGWLEIELGEYYIKKGEKGELEMSMTEVKGGNWKGGLLIQGIEIRPKPCKS >cds-PLY77541.1 pep primary_assembly:Lsat_Salinas_v7:2:164873348:164874238:1 gene:gene-LSAT_2X86361 transcript:rna-gnl|WGS:NBSK|LSAT_2X86361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLFARILLTIWLMGGTAGSIYLLGRRALEIGVRMVALHTETCLEDTVLWPTYLMTGVLVTCLLGLVGIVCCKVKFFQWLFTFLVNIGLLYVMVIVVWRLLMFGWIRKSTSAVFDDVYKEDSPLDEKYKPMLIEAMVADNVWPMVDECLTEIKFCEEDRGPEKFLDKDDDWKIENYYEFFREGCCVPPPKCARKMFELGSVSENDDCLKWANATLNKPKHVKCFDCDSCKAARMATYITNQDKVGIYLTIAGVFLFIANLFSSMGGFE >cds-PLY88365.1 pep primary_assembly:Lsat_Salinas_v7:9:17956892:17957465:1 gene:gene-LSAT_9X15420 transcript:rna-gnl|WGS:NBSK|LSAT_9X15420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILGSHKLFIFLTLIGILAVEPYTVSGIRSVGIELRFMKQDHGIVMNHRMLKAVIDMDDLKRNPSSEEAVNKKFSRCQSSKRTVRKGSDPIHNRA >cds-PLY95446.1 pep primary_assembly:Lsat_Salinas_v7:2:515402:516985:1 gene:gene-LSAT_2X1340 transcript:rna-gnl|WGS:NBSK|LSAT_2X1340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSRFVLFLLLSLASFSYSHQIQETFLQCLSDILVPNTFLTPDYADFTPLLTSRAINLRFTTPSTPKPEAIFTPLNETQIQTAVICAKKLGIQVRVRSGGHDYEGLSYTSVMNSSFVVIDLSKMRGINVDTTENSVWVEAGATIGELYYRVAERSKTLGFPAGICTSLGVGGHVTGGGYGTMMRKYGLAADNVLDARIINANGDILDRKLMGEDVFWAIRGGGGGSFGIIVSWKLELVNVPETVTVFAVTKTLEQDATKILYKWQEVGSVFNEDLFIKVTLAGSNVSGTTNKTVSTTYQALFLGGIDRLMQIMNVNFPELGLQKEDCIEMSWIESVMFFAGYPRNVPPSVLLEGKPSFLNYFKAKSDFVRQVIPESGLDEIWKIMNEEGSPLMIWNPYGGMMNEILESSTPFPHRKGVLFKIQYVASWMDPVMEEKHVGVLRKLYECMTQYVSNSPREAYANFRDLDLGRNDKHEFIYDIDDKRLSWGKMYFKDNFERLVAIKADFDPDYFFIHEQSIPRLSRGTS >cds-PLY97408.1 pep primary_assembly:Lsat_Salinas_v7:4:15065002:15066436:1 gene:gene-LSAT_4X11281 transcript:rna-gnl|WGS:NBSK|LSAT_4X11281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNSTREGSSSCTSCLNLMLVLIVLATQLEEEEGCIDDVTVRNLGKPTELAGQYYLDGVMRYYSSLEVASEYFRSGADKISIGSDVVYVAEDYLKTGVGN >cds-PLY67297.1 pep primary_assembly:Lsat_Salinas_v7:3:256885614:256886784:1 gene:gene-LSAT_3X140380 transcript:rna-gnl|WGS:NBSK|LSAT_3X140380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDASQWKLEAVNNNNNNNNIDQVEERKVRPQEQEQEQGQAQPAGGPLNCPRCKSSNTKFCYYNNYSLTQPRYLCKACRRYWTQGGSLRNVPVGGGSRKNKRSSSSSITTDQDTQHLNLSFQVQQPDYHHNHNHNHGFSQFLKLPKVENSSNQLINPFGSNSNPVFNVQVDEINGGFQEILLPFGVMMNQQHLSSTRTIREGDAQNMGSTGYWTSTFLGD >cds-PLY95585.1 pep primary_assembly:Lsat_Salinas_v7:6:138561554:138563668:1 gene:gene-LSAT_6X84200 transcript:rna-gnl|WGS:NBSK|LSAT_6X84200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENNNQSSFWQFSDQLRVQSNNLSNLSLNDSIWSTSYASKRPEKERRNFDIRVGGDFTNSGTVNTNHSSSSNSDFNGFNFDWKVGSTNQNQTGDFGINGGFNKGIYSKPSLNFNTTDLNFEKNGFKKDGKFGKNSDDDHLSFGVKHGKNKKNNSNVNNNEKDNSKNSVDKRFKTLPPSESLPRNETVGGYIFVCNNDTMQENLKRQLFGLPPRYRDSVRQITPGLPLFLYNYSTHQLHGVFEAASFGGTNIDPSAWEDKKNPGESRFPAQVRVITRKVAEPLEEDSFRPILHHYDGPKFRLQLNIPEALSLLDIFEENKD >cds-PLY98637.1 pep primary_assembly:Lsat_Salinas_v7:1:38913983:38914189:1 gene:gene-LSAT_1X33420 transcript:rna-gnl|WGS:NBSK|LSAT_1X33420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPFANVPECPQPHSSEQDSSSASGGHSLPTATLVAALWRRRTWLGSNGVDGANDRRKGINKIRTT >cds-PLY71569.1 pep primary_assembly:Lsat_Salinas_v7:3:53239606:53241348:-1 gene:gene-LSAT_3X39120 transcript:rna-gnl|WGS:NBSK|LSAT_3X39120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDRYFENFMRDFEEETSTVDGDNERSEVIAKESQDFILNLLKFRASPLRSKFRESALYQKHSVVRGTWQTQERRIFVNSNLYDGIPGTAFLLLKNFLVTRNRDDLNTSADIIKACDSASGYYRSITFLRGTAGVCALGAVVSKYQGNTQMIERYLTRFKKIEVKDYRRDGMLHGRAGHLWACLFLNKHFGDEVIPSSYTNRLVNKMIENGRSLGAGTRCPLMYTYFGTKHFGASDGLAGILHVLMHFNLTPDVQEDVKKSLNYLINTRFPSGNYPSKEDDREDILVQWCQGASGMALTLVKAAEVFGDKEFVDAAIEAGEVVWKRGLLKRVGLCHGISGNTYVFLALYRLTKNEEMLKRAKLFACFLLGRGVKLIRKKKMHKGDHPFSLFEGVGGMSYLFFDMMNPDEARFPGYEL >cds-PLY91991.1 pep primary_assembly:Lsat_Salinas_v7:7:91310340:91313743:-1 gene:gene-LSAT_7X63340 transcript:rna-gnl|WGS:NBSK|LSAT_7X63340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METQSSMESSVQTCRHFDFCEILLATANFDDALVIGKGGFGKVYKGNIINGSSVAVAAIKRLDLVSSQGASEFWAEVEMLSNLRHCHLVSLFGYCNYEKEMILIYEYMPNGTLEDHLHKLGTPLSWLQRLKICIGAARGLDYLHTGTGIDLGVIHRDVKPSNILLHESWSAKISDFGLSKIGPTNQPCTYINTLVKGTFGYFDPNYFTTGKLTRKSDVYAFGVVLLEVLCRKRAVDNSLDVGLATWAQDSIKEGNLKHIIDSSIRCQISSKCLKEFVRIVERCLLSNPKRRPTMAEVVVGLESVLNLQEKRNSLLQPAGRTIFGRMVDRFPSLSSRENSAHGGSDLSTNNKGDNRNVGDTVVDNEDFTIPMPSLKVFKFVDLKKATRNFSQHFLLGRGGLGEVFLGWVDKNTLAPSREGVGIAVAVKRYSEDLPEWETVVTGLGELSHPNIVSVLGYSDDKKHQCLLVYEYIHNRNLGRFLFQDEHDFVEPLSWGTRLMIMIGVARGLAFMHSSKNQVIHGHVEPSNIYLDQNFNAKLGDFVLAKFGPEIQKLDSITTGVMCSLGYFDSQYLSTGHLTVKSDIYSFGVVLLETLIGHRAWSIEWPISEFNLVDRDKVKKIIDPHLRHNYPLEDAFSCVMLALRCVAMDPKDRPSSEEVLQSLEQLHVVKS >cds-PLY92467.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:824956:826922:-1 gene:gene-LSAT_0X10520 transcript:rna-gnl|WGS:NBSK|LSAT_0X10520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSLTLTLPCIPPKSLNCSTIITRKTTCPSYSVPVKYRSIKIRLQPCKCSSTLSSSSTKKPNWVRRERDKADEVFEEGERVFLVVNFYRFVFIKDPEQEVSKHLAFLQGRDIHGRIYMNEQGINAQYSGPSEDALAYVNWLKEDERFNDILVQISPPVHRHAFPRLKLRYKPSLLEGGVSHLPLTDSSMRATPLTPSEWRKRLEDRNVILLDVRNGYEWDIGHFRGAQRPNVDCFRSTTFGISESEDIASDPLANVNKENTEILMYCTGGIRCDVYSTILRQRGYKKLYTLRGGISHYLECEGSVEWIGNLFVFDSRLSLPPPSVVKHDETKVHDDVVFAKCYICNSKVSELRHRNCANLDCNLLFLCCLSCMDELRGCCCSKCTSADRIRPVLSGHERYKKWHHYRDT >cds-PLY91374.1 pep primary_assembly:Lsat_Salinas_v7:8:46651652:46653169:1 gene:gene-LSAT_8X36361 transcript:rna-gnl|WGS:NBSK|LSAT_8X36361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISAYRSRRAARNANKIKKGSKLVDVDVEVTIPEHFRCPISLELMKDPVTLSTGITYDRESVEKWIFEDEKHTCPVTGVSLTTLDPVPNHTLRKMIQNWCVENKLYGFDRIPTPRAPANSYQVSDILSKIVDMRAKGNAEGVMELVVKMKDLAKESERNKRCIVANGSARILSETFEMFSSYKNQENASVLEEILSGLTLLLPFDQATKSCLGSNQCLSTIVWILKVGTLSGRRNAVLILKEILSSDQTKLHEFTEIEGSIEALAKLIKEPICPTTTNASLLAIYHLVTPSTSFDHKKTLITTFVNMGIIDKLLEMLVDCSRGVCEKSLGVLEGLCSIDEGLEKAYNNALTVPVLVKKLLRVSDMATEFSVSILWMLCRYEKSKGVEESVAIEALQVGAFQKLLLLLQVGSSVKTKEKSGDLLKGMNVHRGRVDCIESMDFKDLKRPF >cds-PLY87817.1 pep primary_assembly:Lsat_Salinas_v7:5:129164048:129166283:-1 gene:gene-LSAT_5X56660 transcript:rna-gnl|WGS:NBSK|LSAT_5X56660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFRKCYLDLVLVPLSFLITIGYHLWLWHNVRNRPLSTVIGVNAHGRRLWVSTIMKDNDKKNILAVQTIRNTIMGSTLMATTSILLCSGLAAVISSTYSVKKPLNDTIYGAHGEFMVALKYVTLLVLFLFSFMCHSLSIRFISQVNYLINCPQDSTIVTSTYVSELLEKSFTLNAAGNRIFYSAIPIVLWIFGPVLVFLCSLSMVPMLYNLDILFGDSKKGRNGEIANEV >cds-PLY63129.1 pep primary_assembly:Lsat_Salinas_v7:4:64808624:64809208:-1 gene:gene-LSAT_4X44821 transcript:rna-gnl|WGS:NBSK|LSAT_4X44821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGSLTIVVAHHMYAMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWACIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPVFAQWIQNTHALAPGATAPGATASTSLTWGGGDLVAVGGKVALLPIPLGTADFLVHHIXKQIYIYIYIYIY >cds-PLY97424.1 pep primary_assembly:Lsat_Salinas_v7:4:14923911:14924105:1 gene:gene-LSAT_4X11401 transcript:rna-gnl|WGS:NBSK|LSAT_4X11401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGWYWWLVVVAIDGHNGGLWVGGSDGWWRWVMEAMMVSNGGSRRRWQGWCSMVQGSVVGV >cds-PLY85531.1 pep primary_assembly:Lsat_Salinas_v7:2:196794412:196798185:-1 gene:gene-LSAT_2X118001 transcript:rna-gnl|WGS:NBSK|LSAT_2X118001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTVALVLMCAGLATSATRCPDCGSTQVPYPLSTGPSCGDQSYRIRCDVSEGDLYFNSLNNTYPISSISQATQRFVIQPPSFLNNNSTCVTADISSQGLQLNSTLPFNITSGNTILYLNCSESLLRSPLNCTSTSLCHTYINNSAEASACGRAPICCTFRTGGSSTMYSIRVRDGGCQAYRSFPNLDYSLPVSRWPAAGVEIQWVSPPEPLCGNQADCDSSSTCMPSGTGGVSRCYCNRGRHWDAIDGVCALDDTCENRGDCDDSKRTALIAGLTSGLGATLVAALIGLLLYRRHRRHKEAQARLAREREEILNAGSGKNAKVFTGKEIKKATHNFSKDRLLGTGGFGEVYKGILEDSTVVAVKCAKLGNTKGTDQVLNEVRILCQVNHRGLVRLLGCCVELEQPLLVYEYISNGTLLEHLKGQHNGDLTWNHRLNIARDTAEGLAYLHFSAVPPIYHRDVKSSNILLDEKLNAKVADFGLSRLAEADLSHVTTCAQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLELLTSEKAIDFNRPPDDVNLAIYVKRVVEEERMLDAVDPKLKSGASKLELETMKALGFLAVSCLEERRQDRPSMKEVTEEIEYIISIATHKSTEDQ >cds-PLY90940.1 pep primary_assembly:Lsat_Salinas_v7:9:171277625:171281303:-1 gene:gene-LSAT_9X105181 transcript:rna-gnl|WGS:NBSK|LSAT_9X105181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRSAKVLILISFFLLSVSSNINFVDAFYGSSSPVLQLTPSNFKSKVVNSNSVVLVEFFAPWCGHCQALTPIWEKAASVLKGVATVAAIDADANPTIAQEYGIKGFPTIKVFVPGKPPVDYQGAREAKPIAEFALKQVKALLKDRLSGKTTTTESSEKKSEPNLSVELNSNNFDEMVVKSKDLWVVEFFAPWCGHCKKLAPEWKKAAKNLQGKVKLGHVNCDDEKSLMSRFKVQGFPTILVFGADKESPITYEGARTASAIESFALVQLETNVAPPEVTELTSSDVMEEKCGSAAICFVSFLPDILDSKAEGRNKYIEMLLSVAEKFKRSPYSYVWAAAGKQAELEKHVGVGGYGYPALVALNIKKGAYAPLRSAFEKDQIIEFVKMAGLGGKGNLPLEGTPVVVKTEPWDGKDGEIIEEDEFSLEELMGGGSDEKDEL >cds-PLY66693.1 pep primary_assembly:Lsat_Salinas_v7:6:78180626:78193253:-1 gene:gene-LSAT_6X56800 transcript:rna-gnl|WGS:NBSK|LSAT_6X56800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRDDDDNDHCVGGVTLTSILMRPFSTYTAISNLQRQINDSHPHSQRHFTEHQGFLALESPLTSDVQNHGSVGRTIDVTHYKGYEDLRHDLARMFGIEGQLEDTQRTDWKLVYVDHESDILLVGDDPWETVEELGLEKTLIQVVAKRLNGDWIRDPSIPIVTGFLGKVIILARERGLNLELSNIHVQSLVPDPLKVVMQAGDAELGIRGRCGVGEKVLGSLIIRLALAKTFFLNCGILALDEPTSSIISYHLVLYCMLSYRFAMCRF >cds-PLY78958.1 pep primary_assembly:Lsat_Salinas_v7:3:70671545:70671709:-1 gene:gene-LSAT_3X55220 transcript:rna-gnl|WGS:NBSK|LSAT_3X55220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGENVSEGEPIDDEMGENEDDETDVVTNFPNRFNEEQPWKQQQPVLGMRFENSK >cds-PLY87926.1 pep primary_assembly:Lsat_Salinas_v7:4:33134569:33135662:-1 gene:gene-LSAT_4X21741 transcript:rna-gnl|WGS:NBSK|LSAT_4X21741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDNSIGSTTNINNNSLIGSTTSYLPISAMGSNNNINNLIDSTMSHLQTFNSHIGFTTPHLGPQISSSQNQTSQSRLSPIITEHGSLTNDPSWGLNMARIPYNISSLSSTPQNQHEFQEKTGMGYYTNLMNIDYSNVNHENTSFMGDVSHYGSVEGSNLYSGPGSSSSEFQGFNMLPFGTPNLVANMDLYGSASGNSNASNMVQPYAMSSSSYGGYIIPQEHNSLFDNQHFGIAENSGFHDIMNTLHNPVTGRGVEFSTINENVGSSYNQGNYSGYVDGSGYINYGAEREVWNSGTLGAVAPADENQYWNQHGFGF >cds-PLY71643.1 pep primary_assembly:Lsat_Salinas_v7:9:135414366:135421526:-1 gene:gene-LSAT_9X87421 transcript:rna-gnl|WGS:NBSK|LSAT_9X87421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSSTPSSSSTLPPTTPIVPGKRKVSLSKDNAFIRLVALATAGSGLAYKLDIEDSDMSMRVSIPTLSHYTLSSSWGMSDTVLNSPFSSSAPSRHGHPPLSLFSVNPTSVPGVNKEPPFKETSESPKPCCGCLGRDTIANAAARVGPAVVNLSVPKGFQGLTVGKSIGSGTIIDDDGTILTCAHVVVDFQGFTSSSKGKVDVTLQDGRTFEGIVVNADLHSDIAIVKITSKTPLPTAKLGSSSSLRPGDWVVAMGCPLSLQNTITAGIVSCVDRKSSDLGLGGMRREYLQTDCAINAGNSGGPLVNIDGEVIGVNIMKVSKKKMSCFPMLPKVFLYLWRVVRPWLGLKMLDLNDMIVAQLKEKDVMFPNVTKGVLVPMVSPGSPAERAGFRPGDVVVEFEGKPVTSIKEVIEIMGDKVGKPMKVVVKRAKNTCITLTVIPEEANPDM >cds-PLY97753.1 pep primary_assembly:Lsat_Salinas_v7:4:375021569:375025291:-1 gene:gene-LSAT_4X185560 transcript:rna-gnl|WGS:NBSK|LSAT_4X185560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKDRFPDAYSGGFPHNEESEGSGCSGRDHMETSGYENSSGHRKKWIKLSSALHDGFGVPIQSVPLSTLPSSDKQKWLLRLRSELDQVRTFQKRVDVDRAHVTMSSSSNIISSNKVQGNLTNLRKSIDPQAQKARGTSPGVTRLQSVNEPALPETFSLQLRKQCENLLKKLMTHQHGWVFNKPVDVVALKIPDYFNVIKKPMDLGTIKVKLSSGNYSSPLQFAADVRLTFSNAMTYNPPGNDVHIMADILSKFFELRWKPIEKKLPANGSQQQQQQQPVHEVIDLVKPMPPSKKRKVTSIQEKLLPEPVKLVMTNEEKHNLSRELETHMSDLPDNIIDFLKKHGSNGSEAGEDEIEIDIDVLNDAALFELRKMLDDHAREKQTHAKAEPCVIELLNESGLSNSTMQLYKGNDVADEDVDAGGNEAPVSSYPPVELDNRNNNKQTTSGGVSSDSDSSSASEKEVKDVKAAISFTGAKSQSQKPNKMNDSSQKEKDDDGEVDENERQVSPDKLYRAALLKNRFADTILKAREKTLHQDEKADPQQLENQKRKEKARLQAEAKAAEDARRRVEAEAAAEAKRKRDLEREAARQALLKIEKTVEIDEASRFLKDLEMLRAAEPDQMLPSCLDEISPGPDSQDGSGSGSGSGSGSGLGSFKFGGTNALEQLGLYMKRDEDDEHEEEEEEEPLAFVGVGVVNNDVEEGEIN >cds-PLY71724.1 pep primary_assembly:Lsat_Salinas_v7:3:46841258:46842031:1 gene:gene-LSAT_3X36661 transcript:rna-gnl|WGS:NBSK|LSAT_3X36661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKVKLAFITNDSSRKATYKKRKKGLMKKVNELSTLCGIDACAIIYSPYEAQPEIWPNNISIQHVLAQFKRIPEMERSKNMMTQESFIRKQITKSNQQLKKQMKENREKEMTEVMYQCLTGKGSIENLTMPDLNDLGALVDQTLKDICRRIDSLKVAIPGKAVATPPWATGVGKSSNATNEMKKRGVVMHALDKQAMVVDKVSGYMDGMQRGTQTQWFTDWINNPSQHNLSLAPGYEMTQFVSNPNPIWPSPFFP >cds-PLY64159.1 pep primary_assembly:Lsat_Salinas_v7:1:1779089:1779415:1 gene:gene-LSAT_1X1020 transcript:rna-gnl|WGS:NBSK|LSAT_1X1020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRSPRKHPIPTATDNVPKQQSGSKIVRKGNIDVGRKKLCTRRGGGRIGTAKRGNATPIVGAQESVNDNPIAATNQDVLVDDEGENVMHEDDINVEVPNMGDMQEGVV >cds-PLY98021.1 pep primary_assembly:Lsat_Salinas_v7:8:42851300:42853998:1 gene:gene-LSAT_8X33021 transcript:rna-gnl|WGS:NBSK|LSAT_8X33021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIESNHNPPLLRDYTQLLQRSRDSVETKQRINYEQEMEECGLPLVDLGGLWSMNEEESVSCASEICKASAEWGFFQIVNHGISLELLRRMRKVQVELFKAPFEQKMASGLLDNSYRWGNRTATCPKQLSWCEAFHVPLSKISDETCYGEFSSLREVMQEYADAMQELAKSIARVLVMNMGGGRSLWEDNCNESTCFMRLNRYPSCPISPEVFGLVPHTDSDFLTILHQDEHVGGLQLMKDSKWVAVKPNPDALVVNIGDLFQAWSNDVYKSVEHKVTVNQEVERHSIAYFLCPSYESFIGCCDKESSIYRRFTFGEYRRQIQEDVKDYGHKVGLPRFLVST >cds-PLY64860.1 pep primary_assembly:Lsat_Salinas_v7:3:17620868:17623943:-1 gene:gene-LSAT_3X12621 transcript:rna-gnl|WGS:NBSK|LSAT_3X12621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalase [Source:Projected from Arabidopsis thaliana (AT4G24040) UniProtKB/Swiss-Prot;Acc:Q9SU50] MDVSAEPANSCKLTDHGPVVPTTPLVTFLERIQETALKTFGPMKFDPKLYVDLSLKFDLPIVKQAFNDLAKTANGSVLPSDFNDFLNKYMNGAEKDLEYVKPIDFMAEPEGFLPKVAHQGVRNWALEVHSLWKNLSRKVSDKVLEHPELHTLLPLKHPVIIPGSRFQEVYYWDSYWVIRGLLTSKMYETAKGIIFNLIDLIETYGYVLNGARAYYTNRSQPPLLSSMVIEVYKQTHDMDLVKKALPALIKEHKFWNSGIHVVTIEDAQGTIHNLSRYYAMWNKPRPESFTIDTETAIKILKDCEKEKVYREIASAAESGWDFSTRWMKDTSDLTTLSTTMILPVDLNTFIYKMELDISFLAKVSGKTSDCLHFKEASNARKKAMDAIFWNEEKGQWFDYWLDPDSNRKEDVYTWDAKYQNQDIFASNFIPLWVRFQDKTLVKKVAQSLQSSGLLHAAGVATSLTNSSQQWDFPNGWAPLQHMIVEGLVMSGSEEAKLLAKDIAKKWIRTNYIVYKKVNAMHEKYDVTKCGEFGGGGEYVPQTGFGWSNGVVLAFLEEFGWPEDLDPQCQ >cds-PLY66966.1 pep primary_assembly:Lsat_Salinas_v7:6:81288322:81289754:1 gene:gene-LSAT_6X57501 transcript:rna-gnl|WGS:NBSK|LSAT_6X57501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYSYQIDYKNNDIDRRIVMSRVSYRNGSRVCDVDDRLFNDRDCHLLGSSNGLVCIFSFASQLVVANPSTREVQTLQEPQILDTKHLCWGFGYDSSTDDYKVVLGFHKRVGWTCFQVLSLKSNVWKLIGDVKYSFLSRIGILCNGALHWIMKDSSSPNKKRVIASFRLSEEKFIKISQPDDERYESGVASCPNMNLGIIKDCLCISPCDAFNDNLWMLKNYNGKLSWEMFEKDCDMNLALHCLKEQEHYIPNKRTLCHDMLFYKTREYICAPIYMESLVSPYVNGRPKRKTQESNSKESCKLIKRDPMLPGASG >cds-PLY91396.1 pep primary_assembly:Lsat_Salinas_v7:8:47500748:47501796:1 gene:gene-LSAT_8X35420 transcript:rna-gnl|WGS:NBSK|LSAT_8X35420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLKHPNQPETINHDANGAISSYEHQRSMTNNEDGEDDWYHKEMNDILAKKQMFFSSNCRNIETSTHCKERNQCMKCSKGGQLLVCSSKSCSFVFHESCLFFTSDTTGNFYCPFCKYSQLISNYRIIKKKASLARNDVASFNGLVLPKMPHERLYVSDHIKPCTRLVVPQRRRRVPVPWTKSEEDTLKKWVEKFLGESDGGFIPWRMILELGVSVFQKSRTPVDLKDKWRNMQKASKCSI >cds-PLY86126.1 pep primary_assembly:Lsat_Salinas_v7:MU040760.1:414:1453:1 gene:gene-LSAT_0X46360 transcript:rna-gnl|WGS:NBSK|LSAT_0X46360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVASLPSFNLALKSSPLSFGFDIARSKHIVGSTSSYAKFASLKKNRDGGLQISVCKAASSSSPLFFRDLDADDFRHPLDKQNTLLLRAIPGLNEIGKALLGSIAEQVMVLENIGTSVLVSENQVNFTYLYPHQQKF >cds-PLY64181.1 pep primary_assembly:Lsat_Salinas_v7:8:125453480:125455331:1 gene:gene-LSAT_8X87601 transcript:rna-gnl|WGS:NBSK|LSAT_8X87601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANRFPIILHNRLPILAGVHINASVSSFSINSCGQFSKTLKRSKNLSSYRVPRRLVFGIGISFWAQFVSMAGNSGTNSIIASARTKGAVEQILENVEWPEQFPFKEEDFQRFDESSDLIFYEAPRFVTHIDDPAIAALTKYYKEAFPPSNTPGVALLDMCSSWVSHFPAGYKQERIAGMGLNEDELKANKVLTEYVVQDLNTNPKLPFEDNSFDVITNVVSVDYLTKPIEVFKEMSRVLKPGGKAIMSFSNRCFWTKAISIWTSTGDADHVMIVGAYFHYAGGFEPPQAVDISPNPGRSDPMYIVHSRKLATA >cds-PLY92815.1 pep primary_assembly:Lsat_Salinas_v7:2:148449304:148451978:-1 gene:gene-LSAT_2X74341 transcript:rna-gnl|WGS:NBSK|LSAT_2X74341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEINSVENNPALMKPANKQGKKDVMGGGKFGTEVVHNRRVLGVINQNLGGAGVAGGGCRGGAQPHPCVANKRASSQKLAANIANKKQCFPEEIKSNPSNDDFGVWEDQPVPMFLETSETTSYQRDHHMEEVEMEDIFEESVVDIDICDNENELAVVEYVEDLYAHYRRMENCSLVSPDYMTQQFDINEKMRAILIDWLVEVHHKFDLQHETLFLTVNLIDRFLAKQSVIRKNLQLVGLVAMLLACKYEEVSVPVIDDLVFISDKAYSRSQILETEKLMLNTLEFNMSVPTAYVFMKRFLKAAQSDSKLEQLSFFLIELCLVEYEMLKFPPSFMAAASIYTAQCSLYGVNQWSKTCQWHTNYTEDQLLECSRMIVGYHQKAGVGRLTGVYRKYNTSKFGYAAKNESAKIHV >cds-PLY63558.1 pep primary_assembly:Lsat_Salinas_v7:9:149529359:149533854:1 gene:gene-LSAT_9X95320 transcript:rna-gnl|WGS:NBSK|LSAT_9X95320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH123 [Source:Projected from Arabidopsis thaliana (AT3G20640) UniProtKB/Swiss-Prot;Acc:Q8GXT3] MADKWWDSSLRTATSLDSVSVSNPINVFQDTDTPSTTAMPSLTATATATATSSTATSTTSLHMMGLRRSSPSPPQSLDWNQALLRGDEKSENSFQNLLQDQDHSLRSNTTNFQLESNQWRSDKMYASSSQDSSSDFKQFKARMSHLEQQPMQESDNESIITCQGLNSSFQNMDSYGSPSTMMQNLFGSDSNQQQDSSFDQNHGMNYSMYQSSYDDMNKHVGGVDGGIGEEFAVNSSKKIQLADMGANQLHFSNNTRFWNVVETGVSNIRASFFPSFQMQLPLSTIEDKPKITTGVTKESVTEPLNKRTKNDHQSHLPSFKVKKEKMGDRITALQQLVSPFGKTDTASVLFEAIDYIRFLHEQVSVLSTPYMKNGASTIQHQPQKLQQTSEKSSDGTTHDLRRRGLCLVPFSRTFPVTHETTVDFWTPTFGGSFR >cds-PLY75685.1 pep primary_assembly:Lsat_Salinas_v7:8:247611157:247611537:-1 gene:gene-LSAT_8X146061 transcript:rna-gnl|WGS:NBSK|LSAT_8X146061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRGRSNGYELTFKGKKITLKPMSAGEVRPMRNQKGRKPSLSMMAIEKEEPEEEIVEEKVEQAKEEEQVLDQGEKIHMLVAKADAEEGKVFQLEGPIATLLKEQVLDQGEQDSQQEGPIASLLEKY >cds-PLY65092.1 pep primary_assembly:Lsat_Salinas_v7:4:202970309:202971937:-1 gene:gene-LSAT_4X115301 transcript:rna-gnl|WGS:NBSK|LSAT_4X115301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTSQLYHHLLLFFLVFLFHAQQCPAAGGSWSVLLPSIGISAMHAQLLPNDRVVMYDRTDFGVSNISLPNGACRPNTTDCSAHSVEYDVASNTVRPLMVLSNVWCSSGTLMPDGRLVQTGGFDDGYRVVRIYKSCDSCDWQEIRNGLNQQRWYATNHILPDGRQIIIGGRRAFSYEFYPKMSATENTPSLPFLVQTNDPNVENNLYPFVFLYPDGNLFIFANNRAILFDYSNNQVIKTYPTMPDGQPRSYPSTGSAVLLPLRITKGTVNAVEVLVCGGAPKGAFVNANKGIFDGALDTCGRIKISDPNPQWVMETMPLARVMGDMLLLPNAHVLIINGVSAGVAGWELGRNPVLSPVVYQPDKQVGSRFEVQNPSTIPRVYHSTAVLLRDGRVLVGGSNPHDKYEFGNVLYPTELSLEAYSPSYLDSNSSDLRPKIILPVKNTKIGYGKQLVIVFTVSGIVDPSSVSVTMMAPPFNTHSFSMNQRLLVLDGGVAAKILGKSRYQVVVTTPPSGNVAPAGNYILYVVHKEIPSPGIWVQMQ >cds-PLY78915.1 pep primary_assembly:Lsat_Salinas_v7:8:2310470:2313881:-1 gene:gene-LSAT_8X301 transcript:rna-gnl|WGS:NBSK|LSAT_8X301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 64, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G17970) UniProtKB/Swiss-Prot;Acc:Q9LVH5] MASSSPNVWVLLGLSLAGILLMAKKLKKVVKVDFGAFVERFQILPPPQPAPPKAPHPLTGLSFAVSDLFDINGFVTGFGSPDWESTHEAASQTSPVVSALVDGGATCVGKTVVDELSFGISGENRHCGTPTNPVAPSRIPGGSCSGAAVAVAAKLVDFSLGVDTVGGVRQPAGHCGILGFRPSYGSVLHSGIVPVSTSFDTVGWFAMDPSILRRVGQVLLQVPLTVQRNPRNVIIADDCFRLSKIPFDRLTQVVIKSTETLFGKQVLKHEILDDYIASKVPSLKALDSKKSNGDVKLSSLRSLANIMQLLRRHEFSHTHSEWISSVKPALDPLISAQIQGKLELTEKDIENFRTVRSELRSALNALLKDDGVLVIPTVCSPPPKLGAKELSSEEYLMNEFSLTGLASMSGCCQASVPLGMYENCPVSVSLIARYGGDRFLLDTIQTMHASLKQKADEAGNSKSSADVVTKEASAEIAKEKGNEAFKAKNWQRAIGFYTDAIKLNSKIATYFSNRAAAHLELGSFIQAEADCTKAIDLDKKNVKAYLRRGTAREMRGYYKEAIEDFRYALVLEPTNKRAAMCADRLKKLFQ >cds-PLY94904.1 pep primary_assembly:Lsat_Salinas_v7:4:109250328:109250543:-1 gene:gene-LSAT_4X71441 transcript:rna-gnl|WGS:NBSK|LSAT_4X71441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLWERREDSNDQGRVLHNVGELEGWYGRSGLVVITKGRAGDQVGYYTVGMEAASSWPVVKKNGKGREKRG >cds-PLY63343.1 pep primary_assembly:Lsat_Salinas_v7:9:134087543:134092604:1 gene:gene-LSAT_9X86441 transcript:rna-gnl|WGS:NBSK|LSAT_9X86441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMIIGKVVLVKKNKLDINDLSSSALDRLHELVGKNVTLQLISSSHKHPSTEGNLRGKVGKQAMLEDWITTVTPLTNEESSYKVSFEWEEDTEVPQAFLIQNHHYSEFYLKTLTLEDVPGHGYVHFVCNSWVYPSKRYKKDRIFFHNKAYLPFETPEFLRSYREEEMEILRGDGTGMLQEWDRVYDYAFYNDLGNPDKDLDNARPVLGGSSEYPYPRRGRTGRPPTKSDPRTESRLPLVMGLNIYVPRDERFGRIKFSDFLAYALKVIIQLLIPEFQALCDNTHAEFDSFEDFFKLYEGGFKFPDGHLLEQIRNNIPLEMQKILHERDSDELAKFPTPQVIKEDKSAWKTDEEFGREMLAGVNPAKIYLLKEFPPKSKLDMEVYGNQDSSIKLHHIEKNLNGLQINEALKANRLFILDHHDSLMPYVRRINTTTNKIYASRTLLLLQNDGTLKPLAIELSLPHPDGDKHGAISNVYTPAENGIEGSVWQLAKAYVAVNDSGIHQLISHWLNTHAVIEPFVIAANRQLSVMHPVYKLLYPHFRYTMYINAIARQILINGGGILEATSFPGKYSMEMSSMFYKYWVFPEQALPVDLLKRGMAVVDSGCRHGVRLLINDYPYAVDGLEIWSAIKSWVEDYCKFYYKNDDIIQNDNELQSWWKELREEGHGDKKHEPWWPKMDSCQELINICTTFIWVASALHASVNFGQYLYAGYLPNRPTLSRRFMPKPNTPEYEELRENPEKIFFKTITPHLQSLLGIALVELLSTHSSDEVYLGQRECSEWTLDEEPLKAFEKFGKKMKEIEEKIEKMNEDKRLKNRVGPVNVPFTLLYPTSEEGLKGKGIPNSTSI >cds-PLY82786.1 pep primary_assembly:Lsat_Salinas_v7:2:144334361:144337643:1 gene:gene-LSAT_2X71200 transcript:rna-gnl|WGS:NBSK|LSAT_2X71200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLKQMEKSLLSWRLACLMVVMMFLSMAKPVTSQTSSDRNSTLMRYYCSQYFGMNETYFYQNLNTTLSSLRRQLLVNRVRYALARTLLNGESVWGLASCRGYVSNTNCVACFDYAVSQLRVCGRGNGAHAFYNDCDVRYENNNFYADANIRAGIVICGNITSPQQTEFRKTVEGLISDLRTATPRTSDFYAASTRALPDRSGTVYGIAQCNQNLSQSVCEDCLNSRYSSLDDCLPNTNGRAIDNGCFMRYSSTPFFGQNQTTNITPLLWDGNSNNKRSIIGGVVGGVCLLLLVLAFVLWRLRSKKTSRSQQDKSTGSTELLQGPARYSYNDLKVATENFSDDYKIGGGVFGEVYKGTLKDGETVAIKKTVMASTRGKIHFDDELKIISNVHHRHLIRLLGYCSKGPLLFLVHEFMDNGSLDQFLYGDKSRNLSWRQRFEIIFGTARGLAYLHEQYHVTIIHRDIKTSNILLDDELQPKIADFGLIRLLPEDKTHLSTKLAGSLDSGYVAPEYAIHGQLSEKVDTYSFGIVVLEIISGKRCDDVIDDKSVNLSLLDHAWNLYENGTHVNLIDNRLDASEYAAEDVMKIIEIALMCTQSQVSARPAMSEVVTLLSDKSVDEIPPVRSTFHEDDIKIPIDTSISLSSNATASTVQVSGR >cds-PLY90736.1 pep primary_assembly:Lsat_Salinas_v7:3:36485784:36486502:1 gene:gene-LSAT_3X28260 transcript:rna-gnl|WGS:NBSK|LSAT_3X28260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLNAYIVGLFFVDVVGEVYDPEFNSWVDMPVGMGEGWPMKQTGTELSVIVDNDLYALDPSSSLESARIKVYDHVEDSWKVVEGDVLIRVAEIEIMIAEPKRKQNIKEQEFCLGFNGSEITTVXXXXXGYWWRSIDYDTLHLEDEYKLLEKAKGKKRIEGGPARRI >cds-PLY66998.1 pep primary_assembly:Lsat_Salinas_v7:6:140388549:140390235:1 gene:gene-LSAT_6X84361 transcript:rna-gnl|WGS:NBSK|LSAT_6X84361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRRRTTESENKPVVQNCSSRSTRARRSSVKLNNYNSPVVVFAHGAGAPSSSEWMIRWKNLLADALKAIEVVTFDYPYIAGRKPPPKAEKLVEFHTDVVKKIADKYPKHPLILIGKSMGSRVSCMVAADTDIKASAVVCLGYPLKGTKGAIRDEPLMQLSVPTMFVQGTKDGLCPLASLEVVRKKMKALNTVYVVENGDHSFKIGKKNLEMAGITHEEVERLAVQAIATFVSQVIGAM >cds-PLY94340.1 pep primary_assembly:Lsat_Salinas_v7:7:164874280:164874843:1 gene:gene-LSAT_7X96661 transcript:rna-gnl|WGS:NBSK|LSAT_7X96661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTLNFHYEDVSRQDPLLKPNHANVMEVPGSCKIRVVPKAAPSDFIIKNGKLAMEIPCGQKLIQTQRASTGKEFRSNPFLGKNQDKKGYVSDLARQSTLRGHGMSHFLVRISAVMSLLDFPVEIREKSIQFLMEMEFCEFSPELEDHFEIFEHIRGFNVTIVTSANTQDETLPPWSGFFQKDEGESQ >cds-PLY90901.1 pep primary_assembly:Lsat_Salinas_v7:1:57427486:57429030:1 gene:gene-LSAT_1X48181 transcript:rna-gnl|WGS:NBSK|LSAT_1X48181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSDSSSSFLPGIIKKSLLVIFVNGFLAWAYQAACPPPPKKTGSPDGPPITNPRIKLRDGRHLSYREYGVPKEVAKYKIIYVHGFDSNKHFAVIATSASSALIEELGIHIVSYDRPGYGESDPNPNQTLKSSALDIEELADQLGLGSKFYVVGFSMGGQVAWTCLNYIPHRLAGATLIAPVVNYWWPRLPLKLSQEAYSKQFVEDQWSLRVAHYLPWLTYWWNTQRFFPSSTLIANSPDILSPQDIQLLPKFTAGRAPLLEGQIRQQGEYESLHRDLNIGFGTWEFDPMDIENPFPNNEGSVDIWMGDDDKIVPVTLQRYIAKQLPWINYHELKGAGHLFPYADGISDAILKALLLGKS >cds-PLY84468.1 pep primary_assembly:Lsat_Salinas_v7:7:128451541:128451925:-1 gene:gene-LSAT_7X79320 transcript:rna-gnl|WGS:NBSK|LSAT_7X79320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGMVKARDADDIINNVVAGLATRALYKAETVAGAIGGIAVGLAMTGKQILKRYIPI >cds-PLY84374.1 pep primary_assembly:Lsat_Salinas_v7:2:84130995:84131471:1 gene:gene-LSAT_2X38980 transcript:rna-gnl|WGS:NBSK|LSAT_2X38980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMHVIIVQTSSQPEMTGRFEAKADFQKNIVVVNIPDVDSTVDQPIPDIGDQSETGDYEGFLDLGLMQQFFIHVVPLNVVYPSSCFQGDISQEVPQGTDSDIDSEKDHLNPQKRKASFSGGDYDVEVGSSSAACGDPSTSPPKKKSKIVFDLNELAVT >cds-PLY68131.1 pep primary_assembly:Lsat_Salinas_v7:8:232709743:232719023:-1 gene:gene-LSAT_8X141200 transcript:rna-gnl|WGS:NBSK|LSAT_8X141200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMEEARDELGTSEQGASSNAWWSSELIDKFESASLDSKKETLTHRRSMSSSTYDRFLSQSQSASQILWRTGVLSEPIPNGFYSIIHDKRLKDLFDDIPTLEELHDLELEGVKADIILVDSQKDKKLSMLKQLISTLVKGLNSNPAAIIKKIAGLVSDFYKRPQFDSPLKATLEDTSNVPGSRGVHLLGHIKNGSCRPRAILFKVLADTVGFESRLVVGIPNEGVGECVDSYKHMSVVVVLNSVELLVDLMRFPGQLIPRSTKAIFMSHISAAGESDSAENDSCDSPLEPNSPLYGFSERIDNESSEKDDNLHYQRRLDLSLNVPGPSLRNKMMRSASSIDGKWSISHSEPDIAASFWRRSRRKVINEPRTASSSPEHPSLRARGRSMLGGDRRSFREYPDDVGPSRSEGTSTSEHRRNRRRSISITPEIGDDIVRAVRAMNETLKQNRLMREQVDTRLQNPELKKDETDENDGRSLYSLEREREGEASQKAMSLPTSPHEFRHPASGRPAIPDGDETVSTWNKILDSPMFQNKPLLPYQEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTEVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTRPPRLSMVTEYMEMGSLYYLIHLSGQKKKLSWRRRLKMLRDICRGLMCIHRMKIAHRDIKSANCLVNKHWTVKICDFGLSRMMTESPVKDSTSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGLPPERVVYSVANEGSRLEIPEGPLGKLIADCWAEPAERPSCEDILVRLLDCEYALC >cds-PLY90517.1 pep primary_assembly:Lsat_Salinas_v7:2:41683371:41686629:-1 gene:gene-LSAT_2X18921 transcript:rna-gnl|WGS:NBSK|LSAT_2X18921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNHDNNKTFPIGETPQETSSLNVPKSYEISPANRASLNPEIADVVIIDLAGLDDPLKRPIIVKEIGNACRETGFFQIINHGIPQIVLNDALESAFNFFNLPTNEKEKYMSNDVHKPVRYGTSIKDGEDKIQFWRVFLKLYAHPLSEWIKQWPSNPPDYREKTGDYAMKTRLLAIKIIGAITESLGIGPKYLESKMEDGMQVLAVNCYPKCPEPHLALGLPPHSDYSCITIVLHSSCGLEIMDATNGTWQLVPDVHGALQVHIGDHVEVLSNGLYKSMVHRVTVNNEKTRVSIASLHSMGIDEKMATAEKLITDEHPKNYKESSFRDFLNFLSSNDITDGKSFIESLKIN >cds-PLY79196.1 pep primary_assembly:Lsat_Salinas_v7:8:163566269:163569759:1 gene:gene-LSAT_8X108681 transcript:rna-gnl|WGS:NBSK|LSAT_8X108681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTICANRTSSLLFRFNRRSFTKLAHESSPAPLRGDDDQEFLPQMPPFDYTPPPYDGPSAAELLEKRQRHLNPCMSYFYRNPLFLVDGKMQYLFDEKGRRYLDAFGGIATVSCGHCHPEILEAIFNQTKKLQHTTALYLNRASADFAEALASKCPGDLNVVFFTNSGTEANELALMISRLYTGFHDIISVRNGYHGNAGVTMSATGQCNYKYNVIQSGIHHALNPDPYRGIFGSDGPKYAKDVDEIITYGTCGRVAGFIAEAIQGVGGIYELAPGYLQAVYNSVRKAGGLCIADEVQSGFARTGSHFWGFEAQGVVPDIITMAKGIGNGLPLGAVVTTSEIAKVLTCHGYLNTFGGNPVSTAGGLAVLKVIEKEKLQHNALKIGTYLKNQLLALKEKHQIIGDVRGRGFLLGMELVTDRELKTPATRETLYVMEQMKDMGVLIGKGGLNGNIFRISPPLCFTREDADFLVSTMDHIISKM >cds-PLY77068.1 pep primary_assembly:Lsat_Salinas_v7:3:220417477:220422067:-1 gene:gene-LSAT_3X131641 transcript:rna-gnl|WGS:NBSK|LSAT_3X131641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALIASKNKRVKRSSAHIHSFFSSHFLHIDSDLTIERIDDAKNVIFTAQLPSKKSAIDLLIYVYRHEFKKLGGYIVNMEKRRRNKISERIRTLWTLVPNCNKVAATTFDWIIITSPKAEIEKEMDIENMDDETEFPEHKEEHGLGLHTVDIVMEENAHGDDVNQSNEKDEDASEAGNELVGVVSDENLLELLELAMSSNTAETVKRARELMELGVYLIVLMSQMATLIMDIIAGTYQVIEASADSLFDGRSCKFFSTFFFIIIF >cds-PLY66647.1 pep primary_assembly:Lsat_Salinas_v7:1:53410542:53416431:-1 gene:gene-LSAT_1X46601 transcript:rna-gnl|WGS:NBSK|LSAT_1X46601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLFSRERILGQSKRDSNGPVMNGTNSLRYSSSFAAAATTTTTLDPLPSPFGDLTPTLSPTDLRETAYEIFVAACRTSTGKPLTYIPNNASSYTPDRSSQSPSLSGSPSQRSITSTAASKMKKALGLRSSSSAGSPGSAGSGAGSGGKVKKTVMTVGELMRIQMRISEAADSRVRRALLRISAGQVGKRVELMVLPLELLQQFKSSDFTDQQEYATWQKRNLKMLEAGLLLHPHIPLPPSNTSSQRLKQIIHNALEKPIETGRNNESMQVLRSAVTTLSNRSTDGQTESCHWADGFPLNLRIYEILLEAIFDGNDESSIIEEVDEVVELVKKTWGILGINQMLHNICFTWILFNRFVGSGQMDNDLLYAADCQLVEVAKDAKTTKDANYAKILNETLSEILGWAEKRLLAYHDTFDKGNINSMQSIVSLGVSAAKILVEDISNEYRRRRKNDDVAKSRTDTYIRSSLRTAFAQIMEKADSIRRASRNQPNPLPVLAILAKDIGELATKEKKMFSPILKRWHPLAAGVAVATLHVCYGNELKQFISGITELTPDAVQVLRAADKLEKDLVQIAVEDSVDSDDGGKAIIREMPPFEAEAAIANLVKGWTKLRLDRLKEWVDRNLQQEVWNPRANQEGYAPSAVEVLRIIDETLDAFFNLPIPMHPALLPDLILGLDRCLQYYSNKVKSGCGSRNTYIPALPALTRCTTETKFHGVFKKKEKTTTLQRRNSQVSTTNDNTLGVPQLCVRINTLQKIRSELETIEKRIITLLRNSESAHVSDFSNGLQKKFELTPPACLEGIQQLCESTAYKIVFHDLRNSLWDNLYVGGPTCSPIEPFLQELEQNLTVIADNVQHERVRTRLVAEVMKASFEGWLLVLLGGGPTRCFTIQDSRGIEDDFKAIKDLFFANGDGLSMDVINKFSIVVRDVIPLFGMETDAVVERFRRLTLEAYGSSAKSRLPLPATTGQWSSNDPNTLLRVLCYRNDDSASKFLKKTYNLPKKI >cds-PLY89837.1 pep primary_assembly:Lsat_Salinas_v7:4:323630671:323631693:1 gene:gene-LSAT_4X161360 transcript:rna-gnl|WGS:NBSK|LSAT_4X161360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVLTTPFTAVLLLFFIISPPPTSTTAEMESPTIQSDNLAPSPYVHSSFNKTCDLFSFLDRKCDPQLSSVYFAFSIVYLSFLVHWILVCFKVKPTFRRIHLLMAGLIQVKALNLIYAAVNATGGNRSGLFFYISKFISVVRLSIVIQLIASGWYFMEPIMQNADRIVLLLLILLQVLTNVVRIMIGDANNSVLDWMNLNDMLGLIDLIACGVVMFPMICSMVYTHADGMRDKFFEKLTEFRYFCTIVAFYLLFLGVIVGNDRKTGHIGLNAIDAHKYLIESNMVVEMDAWKAGFDHLQRSMEDQFLFSDS >cds-PLY89910.1 pep primary_assembly:Lsat_Salinas_v7:8:68137210:68141294:-1 gene:gene-LSAT_8X48621 transcript:rna-gnl|WGS:NBSK|LSAT_8X48621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLASPSAPALSSKWWKYDVFLSFRGEDTRNTFVGHLYSALEQEGIYTYKDEETLPRGESIHPSLMKAIEESQIAIIVFSKNYCDSSWCLDELAHIMKCRDTRSQIVMPIFYDVDPSEVRKQKRKYGEAFAKHELENKTKVESWRKALVDASNISGWEPKHIANGDESKGIKQIVGEISHKFHLVTSSADENLIGMEARLQGLTSELQVGSGSVRMIGIWGVGGGGKTTLASSIYDEICRQFDGCCFVQNIREESKRYGLGKLEGDILSKMGVDKVGGGRCMIKERLCHRKELDMIQAITFNYNKDYLLQDLPPIVANTKHLRRIDWHGDFASPLLTNFPQRTLCHLSLRNSSQKQLWEGYKLLPNLKTIELWDLDNLIMTPDFEGLPNLERFILYGSRCLEEIHPSIGCLERLVLLHISGCRSLKRFPPISRLKKLETLSFIGCPKLFKLSTIQQQNMEKLPHFLLDNSGDEVASYVESIPNFFVNCWRCGCSKFGGVKCCLEDPSLPHNNMKPCLCDSNMNHIGFRFFPKDLRKLDLSYCDMGDEHISSSVWELHNLKTLNLLGNAFSRLNFHLLRFPQLKCLNVSQCKGLVELSELPSSIAVVLADGCSSLETFGDISNCKWLWKVLVLESNKLSPLYGDILLDSMLQVWLLNFNIYYIIPNIRIITECVFHGREMLVKITLSVSFFNIRFQTGL >cds-PLY96768.1 pep primary_assembly:Lsat_Salinas_v7:2:172211511:172212381:1 gene:gene-LSAT_2X94961 transcript:rna-gnl|WGS:NBSK|LSAT_2X94961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACTIDFRCLDEGFGGKTYKRKRSEQQQEKDASMDIDAAEEIQTSKRQAVSSSENPNKPIFGKPTYDGVIAGKVSGRKWKQPKTQRSSAVRVSLKKSTFEERAQQKEIKKAYKERITELKEEIRKNKVEKREKKKEREKRKEENILKSGTKLQKITNPKTLKKLAKSKKRKLLKVVPDTAPKK >cds-PLY87380.1 pep primary_assembly:Lsat_Salinas_v7:4:12978821:12981701:1 gene:gene-LSAT_4X9261 transcript:rna-gnl|WGS:NBSK|LSAT_4X9261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSNQEAVKKLESLHVMKSKGNKKKWKNDEGSVGCWNKWGCLGSCVSSRSKVDSSTSGISSQFESKSGNDTSKDQTVVAPIVSPTTSGGNNLSSPKPEDAIKVASQLRKFAFNDLKMATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNQDGLQGHKEWLAEVDFLGDLVNPNLVKLIGYCNEDDQRLLVYEFLPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAKRPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPEGDKTHISTRVMGTYGYAAPEYVMTGHLSIRSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLLERRRFYRLIDPRLEGHFSIKGAQKAAQLASRCLSRDPKARPLMSEVVECLEPLPALKDMAGSSYYLQTVQSERVGSGSDGSRARGGSFSRNGQQQPRTLSIPRASPHHHQFIKDSPKPNEKQ >cds-PLY94978.1 pep primary_assembly:Lsat_Salinas_v7:4:109228468:109233510:1 gene:gene-LSAT_4X71380 transcript:rna-gnl|WGS:NBSK|LSAT_4X71380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARWSGGFRCLLLLVAIAFIYTQVRLFKAQSEYADKIAVAVEGENHCTSQMRFLIDEISMQKQKVVVLEEKVRRQEEECEQLRTLVQNLEKKGVKSLFEQVKAPVAAVVIMACNRADYLERTINSIFKYHDTVASKFPVFVSQDGSNSDVRTKAMSYNQLTYMQHLDYEPVDTERPGELIAYYKIARHYKWALDQLFYKHNFNRVIILEDDMEISPDFFDYFEAGADLLDKDRSIMAISSWNDNGQNQFVHDPYALYRSDFFPGLGWMLSKPTWDELSPKWPKAYPFILQENHKGRQFIRPEICRTYNFGEHGSSLGQFFNQYLKPIRLNDVTIDWKKMNLTYLMEDKYVNHFANMVKDAKPLYEPNLVLKTNHVDGDVRIQYRDQTDFEDIARQFGIFEEWKDGIPRTAYKGVVVFRYKTIRRIFLVGPHSLEQLGIQND >cds-PLY96184.1 pep primary_assembly:Lsat_Salinas_v7:8:101416093:101418348:-1 gene:gene-LSAT_8X69080 transcript:rna-gnl|WGS:NBSK|LSAT_8X69080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTIPSVNSTVTVASKSETESATTIVEAALGTETEPADKNSLATDSALAEERKWPGWPGDCVFRLIVPVLKVGSIIGRKGDIIKKMCEDTKARIRVLDAPVGTPDRIVLISGKEETEAPLSPAMDAVIRVFKRINGFPENEGESIASVPFCSIRFLVPSMQAISLIGKQGSSIKSIQESSGCQVRVLSGDEVAAISANSEERIVDIQGEAVKVLKALEAVVGHLRRFLVDRSVLPLFEKTNNVAAVTQESQQVETWAEKPMLHTTGIGGDFSLPMRRETLFLDREPQRESHLSSHGLSLYGRDPGLPSTHSPAIARTGGPIVTQIAQTMQIPLAYAEDIIGVGGTNIAYIRRTSGAILTVQESRGLPDEITVEIKGTSSQVQTAQQLIQECVNGHKESIPSISSFAKLESSLRSSYSQIGNSSYQPPSTYGIGGQSYAAGYPSSSLGGGGGYTSYRL >cds-PLY62600.1 pep primary_assembly:Lsat_Salinas_v7:9:73469649:73472063:1 gene:gene-LSAT_9X61540 transcript:rna-gnl|WGS:NBSK|LSAT_9X61540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSSPRTVEEIFKDYSARRSGIVRALTYDVDEFYATCDPEKENLCLYGHPNETWEVTLPAEEVPPELPEPALGINFARDGMQRRDWLSLVAVHTDSWLLAVAFYFGARLNRNERKRLFSLINDLPTVFEVVTERKHVKDKPNVDNGNKSRSSTKRSNDGQVKSTPRAYDESYAEDEDEHGETLCGSCGGNYSGDEFWIGCDICERWYHGKCVKITPAKAESIKQYKCPSCSTKKGRP >cds-PLY98064.1 pep primary_assembly:Lsat_Salinas_v7:4:79580015:79583414:-1 gene:gene-LSAT_4X52420 transcript:rna-gnl|WGS:NBSK|LSAT_4X52420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTGIIVVLCFLSLALIVPPSSASDDRRQKWWKWPSTGKSICSSVVLPLYGNVYPQGYYYAQINIGHPPRPYFVDPDTGSDLTWLQCDAPCVHCTQAPHPYYRPNNDLVPCKDPLCASLHSGDHRCDDPNQCDYEVEYADGGSSLGVLVNDVSLLNLTTGVRITPRLAIGCGYDQIPGPSYQPLDGVLGLGKGKTGIVSQLHSQGLIRNVVGHCFSSRGGGFLFFGDDVYDSSRVISTPMSRDYRKHYSPGYGEVIYGGKATGLKNLLVIFDSGSSYTYLSSQAYEGLLYLITKELSGKPIREAVDDETLPFCWKGRKPFRSIRDVKKYFKPVALSFSNGWRSKTQFEIPLEGYLLISSRGSVCLGVLNGTEVGLQNFNIIGDISMQDKMVIYDNEKQTIGWIPANCDNPPRSNTIIF >cds-PLY88299.1 pep primary_assembly:Lsat_Salinas_v7:6:127130509:127134621:1 gene:gene-LSAT_6X77840 transcript:rna-gnl|WGS:NBSK|LSAT_6X77840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSSSSSSSSVTLETNNAVKFLSKLTNFAFDSNTKCLSLDGYSSVLSNFVQCDRKVGDFWAGGRRKSLKVVGSLSSGTRGASSINKFFNEFNKFVRFHCDRIPLGFASVKLSSGNISGLEDNGGVLEDETLPLSGFESNGKKKVLILMSDTGGGHRASAEAIKWAFNEKFGDEYEVFVTDLWTDHTPWPFNQLPRSYNFLVKHGSLWRMTYYASAPRVVHQSNFAATSTFIAREVAKGLMKYQPDIIISVHPLMQHVPLRVLRSKGLLDKIVFTTVVTDLSTCHPTWFHKLVTRCYCPTEEVAKRALKAGLQSSQIKVYGLPVRPSFVKPIRPKDELRRELGMDEDLPAVLLMGGGEGMGPIEATAKALGKALFDENLGEPIGQVLVICGRNKKLTTKLLSAEWKIPIQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNDYIAGQEVGNVPYVVENGCGKFSKSPKEIAEIVGQWFGPKAHELKTMSQNALRLARPDSVFKIVQDLHELVKQKSLVMQYSGST >cds-PLY91789.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1380092:1382211:1 gene:gene-LSAT_0X661 transcript:rna-gnl|WGS:NBSK|LSAT_0X661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin domain 2 containing protein [Source: Projected from Oryza sativa (Os07g0476900)] MAAFTNLMINPPQNLYFTTKLNTFSWGYNPKLQSFFPTKPKISLQPISMIKAAATTSNVEKDSAGGGAAKTKEEDKVQHIHTVEEFETALRDAKQKLVVVEYAASHSKESSKMYPFMVNLSKTCSDVVFLLVMGDESDETRELCRREKIEKVPHFSFYKNMEKIHEEEGIGPDMLMGDVLYYGDSHSGVVQLHNREDVEKLIADHKTDQKLIVLDVGLKHCGPCVKVYPTVLKLSKQMDNAVFARMNGDENDSCMQFLKDMEVVEVPTFLFIRDGEICGRYVGSGRGELIGEILRYQGVRVTY >cds-PLY99737.1 pep primary_assembly:Lsat_Salinas_v7:9:55943504:55944099:-1 gene:gene-LSAT_9X47321 transcript:rna-gnl|WGS:NBSK|LSAT_9X47321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPISLSRLGSSLPFSRFFRQVEHEMETVVKVLQPGPLGITEHKFSAEEIAKANSTVKKAVENWKRNAIIERNRPLLKDFIN >cds-PLY87664.1 pep primary_assembly:Lsat_Salinas_v7:1:168877599:168880310:1 gene:gene-LSAT_1X113121 transcript:rna-gnl|WGS:NBSK|LSAT_1X113121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENHNDESINFGRSLIVPSVQELAKKSITKIPPRYVRQDHNQKPLITSSDDTSNLSVPVIDLHTLFATDSGSSTYSSELSKLHTAAKQWGFFQVINHGVSEPLLEDFKREVLNFFKLPMEEKQKLWQEEDNHEGFGQLFVVSEEQKLDWCDMFYITTLPHNLRQFQLFQKLPPVLREKLEAYSTEIKKLAMAILGQMAKALGIDGEEMSELFDDGVQSMRMNYYPPCPEPESALGFTPHSDADALTILYQLTETEGLQVRKDGKWVSVKPLPNALVVNIGDIMEIITNGVYKSIEHRAIVHSNIERLSVATFYSSSMGTELGPARSLVAQQNIANFRRVPLEEYFKGFFARKLDGKSFLDYMKVEELKKNVT >cds-PLY70707.1 pep primary_assembly:Lsat_Salinas_v7:8:167223826:167226579:-1 gene:gene-LSAT_8X109560 transcript:rna-gnl|WGS:NBSK|LSAT_8X109560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAETKDTNLSKLDLINFPGGPEAFELAAKFCYGVNFEITPANVAHLHCAAVYLEMTENYRNENLITRTESYLNEIVSQNLEKSIQVLRSCEMILPIADEVGIVNKLVEFIAENACKEQLVAGLSRLECDNRVIEEKGRRREWWIEDLSGLKIDFYQRVISVMVTRGIRQDSVISSIMYYARESLKGIGKSRIWNPARTIPVLETGQRVILETLESLLPMENSSLIPIDFLFGMLRMAIMVDSSLGCRLGIERRIAVRLESVSLDDLLIPSVQTGDSMFDVDTIHRILVQFLQIIEEVEDGDDDDCCGYESEGGVGSTSHSSLLKVGRIVDAYLAEIAPDPYLSFQKFVAMIEVLPDYARVIDDGLYRAVDIYLKAHPTLTEHDRKRLCKFIDCEKLSQEASNHAAQNDRLPAHMAVRVLYFEQLRLRTAITGPSAFSGYMSGAPSGAPSAPMSPRDTYASLRRENRDLKLEISRMRVRLSDLEKEQVCMKQGMMDKSRNGKTFLTSISRKFGIFAGPTSGKQHKSRSRKRGYSIS >cds-PLY65380.1 pep primary_assembly:Lsat_Salinas_v7:6:40466844:40469678:-1 gene:gene-LSAT_6X30360 transcript:rna-gnl|WGS:NBSK|LSAT_6X30360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEGIRTLERTPTWAVASVCLILVTVSIFIEHVIHLIGKWLNNKRKKTLFEALEKIKSELMLLGFISLLLTVGQSTITDICISKSLGDTWLPCSKKDIKETDAHHRANKEEILARRVLSAATSGDKCTSQGKIPFLSRDALHELHIFIFSLAIYHVVCSIITLAFGRAKMKEWKAWEMETQTTEYQYTHDPERFRLARDTTFGQRHLSMWSRSPIFIWVVGFIRQFGMSVPKVDYMTLRHGFIMAHLAPNSQANFNFQRYIERSLEEDFKLVVGISPPIWFLAVLFLLFSTNSWRSYLWLPFIPLIIVLLVGTKLQVIITKMGLRIQERGAVVKGTPLVEPTDNLFWFNRPDLLLYLIHFVLFQNAFQLAFFAWSGYEFGPKSCFHKNKADLCIKISMGYVTLPLYALVTQMGSTMKPTVFNDQVVKALHKWHQRAKKQVKLNRMSDTTTPGSSRPSTPSHWTTTRSTSINDSSQRVFEFHDTLNYEHEIQATGSLPGTRSVDIEVDAGPAVVHQHKIDVDSADFSFDKYIEVRS >cds-PLY62770.1 pep primary_assembly:Lsat_Salinas_v7:4:199108411:199109852:-1 gene:gene-LSAT_4X111360 transcript:rna-gnl|WGS:NBSK|LSAT_4X111360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEISTVLSNQKKRSMYDAEFYDPRDEENEITIRHPHITNVLWFKPPATSAISSTTPWNSSVRSTNSSPSSLGYVQNHTSGNAYQGILCLSLKLTRRHDLAGVLLNRGINLDPLSKWLKVQSASSFLPVMVVAPQEEERIVDMA >cds-PLY79760.1 pep primary_assembly:Lsat_Salinas_v7:1:179628324:179631431:1 gene:gene-LSAT_1X117561 transcript:rna-gnl|WGS:NBSK|LSAT_1X117561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKEKSVLIASPSRTTEANSSFSNRVFLPEDEESEKQQTTNDFPLPIATRNGSSKYDFVKVKVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVALKIALELKKLLIDNSLLDVSQSDLEANLFKLMERRGYGQEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLGQRLNLPNVLQTDMVYELLRTSTDAPLTSSPIWARDFSSSEELITEFCRECRIVRKGLAGDLKKAMKDGKPIIIEGMHVDPSIYLMDEENKSPHKASKTDENDLKDTENNAEISKTEAIGEELDKVLDGVKSVHIVDQAADDNKPILRREKSGVTEPVIIPIVLKMAEFDHKALLEEWISSRKFSDKYPIQEKDKVINNLKTIQDYLCSFKSHGLTVANISATTFPQTLDWLHNHLLQFVLFNGMDDSCNGQNSKQAIVACFIRMDNIKY >cds-PLY68391.1 pep primary_assembly:Lsat_Salinas_v7:8:23368689:23376962:1 gene:gene-LSAT_8X18061 transcript:rna-gnl|WGS:NBSK|LSAT_8X18061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEEKGEWAAGDLTESLLVVRDSGIGDHNFLRWSCVSSDFSLHLRQGIMNSEEEKRCPLCAEEMDWTDQQLNPCKCGYKVCVWCWHHIMDMAEKDATEGRCPACRTPYDKDRIVGLESNFQRVATNSSNRKQKLTKAKPKPNEVRKDLSNVRVIQRKMAYIIGLPLDLADENLLQRKDYFGQYGKVTKVSLSRTAGGTLQQFTNDTCSVYITYSKEEEAVTCIQSVHGYVWDGRLLRASFGTAKYCHAWLRNMPCNNPGCLYLHTIGAEEDSFGKDEVAAVHTRNRVQEIVGGTHYTHRRSGSMLPPPVIDQSYNHTDPKVVSPEPIKSSKNMATFVDIVGRGSSNTSSNKDVNSVEEEEEEEEEEEEEEEDKSDSYVDEEDNDNHNIVSIEAPLYKNDGYNENEMKFESLAKSDRIYRESNSFSNEEIVEHLRRVEVNNDENSADVESSIISDILSIDLDGCDNSVAGLFEARDGRQHSDQSRFSFANQHIDIGSRAQKFTPPGFSMPSKPPPGFSCIRTDQAQTVAASSGNYFRGMPNSHYRTPSFGNLSNSSSSDDVIDMMMVGGGKPLTNSSYSFDDWHFNFMTQQQQQSAPTTTTTTTTTNTIQPHQIIPQFPPSHDDFYGGLTSGLMNLDLHHPSLYNNTQCQSQSQSQSQQQQYSLSQLKFGNAYQFQDAQLQQQPPQQHDPRNDVERLGFESRFLPNYGEYMFQMPNSGDVYNTRTHTRVFGM >cds-PLY88281.1 pep primary_assembly:Lsat_Salinas_v7:6:126534105:126542062:-1 gene:gene-LSAT_6X77361 transcript:rna-gnl|WGS:NBSK|LSAT_6X77361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPMNEFDHLKIRLKDIKEATENFGIDRKIGGGGFGPVYKGKLTLSSGRRAVVAFKRLDPRFGQGNTEFWKEIMLLSDCKHENLISLLGFCIEGEERILVYEYLSRGSLDRYLSNPRMTWNQRLKICVGVARALTYLHDPRKTQRRVLHRDIKSANILLDAEWTPKVSDFGLSKLAPANQPRTYVISNGVGTPGYCDPVYIETGFLCKESDVYSFGVVLFEVMCGKLCCEYRNGQLINILVPRWKICCDKNKLDNIICPGLKEQINRDSLVTFSAIANRCLSRSFEVRPTMAEILKNLEVALEQQNFNPENSALVDISKLAIPRISYTSHQELHSRLSKGILIDGGQTWVSVNKNGRIREMISAVKCVSGGWFVSDTADDSRFSNVQSGMLYYGFKINGRTQFLTSNVTYTVYLVFKCDNMNKETHVPFKWKLNSETNYSTSCLTLDRGDGWLMSRLYQFTSHRKDYDFGIEFMLPTQYSRTMFYLEGIEFKPMEYVD >cds-PLY63408.1 pep primary_assembly:Lsat_Salinas_v7:7:149032590:149035867:-1 gene:gene-LSAT_7X88301 transcript:rna-gnl|WGS:NBSK|LSAT_7X88301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWHARPDEKWQKNSKIAQQNRNTADSSGSTARHTAGSIGFDQHRRKLEGYPQGLVNKFGKDPSNRKDDVDVWEEIQLRRKGKKKGAIYGIGASGIHFLVLGTPSSQSTQSTQSDSTQQEVDRLRAQVSVMEQQQQQQMKEQMEMVMRMINMSRNQPRGPPDNPPEDN >cds-PLY66375.1 pep primary_assembly:Lsat_Salinas_v7:4:119256956:119259844:1 gene:gene-LSAT_4X75321 transcript:rna-gnl|WGS:NBSK|LSAT_4X75321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKPSSTSPSTFVDQLVVPGDVILDLSSMANQTIKLGGGLRQDGDSISVMKAGTLRFTKPNKYWVESSHKRYVPSAGDNVLGVVVDTKPENFLVDIKGPALAFLPVLAFEGGTRRNIPKFEVGTLLYVRVVNANTGMNPELSCMEANGKAAEYGVLKEGYMFESSTGLSRTLLSSPPYPVLEALGKKLSFEIAVGINGRFWVNASQSSTVILVGNAILKSESLSLVQQKIYLEKLLKNIQ >cds-PLY66184.1 pep primary_assembly:Lsat_Salinas_v7:8:294914075:294914496:1 gene:gene-LSAT_8X161460 transcript:rna-gnl|WGS:NBSK|LSAT_8X161460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCLNIPNGGMVGHTGDVEATVVACKAAKEAVKMIFDVLDQVGGIFVVTVDHGNAEDMVKMNKKGEHDVDKEGNVQILTSHTLPLL >cds-PLY81296.1 pep primary_assembly:Lsat_Salinas_v7:7:115526573:115528139:1 gene:gene-LSAT_7X72161 transcript:rna-gnl|WGS:NBSK|LSAT_7X72161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGKGHKPDVYHATQLVYDLCKSKKLRKATRVMEMIVDSGGVPDVASYNFLVSQLCKRGNVGHAMQLVEKMEDHGYPTHTVTYNSLVRGLCMLGNLNQTLMFIDKLIQKGLVPNAFTYSILLEAAYKERGAHEAMELLNDIISKGGEPNLVSYNVLLTGLCKENRTNEALSFFRELPSKGFSPNVVTYNILLRSLCREGRWDEAKGLLAELVEAGLSPSLVTYNILISSLAFHGRTDNALKVLNEMSKGEFKPIAATYNPIIARLCEEKKVEEVMKCLDLMTYRDCNPNEGTYNAIAGLCKQGMVQEAFSIINSLSSKQNSSNHEFYKNVISGLCRKGNTFPAFQLLYEMTRYGFTPDSYTYSSLIRGLCMEGMLDEAMEVFGIIEESSYRADIDNFNALILGLCKSQRTDLALQIFEMMIQKGYMPNETTYTIIVEGIAHQDEKELAALVLRELHLKQVIGRNTVDRLLMQYDFEDFNG >cds-PLY71537.1 pep primary_assembly:Lsat_Salinas_v7:7:28414927:28415520:-1 gene:gene-LSAT_7X20901 transcript:rna-gnl|WGS:NBSK|LSAT_7X20901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLMVYNGGLVNDICNERTKQKEILRSLLRVVVSAQSKSTLIPTSIAALNSKSSQLTSSRAFAAKKSKSSGAKAGNKKAQPKSKSDVKTKSKQDEAVGSSVDDAVHDLISDERNRRRLLDEDNISKILGRNWRRCCRRDYQLEWRRSLMSQ >cds-PLY71317.1 pep primary_assembly:Lsat_Salinas_v7:4:210504268:210506179:-1 gene:gene-LSAT_4X117540 transcript:rna-gnl|WGS:NBSK|LSAT_4X117540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISSKSASASQAHVVNQVLAAIIQNRPFDSHLAKSPSLTHHFSNPPWANELITNVLRSIPRFFFQSPRSIGRQNTSRHRAPLKQRNLHQESIKIHNHTLLLGPGAYRDPEKVTLGLNKALEFYYWVESQFGFNHNEITCREMSVILAKGNRLKDLWNFLKDMSRRNTGNLVTTTTMTCLIKVLGEQGLANEALAAFHRMKQFHCKPDVFSYNTIINALCKVNNFKMAKFLFEQMELPGFRCPPDTFTYTIMISSYCKLSLQTQCKKAIRRRIWEANHLFRIMLFKGFKPDVVTYNCLIDGCCKTYRIERALELFNDMMKQGCFPNRVTYNSFIRYYCVTNEIDKGVEMLRKMEDLKHGVGSTSSYTPIIHAFCECGRVLEAWDFVLELVDRGSIPREYTYRLVYGMLKSLGRMDLLDNVICGRIEEGIKNRYKHVMKVKPIMYEPQFDLE >cds-PLY89532.1 pep primary_assembly:Lsat_Salinas_v7:4:159831090:159832138:-1 gene:gene-LSAT_4X96160 transcript:rna-gnl|WGS:NBSK|LSAT_4X96160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCIDDFTLSVLPTDNLGNACLTCFFVTGAWDHSKLHQSLKPYQNVEKDESMKSNPNLYFNSATVNTYLENYERALSGFEAAVSKNPCLNATEEVQKMVNLFNKLDTLLKASLSNLLLLLLSFEKATGKKPLGIIFYRDVVSDGQLMSRKQLLQYKSGDTADCWCMLEDPIYFPPTFISHRHI >cds-PLY74983.1 pep primary_assembly:Lsat_Salinas_v7:5:86152277:86158005:-1 gene:gene-LSAT_5X39960 transcript:rna-gnl|WGS:NBSK|LSAT_5X39960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 23 [Source:Projected from Arabidopsis thaliana (AT2G16920) UniProtKB/Swiss-Prot;Acc:Q9ZVX1] MESKLDCDTDHGHDHDPNEASMVKIEENGRRPGVYIYRQDVVKSKTDGKTGVVTEVAGDSDSDSSSDDEDEDEDNEVVGPKEDLRENITGNNTDNDDEEEEEEGEEENTPLPADHVRVLWMDESESTHSQNDVIVIDRGFLHGDYVASASDPTGQVGVVIDVNISVDLSTIDGCVINDVSSKNLKRVRDFQVGDYVIFGPWLGRIDDVLDNVTVMFDDGSVCKVMKADPLRLKPVGKNLLEDAHYPYYPGQRVKASSSSVFKNSRWVSGLWKASRLEGTVTNVTAGSVFVYWIASAGYGPDSSITPSEEQNPKNLKLLACFSHANWELGDWCLLPSPKDSVKGRLQGQEKSESDSEVQENTGIGITNSNPSTESSSCSGSLPVSKGSSSGTHESWPLHRKKVRKVMVKRDKRCKKKEENYEKALQIVNTKTRVDVLWQDGSTKHGLDSTSLIPIENPGDHEFVSEQYVVEKPSDGNDAADDDHDDEVSRVGVVKSVNAKERTACVRWLKSVARAEDFKEFDKEEVVSVYELEGHQDYDYCYGDVVVRLPSADVAGLTDGNEVKGDFSDLSWVGNITGLRNGDIEVTWADGMVSMVGPQAIYVVGRDDDDDSAGGGSEVSDDAASWETMEGEDNDMENLQKALEDLGMQNGSQDTDEQTAESIQTNGALSIPLAALGFMTRLASGIFSRGQRNITDNSGGDNVTLHREDKNDDVSSSRKSSSTDNTLERLASGSEEHEHEHADVEEKFLLDSPAQRLNIDDSGKSSEGGNGNSSFKGFDIVKDPLDHYYLGVNGQNITGRKWLKKVQQDWNILQNNLPNGIYVRCYENRMDLLRAVVVGAYGTPYQDGLFFFDFHLPPEYPDVPPSAYYHSGGWRINPNLYEEGKDFEDLVREHFRERGYYILKACDAYMKGYLIGSLSEDASVCETSIPDANSVGFKLMLAKIVPKLLLALNQIGANCQEFIHLQDS >cds-PLY84461.1 pep primary_assembly:Lsat_Salinas_v7:7:128158904:128160459:1 gene:gene-LSAT_7X76281 transcript:rna-gnl|WGS:NBSK|LSAT_7X76281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTVDYICYHWSLIVMCHLGEVAKYKDEEDVTELIKVPCVLHMDPIRGSHTGLKGLMQSYLKEEWKGRQQEASKDISSRFDNLRFISLELPQQPNSFDCGLFLLHYVELFLEQAPINFNPFKITKSVHFLNTDWFPPADASLKRVVIQRLVYDLLQQPYDEAEAFYKVFSQVSAKRFLLAKFHINFDPCVNFNNPFVKLINMTVLPLSDSWILYFIGCLQL >cds-PLY73292.1 pep primary_assembly:Lsat_Salinas_v7:5:132645721:132647578:1 gene:gene-LSAT_5X57541 transcript:rna-gnl|WGS:NBSK|LSAT_5X57541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKIEPTDNNEAQSESCLSDAETIAKAFSQCSVSLSVICPKQLPKLKVVYNARKRIPSAADPTIVIVKNPHYLVLISETFMEARAALCRPGITNLPSESPIKVDATSIPHVSAPLHLQFHQEPTTVTSMPPVSVPILAPSFQHVPPVPRPTPPMQMSSPLLVSQEMLSINDGIQDMKPIVSNIQPPIRPAVTVNHNILNNLSQARIINQAAIAGGTSMGIPNPMAVHMSNMISSGMASTILVAQIVISSGQSVIPSMAMSTTTVPGSFASAANVGLTQQSTGALQSAQSKYVKVWECEINDAGEFIRSETRLAANWPPTMQIVRLISQDHMNNKYYVGKLDFLVFQAMNQLPSQTLLL >cds-PLY68464.1 pep primary_assembly:Lsat_Salinas_v7:2:215036124:215036613:1 gene:gene-LSAT_2X135121 transcript:rna-gnl|WGS:NBSK|LSAT_2X135121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDHPGGDEVLLAATGKDATSDFEDVGHSDDARGMMDKYYIGEIDRSTVPLKRVFVGKERNYNPDDTSAVIIKILHFLVPLLILALAFAVRSYTKDNSSSSA >cds-PLY96868.1 pep primary_assembly:Lsat_Salinas_v7:2:108826883:108827376:-1 gene:gene-LSAT_2X48980 transcript:rna-gnl|WGS:NBSK|LSAT_2X48980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMSYLYGKPKPEPNMTEIIQHAISTDITFLDTSDIYGPQTNEVLISKALTGLGREKVQLGTKFRFKYESSNHMDVSGNPTYVKYACEASLKRLGVDCIDVYY >cds-PLY62811.1 pep primary_assembly:Lsat_Salinas_v7:4:29910595:29915724:-1 gene:gene-LSAT_4X17140 transcript:rna-gnl|WGS:NBSK|LSAT_4X17140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRKKKVHLSKMYSFRRGAFEDDHSQIGGPGFSRVIYCNENGGSEGVIQNYADNYVRLTKYTPVTFLPKSLFEQFRRVANFYFLVTGILAFTPLAPYSPVSAVLPLIIVIGATMVKEGIEDWQRQQQDHEVNNRKVKVHRGGGVFESSQWKKLRVGDIVKVEKDEFFPADLLLLSSSYDDAICYVETMNLDGETNLKLKQSLDVTSSINEDSKFNNFKATVRCEDPNASLYTFVGTMMFQEQRYPLSPQQLLLRDSKLRNTDYIYGAVIFTGHDTKVIQNSTDPPSKRSRIERRMDNIIYFLFFVLFLMAFVGSIYFGIVTRDDLDGDRMKRWYLRPDDSDIFFDPERAPMAAIYHFLTAVMLYSYLIPISLYVSIEMVKVLQTIFINNDIDMYDEESDKPAHARTSNLTEELGQIDTILSDKTGTLTMNSMEFIKCSVAGTAYGRKVTEVERAMAKKSGSPFRFLEDEKDAFSHLSVKGYNFEDERITNGRWAHEPHSEVIQKFLRLLAICHTAIPDVDEVTGKVTYEAESPDEAAFVIAARELGFQFYKRTHTSVCFMEFDPKSKTNVERSYELLNVLEFNSTRKRMSVIIRDDEGKLLLLSKGADSVMFERLSKNGREFEEKTREHVNEYADAGLRTLILAYRELNDKEYKEFNEKFTEAKNSVSAERDDLISEVTDEIEKDLILLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIVITLESPEIIEAEKAGDKNVIAKVSKASVEKQISEGMAQMKGSKSEAYALIIDGKSLAYALNDDTKNEFLELAVGCASVICCRSSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGIGISGVEGMQAVMSSDISIAQFRFLERLLLVHGHWSYRRISSMICYFFYKNIVFGTTIFLYEAYASFSAQPAYNDWYLSLYNVFFTSLPVIALGVFDQDVSSRFCLKFPLLYQEGVQNTLFRWRRIFSWMLNGLISGIIIFFLCITALNPESYNKNGKTAGMEIVGATLYTCIVWVVNCQMALAVSYFTLIQHIFIWGGVLLWYLFLLAYGALPASISTTAYEVFVETLAPSPSYWVVTLFVVIASLIPYFSIKAIQMRFFPGYHGMVQWIRHEGHGEDPEYCNMVRQRSIRPRMTVGLTARSVARDNNLYNLDRHLER >cds-PLY73843.1 pep primary_assembly:Lsat_Salinas_v7:7:69207658:69209865:1 gene:gene-LSAT_7X49700 transcript:rna-gnl|WGS:NBSK|LSAT_7X49700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNRGVVCKPSRQGSKGSQQQHSGGKQTSHRADRRYVPYESTPSRGDARARSHESTPTSSGGSRRTLHESPNTNHGGTSHEGSIPISQYFIPTIPRHSTVTPLADVDPVHVEPVGGYLGLLQQMNPDGGLYSDEGIDEGDGHTTPHGSNNTDIPAYGTFYGTSRRRFISCVGKKFGRFDVIRAIRRLRDQYIEGSWISFKEIPKEVIEHIWIKFKTLYDWDSNEDEQIRENFENVIQDRYKDIMGTFRNRSADMARAVGHDILKDKKNFDIKQNFVPNGMQSERWKDLCREWNTDAWLKRSASGKSNCNTTDSGRKIARHIGGSISYDEHHIRFIAEKGRPPTFLELFLITHLDKTSKKKYFDGDVEGKQFCTARAREAYEAYSRALLEKYGDDLVDHPIDDAELWAKTQREISGASRSSYIYGVGSLDINSLFNGKSSVGAGCSSSSCKSKQEVKELRTQLENVERGRVLMQQKQEIMEQQLVQLMRRFGNPPEDRC >cds-PLY77062.1 pep primary_assembly:Lsat_Salinas_v7:7:118093368:118098521:-1 gene:gene-LSAT_7X74220 transcript:rna-gnl|WGS:NBSK|LSAT_7X74220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKSQPSKTTPLFSKSELCENLQIHFDGIANVEEDEGFTDLRRRGANHIRCSFRAKSDGVCVSPGGRFPAYSIQGKPPRKVNKGPNDLTLCRIFRKKTCCDVTQTHPALLAIRRLASTGEASDECLHLWELLECSICDPHVGVQPGSPVICGSLCDRIYDACSNAYFAMDAKNQVLAPCGMSDTVCGRASEWVINGTELCKASGFSVKPSNDFKDTFCYGGKASLESVVDSWRSSQSSVTRTTQSSGVLHHFQQWVRQMPINEQVSWAVGGLVLTAGLLFVSFISVTRDKLASSALVSHTRWRSEKKAVAAADGRGLRSEKSAAXLIGEKERCFVAGARLGGVEGEASRV >cds-PLY93123.1 pep primary_assembly:Lsat_Salinas_v7:8:252830254:252831365:1 gene:gene-LSAT_8X148840 transcript:rna-gnl|WGS:NBSK|LSAT_8X148840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLVYLIGGATGAAPKKPVAVPFGRNYIPTWAFDHIKYFNGGSNIQLTLDNYTGTGFQSKGSYLFGHFNMQIKMVPGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGEPYILQTNVFTGGEGNREQRIYLWFDPTKAFHSYSVLWNLHQIVFFVDDVPIRVFKNSKKLGVRFPFNQPMKIYSSLWNADDWATRGGLEKTDWTKGPFVADYKNFHIDGCQSSVDAKFCATRGKHWWDQKQYQDLDDYQWRRLRWVRRKFTIYNYCTDRKRFPTMPRECKIDRDV >cds-PLY78703.1 pep primary_assembly:Lsat_Salinas_v7:9:51235556:51240083:1 gene:gene-LSAT_9X46261 transcript:rna-gnl|WGS:NBSK|LSAT_9X46261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSATSLRSPFLSPSSPSKLSSSSSSHFHSLSFKCSRNQRSPASYPHLIKAELDQNTVVAVAVGVMSVAAGIGLPIFYESQIDNAAKRDNTQPCFPCSGTGAQSCRFCTGSGNVTVALGGGENEVSRCINCDGAGSLTCTTCQGSGIQPRYLDRREFKDDD >cds-PLY78151.1 pep primary_assembly:Lsat_Salinas_v7:4:97189104:97191988:1 gene:gene-LSAT_4X63300 transcript:rna-gnl|WGS:NBSK|LSAT_4X63300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-phosphate phosphatase [Source:Projected from Arabidopsis thaliana (AT3G02870) UniProtKB/Swiss-Prot;Acc:Q9M8S8] MAEKDSLDEFLDVAVDVAKKAGEVIRKAFYQTKHVEHKGSVDLVTETDKSCEDLIFNHLKDRFPSHKFIGEETTAANGVTQLTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTIQKVPTVGVVYNPIMDELFTAINGKGAHLNGNPIKVSSQSELVTSLLATEAGTKRDKATLDATTNRINSLLYKVRSIRMGGSCALGLCGIACGRIDLFYECGFGGPWDVAAGAVIVKEAGGLIFDPSGNDFDITAQRIAASNPLVKDAFVEALQQSA >cds-PLY70075.1 pep primary_assembly:Lsat_Salinas_v7:MU044196.1:126526:128160:-1 gene:gene-LSAT_0X20160 transcript:rna-gnl|WGS:NBSK|LSAT_0X20160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSFPDQVVAVQEAVECAARAMMSQCTDQGRKDIFRVLKIKLGGFHYEWSCILNHARLHMSLLEHWSSQVFKGGPGIDEVPSIWVERTGIKTNIIEVAAELHILVRNTHVYLFAEHGNDLGISKDVKGNPTKVYRRMGQGSSYGVGAKLGLVRAECVVDHKSGTGALFLRFEERF >cds-PLY96680.1 pep primary_assembly:Lsat_Salinas_v7:7:45377400:45379582:1 gene:gene-LSAT_7X33141 transcript:rna-gnl|WGS:NBSK|LSAT_7X33141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLIVLPFIMPKVIYLIKSVLRAFYSDGPIERPNYELVEMNHQEVYHEECSTLVVNKSGCSVFDKIIKINRLRELGEEHSATYMISRCDFWLYYVTYFCGGTIGLVYSNNLGQIVESLGYIAETKALVTIYSTCSFFGRLLSAAMDLFGCFYQQPTITADMYATRTGRLTLGLVAMPVAFLLLIISGAKLALSVATGLIGICSGFLISTAVSITSELFGSKSSGINHNILITNIPLGSLLYGVLAALIYVDNIDSSRDKDLDDGMKVCIGRDCYHETFMLWGLISVIGVSSSFLLFLRTKPAYENHYKRKIEVLEETVCSP >cds-PLY98038.1 pep primary_assembly:Lsat_Salinas_v7:3:117222802:117233733:1 gene:gene-LSAT_3X81660 transcript:rna-gnl|WGS:NBSK|LSAT_3X81660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTYGFGEEGPAILQLQKWESSRLLANLAQFCEAFLSPTRELILLLSYHHEGLLLPLVKGEAVSSIVLYYLMRVELFTTLSIQLQGGKFDHSDIMFLDIPATWNGVMEYMSNVKVLVPEVFYLPEILLNENLIDFGTTQLGDKLHCVKLPPWTKNRVDFVHKRRMALESEHVSAHLHEWIDLIFSEEKKLFQQTIINITYEGTLDIDKITNPVKLLMAAVDEHGIVYVVRGSDHIPGINNSVEKSLPQFEQLKY >cds-PLY77249.1 pep primary_assembly:Lsat_Salinas_v7:8:241760146:241769370:-1 gene:gene-LSAT_8X144000 transcript:rna-gnl|WGS:NBSK|LSAT_8X144000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNLLKKLHMMPNQTSDVDSDGCNSSSKGNNQRLIDVPSSIESLPHEHNKPLSGISGWLNSVTNRRSPSPSPSPPSSSNVKKEDKNEGFDSMSSEALDAAMEAVRTGDSGSGNSRDPEVEEEYQIQLALELSAREDPEAVQIEAVKQISLGSFPAENNTPAEVLSYRYWNYSALSYDDKILDGFYDLCETSTTRALSSKMPSLVDLQGTPVSDNVTWEAIVVNKAVDAKLLQLEQTALEVTAKSASESLTVQRLAVLVSNFMGGPVGDPDKMLRAWQNFSYKLKATLRSMVLPLGLLKIGMARHRALLFKVLADSVGIPCRLVKGKQYTGSSDVAMNLIKIGDGREYIVDLMADPGTLIPSDTAHTDHDESSLTSSPWSHDDDSSRLTSRSGLTNSSEEGSDFGTRERERERSDDGAIQPKPKKSLPLRPSHHSHARSPSWTEGVSSPAVRKMKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPANLFTEVYDQQEDTLVTRPPPPPPPPPQDDQNKRDPKKGQKLERDGNGQFGNLQMGENEGERVSDRSAGNDSTKSEVSLDDVADCEIAWEDITLGERIGLGSYGEVYRGDWHGTEVAVKKFLDQEVTVESLEEFKSEVGIMKRVRHPNVVLFMGAITRAPHLSIVTEFLPRGSLYRLIHRPNNQLDIRRRLRIALDAARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKYSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVYSFGVILWELFTLQQPWGGMNPMQVVGAVGFQHRRLEIPNDVDPTISKIIERCWQTDPKLRPSFADIMAALKPLQKPITSSKTSRD >cds-PLY75723.1 pep primary_assembly:Lsat_Salinas_v7:5:137012977:137013129:1 gene:gene-LSAT_5X59560 transcript:rna-gnl|WGS:NBSK|LSAT_5X59560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRHQKPPPSSFLVVDFKDSKVGRRPAGKGWERCNQKCYGGWWWLNERVR >cds-PLY96564.1 pep primary_assembly:Lsat_Salinas_v7:4:368720429:368722165:-1 gene:gene-LSAT_4X180981 transcript:rna-gnl|WGS:NBSK|LSAT_4X180981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDFISTKVNPKFSINGAGVELFEQHFAKLLELLNQLIDSPLVITGQGIGGYLAILFALRHQHEVDVQESNGSKSAKRPICITFGCPMLGNEHLQGAIAERPQWKSSFLNVVAKTDPLASFFSSNSPYKPFGTFLFCTESGGHAAFEDQDAILAALDAMVSSNAGNSQMHDYSKELGSIRKKVLYRGPSEFSESNVTPLRAGIVFQFQEIGVLNDISNDLITEMEKKQVKIIKSKKRYEPTKKLNDMKINLTYMEWYMKTRRLKGGYYDIYKNVSDTDKVENKDVIIKPQRFLDQYWKKTVDESDLMPQKQGAKLRKRWLYNGTNYRRIMEPLDIADYYKSGRKNYIANRPKHYELLEKWSEDEKKERKPSEVRMKAASLTEDSCFWAHVEEAFISLRGLKNGDLSCNTTDIGKFEAYLLGAINEKSLSPDVFVEGSSLMKWWSEYYAYKGSSCNPELARFMNNGSYKSYE >cds-PLY86883.1 pep primary_assembly:Lsat_Salinas_v7:5:264743257:264743926:1 gene:gene-LSAT_5X136361 transcript:rna-gnl|WGS:NBSK|LSAT_5X136361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASINMTNPLEKQPPTILRCATSRPRIWRLRRKKKLPTVRLGGGQSRSQLQGGGSGSLMAGMIRRIRVRWMKLKKACALKKLKEYYLCVLKELTENDNGSFEKRQQLLLMESSFGIPVMGITFNAYN >cds-PLY81066.1 pep primary_assembly:Lsat_Salinas_v7:6:130578286:130578695:1 gene:gene-LSAT_6X78700 transcript:rna-gnl|WGS:NBSK|LSAT_6X78700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRGMGWLTKILKGSSSSHRISEGRYHDRYENEEIWEEPPSTEDALSDFDQEEIDRAIAFSLVEEDERSALSLVEDDKKSARSSVHEEEGSVSEPSVIY >cds-PLY67783.1 pep primary_assembly:Lsat_Salinas_v7:9:163958431:163961765:1 gene:gene-LSAT_0X6440 transcript:rna-gnl|WGS:NBSK|LSAT_0X6440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTFNKLSAQTMLAYGSGNLNQGGGGLIADLVERNAEVTGELQGDAHGVMMAKQMSIKAEEKNINDGEDAEGSVKTSISMRSFPPMVHEEFGIIEGLMKTIHATTATQKTVDGPSTKDRHAGRGAAQNIIPSSTGAAKVATKVEKGELNSAFAIVRPPRHHAEETEPMGFYLFNNIGIATSFLLNQKELGINKILIVDWDVHHGNGTQKTFYKDSQGLFFSIHRDGYGTFYPCGDDGSYDMKVEGEGEGYNINVPWENGKCDDANYIAAWDHILIPVAREFKCLCTP >cds-PLY88537.1 pep primary_assembly:Lsat_Salinas_v7:7:134602944:134603791:-1 gene:gene-LSAT_7X81201 transcript:rna-gnl|WGS:NBSK|LSAT_7X81201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTISINFIKLELNQQESVVVMRVSIHCHGCAGKVKKHLSNMEGVTSFSIDLESKRVTVMGHVSPVAVLESISKVKKAEFWPC >cds-PLY65561.1 pep primary_assembly:Lsat_Salinas_v7:5:311952366:311956145:-1 gene:gene-LSAT_5X170860 transcript:rna-gnl|WGS:NBSK|LSAT_5X170860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIRDASTKVDTEEDIYTKDGTVDYRNNPANKNTTGTWKACPFILGNECCERLAYYGMSTNLLLYFKNNLHQHSATASKNLSNWSGTCYIMPLLGAFLADAYLGRYWTIAIFSIIYVMGMTLLTISASVSGLKPTCISKENCHATDIDIALTFLSLYLVALGTGGIKPCVSSYGADQFDDADEVEKKHKSSFFNWFYFSINIGALIASSLLVWIQDNVGWGWGFGIPAVAMAIAVGSFFSGTRLYRNQKPGGSPLTRICQVIVASWRKRRVNVPEDTSVLYETTDAKSAIVGSRKLDHTKDFSFLDKAAMELQSDHVKESVDPWRLCTVTQVEELKSIIKLLPIWATGIIFSAVYSQMSNLFVLQGSYMDITINNFEIPPASLSIFDTLSVIFWVPIYDRMIVPFARKYTGHKSGITQLQRMGIGLVISIFAMVSAGVLEVIRLGIVRRNNYYDYEHIPMSIFWQVPQYFLIGCAEVFTFIGQLEFFYDQAPDSMRSLCSALSLTTVALGNYLSSLLVTIVMSISTKGGKPGWIPDNLNRGQLQNFFWLLSVLSVLNLGAYLLVAKWYTYKRPLGTLR >cds-PLY64713.1 pep primary_assembly:Lsat_Salinas_v7:7:135280111:135282906:1 gene:gene-LSAT_7X80840 transcript:rna-gnl|WGS:NBSK|LSAT_7X80840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGTNGNNNNNHSKNKKKKNNKRGGTKKRMTVEQSLAYKSVSEWVYLDTIPSSANSAIAIDDDFKVKLVHTKVSAEKLVFELHSHSICSDGFLSPSKLVERAHQYGVKVLSLTDHDTMAGIPQALEAARRVGIKIIPGVEISTIFTPRTESGSEEPVHVLAYYSCCGPANFQKVDEFLGNIREGRYLRAKNMISKLNKLKLPLRWENVEKIAGDGVAPGRLHVARAMVEAGHVENLKQAFSRYLYDGGPAYSTGSEPDAEVAVKLICETGGVAVLAHPWALKNPVAIIRRLKEAGLHGLEVYRSDGKLAAFNDLAETYNLLKLGGSDYHARGGNSESALGSVSLPVVAVHEFLKVARPIWCGAIKNTVDRYVNDPTESNLQHILRYAKIKLAKNGVTLLNHNVELIHECLSQWLTDEEQKSANFEVIRDVLENQGGGCDCEAII >cds-PLY86091.1 pep primary_assembly:Lsat_Salinas_v7:7:139560780:139560932:1 gene:gene-LSAT_7X83141 transcript:rna-gnl|WGS:NBSK|LSAT_7X83141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDAINKLETLDVELTVEEGNLISVGWGHEGHHGEYCLQLNKMRNQEAIK >cds-PLY82539.1 pep primary_assembly:Lsat_Salinas_v7:2:183464230:183466612:-1 gene:gene-LSAT_2X105841 transcript:rna-gnl|WGS:NBSK|LSAT_2X105841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKDEIDGMKFEQRHGKERVRVGRVWRSGKDGRHFFVEWNVSISLLSDCIPAYVRADNSDIVATDTMKNTVYVKAKECSEKVSVEEFAILLAKHFTSFYPQVTTAIIKIVEKPWERISISGQPHDHGFKLGSERHTTQVILTKNGTLQLTSGVDGLALLKTTKSGFEGFIRDHNTILPETPERMLATEVSASWRYHFESLQSIGKGPLGFTEKYLDVKKVLVDTFFGPPKQGVFPDVSSVQLKMPNIHFLPVNLSSKVNPVIVKFEDDVYLPTDEPHGSIEASLSRIRSKM >cds-PLY65583.1 pep primary_assembly:Lsat_Salinas_v7:5:338955049:338958784:-1 gene:gene-LSAT_5X190821 transcript:rna-gnl|WGS:NBSK|LSAT_5X190821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIDMEKIQHKNIKVNGINMHVAEIGEGPVVLFVHGFPELWYTRHQMLYISSKGYRAITPDLRGFGDSEAPPSSTSYTAFHVVGDLVCLLDSLGLDKVFLVGHDWGAIISWYLCLFRPDRIKALVNMSVVYKPRNSSVKPVDLIRHTFGDDFYICRFQGEKGEAIGSPLRSGPPASSIRCRQSTTSFNEHAKDLRKPLELPTVPSIGTTNSLNQNHGPTASS >cds-PLY92087.1 pep primary_assembly:Lsat_Salinas_v7:2:28576505:28577517:-1 gene:gene-LSAT_2X13040 transcript:rna-gnl|WGS:NBSK|LSAT_2X13040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSISTASSFFTSPNFSINLKSSVKSSSNSVKFGRAPISASYATAEKTETIRSFCSNTPSSLYDVLGVRAGADIREVKAAYRRLARVLHPDVGSSDSSADEFMKVHSAYATLVDPVKRADYDRTLVQRRAGGSSPVRFSGGYKSRRWETDQCW >cds-PLY85836.1 pep primary_assembly:Lsat_Salinas_v7:8:172848197:172848418:-1 gene:gene-LSAT_8X113181 transcript:rna-gnl|WGS:NBSK|LSAT_8X113181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEIKYENFEEDISNNNTDLLKYNHVHKKVSVLVHNGNPITESLVIVEYIDDVWKGVPILPQNPYDKDVARWR >cds-PLY71183.1 pep primary_assembly:Lsat_Salinas_v7:2:132832165:132837791:-1 gene:gene-LSAT_2X62940 transcript:rna-gnl|WGS:NBSK|LSAT_2X62940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPFQVLNLLILILLTTLVEAHLEAYYGKPQCPVICGDVQITYPFGFGRNCSANEWYTLNCNSSPPYPSSFTNKPYLSAFNNAEVLYMDVSTITINMSMISADCENPVQDINHVLITSHGKSPFRFSGSRNRLVFKGCGSASIMENGSIVTGCSTTCGKDTVSDINNCFGFGCCQTTIPHGLSSFTFNLTGLERQNQDGACGCAFLVDTNYAGSFSSKSFTEDHFVPISLSWNETFHENSTRCNETCGNVSIPSPFGIKRDCSDGNWFNVDCKSLTPYLSAFDNMEVLSVSLEKNTITVNVPMISDCKNPIQTNSLFLTGSPFGISGSDNMFVVEGCGSAAIMVENGTIVGGCSTTCSNDTNSESYRNGCFGKGCCQTTIPYDLESFTLNLTGLERDDGNGSCGSAFLVDKISFNQGRFSRQVVPITLSWTYNTSTSYFRPEVSTECISCEQDGGICFPDRYTTSGMSCHFRGSSNKSLGVILGASLTLGLLILMVISYALYNIIKNISAKRRKQRYFKRNGGLLLKQQQTIDNSLVDKTILFTSNELEKATDHFNDNRILGRGGQGTVYKGMLANGRIVAIKKSKVVDESQLEQFINEVVILSQVNHRNVVKLLGCCLETEVPLLVSEFISNGTLYDFLQDETNEFPISLNMRLQIATEVAGALSYLHSATSIPIYHRDIKTTNILLDEKYRAKVSDFGTSRFVSIDQTHLTTLVKGTFGYLDPEYFQSSQFTEKSDVYSFGVVLLELMTREKPISLTRFGENRSLATYFMVSMEEGRVMSIFDAIVVKEGSRNELLAIANLAMRCLNLNGKNRPTMKEVAIELEGVRLSHVPTTI >cds-PLY67259.1 pep primary_assembly:Lsat_Salinas_v7:8:179973226:179973888:1 gene:gene-LSAT_8X116801 transcript:rna-gnl|WGS:NBSK|LSAT_8X116801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQQIRSQREDAQVFTGEDLCKEKAQEVLARFSLPKGLIPMTDVTEIGHNESTGFVWVRRKKKTNHLFRAIGRNVSYDSEVTALIENRRMRNLTGVKSKELLIWVTISDISADESGKITFGTPAGLSRTFPTTAFEEVE >cds-PLY94863.1 pep primary_assembly:Lsat_Salinas_v7:2:179482746:179487200:-1 gene:gene-LSAT_2X101461 transcript:rna-gnl|WGS:NBSK|LSAT_2X101461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGVRRARASPVVDKKRGVSSPKAAAGKKRSEQLVGKYVKTRAAAATLVKVKQQKNPRRQTKQTKEEKEGLAPPTLVVVSETEERGKETVKEVRMGDSGGLSANKVTGQEEEGNTAPFPDRVQVGGSPQYKVERKLGKGGFGQVFVGRRVSGGTERISGPGAMEVALKFEHKNSKGCSYGPPYEWQVYNTLGGSHGVPKVHYKGKQGEYYVMVMDMLGPSLWDVWNSSGQSMSSEMVACIAVEALSILDKLHARGYVHGDVKPENFLLGQPSTSQEKKLFLVDLGLATKWRETANGQHVDYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQGDNKSFLVCKKKMATSPEMLCVFCPAPVRQFLEIVVNMKFDEEPNYSKLISLFEGLIGPNPAIRPINTDGAQKIICQVGQKRGRLNLDEDDDGQPSKKIRMGVPATQWISIYNARLPMKQRYHYNVADARLSQHVERGNADGLLISCVSSCSNLWALIMDAGTGFSSQVYELSPFFLHKEWIMEQWEKNYYISSIAGANNGSSLVVMSKGTQYSQQSYKVSDSFPFKWINKKWREGFHVTSMATAGTRWGVVMSRNAGFSDQVVELDFLYPSEGIHRRWDNGYRITSTAATWDQAALILSVPRHKPGDETQETLRTSQFPSTHVKEKWAKNLYLACICYGRTVS >cds-PLY86272.1 pep primary_assembly:Lsat_Salinas_v7:8:56522815:56525242:1 gene:gene-LSAT_8X40201 transcript:rna-gnl|WGS:NBSK|LSAT_8X40201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNNQNTVVPPLKLFNAPFQPSNLLSLILFFAFGLCFGILLTFQLKNVSFNLLFNEFPLYTTTNTTALPPPPTQNMRLEDFIHPSKIMHQMTDQELIWRASMVPKVRNYPFHRTPKVAFMFLTRGPVLLSPLWERFFKGHNGLFNVYVHCSDSFSNRTEPKHSVFHGRRIPSKEVQWGKVNMVEAERRLLANALLDFSNQRFVLLSEACIPLFNFSTVYSYLINSNQNFVESYDLMGPVGRGRYSRKMHPTIKLQEWRKGSQWFEMDRDLALEVISDKTYFPVFQKYCNGSCYADEHYLPTFVSKKFGARNSRRTLTFVDWSKGGPHPARYTRYDVTVQFLEKLRSDSHCEYNGRKNHTCHLFARKFTPHALDRLLRIAPKVMQFNP >cds-PLY87356.1 pep primary_assembly:Lsat_Salinas_v7:1:98062364:98067581:1 gene:gene-LSAT_1X78921 transcript:rna-gnl|WGS:NBSK|LSAT_1X78921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKYEVVKDLGAGNFAVARLMRHKETKDLVAMKYIERGKKIDENVAREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYAAGGGLFDRICTAGRFSENEARYFFQQLVSGVSFCHSMQICHRDLKLENTLLDGSRVPRLKICDFGYSKSLLLHSIPKSTVGTPAYVAPEVLFRREYDGKLADLWSCGVTLYVMLVGTYPFEDPADPKNFRKTISRIMGIQYKIPDYVYISQECKQLLSRIFVANPSRRITIKEIKNHPWFLKNLPRELMESAQTNYYQRDNPSFSLQSVDEIMKILAEARNPPPFSKLVENIGLGVEEDDEKQEDIKEEVQKEDEYEKRAKEVHASCEFTGCLGKLTKRVF >cds-PLY85831.1 pep primary_assembly:Lsat_Salinas_v7:8:173514775:173515696:-1 gene:gene-LSAT_8X114420 transcript:rna-gnl|WGS:NBSK|LSAT_8X114420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPEFPDVMADGYGSQHEDLNQKADLTLKGVVETIIKNYFPRLTQLQRVLFEASPFGIFLGMHIPHGDPLFVHKMMLHEVRCQQIFEIGRFLFDVEGIQLGFGETEYILICGLKVGPYVDLLHDEKGQSNSNLRARLFPDISDTRLRLKDLYVPELFGTTR >cds-PLY62173.1 pep primary_assembly:Lsat_Salinas_v7:2:153443360:153445699:1 gene:gene-LSAT_2X77261 transcript:rna-gnl|WGS:NBSK|LSAT_2X77261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEDSPTTVCVTGAAGFIGSWLVMRLLERGYNVHATVRDPDDIKKVKHLLELPKAATNLTLWKADLTQEGSFDEAIEGCHGVFHVATPMDFQSKDPENEIIKPTIEGVLSIVRSCVKVKTVKKLVFTSSAGTVNVHGNDQLPVYDESHWSDLDFIYSKKMTAWMYFVSKTLAEKAAFEATKENNIDFISIIPTLVVGPFITPSFPPSLITALSLINGEKSHYSIIKQGQYVHLDDLCESHIYLYENPKAEGRYICSSHEATIHQLARMINEKWPEYQVPTKFPGIDAELPVVSFSSKKLTDMGFKFKYDLEEMFKGAIDSCREKGMLPYSTIKKKLITCNEDLVHGVLRSIKD >cds-PLY66926.1 pep primary_assembly:Lsat_Salinas_v7:7:17787790:17788020:-1 gene:gene-LSAT_7X14560 transcript:rna-gnl|WGS:NBSK|LSAT_7X14560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSMLMRTLCLLLLFVHQDLYSVHATRIFRKTIESVMPAESESVSLPHVYGRTQNRHASPAFWPTQDGPSDGGRGH >cds-PLY80301.1 pep primary_assembly:Lsat_Salinas_v7:3:209182733:209183065:1 gene:gene-LSAT_3X123341 transcript:rna-gnl|WGS:NBSK|LSAT_3X123341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDLDMHDIENNHLDEENHQFGSTDSEILHNEENQSETQDMNDIGNDHYDEENHQFSSGDSEILHNDGNQSEIQGNSVTDIGYSL >cds-PLY71488.1 pep primary_assembly:Lsat_Salinas_v7:7:191072499:191073509:1 gene:gene-LSAT_7X115481 transcript:rna-gnl|WGS:NBSK|LSAT_7X115481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGWRIRPQMDEIDLEASYGGNPTVFSIRLHYGVEFTKFPGRKYIKGQQNFVDLIDGDLFSVHDIDDMMEELGCVEEEIVEPSSCSRRLCLEWIDTTTVEPPVLEPRMQTPTMEPNMESPSHIDVAHSSPPRMESPIEVEFVREPNMEPPIMETFSEVLGGSSDSEGSGDSTDSEDSDTEDDSAIGTRTIRCSKCGNIGHNGRSCKGQTVGGSQASGSDMGRNACVGQNSGAVNKGKSLMV >cds-PLY79924.1 pep primary_assembly:Lsat_Salinas_v7:8:16338949:16341923:-1 gene:gene-LSAT_8X12700 transcript:rna-gnl|WGS:NBSK|LSAT_8X12700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTLRSESKMKGNKFSNTFEEAINLDDDDFVNIHSGGSSVVMLSREPLAKKKVKTRFEKENEDADVEDDKTQELQKISCRVSPKSMYMAVKGMSYNQKEMVRRMGFGAFLDIKLDSMPSRLAYYLVDKFRAKTSTIKTKKGEILITKKTAEKMFGLPSEGLDYNQLAECDKTDIVIEAWKSQYPGGKFNNGNYVKRIRQSDVADDMFKLNFLTLFINTFAETEMSGASRINCLQKLARCEDIQKIDWCKYIVECLEKAKYKWRPNDANCYYTGPITLLLLTYADKVVLNGYNLRISRPLINQIDSVDLEMLEEHGLRNG >cds-PLY87008.1 pep primary_assembly:Lsat_Salinas_v7:5:262056341:262058841:-1 gene:gene-LSAT_5X133940 transcript:rna-gnl|WGS:NBSK|LSAT_5X133940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRNPSTFSSQMDMNPPFPEHEHLNCPRCNSSNTKFCYYNNYNLSQPRHFCKNCRRYWTKGGTLRNIPIGGGIRKATKRSSNPNKRTSPTTQQPPLPPTPAAAPPLPQKQQPRVVYGYGNNLDLESNGGNLSSVLGSNHMGPFGNLLMDGLSSNLASKSDDDVLIRNPIADDFGSNFLVRLNGSNNPNAVREESSCWSREENGWPDLSIFTPDTIDSMMFDLQSDRLKGAYQKSQQSQKLNTVRPGKSKRHIK >cds-PLY82212.1 pep primary_assembly:Lsat_Salinas_v7:1:66912643:66913185:-1 gene:gene-LSAT_1X58140 transcript:rna-gnl|WGS:NBSK|LSAT_1X58140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTYTDEHISTIPPSRIFKASIIDSHNLMPKLLPDAIKSVEFIKGDGGAGSIKQINFAGGFVKHEIDEMNEKTFTYKYSLIEGMGISDKIEKVSYDIKFEDSSDNGTIAKMTTTIYTHGDFELKEEELNAGKEKVLGLYKVVEAYLLKNPDAYV >cds-PLY66230.1 pep primary_assembly:Lsat_Salinas_v7:5:142248267:142249380:-1 gene:gene-LSAT_5X62501 transcript:rna-gnl|WGS:NBSK|LSAT_5X62501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTFLQDFRHLKIQLEEIKSATDTFDNNNFIGEGGFGKVYKGEISHSKGQSMVAFKRLDRSHGQGDPEFLKEILMLSGYTHENLISLLGFCDEDGEKIIVYEHAANGSLDRHLSSTTLTWRQRLKICLGAATGLCYLHHPKETQERVIHRDIKSSNILLDENWNAKVSDMGLSKIGPTNQQRTFLLVTNVVGTLGYIDPMYMETSILTEESDVYSFGVVLFEVLCGRVCYENNNGSFHSLVRLWKKSYKDKKLDEIIFQGLKQNMDQRSLATFSDIAYRCLQKYRGERPKMCHVVEKLENALRFQEIFEDVEQKWLYYEEISKAASPRLVYRSEEHLKILLSKGIFLHRGKTVM >cds-PLY99571.1 pep primary_assembly:Lsat_Salinas_v7:7:189739906:189743112:-1 gene:gene-LSAT_7X112501 transcript:rna-gnl|WGS:NBSK|LSAT_7X112501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDCLGSRKNLWKRDDKSSYYTGPITLLLLVYVYNMKYSMKLDKRVRFIGHITSANLLEIQRYEISLGGFGRLFHDEHDDVDINVETGGEDQQLVSFRRDFGDEEAYAAVLEHSYGLILTEKSTMEVALKDGLEKFPDSVLLKKWLEKINELFRGNHEGTNNTKVNDSYGYNEVNRNDMVDGNGDNTSPVRGLVISEVNIEKDAEEILKELKTEDLKCRLFATLLQIYIKKFDVKPSFRDVALVFFPIVDDAKYYLLIFDLRSSSYYTVDHVKRIGTLDRKYGMIPNLVAGDVTAILELRMKYMARLLTADFNKYKSMIVTDFEAFRKLDILEQTMILQQSAENRKKKRKTRGRR >cds-PLY91632.1 pep primary_assembly:Lsat_Salinas_v7:8:11954078:11959641:1 gene:gene-LSAT_8X8140 transcript:rna-gnl|WGS:NBSK|LSAT_8X8140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSPKPMVAYPKTLLPIATSVGGLALFLIFASLLLVYQPIGSKVSGYFYNLDEASKIELSPFNNETSIFENRVNDTETNNKIVDSATDKTVDSSTDKTVDFDINNTVDSDVNKTIDSNINKTIELDISKTVDPDVNKNIDSDVNKNIDSDINKTVDSEINQTVDSDINKKTVESDIKKTVDSDINKKTVESDIKKTVDSDINKTVDSDINKTVDSDIKKMVDSDIKKTVDSDINKTIDSDINKTIDSDINKTVDSDIKKTVDSDINKKPVDSDINKKPVDSDINKTMDTGTKKSVDSGCDLYDGKWVYDSAGPLYTNSSCPVLTQMENCQGNGRPDKDYENWRWKPTKCDLPRFDPKKFLELVRGKTLAFVGDSVARNQMESMLCILWQVEVPKNRGNKRMQRYYFQSTSVTIIRIWSSWLVHKTTEKFDFAPEGVDKLHLDIPDETFLNDIPTFDILVLSSGHWFAKRAVYILNNEIVGGQLWWPDKSRPKKIESPEAFKISVATIMSTLVTSPSYTGLTVVRSYSPDHYEGGAWNTGGSCTGKVKPAVDLVENGFTNIMHDKQVLGFNIGVKNITNKSRVVFMDITKAFSYRHDGHPGPYRSLDPNKVVKRSADGRPPPQDCLHWCMPGPVDTWNELLVEVIRRDFEG >cds-PLY68775.1 pep primary_assembly:Lsat_Salinas_v7:2:211567821:211570106:-1 gene:gene-LSAT_2X131280 transcript:rna-gnl|WGS:NBSK|LSAT_2X131280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEVMLHIYDVTNSGSDNTNNTIVQINKIFKDGIGLGGIFHSAVQVYGEDEWSFGFCEQGTGVFSCPARKNPMYTYRECIVLGKTNLSKFKVNQILRELSREWPGNCYDLLSKNCNHFCNEFCERLGVPKLPGWVNRFANAGDTAVEIAETTAVRFRQAKTEIVTASKVAYRFLAGITSNNNNNSNIALDSPGNRSNNSPRFQQPSWFKNLVAAGAKPSTSTTTTLENEKPQLLQKQESQV >cds-PLY96115.1 pep primary_assembly:Lsat_Salinas_v7:3:97516571:97518599:1 gene:gene-LSAT_3X72581 transcript:rna-gnl|WGS:NBSK|LSAT_3X72581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALVVDAEYLKEIEKALRDLRALISNKKCAPIMLRLAWHDAGTYDAKTKTGGPNGSIRNKEEYMHAANTGLKIAIDLCEEVKAKHPRVTYADLYQLAGVVAVEVTGGPTINFKPGRKDSKVSPNEGRLPDAKQGPAHLRDVFYRMGLSDKDIVALSGAHTLGKAHPERSGFDEKPWTKDPFKFDNSYFLELLKGDSEGLLKLPTDKALLHDPNFRNYVELYAKDEDVFFKDYAESHKKLSELGFTPQRSKTVLFAQTAVGVVAAATVVILSYLYEINRKC >cds-PLY66821.1 pep primary_assembly:Lsat_Salinas_v7:7:19189033:19190649:1 gene:gene-LSAT_7X15380 transcript:rna-gnl|WGS:NBSK|LSAT_7X15380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVKIIKHIEMTDISNASGKKKPIRFVTNVSPNNTSPTTPLSDVTNVNASSSSNALKPSSHSTLQTISHKVHSPSSSNALKTPSSQTIQRNSHVKNPNEYVSKILFVIKPITIDAKWIPFPTSEYTTEKFALVVICSNPLHFKVIRLSYTKPSDMPKEKVDYDYYNIELFSSTTWQWREFQNIQLPSYVYPVSDEIVTSGGIVYFLLSYHTILQFDIYSEEHILIVAPFTINDFKPYASRLIKFHGKLGYFSVSGEHLWAIWVFIHNQLGKVDVSTYNEGAHEWWDYRNNTVYFFK >cds-PLY76023.1 pep primary_assembly:Lsat_Salinas_v7:5:320018605:320019207:-1 gene:gene-LSAT_5X177120 transcript:rna-gnl|WGS:NBSK|LSAT_5X177120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDILRKRGMLSIEFSPGSVKRKSIVDRPRRRKFATNFVATLIPSGGEVISIQDDNTHHSEGVLGVFEQPIVDDNPSKITPMQLPLSNQPKSPTTSDMCDYNNLIACLQGEDPSSSIIFIPIWNLTDESCISNHEVVAEFSHHVLPKETVVEMDAFLYEHVVEFIEFAFSHNTLFLTVGSRRLRRLKSMVVKLRSYERSM >cds-PLY69175.1 pep primary_assembly:Lsat_Salinas_v7:3:204664942:204668874:-1 gene:gene-LSAT_3X121981 transcript:rna-gnl|WGS:NBSK|LSAT_3X121981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKCNPDGSSDPVILANATDVSHFGYFQRSSVKEFIVFVGRTVAKRTPPSQRQSVQHEEYKVHSYNRNGLCALGFMDDHYPVRSAFSLLNQVLDEYQKNFGDSWKAVEADQSQPWPYLDEALIRFQDPAQADKLLKIQRELDETKIILHKTIDSVLERGEKLDSLVEKSSDLSTASQKNNKEEGERDPTSSAAVQVS >cds-PLY88350.1 pep primary_assembly:Lsat_Salinas_v7:9:18101308:18104838:-1 gene:gene-LSAT_9X15761 transcript:rna-gnl|WGS:NBSK|LSAT_9X15761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLARDLGFRPQGKSTPMKPSSSATATEENHIFSDVFGGPPKHTNSSKSTSAMTDFDYDVIFKNSAPTNNEEAKSKATSVKLPVYDKPAYDNDIFDGLSGLKSKSMSSSASPRYEENIFASMSTSPSKRNQQSDHFDDLKGNPSRAQKVAPTKQNRSKSPSGFDDLIPGFGSGRPATSNRSNSEPTPKSTTNTKETSNTMHNLFVVHESSSTTANSHQSFSIDPLEEIGNVSKFDSPKTRGSSASNGTSGKSAAAFTSKDSTQKASFRYSESRSQEMPAVPRNHHRSFDESTSPPSSSQSENIQVADDIWLTVSEIPLFTKPTNAPPPSRPPPPTPRHILKSERGSLRSNSRKVGNGLSSPNSSKYPQSPTPFQAAATSPLSDSHSAEEMDSILAAAAMKEAMDRAEAKFRHAKEVREREHVKASRNKESVQDEEREKGKQAVERATREARERAATEARLKMERAAVQRAQAEARERAATDAREKEKAAVEARKGGAQERTAASRINQQKMDDEFESFFSSGSRSSSAPKRGQQGAHTNIKKSSVTVSVADDLSSMCGGGKIQVEVEGESEERRRARLEREQRTQERTVKALAEKNERDLQSQREQEERHRIGGALDTEIKRWAAGREGNLRALLSSLQYVLWPECGWQAVSLTDLITGANVKKAYRKATLCIHPDKVQQKGATLQQKYVAEKVFDILKEAWNKFNSEELF >cds-PLY94725.1 pep primary_assembly:Lsat_Salinas_v7:8:300558928:300559766:1 gene:gene-LSAT_8X165900 transcript:rna-gnl|WGS:NBSK|LSAT_8X165900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTIVTLRNALNQGTTREEMQAISKGLEKVVQELSMAENDGPVSEKFLKLRLRIIIKQKPCVGVGPLCNAQQVSMMA >cds-PLY86047.1 pep primary_assembly:Lsat_Salinas_v7:8:296680287:296681097:1 gene:gene-LSAT_8X164281 transcript:rna-gnl|WGS:NBSK|LSAT_8X164281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIASLEKFLQERIKVGGKAGNLGDSVTISREKNKISVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEGEEED >cds-PLY91791.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:2044015:2045231:1 gene:gene-LSAT_0X141 transcript:rna-gnl|WGS:NBSK|LSAT_0X141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNRWWTGPVNVAGVETSSQAIKKPDLGISMNDATTGSEEDERDNNSDDPREGAIDPSNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVASGTDIAESIAQFSRKRQRGVCVMSASGTVMNVTLRQPSAPGSVMALQGRFEILSLTGAFLPGPAPPGSTGLTIYLSGGQGQVVGGSVVGSLVASGPVMVIAATFSNATYERLPVEEEEEADSVTPGLGGGGSPPQLGMGDQNPMAGYNMQPNLIPNGGGQMNHEAFAWAHGRPPY >cds-PLY68053.1 pep primary_assembly:Lsat_Salinas_v7:5:294207393:294210372:1 gene:gene-LSAT_5X157020 transcript:rna-gnl|WGS:NBSK|LSAT_5X157020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSFKVGGKANTSVGASMPSFVSQIPISNSNGVESNAGQSSRVSNFGSPEQSLGLRGVYNSTALIDQSVHSDLQFATYDKMLQGQNSNREAWLESNIMGDGSPHTDTSTEMDPDDNNQSFDIVPSNPFMASGSSDKQKEKFPEQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQEVQRARKQGVVISNSSDQTQPNGGSLAFVAEYSRWLEEQSKHISELRTAVTSHRSDNELRSLVENATSHFNGIFRLKRTAAKADVFHIIYGMWTSPAERCFLWIGGFRSSELLKLLVSHLEPLTEQQLASIDHLQQTSLQAEEALSQGMDALQQSLAQTLASDAPVVPAGSSGMANYMGQMAMAMGKLGSLENFLRQADHLREKTLQQMHTILTTRQSARALLAINDYFSRLRALSTLWLARPQE >cds-PLY83425.1 pep primary_assembly:Lsat_Salinas_v7:5:330877351:330883297:-1 gene:gene-LSAT_5X185161 transcript:rna-gnl|WGS:NBSK|LSAT_5X185161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ENHANCED DOWNY MILDEW 2 [Source:Projected from Arabidopsis thaliana (AT5G55390) UniProtKB/Swiss-Prot;Acc:F4K3G5] MASSDDEEETFADTISEYYFCDGDDEPLSFSILPLQWNESESESSSPTTGIFLRGTADNGLQKLYKAVKAWKYDLSKSNPEISVLSKDNHWIKLQKPRKSFENEIKTILITVHCLSYLKRKPEASGKSLWDHLSKVFSLHDARPSENDLRGHMSFIRDAVDRDETLAKSKFLAAFLENPRKRKVSEEAETATKPSFIVDDANDDDFVTEANEDSESDEEEDPFDSVCAICDNGGTLTCCDGKCFRSFHATPDTEEAEESNCKSLGLTPDQVKRTQQFLCDNCLYSQHQCSVCGKLGSSDKSSGAEVFRCSSATCGHFYHPKCVAKILQPNVEAEQKNLLEKIGAGEPFICPAHKCDVCKQTENEKVKDLQFAICRRCPKSYHRKCLPRGIMFESQAGDDDIVRAWDDLLGKSRALIYCLNHDIDKKLGTPARTLIFRINRHSISKMDQPSKLPLKKKETLDTDSEYTSKKPVTVIKSQKGGEKSSATKMEDSSSKKRAAVGSVPLKKKKKLADTSLSLRRSLSAKIKMPSPSSNDGQPSLGCRLFEYMNKGMEPNNLEKDDMSVDESKQISSPIDEESKQRILDLMKDAASSITLEEVKRHHTEKVPSTHAISSRVDKSIILGRVEGAVEALHVALKKLEEGCSLEDAMAVCEPGVLDQLMRWKDKLKVYLAPFLHGMRYTSFGRHFTKVEKLEKIVEKLKYYVEDGDTVVDFCCGANDFSFLMKKHLDEMGKNKCSFKNYDITRPKNDFYFERRDWMSVRPNELPKSFKLIMGLNPPFGKNAALANQFIQQAVKFMPKLIILIVPPETERLDSEKQKCPYDLVWEDAELLAGKSFYLPGSIDVNAKQMDQWNNTTPVLYLWSRPDWTNKHKSIAQQHGHIHTQPRADENLLQNEKNDIQVVDLPLPLPVPLILDDLPTDKTKKERETPKKKQQIQSNQTSFSKKRRRKQKDKQSQKKLKLEDKYSRGQGQDEDDKPVPEPPQKLDIKHPSPGPTPTLIVEDEASHRHLERRYNPPPPTSEVMDYNSSASRSRTDILSQSVNQHRYNTPTPIPIPATTEEAPPYMGGSGSGTTYRRPDSANNNNNLPTPSSGYSMRPAEASVQYHHNPGPGGYDSRGGSYVDEMRAGGSRRYGGHDMASYNNSNRAGTSTMQRYAPRLDELNHTRMGPMDDMNHSRMGNSRRPEPPPMPMGMGMGNNNNNNRGFGGYGPPMHGPGFGAEPMGFAPGPYNPYSHHNSSGGWLNE >cds-PLY97328.1 pep primary_assembly:Lsat_Salinas_v7:4:286429223:286430893:-1 gene:gene-LSAT_4X145821 transcript:rna-gnl|WGS:NBSK|LSAT_4X145821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTIVLGVEKKSTVKLQDSRSVRKIVSLDDHIALACAGLKADARVLINRARIECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTGVPSLYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGQETIKLAIRALLEVVESGGKNIEVAVMTKEGLRQLEEAEIDAIVAEIEAEKAAAEAAKKGPAKET >cds-PLY88021.1 pep primary_assembly:Lsat_Salinas_v7:4:178535676:178536772:1 gene:gene-LSAT_4X105901 transcript:rna-gnl|WGS:NBSK|LSAT_4X105901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGDKCQFGDKCHFNHIKTERESAVISVLNVAGRSEMQQQKKTPWRTKLCNRWMSTGSCPYGFKCCFAHGESELRKQRSNDVQAYAENAPCDKKIDGKQREFKWKNVEKIGRVYADWITDTPLVHVVTGNLAS >cds-PLY86611.1 pep primary_assembly:Lsat_Salinas_v7:1:3672367:3673626:1 gene:gene-LSAT_1X2940 transcript:rna-gnl|WGS:NBSK|LSAT_1X2940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSISTFLASQINLHETLAHKSHATVSFNPISGFRINKHTLALAPLTTTNIATTRWDSVIAYANVEPAGTPSPPPGSPPGAWKNWAIGILMTFIIPLVTTKGEKVDQIMATAEHISDIVESVADKVDKVVDELQEDLPEDSQLRKTLDFIENVAERVEKDAHNAGDFIDKVQEMEDKIEDIMEPVLEEAKEVDKEAKEKEKARKK >cds-PLY97604.1 pep primary_assembly:Lsat_Salinas_v7:5:233740917:233741354:1 gene:gene-LSAT_5X116440 transcript:rna-gnl|WGS:NBSK|LSAT_5X116440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPIDEEKNWLNLNVGQNFEDSYPRSKPISVKICHFCKRKFYSPQALGGHQNAHKRERDAARRYHSLNMDTKFPTHRTLGVHTHSLPYKPTTNEGGQIMVTVGDYGTTWVNGEEGLMWPGSFYLDSQMVAPQPSDQLSLDLTLKL >cds-PLY93529.1 pep primary_assembly:Lsat_Salinas_v7:4:278184499:278184834:-1 gene:gene-LSAT_4X141960 transcript:rna-gnl|WGS:NBSK|LSAT_4X141960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPKDNEVSRSGKDKGKGVAEEDNDENLMMSKSERNAKEKRDKALDDLNALWRKLKAEEDEAKNAKLILETHKSLFHAWSIELIQKEAIYDLNLYWLEPTISFDINNDVEC >cds-PLY97620.1 pep primary_assembly:Lsat_Salinas_v7:5:237240903:237241315:1 gene:gene-LSAT_5X113600 transcript:rna-gnl|WGS:NBSK|LSAT_5X113600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCKVKQRVIVYDYELNIGWGKLVSLPSQSLHASPPGQMAIRSKEGATVILSAPSGPLVTSVPSQNSELVG >cds-PLY84801.1 pep primary_assembly:Lsat_Salinas_v7:8:23876430:23876693:-1 gene:gene-LSAT_8X18641 transcript:rna-gnl|WGS:NBSK|LSAT_8X18641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKVDEPKPTKDTIQIENVDDDDDDKMKVNDLINSFPSSSKEEGGDIQHYKSQENRKTSLSRPLREAAKKVQSYKEIKVNMKMRRDK >cds-PLY93859.1 pep primary_assembly:Lsat_Salinas_v7:6:144692124:144694967:1 gene:gene-LSAT_6X86841 transcript:rna-gnl|WGS:NBSK|LSAT_6X86841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIERPEGLPSSWTIEVKGSEEEKRECYIDPETGREFHSMSEVSDYLNTINSSKATEKTGDKSSSETVIPEKSEAADVAAEDISEKKQETSEKSNEKNAVSGTQVDGLPPGWIKEVVVRSAKGRTTRKDPYYLDPSSDYAFMSKLDALRYLETGDIEKCAMKPRKKSDIMMKLANTPTKTQSANKKSTKRSNETKKSNKSTSTPSSRASKRLKTSETVEPQSEEQPEKEKNDGVEDDTEQVKGKEPNVEKSTNDEKTEIPLVPEPVKTVNGDVPIEVPEIHMTAEEQSVGIEERKNEGGNEVTNI >cds-PLY71462.1 pep primary_assembly:Lsat_Salinas_v7:7:191482156:191485065:-1 gene:gene-LSAT_7X116401 transcript:rna-gnl|WGS:NBSK|LSAT_7X116401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX15 [Source:Projected from Arabidopsis thaliana (AT5G56090) UniProtKB/Swiss-Prot;Acc:Q9FKT8] MLESRLITIIRRSKSINQIRSSFSSHGGSTNLHSRFALDRYSTYKHVANPTTRNCLINGFRSLHNLNKGTYKPSFRHISTVAASVCKENKETVKLLVTAGPHAQKAIGIWLFASAAWVFSMVILGGVTRLTRSGLSMTDWKFTGSLPPLSDEDWLIEFEKYKQSPEFKRVNRGMNIDDFKFIYWMEYAHRMWGRALGIMFALPFSYFIRKGYINLRLGVRLAGLFALGGGQGMIGWWMVKSGLEEPPSEYSQPRVSPYRLAAHLTSAFAIYCGLFWTALSVVMPEPPTESMAWVNGAAKVKRLALPLGILVGVTAISGAFVAGNDAGHAFNTFPKMGDSWIPEDVLSMKPVIRNFFENTATVQLDHRILATTTLAAIGGLWWSTRKVDLHPAIRSLIGSTMGMAALQVTLGISTLLSYVPVSLGTAHQAGALTLLTFMLLLNHTVRRPSPMLLKSLPAVVKTS >cds-PLY84128.1 pep primary_assembly:Lsat_Salinas_v7:6:189189973:189192748:-1 gene:gene-LSAT_6X116261 transcript:rna-gnl|WGS:NBSK|LSAT_6X116261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEARRVGVAMDFSACSRAAVKWAVDNVLKKGDHLILVNILPEGREEGTEIQLWEVTGSPLIPLSEFRDPQLVTKKYGTKPDPETLEIVSLAATQKEVEVLLKIFWGDAREKLCEAVDNIPLDCLIVGNRGLGKLKRAILGSVSNYVVNHSSCPVTVVKS >cds-PLY98309.1 pep primary_assembly:Lsat_Salinas_v7:7:173270098:173270694:-1 gene:gene-LSAT_7X103420 transcript:rna-gnl|WGS:NBSK|LSAT_7X103420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEAAVKPSEIPQSPIRPMISMETTTTAITPPAQTIGVVSETPSSGGLPHSVTMIMPFVTPHNHIPIPTLNCTTPSLFPNIGGPNPFNLPPLPLCFPDTGRPSNTGHILIPPPDHAKNELQQTTLITPPLTAQSTNGLPPLMHTSAIQANNAASGPGVAFQAISYPSLVTGTIPTLLFTPYQQLSTTHMLVQLFLAMK >cds-PLY76339.1 pep primary_assembly:Lsat_Salinas_v7:5:225963462:225966857:-1 gene:gene-LSAT_5X107460 transcript:rna-gnl|WGS:NBSK|LSAT_5X107460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIMRDVRDGFGSISRRSFDVRGKSQGSVNDSNSSDNQASIVVQNSRWANLPPELLCDVIKRLEESESTWPQRKHVVACAAVCRSWRTMCKEIVRTPESSGKLTFPISLKQPGPRDTTIQCFIKRDKSNLTYHLYLCLTPAALLVENGKFLLSAKRTRRTTCTEYVISMDAENISRSSSTYIGKLRSNFLGTKFIIYDTQPPHSGASIPPPGRSSRRFYPKKVSPKVPNGNYNIAHIKYELNVLGTRGPRRMHCIMHSIPTSALVPGGTVPGQPEALLPPLSNSNSLSDSFRSISFSKSLDRSTDFSSSRFSEIVGAAMSNDVPDGEKSKMPLVLKNKAPRWHEQLQCWCLNFKGRVTIASVKNFQLIAAQPPPVVAAAPPPSSSSSQAQAGGQPEHEKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >cds-PLY84841.1 pep primary_assembly:Lsat_Salinas_v7:1:59951535:59957770:1 gene:gene-LSAT_1X52621 transcript:rna-gnl|WGS:NBSK|LSAT_1X52621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRELAFALEARSQFSGFIGRTRSSKSPPPQFTKRKSKERLSNDRSKISIDEVNMSRRSKRVKTSIPDGDSMNVDRSTGDEESLKMKAGKSEEESVAAEVAMVEEAADALVAMGEKESAAPEVVMGEEESAAPEVAMREEESTAAAAAKGEEESAAVEADKGEEQSAAVEADKGEEDGTRTTKKVYVKRKHRKDKVNSSTPKRFTRSALKETEDNVEKLGTPTRKNLELKMSKKIGLSRVPINMRELLETGLLEGFQVSYNFEGSNLQGVIKGTGILCSCKSCKGTKVIAPSKFEFHACDQYRHAIKYICLENGKSLLELLEICKSSALESLEVAFENVITSLPVKKVKEPSICTDCNGPIESSSTENILKCDTCLNQKIQNQNQKQEQVVALAATNVEVEDRPSSPVLKAITNTELPVLSEKKRGRGRPRKSDSVLNSPKSAKSGKLSRSKIKDKILKKFRSPRSTSVQKPPGSAFSSQQKSGKKLTIKNQQLHWPVFEEGGLPDGTELTYVSHGKKLLDGYKLGHGIFCSCCKTEISASQFEAHAGWPTRKKPYENIYISNGVSLHEYAVSLKRAENKKCPVKFNDDLCRICWDGGNLLLCDGCPRSFHKECTDEKEIPQGKWYCRFCKQAMKVGEDSENATVAGRVEGIDLIEQITKRCIRIVKTPENIDLVACVLCRGHDFSKNVFNERTVIVCDQCEREYHIGCLREQKMADLEALPKGKWFCRTDCERIHSVLQNLLTKEAEIVDDDLLTFVREKQKEKEEKEKAEKEKEKEKEEGDKDNNVISDEDKEKDKNVILDKEKEKEKNVISGEDKDVISEMKFVLLSGKNATRENRPMLTQTINIFHEGFDPIIDATSGRDFIPSMVYGSNVVSAGTLRVFGQDIAELPIVATSKCNQGKGYFHVLFSCIERLLSSLNIKKLVLPAADEAKSIWTKLGFQTIEPEELGDLRRRCSAMMTFKGTSMLQKDLTAKPQNGGQDNKVDGDVQKVDNIGDVDIQKVGGE >cds-PLY83432.1 pep primary_assembly:Lsat_Salinas_v7:5:329778565:329780542:1 gene:gene-LSAT_5X186520 transcript:rna-gnl|WGS:NBSK|LSAT_5X186520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MIPVPQKPVEHLCFCDFKSVEHLCFCEFKTPMNRFFNAATKNLGGLRGYAVGAEGRNVSDVRSLKIEGVKDIIAVASGKGGVGKSTTAVNLAVSLANKCQLSVGLLDADVYGPSIPTMMKLHGKPEVSKGNKMIPIENHGVKCISMGSLVEKDAPIVWRGPMVMKALEQMTRGVEWGQLDILVVDMPPGTGDAQISMSQRLQLSGALIVSTPQDVALMDARRGVKMFSKVSVPILGLIENMSYFKCPHCSKPSYIFGKGGARKTADEMGLGFVGEIPLEEEIRSGCDEGVPVVLSHPESAVSVAYGDVALKIISRLHELAAADQQFKPQINL >cds-PLY66328.1 pep primary_assembly:Lsat_Salinas_v7:5:288590254:288592846:-1 gene:gene-LSAT_5X153420 transcript:rna-gnl|WGS:NBSK|LSAT_5X153420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNAVLNGLAGAGGGIIAQIITYPLQSVNTRQQTERVAKKSQSQGSSGGTLVQMLQVIRSEGLGGLYSGLKPSLLGTATSQGIYYYFYQVFKNKAESIAAANKRKGHGDGTVGMLSWLVVAALAGALNVLFTNPIWVLVTRMQTHTQAEQKILEAKKEALIRESGFIGSSLHDKLRELDSVKPNPYGTFQAAYEVYNEAGIRGFWKGIIPTLIMVCNPSIQFMIYESSIKHLKKKRADKKQSSIKVSALEVFLVGAIAKLGATVTTYPLLVVKSRLQAKQEISTNNSLRYSGTMDAIVKMIHYEGFSSFYKGMSTKIVQSVFAASVLFMIKEELVKLYALLANKILLLKLSK >cds-PLY97850.1 pep primary_assembly:Lsat_Salinas_v7:2:216231879:216233029:1 gene:gene-LSAT_2X136501 transcript:rna-gnl|WGS:NBSK|LSAT_2X136501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKVHYVFPLNTNVVKGPHDPNSPIVIQTNNVAFYYVIPKPFKNSRIEDFVNYIKICPLRYAFFYFPEAFYPKQVCGFYYSCSFDSNAQTITGTVGNGQSRVANPYIVKSSSSEEEDDENNNEEDSEEDEDTDNEDDEESTDGEDADDEEYEFATDKGESSFQGMTNYPPILNQHIRFSSSSTSSKTTSIEDFFSKDQPHRKWKLLIR >cds-PLY78795.1 pep primary_assembly:Lsat_Salinas_v7:3:168195324:168196643:1 gene:gene-LSAT_3X103720 transcript:rna-gnl|WGS:NBSK|LSAT_3X103720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVAASEFLTKDGKYDLDFKNQPNNGAHVLTASKLGDLYREFIRDFPIVSIEDPFDQDDWTSWSSLQSSVDIQIVGDDLLVTNPKRIAEGIQKKACNSLLLKASYKTTRININSHKEVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLSVGLASGQIKTGAPCRSERLAKYNQLLQIKEVIEAYDQMRSHGYNTNFFCALKRNSEMYVMQVKHLDLHDQVDIKQTCSKFNIFCIVALLLGDMSKKLFHVVLA >cds-PLY78353.1 pep primary_assembly:Lsat_Salinas_v7:9:9024423:9026878:-1 gene:gene-LSAT_9X6280 transcript:rna-gnl|WGS:NBSK|LSAT_9X6280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIEGLPDAVAIRCLARVPYHLFPKLETICHSWRDAVRSNELFKAREEVNSTEDFLCVCAFDPENVWQLYDPNHVIWITLPILPSKVRNLAHFGVVSTAGKLFVLGGGSDAVDPLTGDQDGSFATNEVWAYDPVLRWWAPRAAMIVPRAMFACCVVNGKIVVAGGFTTCRKSIAKAEVYDPEKDVWVSIPDLHHTHNSACSGVVIGGEVHVVHKGLTTVQVLKKEGWRVHEHSWVHGPMTVVKGSLYVMSHGVIYKQEGESRKAVTSASEFKRRIGCAMMGFRDDIYVIGGVIGPEGWNWDIKKMSDVDVLTLGSERPVWQKAPPMTRCRGMILGCAKLRI >cds-PLY90552.1 pep primary_assembly:Lsat_Salinas_v7:6:51511870:51513251:-1 gene:gene-LSAT_6X37461 transcript:rna-gnl|WGS:NBSK|LSAT_6X37461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLEDEGWVNIPYDEILEANEDGDEKNFSRKYIKSPAKLFKPKYFDTPQNSQDFVKDKPRFEKQLLSIPTQSDHGHDPIMIKESKEITSEHEPEPEFEPNQDQIIQVSFKKENQFVKKKMGSPRLSSREPDMCNIETSPFQYEEKSDDRVINCSSPSKMIKQEVIAWDENNERLNFWQWGLSGIGAFCSLGMVAATVCIIMLGNGRKDKQQNRKLKIQIYSDNKRIKKVVQQANEAMSAMRGVPLVKAQITYGGYYESI >cds-PLY75214.1 pep primary_assembly:Lsat_Salinas_v7:2:203115654:203118687:-1 gene:gene-LSAT_2X123940 transcript:rna-gnl|WGS:NBSK|LSAT_2X123940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPSLLILHPRLILFATTLRPTPLICHQFSLHSPPLSRLPVRFAHNKHHSFSTSPLATATPLSADNSNVNETTRAGRSGSISSPPHQVVDYSQKVDVNPPKGTRDFPPEEMRLRNWLFQNFKEVSQLFGFEEVDYPVLESEALYIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSVALPIKWFAVGQCWRYERMTRGRRREHYQWNMDIIGVPDVTGEAELISSIVTFFKRIGITEKDVGFKISSRKVLQEVLSFYSVPESLFAKACIIIDKMGKIPMEEIKKELELVELSKDAIEDLLQVLTIKSLTELEEKLGGTGEAVSELKQLFSLAEKFGYSEWIQFDASVVRGLAYYTGIVFEGFDREGKLRAICGGGRYDRLLSTFGGDDLPACGFGFGDAVIIELLKERGLLPEPPLEIENIVCSLDPNLQGAAAMVASVLRGKGQCVDLVLENKPLKWVFKRATRINARRLILVGSAEWERGMVGVKILSTGEQYEVNVDELQ >cds-PLY94950.1 pep primary_assembly:Lsat_Salinas_v7:4:108952198:108954316:1 gene:gene-LSAT_4X70141 transcript:rna-gnl|WGS:NBSK|LSAT_4X70141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASISNYVFSTCKLSPPKRPISISTPLSFSSSKCFTQSRNSHVLIKSIAEDREVVTEKISISDLKQDEQSGNGLEGGDKEFVVRDGSEEIDRFMGKAVNASIVLGFGTLAVTRLLTIDHEYWHGWTLYEILRYAPEHNWIAYEEALKENPVLAKMMISGIVYSIGDWIAQCYEGKPLFEFDRTRLFRSGLVGFTLHGSLSHFYYQICEALIPWKDWWVVPAKIAFDQTVWSAIWNSIYFVVLGLLRFESPTNISNELKATFFPMLTAGWKLWPFAHLITYGVVPIEQRLLWVDSVELVWVTILSTYSNEKSEARISDTQGDIDTPSSSTNISESEVYILQWVNSLIMCLCNKLIFFYRIM >cds-PLY84049.1 pep primary_assembly:Lsat_Salinas_v7:6:187964014:187966417:1 gene:gene-LSAT_6X115040 transcript:rna-gnl|WGS:NBSK|LSAT_6X115040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSRSRLRKDRFYSPPAMRRYNQQQQQQQQQQRQQEQQAEHPKHQAKKEKSESSVSRGSNSTLPMTNLDRFMKHTTPIVTAQHFPKSSMKGWRNDEDDYHPYFLLGDLWESFKEWSAYGVGVPLLLNEQDSVVQYYAPSLSAIQLYVDPASSTTTIRRPGEDSDCESSRTTSSDESYEAAARGPRAAENVDSAARSLNKLMLRDDLFEYFERASPFQRAPLATKANLESIFPELNTYKSCDLTQSSWLSVAWYPIYRIPVGPSLQNVDTSFLTYHSLSTPLKSTNGSLTARQVHEGQMPCQLSLPIFGLSVYKFKNSDWTINGAHGTEKINSLIHSTENWLRNLDVYHPDYEFFKNH >cds-PLY62286.1 pep primary_assembly:Lsat_Salinas_v7:5:162169523:162173281:-1 gene:gene-LSAT_5X71041 transcript:rna-gnl|WGS:NBSK|LSAT_5X71041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNYNPQNVKSPNIRLSEKLDAKNSLVKLFTSRQMFLAQQGILIQIGGCFTHDTIVKPLHLILDVYIQRILLLTSQVMNLSLKHLTYIVGSCSEEFLKFDLKYDQVIVDRIPEEMNEVLKAKHEEIKDELMAKHEEIEGKKQEIVRISNEILMFTQ >cds-PLY86322.1 pep primary_assembly:Lsat_Salinas_v7:8:28386557:28392938:-1 gene:gene-LSAT_8X23081 transcript:rna-gnl|WGS:NBSK|LSAT_8X23081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRNRRTTSLRDSDSRLGESDKIDGAGSWDAIEWTKIDQPVSRPVPHGLSDFLYEAEEVIAEGYGVLVNTDEAGTLFVTNFRLLFLSEGSRDIIALGTIPLATIEKFSKIVTKQQSGPRQSDKIPSRRLLQIVGKDMRIIIFAFRPRTKQRRAVFEALLRCARPPRLWDLYTFSSGPSRFSNTSPRVRLVNEYIRLLGMESHTPVTSIEDGSFTLSNDWWRISDINANYDMCPTYPSSLLLPKSIRDEDVLQACKFRARCRLPTISWCDARTGAVLARSSQPLVGLMMNMRSNADENLVGALCTQLSGGKTGRRKLYIADARPRKNALANGAMGGGSESSSNYFQSEIVFFGIDNIHAMRESLVRLRDYLDTHGTKSSDGMSSFLRNGGWTWGGGNLSSMSASVSTLGDSGWLIHIQNVLAGSAWIAARVAIESASVLVHCSDGWDRTTQLVALASLLLDPYYRTIKGFQALVEKDWLAFGHPFSDRAGMGSLSGSGSELPRQSSSTNFPSSPMRQTTGSFSSQSPASTQTQTQTSNNYSPIFLQWVDCVSQLLRVYPFAFEFSSVFLVDFLDCMLSCRFGNFLCNNEKEREQFGVSEACGCMWMYLDDLRASGGNSHVHYNLFYDPSKHNGPLLPPAAALAPTLWPQFHLRWACPSEAQAGEVEAQCRNMVEKISELQKAKDVAERKAREAIASMEAVKAEIRNEKQVSSSAMNLARKANRENDMIKRAIQSLGCRIHVSGNDDCTIDIETNVADIPQRITSSSMRETGGSEEKTDMPISVTITADNNDVSENPILRVCESLCPQRTREGGCKWPDAGCAQLESQFVGLRANYDAFDRLSIYDSYFQPK >cds-PLY68081.1 pep primary_assembly:Lsat_Salinas_v7:5:294346464:294347825:1 gene:gene-LSAT_5X157100 transcript:rna-gnl|WGS:NBSK|LSAT_5X157100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDELALINSPSPVASAGGNHPNSSRPLPFREDCWSEDATSTLVDAWGRRYLELNRGNLRQKDWQEVADSVNARHGHTKKTRRTDVQCKNRIDTLKKKYKVEKARVSESNGQITSSWPFYSRLDSLIGSAATAKKSSSSSPPTAVPLPYRKPPSTVLSSQFPQPLAVLPQKRPLPVAAAVDDSYFRRNYSAVAAAAAAAEEDGSEDDESLGTSDEEGGAGGEGVRKEGGGDSECMKRLARAIERFGEIYERVEGEKQKQMIELEKQRMQFTKDLEVQRMQLFMDTQVQLEKIKQAKRTVSDDDVYS >cds-PLY93793.1 pep primary_assembly:Lsat_Salinas_v7:6:142499614:142500439:-1 gene:gene-LSAT_6X85021 transcript:rna-gnl|WGS:NBSK|LSAT_6X85021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SPIRAL1-like 5 [Source:Projected from Arabidopsis thaliana (AT4G23496) UniProtKB/Swiss-Prot;Acc:Q8LGD1] MNKRSSSGGGQSSLGYLFGSDDLGKQQYDQSKVSPPPVCMPPYGTDDTDQKSPEKALTPITKKGDSTSPKKYIYHGDGNKSKEFLVTGRPSTKVNSVPGGDSSLGYLFGDK >cds-PLY65604.1 pep primary_assembly:Lsat_Salinas_v7:8:40318963:40319559:-1 gene:gene-LSAT_8X31381 transcript:rna-gnl|WGS:NBSK|LSAT_8X31381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPRNSDGHGADAGDWTEIRGRRQSRNQTKVVTSYYVTNIPVGTSRFTLKDVFKPFGKIVDVYIPGRKDKGGTYFGFVKFEGVKNSVELERSMQKVKCGHCILKVNISKYGKQDKAWSAQPRERCGRYGAHSHPTPFKTRQFVSGANQTDRSYAEVVGSKLRTDTQAPINVTLKHVPTMAAWNDCALVGEVTNLHLLT >cds-PLY80788.1 pep primary_assembly:Lsat_Salinas_v7:1:70317602:70319306:-1 gene:gene-LSAT_1X58821 transcript:rna-gnl|WGS:NBSK|LSAT_1X58821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIKLVYTYFYVVCIAIVTQLDDLWWIWVIKKWYNEIKKKEEAGGGSGGGSDVQAEHCVKVKGKGYGVSTRKLML >cds-PLY81339.1 pep primary_assembly:Lsat_Salinas_v7:4:37111893:37112513:1 gene:gene-LSAT_4X24580 transcript:rna-gnl|WGS:NBSK|LSAT_4X24580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPIVCSFEVTSLGVDGFEVRKREGSDGANQSTRSRPSGVYGYVNNKERNEGLEMGRSAPVSFLLHLFFIFEIGSKGSVLCVLLHTETTSLLGPFYSSMAARKSKERGVFGVCSSHQKKGKIAGTKRAPTFSFVLRPRFMLQRKGFEIEIEGMKMRGERVGSEVNTNPYFFLCWMISMDELGWMFGVYVLQRNPWVFVLVFLTGFE >cds-PLY67688.1 pep primary_assembly:Lsat_Salinas_v7:4:3135420:3135686:-1 gene:gene-LSAT_4X981 transcript:rna-gnl|WGS:NBSK|LSAT_4X981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFSCLLAFRYQLQESGGGNGGGRDVNRLGGRRRTKQQRLLLTAAVKELLQRPLDCSYSDLESGGGNGGGRDVNRLGEGEEQSNKGSC >cds-PLY65726.1 pep primary_assembly:Lsat_Salinas_v7:5:276097619:276099258:1 gene:gene-LSAT_5X146540 transcript:rna-gnl|WGS:NBSK|LSAT_5X146540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEKYFLFLVSFIFIIKTTANASSFSVGGGVGVGVGIGGGGGGGGWVGGGVNYPNPSVRPSSNLKNAYIALQAWKTAIKSDPKGLLRSWVGANVCAYNGVFCADPTEETGDPSGPVVAGIDLNHGNLEGILVKELSLLTDMSLLHLNSNRFTGTIPQSFKDLYALTELDLSNNQFTGPFPATTLQIPNLLYLDLRFNSFSGPIPQDLFSRNLDAIFLNNNQFEGEIPQNLGSSPASVINLANNKLTGDIPVNFGYSSPKLKEILFMNNRLTGCIPQGIGMWSDMQVFDASFNSLMGHMPDTISCLEDIEVLNVAHNKLSGELPDLVCELRSLLKLSVAYNFFSGFSEECEKLYGRNVGFDIALNCIPGLEMQRPQPECSMIPGGGLSCLRIPSLRPLACGTLLQSLNSSAP >cds-PLY74868.1 pep primary_assembly:Lsat_Salinas_v7:8:107424731:107429084:1 gene:gene-LSAT_8X73380 transcript:rna-gnl|WGS:NBSK|LSAT_8X73380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPTSIVSLPTNTHPPPPQPLFSLIPLLFLLILVYKVIRRSHHRTQPEKLRLPPGSMGWPYIGETLKLFTENPNSFFFNREKRYGKIFKTHILGCPCVMISSPKVAKIVLVTQSHMFKPTYPPSKEKMIGPEAIFFHQGPYHSHLKKLIQSSFLPSTIKGSVSQIEDIVLGFLPTWEHNNTINTLHEMKKYAFEVAMISVFGNKSEAEMEGIKPLYQCLEKGYNSMPLNLPGTPFNKAMKARKALNEKLKRMIEKRKGSGEKGEGLLGALLSNEEDEGKKKMTMKLSESEIADNIIGVIFAAHDTTASVLTWLLKYLHDNPHVLHAVRREQEEIRCKILEANRRITWDDTRRMPFTTRVIQETLRTASILSFTYREAVEDVEMEGYLIPKGWKVXPATLQKHPLLLRVLPSTSQIRPFKIRGCTSAKHVYAVREWRPLLSRKRSR >cds-PLY79630.1 pep primary_assembly:Lsat_Salinas_v7:2:165688576:165688845:-1 gene:gene-LSAT_2X87520 transcript:rna-gnl|WGS:NBSK|LSAT_2X87520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTRARKNVVRRREESSSETSILVNNIRISVNFGACQRNHAIKPGEYVFDGCQEFMASRAEGVDSAMTCDACGCNRNFHRVEVEIDII >cds-PLY84414.1 pep primary_assembly:Lsat_Salinas_v7:9:113332857:113333069:-1 gene:gene-LSAT_9X79120 transcript:rna-gnl|WGS:NBSK|LSAT_9X79120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVPSAEKPHGVVVGFMSPPFTREFPMAITVCAKGGPVLSRQLKVLLLLMKNLLLHPVVFSEALLLDL >cds-PLY66128.1 pep primary_assembly:Lsat_Salinas_v7:7:31693235:31693931:-1 gene:gene-LSAT_7X24060 transcript:rna-gnl|WGS:NBSK|LSAT_7X24060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLKEGGVVKKGHEEGLKLANSILEEFCLPLGLLPLADVIEAGFVKSTGYMWIVQSKKVEHNFKLVKKMVSYDTEISGFVEKKKIKKLKGVKAKELMLWPPVNDITVEDPPTGKIVFKSLAGITKTFPEEAFAAGQ >cds-PLY94489.1 pep primary_assembly:Lsat_Salinas_v7:2:158360326:158360499:-1 gene:gene-LSAT_2X82561 transcript:rna-gnl|WGS:NBSK|LSAT_2X82561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKGNSASRVLQSVTCSNDNYIGHNARSCKGQRSSGPGAPSGSGGPGCSGKGKGIIG >cds-PLY88654.1 pep primary_assembly:Lsat_Salinas_v7:5:139375749:139376437:1 gene:gene-LSAT_5X60860 transcript:rna-gnl|WGS:NBSK|LSAT_5X60860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVPNRFHWDPHTFKIFLEECMTELNNGNMTDTYFKQAACQNICKRLLERTGKELDRNQMKNKWDIMRKEFKYYDRLTRLETGISTDPTKNIISASKEWWDEKIKEDKEYAKFKDKNLEVYQTYYEALFRDTVAVGDKAKVPCEIGTGSTPVDVQYVDITDEKMDTDGVSLFEDVDPFLTYDSSSMKRKGKKLTPRRDNKRKF >cds-PLY77914.1 pep primary_assembly:Lsat_Salinas_v7:1:22224405:22225610:1 gene:gene-LSAT_1X19340 transcript:rna-gnl|WGS:NBSK|LSAT_1X19340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRRKPPLSSLLLLIFSTIAIATATTAATSISQRFKEAPKFYNAVECPHTDIDYDTDTLTTYDESAVHVAMTLDVTYIRGSMAAILSVLQHSSCPQNIIFHFVTSASSDASLLRATIVTSFPYLKFKIYRFDDSFVAGLISTSIRSALDCPLNYARSYLANILPPNVRKVVYLDSDLVVVDDIAKLAATPLGYDSVLAAPEYCNANFTFYFTPSFWSNPSLSLTFANRKACYFNTGVMVIDLDRWRAGGYTTKIEEWMELQKRMRIYELGSLPPFLLVFAGKIAPVDHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWVRLDAERPCPLDALWAPYDLLKPPFSFDS >cds-PLY81204.1 pep primary_assembly:Lsat_Salinas_v7:5:339632612:339633236:-1 gene:gene-LSAT_5X190460 transcript:rna-gnl|WGS:NBSK|LSAT_5X190460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANSTTTTTLLLLLHLLLISSALILRPAAAGADEFNWLSKQRGGRCTGSIAECMAGGEFEMESESTRRILATSNHISYGALQPNNVPCSQRGSSYYNCRSGGQANPYQRGCSTITRCQR >cds-PLY84399.1 pep primary_assembly:Lsat_Salinas_v7:8:79251688:79252670:-1 gene:gene-LSAT_8X56981 transcript:rna-gnl|WGS:NBSK|LSAT_8X56981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFYSACSLAILASFLAALVVGSNAGGISIYWGQNGNEGTLSDTCSTGNYEYVNIAFLWTFGNGRTPRMDLAGHCDPYSNGCTKLSSDIKSCQAKGVKVMLSIGGGIGSYSIASKEDAQEVATYLWDNFLGGETTSIRPLGNTSLDGIDFDIESGGSQHWDDLARYLSAYSTKSKKVYLTAAPQCPYPDAWLGGALQTGLFDYVWVQFYNNPPCQYSANITNLEDGWKQWTSDIPVSKIFLGLPAASEAAGSGFIPVNDLTSKVLPAIKSSPKYGGVMLWSKYYDDQTGYSASIKKYV >cds-PLY68075.1 pep primary_assembly:Lsat_Salinas_v7:5:294527716:294532549:1 gene:gene-LSAT_5X157280 transcript:rna-gnl|WGS:NBSK|LSAT_5X157280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine-phosphatase PTP1 [Source:Projected from Arabidopsis thaliana (AT1G71860) UniProtKB/Swiss-Prot;Acc:O82656] MPRPILFGFGQSNKITCVLWWGSSVRYKSSLPDNNLSPPPSSTTGNITSFRSRSRKSVMAASAGTKPLTSSSSSSATAAPPPDSFDMSSGFPPKLSLKPDQLRYCVQALKIFKEKRTDQCSVIEKEFSILQDHRMRSSEVNNSCSVAKLKVNSRKNRYSDVVPFDANRVVLDPCKDHRSSTMGYINASLITAEANPSESVSRFIATQGPLPETFEDFWEMVLQNHCPAIIMLTRLVDHHRIQKCGDYFQAENGPRLFGNICTNTRRITTTDSSLVLRHMEVNYEESEGPPLPVLHIQYPEWPDHGVPDDTSAVRDIFRRLRNLPSSKGPIVVHCSAGIGRTGTYCAIHNTIQRILIGDMSALDLVKTIATFRSQRMGMVQTLDQYFFCYEAIVDELEDLISDSNIQSRSKC >cds-PLY66025.1 pep primary_assembly:Lsat_Salinas_v7:1:123806173:123806641:1 gene:gene-LSAT_1X94900 transcript:rna-gnl|WGS:NBSK|LSAT_1X94900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFESRLRRYEPVKVNLCLIRITKVKYVVNNQTIHSIPSFEVYYFKNKFHNLQVN >cds-PLY88180.1 pep primary_assembly:Lsat_Salinas_v7:5:221126414:221131827:1 gene:gene-LSAT_5X102121 transcript:rna-gnl|WGS:NBSK|LSAT_5X102121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETVATFTFSVGSMVEEVLQQQGLKLVEANVPSKPNSKIGVVAAKDLPAEPSENAFRMGLRSGIIFCKFINKIEPGAISKIVEAPFDSVIIPDGEPLSTSPYFENITNFLSAIEERQLPSFEASDLEQGGRLLRVVNCVLALKAYGDWKKAGANGKFRWGYRKPSSERKEMVHKSLDMDKCDDLWKEEVKDKVVEIVLSKLTQELERKVETHKEQIAANPPKEVDPNKALRRAKSRDAKSLDRRKSKKEEFIKMLNKEKDENAIPETRRKEEKKSFSSIINEMKKKATEDAVKSPMEIERRTPASTFAKKWGEVANEKANTDTKKLGSDINNEMDKKSTTDAMKNDPMEKKATPDVKKSTVDVSVKMEEKPTTVAIPKTDSVPSKNIISYELEEEEEEESEEEEESEEEEDSPESGSEKGEEVEEEEEEEEKEEVEEEEEADEEEEAMEAEHDAMQKEMESELLEMQNTDTSDMSDAMKKEMELKIIEKKKEMERKEMEMKKEMERLEMEKKKEREAKELQKKKEKEARELQKKKEREEQELQRKKEREQKELERKKEREQKEAERLKGKEQKEEEKRKEREQREMEKKKEMEKMEMEKKAKREMRENERKKEMERKMEIEKKKEMERKKEMERRAIEDAKDKKYYDWVNSECDRYALPNRDFDMMIQALKNLFSTAKADLETLRTNYQKEFNALGNQMRNLAQAASGYKKVVEENRRLYNEVQDLKGNIRVYCRSRPSGGKAGCVDCIDEGTMEVITGVKNGKEIRKPFTFNRVFGPGATQALVYKDTQPLIRSVLDGYNVCIFAYGQTGSGKTWTMTGPDVFTEETMGVNYRALNDLFGIREERKGMISYTVSVQMLEIYNEMIRDLLLTDGVSKKYPFPYHDIRLGAADGINVPDANLVPVTTTEDVIRLMNLGHNNRAVGSTQMNARSSRSHSCLTVHVAGKDLTTGTTVRGCMHLVDLAGSERADKTEATGDRLKEATFINKSLSALGDVIASLAQRSAHVPYRNSKLTLLLQDALGGQAKTLMFIHVRGDPDTVGETISTLKFAERVSSVELGAAKSNKDIIELKELKEHVAFLKSQLEKAGGELPDMEAAAAPAKKAAASSPAAKTAAKPAPKKK >cds-PLY68504.1 pep primary_assembly:Lsat_Salinas_v7:2:213087624:213088489:1 gene:gene-LSAT_2X133440 transcript:rna-gnl|WGS:NBSK|LSAT_2X133440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPTNPYGHVNEDLSTVAIDARRKRRRRCLMSVAIVAGFVAALIILFSVTAGRFRTPKFRVRSATFLTFNVTNSTANPSFQIEMNTEFGIKNTNFRRFWYRSTTVDFYYREQKVGDGFVWNERVKVRDTRKFTVPVSLSSMNVTSSSELRSDLNAGVLPLRSRSRLTGKFKILVVFRKYKHVNMDCSMDLVIATRELRNISCR >cds-PLY86884.1 pep primary_assembly:Lsat_Salinas_v7:5:263841779:263844948:1 gene:gene-LSAT_5X135600 transcript:rna-gnl|WGS:NBSK|LSAT_5X135600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLIEEKAPSSVSVAISLTSPISKNPMEFSRITLLTGTCDGSTSNLNIKIQESGSKHDDMMLQESNPDEIMSIGDASSISLPITVAFKGIDKGQIVAEVISVGKESIENAIGHTLKASMVVLADKNHRKSVRSVFELEYVPFYGFHSVCGKRPEMEDAVATVPQFMKIPVRMLAGDRMINGVNPNLNDLTAHFFGVYDGHGGYQVANYCRDRVHIALEEEIKVMKQELVKGTMNDTVQAQWEKIFTNCFQKVDDEVGGKTTGIMDSSDPIAPETVGSTAVVSLICSSHIIVANCGDSRAVLYRGKEAIPLSTDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDGYLKPWIIPEPEVTFTPRAKEDECLILASDGLWDVMSNEEACQVAKRRILIWHKKNGGAPFERGVGGVDPAAQAAADYLSVLALQKGSKDNISVVVVDLKPQRRLKPKP >cds-PLY83928.1 pep primary_assembly:Lsat_Salinas_v7:MU041291.1:192884:195079:-1 gene:gene-LSAT_0X19601 transcript:rna-gnl|WGS:NBSK|LSAT_0X19601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSIFKSLSVIRNPIKSFVGFIEANISILRHCSKQRLITEGQVLHGHLYKIGISSHRHVAVKLLIMYLDFRRSFEVDQLLKDYEGFDMIVHNCLISANVDWGNIDEARKLFDEMPERNEVSWTALISGYLKYGRVDESMWLFQRNPFQNVISWTAAISGVLSNGMHSKSIELFLEMLRSGVNPNNVTFVSIVRASTEMGNFSLGMTLLSLAIKLGYEDDVSVCNSLITFSLRLGKMEMARGVFERMGKRDVISWTAILDMYVQMGNLEEARKVFDEMPERNEVSWSAMISRYTQKGYAKEAIKLFRQMIENDIAPNSSCLSSAINALANLKALHSGKNIHAHVMKLGMSNDVFVSSSLVDLYGSCGNTKDGRLVFDNIKNKNAVCWNSMISAYTSNGNLEEAKKLFDQITMKNIGSWNSMVSGFLGNEEYDKVLEVFNDMLLLGQTPDVSTISSVLCACANLTSLHKGKNLHGKALKLGFQHDVFVNTALVDMYAKSGDIENSKRVFNKMHEKNEVSWTAMIQGLAENGFGEESLELFEEMEKMSSIKPNELVLLSILFACSHCGLVNKGLYYFNSMEKLYMIKPNERHYTCVVDMLSRSGRVKEAEDLIMSMPCEAEVSAWGALLSGCKTYGEDEIAERVGLQIQEMVEKKSCGYVLLSNVYASSGRWSEVMKTRNLMKERGLKKSGGCSWIEVRNELHLFYSQDGSHMDLNGIYVILELLKFDMLILEH >cds-PLY64978.1 pep primary_assembly:Lsat_Salinas_v7:8:161345689:161347083:-1 gene:gene-LSAT_8X106980 transcript:rna-gnl|WGS:NBSK|LSAT_8X106980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDGWTEVQHRKKPGIHRNDSDITSYFVSNIPNGVTKEEFRRIFKTFGTLPDIYFGGRKGKNGKNFGFIRFENVTNKKLLESKLNGTTCRNYKPEINIARHERAEKLQSSIGVRRWSRTHVPIPVKVRGGFMGEKSYAEALSGGDKVKIPPKNLPPILAPVRLVTNEMMVRWLKGSTLIGEVKSLDHLGHLSALLSIHCDMDVKVKYAGGMKAILEFGSSVNAKDFLLNEKNWKDIFKSIKPGGENDYKFDRIANIRLVGLPISLWSEPNFSTIGEKFGKIIVPLDHTGDRMDLSVVKIGILTEEKKKINDVIKVVVGEEMFDIGVVEYKDEPWFPFKFDDVDQSYQSEPEDNFDGDTDVVESDKDDGMQGSNEDEDGISETWMDDIEDGEIVGVANLKSNGIRRCGIVGDEVSTDGPDEAAVPLQAPTAVLESEKAYTHSNEAKTIPIPTDIGHIDDNEAR >cds-PLY62030.1 pep primary_assembly:Lsat_Salinas_v7:5:130017676:130024495:1 gene:gene-LSAT_5X55340 transcript:rna-gnl|WGS:NBSK|LSAT_5X55340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKFDSARGRGGHWRVREVVDGGGMLSIPEGVVKNTRERTEEFDGDENLSDEDKEEFDVNKVSVVEKMEDLEKDLVVKIDEGVFKFPQKPILIAAFGQGNDDEEITEVNHDEDYGNDILNDHENVEDNAKENVVVLRGMEIFHMRTILVKMMLKKRGEDDVNDNLTDFEKNKFDDGTVNLGEDDHDKEVIYDHTVDKVIIEKKKEDELIHPSLFNGFAEVLFEISKAKNDGEGVVEREGDEVDLALGKAI >cds-PLY69250.1 pep primary_assembly:Lsat_Salinas_v7:2:129083390:129085469:-1 gene:gene-LSAT_2X60460 transcript:rna-gnl|WGS:NBSK|LSAT_2X60460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGLIYHIFTSSTLLSMGLYHLICTTRNHLRSPRDYFTKPYHQFTWNSQSQSQHFTRYLQIYLLILCLLISFIHQTTVSFDSDPLVKGRSPVHHFTSLQSAGVIFLFLILSLTLLISETTSLLPFPPDLFFGTASALFFLQYSTSSSSASLQMSDLEAKCDSVSAMISAISAVLCIILACHPRLFVADVALGASICLQGLWSLQTGLSLYVDAFIPDGCHKLLDVVSGVEGSTKCDLEDSKLRATAILDLVFVVYVLFVVLILIVTYAVTAKVVGVRRFGSYEAIPTSSGSSVADPNHIQMKALTGTQA >cds-PLY63106.1 pep primary_assembly:Lsat_Salinas_v7:8:72727785:72729059:-1 gene:gene-LSAT_8X51501 transcript:rna-gnl|WGS:NBSK|LSAT_8X51501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPAFSSQSWNHDVFLSFRGEDTRKTFVDHLYTALVQKGIYTYKDDETLPRGELINPSLMKAIEESQIGVIVFSENYADSSWCLDELAHIMKCKDTRGQIVIPIFYGVDPSEVRKQKQKYGEAFVKHELENKTKVESWRKALVDASNISGWEPKHIANGHESEVIIEIVEKISQRLQLVSPSTNTNLIGIASRVQSLKLKLQIGSGGVRMIGIWGVGGGGKTTLASSVYEEISRKFDGCCFLENIWEKSSKKGLEELQQKILYGVLREKIVQVERVEEGKHIVERC >cds-PLY68284.1 pep primary_assembly:Lsat_Salinas_v7:1:26904913:26914170:1 gene:gene-LSAT_1X24061 transcript:rna-gnl|WGS:NBSK|LSAT_1X24061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHPKAASLRTIPLPFPDLCARLFDGNSATGNFRSASTQSSSVAGASSCRLPPLQITATPFHAIDDDGDDTSHHEPPPFAASPSVASPSAASPSGNPNKRAKPSTPIPPSASPSAASPSGNPNKRAKPSTPIPPSASPSASSPDGTSITGDDLALEMKKALQSLTKGYTIPQCLEKFLIRRKVRNPPPSPPSLPIIGHLHLITKGPVHRVLEQLSSRYGPVMALRFGNRPVLVVTSPSAVEECFTRNDIVLANRPLLLSGKYLDYDHTTLGAVPYGRLWRDLRRITTLELFSTTRLKAYMGVRHDEARSLVMSLFQDSGTKDFARVEMRSRIQAVSFNIVMRVVADKRFYGTEVADNKEAEKFRDIIRDVFEISGASNPADFIPLLEWIDIQGLNKKLSMLQKETDTFSQSLIEERRSKRRNSSSEEGKPKTFIDAMLSLQESEPEYYTDDIIKGNILTLLLAGTDTSSGTIEWAMSLLLNHPNELEKARAEIDEHIGHERLVEETDFPNLPYIQCIVNESLRLFPVAPLLVPHEPSEDCTIGGFDVSGGTMVLVNAWGIHRDPKVWEDPLSFKPERFEGMGNANEGYRFIPFGMGRRQCPGSGLANRVVSLALASLIQCFEWKRVGEELVGLSEGKGLTMPKQEPLEAMCRGRQRMSLVLSQL >cds-PLY89033.1 pep primary_assembly:Lsat_Salinas_v7:6:98752720:98757127:-1 gene:gene-LSAT_6X64501 transcript:rna-gnl|WGS:NBSK|LSAT_6X64501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSTQLPSEPTANFRDPQRAKRMHSMEVVAWLLRMEMPIYGKLYILLLCNCIICFSTETIYIFASSKTFYFESKKQIPKPAATNLSILTRVLNSNFEPFVVEAMILTANSFWVPLPPHLALNAGCKSRSQVLVRSISGEDPVVLSESFRVDKLASVDERTRLSYEVVGKKRREDVSEKLEVLWDDGFGSESGKDFFKLATDIIKPDGGPPRWFCPISCGTPLKDSPVLLYLPGLDGTGLGLILHEKALGKVFEFRALHIPVQDRTPLEDLIKFVEESVRLEHASSPKKPIYLVGDSFGGCLALAVAAHNPTIDLVIILVNPATSFEKSRLPTFLFLLEALPNLFYGALSSIFLSTIRGLVDILPKDALIWRLKLLKSAASYANSHLHSITAEVLVLASYKDKLLPSEDEALRLTQLLKNCSLHFLKGKGHMILLENDRNLLTIIKGSSKYRRTSYHDEVKDHLPPSMSEYKKETKGHWLYHLATSPVMLSTMEDEKIVTGLNGIPKEGPVLFVGNHMLMGLDLFILLLQFLKEKKIILRGLGHPEGLKLDIQSGIPYISIILRVFGMLPVTPINFFKLFSTKSYVLLYPGGAREALHRKGEVHKLFWPEQQEFVRMAAKFGATIVPFGCVGEDDVSEMILDYNDWKKNAAVSDYLEENNEKLLLLRQDKEGEVANQQLHLPLVIPKIPGRFYYLFGKPIKTKGLEKILNDKENANALYLQIKNEVEKNIAYLIKKREEDPYRGFVKRIVYQAKTQTPYDQVPTFEP >cds-PLY89540.1 pep primary_assembly:Lsat_Salinas_v7:4:158385049:158385774:-1 gene:gene-LSAT_4X95321 transcript:rna-gnl|WGS:NBSK|LSAT_4X95321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAAASSSTSRSSSLTVGEKVCAALIPCAVVLEILIYGFASCFDDRRSSPVKPGHTFDDVTRLARNSPFSVNEVEALRELFNRLSNSIITDGLIHKVVIEFT >cds-PLY70022.1 pep primary_assembly:Lsat_Salinas_v7:8:145482838:145484030:1 gene:gene-LSAT_8X98801 transcript:rna-gnl|WGS:NBSK|LSAT_8X98801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRSSFPLLKQGVASATASGRCNPASFGPTITAFVESNNGKMCGCLFSSSVGGGDGVGSGGNGGNRASIEEANKLMKLVDVEALKSKLGAGGNEVVRYSELLQACESLGLAKSAEEAKMLAKVYDDAGVIFIFRDKAYLHPHKVMDMVRKAVPLALLPENDPSKEELKTLQAKHEEIDVLAHKQVRRILWAGLGVSLAHISLFFRLTFWEFSWDVMEPITFFCGSSGLIIGYAYFLVTSRDPTYQDFMKRLFLSRQRKLIKKHNFDVQRFMELQNKCKSTSFSSASGCSGGAGKSGSLA >cds-PLY81419.1 pep primary_assembly:Lsat_Salinas_v7:3:217916664:217919483:1 gene:gene-LSAT_3X126900 transcript:rna-gnl|WGS:NBSK|LSAT_3X126900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEVLIEVEAAESVYGDDCVVLEQYPPHLHLLIKPRTADVSSQQFVEAVIGIKASSKYPNEPPEIMIIESKGLDEQRQKHLITSIHEKACELSSNSMLVALCEEAVEKLTSMNHPDGDCPLCLSPLVVNEESASNISLPFMKLMSCFHCFHCECIIRWWNWLQMHQEVDHHANSSTHAHQIKTQPEMQKNMEDRMGICPVCRKVFHSKDIEHVLNLVGTYSQLNLDDTEDTKNDTILNSDSENTRREKFEATMKLQQENNGLIEPKKTEVLMPGMFLPRPTTTTTTTTTTAAGEQAVKSETDNGVPANKPSGSHRRSSGPRSKYRGRNSRTQVNNNRQWVVKDNGNV >cds-PLY67574.1 pep primary_assembly:Lsat_Salinas_v7:3:54977761:54978887:1 gene:gene-LSAT_3X42561 transcript:rna-gnl|WGS:NBSK|LSAT_3X42561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSISSTNRTSLACLVLLFFSATLLSHTASRLLPDISSYESHEQWMARYGRVYKDADEKERRSKIFQENVKYIHSSNNGMNKGYKLAVNEFADLTNQEFTSTRNRFKAHECSASTSAFRYENVTAVPSSMDWRKKGAVTPIKDQGQCGSCWAFSAVGAMEGITQLTTGKLISLSEQELVDCDTSGVDQGCEGGLMDDAFEFIVKNKGLTTETNYPYKAVDGTCNSNEASNHAATITGHEDVPANSESALLKAVASQPISVAIDASGSDFQFYSSGVFTGECGTELDHGVTAVGYGTTGDGTKYWLVKNSWGTSWGEEGYIMMQRDVDAKEGICGIAMQASYPTA >cds-PLY99893.1 pep primary_assembly:Lsat_Salinas_v7:7:191774350:191778559:1 gene:gene-LSAT_7X113440 transcript:rna-gnl|WGS:NBSK|LSAT_7X113440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVNLNLDTQVVPITLFVAVLCLCLVIGHLLDENRWVNESITAIIIGCVVGTIILIISNWKSSHFLRFDEQLFFIYLLPPIIFNAGFQVKKKKFFQNFLPIMLFGVTGVFISTSIVAAGCWWVFPKFGLKGLTISEYLSVGTIFSSTDTVCTLQVLHQDETPLLYSLVFGEGVVNDATSVVLFNAVQKINADTLGGKAALRILLDFFYLFSTSTILGVTAGLLTSYILRGLGFGRHSSVREIALMILIAYLSYMLAELFELSGILTVFFAGVLMSHYAWHNVTESSRITTKHTFAAMSFIAETFIFLYVGMDALDYEQWKMSILSFGASIGMYSSVMLLILVGRAAFVFPLSIISNYMNRVGDGSSKITAKHQVVIWWAGLMRGAVSVALAFKQFTLSGVTLDPIDATMITTTIVVVLFSTIVFGFLTKPLISYLLPPNTSNIDRTRSLKEDMTLPLLSFEESASENLSRAKENISMLIERPVYTIHSYWRRFDDTYMRPIFGGPPRNTDLP >cds-PLY79400.1 pep primary_assembly:Lsat_Salinas_v7:3:78482321:78485821:-1 gene:gene-LSAT_3X58681 transcript:rna-gnl|WGS:NBSK|LSAT_3X58681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMSSSNFLFFSLAVLITVSSIPNFASASLKEVKALLKWKESLEIPNNSLLSSWIPLPMNSSASVPCTSWFGIVCNADGSIKNLNLSSSGLKGTLHQFPFSLLHSLTHFDLGMNNFFGPIPPEIRHLSKLVYLDFSVNKFSGVIPPEIGNLYQLTILYLYSNNISGSIPSSLGDLTSLNVLYLHQNQLSGPIPIELGNLSSLTELAMYKNQLNGSIPSSLGDLTHLSVLYLYKNQLSGPIPIELGNLKSLTDLEVTDNQLSGSIPSSFGDLTSLNVLYLQNNQLTGQIPIELGNLKSLTFLVVYNNQLNGSIPSSLGNLTFLNVLSLYKNQLSGPIPIELGNLKSLTQLPLNDNQLSGSIPSSLGDLTYLDILLLQNNHLSGHIPIELGNLKSLTYLVINNNQLSGSIPSSLSNLTSLNVLYLYKNQLSGPIPTEFGNLKSLNDLGLSSNQLSGPIPIELTYLKSLTNLEVGLNQLNGSIPSSLANLSNLQWLALQDNKFSGPIPSELGKLKFLTHLSLSRNQLRGSIPSSFGDLTSLNFLYMYHNQLAGPIPTQIVNLKSLTDLKVNGNQISGSIPLEFGNLTQLQRLDLSSNHLIGEIPKEFGKMKSMLNLCLADNQLKGIIPQELEFYELLEELDLSRNRLNGSIPKSIGKWVHIHYLNLSNNQLSEKIPSEIGKLVHLTELDLSQNLLTDEIPSEVQSLQSLQKLNLFHNRLSGSIPIAFTSLPSGIAIDLSNNELTGPVPLSSNFENASLQGNPGLCGNFTGLKLCPTQILKKKNNPFHHRLILVIMLPLIGAILLGFFMCGFIAYRKQKKHSPRKPLEKEGGDYFSITSFDGGVVYDDILKATNDFDEAYSIGTGGYGAVYKAEIQPNNVVAVKKLHSSSENVDHNGFLNEIQALTNIRHQNIVKLYGYCSHARHSFLIYEYLEKGSLGSILRSDVLVQELDWLKRINIVKAIANGLAYMHHDCSPPIIHRDISIANILLDSDYEAHISDFGTSKLLKLDSSNWTAIAGTYGYIAPELAYTMVVTEKCDVYSFGIVALEVIMGKHPGELPTLSAGYLVLSNVGDSRIPLPSPQVEKRVNLVLNLSRACLNSNPQERPTMRQVSNLLMKD >cds-PLY63692.1 pep primary_assembly:Lsat_Salinas_v7:9:85546984:85549405:-1 gene:gene-LSAT_9X66680 transcript:rna-gnl|WGS:NBSK|LSAT_9X66680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRIMADNMKDTTAFYDRRREAAANAAPSPTLGELLKYVGDIRKEGSGDETPAHRVLEMSETSNEPRSLPFVLSFNNLTYSVKVRSKIALPAVFGGSTTRLGGGGAPATSMEAVGGERLFSRSKVLLNDISGQARDGEILAVLGASGSGKSTLIDALANRIAKGSLKGTVTLNGEQLESRLLKVISAYVMQDDLLFPMLTVEETLMFAAEFRLPRTLSKSKKKLRVQALIDQLGLRNAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPIILLLDEPTSGLDSTSAYMVVKVLQRIAQSGSIVIMSIHQPSYRILGLLDRLLFLSRGQTVYSGSPTNLPLYFSDFGHPIPDKENRTEFALDLIRELEGSPGGTKSLVEFNKSWQNLKRSRNSISTGNETPTHGLSLKEAIGASISRGKLVSGAGASNDINPNSLVPTFANPMWMELMVLSKRSFTNSRRMPELFGIRLGAVVVTGFILATMYWNLDNSPKGVQERLGFFAFAMSTTFYTCADALPVFLQERYIFLRETAYNAYRRSSYVLSHSLVAIPALVFLSFAFSAITFWAVGLDGGFSGFVFYFFIILASFWAGSSFVTFLSGVVPHVMLGYTIVVAILAYFLLFSGFFINRDRIPDYWIWFHYISLVKYPYEAVLQNEFQNPIKCFVRGTQIFDNSPLGEIDNSMKVRLLQSMSKSLGVNITSTTCLTTGADILKQQGITDLSKWNCLWVTVAWGFFFRILFYFCLLLGSKNKRR >cds-PLY92628.1 pep primary_assembly:Lsat_Salinas_v7:2:161525660:161527318:-1 gene:gene-LSAT_2X85661 transcript:rna-gnl|WGS:NBSK|LSAT_2X85661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFNKELLSWYLITLKLKETVDAGIPTTSRSINFSGEENQQSYEPLQIDINGGSETIKEGPKSPESEWMITVRERLEVAQKDDVAGTWGKLCIYKVPQYLRDGEDKAYIPNIVSLGPYHHGKKRLRNMDRHKWRAFHQILKRGNQDAKLYIDSIKELEERARACYEGQITISSNEFVEMMVLDGCFALELFRGAAEGFSELGYSRCDPVFAMRGSMHSIQRDMIMLENQIPLFILDRLLGLQFGQPDQKGLVAKLALRFFDPLMPTDEPLTKSHRSKMKSSRGYDVSSAFDPLSDQSYLHCLHVFRQSLLSRGPQMEPRRWIKRWSHSNRVADKRRTQLIHCVTELKEAGMKFKKRKTDRFWDIKFENGVLKIPRLLIHDGTKSLFLNLIAFEQSHLDCGNEITSYVIFMDNLINSPEDVGYLHYSGIFEHWLGSDADVADLFNRLCQEVVFDINNSYLSELSEKVNQFYNHRWNSWRATLIHNYFSNPWAIISFFAAVILLILTATQTVYGVYGYYRPPAS >cds-PLY93102.1 pep primary_assembly:Lsat_Salinas_v7:9:119352360:119352782:-1 gene:gene-LSAT_9X80080 transcript:rna-gnl|WGS:NBSK|LSAT_9X80080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSRSSFNGGTRMRNKRVIRCDCGDVCGVSISRTPDNPGRKFWGCPNYQVEGGNCGFFKWADEELCQNMEMCHTEEIKPLLELIIGLLVVISLMLAIVVIKM >cds-PLY85527.1 pep primary_assembly:Lsat_Salinas_v7:2:195245349:195246578:1 gene:gene-LSAT_2X115780 transcript:rna-gnl|WGS:NBSK|LSAT_2X115780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSSSSKVSLKLLIDTKTKKVLFAEANKEFVDFLFYILSLPVGTVIRLLSKNSMVGSLGKLYGSIESLSDTYIQPHQSKDSVLNPKTVNYGANVPLLLTDDDIQMDQRFYKCQYCNSDHVTNDPTTLCPRCKNRKMNYAIDYVRGKGAEKETEEGGGFVKGVVTYMVMDDLEVKPMSTISSITMLNKFNVTEVGGLQEKVVSLEMKEGLMLLKASLQCKNVLTSVFLS >cds-PLY92288.1 pep primary_assembly:Lsat_Salinas_v7:2:208851388:208851937:1 gene:gene-LSAT_2X129581 transcript:rna-gnl|WGS:NBSK|LSAT_2X129581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGPKGGKATTVFLIGTAVALWLGIGATLPIDKSLTLVSNVGWLGLLSVTYLGGQCTLGCGGAIIAQFPNLMQHWGSDQSAFYQDTFYFFW >cds-PLY90619.1 pep primary_assembly:Lsat_Salinas_v7:6:53749503:53751440:1 gene:gene-LSAT_6X40340 transcript:rna-gnl|WGS:NBSK|LSAT_6X40340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein WIP3 [Source:Projected from Arabidopsis thaliana (AT1G08290) UniProtKB/Swiss-Prot;Acc:Q9SGD1] MCFEAPPFFEWLKPSPPSTSSSSSYDTMTFSKEQDFSQETIQCLPLLSQLINQDDKDRVLTIKEEDHNIEKLTVTLHIGLPSYAEDSVVTKELFEEFKQEDEIKSDMKMVKFNNDHNSDHDQTRFWIPTPSQILVGPMQFVCSLCNKTFNRYNNMQMHMWGHGSEYRKGPESLKGAQPAAMLRLPCYCCAQGCKNNINHPRAKPLKDFRTLQTHYKRKHGVKPFTCRKYCTKKFAVKGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIRSFGKGHSPHPCLEGFDQDEKECLTGSEDEVMH >cds-PLY85929.1 pep primary_assembly:Lsat_Salinas_v7:2:189962663:189964867:1 gene:gene-LSAT_2X111501 transcript:rna-gnl|WGS:NBSK|LSAT_2X111501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRLRDLQSQPGNKICVDCSQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQLRKMESGGNEKLNNFLSEYGIPKETDIIAKYNSNGASVYRDRIQALAEGKQWRDPPVVKESPIKGGSTKKPPMPGGGGAKVGNNHGDGGWDDHWGDSNFDDDSVRSTDNMRRNQTVGDFRSGSAAGAAPARSRSTQSLYTQSQLEASAASKEDFFARRMAENESRPEGLPPNKGGKYVGFGSSPNNMPRSNSQADVFSSVTQGLGKLSVVAASAAQSAANVVQAGTKEFTTKVRDGGYDHKVNETVNVVTAKTTEIGQMTWGLMRGVVALATQKVEEFTKEGQGQGPGPSWNDTQSRDGFYQESKGWNPNSNSNSNGTSNGRNGVSKSSSGRNVNSVGGGAWDDWDNDGYRKPASETTSVSSHKGGDSWAGWDDDKDDDDGFYQKPSNGKTPVRNGGKSTDSDWSGAGFH >cds-PLY91139.1 pep primary_assembly:Lsat_Salinas_v7:6:95672681:95678254:1 gene:gene-LSAT_6X64101 transcript:rna-gnl|WGS:NBSK|LSAT_6X64101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESDTDKSIRLRDSGTIIGGDQSQGSNANALLHSSSSTVKINSLSINLGIVKGKDDPQKCSHFSIRGYVAKMREKGDNHHLPFSDGIGEEPPPIEVPRFRYWLCQICLQDYGGGSTSQEVALVSQYGKSMMQPCTTSYSSSPNCHGLPMLPFGEGTSGHKPVDERINVDECIIQEDTTQSSPDHNLPDTEEPDKIDSIDANITEYAAVVVDDSKKVDLVNGPLTSPPVQSTESDQQNQDPTGHPRRKARKVRLLTELLCGSNEKQHQRKESTNLPEVTPPPSSPLKKRKIPQAQEWKPVEGHVGKKVKVVKEDVSGKTSIVSEGEGGQKTDKYQCTKHGVQKSSKHVKATSDPVAAWRSIFSDMGKSDKLMSLPNDASRPSQDVYEYEGKRNVDQFSEKRCNASKKVMEDPMRNQLFGDDHIQRTNVGDYDYDSRARGGQSETGLGLGLSLNYEPQSRVSWLPPLPNRVPIQDHSRKDGFFFGESSIGHKGVSLDPQAKGRSVYNVRDGHTHTPRTPFLQEQQPFHTHLSHGSFSQHQNLDFSDPHNKRNNGVRGYADIMMANSHHQRHDIFSNGRSDEREIVELMAKIQYERNLSESRNYNSSNFHKVSSFNQGMPIPHHQYPTFRRPSMENSGLGPTTMRNPNPNPNPNPNPSGFFHQEPIPAFPSFDTFSHQSNGIRVSDNSYYHESRENNRIPHHHSSVPTNMQIMEAYNNGRPGNVWSNMEMHTKWSNRNKGKNVMNLDLNVMAPNVLEEQNNNNNMNTGGSLDHSYSNEAIPAMQLLSLMDAGKKSSSSSSSPFMDKKKLTPKPPFSSYNGKQNSFIIPCSGMLQSSVQRPVENTRNFITGRGASFSSFFHTDQTHGGQAQFVHKPQEKKQRGVSMTSGHNKYRPEDSYGFPLPWHASEGGLGTRNVTSGTEICTINQNPADFSTPGPENVYMIDVENLKFRGDRVYYPREGTV >cds-PLY74410.1 pep primary_assembly:Lsat_Salinas_v7:2:14025694:14028142:1 gene:gene-LSAT_2X7120 transcript:rna-gnl|WGS:NBSK|LSAT_2X7120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-crystallin domain-containing protein 22.3 [Source:Projected from Arabidopsis thaliana (AT1G54850) UniProtKB/Swiss-Prot;Acc:Q84K79] MAAPNGSHATWTNQVPIAVAPLNCVPYTGPPLDNSDVDMAQKESNQVSKNQPAMVFLPPQTTEKELNDILETTTHGVVVSGGAASGKFGPLIGSVDISESHDTFLFRVALPGVKNDQKFKCDIQEDGSITIEGATETGEKKVHVHNMVFEMHTQNLCPPGNFSVSFQLGGPVDPLTLEKELANGVLEGVVKKKPLKGL >cds-PLY80908.1 pep primary_assembly:Lsat_Salinas_v7:8:258527475:258528260:-1 gene:gene-LSAT_8X151041 transcript:rna-gnl|WGS:NBSK|LSAT_8X151041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEFSRGVKGITLNLENKNRTGSIVDVPVGKAVLGRVVDALGVPIGGIEGLSDHERRRVEVKAPVIIERKYVHEPVNTRLNVVDSLVPVGHGQRELIIRDRQIRKTAIAIDTILNQKQMNSRGGYDSKTLYCVYVAIGQKRSTMTQLVQILSEANAMEYSILVAVTASDFAPLQFLAPYFGCAMGEYFCDNGMHALIIYDDLSKQAVTYRQMSLLLRRPPGLEAFPRDVLYLHYRLLKRATK >cds-PLY70908.1 pep primary_assembly:Lsat_Salinas_v7:9:15996015:15997882:1 gene:gene-LSAT_9X17380 transcript:rna-gnl|WGS:NBSK|LSAT_9X17380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLSLTSAMKGCSVLLLLIAATLVSAKKSGDVTELQIGVKFKPASCEIQAHKGDRVKVHYRGKLTDGTVFDSSFERGDPIEFELGTGQVIKGWDQGLLGMCVGEKRKLKIPSKLGYGDQGSPPTIPGGATLIFDTELIAVNDKGSDGGDTNNNSEL >cds-PLY91548.1 pep primary_assembly:Lsat_Salinas_v7:1:10677391:10681759:-1 gene:gene-LSAT_1X9021 transcript:rna-gnl|WGS:NBSK|LSAT_1X9021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQGKYSRVDGKKSSCSTATIVVVVGVCLVAVWMFMSSSAAPGQTPDLPAATETKQKVTANPSSQFEDNSGDLPDDAVKDEGNQETTQNGGDSNSEESNTNEVETNTNTGDESSSNDEKSNSEDGNANSDSNEGEKSNSSDTDSNESESSNSNNTDSNSDSNETGKTDSEDEKVKPDEKVEKEEEKQTEESSGENKTEDFSAATQSEILKETNTQNGAFSTQAAESASEKESQSPSSSKNYKWKTCNVTAGPDYIPCLDNLEAIRHLHGRSHYEHRERHCPDESPTCLVPLPEGYKTSIKWPRSREQIWYSNVPHTKLAAVKGHQNWVKVTGEYLTFPGGGTQFKNGALHYIDFIQNSLPDIAWGKRTRVILDVGCGVASFGGYLFERDVVAMSFAPKDEHEAQVQFALERGIPAISAVMGTIRLPFPSKVFDVVHCARCRVPWHIEGGKLLLELNRMLRPGGYFVWSATPVYQNKPEDVEIWEAMSKLTKAMCWELVKVNNDKLNEVGAAIYRKPTTNECYESRQQNDPPLCESKDDPDAIWNVQLQACIHKVPVDSSVRGSEWPKTWPQRLESPPYWLKSSEVGVYGKSAPEDFTADYENWKRVVSKSYLNGLGIDWSSVRNVMDMRAIYGGFAAALRDLKVWVMNVVPVDSPDTLPIIYERGLFGIYHNWCESFSTYPRTYDLLHADHLFSDVKKRCKLQSLMAEVDRILRPEGKLIVRDTVETIAEVESMAKSMQWKVRMTYNKDNEGMLCVEKTLWRPTEIETVAYAIE >cds-PLY91643.1 pep primary_assembly:Lsat_Salinas_v7:8:12228011:12233547:1 gene:gene-LSAT_8X9241 transcript:rna-gnl|WGS:NBSK|LSAT_8X9241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIPPPSSESEQTAYNSIDHVYRNLHQNCQTSHSIVKLRKIPPIPIRQSQSMAAMAENNEGECSDDGDGDGDGDGDSKDDVNYDRQLEEDYILHASSLGLNHIRTCSVPSPLRVVSPSATPSKLGISNKDKTSTPPPREVHTKQFPRITDPGKKVSWSQSKSLRVLPPAIGGLEGNASLSKELQSPRFQAILRATSGRKKRVADIKSFSHELSAKGVRPLPFWKSRGFGRVEEILVMLRGKFDKLKEEVNADLGIFAGDLVGILEETSVSHPEWQENLEDLLIIARKCAKMTSNEFWLKCESIVQILDDRRQDLSTGILKQVHTRLLFILTRCTRLVQFHKECGYEEGHHILGLHQLSDLGVYQKVIESTYQNFSSSLEEPEVTETQMTKNAHEENEEQIKAKMILDGEIDNVEVDTAKSVASSASSYRMSSWKKFPSIKNLKDLDEIDTPTKEASDQLEPKDDHVLNIEIPICPPENLETPSNLTRVSSGVSVSQHHAAYESSLICRICEVEIPTVHVEEHSRICTIADRCDLKGLTVNERLCRVSETLERIIDSCTPKSADIAVGCPDVGRVSLSSTPEDSDESSPKTHRLSCRCSEEILECVHGVDAPYVMDNLQSLPDMNSETRGNLTPVTSSCYKASSGGSLTPRSPLLTPRASQIEVFLSERKTSEHENWQQINKLLEISRSVASINTNDYSALEIMLDRLTDLKYAIQDRKVDALVVETFGRRIEKLLQEKYVVLCGQIEDDKIESSSNLANEDSSVEDETARSSLRASPVNTFSKDRTSIEDFEIIKPISRGAFGRVFLAKKRATGDVFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCKENLYLVMEFLNGGDLFSLLRTLGCLEEDMARVYIAELVLALEYLHSLNIIHRDLKPDNLLIGPDGHIKLSDFGLSKVGLINSTEDLSGGVSENRTSIYGENDVAATPGRERQKQSVVGTPDYLAPEILLGVGHGATADWWSVGVILFELLVGIPPFNAESPQQVFANIMNRDIPWPKIPEEMSYEAYDLINKLLTENPAQRLGAIGAVQVKTHHFFKNIHWDTLARQKATFIPSAETLDTSYFMSRYIWNPEDEHVDGGSDFDDMSDTGSTCGDSSFGNMLEEEQGDECGNLAEFGASTLNVNYSFSNFSFKNLSQLASINYDMLVKSAQDSIDSSNKPAVP >cds-PLY69548.1 pep primary_assembly:Lsat_Salinas_v7:8:82632937:82636613:-1 gene:gene-LSAT_8X58941 transcript:rna-gnl|WGS:NBSK|LSAT_8X58941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSYIQAFGDPFVDFVYTNRKIRQTALFSATQTKKVEDLARLSFQTTPVYIDVDDGRTRSRLGKGGMTAKVKVAVYSSQVGIPVDTLSLYRTVKRLGILDERIILMLADDMACNSRNKYPEQIIEVESGPGPYEIEYDEEWLAITRRKVSGGQQSMVDESE >cds-PLY75843.1 pep primary_assembly:Lsat_Salinas_v7:9:195758056:195766467:1 gene:gene-LSAT_9X119861 transcript:rna-gnl|WGS:NBSK|LSAT_9X119861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLILIFILVSCIFFDLLSPTYGYDSLDPNGNITIKWDLRQQNDGTQDILVSIYNYQLYRHIEPPGWKLSWKWSGKEVIWDMRGAEATEQGNCSQYLGKPQLPHSCEREPVIVDLLPGTPYNMQVQSCCKGGVLSSFTQDPANSMAAFQMNVGSIETMPYDFKIGLPGYTCGNATKVPPTKFVIDHGRRQTQALGTWNITCTYSPFLASSAPKCCVSLSSFYSKTMVPCPICSCACQGEPGSNCVRHGQLHTVSRLPQNEQAPPMVQCSTHMCPIRVHWHVKLSYKEYWRVKITINNLNVVKNYSQWNLVVLHPNLQSLAQVFSFYYKPLNQYGSINDSGVFYGIEHYNDMLLQSGDGGNVQTEMLLHKDPGIFTFKGGWVFPRKNEGPICKFWEWLEPETPDNEVSSGKDKKELCNLTLKICTLENQISICKMKMEQENLVVTQEFEKIKWKLFTHKVLMIALFLLFLFKK >cds-PLY76276.1 pep primary_assembly:Lsat_Salinas_v7:8:32852908:32854800:1 gene:gene-LSAT_8X26000 transcript:rna-gnl|WGS:NBSK|LSAT_8X26000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTKTAMEAFEKLEKVGEGTYGKVYRARERATGRIIALKKTKLHEDDEGVPPTTLREISILRMLSRDPHVVKLLDVKQGVNKDGKTVLYLVFEYMETDLKKFIRSFRQTGENIQPNVVKCLMYQLCKGVAFCHAHGVLHRDLKPHNLLMDKKTLILKIADLGLARAFTLPIKKYTHEILTLWYRAPEVLLGATHYSTAVDMWSVGCIFAELVTKTALFAGDSELQQLLHIFRLLGTPNEEIWPGVSKLKDWHEYPQWKPKPISTCVPNLDEDGLNLLSQMLEYEPSKRISAKKAMEHPYFDDLDKTHL >cds-PLY82889.1 pep primary_assembly:Lsat_Salinas_v7:4:17736851:17746361:1 gene:gene-LSAT_4X11801 transcript:rna-gnl|WGS:NBSK|LSAT_4X11801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTNYVASTPRIQGILILLELGHFRFGNHTIISVFYSRVKGICLEIRVHSNCLDRIKYSFTREIQGDISSKSLQTEKDRNVRPKLESTDLPGRCYCFANQPDVGLWNIALFASTLSSAHLINEKESDYALER >cds-PLY77780.1 pep primary_assembly:Lsat_Salinas_v7:2:169603611:169604863:-1 gene:gene-LSAT_2X91880 transcript:rna-gnl|WGS:NBSK|LSAT_2X91880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDASSPSFPVRYKKLSIDIKESKIDIVICSHDDHFLVIATQIGTMGTIMHARKEEGMLNNPTFNVSVIFGKRDEPMLVACARQLIEHISNSGSSKPLTLSLGLKDHSMETLKGIVSAVIENRLW >cds-PLY98261.1 pep primary_assembly:Lsat_Salinas_v7:7:170983014:170984165:-1 gene:gene-LSAT_7X100300 transcript:rna-gnl|WGS:NBSK|LSAT_7X100300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENKFHSLPPHKRFRAIHPENPINHQESNTLISSSLPAKKRKESRDPPSFLTSPDAAISASAATYCLPAKKRIYAVHPDFLPPKPSSPFDLNLEYNPILEEDIASVTVNVSKKVEEIDDIRVKKGEKEEDEDEDGILCAVCQSTDGDPSDPIVFCDGCDLMVHTTCYGNPLINGVPEGDWFCSQCQITDKNKDSSCCLCPFNGGALKPTNNGLWAHIVCALFIPEVFFHDPEGREGIDCSKVPLRRWGKKCYVCRKTHGCAIDCSEENCGLSFHVTCGLKEDLCIEYKEGRNKGAIVAGFCKRHSDLWIKQQGTGKYKIVARNED >cds-PLY87091.1 pep primary_assembly:Lsat_Salinas_v7:5:266892298:266892525:1 gene:gene-LSAT_5X138361 transcript:rna-gnl|WGS:NBSK|LSAT_5X138361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATIQRMNYDEQISPAMTGDYTIVGASPNKARVFNERGQTELGFENPKMVKVRASQRRRSSCRQWLPTLEVEIW >cds-PLY63817.1 pep primary_assembly:Lsat_Salinas_v7:4:294647106:294648716:1 gene:gene-LSAT_4X149661 transcript:rna-gnl|WGS:NBSK|LSAT_4X149661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVNFKEPRVKLGRLSPEIREQLALSMSSCPTDRRLAIIVIAIVAIRTLLAPITINQHKILGPKLEQIQQKMEDKSTSPTAIAEVEAQKKRVYIEYSDAMYTQLTRLFIQAPVFVSFFLVIDNMVEKVPSFQTGGAYWFIDLTIVDAFYILPLMVAISCWITVEFTMQEGKRGGVRKNIATGGAALTLPLTASFPNISITSQLFFLIMKIPTFSLVETL >cds-PLY79856.1 pep primary_assembly:Lsat_Salinas_v7:8:16603398:16605401:-1 gene:gene-LSAT_8X11721 transcript:rna-gnl|WGS:NBSK|LSAT_8X11721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRFQEKNFMVHLKCSNLLELAAADDVSGFVSEVEEKGIGLDEVGFWYGRSNSCKGKMGFEERTSLMIASVYGSIRVLKYLIATKKIDVNKTSGSDGLTALHCAAAGGALTSIEIVKLLLDAGADVSLTDCNGNKPGDLIARGIKSSMRKGLEMLLKGFVIVEEIVAAKKEYPVDLSLPDINNAVYGSDEFRMYTFKVKPCSRAYTHDWTECPFVHPGENARRRDPKKYNYTCVPCPEFRKGSCVNGDNCEYAHGVFESWLHPAQYKTRLCKDETGCARKVCFFAHKVDELRPLYASTGSAIPSPKSSSVSSGEMVGSMSPSQNSVSTPPMSPSIAPVSSMNGWPNKMNHLTPPVLQLSGSRLRSALNARDLELESLLTQQKQRQQMIDDLSANIYRNNQRFGELQGTNLDDVFGSFELQSPTGHQQIRQNSNQLRGNYTSSPMRKPAGFAFDSSAAVAQAVINSRSGAFSKQRSQSFIDRGTAGSGAMSPQFSQWGSPDGKLEWGFNGEDANKLKKSASFGFRNGVPMNEPAVSWANTLVKDVSSVGVGLYSSSEKQRHGGTGGGGEKLPQWVEQMIREQERLVA >cds-PLY78216.1 pep primary_assembly:Lsat_Salinas_v7:6:81153705:81158619:1 gene:gene-LSAT_6X57460 transcript:rna-gnl|WGS:NBSK|LSAT_6X57460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLLINRKLIPSKTSLLIRISKRKMKKKNLKLMKVILLGFVLLVCSLEQRARLRASSDMNELLNPDVHRAAWNSLKSVIWCDDVVGGWCACSGGGFGDRGVEGKIIAAKYARENNIPYLGICLEMQIAIIEYARSVLGLENANSTEFDPNTKNPCVIFIPEGSKTHMRCTMRLGSTRTYFQVMDSKASQLSWF >cds-PLY89716.1 pep primary_assembly:Lsat_Salinas_v7:7:42353612:42360685:1 gene:gene-LSAT_7X31081 transcript:rna-gnl|WGS:NBSK|LSAT_7X31081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPGAVSLDDVDLDQVSVDFVLNSVKKGDMLELSEAIRDYHDSTGYPHMNAGSEGEFFLATNPKLSGSPPRRAPPAVPFVAPSPIMSDITSLPFEATEEDEPVISNSKSFNSGPAQNLTVDDIDDFEDDDDLEELNSRRYSRRTMNDTADLVVGLPSFATGITDDDLRESAYEILLAAAGASGGLIVPSKEKKKEKKSKLLKKLGRSKSEQVTNQSQQSTGLTGLLETMRVQMEISEAMDIRTRQGLLNAMAGKVGKRMDALLIPLELLSCVSRTEFSDKKAYIRWQKRQLNMLEEGLVNHPVVGFGESGRKASEMRILLARIEESESFAPSVGELQRIECLRSLREIAIALAERPARGDLTGEVCHWADGYHLNVRLYEKLLSSIFDVLDEGKLTEEVEEILELLKSTWRILGITETIHHTCYAWVLFRQFVMTREHALLRHAIEQLHKVPLKEERGPQERMHLKTLFCKYESEEGSQELSFIQSFIIPIQKWADKQLTDYHLHFPEALAMMEHVVSISMLAKRLLQEEHGSHEAVTDGEKIESYVSSSIKSAFVRILQDVETMSNATDEHPLALLGEETRKLLKKDATVFMPILSQWHPQAVVVSASLLHKLYGSKLKPYISSVEQLTEDVVLVFPAADGFEEYVMDLITSSCGEGQTDVSLKKLAPYKIESISGTLVLRWVNSQLSRILGWVERAIQQEKWEPVSMQQRHGSSIVEVYRIIEETVDQFFALKIPMRSGEMNSLFRGIDNALQVYSKHVVDNLANKEDIVPPVPILTRYRSESGFKAFVKKELNDSTSRMPLPDMRKSRDINVMTTSTLCVQLNTLYYGISHLNKLEDSIWERWIRKQKQGKSNKRSTDDNLRKESFDGSRKDINAAIDRICEFTGTKIIFWDLREPFIENLYRPSVSESRLETTLIEPLDVELNQLCDIIVEPLRDRIVTSLLQASLDGLFRVLLDGGPSRLFMPSDAKYLEEDLEVLKEFFISGGDGLPRGVVENQVARVRQVIKLQGYETRELIDDIKSASATDRSKLGADTQTLLRILCHRGDSEASQFLKKQYKIPKSAA >cds-PLY76041.1 pep primary_assembly:Lsat_Salinas_v7:5:318659617:318661244:1 gene:gene-LSAT_5X175241 transcript:rna-gnl|WGS:NBSK|LSAT_5X175241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIKENILMGRYELGRLLGQGTFAKVYYARNLRTGSSVAIKVIDKEKVSRIGLINQIKREISIMRLVKHPNILQLYEVMATKTKIYFALEYAKGGELFNKVAKGRLKEHIARKYFQQLISAVDFCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKREDGLLHTTCGTPAYVAPEVINRRGYDGDKADVWSCGVILYVLLAGYLPFHDSNILEMYRKIGKSEFRFPNWFPLEARRLILRILDPNPVKRITISKIKENSWFKKGKIENNGASGSSSSSTVIKSQDSMKPSSMNAFHIISLSPGFDLTTLFEESRHKKEARFTIWRPASVIISKMEEVGKVLGMKVSKREAGLLKLEGMKEGRKGILSIDAEIFELTPSFHMVEVKKCNGDTLEYQKVLNEGLRPGLEDVIWDWQQDQQVQPEQHEVLLQQQDHDS >cds-PLY99405.1 pep primary_assembly:Lsat_Salinas_v7:4:103320429:103320906:1 gene:gene-LSAT_4X65581 transcript:rna-gnl|WGS:NBSK|LSAT_4X65581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGKKIVSIKKLAKKVKVKRNPNIEPSHHDYLLKTYEEDEGCNEPKPGFFAIYVGNHEPRRFVVPTEYLSHPLFKMLLEKAYDDEEWNNRLVVPCSVMAFQEVVNAVECSNGMFDLGHLVEELI >cds-PLY69173.1 pep primary_assembly:Lsat_Salinas_v7:5:284947361:284959140:1 gene:gene-LSAT_5X150521 transcript:rna-gnl|WGS:NBSK|LSAT_5X150521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKQTYRVCLCFRRQFKLREGGPPVDIKELFSHYSENRVMTPEHLHRFMVEVQGDDKATKEEAEAAVDAIIKELKHHPIFHRRVLNLDAFFRYLFSDLNPPLPFPPKVMNDMEAPLSHYFVYTGHNSYLTGNQISSNCSVVPIIEALKRGVRVIELDMWPNSTKDDIDIVHGGTLTSPVKLNQCLEAIKTYAFVASEYPLILTLEDHLTPLLQAKVAKMVIETFGDVLYYSGSDTITEYPSPESLKKRIIVSTKPPKEYLDKTNSMEKNDEESSVKMKTSPDDDPWGVEICNPIEKHKSLNEDQVDESFQYEEETVKQNTELEYKQLIAIQARKLKGGVKDWLHDDPTAVMRISLRETRLEKAVENHATDVIRFTQRNLLRVFPKGSRVDSSNYNPLVGWCHGAQMVAFNMQTHGRFLWLMQGMFRANGGCGYVKKPDLLLKNGPDDKVFDPKIPLPVKKTLKVKVYMGDGWHLDFKRTHFDIYSPPDFYVKVGIAGVETDSVMKKTKIIQDDWTPKWEDEFQFPLTVPELALLRIEVHEYDKTEKDDFGGQTCLPVFELRTGIRAVPLHDHKGDKYNSVKLLMRFEFV >cds-PLY98572.1 pep primary_assembly:Lsat_Salinas_v7:1:38823442:38824326:-1 gene:gene-LSAT_1X33281 transcript:rna-gnl|WGS:NBSK|LSAT_1X33281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:26.5 kDa heat shock protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G52560) UniProtKB/Swiss-Prot;Acc:Q9SSQ8] MAMFRFITQSHRSSLKTHQWTSQLLQHFTITTTTDHPEKPDTKEISISDSTRKPKLFSPKRRSRRSPWSRNNDRNFLPSLSGVGHAVVEAAANMNKLLENLSLSHLIRRFKEKDESYSIKYQMPGLSKDDVKITVEDGMLFIRGEHKEEEEEGDEEEYWTAARYGYYNTSLMLPEDAKVEEIKAEMKDGVLHVVIPKDDTKKKNVKEVKVG >cds-PLY74715.1 pep primary_assembly:Lsat_Salinas_v7:5:25635573:25637957:1 gene:gene-LSAT_5X13681 transcript:rna-gnl|WGS:NBSK|LSAT_5X13681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTMEGQDRVLATAQQIVKSLNMNTQATEDMILILSRFDNRLSNISDLMDGGADDSKTRNQFDRAEKIILEHDPGGAGNPSSRNSLPWEECPEEASEYLAAVDLIIQLTEGLNVESEEDDDVMDRAENALQLAMSRLEDEFRHILIRNTVPLDADRLYGSVRSVSRSFTFNNGEIGDVVESFREDEQEGSGYHHERGASLGGDFCVDLIRVEAIEELKDIADRMIRSGYEKECCQVYSNVRRDVLDECLSILGVERHSIEEVQRIEWKILDEKAKKWILAIKVVVRVLLFGEKRLCEQVFNESELIQELCFVETTKGCVMQLLNFGEAVAIGVRSSEKLFRILDMYEVLVDASQDLEALYDSGELVCSEVKGVLKGLSMSAVGTFVEFEKAVKAETSKPIHGGDIHPITRYVMNYMKLLVDYSDTLNSLLPDDSGSSQIDNGECVAAISPVGTRLQLLITSLESNIEEKSTLYEDGAMRYIFLMNNILYIIQKVKDSELRTLMGDQWIRKRRGKIRQWHTSYLRAAWSKALLCLKDEGIGGSSSASFKVILKERFKNFNLCFEDIYRLQSAWKVPDNQLREELRISISEKVLPAYRAFLGRFGSQLESGRHGGKYIKYSPDDLENYVMDLFEGKPFVLNNNIKRKST >cds-PLY99082.1 pep primary_assembly:Lsat_Salinas_v7:6:101654586:101654843:1 gene:gene-LSAT_6X64680 transcript:rna-gnl|WGS:NBSK|LSAT_6X64680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAANRLSAIAAEMGQLQNEIEERRRVLNLFFRSVRTLDPTWKEARIRAARERIEDLEGRQQALRAEQQALIVQAVTHGHRGD >cds-PLY98425.1 pep primary_assembly:Lsat_Salinas_v7:7:89945114:89947418:1 gene:gene-LSAT_7X62560 transcript:rna-gnl|WGS:NBSK|LSAT_7X62560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDTHDQTLQTQPCHQFSLSEIQIATHGFDEESVVGQGGYGKVYKGSIKIGETYVLVAMKRLHSNSNQGAMEFWAEVEMLSKLRHCNLASLIGYCKDANEMILVYEYMPKGTLEGCLRKGATPLSWLLRLNICIGAARGLDYLHTGTGTKQVVIHRDVKTSNILLDDNYAAKIADFGLAKVGPTNTSVTTGVKGTFGYLDPTYFLTKKLTSKSDVYAFGVVLLEVLCGRPAVDTTLKEEQWGLAKWAQDRIGKGKLSKIIDSRLRRRISSKCLKEFANIAVRCLHTDANKRPTMAEVVAKLELALSLQKKVDPSAAKGKLRMKALSFLKADVPKLINSMTSYLAISKPVVLATSIVDADYESGGHMVHFDGGFELSLIELLTASAKILNEKPYCRTYVAYLSDGSQVVVKRILTQLSQNNFATAVSSLGKIRHMNVLDVKAYYWGRGETLCVFKFMINGSVASLLKSSRNKRGSITPFEWRRRLNIIMGITRGLLYLHTQEKIIHGDLKLSMILLDEDYNPMIANVGLSQLMPATRQRVKKNCAPEFSGSVNATEEVDIYSLGIIMLQLLCRESAKRRKRSVDLPTWVRSVSKENWSAKVFDAKFIQETCFNGNSLVKIMELALQCVEYDPKARPTANDVLRALEQIEV >cds-PLY75782.1 pep primary_assembly:Lsat_Salinas_v7:3:69132154:69134592:1 gene:gene-LSAT_3X56021 transcript:rna-gnl|WGS:NBSK|LSAT_3X56021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKRLMLLEENQISFHSDDGLLFDGVKDHSRQVAEMIGLGTDAEFHQAGVHTVLDIGCGFGSFGAHLLSLKLMAVCMAAYELTGSQVQLSLERGLPAIIGNFISRKLPFPSLSYDMIHCAQCGVLWDKKDGMFLIEADRILKPGGYFVLHRTSLNMEKGSPIEEFTQKICWTLIGQQEETFIWQKTSDPQCYSSNTHGAIPVCNEEQEDFDIQSYYQPLESCVTGASSKRWIPIQNRSTNSQITPDELRIHGEYFFYVTFILDTCVEQDELYEDFESSRSALRNYWSLLTPLIFSDHPKRPGDEDPLPPYNMIRNMMDMNARYGGLNAAFLEAGKSVWVMNVVPIRAQNSLPLILDQGFAGVLHDWCEPFPTYPRTYDMLHANGLLSDLISKKCSTTNLLLEMDRILRPEGWVVLSDKAGPIEDARTIATQIRWEARVIDVENGSDQRLLVCQKPFLKK >cds-PLY95013.1 pep primary_assembly:Lsat_Salinas_v7:3:221508129:221508626:1 gene:gene-LSAT_3X127600 transcript:rna-gnl|WGS:NBSK|LSAT_3X127600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGALSEGVLRKIILSYTYVAIWIILSFTVIVYNKYILDRKMYNCPYPISLTMIHMGFCSSLVYVLVDVVKVVEPVQMSRDVSLKSVVPIGLLISFLLLPPDQMEIEPTRVVGGRRLPFFVISQPHRRILIFLLFVCFFIENRSLLRSSIKLRTLNWNRSMLR >cds-PLY88357.1 pep primary_assembly:Lsat_Salinas_v7:9:18019732:18022003:-1 gene:gene-LSAT_9X15561 transcript:rna-gnl|WGS:NBSK|LSAT_9X15561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGGIRSLVSHGSLVKQAVLQHVRVMKVNPLQSLMLSRHESTSAAPRMEEHGFESTTISDILKGKGKGADGSWLWCTTDDSVYDAVKSMTQHNVGALVVVKPGEQSSIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPDTKVLKAMQLMTDNRIRHIPVISEKEMLGMVSIGDVVRAVVSEHREELNRLNAFIQGGY >cds-PLY82477.1 pep primary_assembly:Lsat_Salinas_v7:2:186822998:186826457:1 gene:gene-LSAT_2X108700 transcript:rna-gnl|WGS:NBSK|LSAT_2X108700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKMERESEIKVSKFKRICVFCGSSQGKKSSYQDAAIELGKELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLLFIDKAVEEGFISPSARHIIVLAPTAKVLVRKLEEYVPCHERVASKLSWEMEQQLDYSDDDISR >cds-PLY77217.1 pep primary_assembly:Lsat_Salinas_v7:3:245785184:245785535:1 gene:gene-LSAT_3X135781 transcript:rna-gnl|WGS:NBSK|LSAT_3X135781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMEIRYFLRKLKKVKKSNGQMLALNEIFEKNPSTIRNYGIWLRYQSTTRYHNKYKDYRGTTLNGGVEQMYTEMASCYRVRQVDSHDVS >cds-PLY99007.1 pep primary_assembly:Lsat_Salinas_v7:5:28596307:28597340:1 gene:gene-LSAT_5X14220 transcript:rna-gnl|WGS:NBSK|LSAT_5X14220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRVTNSSNNTSTATIKFLYSYGGKILPRHTDGKLRYVGGYTRVLAADRSVTYAELIVKFWEACGFSVDLKCKLPTEDLDVLVSVTCDEDLAAVVEEYDRVSPDAKIRAVLCPVKSLKTISPVPSVESLVEFSASKPPPYPVVANSAVRKGTQQCQAVDFSAYKLRRHLLAGPCRDKPTSVSRFREETIPMQNYFVRRWDYSQY >cds-PLY88114.1 pep primary_assembly:Lsat_Salinas_v7:9:7615120:7617678:-1 gene:gene-LSAT_9X7000 transcript:rna-gnl|WGS:NBSK|LSAT_9X7000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 18 [Source:Projected from Arabidopsis thaliana (AT4G01730) UniProtKB/Swiss-Prot;Acc:Q9M115] MRRHGWQRPLHPLQIVGMSIYGFLVVAFYTFLGLFLGTRTTVIVVTSIFSFTALAVMLLFVRCTAIDPTDKTNFKRKKKKSMSNAVSQLNYGFILGHIVMRFFTKIERKILQKFIRRKYLDPLSTTAQMEPLLPFPLVIKDDSVSPDPLLADISFCVLCDSQVKKQSKHCRTCNRCVEGFDHHCRWLNNCVGKKNYRTFILLLIFVLLMLMIEGGTAVVVFIRCFIDAKGLDMELQRRLHVHFPRPLLSAICVFLVLLTAYGSAALGQLFFFHVVLIRKGMRTYDYIMALKEENELAELELSDDSDLSSEESIDFDSPKKTKFAMCMCRERTPETQHRLSVRIDREPTQTTKKQKQEGGFRASINPWKLINMSREKAVAAAAKARERFTRQKDDSLIKPLPLPLETKNGLLMTPLPLVVKPGSPGRFSSPRRRVSISPSPNQKYKSNFDLKLTDVSRELDTYISRQVLCSVLKKGESESSPSPR >cds-PLY94653.1 pep primary_assembly:Lsat_Salinas_v7:1:41328237:41331594:1 gene:gene-LSAT_1X36740 transcript:rna-gnl|WGS:NBSK|LSAT_1X36740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDRRATPFLSGERVENRLKKPTTFLCKLKFRDELPDSTSQPKLLSIRRDKDRAAKYGVTSLEKVHKAQLYVEADLGIPLDLLDLSVYNGGRDENQHIAPEDERLLVEDVCVTPTKKDGLKRKERPTDKGMSWLVKTQYISPLTTDTTRQSFSEQQAKELRERRGNTLLDNHNSRERKIKDIKASFEACKSHPVHATNKKLHPVEILPLFPDFERWDDQFVVATFDGPPTVDSESYNKMEKSVRDAHESQAIMKSFVASTSDPAKPDKFLGYMVPSVGELSKDIYDENEDISYTWVREYRWDVRGEDVDDPTNFLVAFGESDARYMPLPTKLILRKKRAREGKTSDEVEHFPVPATITVRQRSTVSVDMKESEGYMGSRGRSSNARHDMEDDGDMDRSSGGEYDLSD >cds-PLY63034.1 pep primary_assembly:Lsat_Salinas_v7:8:76365244:76366290:1 gene:gene-LSAT_8X54301 transcript:rna-gnl|WGS:NBSK|LSAT_8X54301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPISLSRLGSSLPFSRFFRQVEHEMETVVKVLQPGPLGIIEHKFSAEEIAKANSTVKKAVENWKRNAIIERNRPLLKDYIN >cds-PLY84227.1 pep primary_assembly:Lsat_Salinas_v7:7:78928344:78932134:-1 gene:gene-LSAT_7X56381 transcript:rna-gnl|WGS:NBSK|LSAT_7X56381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPAALAEPTTNTLPESSETPESSPERGDLDNNRRFWDLRGVQWRTDLGVLPSSSSIDEVRRVTANSRRSYATLRRRHLIDPLPKDGSNIPDLVMDNPLSQDPDSMWGRFFQKAEIEKMVDQDLSRLYPEHGSYFQTPGCQGVLRRILLLWCIRHPNHGYRQGMHELLAPLLYVLEADVERLSAVRKLYKHYFTDNFDGLSFHNTDSTYTQTSQHTDNNNEIQENQEPINTLDPKIQTIISFCDPYGAEGELGIVLSQKFMEHDAYCMFDALMSGNGGAVAMSNFFAPPPLSTQLPPVIEASSALYHLLAVVDPSLYTHFIELGVEPQYFALRWLRVLFGREFDLEDLLVIWDEIFSFDNKKLDGVENENDPETSFRVLNSTRGAFIAALAVSMILYIRSSVLSTETATSCLQRLLNFPKDVNLEKLVKKGKLLVPVAIAAMRSMPPPVDGGGGSGGYKMSKVTTGGKSHSYWEEKWRVVHKEEEKKVENKPKDWPEKVKVQLLRTESDPSSSKGFREKTIMSPGPGPARIGRHVRRSLLDDLARQLGLEEEPENCGNGGSEENSSIFSDVASPGGAANDNHHENETDRSSVASNLSVNEPETETETVTVPEVDSPETVAEVDSPEAVAGDAPVKVEENKDSAGKPGVNLKDRILSSGKLQWLWKFGKNGGEGSSEKGGGGGGVGGGDQKSEVAVDSGMDVRSKAESREQNKTCSLKNLGESMVENLQVIESVFQQEKEVDNMSKKALVDRGQMTAMAALKELRKISNILSEM >cds-PLY95490.1 pep primary_assembly:Lsat_Salinas_v7:4:77681789:77683375:-1 gene:gene-LSAT_4X53840 transcript:rna-gnl|WGS:NBSK|LSAT_4X53840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVLLSAVLLSLCLTMNVFGDGVDDNVSWTQAPHHAPSYQPVAAPAPHHHHHHHKPGVGHHHKLASPPMAHAPTKAPVHAPVHPPTKAPVQAPTKAPVHPPSSSPAPFLAPIPARKLVAVQGVVYCKACKYKGVDTLLGATPLPGAEVLLTCNNTKYPLRVKGTTDKNGYFFIKPTKTLTTYGSHTCRVSLLTSPMATCNAPTDLHAGVKGSLLLPNKKPPLSSPDAHPLPYDVFSVGPFAFEPSSKTPCVKETIEG >cds-PLY93531.1 pep primary_assembly:Lsat_Salinas_v7:4:277923404:277926736:-1 gene:gene-LSAT_4X143501 transcript:rna-gnl|WGS:NBSK|LSAT_4X143501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIMGCCVLSRDTSDRFSDRCFNIFPCLSDPVRRSTLCMKLALVMLHVIFVGFLFILDEDLIEKSKQQPWYTSMYMLLLVVTLVQYFFTSGSSPGYVLDAMREYAKTEASLRASEISKQPASSKNGSVVVTIDNNQFGENLLGNNPMNWTKMVMEMYPPGTSVRTYTCSYCSVVQPPRAKHCHDCDRCVLQFDHHCVWLGTCIGQGNHCRFWWYILEETALSIWTGVLYIKYLQTHIEKAWLVDVIMIILLSILSIALIFLLLLLLFHSYLVVTNQTTYELVRRRRIPYMRAIPERVYPFSKGACRNLYNFCCARTSVYALEQLPNALELEQKSVPYTCFDVIKCRCCC >cds-PLY62211.1 pep primary_assembly:Lsat_Salinas_v7:4:275140786:275143093:-1 gene:gene-LSAT_4X141161 transcript:rna-gnl|WGS:NBSK|LSAT_4X141161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQKIVVTFNRFEKSVRDEGNELVQYLGTLVRMANHVGIEYDDWRKIPIQKKEDMYSMVKAKFVIHPVETSEIKKWILFSMGKKWRTWKGSLKARAYDSSLTIDQIVAQQTEKDNRVNPTQFKKLVTHWFTPEYQSMCDLRRKSRAKMEEPHVSGTKSFAPLAHEEALKNGVYPTRGQIYVKTRTRKNGNIVNEKVAQVMTSLQAIASDSSNTQGSNTLGFVDDFSNDDYSKVKGPEKRGYIRCVGRMPTVKEKVVSCSNDPSVEQLKTMVNVMANIIQEHIPNANLSGILSNMNIQMKLYCGK >cds-PLY66972.1 pep primary_assembly:Lsat_Salinas_v7:7:123288819:123291763:1 gene:gene-LSAT_7X74260 transcript:rna-gnl|WGS:NBSK|LSAT_7X74260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METKLHEQKEDNISDEDTVDWRGRPSDPTKHGGMRAAAFVLGVQSFEIMAIAAVGNNLITYLINEMHFSLSKSANIVTNFVGTVFILALFGGYLSDSYLGCFWTMLVFGFIELSGFILLSIQAHLPQLKPPKCNMLTNGDECIEAKGMKTLIFFVALYLVALGSGSLKPNMLAHGADQFNKNNVKQRKMLSSYFNAAYFAFSVGELVALTFLVWIQTHSGMDIGFGVSAIVMAMGLICLVSGTIFYRNKRPQGSILVPVLQVFVAAIVKRKQESPANPRMLHRNQFELENSDSTLSNDPASLQYTNRLRFLDKACIKEQEPNSNNAWRLCTVDQVEQVKILISIIPIFASTIVFNTILAQLQTFSVSQGSVMNTQITKSFHIPPASLQAIPYIFLIFLVPLYDYFLVPFARKITGHESGITPLQRIGFGLFVATFSMVSAALMEKKRREYFSSSGQMLSIFWITPQFLIFGLSEMFTAVGLIEFFYKQNVKGIQSFLTAMTYCSYSFGFYLSSVLVSLVNKITSRSSDGGWLGGVDLNKARLDLFYWLLAGLSLINFLNYLFWARWYNISCNQTLSGRTHLDSTNEGFHSMCINPAQAVGVENIT >cds-PLY93723.1 pep primary_assembly:Lsat_Salinas_v7:2:202274044:202277064:1 gene:gene-LSAT_2X123560 transcript:rna-gnl|WGS:NBSK|LSAT_2X123560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SMAX1-LIKE 8 [Source:Projected from Arabidopsis thaliana (AT2G40130) UniProtKB/Swiss-Prot;Acc:F4IGZ2] MARQCLGPEAVQVLDEAVSVAHRRCHSQTSSLHAVSALLSLPSSPLREACGRARNSTYASRIQFKALELCLGVSLDRLPSTPQRVEEPPVSNSLMAAIKRSQANQRRQPENFHLYQQTAACSPSSTSVSMVKVELQNLILSILDDPVVSRVFGESGFRNSDIKLSILRPIHRQLLRFKGQPIFLCNLTNNFSFPFHGFSENNEIYKRINEVLVRNHGKTRNNPLLVGPSAIDAVKGFLEMLQKPKIGAFSFCPPELSGVTVISIKDEILNTGNGNSGATLLRLRLEEVGNVLKQSIGPGVVVEYGDLKALTGDNSSDAISFLVKTLGGLLAVHGGRVWLIGSAESHETCMHFFKKFPTVEEEWNLHVLPINSIGPGMAETIPKSSLLESFVPFGGFFSMPSDIRTPLRFSNHSGSLCNICDDKLKVEVNAISKERLNESVSDHYRSSLPSWLQTSQLCANSESDIVQTQDDPVILNAKVVGLQKKWQSICQRLHHYEPYMQMLPKATYTVGPRVPSVVGFQVLETTNQNTSSIESQSDAISNPNSRIVKDSPIVSTLSASSDRSVTTDLGLGVNLTTSSFDLKDSKLMYSSMLKMVGRQEEALGVISQTISRCRAQQAPNRGGIWFGFVGPDRVAKNKTATALAEVLLGDRENMICIDLSSQDFINGCDQKSRGKNVIDFIADEISKKPLSIVFLEHIDKADTLTQQHLSRAASTRKFSDSHFREVSISNSIFVLTSKLFGYDLEEGVDYTEENVLNSGHGSIRLSIGFDLGEMKPSPKVVRINRPGSPICKNKRKLNGGLEAAKRGYRTTTNNLYLDLNLVAEDSDPAPENSNSWLEDLLENVDEKVVFKPFDFDEISEKIVKRIGDCFEKVVGSDCLLEIDYRLMEQILKASCFLEMTKMEDWIERILGEAFARAQRKYGLGSNSVMKLMVADLEEQPAGVLLPDSVIMT >cds-PLY89293.1 pep primary_assembly:Lsat_Salinas_v7:2:49833178:49833522:1 gene:gene-LSAT_2X24241 transcript:rna-gnl|WGS:NBSK|LSAT_2X24241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTGTTGIHSLVRLPPSSVVPTIQGTVVAIPSSSKRHSSFIVGAVACVGGSPRLSETIQGGIADIIGRSFSLFFFWFAVGWLPHHKTIVVALWSRSVAAKRRHSRDSWLLMLS >cds-PLY91495.1 pep primary_assembly:Lsat_Salinas_v7:7:143943631:143945732:1 gene:gene-LSAT_7X85821 transcript:rna-gnl|WGS:NBSK|LSAT_7X85821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQNEAAAIDPLAGGSFSRLLFSNIEAEDAVKILGLDDHHRRHHLDNTNGGGASHFAFGDNRKPPKMLCFGSDYISSNINGVSAVSSTTHSTAIITDDNNNSSSPPSTTNSTTTSLSSNSNMKRDMRWDYYQPVILPNSFAATSATPSPARSSSRNCKKMRTEKTPPVSHAKVKKEKLGERIAALQQLVSPYGKTDTASVLHEAMGYIKFLQEQEGEEDEDGGEKKKDLGSKGLCLVPVECTLHVAESNGADLWSPAMVNRHDSSVLH >cds-PLY62298.1 pep primary_assembly:Lsat_Salinas_v7:5:165873746:165876529:1 gene:gene-LSAT_5X73260 transcript:rna-gnl|WGS:NBSK|LSAT_5X73260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCVRLMFPEEVSHVTCPPDYAYDKFSRRNNVPEGAYVEWVIELLGFQMQKDWTGMDFRAIMNDVENMKSMGNRLFKEGKFSLAKAKYDKVLCEFNHVNPQDDEEGKEFANTRAFRIPLGVIGCYIVAKFTPVNTDGESGEPMYIISDRSVESVRMLLAGSDMGVMCGLTRGIKMARPPSSSIMNCTTGLLTSSTQTPTPTPKPTPTPAQGNSMLRSREAMHMMRFPSFSNLPKSLQPNKEQQEALRPWFMQCFGRMG >cds-PLY80931.1 pep primary_assembly:Lsat_Salinas_v7:8:256434767:256435580:1 gene:gene-LSAT_8X150161 transcript:rna-gnl|WGS:NBSK|LSAT_8X150161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESAELTLVIHYAKDLKDVKHFGTMDPYAVVWIAGYGKESQKITTPVAEKAGCYPEWNYSVKFHIVPVKREYSLFIQIKHEGAMFDRYIGEVEVPFADLLDADASIGKRSYRLSIPSGEKKGEIIFAHQFSKLDVDEDDGTGISHATDPCEKRKRDKVINLAKNVTKTGALGAQYVVLFALGLDGLIE >cds-PLY69551.1 pep primary_assembly:Lsat_Salinas_v7:8:84010716:84011001:-1 gene:gene-LSAT_8X58400 transcript:rna-gnl|WGS:NBSK|LSAT_8X58400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METQEIGCRNCLGVLSIGGSRGCVSGEVESTEIRWVFVEVIEFVVFTGMGEEHEWETALVLLETQK >cds-PLY74336.1 pep primary_assembly:Lsat_Salinas_v7:6:733495:733686:1 gene:gene-LSAT_6X840 transcript:rna-gnl|WGS:NBSK|LSAT_6X840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSDAETGMVDCGVGTIVWVRRRNGSWWPGKILGPDELSASPLMSPRSGTPVKLLGREDASV >cds-PLY75101.1 pep primary_assembly:Lsat_Salinas_v7:4:10793060:10797497:-1 gene:gene-LSAT_4X5981 transcript:rna-gnl|WGS:NBSK|LSAT_4X5981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIPMPGHPIARQEEEKVFDDCKCLSDLIESPDAVLLLTDTCEIRWLPTLLCANANKGTWQMQKSRYPPSRYVDQIRNQNEVNSEVDDIKNSSTSVDLTVIRNFLSHDYMTLKLWDINMESVPVSTFQVHEYLRPKMVVVVCGGKWRVTMVMAVVGGW >cds-PLY96272.1 pep primary_assembly:Lsat_Salinas_v7:6:158175625:158178361:1 gene:gene-LSAT_6X97701 transcript:rna-gnl|WGS:NBSK|LSAT_6X97701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTHNRKASGTTSSLAKRQASENVVGKSMALPPHMAKKRPALANVTNQRPTSHNISKSTSNLKGSSIVNNNGASYGSIFSSSTHVKPTASTKSIPTSRKDAIVPPVQSKMDVSPSKSDMDETMSTCESLNSPDVEYIDNNDIAAMESIERKTCSKLNISDHTETTGTVCKREILMEMGTNDKIVDLDVDFMDPQLCATMACDIYQHLRASEAKKRPSIDFMEKVQKDINPSMRAILIDWLVEVAEEYRLVPDTLYLTINYIDRYLSGNLMDRQRLQLLGVACMMIASKYEEICAPQVEEFCYITDNTYFKDEVLQMESSVLNFLKFEMTAPTSRCFLRRFVRAAQGVNEAPSMQLECLASYISELSLLEYNMLCYAPSLVAASAIFLARFVLVPSKKPWNSTLRHYTRYQPSDLSECVKALHALVCECPNSSLPAIREKYSQHKYKFVAKKHCPRSIPVEYFENISS >cds-PLY66587.1 pep primary_assembly:Lsat_Salinas_v7:6:165999274:166001910:1 gene:gene-LSAT_6X101360 transcript:rna-gnl|WGS:NBSK|LSAT_6X101360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILHDHQRSRNRSPERGWVEKCLGYTCLQLFWPRVVVRKWLNISDNTSDYSADSDDSIHDSVDTEAFCYWPKESRLDDKKLDDFQSDLIGAFPRSRRRKSETFRTQYIDTKELRVSVNTWNVGGELPPEDLNIKNWLDTEYPADIYVIGFQEIIPLNAGNIFGSEDNYPILIWENIIRDTLNKIQPIKTEFKSYSNPSSPSRFKKPPNIEDEFMLESDEECENDPVGQNCHYWVEKLDHDSIMHKKRSRYVRIISKQMVGVFLTIWVRRSLKKHIKNVHVSTVGVGVMGYIGNKGSISVSMSIYETNFCFVCTHLTSGEREVDAVKRNADVDEIHKRTNFNSMSKVALPRSIKEHERIIWLGDLNYRINLPYDETCRLISKNDLSKLLESDQLGWELKKGGVFEGWKEGNLNFPPTYKYEQNSEKYYGEDPKGGRRNPAWCDRILCFGKGIKQVGYKRAEIRVSDHRPVSALYMIEVEVCSLRKLQRAVIW >cds-PLY75252.1 pep primary_assembly:Lsat_Salinas_v7:7:65343681:65343959:-1 gene:gene-LSAT_7X46480 transcript:rna-gnl|WGS:NBSK|LSAT_7X46480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPASPTPSPACGLCEEDAHVAASAGSPLVITTTPPAPPINTDQAGETSPASTSTSNATTQLPKVPPVLCLVFSPLLAVIICKRRPNRVY >cds-PLY98575.1 pep primary_assembly:Lsat_Salinas_v7:1:37000334:37001377:1 gene:gene-LSAT_1X32021 transcript:rna-gnl|WGS:NBSK|LSAT_1X32021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCRGRNSIRCRKAGSVPVYLNVYDLTSMNGCAYWLGLGAYHSGVQVHGVEYAFGSHEHATTGIFEGEPKQCQGFTFRKQILIGWTEMSLREIRRFMKELAQEYKGTSYNLITRNCNHFCNDACLRLTGNPIPSWVNRLARIGLFCDCIIPASVRSCKVGIEDNQSYNGDEMKKKLRSRSGRSTSSSRSRSSEKSTSLASIPVDLTRSFGTRSILPPSSLFLLDSPSSSP >cds-PLY85142.1 pep primary_assembly:Lsat_Salinas_v7:9:148476961:148477974:-1 gene:gene-LSAT_9X95020 transcript:rna-gnl|WGS:NBSK|LSAT_9X95020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGNTPGINAPTSQSLANYVMLAIVYGGILIYRKSGLKAKWYYYLLLGFVDVEANYLDVHAADRSQSGSSLINGDFLVVARATLYAVNNVSEVIFLSINLFGQRAIF >cds-PLY97331.1 pep primary_assembly:Lsat_Salinas_v7:4:286073981:286074483:1 gene:gene-LSAT_4X145640 transcript:rna-gnl|WGS:NBSK|LSAT_4X145640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAYLTIDASIHLSRLLLVLPPNRAVVCDQNILVFLLLSDSDAKVSQKVVALFPLLHRCLPPPVSSLVLPSYRAVALEHHRLPPLVRFQCHNQPKGYRPLSSTTFFFPSTSPARFFYLFMNLPYDIKFVVRIVAQICSNVFS >cds-PLY94211.1 pep primary_assembly:Lsat_Salinas_v7:5:321979773:321981187:1 gene:gene-LSAT_5X178620 transcript:rna-gnl|WGS:NBSK|LSAT_5X178620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSIPNLGYLGIISGVPKFGFSTILHQNKGFRGVSVRKMAWSLEKCNKGIESNSSGIVRPATEAYADEAIKALRTGKVIAVPTDTLYGFACDACSADAVNRIYEIKGRKHTSPLAICVGDVNDIGRFAVTNHLPLSLLHNLLPGPVTLVLTRGESSVLEKSLNPGLDTVGVRIPDFEFIRMIARGCGSALALTSANLSGQPSSLDIKEFKNLWERCRFVYDGGVLSAGREGSTIVDLTMIGKYKILRSGSAKEETIAVLERYSLLEERANG >cds-PLY82629.1 pep primary_assembly:Lsat_Salinas_v7:3:103874122:103876586:1 gene:gene-LSAT_3X75880 transcript:rna-gnl|WGS:NBSK|LSAT_3X75880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKVFHMNGGLGDNSYAQNSSLQKKASDMVKHITLKTLEETYISTTPKSLGIADLGCSSGQNTLSNIRDMVETIDKTTGKLPNTRPPEFRIHLNDLPTNDFNATFKILPDFHQALNHQRRHRSPNHDSSVYIAGYPGTFYGRLFPDKCLHFIYSSYSLHWLSKVPPGLYDKNGKSINKGSLYISESSSPQVSKAYFDQFQEDFSMFLRSRSKELLGGGRMVLILLGRRDRSHVDRGNSFLWELLSRSFATLVSQGEVKQEQVDGYDSHFYAPSRDELEEEVKKDGCFNMELFEMFEIERNSGAYMSHGTAVARTVRAIQESMISHHFGEEILDNLFENYGKLIDEEMGIEDIKPISFITVLRKL >cds-PLY77478.1 pep primary_assembly:Lsat_Salinas_v7:4:48074107:48074679:-1 gene:gene-LSAT_4X30961 transcript:rna-gnl|WGS:NBSK|LSAT_4X30961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNHQAVIEHNNCGVKSCDKIDRMATWLGSSVASAFFASLERCSCINLATSHDDFDDDEEAKDRPLMLTKPVMFADDSPHKLNPL >cds-PLY76416.1 pep primary_assembly:Lsat_Salinas_v7:8:154955817:154958522:-1 gene:gene-LSAT_8X105360 transcript:rna-gnl|WGS:NBSK|LSAT_8X105360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSMQALNVRPFGFNSTSVVPQRQPRPVIIRCSAASSTAKSYNITLLPGDGIGPEVISVAKNVLNLAGSIEGIEFKFNEKLMGGAALDATGVPLPEETLTAAKQSDAVLLGAIGGYKWDKNEKHLKPETGLLQLREGLKVFANLRPASVLPQLVDASTLKKEVAEGVDLMVVRELTGGIYFGKPRGFGTDENGNEIGFNTEVYAAYEIDRIARIAFETARKRSGKLCSVDKANVLEASMLWRKRVIAIAAEYPDVELSHMYVDNAAMQLVRNPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLSSSGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLKYGLGEVAAAERIELAVLDALNRGFRTGDIYSDGNKLVGCKEMGEEVLKSVDTKKVVSV >cds-PLY83078.1 pep primary_assembly:Lsat_Salinas_v7:8:235022033:235029402:1 gene:gene-LSAT_8X142041 transcript:rna-gnl|WGS:NBSK|LSAT_8X142041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFLQSDLRSAIQIAATFCYLNPSRYESPFPRPVSVRTPPRLRPLHAAAVERISHKPPICTADELHYVSIHNSDWRLALWRYKPPPKAPSRNHPLLLLSGVGTNAIGYDLAPGSSFARHMSSQGYDTWILEFRGAGLSSEVASKEVKQPISLPSERMESTPKTQENGSPEALQNSTLATQIRDLSQRLGDIIEEGQRSVSPPFTDLLDRFSSTIENLQTQIDILGKYNWDFDHYLEEDVPAAMEYIRRQCNPKDDKLLAIGHSMGGILLYAMLSRNVHEGKDSKLAAIVTLGASLDYTTSNSSLKLLTPLADPAQVLNVRAVPLGALLAAAYPLASRPPYVMSWLSRLISAQDMMHPDLMEKFVMNNFCTVPAKLLLQLTTAFQEGGLRDRSGTFFYKDHLNKIDVPVLAIAGDLDVICPPEAVHETIKLVPKHLATYKVFGEPDGPHYAHYDIVGGRLAPQQLFPSIIEFLADHDSI >cds-PLY90818.1 pep primary_assembly:Lsat_Salinas_v7:2:107425783:107427486:1 gene:gene-LSAT_2X45941 transcript:rna-gnl|WGS:NBSK|LSAT_2X45941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTHRKILIVAYQGQGHIIPALRFAYRLLKLGVHVTFSTSVSAIRRIDMETTPHGLTFAPFSDGHDDGKQPTTTLQQFLSDISTYGALAVAEIISSAAAGGQPFDHLVYTTTIPWAARVAHAQGIKSTLLWCQSATILDIYYYYVNEHEVLISGIKNNQTSPINLPGLPPLTTADLPSFLTPSCPKEHVFVQQDLKGHIEVLKTAPRILVNTFNKLEVEPIKAIDKLEFLPIGPLIPSEFFYRNSSSSDCFERTEEDYIQWLNTKPKSSVVYVSFGTIAQLSLDQLEEIATGLLESRRPFLWVIRDSEQAGRLSKIEELRKQGMIVGWCSQVVVLSHQAIGCFVMHGGWNSTVETLVAGVPTVVFPQWSDQCTNAKMMEDVWRTGVRVRIREGDGVVEGKEIERCVEMVMEDEGMKKNAEKWRDLAIEALSDGGTSTINLQAFLDHI >cds-PLY72936.1 pep primary_assembly:Lsat_Salinas_v7:1:91066126:91067781:1 gene:gene-LSAT_1X76101 transcript:rna-gnl|WGS:NBSK|LSAT_1X76101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPLNLSPLKFQTDTLMLQASIPPLFSRALFKSISTTITMSLQSTSKPIKSRLRGVVFDMDGTLTVPVIDFPAMYKAVLGEDQYLSIKSASPSGIDILHHIEQWEPSKQQKAYEVIADFERQGLDRLQVMPGAAELCGFLNSRNIRRGLITRNVKLAVDLFHERFGMSFSPALSREFRPYKPDPAPLLHICSTWEVEPHEVMMIGDSLKDDVACGKRAGAYTCLLDETGRYDSPEYANVEHKPDYKVSSLDQVHSLLESNFDLTP >cds-PLY70236.1 pep primary_assembly:Lsat_Salinas_v7:9:1692933:1694714:-1 gene:gene-LSAT_9X3880 transcript:rna-gnl|WGS:NBSK|LSAT_9X3880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTKIDISIRNETSPTPSHTKQKKACFFSTLFSPILPTICEHDIKKLIHSFKVGFALVLVSLLYILDPLFEQVGENAMWAIMTIEVIFDFFAGATLSKGLLRGIGTLLGGGLGCLASTLASAMATYCRLIPSINKRYDYGVTIFILTFNLVAASSLHGDHQVMELAHHRLSAVAMGFAVCIFIGLLVFPMWATDELHQLTSSKFKQLASCIEECMEAFLVVDEKESRPIIHVSSCNSVLHSNSSDESLPSSMLLEVIKEPCKNVGLLLGLTMRELGENIMNKKRSNLEVVIMPELQSIKLDLILLSTSKLGAIENVEDLAIANFLFLVMEMVDKVELLAKEVEALGKVAGFQTK >cds-PLY82964.1 pep primary_assembly:Lsat_Salinas_v7:1:18698735:18714106:-1 gene:gene-LSAT_1X16301 transcript:rna-gnl|WGS:NBSK|LSAT_1X16301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGKYKRRLDLEEDRISKLPEHLIESILERVPFKYAVRTSGISKQWRYRWTTMKAMVFDEDFYKFAKNGAFGHNGFIRIINQILIIHEGSIRKFQLYLPIMSLDSFQEVDRWMILLSRKGVQEFMFLNANQCYELPSHMFSCLELTKLTLENCFFFKPPLGFEGFPNLETLILCKIDFGCGTQIKLPQLKKLLLVKCTNVYNFNIKATKVHFLHVYDCPDAKFLTLFKNPCLTQVLIVEKIPKWLPHAVNSLKRLRLLNFQLACLDQLQGALCVLQNAPNLDTLSVTFLEMKSQVIHYDVGAALSHLVAPNSLSCTLNRLQTVDIISFQGSTLELLFIKLLLAHSPSLHKFNIKPSVGERVNRTPAHSIRRDLKVVVLSSDSKKNMKPKINVKKFDGGNSRFSKSKKNIQKQRGLIESYDMTEDSGIHRENELRTKRRFDRCKIKGDEVVVINSCSGKDNVTVKKLDEDEDSDFEDDKPVNKEGNVVKARKLPKVVASDAEEARRIQVRTSPNVLYSCMHNLSKEQEAYISSIGLGHLLNMKVDGCASIMGHYIVRNFDADRMVLKLHHGDIPINRQVIHEMLGLPLGHVTIKSMPYREVIDDTIIVWRKQFEDEDNIRPRAVQQVIMQSTRADLIFKVNIFVLLCNTLGQSMSMGTCDMSMLSKVTKDLDLSDIDWCGYVFDCLKETKSAWNPNNKKGFYVWLIILLLLLYVESVRCDSVKIVRCRPAICCWNVDKLRERERVECRTIGLGMGELQDPFQVINEASGTSNVGQEKVQGNDAGGVKSNGRRCKGNQREEIFSGSGESVEQKKVLEDKIDDAVKKYPENQLFKEWKNKVNDLFSEFSASEEPKQSQWWYDNEAEIERTLILATTNKQFDNSPIAKCSIQMSQEYADFANRSGTKSFKNTPPSKMEMPIPLSIVPFNNDEHWVSRRRYKPRMKSDYLKSPYIIRAVDIIKGVRRQEKRVAEWIFSLQGEPNQVLNLDESKRAPESPLRVYCKTDVTLFLPIIRSFHIFLFVINLQQPEFVIVDNSKVDDPDGERYGQLPQIIKEYIVDYLKSQNHPKAEMFSHVMPHRLEMPWRTINNNIDCGVFTMRHMETYMGGSMNEFKAGFKNESSAQDDQLVKLRTKYLYKILTHEYNVQKDYVLQKVDEFHKIPSKQRSQLLAIAKEEIHRRLDDLS >cds-PLY85509.1 pep primary_assembly:Lsat_Salinas_v7:2:195507273:195509281:-1 gene:gene-LSAT_2X117661 transcript:rna-gnl|WGS:NBSK|LSAT_2X117661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKELMWRDRWSRFADGGKPYLGVLFLQLGYAFNGIIVKSALNKGLNPCTFSVYRNIYAVIVFGPFALYFERKLRPQMTLYVFLKIMLLAQLEPVMDQILYYTGMKYTTATFAIAMCNILPALTFFMAWIFRLEKVNIRRLHSQGKIIGTLVTVGGAMVMTFVNGPPIPLPWTKVTRVHHYHHPLPPTTPSSDHHLKGALMITAGCVFWAGFYILQAVTLKKYPAQLSLTTLICMMGALQGTVMTLVIEKGKKGIWSINWDTKLFATLYSGIVRSGASYYISGLVMKKKGPFFVTAFNPLGMVIVVIVSSFTLGEQLDLGRVAGALIIVLGLYLIIWGKSKDSTLSDSRNDELGIVDLNPTQEKNHNEISKSEIVDGEASV >cds-PLY79280.1 pep primary_assembly:Lsat_Salinas_v7:9:5373922:5376612:-1 gene:gene-LSAT_9X5640 transcript:rna-gnl|WGS:NBSK|LSAT_9X5640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAARGLAAEALTAYRGLLRATRKSFAGDTVMLEGSAAEVRKKFEENRHVTSEPEIRKLLDEAREASDFISNMIVQAKLNSRGGYVTSMLLLLAPWYSWASGDSGYVVDACSVTTYQDLCIHSLASYSNIAKRDPSKWARAGVSVTIGETKNATRYLLGLKKKNRFKGRNRVAVYDCVDVFQDTLDNLHKSLGVLRQISAVTFETQMEDVTTWVSSALTDEETCLDGFEGQKGKQIKLLESRVKRVSYFTSNALALVAKLASTGP >cds-PLY94261.1 pep primary_assembly:Lsat_Salinas_v7:8:69150262:69156362:1 gene:gene-LSAT_8X49481 transcript:rna-gnl|WGS:NBSK|LSAT_8X49481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPSLPAFSSHLWKYHVFLSFRGEDTRRNFVDHLYSALEQQGIYTYKDDETLSRGESIGPALMKAIEESQIAVIIFSENYADSSWCLDELACIMKCKDIKGTIVMPIFYGVDPSEVRKQKQKYGEAFAKHELENKKKTKSWGHAVVEDPWGLLSAPREQIRKYQEAFAKHELEHKAKVESWRKALVDASNISGWEPKHVANGHESRVIKEIVDTISQRLQLVPSSANEDLIGMAARIQRLKLELQIESGGVRMVGIWGVGGGGKTTLASSIYDEISSMFDGCCFVQNIREESSKINGLVSLQKKILSGVLKQKEVQGIERVEEGRRMIQNRLCHRKVLIVLDDVDQLDQLKALAGSHGWFGEGSRVIMTTRDEHILNAHRVNVTHSIRLLNSDEAIKLFCKHAHRDHTPMENYEQLSKEVVSYAGGLPLALTILGSFLCDKNIHEWRSALARLKEIPNDNILETLKISFDGLTKVERELFLDIACFFRGKYKYNAMRIFDACGFHPVIGVKVLIQKALITISEDGYFDMHDLVQEMGHYIVRGEHPKHPEKHSRIWKEEDVYKICAMDATTELDKIEAIEMDFHFQPAKKQEQDLPSVSANMKNLRYMKSIGDPAKLLFNDLPLRELCCLIVSSGSEKQLWEGCKVLPSLKLLELSHMNKLIMTPNFNGLPNLERFILHGCLCLEEIHPSIGCLEKLVFLSIENCRSLEIFPPIRGIRKLETLEFTEHPKLVKFPKIHHQRMENSTHLDLDDNSGSQVAPYIESSTNYVVSCLRCGCRHLPGVECCVEGPCLSRNIFLVLFHNLQELHFLRKLDLSECNLGDEDIGSDVLELPNLQELNLFRNKFSQLSFSCLQFPRLKWLDVSFCKELVELSELPSSIAIVRADCCDSLESFGGISNCKWLWKVSLWGAYKLGPRVGEILLGSMLQVWLYTIRTF >cds-PLY90016.1 pep primary_assembly:Lsat_Salinas_v7:5:120306911:120307240:-1 gene:gene-LSAT_5X52420 transcript:rna-gnl|WGS:NBSK|LSAT_5X52420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKENFGVGQLSDEQKARIFANFRAAKALLDRKRPRDASIASNSFPRKIEVVKGVETPSIVSSLNRLPLADILVNTPTPVWDLKLNQSGCFSSSRFKVPYVGKSDGGFI >cds-PLY98586.1 pep primary_assembly:Lsat_Salinas_v7:1:39869174:39874324:1 gene:gene-LSAT_1X35040 transcript:rna-gnl|WGS:NBSK|LSAT_1X35040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLDAFSTMELINYVYDEKQEVFQREADGFESLARARGEGTSSSSGNKQPNSSGMSYIKPSFFLVFGADPLDLKVGTATSVSLQFTSLTDQYTDKFVTSYSFQKQQLKAPEVENNLEDNDSVK >cds-PLY95401.1 pep primary_assembly:Lsat_Salinas_v7:9:189140730:189145362:1 gene:gene-LSAT_9X115261 transcript:rna-gnl|WGS:NBSK|LSAT_9X115261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEREIEIEKSTYTVNGEESMMHATCLIKCLIRHWNKTVRTIPISRQAVSKLCAKYLHQMCGEHKDSGILRHGFLASSSEEADSIQNASLKQNGKSEASCSKTLSPHKSTAVGFDDRMLLHSEVERKSLPHPERPDRLRAIAASLATAGIYPGRCQPISAREITREELQMIHSHENIESVELTSQHVASNNCFSNNYFTPDTYANKDSALAARLAAGLCADLASAIYSGRAKNGFALVRPPGHHAGVRQAMGFCLHNNAAIAASAAQAAGAKKVLIVDWDVHHGNGTQEIFEQNKTVLYISLHRHEGGKFYPGTGAAHEVGSMGGEGYCVNVPWSRGGVWDHSHRIRQSSHDPRSKRLDPKRGTIAYRSPTETPSGTSCLRSHQFFKSIPET >cds-PLY88966.1 pep primary_assembly:Lsat_Salinas_v7:8:129911299:129916496:1 gene:gene-LSAT_8X90020 transcript:rna-gnl|WGS:NBSK|LSAT_8X90020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSSGFYRQPFGTWFFDGGTGVMLEVGGRQDQTSRLSGRHTGIVVYGIEYYFGGGILETPVGTAPYGTPIEMIELGVTKIKKDDFVSYLKEISPRYTHETYNLLKHNCNTFSNEVAQFLVGTTIPQYILDLPNDVMNCPLAPLMLPMIQNLESQLRDNEVPQVLQLVAPLPASEGSKGEGSEVKPKKIHEPLVDPLGNARTQVQDDIAKEFAALRAQGTLSPSEAAALATRNVMQKYGFLDSTSNPNSNSISDSKSDSKSDSKSS >cds-PLY67844.1 pep primary_assembly:Lsat_Salinas_v7:9:144884783:144885476:-1 gene:gene-LSAT_9X92180 transcript:rna-gnl|WGS:NBSK|LSAT_9X92180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIMQIIFMVTITITISIAVTTLTFSSTATTNTPSPVTIRPFPTRPRSRFLAEKEPNPRAADHCNKDDEICYVLEGKNSTCCNNKCMDLSEDKRNCGACKKKCKFTSSCCNGECVNLAYDKRHCGSCGTKCSPGGYCIYGLCNYA >cds-PLY84111.1 pep primary_assembly:Lsat_Salinas_v7:6:192237324:192240420:-1 gene:gene-LSAT_6X117920 transcript:rna-gnl|WGS:NBSK|LSAT_6X117920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANPDLQMNVQMEQIHGEIRDNFRALATGFQKLDKIKDSSRQSKQLEDLTGKMRECKRLIKEFDREIKEEESKNPPEVSKKLNDEKQSMIKELNSYVALRKTYQSTLGNKRVELFDMGAGGSEPMADENAQVASEMSNQELVQAGMQTMNETDQAIERSKQVVQQTVEVGTQTAANLKGQTDQMGRIVNELDTIQFSIKKASQLVKEIGRQVATDKCIMLFLFLIVCGVIAIIVVKIVNPNNKDIKDIPGLAPPAPASRRLLYVRRFAEEDHF >cds-PLY92788.1 pep primary_assembly:Lsat_Salinas_v7:2:149277874:149280530:1 gene:gene-LSAT_2X75300 transcript:rna-gnl|WGS:NBSK|LSAT_2X75300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSPRRRYSRSPPYEAPSRSRSRSRSRSRSRSWSRPRGRPASRSRSRSRGREEVVNPGNTLYVTGLSTRVTQEQLEEHFSKEGKVANCFLVVEPRSRISRGFAFITMDTLEDANRCIKHLNQSVLEHRQITVERSRRKRPRTPTPGHYLGLKNTRDTGYRGDRGGGGGGGGRYRGGSGRDDYGYRRSPRRSPPPYRGGRDYSPRRSPPPYRGGGGGGRDYSPRRSPYYGGRSRRERTRSPPYSPPYRSPERHYARR >cds-PLY97061.1 pep primary_assembly:Lsat_Salinas_v7:4:73170671:73173253:1 gene:gene-LSAT_4X49621 transcript:rna-gnl|WGS:NBSK|LSAT_4X49621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSKKQPFAIFHSSSKTEGSGPFVSFAQGKEGVGSLANFSKDGIIQNLESEVIRANKLKCNSCGKKGAGLGYYMETCQRSYHVPCAYYIPECRWDDPYLMLCLEHRKMKFPSEMLEDDGKQDIQKRWENMGLGAPILGGNSFQVPTSSSMMGLNTTTNRVMPSSSMGYLVDLNNQQQQLH >cds-PLY92796.1 pep primary_assembly:Lsat_Salinas_v7:2:149720426:149721151:1 gene:gene-LSAT_2X75680 transcript:rna-gnl|WGS:NBSK|LSAT_2X75680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDSDSEATDDVDEDDGISETWMQDVENELEEGELRPDGTPEIQPEKTESRDTCVKSPSNFGNIKAGGNEGTKNNSQHNEVRMADTNDWSNEIDMKFGIPIRREIGDTNGDLMGTKTDGMVNMEVGPSLNGTRPNNKIFTRLPHSIPTTGQNIFSAQFDDTQSGTEHSNNEAKIKKKKKRARVGSRSSPRSSISSSSYNSSSKGGDIPLAPRSNASLDLNRNPSNSLPNSNYRDGLDES >cds-PLY75808.1 pep primary_assembly:Lsat_Salinas_v7:3:69454781:69455865:1 gene:gene-LSAT_3X53820 transcript:rna-gnl|WGS:NBSK|LSAT_3X53820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPATTSSCSWPPAWTWLLLNFFLFLPKIPSSHVPPIVPTCRDKCGNLTIKYPFGSDFGCGHPDFARYIKCTSGELRFSSGTGIYSVSSIDYTTATLVLTDLFMSTCSSMQNSGSFRLDRGNPFTLSSQDTFILLGCSRTSPVFDPNEDLCDTGSGLHLCRGMYSCEGVTGIGLEPNAPVSSCCVYQPVIDFGSGYWLDLPKLQCSSYVSMYGFGGDETDPMKWQFGISLEYNNSYYTDTCKSCEASGGFCGFAGLTQSFSCICRGGGNSTTNCFGRGYAWSGTWRHKIQTKISFGGKMK >cds-PLY89400.1 pep primary_assembly:Lsat_Salinas_v7:4:122002286:122006021:1 gene:gene-LSAT_4X75461 transcript:rna-gnl|WGS:NBSK|LSAT_4X75461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan aminotransferase-related protein 2 [Source:Projected from Arabidopsis thaliana (AT4G24670) UniProtKB/Swiss-Prot;Acc:Q94A02] MNMCLIWKINYDDEETLSSKWSSWGNLQQKFHFHGSCFNGEKNNGRVEEAQVSSKRTEILATTSYVASTVVPDGGESVVINLDHGDPTMYENFWKQKGEKTTVVTSGWQHISYFSDVKNVCWFLEPELVTAVTRLHKVVGNAVTEGRHMVVGTGSSQLYQAAIFALSPHNASTPMNVVSAAPFYSSYPLMTDYLKSGLHKWAGDAHKFNKEEPYIELITSPNNPDGSSRQDVVRGHKGILIHDLAYYWPQYTPILSPPPDYDIMLFTVSKSTGHAGSRIGWALVKDREVAKKMTTFIEINTIGVSKDSQIRAAKILQAVSDSCDNDDDDDESFFDYSYKLMEKRWKKIREAVDKTRLFSLPDFSPETCSFSGRTFGQLPAFAWLKCEGDVDDCESFLRKNKIYTRGGKHFGASMKYVRISMLCRDSEFEVFTERLPTIVS >cds-PLY77845.1 pep primary_assembly:Lsat_Salinas_v7:1:21501612:21504181:1 gene:gene-LSAT_1X18461 transcript:rna-gnl|WGS:NBSK|LSAT_1X18461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIGFEHSSAMAVSGTSVEAAECASGGNQAEPPSEMLPPKRKRNLPGTPDPDAEVIALSPNSLLATNRFICDICNKGFQRDQNLQLHRRGHNLPWKLKQRTGNEIRKRVYVCPEKSCVHHDPSRALGDLTGIKKHFSRKHGEKKWTCQRCTKKYAVQSDWKAHMKICGSKEYRCDCGTLFSRRDSFITHRAFCDALAHETARAQPQTLAINNSDQPQLLQQSFPIMEAVLPPPCSPPPPPLTPSTDVLSPVNSIQSAELPENATAVNGSGTKKATSLTTATPATTTGSGGSTSNSTSVFASIFASSAIVEPISLSLSSSLYLSNATNPSLFPPSDHDLQTKHYRQYLPASQPALSATALLQKAAQMGPKSSNTSFLHALGLALPSSSTGNNHHDTTNGVHWSNAQMKQERDDNARLGLGLLTATTPTASLTDLMMGPPAPTTLDFLGVGMGGGSHASSGELSAYLTSIRSGLHASGAASAVPFFRGLNSGGNDGWDDSDDRKPAPL >cds-PLY95912.1 pep primary_assembly:Lsat_Salinas_v7:6:106308788:106309510:1 gene:gene-LSAT_6X67241 transcript:rna-gnl|WGS:NBSK|LSAT_6X67241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNHKIPSYIPTSEHVSGQQIVSFELPAGTSFYGTGEVFTWNTDEWGYRIGTTSLYKSHPWVLAILPNGEALGFLVEKTKHCEIDMRKESTAKLISPSPFSVVTFGPFASVNDVLTSLSHAIGNFLKTIMKFFIPSNYFFRSFIFTCIK >cds-PLY63750.1 pep primary_assembly:Lsat_Salinas_v7:6:25649719:25651289:-1 gene:gene-LSAT_6X18900 transcript:rna-gnl|WGS:NBSK|LSAT_6X18900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVLEFNAIAQMKINRYNTTNFQFLTSHYRCWLQPQINERSPLPSSVSDPNPGNLSFMFSSFSMSTFYMECGKEVQLKVVLGIHVFFPNLRLDSTGIKPISRPCSFVPLDLKNFNGFQKKNISITKNRRWLKVMEFVSHVNSRLKQQQISRFSFGSVNEVVASAAIEIT >cds-PLY65241.1 pep primary_assembly:Lsat_Salinas_v7:3:218764193:218765072:1 gene:gene-LSAT_3X127321 transcript:rna-gnl|WGS:NBSK|LSAT_3X127321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTDQENLKNVEKSGTDELDWSLNLNDFELNLIREIISSGDIATVLQLQHHQSKSPENSTNFPATGTTATPPVDEAMNMEFTARQCNEMVVSGLKDRRPPPQSLQKKRYRGVCLRRSGKFSAEICARGRRRWLGTYDTAEEAAMAFDRAAFKERGSHTVLNFPDLIGNLNVSPEKDTNKKLSLWSEGSPESSTNNHT >cds-PLY81919.1 pep primary_assembly:Lsat_Salinas_v7:8:122721513:122722485:1 gene:gene-LSAT_8X83721 transcript:rna-gnl|WGS:NBSK|LSAT_8X83721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAQLMITDMIMSFMWIWSGIIIRLIAHVVLGLSGHASEFVRSVLTILNMFLFTHLVKLTDGGAYNPIVVFTSAINGTFTTFLFNIARIPFQVAGSIVGVRLIIETFPELWRGPALVINLHQGALTEGLLTFSQVLITLGLDRSINGSFYMKTWISSILKFSLHILGSDLTGGCMNPAAVVGWAYAFGVHKRKEHIIVYWFAPIEATLLASWTFRLLTRRSKPEKKKKQKIN >cds-PLY62876.1 pep primary_assembly:Lsat_Salinas_v7:9:131282278:131287118:1 gene:gene-LSAT_9X85400 transcript:rna-gnl|WGS:NBSK|LSAT_9X85400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNTQSTDFTLVVDLGTLPMQTTLGEVGESSVVARRNVDPNINDDDFINDENDDDDTYSSDEEINNENYDSDDDVVHMAEVARGHRGDGGDRPPHGIARGVPSDCQSCQTRVGFYQTSCPRVETIVQSAVAAANRANPGVPPGLVRMFFHDCFVNGCDASVLITGSGSERTAPPNSLLRGFEIIDAAKTQLETACPGVVSCADILAIAARDSVLLAGGIARWQVPLGRRDGLVSRAADTANLPAFNDPVDVQIRKFSDKGLSTQDLVTLSGAHTLGTAACALFSYRLYNFNNTNGPDPSIDTTFLPTLRNLCPNGGDGSTRVALDNGSENRFDTSYFGNLRSGRGVLESDQVLWGNPTTRTFAQRFLGVRGLVGLTFNVEFARSMVRMGNIEVKTGTQGEIRRVCSAFN >cds-PLY67976.1 pep primary_assembly:Lsat_Salinas_v7:2:12489660:12499717:-1 gene:gene-LSAT_2X6040 transcript:rna-gnl|WGS:NBSK|LSAT_2X6040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVSKFILISLYVFLCLCNLSDGKNMQEILSVPAILVFGDSYADTGNNNYIDTMFKANFLPYGKDFMGGVPTGRFSNGKIISDVFAEGLGVKEYLPPYLDPSIQINDLLTGVCFASAGSGYDPQTTNQTRAIPMLDQLDLFKEYLGKLETNIGEEAAKEIITNNVFLVVASTNDMLFSLPASGLPDDVYDSMLVNLTLSFVQELYKLGARKIGVFSAPPVGCLPVERTLEGGLLRMCAENQNQQAQIFNNMLKGQLPILESNLPQSRIAFVDFYNPLISIINNPEQYGLEVTNRGCCGTGLIEFSYTCNQLVGTCPDDSKYLFFDSGHLSEIGCYIFANLTLPGLVENLF >cds-PLY68571.1 pep primary_assembly:Lsat_Salinas_v7:2:22938935:22939312:-1 gene:gene-LSAT_2X9621 transcript:rna-gnl|WGS:NBSK|LSAT_2X9621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSVIRCLRFPGQLNSDLRKLAINLIPFPLLHFFMVGPDLLTTRGSQKYISLTVPELTQQMWDANNIMCAAYPRHKRYLTVPAMFHGKMSTKEVDEQMINIQNKKSSYFVEWIPNNVKSSICDIP >cds-PLY74529.1 pep primary_assembly:Lsat_Salinas_v7:7:38343090:38345739:1 gene:gene-LSAT_7X28120 transcript:rna-gnl|WGS:NBSK|LSAT_7X28120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIFQTVGAVTSLSSNASFDTKKFHLSSRKSSSERKSSFLVVRSDAAKMNTGLNKIGGRADQLVTNAVATKPDTSAASTASKPGHELLLFEALREGLEEEMERDPHVCIMGEDVGHYGGSYKVSKGLAPKYGDLRVLDTPIAENAFTGMGIGAAMTGLRPVIEGMNMGFLLLAFNQISNNCGMLHYTSGGQFKIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSDNPVILFEHVLLYNLKETIPDEEYVLNLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGFDPEVIDIRSLKPFDLHTIGNSIKKTHRVIIVEECMRTGGIGASLTAAINENFQDYLDAPIVCLSSQDVPTPYAGTLEEWTVVQPPQIVAAVEQLCR >cds-PLY93940.1 pep primary_assembly:Lsat_Salinas_v7:1:158668163:158675776:1 gene:gene-LSAT_1X109780 transcript:rna-gnl|WGS:NBSK|LSAT_1X109780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLNVDSKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRYPTFENVERWLKELRDHTDPNIVVMLIGNKSDLRHLVAVQTDDAKSFAERESLYFMETSALDATNVETAFAEVLTQIYRIVSKKAMDAAQDTTLPSKGDKIDVGKEVSAIKSVGCCSS >cds-PLY78134.1 pep primary_assembly:Lsat_Salinas_v7:2:62833153:62836350:-1 gene:gene-LSAT_2X27000 transcript:rna-gnl|WGS:NBSK|LSAT_2X27000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVTIAAEGILKKVLSIAAGELAIAWGYEEKLTSLHSKLEMIRAKLLDAEGKKETRAVMVWLKQLKDVVGEADDVLDEVHYEMLRRQIKKQDRMARKVVCLPSLKKFSFRYKIGHKIQNINEKLLKINTEANSLGLQNEHPAGLVLDRLYWRETIPNQEEFKIVGRDNDKLHIIELLTQSRKEEKLSIVPIVGMGGIGKTTLAKSVYNDKNIEQHFDVKAWLCVSVKVDLNTLLAKIYESLAKKKPTSDLRTSLIESLKEQLTSKRYLLVLDDVWVEERPYWEEFRSCMLNVSSQNGSGILVTTRKLEIGTRDMHVDSCLLKGLSNDHCWHIFKERAFVKGTSASPELVKIGRDIVEKCAGLPLLLNVIGGMLANYNDIEKWLYIKNSKVWDLEEERDRVQKSLELSFDNLPNSIVKQCFVYCSIFKKDTVMEREELVQLWMALGLVQADEERNKEMEDVGNDIFQILVSNSLFQDVERDEYGHITRCSMHDLVHDLSLSLSKHESLCLEDASNDDIACIPQVKHLAFYKEKNYKLAAEVSMFIERNTVARTLRTFFIEGEVDKKFPFQRLKCIRILQLKCYTIEKLDDSIGRLVHLRYLDLTYTSIRVLPKSIGKLYHLQTLKLPNIKKFPKAMRNLISLRYFQRYKENVTRPWLDMPFMFDKYIPANIVGQMTSLQTLPSFIVLRRKRHGIEELCRLNNLGGSLSISHLENVSSKENAFKADLSRKKNLYEIEFEWSWNHGGANINDKDVLEGLQPPRNLKKVTIINFCGDNFPDWVMKMAIDIEGKWTPLDKLTEITLFRCCNCLSFPTLEHLPHLRGLDLYEMDNLTCLRVSDVTGSTKPLSPSLRSLRLRNMKRLENWIDGAPNSSKMISPVLETLEIESCAKIILLDECHPHPLVSLRIWSCTSLEYIKSIQGLTSLKSLVIGYCPSLSLIANLPKQCHSLKSLRIGYCEKLTSLPCEMFNCFAFLNKLELGPFSKELDSFPSLQGIEKLRNHLDYLDLRGWDHWESIPEEIQHLTSLTSLVISGFGMRELPVWLTNMSSTQDLSFHDYNGLKKET >cds-PLY73346.1 pep primary_assembly:Lsat_Salinas_v7:7:64794435:64796171:1 gene:gene-LSAT_7X45981 transcript:rna-gnl|WGS:NBSK|LSAT_7X45981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPSFMFNLFLLSIILYQAQGIRFKTSLISSSNHQELITKTTLSIEDDESARASNLSPGIDRKLMAKILSSDRHSTNSKNYKPQSDLKFTHRRLGKDEKFSTTSVPVSSKHGKTEIVSEPYPDVVDITGMDYSPAKRKPPIHN >cds-PLY67357.1 pep primary_assembly:Lsat_Salinas_v7:4:60320251:60320667:1 gene:gene-LSAT_4X40221 transcript:rna-gnl|WGS:NBSK|LSAT_4X40221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVTPTDVESSSAGDFSHSMQFTPPRSYEDDEGEEVFVGEEVEEGVVGKEVVMGEEAEDGGNAQKFNPNVQVIDNVQIKEVVPVIQVQQVRVRPISDILKRIRRRKSEIILKLKLGKTIGGVDYPPNSKGKALVIHYC >cds-PLY85086.1 pep primary_assembly:Lsat_Salinas_v7:7:6137214:6141521:1 gene:gene-LSAT_7X5320 transcript:rna-gnl|WGS:NBSK|LSAT_7X5320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSIGEDFGMIGRRTEKLEKSSLDSKSEGNTGRLEFTLKSGHGACLTKMGAVDYVEHEACAQNVSSERPLRQFITGKDKSTGRNSKGRIIIFHKGGGAKRSQRTIDLKRNTSSVGVVERIKYEPNRTSRIAVVRWVEGVAVDRLKKVNSLQKNFTPPPRFYPPSPSKVNFLSL >cds-PLY78042.1 pep primary_assembly:Lsat_Salinas_v7:7:193066606:193071429:1 gene:gene-LSAT_7X114900 transcript:rna-gnl|WGS:NBSK|LSAT_7X114900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKERRLAAKTAVNRRVKLDLMAEPSGDFGDSSNKEDVEGGGNSKNHAGSPDSPSSSGQKPENPLLLLGQYSDEELEEESGKEISHDTRENSPAELDEQVKAESHEGTEVDKDENITCEKPDQENKEHSSSLDVEENGVVEDDIVLPSHKDMDEVEQTTMSWTSDTQTENMDSGWKMVLHEESNSYYYWNIATGQTSWEVPVDLVQGNEPAYDPQSVTEVEEMNVTSDDTQPNDGPTKPLVFEATDKDSCRPELQVQTENHTDIMTMSNDVVEGNYVNTIDGVNNGEAHHTDSLRLLQLGESLSERLKSLKGENNEISKLMIELDVRLADIKSLVPYGSSLLPFWLHSENHLRKLESAINNEIQEHSQSPNEAEKKVEENMNEATASEKEEKENENAVPQADTDDDMDVEMEVEDEPVVQNRPQSEEESEVPPPPNDEWVPPPPPDDEPLPPPPPDEQPEATFPPPQAYSYGGEGEEYAHFAYGGPAFEYYGQQVVANHDYFVDANGAPLTALYYGTSVVGNPPYPGGTSVVDPGEHVTYYTIQEGSVPIQPVIGSESVAATCTVSAPTESSSVPKVQPKGKFVRNKKRTVAAVPGLRSNKKVSGLVDKWKAVKEELHEEDEEDEEPENALEALEKKKQREIEQWRAQQIATGEAKDNANFQPLGGDWRERVRRKRAKKSMEAEDKEAKGTVDTTNQKPDLVQLTKQLPSPWQAYWDEASKQVYYGNSKTSETSWTKPQP >cds-PLY85322.1 pep primary_assembly:Lsat_Salinas_v7:1:185839878:185840737:1 gene:gene-LSAT_1X119441 transcript:rna-gnl|WGS:NBSK|LSAT_1X119441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTIVDYQSQVHRPPLTPPPHIMDTPLMVDEDMEIWLQNSTLSGEAISLTHLGHLPALMAIHTDIPVNIIDNVGKIVLLFRGIMNHMDLSCVKFCILIGVKTKLNDELIVLVNKQPLSAGSVEYEEEPWIPFKFETDDNSYEPVTNQTDSDDSDTEESEEGKEFNYNIHVKDMEEGEIAEEEMENIIDDKLKNMVGDMSKIRVEDIPSERFKSRRI >cds-PLY75617.1 pep primary_assembly:Lsat_Salinas_v7:9:120193015:120194858:1 gene:gene-LSAT_9X79360 transcript:rna-gnl|WGS:NBSK|LSAT_9X79360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRRATEIELDTMEGFASREKLHHHLNDQEPTLMMFKLDEKLKLIESRLESKVPMAPKQPNTGLFVGLNKGHVVTKKELAPRPSDRKGVSNKS >cds-PLY87639.1 pep primary_assembly:Lsat_Salinas_v7:1:122735883:122738361:1 gene:gene-LSAT_1X92980 transcript:rna-gnl|WGS:NBSK|LSAT_1X92980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGRLYFTKGGNSKWMTTLVQTVGFPLIIPFIFLFSPSKNSSEVTNKPSWSTLVILYTTLGTFVAADCMLYTFGLKFLPVSTYSLICASQLAFNALFSYFLNGQKFTPFIANSLVLLSFSSTLLVFQSNYEETGKISRNKYIVGFVCTVAASAGYALMLSLTQLAFQKILKSTSYKVVFDMAVYQNMIATIGILMGLFASGEWKKIKEEMMGFESGRVSYIMNLVGTTISWQVFSIGCVGLIFKVSSLFSNVISTFGIPIVPVLAVMFFDEKMNGVKMISMLLAIWGFLSYIYQHYLDDLKEKKSVKLVNHDLEVNLTERSMIIQ >cds-PLY68052.1 pep primary_assembly:Lsat_Salinas_v7:5:294629236:294631712:1 gene:gene-LSAT_5X157440 transcript:rna-gnl|WGS:NBSK|LSAT_5X157440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVATVQHNLKMQPSVSLLPSSQSSFCGTKFNTSVQYKRKVCQPKGALNVTASGAKKILVMGGTRFIGIFLSRLLVEEGHQVTLFTRGKAPITQPLPGEADEAYNAFKSKILHLKGDRKDFDFVKTSLAAEGFDVVYDINGREAVEVEPILDALPNLEQYIYCSSAGVYLKSDLLPHCETDAVDPKSRHKGKLETEALLESKGVNYTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPNSGLQVTQLGHVKDLATAFIKVLGNPKASKQVFNISGDRYVTFDGLARACAKAGGFPEPELVHYNPKEFDFGKKKAFPFRDQHFFASVEKAKVELGVVPEYGLVEGLKDSYDLDFGRGTFRKAADFETDDIILGKSLVLS >cds-PLY91754.1 pep primary_assembly:Lsat_Salinas_v7:9:16899112:16899462:1 gene:gene-LSAT_9X17001 transcript:rna-gnl|WGS:NBSK|LSAT_9X17001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLTILLYLLSCSAGSVTQDQWSLPVPDEKNGITHYGLVENDYGLVHGLLEVEGTLVGSSWTCNQFDKDRVTLLLRSEPRNPLDMIQNGSCSILDQRFLYEKTNRSLKRGTTDNR >cds-PLY99123.1 pep primary_assembly:Lsat_Salinas_v7:8:71245937:71248089:-1 gene:gene-LSAT_8X51160 transcript:rna-gnl|WGS:NBSK|LSAT_8X51160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSELKDSAIKLFGKTIQLLHVDDDDVVLGKADDQQQQQQQQCSSSEDIKKNTTITQTEDTSCSNSSTSSGGINDDPRTPTADNEPSSKNSTKKENQNSEEKPQKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNTPVGSGRRKNKSSSSASYYRHLIVSEAAFQPSWKTNGSVLSFGSNVPLCESMNSALNFSDKSQKSEDDLSSNSVQRNFQKYPCPIQCFPHPPPPPPPWPYPCNIQEFPRIPLPNPTHYRPPTANLSGFPVSFYPTPPYWGCTVQPPPWSIPWVTPPNDQIAPHSLLGKHSRDGYMLSQSITSSNSRNEEFSRERDSESGVFTPKTLRVSDPNEAAKSSLWSTLGILKGENGSNNDNNNNNNGGNLYKAFQSKSHEKNEVVTSSLVNLQANPAAFSRSLNFRETS >cds-PLY73462.1 pep primary_assembly:Lsat_Salinas_v7:4:179370124:179370804:-1 gene:gene-LSAT_4X106261 transcript:rna-gnl|WGS:NBSK|LSAT_4X106261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQGTGVSKSIGASSTAAPPPPPPIRGVGLGPRATMADEKENARKGLAKKKLPTAKEMVAHYESQGMQPQEASLKVIDDLQNLLLRVVNTSKKNNMDAINNRLLRLESKLDSKPGFPQTLAIGVLSGTVVHVFPQVVSSVAHIWNSVRSSTSST >cds-PLY96702.1 pep primary_assembly:Lsat_Salinas_v7:6:77386070:77386989:1 gene:gene-LSAT_6X55801 transcript:rna-gnl|WGS:NBSK|LSAT_6X55801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEAIRIEVDLATPGHTVIKGGEKKKQDGSSESSRKKKNAHQDTRGDKSDYCTKRRSSHKGSYSSDTMRCKQCGKLGHKQEECKNEPRCYNCRQTGHMSGQCPNPKVQARGSVKKNDAPKVQARAFQMIAEEIRRDNEKALGSFGSCGGGFKEVNGYLLVEVVKQ >cds-PLY67455.1 pep primary_assembly:Lsat_Salinas_v7:6:69382662:69390163:1 gene:gene-LSAT_6X50680 transcript:rna-gnl|WGS:NBSK|LSAT_6X50680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNSQINQTNSLSNLLPLHKPFIASDATTHSSYSAYTPASLRQPTTTKKSNATRTRSTSVGKIKAISIPFLTMTTVKGVITIQPTINSAIAGVGIGGVADGASDLLGRSFLLELVSNDLDSILVENEYSKKMFFKKIVLNNNITFTCESWVASKDDNPEKRIFFTDKSYLPSETLESLKSLREKDMKSLHGNGQGERKSSDRIYDYDVYDDLGAPDLSLSLARPVLGVNDHPYPRPCHTGRPMSTKDPLSETRTLLPFYVPSDEDFSEIKEVNFGARALYSVLHAVVPTLDATITDKDKGFPLFRTIYMLYDQGVNVPPPDNGLKTVLPRLVKGAVDTADAVIQFETPETIDRDTFSWFRDEEFCRQMLAGLNPYSIQLVTEWPLMSKLDPRVYGPQESAITKEIALAEKKLFMLDYNDLLLPYVNKTRELNGTTLYGSRTSMFLTPTGTLRPLAIVTRPPSDDKPQWKHVYTPAWDATGAWLWKMAKAQVLSHDSAYHQLVSHWLRTHCVMEPYVIATNRHLSQMHPIRRLLLPHFRYTMQINALARLALINAGGIIESTFSPGKYSMQICSDAYDQLWRFDHESLPADLISRGIAVQDPNSPHGVKLTIEDYPYSNDGLLLWDAIKQWATSYLNHYYPKTNLVESDVELTQWWDEIRAVGHGDKKNEPRWPQLKTQEDLIGIVSTIMWVGSGHHSAVNFGQYDFAGYFPNRPTIARTKMPNEDPTEEGWQSFIKRPEDVLLKCFPSQIQATTVMSVLDVLSSHSPDEEYIGGYIEPAWVAEPAIKAAFEEFRGSLEKLEGIIDSRNVDPKLHNRSGAGLVLYQLFKPFSGLGVTGRGVPNSISI >cds-PLY68290.1 pep primary_assembly:Lsat_Salinas_v7:1:27961622:27963110:-1 gene:gene-LSAT_1X22780 transcript:rna-gnl|WGS:NBSK|LSAT_1X22780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASHLDRWEKDPFFRAAEEVQESADRMESTYRTLIHASKEPSVWNSDAVRRDLQTAMGTTKWQLEEFERAVLSSYNKTSTDNTKDRHRDFINAIETQISRVETSWNDSFSSKGKPPCPWVKLNEGERKELALFLAGSVTPGAHEEQPAKTRRKQKAAAAAAKEKKISGHRRAASASADIGSWKITVDDDVGKDGKAPRKIPSFSGLLNTLEYTASKLKWPKNGYGKLKQELDVAPIIQIHDMNCPEHYDDDHHDRWLHRWCGAIQRQLQRLQYYMLYSCRTQTIFWVVVIICLLGEFSVRH >cds-PLY67483.1 pep primary_assembly:Lsat_Salinas_v7:6:70319447:70319668:-1 gene:gene-LSAT_6X49300 transcript:rna-gnl|WGS:NBSK|LSAT_6X49300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGFSLVPEVEIRVWFQITDPVLPDVGLDCEGVEGWMFAFIFSIFASIYLGRSVIRVCKARTEPVKSFIWSLS >cds-PLY71660.1 pep primary_assembly:Lsat_Salinas_v7:5:128858054:128864635:-1 gene:gene-LSAT_5X55261 transcript:rna-gnl|WGS:NBSK|LSAT_5X55261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEQTHRPYHTMGLESGQNKAANPDEQSPSLSQPSEFSFLLFHHRKLFKQTPKMVIIFATVAISCLLLYHHPLPLSGNYNPLADSEHIENSGINLDELKSILENATMGTNTVIITTMNDAWTEPDSMFDLFLESFRIGNQTKRFLKHIVVVTLDQKAYSRCLKLHPHCYNLSTRGMDFSGEAYFMAADYLKMMWRRIDFLRTVLDLGYSFLFTDADIMWFRDPFPQFHKDADFQIACDYFNGNPYDLNNLPNGGFNYVKSNKKTIQFYKFWYNSRLTYPSMHDQDVFNKIKYNPFIKNVGLQIRFLDTAYFGGFCQPSKDLNKVCTMHANCCVGLENKVNDLGIMLGDWRNYTRSLNNQTTHESVGLWTIPQLCRGSFQRPRPPPKKYDKGRKN >cds-PLY68534.1 pep primary_assembly:Lsat_Salinas_v7:4:21012419:21014464:-1 gene:gene-LSAT_4X14640 transcript:rna-gnl|WGS:NBSK|LSAT_4X14640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFTITKSILILTLVFIFFQVPTFASSPHFINFRSPNLYPESLSWDRTAQHFIVGSLRHPTLLSVSDAGVINTLVSDDSIPANSSFLGITVDVVHNRILAVVHSHSQPSNSALAAYDLRSPHRRLFLSTLHDTTSTTTAPAANDVAFDFSGNAFVTNSASNFIWKVDLEGKSSVFSKSKTFTKTPGIPEMPCGLNGIVYCSKDYLIVAQSNTGNLYKVDSEDGTARKIQLNKELNSPDGIAFRRDGVLVVVSKEKLYFIKSDNSWSDGVIYDETALDAERFATSVTVGAEDRVYVLYGHVDEGIMGNSQRDEFSILEVRSEEESRGDAVWVYVLIGFGFAYFLVWRFQMRQLYTNMNKKIA >cds-PLY97290.1 pep primary_assembly:Lsat_Salinas_v7:1:43710706:43717011:-1 gene:gene-LSAT_1X38040 transcript:rna-gnl|WGS:NBSK|LSAT_1X38040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTVVYGALDEICLQGANGLTLRRLWSKITTHLSSNGLHLCTNVKKALWSSLLNIPSLRFECKGVSYDAEDPNIKYFEDAEAIDLRIIAAEHLLDNFLGIYDIKASDSGISQPHRRTLERLAIARTDGITQNDLAKELGIKNNNIYNILRNLETRGLIARQSTIVRKKEAGNEGEHKAGSIVNTNMLHLYRYAKHLGSLQRLEITKEDNADRGVVTEDGDSEEHIKEDVHIKDYASDIKAICDRLEKADGKVLVVGDIKKELGYRNKTGHKAWSSILHKLKDAHLVEEFHATVNQKEVKCLRLLKTFSPKTCVPKSHGGGNRGQITEQLLELPIEQQIYDMIDAEGSKGLILNEIYKRLGINSKRYYPRILDMVSRFQMHLKSENLNRGLVYRVWTSGNFSTEASTSLPAKSDHHLTITVNAEVSEEQNIDPIGSTSSQNLQCDTNGITVNLNPASNLEISSSASLAPRVRRSYTTYPCLGFSSASSKREQRILEKLQEEKVLIKPELQRVLESLENLDNKYTTMDRKTLERSLNKLQEDGHCKCISFAIPSATNCGRKRTVDVILHPSVYKSEDLSDTVHEKIRSFEKLIRAQSFPRHTNGKSKSIPVLNNVERIPTKNDVQFQSETFIAMRENGFVLAKMVRVKLLHVFLWGYLTLDRSREDDVYEGKNQYSSCKLFELDSAIKAMPLELFLQVAGSPVKLDSMIEKCRNGIRLSDLPIQEYRSLMDTRATSRLSYLIDILRRLKLIRLIGGEFMETSVGPHTTTTATLRHSLELKPYIEEPVTVVLPSPGVNSFDLRPHVRHDFVLGSRKGVEEYWNTLEYCYSASDPKAALHAFPGSAVHEVFLSRSWAAVRVMTAHQRAELLKLVANDDSNQKISYKKCEKIAEDLNLTLEQVLRVFYDKRQKHKLKGATSTKDPPTNALITYKRKRSSKGKGKTTLMENLLEESSKVKHPKLFHEDNEISASRMDDMDAAADDDVALTEDEDGGQSYSVSKLQQPTRTERFPWTETTDRLLVIEYIRHRAALGAKFHRVEWSSLENLPAPPETCRRRMSALNRNNQFRKAVMRLCNMLSVRYAKHLEYSKNKTLIHNRKPPDVVIHPSNELDVDEKWDDFDNKDIKISLDEVLQYKQISKSAATKGGSNCPRFNEFDQTASIPRDELLDSGRREASGRRSRRRYLPKSYDNLMNRGKGFSTQTYKSLAVSNAIELFKLIFVSTAKAKAKAPAIPNLLAETLRRYSEHDLFTAFNYLRDRKFMVRGNDASHFVLSQQFLHGISSSPFPVNTGKRAVKMARWIHEKEDDLLEYGVNLPVDLQCGDVVHLCVLMCSGEVSLFPCLPDEGVGEIEELKKRKRECDDKQEQVCVEKTKKPKILDSEIFSRKEKGFPGIQLCLNRSFISRVDAITFSRDGDTCSSVSNSSPSSVHVASSESTWEAMSSYARHVASSGSFNPNMFQTVYSAIQKAGDQGLSMEGISQQEGGKMGEVIVEVLEAFGRVLKVNAYDYVHVVDSMYRSKYLLASSMASHQHQHQHQHEHDLPHLKEPQTSSINDELQQENHTDDEVHRVTILNRPEPEENETNKNVKASCSGTGAEEGFCIGDGDGYKPIVPWVNGDGSMNEIVYKGLVRRVLGIVMQNPGILEDQIISQMNVLNPQSCRKLLELMILDSHIRVRKMYASVSNEPPAMLRSLFGCSFNKPNLVFRQHFYANPTSIDCL >cds-PLY73241.1 pep primary_assembly:Lsat_Salinas_v7:8:45051193:45051934:-1 gene:gene-LSAT_8X34341 transcript:rna-gnl|WGS:NBSK|LSAT_8X34341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVKLWLTTQLASGGNFTFSSSQDPLFVIGADVVPPRRIGSPLPENIELGAQCFTTMQTPSGNFLVSCGNWENSFQLISLNDGRVVQSVRQHKDVVSCIYVTSDGSILATGSYNTTVMIWEVLRMRTCGIPSESSHRKNHVITDRPFHILCGHDDVITCVYASTELDIVIPTGRVYSTPSRKADT >cds-PLY86660.1 pep primary_assembly:Lsat_Salinas_v7:4:279090931:279091842:-1 gene:gene-LSAT_4X143161 transcript:rna-gnl|WGS:NBSK|LSAT_4X143161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSPKPTSRKDFRSVTLPCRSHPRTYRIEKVLINKLKTWESTSSLSNASGEIICSGVFQLTELYECFDDLVKTCPSKTSLDSSNQNMRWTDELLDVSVSFLDIFSNISDLMLQTKQHVRDLGCDLRRNGGPSIDSIIDNNTAFRKKLRRDIKTSLASLNQLDGIIGHSPLVDFENNHLISVIRVFREVKAFTAVIVQLLLKFLAIPLLKRRSRSRWTAVSRYISKSKVVPEEKADTNINELQQLDAALFRYRTSNKLEFIQTVRKKLEEFEATVDGINSHLESISRHLVTTRTSLLNFISFY >cds-PLY99148.1 pep primary_assembly:Lsat_Salinas_v7:2:12031500:12034497:1 gene:gene-LSAT_2X6361 transcript:rna-gnl|WGS:NBSK|LSAT_2X6361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRVCVTGAGGYIASWVVKLLLSEGYMVHGTVRDPCDEKKNGHLRKLENAEERLKLFKADVLEYENLCVAFAGCTGVLHVASPVPGGPVSNPQVELLDPAILGTKNVLNACLNAKVERVVVVSSGSAILVNPKWPSDLEMDESCWTDIEYAKSIEQWYAISKTVAEVEALEYGKRDDLSVVTICPAFVIGPMLQSIINATSLFLLSYMKEEGRIGGDTIQNAERPYVDVRDLSEAILLLYENLESNGRYICSPYSLRTRDFVAKMENMFPGHTYPKIFTEKSGRALLSSKKLINLGWSYRPLEETIVDTVKNYEELGFLEKGKPFPATIKF >cds-PLY82169.1 pep primary_assembly:Lsat_Salinas_v7:1:15632087:15632997:1 gene:gene-LSAT_1X14060 transcript:rna-gnl|WGS:NBSK|LSAT_1X14060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYAFGNTKLQIAFLNFRLMKENIELTSAAAAAAGIVIFMELKFVCLTSLRYFLISYMFVEWVILLHDCYALWARPMWRFISATQTATTLTVANQPQDTRKLCCHGNKKSLGVDMNIIMERLGMFWDHDDEKRRIIVSEEILDLFAEEEPSLDEVKEAFGVFDRNKDGYIDVKELQYALSEMGYLRISESDCRRMIDGYDVDKDSKISFREFLRLMEDCFR >cds-PLY72143.1 pep primary_assembly:Lsat_Salinas_v7:7:57251590:57252606:-1 gene:gene-LSAT_7X41541 transcript:rna-gnl|WGS:NBSK|LSAT_7X41541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKNQNHHHDDASWSYLDHDVLLLVMMQLGFVDFFAFSGVCKSWRSFAISNRKTFMASIPPMSVCISMKDNEDECCYYLKDFERRNFKPILSHLAERICVGSTCGYLVLFSRKTRNFWLVNPITRHELHFRNFPRDISVGYLDRIRTILVFSPSIPRWVFVILYENIVIYTVDKEKWNHVSSIIPILDLHFFKGKIYTLHTDRSLGELTLNWKQKREPMSLEIKNFLKPDLLRPELVSSNEKLYLIDRISKPEKILELDFGEMKWVLPEKTISEYIFFISILNPSAAIKPKSWAGLRTQYKSYDQLLDNDKSGHCMFFYRRVWYFPHDCSDVHLLDER >cds-PLY66366.1 pep primary_assembly:Lsat_Salinas_v7:5:289029715:289032213:1 gene:gene-LSAT_5X152961 transcript:rna-gnl|WGS:NBSK|LSAT_5X152961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGSWVRALMVVGFFFPLLVQGRLRHYKFNVVTKNANRLCSSKPIVTVNGRFPGPTLYAREGDNVLVKVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQPGQHFVYNFTITGQRGTLLWHAHILWLRATVHGAIVILPKLGVPYPFPKPHMEQVVILGEWWKSDTEAVINQALKSGLAPNISDAHTINGHPGRIHGCQTQGGFHLSVEKGKSYMLRIINAALNEELFFKIAGHQLTVVEVDAVYVKPFKTSTIVIAPGQTTNVIITADKNSGKYMMIASPFMDSPIAVDNKTATATIHYTGTPSSSPTTLATPPPQNATAVANSFIDSLRSLNSKKYPAKVPLKIDHSLYFTVGLGINPCPTCKAGNGSRVVAGVNNVTFVMPTTALLQAHYFKTKGVFTTDFPSNPPTVFNYTGSTPPANMATSNGTKLYRVKYNSTIQLVLQDTSIIAAENHPIHLHGFNFFAVGKGIGNYNSKKDQKKFNLVDPVERNTIGVPSGGWVAIRFRADNPGVWFLHCHLEVHTTWGLKMAFLVENGKGPNQSLLPPPKDLPKC >cds-PLY84291.1 pep primary_assembly:Lsat_Salinas_v7:6:6160420:6161994:-1 gene:gene-LSAT_6X2320 transcript:rna-gnl|WGS:NBSK|LSAT_6X2320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNFSHVPPGFRFHPTDEELVDYYLRKKIASKRIDLDVIKDIDLYRIEPWDLQDLCKLGTEEQNEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYSKNNLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTTQEEGWVVCRVFKKRITTVRRMDEHDSLGWFDDQVSFMPNFESPKRISTHPYTSNTSYHHHQFPAKSELDQLHYNLPQDHSFLQLPQLESPKIQQPVSTTFHPSTQQLNINLLYGNNIGEQDLQLTDWRVLDKFVASQLSNEQDPSNPHPSSLQMTEHMNMLLSDSKSDEMASECASISTSTCQADLWK >cds-PLY64802.1 pep primary_assembly:Lsat_Salinas_v7:2:101744828:101745394:-1 gene:gene-LSAT_2X46620 transcript:rna-gnl|WGS:NBSK|LSAT_2X46620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPSIIHGISIPSSNTANLSKNREISMDEVVMSRPNSDLKLVKSPLTTVSPSIANHMDSSTELAMNGDQIVVDVNVGNDKMLNEEDKGLNVPDKVVIPGVEGHQKAASLKTVPLVFPELCAELFDGNSASGNLSYATS >cds-PLY74706.1 pep primary_assembly:Lsat_Salinas_v7:5:25141738:25142413:1 gene:gene-LSAT_5X12501 transcript:rna-gnl|WGS:NBSK|LSAT_5X12501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIILHKSPCNLLVFGLQDQYLKLHAINGGGTTVFIDDNPENLNKLKGSSNSNDTLQVYRVEYNTYAKDAYRLLKHARSNSSCDLRSGITSLMKECKLGLTVVTGMPLEVVKMKWDVIVVDGPDGDGPESPGRMGSIFMAGVLANGTNVVVHDVDRMIEKWFSWEFLCHENLVSSKGRFWNFRIPHQIIRNNSSKFCEA >cds-PLY67872.1 pep primary_assembly:Lsat_Salinas_v7:5:12005607:12009020:1 gene:gene-LSAT_5X5521 transcript:rna-gnl|WGS:NBSK|LSAT_5X5521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLDTKGFFLFILHLSLSIPIVLSVSLSIPIVYAWSDTITSAIPLKDGNTIVSSSGLFEMGFFSPGISMNRYIGIWYKNIPTKTVVWVANRDTPVPNKSGVLKLTNPGILSILNDKNTIIWSSNTSRPTNNPIAQLLDSGNLVVKDKNDVIWQSFDYPGDTLLPGMKIGKDLATGHENYITSWKSDDDPSKGDYTFGCDLRGYPHQIIKKGSVIQYRSEPWNGIDFGGISVLPQNAIYKFDMVFNQKQVFYSYRMINSSMISRLTMNRTGVAQRWVWADQVNDWVVYFSIPTPDGCDLVCGAYGSCSTNSFPKCGCLDKFVPKYQNEWNGANWSKGCVRRKALDCKTDGFVKYTNLKLPDPQNSLFYGNMTLVECEKLCVKNCSCMAYANILKKGFGCLIWTGDLVDIQAAPSYTSEIYIRVAFSELGVSSSLKKKKKKIIPLIIALVSGVLITLGLVFFIWRKWIRKKSVPKGEDEDVGNDTDQLYTNESQKDDLELPLFNLSEIAKATHNFSFKNKLGEGGYGPVYKGVLPDGKEVAVKRLSKTSNQGLDEFKNEVICISKLQHRNLVRLLGCCIQGDEKMLIYEYMPNKSLDYFIFDESRRMLLDWPERFNIMNGIARGLQYLHEDSRLRIIHRDLKASNILLDFHMIPKISDFGMARSFIGNETQANTMKVVGTYGYMSPEYAVDGVFSVKSDVFSFGVLVLEIVTGKKNRGFFHHDHHHNLLGHAWILYQEGRCVELIDANLSDSCHLYEVMRSIEVALLCVQRNPEDRPSMSSVVVMLSSESELPQPKQPGFFYTEHDPKDDTSSSTHVPSSTTAMTITLVDGR >cds-PLY98133.1 pep primary_assembly:Lsat_Salinas_v7:1:139422757:139424799:-1 gene:gene-LSAT_1X101101 transcript:rna-gnl|WGS:NBSK|LSAT_1X101101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAKARRIRQHQENLRRAQSNVTPPQSTTSSVREESENQLMQPSNPEPLESSVVAVPATSSLCNLERFLETVTPSVPVQHLSKQRTMRGWRTSDVEYQPYFVLGDLWESFKEWSGYGAGVPLILNEANCVIQYYVPYLSGIQIYVDLLKSSINSSNWNIILLLYRQLTEDIDDNSFTDSSSDGSSDYEQEKGSLHYLREKSNNHHPKNDILHRMDHLSMNDENNVVQEDFSSDDSDSATTQSCLIFEYMEHSQPLGREPLCDKILDLAQRFPELKSLRSCDLLPSSWLSVAWYPIYRIPMGPTLKDLDACFLTFHSLHTPTTGHSYISYKSPWIFQAEKQPFFIVIKFTSCITNLLXIRKTQPI >cds-PLY80241.1 pep primary_assembly:Lsat_Salinas_v7:6:125608383:125617120:-1 gene:gene-LSAT_6X77021 transcript:rna-gnl|WGS:NBSK|LSAT_6X77021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVVKDITIKLHSSSRNYTKTLMHTLEDISLDQIQKHLQIEEETHMLENNLDGASTSKEQRAKKQISTTSLVPTIARVGTNKNIPNQTSSKARPIEYLRDCLKTNQVVNIVADSGILEVGTYDFSVTCEEYFRLLRKLTTDASIITVWQLILHSMARTCMNKCAFLNPYNILGEACQKNPKGVVSYLVDSIRLHHGKLFLIALYLQKEVRRKSHYN >cds-PLY73128.1 pep primary_assembly:Lsat_Salinas_v7:2:190427467:190428007:1 gene:gene-LSAT_2X111960 transcript:rna-gnl|WGS:NBSK|LSAT_2X111960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQCLSVMNCWLKCMMLDPYNKTDHPECKSRPDSGISSITELDSCYITGPLSSVWKEWCIEFGIEANDILAVPYDWRLLPSKLEEWDLYFHKLKLTFETALKNVPLLPPSPVAAAFHM >cds-PLY85614.1 pep primary_assembly:Lsat_Salinas_v7:2:79391536:79395331:-1 gene:gene-LSAT_2X35801 transcript:rna-gnl|WGS:NBSK|LSAT_2X35801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSMCLIILLLAKLVVGTTELDSLLEVKRGIQEDSSGKVLGSWDPKSLASNGCPLNWYGITCSSGHVTSLMLNDLGLVGTFGFASVIGLSNLRNLSISNNHFDGIISKEVGSIGSLEYLDISSNLFHGPLPKEITNSRRLVHLDLSLNNLEGSVPSSFGYLKQLKHLDFHSNKFSGKVMDLLSQLGGMVYLDLSSNGFLGSLDLGLGSEKFVSAIQYLNVSHNNLDGYLFSHDGMPYFDNLEVFDASNNQFVGTIPSFNFMVSLRILRLSSNNLSGSLPEALLQETSMVLTELDLSINQLKGPVESISSTTLRSLNLSFNKLTGILPLKIAHCATIDLSNNLISGNLSRIQGWGNYIEQINLSSNLLTGTFPIQTSQFLRLTSLNISNNSITGVLPPVLSTYPELKAIDFSSNHFTGALVPTLFNSTRLTYINMSFNNFTGTIPIPSLEYEFTNLTLEILDLSHNSLTDHVPREIGTFYSNLKLLDLSNNHFEGGVPDNLPGTLKVLDVSYNNLSGVVPENLKNFPDSAFHPGNDLLSFPYSTSEGVPGTMNMGHASKKRSYIKPAIIVGLIGGVSSLCFLIFILFYRSQRKYERNHHPEKEKNVATSAAAAAPTGGGVHLDNISIVEGPKRKAEVAFPPPPTTTTTSSNEEMVCSPDKLIGELHLFHGSMVFSAEELSSAPAEMIGRSCHGTLYKAVLDSGEVLAVKWFKEGIAKGRKEFAREVTKLGGIKHPNLVSLQGYYWGPRDYERMLISNYIDAPCLSLYLNESDARNLPSLNLDDRYKIGIDIARCLSYLHNERAIPHGNLKSTNILLEPPAMKHPLLTDYSLHRLMTSAGTAEQVLTAGALGYRPPEFCSTSKPCPSLKSDVYAFGVILLELLTGKSSAEMILGGAGEVVDLTEWVRLLCDENRCIECVHRQLVDGGGDLVKVAEEMVEVALRCILPADERPDMKSVVEDLSSIMAPV >cds-PLY65733.1 pep primary_assembly:Lsat_Salinas_v7:5:271221795:271222324:1 gene:gene-LSAT_5X141780 transcript:rna-gnl|WGS:NBSK|LSAT_5X141780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVNLSSNGIPAILAGDMNSKPLLQILDIKPINSSQERYRLILSDSVLTQQTMLATQLNDRVKNGAVRVGSVVQLIEYICSTVQNRKMNTSE >cds-PLY89659.1 pep primary_assembly:Lsat_Salinas_v7:3:184788120:184789142:-1 gene:gene-LSAT_3X111021 transcript:rna-gnl|WGS:NBSK|LSAT_3X111021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGWTKAVILIIPEAIPVIYSRITKAFKIRSHYNKTLMLVIPPMNSNLCFIESNYLKPIVVVMSTPKNVASFIYSHLPNKFRGTFSSHSSPLSFKNPSSFLELATGICPSKTMLATFHLTSSPSHERVFNHPPSIFEKITNLDDAIQVFDEMTQRQPLPPVIQFNQLLQGVTKMKHYSCSIDFFKQMNALRVPVDVYTISIAIKCCCQMYHTNEGFAVLGYGFKRAVLPNVYTFNTLLNGLILEDRVPNAEMLFKKLIKEELCEPNTIMYNAMIKGLSKYDNNDTAIALLKRMDEKGCKPDVFTYSTIIDSLCKDKMVDDALNLFKEMVFHKGILPDVVT >cds-PLY76933.1 pep primary_assembly:Lsat_Salinas_v7:9:6720321:6721581:1 gene:gene-LSAT_9X1440 transcript:rna-gnl|WGS:NBSK|LSAT_9X1440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNQSAYASIVYWFLLLEHVTLQDPLSVSVSILHQFDILPEMYQIGYTKLFFRTGQIRKLEDTRNRTLNGILRVQSCFRDHKGRQYLKELKRGIFTLHSYVCREKTRKEFMVLLQRHRAAVIKNEVVVKSSYVAELQRRILKAEARLREKEEENDILHQRLQQYESR >cds-PLY86178.1 pep primary_assembly:Lsat_Salinas_v7:9:134434799:134435448:1 gene:gene-LSAT_9X86200 transcript:rna-gnl|WGS:NBSK|LSAT_9X86200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCVCENLRIMEVNKEQHEDMPDYDMEYEQHNGTKVYDSDIENGKNNALFVSYLGDLVLEKVGLSALCWKKVKLEEKDKLWEEITLMKLEYNL >cds-PLY89669.1 pep primary_assembly:Lsat_Salinas_v7:3:184826783:184828185:1 gene:gene-LSAT_3X111060 transcript:rna-gnl|WGS:NBSK|LSAT_3X111060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNKGTEEYNSKSNIRSFNIHINEPQIPILHLKNLFIRITKHDFNVWLQAKEDGDGSGASGKTLKPRSPLQAYRPPNEINLRHEEIDFRCHKESDVERNRKEIGIYGALSTGKRKRMEIRRWP >cds-PLY69904.1 pep primary_assembly:Lsat_Salinas_v7:4:69746707:69747105:1 gene:gene-LSAT_0X43341 transcript:rna-gnl|WGS:NBSK|LSAT_0X43341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKLLKSYIMIFCISFLVLLACHQCNFLSGNDHILSNVRIGKPPKCEIKCDKILHAAEPSMDV >cds-PLY90878.1 pep primary_assembly:Lsat_Salinas_v7:1:57280879:57282253:-1 gene:gene-LSAT_1X48440 transcript:rna-gnl|WGS:NBSK|LSAT_1X48440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTFRSLEHFANEKLKAMEQTLAKGKQIQDDCTAVVKKLRAIIHSTEEKLRVHKKQALFFTHLSAKTVPKGLHCLPLRLSTEYYSLYSSA >cds-PLY72360.1 pep primary_assembly:Lsat_Salinas_v7:5:6374955:6380639:1 gene:gene-LSAT_5X3681 transcript:rna-gnl|WGS:NBSK|LSAT_5X3681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRIGDLGGEDSILDFLVNFTSLSILYLGSNDLNGVIPPNIITPQLRALDVSFNSITGNLPANFTKIGRSMNAVGTLVNGDGLLEGKTSEISRCLVTDNQCTEKVPVKRVIQDFNIRNEAQGSNKALVRSFEANVTNTIIDVHLVWAGKGTCCIPVQSTFGPLISAIHVSQVSSRGGSSNDKKQVGRIVRITLGGVAGIFIACSVFYLWWKRKTPEHVRILTDSPKKNLTSV >cds-PLY64035.1 pep primary_assembly:Lsat_Salinas_v7:8:94728596:94731256:-1 gene:gene-LSAT_8X66180 transcript:rna-gnl|WGS:NBSK|LSAT_8X66180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSFPVEINVFSCGKFHPKPIEINNEVTRKPLTIVTPLEEGEFPVLILLHGFLLDRDFYSQLSCHIASHGFIVLVPQLYEGILIGFDADVDVESAAEITNWLPEGLQKVLPSQVKANLTKIGLAGHSRGGKAAFALALNRLNTKLNLKFSALIGIDPVDGLEKWKQTQPKVLTYVPHSFDLEIPVMVIGSGLGEFLIIPIWPFRPCAPEGVNHKNFYNECRKPACYFVVKDYGHLDVLDTQTTGPTGVATYCMCKSGKTRDPMRMFVGGVVVTFLKASFDGDFSYLMAIKDDESSPVSLQTVNFML >cds-PLY71159.1 pep primary_assembly:Lsat_Salinas_v7:9:82818651:82822322:-1 gene:gene-LSAT_9X66040 transcript:rna-gnl|WGS:NBSK|LSAT_9X66040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSVRLKIESSRHDGKVTSASVPPSPRSPRSQDEILQSGDLKSFSFNVLKTATRNFRPDSVLGEGGFGSVFKGWIDENSLTAAKPGTGTVIAVKRLNHEGIQGHQEWLAEINYLGQLNHQNLVKLIGHCLEDDHRMLVYEFMPRGSLENHLFRRSSYFQPLSWNLRIKVALGAAKGLAYLHSPEAKVIYRDFKSSNILIDSNYNAKLSDFGLAKDGPIDGKSHVSTRVMGTQGYAAPEYMATGHLTARSDIYSFGVVLLELLTGRRCIDKNRPPGEQILVVFAKPFLTSKRKILHIMDPRIEGQYASSVATRAAMLAMKCLMKEPKHRPTADELVKALEQIQELQKSLENVGMESVRKENGNGNGNGNEKKVVSYPRPVGSTSV >cds-PLY74963.1 pep primary_assembly:Lsat_Salinas_v7:3:154873752:154875506:1 gene:gene-LSAT_3X98001 transcript:rna-gnl|WGS:NBSK|LSAT_3X98001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQDHEQKIHVCRYCEQSFSNGKKLGGHMRGHLALISASRKKGTRENDQEAINREKGVHIFDDDADDDDHVIKKSKCFDQDYHPNGRKQGIQEHDRKVINVGKRLDEEDSELIKMSHQRLGFYEAYDANGRKHGIQEHDHEVVNGDKCLDFDDDNDENRSQQTIDFDQDYGANVYVLRENPKRSWRVSSSNSNPNSSKAFASHKRCHSRKESIKNTVCEKCGKGFDSVKALYGHMRCHSIKRSQPLDESSAFSSSDEHEHDDDEEVANPVRKKRSCTRYKSPKPNHISTSFSFSNDDEVVEAALNLMMLSRGVRSLDGVKSVISHGYSRTLEKTVGVDFLDDGSMEFCKFKSNSELGLRKDLVVGSGSGQDNTGRFGSTLVKSKSIGQDFTDSEMSIELKRKSKDYKCPICFKGYVSIQGLAKHERVHNKTESKVIESDFIPDIHRLVDINLKEVSQAQCRY >cds-PLY69262.1 pep primary_assembly:Lsat_Salinas_v7:2:128966207:128966930:-1 gene:gene-LSAT_2X60600 transcript:rna-gnl|WGS:NBSK|LSAT_2X60600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPSISSAIVRNFDQQQLPLNEPYQFEETQYSTPSISDIRSMEDQSQSSEYNQLFQAMNLDRSIPQADDVVQPVSIGDMAIGRQEISIKHHQFPDQRSDIFDNSEQAPSIGYMVREGEISNAAGGNFPYDAPPSRTDVAHRDASHTGESSGGEVVEDDESLTKRNFGKFYTMEFPKEEA >cds-PLY96960.1 pep primary_assembly:Lsat_Salinas_v7:4:173857361:173857715:-1 gene:gene-LSAT_4X102120 transcript:rna-gnl|WGS:NBSK|LSAT_4X102120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMGQIHSVLLTQLKLKKLIQWSLLIAFGPKSLPLPFPINVVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETLYTKNILLNEGIRAWMENSFLGVKIGYVI >cds-PLY68451.1 pep primary_assembly:Lsat_Salinas_v7:2:212721602:212722327:-1 gene:gene-LSAT_2X133781 transcript:rna-gnl|WGS:NBSK|LSAT_2X133781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSSNSKLLQSSYVATPATTTVSDINGRPVLQPATSNRVPLNAPLFERRSLKKTLSLPKSISMVPSPTPLHTKTNQPPISPKLKSPRQPAVKRSGNNHSNDMMTSSSDKLVLPLPTKCTTPKSVIPVKKSKKCAVTSDHDHRLHNSVDTTTSTSPNSLVVKYSSAAIVDSPGSIAAARREQVAVMQVQRKMRIAHYGRSKSAKYDSCSKLTSYNFDPNSLTSAIVRDEKRCSFITPXIYIF >cds-PLY78110.1 pep primary_assembly:Lsat_Salinas_v7:1:6293726:6296258:1 gene:gene-LSAT_1X5900 transcript:rna-gnl|WGS:NBSK|LSAT_1X5900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEGYKSSEHKEQLHTASESGDPSLRISTSPKSPRSPKIRHGKDSPTKGSPVKGISPRKNDRHSHSGTDGRPKKGGCGGKGTWGGILDMDDNRFVDSNDPNYSSSEEKDKTGVKKVCPRFEGYKKKAAIIVEEYFATDDVISTANELKDLEMPSYSYYFVKKLISMAMDRHDKEKEMAAVLLSSLYADVIDPRQVYKGFRKLVESADDLIVDIPDTVDVLALFVARAVVDDILPPAFLKKEMEALPGGSKGIDVIKRADKGYLSAPLHAEIIERRWGGSRNKTVEDVKAKIDNLLVEYAVSGDKKEAIRCINDLKVPFFHHEIVKRAIIMAMERKKAEGKLLDLLKTASEECLINSSQISKGFTRIIDTVDDLSLDIPNAKELLQSLISKAASEGWLCASSLKAVSFHPEKKLVEDSIVKAFKAKAQSIIKEYFLTGDVLEVNSCLESENLSSFPELKAVFVKRLIMLAMDRKKREKEMASVLLSSLYFPADDVVNGFTMLIDSADDIALDIPVVVEDLAMFLARAVVDDVLAPLHLEEIGGDLLRPDSVGNKVLKMARSLLNARLSGERILRCWGGGGSYTNGWAIEDVKDQIGKLLEEFESGGDKREACRCISELGMPFYHHEVVKKSLVTIIEKKNDRLWKLLEECFNTGLITPIQMTKGFGRVAESLDDLSLDVPDAKKQFGSLVERAKVEGWLDSSFSFNNKVLENGHRS >cds-PLY89640.1 pep primary_assembly:Lsat_Salinas_v7:8:221062475:221063672:-1 gene:gene-LSAT_8X133680 transcript:rna-gnl|WGS:NBSK|LSAT_8X133680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTRQSKVKDMSAEVVDSNPYRRLMALQRMDIVDNYERIWDFSGIGGVRSVAAEMLTRYETVELVNMNRLLFLPEQVSMTNTDAAVQTLSEINPDVVLEMGKFYKPFEMDAHVGAKECE >cds-PLY94969.1 pep primary_assembly:Lsat_Salinas_v7:4:113589415:113593689:1 gene:gene-LSAT_4X71841 transcript:rna-gnl|WGS:NBSK|LSAT_4X71841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCVATKLEEEEEVVSICRERKHFLKLAVEKRYALAEAHFRYCQSVYGVSAAIRLFVARHSSSSSPFLITFPPPSPPKENKVVSNPLFLQQNPSEEPNKKNKDATACASGSCSCSSSSTSSEEDEEREDKFKREREVEREREQVESCGYFYMGMQMPMHMHMPMPPPVSNIPSMPSPQREFGWDFFDPFVTMRPEVISGYNRNSDDDLRVVREEEGIPELEEEVERVDEVKNKVVRGEEKKEESCGGEVLGSTVECANVSQGEPQKGLTVIDTPESGRELLEALKDIEDYFIRIYDSGKGVSKMLESNRIQSQSGLEEIKENSTKLIQAIAHRSTSYRLTTCKSLVASNSKTASTWTEFNNDLFDDGGGMNSGSHTLTLGRLYAWEKKLYEEVKAGDNTRKLYERKCSQLRNQDVKGDEGVSIDKTRAAVKDLYSRILVAIRSAESISERIEKLRDEELQPQIIELLHGMMNMWKVMLESHEIQNKIMNEVKLFTCPTYGKFSNNTHRLATLQLEAELQNWRTCFREYLTAQKQYVGALYSWLSKFIVPEIEFYSKSRNTSQPFQTINGPRLLMICQDWFNLMDKLPDKSVYFAMKSFSKDLHSLWTQQGKEQDQKRKVDSLSKELDRKILAFQKTENRVFEPNLELCEIGVDHRADYLKERKDFLDGFRAKVELEKGKHQICMQETQRITLNGFQTGFCRVFEASIEFSKGSIKMYNDLVGSSQEDDESRR >cds-PLY63141.1 pep primary_assembly:Lsat_Salinas_v7:4:64768669:64769283:-1 gene:gene-LSAT_4X44781 transcript:rna-gnl|WGS:NBSK|LSAT_4X44781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFHKIIFIAFLLSTLIITGVFADCTCEPEETNGDKSKALRYKLIALASILTAGCIGISLPFAGKFFPALRPEKDGFFLVKAFAAGVILATGFIHILPNAFESLTSPCLKEHPWADFPFTGFVAMVATIATLLFETSAAAYQVRAQTAAVAKVVGDEEVNGAHVDDDMHASHGHVHGSMLSTSDDSEVKRYRIVSQVNN >cds-PLY81627.1 pep primary_assembly:Lsat_Salinas_v7:2:1840520:1840822:1 gene:gene-LSAT_2X801 transcript:rna-gnl|WGS:NBSK|LSAT_2X801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLHSVNRNQALMDLHSVNRNQDNEDQNPGKDLGNVNDPKNFVNVVVKEKRSCKICFGFGHDSRNFPFGLKIA >cds-PLY99477.1 pep primary_assembly:Lsat_Salinas_v7:5:333009205:333013654:1 gene:gene-LSAT_5X186040 transcript:rna-gnl|WGS:NBSK|LSAT_5X186040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase subunit alpha, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G03090) UniProtKB/Swiss-Prot;Acc:Q42523] MASIIYRKLHRQPNKRLNYIQVRLASAATGLSSNEKSNQSNRKIDKLLIANRGEIACRIMRTAKRLGIQTVAVYSDADRHSLHVKSADEAVRIGPPPARSSYLNSSSIIEAAVRTGAQAIHPGYGFLSENADFAQLCENEGFTFVGPPASAIREMGDKSASKRIMGAAGVPLVPGYHGHEQDIDVMKSEADKIGYPVLIKPTHGGGGKGMRIVESPKEFVDAFLGAQREAAASFGVNTILLEKYITRPRHIEVQVFGDKQGNVVYLYERDCSVQRRHQKIIEEAPAPDIMSDFRSRLGQAAVSAAKAVGYHNAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGESLPLGQSQIPISGHAFEARIYAENVARGFLPATGVLQYFRPVPVSETVRVETGVEEGDTVSMHYDPMIAKLVVWGENRAAALVKLKDSLSKFQVAGLPTNIEFLYKLANHKAFENSELETHFIDHFKDDLFVNPNDSVSAESAYDSAKHSAALVAACICEKEHTLLKKNPPGGLSVWYTHPPFRPNHQATRTIELDWENEYHDNNNSQNLTLSITYLPNGNYLIKTGENGLPDVEVTVTHLGEHDFRVEADGLSKNDESEHIHIWHGLEHHQFKQKVGIDLLDNLESHQHRNRSHDSGSHPPGTVSAPMAGLVVKVLVKNGMKVEEGQPMLVMEAMKMEHVLKAPTSGLVSGLQVTPGQQVSDNTVLFNVKAV >cds-PLY83209.1 pep primary_assembly:Lsat_Salinas_v7:1:50059046:50061905:-1 gene:gene-LSAT_1X44521 transcript:rna-gnl|WGS:NBSK|LSAT_1X44521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSHNQQPEFCQEEKQVSPQKEDQSESFLNSNSSHNVEMSNLDRFMKMSTPIVTAQHFPKTSIKGWRNEEHYQPYFILDDLWETYTEWSAYGAGVPLVLNEKDYVVQYYTPYISAIQLYIDPSPPFTNSRRAGEQSDSGSSDGSYEGGAAENNPDSVAQSFNKLMLDEHEFRSPHGLLAYEFYEKILPFHRRPLVSDLAKMFPAIRSYRSCDLTPSSWFSVAWYPIYRIPHGPSLHEVESCFLTYHSLSSPVKGMDGDNSNDGGHGHGQLSLPIFGFSVYKFRSCDWIPNVHGVEKVNSLIHSTDNWLRMLGVFHPDFMHFKTRAFLQ >cds-PLY67372.1 pep primary_assembly:Lsat_Salinas_v7:1:171257562:171261753:-1 gene:gene-LSAT_1X114420 transcript:rna-gnl|WGS:NBSK|LSAT_1X114420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSGVGCHYTADVKECLQKVETLKYAEEACYNGTLIMKAFSSGLEIGACNWSIKSPKRNISYISSSIFSSGVAMDFDFHALIGSDPLIYSDFASTLGSDYANALEFNSDDDILLNSDENSEEMEKMEFICSCSMDSVKDGGSVLIPIGRLGIVLQLLELFALHIDSFDMKVPIFIISSVAEELLAYTNILPEWLCNHRQEKMYSGKSVFGHEELIKEKKIHIFPTLDSHELLMMWQEPCIVFCPHWSLRVGPVVHLLHRWHEDPNSLLVLEEGIDTDLALSPFKPIAMKVLECSFYSGIKLEKVPQLLKMLHPKQILLPDYTKQLFEPLSKSLPCLFYTEFETLCLPNSNNLSELNIATNLASQLTFSKMKNEELSISRLRGELYLEHGKQYLIGGKEVKGLETEIGLLVHWGKVDLESLVVELEKVGVKGSFECGSNVVNVVEPNKGFIEVKETSVVISSGDESFGLLISDVVLSLLNGI >cds-PLY74941.1 pep primary_assembly:Lsat_Salinas_v7:9:14414993:14415418:-1 gene:gene-LSAT_9X12860 transcript:rna-gnl|WGS:NBSK|LSAT_9X12860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIDRVTTRSTPGNNFLRRQSPQRHWKTEPVSDTKAACRKALPVANRKHFQSSQSYILTKGWSRFVKEKNLKAGETVSFQRSTSSDKQLYIDWKTKNGSGRSNIQEQATLQHVQEW >cds-PLY88151.1 pep primary_assembly:Lsat_Salinas_v7:5:220554186:220554935:1 gene:gene-LSAT_5X103161 transcript:rna-gnl|WGS:NBSK|LSAT_5X103161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGARLWSAASLSEEEHAIQGVSHPNDTPTTIMDFEEDPMEGSDDTEPKFTPAKYTSKQFHSPDYTPTRLELLISVYDLDEGDEDTATSLDISPLHPNPSHQSFRVHTVTRVKQTPRKTTDVPSRKREASLPTSPPPVKRPCGEATWMPHIISWTQEEDIISRFEVKESSTVPIPSHDTPVKQAISLVLPQTTYHSDHIGVMDSEFFLLRMALRKLTERVQYLEEERDVMKMRTLLIQDQLQEARDEAQ >cds-PLY76468.1 pep primary_assembly:Lsat_Salinas_v7:5:198701861:198702412:-1 gene:gene-LSAT_5X89261 transcript:rna-gnl|WGS:NBSK|LSAT_5X89261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY85176.1 pep primary_assembly:Lsat_Salinas_v7:9:147414869:147417553:-1 gene:gene-LSAT_0X7101 transcript:rna-gnl|WGS:NBSK|LSAT_0X7101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEANPNNGGDPSNKKNTTSATAAGGSKEVKIEDTGSSKPHVALSIKEVKSLTSELADLEEREALLKARFYSRESNPN >cds-PLY68774.1 pep primary_assembly:Lsat_Salinas_v7:2:211456708:211459907:-1 gene:gene-LSAT_2X131520 transcript:rna-gnl|WGS:NBSK|LSAT_2X131520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDLAAKAKEAFIDDHFELAVELYSQAILIDPKNSELFSDRSQANIKLKNFTEAVADANKAIELDSSNSKAYLRKGTSCFSLEEYQTAKSAFEAGSTFAPEDTRFTDWIKKCDKCIAEENGELTTQSSDVTSVTSNSHQPEKTDANTKDAEEEKNISSQVITTTPPKPKYRHEFYQKPEEVVVTIFAKGIAANRVYINYGEQIISVTIDVPGEDAYIFQPRLFGKIVPARCRYEVLSTKVEIRLAKVEPIQWTSLEYGNNNVVAQRSNVSSGNQRPTYPSSKPTKDWDKLEAQVKKEEKDEKLDGDAALNKFFRDIYKDADDDTRRAMRKSFVESNGTVLSTNWKEVGSKMVEGTPPDGMELKKWEH >cds-PLY76349.1 pep primary_assembly:Lsat_Salinas_v7:5:224902150:224905124:-1 gene:gene-LSAT_5X109501 transcript:rna-gnl|WGS:NBSK|LSAT_5X109501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRKPNRLGPKLERRNAIKNIDYDASTSSSSSSSFSSSHGGSAHRTRSLDIALLSDQTSFRIGGIDGEVDLICRSLGLSGPEDFEIPADAWKARKASFPTDADSPPSFTFPDQYATRNSQGSGLSEIFGSKVKVNDDEVKRESERSRLPQAEEARVLRTDEVEERDDDVNECGINEVKCVTGSQLGTGVGLVNAESSPVFDDDGACKSREKRYVEGQSGIKGVRPPLLAPPPGISKSRVVVDNLGSSWELIWGFATKDDEDSASAGPIAIVGASNEDANTDVHEQIGVKEENQNGGITSGNDAIVSPSSSNTLDDEDEDEDDISSNAATELEDSDSPNGPLRYKSWQKGDFLGRGSYGTVYEGFTEHGIFFAVKEVSLLDEGSQGQQSIVQLEQEISLLSQFKHENIVRYLGTDTGDGKLYIFLELVTKGSLASLYQKYHLGDSQVSVYTRQILSGLSYLHERNVVHRDIKCANILVDVTGSVKLADFGLAKATKLNDIKSCKGTPYWMAPEVVNRKNNGYGLAVDIWSLGCTVLEMLTRKIPYSHLEGMQALFRIGRGEPPPIPETLSVEAQDFIVKCLQVNPIHRPTAAQLLMHPFVNNNNNRSV >cds-PLY76843.1 pep primary_assembly:Lsat_Salinas_v7:3:5058230:5060155:1 gene:gene-LSAT_3X2640 transcript:rna-gnl|WGS:NBSK|LSAT_3X2640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKLINSAVSDACSRWWGSAKGADEEVISTVLIIAAMLSIFWLVWMLISKDTHPPLPPGPRSLPLVGNLLSLDPELHSYFATLAKTYGPISRLWLGKKLGILITSPALAREVLKLNDTTFANRDIPVAGVEAAYGGNDIVWSPYGDQWRMLRKIVVREMLSNQTLDSVYSLRRREIRNTVNYLYNRAGSPVNVGEQMFLTVLNVITGMLWGGTVKAEDRESLGAEFRQVINEMTGYLGMPNLSDFYPGLARFDLQGVQKNMKVLAKRFDGMFETMIAQRRKMSGDENKDFLQFLLQREDDKDSKTPFTMLHLKALLMDMVVGGTDTTSNTVEFALAEMMNQPEILKKAQQELETVVGKDNIVEESHINKLPYLYAIMKEVLRLHPTLPLLVPHCPSESCVIGGYMVPKGARVFINAWAIHRDPTIWENPSEFSPERFLVNEWDYSGNDFNYFPFGSGRRICAGTAMAERMFMLLLASLIHSFDWELAPGEKHDLSEKFGIVLKKKVTLMAIPTPRFSSSTMYE >cds-PLY64451.1 pep primary_assembly:Lsat_Salinas_v7:3:14329253:14329459:1 gene:gene-LSAT_3X9960 transcript:rna-gnl|WGS:NBSK|LSAT_3X9960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVVVVVIVMVMVAVMSSGGDGGWCSDGGGNGGSNSGGAGVGVGGGGDDGGGGSDGMVTVVSGGGGGR >cds-PLY67037.1 pep primary_assembly:Lsat_Salinas_v7:5:283786854:283788865:-1 gene:gene-LSAT_5X149561 transcript:rna-gnl|WGS:NBSK|LSAT_5X149561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIALFSPSSLFHDEDATTSDDESAENCQNYVERSHQFPGMELVIREFSFHQMNANLLWPGTFAFAEWLIQNKSRIESKHIIDGTGALAIFLKKLCQVDITTSDYDDEEIEENIAHNCRINGVIPVLPHIKHSWGDPFPNPHPEWDLIIASDILLYVKQYANLIKTLCFLLNSYKPRETVAVSHEAQGVPQPAFLMSWRRRIGKEEESLFFTGCENAGLQVQHLGSRVYCVTPTKP >cds-PLY64825.1 pep primary_assembly:Lsat_Salinas_v7:8:265124077:265125121:-1 gene:gene-LSAT_8X153961 transcript:rna-gnl|WGS:NBSK|LSAT_8X153961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVSHSAITSTRTLCSSLRSFSFVCFLSIQFVHHPGIIIASNISDFMPRNQKRGGRPDQRWIQKPSISKTDETFDAAEVKSAASTEVITNGVNSLAIAENSARSSVPVPQFGGITVNDVAVAPPHGQSNGQSSHVSVGCSPVINANSLHGKKCVYQPKSYGTVSGDATKETTRQETIAQTAALSRLFNKDLLENFNVDNSTYSTAKIRATFYPKFENEKYDQEARKFPCSFHCCYDVWGSINITSLYFLYFIDVPIISDVPLSYLYG >cds-PLY64164.1 pep primary_assembly:Lsat_Salinas_v7:2:20694759:20695679:-1 gene:gene-LSAT_2X9020 transcript:rna-gnl|WGS:NBSK|LSAT_2X9020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVSFGRLGAICGATSVSIRTHRQTFLKPKGLEAFHRGHVNKKEEFLDPLVEDQYNALVAEVALQTYHIAECGADPDIIDWIAIFEKVLGTQRGHVIGIGPKPSSGASTSVQSQR >cds-PLY98837.1 pep primary_assembly:Lsat_Salinas_v7:7:24028622:24032008:1 gene:gene-LSAT_7X20220 transcript:rna-gnl|WGS:NBSK|LSAT_7X20220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCMYILIISLQHCKSTDILTKDSPISLEQTLVSSNQVFELGFFSPGNSSNRYLGIWFKNILPRKFIWVANRQNPISVSDTNSTLTIGNNGNLGILDDDQRTVWSTSIKVQSNETIAKLTDTGCFALNDTISGLTLWESFDYPGNTLLPGMRVGTNGKTQGKDLLTSWKSDNDPTPGDFVVGLSGEQPPQAFTWRGVKPYWRSGPWDGGKFIGIPELDSGYSNLMTLMPENSQGGAYISINIHSSSDIRWLYLRDNGVLELNYLDDVRNILEFSWEAPANPCDVYGVCGVFSICTNKTLICECLKGFVPQSKDEWSISNWTRGCVRGNELLCEKNESSLASGKGKPDKFQVISGIKLPDHYQYFPYMDTDDCKSLCLGNCSCKAYAFVEGINCMIWEQELIDIEQFSFGGENLFLRLAYEESGEETKGAAVAISISISAIGGVLALGGFIFCLYKWKKYKKGKKTKLNHFSLEDHIVLKDTLQEDDLSKESYELPIYKFQQIIAATENFSYRNKLGEGGFGAVYKGMLDDGQQIAVKRLSGHSGQGVEEFKNEIVLISKLQHRNLVKLLGCCFEGKERLLIYEYMRNKSLDTFLFDPKKRMQLDWATRFNIIQGIGRGLIYLHRDSSLRIIHRDLKCSNILLDDKMNPKISDFGLARTFQMTQELANTRRIVGTYGYMSPEYAMRGVISEKSDVFSYGVMLLEIISGKRNTEFIHHEQFYPLGHAWKSWNEGRGFELIDETLGESTEGLRCIHVGLLCVQDLAEDRPTMTEAVSMLCSETHLPEPKMPLFTLQRLSSTNGIGQEFKNMCSRNTVTLSMMEGR >cds-PLY61763.1 pep primary_assembly:Lsat_Salinas_v7:5:302855067:302856066:-1 gene:gene-LSAT_5X163860 transcript:rna-gnl|WGS:NBSK|LSAT_5X163860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGREVPRRESPWGLPDGDTRQPKAHRCNDRIEDVVQACFEGNPFKTVPGPFKLFWRCMQSKPGEEPTEPFYYLQLAPPTREVKLE >cds-PLY62474.1 pep primary_assembly:Lsat_Salinas_v7:1:82304300:82305965:1 gene:gene-LSAT_1X71340 transcript:rna-gnl|WGS:NBSK|LSAT_1X71340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSDHLNKFKVGSVPTLYYIPDFISDSDQKLLLNQIYTAPVSKWKSLKNRRLQNWGGIVHEKGLLPQDLPPWLTKITEKIKEELCLFPSAINHVLINEYLPNQGIMPHQDGPAYFPVVAILSLGSPVVMDFTPHSTLVDTTSNIQETSHGNLQNYPPFSIALMPCSLLVFKDTAYSDYLHGIKDCEIQQYDEAVNGSEVEMHGEDLKVIHRSATRVSLTCRVVSKVHKNLFKF >cds-PLY92425.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:587999:591847:-1 gene:gene-LSAT_0X10920 transcript:rna-gnl|WGS:NBSK|LSAT_0X10920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSNHLLLEEPIRMASILEPSRPSYFPSMTKIVGTLGPKSRSVEVISRCLMAGMSVARFDFTWGDAEFHQETLENLKLAIKKTKKLCAVMLDTTGPELLVVNKSDQPIPLEADSFVVLTPDQEKEASSNLLPINFSGLAKAVKSGDTIFLGQYLFTGSETTSVWLEVSEVKGDDVVCLIKNSAVLAGTLYTLHVSQVHIDLPTLNDKDKEVISTWGVKNNVDFLSLSHTRSGQDIREAREYLSKLGDLSQTQIFAKIENVEGLVNFDEILQEADGIILARGNLGIDLPPEKVFLFQKAAVYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGADAILLGAETMRGLYPVESISIVGKICGESEKVYNQDSYFKKTVKYVGEPMSHMESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWTFTGAFEARQSLIIRGLFPMLADPRHPAEGTSATNETVLKVALDHGRNIGVIKSHDRVVVCQKVGDDSVVKIIELED >cds-PLY83739.1 pep primary_assembly:Lsat_Salinas_v7:4:42562724:42570771:1 gene:gene-LSAT_4X29281 transcript:rna-gnl|WGS:NBSK|LSAT_4X29281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCITSLEKDPNKGSVDNAVESTHNDDDGKDASHDNIEEVHQDVEITGPEKEFYSLYGHCFEIKENKYVYKVCPFKDVTQVEGHSTTTLGQWEKFDESYRVMLFSNGDKCWNGPQRSLIVPTLIF >cds-PLY80199.1 pep primary_assembly:Lsat_Salinas_v7:8:190322632:190326585:1 gene:gene-LSAT_8X124700 transcript:rna-gnl|WGS:NBSK|LSAT_8X124700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLIHNPNHHILSKHQLYLKPRPFLPQLARFQTTKLFNLSHYTLRVNSISCKHEQNPSFNSSSSVIKTPETDLSFSENKGSVMPKPHFLQQLSQTFSHQQKAVITGSIILLSALIFTIQPLFISPALASFQTATKTGSNPLIRSELLSSAWTGFFAGCLHTLSGPDHLAALAPLSIGRSRVESALVGALWGCGHDAGQVIFGLLFLLLKDRLHIEIIRTWGTRVVGFTLLVIGAMGIREASEAAVPTPCVALENGECDVSVYDLPEPTKKKKIGFATFATGIIHGLQPDALMMVLPALALPSRVAGAAFLGMFLVGTVIAMGSYTVFIGSCSQALKDRIPRITEKLTWISSLVAIALGVGIIVSQFFGFSLY >cds-PLY95901.1 pep primary_assembly:Lsat_Salinas_v7:3:185513806:185515975:-1 gene:gene-LSAT_3X111360 transcript:rna-gnl|WGS:NBSK|LSAT_3X111360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNPSSRSSHLKIVFVLPAKPKHVVSSVVSHPLNKFKDTSIAHSTLISSFSQFGTGIFTPKTISARFLSTSSPHRQTPVNHSRSMSEKITNLDDALQLFDDMTNRKPLPAVFKFTQLLQAVTKMKQYSYSVKLFQRMNSLRVPVNAYTISIVIKCCCQMHRTNEGFAVLGYAFKHNILPNVCTFSTLLNGLVLEDRVLKAERLFKKLIKEALCEPNAIMYSIMIKGLCKSGNNDTAIALLKLMDEKGCKPNVVTYNTIINSLCKDKMVDDALNLFKEMVFHKGIFPDVVTYTSLIHGLCNLSRWDEVSKLLKQMEDERISLDVKLYNTLVDALCKEGKVEDANCIISSMIERGEYPNVVTYNSIIDGYCLRGEMSKANEVFDSMGSRGLVPNIVTYNNLLNGYCKKLKREEAMHLFHEITKKGMKPNVITYSIMIQGLFRARRCKDAHGVYNDMRAHNLIPDECTYRIILEGLCNNNQVDEALSLFHLMGGNKLNSNIKVYNILIDGASKSGKFDIARNLFNDLTFKGLQPNVWSYTVMISSFCREGLVGEAKELLFKMEDSGCPPDNVTYNVLLQGVLKNKQYDTVEMLLKEMEARGFSIDASTVEMLLHYIKTSCLDVSLLKLIGKLVPKEEVDAPCFIV >cds-PLY87678.1 pep primary_assembly:Lsat_Salinas_v7:6:45313500:45314587:1 gene:gene-LSAT_6X34841 transcript:rna-gnl|WGS:NBSK|LSAT_6X34841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIVLLCSIFLVAPFADAIDVKYCKKSNNYDVKVSGVEITPSPITRGVDTTFAISASTDTPISGGNLEISVSYYFLSVYSETSDICTKTSCPIDAGDFEISHTQSLPSVTPPGSYTLTMKMKDANNKELTCITFDFSIGWYASEAQVASI >cds-PLY81251.1 pep primary_assembly:Lsat_Salinas_v7:4:304295126:304298848:1 gene:gene-LSAT_4X153780 transcript:rna-gnl|WGS:NBSK|LSAT_4X153780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYPRRRTQVLKSDAPNPHGSAVVRPQIDSKLFRAKLNVIYRYAKPYTLGGMVLSIISTSLLTVNKLSDFTPSFFIGVLQVIIGGSLANSYVAAINQLSDIDIDKVNKPYLPLPSGELSVKTAIRLTSLYAILGFFLGWSTKSWPLKLGLLLWYASGTAYSVHLPLLRWKKNPALAAVSISLGLGVGINILFHLHVQACIYGRPLMLSKHAIFVSGIMCIFGLVAALFKDIPDVEGDKINGVNSLASQVGKKPVFWLCIWLLEMAYGVAILIGLSSTYFWIGSIMVISHSILGFILWKEANLVDLESDQAIESFYRFIWKLRGVEDLLMPLLRF >cds-PLY90898.1 pep primary_assembly:Lsat_Salinas_v7:1:57175844:57179384:-1 gene:gene-LSAT_1X48520 transcript:rna-gnl|WGS:NBSK|LSAT_1X48520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKDIRWQAINHIMKQNGFLGLGHFNLLKKLGCGDIGTVYLAELIGTNYPFAIKIMDNEFLERRQKMPRAHMEREILSILDHPFLPTLYAHFVSENLSCLVMEYCPGGDLHVLRQKQPARFFHEQAARFYVAEVLLALEYLHMLGIVYRDLKPENILVREDGHIMVTDFDLSLRCSVNPVLLRSPSTGPGPTEPPRMSGPCAGSSCIDPFCMKPTCQVQVSCFRQPKGRKTKQDLAGERRKLPQMVAEPTEARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGIFLYELLYGRTPFKGPGNDQTLANVVLESLRFPETPLVSFQARDLIKGLLAKEPENRLGFHRGAAEIKQHPFFDGLNWALIRCASPPELPEAYDVAVSKAAALEKAKKYLDYNGGSGGVVNMPKVKTNRVKYPEGWELIEPTLLELQAKMREAENDPHDGKRKCETLWPIFKIAHQKSRYVFDLYHRRKEISPELYEFCLDQGYADRNIIAKWKKAGYERLCCLRCIQPRDHNFQTTCVCRVPKHLREEKVIECVHCGCKGCASGD >cds-PLY95127.1 pep primary_assembly:Lsat_Salinas_v7:1:93282824:93289300:-1 gene:gene-LSAT_1X76961 transcript:rna-gnl|WGS:NBSK|LSAT_1X76961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQGLDDGDADALSALANAPPPSTAGNRKTHSQQLRTNTGTNFKRHPKQNHRQHSLDDARISAAIDEFGNHSDEDDDNDFYPYATSSSFSESSHSDPNSQNHFFHGNNNSNSHNSNSNSSSSFEDQHPLPEFSASGGGAGIFKAPSRSAVHPGRPTALELRPHPLKETQVGRFIRTIACTETQLWAGQESGVRFWNFSDAFEPGLGIGGRARRGDEDAAPFYESANTPPTTCLMIDCASKLVWSGHKDGKIRSWKMDQQPADDTPFKEGLSWQANQRGAVLSMVTSSYGDIWMGSENGVIKVWPWEAVEKSLALSPEERHMAALLVERSSIDLKSQATINGVCHISSSDVKFLLSDKVKAKVWAAGSLSFSLWDARTRELLKVYNVDGQIDNRIDSSEREQPPEEEPSVRPSNSKSKKEKQQGGSFLQRSRNAIIGAADAVRRVATKGTGAFTAEDAKKTEALLLAYDGSIWTGCSNGLIVQWDANGNRLHDFHHHHCAVLCFCTYGPRIWVGYVSGMVQVIDLEGNLIAGWIAHNGPVIKMVVGNGSVFSLATHGGIRGWYISSPGPLDNILRPELSKREHMYTTLETVRLMVGTWNVGQGKPCHDALVSWVGSQASDVDILVVGLQEVDMGAGFLAMSAAKETLGVEGSSNGQWWQDAMGKAMGEGSTFERVGSRQLAGLLIAIWVRKSLRTHVGDLDVGAVACGLGRALGNKGGVGLRLRVYDRIMCFVNCHLAAHLEAVTRRNQDFDHIYKNIAFGRTSNNTSGMAKYLFLCCSLALSTYLFWVSSSFAFPWLILILSACVSSTAQSLRPTNSVVINPDEGKPDLAEADMVIFCGDFNYRLFGITYDEARDFVSQRSFDWLREKDQLRAEMKAGKVFHGMREALIRFPPTYKFERGKPGLGGYDSGEKKRIPAWCDRILYRDNRSSPTSECSLACPVVASIFLYEACMDVIESDHKPVRCKFKVQVAHVDRSVRRQEFGKIFKSNEKIKSIREELRCVPDTSLSTNKIVLQNSDTRPLSITNKSTKDDAIFQILCDGHSAVKDNEEPEYRQRGSFGFPRWLEVAPAVGIIKPGSTAEILVHHDDTHTSDDVEGAGQSWRSEDTRDRVAMLSVVIRGSRSTETKSHQCHVRYRYSPKASSSGSSGSGHMEPQPKGYGSKKHPSSHHRSFAKHGDDHHRSSRHE >cds-PLY72007.1 pep primary_assembly:Lsat_Salinas_v7:8:149465579:149466338:1 gene:gene-LSAT_8X100560 transcript:rna-gnl|WGS:NBSK|LSAT_8X100560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARVAAQRYTFSYQTPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLRRGVXAVGANNQAAQSMLKQDYKEEISREEAVQLALKVLSKTMDSTSLTAEKLELAEVFLDDGGKVKYQVCSPENLGKMLVKYGVTQALVDA >cds-PLY83043.1 pep primary_assembly:Lsat_Salinas_v7:5:51015446:51017239:1 gene:gene-LSAT_5X24060 transcript:rna-gnl|WGS:NBSK|LSAT_5X24060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANYSFSSSSPSSKTSIQAVYDICKNNLTPSTSPPPQLIHELSSILDTIGPSDVGLRDEHQEDDRGHGFSTPNTLNRLDRWAQPITYIDLNESQSFTMCMFCFPTSSVIPLHDHPGMTVLSKVLYGSLHVKGYDWVEPPLIKDSKEIARAPVRLAKLAVDKVLSAPCSTSVLYPKTGGNLHCFTAITSCAVLDILTPPYEEYSGRKCTYYRDYPYSSFGSGDELIDGKEDEYAWLEEIDAPDDLYMRQGIYAGPPIRM >cds-PLY94881.1 pep primary_assembly:Lsat_Salinas_v7:2:180117992:180119090:-1 gene:gene-LSAT_2X101961 transcript:rna-gnl|WGS:NBSK|LSAT_2X101961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTKKQQIAFHGSPMERRQFLLDPEECSSRGSHHRLAEVAGGTTAECAAVVCCFPCTVVNFLVLAVYKVPAGLCRKALRKKRRRRLKKKGVLIQTSHGVDGISIDGTEFSLHTAAADRLVVKSMDSDEDLIELENEMWETFYGTGFWRSLSQRID >cds-PLY65499.1 pep primary_assembly:Lsat_Salinas_v7:3:1523466:1525387:-1 gene:gene-LSAT_3X501 transcript:rna-gnl|WGS:NBSK|LSAT_3X501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTRELLNVEPVELKFPLRRQDMLLKSGKLRVIYLPPPQRPSLVPEEEEPEEGSSPKATISNNGNSSSGSELTDEKDAAIKQSNRIRQEMELLRRGGNKTQAVGFSFIFVIVVGFIGLLLGYIIKK >cds-PLY91929.1 pep primary_assembly:Lsat_Salinas_v7:8:203217446:203221261:1 gene:gene-LSAT_8X129420 transcript:rna-gnl|WGS:NBSK|LSAT_8X129420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGLTQSRALVNSVELQLSKPQRNYKIASSSLVGLLPRKTPSGVSTRTRIFTLEHTLQQFAAPSKLHRRSTATLRNRNLTAMDSDSHPHAPELDARTITTSDDGATSSSLDDQNVVVANGESRDANDNEVEVEGGQKKVLPEELSRSVVTLSCESSAEGGICEVYLVGTAHVSAESCQEVQAVVSFLKPQVVFLELCSNRMAVLTPQNLKIPTMGEMVDLWKKNHNINLLLFVCFQVASKLEVFPGSEFRVAYEEAMKYGGKVILGDRPVNITLRRTWSKMPLWHKTKLMYSLMFQSIFLPSSSRLNEMLKELDDVDMLTLVIQEMSKEYPTLMETLVHERDRYMSSSLLKIASEHSSVVAVVGKGHLQGIKKHWQHPVEVRELLELPTTKPAISVTKVLSTVGVAVAGVAIVSGIYLSSRK >cds-PLY64732.1 pep primary_assembly:Lsat_Salinas_v7:9:191547861:191551662:1 gene:gene-LSAT_9X116601 transcript:rna-gnl|WGS:NBSK|LSAT_9X116601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTVEKICNVIHDLKPVLLMLTVQTTFAGVNVLYKLAANDGMNLPILVAYRFIFSTAFIVPLALLVERNKRPKLTWMILLQASCCGLFGGSLAQNFYIKALSLTSATFAAATTNLIPAITFVLAVCFRMERLGWKSSPGAAKVIGTVLGVGGAMLLTFYKGIDLHLWKTNVDLLHGKQHGPGGQHSSHDRVLGSLLAMGSCISYSIWLIIQTNLVKKYPCPYSVTALTSSLGAVQAVGFGLCTERHWGDWKLGWNIRLLTVAYTGMLASGLMFTFIACCVQMRGPLFVSAFNPLMLLLVAIAGSLVLNESLHLGSVLGAILIIVGLYAVLWGKGKEVKKVAQLCPVRESSVGSYNGAINGESQGSSFEVICDSDSKHSSLRSGNSESRRIEVVVTSSG >cds-PLY87322.1 pep primary_assembly:Lsat_Salinas_v7:3:112386704:112392048:-1 gene:gene-LSAT_3X80460 transcript:rna-gnl|WGS:NBSK|LSAT_3X80460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHSERSSCFGLRFGSGPMGLELGGVGTAGGSVFRSSAKSRSVKAQVSDEDLEDNAPLKSPSKSSGSVLPYVGVASLGAILFGYHLGVVNGALEYLAKDLGIVENTVLQGWVVSTLLAGATVGSFTGGSLADHFGRTKTFILDAIPLTIGSILCATATNVETLILGRLLCGIGIGISSAIVPLWRTMFGLSVIPSVLLALGMAFSPESPRWLVQQRKISQAEVAIKKLYGKNKVTEVIADLTESSQGSEEQDAGWFDLFSHRYFKVVSVGAMLFLFQQLAGINAVVYYSTSVFRNAGVASDVAASALVGAANVFGTVIASSLMDKKGRKSLLITSFTGMAASMLLLSLSFSWKALAPYSGPLSVIGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGMHWISNFFIGLCFLSVMTKFGISKVYLGFATICLLAVVYISSNVVETKGRSLEDIERELSPAI >cds-PLY67284.1 pep primary_assembly:Lsat_Salinas_v7:5:134236430:134239743:1 gene:gene-LSAT_5X58361 transcript:rna-gnl|WGS:NBSK|LSAT_5X58361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNGPSSNAKWLYDSPIHSDVEAKLRHFLVELGNADHRILGIQVCAYKDGKVIIDTSAGVMGKDDPRPVQPDTLFPVFSVTKGVTAGMIHWLADKGKLKFDENVANIWPEFGTNGKDQIKVHHILNHTSGLHDALSVIVEDDPILFCDWDECVKRIATVEPETEPGCKQIYHYLSYGWLCGAIIEHASGKKFQDILEEAFVRPLNVEGEFYIGIPPGVESRLATLTYDKTEFSMFVALTATPEFMSHVPSSFSPNILDVLITSSNTLNVRCAILPASNGHFSARALARYYAALVDGGVVPPSQPSSLPPLGSHPHHSITHSHTTNPNPGSDLNIEVLESDDTNDEIDSKIFSTQKSKLHDLFLGNGLNKDLILPNGKFGLGFHRINNIDGSMIGFGHAGLGGSTGYCDINNRFSIAVTLNKLSFGPLVAEIIQFICSELDLPVPDDYAGSWEFTEKPVFN >cds-PLY65340.1 pep primary_assembly:Lsat_Salinas_v7:6:39560223:39562775:1 gene:gene-LSAT_6X30821 transcript:rna-gnl|WGS:NBSK|LSAT_6X30821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRYIRFDADVETPEPNTPPLKKDDTKFTKKLSRVFSEDYAKTKNTILDPRGSTLNQWNQLFLIAALVSLAVDPLFFYLPIIKDGMCLDEDVTLKISLTIIRTIVDMFYAIKIYVRFRTAYVAPSSRLLGRGELILDASSISQKYLRGEFWLDLLATLPVPQVMTWFHIVDKTEMMSTKTSVLYLIMIQFILRLCLTFRLGSHISKQAGLVANVAWVGAAYNLVLFMLAAHVIGAMWYLLAIERQGLCWVEICDIETECKHRYFDCVNVNLPPRVAWFPTSNVSIICADADNFQYGLVEDSVNYNIASANFFKKYSYCLWWGLRGLSSAGQELQASPFIAETHFCILIGITGLVLFALLIGNMQQYLESRTKRLEEYRVKRMDTEQWMHHRHLPLEMRERVRRHDLYKWITTRGVDEEEILRALPLDLRRDVKRYVCAELVRRVPLFDQMDERTVDAICERLKPVICTPGTCLLREDDPTNEMLFIMRGRLDSYTTGGGRSGFLNQCEIGPGDFCGEELLTWSLDPRPKVIIPLSTRTVTAISEVEAFALTSKDLKFVATQFRKLHSKKLRHTFRVHSHQWRTWAACFIQAAWKRYKRRKEAAMLKAKEGSGVHDIKKKTKSGRMLSGLSILATSMRAGRAFDADDVIRSPVPKPKDPEFLDDDNH >cds-PLY89859.1 pep primary_assembly:Lsat_Salinas_v7:4:316230281:316234391:1 gene:gene-LSAT_4X159241 transcript:rna-gnl|WGS:NBSK|LSAT_4X159241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVFLLSLLLQLLATSSAIKSGRLPEFRALLSLKTSITDDPQSSLSSWNISTSHCTWFGVTCDSRRRVTALEISGVNITGALSSDIGSLRNLVNLTVAANNFIGPIPPEISLISGLRVLNLSNNIFNETFPPELATLKLLEVLDLYNNNLTGDLPVGVSEMASLRHLHLGGNYFSGVIPPEYGRFPSLEYLAVSGNELTGTIPPEIGNITTLKQLYLGYYNGYSGGIPPEIGNLSNLIRFDAANCGLSGEVPPAIGNLKNLDTLFLQVNGLSGSLTKELGTLISLKSMDLSNNMFTGEIPESFKELANLTLLNLFRNKLHGSIPEYIGELPELEVLQLWENNFTGSIPQELGKNGNLQILDVSSNKLTGSLPVNLCTGNKLETLITLGNFLFGPIPESLGECQSLSRIRMGENYLNGSIPKGLFSLPQLSQVELQDNLLSGEFPVTDFVSGSLGQVSLSNNHLTGQLPASISNLTGVQKLLLDGNKFTGAIPPEIGQLQQLSKIDFSHNSFSGEITPDISKCKLLTYVDLSRNQFSGEIPTQITGMHILNYLNLSRNLLVGTIPTSISLMQSLTSVDFSYNNLSGLVPGTGQFSYFNYTSFLGNPDLCGPYLGPCKDGAMNNTHQKHSKGPLSSSVKLLLVIGLLLCSIAFAIAAILKARSIKKGNEARAWKLTTFQRLDFTCDDVLDSLKEDNIIGKGGAGVVYKGVMPNNEHVAVKRLPVMSRGSAHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEMLHGKKGGHLHWDTRYKIALEAAKGLCYLHHDCSPLILHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGKKPVGEFGDGVDIVQWVRKMTDGNKEGVLKIMDTRLSTVPIHEVMHVFYAAMLCVEEQAVERPTMREVVQILTELPKPPPSAATTASSTDPSSPVDVEVEKKGEDKLGDLLSL >cds-PLY84638.1 pep primary_assembly:Lsat_Salinas_v7:5:10699974:10702279:-1 gene:gene-LSAT_5X4821 transcript:rna-gnl|WGS:NBSK|LSAT_5X4821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSWWDSRTRPCLDSVSVTSMNLFQETRESTTTTTTSGGGGGEGGGGVLGNNPSLQMMELGLSSQPISQSLDWNQALYRGDQRSDHHQSGYQTLAQEDHSLSSATSNFQEPQWKSHKIYSDSPTEFKQINVRGFQLNERMQYDENGLNPSFQAMDDHSYGNSTVIQSLFGSENNTSQQDSGYDQNQGLSYMYQSNYGGVPMQSGGGCSGDGGGGEYPPPPHEFSVNSPSKVQPSNIATQLHFSNNARFWNASAASMNDVRSSFFPLQMHPPSSTIEDKTKNPTSEMVRKASSKSSSTKRPRNENPPLPAFKVQVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVNVLSTPYMKSGAAITQQQQITDKEGSRQDLRSRGLCLVPISSTFPVTHETTVDFWTPSFGGTFR >cds-PLY88300.1 pep primary_assembly:Lsat_Salinas_v7:6:126824771:126835305:1 gene:gene-LSAT_6X77540 transcript:rna-gnl|WGS:NBSK|LSAT_6X77540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFMDDFEHLKIQLEDIKLATNNFGRDKLIGRGGFGQVYKGELSLDGRQTMVAFKCLDRKHGQGDTEFWKEVLMLSRYRHENLVSLMHFCSEGEHKILVYEYAFHGSLDTYLANKGLTWTQRLKICIGAACGLNYLHDPSGTQQRVLHRDIKSSNILLDENWNAKLSDFGLSKLGPANQQHTYLISNVVGTPGYCDPLYWEMGFLSKESDVYSFGVVLFEVMCGRLCFEYSNGQLRILVRMWQKLYDEKRLDEIIFQDLKENMDSDSLNTFSSIAYKCLKREREERPTMAEIVKELEIALDQQEFSEDVRKRVDFEEISKILDLAIPPVSYRSQKELFLLLSKGILLSGGKSWLSINKNGEQCEMVSAAESIFEYTSGYDLSKNYEKSRFPSVVFHMIDGDFGLEADTKFLSTHVTYTINLVFKHHLWDNGPYVPFKYKLEEEEEYSTSCVAHLREDGWLMTELYQFTSYQKEHKFRIRFVRPSYYSLHRNLVLEGIEFRPVEHEKHQNLKDEYNVDIKPISSSEIEKMSCDHPEITKWLEDRMHSTSNKELLCLLRKGCSINNGEKWFFIDENMKKCHMLPARAILQEEKWTWKPLQESRFDMVAESQDTNSFSIACVIESQLLSPQTTYASYLLYKLPKNHHSVFEGPIEVENIDLKIDFITHKRLIYLITPPHVPIIRPQLDHLSQSPLSTRKIKGHPRLRKDGWMELKVWEFPGDFATTISMRTCFKSCDKWKFTGFSVQGIEFRPL >cds-PLY69998.1 pep primary_assembly:Lsat_Salinas_v7:8:146224222:146227005:1 gene:gene-LSAT_8X98961 transcript:rna-gnl|WGS:NBSK|LSAT_8X98961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRPGFVKDSMLREEIAIPNPNSNRPNDPLSPPGDSSSSSSTPTMATESLAAKAIRASSAHRESSLSSAYGQSAISPRQAKSNPVRSSYSSSAKDSTRYDYTSTKNSDETKRGFWGALARKARAIIEDDDDVPQQYETPERRRQQLSDIEAKGQARSKEIGSSQYQLPDNHQKSGNPPFQKGLNAITSSLNYIGNALEEGITVVENRTADIIQETRKLHIKKKPEEIPPSNIGTTKQIRKMESDTEIQLKASRDVSMAMAAKAKVLLRELKTVKADLAFAKERCGQLEEENRSLRESGGEGGRLEDDDLIRLQLESLLAEKARLAQENSVYARENRFLREIVEYHQLTMQDVVYIDETKEEVSQVYPINNMPFTSTPQNINQHVIVTPTNPFLVNPEDQQNNSPM >cds-PLY62088.1 pep primary_assembly:Lsat_Salinas_v7:2:57066486:57066771:-1 gene:gene-LSAT_2X25801 transcript:rna-gnl|WGS:NBSK|LSAT_2X25801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMVLKWVVLPSHFQVMFPWNLCSSLEIKGGDVVVGSLKKRFERKPAPVSILHSIQLFV >cds-PLY74192.1 pep primary_assembly:Lsat_Salinas_v7:9:23946877:23948140:-1 gene:gene-LSAT_9X21401 transcript:rna-gnl|WGS:NBSK|LSAT_9X21401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNMLFFFRDSVAVGYQTMTPVQFQNNSNPNEENMEGKGDSDEINLDDNEPLFTSLHESSSSKRKRSKSVSNNRPTKSKNSIYEEKVDALLDAISSKSTQTYPQNNPSPTIADCTAIVIKFPDFREGSNNFSQALFVFTKKQNHEAFMFPMTDEAKMEFLKLLMK >cds-PLY87860.1 pep primary_assembly:Lsat_Salinas_v7:3:43503097:43507266:1 gene:gene-LSAT_3X34060 transcript:rna-gnl|WGS:NBSK|LSAT_3X34060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNRCSPEALLSIILGMSKEQKESVRSMGFGALLKMKITDIPLKLGFYVLQKFDSERMVIDIEGKELKVTAESVHDMLGIPIGGTKLTQLDQWPKDDTSYDEWKQQFKKDSIIRLSAIKNVIVSTTQADFNFKLNFLVLFVNTFCESTSMGRCNLFPLSYISRKTDISNIDWCSYVLDCLVRTKNSYIPYSDNNFFVGPSAFLVLFYADNIHSEALTVTRKRPTICYWSSEKIRYRETFEQEKGRFGVGELNEEFVNEQNEGDTDLEDSDSDKDEDHSVEAYESKISKMINSFERMKEKLNSKLNDAMTKFPEKESFRIFKEKMKNMIVEEKTESTTLFNFPINEIGVEGINLTPIMGQKTNDQTENEDKEGNGEEDSDNGASQPEVDYLLDSNEADNEGIKNDADKNKKEGEIRVKEKDAKRNENQNDEEEKDDHAEETNNHEETIQQTENQNLLDKVVDNIVDNVLGIGVSSLNSQEDEIWNHPEMKTIFDNIDIGSPMSTGKTNTLAEKEKSEGVHEQGTKVEKTKGDDTGKENSEDRNEGGTEAKNTKDGGEEKQTEIEKGNAEDRDTHPSFSLGLSQDSDQTSSKKSNESSPKKALTKKQIKDDHQKTAYLTSTLSDERKYEKFKENFHDSTNGYKKILNIKDIDMVFFPVVKSAHIFVIVFNLKKPSIEILDNSAVEGDYEGKYGVIMKPLKNLFVRYFKEINHPRANAISKESIKPQRLEMSWRTVKNKVDCGVFAMRHMETYMGQPLSKWKPGLHKESAVQQTTLEKLRQRYAHIMLTSEINMLKAKVLDLAEKYQKVEFKVRTDHAYKAMQTIQKRLKEY >cds-PLY69386.1 pep primary_assembly:Lsat_Salinas_v7:8:258891807:258898173:-1 gene:gene-LSAT_8X151421 transcript:rna-gnl|WGS:NBSK|LSAT_8X151421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSISELKAEGIGGPLQVRILRKWKHDVRQYETWQMQFKFLDNEQVRATLNLFSVFQNVTSCQKKGKTDVGNFEERGIDGFGKGFALVLILEQFFTMGLL >cds-PLY64915.1 pep primary_assembly:Lsat_Salinas_v7:8:133154629:133157163:-1 gene:gene-LSAT_8X92421 transcript:rna-gnl|WGS:NBSK|LSAT_8X92421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEEASNITKQKVAAAKQYIENHYKEQMRNLQERRERRILLEQKLADGDVSEEDQNNLLRFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRICREKTTATVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDNEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVAETVLAIESIHKHNYVHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTLEETDFATGDHVSNSTGEGHSAAPKRTQQEQLQHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYSLECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVHWKSHLKFPQEAQLSFEAKDLISRLLCNVNHRLGSKGAHEIKVHPWFKGIDWDRIYHMDAAFIPEVKDELDTQNFEKFEESEHQIKSSSRSGPWRRMLSSKDINFVGYTYKNFEIVNDYQVPGMAELKKKKNKPKRPTIKSLFEEEPGQESS >cds-PLY72955.1 pep primary_assembly:Lsat_Salinas_v7:8:135344505:135345035:1 gene:gene-LSAT_8X93880 transcript:rna-gnl|WGS:NBSK|LSAT_8X93880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHRYSVRDFRLLCRLGGAGYRVLARLDHRRPRRYSHLVVMGLKLLSPSYPLDFWLGKGRPLSPEAHGRLVRLLRAKLKPRELILPPDELFETEESRYFLEYSLLYGWMRQWLNYLKWYHLTALSPWVTLEELFSGPVVSHSWRMVAVDEDLVRFSLEVVYRSALFKFINQAVK >cds-PLY98166.1 pep primary_assembly:Lsat_Salinas_v7:1:141866875:141867823:-1 gene:gene-LSAT_1X104740 transcript:rna-gnl|WGS:NBSK|LSAT_1X104740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILQLNRLGFSHHNHHRNMSEASFASLQAFRLQVAGNLHRVMESFILGSGVLSIKWIHQCFRILPILNNEFAKLMGEIDYPVSSWEACSIEEYLDYTISMLELLNAISSSLSHLNQARVSLSHALSLMKNSPGFDVERLREITVHDSVKGIKGSEGNGERNRNEKEWIFHEGMMVLRSTGFWTCGVVLSGLKSDARPIMEIMGTGMVVDCSLIRFDSMFRKKITEERGLVKELEEVNETLRMIVLKGVGDSDAVIELKRRLDVIGNGVKGLKEEEEGLFAEFMAARNKVLETLRAKNK >cds-PLY89554.1 pep primary_assembly:Lsat_Salinas_v7:4:158132923:158133863:-1 gene:gene-LSAT_4X95141 transcript:rna-gnl|WGS:NBSK|LSAT_4X95141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTAALIGVTPTFQEVQEHKLRGDLYLSEIARCLLLLSSFKKQRSNPSSNSSPWFPTHL >cds-PLY94673.1 pep primary_assembly:Lsat_Salinas_v7:1:40736073:40740967:1 gene:gene-LSAT_1X35981 transcript:rna-gnl|WGS:NBSK|LSAT_1X35981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHFLPTWVDHGGDCCDWERVNCNIISGHVTDLFLYNLRGMNDVDMELQSKYQGNKLWPLNVSLFLHFKELKSLNLSYDFLDKEMIMKTGLGRLSSLNKLETLDLSKNFDLDNDILPSLTALTSLIVLDLSYTGLNGYFPSNEFAALENLEMLDLTQCEFNGTVEIQGFERVPILSKLKTLNLGSNEFNASVMTFLNNLSSLRNLDLSNNPLSGLFPAQGLAKLMNMEKLDLSYTGLDGTPNIQELPNLHDLEVLLMTNNGFNGTLPMKALSSFHYLEVLDLSDNNFAGSIPSSIQALSSLRVVSFTNNELNGSLSDHGLCELKNLQEMDLSHNMLDGILPQCFNNLSSLKLLDISSNRFTGKLPPSLIANLTSLEYIDFSYNKFQGSFPFSSFSNLTNLQAVQFISADDKFEMETEDPIGWIPMFQLKVLVLSSCNINRHKGSVVPTFLLHQHKLQELHIPHNSLEGNFPTWLIENNTNLEVLNLRNNSFGGIISMPFHRNSYMRWLDISGNHIINSIPSDIPEFLPNITHLNFSRNAFNGVIPSSIGDLSELQILDLSDNELSGEVPKGLLTNRALLSILKLSNNKFHGEILSGNLSLGNIERVHLDSNNFTGKIGIKSKNKFEFMTVLDISNNFFTGVIPSWISNMGTIGDFSQLVIRNNSFKGRFPCGTASFSFFDISHNSFSGSIPSCLNLRDVEHLHLGSNRFIGPIPSFFRNLTRVLTLDIGNNNLSGIIPEFLGDLSTLRILLLRKNKFTGSLPNHLCQLSDASLIDLSGNTLSGSIPSCLRNITGPKYLAFMKETVSAYPISSYYYYKGVLDRQFTSNDRSEMFEIQDEVQFTTKGLSLPYKGDVLDIMSGLDLSSNKLTGEIPEELGFLIQIRALNLSHNHLTGPIPVTFSNLANIESLDLSSNGLTGKVPSELIKLTSLSIFNVSRNNLSGRLPEMKSQFGTFTETSYEENPLLCGPPLANRCKTNSLFTNPLVEEDTEKWYNIDMTSFYASSSSTFFVLLLGFAAVLYTNPQWRRRWLDRVEDCMFACYYFIYDS >cds-PLY84162.1 pep primary_assembly:Lsat_Salinas_v7:6:191791348:191793906:-1 gene:gene-LSAT_6X117800 transcript:rna-gnl|WGS:NBSK|LSAT_6X117800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEEIPALNLEVWHLIAIFVALSIVIVLSLLWCYTFSKKKSKNIKDRRLPITQKPTVVSAEIKDIRVDQNSANTLTGQDANPNSHTLWDKFSDKDKDSDKLLTRPSVDKSKFGDNSSQSGSFNHLDKEEMGLDSGEKGRSSSHPMSGSSPLSGLPEFSHLGWGHWFTLRDLEVATNRFSKDNVVGEGGYGVVYRGHLVNGSPVAIKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGTHRLLVYEYVNNGNLEQWLHGAMRQHGYLTWEARMKVILGTAKALSYLHEAIEPKVVHRDIKSSNILLDDEFNAKLSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVLLLEAITGRDPVDYGRPADEVNLVDWLKMMVGNRRSEEVVDPNIGTRPSRTALKRALLTALRCVDPDSEKRLTMGEVVRMLESEDYPLTRQGRRRRRSQANAQEPESQTENSDAEKGTK >cds-PLY73491.1 pep primary_assembly:Lsat_Salinas_v7:2:100891274:100892728:-1 gene:gene-LSAT_2X44460 transcript:rna-gnl|WGS:NBSK|LSAT_2X44460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSGVGEHMRVIHRDVKGANILLDDNMEAKICDFGLSRFCPRNQPNTHVRTRAYGTRFYIDPIYNERGMLTKESDIYSFGVVVFEMSSGMMAYQARRFEETKDQQYMIDIVRSYYDDDELKHVCELDKLIDTDIKGNICVSSFHKFNEIAHECIHLDIKKRPTMDRIIKAIKESLRIQESWKKFYMLDKLSIQIDKQESGKFFYMLGPKDITIAWQECARYWQLGYILQSRFPEVWILRNVCWLEIHGKIEVVKLSEKSTYVAYLIFQTTENCRGLDVPANSSITFGGKKMETENVYLQRPKASKTCQENYVVPHRRKDKWMEIKLGEFEYKEGDDGEIDMAFLEVTNGNRKSGLIVEGIEIRLK >cds-PLY86750.1 pep primary_assembly:Lsat_Salinas_v7:5:60125831:60126393:1 gene:gene-LSAT_0X37981 transcript:rna-gnl|WGS:NBSK|LSAT_0X37981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVDRHRLLWLPKIKIPIRRFRRMREDTCFTDDEFQSRTIICYVLQLLQSHISDLRSSEN >cds-PLY88731.1 pep primary_assembly:Lsat_Salinas_v7:7:193609758:193610493:1 gene:gene-LSAT_7X113840 transcript:rna-gnl|WGS:NBSK|LSAT_7X113840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSQVIDEVVEGQYKSRKLSEILDDVEDGINEILRDIQEEEPGFLEGNVDDVISEKIQLNEEDVDMLLESVYNNGEIEGSKGISIPLDDMTPVELELQDQEEHSDDVEVFADDGGFDAREEAWSEVDDKGVVVADNNVVDEVQVEVGIEAAILGVDDIVNNLLNLSTKPVHRKRTPSEWILKIKLKKTVYDKDGSGSSATKTVKLDWLSSDYEKG >cds-PLY69267.1 pep primary_assembly:Lsat_Salinas_v7:1:12287794:12289984:-1 gene:gene-LSAT_1X9980 transcript:rna-gnl|WGS:NBSK|LSAT_1X9980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRILVAESERLMRDVEMGEDSPTSKKHVGSKLEKTFPLTRWEFSMALGVFLVFSIGLFFIYQTMPAADGQLKLPRSISDLRILKDHLGAYASINPTKFIVGYCSTYIFMQTFMIPGTIFMSLLAGALFGVIKGIFLVVFNSTAGASSCFFLSKLIGRPIVYWLWPGKLKLFQTEVAKRRDKLLNYMLFLRITPTLPNLFINLASPIVDVPYHVFFLATVVGLVPAAYVTVRAGLALGDLHSVKDLYDFKTLSVLFLIGFISILPTILKKKRIYE >cds-PLY98194.1 pep primary_assembly:Lsat_Salinas_v7:2:83012236:83025225:1 gene:gene-LSAT_2X34201 transcript:rna-gnl|WGS:NBSK|LSAT_2X34201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPSMRPNNMKLSFIFVFTFHFYLIVLSYSKSIIKTIPGYAGDLPFKLETGYIGVGENKNVQLFYYFVESTRNPQEDPLIFYIPGGPGASALITFLYELGPLNFDLDNDLDNITLILNPTTWTQMANIIFVDIPAGAGFSYAETKDGWISSDNILADHANAFIKKFLNDHPKFLKNPLYIAGISYIGIVVPKITLDLYEGNERGDQPTLNIQGYILISPLTDKFMDFNSRLEYAHRMALISDDIYKSAIKNCYGNYVDIDTANSLCVNSLHQYEQCTCRINMDNILEPFCDENDPMPDCQEAFEKVVTIWANNDVVQKSLNIRQGKTGRFEELNNTMHYQQGKNDTFCYSYDIFSSFPYHKKLSTKNCKSLIMSGDHDMTFPYVGVEHWIGSLNLSVEIPWNPFYVDDQVGGYAMKYVHNNYSLTYATVKGAGHLVPHYKPKETIVLVERWFSSQTYSSDS >cds-PLY88784.1 pep primary_assembly:Lsat_Salinas_v7:4:92836605:92837163:-1 gene:gene-LSAT_4X59400 transcript:rna-gnl|WGS:NBSK|LSAT_4X59400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRGKSEGSSALLPVPRLSQTTKKAEENKAHRRCVVHIVSFMRWPSSSQSFLVHSLRLPFCLSPASFLQSTISTRLFLKLK >cds-PLY81936.1 pep primary_assembly:Lsat_Salinas_v7:5:35835689:35836097:-1 gene:gene-LSAT_5X16260 transcript:rna-gnl|WGS:NBSK|LSAT_5X16260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYYQAPPTISDSIYGSTFFLANGFHGTIFSIICGILQYLGRLTKEHHVGFETWFGYSHLSLSIGGEVYEGTNQWIGEFKLEDKEKWAFPKNYCSFLTPFDYHIKKYLPLSYQISLFSGT >cds-PLY93534.1 pep primary_assembly:Lsat_Salinas_v7:4:277591277:277593938:1 gene:gene-LSAT_4X143541 transcript:rna-gnl|WGS:NBSK|LSAT_4X143541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNGDKSLSSSSPCYRWKHDVFLNFRGVDTRKNFVSHLYAALIGQRIKVFKDEKTIRIGRNIFLSISKAIEESRISVVVLSENYGESVNCLTELEKIFECKRSMGRYVIPVFYHVQPSEVKHQSGCFGDGFAVHGNHMKLSIWRNALIQATNIAGIEVHGNESDCIERIVETVMRELGPRRFPLRSLLPKASAYWCSLNSLMANRHTWVPQADTAGNVSTNHKKKRNKETTEVYDPLNKSVHIGSLNKDVVVIREINPESESSFLEELFVRSRMKHANVAKLFGYCIRDNRRFLVEEHWTQGTLHQILHDDAGNSVISWTQRVTIAYDVAKGLEYLHYQNLFAHIDSSNVLLFDNFNAKISTGSSASESYWAPDGVPKASCSIYFLVAILVLLANVFLCGRYKGRELTMKGNVYSFGVLLFELLTGNKPSKGIVNSVLPAGKIDNIGSLVDLKLNGKYTSREAKKLAFTMLLATMDQPSDRPDMNTIVEYFKKLLAV >cds-PLY79621.1 pep primary_assembly:Lsat_Salinas_v7:2:166592864:166593450:-1 gene:gene-LSAT_2X90121 transcript:rna-gnl|WGS:NBSK|LSAT_2X90121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHLORORESPIRATORY REDUCTION 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39210) UniProtKB/Swiss-Prot;Acc:Q9FL87] MTFTVQKRSYIFHLSTLLDAIAMEATLQKQLFPNHLLISPRTPSFVHQKSSFSTQFLSYSGFPKFPIVERRHRNANATKARRRRSNMETDTYVLMEPGKAEEFVDEEELRARLKNWLENWPGTSLPPDLARFESIDDAVSFLVKSVCELEIDGDVGSIQWYEVSLE >cds-PLY75262.1 pep primary_assembly:Lsat_Salinas_v7:7:66170968:66171192:-1 gene:gene-LSAT_7X47840 transcript:rna-gnl|WGS:NBSK|LSAT_7X47840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVKAVRIPMGHMDAHDEFVAIDLDAKEVGSHDEHGCEALGCEVTLHVASFWEPWKLKCHLFRFPCQHFPRLKT >cds-PLY94632.1 pep primary_assembly:Lsat_Salinas_v7:1:41130748:41131883:1 gene:gene-LSAT_1X36520 transcript:rna-gnl|WGS:NBSK|LSAT_1X36520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQAEKLMNQIMELKFTAKSLQRQARKCEKDEKTEKLKVKKAIEKGNMDGARIYAENAIRKRNEQMNYLRLASRLDAVVARLDTQAKMNTISKSMGSIVKSLESTLATGNLQKMSETMDQFERQFVNMEVQAEFMESSMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQPAAHAVPTKNAEKVDEDDLSRRLAELKARG >cds-PLY92275.1 pep primary_assembly:Lsat_Salinas_v7:2:208895459:208896671:-1 gene:gene-LSAT_2X129520 transcript:rna-gnl|WGS:NBSK|LSAT_2X129520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCRSDGSRRSMAPSLHTLSLLFFFFLRLSTAWRPLPHMKNNVTELEFGGYKKYEGSSEFVKLKYHMGPVLTSNITVHIIWYGTWPSSQKRIIREFINSISAADDRRSPSVSGWWKTVQLYTDQTGSNITRSVRLGEEKNDRLLSHGKTLTRLSIQSVIKSAVTAKTKPLPIHPKGGVYLLLTADDVYVEDFCQNVCGFHYFTFPSIVGYTLPYAWVGNSAKLCPGMCAYPFSVPDYIPGLKPLKSPNGEAAIDGMISVIAHEIAEVASNPLANAWYAGEDPSFPVEIADLCEGIYGTGGGGSYTGQMLNDEDGATYNMHGIRRRFLVQWVWNHVVNYCTGPNALD >cds-PLY95072.1 pep primary_assembly:Lsat_Salinas_v7:5:226816205:226818433:1 gene:gene-LSAT_5X106640 transcript:rna-gnl|WGS:NBSK|LSAT_5X106640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLNDAQPREVVNGGTSVNGKEKPREIVLGRNVHTSCHEVTEPDDDDEVTGEREAYMASVLARYRKSLLERTKHHLGYPYNLDFDYGALSQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKKEYWGYITNCGTEGNLHGILVGREVFPDGILYASCESHYSIFKAARMYRMDCEKVNTLLSGEIDCEDFKAKLGLHKDKPAIINVNIGTTVKGAVDDLDLVIKTLEETGFTHDRFYIHCDGALFGLMMPFVKLAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINALSRNVEYLASRDATIMGSRNGHAPLFLWYTLNRKGYRGFQKEVQKCLRNAHYLKGRLTIAGISAMLNELSSTVVFERPQDEEFTRKWQLACQGNIAHVVVMPNITIDKLDDFVDELIEKRAIWYGNGKLHSPCVASDIGEDVGVLGLLLKRKHIAV >cds-PLY85053.1 pep primary_assembly:Lsat_Salinas_v7:7:6783442:6784900:1 gene:gene-LSAT_7X5980 transcript:rna-gnl|WGS:NBSK|LSAT_7X5980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGPECCDNPPTLSSGSEYGDVLQIASLNSYVTGNTDSKIAVVLISDVYGYGAPKLRHVAPLRETDTRALRKDE >cds-PLY73659.1 pep primary_assembly:Lsat_Salinas_v7:5:202594742:202595542:1 gene:gene-LSAT_5X94461 transcript:rna-gnl|WGS:NBSK|LSAT_5X94461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTDEIRASASEVYHGDAICQEKSKFLLTEMSLPNGLLPLEDIEECGYVKDTGFVWLKQKKEKKHKFEKIGKLVSYGTEVTATIEKGKIKKLTGVKTKELMLWITLSDITVNESNPDKISFQATSGLYRTFPVSAFQVEDVKKDVGVAKDAKVVEGDVTKETQVKEV >cds-PLY64735.1 pep primary_assembly:Lsat_Salinas_v7:9:191383804:191384661:1 gene:gene-LSAT_9X116661 transcript:rna-gnl|WGS:NBSK|LSAT_9X116661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSGFQSPMQAQYQYLYHQPPPQPTGQTLPFQGQPAPLQSQPLANSRPSQQHFKTLKWTNEMVKLMIIAISYIMEASITSPDSNKTTQKSTLVSKETKWKVISMVVSERGHNVTPQQCEDKFLEINKKYNQLIGLLGKPTSCEVFENPILLDSIEMPETLKHEARKLLESDQFYYREMCSLHHNNRMFIPHDWEVMRLVFLGLEGNEWDDLRARWARLEEKKLEIQEKRLELEKDRVEWLCLDQNEEMKIEKMRLENEKLKFENARLAFELKCKGMVVGDDEN >cds-PLY90090.1 pep primary_assembly:Lsat_Salinas_v7:6:17050101:17052592:-1 gene:gene-LSAT_6X7700 transcript:rna-gnl|WGS:NBSK|LSAT_6X7700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAMETHPQQKASSEAATEKKRWTLSDFDIGKPLGRGKFGHVYVAKEKRSNHVVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQQRVYLILEYAAKGELYKELQKFKCFTERRSATYVASLARALIYCHGKHVIHRDIKPENLLIGSQGELKIADFGWSVHTFSRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGILCYEFLYGAPPFEAEEHSDTYRRIRQVDLKFPSKPVVSAAAKDLISQMLVKDTSKRLPLHKLLEHPWIVQNADPSGVYRSG >cds-PLY99630.1 pep primary_assembly:Lsat_Salinas_v7:6:82797580:82798665:-1 gene:gene-LSAT_6X58781 transcript:rna-gnl|WGS:NBSK|LSAT_6X58781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKLPHEQPTRLPICAALSKQGHRFLTSVATTDDPATTARLIRKFVASSSKSIALDAISHLVAPINTSFSRLSPLTFPLYYEINKASWFNWNAKLIADVIASLYNHQRFTEAQTLISEVETKLGSKERDICNFYCNLMDSHSKHQSKQGILDSYEHIKQLPSHSSSIYLKKRSYESIIGCLSVINLPNQAESLMVEMKNKGLKSSIFEYRSISYAYGRLGLFEDMKRVIAMMENEGFELDLIMSNMVLSSPGLYNELLEMASWLKRMKRSKILFSIRTYNSVLNHCPTIMSIVEHPKEMKPKGFKILGSLKEGK >cds-PLY85403.1 pep primary_assembly:Lsat_Salinas_v7:9:78705271:78706744:1 gene:gene-LSAT_9X64001 transcript:rna-gnl|WGS:NBSK|LSAT_9X64001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTAESSSATTNSRWSLAGMTALVTGGTRGIGYAVVEELAGLGAAVHTCSRNEAELNQRLQEWSVKGFSVTGSVCDAASRPQREQLLEKVSSVFNGKLNILINNVGTNIRKPTTDYTPEEYSKLMSTNLESSYHMCQLSHPLLKASGVGSIVFISSVAGSIHLSSGSIYGATKGAMNQLAKNLACEWAKDNIRSNSVAPWYTKTPLAQQVLANEEYLERVVSRTPLKRVAEANEVSSMVAFLCLPAASYITGQTIAVDGGFSVNGFA >cds-PLY79355.1 pep primary_assembly:Lsat_Salinas_v7:9:54073283:54077542:1 gene:gene-LSAT_9X50160 transcript:rna-gnl|WGS:NBSK|LSAT_9X50160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQDPVNEVPVNQDLVYPVPVNQVHVNLGVRVPKSLGVRAGKPLERINKIQIRKQIFRKDGKGMTGQPNYFRMVKQPPPPASKLSPNAITTEQIQKCLEDNKNLIMAIMENQNLGKFQECAQYQAVLQKNLMYLAAIADTHPPPPTPTPTPQIPNHSQGNPSLPPGFMPQQPNNFMQQPQPVAPPQLPIGGGGGGQKLPFQLNSLRTPEQQQQLLQFQQQQQQQQQQLQAQMGLRPGGGGGGQGGLFGMHQVMNHGGGGDLINGQGSKQDGSEGNRDS >cds-PLY83893.1 pep primary_assembly:Lsat_Salinas_v7:3:50962368:50963539:1 gene:gene-LSAT_3X38660 transcript:rna-gnl|WGS:NBSK|LSAT_3X38660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPRFNKNGVKKGAWSEDEDNKLRAYIQRYGHWNWRLLPQFAGLSRSGKSCRLRWMNYLRPNIKHGNFTKEEEDIIVELHNKLGNKWSEMAAYLPGRSDNEIKNRWHTHLKKRSQIGQTMLQSEHVGNQKPNHDIENPVENSELELQHDLEILLTESPLSSSSSSLSSYLFNGSDSGATTQVTPQLVDYKRNFWTEPFSWDIDSILSSSDDNFFSPSNLFYPVCSQDMIMDEFLSSSLDSYLEYNDPFIN >cds-PLY76008.1 pep primary_assembly:Lsat_Salinas_v7:5:319897795:319901704:-1 gene:gene-LSAT_5X177280 transcript:rna-gnl|WGS:NBSK|LSAT_5X177280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSMLVECKTPPTRLSASTTMDSPLFDNEDDLYTRLKSLERQIEFIEIQEEYVKDEQKNLKRELLRSQEEVKRIQSVPLVIGQFMEMIDQNNGIVGSTTGSNYYVRILSTINREQLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYSDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAAEITAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFDFYK >cds-PLY66145.1 pep primary_assembly:Lsat_Salinas_v7:7:31683270:31684622:1 gene:gene-LSAT_7X24081 transcript:rna-gnl|WGS:NBSK|LSAT_7X24081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVTTNQTDMESKEKNQTIFRCKKCRRIVASEDNIIPHERGNGQKCFKWKKRTGDTTNELPECSSMFVEPMKWMQAVEEGSVEQKLQCIGCNARLGSFNWAGMQCNCGAWVNPAFQLHKSKVDECRF >cds-PLY81586.1 pep primary_assembly:Lsat_Salinas_v7:2:17555546:17556080:1 gene:gene-LSAT_2X9200 transcript:rna-gnl|WGS:NBSK|LSAT_2X9200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLYVIEKIIVEVGNFSAVDTFKMKIVCRILNEAVKTEEIYKHMEVEGLRFRHWTEEKYAVVNKCKDMRNPNILFMDGLSNLFFLEADHEGKMMLEEASALGHLDSTFVLVMMLMAEGR >cds-PLY68178.1 pep primary_assembly:Lsat_Salinas_v7:8:119025269:119026786:1 gene:gene-LSAT_8X82860 transcript:rna-gnl|WGS:NBSK|LSAT_8X82860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEDESNWFSKWEEELPKPEELIPLSQTLITPDLAIAFDIGSSQIPLNHHHQSLQHHQQPPAISTPSSQPNSGEFDSSELGGGGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSSGGGGNGAGGFGSGGDPATDHLFASSPVPPHFLHQSHPNSDNFLPYMPVAALQQQHHHQQMAAVAGHHLHRHVGHFGSVTNGQSNHQFLSRQSNQPLHRVGTPVHNSYMDDLESAKEKKALTLFPIGDD >cds-PLY90392.1 pep primary_assembly:Lsat_Salinas_v7:9:174102218:174104110:-1 gene:gene-LSAT_9X106900 transcript:rna-gnl|WGS:NBSK|LSAT_9X106900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLNYSRVFSKTLNSKAVSRTLTPSFARLQIRSMATSEIKKIQIQRDDTTFDAYVVGKEDAPGIVVLQEWWGVDFEIKNHALKISKLDPGYKTLIPDLYRGKVGLDAAEAQHLMEGLDWQGAVKDIQASVNWLKANGSKKVGVTGYCMGGALAIASSVLVPEVDAAVAFYGVPPNELADPTNIKVPVQAHFGELDNIVGFSDVQTAKALEEKLKASGKPYEVYIYPGVTHAFMNTSPEGVERRKNLGMIDQTEADPADVAWSRFQTWMNRYLSA >cds-PLY68170.1 pep primary_assembly:Lsat_Salinas_v7:7:71047311:71049047:1 gene:gene-LSAT_7X51121 transcript:rna-gnl|WGS:NBSK|LSAT_7X51121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLIQSSIDAHLSSLHNNGKQEILCVWIQDNDHKNKNDSLSSSQISTEINMSETSKPKPNLVYKRRIIHKNSTSTPTPTPTLVYKRKKVQTICCSSSKSNMEIGSVSLKQQQQTDDIGECSSSVVMKDLSETNSCFEFLEHHLVLQRFKGLKGLKGSCFNKVKDVEIEKGICGLRVCKVCEKSTLTLKMLICDLCEESFHMSCCNLKKVPVGDWFCHSCLSKKLKKMEGKSPKGYFGPIVDMLRDVDCYKSDVRIGKDFQAEVPDWSGPLIDQLNDYHNLSSEINPSDSATYQDWDSSKLSRLSCIGNWVQCREIVDHENGIVCGKWRRAPLFEVQSDNWECFSSVLWDPIHADCAVPQELCTDQVLKQLKYIEMLRPRLSAKRWKLRVHKGVDGEEHTGDPRNTQKS >cds-PLY96668.1 pep primary_assembly:Lsat_Salinas_v7:7:46023023:46024286:1 gene:gene-LSAT_7X33601 transcript:rna-gnl|WGS:NBSK|LSAT_7X33601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPTTTTTTTTATTAFMERLTYRCHCCNCDVSPSPSSSSSSSATTISTTSLLCPNCNCDCLEQVISNNNPNPNYPNQHSSQLSFPSFDPSIPTTTADSSRTFPFPSVTASDDNFLLDSPYLHRLINHLTNSSDSSPSTTTVTTSLHHSPTSKSAIEAIPLVKITSAFLDIDPIVLCAVCKDQFVIDDETKQLPCKHMYHPDCILPWLSNHNSCPVCRFQLPREPVNGDVKARRRSRSRVLRLGDLMEDVDDEAMLGIGFSNLQHQYMHSEFDPDVMFPLTHIGQTVEVDVLPDSGQENGLETQTPSWPNWRVNGVAVDGGDTGVNGGWIDDSDSANSMP >cds-PLY72471.1 pep primary_assembly:Lsat_Salinas_v7:2:142815809:142819947:1 gene:gene-LSAT_2X70640 transcript:rna-gnl|WGS:NBSK|LSAT_2X70640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMAREKVQIKKIDNATARRVTFSKRRRGLFKKAEELSVLCDADVAVILFSSNDKLFQYSSSSMDEVIERRSLHSKNLEKLNQPSLELQLVEDTNYANLSKEVAERTLQLRQLRGEELQGLSIEELHQLEKSLEAGLSRVVAKKGEVIMNEINHLQEKEVKLMEENNKLRQELLKISNAWKLTGGYSGDDGDSSESTNISNSTGPPQDYESSGTSLKLGLPYSG >cds-PLY66897.1 pep primary_assembly:Lsat_Salinas_v7:7:20906204:20908788:1 gene:gene-LSAT_7X17681 transcript:rna-gnl|WGS:NBSK|LSAT_7X17681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLKKLFTRYNEQFGSGPGLGTGSGTCLMNIDNISPSFIKSLYKAAASLYRTDPWKRFQPDHLFGLKVGKDSDWPGKKQLFPCVQFIGGNGGDLAVYMFRSESDAKKMTGSRETIRVPNLEIMRATYEVESLMLPSHKKMIKSLCLEISGDNRYPVFDICRCNSSGELQCRNPTIEELRFFYGVMKSISLVHSLLQEDYTTPKWSKSIRFDPFIETVDVQFPSEMSKSNNLVAVTISHPPGHGYTEKVNSNPGSTQSTPTKKPEKVNSNLDLRRCAQCDKEVPGDQITICDHCKGVIYCDSFCQKQHWKESHKAECGLYKAMMEREEELAIPAFTFPCSNSDIHQCKYLETIGVHRKGMWRRKCPCFSHCPFGSLPTTDQIQDSWGGLNDDEYPHEKSKSKSKSNPVIISGWPEYYNLRSLPISSPVAHILSHPLTVYYILTTLSITSKNLLLKGKDVILHYIGPEEELDCLPAFSEIDSTLNGPGNLQIVMIGPKVPSTLSGLTFQTGTHMRVNMVKGIYQEEVSFLPRPDLVVGLNCGFESNSSWFGALEVVKSKDLPGFFTDQSEVLCGKAKQVIRGAGLHITHPVTPNPFRSPVRNFGVSSNLPSYSNGFVFGVNT >cds-PLY74316.1 pep primary_assembly:Lsat_Salinas_v7:9:186641805:186643667:-1 gene:gene-LSAT_9X114260 transcript:rna-gnl|WGS:NBSK|LSAT_9X114260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:L-galactose dehydrogenase [Source:Projected from Arabidopsis thaliana (AT4G33670) UniProtKB/Swiss-Prot;Acc:O81884] MEKTLELRSLGNTGLKLSCVGFGASPLGSVFGPVSDEEAIATVREAFRLGINFFDTSPYYGGTLSEKVLGKSLKAVGVPRDQFIVSTKCGRYKDGFDFSATRVTQSIDESLERLQLDYVDILQCHDIEFGSLDQIINETIPALQKLKEKGKIRFIGITGLPLGIFNYVLDRVPLGTIDVILSYCHYGINDTTLEDLLPYLKSKGVGVISASPLSMGLLTENGPPDWHPASSELKAACRKAADFCKEKGKDISKLAMKYSLCNKDFSSILVGMKSVQQVQDNVAAAMEVMKGEKDDEILVEIEEILKPVKNQTWPSGIQ >cds-PLY88918.1 pep primary_assembly:Lsat_Salinas_v7:8:297395752:297398721:1 gene:gene-LSAT_8X164101 transcript:rna-gnl|WGS:NBSK|LSAT_8X164101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTVSLINKWLSLILCTEASDLLKNLSLDSQAKTTEIHEPTKKVRYVCHMSSVDAGNGLVQPTNRAATPLIPDFMDPTMAYYTNGYASLYYYGGYDGTTNDWDDYSRYVNPDGVDLSHSFYGYGYAPYGPYSPTGSPMPTVGQYGELYGAQHYQYPTSYFPPMTPTTPYSPVPLKGEITTTKKEPNLSLDTTKGNPNGVKGNTASTPPQVEVKSFPTEAFNHLACNTDGTYIVGGGVSGHIYLWEVETGTLLKKWHGHYRPVTCLVFSNDQSLLISRSEDGTVRVWSLLMIFDEEGQQRAGHLCIRSRGTCFYAGGTDGKIYIAELNAQVTSNNNNNYGLHIIGTLSDQSKAICSLGFTLDGYQLVVGSEVGMVRVWDTKTCNIIRVFKHAKGPVNNIVVMRQPAALYPRIAANNNQGSMGRRHAHYHLHLRNTLR >cds-PLY73513.1 pep primary_assembly:Lsat_Salinas_v7:4:21929237:21929788:-1 gene:gene-LSAT_4X15220 transcript:rna-gnl|WGS:NBSK|LSAT_4X15220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSPLNGSLVVDPGSGFVCRGGLGSPGGSFQLEKPSLVDEIGTRSHPLSFEAYVPGWAIIGDSLLSKDITTREWSSCVHPSATMNLLAGQLNVCMAGDLRCVAAHASALMVAAADRVCRADVNETQLKTLQGATTSIREELQDSEAERQVLSEQNCIVSWEKAALEDHVATLEVQTKQLES >cds-PLY74604.1 pep primary_assembly:Lsat_Salinas_v7:7:40844247:40844567:1 gene:gene-LSAT_7X32241 transcript:rna-gnl|WGS:NBSK|LSAT_7X32241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLIVLIRLGKEGKWDMGLRTEQRNQMARRKLAGARLLLQTSRPITKREAAPVLGRTEGREETKAARLPLSRPAIADPSHPSGVLRRSTRPKKDMGRSSSVMAVVC >cds-PLY62750.1 pep primary_assembly:Lsat_Salinas_v7:9:106188601:106188864:1 gene:gene-LSAT_9X76621 transcript:rna-gnl|WGS:NBSK|LSAT_9X76621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPATSSTTFAGSIVNSHVDSKAVILAGARRVAEKRKVVQAMSGSAPKSGSGHIGRRVMQRRGLIRLLLATPSGLGDVLVIPDDDTQ >cds-PLY95701.1 pep primary_assembly:Lsat_Salinas_v7:2:117996772:117997964:1 gene:gene-LSAT_2X54380 transcript:rna-gnl|WGS:NBSK|LSAT_2X54380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRLDKKNLKPGDHIYSWRHAYIYAHHGVYLGDDKVVHFTRLGQEVGTGTGLDVLIISSMPPPRLVTCPTCNHTDISNGIITSCLNCFLNGGLLYRFEYSVNPVVFLANPRGGTCTLAASDPPETVIHRANYLVKNGFGCYNILKNNCIHFAIYCKTGRLVSDKKTMSPSRLMASIIGHPLEAVFSSSLKLVKASGVAPYCVNRYVDDIGKKSDVVS >cds-PLY69152.1 pep primary_assembly:Lsat_Salinas_v7:5:285710965:285716841:-1 gene:gene-LSAT_5X150000 transcript:rna-gnl|WGS:NBSK|LSAT_5X150000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCSKSSKWLNRLRSSRGFPDSDNINLEHFLSNSLDKIDPQTSTDPSLPDKRPKLDKRDDSGAIQGREVMNNVLSELFQMGEFQDLSRIKRKKSCRKQQCPRICIVSTNSNVQNVPVGKDRVSSPPPSLSPFPLTLSNRRTAKDVNRELKVTGHVEEEEKGHWDLTAYSQTECEGIVQELDDRRQELPMGILKQLHTRMMFILTRGTRLLQFHKESGLDEDELVLQLRPSLHSADKRIPPRPSKPPSSSSSRKSFSQEQHGMLEPKKEKPILQHPANVASLPTVEIPKNLDSGRDRMASWKKFPSPGPGPKSPQEEVVVKEQTNIKLDAIIERVASEKDVDPITTTMKPLPVKDSHAQSQSQS >cds-PLY71715.1 pep primary_assembly:Lsat_Salinas_v7:3:44562418:44565238:1 gene:gene-LSAT_3X32780 transcript:rna-gnl|WGS:NBSK|LSAT_3X32780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANYSAKGAPSNGSVYVCNLPPGTDDDMLAELFGTIGLLKKDKRTGRPKIWLYRDKITNEPKGDATVTYEDPYAAQAAVEWFNNKEFHGSIIEVLMAESKNSNNLVTPVIEPSLVVGDVIGLDESGGDMTEGGGRGRGRGGGGDGSNAPPKAWQQDGDWMCPNTSCSNVNFAFRGVCNRCGSARPAGASGGGGGAAGRGRGRGGPDAGGGRGVGGPTGLFGPNDWPCPMCGNINWAKRLKCNICNTNKPGVSEGGVRGGRAGGYKELDEEELEETKRRRREAEEDDGEMYDEFGNLKKKFRVKAHQAEVGQVLPGTGRAGWEVEELGMSDREKRERSRDRGREREREREREREREESIRRRSRSRERDRGRERERDYDRDREYNQRDQRHRYHH >cds-PLY82641.1 pep primary_assembly:Lsat_Salinas_v7:5:84456001:84456285:1 gene:gene-LSAT_5X39601 transcript:rna-gnl|WGS:NBSK|LSAT_5X39601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNHRSCPSLVRSIEAQSDEDEGRHHFFRRGEGNNVGCKTKDYDGVSRGWFTYIRLKGFHVGGWWWQRRWGDEGGDGLTVDSGVGDALLQRKRN >cds-PLY70588.1 pep primary_assembly:Lsat_Salinas_v7:1:88576639:88578819:1 gene:gene-LSAT_1X75501 transcript:rna-gnl|WGS:NBSK|LSAT_1X75501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g24315 [Source:Projected from Arabidopsis thaliana (AT3G24315) UniProtKB/TrEMBL;Acc:Q1LYX4] MEVKASSPTDIVIEEFNKTKKDWDDGYVQTLDHIHAIENYGKSRSTATGDVAKKDSLPRLNGLAQDGLSLLTTAQFNLDLLVPQLPTDDHIQNARMLLLSWSKQIQSLRSSLRHANLQAKSNMRKAAKEERELLLGGGEESTVRRRNLQTKAGMTSAAESITESLRRTRQLMVQEVERSGNTLMAFEESTGVLKKAESEYKGHRSLLTRTRNLLSTMKRQDVIDRVIGIVGLVIFLLVVLYVVSKRVGILKLKNIALASIKAAMANKAEIVERADVGGAMNHMGRVNEHVGPNVDFNLEQPMMHDEL >cds-PLY65612.1 pep primary_assembly:Lsat_Salinas_v7:8:39589285:39590131:-1 gene:gene-LSAT_8X30941 transcript:rna-gnl|WGS:NBSK|LSAT_8X30941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRLFDGLGERELRILLSSFIVLMVFNCFGQYLCLHFESRVKFSSPKIKVKARQLSDDKTPTRCWKTHCWT >cds-PLY77667.1 pep primary_assembly:Lsat_Salinas_v7:9:19300689:19303190:1 gene:gene-LSAT_9X14460 transcript:rna-gnl|WGS:NBSK|LSAT_9X14460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA methylation 4 [Source:Projected from Arabidopsis thaliana (AT2G30280) UniProtKB/Swiss-Prot;Acc:Q8GYP3] MVDEAGSSSTASLKDDKPVIVRVKRKSYQSPLEAFWLEVNERPVKRPLLDFEKLSINNATSQVEEVKPKKFFVQHVDTVSSSDVTVDVLQSFVTSKPTSADALVSKAKVEDQRRSNKTENKQKQLLVKAKETQEILAKNARFEQIWKSRKGKDTAASDDALRDMCHLYDVVRVDAGETSGVHEEEDEEDERLLHSFLPLLREFIPSAAEDIESEIDYQMIKKASRDEYVYDLYAVKDGNMSMMDENTSSPFPFVQVNEDDDFYDGPDNSDYETDDSNAEDNPMNDYPDEEEEEASESGDENSEDEDEEEEEEKESSKSGSIVGEGLSEDENEMLTNFDEDEDKDDDEMYW >cds-PLY71453.1 pep primary_assembly:Lsat_Salinas_v7:7:191460168:191461228:-1 gene:gene-LSAT_7X116361 transcript:rna-gnl|WGS:NBSK|LSAT_7X116361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKKGRPRKKVHSDPNQASGSKSVKSKNDGLDGHVEGRGCEEQVKDLFDNEDIDDDSLVDMMCTFEASLSQPKDNYQKSDGFQDAMDAIIQSIIHANDDKGVEEVEPDLTKQLDEVEDAMDAILKGNASDVLLEMVMLDLESVADLLGAGYSMAEIESLRGVKVELDDMPAVEMDVNEVEDIPYVDGVMEGNEDDGLINDGVEGNEGHGEGDDADEVAGEGDGEVDGDGAGEGDGEGNGAGAGEDDATDMEGNDADDEGHVPPRRTRKPSERIILQKLKKPCFDKDGRGSTSSYPVDLE >cds-PLY80806.1 pep primary_assembly:Lsat_Salinas_v7:5:240360809:240361735:1 gene:gene-LSAT_5X118660 transcript:rna-gnl|WGS:NBSK|LSAT_5X118660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSVSSSSSLLLVAAGTLAFGSLVFVCRRFLPPTSNTSENPNRVHREYRTNLPQRRFSLRRLDLSFRVGRNRRIVLLVQAATFFVHNDGSRTQLWFSIRIGGSSVEKEVNALMILLLLRWLMAPVLIEMMEEINYASSMYLCSLRLPLIAWAIA >cds-PLY83549.1 pep primary_assembly:Lsat_Salinas_v7:1:65109506:65115788:-1 gene:gene-LSAT_1X55500 transcript:rna-gnl|WGS:NBSK|LSAT_1X55500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTKMALNITSVKTSSDGVWQGDNPFHYAFPLLIIQTTVIIFISRLLAFFLKPLRQPKVIAEILGGILLGPSALGKNHEFMLWIFPSWSTPILETVASLGLLFFLFLVGLELDLTSIRRSGKKALVIATAGILLPFLFGIGITFLLRKAISGADRVGYTQCFLFMGVSLSITAFPVLARILAELKLLTTPVGETAMAAAAFNDIAAWVLLALAVALASGSHQNPLASLWVLISGAGFVIFMVVVIRPTMNWVASRCSNHGKVEEGYICLTLAGVMLSGFMTDFIGIHSIFGAFVFGLMIPKNGEFSGRLIRRIEDFVCGLLLPLYFASSGLKTDVNKIEGVKAWGLLAVVITTACTGKILGTFLMGMACMIPMRESFALGLLMNTKGLVELIVLNIGKEKKVLNDEMFAILVMMALVTTFMTTPLVMAAYKPARNITATVHHRSLSESSSHHSQTLQSNLRVIACVHGPQISPSMTNLINLIQSPNTYSTLKLYILHLIELTEMSSSIAMVHRVRKNGVPCFTGNKGSEVGSKSNKVKVRKSTAISALATMHEDICHVAERKKATIIILPIHRPCQEGETGHCWEVVNRSVLMHAPCTVALMVDRGGFGVDCDRKRVCVVFVGGPDDREAVELGGRLAALPDTKVSLIRFVMKGKDNRHPEDVDIEKELDERLLEEFIRRWDGEAEYVEKSTHNMMEELLAIGKNEEFGLVIVGRGRFPATMVAGILEREAERSLELGVIGDLLAFSGGQEIASSVLVVQKHEQAKEDQERTMFDQHLV >cds-PLY62603.1 pep primary_assembly:Lsat_Salinas_v7:9:76247631:76249378:1 gene:gene-LSAT_9X60961 transcript:rna-gnl|WGS:NBSK|LSAT_9X60961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRSDIFKEQDHEQQDYTTKKNPSFPGLHEEEEDDDEEEEDAELEKADHNSASTSIPKNGSTSSNSTVEESEKKSNSASGYVRPYVRSKNPRLRWTPELHLRFIHAVEKLGGQERATPKLVLQLMNIKGLSISHVKSHLQMYRSKKIDDPNQAVSEQRLLYESDDHHIYNLSQLPMLQSLPQKSISNFRYSDGLWSHHTNQDCNPFIEGGLSNGVHGSRVLERLIYERNNSSHFRCLANNNSEESQLRLFGDHYRWSREKPIEIKTQTDNRSDIIINNHDKMVLKRKDINQQNQENHDLDLNLSLQMKTHENDDSRNDEVKESECALSLFSSISTKSSSNIRRSKHAKTVGGSTSTSLDLTL >cds-PLY64257.1 pep primary_assembly:Lsat_Salinas_v7:7:2523577:2525839:1 gene:gene-LSAT_7X1340 transcript:rna-gnl|WGS:NBSK|LSAT_7X1340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGLKIEDSASPRVVTDDATASESYLSETRFDECSVSPLSLKAIKDAGYEKMTIVQEATLPVILKGKDVLAKARTGTGKTVAFLLAPVGRDEKWPPIVVLVICPTRELANQAAMEANKLLKYHPSVGVQVVIGGTRLATEQRRIQANPCQILVATPGRLKDHIMNTSGFATRIMGVKVLVLDEADHLLDMGFRKDIETIIAAVPKQRQTLLFSATVPPEVRQICHVALKRDHEYINAVEEGGEETHTQVQQKYLVAPLDKQYSLLYALLKDHIADDGDYKVLVFCTTAMVTKLVAELLSQLKLNVREIHSRKSQSYRTQVSDEFRRSKGLILVTSDVSARGVDYPDVTLVIQIGLPADKAQYIHRLGRTGRKGKEGEGILLVAPWEEFFLSTLRDLPISKAQLPLLDPDTNKKVERALSYVEMKNKESAYQAWLGYYNSNKTVGKDKQRLVELANEFSRSMGLDVPPSISRLVLGKMGLKNVPGLRTK >cds-PLY78201.1 pep primary_assembly:Lsat_Salinas_v7:4:252283663:252287014:-1 gene:gene-LSAT_4X131881 transcript:rna-gnl|WGS:NBSK|LSAT_4X131881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKLTRHTKDVMGLINCTSHRLHWIKLCGFNELCIRVIYGVTSQLCIHFHPIFNFSRERLISKTVVESEAGPAIIADAMIRGLPIILNDYIADQEAGNVPYVVKNGYGKFSKSPKEIAEIVGQWFGPKAHELKTMIGLDLNLNYHKSVTNIKY >cds-PLY73086.1 pep primary_assembly:Lsat_Salinas_v7:9:3718370:3721048:-1 gene:gene-LSAT_8X76581 transcript:rna-gnl|WGS:NBSK|LSAT_8X76581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-beta-dioxygenase 6 [Source:Projected from Arabidopsis thaliana (AT1G02400) UniProtKB/Swiss-Prot;Acc:Q9FZ21] MVLSSPSPLRTKKTQAIGIPIIDLSLDTTTLSHRIITACQDYGFFKVVNHGVPIEIISKMEEVANGFFFKPASEKLKAKSNPPSPFGYGCRSIGFNGDVGELEYLLLQANPDQLLLHSDTFNVVSDQPTDFSCAVEDYIHVVKGLTCGLLEILALGLSLTDTNIFSRFIEDVDSDSCFRINHYPGVKTTHESNYKASQSHQRIGFGEHSDPQIFTILRSNDVPGLQISTVDGLWIPVNVEPTDFCVFVGDALEVLTNGRLKSVRHRVMANMSSRSRLSMMYFAAPAMNEWIFPIPQIISPGEQRLYKSFTWNEYKKAAYSLRLGDQRIDHFKCHSGL >cds-PLY70499.1 pep primary_assembly:Lsat_Salinas_v7:1:76766535:76768576:1 gene:gene-LSAT_1X64040 transcript:rna-gnl|WGS:NBSK|LSAT_1X64040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNEYQSRAFDHIALEHLDSFNKPVKPQIYAPKNPRGAESLPPGIVVPQTDLYLRRLWGDPGEDIKKKPKYLVTFTVGWDQRDNIDASVKKFSDDFQILLFHYDGRTTEWDQFEWSKDAIHVSVKGQTKWWYAKRFMHPDIVAAYEYIFIWDEDLGVEHFNGDKYMELVKKHGLEISQPGLEPNDGLTWQMTKRRGDKEVHKDTQERPGWCIDPHLPPCAAFVEIMAPVFSREAWWCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQVIPTLGIQGQIVNGKYGWQRVRNRCKREWIQFHNRLVKADRAHLKMTRER >cds-PLY90748.1 pep primary_assembly:Lsat_Salinas_v7:3:36480049:36482017:-1 gene:gene-LSAT_3X28241 transcript:rna-gnl|WGS:NBSK|LSAT_3X28241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNFMLYISADLENLTNFQPAGGVDDPNFTYYFKLKCENCGEVTEKETCVSLNETLPLPKKGTTNLIQKCKFCKREGTVTMIPGRGSPLTNELSEGGKHAALMVFDCRGFEPLDFAFSSGWKAESIEGTKFEDIDLSGGDYAEYDEKGECPVMISNVAAMFKVVDLSKKNL >cds-PLY93763.1 pep primary_assembly:Lsat_Salinas_v7:6:145959182:145962931:1 gene:gene-LSAT_6X89461 transcript:rna-gnl|WGS:NBSK|LSAT_6X89461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAISLRNPGISPARKSDGDRRLSSVKNLNGSPRSFYESVSLNQQQRVRKSVSSLAVKSMKIVEQTQEPAFSSNGPIFPSSDSNLRQPQISLDVQRKTKIVCTIGPSTSSREMIWKLAETGMNVARLNMSHGDHASHQKTIDIVKEYNAQFNEKVIAIMLDTKGPEVRSGDVAKPILLHEGQEFNFTIKRGVSTNDTVSVNYDGFINDVEPGDMLLVDGGMMSLGVKSKTGDLVKCEVIDGGELKSRRHLNVRGKSATLPSITDKDWEDIKFGVDNQVDFYAVSFVKDAEVVHELKDYLKRCNADIHVIVKIESADSIVNLPSILSASDGAMVARGDLGAELPIEEVPLLQEDIIRRCQNLQKPVIVATNMLESMIDHPTPTRAEVSDIAIAVKQGADAIMLSGETAHGNFPLKAVKVMHTVALRIESSSRVNSNSVSLSRHLAYKSHMGEIFAFHAATIANTVATPIIVFTRTGSMAVILSHFRPFSTIFAFTNEKRVKQRLILYHGVMPIYMEFSDDAEETFSRALNILVDKKLVKEGQYVTLVQSGAQPIWRQESTHHIQVRMVQG >cds-PLY83627.1 pep primary_assembly:Lsat_Salinas_v7:9:101370985:101372115:1 gene:gene-LSAT_9X74681 transcript:rna-gnl|WGS:NBSK|LSAT_9X74681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHHNIYEFGEVGTIVCSSYHDSPPYRKSIATSSKEELKNPIVVLIAWNKEMQTTKRTKCRTSSCIIRMTPPTTTSHA >cds-PLY80555.1 pep primary_assembly:Lsat_Salinas_v7:6:13667309:13668971:1 gene:gene-LSAT_6X11820 transcript:rna-gnl|WGS:NBSK|LSAT_6X11820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >cds-PLY90598.1 pep primary_assembly:Lsat_Salinas_v7:6:50786498:50786881:1 gene:gene-LSAT_6X36640 transcript:rna-gnl|WGS:NBSK|LSAT_6X36640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRFKGDLQFRLVTLCDALPIPSRMLALVLSNSTVYAPSHLVVARDMPSSKAPSSVPAVEPPTSHNRGGLEHVEDSKDGIFTGVVSRRLRKRGLLSIECPSGVTKGKSIMDHSHGGNLQLILLLH >cds-PLY71770.1 pep primary_assembly:Lsat_Salinas_v7:3:46233631:46235797:1 gene:gene-LSAT_3X34941 transcript:rna-gnl|WGS:NBSK|LSAT_3X34941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPTSSILSFSSDSILLPQIHLPNRRGLERVFEPIIFDFSTAILLQSAVSICYASREVIRDVCCEGDECRHRSRTTHRSHTPTATVTPSVAEALFRSLLALLPFDQALQMNSAASPYDNFSPLLYRIQFFEKLEINKVASVNAAVFASFLLILYSSVMDMENKLQPLRLQEKSAFLVNLQQEEINVQNTP >cds-PLY89769.1 pep primary_assembly:Lsat_Salinas_v7:1:59043565:59045391:-1 gene:gene-LSAT_1X50520 transcript:rna-gnl|WGS:NBSK|LSAT_1X50520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADKESKIKNVDVASDNSRSSQEEEQDGNETKIDLGISFDKLTLGPKKKLLVIPLAGIIVHRAHRCSPASIPKNRRPDFSYGNFLVYKRPFCEEFLKFCFERFEVGLWSSAMERNIQKVLTHVIGEEKNKFLFTWDQSQCTNTGFMCLWNENKPVFLKELKYVWEKYSKYSSSNTLLITDTEKALLNPPNTAICPKKYDIDNEDDDFLGPNGELRLFLEGVAEAKDVQSYVKDHPFGEPAITPSHPDWNFLTFTVVSCKGGRNHSGVEEKRDKREENKIEREECFGLRNPLEVETKEEIWGRRAGRNSAWASSTPFTLGLAA >cds-PLY93106.1 pep primary_assembly:Lsat_Salinas_v7:MU039159.1:122613:123668:-1 gene:gene-LSAT_0X9921 transcript:rna-gnl|WGS:NBSK|LSAT_0X9921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLVNLIFILLFLVSLVYSKVTLENSSFESPPTNLTTNSTSQFILLDPKTNRIPGWSFNDTVWYVTAGENVSLPGNGHGLQLGPNSMINQTFKQDGNYDYVLTFTLAPSSPDCANSTSVNVSGPTASEVFFFRESLGTEMWQTYAYSLWNQRGLMSLQIQNNGFVNSGFEVGPAFIENSSQGVLLEADSSYPHSSVQSPLQYWTILGIVKYIDSKHYARGRAVELVSGNPSGIVSSVGFLKHGQVTIDFIMGDANHSCVGDFFSVFASWRHDELNW >cds-PLY88679.1 pep primary_assembly:Lsat_Salinas_v7:5:66504243:66509928:-1 gene:gene-LSAT_5X30421 transcript:rna-gnl|WGS:NBSK|LSAT_5X30421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEANAGLVAGSHKRNELVRIRHDSDGGPKPLKNLNGQICQICGDTVGLTETNDIFVACNECAFPVCRPCYEYERRDGNQSCPQCKTRYKRHKGSPRVDGDDDEDDVDDLENEFNYPQGNKGRRQWQGDDPDLSSSARHDSQQPVPLLTNGQQVSGEIPSITPDNLSVRSTSGPLGPGDKHAHSLPYIDPRQPVPVRIVDPSKDLNSYGLGNVDWKERVEGWKLKQEKNMMQMTNRYGGEGKGGEIERTGSNGEELQMADDARQPLSRVVPISSTHLTPYRIVIILRLIILGFFLQYRVTHPVNDAYPLWLVSVICEIWFALSWILDQFPKWYPVERETYLDRLALRYDRDGEPSQLAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLSFESLSETAEFARKWVPFCKKHSIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRVNALVAKAQKMPEEGWQMQDGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPGYGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEVDLEPNIIVKSCCGSRKKGRNSNKKYIDKKRAMKRTESTVPIFNMEDMDEGVEGYDEEKSLLMSQRSLEKRFGQSSVFISATFMENGGIPPTTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHTRGWISIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYNGKLKPLERLAYINTIVYPLTSLPLIAYCVLPAVCLLTGKFIIPEISNYASMWFILLFISIAATGILELRWSGVSIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKANDEDGDFAELYIFKWTALLIPPTTVLILNLVGIVSGVSSAINSGYQSWGPLFGKLFFAIWVIVHLYPFLKGLLGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTSDDSLDAARGQCGIDC >cds-PLY95100.1 pep primary_assembly:Lsat_Salinas_v7:1:93264888:93267320:1 gene:gene-LSAT_1X76940 transcript:rna-gnl|WGS:NBSK|LSAT_1X76940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKQISFRMGKMEKQQSFRVSAMEKQKSFRIAMGRQMSFGVDRKKPKDSPGKRGDSMLHLASRGGNLSKIIEIVQSVGLDGLSKQNQEGETPLYVAAENGHSHIVAQFLKHLDLQTASIAANNGYDPFHIAAKQGHLEVLRELLSSFPNLVMTTDSANSTALHTAAAQGHTDVVNLLLDADSNLAKIARNNGKTVLHTVARMGHLEVAKSVLTKDPSISFRIDKKGQTALHMAVKGQNMDMVVELIKPDPTVLSLEDNKGNTALHIATKKCRTKIVKSLLSFEEINVNAVNKAGETPLDIAENSKVSEMVNVLREAGGAHSKDFGKPPNAAKQLKQTVSDIKHDVQSQIRQTRQTGFRVRKIAKKVKKLHISGLNNAINSATVVAVLIATVAFAAIFTVPGQYAEEKTEGFSLGQANIAKSAAFIMFFLFDSLALFISLAVVVVQTSIVVIEEKAKRQLMFVINKLMWLACLFISISFISLTYVVVGAHERWLAIYATVIGATIMLATIGSMCYCVVRHRMEEKKLRNIRRAETNSHSFSMMSMASDPEIYNEKYKRMYAV >cds-PLY88610.1 pep primary_assembly:Lsat_Salinas_v7:4:362502649:362502915:1 gene:gene-LSAT_4X178880 transcript:rna-gnl|WGS:NBSK|LSAT_4X178880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVIAPTPSQQDMSETARVNLRKEVAIAEIADAHKTTNQTIPDTSDQSETGNYDGFFDLGFMLQAVVPAVLLNVVYLDSYFEGDVPSN >cds-PLY96706.1 pep primary_assembly:Lsat_Salinas_v7:6:76719195:76720869:-1 gene:gene-LSAT_6X56220 transcript:rna-gnl|WGS:NBSK|LSAT_6X56220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSRVGSSLNSLLLKHKRQYIAATTHDICSSLLYNCPNSIHVYQIHGFMFVRGLDQDNLLLSRFIVTCSEKGLLDHATSVFFHKTCKNIYLYNTMIKALSSNSRVKDAVFIYNEARVIGLRPDSYSFPFLLKGVSRLGEGGLSLGRWIHCHVISVGLDTDVHVGVALVQMYASCGYVHDARKVFDEMPLRDVATWNAMISAYCKVDEVERACALFEIMPERNVISWTSLISGYAQVNKPCEAVALFRRMQTDGVLPDEVTMLVTLSACSQLGALELGEWIHNYIDKNNIHKTTSLNNALIDMYAKSGNIKKAMWVFENMKDRCVITWTTTIAGLASHGLGKEALDMFSRMERAHVRPNEVTLIAVLSACSHGGLVELGLWYFNNLLPRYGIKPRIEHYGCMIDLLGRAGCIWEAQEVLNSMPFEANAAIWGSLLAASRLYGNVEIGERALKHLIKLEPYNSGNLSLLSNIYSSMGQWNEAGFTRKVMRDTGVKKISGVSCIELNNRVHEFVSGNSSHPQYERIHEVLSELNRLMKVEF >cds-PLY75846.1 pep primary_assembly:Lsat_Salinas_v7:9:195501341:195504340:-1 gene:gene-LSAT_9X120160 transcript:rna-gnl|WGS:NBSK|LSAT_9X120160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKLPAAEAANFAIQSIGCGYDISLDLRLKYRKGESFSDVGANQNRNCRLIEIEEDEGRDIVLPGGLLIPNVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQEISLTGKIPSGLFNTMFEFSGSWQKDASSTKTLAFDGVFISLYTVALEKSQMVLCDHVKNAVPSSWEPALLARFIETFGTHIIVGVKMGGKDVIYMKQQHASSLEPADVQKKLKAMADKRFVDSDGQFIIDSEHISQNDKYNNREHRLRFADTDPSSSYTYKEDLVSICKRRGGSDDRNLKHNEWLHTVQSEPDVITMSFIPITSLLNGVSGSGYLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFSDLPLGPQRKQQSTASLQFSFFGPKLYVNTNPVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPKIFQLEDSVTGTGIYNSDSDDRRYYEKVQWKHFSHVCTAPVESEDEHSIVTGAHLHVGDHGFKKVLFLRLHFSKLLGCTATKNPEWDGSPGLARKSGLISTLISHHFTAVLKPQPQPVDININSAIYPGGPPGPVQAPKLLKFVDTTEMMRGPQESPGYWVVSGARLVVDKGKISLRVKYSLLTLVLPDEEEEMVD >cds-PLY67962.1 pep primary_assembly:Lsat_Salinas_v7:2:12523831:12529619:-1 gene:gene-LSAT_2X6000 transcript:rna-gnl|WGS:NBSK|LSAT_2X6000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSSCFSKEPTSEKDNIHKSTQRHSPSNGKGAAFAGDGGGVPVFSEYSLADLKAATNNFSSEFIVSESGEKAPNVVYKGRLQNRRWIAVKKFSKMAWPDPKQFADEAWGVGKLRHNRVANLIGYCCDGDERLLVAEYMPNDTLAKHLFHWENQTIEWAMRLRVALCIAEALDYCSTEGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVSFSFGTVLLDLLSGKHIPPSHALEMIRGKNILLLMDSHLEGNFSTEEATVVFDLASRCLQYEPRERPNTKDLVATLAPLQNKPDVPSYQMLGIPKHEEAPATPPHPLSPMGDACSRMDLTAIHQILVMTHYKDDEGTNELSFQEWTQQMRDMLEARKRGDLAFRDKDFRTAIDCYSQFIDVGTMISPTVYARRSLCHLMCDQPDAALRDAMQAQCVLPDWSTAFYMQAVALAKLDMHKDAVDMLNEAATLEDKKRAK >cds-PLY80056.1 pep primary_assembly:Lsat_Salinas_v7:4:348298174:348299869:-1 gene:gene-LSAT_4X172481 transcript:rna-gnl|WGS:NBSK|LSAT_4X172481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLALKGTFYSPCKDLVRKHNVSRPHIIGDSKIDVTTIRVQGEYIRTSTKHLAFYPSCVHWVLLVICPSSRVTYILDSLMKPKQNPLDTCYLLKLLDMAFARHEKTHQLQLYGCSLSWRFRESAERALCNEIDV >cds-PLY67510.1 pep primary_assembly:Lsat_Salinas_v7:6:70823405:70823713:1 gene:gene-LSAT_0X36641 transcript:rna-gnl|WGS:NBSK|LSAT_0X36641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYHQLTNVQALFFIYFGKPVLISQKIKFEEEDIGSWRRGSLSSIVRLELGILVPSSLLPLASCCFCFTLTITGASGAGINPQFSLFISNSSHSTIRRSAPFQ >cds-PLY78162.1 pep primary_assembly:Lsat_Salinas_v7:6:110656972:110664124:1 gene:gene-LSAT_6X65760 transcript:rna-gnl|WGS:NBSK|LSAT_6X65760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITETPIDCFLPSWWELQVTVAAAFFVIAAYWFFSYVGAADRSLLDEPASVSPDDKDKMGQPKGDLHTNNSTYIIKVELLAAKNLIGANLNGTSDPYAIITCGTEKRFSSMVPGSRNPMWGEEFNFSVDELPVKINVTIYDWDIIWKSAVLGSVTIPVESEGQTGAVWHTLSSPSGQVCLHMTTQKLRVTSGRSLNGYGHGGRRRISTDNQGPTVVHQKPGPLQTIFNLLPDEVVEQSYSCAMERSFLYHGRMYVSAWYICFHSNIFSKQMKVIIPFGDIDEIRRSQHAFINPAITIVLRMGAGGHGVPPLGSPDGRVRYMFASFWNRNSALRTLQRGAKNYHTMLEVEKKEKQQSELRASSSSLSKKHAKIPEESVPKKHQPFIQEDVLTAIYKDTFPTSVQQFFELLLKDGSNFINEYRSARKDTNLNMGQWHCAEEYDGEVREITFRSLCNSPMCPPDTAMTEWQHLLMFPEKTSLVFETVQQAHDVPFGSYFEVHCRWSVEATSESLCTIDVKVGVHFKKWCVMQSKIKSGAINEYKKEVEIMLEVARSCINSRQDSDQ >cds-PLY81377.1 pep primary_assembly:Lsat_Salinas_v7:4:35626690:35626890:-1 gene:gene-LSAT_4X23440 transcript:rna-gnl|WGS:NBSK|LSAT_4X23440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEETQVEMDRIKEEMGRDSVISRVEMLAVHRRLDRNNKKMHAMTVVVVGLVVVKFGFLVEKMHTLI >cds-PLY84026.1 pep primary_assembly:Lsat_Salinas_v7:6:189763163:189764632:1 gene:gene-LSAT_6X116440 transcript:rna-gnl|WGS:NBSK|LSAT_6X116440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTVGPVVLQGVNYASGASGILDESGANYIGRIPMDAQLDNFAKTRLDIISSIGAPATLKLFATALFQVTTSSNDFINNYFLPSLIKNQPPPETFIETLISAFRRQLTKLYDLGARKIVVTNAPPVGCIPYERDYNPSTGKECVASQNLVAQQFNHLLKEMLIELTATLKGSTFVYADVYSIVEDIIQNYRSYDFEIADSACCHVLGSHGGLLPCLPYAKICPDRSKYIFWDSYHVTDSVNVIIAKRLLDGDLNDISPLNIRALSHS >cds-PLY65485.1 pep primary_assembly:Lsat_Salinas_v7:8:32652442:32654085:-1 gene:gene-LSAT_8X25761 transcript:rna-gnl|WGS:NBSK|LSAT_8X25761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDKAADTMLTVADKAPVTSERKIRSDLDNNLPKPYMARAVTAPDTDHPNGTSGHKHNNMSVLQQHVAFFDQDNNGIIFPWETFKGRCRDLGFNAFASFLFMVLIHMAMSYVTLPTWLPSPFFPIYIENIHRAKHGSDSATYDTEGRFIPANLENIFSKYARTVPNKLTFKELWHMTDATRNAFDFFGWTASKLEWGALYVLAKDSEGFLSKDSVRRCFDGSLFEHCAKKQKSDPSKMG >cds-PLY89484.1 pep primary_assembly:Lsat_Salinas_v7:1:121589919:121590597:-1 gene:gene-LSAT_1X91440 transcript:rna-gnl|WGS:NBSK|LSAT_1X91440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSSIGEQGKRREESLLIFKKRLFCGLLDFAAKELQTQTQVIAAAAVGVVAYGLPLEVSKAEVENVGQLSMPISKE >cds-PLY87194.1 pep primary_assembly:Lsat_Salinas_v7:1:135034692:135036664:1 gene:gene-LSAT_1X99921 transcript:rna-gnl|WGS:NBSK|LSAT_1X99921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLVDYASSDEEDEAVGREEGRNNDNLVISPPNPVHEKTHPPNEKSTKLPVQQQPEPFKLPDASFLLNSPSLPSNMSGNYDHSSRVAAAIAQNASRKRDTKETTTSNPRGKIPRGNLPHSKNVPETARGLLRPPQLSGRSNVVTEDINKLFTRRNGSEPSSSTPTTE >cds-PLY82173.1 pep primary_assembly:Lsat_Salinas_v7:1:13785700:13786042:-1 gene:gene-LSAT_1X11420 transcript:rna-gnl|WGS:NBSK|LSAT_1X11420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQGLKTVNGKDPPRILHFNPRLKGGWSGKPVVEQNTCYRMQWGFAHQREGWKSKANEETVDGKVKCEKWKRDDDNNSADE >cds-PLY63726.1 pep primary_assembly:Lsat_Salinas_v7:9:86595069:86597886:1 gene:gene-LSAT_9X67321 transcript:rna-gnl|WGS:NBSK|LSAT_9X67321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 11 [Source:Projected from Arabidopsis thaliana (AT4G38050) UniProtKB/Swiss-Prot;Acc:Q6SZ87] MERGSNSESTNKADKQKGKKLGSKIEPFVTQGDHNARDLRSWAKRTGFVSIFSGEVGTSRGRSSTNRDGFRGESGGGSMSPKIESDPVQATTRNRSFEIEPDSNRNRNNSEADPILPERGENERGNTEASANWNNGEHNKIDDEYVGKHESIDITYPGGKGESEWNQPEMKYGIRDNPGFASLIYYGMQHYLSLAGSLIFIPLIIVPAMGGTDKDTATVISTMMLVSGLTTILHSNFGTRLPLVQGSSFVFLAPALVISNSHEYRNLTQNKFRHIMRELQGAIIVSSIFQCILGFSGLMSLFLRLINPVVVAPTIASIGLAFFSYGFPQAGSCIEISLPQILLVLIFSLHLRGVSILGHRVFQIYSVPLSIGIVWVYAFFLTAGGAYNYKGCNSDIPNSNMLNDSCIKHAYTMKHCRTDASNAWKTADWVRVPYPLQWGIPIFNFKTSIIMIIVSLVASVDSVGTYYSTSTRVNSKPPTRGIVSRGIGMEGFCSILAGIWGTGGGSTTLTENTHTINITNVASRRSVELGAVILIIFSFVGKVGAILASIPQALAASLLCFVWALITALGISTLRYTHTRSFRNIMIVGVSLFLGFSIPAYFQNYYQETAFILPGYLIPYSAASDGPIRSGNEQIDFIMNALLSMNMVVTFLVAIVLDNTVSSSQEERGVYLWSVVEDLSVDPSCLDDYSLPKKVSKVFGWAKCLGA >cds-PLY95678.1 pep primary_assembly:Lsat_Salinas_v7:2:112810221:112810409:-1 gene:gene-LSAT_2X49900 transcript:rna-gnl|WGS:NBSK|LSAT_2X49900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIPTRAVGVDRLSHKVALMRESLQNSQLITNNMVSMLGSFDHRLSALETAMHPTQVKLIIL >cds-PLY90835.1 pep primary_assembly:Lsat_Salinas_v7:6:155341942:155342595:1 gene:gene-LSAT_6X93800 transcript:rna-gnl|WGS:NBSK|LSAT_6X93800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSALEVSQPNSSKTILPTLRSKGAKPDSDYGRPPTRASSKEFILKRRSENVQDDKLLQISYPKRKRSGHETRSWNLNDLVDPPDLNVTSSECFSEGPTTTIFHDCPIEPESEMRCMESEAEVSHLAEPGDEDVAKDSFENPSFEKGNPKSLSASAVFQQKLFGSGFGCFVASHTDSSAVTPTPILSDTMIENEDPVSTSPVGTKVITSIPPIPVRS >cds-PLY93623.1 pep primary_assembly:Lsat_Salinas_v7:2:175981517:175983813:1 gene:gene-LSAT_2X98721 transcript:rna-gnl|WGS:NBSK|LSAT_2X98721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RRP41L [Source:Projected from Arabidopsis thaliana (AT4G27490) UniProtKB/TrEMBL;Acc:A0A178UYE3] MAAKGGAAPATYSPSPTTFKKKRPPIFTDVDWVRPDGRDFHQCRPAFLRTGAVNAASGSAYAEFGNTKVIVSIFGPRESKKAMMYSDTGRLNCNVTYTTFATPVRGQASSDNKEISSQLHKSLEGAIMLDTFPKTTVDVFALVLESGGCDLPVVISCASLALADAGIMMFDLVAAVSVSCLGKNLVIDPVSEEENYQDGSLMITCLPSRNEVTQLTITGEWSSPKIHEAMELCLDACSKLGKIMRSCLKESASTSQE >cds-PLY90572.1 pep primary_assembly:Lsat_Salinas_v7:6:51353723:51360324:1 gene:gene-LSAT_6X37300 transcript:rna-gnl|WGS:NBSK|LSAT_6X37300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKEHTSHLVTVSRGYILKVQFVETQQVKGVWLLLFDRKEERREREGSPPFSCCWRITHLRWEGSMMSVMGNPTNIFWHESTVGKTERQNLINQQGCVVWITGLSGSGKSTLACSLNRELHSRGKLSYVLDGDNVRHGLNKNLGFSSEDRTENIRRVGEVAKLFADAGLICIASLISPYRKDRDACRAMLTDANFIEAILLFCVFMNMSLEVCERRDPKGLYKLARAGKIKGFTGIDDPYEPPLNCEIMIEEKDGVCPTPCDMAGQVVSYLDENGFLHA >cds-PLY82985.1 pep primary_assembly:Lsat_Salinas_v7:1:19909945:19910942:-1 gene:gene-LSAT_1X17120 transcript:rna-gnl|WGS:NBSK|LSAT_1X17120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGNSSGSTQIHHSGSDDGMMDQRKRKRMESNRESARRSRMRKQKHMDDLMNQANNLKNNNAQILTTIDVTTQRFVQIEAENSVLRAQVSELSQRLDSLNEILNYINTSTNCTTTQCTNGLFEFDFVDNPWNMMYLNQPTIMPSTADMVGY >cds-PLY66833.1 pep primary_assembly:Lsat_Salinas_v7:7:21141137:21142630:-1 gene:gene-LSAT_7X17400 transcript:rna-gnl|WGS:NBSK|LSAT_7X17400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFNDENSLFNFVVKDGNGVKGLVDSGLTEVPGRYIQPPHQRINKQQAATALPENMAIDLSELDGPNHDQVVKAIAHAAETLGFFQVVNHGVPLKLLDSLKVSAHQFFSQPAEKKAAYLKAVSPSPIVKYGTSFVPEVEKALEWKDYISMVYTNDSDALEFWPNECKEVALEYIKTSKEMVKRLLQELIGNLEVNLDDSRLESLMGLRMVNMNFYPTCPNPELTVGVGRHSDMGTLTVLLQDGIGGLYVKKGENSSSGNEEWIEIPPIDGALVINIGDSLQIVSNGRYKSAEHRVRTTSSASRVSVPIFNLPLPVAKIGPLPELVARDGVARYRELLFQEYMNNFFGNAHEGKKSLDFAAIN >cds-PLY75570.1 pep primary_assembly:Lsat_Salinas_v7:9:33266257:33268597:1 gene:gene-LSAT_9X30840 transcript:rna-gnl|WGS:NBSK|LSAT_9X30840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADAYCGDCKRNTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPTNILLNDGGLSTVISKPNGGTSDFLSSSLGRWQNRGSNPDRTLILAFKTIATMSDRLGLVATIKDRANEIYKKVEDQKSSRGRNQDAILAACLYIACRQEDKPRTVKEICSVANGASKKEIGRAKEYIVKQLELEMGQSVEMGTIHAGDFMRRFCSNLGMTNQTVKAAQESVKKAEEFDIRRSPISIAAAIIYIVTQLSDEKKPLKDVALATGVAEGTIRNSYKDLYPHLTKIIPTWYAQEDDIKNLSSP >cds-PLY90806.1 pep primary_assembly:Lsat_Salinas_v7:2:105258188:105258436:1 gene:gene-LSAT_2X47220 transcript:rna-gnl|WGS:NBSK|LSAT_2X47220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADMRRLCAFDDADEPLGNLEGDDGGTTMGWVDAVLLCHMLKDVFGEDGASRVVEGFVGGGSEEFGDRDEEDGGSNGEAGGL >cds-PLY81571.1 pep primary_assembly:Lsat_Salinas_v7:1:134262763:134265115:-1 gene:gene-LSAT_1X100901 transcript:rna-gnl|WGS:NBSK|LSAT_1X100901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPQPPPPTTIPKNFNIFYGHRKTTQNRPTVRGGSFSNRQTLYPNQNHQRATTNFDLEKWNPEQSVSTPSPRPSPSEHYFSVAQTLSPIARYIVDSFRKHKHWGPPVVADLNKLRRVTPKLVAEVLKVQSDPVISSKFFHWAGKQKGYKHDFASYNAFSYCLNRNNQFRAADQVPELMNMQGKPPTEKQFEILIRMHSDANRGLRVFYVYEKMKKFGVKPRVFLYNRIMDTLVKTNHFDLSMSVYNDFKNDGLMEEGITFMILIKGLCKEGRIEEALKLLNQMRKLCKPDVFAYTAMIRILISEQNLDGCLRIWGEMESDNVQPDAMAYTTLIMGLCKGNRVQKGYEFFKDMKQNRYLIDRAIYRSLIEGFVNEGKIGMACDLLKDLIDSGYRADLMIYNHLIKGLCNMKHVNKALKLFRVTIEEDLQPNFDTVNPILLSYVELNKFDEFCKFLEQIQGLGFRVMDDLVKFFSLLVEKKDKVLMALEVFDNLKQKGYFNVPIYNILIHALYEIKEVKKALTLFQELMESNMEPDSFTYSNVVSCFIEIGDIHQACTCYNKIKEMSLLPSVDAYVSLVKGLCKIGEIDAAFVLIRECLGNVENAPRKFMYTLRIIDMCRSNDAKKVMDVISEMVEDGCRVDDVICCAVISGMCRYGTIEEGRKVFLLMRESKMVTKSDLVVYDDLLVDHTKKKTADLVLSGLKFFGLESKLKAKGSKLLPS >cds-PLY99368.1 pep primary_assembly:Lsat_Salinas_v7:7:144820767:144821785:1 gene:gene-LSAT_7X86241 transcript:rna-gnl|WGS:NBSK|LSAT_7X86241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFALLLVLVNLKLIVLVASQFAIVICLEQCVKILVSLVVMASLSTSMERGIKIFALLLITTSTSMATSLARETKTWAETLLGSNLLGFFLITTKYKSARKRLPLGMTPLTVSLLHLMGENIFLPKNEGAKWQSSTTSITRIHDTNHIIFEVENLFSITAKVVPITKEESRIHKYDITNDDCFAHLNLKFKFFSLSNEVDGVLGQTYRNDYVSKVKMGVLMPVMGGYSKFVSTNSFATDCSVAKFKGSQEDGSSLNLQLPGLSCQSGIEGRGVVCKR >cds-PLY67601.1 pep primary_assembly:Lsat_Salinas_v7:2:78372701:78372886:-1 gene:gene-LSAT_2X34340 transcript:rna-gnl|WGS:NBSK|LSAT_2X34340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKDEEVEEKVEKVEEMEQVLDPENVVVVKENPKVEPNVLEEDPKVYLLVGCQGVFPSKET >cds-PLY93309.1 pep primary_assembly:Lsat_Salinas_v7:4:301129120:301132312:-1 gene:gene-LSAT_4X150881 transcript:rna-gnl|WGS:NBSK|LSAT_4X150881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLDDAKPPPVSTMYTVPPPHDANGNVNMDTHATQLNAGDQVVREIDVLHTSSADVNSKLYVLQYPLRQQWRPHNLQERCKKVKLNPQTAEVKIDLNVDGDKAMANQVLSTSWKPPSIADYAVGILKGNELHLKYVNAVVKLRPSMQHLKPLKKMNTSHAEVDMIDSEDIKEEKDIKQRKLNEQNEDTKEGRPLQFNTFKHIAPDSNVEDIFKVLQSHAQLVQGLWVSKCKLKYDEDGGKNVLIRDYMMLLFSKNPIVRDTQLPEAPEKMKDILHKFASRRECFKDWKFKELRDDLFIKEYPNIVEEQKKIWDHMEPQLIECLISKNLKHGIDHMRTTLTINNNNNNNKNNVETKTTSVSTPSRGVKLDETQEALIKVFKYQKVCSLNKIHQRLRVMNVNTSQEELLKTLNQVAVNIHGVFVSKSSSDHPQYDDFRNVVINLLVAQGPKARLRRGSIEAAASMQLKRVPNNTEFRKVITEMCTSQSSRWVLKSGD >cds-PLY96651.1 pep primary_assembly:Lsat_Salinas_v7:7:44029918:44052826:1 gene:gene-LSAT_7X30140 transcript:rna-gnl|WGS:NBSK|LSAT_7X30140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVYGPLYASPKRVMVCLIEKEIDFETVHVDLFTGENHTPEFLKLQPFGSLPVIQDGDYTLFESRAIIRYYAEKYKSQGTDLLGKTMEDQGLVQQWLEVEAHNFEPPVLNLVRQIMFSSFYGLTGDKKVIKESDEKLGKVLDVYEDRLSKSKYLAGDFFSLADLSHLPFTNYLVGPVGKEHLIKERKHVSAWWDDISSRPSWKKVLDTYGLPF >cds-PLY73588.1 pep primary_assembly:Lsat_Salinas_v7:6:128019268:128019735:1 gene:gene-LSAT_6X77720 transcript:rna-gnl|WGS:NBSK|LSAT_6X77720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTEGASEIVEGVRFNFITDEEVRKHSVLKITNPILLDSVGRPMPGGLYDPLLGPMDEQAPCKSGRQQSFQCPGHCGHIDFVSPVYNPLLFDMIFTLIRNTCFFCRHFRARKEEVNVCTSKLMKIAKGDVSGAKDYVINNSDAKDIDLEEIKE >cds-PLY75164.1 pep primary_assembly:Lsat_Salinas_v7:1:183552325:183553490:1 gene:gene-LSAT_0X20960 transcript:rna-gnl|WGS:NBSK|LSAT_0X20960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGNIFREVELPEQKPDSDGALFPAVLSPISNADFTSTAKLNGFEEAIKAHKPWLESLLQKRGAILFRGFPVNSTSDMNDVVEAFGFPEAFYVGGRASRTKILGRIYTTNEAPQDKTIPFHHEMAYVPDYPSKLFFFCQEAPRSGGETPIVLSHIIYDKMKEKHPDFVEKLEEHGLTYTKIMSDEDLPSSFTGSGWKSAYMTNDKNVAEERAAKLGTKLEWMGNSVKTITGPVPGIKFDKGSQRKTWFNSLANSYGGPANAEIYDDSRSIEFGNGEPLSDSAMKDCLRILEEECVAIPWKKGDVMLVNNLMVLHSRRPLIKPPRRILVSLCK >cds-PLY69014.1 pep primary_assembly:Lsat_Salinas_v7:9:142913894:142917929:-1 gene:gene-LSAT_0X15481 transcript:rna-gnl|WGS:NBSK|LSAT_0X15481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAMWKLRWLLDILLFVCSVSLTDARGSSNRTSKWNTLNGERPLVIARGGFSGVFPDSSVDAYEMAKMLSVPDVIFWCDVQLTRDAAGICFPDLDLNNASTISQVFGNRSQTYPVNGVPTTGWFPVDFSLDDLQKNVFLTQNILSRTPYYDGLFPILTVDDVANQGRLWLNIQHDAFFRQHNLSMRNFVIDASKRNLVKYISSPEVEFLKSIMTTFKSTTTKLIFRFLEVNKTEPSTNQTYGSLLKNLTFIKTFASGVLVPKSYIWPVDNDFYLQPSTSLVLDAHKEGLQVFGSDFMNDVQLAYNYSYDPVEEYLYFVDNGRFSVDGVLSDNPVTPSAAFSCFSHIGKKHSSGKPLIISFEGASGEFPGCSDSAYKKAVSDGVDIIDCPVQMTNDGVAFCLGDINLLERTTVAESDFGNLSSSAPELQSGNGIYTFSLTWSQIKSLRPAMFNPFANETLFRNPKFKNDGNLMTLSEFLDFANNATSVSGVLINIKNAAYLATNHGLNVTDVVIDVLNKSSYQNKKLLIQSSEMKVLKLFKETNKNKRLELVYEVKENIRDAENSTISEISDTANSVIIGKQSVYPKSNGFLINQTQVVPKFQGFNLSVYVQIMSNEFVSQPWDVFSDPYVELATYVEGAGVDGVITGFPATTSKYKRSKCLHFKDIPNYAHSIKPGELVHLMARGAMPPVGPPNPILTDADLADAPITSTNKPHSPVVNESSASRKAFMWIMLLCSISISFY >cds-PLY92876.1 pep primary_assembly:Lsat_Salinas_v7:4:197830689:197831355:-1 gene:gene-LSAT_4X111221 transcript:rna-gnl|WGS:NBSK|LSAT_4X111221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHHSNSSSSSTASVDFTLCVIGLASGHGAFKDEGGVTSVDFNVGKSNKSEIKVTGKRKRNAQHFESNTALCKVCTNNGFFKNIQNHYARIAYSKWLEEWIEDLMRKAPCIKSFSCNTIRTECQSHKISIS >cds-PLY65180.1 pep primary_assembly:Lsat_Salinas_v7:7:59733666:59735436:1 gene:gene-LSAT_7X45641 transcript:rna-gnl|WGS:NBSK|LSAT_7X45641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDITEAVQNITITGDNHKKNRIQVSNTKKPLFFYVNLAKRYMQQHNDVELSALGMAIATVVTIAEILKNSGFAVEKKIMTSTVDMKDESRGRPIQKAKIEILLGKTDKFDELMAAAEEERELANGGGEE >cds-PLY84669.1 pep primary_assembly:Lsat_Salinas_v7:2:150131235:150145289:-1 gene:gene-LSAT_2X75940 transcript:rna-gnl|WGS:NBSK|LSAT_2X75940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVRDSLEITHTGEYLNFLKCYFRPFSGILYQITKPQFTDNPEHKLRNIIIEILNRLPHSEVLRPFVQELLKVAMHVLTTDNEENGLICIRIIFDLLRNFRPTQETEVQPFLDFVCKIYQNFRLTVSHFFESGAVVAPAMPPPSSMSALPSLGNEDVKPMEISDQVGSSSATSTGAGQLNPSTRSFKIVTESPLVVMFLFQLYNRLVQTNIPQLLPLMVAAISVPGPEKVPPHLKTHFTELKGAQVKTVSFLTYLLKSFADYIRPHEESICKSIVNLLVTCSDSVSIRKELLIALKHVLGTDFKRGLFPLIDTLLEERVLVGIGRACFETLRPLAYSLLAEIVHHVRGDLSLSQVEPIFEKGVDLPSMDEARILLGRILDAFVGKFSTFKRSIPQLLEDGDEGKDRSTLKSKLELPVQAVLNIQVPVEHSKEVSDCKHLIKTLVMGMKTIIWSITHAHLPRSQVSPSTHGTPPQMLPTTPPNSSTGQPFKGLREEEVWRASGVLKSGVRCLALFREKDEEREMIHLFSQILAIMEPRDLMDMFSLCMPELFECMISNTQLVHIFSTLLQAPKVFRPFADVLVNFLVSSKLDVLKHPDSPAAKLVLHLFRFLFGAVAKAPADCERILQPHVPVIVDACMRNASEVERPLGYLQLLRTMFRALGGGKFELLLRDLIPTLQPCLNMLLAMLEGPTAEEMKDLLLELCLTLPARLSSLLPHLPRLMKPLVMCLNGSDELVSLGLRTLEFWIDSLNPDFLEPSMANVMSEVILALWSHLRPAPYPWGGKSLQLLGKLGGRNRRFLKEPLALECKENPEHGLRLILTFEPETPFLVPLDRCINLAIAAVMNKNSSMDAFYRKQALKFLRVCLSSQLDLPGRVNDDGLISRHLSTVLVSSVDPSWRRSEASDVKTDLGVKTKTQLMAEKSVFKILLMTIIAASSEPDLVDPKDEYVSNVCRHFAIIFHLESSSQNAPVSAIPLGGPLLSSNTSNSLKPRNGNSSNLKELDPLIFLDALVEVLADENRLHAKAALNALNVFSETLLLLARSKHADVIMSRTGPGTPMIVSSPSMSPVYSPPPSVRVPIFDQLLPRLLHCCYGSSWQAQMGGVIGLGALVGKVTVETLCVFQVRVVRGLVFVLKRLPIYAAKELEETSQVLTQVLRVVNNVDEANSEARRQSFQGVVEFLASELLSTNATVKVSKIVQSCLALLASRTGSEVSELLEPLYQNLLQSLLMRPLRSKNVDQQVGTVTALNFCLALRPPLLKLTPELVNFLQETLQIAEADETVWVAKFMNPKVAMSLTKLRTACIELLCTAMAWADFKTQNHSELRAKIISMFFKSLTSRTSEIVAVAKEGLRQVILQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVALGGKLLEHLKRWLEPEKLQQIQKSWKSGEEPKIAAAIIELFHLLPNAAGKFLDELVTLTIDLEGALPPGQFYSEINSPYRLPLTKFLNRYPQAAVDYFLARLCEPKYFRRLMYIIRSDGGQPLREELAKSPEKILSSAFPEFIPKTEASETPLGGDDNNTQPQAAPSVLPDAYFQGLALIKTLVKLMPGWLQSNRIVFDSLVLLWKSPARITRLQNKQEQSLVQVKESKWLVKCFLNYLRHDKTEVNVLFEILSIFLYHTRIDFTFLKEFYIIEVAEGYQPSMKKTLLLHFLNIFQSKQMGHDHVVVIMQMLILPMLAHAFQNEQTWEVIDTAIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKHLQTDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPRRLPLGDNSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTSENRRLAIELAGLVVNWERQRQNEMKIVNDEGTSQNNDGSNLGNTNADTKRPMDGPGTAGPGPAFPDDPTKRLKVEPGLQSLGVMSPGGPSSIPNIETPGSAGQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKETSLMYKQALELLSQALEVWPNANVKFNYLEKLLSSIPPTQSKDPSTALAQGLDVMNKVLEKQPHLFIRNNIIHISQILEPCFKYKMLDAGKSLCSLLKMVFVAFPSEASSTPQDVKALYQKVEELIQKHLASVAAPQTAGEDNSASMISFVLYIIQTLAEVQKNVIDPFNLGRVLQRLARDLASAPGSYSRQGQRTDPDSAVSSSRQGADVGVVVANLKSVLKLIGERVMLVPDFKKSITQILNSLLSEKGTEHTVLLCILDVIKGWIDKDFGMSGMASNSVSFLSPKEVVSFLQKLSQVDKQNFSPTSLEEWDKKYLDLLYGLCADANKYPISLRQEVFQKVERQFLLGLRAKDPEMRMKFFSLYHESLQKTLFTRLQYIIQVQDWEALSDVFWLKQGLDLLLAILVENKPITLPPNSAKVTSLLAAGSLPEHSGPQPMVTDTSEVSEDNTPLTVDALVSKHAKFMSEMSRLQVGDLVIPLRELAHTDANVAYHLWVLVFPIVWVTLCKDEQVKLAKPIISLLSKDYHKKQQGNRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALNLLESHVMLFLNETKCSESLAELYRLLNEEDMRYGLWKKRSITAETRVGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWLYCASQLSQWDVLADFGKLVDNYDILLDSLWKQPDWAYLKDHVIPKAQVEETPKLRIIQAYFSLHEKNSNGVGDAENIVGKGVDLALEQWWQLPEMSIHARIPLLQQFQQLVEVQESARVLVDIANGNKLSASSSVGVHGSLYADLKDILETWRLRTPNEWDNLSVWYDLLQWRNEMYNSVIEAFKEFATTNSQLHHLGYRDKAWNVNKLAHIARKQSLHDVCVSVLEKMYGHSTMEVQEAFVKIREQAKAYLEMKGELTSGLNLINSTNLEYFPVKHKAEIFRIKGDFLLKLHDCEGANLSYSNAISLFKNLPKGWISWGNYCDMAFKETHDEIWLEYAVSCFLQGIKFGIPNSRSHLARVLYLLSFDTPNEPVGRAFDKYVDQIPHWVWLSWIPQLLLSLQRSEAPHCKLVLLKVATVYPQALYYWLRTYLLERRDVANKSEFGRMAMQQQQQRMQQNMAGPSSNSENQIPQGSQSAGGGGVQSHDGGGNSQGQEPERTSVPEGNVLGGNEQNLQQNPSAMTEGGQNAIRRNYAMGLVASAASAFDAAKDIMEALRSKHTNLASELESLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQSLKKELSGVCRACFSADAVNKHVEFVREYKQDFERDLDPESTATFPATLSDLTERLKHWKNILQSNVEDRFPAVLKLEEESRVLRDFHVVEVEVPGQYFTDQEVAPDHTVKLDRVGADIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNRMFDKHKESRRRHISIHTPIIIPVWSQVRMVEDDLTYSTFLEVYENHCSRNNKEADQPIAYFKEQLNQAISGQISPEAVVDLRLQAYTHITKDYVFDNIFSQYMYKTLLSGNHMWAFKKQFAIQLALSSFISFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFNEPVPFRLTRNLQMFFSHFGVEGLIVSAMCAAAQAVVSPKQSQHLWHHLAMFFRDELLSWSWRRPLGMPLAPVVGGGSLNPVEFRQKITTNVEHVIQRINGIAPQFISEEEENSVDPPQSVQRGVTELVEAALTPRNLCMMDPTWHPWF >cds-PLY93498.1 pep primary_assembly:Lsat_Salinas_v7:9:87193807:87197972:-1 gene:gene-LSAT_9X68761 transcript:rna-gnl|WGS:NBSK|LSAT_9X68761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRHXATSAAASSIPASVLCCTAQSTSRRTIKRPHNNCRAVAGNFGHFVQVVKKDVDFLKKNIGAGINWTSEALGLPEISKKVDEFVWLRNLEDPHYSGEFQSPSWPQPYYPELSTTDLIMADLKAMETYIIYYYHLSKMWTKPLPEAYNAQEADDYFKCRPHIVALRLIEVFGSFASAAIRIRISGIIRSKTSNADSETEEYNSQYKFGMVLKETMLNLGPTFIKVGQSLSTRPDIIGFQITKALSELHDQIPPFPRTLAMKIIEEELGSPVDTFFSYISEEAIAAASFGQVYRATTVDGVDVAVKIQRPNLKHVVFRDVYIMRVGLDILQQATKRKSDLRLYADELGKGLVGELDYTLEAANAKEFMEAHSPFSYIRVPKVFDHLTKKRVLTMEWMSGENPKELLSMCNTNFEQELQYSEKQRIDAKRHLFDLVNKGVEACLVQLLETGLLHADPHPGNMLYLPSGQIGFLDFGLICRMEKKHKFAMLGAIIHIVNADWASLVGSLADMDIVRPGTNVSRITMVLGKVFAIAVKYHLRMPPYFTLLLRSIASFEGLAMAGDPNFKTFESSYPYVVRKLLTDNSFETRKILHSVVMNKRKQLQWKKVAFFLKIGATSKGLLKSGTSSSESDTVNLVLKLLLSKDGVVVRRLVMTADGASLIRDTVSKEAKPFRYQLCKIISDMLYHSICATAFAKTFMPMSATCNPIYRSSSSSSMPAVDYKTLVRNRRLRVIVRKVLESGRKDTLLMLRLCWVSFVTLVVASALACHKVVVSMSEEDYLGRLSFTPKKLVVSN >cds-PLY81352.1 pep primary_assembly:Lsat_Salinas_v7:4:36727350:36728105:1 gene:gene-LSAT_4X24101 transcript:rna-gnl|WGS:NBSK|LSAT_4X24101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIILQSALVSNLYFVSQLLHRKYSGNLLVNMLGKWKESEYSGQSVPVGGLAYYVTAPSRM >cds-PLY67467.1 pep primary_assembly:Lsat_Salinas_v7:6:68536655:68537269:-1 gene:gene-LSAT_6X48701 transcript:rna-gnl|WGS:NBSK|LSAT_6X48701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEASEAYLVGLFEDTNLCAIHAKRVTIMPKDMQLARRIRGERA >cds-PLY78960.1 pep primary_assembly:Lsat_Salinas_v7:3:70556582:70561639:-1 gene:gene-LSAT_3X55440 transcript:rna-gnl|WGS:NBSK|LSAT_3X55440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHDFHKNTIVELVLFFYKGMDGIEFPFVFVGMFNSMNDAIQRNPMELNSSSIMIEIWSTKSWFNLVHHIDLQPFANIASSRRASYYVASAAKLQPLYYQESFKMAQDLSQEIAKTRRTRRRTDSNPRIPLFVIWVILISTQLPSSSPAHHHHHHHDSISSSRKAEFFRTAAPHFHAAARSRAAGEGNLYDEDKRLVHTGPNPLHN >cds-PLY66440.1 pep primary_assembly:Lsat_Salinas_v7:5:320654339:320670918:1 gene:gene-LSAT_5X176681 transcript:rna-gnl|WGS:NBSK|LSAT_5X176681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPAELRRVFQMFDRNGDGKITRQELANSLENLGIYIPDDDLAQMIEKIDVNKDGFVDMEEFGELYQTILGERDEEEDMREAFNVFDQNRDGFITVEELRSVLGSLGLRQGRTVEECRLMIKKVDEDGDGMVNFKEFKQMMKAGGFADSGCALMEDAPIVQDNIMIRSTVGGDRSLKKDSMRTNLAEEGKALVLHHEEAVISSHGAKRCFHGGGSMVWYHSAPIDTVLKVIEPSLSHGTETVANGSAETKPKRKKLKGKRAVVRWLKFFRFKKKKDYERMTSEEKILFKLRKARKKEERLVEALKKIEPKESSETTHDPEILTPEEHFYFLKMGIKSKNYVPVGRRGVYQGVILNMHLHWKKHETLQVIVKTFTREEVKEIAVELARLTGGIVLKILEDDETIIMYRGKNYSQPPTEIMSPRVTLPRKKVFFFFFFFFFFCVWVIKMGRPDSTPFDLLPILEALDKSKYREALRSVRRYIPRLEQDLELLRAKAENEPEIDLNTQFDEPQQHSNLQHVAKQKLKELVAKNDNKFNEEDDDDDDDCVNGSELVSDSEDLSDIFETDCETDEEIIKNEDEKPLYLDEFDKFQVQNKVDEEDFEEHLRRISAGSKKEKNVGPDFDFDEVDRVVLRAASLLKKRRR >cds-PLY62193.1 pep primary_assembly:Lsat_Salinas_v7:6:31642236:31647607:1 gene:gene-LSAT_6X24241 transcript:rna-gnl|WGS:NBSK|LSAT_6X24241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTKNTISPAAARSHTRKSKPDSSSIILIKKFILVLFAGFFAWAYQTALPPPPKTVGSPDGPPITSPRIKLRDGRHLSYTESGVSKDKAKYKLIFVHGFNLNKYHNPFAAKASPALVEELGVYFVAIDRPGYGESDPDPKRTVKSLAFDIEELADHLNLGPKFYVAGYSMGGQIVWSCLKYIPHRLAGAVLIAPGINYWWPNLPSNLTNEAFSGQLPQDQWSLRVAHHLPWLSYWWNSQKWFPSFSFIDEVHAALSSSDIAVASKLFAAMDPDQLQAWNKQPRQQGEFESLHRDLNIGFGKWDFDPIDLKNPFPNNDGSIHIWQGDEDLIVPVTLQRYIAHQLTWVKYHELTGTGHLLPFADGVIDSILKELLTKQN >cds-PLY76129.1 pep primary_assembly:Lsat_Salinas_v7:9:29564006:29566874:-1 gene:gene-LSAT_9X26081 transcript:rna-gnl|WGS:NBSK|LSAT_9X26081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELALSLGDAPKTISVADQSRSQQQKVKELGFCMNVGSSSASIKIPDHDQYKNLSEKNDDDKTNCSSSSSDQPPLQLDLLPFSPALNQSPPPPPSGGRPFPWLSQIFISDPDSKTKRGKGLDVKPITAVYDPDHNGEEPATLQSSPTESNSDTSSFQMDFSSIFRSSTGSSRLPLKNRDLELVTGNMDYLVGVSERDHQRVSSRRGGYVGTSDEDENGLGRKKLRLTKEQSAFLEESFKEHNTLNPKQKLVLANQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKTCCETLTEENRRLQKELQELRALKTSQPFYMQHPATTLTMCPSCERVVTTTSTTTPASKPTTTTTAVLQQTTSDCPSH >cds-PLY67346.1 pep primary_assembly:Lsat_Salinas_v7:4:18662711:18672321:1 gene:gene-LSAT_4X13121 transcript:rna-gnl|WGS:NBSK|LSAT_4X13121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGIPVEYCAGGDVDGEWPCDGGWISSEEDMIEDRLGESKARASTVTKSSPIQTHGTLLREPLRMSKSSVPTYSCRFEKTVKVLKTFPKSAEYLETIVSFGKVTPEQKEQCEGFGVAIHSWEEFLSLGDDKQFELPLKKKSDICTIMYTSGTTGDPKGVLISNNSIVTLIAGVHRLLGSVDASLDSNDVYLSFLPLAHIFDRVIEECFINHGASIGFWRGDVKLLIEDIGELKPIIFCVVPRVLDWIYSGLQLKISSGGFLKSKLFSLAYA >cds-PLY72381.1 pep primary_assembly:Lsat_Salinas_v7:4:147699075:147699895:-1 gene:gene-LSAT_4X91600 transcript:rna-gnl|WGS:NBSK|LSAT_4X91600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHNLWDETLAGPTPDSGIGKLRKYKSLRVRSGSNIDPSNADDISPVSRSITILRSNSLSASSDSGSSPSSPSTPVTPGSTFSPTSPGGNIKKLTRAKSTAGGTHSSRTKHPTGYDWIVLSALDR >cds-PLY90662.1 pep primary_assembly:Lsat_Salinas_v7:6:54456928:54457433:-1 gene:gene-LSAT_6X40860 transcript:rna-gnl|WGS:NBSK|LSAT_6X40860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQISPLGDFLKNKKEEPHIENFILDSTRIFMKAFRLLLFDGSLIFPECILILIYIGYIYLFNKFSNEHNVPIVLIERGTYD >cds-PLY88480.1 pep primary_assembly:Lsat_Salinas_v7:1:150410443:150416745:1 gene:gene-LSAT_1X106281 transcript:rna-gnl|WGS:NBSK|LSAT_1X106281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVACPITCRRICYCSLGFPREVQNEKGQIGFLEEIDRLKAFLDDPWLIKDDKKRVQVLVPKVDVTPPPPQVTVTPNAVVFDGGCEDAADEMLSAQNKRAAMQKKAAAASLVAEDYARRFESGDPEGVNKDVAGEEQVLSNGKVMCRLCFSGENERSVRARKMLSCKTCNKKYHRSCLKTWAHDRDLFHWTSWTCPSCRICEVCRRTGDPNKLMFCKRCDAAYHCYCQHPPHKNVSKGPYLCPKHTKCHSCASTVPGNGSSLRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDVCQRWVHCHCDGISDERYLQFQVDNHLQYSCATCRGECYQVRDLEDAVQELWRRRDKAEHDLITSLRAAAGLPTQEEIXXXXXXXXXXXXXXXXXXXXXXXXKKEYGHSLKFSLKGITDNKSPNKKNKKKSSKRYGKEKGHSDAQSIGSITGDNNSNKDEDVESFRSSESDLYKNKNKLVNEISGTSFKFFKDKMKEESGKDVKSKDIKGRKLVIHLGGRHNKTSINSPRSDVSNSLVDQPLTTPNRGEDMVQERPKDNYLDMLANANSSKLSDVEGDSLNHDDDETGVSFGKRSTNRRVTPGSGSEKVTPSLRLKFKNPYSDDQSFVKGQRSKRKRPLTFMDKSSSFVQEEDNNNNNNNNNKNKNKNKKKGDGNSYDEIMDAKWIIQKLGKHAIGKIVEVHHPSNNSWHKGTIIEFCEDTSTVLVTLDDGKAMNVDLGKQGIRFVSKKQRL >cds-PLY73611.1 pep primary_assembly:Lsat_Salinas_v7:5:204013595:204014231:1 gene:gene-LSAT_5X92780 transcript:rna-gnl|WGS:NBSK|LSAT_5X92780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMNYSVIFLSFCLASIISQGLARRSTSIHPPKPSKASNLHPPKPSKASYLQCWAALFDLEACYTEFLRAAQNFQIDTGIGPTCCRAGERMNAGCWPALFPFNPYFPIQLKLYCFRYWVPNPPKPSVASPPPVVAPTPEPTADIPIDEGRVALPLHAPSSSIKGPFMKTSPTIKGPFMNTPIVSPIGRQIPSF >cds-PLY98079.1 pep primary_assembly:Lsat_Salinas_v7:4:78874119:78877422:1 gene:gene-LSAT_4X53101 transcript:rna-gnl|WGS:NBSK|LSAT_4X53101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NFD1 [Source:Projected from Arabidopsis thaliana (AT4G30930) UniProtKB/TrEMBL;Acc:A0A178UZG0] MANRRRLQTLTQQLYKSTLHRDPPSLLQHLRTLTQIPNLPKTSFHPTSHYTLSTPNFYSSSTNFTTYRHFSSDRHDNSDNNDESEEDDSDYDDDDDDVDDDEMTEDSANHAVKREYSPEEKEAEAAAIGYKVIGQLQRSDRVFKSYEPVFAVIQIGSHQFKVSNGDCIYTEKLKFCEVHDKLILNKVLMLGSKTQTMIGRPVLPEATVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITDIQGIEKPEIPTPEKAATKKVEKAAVAA >cds-PLY97145.1 pep primary_assembly:Lsat_Salinas_v7:4:359166297:359166584:-1 gene:gene-LSAT_4X176701 transcript:rna-gnl|WGS:NBSK|LSAT_4X176701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVICTCGSVAVRVTSWTDLNPGRRFWSCAQNGRSCPFHGWVDDPMCHRVVEVIPGLLRRMNNVQMMLIQARADVVKLKWMLILSWFCFFVYIRMH >cds-PLY93814.1 pep primary_assembly:Lsat_Salinas_v7:6:143978542:143980950:-1 gene:gene-LSAT_6X87300 transcript:rna-gnl|WGS:NBSK|LSAT_6X87300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPASNSRSFFHLKNLRSMSIVAAIHIQFPGTQMVDSNILAFIENEVMPLHEVLNAIRMVKNEAVEKINPLIISHASSLSSLQMESSSVDFIISMGTSEDFPGDNLVGEFSRVLKPGGQIFIHQSFDAAKKNTTSSLGRKLLVAGFSNIEVVQMAEVLSEGLQSFGVKGKKPSWKVLPKVVVVDEMDVINEDSLLSEEDMKKSQLPTIGDCEVGSKRKTSQPPHSLQFEPIRFPTKTSQNKFHASQPQSTDDDDTCLPEPSRPVKSSKRKSSEKTANPCCTGLSNGQECTSAEEAALASAWVDILEEDGSTGNVETVELNDTDDEIEPEDDDIEPEEPRSPIGKDKGNRASSSTLSGSGMDEKIDRLVDKLDKFTSTYGRMEKEKIRIKEKAWKEKIRAQNEAREAEDFKILTTNIDHLTGPSLRAALALKEKIAKKYGFE >cds-PLY96900.1 pep primary_assembly:Lsat_Salinas_v7:2:108078512:108082338:-1 gene:gene-LSAT_2X49101 transcript:rna-gnl|WGS:NBSK|LSAT_2X49101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTEMANTDPEGIDGVRMTWNAWPRTKVEASKCVIPIAASISPIRPHPHIPNNPYAPLRCKTCSAVLNPFCRVDFSALIWICPFCFQRNHFPHHYSGISETNVPAELYPQYTTVEYAVPNPDTRLNPPQPVYVFVLDTCMIEEELKYAKLALQQALEFIPENALVGFVSFGTQVQVHELGYADISKVYVFQGSKEMTREDVLDQLGLLAGVANGRRMGGGPGFQKGVVQGQGQGFPNSGVSRFLLPASEGAYIIHSRLEELGVDLWPVAPGNRSLRCTGVALSVAAGLICACSPGTGGRIVALVGGPCTEGNGSIVSQDLSDPVRSHKDLDKDSALYFRKAVNFYEELSKQMVSQGHVLDLFASALDQVGVAEMKVIIERTGGLVVLSESFGHSVFKDSFKRVFENREDSLGLSYNGTLEMNCSKDIKIQGIIGPCTSLEKKGPAVASTTIGQGNTNAWKLCGLDNDTCLTVLFDISSSDKPDHSGNLNPQLYIQTLTSYQSIDGQSKLRVTTITRRWIEGSAVSEELMQGFDQETAAVVMARLTSYKMETEETFDATRWLDRNLIRLCSRFGDYRKDDPTSFSLNPNFSLFPQFMFNLRRSPFVQVFNNSPDETAYFRMMLNRESITNATVMIQPSLISYSFNSLPSPALLDVASISADRILLLDSYFSVVIFHGITVAQWRNMGYQNQPEHQAFSQLLQAPHDDAELIIRDRFPAPRLVVCDQHGSQARFLLAKLNPSATYNSDVGAGMDVIFTDDVNLQVFLEHLQRLAVQSS >cds-PLY62930.1 pep primary_assembly:Lsat_Salinas_v7:2:212504212:212506483:-1 gene:gene-LSAT_2X132020 transcript:rna-gnl|WGS:NBSK|LSAT_2X132020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESQLQHTHGRHYDDQDPLTATATATAGHRSGHDYNEEHHGEKKSVIKKVKEKAKKLKNTITKHGHGHGDSDQHQDHQDEEDDDDDEMEKDAEVHGAPMYDSARVGLGGPDAIFAQPRGNLERPSMMAEDRYTGHSQEVNPEKWRGKIGAPTGMEEHPFAPNPTPYGSRHVADQFGNRGSGQDMNQEGLRGRIGKSTGMVEDPNGPKGSAPSNYETKVTDPTHTGGKEAQLSQIQHSLGKMGIRDEHDSSSDFEPKTLEHNHPENLPRDTVTGNPSSRSSSYVEKISSSASAIADKAADVITSKLGYSSQTPSTGQHETGKSSGAATDYAHKITDTVTGTLAPVYEKVVDAGSAVMSKVQGSVSGTGQPQVQRGSDTRSGSRTMEKGREADKGVSVKEYLVETLKPGDEDKALSDVITHAFHRGNHGESEKKSGEDRPIDRVTESVEVRERLGSDHREEHEHSGNVITADKGVADRLIGAMGSWFGGKGNVPQGSLGTSFVTDEGLSSNPPGSKKSGSGRQD >cds-PLY97681.1 pep primary_assembly:Lsat_Salinas_v7:8:6415488:6418218:1 gene:gene-LSAT_8X5161 transcript:rna-gnl|WGS:NBSK|LSAT_8X5161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein 4 [Source:Projected from Arabidopsis thaliana (AT5G24270) UniProtKB/Swiss-Prot;Acc:O81223] MGCICSSGVKHHNSPGYEDPALLAKETPFTVSEVEALYELFKKLSSSIIDDGLIHKEEFQLALFRNRNMRNLFADRIFDLFDVKRNGVIECGEFVRSLGVFHPNAPIEDKIEFAFRLYDLRHTGFIEREELKEMVIALLHESDLVLSEEVIEMIVDKTFSDADIKGDGKIDKDEWKQFVAKNPSLIKNMTLPYLKDITLAFPSFVLSSEVEDSEV >cds-PLY86373.1 pep primary_assembly:Lsat_Salinas_v7:8:28370476:28370898:1 gene:gene-LSAT_8X22960 transcript:rna-gnl|WGS:NBSK|LSAT_8X22960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAEKKPVEKKPAAEKAPAETKPKAEKKLPKDASTTDKKKKKNKKSVETHKIYIFKVLKQVHLDIGISGKAMGIMNSFINDIFEKLAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTST >cds-PLY89978.1 pep primary_assembly:Lsat_Salinas_v7:8:207655083:207655460:1 gene:gene-LSAT_7X96420 transcript:rna-gnl|WGS:NBSK|LSAT_7X96420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLWLQKGSAALLVAYGWPATTPAPAIIDDNNGWGSEASQKWLTAAVAHAPLFLDSHSHEHDLLLQSRWLSDYARRLPQHIVVAEHRWQGDRYIVNIGVFSNTLRLGLIEATTWMVAVGKLLWWRL >cds-PLY87373.1 pep primary_assembly:Lsat_Salinas_v7:1:97831346:97839604:1 gene:gene-LSAT_1X79540 transcript:rna-gnl|WGS:NBSK|LSAT_1X79540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPMIIGKEISADKPNEQLCYDISIRTSAQVHDISVYTSKIENAISRKKMFLVLDDIDSLDQLDALLGNKGVYPGSKVIITTHETSLIESCALFRTKNKPKHAKHELRGLCETDSRQLLCNHAFMSSNPKDGYGEVIEKLVKYCEGHPLALKVLGKSLHDKDLAYCEGQIKLLKKENGTPISSVLRTSFDSLPSEEDKESFKHIACFFVGMDIDVTETILHACNINTSVVFRNLIDRCLIGIGWNNELVMHQLLQEMGKFIVRKESLHKPWKRSRLCCHDESLEVLKRKTGTKNIRGLTLDTRMLKNEKLRDTSELETNALSKMDNMILLQLNFVKINGSYEKFPKELRWLCMNGFPLKCIPSDLPMENMVVLDMSYSNIESFGVSYSNPQKPLMRQKLTRSCSKGKKLIGSLKILNLSNCEHLRSLGGFDGLPALERLIVRNCIGLVEVCESIEQCAKLLIIDMSYCKNLEKGPTIDKLNVKTLLLDGCNLGESRISIRNIDSPKMFKVNNLVMDSKISSSAIPSDTKFFRISLPRSLVILSLANNNLSNESFPMDFSCLSMLKELCLDHNPIISLPNCVISLPMIEKLAMRDCHMLRFVEHPPRTLTHLDLRIVEDYHERSLVHVAFDPEMSPLRLSLAWNSLAPPSFQIEGVVKIQPMTDVEEKVLCSLGWINFDFLNQMHVKIGYIYPDLKEFVIQMYYEFGIFSTFYGGEFMPNWIRHRSKGPSISFTIPPMPNWTKPTTSYQLRGINFCFVHSPSYQFRDIYFDIVDFPTIIVSNITKDCTWIYHHYIDKVSAGGECVMFLTHWMFGKNEMQVGDQVTITVIELFNQVTKECGVGFVYDDGKIDEDVLGYYKSWNHIIGGDLTAFHLKTGEYSLDFSRFWYYNDEHDSYNYDDIHTNYKERMWFRALSQRKSNIVGETLKEEENDAVREGKKNGASLLLEEERCSTLVLNENGESCLLNLNTAVGDNNNCIALKSGNVEKFVFARSVKIDPPTVISGLSDRRDGCFSTKDSKLAKKDLEVHTTISEGWREVVTYEWDKDIWVASRNIMAMSDDQDHGETMYDDRGQPSNFGTRKDLFFNANRNIMSTQEHEDTKMNFSKEDAGIKISKILNPQFIKPQIDKESGAAVIPIDVVQRGSELFPHMLYGYLVGEGVTYSIVNHHIRNMWTAHGIEDVVMNDQGVYFFKFNNEKGLIDVMENCPWTIENVPLYLQRWEAGLDLSKPMEEKVPLWIKIFDIPIELWTEEGLNTIASNLGNPLAFDSLTETKCESGKGLAGYASVLIEMVATEEWPDEIEILVPKLNGSGSMRSRLRVEYLLFTPEDIQPNIIDSASQSQKVDENGFQ >cds-PLY96149.1 pep primary_assembly:Lsat_Salinas_v7:3:101577660:101579195:-1 gene:gene-LSAT_3X75520 transcript:rna-gnl|WGS:NBSK|LSAT_3X75520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECVEGALKSSFIAVKPNNHHHPQSFSDDFCAITGVPGDDFFVDGLLDFSDDGDFEEENDDTHVLLHDNKNDKPLSISPVKKADIGHTEAFSIPESDLCLPVDDVADLEWVSHFVDDSFSGGYSLTCPAGKFPEKKSEPETETFITVNVNVKPSFTTPVLTKARSKRTRTGGRVWSLGTTPLTDSSTSSSSSSSCTSNPWLFFHDSAQTAESILGKPPVKRQKKRKTHPPAADAGGGSQPRRCSHCLVQKTPQWRAGPLGAKTLCNACGVRYKSGRLLPEYRPACSPTFSSEVHSNNHRKVLEMRQKKEAAEPGLPFPVQSF >cds-PLY85573.1 pep primary_assembly:Lsat_Salinas_v7:2:195622676:195630394:-1 gene:gene-LSAT_2X117761 transcript:rna-gnl|WGS:NBSK|LSAT_2X117761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSFNSGPRSPATSSRLQLGGVGGISRAMRSSSSKKPPEPLRRAIADCLSSSLLSVQGSSSAVVSEASRTLRDYLASHATTDLAYGVIIEHTLAERERSPAVVARCVALLKRYLLRYKPSEETLLEIDRFCSNIIMECDISPNRRQAGGSSSTLNVSSPLPVASFASGALVKSLNYIRSLVAQHVPKRSFQPAAFAGATSASRQSLPSLSSLMSKSFNSQISPGIGKEPSENKEGSSVSVLDSPIPEDIDGIEGDEFIARDVFKWRWHGDPQSSLLSSDSPLNHQDMSKHNFLEVGAAALLLGDMEAKTKGEFWRNFGSIDMPYLDQLLQPSLLTTVTNSASARAHLKAITASKRSKTGPHQIWEDSPLTTFRPRSRPLFQYRHYSEQQPLRLNPDEVGEVIAAVCSEKPSPVPNMMTISSKLSSSSGKPSMDVAVSVLIKLVIDMYVLDSGIAAPLMLSMLEEMLSSSQLTSKVRAFDLILNLGVHGHLLEPLLADDASTIEEEYTQEPYLDKKMSIKQDYLKAQSLSAINNFESWILCILYEVLLLLVQAEEKEESVWASALSCLLYFVCDRGKIRRSRLRGLDIRVIKMLIQISRRNSWAELVHCKLINMLTNMFYEVSDVSTTSPTFLVDQVDVIGGIEFVFIELVISNSREGRRNLYLVLFDYVVHEINESCIANGISEYSNDEIQPIASLLTLADAPEALHISVKLGIEGIGDILRRSISAALPRYSNSERLNMLLEKIMEKFDALLRSLTHLDKEFSHMTELTKSYKYLESFQEGFVRNSYALKVKLAWATLHSLLHSERAAIRENGYVWLGDLLIAEINYEGDSIWSNIKNLQKRITLASVKDYSPELDIPLPIWLMCGLLKSRNNLIRWGFLFVLERLLMRCKFLLDENELQHSVTVTNEAHGKTRLDKANAVIDIMSSALSLVAQINVTDRMNILKMCDILFSQLCLKVIPGNTSTSADTLRSCKSFNYSVWNKKASVMEDLPVRENFCWEPVDDSKGRFGINKNNSATAASSETASMAALLLQGQAIVPMQLVARVPADLFYWPLIQLAAAATDNIALGVSVGSKGGGNLPGATSDIRSTLLLLLIGKCTADPGAFKEVGGEEFFRELLDDTDSRVAYFSSTFLLKRMMTEEADKYQRGLSSLVSRAQQSNNEKLLENPYLQMRGLLQLSSEGLWN >cds-PLY71884.1 pep primary_assembly:Lsat_Salinas_v7:4:376960440:376960817:1 gene:gene-LSAT_4X186220 transcript:rna-gnl|WGS:NBSK|LSAT_4X186220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDLATYDVMRLMGVVPVSASNLYKLVSLNSHVLLNLGGVREALHRKLGFVRMAARFGATIIHFGAVGEDDYTQACNKSKSSY >cds-PLY73423.1 pep primary_assembly:Lsat_Salinas_v7:4:180231019:180234666:-1 gene:gene-LSAT_4X105560 transcript:rna-gnl|WGS:NBSK|LSAT_4X105560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT5G62640) UniProtKB/TrEMBL;Acc:F4K7R6] MKTTKGGKVMNPTDAYRKELRKKELKRNKKERKKVREVGILKKDPETLKEQIDKLEAMKADGALDKARKHKKRQLEDTLNLVIKKRKEYEEKMKEKGEAPVMFSHLGLPRRRTAEEEERAKNLKPEDSVYYHPQWNPTGAPPPGKPPMYKSSIGPIIPLVEGSTSDAAGLESDDVDSSIPPPPPPPPLPQSGGDTEAGDDAPPSSLPLPPPPPMPPKPATTESLKSDSNISHLPPPPPPPPKPTGNQSEGGSEAKDFSIMVPPPPPPPRQPPPGMIPVLPTDMLPPGMSRFSLPPPPPPPDMRPPPLPPLGIPGPPPPHPGMMMPRPPFGPPPMMRPPLPPGPPPIPMDDQFSRPPTVPQKPSYVKSAASTVVKRPLAQHTPQLTAMVPASVRVRRETAGPKVKPKPSVSSVSVGSVQPGVVTPAVMKQSTVKVLASSSSSSVKPASVDDSYMAFLEDMKALGALDN >cds-PLY81385.1 pep primary_assembly:Lsat_Salinas_v7:4:35111338:35113072:-1 gene:gene-LSAT_4X23700 transcript:rna-gnl|WGS:NBSK|LSAT_4X23700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFRSKSYSVQVIENSVVHADEPWNDHWLPFTNLDLLVPPFDVGSFFCYKKPSHGSFLTIIDTLKTSLSRTLALYYPLAGEILWNAAAGENQIHCNNRGVHFIEAVADVQLKELNLYNPDDSIEAKLIPKKLHGVLAIQVTMFPCGGMVLGCMFDHRAADGCSANMFISSWADMARSGTPSMLPSFRRSIFNPRSPTSYSSSINDVFALYEPTPIPNNEENHDGGDPLFVNRIFYIEGEQLNRLQLLASESGSRRSKLEAFTSFLWKIVALSLEESGNHNQLCRVAVAVDGRSRLSQGDGEEKEKLTTSHFGNVLSMPYGEKRSHELMEMSLSNVATEVHEFLHTATGKDHFLDLIDWVEERRSMPLISKAFADGETAVMVSSGQRFQIMDKMDFGWGKVAFGSCHVPSARKDCYVMTLPSPTNKEDWVVYMHLPMIHMNYIEAHAGQVFTPMNVDYLGL >cds-PLY84571.1 pep primary_assembly:Lsat_Salinas_v7:1:31563283:31563492:1 gene:gene-LSAT_1X28141 transcript:rna-gnl|WGS:NBSK|LSAT_1X28141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLYLILVSVNLPKLKEVSDGIVSMHPTTNIKIFTMGFSAENMVVGVRDMLKVIYGDELDVGILVKMPG >cds-PLY68120.1 pep primary_assembly:Lsat_Salinas_v7:8:33921382:33924105:-1 gene:gene-LSAT_8X25820 transcript:rna-gnl|WGS:NBSK|LSAT_8X25820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCFSCIKFCSKDVENYDGDVASNDVAVDDKGKTCKDVKEKGKSFSSQRYNVANRFTFRELCVATQNFKDSNLIGEGGFGCVYKGRLESGKIVAVKQLNLNGLQGHQEFIVEVLMLSLLRHSNLVTLIGYCTDGDQRLLVYEYMPLGSLENHLFDLEPNQEPLDWHTRLKIAVGAARGLEYLHCKANPPVIYRDLKSSNILLDNDFNSKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPDYAMSGKLTIKADIYSFGVVLLELITGRKAIDMNKKPSEQNLVAWSRPFLKDRKKFIQLVDPLLEGRFSVRSVHHVVAVTAMCLQEQANFRPLIGDIVVALEYLASQAEHEPDSRTISSSK >cds-PLY90930.1 pep primary_assembly:Lsat_Salinas_v7:6:123201314:123202560:1 gene:gene-LSAT_6X75140 transcript:rna-gnl|WGS:NBSK|LSAT_6X75140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTQGGIEDNAALLGNAQIARYLFGSFDAMAIRCCVELGIADIISNYNRPTTLSEITTGINSPSVNVDGLGRLMRFLVHRKVFDELPQPEEDGDGETVYSLNHYSNWLLSHTNVTMAPMVMFFTDPFMLSPLRNLSRSIKEGDSAFKMTHGDDIFDFSLINSEFNKMFNNAMACTTKFTMDVIMSNYKNGFLGMKGTVVDVGGGTGGAISAIVKTYPHLKGINFDLPQVISNAPTYDDVTNVAGDMFQAIPPAETIFMKWILHNWSNDDCVKILKNCRKAIPMDTGKVIIVEIVQHPGQHDLLNDVRVPFDLTMFAYFSRGRERTEGEWKKLLEEGGFHRHKIIYIPEIVSIIEAFPI >cds-PLY97712.1 pep primary_assembly:Lsat_Salinas_v7:8:6795958:6811152:1 gene:gene-LSAT_8X5081 transcript:rna-gnl|WGS:NBSK|LSAT_8X5081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEGLVRQLILGYLGQYIKDIHREQLKITLWNEEVFLENVELILEAFDYLELPFALKQGRVGRLSIRIPWKKLGWDPIIIILEDILVCASQREDEEWSVDDVERREFAGKKAKLATAELAKLSQRVCDNDNQTGKSFISYITAKIIDGIQVTIRNVHVVYRDISNDKAQTVFGLKLASLTAMKQNLVGVLNGKVRAGQVNKLVEIQGLEIYCKTFHGSAEDLYTNNGEDSMSMVAASFENDEHVHLLSPVDVSASLSVNRSGRLENNAAQYSVDIELSGLVLSLDEDQLQQILYLYDYLCTCRLREKYGRYRPWGIPISDRPLGWQIQWWHYAQNSVLSDVRKRMKKTSWKYLGERLGRKRRYVKLYKLKLECLRKEQPLDDEIVLELDQMEKVSDIEDILSYRSAAEHELQEFLVDSASGFGNSEANATIDKSMDDDQTSGKPQGWLKWLSRGMLGAGGTDDSSQFSGVVSDEVIKDIYEATKFHPAPSPVLDAAGSDRLLLSSIKCSIHRISATLRNKDLDCSIGELVFEENLVECMIWEESAVVTASINGVEMINSLNKQVVLRVKRAISEENFIQVEKPSLNFQAYIPQANREGDLTLKVLLEPIEMTCDPTYLVNFVELYTVLASFQSHEGRVLNSLNGIKDMKSRLISKAEYMLSGRKRMMWDISLINIKIIIPWENGKSEIHKLVLGLTAVTFSSKHDVSCFAPDINVPSQFMRNLIDDNSSSELLEGTQIQDLYDLMEIKLVDFQINLFVSFYPTHYFPILEKLNASSALALCIVQDESLLKAMEVYVVVAPFLGHLSPSIIGSVLKLVETIDMLHHASHLGTTSATSDKPNNFSSVSVVANLESGSFIVDLENGLEESCTLTVSLQDLDMRLITVKQAQSFWICTRAFKVMSRLLKNGDDMDLINVSPNGLSQLNNGCLMLHYDGDLSIWLTDLDLHCYPHIIGLLIEFFDKLPEYSPSHSHDDKNQEFVGRNSNSVLSGSYFGCSNFYDTCSSDWESISVDHYPFVTIHNDRSLVSLESSLININPDWKKVLKIREGKISCSKKEFQKLSVSDPDLVVINLDLRSIRLHLHDSSSIVAYFTLPTAKSCISIHESCLDVLCSTEGLSLSSQWFPQTLQDSLWGPALLNLSPVINIRVRKGNHGMELDFSIQNVSCILPTEFLAVLIGYFSMPDWNLNAKESSGIDCSKDTDSFPFTYNYKFEILDSVLFTPVANADYQFLKLNIPQMYFTFIENSDSDILLKEIPLECSVPPGFIGDQNYCLNVFGRDLSLHHTLWKDNASEVTSVSIIAPFSGDIWITIPYGSDSPSATCMMSKVNKCQFIVEGSEILGCFDALLDVIDQFTSVENLSMCFTSDVSEFLNSKDSFKENHVLQVPTESSSVSFTEIRCSVESMSVELYSDKIQSNANEHIAKTDMKFTCSVSLKNEKPLSLDVSFTCLTLSSMFTSVVLLECTSYTKKVPVLYMKLLMSDEGENHLRFSLPCVDIWLFLSEWSQVVDLVNSCYENLPKTAIQNEEPEKSISEPVSRVDTAENSPQSISVSSFLSPEDRFSLTVKSDHIGIKIRVPVQVSGEAFKYFGAPQVREQNSFVGRDDGSFLYIYLQSRCTEANINGEKVNLKSNLGKAMGTVELFQNKSVHSWPLFQLLEIDIEAEAGNDDMDLMHLKTEVHCDNLDIWLSHHTFYLWPTTPEDSGSSQLSVGSVNFRFHLRKVSILLTDEKWSSNGPLLEILMGSLLFHGIITANVMEGWVDSELQVNYNNIHKVLWEPFLEPWKFQVSLRREQGKSALENSPVMTDVHLESTMNLNINVTESFIEVAFRTFDMITDAWDLMSLNVFPENSRLTAAAHTNENTNTLASRYAPYTLENLTSLPLVFYISKADGFNILKDGKYVQPGSSYPVYIDDNTDEQTFGFKPSHSTDNLGDKKFADAQHHYIVIQLEGTSTLSAPVSIDLVGVSFFEVDFSNSVVNSRDISKGVNSGYVVPLVIDVSVQRYTKLVRLYSTVILTNATTMPFEVRFDIPFGVSPKILDPVYPGHEFPLPLHLAESGRIRWRPLGNTYLWSEAYSISNILSNESKIGHLRSFVCYPSLPSSHPFRCCVSVHDVCLPSAGTGRVNKGSNLYIHDAIADKIENQDQSNKRCIHLITLSNPLIVKNYLPVEVSVMIESGGVSCSMLLSEVETSFYHIDSSHDLSLTFDIHGFRPSVLKFPRAEKFSEIAKFSGTKFSSSESINFTADISKGPLYVTMEKVMDAFSGAREICIFVPFLLYNCCGFPLTIANSTNDLTMRDTLPSCYDLDEEDPFLGKKDGLSLLSSHQILNNDGMTMRRFPLNNNLVSTRKESFSSSGSNKKNIISTPYDEEKSLVSNNQQIDLDETSRKKVNFRMYSPDPNIASSEIMVRVSRSHSESDVASTSNYTWSSEFFLVPPTGSTTVLVPRSSANSSYVISVASSAISGPYSGRTRIINFQPRYVISNACSKDLCYRQKGSDFIYHLKVGQHSHIHWTDVTRELLVSARFDEPGWQWSGCFLPEHLGDTQLKMRNYVTGAVSMVRVEVQNADDAIRDDKIVGNPHGDSGTNLILLSDDDTGFMPYRIDNFSKERLRVYQQKCEAFETVIHSYTSCPYAWDEPSYPHRLTVEVFAERVVGSYTLDDAKEYKPVVLPSTSEKPERRLLISVHAEGALKVLSIIDSSYHIFDDIKISRSPRLTDKKEDDQKQESCERLSVSIPFIGISVMSSQPQELLFACARNTSIDLVQSLDQQKFSLKIFALQIDNQLPTTPYPVILSFDHEYKQMATSQIKSKDASCEPVFSLAAAKWRNKDRALLSFEHINLKMTDFHLELEQDVILSLFDLFKAVSSRFHSRGMPHMDTVLLPLSSNLSVNNKKNKFSDTEKEDSESFPLLPSIVPIGAPWQKIYLLARKQKKIYVELLEVAPITLTLSFSSSPWMLRNGILTSGEFLIHRGLMALADVEGARIHLRRLTISHQLASLESIREILIIHYTRQLLHEMYKVFGSAGVIGNPMGFARSVGVGIKDFLSVPARSFMKSPAGLITGMAQGTTSLVSNTVYAISDAATQVSRAAHKGIVAFTMDDDPSASEMERQQKKGMSSHGKGVINEVLEGLTGLLQSPIRGAEKHGLPGVLSGIALGVTGLVARPAASILQVTEKTARSIRNRSKLYHMGSQRLRVRLPRPLSPNYPLKPYSWEQSIGISVIISSDSNTLKDETLVLSKSLKHGGKFIIITQRLILIVTSASLVNLGKPEFKGVPADPDWVIEAEIRLDSVIHVDVDDEVVHIVGSSSDVVVRQNINQGGGGKQRWYNPPTPLPLFQTNLECSGKEEAGELLKVLLVTIEKGKERGWGCVYRLHQSSVR >cds-PLY79173.1 pep primary_assembly:Lsat_Salinas_v7:5:57691377:57692993:1 gene:gene-LSAT_5X27140 transcript:rna-gnl|WGS:NBSK|LSAT_5X27140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSYDSLPPPKSFLTAAASFTASALLFRTIASDLIPTEYIKSLFKRFSTQLTVVIEESDGLTPNQLFEAANIYLGTKLSPSTHRIKANKAEKDDELTVTVDRNQPIIDVFQNVKCTWVLHTEVIEVDHNSNSRSELKFFELDFHKKHKETVLKNYLPYVLKKATEIKDAKKAVKLHTVDYYSGTDYWSPVVLNHPATFESMAMDLDKKVELMEDLDMFVRRKEYYRRVGRAWKRGYLFFGPPGTGKSSLIAAMANYLKFDVYDLDLKEVRGNSDLRKMLVGTKNRSIIVIEDIDACGVGLQSRETENDASNSNEEDDKISLSGLLNFVDGLWSSCGDERIIVFTTNHKERLDAALLRPGRMDVEVEMSYCSYGGFKVLASTYLQVKEEEKMELFGEIEELLKKVEVTPAEIAGELMKKSDDVEIVLGNLIRWLQLKEAEDKD >cds-PLY74425.1 pep primary_assembly:Lsat_Salinas_v7:6:11630022:11632477:-1 gene:gene-LSAT_6X9340 transcript:rna-gnl|WGS:NBSK|LSAT_6X9340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVMIRTTLAIIGKLINDVLSFVIFSILDVLDLVLCFVYKVIDFFIEAEWKPCYCSSPKEAMSGTGRILVSEKGQSKKIVCLTSTKIQLEEISDTLYTRPSLVAEVSKTTVKELKRRKINDATTMVASINKSTVRSSSTFTVNNTIVEMLQEKIGGHKSHPIPRWSDCDCDTCNSWSNACKDTLFVHTDGPKDNVGDDVLFIHGFISSSAFWTETLFPNFSKSTKSAYRLFAIDLLGFGRSPKPHDSLYTIKEHLDMIERSVLEAHKVKSFHIVAHSLGCILALALAVKYPNSVKSLTLLAPPYFPTPKGEQATQYMMRKVAPRRVWPLIAFGASLACWYEHVSRTICLLICKNHRIWEFLTKLITRNRIKTYLIKGFCCHTHNAAWHTLHNIICGTAGKIEGYLDTVQNRLSCSVHIFHGEDDELIPVECSSNVKTKVPRANVNVVEKKDHITIVVGRQEAFARELEEIWKTSKLKG >cds-PLY63839.1 pep primary_assembly:Lsat_Salinas_v7:7:102128527:102129075:1 gene:gene-LSAT_7X67600 transcript:rna-gnl|WGS:NBSK|LSAT_7X67600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSVGSRRNIRSRRQALRATCFREDPVGKWTSWRPTNPGRRFIGCSNFRDEEKDCKYFAWVDPPLPNNWYRNMLMDFHNSGIQLHYEFVEEIVEEVVDFHNNGIQEVPIQGKGEKWKIGFFLFVGDCVDYVEVVLSCCKTFGNLWNLYYLNDIWI >cds-PLY79218.1 pep primary_assembly:Lsat_Salinas_v7:5:300689483:300695099:1 gene:gene-LSAT_5X162061 transcript:rna-gnl|WGS:NBSK|LSAT_5X162061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVDRRTCHHMIWERKRRSFVYIQFWIRNSQYHGLNNASGSLEHAFIEKLLLWVFYECPKGFLFASLIQPFVSQFHIYLRILKQSREITSDFHPFFSSTCLLSPKSTPLTGDGHSRRRVLLSSELIQIGSEERLLLITEILFKNLDLDMKDRVRKIEGNPDFCCFISTKYCKSRMLPMVMDKARIRNSEYSGLNNASESLKHAMQLVIGICIN >cds-PLY86843.1 pep primary_assembly:Lsat_Salinas_v7:1:16236491:16237712:-1 gene:gene-LSAT_1X13840 transcript:rna-gnl|WGS:NBSK|LSAT_1X13840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGEIDVKGAVGSGGSGERKTCAQIESVMEDSSCSIIVGYADIIGGEEATSDCQGNTTKRRVVADGGIIERETTTQAMPPSWKSPEKVSNDDMTWIEEIVTILAKEDYRWLGNDSIVKLSDFSP >cds-PLY67915.1 pep primary_assembly:Lsat_Salinas_v7:5:299544934:299552037:1 gene:gene-LSAT_5X160760 transcript:rna-gnl|WGS:NBSK|LSAT_5X160760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase INO80-like protein [Source:Projected from Arabidopsis thaliana (AT3G57300) UniProtKB/TrEMBL;Acc:F4J277] MDSDRHIKNSYNYSNLFNLESLTKFQLPQGDEFDYYANSSQGESRGSRGGPMTDRSNGMMLEKRKRRNTYSSDEDHEGSYDTYFSEEQYRAMLGEHVHKYKRRHKSNNLPASASTRNGMSGMEIISHGSKDHKSLNGRSRGGHKEAGFAAEYNMERSISEPGYLDIGDGITYKIPPTYETLATSLNLPRTSEIRVEEFYLTGTLDLGSLASMMSADKRLGPKSGSGMGEPRSQYESLWARLSSQTLNNSPLKFSLKVSDAALDSYSAPEGAAGGFRRSIMSESGVLQVHYVKVLEKGDTYEIIERSLPKKQKEKKDPSMIEKEEMDRVDKYWVNMVRKEIPKHHRFFINFHRKQLTDAKRFSETCQREVKMKVSRSLKLMRGASIRTRKLARDMLVFWKRVDKEMAEVRKREEKEAAEALKREQELREAKRQQQRLDFLLSQTELYGHFMQNKPTSQPPTEGDKVNESEVGEKEEDPEEAEMKSEALKAAQDAVSKQKMITSAFDDEILKLRQASGTQDPEQQDSVAGSSNIDLLHPSTMPVASSVQTPELFKGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPTSVLNNWADEIGRFCPDLKTLPYWGGIQERTVLRKNINPKRLYRRDAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSATSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLSRLHAILKPFMLRRVKKDVVSELTRKTEVTVHCKLSSRQQAFYQAIKNKISLAELFDSNRGQLNEKKFMNLMNIVIQLRKVCNHPELFERNEGTSYLYFGDIPNQLLPPPFGELEDIYYAGSKNPITYKVPKLIYQEVVRNSDIFLLRGKHGINRESFEKHFNIFSPLHIHQSIFEQQDKNAPCNGSFGFTRLIDMSPSETAFISNATLMERLLFSIARWDWCFSDEIMDDDDDDVEYNSIEKGKVRAVTRMLLMPSKSERNVLKRRLATGPTDDPFEALVISHQDRLVSNVRLLHSAFSFIPQIRAPPIDAECPDRDFAYRKAEELHNPWIKRLLLGFARTSESNGPNKPNDGAIHHLIQEIDSELPVVQPALELTHKIFGSCPPMQSFDPAKMLTDSGKLQTLDILLKRLRAGNHRVLLFAQMTKMLNIIEDYMNYRKYRYLRLDGSSTIMDRRDMVKDFQLRNDIFVFLLSTRAGGVGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILHRASQKSTVQQLVMTGGHIQGDILAPEDVISLLIDDAQMEQKLKEIPAQVKDRQRRKGGTKAIRIDEEGDARLEDITDPEPPSDPFNTSPSEKPSSSKKRKGNTEKGPAKARGAQKGSKNPNSTTEDVQRQKPKRPTKSVNENLEPAFTAVSQD >cds-PLY94389.1 pep primary_assembly:Lsat_Salinas_v7:6:8826174:8827907:-1 gene:gene-LSAT_6X5561 transcript:rna-gnl|WGS:NBSK|LSAT_6X5561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWIFGKKGESGFSSSSTAEEVTHGIDGSRLTAIVTGATGGIGAETARVLALRGVHVVMAVRNTEICRKVKENILTESPDAKVDIMELDLSSQASVRDFATRYRSSGLPLHILINNAGVLAPKFTLSKDKTELHFATNHLGHFLLTNLLLETMKITCHEQKTEGKIINISSEAHRLVRNPTFSDQINDESSYNHIYAYGLSKLANILHAREVSRLLKEEGVDYITTNSLHPGFIITDLFRNYSILSVIFNSVLKYMAKDVSQGAATTCYLALNPKVKGVSGEYFCDSNLVKPSSKAADPELAKELWEFSLTLTASK >cds-PLY75607.1 pep primary_assembly:Lsat_Salinas_v7:9:34523943:34528944:1 gene:gene-LSAT_9X32180 transcript:rna-gnl|WGS:NBSK|LSAT_9X32180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLHFTHDHMLNLVHLQPNHDNKNENSDEEEEEKEEGEEDDFVAEEKHVGQCKMCKEGIYSFHMSYYECKDCDDDYSLHKICAEMPKTLQNHPSHDPHPDHNLTLSEGFQRSDDPYFTPDSEWTCGVCNLKRKNLFNYHCSICGINMDIICATMSQQKMDHPSHPHQLQRMPKKMVSCCNACGEEHQGTFYHCTTCFCYSIHLDCALLPAKLLIQQHTDATFTHSHPLTLAYSFPRVDRAAKYYPKCRVCMKGFYIYFWLYKCDKCQYYVHINCATSKTEPFMSIFLHPGSGKIYKNFKDDDYPNLLHCPFPDESYNLLRHYFLNNKKEFITMIKEEEHGGEMLNHFSHQHPLILLDSHTQQTSLIVLHNPMKKIQVLCDGCLKPITEMPFYKCSEISSCGFVLHEWCARLPSQIQHHPGHPEHVLVLVSKNPHQLLGLFFCDICRLPGNSFAYGCIACDYYVDINCAFLPKEITHEAHPGHLLSRINASSADLSKKLCNSCECYLQDCNIAFHCPSCDFYLDTECALLFPGMMRHKFDKHPLSLRYNPVENHPGDYFCEICEDKFDPKNWFYHCSSTCAQSMHPACAPLILECEKHVYAMFKRGVFCYMNVKFEGTIEIQRLHEHRLSFLQGIQSHGLCKVCGKELQYNMIFKCLKCEYSVHGTCASSFGN >cds-PLY91480.1 pep primary_assembly:Lsat_Salinas_v7:7:143311860:143315499:1 gene:gene-LSAT_7X86121 transcript:rna-gnl|WGS:NBSK|LSAT_7X86121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSASELFHSRRYRFGVRYNGVEISGFDTSLPDASSSSSSLQHRTTNRRYNRRENRHDDGCDLASRRIHRRRLDHSEHESFHPEQVHNQSPTGSIINSEDFRSIHRHRATGNDRLPGSVLLARERLLERLRGVSVSQNRQSSSSSSNSHQDDSNLYEEMASESWQPLARNHHHHHPGLHQDALNCLKLEVFGDDGKGNETSRECTICLESFENGDEVIRLHCFHVFHSGCLIPWIRLCGACPNCRKVTDDQLSILHTLFGKNLERASRIVDQRGVKKISGEPSGRSIFQITGESKKKEEYLCFPEHYCACYSFFYDIVNKREQLCCKHQLAARLAVSLGTCVDVKVSDEQLAYLLAKL >cds-PLY69377.1 pep primary_assembly:Lsat_Salinas_v7:2:3187310:3192246:-1 gene:gene-LSAT_2X700 transcript:rna-gnl|WGS:NBSK|LSAT_2X700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAQTLNNGRYPCGHEEVVGLNKGIHIYKESLKIEPDQENPGKYLVEFTYDAYGAGRDIVEGLGYLHGLRINHGDLKPQNVLIHNDTSSVVKIIVYTLFPFHLGCGSGRWQAPEQLRNEEPTPAADLFNFGCLLFFCITGGQHPFGDIIDDRDNNILHDMKNLSLVENIPEAFDLISRLLHPDPESRPKAGEVYNHPLFWDPQTRISFLLTASQKVKRRKPNEGETNSNLIASLDRRAPHVFSGEWNTKLDVTLRNDALF >cds-PLY86538.1 pep primary_assembly:Lsat_Salinas_v7:2:208542797:208543696:1 gene:gene-LSAT_2X128901 transcript:rna-gnl|WGS:NBSK|LSAT_2X128901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPFTCFMASLLVLALLSFTTISATPRLRLETDSDTDFIKASCEATKYADLCFKTLSPFATTVQSSQMQLASLALSLSLKSAKSTSKAVKELLKGRDVSMQDGMAVEDCLENMSDSVDEMRKSVVEMKGLDGPDFEEKMGNVKTWVSAALTDEDTCMDGFEENVGKMKETIRGYIVNVAELTSNALALISNIS >cds-PLY77109.1 pep primary_assembly:Lsat_Salinas_v7:7:184272071:184272334:1 gene:gene-LSAT_7X109761 transcript:rna-gnl|WGS:NBSK|LSAT_7X109761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLVSIQGLILNTKPYFNKPGHAHSSSSIQGKKRSTQYNETTLILSLKTMVYTMKKPPKVNTKHFLPFFFFFFFFFFFFFFFFFF >cds-PLY81067.1 pep primary_assembly:Lsat_Salinas_v7:6:131147882:131149358:1 gene:gene-LSAT_6X79821 transcript:rna-gnl|WGS:NBSK|LSAT_6X79821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKSVSQPSPSNENKPNFKCPEGWTCTITKTEPSKIGKPFAICGGNGCSCVTEKSVKETVESTEGAKVFCECGEGWSCVVTKTEGSEAGKTYFECGEGCICVIDETNTVKVVCA >cds-PLY69607.1 pep primary_assembly:Lsat_Salinas_v7:5:314070987:314072333:1 gene:gene-LSAT_5X172141 transcript:rna-gnl|WGS:NBSK|LSAT_5X172141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSFSVIHFLTLGTTTTYINTITDLQANFWPYGESYFHPPSGRFSDGRIIPDFIAEFAGLPLIPTYLDPHNNEFLYGANFASAGAGVLDETLPSSITLKTQLQYFTDLVKQYRKNLGDVKAEQLLSDAVYLFSCGSNDYQSNLYQEESVGMVIGNLTQVFKAIHEKGGRKIGITSLTSLGCLLPVRAERPDNTCDEKLNIISSLHDKALSKKLQDLTQQWEGFMYSTFELQTEITKRMKNPSKYGLKVGNSACCGTGPFRAINSCGGKREPREFELCDNPNDYLLFDPFHPTEGANLQLAKLYWEGDSKVASPYNLKSMFQGMHNDIVQHTNLAARFMD >cds-PLY89367.1 pep primary_assembly:Lsat_Salinas_v7:1:173098734:173099043:1 gene:gene-LSAT_1X115420 transcript:rna-gnl|WGS:NBSK|LSAT_1X115420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGVRKMISTHTGESARVIERTRKRRVCGVSDDLLLQTDHYLVPQLYVVTTRNVAAQTSLLYP >cds-PLY98253.1 pep primary_assembly:Lsat_Salinas_v7:7:170259751:170264882:1 gene:gene-LSAT_7X101020 transcript:rna-gnl|WGS:NBSK|LSAT_7X101020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVIGGGSVASIAAAISLAVPEKRRQLQAEEVGGQVHVSSGSGSFFPGYLLKKSIRTDFLSIPPNFLRKTLPPPIISRALSPLEPSLLWPSSASVIHHHTILRHHNCTPPLGNPRSDVIRHSTFC >cds-PLY78257.1 pep primary_assembly:Lsat_Salinas_v7:1:129857515:129859789:1 gene:gene-LSAT_1X96881 transcript:rna-gnl|WGS:NBSK|LSAT_1X96881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METQKFLQSPHLPAFPFNQLRKNTLLTTVKAPLSTTSTQRTVLLSSITPKREKDAKKRVVITGMGIVSVFGSDVDKFYERLLTGESGITLIDRFDASELTTRFGGQIRGFNSNGYIDRKTDQRLDDCQRYCIVAGKKALEDASISGDELSKIDKKRAGVLVGSGLGGATVFYNGVKSLIQRGFKKITPFLVPYEISNMASALLAIDLGFMGPTYSISAACATSNYCLYAAANHIREGKADLMIAGGVEAAVVPVGVAGFASWGALSKRNNDPQTASRPWDKDRDGFVMGEGAGVLVMESLEHAMKRDAPILAEYLGGAVNCDAHHVTSPRSDGFGVSSCIQRCLVDARVSVEEVNYVNAHATSTILGDLAEANALKKVFNNTTGIKVNATKSIIGHCMAASGGVEAIATIKAIQTGWLHPTVNQFNLEPAVEFDTVANKKQQHEINVAISNSFGIGGHNSVVAFSAFKP >cds-PLY83619.1 pep primary_assembly:Lsat_Salinas_v7:3:249385533:249385787:1 gene:gene-LSAT_3X137821 transcript:rna-gnl|WGS:NBSK|LSAT_3X137821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSQKSHRSRQSGPSVKKKSDTNKKKRGLTDDKKRDPSIDMKKRDLTDDKKRNPKVCRMFLDFPEQDKNKYGVDLLVRPYRGE >cds-PLY77685.1 pep primary_assembly:Lsat_Salinas_v7:9:18207889:18210677:1 gene:gene-LSAT_9X13320 transcript:rna-gnl|WGS:NBSK|LSAT_9X13320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLYGQNYSPARAASSPHIWANPDVESSYLAELLEEKLKLAPFMRVLPICSKLLNQEIFRVSAMIPNQGFNDFDRMQRGSQSPLNPLDVIPDVEPNGLIRWNGNAGWSPLQQERLGVPQGLPMDHWNSAPASPSSFITKRILRLDIPVNSFPNFNFVGRLLGPRGNSLKRVEASTGCRVFIRGQGSIKDPEKEESLRGRPGYEHLNEPLHILIEAELPATIIDVRLRQAQEIIEELLKPVDESQDLYKRQQLRELAMLNSNFREDSPQPRGSVSPFGSSAMKRAKTGW >cds-PLY88262.1 pep primary_assembly:Lsat_Salinas_v7:8:153531614:153533982:-1 gene:gene-LSAT_8X102380 transcript:rna-gnl|WGS:NBSK|LSAT_8X102380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKVRHLEITLKDIQLATKNFNKENFIAKGGFGSVYKGVLQLSDGVIDVAVKRLDPESGQGNHEFMMEITTLSCYRHKNLVSLVGFCDVDKEKILVYERETNGSLDKYIGNPIDLNWIKRLKISIGAARGLNYLHDEVGQQHRIIHRDIKSANILISKNWEAKVADFGLSKIAPANIQYTFLVTAAAGTYGYLDPVYHHTGVLTKESDVYSFGVVLFEILCGRLAYEEGYGFLGPLVERMYTTKKLDDIILPNLRKQMSLNSLNTFAAIAYQCLKVNRSERPRMAQIIEKLENAYKIQASLKPAEFARVGIWGTKSSGGPQNIWEFMLKRDHKLKMITIDHGVLIYSLMFTTESKGILYTSKKAGGWNGGETVSEVMFEDDEEIIGINGTVGVSTGQYAGYTVISSLSFLSNKKTHGPFGRVTTNPFSVPLDKGNFGGLYGLAGYYIDGIGVYMKASSDEITQVGYWGIKAPGGPQNQWSFQLERNHHLKKITIDHGDLIYSLMFTTEFRGEEKTSNKAGGWKGGDVKSKVTFTWDEEITAIEGTVGVSRGTYAGITIISSLTFITNKKTHGPYGSVTGTPFTVPWGKDSFAGFFGRCGYYIDAIGVYLRATI >cds-PLY74310.1 pep primary_assembly:Lsat_Salinas_v7:9:186613309:186616856:-1 gene:gene-LSAT_9X114360 transcript:rna-gnl|WGS:NBSK|LSAT_9X114360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSEVKKKAKKHKKNKVDYEDSKDDVLVSNMPMDYERKSQWEDHMEDYLNGIDKDLWRSIEKGRYHEDHIQAVGTAATAKDMVAHANMQITNDKSCLRELRGALPPIVYNYIQGCTITQDI >cds-PLY90363.1 pep primary_assembly:Lsat_Salinas_v7:2:198762667:198762981:-1 gene:gene-LSAT_2X120021 transcript:rna-gnl|WGS:NBSK|LSAT_2X120021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLVILLLMFSAPLSAYLQHPSILNLHLHLLLFHPRQVRLKNMRLRRFLPINSCPGDCCCFVCRFRGVSNGAGDSLKRVPDVEGERVIYAAPPAAKEAWNDRHV >cds-PLY72749.1 pep primary_assembly:Lsat_Salinas_v7:4:374286095:374287792:-1 gene:gene-LSAT_4X184801 transcript:rna-gnl|WGS:NBSK|LSAT_4X184801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEGIKEKFFSDLRCLFDQKPEVDSLIQGFENVGLKGKQIDTSSEDMEDLSSDGDFSISNRDMQHPIRPDAENCSFFVRTGTCRFGRMCRFNHPVSTKIYFYQIGHCKYGESCRFNHSKSEPENSLPRLNVLGFPERLGCENCSFYMRTGVCGYGASCKFHHPPPIFFTVESMDSQNEASEINEGDAGHVVPHFSQSTNSHVRVLKFGSFPSVTSGNLEKNQHPVTDGSSTSESPSGGKTK >cds-PLY81847.1 pep primary_assembly:Lsat_Salinas_v7:3:34562196:34564906:-1 gene:gene-LSAT_3X25841 transcript:rna-gnl|WGS:NBSK|LSAT_3X25841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERRRWVTLLIIMCLFAGSTGRGLEIKNKVPSLLYNHTLATILVEYASAVYMSDLTQLFTWTCSRCDGLTTGFEVLELIVDVENCLQAFVGVADDLDAIVIAFRGTQETSIQNWIEDLFWKQLDFDYPGCTDGKVHHGFFNAYNNTIVRSGILDGVSRAKEMYGDLKIMVTGHSMGGAMAAFCGLDLALIYGGNNVEVMTFGQPRIGNAAFASYYSEVVPNTFRVTHEHDLVPHLPPYYQHLPHKTYHHFPREVWLYNLGFGFLIYEVEKICDGSGEDPDCSRSVSGNSISDHLSYFGVEMGCDTSSKCRIVMAPSLSSYGKVDDKGNFALSRSPPSKSILKTKTQSQMID >cds-PLY88070.1 pep primary_assembly:Lsat_Salinas_v7:6:182459632:182461248:1 gene:gene-LSAT_6X111041 transcript:rna-gnl|WGS:NBSK|LSAT_6X111041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSANPNCTVYIGNLDERVSDRVLYDILIQAGRVVDLHIPRDRETDRPKGFAFAEYETKEIADYAVKLFSGLVTLYNRTLRFGISGQDKSAQNSNPNLQTSPMTTSNSPFKSRPNVDVSPGPISPYTQVSPSADVFSYVSRSSHVDHNNNDHRRSHGANRDAMSNRYDANNPHHDRR >cds-PLY85873.1 pep primary_assembly:Lsat_Salinas_v7:8:179864333:179866648:1 gene:gene-LSAT_8X116740 transcript:rna-gnl|WGS:NBSK|LSAT_8X116740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear intron maturase 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G30010) UniProtKB/Swiss-Prot;Acc:Q9C8R8] MSLIRTKLKHLSCIRNFSHFSTPHKNHHGQHDDGRRRQPQSPPRDPYSLLKEDPVQVLSDLWVRTFSDHNQTPFFNLTGFLSKLDLWVLAYQRTCAHFTGSFPSRNALQSHVLTDLLSLRNAVVHGQFQWNMKTHPYIQNPNDKIPFSKLSSRKLRTISDSIETPFQDTVVQELLLMILEPIFEPRFSPKSHGFRPRRNAHTVIRTIRSNFAGYVWFLKGDLTLIFDNVDVNLLMGFVEKGVKDQKVLSLIKSGLKDLPKPVHLSNEKVWRRKNKKRGLKKKKVLDENEAKPDPYWLRTFYDFAPMEALKVPNYGYCGILSPLLANICLNELDHMMEERILSFSQFSNDNFVTNASTTDGYGSSTPSWPEFVRGGGKDKTKKMDYIRYGGHFLIGITGSRKDAVEIRNTIIEFSSNKYGITINESNLEIEHISRGVQFLDHIICQRIIYPNIRFASNGGHIVTQKSVGILLSVTASLPQCIRHFRRLKLVKGDKDPEPLPCTPMLYCGQAQTNAQMNKFLETMADWYRYADNRKKIVGFCAYVIRNSVGKLYAARYKMKSRAKVFKKATRDLSRPLRQSMDNRTPEYAELLRLGLADAIEGIRFSHMSMIPSCDYTPFPRKWVPHHERVLLDYIKLRDLKLFCELQRSVKEQRLCLPQDMLGEIVWDYKTLGLKRSRGPFESPA >cds-PLY85605.1 pep primary_assembly:Lsat_Salinas_v7:2:120773894:120775874:1 gene:gene-LSAT_2X54741 transcript:rna-gnl|WGS:NBSK|LSAT_2X54741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLSRRRFLFLVFAVVLLHSSLRTSATHLKPEVIGPLGQRLLEDAKSPELFNWVRSIRRKIHEYPELGFQEYKTSEVIRAELDKLGVEYTWPVAETGVVATIGSGEQPFFALRADMDALPIQELVDWDHRSKIAGKMHACGHDSHVAMLLGAAKLLQARRHELKGTVKLVFQPGEEGFAGAYHMLKHSALDNIKAAFALHVYPSYPVGVVASRPGPVLAGAGRFTATIKGTGGHAATPQLSKDPILAASMAVVALQQIVSRETDPLESRVVTIGYIIGGKADNVIPESVKIGGTYRSLSSQGLIDTKERIKQVIETQAGVHRCEAELDFMDETPLPYPVTENDEGLYEHAKTVAEIILGKPNMQVLPVTMGGEDFSFFTQKMPAVMFVIGSNNKTKTPPEHLHSPYFVIDEEALPIGAAFHAAVAISYLDRHGGGGVIERDEL >cds-PLY95424.1 pep primary_assembly:Lsat_Salinas_v7:9:190101433:190102108:1 gene:gene-LSAT_9X117220 transcript:rna-gnl|WGS:NBSK|LSAT_9X117220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPLSTVPRSQCQWILCCKRDQGKPEHHEANLHDVLPAPRRADRLVVPPTIGVRSLDGSSTTSGEQSFYAQPIIVVVPPSPTVVVEDSKNGIILSARRVMAKRKAVLVMREASSDVGTANIGGRVRQRLGLAHQVGSISSDPEGVVVLPDDDVYHSEGNQGAFKQPIVQAKSVSKPTPNPSSSGGFSAVSHH >cds-PLY95456.1 pep primary_assembly:Lsat_Salinas_v7:8:193329945:193332552:-1 gene:gene-LSAT_8X124961 transcript:rna-gnl|WGS:NBSK|LSAT_8X124961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKRERGSIHPRNKYSDNPPDFGVLASLYPSFQPYVFYSREGKPRIDWKDFNATRELTRVLLLHDHSITWWIPDGQLCPTIPNRSNYIHWIEDLLSSDIIPNSQEGNNVIKGFDIGTGANCIYPLLGASLLGWSFIGTDVTDVALEWAERNVKNNPQISDLIEIRKVDFEEELTEKDMKNGDKIKNYHGPPILMGVVKSGESFDFCMCNPPFFETMEESGLNPNTSCGGTLAEMVCPGGEQAFITRIIEDSVQLQQSFRWYTSMVGKKSNLKSLIAKLREVGVSVVKTTEFVQGQTCRWGVAWSFVPPSKKIISSHVASKNVLTFMLQGIQRNFSAFHVLQSIESFFHTNGATCKLNAATFHIDIHVAMSNCKEILKDIDFVDQSNDLQFRITVFEQIPGTLLIRGSLKPGETSVPGLLSSIFQKLEGKLRNSFCPQKLSNSDHV >cds-PLY64643.1 pep primary_assembly:Lsat_Salinas_v7:6:33892915:33894853:-1 gene:gene-LSAT_6X25660 transcript:rna-gnl|WGS:NBSK|LSAT_6X25660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELEVAGATESLVTDPSNAAVVPQSLLVIRKLASCNTGARTKALREVTNWLPTQLEISDEEMKKLWKGLFYCIWHADKAPVQSNLINRLSSMLLTLDVPLSLHYLSVFLTTLRREWSGIDVLRLDKFYLLIRRFVNCSFQFLKKNSWDLELTLRVMTIYQEKSLLANEKKFLGNGVNYHIVSVFLDEIKGYLPLSIETYEILLKPLFNVMINSQDKVLLGKIRNTVFEPLLCMGKSLLEKMKNHETEIDTEVMNLGTIALKMGFSAKFYEFGSSSDCFQGNRKVLFGLQKEFLKLEKDMEVSGIKVPLPALQVDNADEEVPDLVPIITNGKQKLLKVSETVAEGSKKSSKKKKKKKTTTPEEGSKENNNDNVIIPNGEDVNVSNGITSNGNDITFGDIFKANLQKEFEKVAEEEGLDKDGESSLLHDTSPITITNTKAPKKRKRMKNGEAKESQNPETSEQNTNTAMKSGDKSAKRVRFSIKNNLVWKPQSPLPPQSLRIPPSVTPRGSALKKGVPPGPIREMPSVGKKMKKKKVRKVMKTTSPAVKRLRKLQTLTP >cds-PLY80793.1 pep primary_assembly:Lsat_Salinas_v7:1:70612308:70613579:-1 gene:gene-LSAT_1X59041 transcript:rna-gnl|WGS:NBSK|LSAT_1X59041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVKMQAQMLLFALALSMVEFSICHLLKDSVSCLDCLDGSNLAGIKILVKCNQMENVVMVTTNDHGAFETQLPSNNCQAKILGGPKQLYVSRKTMVTNIMKVDETDSYTTSQPLSFYTSCPLSQNHEGKCGTPNDASKTVDLPLPREWGLAPSSYYIPFMPIIGIP >cds-PLY83984.1 pep primary_assembly:Lsat_Salinas_v7:8:37092965:37094976:1 gene:gene-LSAT_8X29540 transcript:rna-gnl|WGS:NBSK|LSAT_8X29540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVYEDEDIVIVGAGLAGLTTALSLHRLGLKSLVLESSESLRITGFALTLWTNAWKALDAVGIGDSLRQKSTQMKGFKIASPDTGLFTSQQALDKDGKFKGYESRCVKRKDLMETLVKELPPGTIRYSSKVVTIDELHRFKLVHLADGTILKTKVLIGCDGVNSVVSKWLGLGSPVSVGRSAIRGLVEFPNGSGFDPMFHVNFGGGVRYGFLPVDDKTVYWFCTFTPSQVPSYEEDWYENPIKMKQFVLSRINKMPQEAQDVVERTLITSISLSPLKFRLPWNVLFGDIVKDNVCVAGDALHPMTPDIGQGGCSSLEDSVVLGRCIGEALLKKVDGKDDEFERIEKGLKKYGKERRWRSFSLISVAYCVGFMQESKGMMMSFLRKVWFSKYTPSAFLKMANFDCGDLVI >cds-PLY91613.1 pep primary_assembly:Lsat_Salinas_v7:5:297770847:297774097:-1 gene:gene-LSAT_5X159481 transcript:rna-gnl|WGS:NBSK|LSAT_5X159481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGENGADAMETEMSNGGGGGGGGGTGRCLSSFVDEGSTESHRYYLSRRTLLEMLRDRGYDISNSEIEFTLQQFRDLHGQAVDVDRLRISASHVSDPDNKILAVFCGTGVVKVNAIRWIATQIMNKESLSRLIIVVQNHITNQAMKAVDLFSFKVEIFQITDLLVNITKHVLKPRHRVITDVEKAKLLKKFNLNEKQLPRMSQKDAIAQYYGLEKGQVIEVTYNGEITGLHVTYRCIW >cds-PLY83898.1 pep primary_assembly:Lsat_Salinas_v7:3:52953709:52954222:1 gene:gene-LSAT_3X41561 transcript:rna-gnl|WGS:NBSK|LSAT_3X41561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCFSHCFCFFLLTSPQGISVCNIHVMDITIKLSMEPRGSKEEPEGDQIRCKWLGQGYQTVRMLADRVPHADWLWLASYVHPSQRQKHVPWLISACVKTNLILFLKPMYHVVSI >cds-PLY82296.1 pep primary_assembly:Lsat_Salinas_v7:1:67645655:67648812:1 gene:gene-LSAT_1X57580 transcript:rna-gnl|WGS:NBSK|LSAT_1X57580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNAQSPAADPRFTSAIRAFSEKELGDLKSLFASLASQSQTDGKYVSLSVLKAYSGIKGPLGDRLYDLVTQNRKDQKLTFEDLVIAKGTYEKGTRDEIDEFLYQLCDVNGDGNLVRSDLEAVISEILETISSHKTSEPSSISVFLDAANFTKDNEGSPEKSMSFEDFRNWSHLVPSARKFLTKLLKPSSSGSQVPQLIHQDIDSNMLLLKEEYAWHIGGALSHQELGEWRILYHSSLNGLSFNTFMGNISNAEGPTVLIIKDKEGYIYGGYASQPWERHADFYGDMKSFLFQLYPKASIYRPTGANNSIQWCGVNFSSDSIPNGIGFGGRANHFNLFISANFDSGHTFTGATFNNPCLSKSNLIYPEVIECWGVVPKGFQQEKQEGLKGSVLERFKEDRNMLNLVGNMLLLIVEQVVPNIDGWNLVNEEHDLRSQIDRVRY >cds-PLY80871.1 pep primary_assembly:Lsat_Salinas_v7:8:126581461:126585037:1 gene:gene-LSAT_8X88300 transcript:rna-gnl|WGS:NBSK|LSAT_8X88300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGGFSAPGDYIYFKSQVPLHKIPVSFSGHRFHIMNPGIAGTTDVYYKQIMALSMKDYRVISVDIPRVWNNQEWVQAFEKFLDVIDVHHTPSFLLKQYVLTGIPNGPHEPFVADSVDFVVAQADGYGLENGIEEAKLANLNSILHGDYWITAPSNVRPNYVGVMTQARQDISKDYFGGLNSDFNGLTIKCQRTEQKLKNPF >cds-PLY73907.1 pep primary_assembly:Lsat_Salinas_v7:3:39615237:39617195:1 gene:gene-LSAT_3X29420 transcript:rna-gnl|WGS:NBSK|LSAT_3X29420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSPAKPQAINFYPPQETNMMMESTPAPHHHQTQMIIAAPGGGDTTSSEENTIKSTTTTTSAPVKKRAETWVKEETRTLILLRHEIDSLFNTSKSNKHLWDQISMKMREKGFDRSPTMCTDKWRNLLKEFKKAKEQNNGINHNGYSANSKMQFYKEVEDVIRDRNKNSKVDSFMQFSDKGVDDTGIAFGPVEANPRGALNLERRLDQEGHPLAITAADTMAASGVSPWNWRENPGNGDQNNTYGGRVISVKLGDYTRRIGIDGSPDAIKETIKSAFRLRTNRGFWLEDIDNIVRTLDRDMPLGNYTLHVDEGLMIKVCLFEEPDHVPVHTEDKIFYTEDDFRDFLSRRGWICLREYNGYRNVDVMDELCPGAIYRGIS >cds-PLY98999.1 pep primary_assembly:Lsat_Salinas_v7:5:28553058:28554815:-1 gene:gene-LSAT_5X14161 transcript:rna-gnl|WGS:NBSK|LSAT_5X14161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGERKSDDVHEIMEIEEETSRHSWRRVMSPEIVEVEEHENRPNVITRDAVDVFVAVGKNDLDVVKWAVDHLVSPGARLYLVHVFPPVTHIQTPVGKLSRSQLSKDQVQIYINEENNKRRSLLQKYIRLCTDAKIVVETMLLESNTTTKAILDLIHVVNITNLVIGTKRSPPLRRLRKGLGKGEFIKKNAPDFCEVSIICNGKQVTTTGQQRQVAGRVVSPSDVPTTSKNELKITHFQSERKFFECACFSGNFD >cds-PLY86448.1 pep primary_assembly:Lsat_Salinas_v7:8:3670892:3677622:1 gene:gene-LSAT_8X2621 transcript:rna-gnl|WGS:NBSK|LSAT_8X2621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKPPSSNRERRPSQVLHIGRTSSSHRPAAIAKKVFIPLGDRREGNNLDTVCGYLLLELYNTISCLSTGPEMRNMEMQFAFCISFCWIFVVFPKYRLRFLLKISSSKSYSSFQPEKVHDLDAENALFWEPPLEILSESRISAGLKVCEISIPSQSTTCTATPLKFKPDELFGSSSIGITDSIPTRSRGTEKSLRESLSVLLSMSNWWTGQANLGGVETPSGGSLALKKPDLGISMNENNTGSEEDERDNNSDDPREGGIETSNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVESGTDVAESIAQFSRRRQRGVCVMSASGTVMNVTLRQPTAPGSVMALQGRFEILSLTGAFLPGPAPPGSTGLTIYLAGGQGQVVGGSVVGSLVASGPVMVIAATFSNATYERLPVEEEEEADSVVQAGMGGGGSPPPLGMGGDGGGLGDPMPGYNLQPNLIPNGGGQMNHEAFGWAHGRPPY >cds-PLY83177.1 pep primary_assembly:Lsat_Salinas_v7:2:74481172:74481923:1 gene:gene-LSAT_2X33560 transcript:rna-gnl|WGS:NBSK|LSAT_2X33560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNAMACCYLTSEGSSVKVIYWEGNTRTLTGKRWLAGELMFEFPDSMVCDADSFFIGQPVPALSIDDQLNPGQTYFVLPLDIFSSKTLSASSISALLSSTPNRTPINLKECPFEYIKGSNGRVLIKVSSGFMTRILTRRGGGEGQESENESGNAVNGFLCSTPELKKQYEQLVGSKDQTWSPKLETISEHNRIRYSPYKLIGL >cds-PLY83156.1 pep primary_assembly:Lsat_Salinas_v7:3:95538136:95538696:1 gene:gene-LSAT_3X71741 transcript:rna-gnl|WGS:NBSK|LSAT_3X71741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSMRSYGLIDADSRCLFMINAQPGEHLHLDQLIGVNLSPIQALKIEEGDEDLWCLLIDHEPAYSTFWMEVTMNRGSIATARFVDGSQEFDDEETMEIAEIVVEYDKYSRYLRKISELPVTIIGKNKADGSKEGEGEICVVCQEEYEAGLTIGTLKCGHVYHEKCIKKWLVQKNLCPICRSTALS >cds-PLY67080.1 pep primary_assembly:Lsat_Salinas_v7:5:283369392:283373015:-1 gene:gene-LSAT_5X149341 transcript:rna-gnl|WGS:NBSK|LSAT_5X149341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAVAREDVKSSNYSGHDHNNRKDKAHAGNGKKASIAVLTDVSKENIEEKYMLDRELGRGEFGVTYLCIDRSSRELLACKSISKRKLRTAVDVDDVRREVAIMKHLPENSSIVTLREACEDENAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGERFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGVIDFKREPWPSVSEGAKSLVKQMLEPDPKLRLTAKQVLEHPWLTNAKKAPNVPLGDVVKARLKQFSLMNRFKRKALRVIADFLSNEEVEDIKEMFKKIDTDDDGIVTIQELKTGLQKYNTQLAESEVQLLIEAVDTNGKGTLDYGEFVAISLHLKKMANDEHLHKAFSYFDKDGNGFIEPQELHDALMEDGDADGANVANDIFQEVDTDKDGKISYEEFAAMMKTGTDWRKASRHYSRGRFNSLSVKLMKDGSINLGNE >cds-PLY86591.1 pep primary_assembly:Lsat_Salinas_v7:1:133046847:133047462:-1 gene:gene-LSAT_1X98160 transcript:rna-gnl|WGS:NBSK|LSAT_1X98160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMMSTDKLTKRRHAHMVQSMAYNVGFDRQYKTELKEARGLVAMASAEASEYNTKYELTKKNQQKEHDLLVHRVSNLELEVANLHASDIELYGRLTQSRNDMGWMLPEGILKSFNKAMCSETLIPEHEMPSGRSKELQHPLATFSNEDYMASSRLDRSNFETFKASLIEEE >cds-PLY64752.1 pep primary_assembly:Lsat_Salinas_v7:3:187458834:187465523:1 gene:gene-LSAT_3X111601 transcript:rna-gnl|WGS:NBSK|LSAT_3X111601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIESSPSTSSSALYSSDQHHVPHKWLEVQDSLKKKLVTEDDYTWKLAETGEGCGVELLKYIGGVDISFSKDDPSVACGTLVVLDFKTLDVVYEDSSIVTIDVPYVPGFLGFREAPIFLKLLEKMQNGSHPFYPQLLMIDGNGILHPRGMCFCFGSACHLGVLANLPTIGIGKNLHHVDGLTNSKVRELFEAEENYNIDFIYLIGDSGNTLGAALHSSKGSFKPIFVSIGHRVSLASAVEVVRRTCNYRVPEPIRQGFNSYRSDLQMRGRQWSCRKEEKVYERNYDGDALLEVGDLLLHLCATIESRR >cds-PLY92952.1 pep primary_assembly:Lsat_Salinas_v7:5:183097630:183099693:1 gene:gene-LSAT_5X80700 transcript:rna-gnl|WGS:NBSK|LSAT_5X80700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPHKPLCRNFQRGFCKYGAECRFLHENQQQQAKPNPFGFGTGTQNTTQSPRTDPQPQKPNPFGFGVQNNSQTGSKPNQFKPGENKWSRFSPINAPNSSAPQKQNNQASAPNHVCTDSESCKRQISEDYEHETPLWKLTCYGHCKYGPCDIIGDISCEELRAAAYDDAKRGMNIQSVVEKERSLVNSKLTEFQNLLRNPYTPPQNSTLSTQNVFSGNTPQTIQNNTPPLVSSFSQLGTTVNSGFQMRQDFLSIKFGFIPAAPNNIFGQVSHFQPPTQISNTPQKNPFAFGNPGAVVGPPSTQSFATTPTFGNALSSTATTASPNLNLPRQQSSTMPFGFGQNPSPNLLPEPLLTLQIT >cds-PLY84176.1 pep primary_assembly:Lsat_Salinas_v7:4:369541824:369545284:-1 gene:gene-LSAT_4X181460 transcript:rna-gnl|WGS:NBSK|LSAT_4X181460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSETALRDLNLLPKSERTNESSSKDILTKPYVEPRKNIVPLVEPPVKGTEDAHASADVAVAEVEYIESEKLEDLEDVENSLKVLLSGLESKEWVSLCETLNNVRRFSIYHKEALHDMLDNVITLVVKALKNPRSAVCKTAIMTSADIFKAFGDLVVDSLDPMLVQLLLKSSQDKRFVCEAAEKALITLTISVSPVLLLPKLQPYLKHRNPRIRAKASLCVSRSVPQLGADGIKEYGIDKLIQIASSQLSDQLPESREAARALLLDLQTAYIKSPVLAPQQDIDIPVPPPSDQSEQDTSSSSSEQQPQPPPQAVGIPFNADSNVSCRIPK >cds-PLY66486.1 pep primary_assembly:Lsat_Salinas_v7:5:39663564:39665595:1 gene:gene-LSAT_5X20140 transcript:rna-gnl|WGS:NBSK|LSAT_5X20140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAIPHFYSSLSLGFSTKIPRPAVFSRYRNLYSKSFTVTASASLDYSTDKSSISSKTSSWQWKFKDNSIKIHYEEHGDESNAATKNILMMPTISDVSTVEEWRLVAKDILQQSGNVNWRATIVDWPGLGYSDRPKLEYNADVMESFLVDFILHQNSPIGKDLVIIGGGHAATIAIRAAKKGLVKPTAIAAVAPTWSGPLPIVFGRDSKTETRQYELLRETLRAPAVGWMMYNILVSNKKSIQSQYKSHVYADSANVTPVIIESRYGLTKRKGARYVPAAFLTGQLDPVKTREEFVQLFEGLEGEVPVLVVSTSGAPKRSKAEMEALKEVKGVTKFVEVQGALLPHEEYPHLVAREIYSFLQDLII >cds-PLY69667.1 pep primary_assembly:Lsat_Salinas_v7:5:212754219:212756659:1 gene:gene-LSAT_5X97961 transcript:rna-gnl|WGS:NBSK|LSAT_5X97961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSAANGGAVDMDTSTSNGSVSVDKGVDFANYFCTYAFLYHQKEMLSDRVRMDAYYNSVFKNKHHFIGKTVLDVGTGSGILAIWSAQAGAKKVYAVEATKMADHARELVKANNLHGIVEVIEGSIEDITLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPTGVMYPSHARMWLAPIRSGLSDHKMSDYEGCMDDWHGFVNDTKSYYGVDMSVLTKPFSEEQKKYYLQNSLWNSLHPNQVVGTPTILKEIDCLKVTVEEILKVQAIVSSTIVKEDTRLCGFGGWFDVHFKGREEDPAECEVELTTSPSVDDGTHWGQQVFLLHPPVRVNEKDDIQINFTMSRSEENHRLMKVDLGYQIKLSSGKMLTPVNNKFYIE >cds-PLY63204.1 pep primary_assembly:Lsat_Salinas_v7:6:89263444:89273607:-1 gene:gene-LSAT_6X60880 transcript:rna-gnl|WGS:NBSK|LSAT_6X60880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRHPRRRNHGSSSRNVNSTSQTIAMIDGAVVKAHSDLLAAGENISAWRVSQSALVTLKAVSFESLGFHMQNVPSLYRLMITEAKVNSFIHCFVGVQKITSLHDLETAICESEGISSFEELELGPMLKHPLVMHYFSVGSDVTEIFKITSEQIVGYLNILLHKRRKITVDDLLDYIAKRKNESRENLCVRIQSLGMHIGNIMRGRRSEFTLLKKCSDGIDIESKLDDNDDNSGEATNHEENDKNDNIQDYGISSFPNEMDRSVLSSHDKKVCNDHGVSFDQGKQGHENNFCTAYVVHRIPKHWMLGIELKQEFQSGDVETGFIDDNAVDGYTWMFIKIWKDTCEKENVNETFGKMIDFYNGPMKPKKKMKALNKVRAPPYVELLNVAIASMKFGLWDRMSSTPQANTNSSTTAFDIEPAEKDDNALNERTMLFIKICRGACEKENVVESFGKMLDFYHGQMNSKRKTKALNSMASSYPYVGLLNVAIASIKFGLWDRICSTSEANSKEGPSNANLGTCALDGNTDIDPDEKDVVKGSVCIALEDVLKYIKTDFVFSDHNLDNVSSYPKKQLIFLREICKLERSLTQKFSIKDFEILGFGHIFTFLMDHISLLPTTWQNCFLITDKDEKPSVKVFMSQPYLLELLSEAANSLQENETLSTLMVSKLLGMQYPSSGLTLLEDDFTVDVLTTMSKNGHNASSNVVLFSSTLSTFWEDKGFSVTHVCTKDTVEVLLRAPMLVDLATWSHWDIKYAPSLGPLMGWLLSEVTTKELLCLLTRDGKVIRIDHSATLDSFFEAFLLGSAFETALKLLSLICLYGGEQNVPLPLLKRYAKNAFEVISDKNDPKKGARFFLDCVGLLPKEFQSFAAELLVSAFRSTIKDAHHVILSECKCKEDHLMIHELGLFLGIVEWLDDYCTCSFESKESSKRFDSKDEVIIMPLEVKQATDDCKRDKMKIDRVQSGDGSFIRSMSDSERENDAANIIESIRIQEFGLDPKISSLESSILKKQHARLGRALHCLSQELYSQDSHFLLELIQNADDNVYPRNVEPTLTFILEEKSIVVMNNENGFSGENIRALCDVGNSTKKASNAGYIGKKGIGFKSVFRVTDAPEIHSNGFHIKFDITEGQIGFVLPTIVPPCDIELFTNLVSFDTTDQVNIHQWNTCIVLPFKSSLTETSDFDNITSMFSDLHPSLLLFLHRLQCIKFRNMLTDSYITMRKEIVGNGLINVSHGSKTLTWFVESQTLHANGIRDDAKTTEISIAFPLEESEDGNYIPKMDQQYVFAFLPLRTYGFKFIIQADFILPSSREEVDGDSPWNQWLLSEFPSLFVNSERSFCNIPGFHDCLGKGVSAFLSYVPLVGEVHGFFASLPRMIISKLCTSNCLLLEGDNDNWVPPCRVLRNWNEEARELMPDSMIQKHLGLGYLNKDIVISDSLARALGIENYGPKILVQMLSSLCLTKEGLRSMGFSWLSSWLNSFHEMSLLGVSSDIMNTLRRTPFIPLLNDCFTSIDEGMIWMNLEKTSDRLFADLRVVNPAIFDCSNTLNLTQILSKVGVQKLSAHQVVKSHVLPAICDKKNTVNKDLMTEYLSFIMVHLQSSCSDCRLEREQIISEVYNKAYVLTNHGFVLPSEVPIHFNNDFGNRIDTGRLTNGIDVKWYEVDKIYLKYSSDSCILNWRKFLNELQVTDFVQIVRVEKTVSGSTISDWESPELVDLLEKISESGDCKKCKHLLEILDTIWDDYFGDKVLGLCNMDGESKSFRSAVVTALNNVPWVVSSMDEGLYCPKDLFHNCEAVRSILGDNAPYAVLKIQSEKLITSIGFKVTVSLHDALSVLHVWKRSSTSMKASISQMSRFYSFIWNELGSSNQNSMVNLGSDEAFIFVPFSSDSSSEIVPGVLLSPHEVYWHDGLINPQIELSKMLSNLYPTLHDFFVNQCGVKENPPLVDYLALLRYLSTVDTPTKAAKKVFDVFVMWGDGIKSGLLSFEDVEMLKKNLEEKDTKLLPTSNDNWVSLHPSFGLVCWCDDENLANEFEDINNIDFIRMCELTDEEKEMLEMKVSVLMKMLGIPSLSEVVTREAVYYGPVDNSYETSLLKWVLPYAQRYICNTYPERYLQLKLSGFEKLNRLQIVVVEKLFYKNVIKRSKLASKKRHDSSCLFQDEILYATPDSDSHSIFMELSHFLMNGNPELHLANFLHMITTMTESGSTEQQIETFVLNSQKVAKLPDDEPVWSIQPTEWNLETYSTTRVTKRIDNPTTPSSQSNRNWPPATWKTAPKFNLNDLKIKDVAKQIENSNPKDDGGTGGGDCVDGEPDLVDLDPPASFSERDQLSHGTTNVQQAAVTGRRGEEVAFKYYLRKANKNVVRWVNEDGETGLPYDIEVCDEENRKEYIEVKTTDSANKDWFEISVREWQFAVEEGECFSIARVVLSGGDKTGRVTVFKNPARLCRLGHLKLAVLMSKQHKEELE >cds-PLY96449.1 pep primary_assembly:Lsat_Salinas_v7:9:129616238:129616734:1 gene:gene-LSAT_9X84020 transcript:rna-gnl|WGS:NBSK|LSAT_9X84020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMCPFCRLSTELLIDILNRVGGFSYWDYFSEKCSCKGFLSVPNTLKVWKHICLEGILNEDCDFKNIHDPSHGFLQLCNDHSNCDNVFWMGMFAFYGDD >cds-PLY79823.1 pep primary_assembly:Lsat_Salinas_v7:8:18990810:18994690:-1 gene:gene-LSAT_8X14881 transcript:rna-gnl|WGS:NBSK|LSAT_8X14881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HESO1 [Source:Projected from Arabidopsis thaliana (AT2G39740) UniProtKB/Swiss-Prot;Acc:Q5XET5] MNSNYSLALTLTDILYVVNPTQDDWSKRFQIINDLRSVVETLEILRGATVEPFGSFVSNLFTRWGDLDVSIELPNGSYISSAGKKYKQTLLLDVLKALKRKGGFHGIKYISHARVPILKCDSNKDNISCDISINNLSGQMKSKMLFWINEIDGRFRDLVLIVKEWAKAHGINDPKTGTLNSYSLSLLIIFHFQTCEPAILPPLSEIYQGNMAADLFGVRAVAEKNIEDACSLSINRIKSDKSRRVNRSSLADLFLSFLEKFCDINVRASTQGISPYNGQWEDIDTNMIWQPKTYALFIEDPFEQPMNCARAVGHRNLMKIAQVFQSSYEKLTSPNLTHPLAVLVRPEVLSIMSRSQVPSFVGGINGMNLGPQFQRNPVGSSNVRGGGGGGAHLQLPYRNTGNNNNGGLQAHRGGGVYPRGARAPGQGLQQQPFMYQNMMNPRPLMGSQSQSQRNTNQRPTTGQSSSQSQQQVHQIWRPRSEK >cds-PLY79762.1 pep primary_assembly:Lsat_Salinas_v7:1:180205924:180207595:1 gene:gene-LSAT_1X117241 transcript:rna-gnl|WGS:NBSK|LSAT_1X117241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYYHTICENTNKEHATMYLEGMQTKFNRVDRNEDPSLPKRQFTVFSSQCRPMSTKKLAELCKDAKKSLHLFVLNNCDEDELKGYISEFKSESPESDLKTKFPPWFKRKMATHVARGHGGDGGERPPHERAGKIPTGCKSSNSMRRRGKGRCLNMRKEFKHSGQPLPVEIDSAGGPIDS >cds-PLY94544.1 pep primary_assembly:Lsat_Salinas_v7:2:159228029:159230255:-1 gene:gene-LSAT_2X83081 transcript:rna-gnl|WGS:NBSK|LSAT_2X83081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVAESGGDTVVVIREYNPKTDIESVEQVERSCEVGPSGEFSLHADLLGDPVCRVRNSPAYLMLVAEMVVNGGGDETREVVGMIRGCIKTVTCGRKVCRKANYPPELRPVFTKLAYILGLRVSPSHRRMKIGLKLVSQMEDWFRDNGAEYSYIATDATNKPSVNLFTGKCGYSKFRNPSVLVHPVFAHSLRVNHRVKIIKLSPSDAETIYRHRFSTTEFFPRDIDSVLRNNLNLGTFLAVPKDCPHSISWAGSDRFLSNPPESWAIMSVWNCNDVFKLEVKGASRLRKGFVNTTRVLDRIFPFLNLPSLPKIFNPFGLHLLYGFGGEGPFYLDFAKALFGFAHNLAKEHKCGVVATEISSEDPLKLVIPHWKVLSFVDLWCIKRLDEDYSDGFVGDWRKSQPGLSFFLDPREF >cds-PLY90684.1 pep primary_assembly:Lsat_Salinas_v7:6:53888722:53891574:-1 gene:gene-LSAT_6X40501 transcript:rna-gnl|WGS:NBSK|LSAT_6X40501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g27610 [Source:Projected from Arabidopsis thaliana (AT2G27610) UniProtKB/Swiss-Prot;Acc:Q9ZUW3] MNLQKLKSFRKLQTSYNYQSCSLQCFASTTEPKAHNDFFEHGVFRDALDPLDGMPRRDIDASNHNHLLFEYARTNNNAQALQHFLGIRRLGLPVNGASFSCVLKICGSFCDQIIGKQIHCDCIKNGFVEDVSVGTSLIDMYTKTESISMAEKVFDEMPDRNVVSWTSMLTGYSLKGLHDQAIDLFLQMQTEGIKPNPFTFATVLGALADNGAVVKGMQVHTMVIKFGFEKTTYVCNSLISMYSKSGMIRCAREVFDYMEVRDAVSWNGMISGLVKNLQDLPALDLFLKMRLSGVKLTQPIFVTILKVCANIKEIRLSKQLHSILWKNGMESDPNLRTALMVSYTKSSEMDDTLKLFNSMSGIKNVVTWTAMIGGYIKNGDMTKAVNIFQQMRKQNVWANEFTYSTILTSHPILSPSQIHAEVIKTNYESSTSVGTALLDAYTKLGNMNDSIKVFDSIQEKDIVTWSAILSGYAQSGDIDEAIRVFLELQNNKITPNEYTFSSILNACATPNAAIEHGRQFHGRVLKSGYEIALCVSSALVTMYAKKGNIESANKVFEKQSEKDLVSWNSMISGYAQHGYGKKALEIFEEMRKENIKMDDITFIGVISACTHAGLVNEGEKYFDLMVDHGIEATLEHYSCMVDLYSRAGFIQKAMNFINNMPIVAGATIWRSILASCRVHKNLELGKIAGDKLMELSPQDSASYVLLSNLYATSGDWKEREKVRKMMDERNVKKEAGSSWIEIKNKTYWFVAGDVSHPLSDLIYGKLRELGLKMRDEGYVADMSYVLHDVEDEGKEEILNGHSERLAVGFGLIATGRGVLLQIMKNLRVCGDCHTVFKFISKIEEREIIVRDSIRFHHFKDGECSCGEYW >cds-PLY99798.1 pep primary_assembly:Lsat_Salinas_v7:9:53049430:53051570:-1 gene:gene-LSAT_0X7900 transcript:rna-gnl|WGS:NBSK|LSAT_0X7900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFFSHFPWSFTPTPPSRFNIFHPRFLFLQKVNKSQHLCHCSSVSPSSQQLQEQVADGGGTGHFGNLVQGYGWKVRKMVEEDHEMRSVANIQAESFYEPVILFNDVFFQFFQAEVLAGLLYRLKNSPPDRYACLVAETMTREIEDRQKLVGVVDVTVFRDESVLGYLSGADEYLYVSGIAVLPDFRRKKVASILLKACEMLANVWGYKYLVLRAYEDDWGARKLYTNAGYRMVSGDPLWTSSWIGRRRRVLLIKQCNTT >cds-PLY64139.1 pep primary_assembly:Lsat_Salinas_v7:1:2375264:2375667:1 gene:gene-LSAT_1X1561 transcript:rna-gnl|WGS:NBSK|LSAT_1X1561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLPSPPNQLGFYWTIQRNRRHTWVICLLVKSYKPNCILLISSEKQKNSRKIVISLKGHRKRFVRNSLQMTRGKLH >cds-PLY94755.1 pep primary_assembly:Lsat_Salinas_v7:2:180873775:180877764:-1 gene:gene-LSAT_2X103340 transcript:rna-gnl|WGS:NBSK|LSAT_2X103340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGRPRKQRGTIGGSSEKMKVEKVEMEAKANMGTESVNWHSVLDCDQWVALPVAGQRPSARYKHAAVVADEKLYIVGGSRNGRYLSDVQAFDLKNLSWSTIKVSTTLESEIFPAVSGHSMVKWGNKLLLLGGHSKDTSDNVTVRFIDLESHVCGTLEATGKVPEARGGQSVSLVGSKLIMFGGEDKKRRLMNDVHVLDLETLTWNVVETIQTPPAPRFDHTAAVHANRYLLIFGGCSHSIFFNDLHVLDLETLEWSQPEIQGDLVSPRAGHAGVSIDENWYIVGGGDNKSGALETLVMDMSKLVISTLTNVKGRDPLASEGLSVSSVLIDGEHYLVAFGGYNGKYSNEVFVMRPKPKDSKHPKIFQSPAAAAAAASVTAAYALAKSESLEFTTMDSKPKVDLSVEVNMIKGEKKTLESSVTEVKAVNSSLMEKLEECNGAHVDLSMELHSVKGQLASERSRCAELEAQIFDLQKMLSSMESIEQEVQTLRSEKSAIEHDMEVSVTDEKQGSGGGGVWRWIAG >cds-PLY72437.1 pep primary_assembly:Lsat_Salinas_v7:2:139065427:139067095:1 gene:gene-LSAT_2X66041 transcript:rna-gnl|WGS:NBSK|LSAT_2X66041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLAFFFTSPKPTKMGEDRKRTLDADFKEGVNYRLVPLPGIELGSPYADQRSVDDLSSSTGTSLSFSLALFNAIIDLISFSNILFGIYPPLFIVLVVYSLGGTSISIFLGKGLVNLNFMQEKMEADFRYGLVRVRENAESIAFYGGEENEIKLLLQRFRSAFENLTV >cds-PLY90893.1 pep primary_assembly:Lsat_Salinas_v7:1:57544831:57546466:1 gene:gene-LSAT_1X50021 transcript:rna-gnl|WGS:NBSK|LSAT_1X50021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGKKVVDVAFKASKSIDWDGMAKMIVTDEARKEFSSLRRAFEEVNSTLQTKFSQEPEPIDWEYYRKGLGSRIVDSYKEYYESVEIPKFVDKTTPEYKPKFDALLVELKEAEQKSLKESERLEKEIADVQDLKKKLSTMTADEYFEKHPELKKKFDDEIRNDYWGY >cds-PLY75729.1 pep primary_assembly:Lsat_Salinas_v7:4:333532051:333533760:-1 gene:gene-LSAT_4X165000 transcript:rna-gnl|WGS:NBSK|LSAT_4X165000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKISEKITQLRWFYGSSLTTTFTGKPLHKTTIKFKTPLLPFPRTQVLYRCCRSMMKTDTTLPIIVKETIDPTDKEKQIFDRLRQVLAHFNLDTQLRVAGGWVRDKLLGKDCYDIDIALDNMLGKEFCEKVNEYLVSTGEESQGIGVIQSNPDQSKHLETARMRLFDVWIDFVNLRSEDYTENSRIPTMQFGSPEQDAYRRDLTINSLFYNINTCSVEDFTKRGLNDLKSGKIVTPLPPKETFLDDPLRVLRAIRFSARFEFEMDEELKVAASDNDVKSAIGGKISRERIGHEIDLMISGNQPDKAITYISELGLFWVVFTLPENCKPLISQEDDRICVEYMNLGMRQFLEVGCSFTNEQRRIYLYASLFLPLRKTVYIDNKKKTFPIVNFIFKNSLKLKLSDADDVTRLHNGVEKLLCLIPFVLSNEDMSKTDLEIDLIEVPVKLKSRILLGLVLREMKDLWRVALMLSSIVGGQVEKRKEVFMEVEREILKLGLEKVWEVKPLVNGKDIMKHLELEKGGPVVSEWQRKLLQWQLAYPSGSLEECVDWMKREMEKKRARTSRGE >cds-PLY73131.1 pep primary_assembly:Lsat_Salinas_v7:2:190695221:190697016:1 gene:gene-LSAT_2X113101 transcript:rna-gnl|WGS:NBSK|LSAT_2X113101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIISFIPSWFLPATLFLFLTSIFMYVLRWRSSSIKLPPGPPRLPVIGNLHQVFGSKDNIYQNVWNLSQTYGPIMLLHFGTQPFIVISSTEMATQVLKTHDQKMCTRPYSKASKRLSFNYMDAAFAPYNDHWRDMRKVLASEFLGAKRIRSYKNVLKHEIECVVRSLSLNSSSTTVNLDEMFLSLINNVVCKAALGDHTYREKTFNGRTLMEIVDETAVMLQGSFSDNFPAFAWILDELTGWNRKLDKCFNDFDGILQMVLHDHLDRKDTKTSDQENDFVDDCISRLTSDEIKALLMNVFNGSTDTTATTMIWAMSFIVKNPRVMQKLQNEIRSCVGGKPRVDESDINKMTYLKMVVKESLRLHPPVGFLMTRECISHCEIGGYDILPGTKVLVSSWGIGRDSRTWKEDPTEFLPERFENIQVDFGGKSFEMIPFGEGRRGCPGYNLAVSTVEFTIANLLYLFNWETQVGKNEDLDMKLAGGFPFIRRATPLCLVPNKYN >cds-PLY64174.1 pep primary_assembly:Lsat_Salinas_v7:8:125446808:125449510:1 gene:gene-LSAT_8X87641 transcript:rna-gnl|WGS:NBSK|LSAT_8X87641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLKNPKKAKRKNKGSKKADESSSSNAIPSMPAKVWQPGVDALEEGEELQCDPSAYNSLHAFHIGWPCLSFDVVRDSLGLVRTEFPHTIYCVTGTQANNAPNSIGIFKISNISGKRRELVPTKTSNADTDMDSDSSDSDEDEIENEGPKAPVFQVRKVFHEGCVNRIRAMAQQPHICATWGDTGHVQIWDFSSHLNALAEAETNVSKDASIVSTQTPLVKFTGHKDEGYAIDWSPLVPGRLVSGDCKNCIHLWEPISDSTWNVDSKPFVGHTGSVEDLQWSPTEPYVFASSSVDKTIAIWDARLGKSPATSFKAHNTDVNVISWNRLASCMLASGSDDGTFSIRDLRMVKEGDSVVAHFEYHKHPITSIEWSPHEASTLAVSSSDNQLTIWDLSLERDEEEEAEFKAKIQEEVHAPTDLPPQLLFVHQGQKDLKELHWHTQIPGMLISTAADGFNILMPSNIETNLPPANA >cds-PLY80394.1 pep primary_assembly:Lsat_Salinas_v7:3:237500233:237502387:-1 gene:gene-LSAT_3X133500 transcript:rna-gnl|WGS:NBSK|LSAT_3X133500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLEPGRCRRTDGKKWRCRLAVLPDQKYCERHIHRGCLRSRKPVEDHMSKSTAKITVSPLKSTINLTKSDARKDKSVCIKNRNNSFKIKKRSREDDARVPLEFSFSPKSVLQNDNAQHSGNSRNNHNNHNLVVVPESARCRRTDGKNWRCTKITLQGQKYCAQHMHRGVKKVQNYQNSNTSLSFSVGASYVQKGSSGNQSNESSDSDDDDDDDGRDPNGATNSSSSDATTISM >cds-PLY99292.1 pep primary_assembly:Lsat_Salinas_v7:3:93754513:93767624:-1 gene:gene-LSAT_3X70401 transcript:rna-gnl|WGS:NBSK|LSAT_3X70401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGYIEMVVTLGYYYREPDRFATTSQNSHRKNYRPLHQDKVKTLASIITPTLCPSTLSSKFRVTVLLFGLPGCGKRTVVKHVASQLGLHVVEYSCHDLLASSEMKGSSMLAQAFSAARRYAPTILLLHHFDAFNKLSSNDGSQNDQVGMNSEVAYVIREFTEPFRQDDDYEDEEEEAEHMNTINTHPVLLVVAADNSEGLPPTIRRCFSHEMKIGGLTEDQRVEMLSQSLHLIPELVPDDNFHKWEREQSRLTKELFSNCESIEWQVDDLEKTIFVAARDHSLYGIKQVELEKQRKWTTTAPIQKAVTVTGSSSNFGGMRQELMWMPKSHQQQDKDRTRTGSYAVVDNDDFISSELDTHMPLIRSISLPSRLTHPSCTKTQQALSHHQNETLLEAALEWSIGFLDTCSTLIDMIMLMKENVNALQMALRRKGSDSTVASKIAAYLCFRKMAKKVVTKSLRTLKHLEKKICSFLFVDIDHHVSLVSKVLKETNALTISLFKSIFIIVSTKPKRDNGVQLISKLLSKRTSTHKHDQLVLTEVETIELTLTLLHRNVRNGETKDVDVEVTLRRLQILHVGLEGLKVGLDHLFRRLIHSRVSLLNILVC >cds-PLY80134.1 pep primary_assembly:Lsat_Salinas_v7:3:106547562:106549377:-1 gene:gene-LSAT_3X78621 transcript:rna-gnl|WGS:NBSK|LSAT_3X78621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLPFASQQNNLSSPEVKHQIISGGVGGGGTGRYEPKSVLDICRSLPGKTSEFDSGDHNSIVLSSSEDPLRLDNYEHGIVNQFEEWDSLMKELGLNDDSAKSAYLPELPDLPPIQSEVTAPTMSLFLNPDFENPNTNTNPNSLDFTNQYNIDNRTGFDFVDDIIRIAECFETQSLHLAQVILARLNQRLPSPNGKPLQRAAFYFKEAIQSLISGSTRLTQSSSSSEIVQTIKAYKTFITVSPIPMFSSFTANQAILEAVDGAMIIHVIDFDIGIGGHWASFMKEISEKSESRKVNSPAFRITAVVPEEYETESRLIRDNLRQFARDLKLRFDIDFISVRTFESLSFKSIKFMDGEKTAVLLTPTIFQRIGTGFINDLRRLSPQVVVHVDGEGLTGDGTSSFRQSVIEGLELYSTILESLEAANVGIGVGAGDWLRKIEICVLLPKIIAAMGAAGRHVTPWREAFGRAGLRPVGQSQFADFQAECLLRRLQVRGFHVAKQQGEMMLCWHDRPLVATSAWKC >cds-PLY83238.1 pep primary_assembly:Lsat_Salinas_v7:8:252307245:252312025:-1 gene:gene-LSAT_8X148781 transcript:rna-gnl|WGS:NBSK|LSAT_8X148781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPGWYSRAVNYISVPVIDVEMNHDPKADLSIKNEMSLPETKSIPTGNGNSVETHDKDIMVEGFGSVSVYDQWVAPNVSGTRPKPRYEHAAVVVDDKMYVFGGNHNGRYLNDLQTLNLRNIPWEGNKLISIIGHSKDPFEVVNAPTLKLIDLPGVDKGNLDDSLSEYAQHNDAVLLVIILAAQVPKIASAKSLRIAKEYDREWTIVRSDSSLQDQENAFSTFGSALGRGSLPDMSSLSSWNTEVLTESIKQLAPGINWTTIIKNLDHEGFYIPGEATISLLISCYRLASQDLFPLSVVCGNVWKNTEGQLSFLKYVVYVPPEVFTFAHCEKQVAYVDAVNTPKFQFGYANHAWLCLDLLEVLCQLAERGLAKSVRLLFEYALKHCPEVLLYGMAHVNMPYNVLQHEVSLAVLLVILKDQIFNLFFF >cds-PLY66364.1 pep primary_assembly:Lsat_Salinas_v7:5:290479213:290479467:-1 gene:gene-LSAT_5X156681 transcript:rna-gnl|WGS:NBSK|LSAT_5X156681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPPLVDLNAPRVGAEFEPEHESETEPEPEFYTLEDHELCIRLSKVSTFFGNTKAISIFSPKWIYRRKVQDTYQGFTTIFKRLT >cds-PLY70334.1 pep primary_assembly:Lsat_Salinas_v7:4:99691403:99693286:1 gene:gene-LSAT_4X65161 transcript:rna-gnl|WGS:NBSK|LSAT_4X65161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKFLNKKGWHTGSLRNIENVWKAEQKHDAEQKKLEELRKQIHEEREKAEFRQLQEQAGLVPKQERLDFLYDSGLSSRKDSSDTGFKALESFPPKAEPEPSTSANKAGAPGALFEDKPQSANDAWRKLHSDPLLMIKQREQEALARVKNNPVQMAMIRKSVEAKKQKDKTSDKEKHHRKKSKHEKHTVSKHRMSSDDDDVRQVEDRSKNAARDRVSPERYHRDREERRHHRPPVHRNSNALSKEDRARKLKEMQMDAELHEEQRWKRLKQAEDDDAKEISHGSSGGRNFLDAAHKSVYGAEKGGSSTIEESVRRRTHYSQRKSEGNAFRR >cds-PLY89616.1 pep primary_assembly:Lsat_Salinas_v7:9:39457338:39457511:1 gene:gene-LSAT_9X35701 transcript:rna-gnl|WGS:NBSK|LSAT_9X35701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPLFPTCYGAPGWVGAGRVRIAAEQQLRPDLIYLLVNSFSDFTVAKEAPRSIKHFR >cds-PLY95932.1 pep primary_assembly:Lsat_Salinas_v7:9:40706822:40708042:1 gene:gene-LSAT_9X36440 transcript:rna-gnl|WGS:NBSK|LSAT_9X36440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILGKMKETAESYLGKVVKDAVITVPAYFNDSQRQATKDAGAIAGLNVIRIINEPTAAAIAYGLDKRSDIIRKINVLVFDLGGGTFDVSLLTIAKGRLRFACEKAKRILSCSTQTSIDLDCLHEGIDFSMKFSRAKFEELNMCFFSKCIETVETCLSDAKMKKSCVNRVILSVGSTRIPKVQSMLQEFFERKELCKSLNPDEAVAYGAAVMASKLSGNNHKSCRDLLLLDVTPLSLGVGVLGDIFDVVIPRNTPMPTKKSKFYVTTKDNQTCVTVKVYQGERTRSTDNHLLGKFRISEIPPAPIGVIKFMDTFEIDANGILTVTSEIISTGKTEKLRITNENGRLSKEQIQKMVKDAEKYKQEDKEYKKKADALNALDDCIYNMKKKIKNMAHGKSLREMENAMHY >cds-PLY78412.1 pep primary_assembly:Lsat_Salinas_v7:3:237382804:237384036:1 gene:gene-LSAT_3X132740 transcript:rna-gnl|WGS:NBSK|LSAT_3X132740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMISLPNTKKKALEVVNLQAELSYFQAHLATLEGPTPPPPPTAQSTALPHGITINDIPTATSLLGSYDLSSLLEPMVPPSWTTQPSIMRQMDPRQFMSGGATRALADMPPSQGGTSDLQELARELLQRPSSVSSVPCKTEGSSLPPHNR >cds-PLY88720.1 pep primary_assembly:Lsat_Salinas_v7:7:193872169:193872865:1 gene:gene-LSAT_7X114281 transcript:rna-gnl|WGS:NBSK|LSAT_7X114281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGVIYLKLLLFCISGRKGFGDWDVSAEGFTVIFNKATGMRRKQVANRSHHQPLPILMLLPPLSSLNIGSGFAA >cds-PLY76779.1 pep primary_assembly:Lsat_Salinas_v7:4:187184190:187184372:-1 gene:gene-LSAT_4X107900 transcript:rna-gnl|WGS:NBSK|LSAT_4X107900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGSGGVDDGDGCGGGSGGSGVAAMVVMTCGGGGGDNCGSGGDGGASDGVMVVVMVMVCR >cds-PLY64977.1 pep primary_assembly:Lsat_Salinas_v7:8:161431379:161433200:1 gene:gene-LSAT_8X107621 transcript:rna-gnl|WGS:NBSK|LSAT_8X107621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYWKSTVVPKFKKIFEKNNVKKTAAAEACKTFDDAKEDYSKEFEEKKSELQAKVIEIYEASANEIKTVVKEKKEGTLKKVSTGVEKFLEELSKIEFPGSKPAHEACCKFGPTLVEGPIFFVFEKVSTFIVVEEKKEEEATPAPEAAAPVEETSSKEKEIVVEEEKKEEVVVVAEVEKTPETTPVKTEEVAPTEPPKAC >cds-PLY71593.1 pep primary_assembly:Lsat_Salinas_v7:1:115943911:115950528:1 gene:gene-LSAT_1X87860 transcript:rna-gnl|WGS:NBSK|LSAT_1X87860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGVNLQNYLIPLEEISRATENFSQQRCIGSGGFGAVYRGQLSEHWKNLTVAIKRLGKDSHQGEHEFRNELEMISKFHHENIICFIGYCDEGEEMIIVYEYATNGSLDHHLQDPSKMLCITWIERLMICIGAARGLNYLHSGLGEHNRVIHRDVKSSNILLDDNLIAKVCDFGLSKLGPRNQPDTQLHTRVAGTQFYLDPTYHESRILRKESDVYSFGVVLFEILSGMLVYHDRSTGNNEWQFLMNSVRQYYKKEPHNIIDHHIRDQIDSISFDIFQEIAYECISFNLVERPTMDKVIDRIEEALTIQIQSVLDKQSGRDDLSAGETRLLEKIMEDNRIAIRIYTHQLTIPHSRIQEEAIAAILIFSNSVDNKGIIVSFGAVPGIVHVLNVGSMEARENAAATISSLCVIDINKSIIGVEGAIPPLLFLLRIGTQKAKKEAITALLYLCLDQGNKGRAVRAGVVPVLIEILTEPQGVLKVETLSILAMLSSDPEGMLSIGKAEVVPVLVELIGSGSPNNKENAAAVLVELCSDNQNYLVEALVHGVMENLIDLLEHGTDMGKTKAKQLLEKIEDHCRAPGISNNDGNLKEHGADIEALMPKLCHSDYYTEPGIEELAARERAEPGFCGRVHNFTVGHHNYGSIKFPGETDVRRMELESLIQFNNREVMVYMDETKKPPIGQGLNKPAEVTLLNIKCFEKKTGKQLTEGPKIEKYRELLKKKAEDQGAEFVEYDPVKGEWKFRVKHFSRYGLQDDDDDDDVDGTPQGASENGYLWKRVNSQVSSSSQPCSLREALAQAQSSRTGASTQAQSSRTRASTKALRESLHPVLRSRDSNFTNMTAGANNEAVIMWILMQDNHFLLKSTVGF >cds-PLY76421.1 pep primary_assembly:Lsat_Salinas_v7:8:153933961:153934857:-1 gene:gene-LSAT_8X103161 transcript:rna-gnl|WGS:NBSK|LSAT_8X103161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTIHNYEVPLHVAMMELEGMGVPVGKLALYTALGGVRPSACLPITNDVGTNNQKLLDDEFHIGLKQKRTT >cds-PLY81156.1 pep primary_assembly:Lsat_Salinas_v7:9:67445534:67445833:1 gene:gene-LSAT_9X57241 transcript:rna-gnl|WGS:NBSK|LSAT_9X57241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNEEVNNVLLALIAYLREIADIIEAAQTKLQEGFTMEDSSHTTISTHADHPNSKILKNILQDATVEDQSMEDNISFMLGSIHKRIGKYKRTMKDSDTL >cds-PLY80935.1 pep primary_assembly:Lsat_Salinas_v7:8:256457267:256460634:-1 gene:gene-LSAT_8X150100 transcript:rna-gnl|WGS:NBSK|LSAT_8X150100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSYFPLRWESTGDQWWYASPIDLAAANGHYDLVRELLHLDTNLLIKLTSLRRIRRLETVWDDEDQFNDVAKNRSKVARKLLLEGEPRNSHGRNSLIRAGYGGWLLYTAASAGDVGFVKELLKRDPLLVFGEGEYGVTDILYAAARGKNQEVFQVLFDFSLWSKGGEVGSVFKFEMINRAVHAAARGGSLEILKQLLEDCDDVLMYRDLQGSTLLHSACGRGQTEVVKYLLESYDLIGSKDTQDNTPLHIAAYNGHLQVVELLVSSSPSLIPLTNNHGDTFLHTTIAGFKTPGFRRVDQQIELMKHLVSGKIVNLEEIIDVKNNDGRTALHIAVIENIHSDLVELLMTVRYIDLNIRDVDRMTPLDLLRQRPRSASSEILIKRLISAGGISNYNDYMTRTALVSHLKTHGIGGSPGTSFRIPDAEIIFYANPDRESMYSGELSQYGSPARSNSDYCDRESGSGPARRLKLLFGFARRKEEYDDCDSVNSLGLRKFKHENRPISYREKYSKCSIPSPSTKTKFSAGLTHGVLRVQSPSSQFSGSSWSSGSDIEDDDKRPSNVVIKNYMRSSTGPWVSRDKSKFHRRQSSLSKILMNQVFCFGAQGLVVDEPVRRSSPRQSYIGSTA >cds-PLY96906.1 pep primary_assembly:Lsat_Salinas_v7:7:90307937:90311210:1 gene:gene-LSAT_7X63440 transcript:rna-gnl|WGS:NBSK|LSAT_7X63440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDPFGDGKESQSTTSSSVQWPQPCHHFEFPEILLATNNFDESLVIGSGGFGKVYKGNVIIGSSLVVAAFKRLDAMSTQGEEEFWAEVQMLSKLRHCHLVSLFGYCSYKEEKILVYEYMPNGTLEKHIHKLQTPLSWVQRLKICIGAARGLDYLHTGTGIESGVIHRDVKSSNILLHESWAAKISDFGLSRIGPTNQPCTYVNTLVKGTFGYFDPNYFTTGKLTRKSDVYAFGVVLLEVLCRKRAVDRSLDEEQWGLVTWAQDSIKEGNLKNIIDYDIRGQISTKCLKEFVQIVERSLLNNPKHRPTMAEVVVSLDSILALQEKINSSLQGAGKTIFGRMLDRFASPSNRENSAHGDSKISSNSKSKSRNASDTIEANNSMIHVQSLKLFTFADLKRATRNFNLDSLLGNGGFGDMFLCWVDKNTFAPSTKGLGIAVVVKRYNKARRSSHRGRERLSDLKVMEGRAAIRKHHPISSELGFSAVAFYVGGGDL >cds-PLY98246.1 pep primary_assembly:Lsat_Salinas_v7:7:174011615:174011803:-1 gene:gene-LSAT_7X102960 transcript:rna-gnl|WGS:NBSK|LSAT_7X102960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKTCDDAAFQRRGEEQARGLKVIHRVPKAEENKAIALEKEADVEIEKKNSTVGDSRWRVGD >cds-PLY91294.1 pep primary_assembly:Lsat_Salinas_v7:5:251314332:251314700:-1 gene:gene-LSAT_5X126361 transcript:rna-gnl|WGS:NBSK|LSAT_5X126361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTFHVGDVSLSEGDLPGIVAVDILRFMREDLPGLVNTSREELISVMDERLRILSADLEAGRLMNREVSFKEFDACGGPHFFGKREPIISMCWVADMESAFRASFCPTEAKVGFCNNCKNCR >cds-PLY93164.1 pep primary_assembly:Lsat_Salinas_v7:6:5588509:5590113:1 gene:gene-LSAT_6X1901 transcript:rna-gnl|WGS:NBSK|LSAT_6X1901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPPATITTTTTSILLLLFLTLFPSVTLQQQSDLDSDCTNRWIHIRHLPSRFNLDILTNCSATYHPFSDDFCPYISNHGLGTKTHNQSHSWFRTDPSLLELFFHRRMLEYPCLTADPSAADAIYLPYYAALDSLHYLYGPDYNSSSQHGLHLYNFLRYHDSPEIWLNNQGHDHFLVLAGSAWDFSQPLGNDPPLWGTSFLELPEFFNITTLTLESRAYSWQEQSIPYLTSFHPPNLALFDSWAKRVRRSRRTTLMLFAGGGGISSTPNVRRSIRLECDNSSKIENTTQKTNNQYSKFCEFVDCSNGICEHDPIKFMKPMLHSSFCLQPPGDTPTRRSTFDSILAGCIPVFFEELSARKQYGWHLPEDEYHEFSVMIPKEEVVFKGVKVLEILKGIPRSVTRKMRDKLIEMIPRIMYRKHGSSLGLRTKKDAFDIAIEGTLQRIKARLDDVADK >cds-PLY76510.1 pep primary_assembly:Lsat_Salinas_v7:5:12499099:12499801:1 gene:gene-LSAT_5X6720 transcript:rna-gnl|WGS:NBSK|LSAT_5X6720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGGGNHDHGGCRYEAAFHAFQMQKQKLHTPSAMATTDGKRDGLRRYSGEMQPETKDRKRIRELEKAEKVLQLILWGPNS >cds-PLY92838.1 pep primary_assembly:Lsat_Salinas_v7:5:329324996:329325463:-1 gene:gene-LSAT_5X181560 transcript:rna-gnl|WGS:NBSK|LSAT_5X181560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARSACVSIRPSPTTSSEHVCPNCNGGFLEEYENPNPRPETPNPFLAFDDPSPFSSFSFGFLLVFSTTSRVGAGSGNFQNPNDLSALFGGPMYRPGGVQNVGEFNPFAFLQNYLNTLRAGGANIQFVIENNTDGDLSGFRLPSNLGDYFIGPGLE >cds-PLY99157.1 pep primary_assembly:Lsat_Salinas_v7:4:118699429:118700275:-1 gene:gene-LSAT_4X73061 transcript:rna-gnl|WGS:NBSK|LSAT_4X73061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTQLSPRAAESYQNSPSSSQTLFPDRTCCFCLPYRWRKVPSSEEEDDYNKSNGTLWSRGIAALMKVREWSEIVAGPRWKTFIRRFNHNKSFGKQSSKFQYDPFSYALNFDQGPLQNGDPEAENEYMIRNFSSRYVLPPPSTIPVIGKTPVDMRKDEIGLNFV >cds-PLY88048.1 pep primary_assembly:Lsat_Salinas_v7:6:183498124:183500888:-1 gene:gene-LSAT_6X112000 transcript:rna-gnl|WGS:NBSK|LSAT_6X112000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEREGIIILLHVFVFLVALICYIFVRRNQRDSTNKLPPGSLGWPYIGETLQLYSQDPNVFFATKQKRYGEIFKTHILGCPSIMLASPEAARFVLVTQAHLFKPTYPKSKETLIGPLALFFHQGEYHTRLRKLVQGSLSLDNLRSLVPDIESMVVSALDSWADGRIVHTFHEMKRLSFEVGILAIFGHLEASQKEQLKQNYSIVDKGYNSFPTKLPGTPFKKAYLARKRLQKILSEIISERKEKRATEKYLLGCLMNSKDDNGKTLSEDQIADNIIGVLFAAQDTTASALTWILKYLHDHPKLLESVKAEQKVIYQFNDDGHLKLTWAQTRNMPITYKVILESLRMASIISFTFREAVTDVEYKGYRIPKGWKVMPLFRNIHHNPEFFPNPQQFDPSRFEVAPKPNTFMPFGSGVHACPGNELAKLEMLIMIHHLVTKYRWEVEGSESGIQYGPFPVPMHGLPARFQKESIQEKGEVCGACYDT >cds-PLY87650.1 pep primary_assembly:Lsat_Salinas_v7:1:122370811:122378465:1 gene:gene-LSAT_1X92920 transcript:rna-gnl|WGS:NBSK|LSAT_1X92920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVASGPLTPGQVSFLLGIIPIFVSWIYSEWLEYKKSSSPSKLHSDNSLVELETVATKEDDRVVLLEGGLTKSASMRQPAASIKANLIRFVTMDESFLLENRATLRAMSEFGGIIFYFYLCDRTHLIPESTKSYNRDLFLFLYSLLIIVSAMTSLKKHGDKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSVARFSQMMWRLNFFVAFCCIALNNDYMLYYICPMHTLFTLMVYGALAIGSKYNEIRSVMIMKLIACFLVVILVWEVPGVFDLVWGPFAFILGYSDPAKPDLPRLHEWHFRSGLDRYIWIIGMIYAYLHPNVEKWMEKLEESDSKRRRTVKASIVSVAVLGGYMWYEYIYKLDKVTYNKYHPYTSWIPITVYICLRNFTQHLRNYSLTLFAWLGKITLETYISQFHIWLRSDIPNGQPKWLLSFIPNYPMLNFMLTTALYVLISCRLFELTNTLKSVFIPTKNDRQLLYNFLAGTTIFAGLYFIAFIVVQIPQ >cds-PLY82011.1 pep primary_assembly:Lsat_Salinas_v7:9:154529151:154532197:1 gene:gene-LSAT_9X97941 transcript:rna-gnl|WGS:NBSK|LSAT_9X97941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSMSFAAAAQPFLKRAVQIIPSPNFTLLNHKFPPSLFHAPLTSSGTIGICHVAQAIKGDSEVSLKGVVNEEVKHIFEMAKRASLRREVLHTDFLTPPVLKESMVILEKLADVKVFSQGGYPEAERCRLSVGHPEALTTEPDVVAAISISGNFGFQPCSHGDFLGSILGTGIVRDKVGDILLQGEKGAHVLVVQELVDFLTMSLDKVGNVPVTCKKMPLIALEYEPPRTKTFKTVEASMRIDAIASAGFKISRSKLVALISDGDVRVNWVTVSKNNTTIRSGDMISVSGKGRLKIGEVNETKKGKFAVELIRFL >cds-PLY65854.1 pep primary_assembly:Lsat_Salinas_v7:4:85509505:85513372:1 gene:gene-LSAT_4X57061 transcript:rna-gnl|WGS:NBSK|LSAT_4X57061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPQTIPYVALSYAMIWCCFPLKNIRYSNPNLRQQEIRVHIPHFKTRTKMALGRSYSLGLVKRTSSFGRKRILILNEMDIDSIDTISPTKKRSMGNSFSASRSLLEALPQDILIRVLCGVDHDDLKRLFHVSKPIREAAIIAKKLHFEYNTPKKVPAFRSTIEPSSLEFDEIGAPRQLRVARSRLDRKKLASISVALFTSDAEDEVFSRRNL >cds-PLY83511.1 pep primary_assembly:Lsat_Salinas_v7:8:188602062:188604028:1 gene:gene-LSAT_8X122820 transcript:rna-gnl|WGS:NBSK|LSAT_8X122820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFYLPPKEPHNSRRSRLTTQQSLPFPKSQREAEPEDTKPTTISRAQINISATISLKEEKLEPLRIWTNDPQIRLLNRLYARKRKELKIREKAKATSKKVITRDEWEKKLNDVKI >cds-PLY94951.1 pep primary_assembly:Lsat_Salinas_v7:4:108718528:108721182:-1 gene:gene-LSAT_4X70380 transcript:rna-gnl|WGS:NBSK|LSAT_4X70380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSMVARQAANVLRISSPRSVSHTASFIQRRGLAGAADPHGPPKVAFWKDPMSPSRWKEEHFVILSLSGWGLLIFSGYKFFTRGKKDKENHSYVSHMNELLFDCIGDEEIEDETYGDEDQNRENNVDSIMLDNISYEHKDNEVVVSNNKTLGNIFLNLLCLVAKNKESDSDQGDDTKDEPL >cds-PLY81447.1 pep primary_assembly:Lsat_Salinas_v7:5:333711094:333712177:1 gene:gene-LSAT_5X184481 transcript:rna-gnl|WGS:NBSK|LSAT_5X184481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACKVVSVTLAMVLIVSICRGTMAQSGCTNALMGMSPCLNFITGNTSTPSSSCCSQLGNLVQAQPQCLCAVLNGNGITLGISINRTLALTLPGACNVQTPPVSQCNAANGPGTTSTPSPAASPEGSTNEPDILPESPTESDSPSGSGSKTDGLTPNGSESRTPVHLLVFVLFVVTWASSASRF >cds-PLY92979.1 pep primary_assembly:Lsat_Salinas_v7:5:114413328:114414406:-1 gene:gene-LSAT_5X50121 transcript:rna-gnl|WGS:NBSK|LSAT_5X50121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSHVSVSTSPPPAAAQQPVKQSAVLSQYKNNSHPVVDLALRVFLFITSVVAIIVMLISKQTKLIQVTPGHAILLTGKFSYIPSFIYLITALSVVCLYSIITGALSVLALMKPGGISTKLQFHFVMFDALLLGIMASATGAATGVAYTGIKGNSHTGWNKMCTTYDSFCFRAAASILLSLISSITILLLVLFSTHMLYKKTTRR >cds-PLY61744.1 pep primary_assembly:Lsat_Salinas_v7:5:216270908:216271180:-1 gene:gene-LSAT_5X99681 transcript:rna-gnl|WGS:NBSK|LSAT_5X99681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMIPYNFVKTPNGDAWVKANGHKYSSSQISTCVLTKLKETTEAYLGMTINKAVITVPSYFSDAQRQVTKDAGRIVGLDVQRIINETTHK >cds-PLY99336.1 pep primary_assembly:Lsat_Salinas_v7:1:69751472:69753158:1 gene:gene-LSAT_1X59641 transcript:rna-gnl|WGS:NBSK|LSAT_1X59641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATENRNPEIRKDAVNNRLVILSPARSRRPSDIKSKSQSKPDSNPTQCPFCAGNEHQCAPEIFRFPEDSTSDWKVRVIENLYPALSRQLQVKSDNEEHRQANGSTGEVSLRGFGFHDVVIESPNHSVHLQDLSPAGIAVVLLAYKKRIEQLCAVESISYIQVFKNHGASAGASMSHSHSQILALPVIPTIASNRLNTMKEHHNQTGKCSLCNINTHKLLINESTHFISISPFASTFAFETWIIPRYHSSHYHQLDEDKAIDLGGILKLTLEKLSLQLNDPPYNLMIHTSPLHIVSSELPYTHWYIQIVPQLSTIGGFEMGTGCYINPVFPEDAAKILREVQLPNKE >cds-PLY94092.1 pep primary_assembly:Lsat_Salinas_v7:5:82906392:82907781:-1 gene:gene-LSAT_5X38761 transcript:rna-gnl|WGS:NBSK|LSAT_5X38761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNGLILFCSRSQAFVYYICDPLTRQWITLPSRKPRLISFISEGLITRVDEDYTLIGYTIVTVELLKSRSNYVNIEIFSSGTGKWMVYKLPCDSPIRLWKVVSGPIYCYGALHWQVIKYDRIHGLLAFDPYKDPKSVRLIPLPDDRDLQSEDVDMGVCELCGESQGTLRYFEVADDSIYTKFYLFSMWVMKDYEKGEWCCEFKVRRSDLHCNDLELNNWLLDVRGFHPLSFHPLNPNVVYLQCMEPERIVSYNIMNRRLDVASKRIDVGQCISSSLGIPFVLPTWPVLVPPIATVKSK >cds-PLY94177.1 pep primary_assembly:Lsat_Salinas_v7:5:31880154:31882461:1 gene:gene-LSAT_5X15600 transcript:rna-gnl|WGS:NBSK|LSAT_5X15600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB [Source:Projected from Arabidopsis thaliana (AT5G63090) UniProtKB/TrEMBL;Acc:A0A178UKE6] MASASSYNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNDLLPHQREDAVNSLAYEAEARVRDPVYGCVGAISFLQRQVDRLQKELDAANADLIRYACNEIPTGTLPAAVPHLNSIQPITPRQRTMDHYPTRRTSGNIDGGGNGGGGFYQSPPSFPNPYANQLQWNPSTFGGNMGGGGGGQG >cds-PLY70780.1 pep primary_assembly:Lsat_Salinas_v7:3:136116219:136116690:1 gene:gene-LSAT_3X90840 transcript:rna-gnl|WGS:NBSK|LSAT_3X90840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLTSENENRMTNIVEISEEDVHGVQSPTPLTGDKEKNPKPVKQLKPQKTGVDVKRHRKLTSEVWKDFEFLDTDENAGLHCKCKKCGQVYNVETRRGTGNLKRHLRSCKPSRFKGYWANGFGYWW >cds-PLY69111.1 pep primary_assembly:Lsat_Salinas_v7:1:161509319:161510765:-1 gene:gene-LSAT_1X108720 transcript:rna-gnl|WGS:NBSK|LSAT_1X108720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCYRTGIDFSRTTITRLCYKNGCKSIYLCIMCTNRYVQQDSGYSEEALDLYYEMQDSGVKMEHFTFSMIVRVCTRLASLEHTKQAHTGLICHGFGLEIVANTALVDFHSKWGRIDDACNVYSSLSDQGWDIFESMGIDFKVKPRAMHYACMIELLGREGLLDEAFSLIQNAPFNPTVNMWAALLTACRVHKNLELGKVAAEKIYGMQLEKLSNYMWKTKISCFYFSNFKKERFEDVACVYLDRCEETAAYTCFR >cds-PLY80165.1 pep primary_assembly:Lsat_Salinas_v7:9:95889216:95890889:1 gene:gene-LSAT_9X71780 transcript:rna-gnl|WGS:NBSK|LSAT_9X71780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 1 [Source:Projected from Arabidopsis thaliana (AT1G34790) UniProtKB/Swiss-Prot;Acc:Q8VWG3] MELFSSSSKPNNNTISKPFDFLKSKAEESSKSLPLLSFLPLEPCNLEGNSSSCNKVEDDEDVTVALHIGLPNNITEKLVLVDETDVVHNNNNNMMENMPVNVEYWIPSRAQILAGFTHFSCHICNKTFNRYNNLQMHMWGHGSEYRKGAESLRGTQPRVMIGVPCYCCEDECKNNINHPRSKPLKDFRTLQTHYKRKHGTKHFACRKCGKSFAVKGDWRTHEKNCGKRWLCVCGSDFKHKRSLKDHIMSFGVGHAPSPPSYRAIDLQEPIIES >cds-PLY96261.1 pep primary_assembly:Lsat_Salinas_v7:6:158008230:158008418:1 gene:gene-LSAT_6X95880 transcript:rna-gnl|WGS:NBSK|LSAT_6X95880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMYLPSYPTLPSLQTLMCVFQSSEENSNQSDIHVLVAEMGPLKNSVIVLANGGSNESFLWS >cds-PLY85935.1 pep primary_assembly:Lsat_Salinas_v7:2:189843359:189846619:-1 gene:gene-LSAT_2X110500 transcript:rna-gnl|WGS:NBSK|LSAT_2X110500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCVPPQFVSLKLSEFNSRKLLGSFASPKRDFRVFSMSIDVKEASNFISAAPIFLPEGPWKQIPGGVTAAEGFKAAGMYGGLRAAGQKPDLALVTCDVDAISAGAFTRNVVAAAPVLYCKSTLQKSTTARAVLINAGQANAATGDAGYQDVIDCSNALSNLLQVSPDEILIESTGVIGQRIKKEPLLNSLPKLISLLSSSVKGADSAAVAITTTDLVSKSVAVEFEVGGSRIRIGGMAKGSGMIHPNMGTLLGVITTDACVDTDIWRKMVQVAVNRSFNQITVDGDTSTNDTIIALASGLSGSNRISSLHSSEGNQLQMCLDAVMQGLAKSIAWDGEGATCLIEVTVSGAGSESEAAKIARSAAIYGRDPNWGRIACAAGYAGICFDSNALRIALGDILLMEGGQPLPFDRSAASNYLKEAGDAHGTVKIQISIGDGPGTGLAWGCDLSYDYVKINAEYTT >cds-PLY74945.1 pep primary_assembly:Lsat_Salinas_v7:5:233188428:233192006:-1 gene:gene-LSAT_5X116180 transcript:rna-gnl|WGS:NBSK|LSAT_5X116180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Triacylglycerol lipase 1 [Source:Projected from Arabidopsis thaliana (AT2G15230) UniProtKB/Swiss-Prot;Acc:Q71DJ5] MASSILQALMLVVALSLCISSTECLTGDSIIEHSQSVDGGLCFNLIEPSGYNCLEHTAQTKDGFLLGLQRVSSGILNLGSQTTPPVLLLHGLFMGGDAWFMDSPNESLGFVLADHGFDVWVGNVRGTKWSHGHESLSDGDKEFWDWSWEEMALYDLETMLSYINSKTGSKVFVVGHSQGTIMSLAAFTQPDIVSMVEAAALLSPISYLDHITSKLVLNLVHMYLDEALGLLGMHQLNLKSSRVDVYLEYEPHPSSVKNLKHLFQMIRKGTFARYDYGSIKNLLQYGKLKPPTFDLSKIPESLPIWMAHGGNDALGDVIDVQHTLKELKSKPKVLFLEDYGHIDFLLSTRGYEDLYDNMINFFRSCGNLNVQ >cds-PLY71848.1 pep primary_assembly:Lsat_Salinas_v7:3:58874819:58876517:1 gene:gene-LSAT_3X46220 transcript:rna-gnl|WGS:NBSK|LSAT_3X46220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRLHIPPILLILIFTFSLLSVVESTTFKVVNRCRHTIWPGILTGADRTVLNPTGFALNPGKSRTLRIPVAWSGRLWGRTDCRTDSTGRFSCVTADCGSGKVECEGRGAEPPATLVEFTLNGDQGLDFYDVSLVDGYNLPMLVIPRDATSGGCSSTGCLVDLNAGCPTALRVARSSRSRTVVACKSACEAFGDPRFCCSEGYNTPETCPPTEFSQYFKHVCPRSYSYAYDDKTSTFTCAGANYVIIFCPLPYTSEKLLEARNEKADLPLVNKTMMYIGRHHGGGVSG >cds-PLY78517.1 pep primary_assembly:Lsat_Salinas_v7:5:338404185:338407441:1 gene:gene-LSAT_5X191060 transcript:rna-gnl|WGS:NBSK|LSAT_5X191060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVKYQEEYIRNSRGVNLFTCRWLPVSSPKALVFLCHGYGMECGDFMKGCGTKLASHGYAVFGIDYEGHGRSMGARCYINKFDDLVTDCCTYFKSISEKEEYRKKKRFLYGESMGGAVALVAHRKEAHFWDGAVLVAPMCKISEKVKPHRVVISILTKVEDVIPRWKIVPTKDVIDSAFKDPVKREKIRGNKLIYQEKPRLKTALELLRTSMALEQSLNQVRLPFLVLHGEADSVTDPEVSKALYNEASSKDKSIKIYPGMCHALTAGETDQNIHIVFADIISWLDARSSSSHARHLLHHHSAM >cds-PLY81786.1 pep primary_assembly:Lsat_Salinas_v7:3:30255029:30262088:1 gene:gene-LSAT_3X23181 transcript:rna-gnl|WGS:NBSK|LSAT_3X23181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTAILTTPSKRSTEAPPTLSPADPTPPSIFPAKTSVDFTNKVTVADGGTLKASTPELSAKSSVEGTNKVNVDGDEKLKNEDNRHPSVAMGHNSDVEYIGITESPSPTSLPTNNNSKRISILPLIFLIFYEVSGGPFGVEDSVRAAGPLLALIGFLVFPFIWSVPEALITAEMGTMFPEDGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGIPALADGYPRILAVLALTIALTYMNFRGLTIVGWVAVLLGIFSLVPFVIMGFISIPKIEPERWLVVDLHVVDWNLYLNTLFWNLNYWDSISTLAGEVHNPKKTLPKALFYALILVVSGYFLPLLTSTGAVPLHRDQWTDGYFSDVAMMVGGVWLRWWIQAGAAMSNMGMFVTEMSSDSFQLLGMAERGMLPEFFAKRSRYGTPSIGILFSASGVILLSWLSFQEIVAAENFLYCFGMILEFIAFVRLRMKYPAASRPYKIPVGTIGSVLLCVPPTILICVVLALSSIKVLVVSMIAIVIGLGLQPFLKYVERKRWVKFSTSADLPELYNAHENSESLVY >cds-PLY90420.1 pep primary_assembly:Lsat_Salinas_v7:8:214120281:214124464:1 gene:gene-LSAT_8X133220 transcript:rna-gnl|WGS:NBSK|LSAT_8X133220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRVVLLVLCIVPAMSQLPSQDILALLEFKKGIKHDPTGFVLNSWNEESIDFNGCPSSWNGIMCNGGNVAGVVLDHLSLSADIDLNVFANLTKLVTLSISNNSMTGKFPNKLGEMLSFLDISDNLFNSSLPPDIGKVNSLMNLSLAGNSFSGSIPDWISEMSSLKSLDLSRNLFSGPIPPSITTLNNLVYLNLSMNGLTKKIPKGFQDMIALEVLDLHGNTLEGNFDVEFLLFTTSVHVDLSGNLLTSSNQDGKFLPGISDTVKYLNLSHNRLTGSLVSGGLLKFGSLVMMDLSYNQLSGELPSFNFAYVLQVLKLSNNQFSGFIPNDLLKDDSLVLNELDLSGNNFTVLNLSNNHLTGELPLVTGGCTVLDLSNNNFEGSLTKLVKWANIEFLDLSQNRLTGSFPEVTSQFLRLNHLNLSHNSLNSTIPKVITMFPRLESLDLASNQFNGPFPNGLLSMPTLQELYINGNQLSGNIDFFPDNSLSNVSKIRVLDISSNQFGGEFPEGFGLLSGVEVLDVSGNKFTGSLPESLTGLTSLVSLDVSGNHFTGPLLKNLSDNLTRFNASYNDFSGVVPENLRKFPESSFFPGNSNLQFPNPPAGPKSGNSTSGKKKPIKTVFKVLVIVACVIAVVILILLAVFIHYMRVSRRPVEVGTKDIRRHTVGGSGGATAVSSGDIRKGSSSEAITPEKIGVVGGGGGGAVASFSPSKTSGFSYSPDSGDSYTVENLARLDVRSPDRLAGELYFLDDTVSFSPEELSRAPAEVLGRSSHGTSYRATLDNGLLLTVKWLREGVAKQRKDFAKEAKKFANIRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLASFLYDRPGRKGPPLTWAQRLKIAVDISRGLNYLHFDRAIPHGNLKSTNILLDGQDLNARVADYCLHRLMTQAGTIEQILDAGVLGYRAPELAASKRPLPSFKSDIYAFGVILLELLTGKCAGDVVAGEGGGGVDLNDWVRLKVAEGRGADCFEAVLMTEMGTPAADKGMKEVLGIALRCLRPLSERPGIKTVYEDLSSI >cds-PLY81738.1 pep primary_assembly:Lsat_Salinas_v7:3:29547257:29550285:-1 gene:gene-LSAT_3X19721 transcript:rna-gnl|WGS:NBSK|LSAT_3X19721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLASLPGLCHQPQMTSSSLCGPTLSLRYGDDKPHKMTNLVQNKRKSTATIATVKTHDFSPPKSYQEVIISARERFKQEISFRSKDKDISLAKTLMYISAEDEAFMAFNREMDAQSFQNERKDTNVSSDVQNWDNIEAMPIAGKKIDEWLSELDTIAKEVEVELISRDIGCHFVEVLEAVNKVLFESKGFRRSPVVDSKCSYLHSVLSSKCGSAILLSVIYMEICQRLNLTIVGSRVGEDFLIWPETRNPEELFKVNSGHSLFGIVNGRCVEDPKSMASDLTCNSLLGLDIATNRDIIGIYLANLIRLHWKRASRTNHGLMLTSALRPVHDDKEKWSKTDRSSMPLLRPQDLRLAIMASEKLLILQPHNWALRRDHGMMLYYSRDYGKAVQELSICMAFAPEEEAQVLEPFVEKLHLLQLESSWKSLGHKGRLKVP >cds-PLY80954.1 pep primary_assembly:Lsat_Salinas_v7:8:145327876:145329759:-1 gene:gene-LSAT_8X98601 transcript:rna-gnl|WGS:NBSK|LSAT_8X98601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNNSQQSGSGPPPKPWERAGSSSSGPAPFKPATPGSTSDVVEASGTARPGEIVQSNNTTSAVNTLGRPVPPRPWEQQQTYGGAGYGSSLGYNSGLGAGGYGSYGGMGSSYNSGGLYGNSMYRGGGYGGLYGGSSSMYGGGMYGSSYGGGMGGMGMGMGMGGMGMGMGMGMGGPGGPYDDQDPNNPFGAPSQPPGFWISLMRVMQGFVTFFGRVAMLIDQNAQAFHMFMSALLQLFDRSGLLYGELARFVFRILGVKTKPNKIQPPGPHGQHGQQIEGPKSAPAGGWDGVWGNNAPN >cds-PLY77730.1 pep primary_assembly:Lsat_Salinas_v7:9:18744503:18747114:1 gene:gene-LSAT_9X13740 transcript:rna-gnl|WGS:NBSK|LSAT_9X13740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLVEKALIALFAAIIGAIFISKLRGKKFKLPPGPIPVPIFGNWLQVGDDLNHRNLTDLAKKFGEIFLLRMGQRNLVVVSSPDLAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYRHGWEAEAAAVVEDVKKNPASATEGIVIRRRLQLMMYNNMFRIMFDRRFESEDDPLFLKLKALNGERSRLAQSFEYNYGDFIPILRPFLRSYLKLCKEVKEKRLQLFKDYFVDERKKLGSTKKLENNQLKCAIDHILEAKDKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNHPDIQSKLRHELDTKLGPGVQITEPDVQNLPYLQAVVKETLRLRMAIPLLVPHMNLHDAKLAGHDIPAESKILVNAWWLANNPEQWKKPEEFRPERFLEEEAKVEANGNDFRYLPFGVGRRSCPGIILALPILGITIGRLIQNFELLPPPGQTKIDTSEKGGQFSLHILKHSTVVAKPRSF >cds-PLY90773.1 pep primary_assembly:Lsat_Salinas_v7:3:36664040:36664517:1 gene:gene-LSAT_3X28360 transcript:rna-gnl|WGS:NBSK|LSAT_3X28360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHKQRVPQQQRHYKVALPSTNIIAQVISASIAGDMIIASAYAHELPHYGLKVRLTNYAADFYWLVVS >cds-PLY79512.1 pep primary_assembly:Lsat_Salinas_v7:1:34835166:34839315:-1 gene:gene-LSAT_1X32200 transcript:rna-gnl|WGS:NBSK|LSAT_1X32200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEVSSKGNNSMRGDRDTFSLSGFSDKNDAVGDSRAVTAVSGAGKAEADMALYKELWRACAGPLVTVPRENELVFYFPQGHIEQVEASTNQVAEQQMPMYNLPSKILCRVVNVQLKAEQDTDEVFAQITLMPEADQDENAVKKEPPPPPQSRFQVHSFCKTLTASDTSTHGGFSVLRRHADECLPQLDMSRQPPTQELVAKDLHGTEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQPNVPSSVISSHSMHLGVLATAWHAIQTGTIFTVYYKPRTSPTEFIVPYDQYMESIKNNYSIGMRFKMRFEGEEAPEQRFTGTIVGIEESDPKRWPESKWRSLKVRWDETSTVPRPDRVSPWKIEPALTPPAITPLPVNKQKRHRSSILSTSPDSSVLTREGSSKMATADPSRVELSTLRPPFTEINDSDSCDALSVSRCLPFGRPTESSFTDLLSGFGSHNNSTEFSNPWSKMPSNMPLNLLGSSMKGVDIPFQSYPIHRNPKWMMPPPLPSYLHIPSHSTDVIPKTPLVQNEVKKPQDGSCKIFGVPLAGNTVASPGKDREKQYSNPQPQVKVQGGVSTRSCTKVHKQGIALGRSLDLTKFNNYDELIAELDELFEFNGELKTRNRSWLVVYTDDEGDMMLVGDDPWQEFCGMVRKIFIYTREEVQRMNLGALNSRGDDTSSVAEGMDARDTRINLLSSSSPEDV >cds-PLY79914.1 pep primary_assembly:Lsat_Salinas_v7:8:17095247:17097319:1 gene:gene-LSAT_8X11900 transcript:rna-gnl|WGS:NBSK|LSAT_8X11900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVELGQSDTEVYAPKKTLHVWRALLNWLAFFFQIFVQIIKATPTLINYSSSSSSSPSFEPLPVVELSESPESPSPYAASAVNIPTADAAYDLSQKLTVVLDLDETLVCAYETSSLPAIVRNQAIDAGLDWFELECLSSDKESEGKPKINYVTVFERPGLHEFLTQLSLFADLILFTAGLEGYAKPLVDRIDAENRFSRRLYRPSTSSTEYREHVKDLSCISRNFCRIVIVDNNPFSFLLQPVNGIPCIPFSAGQPHDNQLLDVILPLLKQLSEQGDVRPLLYEKFHMPEWFHKHGIPNGWVGTHG >cds-PLY73716.1 pep primary_assembly:Lsat_Salinas_v7:8:168825352:168826742:1 gene:gene-LSAT_8X110081 transcript:rna-gnl|WGS:NBSK|LSAT_8X110081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANSHLQLLLYLFLLTIKISSSVQTTLFHGGFLLDITKDAQTLQYTTQLLIGTPQLPTKLVVHLSGQSLWLNCAHSSSSRHFVRHGSLPCLMAKSGGQTPIVPSPSPTICDVHQENPITGSTNFGDLAEDIVTVSDGMGVDRFLFLCSPEYLLKTLATGAKGMLGFGRSKIAFQSQAVNNFDIPRKFSICLSSSEGFIFSGIGISKSLSYTPLISTHGHDGYYVNVKSIKINGRKLVLQPIRGVEISTVVPYTTMKSPIYGIFTKAYVKAASSMNMTMVAPVAPFGVCFSSQRKVPEIELVLQSEVVKWMIQGRNSMVQVSDSVMCLGFVDGGLNMRGSVSMVLGGYQLEDHILEFNLATGMLGFSPSLLREGDSCSNMKNAVVSTPLTL >cds-PLY89394.1 pep primary_assembly:Lsat_Salinas_v7:4:122819118:122819863:1 gene:gene-LSAT_4X77580 transcript:rna-gnl|WGS:NBSK|LSAT_4X77580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAPVGFLVVSLLLSCFATTCYGVTFSSLQRTLEVTASPKEGQVLKAGDANITVTWGLNRTIQAGTDSAYKTVKVKLCYAPISQKDRSWRKTVDEMKKDKTCLVKIVAKPYTASNNSFTWTVERDIPTGTYFVRAYAFNAHDEEVAFGQTTNDKKETNLFKIQAITGRHASLDIASVCFSAFSIVALAGFFYMEKSKGKASQQK >cds-PLY81058.1 pep primary_assembly:Lsat_Salinas_v7:6:133068452:133069234:1 gene:gene-LSAT_6X81041 transcript:rna-gnl|WGS:NBSK|LSAT_6X81041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRKDQDTHLDTLSKKKYRGVRMRSWGSWVSEIRAPNQKTRIWLGSHSTPEAAARAYDAALLCLKGPSANLNFPPHNYHHLHHSTTAMSPKSIQKVAAVAAATAEPTTTSSSLPSLPSSPSSSSLHLPTTPVGNEIMLESDFFETTVYEPWSWCNLDAPIYNDVMFDESMLDPMCSLLIEDVYEDVGDIPLWSFC >cds-PLY99759.1 pep primary_assembly:Lsat_Salinas_v7:9:53197410:53198341:1 gene:gene-LSAT_0X7641 transcript:rna-gnl|WGS:NBSK|LSAT_0X7641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVISGDNLVERNIGQAVDNAGGYDDNDKREKRIPKKAKVFHSPYIERIVKVGEKLSKDETWICNSVFASTRDDGDEIWDIGTEDILNELKSEDMKCRLFATLLRIYTKKFDVKPSFRDVALVFFPIVDDGKYYLLIFDLRSSLYYIVDHVKRTGTLERKYGMIPNLVVR >cds-PLY83528.1 pep primary_assembly:Lsat_Salinas_v7:8:189204915:189205591:-1 gene:gene-LSAT_8X122621 transcript:rna-gnl|WGS:NBSK|LSAT_8X122621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSRSLLAQSATYYCQNLLCLNVIVANYFVDIPAEAFKTLTSLPGVVGFTFDLIRGEKTLDLIKSSFPSGKYLFAGVVDGRNIWANDLVGSLAGHKNEAFFSANAAVQVSRKSSPRVTNESLQKAAAALRGLVHCRATNVSARLDAQ >cds-PLY96944.1 pep primary_assembly:Lsat_Salinas_v7:4:174040747:174041601:-1 gene:gene-LSAT_4X102941 transcript:rna-gnl|WGS:NBSK|LSAT_4X102941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKALVEDTDMPIKMQIQAMAYASQALDLFDVLDCKSIAAHIKKEFDKRYGNGWQCVVGSNFGCFFTHTKGTFIYFTLETLSFLIFKGASSLSSSS >cds-PLY66645.1 pep primary_assembly:Lsat_Salinas_v7:1:53351445:53353934:1 gene:gene-LSAT_1X46500 transcript:rna-gnl|WGS:NBSK|LSAT_1X46500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKWTSPTDAMPWVGLYISVASLVCTLAMAADVFQGFRQRKLWFPCRFFTINSASLTLIAISTKLPVDLSADMSDDFHEILAKSVSIIFLFTMLANFLPSLGLMNDKELLLNTVALCILIYTIKVNMWIQFISAWVNLASLIPLLILLIPWPISVALTVSASRRVLQQRYKKLHSLASNHEQINFSYKETENKVKKYWMMAETGNPQFAIACSPVSSAFGVLCSLFAFSAFNFLLVFRSDIENDISDYKWSIKLIVYIQLIGTIIGSIAPIFRCLTATSHFNLSMKRSMHHLNVFHVEKHWKQRLRQWKHSHVPSYIPGRHCKKVFCNIRNIVLNFCIVLQIMVVVICKTLCLLPISFLILFYYCYHFAKYALKWFKEEPNASSTKWISDMEEYTGYVLQIEQDAKLSMRMLRNALSSITRLLQESKKKEPRNLMILLEKSTGFKGVIEFDSDRVPPLHPEETQNCWSLVAVTLTAIALSLPNIANRHIKGLLASMREGLQFVRHIEENLNANDELVKTRKAARRVWTDVEVYCKWLQIDLQKKASKGKTSKEILQWLGDEAAKIVIQFKTRKNVSLDHSLRKFISASSMYRISQTILLRCNEQENWPTDEELFEWISTIIADLLCACFTNLPRVITMKCHDDAIEKREDTIRTAAQLLGRSKKILKMLKKRQLPNLDMDSIGYIDKWHALPKSKIPNGCSFSARSQPASSSSNESLFVTII >cds-PLY85902.1 pep primary_assembly:Lsat_Salinas_v7:2:189991488:189995576:-1 gene:gene-LSAT_2X111460 transcript:rna-gnl|WGS:NBSK|LSAT_2X111460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVNITAIKTASDGVWQGDNPLDYAFPLLIIQTTLVLAVGRSLAFLLKPLRQPKVIAEIVGGILLGPSALGRNQEYMHRIFPRWSSPILESVASIGLLFFLFLVGLELDLSSIRRSGKRAFAIAAAGISLPFIFGIGVAFVLRKTIDGADKVGYAQYLVFMGVALSITAFPVLARILAELKLLTTRVGETAMAAAAFNDIVAWILLALAVALAGNGDEGVAFVIFMMVVIRPAMNWVAHRCSPEHDTVDEVYICLTLATVMVSGFITDLIGIHSIFGAFIFGLTIPKGNFAEKLIERIEDFVSGLLLPLYFASSGLKTDVTKISGGKAWGLLAMVITAACGGKIFGTFVVAVMCMIPVREALTLGLLMNTKGLVELIVLNIGKEKKVLNDEVFAILVLMALFTTFITTPAVMAVYKPARSSGGRRSSSGKKYDLRVLACVHGPGNISSLINLIESTRSVNKTRLKLYVLHLVELTERSSSIVMVQRVRKNGLPFVSRFNYTARAFHERVAVAFRAYGQMGQVVVRTTTAVSALPTMHEDICHVAKEKGVPMIILPFHKRWIKTEGPDVIENVGHGWRGVNQRVLNKAECTVAILVDRGLGDESQQNGSDTTVAQKVCVMFFGGPDDRACLELGGRMVEHPAVNVTVLRFVEEKRAEHDGVGLKPAPSKGRDKYTFSTTVIHPEKEKESDEKVMDEFLRKWEGMVEYKENSGNDIVESILRIGKSGEYDLIVVGKARCPTAMVARLGDRQPEHAELGPVGDLLASSNHGVVSSVLVIQQHDKIVSEESPSQNEEAANEV >cds-PLY63946.1 pep primary_assembly:Lsat_Salinas_v7:4:76713453:76713692:-1 gene:gene-LSAT_4X51861 transcript:rna-gnl|WGS:NBSK|LSAT_4X51861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKALLSVMWVRNPNQKSSASQWLNGSHHHTLAQTPFAALRSSREAGELSWVDFKRDDSQSEGDGSLLSFLDSEVRPSS >cds-PLY97347.1 pep primary_assembly:Lsat_Salinas_v7:4:286346116:286347266:-1 gene:gene-LSAT_4X145721 transcript:rna-gnl|WGS:NBSK|LSAT_4X145721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEQKKQSAADVTFQYSNFVMACIGFQARPCDMRLHLMKEISGMPTSLKRDTSQVAVASSDAMGETSSSGTARLDKADSFRAL >cds-PLY98172.1 pep primary_assembly:Lsat_Salinas_v7:1:139993854:139994319:-1 gene:gene-LSAT_1X101481 transcript:rna-gnl|WGS:NBSK|LSAT_1X101481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEANRLIQETSTCWQHFDMLWVVEDDGVERRQARCKYCFATLKADPSRHGTSSLNRHCRTCAKNPNNIARD >cds-PLY72764.1 pep primary_assembly:Lsat_Salinas_v7:4:372229928:372232175:-1 gene:gene-LSAT_4X183181 transcript:rna-gnl|WGS:NBSK|LSAT_4X183181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPTDPMKKLSFKRHISDGDLVIVYEKHDNMKAVKVSEKSVLENRFGVFKHSDWIGKPFGCKVSSHKGGFVYLLAPTPELWTLVLSHRTQILYIADISFVVMYLELIPGCVVLESGTGSGSLTTSLARAIAPTGHVYTFDFHEQRAIAAREDFEKTGLSDLVSVGVRDIQGEGFPKDLVGRADAVFLDLPQPWLAIPSAGEMLKEDGVLCSFSPCIEQVQRSSETLASNSFTDIRTFEVLLRTYEVREAKMDQCQTQTQTEEGTPGARPFKRKQRASEASNWQADNSGSPSVMARPSGEAKGHTGYLTFARLKCIA >cds-PLY90373.1 pep primary_assembly:Lsat_Salinas_v7:7:187020767:187022883:1 gene:gene-LSAT_7X111741 transcript:rna-gnl|WGS:NBSK|LSAT_7X111741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKIERAHQMYRDGNYEEALEFYTEALSIAKTNPQKIALHSNRAACFLKLHHFKKAAEECTSVLELDHEHTGALMLRAQTLVTLKEYHSALFDVNRLIELNPSSEVYQNLEARLKTQLSLAPIPELEEEEEEEEEEEEEEEEEEEEDNEEDEQSTSVEIAENDIDDTKGHEPDTAVSNLENVEITITPPQTQSLKEEIPKPKGHSRLDYSRWDKVEDDSSEEDEDDDEDSQPQYRFRVKNIGVRSVK >cds-PLY70139.1 pep primary_assembly:Lsat_Salinas_v7:3:10642324:10643504:1 gene:gene-LSAT_3X6441 transcript:rna-gnl|WGS:NBSK|LSAT_3X6441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRIKDRWGAWQQGSMEGRKGSMIDCLVLGVQRRRDCEGFKYAAKSRIYELDRLRHEVQVPWHLQILCQMFLGVGLVARMVFHHSTSELEDFLSFQKRIHTDHYKAAVKHLKGALHSTPPAFEAYFLSYRQAAFLRTF >cds-PLY96912.1 pep primary_assembly:Lsat_Salinas_v7:2:5788990:5789446:-1 gene:gene-LSAT_2X2401 transcript:rna-gnl|WGS:NBSK|LSAT_2X2401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALFVKKLVVFLLPILLLTCSSYANDNLPQVRVGDPKLLQTMIKGVGKQLHKRTFDSGWPKLPISTTTMLAGKAKVLEDSTRLENWIKVNTQAEDELSEVSQKVAETIVMMIGDKLIFGQW >cds-PLY83095.1 pep primary_assembly:Lsat_Salinas_v7:1:156055983:156058085:-1 gene:gene-LSAT_1X107981 transcript:rna-gnl|WGS:NBSK|LSAT_1X107981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGNRTRVLCKFFAHGACLKGEHCEFSHDWKDPANNVCTFYQKGACAYGIRCRYDHVKVPQRQASASSSTYPFQYAVPESITASSTPGTASPIAVIGELSAYTSAKPTWAEGSGQNDLISDVIGQSRSTNPADIVMCSFAAAGNCPRGETCPHLHGDLCPTCRKQCLHPFRADEREEHKMGCEKKHKHLEALKHSQEIECSVCLERVLSKPTAAERKFGLLSECDHPFCISCIRNWRSSSPTSGMDVNSALRSCPICRKLSYFVIPSVIWYATKDEKQEIVDSYKARLRSIDCRHFDFGNGTCPFGTSCFYKHAYRDGRLEEVVLRHLGAEDGQTVIAKDIRFLFLFLFLFLIQK >cds-PLY61720.1 pep primary_assembly:Lsat_Salinas_v7:5:218729664:218730208:1 gene:gene-LSAT_5X100541 transcript:rna-gnl|WGS:NBSK|LSAT_5X100541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTGNLDVEVKVKSEAEKFWKSIKDDVTILPKVCSKIYEKIEVVEGDGWSLGSVREIHYGEGMPFGKSRKEKIEEIDESKKKVVYSVIGGDLMEYYKTFKFSIEVIPEGEGSLVKWHCEYEKTSDEVPDPITGRDTAAENIKDIDAYLLKA >cds-PLY80312.1 pep primary_assembly:Lsat_Salinas_v7:3:207840920:207843402:-1 gene:gene-LSAT_3X122401 transcript:rna-gnl|WGS:NBSK|LSAT_3X122401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METQRVSDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFQFILFIVLCGMTHLLNGWTYNAHPFQLMLALTIFKFLTALVSFATAITLITLIPLLLKVKVREFMLRKKFWDLGREMGMIKKQKEAGWHVRMLTHEIRKSLDRHTILYTTLDKLSETLDLQNCAIWMPDEAKTVMNLTHQLKGVEQNLTINDFYIPIQDSDVQEIKRSEVVKLLDRESRLSALSSGGLDPPGGVAAIRMPMLRVADFKGGTPEMVQVCYAILVLVLPGGNFRSWTNSELEILKTVADQVAVALSHAAVLEESRLMRDKLVAQNQALQQAKHDAMRASQARRSFQSVMSTGLRKPMHSIMGLLSILQDDNLNDEQRVIIDTMVKNSNVLSILINDVMDDSTKDNGKFPLEMTSFRLHDLVKEAACLAKCLCAYKDNKFEVNFDKYLPHNVLGDERRIFQVILHMVGNLLSWGNGGGCLTFRVFGERGSQSQQWRSWRSKSNDGYVNVKFEIGINDAVSVVERSSGDLRSQRVVAEESLSFTMCKKLVQMMQGNIWVVPNPIEFDQSMSLVLRFQLRPSIMLAGSETSEPSDHHHPLSNSILKGVQVLLADEDDINRAVTSKLLKKLGCVVTTVTNGLNCLTALTPPVAAYQILILDLHLSDLDGFEVASRIRKFRSRNWPLIIASTACGDEDLWERCLEFGMNGILRKPIRMQDIADQLRRVLIQANKVS >cds-PLY72178.1 pep primary_assembly:Lsat_Salinas_v7:7:54407209:54410089:-1 gene:gene-LSAT_7X39521 transcript:rna-gnl|WGS:NBSK|LSAT_7X39521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRGFRLIFATSLLLMLLGICNGSKIGICYGRNADDLPTPDKAVELIKNQNINSVRIYDSNIQVLKAFANTGIDLMIGIPNSDLLPFSQFQSNADTWLKNNILPYYPATKITYITVGAEVTEAPNNVSAMVVPAMTNVYTALRKAGLHRKIKVSTTHSLGVLSRSYPPSAGAFNSKHAGFLKPLLEFLAEKQSPFLVNTYPYYAYQDSSNNVSLDYALFESSSEIIDPNTGLLYTNMFDAQIDSIYFALTGLNFKTIKITVTETGWPSKGSPKETAATPENAQTYNTNLIRHVINNTGTPAKPGEAVDVYVFSLFNENRKPGLESERNWGLYYPDQTSVYNVDFTGRGSVDVNTGGNFTSGNGNGNGNGSFWCVASSNASEKELRDGLDWACGSGNVDCSPIQPSQPCFQPDSLVSHASYAFNTYYQQNGATDIACSFGGTGYDSCLYMTAGGKKTAASNVTANATSWGQKGYSVPSPYYYYCLLVILFVLTKLSDV >cds-PLY67734.1 pep primary_assembly:Lsat_Salinas_v7:9:165928326:165928661:1 gene:gene-LSAT_9X102881 transcript:rna-gnl|WGS:NBSK|LSAT_9X102881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVWSVGIVEKAILRCRRKSRRLHGFRPELRAPESEYDFLRIGRKHKYVGVEKALAMVHSMAQNPEGQEQDMRLVGKFEKLSHEDSSSK >cds-PLY69538.1 pep primary_assembly:Lsat_Salinas_v7:8:83654539:83661120:-1 gene:gene-LSAT_8X58360 transcript:rna-gnl|WGS:NBSK|LSAT_8X58360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKNMFPLMLLLGLVSGIVAWPIDEFNVFNYGASGFGEVDDSKAFVNAWRDACNVGTHDARVIVPKGTFLLNPLMFVGPCNPQIIRFVIFGKIIAPGEPEAWNGRDSSQWIAFKNVTGLNLYGSGLIDGHGMRWWDQSCRYHPELIFKFISCKESTLRDIHLVNSPQTHVLIQYSNGFNVDNVTIQSPEHSPNTDGIHIHSSHFVKITNSIIGTGDDCISIGDYISNIDIADIHCGPGHGISIGSLGKNREVVHVEHIHIRDSTLKGTSNGARIKTWQGGQGNVRNITFENLEFDAVKNPIIIDQNYCDVRGRCKEEETGVKISDVIYKHLYGTSSTKIAINLNCSKSVPCSRITMDNINLVSVQQGYPVTANCTNAKGTEVEVNPGPCLRN >cds-PLY74447.1 pep primary_assembly:Lsat_Salinas_v7:7:41141169:41141893:1 gene:gene-LSAT_7X32021 transcript:rna-gnl|WGS:NBSK|LSAT_7X32021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPCCGILRDVVMVGAEDTLLSPPEGNMTFLGGTNWCVASPGASQSDLQNALDWACGLGMADCSQIKPGGPCFEPDTLFSHASFAFNNYYQQNGNSDVACNFGGSATLTKNDPSYGKCSYIASG >cds-PLY69011.1 pep primary_assembly:Lsat_Salinas_v7:9:140618614:140618916:1 gene:gene-LSAT_9X89941 transcript:rna-gnl|WGS:NBSK|LSAT_9X89941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIGRYLSPSIKSILIPKLFLLLGSVVSLRRCCPPYILIDFPQNHTHFTSPTLKPPSPPPAMVERMVLFTGWTPSTSDFYRLLLLLPRFLVIWRLNSLIK >cds-PLY91982.1 pep primary_assembly:Lsat_Salinas_v7:7:91496519:91497675:1 gene:gene-LSAT_7X63121 transcript:rna-gnl|WGS:NBSK|LSAT_7X63121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESQPSTSYSTYRQFEFPEILLATDNFDQSLVIGQGGFGKVYKGKVLIGSSPVAAAIKRLDSMSTQGAEEFWAEVEMLSKLRHCHLVSLFGYCNYEKEKILVYEYIPNRTLEDHLHKLCTPLSWHQRLKICIGAARGLDYLHTGTGIEIGVIHRDIKTSNILLDDSWAAKISDFGLSRISPTNQPSTYVNTLVKGTFGYFDPNYFTTGRLTRKSDVYAFGVVMLEVLCRKRAVDRSLDDEQWGLVTWAQDYIKEGNLKHIIDSDIRGQISTKHLKEFARIADRCLLGNPKQRPTMFEVVVSLDSVMNGRSSLPATGKTVFGRVLDLFPLPSNGENSGILSSF >cds-PLY92067.1 pep primary_assembly:Lsat_Salinas_v7:5:324878911:324884762:-1 gene:gene-LSAT_5X179300 transcript:rna-gnl|WGS:NBSK|LSAT_5X179300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSWEADKMLDVYIHDYLLKRKLHASAKAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAVAYIETQQMKAKEHQQQLQMQQMHFLQQRNAQMQRRDPNHPPLGAHVNAMNNDGMMGKPSPSTLGGMKIYEESMKPSHSMDSETSPALLDASRMALLKSATNHQGQLMQGNSANMSASLQQIQGRSQMNTEIKQEVNMGNTQKSLPTDPSSIYGQAILQSKSGMGNTGFNQGVTGLPLKGWPLTGIDQLRPGIGLQVHKPNLQNPNQFYLASQQQQQQQQQQQQQQQVLAHAQAQGNLGASPNYGFSGLSRGNIIMKDGQPTRNEGSIGSPGQMNSPKMKMPQMQQSSSQQQDQLQQHQLQQQQQNNRKRKQHSSSGPANSSGTGNTAGPSPSSPASTHTPGDGASSLQHVNNVQKSMMMYGGDGTGGLASSTTQLDDLDQFGDVGALDDNVESFLQHDAGDYGTLKQTVTEHKSGSSSSNSKGFSFGEVGCIRTRNKVTCCHFSSDGKLLASAGHDKKAVLWNMDTLKTESTPEEHQYLITDIRFRPNSTQFATASFDKSVRLWDAANPSYCLNAYTGHSGHVMSLDFHPKKNDLFCFCDSMNEIRYWNVSPFQCARVSKQRGVEQVRFQPVTGHLLAAASDKIISIFDVETDRQTHSFQGHSGVLNYLCWDLNGEYLASVSEECVKVWSLASGECIHELTSNENQFHSCVFHPSYSTLLVIGGSRSLELWNMAENKRMIVPAHDNIISALAQSPVTGMVASGSHDNSLKLWK >cds-PLY78607.1 pep primary_assembly:Lsat_Salinas_v7:4:151966098:151966352:-1 gene:gene-LSAT_4X93461 transcript:rna-gnl|WGS:NBSK|LSAT_4X93461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQFPESQFDEGVPITQDDGVTGTQFDVENANWEDQSHVVGGIAINVPIIREKLNPRKPSG >cds-PLY66794.1 pep primary_assembly:Lsat_Salinas_v7:5:18683078:18687848:1 gene:gene-LSAT_5X9480 transcript:rna-gnl|WGS:NBSK|LSAT_5X9480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRCPPIPLRPTRNVGGLLFITLLHSTLFLFCSSSSIPDCRLSSTGASANSPICASPELSIQDKKSKNTDDASCLKRGFSMLDAEFFNDNKMLEIEKGAKELNIPIIKANRKIVATTNGGLHYPSSLVFNSKWQHEETQDDAKKFSYPSVSGVMKPENEEDIAFMTILELGHLIRTKQVTSEELVRVFLKRLKRYNPVLEAVVTITEDLAYKQAKEADELLAQGIDLGPLHGIPYGLKDIISVPEYKTTWGSTTFKNQVLDIEAWVYKRLKAAGAVLVGKLVTGSLAYDDIWFGGRTRNPWNIEEFTTGSSAGPAACTAAGMVPFAIGSETAGSITYPAARCGVTALRPTFGAVGRTGVMSLSESLDKLGPFCRSAVDCAIVLDVIRGKDPDDGSSRKIFLDDPFSVDITKLTVGYLEDAEMEVVDVLKSKGVKMVAFNLSYSVESAQGILNFTMDVDMLAHFDKWQRSGEDDEYEAQDQWPTELRRTRIIPAVDYIQSQRARGKLIREVKESFRVDAFVGNATDWEKVCVGNLVGMPVMVVPVGFQKIPDPPTNDTRRRSTVTTGIYAPPDHDHIALALTMAYQTVTNHHKQRPPIDNLGPDDVIPNPPKAMIPPRILKA >cds-PLY92333.1 pep primary_assembly:Lsat_Salinas_v7:9:177942825:177945259:1 gene:gene-LSAT_9X110320 transcript:rna-gnl|WGS:NBSK|LSAT_9X110320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQPEEKLQEIRDKEASRKEEKREISSSPSFKFNAHAPEFVPSSHTQIPVSGYFYPCFNYLGVGGNDGGGSGEWVYVGGSDQDQQVQFFSNPDVVIPNYSKNVLTEDLQQKIIKQVEYQFSGLSLLANESLVKHINKDPEGYVPISVIASMKKIKSCITNNHLLAQALCSSSKLVVSNDGKKVRRKHPFTEKDKEELQSRTVVAENLPEDHSHQNLEKIFNVVRSVKAIRICHPPEPNSSRSRGDYVFSNKLHALVEYETAEMAEKAVEKLNDERNWRKGLRVRLLLRRSPKSVLKSRKSEFDGILDDDNDEPFDSPEESSPTIFTETVVDNNAEEGSKKGWARLRGKSKIRTPTHNGRGVLSGSPQSSGSAHSETSSPKLSPKGPRMPDGTRGFTMGRGKPMSPHALTSTP >cds-PLY83153.1 pep primary_assembly:Lsat_Salinas_v7:3:95111854:95113394:1 gene:gene-LSAT_3X71500 transcript:rna-gnl|WGS:NBSK|LSAT_3X71500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALMTTLLASLLILSFFSHGTSLSSNYYSKTCPNVESLVTKAVSDAASNDKKVPAALLRMHFHDCFIRGCDASVLLDSKGKNTAEKDGPPNVSLHAFYVIDNAKKAVESACPGIVSCADILALAARDSVVLSGGPSWDVPKGRKDGRTSKASETIQLPAPTFNISQLQKSFAQRGLSLEDLVALSGGHTLGFSHCSSFKNRIHNFNSTTDIDPSLQPSFAASLKSVCPVKNAKNAGVPMDPSSTSFDNTYYKLLFQQKALFSSDKALLDFPKTKNLASKFASSKDAFTKAFIKSMIKMSSITGGQEVRKNCKVVN >cds-PLY66992.1 pep primary_assembly:Lsat_Salinas_v7:6:140949943:140952367:1 gene:gene-LSAT_6X86200 transcript:rna-gnl|WGS:NBSK|LSAT_6X86200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQIVEAEKKKIRQEYERKQKQVEVRKKIEYSMQLNASRIKVLQAQDDLVNAMREAASKDLLNVSHHKFHQHHNYSGLLKGLIVQGLLRLKEPSVLMRCRKEDLHIVESVLDDAKAEYAEKAKVHEPEVVVDTIHLPSGPSHDDPHALSCSGGVVLASRDGKIVFENSLDARLDVAFRGKLPEIRRLLFSQVAA >cds-PLY68069.1 pep primary_assembly:Lsat_Salinas_v7:5:292768004:292768726:1 gene:gene-LSAT_5X153840 transcript:rna-gnl|WGS:NBSK|LSAT_5X153840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKEQNTPCLEAQQTRPSVKTTWPELVGMKAEDAEKKIKEEMSGAMVHVVPQDTFLTMEFRSNRVRLFVDSSQNVVRAPRIG >cds-PLY61687.1 pep primary_assembly:Lsat_Salinas_v7:5:216608366:216611246:-1 gene:gene-LSAT_5X99861 transcript:rna-gnl|WGS:NBSK|LSAT_5X99861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDESKFDVHLKLWALRIPREFCKVATKLLNGHMLDRPRIKPITEDPTSEKTRYVILSERVQNPDLSDIPTKNLDELKKLCKIETVPYSLTLGYSYWGADHVLKQILPSGLEVPSSFETIGHIAHLNIPDELLPYKDVIAKVIYDKNYPRIQTVVNKVGSISNEFRVPQFEILAGKQEMSTEVKQYGATFKMDYGLVYWNSRLEHEHIRLVNKFEKGEIICDMFAGIGPFAIPSAQKGCLVYANDLNPDSVRYLKINADINKVNHNLHAYNLDARIFMSKLMQVLPVDDDVKKPKPESEKRNGEEGDMNKESNIATSESCIQAHVAVKTKGSKNKRMKTLLTFSDKSWEHIDHVIMNLPASALQFLDVFRGLIQMKYWKGSLPWIHCYCFIRSNETQESVVSEAESLLNAKIHDPLFHRVRDVAPNKAMYCLSFRLPEEACINEVS >cds-PLY88046.1 pep primary_assembly:Lsat_Salinas_v7:6:183816566:183818073:-1 gene:gene-LSAT_6X112200 transcript:rna-gnl|WGS:NBSK|LSAT_6X112200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SBP1 [Source:Projected from Arabidopsis thaliana (AT1G45976) UniProtKB/TrEMBL;Acc:A0A178WK23] MAMPEHHQFNNFLQQQHQQQQQSKSFRDIFNNMEGQISPQINYINADQAHHPPYIPQFHVVGFAPGTDVSDGGFDLQRNYDLESRKKRPNEQEFFENNNTNSQISSIDFLQPRSVSTGLGLSLDNGRLASSGESSFVAGLMGDEIEMELRRQDAEIDRFMKIQADRLRQAVLEKVHANHLQIISHVEDKFIKKLHEKESEIETINRKNMELESQVEHLAMEAATWQQRAKYSENMVNTLKVNLQQVYTHGRDSKEGCGDSEVDDTASCCNGRAIDFHLLCKGNNGMREMMTCKVCGVNEVCMLLLPCKHLCLCKECESKVSLCPLCQCSKYIGMEVYM >cds-PLY79286.1 pep primary_assembly:Lsat_Salinas_v7:4:370501529:370503227:1 gene:gene-LSAT_4X182240 transcript:rna-gnl|WGS:NBSK|LSAT_4X182240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGSMASSVFSLPWLFTTLKAQRQRLALLPCPNFIQPDLTFKFLRLGISYSKRKESRLVISCLVENNPEACQESEGSSGSHESNLGATIDLKLSRRSLLLHFTCNSCSERSKKLINILAYEKGQFLYSYNWIQV >cds-PLY80038.1 pep primary_assembly:Lsat_Salinas_v7:9:46571424:46573507:1 gene:gene-LSAT_9X43180 transcript:rna-gnl|WGS:NBSK|LSAT_9X43180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g18520, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18520) UniProtKB/Swiss-Prot;Acc:Q0WNP3] MFSTITFVSPNLCFLQSPPPPFLVDIHLSTSLNHSHEPLKKPRNICSSTHEDQRFQCSSAKRNDYQTHTDDKLPSCSDNSGDRYVVNGKTLGTLLHFSSSIKEVKRLHGVVSKCRGDSRIFVDNNLISGYLRMGCLSDARKVFDEMPERNVVSWTAMLGGYLKYGFEDEAMRLFMDFVKSGIRANPKTFVCLLNLCSRRLDFELGKQLHACVFKGRFGNLIVDSSLVYFYAQCSHLGEAFRVFNNMQGRDVVSWTTIITACSQHGQTKEAFTLFSQMLNDGFAPNEFTVCSVLKACGEEQAIKFGKQLHATIVKKLFKNDVFLETSLVDMYAKCGEIEDSRIVFDRMRRRNMVTWTSIIAGYARNGHGEESINLFRIMKRRKIYVNKLTMVSLIRACGIIKALQIGKELHAQILKNHIEDNIYIASTLVWLYCKCQDYPSANNVLHRMKFRDVVSWTAMISGCTKLGHEHEALEFLKEMVGEGVTPNPFTFSSVLKACAKMEDIWHGKVIHSSISKSPSFDNVFVGSALINMYSKCGYVEDAIEVFDNMPEKNLVSWKAMIVGYAKNGMCREAMKLMYRMEAEGIEVDDYILATVLTACGDFEWNEDEYSSKYCLKKES >cds-PLY96936.1 pep primary_assembly:Lsat_Salinas_v7:1:142806982:142810861:1 gene:gene-LSAT_1X104941 transcript:rna-gnl|WGS:NBSK|LSAT_1X104941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAVEPVLERENKDAADQQTEKVEIRKTDKDLEKGEVGFEEHQHPPRDVHMSRMQRLSATNPLRLVIDNATRVPPTRGPTAAHHHPPPPPQPQPQPPPTQPHHHPSPPPPTANPRSIPVPIATPQHGTPTPQPSLTTLNSRKYTNKISLFLFALHFLVAICLVFFLVYKGIQGLLQGGSAGRKERRVIHYFLPQVEAASLLSITLAFAWQKAVRVWPNFMVHFILWSSFLMTLSAGILLICFQRPSTDGVGVVFIFFAIGNGLYSCWVTQRTKFCSKVFLKSLEPVSKFPDLNRPTYWMLGIGFIWMSIWILAVIGALNFYFPPLVIILLVLSLLWTAEVMRNVANLTISRVIALYYLRGMQSNTQFCFQRAMSKNLGSACLGSLFVPTIEALRIVARGLNLLEGEDEFMFSCAHCCLRVMEAIFRYGNGWAYVQIAAYGKGFVKASQDTWELFEKREMETIVDSDITTAICFLTGVCSGSICVIVVAAWTASVHAPFTATISLLAFIVGKSKSNGSRCLVELCYRFDRLSYVIVDLMYT >cds-PLY78903.1 pep primary_assembly:Lsat_Salinas_v7:5:306238330:306238575:1 gene:gene-LSAT_5X166240 transcript:rna-gnl|WGS:NBSK|LSAT_5X166240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPNLVGTLSILSDLTDLDIGGDRNRKSRSKLADLDKPYERSGGRSSVLVTKSVQSEGGGKTDGGSESRGSRSDDISKDLD >cds-PLY88621.1 pep primary_assembly:Lsat_Salinas_v7:5:139621202:139624977:-1 gene:gene-LSAT_5X61080 transcript:rna-gnl|WGS:NBSK|LSAT_5X61080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AtACDO1 [Source:Projected from Arabidopsis thaliana (AT4G31390) UniProtKB/TrEMBL;Acc:A0A178V5V0] MEMSTTSYHIPKPFLNSSCYTPVGVSATWITRFPVAVIRNRRSSNRKTLKVVKAVAAASTARGRAAVNGGSRSEQELQSSSNASISSSALEQLDIERGVCIPFRKYTPDTVRSKVLESQGAILSLVGRGVEIVWKLGFYWTRLVYDYLVGRDEEVVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNQVAFNIIEEELGQPLEAVFSKISSETIAAASLGQVYRATLRASGEDVAIKVQRPEIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKGDPTVKIPRAYRQLSGSRVLVMEWIDGIRCTDPQAIKEAGIDVNGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAQLSQQNKQILIDAVVHAVNEDYAEMAYDFTRLGFLAKGTDVSPIIPALESIWQNSAGKGLADFNFRSVTGKFNQLVYQYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYIAKRLLTDPNPALRERLVQVLFKDGLFQWKRLENLIVLAKENVTKMSSNPAYQGNSTESSRGLQVQRKLDLTDTIKDGARLFLIDEGIRRQLLLALTEDSKLHIEELVDVYRLVEDQIDIPSVALEVVRDLPSAARDFMLSWSASVLSDK >cds-PLY74834.1 pep primary_assembly:Lsat_Salinas_v7:8:105933880:105936108:-1 gene:gene-LSAT_8X72121 transcript:rna-gnl|WGS:NBSK|LSAT_8X72121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAGNSRPNRSDAHLSKEEEAKIEGETRDYFDGLAPRRHTKPQRSEYSSKYVDGLGLPNDEDDGVSPEYLEFQHLEQNSEKIVYTGKDVSDEFVETEYYKDLNGVDKQHHTTGTGFIKVDDTNKAGFELGSESENAHHASCKGNPATNEWTPAPADMGSFVSDKPQRSDN >cds-PLY78530.1 pep primary_assembly:Lsat_Salinas_v7:1:104484640:104484846:1 gene:gene-LSAT_1X83361 transcript:rna-gnl|WGS:NBSK|LSAT_1X83361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGLLDTEVASRMQQDTHMMEVDMQAQTTLIRVGDSKEEIMATNGRWHAIREQLIEITRALDTYLRFG >cds-PLY85159.1 pep primary_assembly:Lsat_Salinas_v7:9:147227031:147231899:-1 gene:gene-LSAT_0X6901 transcript:rna-gnl|WGS:NBSK|LSAT_0X6901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSKDNKDGIFRYAEGYDKFLMFCGTLGSIGDGLQIPLMMYVLSDVINDFGNPNAKLTNSIVDKYSLRLLYVAILVGLSAFIEGLCWARTAERQTSRMRLEYLKSVLKQDVGFFDTQEAGSSTTYQVVSTITADSNMIQITIGEKIPNCLAYLSSFFFCHIFAFVLSWRITLAALPFTVIFLVPALGFGKHMMDVAMLMVASYGTAGSIAEQAISSIRTVYSYVGERQTINKFSKALETTTQLGIKVGLARGLMLGSMGTIYVSWAFQAWVGSLLVSKHGEKGGDVFVAGFNVLMGGLNILTTLPNLTAITESQGAATRINEMINRNPTIDSEDKKGKALSYVRGEIELKGIYFSYPSRPDTAILQGLNLRVPSGKTVGLVGGSGSGKSTIISLLQRFYDPIEGEIFLDGYKIKKLHLKWLRSQIGLVNQEPILFATSIRENILFGKEGASMDDVVVAAKGANAHDFIVKLPDGYETNVGQFGFQLSGGQKQRIAIARALIRDPKILLLDEATSALDAQSERVVQEAIDQASVGRTTIVIAHRLSTIRQANLIYVLRSGKVLESGSHDELMQMGNEYFEMVQLQQSAPQNELTPRSSASNQMSHYRAMVAPSPASARSSAPGTPSLNPFSPAFSLSAPYSVQYDASYESEDEDGSNQPAHPTPSQIRLLKMNTPEWGKALWGCIGAIASGAVQPINAYCVGGLINVYFRPDKSSIVGHARVYSFVFLGLGVFNFFSSVIQHYSFAVMGEKLTTRVREKLLEKLLTFEIGWYDQDENTSAAICARLSTEANMVRSLVGDRLSLLTQAFFGAIFAYTLGIVLSWRLALVLMAAQPFLIGSFYARSVLMKSLSEKSQKAQKEGSQLASEAVINHRTITAFSSQKRIIGLFKDTLEGPRKESVRQSYFSGIGLFSSQFLAAASTALAYWYGGRLLTQGLIEPAKLFQAFLVLLFTAYTIADAGSMTKDISRGSNAVGSVFAILDKTTEIDPDTSWGRESIKGNIRGRVELKNVFFAYPSRPDQMVFKGINLKIRQGTSMALVGPSGSGKSTVIGLIERFYDPLKGAVFIDERDIKDYNLRALRLHIALVSQEPTLFAGTIHENIAYGKPGAKESEIRKAAMLANAHEFISGMKDGYETYCGERGVQLSGGQKQRIALARAMLKNPSILLLDEATSALDTVSESLVQEALEKMMKGRTCIVVAHRLSTIQKSDSIAVIQDGKVAEQGSHSDLLSVRGGAYSNLVKMQGGNSPYR >cds-PLY64129.1 pep primary_assembly:Lsat_Salinas_v7:1:1826470:1827072:-1 gene:gene-LSAT_1X1101 transcript:rna-gnl|WGS:NBSK|LSAT_1X1101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLCFRGKFVVVKCSWTSKNPGRRFYACPQKDSACRFIGWVDPPMCERSKVIIPGLLRNINRMQAWCTALKIMLLASWVKERLM >cds-PLY81200.1 pep primary_assembly:Lsat_Salinas_v7:5:101808091:101816660:1 gene:gene-LSAT_5X44761 transcript:rna-gnl|WGS:NBSK|LSAT_5X44761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVRLLGGLMALGYTIASILKISSHVFFDEKVCVKTDWLEQLNCTYVQGKKNIGTSRTRESYQLEQMGWWFYESSIIGAAVLYDRLVCAAASEMLAVKAELGQKKEEKLE >cds-PLY75240.1 pep primary_assembly:Lsat_Salinas_v7:7:66228673:66229272:-1 gene:gene-LSAT_7X47800 transcript:rna-gnl|WGS:NBSK|LSAT_7X47800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGTAAGDGFFRGGGFEGCIRGDMEITRRPYHRNCNCALHKMRGKCSHVFPATNVAYPIRRAWSEGSLALMVSTNNNSSPCSSPVAGPMADISHGGRSLFDSCDEDHQL >cds-PLY98836.1 pep primary_assembly:Lsat_Salinas_v7:7:23114288:23114791:1 gene:gene-LSAT_7X18480 transcript:rna-gnl|WGS:NBSK|LSAT_7X18480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPGRLEASRESIGWIRRGEDMDQLVLLHHKEDFDARVIEIMGCSISINPSALKIKEEQESEENFFLNVHATNNVSGNR >cds-PLY79720.1 pep primary_assembly:Lsat_Salinas_v7:8:125263310:125265558:1 gene:gene-LSAT_8X86760 transcript:rna-gnl|WGS:NBSK|LSAT_8X86760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKSNPDQSPGKKETEPLVQTEKHQTQNKETHGLRDDIDANTPINDVKGPNVFERVKEEFEAITVSIHSRDKNQDSPSSIQGGDTTFTGTPSKLKIENLRVQTGTYKEKSPHHKETHGRGEEIDVNTPISEFKGPSIFHRAKEEIEAIVDTMNTKKESEREAASPKKEGSFQVKLSKVKVENLKGEAETSKEKSPHHKETHGRGEDIDVNTPISEFKGPSIFHRAKEEFEAIVDTINTKKESASPRKEGGLRAKMSKLKIDNVKVQGETNKDKSPMSHHKETHGRGDEIDVNTPISEFKGPSIFHRAKEEIEAIVDSIQSKKESDASPKKEGGFRASVSKKLQRVYMKAKLQVFEEPKNAPKVSRMESLSKGPLIHEEKTIRIFLSDLSFLRTVSLISQL >cds-PLY81124.1 pep primary_assembly:Lsat_Salinas_v7:9:67489105:67491003:1 gene:gene-LSAT_9X57161 transcript:rna-gnl|WGS:NBSK|LSAT_9X57161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADTPSYFPSSSPSNACKCIQKVVNGGINFPSSFHSLDSTQSKRKRSFIDGYLDLQAASSLSLGLGCSITSGLTMFSGKETEENSSGNLDLTMNLNTQNVDQVKPSRIERTEVDLELSLAGGSIESDVTAVSQPSFPFMNTSSTMTSAGVQLVDEGSTSSKWKLPLLPPFLTKDPTPLLLNIQGYNGPNLVIHTASPSLDSVLPQQQNRRTGNVKDCRFTGCTRGARGASGFCISHGGGRRCQRGGCQKGAEGKTVFCKAHGGGRRCEFLGCTKSAEGRTDYXXXXXGRRCSHEGCTHAARGKSGLCIRHGGGKRCKAEGCTKSAEGVTGLCISHGGGRRCQFPACSKGAQGSTMFCKAHGGGKRCTFLGCTKGAEGSTNFCKGHGGGKRCTFEGGCTKSVHGGTLFCVNHGGGKRCAVAECTKSARGRTSFCVRHGGGKRCKYEGCGKSAQGRTDFCKAHGGGRRCSWGQPEQSGGQTSTPCDKFARGKTGLCASHSQLVQDDRIPGLMVDQPQPVMAEYGGYVLPEGRVHGGSLMAMLRGCTGGQSEPGGSQPINGGRWL >cds-PLY85569.1 pep primary_assembly:Lsat_Salinas_v7:2:196305275:196305490:-1 gene:gene-LSAT_2X117161 transcript:rna-gnl|WGS:NBSK|LSAT_2X117161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREKGLVEPHWWNSFDWWNPNFDLTSLKFGGFLNQRFLLLNPSFWGLYGLYSNPRSGLWFDCVKMRYLWVI >cds-PLY82097.1 pep primary_assembly:Lsat_Salinas_v7:1:128570199:128570429:1 gene:gene-LSAT_1X96620 transcript:rna-gnl|WGS:NBSK|LSAT_1X96620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEKNTDFSFFFKRLSGKNKKGIWRKMGSVGFPWKRRFSLHLWLVDGLLFKIVSAVETVVLVSELCVYYVCCGCHI >cds-PLY77691.1 pep primary_assembly:Lsat_Salinas_v7:9:19396579:19399008:1 gene:gene-LSAT_9X14580 transcript:rna-gnl|WGS:NBSK|LSAT_9X14580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSDQAPAPRTGGLAARIAERVGSGVPKFKSIPPPSLPISPPAVSPSSYFAIPAGLSPADLLDSPVLLSSSHILPSPTTGSFPFQAFNWKNLSSNNQNHEQSIKKEQKSFTDFSFQQQSNHLTEQLWNHQKSREQDEKAFVQSEHASQFQSFSPEISTIQTDSNSQTQSFQSGYAASNNNLNNQPSQKKSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCSMKKKLETNLDGQITEIVYKGNHNHPKPQSTRRSSSSSSASNHLQMTQVSSNHELLDYPDQSYGSHGSGQFDSVATPENSSISDDLDRSSCQRSRSGGDEFDEDEPDAKRWRASENEGISTIGGTRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSSGCSVRKHVERASQDLRSVITTYEGKHNHDVPMARGSGHRLPSGTLGNNIPTTAIKPVALSHYQSNNSISDSAHRGFSFLSSADNQTMFTSEMLQNNESFKFSRFENALKSNYIDQKRDSERAFSEAKEEPRDDMFFESLLC >cds-PLY75869.1 pep primary_assembly:Lsat_Salinas_v7:9:195799626:195802428:-1 gene:gene-LSAT_9X119760 transcript:rna-gnl|WGS:NBSK|LSAT_9X119760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEVDEESKQVSYTVVRDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFERKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAASFKKDEGIDLLKDKQALQRLTETAEKAKMELSTLTQANISLPFITATADGPKHIDTTLTRAKFEELCSDLLDRLKRPVENSLRDAKLSFKDIDEVILVGGSTRIPAVIEVVKSLTGKEPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSIGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAIDKGTGKKQDITITGASTLPSDEVQRMVSEAEKFAKEDKEKRDAIDTKNQADSVVYQTEKQLKELGEKVPVAVKEKVEAKLGELKEAISGGSTQTIKDAMAALNQEVMQLGQSLYNQPGGGGAPGAGAAPGGEASEPSSSSSSSKGPEGDVIDADFTDSK >cds-PLY91024.1 pep primary_assembly:Lsat_Salinas_v7:7:179085023:179086901:-1 gene:gene-LSAT_7X106201 transcript:rna-gnl|WGS:NBSK|LSAT_7X106201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PAP-specific phosphatase HAL2-like [Source:Projected from Arabidopsis thaliana (AT5G54390) UniProtKB/Swiss-Prot;Acc:Q38945] MEGVDANDKYAKELEVGVRVVQLACCLCQKVQKGSIGPTPDQVFSKDDDSPVTVADWSVQAMISWILSESFGKQNVSIVAEEDTQALSDSNSTSLLASVVATVNESLAMAPRFGLKGPTTSLGPTQVLEAINHCNSTGGPIGKHWVLDPVDGTLGFVRGDQYAVALALIENGQVVVGVLGCPNYSSKKKLVNNSSVSKEGCVMYARKGSGEAWMQPLACEGGTHVVWPNSATRIRVSSIDDPSMATFCEPVEKANSNHSYSAGIAYTLGLRKQPLRVYSMVKYAAIARGDAEIYMKFAKAGYKEKIWDHAAGVVIVEEAGGVVTDAGGRPLDFSRGVYLEGVDRGIVTCSGANLHDKIIGAVYASWESSHL >cds-PLY85792.1 pep primary_assembly:Lsat_Salinas_v7:MU040898.1:1089:1649:1 gene:gene-LSAT_0X46340 transcript:rna-gnl|WGS:NBSK|LSAT_0X46340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEELILSGADIVKVGIGPGSVCTTRVKTGVGYPQLSAIIECADAAHGLSGHIISDGGCTVSGDIAKAFGGGADFVMLGGMLSGHKECSGDIVEEQSKKYMLFYGMSSISAMKRYEGKIARYRASEGKTVKIPYRGSIDSTIRDILGGLRSSCTYIGAEKLKELTKRTTFIRVTEQENCVFNIFKE >cds-PLY71889.1 pep primary_assembly:Lsat_Salinas_v7:4:376468880:376469263:1 gene:gene-LSAT_4X186461 transcript:rna-gnl|WGS:NBSK|LSAT_4X186461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEVGKKAAGGLKYVPKSGADFKISSNFDLNFQTDKGIDPEIILSRNKFDALKDLDDEQHQNVLGAVPINSNSSFPLGVLQDLDKEDNMEALYAEFGLDKSAYESYMNQEEVTDGISGLDTNMESV >cds-PLY85607.1 pep primary_assembly:Lsat_Salinas_v7:9:97876160:97879496:-1 gene:gene-LSAT_9X73401 transcript:rna-gnl|WGS:NBSK|LSAT_9X73401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALASNSNLLTKSFQIHSLPPQLKSVSTTTTTSAAPTATTRSIQPIFAVHSPDPSKTPIDKSSSKPASPATAATATTTTTPTTVPDTKKPKQWTIESWRTKKALQLPEYPDQIELESVLQTLDSFPPIVFAGEARNLEERLGEAAMGNAFLLQGGDCAESFKEFNANNIRDTFRVILQMGAVLMFGGQMPVIKVGRMAGQFAKPRSDPFEEKDGVKLPSYRGDNVNGDAFDLKSRTPDPQRLIRAYTQSAATLNLLRAFATGGYAAMQRVNQWNLDFSEHSEQGDRYRELASRVDEALGFMSAAGLTVDHPIMTTTDFWTSHECLHLPYEQSLTRLDSTSGLYYDCSAHFLWAGERTRQLDGAHVEFLRGIANPLGIKVSDKMDPSELVKLIDILNPENKAGRITIITRMGAENMRVKLPHLIRAVRRAGQIVTWVSDPMHGNTIKAPCGLKTRPFDAIRAEVKAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSGSATVTFDDLGSRYHTHCDPRLNASQSLELSFIIAERLRKRRMKQC >cds-PLY98918.1 pep primary_assembly:Lsat_Salinas_v7:7:49834228:49834884:1 gene:gene-LSAT_7X34521 transcript:rna-gnl|WGS:NBSK|LSAT_7X34521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDFRSKSYNANGMQIQPYNRNLQDFRCYSTSYASSSSHTQMDNNTNPTADKFKKHASKSTNGSMSKSWSFTDPELQRMKRVATYKAYTVEGKVKGSIKKSFRWIKDKYSQMVYGFRS >cds-PLY64011.1 pep primary_assembly:Lsat_Salinas_v7:4:190042570:190044522:1 gene:gene-LSAT_4X109301 transcript:rna-gnl|WGS:NBSK|LSAT_4X109301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFSVDRSEIAFFDLETTIPTRHGQPYAILEFGSILVCPKKLIELESFETLVRPHDLSLISASSVRANGITADAVVSAPTFSDIADRVYDILHGRVWAGHNILRFDCVRLREAYVQINRPPPEPKGTIDSLALLTQRFGRRAGDMKMASLAAYFGLGQQSHRSLDDVRMNFEVVKHCATVLFLVISHGIKTSPFLFLSLSPSIQIHSNIPITQESANPGEDIPIPIPIPSNEAMVEDSSSTTQSDAFTPQTEFLPSNEVSMASITVSSSSVSPYFHGSHKIQILHRDIPLQIRCDSMRIRFGLSTKYLDHAGRPRLSFVVDANSSNLCDLLNACDNITKRFMDSDSNSEWRPLVSRKPGFYDSPTIRFHLRTEDGDGSGWTTEIYKKESSSLSSLSIPQLVTSSRYDVAELDWLFRPGGFVDAYLCLDPYNYQQNAGIRLVAKKLVVH >cds-PLY88103.1 pep primary_assembly:Lsat_Salinas_v7:6:181810797:181812554:-1 gene:gene-LSAT_6X111381 transcript:rna-gnl|WGS:NBSK|LSAT_6X111381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSLKSYGKVHEADQMRLDARRKTRKRMVVVAISSLILVIVVVSAVVGTTQSKNHSQMGGDSQSPSAMVSIKAVCDSTLYPDTCYSSLAPLVNSTNIHPDQLLKLSFLVAIEELSKASTLPELKNCSELLELAIDHLNDTLSTDIDLKSIGSLLDDLMTWLSAAGTYQQTCIDSIQENGVGYLKKSTELTSNSLAITKGFSNAASSFNQRRRLMSIAEGSDDEMPEWLSVKDRKLLQKTKLPAGIKADVVVAQDGTGKYKKISEALMAVPDKSKKRFVIYVKKGVYFENVRVEKPKWNVMMIGDGKDSTIVSGRLNVVDGTPTFQSATFAVFGKGFIARDMGFRNTAGAAKHQAVALMSTADLSVFYRCRIDAFQDSLYAHSNRQFYKECNIYGTVDFIFGNSAVVLQNCNILPRRPMTGQQNTITAQGRFDPNQNTGISIHKCTIWPYGNLSDVNTYLGRPWKNHSTTAYLNNMMGSFIHPKGWLPWVGTTAPDTIFYAEFANYGAGAAIKNRVTWKGLKFITTKQATKFTVKRFIDGDKWIKAAGVPYTSGL >cds-PLY72998.1 pep primary_assembly:Lsat_Salinas_v7:9:36886494:36888140:1 gene:gene-LSAT_9X34720 transcript:rna-gnl|WGS:NBSK|LSAT_9X34720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASISSSPRTVEEIFRDYSGRRAGIVRALTYDVDEFYGICDPEKENLCLYGHPNESWEVTLPAEEVPPELPEPALGINFARDGMNRKDWLSLVAVHSDCWLLSVAFYLGARLNRNERKRLFSMINEMPTVFEVVSERKPIKDKPTAAESGSKPKASTKRSSDGQVKSIQNQKVAEESYEDEEDEHGDTQCGSCGGNYNADEFWIGCDICERWYHGKCVKITPAKAESIKQYKCPSCTMKRARP >cds-PLY63835.1 pep primary_assembly:Lsat_Salinas_v7:7:102036863:102037471:-1 gene:gene-LSAT_7X67561 transcript:rna-gnl|WGS:NBSK|LSAT_7X67561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAQGLVQEEPVKLKPTFSDAYLNLGNVYKALGMATEAIVCYERALQSKPDYAMAFG >cds-PLY99159.1 pep primary_assembly:Lsat_Salinas_v7:8:113797706:113799572:-1 gene:gene-LSAT_8X75400 transcript:rna-gnl|WGS:NBSK|LSAT_8X75400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYDWVERIVQRIWQPLSNRYARMHGRGRADGDGYEGQWSTDLEQHAFGEYSFAVIQANQAMEDFSQVEVGHNATFVGVYDGHGGREAAWFVREHLFNNLIRFAQEREGLISEQILRNAFTETEQGFIYFAREALKHIPNIYSIGSCCLVGIIWNRRLFVANLGDSRAVLGRANPRNLSKVIAQPLTNDHNASMMEVRRDLQLDHPEDPNIVVRDEHGVWRVKGIIQISRSIGDLYLKSSEFDIDDPNFPRFRLTEPINKPVLRSDPTVQSRDIETTDRFIVFASDGLWDNLSDQKAIDIVQKNPRSGIAKRLIKKALEVAAKKQGRKYKAIRKFEMGRRRTVHDDITVVVLYIDHHLVARSALVTEVSMKAFEDSTESQFKYIHGGASTSGTGGTLGFGGASTFGAGGASSSGAGGASSSGAGGASSSGAGGASGSGPSGASGSGPGGPSGSGAGGASGSGAGDASGSGAGDASGSGAGGASGSGASGPSDSGAGGASGLGSRGASSSSSSSASSSGVVGGGASN >cds-PLY99126.1 pep primary_assembly:Lsat_Salinas_v7:8:70509476:70510761:1 gene:gene-LSAT_8X50780 transcript:rna-gnl|WGS:NBSK|LSAT_8X50780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGRENNCNLPPGFRFHPTDEELIMFYLRNQSLSKPCPVSIIPEVDIYKFDPWELPEKTEFEENEWYFFTPRDRKYPNGVRPNRAAVSGYWKATGTDKAIYSGSKYVGVKKALVFYKGRPPKGVKTDWIMHEYRLKESRSQPAKKLGSMRLDDWVLCRIYKKKTAAKPLEQREEDSNAENVASDDHNSMDLQSMKIPRTFSLAHLWELESLGSISHLLDDHNTNYENNAGIETGIGIMPQSSTPILETRVRESHPIKFEDTHQNNLNQSSFFSPMFGFQ >cds-PLY82483.1 pep primary_assembly:Lsat_Salinas_v7:2:188105542:188106522:1 gene:gene-LSAT_2X109780 transcript:rna-gnl|WGS:NBSK|LSAT_2X109780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGSARNLTEEERRGHKEISWDDKEVCGFYMVKFCPHDLLVNTRSDLGPCPKIHDPKLKESFESSLRHYFYVPRFEAELAHFCEKLVMDLDRRVRRGRERLAQEVEVPPPPPIPAEKSEQLSVLEEKIKNLLESVEALGEAGKVDEAEALMRKVDLLNIEKTALTLQPQNEKVLMLAREKKMALCETCGSFFIANDALERTQSHVTGKQHIGYGLVRDFLFEYKEANEKARVEEKEIEVKHRTGSTDRDKNRYSDRSSRDQNRGSRDSGRTSDRRAHNNSYRNGGGGDRRGDRYRDRSRSHSPRHGGRRRSSRSPY >cds-PLY65191.1 pep primary_assembly:Lsat_Salinas_v7:7:60039371:60041561:-1 gene:gene-LSAT_7X45260 transcript:rna-gnl|WGS:NBSK|LSAT_7X45260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIHSISQSQLFSKKPQFISTQKTSIFPITTSFNPSNRFVLKSKKMVVAAAGTTAAAEEKSKKRYPGEGKGFVEEMRFVAMKLHTKDQSKEGEKEAQEKPLPKWEPTIDGYLKFLVDSKLVYDTLEKIVDKADFPEYAEFRNTGLERAESLEKDLAWFKEQGHSIPEPSSPGLNYSAYVEELSKKDPQAFICHFYNTYFAHSAGGRMIGKKVAKEVLNGKELEFYKWDGDLSQLLQNVRDKLNVVAENWTREEKNHCLEETEKSFKFSGEILRLILS >cds-PLY85225.1 pep primary_assembly:Lsat_Salinas_v7:1:149075216:149085229:-1 gene:gene-LSAT_1X105400 transcript:rna-gnl|WGS:NBSK|LSAT_1X105400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSNRCSVIIIGAGISGISAAKVLAKNGVEDILILEASDSIGGRIKKQNFGNVTVELGAGWIAGVGGKQSNPVWELAVQSGLRTCFSDYSDARYNIYDRSGEIFPSGLAGDTYKKAVESAMQKLRTDRDILLSADVSDIPELSTIPETPIELAIDFILHDFEMAEVEPISTYVDFGEREFLVADERGYEYLLYKMAEEFLFTSDGKILDNRLKLNTVVRELQHSRDGVTVITEDGYVYEANYVILSVSIGVLQSHLISFNPNLPRWKMEAIEKCDVMVYTKIFLKFPKKFWPCGPGKEFFIYAHERRGYYTFWQHMENAYPGSNILVVTLTNGESKRVESQSDQETMREAMEVLRNMFGPDIPEASDILVPRWWNNRFQRGSYSNYPIYADSTMFENIKAPVGRIFFTGEHTSERFSGYVHGGYLAGIDTGEALLEEMKAEKDRETNKKSILEPLLALTLSQTEAVACDIHRHLFHANTKREAIL >cds-PLY93766.1 pep primary_assembly:Lsat_Salinas_v7:6:144132747:144135542:1 gene:gene-LSAT_6X87181 transcript:rna-gnl|WGS:NBSK|LSAT_6X87181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKSTPWSNIVKQNPPPQNPNNYHHDQSQSAAAALVGSCKSTKGIAVAVVDANAIIQGGEQLHNSADKFVSVSDVIQEVRDPTSRHRLNFLPFTVDTMEPSPESLKKVISFARATGDLQTLSDVDLKLIALTHTLESQIHGTSHLRDIPPPIRTVNVKRLPEKELPGWGSNVPNLAQWEALEHTVGDGSGPDSRILPLKDLNMNLLPGDKDQINEDSTSANGSEPQYNENRKYFPKKKEIVIEGKKNVADGIDASQGEFDDDDADDWLPAVSRSTHRRFLRRKARREMYESATEKDIQNDANLQTQNEDHTDDTKCPDLIDETSEERNNGDDDLSTVLDQMRLEEESSKASENDETNWVVVDDEEKETETISEMNESVDASSFVDDESSEQSWMLRSLSESTVACVTADFAMQNVILQMGLRLVAPGGMQIRELHRWILKCHACFKVTMEIGRIFCPSCGNGGTLRKVAVTVGENGTIIAGRRPRISVRGTKFSLPLPQGGRDAITKNPILREDQLPQRFLHPKNKKKNKEADDIFATNEMFTHHTDKKAPLQPPVRKAMAVFSGRRNPNDNHYSRAKH >cds-PLY66928.1 pep primary_assembly:Lsat_Salinas_v7:7:19062626:19068764:1 gene:gene-LSAT_7X15200 transcript:rna-gnl|WGS:NBSK|LSAT_7X15200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATFGDIGVAAAINIISALVFLIAFAILRLQPVNDRVYFPKWYIKGLRTSRTTSGAFVKKFVNLDYRSYIKFLNWVPEALTMPESELIDHAGLDSAVYLRIYLLGFWAHVAMAYVVTIWTCFMLKKEYETIANMRFYFLQSEKPRADQFTVLVKNVPRDDDETLSETVQHFFLVNHHDNYLTHQVVCNANKLAKLVKKKQKKQNWLDYYQIKFSKNPLKRPTMKTGFHGFWGAKVDAIEYHEAEIEKLSNEIAEERKNVVNNPKAIMPAAFVSFKTRWGAAVCAQTQQARNPTLWLTDWAPEPRDVYWKNLAIPYVSLTIRKVLMGVAFFFLTFFFMIPITFVQSLANIEGIEKAVPFLKPIIDVKPIKSLIQGFLPGIMLKIFLMLLPRLLMLMSKFEGYFSISRLERRSASRYYLFKIVNVFLGSIITGAAFEQLNTFLHQSANRIPETIGIAIPMKATFFITYIMVDGWSGTAGEILRLKALIIYHLKNLFLVKTERDREKAMNPGSIGFNTGEPQIQFYFLIGLVYAVVTPLLIPFILIINVYNPKYESRAAFWPDVHGRVITALIISQILLMGLLSTKHAVASTPVLLALPILTIGFHIYCKGRFEPAFTRYPLQEAMNKDTLDQVREPNLKGNLKGYLEKAYLHPVFKDEDYEDHYDDGSLVMPTKRRARRNTPSTSRTGGGLGPLLPEIILEEHKP >cds-PLY86908.1 pep primary_assembly:Lsat_Salinas_v7:5:259893979:259900867:-1 gene:gene-LSAT_5X130021 transcript:rna-gnl|WGS:NBSK|LSAT_5X130021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKLAQRSERVKSVDLHPTEPWILTSLYSGTVCIWDYQSQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMYIRVYNYNTMDKVKVYEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKNWLCTQIFEGHSHYVMQVTINPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGCMKGSRRVVIGYDEGTIMVKIGREEPVVSMDNSGKIIWSKHNEIQTVNIKSVGADHEVSDGERLPLGVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSTDGEYAVRESTSKIKIFNKSFQEKKSIRPTFSAERIYGGSLLAMCSNDFICFYDWSECRLIQRIDVNVKNLYWADSGDLVAISSDSLFYVLKYNRDVVSAHLDSGRPVDDQGIEDSFELLFEVNEKVRTGLWVGDCFIYTNASWRLNYCVGGEVNTMFHLDRPMYLLGYLANQSRVYLIDKEFNVVGYTLLLTLIEYKTLVMRGDIERANGVLPSIPKEHYNSVAHFLESRGKVEEALEVATDPDYRFELAIQLGKLDIAKDIALVVESESKWKQLGELAMSAGLLDMAEDCLKHANDLSGLLLLYSSLGDAEEISKLASLAKENGKNNVAFACLFMLGKLEDCLQLLIDSNRIPEAALMARSYLPSKVSEIVALWRKDLNKVNQKAAESLADPQEYPNMFEDWQIALEVEEKAAETRGSYPPAVEYVNYVDRSHMNLVETFKNMQLDVDEPLENGGLDHENGTEDEFVDGEEGGVGMDNDSTDGAVLVNGNDADQDQDQDKE >cds-PLY89824.1 pep primary_assembly:Lsat_Salinas_v7:4:323119209:323124217:1 gene:gene-LSAT_4X161060 transcript:rna-gnl|WGS:NBSK|LSAT_4X161060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-kinase VPS34 [Source:Projected from Arabidopsis thaliana (AT1G60490) UniProtKB/Swiss-Prot;Acc:P42339] MTGNEFRFFLSCDINLPVTFRIEKLEGHLPSTNSTNPADIGNIVEDKKPELYVECALYIDGAPFGLPMRTRLESSGPPFCWGELITLSTKYRDLTTNSQLAVTVWDVSCGKNEGLIGGATIHLFNMKKQLKTGKHKLRLWQGKEADGSIHSTTPGKVPKDERGELERLEKLVNKYERGQIQRVDWLDRLAFKSMDKIKDLESSKNGSSHLFVLIDFCSFEHRVVLQESGPNLLIPASIASTNELVTVWDPELGKINPSEHKQLKLARSLNRGIIDRDLKPSSTERKSIQRILKYPPTRTLSGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQAIELMGRWEMIDVCDALELLSPVFESEDVRAHAVRVLERADDEELQCYLLQLVQALRFERSDKSRLSQFLVQRSLSNIELASFLRWYVAVELHDPAYAKRFYSSYEMLEENMIKLGMGGAGDGGDGFKLWQSLVRQTELTAQLCSIMRDVRNVRGGTQKKIEKLRHLLSGLLSELTYFEEPIRSPLAPIVLITGIIPSDSSIFKSALHPLRLAFRTANGGCLKIIFKKGDDLRQDQLVVQMVSLMDRLLKLENLDLHLTPYRVLATGHDEGMMEFIPSKSLAQILSEHRSITSYLQKFHPDEEGPFGITATCLETFIKSCAGYSVITYILGIGDRHLDNLLLRDDGRLFHVDFGFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFHLMAGSNIPDIASDPEKGILKLQEKFRLDLDDEECIHFFQDLINESVSALFPQMVETIHRWAQYWR >cds-PLY85772.1 pep primary_assembly:Lsat_Salinas_v7:1:48424514:48426095:1 gene:gene-LSAT_1X44781 transcript:rna-gnl|WGS:NBSK|LSAT_1X44781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFRKLLNKLIDEMMPDWRDKFLSYKDLKKQLKRVYSRDGRCNKRMKLSTGDDIEPAVDEKAVADFVKLCQEQTDKLNDFVLEKQEWYIIKLEVLEGNLIAAKDSSEELRKVARDLADLHGEIVLLLNYSALNYTGLVKILKKHDKLSGALLRVPFIQKVMNEPFYKTDVLNNLVKKCEMMLDQFLSTKQQSSSPSESGNRKEGRSSEPNEESSSVRVPEELVDIENRENTYMKQTLSALRVLSEIRNGSSTVNSFSLPPMQQNKEREEVWKKTYVVEEVAK >cds-PLY98824.1 pep primary_assembly:Lsat_Salinas_v7:7:22789085:22790107:1 gene:gene-LSAT_7X18120 transcript:rna-gnl|WGS:NBSK|LSAT_7X18120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTPVGPVGQTHWGDSMANYPMPAMHSADAQLKDLDAFIAAIVVSIAFSFIPASLVVAIMKTRLRAMVARDEFRRRRRNKAATIVHVLWMNYVCKVFKKMIRNKGEFVQIS >cds-PLY69075.1 pep primary_assembly:Lsat_Salinas_v7:5:276754436:276756608:-1 gene:gene-LSAT_5X144900 transcript:rna-gnl|WGS:NBSK|LSAT_5X144900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYCNFTKCHAFPFAIRASSQPPKCFLPFYIKPKTPQFSPISNPETNVFYKSRITSYRTPSVGFMEKTHEYCQLLGTADRDSFVSFNQHVRKKREHVYAAKSESLSNGLHSNPRKFSTSYSRKVVEGTTDSPNPLPWLAKNKDKEVKDSEKEKPAPRRSSWEISAEKFSKNGTQTREKIRRKDFKPRNKTSEDESATRKFTRVRSSWETSAEKFVKRGDESRENGRRFNESRENGKRFDESRENTRRFDERNDYRERRISEDDSDLETQEADNNNPNWYKIQERFESFDRVNVERNDKWSRQDNWGKKVWKEATESSIPKMVGECVYGVGPVLAALLANRREFYVLYIQEGIDLTGNNKKKKDKKGFEKVLKVADKLGLTIKEISKHDLNMIVDNRPHQGLVLDASPLEMVNIRELDRTAGDMDMSMSPLWLALDEVMDPQNLGAIIRSAYFFGAAGVVLCAKNSAPLSGVVSKASAGSLELIELRCCKNMMQFLVSSAENGWRVVGGSVSSRAVGLTELRTGEPTVLVLGSEGTGLRPLVERSCTELVKIPGNIPVHLSGGFEDGESFVAVESLNVSVAAGVLLHHFVGNSNNKTVVDIEG >cds-PLY99758.1 pep primary_assembly:Lsat_Salinas_v7:9:52423449:52424497:-1 gene:gene-LSAT_9X47160 transcript:rna-gnl|WGS:NBSK|LSAT_9X47160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRVVIERYYGKMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKTDLIEVDKETIDMLVALGMGDLPGVVKATVEPQAVPAALGFGRGGGGGGFARRY >cds-PLY70757.1 pep primary_assembly:Lsat_Salinas_v7:8:166722765:166723563:1 gene:gene-LSAT_8X109761 transcript:rna-gnl|WGS:NBSK|LSAT_8X109761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKKDEQPLTTIVADDKHRRKRKRRRCICLSVIAVIVLLALIILILALTVFKAKKPVTSVNSIAISDFDVSVNLLPPRVSLNISLDLDISIKNPNKVGVKYRNSSAILLYKDKDIGEVPIPAGEIGSDDTKRLNLTLTVFADRLLTNFDVYSDVISGSLPVSTYTKISGKARILNMFNIHISSVSSCDLNIDISNRRISNQTCHYKNRL >cds-PLY91051.1 pep primary_assembly:Lsat_Salinas_v7:2:54322489:54323852:-1 gene:gene-LSAT_2X22481 transcript:rna-gnl|WGS:NBSK|LSAT_2X22481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRVALIVGVTGMVGVTMTEVLKKPTTLGAPWTVYGVSRRPLPTWFPSSFLDKHIILDTLNQENTHKILSPLSTQITHLFWLAIYANESEDLSISMNSTMLCNVLRALTSSPKSSLSHVALQTGTKQYLGPIYDPILSSQIHPHEPPFKEDYPRLQFPNFYYALEDIIFSYSKSLTYSIHRSSIIIGASSRSFYNALLTLSVYALVCKHENYPFRYLGNEFTWGHFCDASDARVLAEQQIWASVTNSAKNQAFNCTNGDVFTWKMLWKVLCDEFGVEFVALDEKDKLTYVDFMKDKGEVWERIVEENGLYKTKMEEITCFGATDAVLNFQIQHVCCMNKSREFGFLGHANTLASIKMWIEKLRQMKILP >cds-PLY83420.1 pep primary_assembly:Lsat_Salinas_v7:5:329931744:329932374:1 gene:gene-LSAT_5X186580 transcript:rna-gnl|WGS:NBSK|LSAT_5X186580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIDTLHHDHRRHLFSDATKDEAPVTTPTVPAPASHKHKPRKEFHYRGVRKRPWGRFAAEIRDPWKKSRRWLGTFDTAEEAARAYDEAAFSLRGAKAKMNFCVSLAAFSPPVAEKKDVQSNFSALCYHPSSNDSVNLDSEEDRKLMTSRKPFMFDLNLPAPLN >cds-PLY88162.1 pep primary_assembly:Lsat_Salinas_v7:5:221020251:221022463:1 gene:gene-LSAT_5X102361 transcript:rna-gnl|WGS:NBSK|LSAT_5X102361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPKRPLSEEEEEGNSSGFPNPESNESGSSSNVRNVMHMFSHEEFEARLEPLIRGVVQDGVQLAIQNFFGTLPRSPSDALDTSSTPSLQLRFLNRLLPTFFTGNSIESEDRSGIKVGLFDTNSNKIVSFGPLSSQKIVLVPLDGDFPFDDQEDWSESYFDAKVVSARVGKRPLLTGDLVVALKDGVADLGDVVFTDNSCWRRSRTFRLGAKLAQSATGGVRIKEAKSQAFVVKDQRGESYKKHHPPSLGDDIWRLERIAKDGVVQGLLASYKIYTVKDFLQMYNTNKSSLCGVLGGPNNNNWKKIIKHAKACVVDEKLYMYSCVAEGIGILFNSILEVVGATFDGEYHISMNELTDFQKSMVEALKQQIYKDLEGMLPMEDLSMVATPVLTTNLHGDH >cds-PLY87012.1 pep primary_assembly:Lsat_Salinas_v7:5:256175468:256176889:-1 gene:gene-LSAT_5X131941 transcript:rna-gnl|WGS:NBSK|LSAT_5X131941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESLNLKVDMNPNKIHDVEPKDTYQIAYMIHFFLGAGYLVPWNAFITAVDYFQYLYPTKHINKVFSVGYMSAAVTVLLTLLCWPKSCRIQLPSVRTRMNVGQALFISALMVAPVTDWIDHGNQSEIGSNVAFVVLVSMVMVSGLADGLVGGSLVGATGELPGRYMQAVFAGNATAGLMVSILRVITKASLPHTQKGLRTSTQIYFVFSALIVLICVICTNVLDKLPVIRYYRNKSRNEATGHMAIGTHFCVVVKKIRWFVTAGLVIYIVSLSIFPGYLSENVSSDYFKDWYPIILITTFNVGDFLGKCLTAIYLPRRSKGAIWCCMARVVFYPLFMGCIHGPKWMGSEVPVIVLTLMLGVSNGYLTSVLMILAPKSVPVEESEVVGIAMETSLVVGLVVGSAFGWLWNLL >cds-PLY70450.1 pep primary_assembly:Lsat_Salinas_v7:1:74735505:74737672:-1 gene:gene-LSAT_1X63341 transcript:rna-gnl|WGS:NBSK|LSAT_1X63341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATLPEHEEIISIPTTTTPPQTFALPVDSENKATKMKVFSLAQPHMRAFHLSWLSFCTCVISTFAAAPLVPIIRDNLNLTRADISNAGIASVSGSILSRLVMGVLCDLVGPRYGCAVINILAAPVVFSVSFVADAGGYVAVRFMIGFSLATFVSCQYWTSVMFNGKIIGVVNGVSAGWGDVGGGLTQLLMPVLFHVITNMLGATPFTAWRIAFFIPAWFHVIVGVLVMVYGQDLPDGNFAQIRKNGSASKDKFSKVFRNAATNYRTWVFFLIYGYSMGVQLCLNNVISEYFYDRFGLKLHTAGIVAASFGVANFFTRPFGGYASDLSARKFGMRGRLWTLWITQTLGAIFCIWLGRANSLPMAILSMMLMAAGSQAACGATYGIIPFVSRRSLGILSGLTGAGGNVGGGLTQLLFFSGARFSTAWGLTWMGVMSVVLTIPVAFIHFPQWGSMFLPASKNEKYNEEYYYSSEYSEEEREKGMHFGSMKFAENSRAERGKRSVVAVTETSPNTTPIHDV >cds-PLY90114.1 pep primary_assembly:Lsat_Salinas_v7:7:12876533:12879011:1 gene:gene-LSAT_7X11360 transcript:rna-gnl|WGS:NBSK|LSAT_7X11360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYFIDYGNIXNKYNCLQNTQVNSNVIRNNQTPPPSFPSVNGAATYLSETTSYLTSCFPAISDDVPGGPDAPEKMVLASEELREPLLRNNGGPPSTVSGSFTATTNAPRIREEITRITEGDHAQNNASSVQSDETRTPGISMFQGLVDRVRKTMHGSSDDIGWLQRDPEMPPVEDGTERFNSILENIGHGIHVLPTSVVYLLVPGLFSNHGPLYFTTTKATFSKMGLTCHIAKIHSEASVEKNARELKEYIEEIYWGSKKRVLLLGHSKGGVDSAAALSIYWPELKDKVAGLALAQSPYGGSPIASDIMREGQIGDYFNVRKLMEILICKVIKGDLQSLEDLTYEKRREFLKKHHLPKELPVVSFHTEANISPTVLATLSRVAHAELPTFPPLSSNQAATVLPVVVPLGATLAACAQLLQSRYSEKSDGLVTCRDAEVPGSVVVRPKRKLDHAWMVYSSTNDDPLEPDASQVCEALLTLVVEVGLRKKHYLSVKEE >cds-PLY83940.1 pep primary_assembly:Lsat_Salinas_v7:8:47962914:47963252:-1 gene:gene-LSAT_8X36560 transcript:rna-gnl|WGS:NBSK|LSAT_8X36560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGYKPITFSKPNPNPILHTSAISFPLPPAAAISSDHLAPSNAHQRLLLPVITKTDGKPGIMLHQLEIREAVDVVVVAAHDLPAAAIAVEAENHRVISDVVVPTLDEDENPR >cds-PLY64879.1 pep primary_assembly:Lsat_Salinas_v7:3:17037016:17037192:1 gene:gene-LSAT_3X12260 transcript:rna-gnl|WGS:NBSK|LSAT_3X12260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYADKKRSRKLLVVIEANPPFTHTNFDNMVQSFLLCLPELCFSSIHIASAHKEIRTHL >cds-PLY82805.1 pep primary_assembly:Lsat_Salinas_v7:1:86956800:86960838:1 gene:gene-LSAT_1X71820 transcript:rna-gnl|WGS:NBSK|LSAT_1X71820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAACSSTEEKSMQYYLYPPPIARYEDVVVNQKLFMDTLGNFHAAMGTKFMIPIVGGKDLDLHRLFVEVTSRGGIKRVLGEKKWREVTNSFNFPPSATNASFILRKYYMSLIHHFEQVYYFKAKAWTPVSTDIWQSTKSRMTLPFTQFQMDRRSPYAETQVTQMKRQRTTIEDSLPKASSEPSIGFPVTGIIDGKFESGYLCTVMIGGEQLQGVLFQSTGDSSYQATQTPTSTGIANPPSTTGRRRRRKKSEMKKRDPAHPKPNRSGYNFFFAEQHARLKPLHPGKDRDISRMIGELWNNLTESKKSVYQEKAMEDKERYRIEMEHYRESLKTGRVITNAVPLLQQPFTGGGASPETPENELTSACSDKSSFENEAKTADNDSISGLPPPVAEIVTEIRDEERRRDVVMDPKAGSLLVGESDKPKSVSESKHAGKSYCDKDENESKNEFGGTVECRPLKESVGINENLDEEPDVFREDISMARGDGVQNEAMAANSESLPLEGRGAAAND >cds-PLY66232.1 pep primary_assembly:Lsat_Salinas_v7:5:142497089:142497298:-1 gene:gene-LSAT_5X62861 transcript:rna-gnl|WGS:NBSK|LSAT_5X62861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDIGNENCVIVAAKNCGIDVLLNEKSNRKTPVVVSFGEKQKFLGSARVASATMKPKSNILKLKG >cds-PLY76193.1 pep primary_assembly:Lsat_Salinas_v7:4:54180333:54182930:-1 gene:gene-LSAT_4X37061 transcript:rna-gnl|WGS:NBSK|LSAT_4X37061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SK31 [Source:Projected from Arabidopsis thaliana (AT3G61140) UniProtKB/TrEMBL;Acc:A0A178VFN7] METEEEASGQMTDEIYTNGVDDESLSQQQQRRPIISGEQLDIEAYAALYTGRTKISRLIFIADKCGNVSMQLEALRMAYDEIKKGENTQLFREVVQKIDGRLGPNYSSDSGWMDAVDRRADQRKEKLENELNAYRTNLIKESIRMGYNDFGDFYYAHGALGDAFKNYVRTRDYCTTAKHIIHMCLNAILVSIEMGQFAHVTSYVSKAEQNKNDLDPITIAKLQCAAGLAHLEAKKYKHAARKFLETAPELGNNYSEVIAAQDAKVIDNINFRNFLELVPEVRELIHNFYSSHYASCLEYLGNLKANLLLDIHLHDHVEMLYTQIRNKALIQYTHPFVSVDLNMMANAFKTTVAGLQKELEALITDNQIQARIDSHNKILYARHADQRKATFQRVLQTGIEFDRDVRGMLLRANLLKHDFNLKASRKI >cds-PLY97001.1 pep primary_assembly:Lsat_Salinas_v7:2:36204803:36206038:1 gene:gene-LSAT_2X16081 transcript:rna-gnl|WGS:NBSK|LSAT_2X16081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDFFESLPEGFIAEALALTSPRDVSRLSSVNSVFRSAAQWDSVWESFTPPEYLTETADGGAVGSKKEVYLRLCDHPIIIEEGSKSFWLDKSSGKKCYMLAARQLSIASSDSPNCWIWTQTPESRFKEVAELISVSLLEIIGKINTSIFSPDTTYVALLVFKTTSKAYGFEYQPVEVCIGFHGDRSQTRLVYLDPEAGRRRGLRSRRRIGIFSKGAFANWDVVPPPSKENGPKQRDDGWFEIEIGEYFNGGGDAAELELRVAEVNGGNWKTGLVIQGIEFRPKKS >cds-PLY85194.1 pep primary_assembly:Lsat_Salinas_v7:9:147371015:147371627:-1 gene:gene-LSAT_0X7041 transcript:rna-gnl|WGS:NBSK|LSAT_0X7041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKSIKSTAVAKKSTSRSSKAGLQFPVGRIARFLRTGKYADRIGGGAPVYLAAVMEYLAAEVLELAGNAARDNKKTRVVPRHIQLAVRNDEELSRLLGAVTIANGGVMPNIHAHLLPKKASVASSKDDE >cds-PLY94480.1 pep primary_assembly:Lsat_Salinas_v7:2:156349392:156351419:1 gene:gene-LSAT_2X82201 transcript:rna-gnl|WGS:NBSK|LSAT_2X82201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMEQESEWNSAQNITISEDLVSAAKRHLQFLAAVDSNRWLYEGQTLKRAIYRYNACWLPLLAKHSKSHVTIGPLVVPLDCEWVWHCHRLNPLRYKSDCEEFYGKILDNHHVASSVEGISSKETEEIWNKLYPNEPYEINLSLPNTEHSEKLYHRDEKHTKYNLVLAIERQVPFFYQVSRPYMNNNHFLEAALARYKGFLHLIRRNKERSIRCFCVPTYDIDLIWHTHQLHPASYSKDLVKSLGKILEHDDTDQKRGKGQKLDVGFSQTINQWEEMFGSRYWRAGAMYRGTPPSPLSTTQVITKKVDGFQKSIDLPEENVIEVVLEIVEIKNLPETQKKKVRVFFSKAKPDGIFDVKRKVNIQSESGKKQVATFHCEASGSILFELVSELDPSKSGESETLGCCFMSLEDFFTPVFNLCVEKWLDLVPNSGIVGSEPIRVRVAASCTPPFSKSSTFSTLSGNGQFAVSGGCGGGCGGGCGNMVKGGGCGSGCGGGCGGGCGNMVNSGGCGGGCGGGCGGGCGGGCGNMVEGGGCGGGCGGGCGNMVKSGGCGGGCGGGCGNMVEGGGGCGGGCGSMVKSGGLEVAVNA >cds-PLY68816.1 pep primary_assembly:Lsat_Salinas_v7:3:62417107:62417965:-1 gene:gene-LSAT_3X48941 transcript:rna-gnl|WGS:NBSK|LSAT_3X48941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTGKLDVEVKVKSEADKFWNTILDSATIFPKVCSDLYKEVEVLEGDGRSVGSVRLVHFAEGSPIVKSAKEKIEELDEAKKKVAYSVIDGDMMKYYKTFKATLEVIPEAEGSIVKWMCEYEKASDEVPDPSMIRDFAAKNFQEIDAYLLKA >cds-PLY66131.1 pep primary_assembly:Lsat_Salinas_v7:7:30616452:30617090:1 gene:gene-LSAT_7X23781 transcript:rna-gnl|WGS:NBSK|LSAT_7X23781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGSSGSGDGLQGALMGVLFYGLLQQGFDAMGRSINFYFFLRGASRSCSLIEQRRSLRIYTTEETLSPHFYVYMDNCITTQPHEYNYMQVWAHAYGTYVSRMAKESVFRSPIYRLIHQLVASIICHRQECDKVPPCELFYVWCLIQTEVCLYLLFALALHLSSMALGSTPFSRICRGHWVTRLALYYKVDTSGMVHIPIRELGTTSLGKM >cds-PLY74911.1 pep primary_assembly:Lsat_Salinas_v7:3:129078429:129079501:1 gene:gene-LSAT_3X88160 transcript:rna-gnl|WGS:NBSK|LSAT_3X88160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSDHQDTRGFEPPSATVQLTGNNPSGFNTLRLPQTPSPKSPAGKTTSPESRIAAALGHAIFTIPSTSFQDAVAATTTTTTTTAIDNHNPLRRTSSDTSSSFNQDLSTITTTTPPTLPEPTRRINPLRRTLSDPFSEYQPPQKTRTQPSRISPSPNPRTTPPPESSIRKQSPITERLRKMEDMVREIGQTCWDAMSQSQEHVEDEIPTDRATAEQEECIGVDRLSDGGLRIRLDCSCGKGFELLLKDNDYCFYRLT >cds-PLY96810.1 pep primary_assembly:Lsat_Salinas_v7:2:172315720:172316805:-1 gene:gene-LSAT_2X95200 transcript:rna-gnl|WGS:NBSK|LSAT_2X95200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGANSDPNQDGSDEQQRRSEIYTYEAPWHIYAMNWSVRRDKKYRLAIASLLEQYPNRVEIVQLDDSNGEIRSDPTLSFEHPYPPTKVIFIPDKECQKPDLLATSSDFLRIWRISEDDDGGEDDNTHNGTGNSRRVEMKSLLNNNRNSEFCGPVTSFDWNEAEPKRIGTSSIDTTCTIWDIERETVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPTLPVVELQRHQASVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGQPVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFASKLQILRV >cds-PLY91852.1 pep primary_assembly:Lsat_Salinas_v7:8:203560483:203562777:1 gene:gene-LSAT_8X130360 transcript:rna-gnl|WGS:NBSK|LSAT_8X130360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSLNPQAIPESYPPCFRPNTQQSSEQDVDKLDDQENEEDRIPVIDLRRMDLEKLEDACTDWGIFRLINHGIPLTLLSELHEHAVRVFEMGFESKQTLFGSIPTSALSYFWGTPALTPAGVALYKDSNDQKDRGIVNYNWVEGLNVPLSQPTHLQLDQHPLLRDLRILLEEYGVHQARVAKAIFGAITQNLKLCEDNERYLSPSTGQLRVYRYPRCFYDNPSKVWGMEVHTDSSVVTILNQYEVGGLQIHSEKDNAWIDVKPLPNTLLIHLGDMMQAISDDKYKSVKHRVKLHRETERISIGYFVFPEHDCVIRSSSYKPFTYSEFRAQVQQDVKTLGVKVGLSRFKPN >cds-PLY88076.1 pep primary_assembly:Lsat_Salinas_v7:6:184087185:184089210:-1 gene:gene-LSAT_6X111600 transcript:rna-gnl|WGS:NBSK|LSAT_6X111600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSISIDDDWEFAAPLNGLRTLVLVGRTGNGKSATGNSILGKKEFLSRASSAGVTSTSELRTTVLKDGQMLNVIDTPGLFDSSVDTEFIGMEIVKCINMAREGIHAVLVVLSVRNRFSKEEEAAINSLRILFGNKINDYMIVVFTGGDELEENEQTLEDFLQDCPLPLKETLSLCGDKCVLFDNRTKDQKKKADQVNKLLSLVNMVLTKNNGKPYTDEIFNELKRGTRKLHEQTEEVQSLQGYSKQEISELTDQMHKSYEEQLKRITEMVESRLKETTSRLEQQLAEEQVARLKAEELASAAQMKSNDEIRKLRENLERAQKETEELRKRAESGKCAIL >cds-PLY88678.1 pep primary_assembly:Lsat_Salinas_v7:5:67542878:67547703:-1 gene:gene-LSAT_5X31600 transcript:rna-gnl|WGS:NBSK|LSAT_5X31600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQDSPIFNYINNLSPIKPVKATSVTQVFSGLNSPPLVFTSPRIHAHQHSTDLKRSSCPLTPLTNSNMNNSEYAPPKVADSTNTKGPMETFNNEDDDKGSDEVASPTFVEQAKEDHVGNLPSCPQPIENDGKNRSFQSSNALVNNISLEKYDQRVAQHMQPVFGNQPISTMMEQHSQVGQSQLGKRRRLQFEMSQGKIVENTPGSNSINATESEAVESSCDNIQNTMNVNLNVSKPSGIGLHLNSIVNARPFGNISIKSTKGSYSNALEKSSLMQNDMHCPSISSNSDLDGNVLAKSEEFMHETHPSFSNAKSAKIVEHQEKFDQVQTERPNPKKKRKKTESNGDGCKRCNCKKSKCLKLYCDCFAAGIYCAGPCSCQGCFNRPEYEETVLETRQLIESRNPLAFAPKIVPPKNKILEDEEQMTPLAGRHKRGCNCKKSMCLKKYCECYQSNVGCSDGCRCEGCQNIYGAKKGSGMNREMGIEVINEKLIDSFDDTLKVGPTMSTKSSLPEFYNKPHNLTPQTPSFQHSNHGKDPSKTRIFSGRYLPSPSPESESTFYPPPNYITTPKDSTFDKNLEMIPLDQETESYQNPNLEFTDEFSPSPGPPLFSSSSMCFRGTLFGGTKPYDIIDDDTPKILKESFNEVNKVKVRSPNKKRVSPPRIRLHELGSTSLKSGRKFILKAVPSFPPLTPCIDSKDDNAQNQNDNTR >cds-PLY96621.1 pep primary_assembly:Lsat_Salinas_v7:7:47266055:47267305:1 gene:gene-LSAT_7X36600 transcript:rna-gnl|WGS:NBSK|LSAT_7X36600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEELPSSSQLLDDSPDRHLSSSIEEGKPHQVFYVFFVLYVMVISSLYFYCIKLLKEVEVLKGSHLKQIIETNLLEQELLFINIFYTFINHICYNCDGPPKL >cds-PLY66210.1 pep primary_assembly:Lsat_Salinas_v7:2:168621126:168623246:1 gene:gene-LSAT_2X90800 transcript:rna-gnl|WGS:NBSK|LSAT_2X90800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDASKRIEHEIGGLKNDALRFGLQGVKSDIVGSHPLESAFQSAIVREEDMKRKMLANTYGSAFPLKQEFDRKILSRFQRPPGLIPSSMLGLESITGTLEDFGVEDYLQGVHDTETMRVPDMHHGMEVRVGLSKGPVHPSFM >cds-PLY77297.1 pep primary_assembly:Lsat_Salinas_v7:3:213681215:213689157:1 gene:gene-LSAT_3X125561 transcript:rna-gnl|WGS:NBSK|LSAT_3X125561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVPTRLDSFHTSVYKLHFFRYCSYNPFKKHKVIANYESNSTNLNPTPHKKSKIVLRNKNNEAGSIAESPILQSKSNTSGGWLEKWDDTHKHNWPKKAEPVLNYRYGDDSDSDDGGVHRRTGSTMDKIVEKLKKFGYVDDVKEKKEREIEKGSIEDIFYVEEGILPNSRGGFSPESPLGVEDVFRGSNGKVRFPWEKPSEDDANRNSVRQKSKTCVAELTIPESELRRLRNLAFKLKNKTRITGAGVTREMVALIKDKWKSAEVVKLKIEGSGALNMRRMHEILEMRTGGLVIWRSGSTIALYRGVEYKDPSLKQQKREYNKQLSQKSSFSDTTSMEEEDSQVQESDTDRDNSYEKEVDKLLEGLGPRYTDWPGCDPLPVDADLLPGTIDGYEPPFRILPYGVKATLVGKEATTLRRLARVLPPHFALGRSRQHQGLAAAIIKLWERSSIAKVALKRGVQLTTSERMAEDIKKLTGGILLSRNKDFLVFYRGKDFLSPDVSEVLLEKERLAISLQDEEEEARLRASTFITPKVEKYEHLRGSTGTLGESLDANARWAKKLDDDHKKKVLQEAEVIRQEDLVRKLERKLRFADKKLMKAEHALSKVEAFLDPADRPADPNSITDEERFMFRKLGLRMKAFLLLGRRGVFDGTVENMHLHWKYRELVKIIIKETNFEEVKNIALSLESESGGVLVSVDKISKGYAIIVFRGNDYKRPSKLRPKNLLTKRKALARSIELQRHEALMNHISTLNARMRKLQSEIEHVSTIKDKVDEELYDKLDAAYSTEDEDSEEEGEDVYLDTYESEDDEEEDENGGLENEEKDYVDHQLAV >cds-PLY80013.1 pep primary_assembly:Lsat_Salinas_v7:9:45614151:45614600:1 gene:gene-LSAT_9X42040 transcript:rna-gnl|WGS:NBSK|LSAT_9X42040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRSFPEYSSSSHTKTNGSSGFKDQSRSYAFNGPITKDGETKRKKRIAEYNMFTTGSKLKSTVRDSFKWIKNKFTDVRYGI >cds-PLY78400.1 pep primary_assembly:Lsat_Salinas_v7:3:235257614:235258018:-1 gene:gene-LSAT_3X129381 transcript:rna-gnl|WGS:NBSK|LSAT_3X129381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTDVEEKFVWHESNIKSWVSAALTDTTTCMDGLLGDTLGYKVKSMIKARFLNVKQLASNSLGMFNRFTTRHRASRAIRNP >cds-PLY69545.1 pep primary_assembly:Lsat_Salinas_v7:8:82823620:82827726:1 gene:gene-LSAT_8X59020 transcript:rna-gnl|WGS:NBSK|LSAT_8X59020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 17 [Source:Projected from Arabidopsis thaliana (AT5G20170) UniProtKB/Swiss-Prot;Acc:F4K460] MDGNLSISLDKLPVKRLEIIEENGAEKFPPDLSYDDNRVNQIRRIDFAWAVEREDPNKKQKKGDSTASSSKDTTSSSPWPWQNMVENLKLAHQELSVIIDLINTVEINDAVTVAGMTRPKQLPNELLSDLAVSTATKLQCFRHLGKYFKQSAKALEKQVAKEARFYGALIRLQQNWKVKRHRMAASAAGNEGFYIDLFDNTLYDPTAIFRQSSMSTIRVEHDNAGMLALNLPSKACHVLQFGFVGDDIPKKSNGRTTNLSNSEFSEETKKEDETDNDDDDGVKKRHSDLREVHRAVFNEQVFNLINQEAFVPSLGVNVTGIRENHLQLNIDQEASVFISLVSSTQEHDATDDEENNDNNALIPLIDGEEEKQPVKKRSFLPNRISSEILLKQLFHEHVFVRAKNRSASPNKSQQFGQLTKDGSKSNLLGHFCMSLAHRIFSYKVLTQLENLAKSVSYVSLVSHPTWTSRTSSWTLVVDVPPSILHSGHQTWAAKKRGKSQFHTKVVVIDDCINIEGEGAPNVVGLFKGKCENSCSINRYDCNLADLHVILLQQVASQIIRWLHEEALSVGMKASRDFLSLCFEVEQGEVVGVVAHVDPEDGEGGISWWLVVGNGGGVEEYKVEREDSDGETKRYLGHLSLDVLYATLLDLLTMCSNGGSLKSSLDNQL >cds-PLY62776.1 pep primary_assembly:Lsat_Salinas_v7:4:290625169:290625646:-1 gene:gene-LSAT_4X149480 transcript:rna-gnl|WGS:NBSK|LSAT_4X149480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSYSISSSLNRSSKKSTVNDPKTCDCGFPARILTSTTPKNPGRHFMVCNEMPLMEVVEGMKAELVALKTEVEKVKEDMEQMKKEKYSDAIAMKEKLYKFTIGFLFLIIVYMMK >cds-PLY90366.1 pep primary_assembly:Lsat_Salinas_v7:2:198632696:198635323:1 gene:gene-LSAT_2X119720 transcript:rna-gnl|WGS:NBSK|LSAT_2X119720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PGGT-I [Source:Projected from Arabidopsis thaliana (AT2G39550) UniProtKB/TrEMBL;Acc:A0A178VS16] MADDDDLLWSSSTDRGSEVRLFDRNRHIMYLQMNYEILPSGYEGQEINRLTLAYFIISGLHILHALDHVDKEAVINWVLSLQAHPKNEAELNNGQFYGFHGSRSSQFHSLDKEVSVPNNSHLASTYCALAILKTVGYDLSLINSTSILKSMKCLQQSDGSFMPIHTGAEKDLRFVYCAAVISSLLDDWSGMDKEKAKNYILSCQSYDGGFGLIPGQESHGGATYCAVASLRLMGFIEDNLISQSTSSCIIDLPLLLDWCLQRQALDGGFQGRPNKTSDTCYAFWIGGVLRILGANQFIDEKTLREFLLTCQSKYGGFSKFPGQFPDLYHSYYGFTAFSMLQETDLNSLSVELGISMF >cds-PLY78714.1 pep primary_assembly:Lsat_Salinas_v7:9:49306650:49308576:1 gene:gene-LSAT_9X41660 transcript:rna-gnl|WGS:NBSK|LSAT_9X41660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPVPPSSNQSNLIKKGAWTTEEDQQLINYIQNHGHGSWRSLPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEENMILHLHSILGNKWSTIATRLPGRTDNEIKNYWNTHMKKKLLQSGIDPMTHQPRTDLFSCLPQLLVLANIKELLEHNQLAQNLQYFNHFLQPNNTGLSTLLNRTSSIPLFQEENLNFVSEFPVLEDDQESEEIRQFPVGTSNVTQPLHQEVSIMNLETSNDFKHVGHCQGQSAFTSVNSSPSISAVTWNPIPPLIDNTATATTTNNSGSLESGSTISYSGGDGGASSYNWPEFLFEDSFKLDQTLLEMS >cds-PLY96424.1 pep primary_assembly:Lsat_Salinas_v7:4:344210612:344211965:1 gene:gene-LSAT_4X169860 transcript:rna-gnl|WGS:NBSK|LSAT_4X169860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKLEINQEQTMAFGGPQRAKKKFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGSNTRTNFWPSSQSFSTTPALPSKITNLLLHRLEARNNPRPPSALVNRHEDVKQPEVESEFLDTYFTDFLNDVDGCLSVNDMMASDVGSNVSFESFMPVDVDSCGDTNGGGEREVEEEEEEEEGVDFRFIDEIGTGCNFSPFDMAQEMVSEQSYDGEEEPMTISEAMKRMKYERKFSASLYAFHGIPECLQRKGGQGGGAKGRERSKMHRMAHQVDEESKNGVEEMCLVSSSSGEFIDGDMSMWNLFDLPTICYVN >cds-PLY99017.1 pep primary_assembly:Lsat_Salinas_v7:1:146136238:146137066:-1 gene:gene-LSAT_1X102960 transcript:rna-gnl|WGS:NBSK|LSAT_1X102960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVFAGVVVGNDATGIINDTPLESASSKVTYAHCEIDKVIYGDMVAAENDKPEDVVVVDISLKDTVTDEALMSSSRKLKDDVQYQNENNLSDELNLYIRMQFTYEIREGGRKRVLSAAGSLDVTGDCDLDCDEAANSSVFPLCVGTRGISGIWLPVGGELDYLQVCMGGVFEF >cds-PLY83127.1 pep primary_assembly:Lsat_Salinas_v7:3:165488809:165490166:-1 gene:gene-LSAT_3X102601 transcript:rna-gnl|WGS:NBSK|LSAT_3X102601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTGATVLSFLAIVFFLSASASNLPSLLHPLKVPRLPDISSFEDDLSYSLYQHSCRGVEGIIYRKVKEWVKKDPTLAPSLMRLHFHDCAVRGCDASILLDHKGSEKSANVSKSLRGFEVINDIKAAVEKKCPKTVSCADILTAAARDATVLAGGPFWTIPFGRKDGRVSLAKEAAKVPMGRESITNLIEFFQSKGLNVLDLVVLSGAHTIGRSKCESVQYRLYDYKGTKKPDPSIDPQYLNYLRRKCRWASEDVYLDATTPHKFDTQYYQNLKKKMGLLSTDQLLYGDSRTKPIADALSFDSSLFSNQFSVSMVKLANILDFTSQDHGEIRVKCNVVNY >cds-PLY73054.1 pep primary_assembly:Lsat_Salinas_v7:9:36397865:36400440:1 gene:gene-LSAT_9X34320 transcript:rna-gnl|WGS:NBSK|LSAT_9X34320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSTMVSLLFPVGILLVFLSLCLSTTSAAIVETSFHVKNLTTSRLCQNHVIVAVNGSLPGPTLRVQEGDTLIVHVFNKSPYNLTIHWHGGFQMRIQWADGPEFITQCPIRPGNSYTYRFNLTGQVGTLWWHAHTQWLRATVYGALVIRPRDGQKYPFVKPYREETILLGEWWNGNVIDVENAALATGVAPNNSDAYTINGWPGDLYPSCPSNKTYTLQVVPGKTYLLRLINAALNGQLFFKIANHNLTVVGADAAYTNPFQTDVVVMGPGQTTDVLLTANQSPGLYYMAARPYLSAVGVTINNSTTTAILAYKSATQTTPVLPILPALNDTPTAFRFESNMTALVTSPFWSPVPKTVDESMYVTMGLGISECGNNQTCGGIFGQRMAASMNNHSFVSPSTISMLEAFFRNVSGIYTTDFPNQPPIVFDYTNTSNSFNQTLMTTPKSTSVKKLKFNSTVQIVFQNTALVGIENHPMHLHGMNFYILAQGFGNYDPINDPRKFNLVNPQERNTIGVPTGGWAAIRFRANNPGVWFIHCHLDVHLPWGLATAFLVENGGTPESTLPPPPADFPRC >cds-PLY82751.1 pep primary_assembly:Lsat_Salinas_v7:2:147951894:147957263:1 gene:gene-LSAT_2X73681 transcript:rna-gnl|WGS:NBSK|LSAT_2X73681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G35520) TAIR;Acc:AT4G35520] MRSIKVLPESVRNSLRSGIILSDLTRVVEELVFNSLDAGATKVIVAVGVGTNYIKVTDNGCGITRDGLVLLGERYATSKFEQLSGLNTVPESFGFRGEALSSISDLSLLQVVTKAHGMPNGYCKVIKCSKCLYLGIDDDRQNVGTTVVVRDLFYNQPVRRRHLQSSPKKVLHSVKECILRIALVHLGTCFKVNDIESGDELLSLYPSSSPLPLLVSSFGTEVSSSLHKLDESDDKLKLSGFISSPCETFSVKAFQYFCILFLVLILCFIVTSLWVKKMFSLTFEKDINSRYISRGPIHKLLNQLATESELLKGDIRSECGKRSRYQACLTYILNLSCPRAHYDLSFEPTKTCAEFKEWDPVLTFIKKAVSCFWNQDPLNDSEVPKKRRVTWSHETSIVLSSPQLQMPTESKHMSHLSDAKWNPNNKLLLKESSPIQFHFDNHLIKPFLRSCSSHLDLSPVVTKIQDDEFDNIIQGSESWLDKSTTVWSPPRIISTSNLSVDSPFLPKESFDSLLTDGDLFTENNNSPWDTPVASKHQSDWSPLMFDESRDDVDFKLRRDDWFDLFADEEGEKDIFDFDNMRYSSSQEGYMSCKGHVKNSQKDTLLCDFMNGIDWASPESYMSSLSCGERVKKTKVTQSSFQDKKKPRRRSHSAPPSYRGKRKFIALNSQMSVESGNSQFETPHNASLQEASDLRHVQKSSGVDHLLLEEGEDPTKARHDKKKVIDKAEISDYEGEAAHCLDVFNTDSDFTSRDNEGALDLGEKWRSGYPWDSSIEKKGDEDKILDISSGILHLSGDSLTLTPKSISKKCLDDSKVLQQVDKKFIPIVGGGILAIIDQHAADERIRLEDLRKKVLSGKMKTICYLDAEQELVLPEIGYQLLINYSEQIKKWGWICNFHAQSSVSFKKNLNFLHNQPSVATLIAVPCILGVNLTDADLLEFLKQLADTDGSSILPPAVVRVLNTKACRGAIMFGDALLPSECSLIVEELKKTSLCFQCAHGRPTTVALVDMVVLHKQIGKLGNWNRGSCGSWHGLSRHRPTLERATQRLSQ >cds-PLY70095.1 pep primary_assembly:Lsat_Salinas_v7:4:203892015:203896849:-1 gene:gene-LSAT_4X115841 transcript:rna-gnl|WGS:NBSK|LSAT_4X115841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQRVVDNLLAVTKESVKTITYESLNNILRLINGLSAMLLAILPGKTNMLEGIHGWELRPAFRGPRLPRWMENGVSSFNQFVHELSMESDSASSEDYSSGEEMDDDDDDYISPASPSSQSSRFSRSSSYTKRRKDWPHWILSIFMWLLLPARLMIGIPIYLYSLVFTRDSKGANRIAGRLQNSNVPGGRKALDHVVELATDRRRGVIEDLHLGIEIFIETIFDGIHKMTACVISPWESLRVFLKWSSPDDPSAYVATATLAKDDPTPTERKTRLNNSLNTDGRTCEDVITELGYPYEAINVVTADGYVLLLERIPRRDSRKVVYLQHGVFDSSMGWVSNGVVGSPAFAAFDQGYDVFLGNFRGLVSREHIDKNISSREYWHYSINENGIEDIPAMIEKIHQVKTTELEHNTQELETEQPYKLFAICHSLGGAAILMYLITRRIQEKPHHLSRLILLSPAGFHHDSSFPFTALEHLLFWFSPILQPLVPAFYIPTRFFRMLLNKLARDFHNYPAVGGVVQTLFGYLLGGDSSNWVGVIGLPHYNMNDMPGVSFGIALHLAQMKRSKRFRMFDYGSAPANMAAYGSPEPLDLGEFYGLIDVPVDVVAGRKDKVIRPSMVRKHYRLMRDAGVDVSYNEFEYAHLDFTFSHREELLGYVMSRLRLVSQNMKKEMRLKKKDLTC >cds-PLY66632.1 pep primary_assembly:Lsat_Salinas_v7:3:65094911:65097917:1 gene:gene-LSAT_3X51441 transcript:rna-gnl|WGS:NBSK|LSAT_3X51441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTDRSSEPKQGSPSFFKVVRYPSSPHLSLPIAFVRRYLHKIPKNTILKTATGEHSWRLKIKQIGEDYCFAHGWEKLAQDVQLATRDILVFWLVDSFTFQVSFFDEHGCEKDLPLTHTSEDDEDDGQHHDDDDVAPYEDLYFQKGLPKKFVDSAGLENKSSIKMKDKEGKEWKIGLKVEIYSNIRVYLASGWPHFRQYHDLCNGDMCLFKYIKKENVLILVEMIKSKRPIKRQSPDVKGLNRKIGRPPVEKLDGGGGVKVKVEYESGPEAEVVKRKRGRSPGERQLGGGVEVKIEDGLWPEVGVLKKKRGAPPLGEPCGGGGGGGGVKVKIEDESCPAEVEVVMRNKGGPPPLHVKARAGGEVKAHAGDGEVKPEPEMESLFRCKQVIYF >cds-PLY88279.1 pep primary_assembly:Lsat_Salinas_v7:2:3355497:3359099:-1 gene:gene-LSAT_2X2200 transcript:rna-gnl|WGS:NBSK|LSAT_2X2200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFLLKEGYDSNALVHHSNSYKAITTHISLPRTQILFLSTLLEKESQEYIRKGENYKSRKMAAGNGTGDRIKPPTLVPLLETSPPNIDLDSKTPDQDLELSFQQRFFIESKKLWHIVGPTIFSRISAYTMLVITQAFAGHLGDLELAAVSIATNVIVGFDIGLLLGMASALETLCGQAYGAKNYRMLGVYLQRSWIVLFICCILLLPLYIFASPVLKLMGQPDDISELSGRMCMSLIPLHFSLCFQFTLQRFLQCQLKTHVIAYVSMGTLVLHSVLSWLVVYRFQLGLFWTVVMLNFSWWLIVLGMFCYSVFGGCPETWGGFSMEAFNGLWSFFKLSAASGVMLCLENWYYRILIVITGNLEDAKIAVDALSICMSINGLELMIPLGFFAGTGVRVANELGAGNGKGARFATIVSVATSAVIGVFFWILIMLFHNELALIFTTSEPVLHAVSKLSVLLAFTILLNSIQPVLSGICSIHKLRLLLLDRCPHGSCHGVVFPSWRHGYLGWNDLRRDCSSNSHIGYHYKSL >cds-PLY82665.1 pep primary_assembly:Lsat_Salinas_v7:9:154112929:154117479:-1 gene:gene-LSAT_9X98081 transcript:rna-gnl|WGS:NBSK|LSAT_9X98081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNFDSSEASNRNPHSIPSGTTTGHNSGIITEERKQRFQGKHNGVNLREWIDNRNRVVDAFECLHIFIQIVEVVNLAHSKGIVVHNIRPSCFIISSLNRVSFIESVACSDSDSDVKGSCSFSAQNPVNVSRTVSDLQSGLENLEEERNQRFRPFPMKQMESNWYTSPEEASGGQSSCASDVYRLGALLFELYCTCSSVEEKNATMSSLRHRVFPPQLLLKWPKEALFCLWLLHPEPDSRPKIDEVLQSEFLNGLKDNLDERVAEIELKERIEEQELLLEFLSMMQKRKQEAANSMRSTVSVLTSDLQEVVKLQSSINIKLESNLNHRSINDDDPANPESRKRIRTITHEDEYQTSENRGNLISKTPRLMRNLRKLESAYFLTRRRAIKQEIKPISIDCKESIVSANDFSSRDRIVGRQNRWMNSFLDGLCKYLSFSKLKVKADLKQADLLSFSNLVCSLSFDRDGDFFATAGVNKKIKVFEYDSILNGNRDINYPVAEMGSNSKLSSICWNRYIKGQIASSNFEGVVQVWDVTRNQVFTEMREHESRAWSVDFSSADPTLLASGSDDGSGVSVGTIKTKANVCCVQFPPDSGNSLAFGSADHRMYYYDLRNTSRPLCTFVGHDKTVSYVKFIDSGTLVSSSTDNTLKLWDLSECSSHVVDSPLQSFTGHVNVKNFVGLSVSEGYIATGSETNEVFIYHKAFPMHAFSYKFNTIDPISGDEVDDNEQFVSSVCWRNQSSVLVAANSMGDIKLLEMI >cds-PLY73319.1 pep primary_assembly:Lsat_Salinas_v7:8:260071858:260074800:-1 gene:gene-LSAT_8X150641 transcript:rna-gnl|WGS:NBSK|LSAT_8X150641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASALFLLDIKGRVLVWRDYRGDVSAVQAERFFCKLIEKEGEPDAQDPVVYDNGVTYMFVQHNNVYLMTASRQNCNAASLLLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVSQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSEVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRSTKGKAIDLDDIKFHQCVRLARFENDRTISFVPPDGAFDLMTYRLSTQVKPLIWVEAQIERHSRSRMEITIKARSQFKERSTATNVEIEFPVPADATNPNVRTSMGSAAYAPENDALCWKIKSFPGGKEYMLRAEFRLPSITAEEAVPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLV >cds-PLY64986.1 pep primary_assembly:Lsat_Salinas_v7:6:96651439:96653422:-1 gene:gene-LSAT_6X64840 transcript:rna-gnl|WGS:NBSK|LSAT_6X64840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGASLPPGFRFHPTEEELIGYYLKRKVQGLEIELEVIPVINFYKFEPWELPEKSFLPNDDMEWFFFCPRDRKYPNGSRTNRATNAGYWKATGKDRKVLCQSSLLGYRKSLVFYNGRAPTGNRTCWVMHEYRLCDDVSQGTPSFQEPFVLCRVMKKNKQRTSYVNSEPETKGGGSSESNISSPLTSFQTNEPTYSIDHNDPPSFQVSPDLILESSKEVCEGGVGGRFGYFQQSEIPTAPWQSFGISPSSSYSNFTEEDDLIRFGSMSPLYLGDESLMEFFENEDHDWTNSHGNLNPF >cds-PLY91350.1 pep primary_assembly:Lsat_Salinas_v7:8:45685374:45693745:1 gene:gene-LSAT_8X35040 transcript:rna-gnl|WGS:NBSK|LSAT_8X35040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRFGVVGLKLRRGYARSQSGEPIHHCNIGVCHVIKRRFDKSFKFSELSTLYKIKRKCKEKFRLEASVVVGWRKKHQKMAPEGEIMILFPTTYVGSSVLPFPGDWLSGLNCYTNHINRNINM >cds-PLY68879.1 pep primary_assembly:Lsat_Salinas_v7:3:61459513:61459827:-1 gene:gene-LSAT_3X48640 transcript:rna-gnl|WGS:NBSK|LSAT_3X48640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRPDQSIATSPPKRKRYARALGSRLQEFGGSDTQVPPTSAESNDPVETQTSSSLHDCPALKHADDNIPLSDSDVPLIEVLPTSSNQKEALLFLYFFIRGFDCY >cds-PLY77368.1 pep primary_assembly:Lsat_Salinas_v7:1:208037938:208040441:-1 gene:gene-LSAT_1X124180 transcript:rna-gnl|WGS:NBSK|LSAT_1X124180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTSTSSAYEEQNTNIETTKTLDQDEKKDDSTNQPDSISSPKSQYATDPPKDVPTEKDISSNETTHEQVRKHLMNLIDQEIHFIETICPKLGNHQEHIKKEVKEASNMFEKLKVEACQLKELKDLKKTVTKLKLQIPAKYRRYDVTDQQKDQQYDVDNKSIISTKLQKLMPRLHSKLFHDSSFCKTIQQRYDKLSRELKLCLLCFSVFPENALISRRLMVYWWIGEGFVPQEDDLIVGKTQEDYANDFFKELMDKEFIEPIIPKSYRRNVAICKMHPMIRAAIIMIADKVNFFDFDEYGNPKDFGKFDEIDTPDEIPINYPLGDPREFFDFYDENYKETEEAIEFFDSYGDPTPFFVYPENKDQPHVEDQNRSKEKETHKKHYFYKKKKIITRSYKVCLMGSGLSKGITWEKLHLLFNIKDDILEFKPEWFIRMKNANMVFLGRWQSSAAHHIEVEDFKFQESLENMNHVRFFSLQGVSRISELPNSISKLESLLILDLRACHNLELIPKTIGALKFLTHLDMSECYLLQEMPKEISCLQSLQVLKGFVVVESPGRHVCTLHDLINLENLRKLSMYTHMRIFPQESHLDALQKLDMLRKLTILWSSHESSLNFVKSKVNGQCKRKVKLPLIKLIPKKTWVKGSMRRMNAFNTSTLGSRLEKLDLKCFPSNVTPNWLTPGSLKALKKLYIRAGKFSDLGQYQEVLEWDDSPPPPKDTWNVEVLRLKYLDEIKMDWRELQDLFPNIMSLEKVKCPRLTLFPCNEHGVWNKMTSSTT >cds-PLY70210.1 pep primary_assembly:Lsat_Salinas_v7:9:1709182:1712890:-1 gene:gene-LSAT_9X3840 transcript:rna-gnl|WGS:NBSK|LSAT_9X3840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase subunit SCY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31530) UniProtKB/Swiss-Prot;Acc:F4IQV7] MQSINPCFSDVNSLSANYFSLQRGLRVSGRHARSLQLWHVPYDRKRFSLKTTNSELYKLHFVNLAKPFHSSECHNSPMSIYNQPKRSHMRIHSASAESLSHELIEQTSEHEFEVSSYNPEKSQPKPKYENRFLIFARVGSVINNAAESFFKSEIRRRLFVTAALLVISRVGYFIPLPGFDRRLVPENYLSFVSGSVEELGELAPELKLSLFQLGISPQIAASIVMQVLCYVLPSLIKLRKEGLDANEKIKGYIWWMSLGFAIVEALIISYFSLSYSIYAANASQRLKHIMLTTILLVSGAMTTTWICDKISDSGFGHGSSLLICVNILNGYIETLHKMLSQLSGGSGWWQYIFGVSGVFVVVTMWAVVVTQGCRKIKLQYYGFKLASSTREDSPVTEVEQYIPFNINPSGMQPVLTTSYLLGFPAIVASFFSSRFWRNVREILNPESSLGAAPWVYYTIYAFFVFLFNIFDIANMPKEIAEYLNKMGARIPNIKPGKATVEYLTKIQASTRFWGGLLLSILATSSTILDHYLRKTNEGFSIGFTSVLIIVGSIIELKRSYEAYNVMPSLTKALKRYGV >cds-PLY66280.1 pep primary_assembly:Lsat_Salinas_v7:8:308618835:308621519:1 gene:gene-LSAT_8X167241 transcript:rna-gnl|WGS:NBSK|LSAT_8X167241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDEGFPPPTRLMNFVSQEQLDEAKKTRGPRVEDGTAHRDRPLFEILKENKDKKDAEFNERFKHRPPKALDEDETEFLDRLELSRREYEQQLADDEAQQLRSFQEAVAAQAATLQELQETPHVVPKLEEKQSTGEKKSHAKKSHSLVVIKVKPQTKKARIGEQVNFNFEELKRHDAATSDTERSSLGPDKGSIQNVNVAATGGGLGLVSYSDESDVD >cds-PLY63880.1 pep primary_assembly:Lsat_Salinas_v7:1:124705929:124706147:1 gene:gene-LSAT_1X93780 transcript:rna-gnl|WGS:NBSK|LSAT_1X93780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKLIPRNLQEGWGLYINGKSNGLGIAGVYSISIFSMSIIKLYCTFWKRYDLEIEIVLCSTKRTTREMERYE >cds-PLY74649.1 pep primary_assembly:Lsat_Salinas_v7:4:196830594:196832138:-1 gene:gene-LSAT_4X113241 transcript:rna-gnl|WGS:NBSK|LSAT_4X113241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESWTFDSKAKMGSLDWESKSPFIFENNMVFSNNAMCVENQGFGEICFPQIVGNSLSSPIMGATHNKFLEEHESSSELLSSVVESNQLLDLTTHVASKQKRTMVFNSLSPLCKVHGCNKSLVSCKDYHKRHKVCELHSKTAKVIVHGVEQRFCQQCSRFHLLKEFDDGKRSCRKRLADHNERRRKPHSSRHGRLLPPYHPTGTKVKGSTKTRARSSIIDIDQYPSLYVDNNEYIQASNSHLFPFLNKDQSGGEEDFITMDSTCALSPLSSQSHGSSSFHLSRNPTSWTHALNQNTHLASGVLNNMNPLMVGSEYINSQNRLWCDEVSTVDLLHLSSQLERVELHK >cds-PLY85753.1 pep primary_assembly:Lsat_Salinas_v7:1:48118487:48119024:-1 gene:gene-LSAT_1X45180 transcript:rna-gnl|WGS:NBSK|LSAT_1X45180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIGIIVSLFLLLLGFSNNKCCLGEIGTASSYGPPYTPTRCNGNQGDQFPLGNLFVSVSEGLWDNGAACGRRYRLKCLSGNNKPCKGGTIDVKVVDFYSKRPCPSTIVLSNDAFSAISKTQRGKINVEYIE >cds-PLY63697.1 pep primary_assembly:Lsat_Salinas_v7:9:86107284:86109096:1 gene:gene-LSAT_9X67901 transcript:rna-gnl|WGS:NBSK|LSAT_9X67901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGTFCINSCILLPWVFRETSSDAIRSSAITILSRICEDYGPSSIPISQGWLAIMLSDILKSRKLSLKGSAQPRDKVKTQIDQANVLSGTQSVNQLASAVVNLAVNDGANARTRHVPYRDSRLTFLLQGVVNEDASGDIMVLQQQIQPLKEELAILKRNNISRSLAFGPKVIEEATQEHENDCTRHDNKILKVSSKQLKSLETSLTGALRRDQMSEASIKQLEAEIEQLNRLVRQREDDNKCTKMMLKFREDKIHDQIQNVRYASFGI >cds-PLY90925.1 pep primary_assembly:Lsat_Salinas_v7:6:123214066:123216778:1 gene:gene-LSAT_6X75180 transcript:rna-gnl|WGS:NBSK|LSAT_6X75180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDSETVVAQSSAIQEHPSTTVNRDLAASTGIDTMDVDNVIPHEDDRASMQILMEEASLQQLIFTPFSGLIPCAVLLVGLFFVPESPRWLAKIGRKKEFDAALRKLRGKDADISEEADEIQDYIETLQKLPKAKIFDLFQRRYLRSVTCIKESHRLVDYETVSKYADRIANAKWEVKEHGMERKVAYTISSHWSIG >cds-PLY96363.1 pep primary_assembly:Lsat_Salinas_v7:4:356322142:356324455:1 gene:gene-LSAT_4X175820 transcript:rna-gnl|WGS:NBSK|LSAT_4X175820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLKKIRRKVERIITKPFKKPPRKPSIKPQSPISSPPPEPPPAIMNRRPSHPFMFPETNSTVLPHPSTFFSTNLLSSPLPTNSFFQNFVLKNGDQPEYIHPYLIKSSPSSLSMCYPPLFSTTAFTYQIFNADLTISAVDNPDPNQTHVISSFNDLSVTLDLPQSLRFYLVRGSPFLTCQVLQKTELSISTIHAILDFTPNSSRTKYKINLNNGQTWLLYSSSPINLTHEISKISSSLFSGVIRIAILPNSSNPNLETVLDRFSSCYPVSGDAAFTTPFCVEYKWEKKGWGDLLMLANPLHLRLLDVSAVKVLDDVKYNSIDGELVGVIGDSWVLKTDPVSVTWHSIKGIKEENYPEIIDALVKDVDGLDSSSISTTSSYFYGKLVARAARLALIAEEVTYIEVLPRIQKYLKDTIEPWLDGTFNGNGFLYDKSWGGIITKQGSQDSSADFGFGIYNDHHYHIGYFLYGIAVLAKIDPIWGRKYRPQAYTLMADFMTLGRGGNSKYTRLRCFDLWKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALMGLAYGDTHLVSIGSLLTAMEIHASQTWWHVKEDDSYYSEEFTRDNRVVGVLWANKRDSGLWFAPPEWRECRVGIQVLPLLPVTEVLFSDVGFVRRLVEWGLPALGREGVGEGWKGFVYALQGVYEKEIAIEKIRSLNGFDDGNSLSNLLWWIYSRSEGEEEGYEGGGRHCWFGHYCH >cds-PLY80636.1 pep primary_assembly:Lsat_Salinas_v7:5:245818469:245818660:-1 gene:gene-LSAT_5X122141 transcript:rna-gnl|WGS:NBSK|LSAT_5X122141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTITATATGNDLSTVDNDLITTANDEPTTVIAVNDKFLIWNHRYHLFVASPVYRQHFLPPDL >cds-PLY77905.1 pep primary_assembly:Lsat_Salinas_v7:1:25889400:25894793:-1 gene:gene-LSAT_1X21901 transcript:rna-gnl|WGS:NBSK|LSAT_1X21901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPARLVSSSSGLQSDAVVRVLDIFVIQACFVLCVPDFGPMQYMCYVSNTPGLRSDAVSSGLRSDAGDKVPVSSGLRSDAASSGLRSDAVSSGLRSDAVSSGLRSDAGDKVPVSSGLRSDAASSRLRSDAVGKAQYFRKQREELGMMASHTPQLQYFRKQREELGMMASHTPQLQFLSLELIPLVDMFGYSCDTVFFLSVFYHGF >cds-PLY68235.1 pep primary_assembly:Lsat_Salinas_v7:3:103071783:103071938:-1 gene:gene-LSAT_3X77241 transcript:rna-gnl|WGS:NBSK|LSAT_3X77241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERFQQAMQVSSLLPAEDEELENEDPCKVIAESRSVTQERSSDPTRDCDEG >cds-PLY95529.1 pep primary_assembly:Lsat_Salinas_v7:6:174198101:174199435:1 gene:gene-LSAT_6X106100 transcript:rna-gnl|WGS:NBSK|LSAT_6X106100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTLALLHLLLSLSVSLSTSKHHGYGSSGGGNLQGFTLDLIHRDSPLSPLYNPTHSRSNRVQNAFNRSISRASTLSRRAGFTTKIEADIFEVPGEYVMSIQIGTPPVKVVGVADTGSDLTWAQCQPCQNCYKQVNLPYLVPSSSSTYQQLSCQSKGCEALEENQSSCDSQNTCQYKMMYGDRSYTSGDLAMDTFWFGLTPMKNVAFGCGHDNNGTFNVNVSGIIGLGGGPLSIVNQLNSVVQGQFSYCLTPQFNDITNQTSKIYFGELAKVNGSNVVSTPMIRKEPSTYYYLTLQSVLVGNTSVSYERSFSKNKVVKGNIIIDSGTTLTLVNRDFFSALISVLTDAIGGQTVEDPQGLFELCYSDLELTLVPKMTFRFDGADVELPATSTFLEVQKGLSCLTIVPVDDLAIFGNLLQQNFLVGYDLVNEKVYFKPTDCMRHEVD >cds-PLY87669.1 pep primary_assembly:Lsat_Salinas_v7:6:45689019:45690071:1 gene:gene-LSAT_6X34541 transcript:rna-gnl|WGS:NBSK|LSAT_6X34541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPKNIPYSHEFQVLEASCPNITTEYLRSLWSLVYQSHQQNQYSKPMPWIGMNIALASLLCVLAMVADLLHGLWNRKLWFPCKYFSLNAASLTVIAVAIKLPMDPNNLMPGDVHLAAKLGTMSFMCTIMANLLPSLATKDDKELVSNVIALALLVFSLVFNFCIQITTGILFFTPDAAFYPYKISFLDRVISLGGFVVPILMLLIIYACSSLAILKSKQILESKYQTANQTALKDPELKAGRLTVVKLKQYVSNYWIMAGTGSPQFMTACSITTSASGVICAASTGYFIFLIVFNFQFLLRRKDYNRSDYKYSVLVILMIQSIGVILGTIAPLARCFATFTTRKRLIEYG >cds-PLY76499.1 pep primary_assembly:Lsat_Salinas_v7:4:175533757:175536627:-1 gene:gene-LSAT_4X103680 transcript:rna-gnl|WGS:NBSK|LSAT_4X103680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSHCVSIVANPSAVVWRLCRPVVMGGRKSGNSDEMDSSSPSRGNVVWCSQWKTALIMMNVFLLLVLLILAPMILKLRVEFERLETELDERIESMEKTLISWDRLERDEVREIIEKEIAKHAADGIGRVNYAVASCGGRTGECFPLKGDNGFVEVKLCTSVVPEAITVEHVSKSVALDRSNAPKGCKVLGWLGDGERKEKMHLLTRFRYDLKKSNVQTFNVVDPTVVDTIRLEFMSNHGNPNFTCIYRVRVHGHESYVA >cds-PLY84552.1 pep primary_assembly:Lsat_Salinas_v7:1:31483184:31486671:1 gene:gene-LSAT_1X28241 transcript:rna-gnl|WGS:NBSK|LSAT_1X28241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVSTSLSCVSTSFCASFPSRRTLQVSLPPRLHDCRQLKTKVNTRCSINGRLNMNQRFPKRRFIFFASVEDLISGSNDIDSDDGTDEEEDDDLLIEDEASKRFETLERSGGKPGLISFYNHPYKNEPEILVSTPTISTLGQTKLYWFVGPAVLVASFIFPSLYMRKILSTVFEDSLLTDFLILFFTEALFYCGVAVFLLIVDNLRRPTEPINTKNRMIPPPQLAYRITSVAALVLSLMIPMVTMGLVWPWTGPAASATLAPYLVGIVVQFAFEQYARYIKSPSWPLIPVIFQVYRLHQLNRAAQLVTALSLTVIRAESTPHNLAINGSLGMLLNVLQCLGVICIWSLSSFLMRFLPSATITEQ >cds-PLY96525.1 pep primary_assembly:Lsat_Salinas_v7:5:337014528:337015899:-1 gene:gene-LSAT_5X188841 transcript:rna-gnl|WGS:NBSK|LSAT_5X188841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSKLSAHWWWFDSQSNTIPRKSPWLHSTIAELDDKTEAMLKLIEEDADSFAKRAEMYYKKRPELISIVEDLYRAHRSLAERYDQVKFDSESRHLITPWTSCPLPLSKFRTSHLMKESEKSYDSYSESFDFDHDFDSVESDMFDEPQQASSFNDETEEEEKSSNSSSDEEGMKLREELERLREENKVQKEELVQKDEEKREAIRQLSFCVDLLKQENLNLKTRIAKDSFKKQNSSPFEFTKLKGVFWGIFNGEATTAVAL >cds-PLY94278.1 pep primary_assembly:Lsat_Salinas_v7:1:91473306:91473506:-1 gene:gene-LSAT_1X73581 transcript:rna-gnl|WGS:NBSK|LSAT_1X73581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEANNNKAFAMEVLKLSEEAKNNKEVQLDILNLLKVELVMMVMLLMVVIVMGFMVHNVMVKAL >cds-PLY70616.1 pep primary_assembly:Lsat_Salinas_v7:1:88948678:88950113:-1 gene:gene-LSAT_1X75200 transcript:rna-gnl|WGS:NBSK|LSAT_1X75200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTANYRRKFGRDRISNLPEHLIASIIERLPIQDAVSTCIISKKWRYIWTEMRELVFDNQFSNKFAKNEAFGRNGFIRIIKQVLTLSKGPILKFSKNGAFGSNEFISIINQVLTLHKGPILKFHLHIPNIFLDSFEEVDQLMLLLSRTGVKELILDSSNRCYELPSYVFSCLELTKLILYNCFFKPPLKFEGFLNLEELILRDIDFGANLCRTKINLPQLKNLSLAYCTNVYKFKIKATNLFRLAITACPDAILATMLSNSKVKRFCIDAQFLKTLTPENIPKWLQQPDNSLRYLWFRKFHLGDVDQLRGALCLLRNSPNLKTLGMYLEKKPRVNLGPASSILESLNCLDYKLYQLQNVVITSIEGSKPEMLFIKLLLSHSPSLEKVTIRPSGSSSVQERLDIAKDVMWFPRASPKAKIIYSNP >cds-PLY70890.1 pep primary_assembly:Lsat_Salinas_v7:9:16325055:16326948:-1 gene:gene-LSAT_9X17741 transcript:rna-gnl|WGS:NBSK|LSAT_9X17741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRDFFLNAGLHFEPQTQMPSWKLMASAPEMNCPPAQSSLGRFNNFESAFSSMVSSPVSNSVAPNDTFAVRELIGKLGSICNGDGEVSPAAMAPAAAAPLNSPPRKFDWPAVDHFGKENQLNLRDSIPLGSGFPSLTADPGFAERAAKFSSFGSRSFNGRTSQSGLNSSNPDLQFRSSVSPLMRNARMPRVYSSPSLKMDGSAMAIRENKNMAETHMANGLGCEYKSNSNEESSVSEQMQIGLRNPDDSNSRKRKAASSKGKAKEIASSVVKEEGSDDSNLKRQKKTEEDTNVETEKNPKLPEPPKDYKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQGQVEFLSMKLATVNPRQDFDMNSQLCKDVHVSQLSLSKTQRFSPLKLYEI >cds-PLY75606.1 pep primary_assembly:Lsat_Salinas_v7:9:33952252:33954797:1 gene:gene-LSAT_9X30040 transcript:rna-gnl|WGS:NBSK|LSAT_9X30040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADKGSEQMDDTSMPSAGKEEEVIKKKYGGIVPKKPPLISKDHERAYFDSADWALGKQGVAKPKGPLEALRPKLEPTQQQTRYRKSPYAPADGEDASNSPSSDASANE >cds-PLY76611.1 pep primary_assembly:Lsat_Salinas_v7:5:223092799:223093580:-1 gene:gene-LSAT_5X104661 transcript:rna-gnl|WGS:NBSK|LSAT_5X104661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGYSKIKLMNRSSKSRSMDFSDQTIPTPRIQKPISINKSIITTTHQQPKTPDRYDDDDDYKQYSGNHQEEDANFPMKMLTRNKSVASGGTTANANGTSTPSSRFKIEKQNSTGRALQIAVTRAFSIRRSSSVSERYARIHDQYANPLDDVDHLEAQEQEQGEGLHKKKKSRGSILKTCKRIFGL >cds-PLY65864.1 pep primary_assembly:Lsat_Salinas_v7:4:85518593:85518848:-1 gene:gene-LSAT_4X57040 transcript:rna-gnl|WGS:NBSK|LSAT_4X57040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLCFCGKFVVVKCSWTPKNPGRRFYACPQKDSACRFIGWVDPPMCERCKVIIPGLLRNMN >cds-PLY69220.1 pep primary_assembly:Lsat_Salinas_v7:1:80037581:80040791:1 gene:gene-LSAT_1X68000 transcript:rna-gnl|WGS:NBSK|LSAT_1X68000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTNSPPAAAGSVASVDSVTDGLEKQGSTEDVSVKLKLEDLNWDHSFVRELPGDPRTDTISREVLHACYSRVSPSAEVENPQLVAWSNSVAEILDLDPKEFKRSDFPLIFSGASPLKGGLSYAQCYGGHQFRVWAGQLGDGRAVTVGELLNSKSQRWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMHFLGIPTTRALSLVTTGKYVRRDMFYDGNPKDEPGAIVCRVAQSFLRFGSFQIHASRGEEHFNIVRSLSDYTIKHHFPHIETMTKNDSLSFFTNDVDLTSNKYAAWLVEVAERTASLIAKWQGVGFTHGVLNTDNMSILGLTIDYGPFGFLDAFDPRFTPNTTDLPGRRYCFANQPDTGSWNIAQFALSLLSAKLINKKEFEYAMERYGDKFMDEYQAIMTKKIGLLKYNKELVNELLNNMAVDKVDYTNFFRLLSNIRVDSNSDVPESELLIPLKSALLDIGKERRDAWTSWMKHYRTELCASGVSDKERKASMDSVNPKYVLRNYLCQSAIDMAEQGDFEEVRRLLKVMERPFDEQPGMERYARLPPAWAYRPGVCMLSCSS >cds-PLY70594.1 pep primary_assembly:Lsat_Salinas_v7:1:89050500:89051107:1 gene:gene-LSAT_1X75101 transcript:rna-gnl|WGS:NBSK|LSAT_1X75101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo sac development arrest 6 [Source:Projected from Arabidopsis thaliana (AT3G23440) UniProtKB/TrEMBL;Acc:Q9LW53] MLMPGASRFKRKERESVYPAKRSPLAPPPPPPQKLTQATVASSTASKGSKGMEAMSSNKLLAGYMAHEFLTKGTLLGQKFDGGAAARGKTEANGNETYKEITSLLMMKTDGGGGGGARIPGIVNPTQLARWIQM >cds-PLY74891.1 pep primary_assembly:Lsat_Salinas_v7:8:90466919:90467537:-1 gene:gene-LSAT_8X64021 transcript:rna-gnl|WGS:NBSK|LSAT_8X64021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSFPQISPHLDKKQWGFSLPSSKSEKSSLYSTLLQLSKNSTTDSSLLQSLTASSHAFDVHSENKSLAKECDEFVKLLQELFELENAKQDRMSDLKDDSCLIHA >cds-PLY62139.1 pep primary_assembly:Lsat_Salinas_v7:1:211653900:211654406:1 gene:gene-LSAT_1X129081 transcript:rna-gnl|WGS:NBSK|LSAT_1X129081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHFKSGLRLNALDFLKAVLDYYQLYITQISPNGFRKVICFMLFCFALNIPPTIPLFCYFYITMSNGDWVSFSILHGLVELYDDLPTYIKGRKEEFFVDSSSFSGPMSYGATANRGPDDLSDLSTEERETIDHLAQNYVKWVDLEEVTLGMYDMSPLLNKLGKKPTKL >cds-PLY97318.1 pep primary_assembly:Lsat_Salinas_v7:2:60924658:60926490:1 gene:gene-LSAT_2X27221 transcript:rna-gnl|WGS:NBSK|LSAT_2X27221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVTPCAACKILRRRCAETCVLAPHFPPDEPLKFIMAHRVFGSSNIIKFLQELPESQRGDAVSSMVYEANARIRDPVYGSAGAIFQLQNQVNELQAQLAKAQAEVFNIQCQYAALFCIETGQSPQSSSHQSFDGFVTGSDESFQSSNLSFYLDQDSLWDTIWA >cds-PLY98578.1 pep primary_assembly:Lsat_Salinas_v7:1:38033367:38038615:-1 gene:gene-LSAT_1X29681 transcript:rna-gnl|WGS:NBSK|LSAT_1X29681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVWKIQGDCIEEERKALLEIKDSFIKLYNSKMDNFLPTWVDYGGDCCEWERVNCNATTGHVTDLSFYYLRGMIGDNMEFGSKLWPLNVSLFLHFKELTSLNLSYDYLDEEIMKTELERLSSLKKLEVLDLSGNRDIDNDILPSLKTLTSLKVLDLSHTSLNGNFPTNELSHLTNLEELELKNTELNETPNIQEFHDLFDLEVLLLRFNGFRGFASFHRLEVLDLSHNSFVGSIPLSIQALSSLRALSFANNELNGSLPEHGFCELNNLHELDLSHNMLDGILPQCFNSLSSLRLLDISSNRFTGKRVPSFIANLTSLEYIDFSHNNFEGSFSFSSFSNHKKLEVVRFRSDNDIFEVETEEPIDWIPMFQLKILELSNCNMKMPKGRIIPGFLLHQHNLRQVDMSHNSLEGQLPNWLIRNNSNLEVLILRDNLFGGMPLHMNANMKWLDMSQNHMIGTIPYDIPKFFPNISILNLSMNALSGVIPSSVGELSKLCVLDLSENALSGEVPKGLFTDTSKLGFLKLSNNKLHGQILSGNLSWSTLQWVYLDSNHFTGQIGIKSKQKFESLTLLDISNNFFTGLIPDWLTNMSSLSELVVRNNSLEGRFPCGAAFFSFLDISQNSFSGPIPSCSNLQYMEHLHLGSNRFTGSIPNFFRNLTNVLTLDIGNNNLSGRIPKFLGELSNLRILLLRKNNFSGLIPRQLCQLSNMSFIDLSANSFSGSIPSCLHNITGPSDLVFLKRSKSLYSYDSSYHYESITWGLRSIFLGGEALGIQDEVQYTTKSLFLRYKGGILDYMTGLDLSNNKLTGEIPEEVGFLTQLRALNLSHNQLTGLIPVSFSNLAKIESLDLSSNGLTGTIPSELIKLTSLSIFNVSHNSLSGRLPEMKSQFGTFTEESYEGNPLLCGPPLEKKCTTNPQVTNPSAEEDHEKWYDIDMTYFYGSSSSTCFVFLLGFVAILYTNPRWRRRWLDWVEDCIYTCYYFLYDLVWKPSMIFCR >cds-PLY68142.1 pep primary_assembly:Lsat_Salinas_v7:8:232938012:232942475:-1 gene:gene-LSAT_8X141080 transcript:rna-gnl|WGS:NBSK|LSAT_8X141080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAIQKLYNVCKVSLSPNGPVSEDALEKVRALLDKIKPSDVGLEQEAQLVRSWTGPVNERNGGLRSLPPIKYIHIHESESFSMGIFCMPSSSIIPLHNHPGMTVLSKLLYGSMQVKSYDWLDVPLPSDMSEDAIARPAKLVKDGVMSGPSRTTTLYPTKGGNIHCFRAITPCAIFDILSPPYSSEDGRHCTYFRRSLGGNLPCTIEVDGETLSEVSWLEEFQPPDDFVIQRGQYKGRAIKP >cds-PLY92344.1 pep primary_assembly:Lsat_Salinas_v7:9:180168171:180168527:1 gene:gene-LSAT_9X110601 transcript:rna-gnl|WGS:NBSK|LSAT_9X110601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKESEIISETSESECSRLPQPEEARVMRIDEVEERDDDANEWGINEVKCVIGSQFGTGIGLVNAENSPIFDDDGACKSHEKHHVEGQSGIKGIYPPLLAPLPGISMSRVVVDNLGSS >cds-PLY98007.1 pep primary_assembly:Lsat_Salinas_v7:8:43118548:43120445:1 gene:gene-LSAT_8X32861 transcript:rna-gnl|WGS:NBSK|LSAT_8X32861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEIEWVMNINEEVKNMGDSSSEMEQWKKRSIYRVPSCVTDLNKGAYKPQSVSFGPYHHGEPNLEPMEENKRRALLHFLKRYKKPFECYFNAVMEVVEDLKHSYSSLDQKWQQDTIGFVKMMILDGCFMLEILRAATTCDSDNPDDDVDDYASNDPIFSNHGKLYIMPYLKRDMLMLENQLPMLLLHTLVIATKQEDDPNQYDEEFVNKMMQKFCSPNTRISKMGRCLHPLDIYRKGLLWENPRHKRKPPSKPHHRYRYVIAEGDEIVRSATELYEAGIRFKKTKTRSLKGITFQSGVLKLPAVMVDDATESLFLNLIAFERLHVGAGNEVTSYIFFMDNIIDHAKDVSLLHSQGIIQNAIGSDKAVAKLFNSLSKDITLDPESALDVVHKQVHNYCTKPWNEWRANLIHTYFRSPWAILSVLAAALLFALTIVQTIYTVYPAS >cds-PLY84951.1 pep primary_assembly:Lsat_Salinas_v7:2:123597732:123597890:1 gene:gene-LSAT_2X57401 transcript:rna-gnl|WGS:NBSK|LSAT_2X57401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEPAGGGGFGGNGYGVGGDIVKNVEEFDGGGGEFVRGDEEVGGGGEKAGEP >cds-PLY72582.1 pep primary_assembly:Lsat_Salinas_v7:3:198923608:198928524:1 gene:gene-LSAT_3X118460 transcript:rna-gnl|WGS:NBSK|LSAT_3X118460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVSAFFSLFFDKLASEALNKIARAKGIESELKKLKRSLIQIKNLLYDASRKELTDEAVKEWLNGLQHLAYDIDDVLDDLATEAIEHELTEKSGSTSSKVRKLIPTCCTNFSLSSRMHGKLDDIATKLQELVDAKNNLGLSVITYEMPKVKRYEASLVDARAIVGREGDKNALVQKLLGGHDESSSQNFSIVPIVGMGGVGKTTLARLLYDEKDVKDYFKVRAWVCVSDEFDIFSISKVIYQSVTGETKEFTDLNLLQEALREKLKDKLFLIVLDDVWSKSYADWDKLVSPFLVGAPGSRIIMTTRKEQLLRKLGYADLVPLPSLSHDDALSLFAQHALGVDNFNSHPTLKPHGEGFVKKCDGLPLALIALGRLLRTKKDEEEWKMLLDSEIWRLENADEILPALRLSYDDLSASLKQVFAYCSLFPKDYLFNKEELIQLWMAEGFLHYSTTRKSMERLGVEYFEELVSRLDIGMKEYSRKEALPKHRHISFVCEEYMAYKRFKLVKGARSLRTFLALSVGVVQSWGIFYLSNKVINDLLQELPLLRVLCLSDLSISEVPEVVHSMKHLRYLNLSRTQITNLPENVCNLYNLLTLIVFGCKYLVKLPENFSKLKNLQHFDIRDTPLLKKMPLGIGQLKSLQTLSKIIIGGESGFAITELKNLQNLQGKVFIEGLGNVENAMDAREANISQNRLSELVLNWGYGFNVSQTETHEKEVLNELKPHNGTLEKLGIESYRGIEFPTWIGDPSFCRLTKVWIDGCEECTSLPRLGQLPSLKELIIGEMSKVKVVGLEFLGTDLAFPSLEILRFKSMSGWEEWSTNSGAFPCLQELRIEYCPNLVRVEVEALPSLRFLKLKKCGHGVLRSLVDVASSLTNLEIYDISGLTDELWRGVIGYLGAVEEISIIGCDDIKYVWESEAEASKVLVNLKMLALRKCENLVSLGEKEEDNSGSSLTSFRELGVSDCNSLKHCSCPNNMEDLYLRRCDSITSVSFPAGGGQKLESLTITDCKKLLEKELGRKDKTRVLINSKMQMLESIIIANWPNLKSISELSCFIHLNTLYISKCPGIESFPDHEFLNLTSLKDLTIIDCTSMDSSFPRGLWPPKLCYLNIGGLKKPISEWGPQNFPTSLVSLGLYGGPSDDVKNFDQLSHPLPSSLTTLYINGFEKLESVSTGLQHLTSLQRLIIQKCPKTIDLPEKLLPSLLVLRVEGCANLKERISRGGSYWPHISLIPCITTEE >cds-PLY85108.1 pep primary_assembly:Lsat_Salinas_v7:1:45647661:45649658:-1 gene:gene-LSAT_1X39860 transcript:rna-gnl|WGS:NBSK|LSAT_1X39860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDLSDIPSLKNVEVVALKMNPPTDVSKSIVDPNDSNSSVIPSPEKFGDFDGKVNTHSEVSKSTCHSDSDSVEIIDFSVHFSPYKSQLERVISEERRSWQEKKKRKLALLCWKWEEVVDLCEDEDLGVDLPFIPLKKKVKVSIQSRNRVTKLFDESDVDE >cds-PLY86982.1 pep primary_assembly:Lsat_Salinas_v7:5:263830059:263831157:-1 gene:gene-LSAT_5X135581 transcript:rna-gnl|WGS:NBSK|LSAT_5X135581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYQPRSSNHSENDDHHDNRRLYNPYQDLRVPAQTLYKLPTSPEYLFQEESIAQRRSWGENLTYYTGIGYLSGAVVGAGKGLVEGVKASEAGDTMKLRVNRILNASGHAGRTIGNRAGVIGLLYAGMESGMVKARDADDIINSVVAGLATGALYKAAAGPRSAAVAGAIGGIAVGLAVTGKQVLKRYVPI >cds-PLY69398.1 pep primary_assembly:Lsat_Salinas_v7:5:301087518:301088090:-1 gene:gene-LSAT_5X162360 transcript:rna-gnl|WGS:NBSK|LSAT_5X162360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCTYIMNPCVFIIFSLLLLLTETTTANQLVAVGGGGGGDDKGVVNKCSDKERHALLDFKVRSQDPNDHLYTWKAEEDDCCKWFGVTCNNETGHVTELELWHSGLGGKISHSLLNLSNLNHLQLSFNSFHGPIQTFIGSMTQLRYLDISENGFNGIIPASLGSLTELSYLDLSHNSLYGTIPLEFGNLTNL >cds-PLY64958.1 pep primary_assembly:Lsat_Salinas_v7:8:160601663:160603163:-1 gene:gene-LSAT_8X106861 transcript:rna-gnl|WGS:NBSK|LSAT_8X106861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAFDHTHYVTTFPFSYPFATTTTISPWMDSRIWSKLPQRLVDRIIAFLPPPAFFRARSVCKRWYSLLFSHTFLQMYLQINPKPYFFIFFKQKTIQPKTTTTTTTIFKTTTTTAADNVVPQEGYIFDPETLSWHRLVFPLIPHGFSPTCSSGGLICWVSDEAGSKGLLLSNPLFTSLVTPLPSTLRPRLFPSVGLTITNSSIDVMVAGDDMISPYAVKNLTTESFHVDMGGFYSIWGTTSSLPRLCSLESGRMVYVQHQGSSAGKFYCMNYSPFSVLGYDMSRNEWCKIQAPMRRFLRSPSLIESKGKLVLLAAVEKSKLNVPRSLRMWALQSCGATWVEIERMPQQLYAQFTELEGGRGFTCVGNGEFVVVMIMGTAAEKALLFDFGRKRWVWIPPCPYVSGGGGGIELNGFAYEPRLATPVTGLLDQLSTLNNPF >cds-PLY86613.1 pep primary_assembly:Lsat_Salinas_v7:1:3626035:3627210:1 gene:gene-LSAT_1X2800 transcript:rna-gnl|WGS:NBSK|LSAT_1X2800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARSKCISYGGLIVKNLYTTQISRSSQNTQILKDMTCVRMDGSQSRRAFCNISGNQELPPPPSPPPSSNWGKWTAGIVVAVVIPLLQFKEEVDVVMETAEEIIDVIEVAAGAVDMVVEKISDDLPDGSKLKTTLEKIEEVAETVAGKARKAVDFIDEVQEAEHKLGPIIEPEKQVTQATPSEAS >cds-PLY71717.1 pep primary_assembly:Lsat_Salinas_v7:3:46345679:46345966:1 gene:gene-LSAT_3X34781 transcript:rna-gnl|WGS:NBSK|LSAT_3X34781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIDGVVPNKSTFSVILNASAELFSVRLGNVLHTLAEKTGFKGHKNVEDALIIMYLRTGHFKAAENVFLGMTYRDIGTWNIMICGYCLHGFGRSR >cds-PLY68200.1 pep primary_assembly:Lsat_Salinas_v7:8:118698332:118699691:1 gene:gene-LSAT_8X82300 transcript:rna-gnl|WGS:NBSK|LSAT_8X82300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAFPSSRYFVILYLLTLSFESLLADTNSSFSFKNFGWNSSFESVLALYGDAKAVNDGSSLQLTAPSVSSAGRVIYKNPIKFYGGIPEKLVSLSTYFSFSISPDSKNGDGLAFVVFSDGYPVDLFDDNGSFGLPNGDNFKFLSVEFDTSMDNKYGDMNENHIGIDLSSFVSLKAMNLSSVNLGLKSGNRLQVWIDYQSSSRRLEVRLNRYGETRPVDPLLFHRIDLAKIWPGNEGFFVGLTSSNGNSSQLCNLYSWSFKTRQSPDWMHSEPLDPMVFKEREENEIKIHKKSDCVMRILSALILGIGLGALGSFIGMFMWTIFAKRRPIAPEDYAMKHVVLNKSSSNEKQ >cds-PLY95685.1 pep primary_assembly:Lsat_Salinas_v7:2:115257004:115257561:-1 gene:gene-LSAT_2X53120 transcript:rna-gnl|WGS:NBSK|LSAT_2X53120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDPFVVLESTSTPVTSPPSVFIDPVETVHKMNNSESTNTGVSGGVFNFDYISPLHGFGKHAPAFPTETNNKEKDQSPYKEGLRSSTRRDPTDKSSFRFPETESEKAHVQDFQDSQETVFYMPPVSKTSHRSVDQTISPPSYTETSSQELEQEQPSNDIWLTVSEIPLFTQTQGQQARLLATLAR >cds-PLY81135.1 pep primary_assembly:Lsat_Salinas_v7:9:68497919:68500199:-1 gene:gene-LSAT_9X57541 transcript:rna-gnl|WGS:NBSK|LSAT_9X57541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAPMRGGRGGGGFSGGRGGGGFSGGRSGGRGDGSGRGGRGRGGFGGGRGGDMARGGGRGGGRGRGGGRGGRGGGMKGGSKVVVEPHRHEGVFIAKGKEDALCTKNMVPGEAVYNEKRISVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWMKPGSKVLYLGAASGTTVSHVSDLVGPTGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASYFLKSGGYFVISIKANCIDSTVPAEAVFASEVKKLQAEQFKPMEQVTLEPFERDHACVVGAYRVPKKQKTAA >cds-PLY97967.1 pep primary_assembly:Lsat_Salinas_v7:3:18466778:18468713:1 gene:gene-LSAT_3X14280 transcript:rna-gnl|WGS:NBSK|LSAT_3X14280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISRNPPIMAIVAPNLITQSLFANSLKLRQSRVRRLPATMATPVAVTGTTADQFKDHHPSLEVLGGACDSFCPIIKTHLDRPYNSFPVFGSNCHLETIFAAFFRKIPDVKLKRECLRTQDDGTVTLDWVSGDTRNLPETSPILLLLPGLSGGSQDAYVRHMLVRARNRGWRVVVFNSRGCADSPVTTPQMYSASFLGDLDEVVGHITTRYPKANLYGTGWSMGANILVQYLGKESGARRFSGAVSMCNPFNLVMSDEDICQGFSRIYNRSLGKALGGILKKHLPLFEDIGGDYNIPLAANAETIREYDEGLTRISFGFKSVDEYLQKSCSADHIKNVQTPLLCIQARNDPIAPDRAIPREDIKMNPNCLLIVTPKGGHLGWVAGEDAPLGCPWTDPMVMDFLQHLENEKSGIQEATNSLLQPLEA >cds-PLY92569.1 pep primary_assembly:Lsat_Salinas_v7:7:162472557:162480606:-1 gene:gene-LSAT_7X95661 transcript:rna-gnl|WGS:NBSK|LSAT_7X95661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKTKPIGRAVFSLFLIPLIAKYQAAVVDIKTKGAKGDGKTDDGPAIMSAWKEACGGLLGGGLLPETVLIPPGTYFAAPIQLKGPCKGPIEIKATGATIKAPPEVAKFKTDGWIEIEGVDKLTMTGGNYDGQGQATWKSNNCASTGVCKLPANIRLTNCKNAVVKDLTSTNSKFFHMNILGCDNSKLDHVTINAPGTSLNTDGIHIGRLNGLNITNTNIKTGDDCISFGDGSKNVHIDTVTCGPGHGISIGSLGRYPNEAPVQGIWIKNCTITGTDNGLRIKSWPAGTPGSATDMHFEDIIMQKVGTPILVDQEYCPSGKCQKGPSKTKLSNVSFRRIKGSSTTKVAVKFVCSPGTCDTFEIADINLQGPGGPATSECKNIKPKVVGQVVPPACP >cds-PLY99802.1 pep primary_assembly:Lsat_Salinas_v7:MU037944.1:228945:234508:1 gene:gene-LSAT_0X21000 transcript:rna-gnl|WGS:NBSK|LSAT_0X21000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTRKCLTMLFVLSQLAAAALSGKPTNATTQINSNSVLVALLDSHYTELAELVEKALLLQTLEEAVSNHNITIFAPRNEALERELDPEFKRFLLEPRNLQSLQTLLLHHIVPSRLGQSEWPEKSTRHDTLSAEPIHLSNLDSMKLAGNAEVVRVDDVFRPDGIIHGISRLLIPKSVQQDFNNRRSLRAISAVKPEGAPEVDPRTNRLKKPAPPVPAGSSPVLPIYYAMAPGPSLAPAPAPGPGGPRHHFDGESQVKDFIHTLLHYGGYNEMADILVNLTNLATEMGKLVSEGYVLTVLAPNDEAMAKLTADQLAEPGAPERIMYYHIVPEYQTEESMYNAVRRFGKIRYDTLHVPHKVVAQEADGSVKFGDDEETAYLFDPDIYTDGRISVQGIDGVLFPASEKTEITKTVDHVQATPKSRRGKLVEVACQVLGTFGQDSRFTTCH >cds-PLY73643.1 pep primary_assembly:Lsat_Salinas_v7:5:205973571:205975474:1 gene:gene-LSAT_5X93880 transcript:rna-gnl|WGS:NBSK|LSAT_5X93880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWFSLPLPNPFNSDEEKSPPQSPTATATAKPGFSIITQTIGRQLHNVASFLAPPPQQPSSSTPPLQSDDSGEASPSSTSSYTGIKNDLAEIGGSFKTGLSLLSSNKAVSEISKFASNLLQFDQGDDHDLEEIDPVGVTDDVINFVQEISIRPECWIDFPLSLQNQDFDLSDIQKDHTSVIQQLVPRLTTLRHKIHNHMSEQHFWMIYFILLLPRLKEDDYMLLSTPEIVEIREVLLQKLRSKNNTSMELSEDTKGNTSLSEKGSEVNSSEQANKSTKSEHHEDDVSFSDLEDEDNDLSGRLSGIRQRESSRVSSASEASDWVRLNERKTNQSRHYSESEESSDWQAVGDVDL >cds-PLY62542.1 pep primary_assembly:Lsat_Salinas_v7:4:355557682:355561165:1 gene:gene-LSAT_4X175240 transcript:rna-gnl|WGS:NBSK|LSAT_4X175240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMANLVTNTSSMILSPKQFSSRPKRSYYTTPLTPALSFTLPLRHAVKPVSAFVKGNSRFGGNMKTSESDCFPSNSLLFWNDLNQFKCHGTRTDAVADTEEKQSKRRNTDGNPRRFGNKVYAIVVGTSFCVFLGMCSMKLPSLMRPSHYMDVPYSDLICGIQDGSVIHVQFEENSRQIYFNKKSLHDPNIESPQTDLQEKPTKTNLPKWHYLTRNVEDKKYDLLKTLKENGITYGSNRALLSQSMKNFLFVFFQVTPFWLMVLLSFYQLNAQHDLGKIIKRKPSTKQSVTFDDVKGVDAAKAELLEIILCMKGDNKYMKLGAKLPKGVLLTGPPGTGKTLLARAVAGEADVAFFSISASELVEVFVGRGAARVRDLFREARKNSPSIIFIDEIDAVGGQRGSTMNCERDQTLNQLLTEMDGFEKESNVVVIAATNRPETLDSALMRPGRFSRKVWVDVPDEKGRKDIFALYIKDVPMEDDKEAISELVASLTNGLVGADLENIAREAVLLAARKGGEYVTEDDVLEAVDRARTQVYDDVPKTKGPTMRDFMQGGSLGFGYSN >cds-PLY83502.1 pep primary_assembly:Lsat_Salinas_v7:5:23109733:23116392:-1 gene:gene-LSAT_5X11960 transcript:rna-gnl|WGS:NBSK|LSAT_5X11960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVIHEILNVVFPVFSIIFFVFLVPTRWFFNLIRLCFKFVYPEELARKVILITGASTGIGEHLAIEYAKEGACLILVARREKQLRMVAKNAKAVGSPDVIVIPADVSKLEDCNKIVDETIKHFDKIDYLINNAAIGKFGLFEDLKCLTDHTSVMDVNFWGSVYTTHFALPHLRKNKGKIIAICSCGGWFATPRVGVYNASKAALLSFFETLRIEVGSYVDILVVTPGMVETNLTDKDWLEEGNASWIPKISAQRCAKAIVNSTKRGDKYFTEPSWMKMVLLWKTFCPEILDSIMNFVFIIWPQISQKEKKN >cds-PLY75564.1 pep primary_assembly:Lsat_Salinas_v7:9:32106999:32107232:-1 gene:gene-LSAT_9X28901 transcript:rna-gnl|WGS:NBSK|LSAT_9X28901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMGKYVEMLDLGVRMVARFHSHCPQTARLYYHPPSNSADSSSRSNAPPALEESGNCMQFQPSMSFNTRDIIFSSAM >cds-PLY71176.1 pep primary_assembly:Lsat_Salinas_v7:2:133026885:133027040:-1 gene:gene-LSAT_2X62780 transcript:rna-gnl|WGS:NBSK|LSAT_2X62780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPKELINFVVKVLLYYVGVFLNLGTVKSSAQLKNDQHDSSTKFNKCKKKL >cds-PLY88806.1 pep primary_assembly:Lsat_Salinas_v7:4:93269317:93271302:1 gene:gene-LSAT_4X61420 transcript:rna-gnl|WGS:NBSK|LSAT_4X61420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLYLKKIEKLEISAGGFGRQLPENFEYIGDDDGMVDEYEMLDGMMRDYGAKEHSNGVILSEKKNIETTLKHGIEKFPDSLILKECCKKNEKLFKEVHNAETGGMKYNEASFDGHSNKGEADGGKEAISPVRGLVVHGEKKDDGGGCSTPNMDKVNNIDNLTCLQFLENPKVLATTIKMTDEVVLESYKNEKKEERKSWKQLSYVRKMMTMKKRGKREQKLPVYGKSPFVERIVRMSDKVKKDEMSLYNSVFASKRDYGEEIWNIGSRRVLHQRFTYHFKSNTFIHAIIIDCWSSLLNRMEELRDVRSVSRVFFDTTFFDHVTRNGSVEEIYGIKPVHVKKLLGNYLKTENYQKSTVFNKIKARVMKMTWKVEKEGPDCGVYLMRHMESYMGENEGRWDCGFTGKNRVIYMH >cds-PLY97444.1 pep primary_assembly:Lsat_Salinas_v7:4:13949763:13950095:1 gene:gene-LSAT_4X9680 transcript:rna-gnl|WGS:NBSK|LSAT_4X9680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFPAILYTFASTPNAIKATGTPNTPIVIMCNNVALSTAILKNFHDKGFEDFVSYLATCLLRYALAGIVDPFLPQQVCDFYNTSTYYDTGLIIGTIEDGEHTISMTMTDV >cds-PLY77774.1 pep primary_assembly:Lsat_Salinas_v7:2:168925682:168927216:-1 gene:gene-LSAT_2X92680 transcript:rna-gnl|WGS:NBSK|LSAT_2X92680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSLLPDQLFIRDVNSKLGPNKSPRLSKKVDMINLIGKNGETELSEIGFITQMVSMGHQACGALELWNYPMWLRDIVPQNMDGTDRVDHVDLPSLEIYRDRERNVARYNEFRRSLLLIPISKWEDLTDDIEAIDTLREVYNDDIEQLDLLVGMAAEKKIKGFAISETAFIIFVTMASRRLEADRFFTSDYNEEVYTKKGLEWINTTESLKDVLNRHYPEMTDTWMNSTSAFSVWDASPEPRNHVPLYFRVPK >cds-PLY92502.1 pep primary_assembly:Lsat_Salinas_v7:2:152690903:152692472:1 gene:gene-LSAT_2X76820 transcript:rna-gnl|WGS:NBSK|LSAT_2X76820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQDSIYKFAKKGLTPSQIGVILRDSHGIAQVNSVTRSKILRILKAHGLAPEILEDLYHLIRKEIAIRKHLQRNRKDKDPNSG >cds-PLY63562.1 pep primary_assembly:Lsat_Salinas_v7:9:151488041:151491230:-1 gene:gene-LSAT_9X95821 transcript:rna-gnl|WGS:NBSK|LSAT_9X95821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVVNWVSSVIVLISLYLHHSSSASFIPPDNYLIACGSSKNITFLGQIYLPDSIQSSFSLKTEQDSYFIQSKSAVPLPIHQSARVFTAISTYIFNIRKQGRHWIRLYFYPIPDHNLTSSSFTVVTENFVLLNSYSFRSFTGSKFISKEYSINVTSNTLLLNFIPSNNSIAFINAIEVVSIPDELIPDQATKLSQSAPITGLSELAFETVYRLNMGGPKLTPQNDTLGRIWENDEQYLHVNSSAANVSINPSLVKYPENLTPEIAPNWVYATGQTLGDAKVANLNFNITWVLPVDPDFMYFVRVHFCDIVSTSLDTLVFNLYINSDNAFSDLDLSSFTGNLDVPVYKDFVTVSTDSNTLSVSVGPETDSEDPNAILNGLEVLKISNGAKSFDGVDPVANLVVSQANKKRKMVVVIVAVLGAALAVIFSGLCYCWVSGRGSKPVHTKPWLPHSITMTKMSTISIPSCNLGKTFSFQEIMDATNKFNEKLLLGVGGFGRVYKGTMEDGTLVAIKRGNPRSEQGLVEFRTEIDMLSKLRHRHLVSLIGHCDERSEMILVYEFMANGPLRSHLYGTDLPSLSWKQRLEICIGAARGLHYLHTGASQSVIHRDVKTTNILLDENFVAKVADFGLSKAGPAIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLIEVLCARPALNPVLPRDQVNIAEWGLKWQKDGKLDQIMDDKLVGKVNSSSLKKYGETLEKCLEEYGVDRPSMGDVLWNLEYALQLEETSALVEVEDNSMNHIEGIMLNPLEGFDNSVSMIDGDEFRRANHGEDGSIGNVFSQIVHPRGR >cds-PLY74603.1 pep primary_assembly:Lsat_Salinas_v7:7:35813587:35816048:-1 gene:gene-LSAT_7X26281 transcript:rna-gnl|WGS:NBSK|LSAT_7X26281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSIRSSSKQVNPSATSASPIFSFGHFRSYSMSAISPSDVPVLSSSSMLSIDLEDQNRFSSRISKDEKGEGLSDDVFVGCFLLMSSTIVVVKFLVKKNSNNALNGQVTIGTLIFQTNELYQLDAVAFCLLSAWVEPIRNLFVALFLSSIGMLIHVQFLWTHVDILLASVILVIVVKTTVSAVMRKAFGYCVKTSFLMTVKDALNSALVEEMAAGSSIFIMGEEVGEYQGA >cds-PLY95099.1 pep primary_assembly:Lsat_Salinas_v7:1:92981690:92982301:1 gene:gene-LSAT_1X76680 transcript:rna-gnl|WGS:NBSK|LSAT_1X76680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIKVDYNIIITSAIIVTAISLISSKTIAATVPGLINIVNLGAIADGKTDSTLLFQSAWASACSSSKPVTIYVPSNNNKAINFRIDGTLLAPTDYEKSSIDVRIKFEKATKVSISGGTLDGRGTNLWACKASSSSCPTSAAISLMLFRTLGFYNSRDIVVSGLSSINS >cds-PLY83240.1 pep primary_assembly:Lsat_Salinas_v7:8:251652368:251653508:1 gene:gene-LSAT_0X37180 transcript:rna-gnl|WGS:NBSK|LSAT_0X37180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKSKPIELLCERLKNNPLIHVVADYRILEAEKFEFWIAREEILRLLNKRTLDVTILTVLQMNLHSISHVKNKCSFLNPHRILAADCQENPEAIINYIVDAMRINHGKQFLIALYLQSQQPGDWECGYYVMKWMTDFVMVEQHGFMSKTATPWNDRTPYSETTLLSTVVQWATQFLNKYMKDVVG >cds-PLY68045.1 pep primary_assembly:Lsat_Salinas_v7:5:292526041:292528843:1 gene:gene-LSAT_5X154201 transcript:rna-gnl|WGS:NBSK|LSAT_5X154201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTLRSASRMKASAYLSKFPNTKSTKIHLDEDDFVNIISGSSSVAILDSNMVDEIKKLKGHSGRKLFDKSEEIEQLEDDMGFGAFIGMVLYSLPGKLCYYLVDNFVSSTCAIRLINGEVKITKDTLHSMFGLPNGGLDFKIMPSCVKTYPLLVAWKDQFEDGKYNITNYLKKIQGTHKGDEFFKLNFLALFINTMLESELMGSCKVDFIDKLVLCKDISNINWCDWFIETLVKSKNKWRANDKNCHYIGPISLLVVAYADRVICDELILERKRPFIKEIDSKHLRTLEDHEEKKGNFETLDIKEELHGVYIEDKMYEDSKCASKPTIVESVEDTCGIIEMRYRRIIDDKKIMDETIKTGLEIGPDNHEMLQWVSKVCELFCGTVQGTTAAKDVNADEVVNQNVIGNNDDAISPTREGRVMIQSPTIQRSTRSSKKSMNFTNTNKETNERGCVGVVFYGGNSSFDSPINLTPGWIRQADEIEISSAKQKKMDFVNDGPSFGLEITQVTDELQACRVNDVNNEKGNGVFVEVTSSVAEGAKLADDGMNEDDMKELTEVHIG >cds-PLY78788.1 pep primary_assembly:Lsat_Salinas_v7:8:61176841:61177352:-1 gene:gene-LSAT_8X44001 transcript:rna-gnl|WGS:NBSK|LSAT_8X44001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNLNILFRDGLMKLFFLEADHEGITMLGEVSALGHLDSKFVLGMILMAEGRQRKKEAFDMLNNAYRRTKGTWNLRATCSKLHLHLNRDERKHVHFHDFHRTCVMHNSVISVSDAFVNGYKWVFRCEICLWDACFVRFAR >cds-PLY94794.1 pep primary_assembly:Lsat_Salinas_v7:2:179380511:179382880:1 gene:gene-LSAT_2X101240 transcript:rna-gnl|WGS:NBSK|LSAT_2X101240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGCMSVSETKPEEKKNPLKRVPCAKPPFTISDIKKAIPPHCFNRSLTRSFSYVIYDLVISFLLYHIATTYFHRLPTPLSSLAWLAYWVVQGCVLTGVWVLAHECGHHAFSDYQWVDDTVGFLLHSALLVPYFSWKYSHRRHHSNTGSLERDEVFVPKPRSKIPWYSKYLNNPLGRIMSLFATLTLGWPLYLAFNVSGRPYDRFACHYSPNSPIYNDRERLQIWLSDVGIITMSLFLYRVALVKGVSWVIFVYGIPLLIVNGFLVLITYLQHTHPSLPHYDGSEWDWLRGALATVDRDYGVLNKVFHNITDTHVVHHLFSTMPHYNAMEATKAVMPVLGEYYQLDETPFYVAMWREAKECLFVEAEGEGGGVFWYKNKM >cds-PLY62207.1 pep primary_assembly:Lsat_Salinas_v7:6:31654795:31656026:-1 gene:gene-LSAT_6X24200 transcript:rna-gnl|WGS:NBSK|LSAT_6X24200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIHQIMANPRRISYPSSTEIPIFNTEINIPLQSQTLAARLSRLKFFLKKPQAFPFLLSIFLILTWVFLRIQQRNSQFPLNPSNTNINIRRFSFDNDEDHDANIIRFSSGFPSPITKDNRGWMLDPVSIALNSRIPGGALICASIHVGEIKPGGLRGNHRHHTCNETFLIWGARTMFRLENTALGKGFAQVTLTADDVAVAVSPSGTAHALVNMDSTRTTFIIGCQDCVNQHNNSNSDFKVWEDL >cds-PLY79623.1 pep primary_assembly:Lsat_Salinas_v7:2:165808256:165808967:-1 gene:gene-LSAT_2X87480 transcript:rna-gnl|WGS:NBSK|LSAT_2X87480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFVIPELVQYFFLQSFLNRGTRILKFFSRCKFVVHHQLGFSPEPYMSNIQVEKENHDPNLSRSHLSFTNKRISGDESVRRDELELLMANLGFFCLSGGEKLPESLNSTDLLNIFEEEQPRLDEVKEAFDIFDENKDGFIDARELQRVLSALGVMEGADMEDCRKMIGAFDENADGRIDFNEFVKFMENTCC >cds-PLY68439.1 pep primary_assembly:Lsat_Salinas_v7:2:58476253:58478077:1 gene:gene-LSAT_2X26361 transcript:rna-gnl|WGS:NBSK|LSAT_2X26361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVTRNQNDDVASISKTIKTDDVRPWSYLNHDVLLLVMMQLRVIDFVAFSGVCKSWRSVALSNRKSFMASKPPMLTRISGRLSTDTKFHIEDHEGRKFNTIIPHSVDWYNEGLTSCYMILFRFNTKEYRLVNPITRHDFIFPPIPWETNYGSRCVLVFSPSISKMVFVVLAEKQIWFSIADEGTWNHVSSFDLKYCRDLRVFKGKIYTIDTNNFNLCEFRLNPEPKVTLLETKNLPEDPRILLPQLVSCGENLYLMGSSVYAEKFNVFKLDFGEMEWVNFKDTRDECGFFLGEEDYSAAVKPELWADPWSHYEAYDIDNGGEHKKILFSAIGGWYFPHECENVNLI >cds-PLY74926.1 pep primary_assembly:Lsat_Salinas_v7:3:129055099:129056630:1 gene:gene-LSAT_3X88120 transcript:rna-gnl|WGS:NBSK|LSAT_3X88120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCDDGTSTLSKSVEEKIEREWVVCDSEKEEKSGYFEDAVRVSEDVLFGYAEAEFLTEYVIDDPKSVGGVGESYVFDESPQRTESKEDAAYSVKSDEGNVVDPVLASDMGSSIQEACVKSIEAQQESSSSVDENYMFDESPQRAKSKQLSVYKSGEGNVVDPDTRSSKEEDTVKSIEEQQESSSPADVRYVFDETPQRTKSKDDAVYSVKSVFASDISSSTQEDCIKTIEEHHESSAIDVGEVKLEEREGVFDDDWQGIETTELERRFGAAVAFLGSKTDRLNLIDNEVKMQFYGLHRVAIEGPCFESQPMALKVSARANWNAWKRLKNLGREDAMEQYIALLSQHIPDWMGTHVCEDDIVQ >cds-PLY78978.1 pep primary_assembly:Lsat_Salinas_v7:5:35868293:35868661:-1 gene:gene-LSAT_5X16140 transcript:rna-gnl|WGS:NBSK|LSAT_5X16140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGIVALCSPPMRKDGAEKNGRLFRSTACVGSRRTSKLFTLKLKHMGAKGNPALFLRSNRSLIMLLRRRFAFSSLWTGALVDTGREQAERVVHNAKKETTTSLLCWIAGANTVVSDQDQEPI >cds-PLY92711.1 pep primary_assembly:Lsat_Salinas_v7:7:4963790:4964365:1 gene:gene-LSAT_7X4921 transcript:rna-gnl|WGS:NBSK|LSAT_7X4921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQSQYSHSASGSVSRSGTASHSRSENGSDSRSLSRSSSGSGSGSDSESMSMSSSDAGSNSARTSSPSAVNNPLEQHPQSESSGSLKTITSADFEPEEEENVDPMNQSTPSEEHHETASSTAKENAEDNTTTSHGKQRGAEEIGLLTDLYAFYNRTQHYTFIRATCKNSITDRSSYIGLMQQKRKWIKK >cds-PLY73334.1 pep primary_assembly:Lsat_Salinas_v7:8:260326084:260329142:-1 gene:gene-LSAT_8X150821 transcript:rna-gnl|WGS:NBSK|LSAT_8X150821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAMLKEKMVKVFSVMKVTLLCQGSEVCSENTSVATLGIINMDPNRVFDIVEKLCRGLKAMGILKDLEENVEKDWNGAWESCKFCCS >cds-PLY83534.1 pep primary_assembly:Lsat_Salinas_v7:1:64889968:64890267:-1 gene:gene-LSAT_1X55800 transcript:rna-gnl|WGS:NBSK|LSAT_1X55800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKFGGGGKGGARGGITSTTGGIPTTGARDKAIDVEIELGDGTNMDGNEDSGAGGGVAVGSASLANNSTRRRMKELKELEDEGTRTILFPYVNRVSTCK >cds-PLY93162.1 pep primary_assembly:Lsat_Salinas_v7:9:2802312:2802989:1 gene:gene-LSAT_9X3001 transcript:rna-gnl|WGS:NBSK|LSAT_9X3001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSTDDQRTTTEATSIVAAPMTMPPLISSGGSMVLDTNEAGLEASFLNSHSKAEIVDMLRKHTYNDELEQKDSHPNDIGKLNRLVIPKQHAEKHFPLQTESTSKGVLLHFEDIGMKEKNLKAGDIVSFQRSTDSDKQLYIDWKTKNGSGSSNIQEQATLQHVQER >cds-PLY87302.1 pep primary_assembly:Lsat_Salinas_v7:3:146534715:146536044:-1 gene:gene-LSAT_3X95340 transcript:rna-gnl|WGS:NBSK|LSAT_3X95340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNCFTLVEDDDLVNVEEEEEEDVPYTFNFDPFSPLNSSFFVPSSSSSFCYHHQHHHNHQVKSNSNSCSCCSSESESESSSEFPDSTVYPDCLYGDDEEYDQMDFITDLFDSRGDTELVSRRFSSTDVGISVSEGSPIDDDNDDFVSSNIDHLGFEGGGLRVVGIESDSDTEELGQVNDRFQPSEPNLERFWDCSSIEREEAEATSRVDERDGLSSVIDRIEEISVSSEISSEGDAAVGVLEWEILLAVNNLERDLEFETEGGEGFVYTAEFDTLIGQFVETVRALRGSPPASKSIVDNLPLVTLKTNKDSKQEDDNVICAVCKDEISIEEKVTELPCRHHYHGDCILPWLNIRNTCPVCRFELPTDDVEYERSKNRLDVVVDDDDDVELRVGYGFQLSP >cds-PLY80938.1 pep primary_assembly:Lsat_Salinas_v7:8:255692392:255696157:-1 gene:gene-LSAT_8X149701 transcript:rna-gnl|WGS:NBSK|LSAT_8X149701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDNEFRERESDIDVSKRELSYSKGDYDRVRNGSNQGYERGKNLVRHSRGGGRAKERESVNGGGGGYRSYSGGSGDGGGSRHGDYGLRVGDREPGELSSESGSDEGVDLEINGKNSDDSKMENNSQSSLYSKKRKFSPIVWDRDDKEANTTLTLPPPPPVPKSHHRSPNFVPPDVQNAESNKLQSPHQSPGNTPVDIGKQKHDSSGFEPRVGLSSSPVQEDHVGLSSSPPQEDIIGNAGQPDDEEYMPARTIRSSRWANDANSPADEGQLSDASVEVRSQKQSSSPEAGEHHREASLESNEDREGYNVGSLSVDGDYDYPDNDLERKDYMEIDDDIKKDGVSLSQSESESDSESEDNTPPAPQRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRAKDKKTGEVVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKALMETMKQPFSQSEVKCLMLQLLEGTQYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGIKEYSTAIDMWSLGCIMAELLSKQPLFNGKTEFDQLDKIFKILGTPNETIWPGFSKLPGVKVNFVKHQYNLLRRKFPATSFTGSPVLSDAGFDLLNKLLTYDPDKRITAEAALNHEWFREVPLPKSKDFMPTFPAQHAQDRRARRVMKSPDPLEEQRRKELQQAKFGTGGLFG >cds-PLY72068.1 pep primary_assembly:Lsat_Salinas_v7:2:204494595:204494843:1 gene:gene-LSAT_2X125421 transcript:rna-gnl|WGS:NBSK|LSAT_2X125421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPYKEDIVINTTLINYTQRPIVPVNNVKEGGGGSGCRPDSSVSSTGDQRQRRRRPEAEASSTEGGGGGVRFVISKSERELQF >cds-PLY66920.1 pep primary_assembly:Lsat_Salinas_v7:7:17830137:17835196:-1 gene:gene-LSAT_7X14480 transcript:rna-gnl|WGS:NBSK|LSAT_7X14480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGSIGGAIVPSVKSGLPAPSSELPAPAPIPPPPVYQLHPPQVPASDRAVVEAEVREDDREAEETDKDLLCPICMQIIKDAFLTSCGHSFCYLCIVTHLQNKSDCPSCASYLTTNQIFPNFLLNKLLIKASASHIAKHASPTEQLRQALQQGCNVSVKEVDILLVLLAEKKRKMEQEEAETNLQIMREFLHCLRKQKLEELNEIQNDLQYIKEDINAVERQRVELYRARERYSVKLRMLSDDPNVRSSWPSLMDKRNSSNMSIGKSVKGGQFWLGGGASQSRAAAADVEAPPITSQPLQRKDAGSGADSQNTTQPGVAVARKRRVHAQFNDLQECYLHKRRYWAKHGQKQEEKDSNHVQREGYHHHHPGLKDFQSVLSSFTRYSRLRVVAELRHGDLFHSANIVSSIEFDRDDEFFATAGVSRRIKVFDFASVVNEQEEMQCPIVEMSTRSKLSCLSWNKYTKSHIASSDYEGIVTVWDVNTRQSIMEYEEHEKRAWSVDFSRTEPTMLVSGSDDCKVKIWCTKQEASALNIDMKANICSVKYNPGSSFHIAVGSADHHIHYYDLRNISQPLHVFSGHRKAVSYVKFVSNTELASASTDNTLRLWDVKQNIPLRTFRGHTNEKNFVGLTVSNDYIACGSETNEVFVYHKDISRPAAWHRFSSETNEGGGDDPGSYFISAVCWKTDSPTMLTANSQGTIKVLVLAA >cds-PLY70294.1 pep primary_assembly:Lsat_Salinas_v7:2:91607538:91610349:1 gene:gene-LSAT_2X40361 transcript:rna-gnl|WGS:NBSK|LSAT_2X40361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:VIP5 [Source:Projected from Arabidopsis thaliana (AT1G61040) UniProtKB/TrEMBL;Acc:A0A178WDP6] MADLDDLLLEAAGRTGRNQHSTPPSRRQRKGSYSDDGSDSRDDDSDDDRGYNSRKPSVSQVPLKKRIDSSEHDDEHSSSREDDEDDDDDRYDRERDSDDDSVGSDLYKGEEDRQKLAKMSELEREQILAERANKKNDKDLKNRIKKQTEKSNQRKDSPPTHTVNRAVRSSARSADRAAAKDGALNELRARKRLRQQDPESNWKRRDTESTVKRKTFTPAPLSNSSRSDSGSHSEDEDSTGEMGDSDDEKMSKESSILKYEDVKGITIRRSKLAKWFMEPFFEELIVGCFVRVGIGKSKSGPIYRLCIVRNVDAADPDKQYKLENKMTHKYLNVVWGNENSAARWQMAMISDSPPLKEEFDQLIREVDRHGGRMPSKQEVLEKREAIEKTNTFVYSAETVKQMLQEKKSTIRRPLNVAAEKDRLRRDIEVAKAKQDYAEVEKIEAKLLELEASRQTQQKDKKAIRLAEMNRKNRVENFKNASEVKPVATGLKAGEAGYDPFSRRWTRSRNYYVPKPGEGAGEGNGGQAAEAVVGGGVVATVAALEAAADAGKLVDTSAPVDQGTESNMLHDFELPISLTMLQKFGGPHGVQAGFMARKQRIEATVGCQVPENDGRRHALTLSVSDYKRRRGLL >cds-PLY72380.1 pep primary_assembly:Lsat_Salinas_v7:4:147496208:147498326:-1 gene:gene-LSAT_4X91360 transcript:rna-gnl|WGS:NBSK|LSAT_4X91360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPEIYVEDVDSQPEMCDSDDSQDDPSFDILEETQSRLSNLSIKNKSKSRIPVKVVDDVDCIEIVEPVLDANDQKTYEIVQKTIEVGQVEKLKVEQCKLYLRKHGLRLTGKKDILIDRIKEHISIMNGEGEHKYPASSFVMNCKGDACTGDIVMFEQNVYEMFSIVSRSANGPPCGTRIVAGRIVKESYGAAKQQHTFTVEVLWSKGVKPLPPLHPLLIKGRNLYRLKTMRQRWEDESERQKILSEKHARGNAARTNRETRIQEKEKKKALRENKSSKGNIQNSRNSINLHNKSIQHEAKILCVGLIDNNNHHGGSYKENVNCNTLRNPVRRDCNMEFYHHHPLRQFERREYDDMRESMGPQSYIFQGGGNNGYKPMGHNQVQRGQFCKYQEKRGQFCKYYAQGRCTYGTNCKFLH >cds-PLY94810.1 pep primary_assembly:Lsat_Salinas_v7:2:178878667:178879068:-1 gene:gene-LSAT_2X100741 transcript:rna-gnl|WGS:NBSK|LSAT_2X100741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEHAVRKLKGDLNDSSLWNTDLHVSVVRLDNQLSKVNENVSWVLSHGISKLVEKLIVDSSFFEANCYLQTICVDFGRRTGCEIMNIEHNLGLSETDIPLYDPIRVQKMEEAFSTLFCGDYFSKFGFPLTDVG >cds-PLY98606.1 pep primary_assembly:Lsat_Salinas_v7:1:38956269:38957138:-1 gene:gene-LSAT_1X33441 transcript:rna-gnl|WGS:NBSK|LSAT_1X33441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYTTQYKERKNVEDLKSQLFYRFIRRTYSWHILGFAAIVYALGGFSYLVWVVGVRITVTYHLTFLVNSACHIWGSQAWNTGDFSKNNLWVALFTFGEGWHNNHHAFEYSARFGLEWWQLDLCWCFILFLETIGLATNVKLPSESHKAKRSFASGNKFK >cds-PLY81770.1 pep primary_assembly:Lsat_Salinas_v7:3:33835763:33838835:1 gene:gene-LSAT_3X24661 transcript:rna-gnl|WGS:NBSK|LSAT_3X24661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMGFATPIKFKASSIINNSDHYHALDASYVKRAVELSDKSAGFTSPHPNFGCVIATTSSLRNVVGEGYLYAQGTKPAEVQAVEAAGEYCRGATAYVNMEPGDCDGDNTALSAFIQAGITRVVIGIRHPLQHLRGKAIQALRSEGLQVDVLGEDLRSVSMEDALKSCLLVNAPLLYRSASRVPFSVLKYAMTLDGKIAASSGHASWISSKKSRSRVFELRGRSDAVIVGGNTVRKDNPRLTPRHGGGHLPMRIVMSQTLDLPEVANLWDVREVPTIVVTQRGARRSFQKLLASKGVEVVEFDMLNPKDVVDYLYDRGYLSILWECGGTLSASAISSGVIHKVHAFVAPKIIGGRNAPSPVGELGMVEMTQALELIDVSYEQIGADVLISGFLQPIPDLTPVIPSVDETSAIDPSLSPYDSPIMFFYKTWDPYGSFSNFSPHPIRMPDENGDYVTWSSVEHYYQAHKFVGSSDPNARSYFEEIFLAKSPEEAARMGRKMQREHPHMVRADWESVKTDVMYTALKCKFSIYPHLKSMLLSTVGCVLVEASPHDLFWGGGRDGEGLNYLGRLLMKLRSEFVGDDDDDTHKPPEFSTSRQET >cds-PLY64049.1 pep primary_assembly:Lsat_Salinas_v7:8:93773954:93777092:1 gene:gene-LSAT_8X65360 transcript:rna-gnl|WGS:NBSK|LSAT_8X65360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSSFNLLNLDDYSCVADRARIPRIMTVPGILTEFEEDNIAGNGETRTRTGTETETDQVSDVVSSVTPERRIIVANQLPVKAHRDGETNKWVFEYDPDALVLQLKSGFGPDVECVYVGSLPADIDPSEQEEVAQTLLDKFRCVPTFLSLEIQNKFYHGFCKHYLWPLFHYMLPVTLTHGVRFDRVAWQAYVSANKVFADKVMEVINPDEDYVWIHDYHLMVMPTFLRKRFHRVRVGFFLHSPFPSSEIYRTLPVRDEILRALLNCDLIGFHTFDYARHFLSCCSRMFGLDYKSKRGYIGLEYYGRTVSIKILPVGIHMGQIESVKSSIKTAKKVEELKKKYQGKQVILGVDDMDMFKGISLKFLAMGQLLEDYPSLRGSVVLVQIVNPARSRGHDIQEVEYETRKVAHEVNQKFSQDGYEPIVFVNGPVSTQDKVAYYAISECVVVNAVRDGMNLVPYKYTVSRQSSPELDKALGIDENESKERKSVIIVSEFIGCSPSLSGAIRVNPWNIDSVTEAMSLALTMPDNEKKLRHEKHYKYVSSHNVGYWAKSFDQDLERACKEHFHKRCWGVGFGLGFRVVALGFNFRKLSVEHIVSSYKKTNSRLILLDYDGTVMPQASVDKTPSKEVISILNVLSGDPKNVVFIVSGRGKDSLSKWFDSCKNLGLSAEHGWLTRWKGDSEWECCGGLAVDADWKKVALPVMEHYTQATDGSCIEQKESALVWHHQEADPDFGTWQAKELLDHLESVLANEPVVVKRGQQIVEVNPQGVSKGAVVESLITSMQSKGKPLDFVLCIGDDRSDEEMFEKIANSVSLQGIAEVFACTVGQKPSMAKYYLDDTVDVIKMLHGLEAASSQAPKGLGLGVSFETSV >cds-PLY88088.1 pep primary_assembly:Lsat_Salinas_v7:6:183751705:183752265:1 gene:gene-LSAT_6X111781 transcript:rna-gnl|WGS:NBSK|LSAT_6X111781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVCILNPPPSTGKVAMMPTMVTLATSLLHFQHPSAMTSVPKSLFEMGVMSDEEALDKARSCLMEGMHLLNEVSTRVRAHTEQLSQCQVVFEEALEGLKQLWTLYEQTKEEAKGLRDEVAGFSGRNQSLGRDMSQAIVQQEELKNLNQDLQ >cds-PLY69585.1 pep primary_assembly:Lsat_Salinas_v7:1:13321652:13324905:-1 gene:gene-LSAT_1X10700 transcript:rna-gnl|WGS:NBSK|LSAT_1X10700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSSSYISNIVRIRQDTHHAFPFRLRLPPSLVGASGIRRRRRRATSESQSKTRLGVGVRVRATGKLATGTLNWDSWKPDQGSKAPSLSDIFWPSAGAFAAMAVLGKMDQMLAKKGVAMTIAPLGAVCAVLFATPSTPGARKYNMFMAQMGCAAIGVLAFSFFGPGWLARSTALSASLAFMIYTRSVHPPAASLPLLFIDGPKFHHLKFWYALFPGVAGCLVLCLIQEVVCYLKDNVKF >cds-PLY90504.1 pep primary_assembly:Lsat_Salinas_v7:2:41443796:41445234:1 gene:gene-LSAT_2X18760 transcript:rna-gnl|WGS:NBSK|LSAT_2X18760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQREIFHVSGPLHLLNSFDWRNTFHRRSLAASLVQGVYILERDRQRGSIQQDANAPPWWEQFQFQLNHVLVDDSDLSYFGAVYELKYAHPFFYQSTPCPPRYVIAFRGTITRSVTRSDDMKLNMKCIFDKLEESSRFRKAFEAVWNTVMAVGPTNVWLAGHSLGASMALLAGRNMAKSRYQLETYLFNPPFISVPIEKMIKNQTLKHGVRITGSLLTAGIATAMNRHRKDPEEDPFVVLSEWTPYIFANPLDPICAEYIGYFEHREMMDRIRVGKIERIATRYSIGSLVSGAMGRDSEPLHLLPTAYMTVNISPSENFKQAHGIHQWWQQHFQWQSKLYKFK >cds-PLY69356.1 pep primary_assembly:Lsat_Salinas_v7:7:81485913:81487966:-1 gene:gene-LSAT_7X57261 transcript:rna-gnl|WGS:NBSK|LSAT_7X57261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIFYGSLLSLFVIFVSFALHFLFHKKNRTTTLHPPGRSGWPFLGETLEFLSTGWKGHPEKFVFDRMAKYSSNIFKTSLLGSDAAVFCSPAGNKFLFSNEYKLVRMWVPDSINKLFPSKSSPADEAMKMRKAFPSFLKPEALQRYIGVMDDVTGKHFSSSWENQDVVTVYPLIKHFAFDIASRLFISVESPEHIARFAAPFDRLVSGIFSIPIDFPGTQFNKAIKASKHIRKELVEIIKKRKSDLEEGKASPKQDVLSHMLVTNGDDEDAMTDSDIANKLIALLLGGHDTIASTCTSIVRYLAELPKIYEGVYKEQMEVAKSKGPGELLNWEDIQKMKYSWSVACEVLRLSPPFQGTFREALTDFTFNGFSIPKGWKIYWSTNGVHRNPEYFSEPLKFDPTRFEGDGPAPYTYVPFGGGARMCPGKEFSRLEILVFMHHIVKRFRWKKIIPDEKIIVDPMPVPAKGLPVRLYPHKT >cds-PLY67419.1 pep primary_assembly:Lsat_Salinas_v7:4:241488464:241489882:1 gene:gene-LSAT_4X129501 transcript:rna-gnl|WGS:NBSK|LSAT_4X129501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVISGDNLVGRNIGEAVDNAAGYDDNDKREKRIPKKAKVFHSPYIERIVKTEDILNELKSEDMKCRLFATLLRIYTKKFDVKPSFRDVALVFFPIVDDGKYYLLIFDLRSSLYYIVDHVKRTGTLERKYGMIPKLVKKLFCNYLTSQHHPMAKTLTFKVGRVMNISWLVEKAGTECGIYLMRHMETYMGENEGRWESGLTGKMPADVSATIKLRTKYMARLLTSDFNKFKTMIVKDFEVFRKLDILEQDMLLRESAENRKKKRKTKGRR >cds-PLY69800.1 pep primary_assembly:Lsat_Salinas_v7:4:244760305:244760646:1 gene:gene-LSAT_4X130741 transcript:rna-gnl|WGS:NBSK|LSAT_4X130741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVIPSRTGILKHLKKKAHKPRHSPERSGTLSPSFVRQPQINRKGVIIRDIHVAVFPQTKKRRAEDMDKKISKKRKKQRKLVLHNNSVDDEVVPEMLVTEPLIHSSPVRDSPV >cds-PLY79197.1 pep primary_assembly:Lsat_Salinas_v7:5:126350671:126353842:-1 gene:gene-LSAT_5X54521 transcript:rna-gnl|WGS:NBSK|LSAT_5X54521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDLKRFEHLKIQLQAIKSATNNFAEDHCIGRGGFGKVYRGELGHLEGHTVVALKCLDRAFGQGNREFWNEILMLSLYKHENIVSLLGFCDDDDKKILVYEYASKRGLDLYLNSHDLTWVRRLKICIGAARGLSYLHDSESAGTQQRVLHRDIKSSNILLDENWNAMIADFGLSKFCPANLQYSLIFSNPVGTFGYWDPLYVETGLLTKESDVYSFGVVLFEVLCGRLCTDNYEKSQSFTELVRKHYKQNNLNEIIFGDIKDEINTNSLKVFSTIAYQCLKRDRDKRPSINEILITLETALEYQNDPCLLTVSSHPKRMPIKNKFKHLEIQLEAIKLATNDFAEHNCIGVGGFGKVYKGQLIHLERHTTFAFKRFGSTLGQGDPEFWKEIIMLSLYKHENIVSLLGFCDERGEKILVYEYASKRSLDLYLNKDLTWVTRLKICIGVARGLVYLHNPPRTHQKVIHRDIKSSNILLDENWNAKITDFGLSEFGPTSQQYPFVVLNVLGTYGYCDPLYEKTGFLTEESDVYSFGVVLFEVLCGRLSMHNVNDKHQALPFLVQKCYEQNNLDEIIYGSIRDQINPSSLKAFKTIAYQCLNKEREERPLMKEIVRALETALRYQVTTIGKHG >cds-PLY65425.1 pep primary_assembly:Lsat_Salinas_v7:9:184280765:184281819:-1 gene:gene-LSAT_9X113460 transcript:rna-gnl|WGS:NBSK|LSAT_9X113460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVENLVIIGSGPAGYTAAIYAGRANLKPVVFKGYQIGGAICDGATGATAKRLKLPREDEFWSRGISACAICDGASPIFKGEVLAVVGGGDTGTEEAIYLTKYARHVHLLVRRDQLKASRAMQDRLVKEETLG >cds-PLY68407.1 pep primary_assembly:Lsat_Salinas_v7:8:23078708:23079013:1 gene:gene-LSAT_8X18421 transcript:rna-gnl|WGS:NBSK|LSAT_8X18421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEKDLEIQKNSSNTALCLTATAGNVEMVTIMVNKNKRLLSIHSTQAMMPLYMAALFGQHDMMKYLYDNFKNLSDDGWTPQNRVVGWRKKDQKNGCSRRLG >cds-PLY69010.1 pep primary_assembly:Lsat_Salinas_v7:9:141111573:141112710:1 gene:gene-LSAT_9X90540 transcript:rna-gnl|WGS:NBSK|LSAT_9X90540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTACIHSSRTQVIEFECNQNYAKFCRSDYPDQFSCKPICKINVYTHQDYDDLWPKMKDEARSDIDQEPILSNYYLTSILSHSSMESALANLISMKLSNSSLPSATLYDLFMGVLTEDRELVNAVKDDLRAVKERDPACISYVHCFLNFKGFLACQAHRIAHHLWLQDRKILALLIQGRVSEVLAVDIHPAAKIGRGIMLDHATGVVIGETAVIGNNVSILHNVTLGGTGKSNGDRHPKIGDGVLIGAGSCVLGNIRIGDWAKIGAGSVVLKDVPARTTAVGNPAKLIGGKMNPVKLDKIINQYEDKF >cds-PLY98634.1 pep primary_assembly:Lsat_Salinas_v7:1:39277187:39280189:1 gene:gene-LSAT_1X33780 transcript:rna-gnl|WGS:NBSK|LSAT_1X33780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAVIQTKGLLSLPSNPQTRAFLNKPSPHQSLRQRFHLSNPLKPKSTNGFSLNVDGCKKFQGFAATNPGLFSASKPKLLHVCKASAAAAPLPGGAGYGDVETPKFMGIEVLTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAQGSSAEIIPFLKTWVNLPMAIGFMLLYTKLANVLSKKALFYTVILPFIAFFGAFGFVLYPLSGYVHPTALADRLLEFLGPRFLGPLAIMRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTVDEAKKFYPLFGLGANVALIFSGRTVKYFSNMRKHLGPGVDGWAISLKYMMSIVVLMGFAICGLYWWVNTFVPLPTRSKKKKEKPNMTTMESLKFLASSPYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLSQWIFNKYGWGVAAKITPTVLLLTGVGFFSLILFGDPLGPGLMKFGITPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGVLLVIVVAWLGAAKSLDTQFTALRQEEELEKEMERAAVKIQIVSSDVDTNENGQLVSDSGSSNSGESSFPRND >cds-PLY88832.1 pep primary_assembly:Lsat_Salinas_v7:2:39574363:39574542:-1 gene:gene-LSAT_2X17901 transcript:rna-gnl|WGS:NBSK|LSAT_2X17901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISKTLSAMACALELPIWSNNAGSPLKHDYLAYIDYQKSLDALLLIHKKGIYRRDLQQL >cds-PLY72285.1 pep primary_assembly:Lsat_Salinas_v7:3:167479969:167481653:1 gene:gene-LSAT_3X107161 transcript:rna-gnl|WGS:NBSK|LSAT_3X107161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPIPPFEKEDFVCQTCGNEGFTNAFVYCVKCLEFVIHRYCLKVIPKTFTKCVIWYCESCKPPVQNQVTSPQVTSSPPQKNEVKTNIDPILSESSSSDSDSSSSCSNMNEFDEWEARIAQQNQHINRIIDDMIISYPSVILRGDKPFRSSCDGENCKTTESVVHHKKKKKEKKERDIASLIAETKEVLFHPLLEKNLTNKKKEHEVSQMPNFENNVQEEDNYYKHAQPILDPVWRGSIKVLGTDYDDLFEGFVGHLSTKACGKVFEEANMMPSLLILEMHPKTFLWPKSFQDCEPSDDHVALYFFPGDPM >cds-PLY80226.1 pep primary_assembly:Lsat_Salinas_v7:4:359474316:359477855:1 gene:gene-LSAT_4X176201 transcript:rna-gnl|WGS:NBSK|LSAT_4X176201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIRLTKVEQGQTKIRNVPIAVTPEGFWCCPSPVMFQKTLKAQHPLNKPKSSSSPPTKTPTDQKKHSSELDKKPSRSSSKSETQTVVPDDQRKNGFEPPVVLAASVVGERTAVRPNTENLPRKVSIEFGEPGTGDLKVVLKGRQGFTVKLSVHKTVLQENSSFFAEKLLAQHPSFHCIEVDDCEDVETYVETVGLMYCKELKQRLIKQSVSRVLRILKVAEVLGFKTCIESCLEFLEAVPWVGEEEEERVVSSILHLKHESTGVNPILKRVSSDVSKPQKDTLSHVLHLVLKSTEEKGRREMKTVVSKLLRENNKSTTSVDLHDTIYTSCKASLTALLLLFRQLASPEFVAQPPEIKDPAVKQMVLESDNLSWLLDILADRNSADEFAVMWAGQQELAGLHCRVPLVNRYHVSCITARLFVGIGRGEVLPCKDTRHLLLKTWLQPLIDDYRWLQHGCKSFDRKVVEEGIGRTILTLPLEDQQIILLGWLSSFLKLGDNCPNLQKAFEVWWRRTFVRPYVES >cds-PLY93538.1 pep primary_assembly:Lsat_Salinas_v7:5:103601210:103601701:-1 gene:gene-LSAT_5X45420 transcript:rna-gnl|WGS:NBSK|LSAT_5X45420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVILSAVQGVTCNVKIEIDLSFAQVGANAMIDDWEENLAMITANRTKDDELVLIHLVNCLWKETSNVIAAHICYLVAKANFEAYSNNDKLYLIGAY >cds-PLY70146.1 pep primary_assembly:Lsat_Salinas_v7:3:11329852:11332117:1 gene:gene-LSAT_3X7001 transcript:rna-gnl|WGS:NBSK|LSAT_3X7001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATASSQSNNHPQKKKPTAIIRFRRRRIKETTISTSATSNTTTTHHHHPSAVSPENTSWCCPPAVSSYKPPPPPSVTTATNPQPISIPPAASPSPESLSTEKNQPSHFNYRSNLENPRSIPTMTTTTTVYGAAGNNQEALPSSFTQFNSALTAGLLNPVSSPPPTTDKTRSSPTLFEMMANEPDSKIPNNGSITTPKRPSNGHVIPPPMIIDKQILMQQRLSDILSCRSPGNQFNDPNSSDVKLTLSSKDGFSVSMNVHRQILVVHSRFFADKLSDYRRKSGLQGQQLKGLIDNVNPFVVEISDCDDIEVYIESIRLMYCKDLRRKLMKDDVPRVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEEKVAALLSELRLEGVGAGEVLKRVSIDVTPGEDDTNDNQEVLLKLLHVVLEGKDEKARREMKVLVSKMLHENSSRNDLKKESLYSACDKCLNLLRHHFLKASKGDFEEVAQITRQSGNLHWLLDILIDRQISEDFLKIWGSQTELSQVHSKVPALHRYEISRVTARFFVGIGKGQLLASKESRCLLLQTWLVPFYDDFAWMRRGLKGLDRNLVEDGLSNTILTLPLAWQQEILMSWFDRFLNSGDDCPNIQRGFEVWWKRAFWRKPHT >cds-PLY65414.1 pep primary_assembly:Lsat_Salinas_v7:1:60791956:60793207:1 gene:gene-LSAT_1X51660 transcript:rna-gnl|WGS:NBSK|LSAT_1X51660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKSKVKKGPWSPQEDTKLKDYIHKNGTGGNWIALPHKAGLKRCGKSCRLRWLNYLRPDIKHGEFSDHEDRLIYTLFSSIGSRWSVIAAQLPGRTDNDIKNYWNTKLKKKIMNFISTNHQTEKPLHHLEFVNCPSSNYSYPTSSSVTTVDNHPVLPSDDHGYINVDMETYRVKDNSTLFMLEGDAQAADCSSNSDGRWHHVYGSGAFDARNNMGGLKTSNSYMGVDGNYCEKARGCYGDSTMEFSLEEFKKLISTNLCNSNTNNNLNVFVDELKAEENIMYY >cds-PLY94315.1 pep primary_assembly:Lsat_Salinas_v7:7:164427207:164429812:1 gene:gene-LSAT_7X97041 transcript:rna-gnl|WGS:NBSK|LSAT_7X97041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGTSTALLNISHLFTRTIVPTATQIESLLYNYAANKSLTDIKKLHAHVITSGLLTQWESRYIPALLSSGYALSGHLPYARQLFDKLHQRTKRSYNSMIKLYTEKGFPYNALEMFVEMLKSGTTKPDRLTYPFVVKSCAELSMLQFGLSVHGLILHSGVESNTFVGNSLLAMYMSFGKKDEAKRVFDDMHDKCVVSWNTLISGCFKNGFANESLSVFNEMIDSKMEIDNATIVSILPACAYLKEIDIGRKIHDLVKKNNLDENLYVRNALIDMYVKCGEMEDARIVFNETNQRDVVTWTSMINGYIVNGDTRTAISLCPLMLHEGVDPNALTLASLLSSCADLKHLKHGKTFHAWAMKNNLDSDVNVETGLIDMYAKCTFFTYSFRTFNKTSKTRTAPWNAILSGSIENGLYTEAILFFKKMRLEGVGPNEATIKCVLPAYAITTNLKQVTNFHGYLITSGFLSKNDLATGLIDIYAKSGALEQAYVQFTEIPLKERDIVLWSVLISGYGKHGNGEGAVLLFNQMVECKVEPNEVSFTSVLHACSHSGLVDEGLRLFKMMEDYGITPRPYHYTCVIDLLGRAGRVEEAYGLITKMPVSPNCTVWGALLGACAVHENVELGEVAAKKLFELEPENTGNYILMGNIYAAVGRWEEAENVRNMMNQIGLRKSPAHSFV >cds-PLY96998.1 pep primary_assembly:Lsat_Salinas_v7:2:33574696:33575151:1 gene:gene-LSAT_2X16641 transcript:rna-gnl|WGS:NBSK|LSAT_2X16641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSMLRSSSNKIRMCYCGDRAGMWTSWTRKNPGRKFFGCPNYMDDEMDCGYFRWIDLPLLNKWYKERMYELGAVANRGVAVPFNNPINEVQIPVGGLISLVNVDGPSATVNALEPNNRIAML >cds-PLY69168.1 pep primary_assembly:Lsat_Salinas_v7:5:287205585:287207290:-1 gene:gene-LSAT_5X152201 transcript:rna-gnl|WGS:NBSK|LSAT_5X152201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHKLSGVLYFILLESWWNNYFKQNDYFCFHWILIQFKNWSPNPKHSIKQR >cds-PLY64460.1 pep primary_assembly:Lsat_Salinas_v7:3:15396343:15403358:1 gene:gene-LSAT_3X10880 transcript:rna-gnl|WGS:NBSK|LSAT_3X10880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSRSKSHKQSKHSSKEAAAASTVREYSGSDEDVKMKDRSSNGGKEDGVSFRISKDSSVSGERRKHVKDLFSSHGNGDVSEEYTTSSKRKEEKSHGGSDRWNGGSSDEKGVVVNIENKELLKSKDLSKVVGESKSKSSRRHDAESASVVVLEKDESKSGSGNRGEKRKSEKESARKESSQHKENKESKDKDRGSDRGRKAHTDKLEAEASTKQVENQSSKRGKESTDWPIEEGLRNPELEKELEKRMRRRGEASSDKDKYQDDMKEGDDRRLSVKRHKDEGHGEEGVRQKDEKHRGEDDRKNRDAKHRGERERERERESERHSKHRDADKDKHREDKYNEDGHRDSKYKDDKYVESGDKEERSKRKQDDEREYRHKEDKHRDADREKRSRDSKYKDTHTSIEPEAKRLKDDNVYDDRASRYKDDKDRRRGNNNNNDKEEGSEYRSRSMKEQSEKRSGSKVDSASERIRPSSRYADMEVTASHSHSHSHSRRRSSPSRDHHRVSKQEENKHSHRESVYEDRGRHNHNNRDFTSDNKLSSRSMEKVNAKDDMDKSPSSRRSGDEEPLGSKDAKEHHHTVKETHPDNDYSQVDGDNLSVSSPYTRSSSHFRPGSDSPFFGSTEDDRNKSNNNNSNNNNNNRYRRMGGESNNNMNMNMNMNMNRGQGNWKNVPNWGSPMAPGGYLPFQHVPPPMFHPLMQQFPPPIFGRPPPMKLNPGLPYHVPDHGRPLQWRDESVPPPSAAAALHGWDAANNAVFGDESHSHLYGRVDWDRRNQMGNRGWEVSGGGGDMWKNAGGVNMDVQVQSGSQKASDEIENEQNQNQMDVQTSTFNGNAVVVPEAEKVTESLQMFEGVKEEEEEEEENTSLVSQKVYLSRVDVSQDLTQPEVYQQCTSMIMEPLLSTTDDDEFDCKILFLEEVVEGNVSDGGLVFGGIINDSVFEKAMFVYKKQKEELDSLKIKVTEVVPACDDDDDGGGTGKEEGAMEMSKESKMEKVEDTNPNPDVNEEGNEAKNMEVDDVKQEAEMSMGMEKREEKNGLDVDVDVDVGVGGESIEFGSKFWINGGMNMMGWWKVVMNFLEGRGSDFLFGLLGAEDEFSGGRR >cds-PLY76187.1 pep primary_assembly:Lsat_Salinas_v7:4:54572837:54576501:-1 gene:gene-LSAT_4X37181 transcript:rna-gnl|WGS:NBSK|LSAT_4X37181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDRVATGEEAARENVDRGQRHRHRIFLTSITTTISRLIWTCNLGLMKTIATAGLTTRSSRFKWTEVEFNGSNHLIPKEDDIIGIVEIEDVTDSKSLDGRVPIKGEESEETTVVGLLLTQASKQKPSIGIVLGPGLLDDEGNTVLYSKYAGNDLKGTTLESDFSTCFSYTINLIRFYFKFSFPTKSGKDFCHRVHCDWIKSSL >cds-PLY84054.1 pep primary_assembly:Lsat_Salinas_v7:6:186812432:186825070:-1 gene:gene-LSAT_6X115500 transcript:rna-gnl|WGS:NBSK|LSAT_6X115500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYHPNHISQDMGLQQHFSDTDNNSVLRTILPEHHHLASAAQSSSSSRSAGKDHWLNNAILRQQDRFGGGTGDGNNNIFLNLQTNHNNSDSAATTSSQHHHHQQVANNNNNQWLSRSILQRNVSDVRGDDGVAEVSTDSIIAAAAVMSSHSSPDLNNHSRNIAASQVDNNVGGELGESEAGGTDGGGGGGSLMNWQNARQKAEVLSHPLYEQLLAAHVACLRIATPVDQLPRIDAQLAQSQQVVSKYSALGGHGNLVHDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDEDQVDSDANLFDGSLDGHDTMGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHAKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTVLKSKRKSCIS >cds-PLY77013.1 pep primary_assembly:Lsat_Salinas_v7:6:67119911:67132105:1 gene:gene-LSAT_6X48501 transcript:rna-gnl|WGS:NBSK|LSAT_6X48501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHRLKAGIGFIINFYVHFPLLSTVHSRRCSTSQTGDLTKPDRAIDRIFGFGQQELSIIAQLSSQGIATDAFSHFLIGNGGGGGILVLGFFGELHSDKNSDNKHMIFIHKNTTLQYNKDQIIHVNLTQENPKPLDVGKTLDMTHSVKWTETNITFARRFDVYLDYHFFEHQTLRNDYAKYAREDDDLESLKKVNDRMLKLAGNHKKEKEKLHQKIIELQKKLDDKQRLELEIKQMEGAMEVTKHMTHEDFEAKKNFESIKEDLKEKEEELEDLEELNQSLLREKDRKRRYVKLYKLKLECLRKEHSMDDEIVLELDQIEKVSDIEDILGYRSAAEHELQHVAAEGTTLVKQAEDAASNKKAEKRLQVDPATWPIMIFRVC >cds-PLY92755.1 pep primary_assembly:Lsat_Salinas_v7:8:66631545:66632800:-1 gene:gene-LSAT_8X46881 transcript:rna-gnl|WGS:NBSK|LSAT_8X46881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISLVQKQLSEGYKVDVFDMLLPRTNTSLTLGDQGITYGYNVTVDSDDNDEDRRVIPLLHETRKNDRVEREILERLEKGKNKLKRDVMDRMEVVQRETVETLEDRIVNRMERVFHEMMDRLEDKMMERINSLEKRIVEIKGSLVEQDEWLTNKLKMEVGVVERMETLTNKLVGRTERLKNKIVKMERELDNKVEDVENLMIQSLLD >cds-PLY91392.1 pep primary_assembly:Lsat_Salinas_v7:8:45436045:45439369:-1 gene:gene-LSAT_8X34741 transcript:rna-gnl|WGS:NBSK|LSAT_8X34741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYMAMPSGNVIIPDKMQFPTGGAVGPAAAGGVGWYPDERDSFISWMRGEFAAANAIIDSLCHHLKSVGEPGEYDGVIGSIQQRRCNWNPVLHMQQYFSVAEVFNALQQVTWKRQQQQQQQHRGGGFYDPVKVVGQGKDYKRSGSVASRQNRVEIAVKDTQNSTVEFNTPGNVANGSGNLMGIEVPKSDHKDVALAKYNDGLSTKPQVDNNSKSLESLSTLSESLESESKEVNENPNSKGCTNEHLEKPNIIAKTIIGSEILDGKTVNVVEGMKLYEDLFDDSGVKKMVSLVNDLRAAGRRGQFQGNTFIISKRPMKGHGRETIQFGFPIVDEETITGTSKDRKIEPIPSLFQDFIERLMATQILTVKPDSCIIDIYNEGDHSQPHMWPQWYGRPVCVLLLTECEMTFGRVIGTDHHGDYRGSVKLSLAPGSMLVMEGKSADYAKHAIPSIRKQRILVTLTKSTPKQWAPHPHPPKHHVQVATTPPLPLPVPVRPNGIHPIFVAPAMAFPAPPPPPMVLPPPSATTAGWAAAAAAPPLRQVAPPRLPVPGTGVFLPPGKHDDENNNNSSTSPKEKVDENDGKTET >cds-PLY86551.1 pep primary_assembly:Lsat_Salinas_v7:3:256337259:256339895:1 gene:gene-LSAT_3X140220 transcript:rna-gnl|WGS:NBSK|LSAT_3X140220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQDSGVKMEHFTFSMIVRVYTRLASLEHAKQAHTGLIFHGFGLDIVANTAIIDFHNKWGRIHDARNVFDKMSHKNFISWNALIIGYGNKGRGIEALELFKKMITENMILNHVTFLAVLSACSYSSLSDQGWDIFESMGTDFKLDIVRTKRHGNLIDEEIFEGSSRSGGAENEGYKKVRKFYGQKDDNDLNDGGEACSGTGEGFALGVGGKFDENIEYSSQDETSTLDALQTLADLSLMIQSSKGDDSPVLKDDKPTTGTNNNNAPGRPGSTRNRRQKKQSVS >cds-PLY85309.1 pep primary_assembly:Lsat_Salinas_v7:5:326389099:326391318:1 gene:gene-LSAT_5X181140 transcript:rna-gnl|WGS:NBSK|LSAT_5X181140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPSLFKSKLHFHLSFLQKIINDPPSSRLLYSYQSAMDGFAAQLSDSELHSMQKLHHVIAIRPDRVHKLHTTYSYKFLGLSPTIKTGAWVKSGFGRGSIIGVLDTGVWPESPSFNDQGMPPVPAKWRGLCQPGDDFNTSNCNKKLIGARFFSKGHRVASLTPTENEVSEYLSARDSHGHGTHTASTAAGSAVPMASVLGNGAGEARGMAPGSHIAIYKVCWFSGCYSSDILAAMDEAIRDGVDILSLSLGGFPIPLYDDSIAIGSFRAMEHKISVICAAGNNGPIANSVANVAPWVATVGASTLDRRFPAVVRMGDGRFLYGESMYPGNLHPGSEKVLEVVYLNGGDRGSNYCFKDSLPRDKVAGKMVVCDRGVNGRAEKGQVVKESGGAAMILANTEINMEEDSIDAHVLPATLIGYTESVHLKSYINSTKRPTARIIFGGTEIGKTRAPSVAQFSSRGPSFMDPVIPKPDMIAPGVNILAAWPQNLGPSGLPEDSRRVNFTVMSGTSMSCPHVGGLAALIRAAHPKWSPAAIKSALMTTGDTTDHYGKPIMDGNKPAGILAMGSGHVNPERAIQPGLIYDISPNDYIIHLCGIGYTKSQIFTITHRNVSCHDIMKKNRGFSLNYPSISVVFGTGMNSKMIKRRVTNVGDPNSVYTIKVVPPEGVKVRVRPRRLTFSRLNQSLSYRVWLILRKSSGSKRGKFGQGQLTWINLKDDKIKIRSPILVTRSSNKNKNHM >cds-PLY92716.1 pep primary_assembly:Lsat_Salinas_v7:7:5297480:5299257:-1 gene:gene-LSAT_7X4500 transcript:rna-gnl|WGS:NBSK|LSAT_7X4500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEQHQHEGEALHQVTDEIEELDKLEEDVNLMAQKIAEFRETLPAQLQNTLASVLSAQRPVNFNLSDNDPGPSSNANQEEGVVALVEDDVAHTEKIETIKQKISSNDSAMLLVVKRMKDCMSRIEKLDSFNKGIIHPAFKTRNIISQDRFT >cds-PLY77462.1 pep primary_assembly:Lsat_Salinas_v7:4:49390127:49390390:-1 gene:gene-LSAT_4X33381 transcript:rna-gnl|WGS:NBSK|LSAT_4X33381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASTNCVIEPSDTATRSASGLQTPRPLSGFSNFKDHETEKESLVRGRRAFSSRKEEDGVEETPHTATISDLKPPPPYPDLLPSSPI >cds-PLY78211.1 pep primary_assembly:Lsat_Salinas_v7:8:66039289:66041008:-1 gene:gene-LSAT_8X46640 transcript:rna-gnl|WGS:NBSK|LSAT_8X46640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLLFLGTWPAILTLLERRGRLPQHTFLDYTITNLLAAVIIAFTFGEFGHTNDGKPNFLIQLSQNNWPSVLFAMVGGVVLCLANLSTQYAWAFVGLSVTEVITASTTLNYFLDDKINRAEILFPGVACFLIAVCLGSALHASNAKDNKEKLNKLQGSQVGGNGSISNGSTTISKNDLEYGSSKPEKAKAGTASFLIELESRRSIKVFGRSTVIGLSMCLFSGICFSLFSPAFNLATNDQWNTLDDGVPHLSVYTAFFYFSCSCFVIAIILNITFLYHPAFNLPKSSIKAYLNDWEGRGWAFLPGFLCGFGNGLEFMGGQAAGYAAADAVQALPLVSTFWGILFFGEYRKSSRRTYVFLVGMLSMFIVAVGVLMASSGHRKN >cds-PLY91272.1 pep primary_assembly:Lsat_Salinas_v7:3:77352329:77353602:-1 gene:gene-LSAT_3X60021 transcript:rna-gnl|WGS:NBSK|LSAT_3X60021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQKTPHLLTITITLVLLINIITALRLPEFQEAPAFRNGEGCNDDIIHIAMTLDATYLRGSIAGVFSIIQHLTCPENTVFHFLTTHRLSSSLHHTITTTFPYLNFHLHHFNTELVKNKISTSIRRALDQPLNYARVYLANLLPDTVHRVIYFDSDVIVVDDVVKLWEINLNTHVLGAPEYCHANFTHYFTTNFWSNPYFSGVFKNRLANPCYFNTGVMVIDLMKWRRFKITEKLERWMEIQKKYRIYELGSLPPFLLVFAGDVEAVEHRWNQHGLGGDNVEGLCRDLHPGPVSLLHWSGKGKPWLRLDSKRACPLDGLWAPYDLVKQGSLISDM >cds-PLY88924.1 pep primary_assembly:Lsat_Salinas_v7:8:129535092:129537488:-1 gene:gene-LSAT_8X89961 transcript:rna-gnl|WGS:NBSK|LSAT_8X89961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNHHHYHHPPQPPPQLTPAKSSRQRYNEWIFRDVPSDIMIEVNGVMFALHKFPLVSRCGRIRRLVAEHRENAISKIELVSLPGGTETFEQAAKFCYGINFEITSSNVAQLCCVSDYLEMTEHFSKRNLGSRANEYLDTVVTKNLEMSVEVLKQSENLLPLADDLKIVTRCIDAIASKACVEQIASSFSRLEYSSSGRLHMSRFKGEPDWWIKDLSILRVDFYQRVMNAMKCRGVRPESIGESLMSYAEKELTGLHNQNKKVEARGGSGHEGIVVEAIVGLLPVEKLAVPLSFLFGLLRAAVMLDCSVACRLDLERRIGSQLDLATLDDLLIPSLRHGDDTLFDVDAVHRILANFSQQDDSDDDDMEDDDGDGDGFESDGPDSPSQSALVKVSRLIDSYLAEIAPETNLKLSKFIAVAESLPAHARTVHDGLYRSIDVYLKAHQGLSEFDKKKLCKLIDFNKLSPEAGAHAAQNERLPLPAVVQVLYIEQLRLRNALCCNYPEENLKPPMHHQSWRINSGGLSAAMSPKDNYASLRRENRELKLELTRLRMRLNDFEKEHVCMKRSMQKSNSRNFMSSFSKKIGKLNMFGHGSSSRGSSSPPSRHSERTDSKVISRTD >cds-PLY82943.1 pep primary_assembly:Lsat_Salinas_v7:1:17307650:17310899:1 gene:gene-LSAT_1X14981 transcript:rna-gnl|WGS:NBSK|LSAT_1X14981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVMQKIKDIEDEMAKTQKNKATAHHLGLLKAKLAKLRRELLTPTTKGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVITYRGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNCILIVLDAIKPITHKRLIEKELEGFGIRLNKEPPNLTFRKKEKGGINFTSTVANTHLDLETVKAICSEYKIHNADVTLRFDATADDLIDVIEGSRIYTPCIYVVNKIDQITLEELQILDKLPHYCPVSAHLEWNLDGLLEMIWEYLSLTRIYTKPKGMNPDYEDPVILSSKRKTVEDFCFRIHKDMLKQFKYALVWGSSAKHKPQRVGKEHELEDEDVVQIIKKV >cds-PLY89014.1 pep primary_assembly:Lsat_Salinas_v7:3:73436246:73437159:1 gene:gene-LSAT_3X61600 transcript:rna-gnl|WGS:NBSK|LSAT_3X61600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNSFKIKLGQGGFGSVYKGALTNGSLVAVKILSELKGNGEDFINEVASVGRTSHVNIVSLVGFCFEGHQRALIYEFMPNESLEKLIYDHTFLSNSQLGWKKLHEIAIVIARGLEYLHSGCNTRILHFDIKTHNILLDQDFSPKISDFSLAKLFPEKRSMISMSHMRGTPGYIAPELYSRSFGQLWNDDFGNCWRRKNVEVGVDHTSEIHFPHWIYKKVELDEDLELHMSTSDEENEMVRKMIIVGLWCIQTNPLSRPTITKVLEMLEGDLESLEIPPKPYLSSPLRSIVTSSFT >cds-PLY99145.1 pep primary_assembly:Lsat_Salinas_v7:2:11657975:11664978:1 gene:gene-LSAT_2X5041 transcript:rna-gnl|WGS:NBSK|LSAT_2X5041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSRAQVNKSHKTRFASKSSRNVHKTSVKDKSKINKPDRNAMKGARAARLQRNKMMREQKRAALLKEKRTTSATTSAPRVIVLFGLSASTNVSSLAGDLSALLSNENNTTQFPAVASSEYRLRATVVEAPHGDLMACMEMAKVADLIVFVASGNCSSEKDSSNYYMDEFGSQCLSVFRVLGLPSSAVFIRNLPSDLKKRNDIKKTCISSLSSEFPEDCKFYPADTKDDLHKFMMLFKEQRLVIPHWRTQRPYIMAQKVDMVADEFGKSTLLITGYVRARNLSVNQLVHVSGAGDFQLGKIEVLKDPCSLNLKKEGDFMDADEINDSQVIKTLVPDPMKQEPLLVENIPDPLEGEQTWPTEAEMADADRVQKEKKQKKRSLPRGTSEYQAAWIVDDSDVSGTDSDDDSENGNGMVVDEGGEYDIPGQGGSRKFDLDDDQASLKLRDSDDETEADSMMMDNDNLTKEQIEEDIRKIKEAHAEDEEFPDEVDAPVDIPARKRFAKYRGLKSFRTSTWDPKESLPQEYAKIFAFDNFNRTQKHVLKKAMDMEQGSVDECVVANSYVTLHITQVPIHIASKLCILGKTMPVVACGLLQHESKISVLHFRLKKHETYSEPIKAKEELIFHVGFRQFVTRPTFATDSFNSEKHKMERFLHAGRFSIASVYAPITFPPLPLIVMKSRGDFSTPVLAAVGSLKSIDPDKIILKKIILTGYPQRVSKSKAAVRYMFHNPEDVKWFKPVEVWTKLGRRGRIKEPVGTHGAMKCILNGVLQQNDTVCMSLFKRTYPKWPQHWFPMSA >cds-PLY77523.1 pep primary_assembly:Lsat_Salinas_v7:2:164945660:164946267:-1 gene:gene-LSAT_2X86260 transcript:rna-gnl|WGS:NBSK|LSAT_2X86260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKSQKAEYNAGQIAGQTQEKASNLVEKAQDKAQSAKDSMQEGGQQMKAKAQGVAEGAKDAVGANK >cds-PLY64507.1 pep primary_assembly:Lsat_Salinas_v7:8:124423283:124424669:1 gene:gene-LSAT_8X85701 transcript:rna-gnl|WGS:NBSK|LSAT_8X85701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTASEVVGKLNLKPHPEGGFFSETFRDTSITLSTSQLPPEYKVDRPISTAIYFLLPSGSVSHLHRIPSAETWHFYLGEPLTILEIDEKDGSLKLTCIGQDIVENQLLQYTVPPNVWFGAFPTKDYEISSSTDNVVVKNPPRDAEEHFSLVGCTVAPAFQFEDFVLAKRSELVSRFPSHESLISLIAFED >cds-PLY85616.1 pep primary_assembly:Lsat_Salinas_v7:8:163080258:163080533:-1 gene:gene-LSAT_8X107700 transcript:rna-gnl|WGS:NBSK|LSAT_8X107700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTSQRKTGRGDIRNQANLFVFELWRDKVIASWDVEDDVSQLRPAKGCLQSTSEVDRQKSTSRSGHSNFIDEAYAGRCPIGLLASWPLGWE >cds-PLY74882.1 pep primary_assembly:Lsat_Salinas_v7:7:66857717:66861543:1 gene:gene-LSAT_7X48300 transcript:rna-gnl|WGS:NBSK|LSAT_7X48300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEILYCYLFFMFIFSVSSASDPFSEALLSLKSEFTVPPDVLNDWVIPQEQNPSPNIHACSWTGVQCDQNSTKVIGLDLSLKNLSGVLSGVQFNQFVDLIDLNISHNSFSGELPIGIFNLTSLKTLDISRNNFSGDFPIGISNLQNLEVLDAFSNSFSGSLPPDVCEIASVKILNFAGSYFSGPIPGAYGSCKSLDFLHLAGNSLTGYLPIEFGHLQTVTHMEIGYNSYQGNIPWQFGNMSELQYLDIAGANLSGPIPKDLGKLTKLNTLFLFKNHLSGLIPVEIGNILTLSSLDLSDNMLSGPIPESFSDLKSLKLLSLMYNDMNGSIPEGIAKLPELESLLIWDNLFSGTLPQELGKHSKLIYVDVSSNGFVGVIPPDICSQGALTKLMLFSNYFTGSLSSISNCSSLIRIRLEDNSFSGDISLDFKLLSNVSYIDLSGNRFTNGIPSDIFLASNLEFFSVSNNPELGGTLPEKTWSSPALQNFSASSCNISGEIPGFQFCKSLYSIELNQNHLSGIIPESLSVCNSLGTLNLAGNNFSGEIPAKLGNSMKLKSLNLSNNDLSGPIPFTSMEITSFLGNPNLCGAPLVTPCHNGNGIHTGMELRSRNHKIAWVLILSAIVVVLLASLFGIIYYRKQNVNRNWNLDSFGGLPNLTASDVLKSFDSIEAMESPHSSNSVCKAVLLTGMTVIVRKIEWGAKSSNLLMDFVNRIGNARHKNVIRLLGFCYDKNLGYLLYEYLPNGNLDEKIGIKRDWGSKHRLVVNIAKGLCFLHHDCRPAISHGNLKAGNVVFDENMEPRLSEFAFKTISAMESGEYNGVTDHELKDDIFSFGELVLEILTNGKRKKWGMSVQRTPKDVILKEIYNENEVGASSSSKSTQEEIKVVLEVVLGCTTSKVSDRPSMEEVLKILSRLK >cds-PLY94912.1 pep primary_assembly:Lsat_Salinas_v7:4:113622493:113628542:-1 gene:gene-LSAT_4X71800 transcript:rna-gnl|WGS:NBSK|LSAT_4X71800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor ABORTED MICROSPORES [Source:Projected from Arabidopsis thaliana (AT2G16910) UniProtKB/Swiss-Prot;Acc:Q9ZVX2] MEVLQEVMERLRSIVGPESWDYCVLWKPSKDQRLIEWADCCCSGSNGSHGNGGEDEQRVLFQCKDVTFNHSTKDACHLLSLLPSSMPYDHSGIYGQTMISNQPRWLNFSNSSNTDFSEDNLGTKALIPVPIGLVELFVSKQIPEDQSIIDFVTTLFNMSLEQHPILNTNNNVDSSFSVNMDNLDNEESKDYIAQVLDDQKDPNNHFQPPISPATMLENLNLSPHNISDNHLHPMNFLQQFNYSENRNGKNMFLEGTSEPMMMNHDDPFDPNSEENVGFDHEIDMALQGQMMGENMNKGHLMEPLENGAKKQGDMNRSDSVSDCSDQNDEEDDPKCRRRNGKPQSKNLMAERKRRKKLNDRLYTLRSLVPKITKLDRASILKDAIEYVMELKRQVEELQNELEENSDDEGTTNNQSTIVEQEVMHGNGSNLKRRFSHGHGMFVNGPQLEAYSGVGAIDVPKHTPDTEGANEKGQQMEPQVEVASLDGNEFYVKVFCEHKPGEFVRLMEAFNSLGLEVTNVNVTSFRCLVLNVFKVERKDSEMVQADHVRESLLEITRNPSRGWPETTTKAPENGHGMADHQLHHHHHNHNHHHNHHSHLHNHQKPQYHYNAIYQVLN >cds-PLY88373.1 pep primary_assembly:Lsat_Salinas_v7:5:61166644:61166874:1 gene:gene-LSAT_5X28181 transcript:rna-gnl|WGS:NBSK|LSAT_5X28181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMHATKERVESDTSTVYSISLYESTITTRDEPWFGELKLALGVIGLPVTVRDRLLRCSPPVVGTTRAGPGLDSER >cds-PLY64651.1 pep primary_assembly:Lsat_Salinas_v7:MU045592.1:161:4132:1 gene:gene-LSAT_0X44741 transcript:rna-gnl|WGS:NBSK|LSAT_0X44741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGSDGGAAAKTVVESKEWYLAAYAPENVPTSDHLKLRSVTISLEYDSVADQHVAVQLLLISVDPYLRSTITGRDGDLYLPRPPLNKAITGFGIGRVVRSKNKNFNEGDIVINPFSPVAEYSIIPADFLRKIDQTTDIALPNYLSCLGVPGFTAWVAIEVLGNPKPGSNVFISAAAGGVGMFAGQLAKLKGCRVVGSTGSNDKVQLIKDEFGYDEGFNYHEESDFDVALAKYFPDGIDLYLDNVGGKLLDDVLNHVNKGANIVISGMISQYNTIPAEREGVKNLLNMVGKDVKMQGFLCGSYLNQFGEFAQQMEKYINEEKIKPKHKINQGIESFFESFVSLFSSSNLGKVIVQVAT >cds-PLY89905.1 pep primary_assembly:Lsat_Salinas_v7:8:67837576:67841999:-1 gene:gene-LSAT_8X49901 transcript:rna-gnl|WGS:NBSK|LSAT_8X49901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPSAPTFSSHLWNYDVFLSFRGEDTRRTFVDHLYTALEQQGINTYKEDIALPRGESISPSLKKAISESHIAVIIFSKSYADSSWCLDELSHIMRCRDTRGQIIMPIFYDVDPSDVRKQKRKYKEAFTKHEWENKTKVESWRKALVDASSISGWEMRNIANGHESQGIKQIVVEISQKVQLVASSANENLIGISVRMQRLKSELQIGSGGVRMIGIWGVGGGGKTTLASAVYEEISREFDGCCFVDNIREKSSKNRLEKLQKEILSDVLKQKQTHVRRVEEGRHMIKGRLCQKKVLIVLDDVDRLDQLKALAGSHNWFGDGSRIIITTRDEHLLTAHRVNVIHDIRLLNDNESLMLIRKHAPRDSRPVEDYEHLSKEVVSYAGGLPLALTVLGSFLCDKDINEWRSALARLKEIPDIDILKKLKISYDGLTKLEKDLFLDIACFFRRQDKDDAMKILDACGFQPVIGIKVLVQKALITISEYGEFDMHDLVQEMAHYIVRGEHPNNPEKHSRIWENDDIMKICAMDATTELDMIEAIRFGYYVYESQRYKHLSPIIANAKNLRWIEWHGEFASHLLSNFPQRKLCHLKLQKSLQKQLWEGYKLLPNLKTVELENLNNLIMTPDFDGLPNLERFYLHGPELLEEIHPSIGRLEKLVFLSIEFCTSLKMFPPINIPGLQKLETLSIVGCRKLFKLSEIQQQKMENLLHLDLDNSSNEGASYIESCSNFFVICWRCGCSNLPGVECCVEDPCLRHNMKPCLSDNNMNHIGRLFFLKDLKKLDLSYSSLGDEEMGCAVWELPNLLKLTLLGNEFSLLNFSLLQVPRLKWLDVSECKGLVEVSELPSSIAVVEAIDCRSLESFGDISNCKWLWKISLVWCDKLGDGDILLDSMLKGNASEDYFINITLTYQIPKVFVGRMFRVRTFTWCLPDGWYNDFCGFLICVVTRMLVFPAINVVIKQEVDKDSPFELSQESNEAVEPKYNASSTTYVGYISFGSLRHTTFLYSSYTMISFSLDSMHQSSSDAESYVGAQLVSRKSNGDQPQTTKVATDSSEFWNIEEFNDRIQTFTIQDDTKSSVKIKWQL >cds-PLY79448.1 pep primary_assembly:Lsat_Salinas_v7:9:100344008:100345113:-1 gene:gene-LSAT_9X73501 transcript:rna-gnl|WGS:NBSK|LSAT_9X73501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIVETCSITPCLRLHDETLLYVAILLHLPEFLFLQDHLRILYRVDTAVDMAEHIAEVMEDVAGKVDKVIDSITDDLPEDSKLRKRLEAIDELIEGVAMSAHIANDIIDKVEEAEDKLESLILSQANEEKVSIQVVKKEEVSTHKD >cds-PLY92875.1 pep primary_assembly:Lsat_Salinas_v7:3:228325367:228325750:1 gene:gene-LSAT_3X128760 transcript:rna-gnl|WGS:NBSK|LSAT_3X128760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDQKYVGDGGALMVLGEGRDIFPSFLNGGKRVVDRKYVRDGGTWMVLRKARVSIDIDLGGKWWRWQVVYGWSEVVRWRWCQLVVVCCSQEKKDGKG >cds-PLY96639.1 pep primary_assembly:Lsat_Salinas_v7:7:44117528:44120001:1 gene:gene-LSAT_7X30220 transcript:rna-gnl|WGS:NBSK|LSAT_7X30220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTFFTANSLDLKHHHNQIPFTFTFTFNSPKRIKKSPFFYCNSSKSPPKPSIPDLSEDTKKQNPSLADQLKTLSTTTLSDPPQKTTQLLTKPKSIWVNPTKPRPSVLNLQRQKKTSYSYNPQIRDLKLFSKKLNDCENSEEAFLKVLEDIPHPPTRENALLVLNSLKPWEKAMFFFNWLKTQDSFPMETIFYNVTMKSLRFGRQFQLIEDLANEMISNGVALDNITYSTIITCAKRSNLFDKAVEWFERMYKTGLMPDEVTYSAVLDVYAKLGKVEEVMSLYERGRASGWTPDAIAFAVLAKMFGEAGDYDGIRYVLQEMKSLDVKPNLVVFNTLLEAMGKAGKPGLARSLFEEMVAAGVSPDAKTLTSLVKIYGKARWARDALDLWQRMKSNGWPMDFILYNTLLSMCADLGLQEEAENLFDDMKQSVNCKPDSWSYTAMLNIYGSGGNVEKAMNLFEEMSRENVAINVMGCTCLIQCLGRAKKIDELVTVFDTSVARGVRPDDRLSGCLLSVVSYCESGEDLDKVITCLQKSNPRLVSFVDSLESEVGIETLKNEFKTILNETEVEARRPFCNCLIDICRKRNLIGRAHELLYMGTMYGLYPGLHTKTPEEWRLNVRSLSVGAAHTAFEEWVRTLSEMVNRYEPLPELLSASTGAGTHKYSQGLGNAFESHVAKLSAPFRASDEKPGVFFASREDIVSWVQSKVV >cds-PLY94184.1 pep primary_assembly:Lsat_Salinas_v7:5:321765649:321768601:1 gene:gene-LSAT_5X178440 transcript:rna-gnl|WGS:NBSK|LSAT_5X178440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGRCRCSIRPDDIPRSCNLGNRRVRFVDTDRYRPAYNVSPGSNLPVIRRDTGANSEGAVVQCMKWGLIPSFTKNTEKPDYYRMFNARSESIGEKASFRRLLPGNRCLVVVEGFFEWKKDGGKKQPYYIHLRDDRPLVFAALYDSWKNSEGEIQYTFTILTTSSSSSFGCLHDRMPVILGNKESTHEWLDGSPSSKFDSLLKPYEEPDLVWYPVTPAMGKPSFDGPECIKEIQVKETKPISMFFAKMGTKIEKQSEPKEVEKTEKPKSPKQEPETKSETSHLKREYDDVSSSDIKVKVDEADIKLTSPAKKKANLKTSSDNQKTLFSYFDTIFMMNFFKIRNGHATTRDLDRSFLSLFPKRIVVLFLLLSLRCGRQHLVMVVELANTENVMAPAPLLNMS >cds-PLY84318.1 pep primary_assembly:Lsat_Salinas_v7:5:190272211:190272650:1 gene:gene-LSAT_5X85181 transcript:rna-gnl|WGS:NBSK|LSAT_5X85181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFPPWLNKHICFSTTSSSSSSADDIVPRGSTHLVGDSTELMIQDEICPKLSPSPQARAIPQAERTHRKSTPIILFLFIRITQSMDRMLTQVEKDVAIMKLCMALGHDDDMVVDDTPPSSPGD >cds-PLY75787.1 pep primary_assembly:Lsat_Salinas_v7:3:69780529:69780843:1 gene:gene-LSAT_3X53641 transcript:rna-gnl|WGS:NBSK|LSAT_3X53641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGCDDLGLSQRLLGFPFASSNSNVAAPSDDRVAVAKGGWELEVAVDIRHLEHQQRDAIANHVGKVFSSMEPGSGSNREMQRRRSSAKWRATKCLNSTDSLEQ >cds-PLY98116.1 pep primary_assembly:Lsat_Salinas_v7:1:139127423:139130637:-1 gene:gene-LSAT_1X99140 transcript:rna-gnl|WGS:NBSK|LSAT_1X99140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVELHEISEGSSSSSSTHGRAYDVFISFRGVDTRNSFINHLYNALMHANITTFLDDEEIETGEDLKPELESAIKSSKASAIVLSKNYATSTWCLDELVLILEQRRTSKHIVIPIFYHVEPTHVRKQQSSFGDAMAKHRQKMEAETDENKRSQWAQKMDRWKKALIEVADLKGKDANGRRNNELMMHQLVQEMERFLICEESPDKPGERSRLWCHEETFKVLKQKKATENVLGLTLDMRMLVKEKLHGSLELKTDALSKMDRLMLLQLNYVQITDSYKNFPEELRWLCMHGFPLKFIPSDLPMENLVVLDLSHSNIESFGICCSYPQRLHRRLTQWIGSFSKDKWLLGSLKILNLSSCEQLRSLVGFDHLPVLEKLILRGCIDIHTSTCSSVVPSTVVEAIPNYSKFFTIYLPTSLVSLSFANNNLSTESFPMDFSCLSMLKELYLDENPIVSLPSCVRSLPRLETLSMRFCKKLTTVEHPPHTLTNLNLYSDKPMLQKLVLDPESSPLQLWIQWDRLPPSPFELQGMVKIKPMAGVEEKVLRSLGWTKPDILNKRRVGTATIFGEKEEFEIQMYYEFGIFSTLYEGEELPSWITDRCTWSSISFTIPSSPNTFRGLNFCCVLTSRFALDEGLGSVGNVFIYIPVIIISNITKNLTWIYHHYIDVVYLGGMYLTFSSHWMFGMNEMECGDHVTITLNESQYDDGDAVIKECGVSFVYDDGEEEEDEDVLGYYKSWNHIIGGDLIPFEPTTGAYFLSKRRFCNSFFNMVSLGYDYQLGEGANSKDVRLYFKALSQRKSGLPENAT >cds-PLY68377.1 pep primary_assembly:Lsat_Salinas_v7:7:1331906:1332616:-1 gene:gene-LSAT_7X441 transcript:rna-gnl|WGS:NBSK|LSAT_7X441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGIGGVIGPDHLGGNYEAYITNLILYIDVCERISSCNWQGQFGQKLSNFDCLIVAVLISKEDWVLVNFQCWRRVLRKVERLQFDDLYYQDGRTKKKGYFGNLDIVILMMIEDRLGWNLLCWYQVHKKDGFLIAIAFLFGVGRITRQGYYGLKGLFGCLWSCFMLIKGFFGEINSCDWFKGSWYFYIWDLWRQGQYGHYCEKIYIVKLVIFNFWSLNDFMKRWNQGGLKVISFIGG >cds-PLY83446.1 pep primary_assembly:Lsat_Salinas_v7:9:89994143:89997261:-1 gene:gene-LSAT_9X68220 transcript:rna-gnl|WGS:NBSK|LSAT_9X68220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSTYVILVLSYCFLFSLVVARNENALERSEDQIEAISHHYHTLKLSTFISSAVCNPSTNGEKRKGSLQMVHKHGPCSKFNEDMMKSLTLDEIFSRDQSRVDSILNKVRKEIQGSKTTLPAKSGSSIGTLNYIVTIGLGTPKKDFTLAFDTGSDLTWTQCQPCAGSCYSQQDPIFQPSTSSTYSNISCSSSECAQLDLARCSSSTCVYRVGYGDGSFTIGFFAKDKLTLTSEDVIDDFFFGCGQDNEGLFVGVAGLFGLGRDKLSFVSQSADKYGKVFSYCLPSIDSSSGFLMFGSSGIGSNVVYTPLSASQDNSFYGLDLEAIYVKGNKLEINPTVFTTSGMIIDSGTIITRLPPTAYSALSEAFQAEMTQYPLTQGPSLFDTCYDFSNYENITIPKISVVWSGNVNVEIPPQGILIPVSNEVYCLAFAANQDDSDIGVFGNTQQQTLEVVYDVTAGRIGFGPGGCS >cds-PLY84967.1 pep primary_assembly:Lsat_Salinas_v7:2:123281342:123282097:-1 gene:gene-LSAT_2X56040 transcript:rna-gnl|WGS:NBSK|LSAT_2X56040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMSTKALTHFMHPSHQLTEHTADTIFICDGCKMYGTGTRFTCTSCNFDLHDYCAKCPPSLPFTINHPHPMCLVVNKPQINHNKHCQICRNQIQGLAYMCKDCDFWIHPLCVLHYLGLRYFIHPSHKLTELTANTNYICNGCNIHGTGTRFTCSTCNFDLHDYCAKSPTWLPSTQIHRHPMTLFVDKHQLNKTKHCQICRTSIQGLAYECKGCDFWVHSLCALHNLRHGHGGQYRNFNHGGKNYIAFFSWH >cds-PLY67379.1 pep primary_assembly:Lsat_Salinas_v7:4:211361364:211362692:1 gene:gene-LSAT_4X119061 transcript:rna-gnl|WGS:NBSK|LSAT_4X119061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLDIPAIWNDVMEDMSDVKELVKLLMAAVDEHGIVYVVRGSDHIPGINNSVEKCLPQFXHASSTVAFSVVRPIHRINHHSNFFHTQWWFSTIHNLRCKLPRPPEVGSIPKLSPNHSIWPPTELIPKMMTY >cds-PLY85745.1 pep primary_assembly:Lsat_Salinas_v7:1:48446594:48448017:-1 gene:gene-LSAT_1X44740 transcript:rna-gnl|WGS:NBSK|LSAT_1X44740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSKKFRGVRQRQWGSWVSEIRHPLLKRRIWLGTFETAEAAARAYDQAAILMNGQSAKTNFPATNKNLSGNVHHSSSSCQTEIPAATLAAKLRKCCKDPSPSLTCLRLDSDNSHIGVWQKRPGKGSGSGWVMKVELGGKRKDQGMVEETISPMVAVVEDDGASGDHDIDEENRVVMQMIEELLNWNSTPPSLCIVNN >cds-PLY86182.1 pep primary_assembly:Lsat_Salinas_v7:3:82525833:82526357:1 gene:gene-LSAT_3X63500 transcript:rna-gnl|WGS:NBSK|LSAT_3X63500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESNENQRKELEAAESKVLEEKLKEEQESNIIKSLTEQLLKNQALGAPSSPPKTSTTLKTSSETLIGMKLISRDNAFGDDSDEYVSESIRVVTNIFVKPRPPPNTATSQKPLIELNVSSSNSTNLPSKEHKYIVGSVHSSVNIKILEAKVDLLLEHLANPPSALIPPVASPITA >cds-PLY72817.1 pep primary_assembly:Lsat_Salinas_v7:6:22733331:22737293:-1 gene:gene-LSAT_6X18021 transcript:rna-gnl|WGS:NBSK|LSAT_6X18021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase proenzyme 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G16700) UniProtKB/Swiss-Prot;Acc:Q84V22] MKILLFLLKNPNVQLNTLAPPPSKKFLVLTITTSPLAICSSLRLSPARRRLPCEATGRIHSIATTPSHPTTAMMFGRKTSFNRRHRFFFLRKLRTATTQPQASLNGDRNSSGSKGKGNPFLVPGATVATIAMLGALHARRMYSDKKIEEARESGIEPELQPDVKVLNSVFHLLSYFEPRRKELPVWVRPYAHRAWARAFHSNLEEVGLPLKEYASLKDFAIRRLKDGARPINSDPHTLMSPVDGTVLRVGELKEGVMIEQVKGFSYSVSSLLGARSLLPLMAPPAHEDTSCQQENKLSSKSWWRISLASPKLREEPSSPPSPMKGLFYCVIYLTPGNYHRIHSPVDWNVLVRRHFSGRLYPLHSRAIRTIKNLYVENERVVLEGRWQEGYMAMAAVGATNIGSIELFIEPGLRTNLPRKKLVQSEAPQERVYEPQVMLKKGDEVGAFNMGSTVVLVFQAPTTSPSSEFSFCVEKGDKIQMGEALGRWHQQL >cds-PLY74864.1 pep primary_assembly:Lsat_Salinas_v7:8:105872976:105877616:1 gene:gene-LSAT_8X72060 transcript:rna-gnl|WGS:NBSK|LSAT_8X72060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGARNQEEAEKRDDEHNTYVLLETKGEDCVLYTRDGTVDYLNSPANKLKTGNWKACFFVVATASLERLAFFGMSSNLLLYFKLELNQHSATASRNLSNWTGACYIAPLFGAFVADGYIGKYWTIATSSILYAIGMTLLTLSATMPQLMPTCSKTNICDATKAETIVCFTALYLVAVASGGIKACVSAYGADQFDDNDKAEKKLKSSFFNWYYQMMNIGTLVARSLIVWVQDNVGWDWGFGIPTLAMGMAVFCFFSGTWFYRNHKPKGSPFTRFFQVVVASFRKKRINIPPDSSLLYEIHDHANSTTKLNHTRNFRFLDKAAVELPTDHTKGSTNPWTLCTVTQVEELKTVLRLLPIWITGIIFSTIRGQMDNLFVLQGSFMDTQIPNTSFKIPPASLGTFGTLSVIIWVPLYDQIIVPLARKLTGHPNGLTLLQRIGTGHFISIFSMLTAGILELIRLDIVRRHNYYETKPVPISIFWQVPQFLIIGCAEVFTLVGQMEFFYEEVPDSMRSLGSAMRLMTIALGSYFSSLLVSVVIKVTTEGGGPGWIPDNLNYGELHKFFWLLGGLSVVNLGVFVAVAKWHTSKNSYIVRM >cds-PLY62481.1 pep primary_assembly:Lsat_Salinas_v7:1:81872552:81874508:-1 gene:gene-LSAT_1X69000 transcript:rna-gnl|WGS:NBSK|LSAT_1X69000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNTVNQIAQQIDGNQDLSNMFFGMGGGGGGGGFDLSGMKQQMIPIVAQTFGGGGSGLNMPQQPPLQPRSINDSLSAPQTSIPHGLQYFNITLGSFNNHGSVVSSNRCGFAFLAEEESFKFGGARDMSNATEFYRKTRSNVHRVLDWEIRDNPNFIEPTVCKGSSFRKNASISGYHCSYKEGYQGNPYLDPCCEDTNNVNSMVDQEIIQHGLRCITFCKTRKLYELVLSYTREILQKTAPNLVNSVFAYRAGYTAHDRRRLESDLFSGKICGVAAINALELGIDVGHNDVTLHLGFPGIIASYHADLQQ >cds-PLY63087.1 pep primary_assembly:Lsat_Salinas_v7:8:76142281:76142595:-1 gene:gene-LSAT_8X54560 transcript:rna-gnl|WGS:NBSK|LSAT_8X54560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGKKKKVLMFPWLGHGHISPFLELAKKLNNTNLFDIYLCSTPANISSIKQPLANEHGSLAVQFIELHLRKLPALPPHLHMTTNGLPLHLMPTLKKAFDKAIKA >cds-PLY70714.1 pep primary_assembly:Lsat_Salinas_v7:8:167406947:167408400:-1 gene:gene-LSAT_0X33241 transcript:rna-gnl|WGS:NBSK|LSAT_0X33241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNKAPSSSPVSVIGSQFLAPHQLDLIVDRISSGILLITDINHKIIFKVKPCDSFFHEQRVLLDVDDQPIVLMRNKSMTAHDGWYVFRGDSESKSDMIFTTKKHCVIQLFKSDVNVFLANKTSSKNVCDFKVEGSWSKRNCTIYMGDTSTTIAQMSKMQLSENIVKFVNEKFKVTISPNVDFAFVITIIAIIEAMEDSDKKSKGAVQVVGGVTEVVGTILLL >cds-PLY91031.1 pep primary_assembly:Lsat_Salinas_v7:7:179071306:179072186:-1 gene:gene-LSAT_7X105700 transcript:rna-gnl|WGS:NBSK|LSAT_7X105700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRDWTQIYAIYGMEDWHTPIFLLIHAIVFSASSLVFLLYFNWICYSFESILPSVFSTSIARFAAGFTGSVTALSAVCLFYAAGNMFYSSVALRWDMAQRMVSAVHDWSTVKTVLDVGCGRGILLNTVAMQLKKEGSSGRVVGLDRDARRLPFPDNYFDVVVSAGFVHKVGKEFGPKTAAASAERMRVVGEVVRVLKEGGVGVVWDMVHVPEYVLRLQELKMEEIRVSERVTAFMVSSHIVSFRKPSHQHVMGPTEVRLDWRFHNIC >cds-PLY73549.1 pep primary_assembly:Lsat_Salinas_v7:9:78622758:78623054:-1 gene:gene-LSAT_9X62660 transcript:rna-gnl|WGS:NBSK|LSAT_9X62660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGMILEASANLGIFQVVNHGIPVSVMDEAVQRVRRFHEQDDEVKKGFYTRDLSSTLAYNNNYDMYSSLALNWRDTFFSFRAPSPPLPEESPEICRDI >cds-PLY74562.1 pep primary_assembly:Lsat_Salinas_v7:7:34125508:34128123:1 gene:gene-LSAT_7X25401 transcript:rna-gnl|WGS:NBSK|LSAT_7X25401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAAIFSSLRRSRSPTLDAFLAPVDLENDVVGLLKTLTSIASDLISSFSDKTPPFQKKNSRSLIRKIELFVVLLDSVRESGEWWSNLPSTVVLCFKELYLLLYRSKILLDYCTQSSKLWLLLQNPSISGHFHDLNQEISTLLDVFPMNKLNSLISDDVKEQINLLQKQSRRNKLFIDKHVESLRLKFFKLLNEIGTGNIPNSEEFHDFLVTKLGILDARACRVEIEFLEEQIVNHGSDLEPSASVLGGVIAMIRYCRFLLFGFEEDEVEISHRFRRGKKRGLISKEIADTFITIPKDFCCPISLDLMMDPVIISTGQTFDRASISRWIEEGHCNCPKTGQTLVHKKLVPNRALRNLIMQWCTAHKIPYSPPESSDLAGESFPAAPASRPAVEANKATVGLLIRELENGSDSGKAIAAREIRFLAKTGRENRGFIAESGVIPHLKILLSSESAVAQENAVTAMLNLSIYDKNKSRIMDESGCLRSIVAVLKSGHTIESRENAAATLFSLSAVHDYKKLIADEAGALQTLAGMLLSGTPRGRKDAVTALFNLSTHTANCVKMIEFGVVKSLVEALECDGVAEEAAGALALIVRQPVGAEAVGHEEAAVVGLIGMMRCGTPRGKENAVAALLELCRSGGAATTERVVRTPALASLIQSLLFTGTKRARRKAASLARVFQRCHYDTLHFNGLRFGYGFAGNSTTGMESGFPAETVSVTMSMPMSVSVS >cds-PLY70706.1 pep primary_assembly:Lsat_Salinas_v7:4:259127794:259128111:-1 gene:gene-LSAT_4X134460 transcript:rna-gnl|WGS:NBSK|LSAT_4X134460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVDSQTQANEGLMIQNESSERNISDREKLLEEARAEAEAVRRDRDWLLQVRVMCIMDKVIEHPEFTSTVSRIWHAAFVAGEESGRGRLKAKIESDAYDPNAIDS >cds-PLY76792.1 pep primary_assembly:Lsat_Salinas_v7:4:188811018:188812367:1 gene:gene-LSAT_4X108141 transcript:rna-gnl|WGS:NBSK|LSAT_4X108141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDPGIILFGKKIGSLETPKISDSPPFAVADGGDNGFPTDDSPKTPSIDEDDSPEKHQTTGGLKKPDKILPCPRCESMNTKFCYYNNSNVNQPRHFCKSCQRYWTAGGTMRNMPVGAGRRKKKNTSSDCRFIISHEAFDVGPQIEFAAENSDGVYNTSRQPKVLSFTPNSPICRGKESGDDCSSGTTTVVSSNSVVEKPQENNGFHSQVHWIPGTPWSYNPWVPMQIPTGYPPIPFYPPPYWNSVSWLPPATSAPNYSILGKHSSDRESINPIEEPKKQKNSILIPKTLRIDDPDEAAKSSIWATLGIKNENCSRRDLFKGFEAKGDEKKKQPITEPSLLLQANPAAFSRSLCFQERA >cds-PLY89882.1 pep primary_assembly:Lsat_Salinas_v7:3:70839577:70840379:1 gene:gene-LSAT_3X55161 transcript:rna-gnl|WGS:NBSK|LSAT_3X55161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSTKTNQVVIKSDCDAFHQLWKANPNQVPTLTPSYIQNCQVHEGEVGNLGCCLFWNYFHDGKDRVGKTITTDFDEGKKSVTFKVVEGDLMDLYKTFVIHIQADKHGSDNIVTWTVEYEKLNPNVPDPDTLMEFYEKVTRDIEACQLPN >cds-PLY61670.1 pep primary_assembly:Lsat_Salinas_v7:9:158480585:158482828:1 gene:gene-LSAT_9X99180 transcript:rna-gnl|WGS:NBSK|LSAT_9X99180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPSQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTHMYASGA >cds-PLY94221.1 pep primary_assembly:Lsat_Salinas_v7:3:169274748:169277619:1 gene:gene-LSAT_3X105401 transcript:rna-gnl|WGS:NBSK|LSAT_3X105401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLVDVLKSIDFEHESFPTYHDFLILPLFAIFFPSVRFCLDRLVFQGVARRLIISKGYDIDPDEKNKKIKKFKESAWKFVYYLSAEILALVVTYNEAWFTKTSNFYIGPGNQRWPNQKMKFKLKAVYMYAGGFYTYSIFALIFWETRRSDFGVSMGHHIVTLILILTSYILRFARVGSIILALHDASDVFLEVGKMSKYSGVEVLATFSFLLFVLSWLVLRLIYFPFWILWSTSFEILEALENFKGNEEGLYYYYLFNTLLFCLYVLHIYWWVLIYRTLLKQIQDSGKVSEDIRSGKSL >cds-PLY86939.1 pep primary_assembly:Lsat_Salinas_v7:5:261188560:261192730:-1 gene:gene-LSAT_5X134580 transcript:rna-gnl|WGS:NBSK|LSAT_5X134580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGQENDNFLHGFLFKNCISTSQNITLHQSSSRSLSSIASSGNNTMIAKNNPSIDITNRDDLELNRVNHLVWVLHESARSFSLAIQNLQLARSGPELSNAWIGVDVHAWHKRTAYQVAVYALLKAAIEVELFLSQKRCNSPVSEILSTKTLFLGDFVESQLNAKHPRLVQWFRTVELPRIAGSFIPLFKNWSMEYAGSGVAGVILAISCCTAVRKLGSGRIACGLFTESIDDVLVELLDLSCSLVTVDKLHHLAIEAGFEDDFLFHFGKKVLPNKNIEDVEFWIGLVQKKLLVAFHRENVVTGKLTFNNKIEEITLATLGIFAFLGRETRLFLSEMNIKDLDDQTKDFLSYLECGCLYIYPEFSSLPEYQLFIEVVIEEIGWLDFYSPLRLKFHHDGRRSRQQAIQAEKEIILYTVLTVCYDVFSGYAHYTSSSQQPMDANLLSFLLRSQSLLSCCLEEYWAAYDKSGELMKFSERVSSESTTPSAPSNKGTTNSSALLEAQQNPVDMMKRRNSQQDGSTIKKVKERGGGAETKPLYERFIRESTMKLVAASNDIWMGSRLLFIDVRDTFTLMMKQLYGKKITNRERGKIKRTLNDLATLVPITILMLIPVSAVGHAAMLAAIKKYVPSLIPSPYSSQRLHLVKQLERTKKMEVESDVGRSSKENEEDKSLRVTMP >cds-PLY95989.1 pep primary_assembly:Lsat_Salinas_v7:9:41088357:41088897:-1 gene:gene-LSAT_9X37041 transcript:rna-gnl|WGS:NBSK|LSAT_9X37041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNGNNSGGGGGSGEKQSHRLPLSDVVSDCLRRWFQDTLKEAKAGDLSMQVLVAQMYFNGYGIPKDTQKV >cds-PLY98800.1 pep primary_assembly:Lsat_Salinas_v7:7:24279092:24279966:1 gene:gene-LSAT_7X20360 transcript:rna-gnl|WGS:NBSK|LSAT_7X20360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCSKSSKWLNRLRSSRGFPDSDNINLEHFLSNSLDKIDPQTSTDPSLPDKRPKLDKQDDSGAIQGREVMNNVLSELFQMGEFQDLSRIKRKKSCRKQQCPRICIVSTNSNVQNVPVGKDRVTGHVEEEEKGHWDLTAYSQTEVTVIDTSVPSWKFEKMLYRRKNVWKVGDKKGKGLMTSDRKKRKERLNENGDVEKKKLKLCSSLSKSGNAEQGRENKKKKKLKMCNSSKYEDKEESMARSKSPQEKIEELKNHRIDNLKN >cds-PLY78794.1 pep primary_assembly:Lsat_Salinas_v7:3:168252364:168257511:1 gene:gene-LSAT_3X103780 transcript:rna-gnl|WGS:NBSK|LSAT_3X103780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAAMVGVVVAELQRFDHAVKSDGSLSFLVVGDWGRRGLYNQSNVAYQMGKVGEKTDVDFIISTGDNFYDSGLIDVDDPAFFESFSNVYTAPSLQKQWYSVLGNHDYRGNALAQLSPVLTQKDSRWFCLKSFMLHAGNTEFFFVDTTPFQDKYFTETKHTYDWRGVLPRPDYIANLLKEVDIALKESNAKWKIVVGHHTMFSAGDHGNTQELVDKLLPILKENEVNLYINGHDHCLQQISSPDSKLQFLTSGGGSKAWRGNTNPWNPNELKFYYDGQGFMNCEITYEKIQLAFYDIFGNELHKWSVSEYVHSSS >cds-PLY66528.1 pep primary_assembly:Lsat_Salinas_v7:4:341929537:341930049:1 gene:gene-LSAT_4X168381 transcript:rna-gnl|WGS:NBSK|LSAT_4X168381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATIASVGSSYDRQSELKAFDQTKTDAKGLVYAGIRKIPPIFFHPRDTTPKISTTVFKIPVIDLQSTHRASMVEMIREASANLGIFQVVNHGIPMSVMDEAVQRVRRFHEQDDEVKKGFYTRDLSSTLAYNNNYDMYSSPALNWRDTFFSFRAPSPPPPEESSEIFRDI >cds-PLY65238.1 pep primary_assembly:Lsat_Salinas_v7:3:218891677:218896164:1 gene:gene-LSAT_3X127241 transcript:rna-gnl|WGS:NBSK|LSAT_3X127241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSMGDSRSNRAAVQATNDDASASKLSCVKKKYIKDDYVHLFVRRPVRRSPIINRGYFARWSTFRKILNKFLNCETKSNGDHVKKQILSLGAGFDTTYFQLQDEGKAPHLYVELDFKEVTCKKATIIETSAQLREKISESASICREKGEVISDNYKLLPIDLRDVESLNELISAHMDPSLPTFIIAECVLIYLDPESSRAIVQWASKTFSTSIFFLYEQILPHDAFGQQMIRNLESRGCGLLGIYDTPTLHDKEKLFLERGWQRADAWDMLKIYTSFIDPQERQRYLEHYCVTIAINDAMGMFENFGFPKDQSVIAAVSP >cds-PLY81631.1 pep primary_assembly:Lsat_Salinas_v7:2:1768936:1773068:1 gene:gene-LSAT_2X841 transcript:rna-gnl|WGS:NBSK|LSAT_2X841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSSMSYKVGLNMLEHQMKFQVIPNDVKRTWNEHVLQVLVPTSLILQIILSILGNLRKYNPKTIIRITLWCVYFLAYAIVPVALSITAQSALESCNKSHPASKHHRSNTRELMGFWAQFLFLHLGGADTITAYSLEDNDLWLRHLVFLLFQSGVALYILLLSWPGCSHLPLLSILVYASGCIKCFERVQALRFANTEHLRETMLGPADPGPDYVKFLEEFLLKKSQGFIVKVEEVLENALPTIRAYSQTGREEIFEAYNLFQTFKRLFVGLILTYEDSDRSTSYFRHLDKSDKVFHAVEIELGFVYDMLYTKASVLYTNKGLILRAIGVLLLLLVLVGFHFHSDTDHYLVIDIVITYLVIAAEFLKEIFSYITMFHSDWADIWFKQQNHTHDNLIFSFLKQPSKQRWSNSIGQSNLLSVALKENPTCFLNQKLFGVHKFLKKQRYETYIEVSPNLKDMIYSQFLEFVERSSDPKGLCIHKGSYCLQENGMRTLLWSINEVEFDQSILIWHIATALCYYSESSDQLEINRIESKNMSEYLVNLLISNPEILPIGIGMIRYRDTCAEAIRFFKMKSPITEISDACRKLLEVSCEELLPSIVKGDQSKSVLFDGCRLALALRKMKNREIMWKVMSQVWIEILAYAAAHCRVFHHSQQLGRGGEFLTHVWLLMAHLGMTEHFQVTHGHARARFNVS >cds-PLY78673.1 pep primary_assembly:Lsat_Salinas_v7:9:49442985:49445072:-1 gene:gene-LSAT_9X41741 transcript:rna-gnl|WGS:NBSK|LSAT_9X41741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPTRISQFGFVLIVIVAKLTCADENPRVSNSICHPKSINDSIFGSQAPVCPLNGIDYSFGVLGVIEGDETSLQKALSMVHKNAGNYVVVLFYASWCPFSRTFRPTLSQMSSLYPSIAHFAIEESVIRPSILSKYGVHGFPTLFVLNSTMRVRYHGSRTPTSLVAFYTNVTGIKAESVNATSLGETIHEHTNQNNINEPENCPFSWAKSPENMFRHETYLTLATIFVVLRLFYLGYPFIITCAHVSWRRRNVNIRFKTLWEHPLAYMNRAIQLFTSLTEPCKRSNLHGAMNAKAAWASKSLASVSFGEASTSRDGSGS >cds-PLY79891.1 pep primary_assembly:Lsat_Salinas_v7:8:15402986:15407612:1 gene:gene-LSAT_8X13601 transcript:rna-gnl|WGS:NBSK|LSAT_8X13601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPVSPSIASTVLCSLSHRSQNPTFIFRYTTPASRISTSVAFRTVVKRSFSFLQRSAAVRASSNMSSNGGGADKSMAHFNLSPTSALKIQKGDITRWFIDGSSDAIVNPANERMLGGGGADGAIHRAAGPELRTACYEVPEVRPGIRCPTGEARITLGFKLPASHVIHTVGPVYDEDGNAAASLSNAYRNSLRVARENNIQYIAFPAISCGVYGYPFDEAATVAISTIRDHCNDIKEVLMRLFIVMLIENDGFQYLLKSVCRYTPPESRISTSIAFRTVVERLFSFLQGSSAVRATLNMSTNGGDAEKRMVQFNLSPTRVLKIQKGDITRWFIDGSYDAIVNPTDEGMLGGGGADAAIHLAAGPELRTACYGAGEVRPGIRCPTGAARMTPGFKLPAYRVIHTVGPVYNEDENPAATLRYAYRNCLRYVSGNSLKYVAFPAISCGANGYPFEEAATVAISSIRDYPIQRLKEIHFVLYSDDIYNVWVKKAEELLKN >cds-PLY96350.1 pep primary_assembly:Lsat_Salinas_v7:4:237902680:237905864:1 gene:gene-LSAT_4X127640 transcript:rna-gnl|WGS:NBSK|LSAT_4X127640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSMAILFLFALFAVSSALDMSIIGYDATHMTSGDSSSTNWRTDDEVNAMYESWLVKHGKTYNALGEKDRRFQIFKDNLKFIDEHNSGDHSYKVGLNKFADLTNEEYRKTYTGIKTIDAKKKVSKAKSDRYAASPADDLPESIDWRAKGAVSQVKDQGSCGSCWAFSTTGSVEGINQIVTGDLISISEQELVDCDTSYNEGCNGGLMDYAFQFIIKNGGIDTEEDYPYTGRDGKCDTYRKNAKVVSIDGYEDVPVNDESALKKAVSNQPVSVAIEAGGRDFQFYTSGVFTGKCGTALDHGVLAVGYGTQDGKDYWIVKNSWGAEWGESGYLKMERNIADKTGLCGIAMEPSYPIKTGQNPPNPGPSPPSPVTPETVCDEYSTCPEGTTCCCIYEYYGYCFAWGCCPLEGATCCEDHYSCCPHDYPICDVCRGTCSKSKNSPLETMAIKRILATPTKAKRSIA >cds-PLY84157.1 pep primary_assembly:Lsat_Salinas_v7:6:189196048:189200008:-1 gene:gene-LSAT_6X116281 transcript:rna-gnl|WGS:NBSK|LSAT_6X116281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEVDQEQNHDVEDDPFLRFVDYSISVLSPADDEDVVDEESSRPAWSWVAGRIIKTCKAYSSGVTPAILLSELSQAWAENLRGGYSKKKRPECIDQLQKKHKRGKLPNTVTIDSIYEKNFLSLTNVLEVVIVDAFLLPGTNFYMLTLGDFWSSNTIDLYLHRRFYDLADLKNGILRKGREIFLTGCYLRTTSRGSGRLRLLPTEYLLIILNEDEDDDAMLLAAQFCSDPLSSVSVDAGVSYSLYARIESIGCLEIQGKFGSLQRKQITLVDNDNFRVKFVLWGDQIMLANLFSVGSWLALDRPFVSTCIEMDSSEEVCLEYGSVTQLYLVPFIRHEEQVSVASTQNHYQGSKVLTAVDPSQGTKVSQVTLPCDSRGAIDFSSYPFRLFAGDLRDKMTSISIYGTVTDIKTTNNQESIFLLRIQDPTGEIWANLHFLKSWSLGKLSIGHTVYIAGLTCSITKHKSIEVSWHEKEGGSFFVNLSCLPALLNSSCLHKSSTLSDLSVSPKTSNTHVCRIWLENIEPCDVNLRYCHSSCGHSVTKKRGSHCSFCGCDCDDDDVIHSFHLKVTIADDTGKVIAWCTDHTATELLQISPDEFYNLPEEEQIMYPSSLEHERFIVALVNSGSADNISNWEITQALKSD >cds-PLY92448.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:2723973:2725097:1 gene:gene-LSAT_0X16821 transcript:rna-gnl|WGS:NBSK|LSAT_0X16821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METPNVEVWETDDEVDQAEETEAYKKYFCFMAEDDKEPSPLHQQVVEKVHFMLRDNHLTIEPFHDDIDRITEIIKEYVTNAEYRVSYYKDELTDTQFRLEELRCHMAKLENNLAIKTDAHLTSVEQYEIGLNQRDIIAKDNHEILFTGDNEDHEELVTCSPDVISTSSSSIPLEVTSSHDLPDLDTKSDSPVKFSLECPTIESFNSEDATSKQSNIHDSKSSDKQPTSYEPIGYSKTEPIEFLSQESFPSLTTSVSGTKDLGCKYSKKQQTGKSLIPVNKDETLKTVNNERIVVEILKRLFVPIKISSPSPK >cds-PLY89564.1 pep primary_assembly:Lsat_Salinas_v7:4:366584139:366587347:-1 gene:gene-LSAT_4X177220 transcript:rna-gnl|WGS:NBSK|LSAT_4X177220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWVKTVHFGKKSSKSNLSKDATSDKKISITINSQSNDFSADSSVISSPLCNVITHLEKSSSDNLIYDSAANDDELEQAATKVQAAFRGYLARRAFWSLKGIIWLQAVVRGQIVRLSGSCPQMLQKRHLQEHLEVEQGLEGVDLKAEDVEAVFELKDYLDERNEEVNILGLTKATITRVQMCGMGDRVCVDLCSLMRPGEGLLGPVHANVAIPGGKTCYLSELKAGKEILVVDQSGIQRTAVVGRVKIETRPLIIVDAKVDSHKETSYTILLQNSKTVGLVAPTKGS >cds-PLY73444.1 pep primary_assembly:Lsat_Salinas_v7:4:181533100:181536676:1 gene:gene-LSAT_4X106840 transcript:rna-gnl|WGS:NBSK|LSAT_4X106840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEERIPGLHPSYRDSEKLEFGCKHYKRNCKLVASCCNKSYTCRHCHDEATDHVMDRKATTMMMCMKCLIIQPIGPTCSTVSCNNLSMARYYCSICKLFDDERQIYHCPHCDLCRIGEGLGIDFFHCMNCNACMSKSLSKHICREKCLEVDCPICHEYIFTSSTPLRALSCGHIMHSSCFDAYTSTNYTCPICSKSLGDMQVYFGMIDAMLAEETIPEEYAGQTQEILCNDCEKRGIVSFHWLYHKCPSCGSYNTRLV >cds-PLY73138.1 pep primary_assembly:Lsat_Salinas_v7:2:191567479:191570244:1 gene:gene-LSAT_2X112381 transcript:rna-gnl|WGS:NBSK|LSAT_2X112381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPSGRQPGFEAKDFDLKMINVMDHVNKPMNEVDKSLDGQLWHACAGGMVQMPELNSKIFYFPQGHAEHAAAGNGGFREFPRIPPYILCGVSTVKFMADSDTDEVYAKIGLVPLGNHSDCDFENDGFLGFDHIKNENQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTAEPPVQTILAKDVHGKLWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFLRADNGDLCVGIRRAKRGIGRGFHDSNSSGWNTSIPGYGNSGKVSAESVIEAANLAATGRPFEVVYYPRASTPEFCVKASTVKAAMRIQWCPGMRFKMAFETEDSSRISWFMGTISSVDVDDQIRWPNSPWRLLQVAWDEPDLLQNVKRVSPWLVESVSNMPSIHLSPFSPPRKKLRTPQPSDFPHLSIINNHIPGSIQGARHNPQFQFGFDHYHQQQYFNKIQSFPFGSPQFPPTRFIQAAQETDENVSCLLTIGNSSNNSKSKTRSNEKAENKPTFVLFGQPIFTEQQLSDSSSGDTMANQSDGSVVVQNDSSSDEAEQKSEFGVETGHCKIFMESEDVGRTLDLTAFHSYEELYRKLADMFCIDKSVMLNSLIYRNADGSVKRTGDEPFSDFSKTAGRLTIVMDSGSDNVGV >cds-PLY65949.1 pep primary_assembly:Lsat_Salinas_v7:4:138204517:138212352:-1 gene:gene-LSAT_4X86861 transcript:rna-gnl|WGS:NBSK|LSAT_4X86861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNWDRDTVIRALRVAFNNPEKVVEYLYCGIPEPAELPPAAGVSPLPGLQAAQPLPATVVPPSGPNSKPFGSLPSDHLLPKPTPQSNLADSSSRPFASLRQPFQGVDVLGLKKRGQSVRSWIRVDASSGDSQVIEVDKFTIMRRCDLPARDLRLLDPLFVYPSTILGREKAIVINLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLKEFWNSDELKRLRECNAFNDLSGNNSSSDYLPFEFKALEIALEAACTFLETQAAELETEAYPLLDELTSTISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKKRMESIYYGEQSMIGEGVQSFSAPVSPVSSPPDYRKLEKSFSFAKSRHESMRSSENGKQHIEEMEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFPIGLFNSSSAFNWVLLITGVAGLLIFFSFLWFFKSRRVMPF >cds-PLY88138.1 pep primary_assembly:Lsat_Salinas_v7:MU040217.1:45733:46532:1 gene:gene-LSAT_0X35980 transcript:rna-gnl|WGS:NBSK|LSAT_0X35980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRVVFRAVLLVGFCWFLLFVVLKTDQLPFNPSFGGFKSSYKARRDQEEGLVYVSKRRVPNGPDPIHNRRTRNTRQPPDRA >cds-PLY92646.1 pep primary_assembly:Lsat_Salinas_v7:2:161235479:161236828:-1 gene:gene-LSAT_2X85421 transcript:rna-gnl|WGS:NBSK|LSAT_2X85421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNSDLVGTCEDLDPWHWQCGVATNGGYGTTATELAGIIPENLLQAWHRTNQFSSEIIFHNRILKHKCRTMEPESATVFYIPFYAGLAVGKYLFTDSSNDERDFHAVELIKWVQMQRYWRRSNGSDHVIVLGRITWDFRRLTDPEKRWGSTFLNMPEMQTVTRFTIERAPGDYHDVGIPYPTGFHPRSISDIRTWQNFIRTYNRTSLFTFVGAAREDVGDDIRGLLLQTCRNESVSGTCRVADCAVTPCANGSSAIMESLLGSEFCLQPRGDSFTRRSVFDCMIAGAVPVLFWNRTMYDQYEWYLPEEPESYSVFIDHDDVSAGRKSIKGVLENYSKEVVKKMRERVIEIIPKIVYSDDESNNGGMKDAFDIAMDGVLRRFKAERDERRRESDVNVPVN >cds-PLY70924.1 pep primary_assembly:Lsat_Salinas_v7:9:16024009:16026164:1 gene:gene-LSAT_9X17420 transcript:rna-gnl|WGS:NBSK|LSAT_9X17420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMLLCLQIYTKAQEDLAYKEIPVGVILDMGSSYSYSYSGVGKAVHSCITMAVSEFYMVNPHFQTRIILHHRDTHGDPLHALSAALDLLEKPKVEAIIGSESTAEAKLLAVLGDKARVPILSLSPCLSCNKHPYFLQVAHDETTQFKGIAAMAESFRWKEVTVICEDSENGRDMTTFMTNTFQKKGIIATHWSLISTSSSNELLQEEVHKLLSMQTKIFIMHASPSLASRILVNAKYLGMMDEGYKWVITSKTMDFLNLMDDEVIESMQGAVGFKSYVPQLRDIHKFTSRWRKEYDHAKNHVMVKLKEINTYDIWAYDAVSALAMAVERIQADELKIKEDLETSGLTEKGRTLLNQMLSNRKMNGAQVMEIINVIDKGEKRVGFWRRDAGFTKKIGELKSFADEGLEAIIWPRGVSVTTNSMHNRRRMLLLQVNKEILRIGVPPKFKPNGVFQVKHDPETNSTVVSGFCVPNCLHCIRSQCIPSIYSIHGCISKLQQSSTSSLYWVGDITITANRSRYVDFTLPFTDLGIGLLSRNTDPSMWIFMKPLSSDLWLVSACFFILLGFVIWILEHRSNEEFQGSPSEQIGTTLWFAFSTLVYAHSKLPRLILV >cds-PLY83959.1 pep primary_assembly:Lsat_Salinas_v7:8:38629405:38632187:-1 gene:gene-LSAT_8X30460 transcript:rna-gnl|WGS:NBSK|LSAT_8X30460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESNKPGGVTVVKPSRFKRICVFCGSSPGKNPIYRLAAVQLGNQLVERNIDLVYGGGSIGLMGLISQAVYDGGRHVIGVIPKSLMTKEITGETIGEVKPVSDMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHEKPVGLLNVDGYYDSLLSFIDKAVDEGFITPIARRIIVSTPTAHELISKLEEYVPDHKGMAAKLSWEMEQQLGFTAKSEIAR >cds-PLY92233.1 pep primary_assembly:Lsat_Salinas_v7:6:75243820:75244825:1 gene:gene-LSAT_6X52740 transcript:rna-gnl|WGS:NBSK|LSAT_6X52740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor RAP2-11 [Source:Projected from Arabidopsis thaliana (AT5G19790) UniProtKB/Swiss-Prot;Acc:Q6J9S1] MELNFQNNYEFSSSSSSSSKKGRFSSTNGRNSSRNKFVGVRQRPSGKWVAEIKNTTQKIRMWLGTFDTAEEAARAYDEAAYLLRGANARTNFIHHVPINSALSLKIRNLLNHKKCLRQNATAKNTTTTTTQTSTPVTPRRDSVSCTVQVNNAKKENFSSGYQGIEVFDDGYKPDLTNCTGSVEIGDSGGGFYYGLQSDLPFTTGNAFEGFNIGPISKKSDEDGESTSDFERMKVERQISASLYAMNGVNEYWDNVLHDCGDGGSYWDLPLLSQMFCPIT >cds-PLY66859.1 pep primary_assembly:Lsat_Salinas_v7:7:20846001:20846923:-1 gene:gene-LSAT_7X17800 transcript:rna-gnl|WGS:NBSK|LSAT_7X17800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRLIQILGNYGNRKQVLQVIEWMQARERFKSNRIRKRICTRRKVKYKQMVHLCYKNFTVCWVHFLTYHTMLFPEIETMENAMALDSGGYGAFEIGN >cds-PLY91280.1 pep primary_assembly:Lsat_Salinas_v7:3:77786943:77788784:-1 gene:gene-LSAT_3X57420 transcript:rna-gnl|WGS:NBSK|LSAT_3X57420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAMAANTKPTFSLLLLARLSATLVAILLLSWALYFTTSFLPHTLSQRDLIYSVLHPLLMVIGFILISGEAILVPRWLPGSRKRKKMVHLWLQGVALTSAIFGIWTKFQGREGVIANFFSLHSWMGLLCVSLFGAQWLMGFLSFWHRGEVRMTRIRFLPWHVFLGLYTYGLAVVTAETGLLEKLTFLQTKGVVLKHCTESLIVNCLGLGLATLCGVVILTAVSPKQYQSSHTTKVVNSNSKCLTF >cds-PLY62767.1 pep primary_assembly:Lsat_Salinas_v7:4:199196162:199197502:-1 gene:gene-LSAT_4X112780 transcript:rna-gnl|WGS:NBSK|LSAT_4X112780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPQILSIFITFLYIFGTFASGQFTKFNKLVLPLGVTGPESAAFGGLLVNGPFTTVTDGRIMKWKGPKIGFVDFAYTSPKRTKELCDGTTNPDMGPICGRPLALSFHPVIGFLYIADAYFGLLVVGPHGGLATQLAGGFKFLSGIDVDLLTGNVYFSDVSLTYTFRDTTRPGFKPDSTGRFMRYNPFTRQVSVLLSGLKGGGGPAVSSDGTFVLVPELTGSRISKYWLVGPKANTAEFLLNIANPNKIKRAGRSGEFWVAVSVGFIPSAPLITPKGVRINSNGVVVQTVRFDKEFANKTISLVQEQNGKVYVGSRFTNFIGVYSN >cds-PLY83652.1 pep primary_assembly:Lsat_Salinas_v7:4:39325598:39326067:-1 gene:gene-LSAT_4X26720 transcript:rna-gnl|WGS:NBSK|LSAT_4X26720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVADFGAARLLDPDSSNQTAIVGTLGYIAPELAYNMIVTEKCDVYSFGVLALEILGGKHPRELLSSLNYSSRHDTSLENILDVRLAYPIDNKLIENEILRVYHVALACTLTDPNTRPTMRKVSQELSR >cds-PLY83413.1 pep primary_assembly:Lsat_Salinas_v7:5:331003152:331006922:1 gene:gene-LSAT_5X185220 transcript:rna-gnl|WGS:NBSK|LSAT_5X185220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCIFLLLNAYSAQDLEGILAPSMGRSTTQEPTIPIEVPSEETNGENNNSESCGPLTSAQRISASSGFNEVSPDPFGREAKHFTEIRVLNRDVRTLREKYLMLDIELRIIIKPKPFVGVGPLCNAQQVSMMA >cds-PLY97881.1 pep primary_assembly:Lsat_Salinas_v7:4:91214541:91216964:1 gene:gene-LSAT_4X59441 transcript:rna-gnl|WGS:NBSK|LSAT_4X59441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSTQDGDLTEPILIVPPPEGEETSPELEETLSDTSLTTFQRYKKATSLELYSLTKLAAPAIIMYLLNNVTSMSTQIFCGHLGNLQLAAASLGNNGVQLFVFGVMLGMGSAVETLCGQAFGAGEYPMLGIYLQRSTILLVLTGIPLTFIYIFSKPLLILIGQSKQIAGAASIFIMGLIPQIFAYACNFPIQKFLQAQSIVNPSAYIAAVMLVVHVLFTYVALYIWGWGLLGASLILSFTWWVIVVAQFVYIVTSQRTKETWTGFSAQAFSGLWPFFKLSAASAVMLCLEAWYYQILVLIAGLLPNPEIALDSLAVCQTILSWVLMISIGFNAAASVRVSNELGGGHPKSAKFSVMVVTLVSFIIAVIFAIIVLALRDVISYAFTDGETVANAVSDLTPLLALSILLNGIQPVLSGVAVGCGWQAFVAYVNVGCYYVVGVPFGCLLAFYFELGAKGIWSGMIAGMTMQTLILLWVTFRTDWNKEVEQSRNRLNKCQHKKKEDLLSN >cds-PLY66237.1 pep primary_assembly:Lsat_Salinas_v7:5:142453753:142455537:1 gene:gene-LSAT_5X62680 transcript:rna-gnl|WGS:NBSK|LSAT_5X62680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDIGNENCVIVAAKNRGIDVLLNDKSNRKTPVVVSFGEKQKFLGSAGVASATIKPKSNISQVKRLIGSEYKNPLVQDDLKLLPFETSEASDGGVLILVQYLNEKHTYRPMQILGTSFAKLKQMAEKNLENHVSSFVTGIPSYFTDLQTRAYLDAAHIAGIHKWICKLRIVLTKLGRRKKFKCSDFAQIFL >cds-PLY87312.1 pep primary_assembly:Lsat_Salinas_v7:4:271369245:271369511:-1 gene:gene-LSAT_4X139600 transcript:rna-gnl|WGS:NBSK|LSAT_4X139600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMEHMQSSFISKLPIVMETIGEKKEQLAAKKQELLEIKRIGGGTEQLTYELGIQWGTLEVEIDLLEHTANVMKKDLIQRGLLVNGKL >cds-PLY76300.1 pep primary_assembly:Lsat_Salinas_v7:7:124657670:124661978:-1 gene:gene-LSAT_7X77061 transcript:rna-gnl|WGS:NBSK|LSAT_7X77061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHNVDIEYEGGGKMSRNGSASDERGMNRQPLLSKNRVNTSSQIAIVGSNICPIESLDYEILENDLFKQDWRSRKKVEIFQYVVLKWTLALLIGVLTGLVAFFNNLGVENIAGFKFLLTSKFMLQHRYYEAFAVFAGINLFLALLAGLLCAYIAPAAAGSGIPEVKAYLNGIDSHSILAPSTLFVKIFGSILGVAAGFVVGKEGPMVHTGACIANLLGQGGSRKYHLTWKWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRSLIGFCRSGKCGLFGEGGLIMFDINGTIPDYNMVDLVAVILIGVIGGILGSLYNYFVDKVVRTYSYINGKGPMIRVFLVGLISLLTSCCAYGLPWFTNCVPCPVGLSVECPTVGRSGSYKFFQCQPGHYNDLASLLLNTNDDAIRSLFGSTNANEFRISTLAIFFLAMYILGIITYGIAIPSGLFIPVILAGSSYGRLVGTLLVSISNLDVGLFALLGAASFLGGTMRMTVSLCVILLELTNNLLMLPLMMLVLLISKSVADNFNKGVYDQIVRMKGLPFLEAHAEPYMRHLVAGDVVSGPLITFSGVEKVSNIVHSLRMTSHNGFPVIDEPPFMEAPELCGVVLRSHLIVLLKGKMFTKQRRLTGTEALQRYHAFDFAKAGLGKGPKMEDLDVTPEEMEMYVDLHPITNTSPYTVVETMSLAKAAVAFRELGLRHLCVVPKTPGRPPVVGILTRHDFMPQHILGLYPGIDKRH >cds-PLY65351.1 pep primary_assembly:Lsat_Salinas_v7:6:39272960:39273686:-1 gene:gene-LSAT_6X30960 transcript:rna-gnl|WGS:NBSK|LSAT_6X30960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKEWQVVYDMITGNNTSGFGYDSVNRCGTVESPEVHKGAEKWRNKSLPHYDDLCIIFRKDRAQGNRAKDCKDISHNENVEEELLQMEDDFNEQSEEISPTPNGQSEETSSASTKKRKRKFDPFIEGISKASTLLGKDHREASAIMSQSLNAGVELQKKTSMVTSEILKIPSMDQKDKFKASRKIMRETEAVLTFWNLQGEERETFVKLMLEE >cds-PLY65093.1 pep primary_assembly:Lsat_Salinas_v7:4:203390967:203397941:1 gene:gene-LSAT_4X115701 transcript:rna-gnl|WGS:NBSK|LSAT_4X115701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G16310) UniProtKB/Swiss-Prot;Acc:F4JLS1] MECDGNMPGSSEGLLEIGLGFDANSDVSKTRVEKSDMEKLGKKKRSSEIKSGFDSDDDEPIGSMFKIKNRRNLKKVKVENDVSEDQEFGGMDDTLATFRKKIKGPKVARAIAPKGSDLNTDLNEPMNESSIGAVKSEDMTAKLVSNTMTKEQIPSEDGVIVREGLKNSGKEKSKKPKNKSTKKKGSGGTNLTENSVDDHLEDSLSVLLQKARKSSKLKQVKSTQPHKDGVDQNPNDSVCELDTTPVEKSQNDKDMVPISVPECSKFSPKSQRLEEDERLPDHSSKDPTGNNGFKDDSNHSVVAKLSHDLSEIQVHNEKKEPSSPIHESLPIVESIDRLDDSQHQIQGASMEEPENVSVSSQKENTSASNGRLSPVSLGECNEETPSIATDQNEASASDNETKENHQTVGRRIVRQAKRHRHDDMAYEGDADWDILIHEQNFLANHQEGGDKGHSIKTKGKQDFFMEAETGGTAAVSVGLKARAAGPVEKIKFKELFKRKGGLQEYIDCRNHILHLWNKDVTRILPLSECGISNEHPQASLVKDIYSFLDQYGYINFGVASKKEMSDANVKHNFKLSGDDNSGRKTLDDGVSFILGSTTKTDDNLTDENDPKTELLEGVFRKDKVADVSSMVAECKPHSPSSNCHAGQPPHNLVIDQKEPSVCMQCDSETKSKKIIVIGGGPAGLTAARHLQRQGCHVTILEARGRIGGRVFTDYSSLSVPVDLGASIITGVEADVTSQRRPDPSSLICAQLGLELTVLNSDCPLYDTVTGQKVPTDLDEALEAEYNGLLDDMSLVVAQKGNQAMQMSLEEGLELGLKIRRSEDNKNSNKDEILNPLERRVMDWHLAHLEYGCAASLQDVSLPYWNQDDIYGGFGGAHCMIKGGYGAIVDSLKDGLHIHLNHMVTDVCYQTEKDGKDSSQKKVKVLTQNGKEFSGDAVLITIPLGCLKRETINFSPKLPDWKHSSIQKLGFGVLNKVILEFPEVFWDDSVDYFGATAEETDQRGWCFMFWNVKKTVNAPVLIALVVGKAAVNRQDLTPSDHVTHALATLRKLFGETKVHNPVASVVTDWGRDPFSYGAYSYVAVGASGEDYDTLGKPINNCLFFAGEATCKEHPDTVGGAMMSGMREAVRIIDLLTTGNDFVSEIEAIAAAKRHSDSEKSEVRDIIRKLDAIDLTNVHKNETKEGLLQNMFLNAKSRAGRLHLAKELLSLPSDLLKSFAGTKEGLGILNSWILDSMGKNGTQLLRHCVRLLVLVSTDLLAVRLSGIGKTVKEKVCVHTSRDIRAIASQLVSVWVEIFRKEKASNGGLKLLRQSSAADASRTKSLASGKPPLRAHHTSSENRAVKGGGSSNNPLSVNPNSRKGKPESKPSSSQGSGGRQNCKEEDDKDFPMSEEEQAAFDAAEAARAAAIAAAEAYASSGVKCNTPLQLPKIPSFHKFARREQYAQMDDSDLRKKLAGGNVGRQDSISEIDSRNCRVRDWSVDFSGGGVNLPDTRSHHSNEVSSYREHSGESTGVDSSNIFTKAWVDSAGSEGIKDHNAIERWQSQAAAAVDSEFYHRGSFVMDEEDSNSNMKLGMANESSASQVTSVNRELVGNQPRGVDNIKQAVVDYVASLLMPLYKARKIDKDGYKSIMKKTATKVMEQTTDAKKAMAVFEFLDFKRKNKIRAFVDKLIERHMAMKADTKSGCS >cds-PLY63187.1 pep primary_assembly:Lsat_Salinas_v7:6:86406828:86412845:1 gene:gene-LSAT_6X60480 transcript:rna-gnl|WGS:NBSK|LSAT_6X60480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLERFLFVLVLFIALEISECGVIGGGGRRQPSEYWKYGGAAVANYVTITVDQSGRGNYTTIQSAIDAVPSDNMEWICVYVKTGTYNEQIKIPNDKPKIYLKGDGKRKTFVVWSSHDSIETDATFTSEADEIVVKSITFINSYNYPLGSNNNPIVPALAAKISGDKSAFYRCGFMGVQDTLWDVSGRHYYKLCSIRGAVDFIMGSGQSIYERCTLSVIAGFLSPQPGFITAQSREEASETNGFVFKDCNVVGNGTAYLGRPWRGFARVLFYNSTLSDIVVPQGWLSVDFLGATVNDLVFAEEECRGGGADMSGRAGWERRLSKEEGKAKASQSVKGIHEGSNKDFEDEGLQDVMLVQDLKGDAHATELLASGYSEDESVSCTI >cds-PLY78943.1 pep primary_assembly:Lsat_Salinas_v7:8:3047368:3050925:1 gene:gene-LSAT_8X2401 transcript:rna-gnl|WGS:NBSK|LSAT_8X2401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGGKRWCFGNQSSGDDVADFDPILLVSGIGGTILNSKLKSCFGLTTRIWVRILLADMEFRKRAWSLYNPDTGYTEALDDSSDIVVPQDDYGLYAIDILDPSLLIKCLQVTDVYYFHDMIDMLIKYGYKKGTTLFGYGYDFRQSNRTDQAMDGLKEKLETAYKASGGRKVNLISHSMGGLLVSCFISLHSDVFSKYVNKWISIATPFQGAPGYINDSLLTGLQFVEGLESYFFVSRWSMHQLIEYNKKTIPLPFNLSIYKWAANTRKMLNSVQLPEGIDFYNIYGTSLDTPFDVCYGSETDPINDPSEICHSLPEYSYVDGDATVPAESAMADGFPAIERVGIPCAHRTLLRDETVFEYIRKWLGIQEQSTTRVKTSKVVDVDLA >cds-PLY83872.1 pep primary_assembly:Lsat_Salinas_v7:3:53043045:53044990:1 gene:gene-LSAT_3X41461 transcript:rna-gnl|WGS:NBSK|LSAT_3X41461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYKNTSTGSTSFIINPPIPHLRLNIINLCTTSELLQTLMSSGSNASLSPLQLILEHELNINEWSSIATRSMCMGGFYLWFVNLYTLPDQKWILVLRLHTSVCDRTTAVSLLKELSEGVGEREGGVYKDEGNMGIEELIPSGKAKKTMWAHGKDMLTYSVNSFRLSNLKFKDVKGPLHSEVVRLKMSTEETRMILAGCKSRGIKLCGVLAAVTLLSVYSSKRRPNNNSRKKYGVIYLNDCRSYLQPPLLRHNLGFYHSAISTIQEVKRGENLWDLATRSYTSFASLKNNNKHFTDMADLNFLMSKAVDNPSLTPKSSLRTALLTVFEDTVIETTTEMRQDLFLEDYVGCASVHGVGPSIAIFDTVVDGQLDCVFVYPSPLHSREQMQELIANIKISLVDGIKMEETLEI >cds-PLY97936.1 pep primary_assembly:Lsat_Salinas_v7:3:19072819:19074858:1 gene:gene-LSAT_3X14780 transcript:rna-gnl|WGS:NBSK|LSAT_3X14780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNNTSNAKDVTTAGKVITCKAAVAWGPGQPLVVEEILVDPPQKMEVRIKIHFTSVCHTDLGAWQGKNEAQRVYPRILGHEASGVVESVGEGVEDMKVGDHVVPIFNGECGDCVFCKSKSSNLCKKFRVDPLKSVMTNDGKTRFWTKQGEPIYHFLNTSTFSEYTVVDSACVVKIDPNAPLKTMTLLSCGVTTGLGAAWNTANVHAGSTVAVFGLGAVGLAAVEGARSRGASRIIGIDINSEKLARAETMGVTDIINPLELKKPVHEEIREISDGGVDFSFECAGNLDVLREAFLSTHEGWGLTVLLGIHTTPKTLPLHPMELFTGRRIIGSVFGDFKGKTQLPLFAKQCMHGAVKLDEFITHELPFSEINQAFQLLMDGKSLRCVLHL >cds-PLY66288.1 pep primary_assembly:Lsat_Salinas_v7:8:218391037:218396544:-1 gene:gene-LSAT_8X135900 transcript:rna-gnl|WGS:NBSK|LSAT_8X135900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKSSGYKMIGSNGLFFPILGFASIIAFIFLSFGDLLSVKYKNEISLSFVERNGTNFVVDDQLFYINGWNSYWFMDHAVHDHSRARIRTMLQAAAKMGLTVCRTWAFNDGDYNALQISPGQFDERVFQALDYVIAEAREVGIRLLLSLVNNLDAYGGKTQYVKWAWGEGVGLSPSNDSFFYDPSIRIYFKNYVKTILTRKNTITGIEYRDDPVIFGWELINEPRCITDRSGDTLQDWIEEMSSFIKSIDNKHLLTVGLEGFYGPKSPKRKTQNPEFWAADMGVDFVRNSAPSTIDFASVHIYPDQWFKNKSLEGKLKFVADWMHSHIEDGDKILKKPVMFTEFGLSDLNKGFDPSQRDEFYKIVFDVIYESAKKKGAGGGSFAWQFLVEGMEDFNDDFGIVPWKRASTYRIITRHTCRLAKIHGVGMMITRNMKNICKGKW >cds-PLY84283.1 pep primary_assembly:Lsat_Salinas_v7:1:45380956:45389617:1 gene:gene-LSAT_1X39381 transcript:rna-gnl|WGS:NBSK|LSAT_1X39381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKIMKLNISLRSAAPPHSFLICSSSSSLKVSCFNNIKKTTWNNEMRAIPARDRVIDIGKHKGKMLGMLSSEYLRWMSKNLITGDSEKWGKLAEDVLEDEVYADRMEWEVAERLLTGEGMVGSSGSGVAGELKELSKRFGWDYDDKVGWSKVDFRLLGTSKGGRLPRVQDKRQQVESNFKKPSGKGSSGGGGVGREKRRERAAKRRENQTAVAGKRNTTEKEETDDDNDGRKVEEISRSRFPGRQSLINKGSDATACMGAKENASPISEHEETRLHAISENEETGSLHN >cds-PLY64125.1 pep primary_assembly:Lsat_Salinas_v7:1:2162964:2167127:-1 gene:gene-LSAT_1X1860 transcript:rna-gnl|WGS:NBSK|LSAT_1X1860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT1G09700) UniProtKB/Swiss-Prot;Acc:O04492] MKLAKVEVEIASYGKNCPFSLGMRYMDEQLQSVSNCYVFKSRLQEYAQKAGLTTPIYHTIKEGPSHQPLFRSSVVLNDESYYSLTGFLNRKAAEQSAAEVALIEIAKSGATDKSVSHPVHETGLCKNLLQEYAQKMNYAIPSYTCTKDEKKGRESPFYCTVDIGGIKYIGTAAKTKKEAELKAAKIALLAIKMSGPESNWQSDPVDTDTDTLYTVVPTKRKEPEQVVVETEGKVKMKKRKKSKFVKQRRKKKARMPGGDDDDDGVVKTDDDPCSKNEVKVKDLESNCESVLVVNEEVKELKAIGESVFVVNEGVKDLQSNGENDLVACDNMS >cds-PLY93494.1 pep primary_assembly:Lsat_Salinas_v7:9:87136916:87140949:1 gene:gene-LSAT_9X68620 transcript:rna-gnl|WGS:NBSK|LSAT_9X68620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDGDYERKKERALEVTPTWAVAVVVFVILAISIVLEYILHLIGHWLHNKQKKALGEALEKIKAELMILGFISLLLTVGQQPISDICIPSKVGRTWHPCNKNTADNYYYDPCLKKGKVQMVSFYAIHQLHIFIFVLAVVHVLYCLLTLILGKLKMRKWRAWEDETKTLEYQYHHDPERFRFARETTFGRRHLRFWSNSTVLLWIGCFFRQFFRSVPKVDYLTLRHGFINTHLPHDSQQQFDFHKYISRSLEEDFKVVVGISPVVWFFAVLLLLTNTHSWKAYLWLPFIPLIIILIIGTKLQVIITQMGRRTHEMADVVKGTPMVQPGDDLFWFGRPKLVLLLINFVLFQNAFQLAYFLWSWNKFGLDSCFHERPEDTVIRLTMAVVIEVLCSYVTLPLYALVTQMGSRIKPTIFSEDVAKALKTWHHTAKKNIKHGHSASNSPFSSRPGTPLHGSTSPMHLLHRYPENSFYSPSNSPRAGSHFEHEGWANESSHGHEQKYEDADNFRRDEIHDIEEGEIEEQITSSSTQLPSGPRPDRHQHEADISEFTFK >cds-PLY91106.1 pep primary_assembly:Lsat_Salinas_v7:MU039484.1:193736:194084:-1 gene:gene-LSAT_0X6280 transcript:rna-gnl|WGS:NBSK|LSAT_0X6280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPFSSLLLQQWNGTYLHYHKVDPKQTYYLSMEFLQRRALTNAIGNLDIQHAFSSALNKLGHELEEITEQEKDMALGNGGLRKCLHHPRKS >cds-PLY61771.1 pep primary_assembly:Lsat_Salinas_v7:8:76585208:76585399:1 gene:gene-LSAT_8X55121 transcript:rna-gnl|WGS:NBSK|LSAT_8X55121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEASLLPRGSLWRGKKMIGKEAVFVILGLKRVEDDEEKLEIKKDQDVVPLCSFKPTKHDNGM >cds-PLY72233.1 pep primary_assembly:Lsat_Salinas_v7:7:57070893:57071461:1 gene:gene-LSAT_7X41320 transcript:rna-gnl|WGS:NBSK|LSAT_7X41320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSCNLLSIARCFNGCRDHTQVSGIGTRVWNLSDKPVELQIRVGSILKKVHTLKPGSSKRLKSKKIYKAYVPGEGGRGGRDGGGGTRSFLYYYDETCHPYIWVHDTSGDFSRMVRQQYISLEDLRDCSEIRIFRDHIKGSISIRKKPRQDFC >cds-PLY67743.1 pep primary_assembly:Lsat_Salinas_v7:9:167409590:167410552:-1 gene:gene-LSAT_9X103941 transcript:rna-gnl|WGS:NBSK|LSAT_9X103941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENMSEYFKKGTPKTYFIKLNNIFSIFIFIFIFSSFVSLCSYTHHFSSFDRHYIFLLCNGILVFLIMNFDPNHVSSPKEIQSVVTSEINHPPLLIPSDMEPIAAVIKEEEEQQQIKNEDNEIEQVENEVFVFGDHNSLSVMHDQSEYQKAENLIAFIDEQETVESKEAAETKELNKKCAEFITKMRERMRLESSSRHTLVLQT >cds-PLY89960.1 pep primary_assembly:Lsat_Salinas_v7:2:192251846:192252082:-1 gene:gene-LSAT_2X114520 transcript:rna-gnl|WGS:NBSK|LSAT_2X114520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDYYVDDVSEEEKEDVSKSDGVWESDSSASRVTCMGSINGSSSIVKEAVNIEMPSIIHRIPIPSGNTAKAIDVES >cds-PLY75523.1 pep primary_assembly:Lsat_Salinas_v7:9:32408067:32409774:-1 gene:gene-LSAT_9X29201 transcript:rna-gnl|WGS:NBSK|LSAT_9X29201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFTAKLTKRASFGGSGLPPAAGGAGEGWGMGFFLVFFPQEHHDHHQHQDFNSSSPFKSPTINALLKRTNSTHILAKAQSTISICALLIFLTLLLFTLSTFEPNNNLIRSSHHYRRHLSQTHPSKNPTSDSHALQRLGTLYSRGTSHMNDLVLCHVTESVTFTELKTFLRAFHRSGLHTKSDLLFIFPSVTTPDSSDSVIREENKLFLKLIRRYKAELNNGSNLSDFPASFDVTQFVKSGKKDSEKGEPIWGRKIKSNSNLNSSNGGGTELNRMSYGSVVGFGVGELDPENSLSGFLDHVPMSLRRWASYPMILGRLRRNFKHVMLVDVKEVLLLGDPLVRVRNRTPESVYLSSTPTPTPTKHNHKISDKAHEKTINPAVIMGGARGLRRFSAAMLMEIVRATTQQLHNKKKTPITESSLLSRLATNEFLQKTIHLVASAESIPEASSLSGVSIANNTVVRRGNNNIDIDVTNHICSYSIEASVYSECGKVQPF >cds-PLY73288.1 pep primary_assembly:Lsat_Salinas_v7:5:132513757:132513957:-1 gene:gene-LSAT_5X57580 transcript:rna-gnl|WGS:NBSK|LSAT_5X57580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFLTEFKLISNFNHENIISFIGYPYEGNEMIMVMIMVHMYSIYGSIDHFLERWKNLILVIVHPTP >cds-PLY97576.1 pep primary_assembly:Lsat_Salinas_v7:5:238607023:238607686:1 gene:gene-LSAT_5X113240 transcript:rna-gnl|WGS:NBSK|LSAT_5X113240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLFDEAIGEQGEQIHINIVGSSKTKVNRKNSSRSEPGKDNIASGHSAVANKGEQETINIDSTNVKRVKMKYYARTTAEKDKKNFVEARPEKKKTKKFEWIICGEYE >cds-PLY74208.1 pep primary_assembly:Lsat_Salinas_v7:5:145569319:145569845:1 gene:gene-LSAT_5X65020 transcript:rna-gnl|WGS:NBSK|LSAT_5X65020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNREKHHRCSIRSKKKKGGTNRGRENCSFVCRHQPHKEGGCLVVFDGNKWRGGGRGLPWPTASQGKKENSVGVWDLFFSSKQKGKVRWGSLEAGASCKDGDWRSLGSTSFLFWFDHLNSKNRGLIDGGTSASVGLFWLMFYRRR >cds-PLY76335.1 pep primary_assembly:Lsat_Salinas_v7:5:224796001:224796781:-1 gene:gene-LSAT_5X109301 transcript:rna-gnl|WGS:NBSK|LSAT_5X109301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 9 [Source:Projected from Arabidopsis thaliana (AT1G60870) UniProtKB/TrEMBL;Acc:Q9C529] MEALISQFTFLSDQSLHDKNFDPSTIEDLMKLFELESYKSWAAMELEHETEVAAAEDSMKEAEDYLDSAMESAMEEFRRFEEEMEREANKELQGLLSIGESARRLGKSMEKAANFASNKYIEAALNSATSSMRSAMKVVSSNSKKVHPS >cds-PLY71525.1 pep primary_assembly:Lsat_Salinas_v7:7:28814553:28814957:-1 gene:gene-LSAT_7X22501 transcript:rna-gnl|WGS:NBSK|LSAT_7X22501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSGRAEHSWSPNYARYMDENHSNGVTNEDIAISLLQTQTELSLIREDFQDQLRELRLAVNRHLDAMNLEVDDVRAGQMDISHMVADLKNHFVSLQGAYAKMVFKDNKRKKLMSCVGIFGVVCASVVTYLVFK >cds-PLY94004.1 pep primary_assembly:Lsat_Salinas_v7:3:179626625:179629343:1 gene:gene-LSAT_3X107681 transcript:rna-gnl|WGS:NBSK|LSAT_3X107681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEPHPPSNLPQEILFFQILPRLPVKALPNVMCVCKKWYFFLNSGAFATTYHHHVTANDHHENHHKYIVLAATNQSPYTSTIQKNFFRFDCETPEDGLTGNLRLPFYVPINYKNVSILTSLHGLLCVGISELECSPKYYALVLWNPLTGDNKKLSTKGSRDECYDIFDGIFGLYYISSDDDYRLLRVKRYPSACIYIYSLKSDSWRKVRSTEDFRQRASNWASLVGYYPEQPKHILLNEKLYFLKQVDRGEGTFIHSYSVMRFETKTEKFTEIVMPSFGNQMTSSLDFMVLKGCIHFCVAILIDDTSYMENQRCYEMIELWRMNGDGDWTKVLTYGPMSFFLWSQSLLHVMRNGNWLIQNEVDVYVLDMKKHTKEMVFTCNPIYAQRMSKEAYDRMGSKNITPRGKYIETTVSPNKYSLYARKWKFKINSLEWCTVGSWAEYEAYERVMIEKHGNDVTQNPEETVELRVQSQGGRSSDLKFATTGTPLGGVPCPPLSTKSHKNRYGNWKVNKKNEKICKTNLQRK >cds-PLY84863.1 pep primary_assembly:Lsat_Salinas_v7:8:171366016:171366813:1 gene:gene-LSAT_8X111680 transcript:rna-gnl|WGS:NBSK|LSAT_8X111680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIESQRHSYHLVDPSPWPISGSLGALATTVGGVMYMHSFQGGATLLSLGLIFILYTMFVWWRDVLRESTLEGHHTKVVQLGPRYGFILFIVSEVMFLFALFRASSHSSLAPTVEIGGIWPPKGIAVLDPREIPFLNTLIPLSSGAAVTWAHHAILAGKEKRAVYALVATVSLALVFTAFQGMEYYQAPPTISDSIYGSTFFLATGFHGFHVIIGTLFSIICGIRQYLGHLTKEHHVGFEAAAWYWHFVDVVRLFPFVSIYWWGGI >cds-PLY77896.1 pep primary_assembly:Lsat_Salinas_v7:1:25529890:25532118:1 gene:gene-LSAT_1X21620 transcript:rna-gnl|WGS:NBSK|LSAT_1X21620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLPAFIVASTQPQMSQTYIIHMDHSSKPDHFSDHESWHQHTVNSVSLTCREDKEPFLYSYTHVMHGFSAKLTPCQLTEVEKSPAHVATYKESFGKLFTTHSPEFLGLKRSSGIWPTASYGKDVIIGVIDTGIWPESESFSDKGMSKVPARWKGKCENGTAFIPSLCNKKLIGARSFSKGLQAAGINISTEYDFDSARDFMGHGTHTSSTAAGSYVFGASHFGYAKGAARGIAPSAHVAMYKVLWSSDTFASAATDILAGMDQAISDGVDIMSISLGLGQTPYYEDVIAIASLSAIEKGIVVVCAAGNEGPSSSSIYNGAPWIMTVGAGTIDRSYLATLELGNGLKFEGTSYFPQRVSITNTLLYFGRDDPKKSGCVSLSRTEVMEKVVVCDTTNLTDLYGQMEVVSNAGAYGAIFLTESLFLDPEDYSIPGILLPTRYGNEIMEYAWRNTSIVKSMQFVSTKTGSGPAPEVAYFSSRGPDPISPHILKPDILAPGVDVLAAVRPDVPFMDAGGYDLLTDYALYSGTSMAAPHVAGVAALVKAVHRDWSPAAIRSAIMTTATKGDNKLGTIHDQWNGLTATPLGFGAGHVNPNGAMDPGLIYDLDTQDYIQFLCDLGYNETQMGAVIRRSRWGCHINHTDLNYPSFIASFNQTTSLSEKQFTRTLTNVGVPGSTYWASLEIPSGMIVSVEPNTIKFASKYQKQKFVLSIKVDKNAPKVNYGYLKWIDDNNHTVSSPIVVTSY >cds-PLY79422.1 pep primary_assembly:Lsat_Salinas_v7:3:78649298:78649540:-1 gene:gene-LSAT_3X60820 transcript:rna-gnl|WGS:NBSK|LSAT_3X60820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGLIDNRKQRRHYPQKPLDKEGGDYLSITSFDGGVVYDDILKATNNFDEAYSIGTGGYGTMYKAEIQPNNVVAVKKLHS >cds-PLY92399.1 pep primary_assembly:Lsat_Salinas_v7:2:155234857:155235144:-1 gene:gene-LSAT_2X81000 transcript:rna-gnl|WGS:NBSK|LSAT_2X81000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAMVALPLLVVLLINGATQTMAVSCDPTQLVACLNPIVKGTPPPSRCCKKLKEQKPCLCRYVKDPSFGKFVNSPNARKVAATCKVPFPKCKS >cds-PLY71324.1 pep primary_assembly:Lsat_Salinas_v7:7:185238691:185240224:-1 gene:gene-LSAT_7X110880 transcript:rna-gnl|WGS:NBSK|LSAT_7X110880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVIPNLSPPTFTRLHLHQSSQKPPSSSSSHSATHHNYNGVSVQSSVETPPVRVRVTPPSDPRQDFYVNLGLAVRTLRHDLPLLFSTDLNYEIYRDDITFVDPLNKFTGIENYKLIFRALRFHGRILFKDISLEIFRVWQPSENLILIRWNLKGTPRVPWEAKGEFQGTSRYKLDRNGKIYEHKVDNLAFNFPKQLKPATSVLDFVSVCPPATPNPTFSWATTTLDSTYSSSSWLDFYRAVKNTLDPSYTYDYDYSGLLDYQLVATCL >cds-PLY63301.1 pep primary_assembly:Lsat_Salinas_v7:3:89569409:89569726:-1 gene:gene-LSAT_3X67661 transcript:rna-gnl|WGS:NBSK|LSAT_3X67661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQVKKPTDEQHEDKPKIDPEVNETSGFKGKEKLVDDDDEIEDEFYEGEKVTRKSRDKVLDDLMTLRQELEAKEAEEEVAKVTLVTRHTLFSYMDIRANSERSNG >cds-PLY99688.1 pep primary_assembly:Lsat_Salinas_v7:9:57064047:57067395:1 gene:gene-LSAT_9X48560 transcript:rna-gnl|WGS:NBSK|LSAT_9X48560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGIEEILCELGGGCGGAGGRESEQQGGLPPGFRFHPTDEELITFYLASKVFNSSFCGVDIAEVDLNRCEPWELPEVAKMGEREWYFYSLRDRKYPTGLRTNRATGAGYWKATGKDREVYSSGSGRTLLGMKKTLVFYKGRAPHGEKTKWVMHEYRLDGDFSYRHTCKDEWVICRIFHKLGEKKANGGQNCLHFKHQRSTPEPSPSTPTVTEITKNPFHEGTTPLILHHTNPPSLQNPFLFGDNHQEIHGQDLKSLLNLPSFPNLQNTPHPTLVKPLTLPNQPTTPLAKQCKTEAHFSIAQLTDANVHRWGMDFIPPPPLFPFEQPDLGPEMDYYFTGGGSGSGSGSVSSSDTRFVVEGTSDRNNEMVSFDRVGFNQLLLPSI >cds-PLY73416.1 pep primary_assembly:Lsat_Salinas_v7:1:40260041:40260196:1 gene:gene-LSAT_1X34700 transcript:rna-gnl|WGS:NBSK|LSAT_1X34700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSYIKIGLLQVSILDTNYVSKVQITRELKTMLTFSGSILIGKYRFVSLRL >cds-PLY88315.1 pep primary_assembly:Lsat_Salinas_v7:3:85980361:86006340:1 gene:gene-LSAT_3X65581 transcript:rna-gnl|WGS:NBSK|LSAT_3X65581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILSPNPPFSCGIMELIRTLNDLFISTRKDTVDVERDRLSSLPDRLIHKILSFINIKEAISTSLLSSRWRFIWTSMPYLNFENLNNERHFSNFISNVLLHRDNQVNYLVNLVLGRTVRDDESVTRILSCKFSLSLQQLSVTRFPGGNIVECPYSLIATPKWDLPALTTLHLHQVELSDYDDIGLFSKCTNLKNLSLNWCRMKETKVLNICHPQLSDLTLVSTPPDMASEEVVNVVAPQLKNLTIIRCEGEHLISAPGLTSLVIEGFQPWQVSTPSGFHALEKVDLFMYDPHKADAHTIVYLLQQLNSVKFLTLNLGILKSLSSSMKLVPHKACVFANTKILKFTIKPVVKVYLEVQAQEKVTTRTEINDNDDCSIFPMVSFEEITVMENMASAQVFVKHLGILLEEVKQNRNSDDYKAQRDVHSKPYVEMHWAWTLQWNLGAIMGVFKHKKIKAKLDNFLMMAQITKKYINRRDCTKSMNHPIHGWLDEMRGLFQHTQNLITQLSASKKAVMLPIFLSLCEDAAILTVNILGWINTIK >cds-PLY99470.1 pep primary_assembly:Lsat_Salinas_v7:5:333062672:333066939:1 gene:gene-LSAT_5X186060 transcript:rna-gnl|WGS:NBSK|LSAT_5X186060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLKKFQESTDGETATMKPESRSVGSSPKNSSGQLSGLGSKSKEFGNMNNKEMFLRADKIDFKSWDVQLDKHLSRVWSRDREKEAAHTKKEEWEIDLAKLDIRNVIAHGTYGTVYRGVYDGQDVAVKVLDWGEDGLATAAETANLRTSFRQEVAVWHKLDHPNVTKFVGASMGTSDLKIPTNNTSNAAQNSLPSRACCVVVEYLPGGTLKKYLIRNSRKKLSFKIVVQLALDLSRGLSYLHTKKIVHRDVKTENMLLDLNRTLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYADLSFAEVSSAVVRQNLRPDIPKCCPSAFASILKKCWDANPDKRPEMDEVVRLLEAIDTSKGGGMIPEDQASGCLCFAKTRGP >cds-PLY99185.1 pep primary_assembly:Lsat_Salinas_v7:6:129761751:129762993:1 gene:gene-LSAT_6X78980 transcript:rna-gnl|WGS:NBSK|LSAT_6X78980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFHHPRRFFFILSIITLAFSWFVKPSEAVYHGYVPSPWTLAHATFYGDESASETMGGACGYGNLITNGYGTDTAALSTTIFSEGYACGQCYQIRCVQSPWCYKGYTTVTATNLCPPNWSQDSNNGGWCNPPRTHFDMAKPAFMKIAQWKAGIVPVMYRRVPCNAIRKGGIRFSFQGNGYWLVGFVMNVAGAGDIKQMWVKGTKTGWISMSHNWGASYQAFATLKGQALSFRLTSYTTKQTITAYNVAPANWNLGLTYQGNVNFH >cds-PLY63593.1 pep primary_assembly:Lsat_Salinas_v7:4:135180612:135183047:1 gene:gene-LSAT_4X84020 transcript:rna-gnl|WGS:NBSK|LSAT_4X84020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein 4 [Source:Projected from Arabidopsis thaliana (AT4G25050) UniProtKB/TrEMBL;Acc:F4JRT7] MAAVPITCVKFTTSSMHTNQIIAKPSIHKLVSLGRVTSGVPCLRLRASRFQISCAAKPETVTKVCEIVKTQLALSADSVVEPDSKFSALGADSLDTVEIVMTLEEEFGISVEEENSQNITTVQEAADLIEKLVEKKNATA >cds-PLY64605.1 pep primary_assembly:Lsat_Salinas_v7:6:33903999:33908405:-1 gene:gene-LSAT_6X25640 transcript:rna-gnl|WGS:NBSK|LSAT_6X25640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RETICULATA-RELATED 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12470) UniProtKB/Swiss-Prot;Acc:Q94CJ5] MATAAAMSAMGFTSSTSRDLSKFTPYLPIQSFQQPISLSARTTGALRHSFSLKHHHNREKFPILLAFSGDGGDGIGNGNSSGGGGGDGESGGSGSGGDGNEKEALLILAEAGRSLDSIPKDLAAAIGAGKIPGSVVHRFLELEKSPFLRWLLQFGGFKERLLADDLFLTKVGIECGVGMFTKTAAEYEKRKENFTKELEIVFADVVMAVIADFMLVFLPAPTVSLRPPISMNAGSIAKFFYNCPDNAFQTALGGASYSLLQRLGAIARNGTKLFAVGTASSFVGTIMTNTLINAKKAVQKSDDDELENIPVLSTSVGYGVYMAISSNLRYQLLAGVFEQRLLEPLLHQHKLMLSAACFIVRTGNTYLGSLLWVDYARLVGLQKAHDVE >cds-PLY96923.1 pep primary_assembly:Lsat_Salinas_v7:4:8870574:8875270:1 gene:gene-LSAT_4X7061 transcript:rna-gnl|WGS:NBSK|LSAT_4X7061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPFSSGTRLRDMIRAIRACKTAAEERAVVRKECASIRAAVSDNDNDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIAAPGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHTNQYIVGLALCALGNISSAEMARDLAPEVERLLQFRDPNVRKKAALCSIRIIKKVPDLAENFVNPVASLLKEKHHGVLLTAIQLCADLCIVSEEALEYFRKKCTEGIVKVLKDAVNSPYAPEYDISGISDPFLQIRLLRILRILGHGDADASDFMNDVLAQVATKTESNKNAGNAILYECVETIMSIEDSGGLRVLAINILGRFLSNRDNNIRYVALNMLMKAISIDGQAVQRHRATILECVKDSDASIRKRALELVYLLVNENNVKPLTKELIDYLVVSDQDFKGDLTAKICSIVEKLSPEKIWYIDQMLKVLCEAGNYVKDEVWHALIVVITNSSNLHGYTVRSLYRAIQTSCDQEAVVRVAVWCIGEYGDLLVNNVGMLDIEEPITVTESDAIDVIEAAIKQHTSDLTTRAMCLMALLKLSSRFPSSSQRIKDIVNQSKGSLLLELQQRSIEFDSIIGKHQNLRYENEMMIMYVCMYVCLYDNIM >cds-PLY76318.1 pep primary_assembly:Lsat_Salinas_v7:5:296283709:296285119:-1 gene:gene-LSAT_5X158260 transcript:rna-gnl|WGS:NBSK|LSAT_5X158260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTSRREGKEKMLALTPLFSTTYGWPSEDLIQKNVELDCNNISLEVETNTYDPFLDFHRYDDIQHDLLPVENSISAGGLVNGDSTDPFMVVKKLNHNASERHRRKRVNDLYGFLRSLLPISSDQKKKVSIPGTVSRAVKYIPELKKEVETLIHKKEKILAYSSSEANLRQEHVATKNKSGKDATIETKSWVVSCVRILGVKEAVIQLISATDDMSKGGDIGLLSDVLEYLEQDENGFVLLNATSFRCSGDGMSLSTLHLQVQGDNRIDFERLKEKLSSFHHQSDRDLR >cds-PLY69437.1 pep primary_assembly:Lsat_Salinas_v7:1:64284694:64290589:1 gene:gene-LSAT_1X54721 transcript:rna-gnl|WGS:NBSK|LSAT_1X54721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNTKLHQQRRGSLIPLSPTQMLWSSENGTTCIKDDKGVNVQVILRCRPFSEDDVKAKTPVVVTCNEDKQEVIVTQNIGNKQTDKIFGFDKVFGPSSKQKDLYDQVVDPIVKEALEGYNWTIFAYGQTGTGKTYTMEGEGGKSKNGEFHEDVGVIPRAVEQLFDTLEAQKAEYSMKVTYIELYNEEITDLLATDEKLKKHISLMEDGKGAVFMRGLEEELVNSADEIHQILQKGSARKHKADTLINTQSNRSHSLFTITIQIKEISSIGEEVIKCGKLNLVDLAGSENILRSGAREGRAREAGEINKSLLTLGRVINALVDHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATVSSSIHSLEETQNTLDYAYKAKSIKNRPEVNHKVTKSASVKELYTEIKSLKQELHATREKNGIYIPHDRYMSEEAAKKATSEKLELKSKELIDLQELLIHQQNLTSELSQKLENTERELKQSKQDVSDLKDKLKQANETTKEKEYFILNLLGSEKTLTERAVELHSELENATSEVSSLFAKIEHKNHIEEGNKAVIKSFQKLLAQHLEVLHKTIVDSVTHQEQQLNAIEEHMKSFVSEHNSAAEGLQIRVEKINDVFAGGIKSLVELATEFSGNSEKTLGNINSEVVKHSSALAELIREASVKVGDILDDLHGNLDDQEQSIAAIWQKQHESQLRAYQTTQSASSITVKFFKTLSTDILSVIQMLEEAKGMYDQKLDEFSKKYEEYADDENKQLLDKMAELLTNSNAKKKQMVQTEVDGIRSYIGSKTYTLHGKMSNIHSLTSSTDDEYASFLGKTEKNYIEDKNTTQIGKDGFISIFQNWTTKAKMGNEGWSQVQESINSLQKMHLESFDSKIKDALETNQAIHNRLSSVGSTTLEEVHSQSLTSTEYFLKLNEEENEGIDSLTRNSRDAMKDMETAHSSKIIEITKDTEKCLINEYMVDDSFFSTPKFYKLPSKINTELNTPPFEVLLDSFQKVQESGDANGAFLGIINGG >cds-PLY90119.1 pep primary_assembly:Lsat_Salinas_v7:7:13788284:13790230:1 gene:gene-LSAT_7X10860 transcript:rna-gnl|WGS:NBSK|LSAT_7X10860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Uric acid degradation bifunctional protein TTL [Source:Projected from Arabidopsis thaliana (AT5G58220) UniProtKB/Swiss-Prot;Acc:Q9LVM5] MAVGLGVNDFIACCGSTKFAEQMVADGPFDTYDKAVVAAKNIWFNKVDVHGWLEAFAAHPQIGQNPSSVANKSSTSVQWSKGEQSTALATATDSSLQELYEWNSQYKQKFGFVFLICASGRSTPEILAELKRRFSNRPIVEFEIAAQEQMKITELRLQKLFSNVDVTKAEEGRVTILGGHLTAPSIPTRVRPPITTHVLDIARGCPAGGIEVLLEMYTSQKSGPVFGQSGDDMWTFQGSSATDKDGRSGQLMKIVNELTPGVYRISFNTGKYNPDGFFPFVSIVFEVKASQKMEHFHVPLLLSPFSFSTYRGS >cds-PLY68449.1 pep primary_assembly:Lsat_Salinas_v7:2:214678154:214679654:1 gene:gene-LSAT_2X136240 transcript:rna-gnl|WGS:NBSK|LSAT_2X136240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active7 [Source:Projected from Arabidopsis thaliana (AT5G24314) TAIR;Acc:AT5G24314] MAMAIATKSFGFTDLQSLYSSKLELSQAHTRRSNLSIQSKGAPDGGGGRRRIWRRRKLTKKDEMIRYAQERTPFVEEQVRDIWETGKLVGYDIERLKLWEDNVFVFVRNLAAEANELVENNKDEYGGKKKAILHAISNRMNEMGFYRPEAYMRTDPFKHITKADTDTHQQDD >cds-PLY87711.1 pep primary_assembly:Lsat_Salinas_v7:1:5397726:5401275:1 gene:gene-LSAT_1X4221 transcript:rna-gnl|WGS:NBSK|LSAT_1X4221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSSSLRSPYTLLFNLSSQPQKKELRKSSSSVSVSAQDQPKLINEVRKGIEKHKSIDKIKQRLKDEYTRYLSDESISRYLRARNWNVKKAEKMLETSLIWRMNYRPEDIRWDHVAPEAETGKIYRSSFMDKLGRTILVMRPKCQNSKSTRAQIKYLVYCMENAILNLPPEQEQMVWLIDFHGFSMSNVSIKTTKETAHILQDHYPERLGLAILYNPPRFFEPFWRVVKPFLEPKTANKVKFVYSDDPNTKNIMESMFYIDRLESAFGGRNEAKFDLKIYAARMKEDDAKRVALCNGECSSSTPRGRGSTFSEFTATNSDSDSDGGKPKSPEINEEAILAEAQKIEAADGGGRGARIEA >cds-PLY83947.1 pep primary_assembly:Lsat_Salinas_v7:8:36739232:36740433:-1 gene:gene-LSAT_8X29221 transcript:rna-gnl|WGS:NBSK|LSAT_8X29221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQQKAASKPKSKILKFLPRASSSVSFQNPPLYSPNKDKRSSEKTHKSNLGIGFSGPMVSIIPSDNRRKIKNDSTFTLVYEPTSPRVSCMGQVKCKHYRNKFAGADGVTNKVKPPANPTKKVSKTMSFNVLRTHHKEQDQEKMDKVDPVVKSKKKLGIRSLFRGGASNNGRKSDATNNNSSSKTACNLPRAPSMSTMKRFSSGRDAFKNFDWTTQVAPLNSGHRNYFTDDDKDGGSDGEEDEKILIPSSAPVIVRNKGISDDFVRSGVINLEPRKEINLWKRRTMPQPPPLQLHVA >cds-PLY74874.1 pep primary_assembly:Lsat_Salinas_v7:8:105875717:105878894:-1 gene:gene-LSAT_8X72081 transcript:rna-gnl|WGS:NBSK|LSAT_8X72081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEHIEMELQNKKRNFWDAASGFSQKLRKWRQKHKEKKQIEVCNAGADNNRSNLEQSKDPQIQSELPSYVLGRRSCDTEPRFSIDVHRMSVEDPRFSYEEHRASWDGYMIARTIPRLTPMLPIVDDLMLPPVNTRITVSNQQVQRIKEEGSSSGTSAQFNSDTCSSNTESSSSSMKSSSSKTTRFRGDDMKSASNGQETKLVITERELKDWHLSSINASNGSISASTTVKNGHKKEMSNRWRKMSNLWSYKYKPGDKSNKDSINGVSLNQNNDINGVEKEDSNSSGKLVRNSSYVGSRNKSELHHIGEEGGRHSMSDLDSSLLKLHLPPFVKSRKKLLPPMANI >cds-PLY71436.1 pep primary_assembly:Lsat_Salinas_v7:8:42504843:42510016:-1 gene:gene-LSAT_8X33380 transcript:rna-gnl|WGS:NBSK|LSAT_8X33380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPIAISSTDSESSDWDLDLYKALNASQLEDSASSFPNSRGDRFLSLPSSSGINSTGLQGSIQPERKPSQNGHSSHSNHDMEDDQLQILSSFGDSKRILPPSFPQSSSSSRQIVPPRGVGSSQTRETYGKTYPHQWPNHVKAHMEETFNRASNDVMKDNHVSRVLPSYMNPISVPTSQYGAQSDLYRPGVVNEQAAVDERLIYQVALQDLNQKLPEVDLPEGLLSVSLLRHQKIALAWMIQKEKSVACSGGILADDQGLGKTISMIALIQNQKFLSKPKSDESLKAEALNLDDDDGKDTVVVDEENHEVKLITEASTSTQKFHNRKPAAGSLVVCPASVLRQWARELDEKVPDESKLDVLIYHGGNRTKDPVELAKYDVVLTTYAIVAKEVPTKVTDEEDDDDQRTGDRFGISSSFANRKRKGVNGGKKKKGRKGIDGSIIDCSGTLAKVNWFRVILDEAQTIKNARTQMSKSCCGLRAKKRWCLSGTPIQNSIDEVFSYFRFLKCDPYANYQSFCNQIKIPISRSSETGYRKLRAVFKAIMLRRTKVDFSTEERAFYQKLEAESRSRFKAYAAAGTVSQNYANILLMLLRLRQACDHPLLVKGFSSESVSRVSTRMAKNLPKDMQSNLLNLLETLTICHLCKDPPEDAVVTLCGHVFCYQCVSEYLTGDDNTCPAPKCKSQIGADVVFNKATLRNSVHNDDDNHGASSSRIDAKSIVLQQDYSSSKIRAVLEIIQSFTGACSSSSSSSNVGEGPIKAIIFSQWTRMLDLVEMSLNQYCIVHRRLDGSMSLASRDRAVKEFNTDPEVIIMLMSLKAGNLGLNMVAASHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVSRLTIKDTVEDRILALQDEKRKMVASAFGEDQSGRSGPHLTAEDLRYLFMGANQPV >cds-PLY90289.1 pep primary_assembly:Lsat_Salinas_v7:2:199566782:199567922:-1 gene:gene-LSAT_2X120921 transcript:rna-gnl|WGS:NBSK|LSAT_2X120921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSSKTFLYPLHEIAMTPTHKLLLKQWLKEEELILNRIALKEYYSDSSSKRRKSLDMSNSIRKMVAARNSSFNTTKKPNSETNGKNKRVIGDEFVLERNRSARYSPNHTYSGILRFYLRGSASGSCNRSWILSLCSLLCSLGLIWAVRYKTDTKSHLEKLLEREKEDGKLLGKFVEELKKKGLEFDLLKEVDALRRAKTLRVEGKAVKKWSTKDSVTLFFFTVSCLVLALTRVILCN >cds-PLY80032.1 pep primary_assembly:Lsat_Salinas_v7:9:46506334:46507277:-1 gene:gene-LSAT_9X43001 transcript:rna-gnl|WGS:NBSK|LSAT_9X43001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPRILTVKPNKTLEPKLKVFTELGLLGPDLVKLVKRNPLIFSRGLHTQIIPTVDYLRNLLGCDENVVKMINRSKWMLLPNSSLRRISANISLLKKYGLSDEKILKLLVTDPQRMKQTPELIESKLQYLEQKLGISRDSPLLIHALVAVMYRSESEIEKNLQVFRRFGWSDLEIGRLLKSQPYCLNKSEAYIVDKLNYFMKELKYTPSYLMGSTSFWTLSLEKRMKPRIEIIKILKKKELVKESLSLSTLVNYSESKFLGFLKNFESHIPGLCEIYKNRLKNSKVVE >cds-PLY85456.1 pep primary_assembly:Lsat_Salinas_v7:3:42758585:42758746:1 gene:gene-LSAT_3X33060 transcript:rna-gnl|WGS:NBSK|LSAT_3X33060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGENLLDCYCFLLMQLERTTKDSLLKAEEVESMLIILNQSEESVLWVVNYQFS >cds-PLY89368.1 pep primary_assembly:Lsat_Salinas_v7:9:117357289:117361078:-1 gene:gene-LSAT_9X78041 transcript:rna-gnl|WGS:NBSK|LSAT_9X78041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDENAKKGKFSWSKSLVRKWFSIKSKSEEFQTDKGVYGGGDGEWRNTFSQREPPAIKKTKTEKSSKSTERPRRSRVYLDHPQIINVHNYSIFAATWNVGGKSPSSNMNLDDWLHAAPPADIYVLGFQEVVPLNAANILGAEDNGPAKKWLTLIRRTLNNRPGTSGGSGCYTPSPIPDPVAEYDADFEGSSRQKTSSLFHRKSFQAPRSWRTENDSSMPQARLDRRYSVCDRAIFGHRPSDYSSSHRPSDYSSSGGHRPSDYSSSRRPSDYRPSDYRPSDYSSGNRPSDYSSWGQRPSDCSRWGSSDDDYGPMEDSPSTNSFSPASVMEDGSRWPSHSRYCLVASKQMVGVFLTVWVKNDLREHVRNMKVSCVGRGLMGYLGNKGSVSVSMLLHQTSFCFVCSHLTSGQKEGDELRRNSDFMEIIKKTRFPRVQGTNDDKSPETIVEHDRIIWLGDLNYRIALSYRSAKALVEMQNWRALLEKDQLRIEQRRGRVFQGWNEGKIYFPPTYKYLTNSDRYTGDSLHHKEKRRTPAWCDRILWYGGGLHQLSYVRGESRFSDHRPVYSLFWAEVESVQSRFRRSMSYSSSRIDGETILPYSHGYTELCFF >cds-PLY65121.1 pep primary_assembly:Lsat_Salinas_v7:4:5671902:5674526:1 gene:gene-LSAT_4X3800 transcript:rna-gnl|WGS:NBSK|LSAT_4X3800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDAGFVRFPLPLDPSAQEFRPSFPYTRTDVSPFPIHPVYYSYPPSLPPPPTLAPVEAYIIPGPQTILPPPSTVPTRVLLLSSVPADASESTVRRELEVFGDVRAVQMERLRDGIVTVHFYDLRQATEALNKIQEQHMQQQCRLRKHFEGLMFLAPTNPPPLPPPARGLISGRAIWAQFTFPVTAGLPDGYNQGTVVILNLDSDVSSAILRPIFEAFGRVKEIRDTPLKKNQKSVEFYDVRDAAKAVATVNGQDIAGKQVVVEFSRAGGHKYHHKQNKVNPIYANGVPPPPILPCSSTPTQQPPYRKDRLADIDSPRGGYKKNGKYFNKHEQPPEQQGRCVGGGGGGVIGCRNKPWKGSRYTREKCDTMFLIKEDAIVSSFRDSRTTVMIKNIPNKYSQKLVLNMLDNHCIHCNEQVEAEGGDQPLSAYDFVYLPIDFANKCNVGYGFVNMTSPEATWRLYKSFHHQNWEVFNSKKICEVSYARLQGLEALKQHFKNSKFPREAEEYMPVVLTPPRDGRTLTEPIPITTVTSSSASSLSSEVGGHDHSDLTDGTCSSESNLIVEDIKSERIDTIISIAGSSSKGGDGDGDV >cds-PLY87466.1 pep primary_assembly:Lsat_Salinas_v7:2:134146446:134150409:1 gene:gene-LSAT_2X63821 transcript:rna-gnl|WGS:NBSK|LSAT_2X63821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYKGKTDPGDVPSYGAIFMSNAGTKKECFQRKLLGLPLAQSNFVLNVKKGMILFLFEFERRQLHGVFRATSNGEIDIEPNAFKSSGKSFPAQVRFAPVWKCNPLSEHEFKVAIKENYFSWKKFNFGLSKDQVYKLVTLFHSKRIPKKPSEGDARRFGDYRNTIRDRVREDEVKEIDDQGYKYNRIQDEYNVINSFDRGYFGHNERVEREERTLTEQHPQYEPRGTDYDEDFGFGDVNVTSLSHINQNNSDFIPIPQVSSEQFQHPFNIGNTYASCLPYLTSGDPSVITLHTPYNPEVPDFCHRPYYDPEVPEFCHKPSYFTPGNPVDIDNPPPFYPDFKSETVPYQYHESEKKGSDVGFLRSQKKMRKVSVFDRLTKAPEVVFNEEEHERDEKDDELDASVNKVMKMLEKIVSSPIKRSGKRQSSFKHDHDDDDDDKIRPKKIVDYDLLPNTKKSIQFEEGDDESVFEETRVVDFKRRKKINKNLDDESKKSCSKRKKLVRPVFVEKESSNTNTPLKDDCCLRKIVGEKRKKTVERSAKENGNDEKCEVLEGKVGVDDMAGGDSLKDEKECGWIEG >cds-PLY89420.1 pep primary_assembly:Lsat_Salinas_v7:4:63556093:63557154:1 gene:gene-LSAT_4X45581 transcript:rna-gnl|WGS:NBSK|LSAT_4X45581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITINKNRGESSRTKLKTFDNSGEARWSNLNDDVLFLVMMQLGLIDFLAFSGVCKTWRSFARTNRNKFMASKPPMFLHISPSGNREEYYCCLVDFKFREFKTILPHYFGRFCVGLTCGYLILFGKETHDFWLVNPITRYQFYFPAVHFLGSIRDLTVIRAILVFSPTISGWVLVVSHRFADTIWFSVVGTRAWNHVSSTIPIIDLHAFKGKIYTLHTNCCSFQLRLIPEPKWTLLKTKDILKTYGLHLEFLTSGENLYVTNRLSKCLYKLQELDFGEMKWVLQEKAAMGDYAIFLSFLKHSALIKPELGSQWSKYKRHADFVDTSDKRQKPRFFIAATWYFPHDCLTVNHIYE >cds-PLY71330.1 pep primary_assembly:Lsat_Salinas_v7:7:185073516:185077114:-1 gene:gene-LSAT_7X111120 transcript:rna-gnl|WGS:NBSK|LSAT_7X111120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIEIVGRHALLFDDDTTAAFVNSQDALVDWNSLLIDRYDVRHLLFSPPPSRRRRNPQTSHSTSADAEIDYERYLDLPSPSEEEEADPKPEPVGGFNAVPFSYGNNEESNDQKNAEAESEVPAFHPPFPVPESLVQNLPPTEKVHQIISRTALFVSKNGGQSEIVLRVKQGDNPTFGFLMPDHHLHPYFRFLIDHKDLLQSNEEKTSNTENPTGALSLLGSVYGTGEDDENGTEHPSSSPSGKDDVASWKTKTTILPAGKDEPVSKHSLDDAPISRKNSFPNAVKAVKTSIMRKGESSTSSSVSGIRASSLPPVPKTELMVMDPPSDMKKLVEKIVEFIIKNGRQFEGVLMEQDRGHGRFPFLIPSNIFHPYYLKVLHTAHESKSTVKSFHNEKGNASHKKMLNSRDTEASLMESDDIPLDSDRKEKFKMVMNKSKKEASDPPSKPTQEQSVQVNAAQAAAILQAATRGIKHPSLGILNGKFGGQQQQSSSSNVNETDSNEQKLKAERLKRAKMFVAMLKSGTNPSKAEPTRGLSAEPQVVSIDKKIKSEKEHLDDEKRSKRKYRDRSSIHNDIDDDEGDEREEKSSHRHHKKKKHRSHESEERHRSHHSTSHKHDDVDKNNEKKDHKRKKKHRSSSSSDDEDEHSRRKHRSHKSSSSHKHRKSRSHSSRHKHKHTHDDGKASNSEEGEMSSKRGHVVDNVSREASVDVSSSSYQEQKPQPSQITEVPDDLRAKIRAMLMSTM >cds-PLY75940.1 pep primary_assembly:Lsat_Salinas_v7:4:123737272:123737607:1 gene:gene-LSAT_4X77900 transcript:rna-gnl|WGS:NBSK|LSAT_4X77900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKISTVSPPEATQSQPLQQQDRVAIVIGVSCGISKAISLHLASLGAKLIVNYTSNSSKADLVVSEINSKFQSESPQAVSFKVDVSNLIQVKALFYAAKSIGRKRRLERE >cds-PLY74188.1 pep primary_assembly:Lsat_Salinas_v7:9:24276829:24284463:1 gene:gene-LSAT_9X21740 transcript:rna-gnl|WGS:NBSK|LSAT_9X21740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVGVLSTWGWYTFGQDIASHFNYTNGLTLISTAHQLFMEGFNWCHYDLIGKLRSIKHYLLTDQVRVFYAVRIFLGLLSVIYEATLVVALSRKYGKRLACYTLALLCLTSDCFFASTSFLPSSFSMYAISLSSALFLFRMHAMAVAVAATGVIIGWPFSVLAFLLVTVYSLLRKFKRAFISGAFMSITLLLTEAFGKFYGSSKQGTSNDKSRAGVHDNCIKYTEVFSKTKDSKKPIREGDFIIQPKVDFDISISTVSGLLNLGYQALVYIEASAYIYQDGNLLMEVDHLQDVPGPYLQIKGANKEIVVAAGSIRLLSLMSYLEIVLEKLPASERNSSGINAQQAARLQELVENIQSQGSSSSSDSSPSREVISPIEGAIEDMQSRIKRLER >cds-PLY67385.1 pep primary_assembly:Lsat_Salinas_v7:4:211714779:211716044:-1 gene:gene-LSAT_4X118920 transcript:rna-gnl|WGS:NBSK|LSAT_4X118920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVYNLIVDGSILLASLFYCHGVGLFIAQGTTRFMLLFPVICLFLCLPLNLHTMFLCSPTSFFISLLGTFKLILYALGQGPLSLYPPLSLSHFVFTTCLPIKILRNQEKSSQQITKNKKSLKHYVPPILLFIVTVMSQSYKYRLHPLLITSLHAYYLFILLEFLLVLTTFLAGYIVVVEFEPLFDDPHHATSLQNFWGKRWNLVVSNILRTTIYHPSRDIFRYVVPQRWISVPAVFVTFLVSGVMHELVFYHLGRLTPTGELTSFFLIQGACVGMEIVIKKTMGVRFQPPPIVAQTLTLSFVMLISFRLFFPSFLRLDPYGRACREIMAFLGFVKSGKILSPIEYACPFM >cds-PLY88561.1 pep primary_assembly:Lsat_Salinas_v7:7:8599916:8600653:-1 gene:gene-LSAT_7X6541 transcript:rna-gnl|WGS:NBSK|LSAT_7X6541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVPNRFHWDPHTFKIFLEECMTELNNGNKTGTYFKQAACQNICKRLLERTGKELDRNQMKNKWDIMRKEFKYYDRLTRLETGISTDPTKNIISASKEWWDEKIKEDKEYAKFKDKNLDVYQTYYEALFRDTVAVGDKAKVPCEFGTGSTPVDVHYVDITNEKMDTDGVRLFEDVDPFLTYDSSSMKRKGKKLTPRRDNKRKFEGNNTYAPTIMMIIII >cds-PLY66238.1 pep primary_assembly:Lsat_Salinas_v7:5:142620544:142621520:-1 gene:gene-LSAT_5X62800 transcript:rna-gnl|WGS:NBSK|LSAT_5X62800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVPYLGTLNWIELLNLLVVSDWRPWFVDEQVAGYTMKYSNHNYTLTFATVKGGGHTAPEYKPKECLSMFMRWLADDPL >cds-PLY89706.1 pep primary_assembly:Lsat_Salinas_v7:7:42529895:42567743:-1 gene:gene-LSAT_7X30940 transcript:rna-gnl|WGS:NBSK|LSAT_7X30940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46400) UniProtKB/TrEMBL;Acc:F4KHG8] MDLHVLADAADGLSAMETVSETVPEAIGSPEEDYEIADSDSLDFDSWTSLISEIEKTNSDNIKAISSVYESFLSQFPLCHEYWKRYADHMTRLSTMEKAVKIFECAVESATYSVGLWVDYCSFSMLAFEDPHDVRRIFERGLSFVGKDFLSQKLWDVYIRFELSQQQWTFLAHILIRALKFPTKSLHKYYDNFKEFAAFVEEDMSCSKSRNLEPYTDDSTIEFATSDDKILNTIKKLQHSSSVEQRSKALNKLLTIGEGYYRKSCKLHEEIDYFENYMERDFFHVEPLEDEELENWHNYLDFIEKQEDFDWAVKVYERCLIPCANYPEFWMRYVEFMESKGGREIAKFALERSTRVFLKDVSEVHIFNARYREKIGDIEGARAAFQLCDTSESDSSFIETAIKEANMEKRLGNLDTALDIYKKALKMAAEKEKMHIIPILYIHFFRLKYLITSSADAAMNLLIAGVQQVPHSRLLLEELINFAMTHEGSRHLKKMDRIMATTITCESESLSSKDREDLSNLYLKFVDHCGTTNDIKKAWNRHIKSFPRLIRRPTSSHKQPRETQPSVAHSIDHFAPTSPDKNPTNQEHGVISEPKTNELQISQKSDQNTTNEAEMLEKAVSSEKEPNPIALKDSPPPATANQNSSPVTGTTSNHHETEKSSSHQQNTENPNPTKENKGIEPVEPEPHAQPVQPMVQYPVQSTEPPGLGGQNTQAYNQMCQYQYQQNHNQQQWLQMQQSYVMPPEPQRLPPQQQAYVQQQYQLYQQQEQYQQYWYQMYQQQQQQQGYINMQQYQQGQVQGMMPPNTGFDQYTQQVGPRVPINIASPTNPHQSSHGASSHPAKSARSSSLERTLHT >cds-PLY81202.1 pep primary_assembly:Lsat_Salinas_v7:5:101713599:101729640:-1 gene:gene-LSAT_5X44941 transcript:rna-gnl|WGS:NBSK|LSAT_5X44941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MKRFVYANDDDLSHELYCDNRISNRKYTLLNFIPKNLWEQFSRFMNQYFLLIACLQLWPLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYLSDKKANEKQVWVVRQGSKKLIQAQEIHVGNLVWLRENDEVPCDLVLLGTSEPQGVCYVETAALDGETDLKTRNIPPACMGIDSDLLHKIKAGVIECPHPDKDIRRFDANLRLFPPFLDNDVCPLSIKNTILQSCYLRNTDWACGNETKMGMSRGVAEPKLTAMDAMIDKLTGAIFIFQIVVVIVLGIAGNIWKDTEARKHWYVLYPDEGPWYELLIIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDKQMIDLETGTSANATNTAISEDLGQVEYILTDKTGTLTENKMIFKRCCIAGTFFGNENGDAVKDVELLNAINTASPDAIRFLTVMAICNTVIPIKSPSGTVSYKAQSQDEEALVRAAASLHMVFVNKNSNILEINFNGSLKQYEVLEYLEFTSDRKRMSVVVRDCDEGKIMLLSKGADETILPCAYAGHQTKTFSEAVDQYAQMGLRTLCLAWRELNELEYQDWSVMFKEANSTLVDREWRVAEVCQRLEHDLEILGVAAIEDRLQDGVPETIETLRKAGINFWMLTGDKQDTAIQIALSCNFVSPEPKGQLLLVNGKTEDEVCRNLERVLLTMRITKAEPKDVAFVIDGWALEIALKYYRKAFTELAILSRTAICCRVTPSQKAQLVELLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGSVSGTSLFNSVSLMAYNVFYTSVPVLVSVLDKDLTEKTVMQHPQILFYCQAGRLLNPTTFAGWFGRALFHAIVVFVITIHSYAFEKSGLEEVSMVALSGCVWLQAFVVTLETNSFTIFQHVAIWGNLVVFYIINWIVSAVPQSGMYTIMHRLCRQPSYWNTMFLIVAAGMGPVLALKYFRYTYRSSKINILQQAERLGGPILSLGNIEPQPRSLLLDNDLDLDLAPLSISQSKNGRNSVFEPLLSDSPNAVRRSFGPGATFDFFPSQSKSSTYSRNKDK >cds-PLY93418.1 pep primary_assembly:Lsat_Salinas_v7:9:57892972:57894320:1 gene:gene-LSAT_9X50860 transcript:rna-gnl|WGS:NBSK|LSAT_9X50860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMSFFRTFLFLGLISVAFCQEQSDTDPHLFPRPLIIELPQNLEPRCDSSIEETRLRCTGWRVAGEANNLSPWKTIPQECIDYVKDYMLGRSYEIDLQMVSNEAARFAKSIDLEGDGMDAWIFDIDETLLSNLPYYAEHGYGLEIFDHSQFDKWVLEGVAPTIEPSLKLYKEVLRLGFKIFLLTGRTENKRNITVNNLIEAGFHNWERLILRGNEDHGKTAVAFKSDKRKEIMEEGFRIIGNSGDQWSDLIGSALSVRSFKLSNPMYFIS >cds-PLY99732.1 pep primary_assembly:Lsat_Salinas_v7:9:57482795:57484561:1 gene:gene-LSAT_9X48960 transcript:rna-gnl|WGS:NBSK|LSAT_9X48960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGLNLMNMECGGANETTENDGCGGDGDDAGACAGEPFVPPINFANVEDRVYRSGFPQPSNFPFLETLQLRSIIYLCPEPYPTENLEFLKAHNIRLFQFGIDGTREPSVDVLTNIITEALKVLIDVRIHPVLIHCKRGKHRTGCLVGSLRKVQNWCLSSVMDEYKVYAGIKSRDTDLKFLETYDVSYLRQCLQSVIYQYHGYGSKKRRLLSGEDVRHKSRITSI >cds-PLY65769.1 pep primary_assembly:Lsat_Salinas_v7:5:274545207:274545476:-1 gene:gene-LSAT_5X145521 transcript:rna-gnl|WGS:NBSK|LSAT_5X145521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMLTDSFEVADVINSGNHHGDDDLFTDPHDSGRSTDSVSWRSISYEGWTVMRDDQYSVLVMAFYDSKIFYSSMDSTDQTTEKEGIGLL >cds-PLY85629.1 pep primary_assembly:Lsat_Salinas_v7:5:76880878:76883801:1 gene:gene-LSAT_5X35501 transcript:rna-gnl|WGS:NBSK|LSAT_5X35501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14850 [Source:Projected from Arabidopsis thaliana (AT4G14850) UniProtKB/Swiss-Prot;Acc:Q0WSH6] MASATLNTLASLLQSAVSSRSSVVGRATHAMIIKTIDSPFPTFICNHLVNMYSKLDLLDSAQLLLSLIPAQDRSVVTWTALISGSVQNGHFSAAILHFRNMHHDSIAPNDFTYPCAFKACNSLRSPVTGRQLHGLAIKSGQIHDVFVGCSAFDMYSKIGLKDDANKMFDEMPVKNLATWNAYISNAVLDGNPRKAIDAFIKSRRLSGEPTSITFCVVFNACSDALFLQIGKQIHAFVIRYGYEHHVSVANSLIDFYGKCKDITSAHLVFNNISSHNDVSWCSMVSAYEQNNEGDKACILFLQAMRNNIEPKDFIISSVISACAGISSLETGRLIHSLAIKSCIDGNIFVGSALVDMYAKCGNIEDCEKVFDEMPERNLITWNALLSGYAHLGQSNMAISLFEQMKFEVKPNYVTFVSVLAACSRAGEVKLGMNVFDSMKLRYGIEPGVEHYACVVDMLGRGGMVESAYEFIKRMPCRPTVSVWGALLGSCKVHGYNEIGKIAADNLFQLDPQDSGNHVILSNMFAATGRWEDANLVRMEMKDVGIKKSTGYSWISVKNSIHTFQSKDTSHEQNPEIQSMLTKLKNQMKAAGYIPDTKLSLFDLEEEESESEVWHHSEKIAIAFGLCVIPPGVPIRVTKNLRICLDCHSAIKFISGIVGREIIVRDNNRFHRFKNYECSCRDYW >cds-PLY82918.1 pep primary_assembly:Lsat_Salinas_v7:6:133258066:133258476:-1 gene:gene-LSAT_6X80841 transcript:rna-gnl|WGS:NBSK|LSAT_6X80841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVLGKILFGFSPFGSAAVSGKPPVEFLPSGLAVVVSGKTTDCVPAIWFGGGCWKKLDVALTSSTIGSVVLFGITISRGACIGVLCVDTTAEEVCPLSTSCCSPDIGAEIIVEPAACDVSPTIILGLGWWFVAATS >cds-PLY95778.1 pep primary_assembly:Lsat_Salinas_v7:3:27316141:27316853:1 gene:gene-LSAT_3X21180 transcript:rna-gnl|WGS:NBSK|LSAT_3X21180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAVPVETIDDDDASYSITISLAKPHFISDFDWEAAIREIDVACGEAIKQSSSGVTTTTTSATIYRNSNLHTGSYPKIENNKPSFSRQSTLDKFIGSTGLKSANQDARHDAQDKVECNDERVSDVSIDPEAAKTWIYPGFNIGKGISCHY >cds-PLY97963.1 pep primary_assembly:Lsat_Salinas_v7:3:18149499:18151345:-1 gene:gene-LSAT_3X13821 transcript:rna-gnl|WGS:NBSK|LSAT_3X13821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDPKMKLIQSLIWYAATAAVSYWVLLAGLRQLDTNREENKRAIEQRKQLFKRLGRRPIQTTPYEANHIDVEFDSIGGLDTTKQALHELVILPLRRPELFSSGRLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRVSNLMSKWFGDAQKLVSAVFSLAYKLQPAIIFIDEVDSFLGQRRSTDNEVLTNMKTEFMALWDGFTTDQNARVMVLAATNRPADLDEAILRRLPKAFEIGKPGKTDRAKILKVTLKGERIDEDIDFDYVAGLCGGFSGSDIFEVCKQAAYFPLMEFLKDEKNGKQSSAPRPLSQMDLEKAVAASRKAKIPTL >cds-PLY76351.1 pep primary_assembly:Lsat_Salinas_v7:5:225521159:225521419:1 gene:gene-LSAT_5X107741 transcript:rna-gnl|WGS:NBSK|LSAT_5X107741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTTSSMSTSSCYTSMEGRHFDAAVGEPPRVDEDGERSFAKVGFAELYCHLFLPPLRVLFFCRRVIAAISLSSSGCGCGWRSLRLS >cds-PLY76032.1 pep primary_assembly:Lsat_Salinas_v7:5:320191125:320191818:-1 gene:gene-LSAT_5X176900 transcript:rna-gnl|WGS:NBSK|LSAT_5X176900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDEEEHFQEQLFERVRLFKERNMEQDFWLVIEPKFLDKFPNITKRLKRPAVALVSTNGTWIKFMKLRLDRVLLDSFEAESMEEALASNPCNIEFEKPSNWVAPYPKYESGWWESLLPPIPQTQQA >cds-PLY61667.1 pep primary_assembly:Lsat_Salinas_v7:5:90455974:90456177:1 gene:gene-LSAT_5X41060 transcript:rna-gnl|WGS:NBSK|LSAT_5X41060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGFSYAHINLQQQRLKKKMKNLTQDFPPATPTADYSNQKKKLPDRKVHPAGNLSSPVVSQQLVL >cds-PLY93868.1 pep primary_assembly:Lsat_Salinas_v7:5:332017446:332019065:-1 gene:gene-LSAT_5X185401 transcript:rna-gnl|WGS:NBSK|LSAT_5X185401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRHHCLKDQPLYPRIYKRYTKGSMTIPKDLELGNPIQTIHQTNVIRQQVMMSSC >cds-PLY82734.1 pep primary_assembly:Lsat_Salinas_v7:2:146675262:146677129:-1 gene:gene-LSAT_2X72881 transcript:rna-gnl|WGS:NBSK|LSAT_2X72881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g09210/F3L24_8 [Source:Projected from Arabidopsis thaliana (AT3G09210) UniProtKB/TrEMBL;Acc:Q94AA3] MNQELLLSWRRPCLPFPTTITKPTNVGVVFQPIRATLQTSVDEKAEILTAKEKRELRNVKREENKSYNWREDVEERLLKKPKKQFNSWKEELNLDKLAVMGPQWWIVKVSRAKGKDTVERMMQALAKNFPDAEFQVFTAAVSEKTKLKNGKISIKPKPLYPGCVFLNCILNRELHNFIREECEGVGGFVGRMVGNTKKQINKPRPVSAADMEAIFQEVKEKQEATDKTFEEQQQLEILEKKSLPLSDKKVSKSRSRKPSGPVLGSTVRIVSGPFLDFSGTIKKLDRKRGSVTVGFTLFGKDTLADLDVSEIVEEKK >cds-PLY75403.1 pep primary_assembly:Lsat_Salinas_v7:6:178825584:178826476:1 gene:gene-LSAT_6X109000 transcript:rna-gnl|WGS:NBSK|LSAT_6X109000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPHGTTALVLLEWSAKRERARRRKGQRLQPNGNEQGRNDKMRHPGHPHLERRAEVFGPVALPVPPESGGACVGGAPPEIGLEALTLPTSRQLTAVGHDYYKKAPMKVNISHGGVCIFMLGVLLSCDPTAYVRPVAHASYLFRAGDMNSDTILVFNPATEMLSCLLNLDRKMDYSIIRAQG >cds-PLY88229.1 pep primary_assembly:Lsat_Salinas_v7:8:150319250:150325875:1 gene:gene-LSAT_8X101580 transcript:rna-gnl|WGS:NBSK|LSAT_8X101580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGKDKDYICMATSELLNELNKEGFKLDSDPELRLSNIVLQQLDDAAGDVSGLAVKCLAPLVKKIHEAQVLEMTHKLCDKLLNGKDQHRDIASIALKTIFSEVPTSSVAQSVLVSVSPKLIGGITSPVLDLEYLHSLCVIHRDLKLDNLLTAHDGHIKLTDFGLSKVGLINSTDDLFGPTVSGISLLGDNETQLSLSSPSPSPSLSATETQQKRRKNCFAIGTPDYFTPEILLGTGHGTSADWWSVGVILLDLIVGIPPFIAEHPQKILTRDLELGEQPRLGLPVQFWALSLHFYLTFSSKSTRNKASFLSLLRCTMLERRQQLYCNLKPARAVMSELFRKKIGCFHGQGGSMHMFSAEHNVLVGFAFIGEGIPVATGAAFSSKYRREVLKEADCDHVTLAFFRDGTYNDGQFFECLNMAALWKLPIIFVVENNLWAIGMSHLRSTSDPEIWKKGPAFGMSGVHVDGMDVLKVREVAKEAIGRSRRGEGPTLVECETYRFRGHSLADTDELHDPAEKAHYATRDPITGLKKYLTENKLASEAYLKAIEKKIDEVVEKAVEFVDESPAPSRSQLLENVFADPRGFGIRPDGSYRCDDPKFTEGTAQVVLLFQKIEGVKVCPFGMYVQEFRAECPQPNHRHVYLSYLDSVKYFRPDIKVVTGEALPTFVYHEIKTVTGEALRTSLVEILGELTTVSLQDVIGMICNADKSTTFFHSGYKFYLVLKNKWYFPIILFFPSNCFLDIHILNQARINAIEDLGQCTATYPYNQLLGALVLKNYERQDATLLSWNLMYRVD >cds-PLY80897.1 pep primary_assembly:Lsat_Salinas_v7:8:126446076:126449598:-1 gene:gene-LSAT_8X88241 transcript:rna-gnl|WGS:NBSK|LSAT_8X88241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAASKIRIVKGHGGNRTLTRFASSSAVAAKSSSGGIFSWFTGGSSSSLPPLDFPLKGIELPSSLPDHVETGKTKITTLSNGVRIASETSANPAASIGLYVNSGSMYETPISFGATHLLERMAFKSTANRSHLRVVREVEAIGGNVTASASREQMGYTYDALKTYLPQMVELLVDSARNQVFLDWEVKEQIQKVKAEISEYANNPETLLLEAIHSAGYSGALANPLLASEGSLNRLNSSILEEFVAANYTAPRIVLAASGVEHEELLKYAEPLLSDLPGGAHVEEPKSVYVGGDHRVMADTGRTSFALAFELPGGWLNVKDAMTLTVLQMLMGGGGSFSAGGPGKGMYSRLYLRVLNEYPDIHSFSAFNSIYNNTAIFGIQATTSSEFASKAIDVAAKELIAVATNGEVNQVQLDRAKQSTKSAILMNLESRMVASEDIGRQILTYGERKPVEFFLKAVDEVSAKDIASITQSLLSSPLTMASHGDVINVPTYDSVSSKFH >cds-PLY88792.1 pep primary_assembly:Lsat_Salinas_v7:4:91536808:91542231:-1 gene:gene-LSAT_4X60640 transcript:rna-gnl|WGS:NBSK|LSAT_4X60640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRETIPQKLNLKLIFLFLLLFCFNSSDCNSMNNMKSSLQFIKKVDNLLPEISPSGNPEPLYPLLAPSPLSPFTITNNTAPKLSGLCTLDFDSINTMMSMTAIDCFTVFAPYLANVVCCPQFKATLVILIGQSSKKTKTLSLNSTLSKHCLSDFDQILMGQGANNSLQKICSVGPSNLTEGSCPVKDVDQFEKMVNTSKILSKCGKIDLVNECCQEVCQNAILEGAKDLASVSFELDAMGLKRPSDISNRVVNDCKNIVLRWLASKLEPTRAKEVLRGLANCNLNKVCPLVLPNVRPVAHGCHNELSNETSCCSAMENYVSHLQKQSFLTNLQALNCASSLGKKLQKENVTNNVYNLCHISLQDFSLQESGCLLPSLPSDATFDTSSGVSFLCDLNDHIPAPWPSISHIPASSCNRTIKIPALPAAASGQKGLYNKDAMFLLLYAIAMLLLITIL >cds-PLY79056.1 pep primary_assembly:Lsat_Salinas_v7:3:7258918:7259286:-1 gene:gene-LSAT_3X4660 transcript:rna-gnl|WGS:NBSK|LSAT_3X4660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEARSWTIRRSRNPRLETSVDIPTTPTGEVPYGTSNNIFAALSITSVVSPTILTTLIIASWYLSNIGVLLLNKYLLSFYGFRYPIFLTMLPIISYTFYSLIAIRWFQIVPFQQILSRKQFF >cds-PLY99743.1 pep primary_assembly:Lsat_Salinas_v7:2:131511666:131515275:1 gene:gene-LSAT_2X62061 transcript:rna-gnl|WGS:NBSK|LSAT_2X62061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPQKPPVKTLAHSYYSMRSILLLNEEYTIRCVLQSFPLHHRLTWTNKATVSEGDVEEGISQDGISEDGVNEDRINEDGVSEVGIGEEEAVEEGDIEDHQEDEIEQQENEVEEGNIEDHQEDEVDEGDIEDHQEDEIDHQENHHHQHQPVFYQHFVVNKRRLPSERITKLKLRKKVVTKDGSGESTENPVTIN >cds-PLY96763.1 pep primary_assembly:Lsat_Salinas_v7:2:172049585:172052718:1 gene:gene-LSAT_2X94621 transcript:rna-gnl|WGS:NBSK|LSAT_2X94621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQDPYIGTSEVAGISSTLEHSFPVIDEESCKLNEMKAENTRRESVGCSLDSLFGDCVVKTSLDKFQFPDAVSKESTDVKGTRIGSKNKLDLSTPKSTKTVTTSFSRIVGFESGKKDSSSDAFDGASTRQVYEKVTDVPIARKRMLSPLNNMLSSQPSDNDDIDIASCNNHQVSGNVKADDHKIKLYKKANTSNLVWPVSDGPVLDANEVPFFPPGFKDFKDSSKQRLQTRPIPISTDKLTLLTCSSSSPLGPRSSNERKHSSSNNVENPDGETIISGTIFASSEVDYRMPSNSLEEISYLCEEIGSSCSPKSYTGKSWPFHKHKELGFRKLRQKSRGLPVRRSLVGSFEESLLSGRLSSGDINKKIDGFLAVLSVNGGNFSPKARKLPFAVTSVDGDSYLLYHASIDLAGNNLSSSILHNDDSQNAKSRLRIPMKGRIQLTFLRHKVTLATSRQGTKVCNGAGCQVDGDMASSVCSSRVNGSAAIVGALRYALHLRFLCPHRKCSIYEKNKLDNDQLERKFFLCSDLKVVFPQRHSDDDEGKLIVEYHFPEDPKYFDIG >cds-PLY85612.1 pep primary_assembly:Lsat_Salinas_v7:9:97739179:97740255:-1 gene:gene-LSAT_9X72840 transcript:rna-gnl|WGS:NBSK|LSAT_9X72840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSITTFSDLHPVIIQTQILPRLDGQSLSSVATTSSYLRTITADDILWSDICKSTWLSITHPRINHVISTFSAGHRSFFQDSFQPPTTDVKHLTCRRSWSNTQPSCSLTHHPWPSELILAVDIRFHNNIVYSRVEITDTTTNFLTSELRIVLNDDPARNQSGFELGSIDLKVDKLLHADDETISHLKESVTLNWILIDPTRKRAVNLSSIKPVLAWVDNHVHLRYVIVLPGCDSSERVECRIEVTLVVDKGGVALHVREVILHVKDASCNCLKGKDFLVITSRVFLERYNMGRVMEGVEGEVGSIQKETVWSWVDYNYIKTILSFLLWVCFLYISTLINNTLINKNDFATYPSLI >cds-PLY75330.1 pep primary_assembly:Lsat_Salinas_v7:5:52803080:52803934:-1 gene:gene-LSAT_5X25920 transcript:rna-gnl|WGS:NBSK|LSAT_5X25920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNWNWTSRDIGKSLVRHLRRRFVKHHSLAHSSSEHFEPGPTIALMNHRMSCTERQYPPGDVVQILDSAFRNLHPLSPQNLGIFREIEMRMGRVKTQIFALVPS >cds-PLY81213.1 pep primary_assembly:Lsat_Salinas_v7:5:339527441:339528246:-1 gene:gene-LSAT_5X190540 transcript:rna-gnl|WGS:NBSK|LSAT_5X190540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTQKQRASTMPRRGIRSLAVGIAVPLALTLANISLFGWNRSYRTTQKAFWIPPLWALHLTCLSSAFVMGLSGWLVWAEGGFHKMPKAMWFYLGQLGLSLAWDPIFFRMGAPKVGLLVCLAQMATLLSCSRMFGRINQTAGDLVKLCLVWSGFLTLVNLYFVV >cds-PLY96790.1 pep primary_assembly:Lsat_Salinas_v7:2:171514050:171517024:1 gene:gene-LSAT_2X93080 transcript:rna-gnl|WGS:NBSK|LSAT_2X93080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKANCCAAAAPLPFRRCLSPFSSRVSFQPSQKVSRFTVSCVVKKSPKRLKYATPRFPKEDGLLYIEVDPNGADTWKVDSAVELLKKGAVGVIPTDTVYAMVCDMTNHDAIERLRRLKEVEASKASHLFIHSASPFSILCRSLHDIDTYTTGFPRGNSDGISDIFRAVKHCLPGPYTFILTASKALPKQCIKYGTTTSKYAYRKNLGVRIPNDAVCQAVLEKMGAPLISTSVRSPEENRWIIDPVLIADAYGPEGLNFVIDAGVRVANPSTVVDMIKIPPTIIRQGKGPKQPWMVEEEGEDGDEERDSISSTA >cds-PLY67539.1 pep primary_assembly:Lsat_Salinas_v7:3:54077368:54077779:-1 gene:gene-LSAT_3X41900 transcript:rna-gnl|WGS:NBSK|LSAT_3X41900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIVDPSTWAYDMVDLAFSFTPFGFEAVMIRWTYVIYVIWASFCISINGELHGFFKGNRGLQQQSPMEMTRMSSPTVPPCRKFKLVFILLDMTFKHMIGIGMEKYSKQV >cds-PLY87363.1 pep primary_assembly:Lsat_Salinas_v7:1:97610420:97611409:-1 gene:gene-LSAT_1X79401 transcript:rna-gnl|WGS:NBSK|LSAT_1X79401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSKFSEGSSSFTNDYKYDVFLSFRGVDTRYGFTDHLHKALLGANISTFLDAEEIETGQDLKPEMESAIKESRASIIVLSKNYANSSWCLNELVLILEQRMACNHIVIPIFYDVEPTHIKKQESTFGVQWLSTNARWGRRQMQIKRIQWGQKIDGWIQALAEVADIKGENAKGR >cds-PLY71953.1 pep primary_assembly:Lsat_Salinas_v7:3:26645376:26645669:-1 gene:gene-LSAT_3X19341 transcript:rna-gnl|WGS:NBSK|LSAT_3X19341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDGLDGTSSGAIHGTPQQTADASTPVVGASPVARMQNLEVPEATPQVRHQNVFVDKFVSNEGANLQGKTTEKMELEEFEAFKSCRAIRKLQSERQL >cds-PLY62970.1 pep primary_assembly:Lsat_Salinas_v7:1:211145344:211147328:-1 gene:gene-LSAT_1X129821 transcript:rna-gnl|WGS:NBSK|LSAT_1X129821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHIASSYNIQQWCSFKKKHTTMVDQRKLESIIDCHTTVSHHQQPAIMENNQEQPSTEGSNGSHSSIITKINAGYFRICISAGAQALLWKSINQHHRTSNLHTIIATTSTVFWCLTLCTLVFLSLLYIMRCIFYFDLVKAECCHHVGMNYLFAPSISWLLLLESTPLFVFPDKHVYGYIWWLLIVPLLALDVKVYGQWFTAEKRFLSIMANPTIQISVIGNLVASLSSHVADETGWKEIRICLFTFGMTHYVVVFITLYQRLSGSNHIPSNLRPVFFLFVATPSMATLAWESINGSFDIICKMLFFLSLFLFVSLTSRPLLFKKSVRRFSVAWWAFSFPLTFLALASISYAQQVKGKATVGLAILLSGISVLVFISLFVCSILKIHLLVHKPTLIFSTSLGSTNV >cds-PLY79798.1 pep primary_assembly:Lsat_Salinas_v7:8:275662716:275663125:1 gene:gene-LSAT_8X157841 transcript:rna-gnl|WGS:NBSK|LSAT_8X157841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPTSASVPRSLSLSSLFDYGSTDFIRLTGFAPIKAEKLLNLFYFLNRSSLPRLEVVNCFDP >cds-PLY80595.1 pep primary_assembly:Lsat_Salinas_v7:6:13962218:13962886:1 gene:gene-LSAT_6X12200 transcript:rna-gnl|WGS:NBSK|LSAT_6X12200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDKIAAFTIFTLFLFTVSAVRFPVAPQATNPSAVEHASKLTNTSCESLASAGSNIRLPGEKTKSDSDESTANNPLTEREKTTNDLTQGTNFSRFHPINRHFRGKPPMGPKQIGKHRRPYRKSGTQNRIPRNDEITSRKSDNSIPESFPGEVPLNMLKMKHHYGSRRHHNQPRNNNDNVIKTKIVFDREKLKSLMRQHRQKKVDDETGFMKNIRKFLKHTFD >cds-PLY84570.1 pep primary_assembly:Lsat_Salinas_v7:1:31575772:31579306:1 gene:gene-LSAT_1X28121 transcript:rna-gnl|WGS:NBSK|LSAT_1X28121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLKKLKKVRARLKKINKPALKTIKSPDGDLIDCVPSHLQPAFDHPKLRGQRPLEPPFERPKGNDTIDEYLETIQLWAESSEFCPKGTIPIRRTTEKDVLRASSIKRFGRKIKGGVRHDTMSGGHEHAVVFVNGDQYYGAKASMNVWTPAVTDPYEFSLSQLWVISGSFGNDLNTIEAGWQVSPELYGDGYPRFFTYWTDPKHGHWWLRFGSGVLIGYWPSFLFSHLQKEASMIQFGGEIVNSISRGYHTSTQMGSGHFADEGFGKASYFRNLQVVDWDNSLLPLTNLHLLADHPNCYNIKAGKNNVWGNYIYYGGPGRNGGCQ >cds-PLY95569.1 pep primary_assembly:Lsat_Salinas_v7:6:173566960:173567947:-1 gene:gene-LSAT_6X104681 transcript:rna-gnl|WGS:NBSK|LSAT_6X104681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTLEHRIGETAGGGAGSWCSKADIKYYTVSERCSLSNGEIGTEPLFPNHALRAVVVAVKCEDDRRLFHNATMRKQRREVSDRWVTRIIGAGVQYES >cds-PLY87549.1 pep primary_assembly:Lsat_Salinas_v7:9:119103054:119105673:1 gene:gene-LSAT_9X80501 transcript:rna-gnl|WGS:NBSK|LSAT_9X80501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLELALRVGIKVGGETGLEVAAIGEPLVLCCNEEDSSGFLFLLEYIVYSTDLQSTTLLSVRFLYEGSADLQTMQILLVSFHVFTVPTCNVWRRSGGDNLLEREGYAVSAGFPLGLVALGSKEFHHERSHICNGSMVDHTRGSGQMMDGTQINTDVTALGAINALGLMYLKLQQVMEQLDLRTKLCIGDSLYRLYRSAEQRHNNPVISSSATNINDSNNGLMDMETNTNPIDRSVAHLLFHRPSESPNLVSFSS >cds-PLY66202.1 pep primary_assembly:Lsat_Salinas_v7:2:168640574:168642767:1 gene:gene-LSAT_2X90820 transcript:rna-gnl|WGS:NBSK|LSAT_2X90820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENEIVKFESKPHVAFIPFPAQSHIKCMLKLARLLHHKGLQITFVNTVYNHNRFLKSGGPECLKGAPGFQFETIPDGLLYDHSNVDATQDMSELCNSLRTNCLAPFLDLFARLEKNPITCIIGDGFMPFTVDAAEKLRVPIFHFWTVAASAFMGFYQAPHLVENKVIPLKDESCYTNGYLDTVTDWIPGMEGFTLKDLPGYVRTIDPNEAGYNYVLESVKATRRVSRIILHTFEELESTVIKALKPMYPYVYTIGPLQLHLNQITNSEETNNLDINGSSLWKEETECLEWLQLKEPNSVVYVNFGSITVMSLQELLEFGWGLANSNYSFLWIIRPDLVIGESAVLPQEFKEVIEERGFIASWCSQEKVLNHPSVGGFLTHCGWGSTIESLSAGVPMICWPFYADQFTNSRQMCKEWDIGIEIGSNVNRDEVEKRVRELMGGVEGKRVRNKAEEWKRIAEIATGPKGSSSLNVDKLAKDINMFSTN >cds-PLY74733.1 pep primary_assembly:Lsat_Salinas_v7:6:116408703:116409503:-1 gene:gene-LSAT_6X69540 transcript:rna-gnl|WGS:NBSK|LSAT_6X69540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGPRWKGKGSEAKALEIPMSKTVSDLQSSLIKSNSSGILSGSSVLLATNPDQTDLLNQACFGRPIITIDKDKQWFQLTLEEAFYLSFSLNCIKIVTGDNVIKTNNELWDYINMTSKRVSFPDYFKAYSHLRAKNWVVRSGCQYGVDFVAYCHHPSLVHSEYGVLVFSDGNRNRNQNWNGNEDGNGIGNDRLRVWSDFQCMLRLSGSVAKTLLILYVNRNGENGIDSPCCLGNYSVEERTITRWNPERFREDQENVENGTKLVNLL >cds-PLY79329.1 pep primary_assembly:Lsat_Salinas_v7:9:54673199:54677490:1 gene:gene-LSAT_9X49341 transcript:rna-gnl|WGS:NBSK|LSAT_9X49341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGPDPDTLSWAISTTLKTLHATKSTQIQKENFNKLSSYLEKITHILQELTNFEINDSGSLKNAIHVLTKHVSEANNLALECTNRNKIYLLLNSKKLVKTLENITKEMANALNLLPNFISFNGLSQDIIKLSNIMMEPKYQETVREDEIKERIESGIRERNVDRAYANSLLVSIADVIGISTEQSVLRQEYEEFKNEMETVGPAEDVAEVLQVEQIVALLGKADIIATLEEREKKYFSKRNSLGRQPLEPLQSFYCPITGDVMEDPVETPSGHSFERYAIEKWLAEGNNGCPITKTPLKASGLRTNKTLRQSIEEWRDRNTMIFIGSMKSRILSNEEEEVIVSLGKLRDLCLERELHQEWLMMEDYLPVLLSLLSTKNFKIRSHVLVILRILATNNDDRKETIAKTDDGIKLIVCSLARKIKESKLALQLLTELSENEVARNIIGSSQGCILLLVTISGSDDPQVANDAKKLLDNLSFLPQNIVQMAKANYFEPLLHLLSSGSETVQREMADTLSEVEMTDHGKLTVCESGAVESLVAMLSHVDIEMKKAAILALEKLSGVPQNGLKMIKQGAVDLLFGILFRESLSMPILVEKVVATIMNLALSLTSGELDHQEIPFLESEEDVFKLFSLISLNGPNVQQSVLRTFLVVSQSPSGSNIRKTLRKISSVQVLVQLCEHENLAVRSNAVKLFCGLIKDGSENDDTFQEHVGPKCVETLLRIITTSDKVEEGVASMEIISNLPKNPKMTRWILDARALELIVSILTNRFQKPEIMIESASGALSRFTVSSNPDLQKKVAETGIITTLVHLLDSGSSLTKRNVSVSLRQFSESSSSLTRPVKPKSSLFSCCLASPELGCTVHSGICAIDSSFCLLEANAVNPLAKVLDEADVGACDAALDALLTLIAGELLQKGSKVLEGGDVIAKMVKLLSSADVRLQEKALVELERIFRLPEYKQKYKSSAQMPLVEITQRGSSGMKSIAAKILAHLNVLNEQSSFF >cds-PLY78659.1 pep primary_assembly:Lsat_Salinas_v7:9:51592037:51596082:-1 gene:gene-LSAT_9X45780 transcript:rna-gnl|WGS:NBSK|LSAT_9X45780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQSPDKHFGKAKSVVDGGLEFLNTKKTEADIKEKENPQKHRPGLVRKRAKFSLKPDTSQSSTLVEPSLEIDQLQDPEEFFAAFEKFENTQKELKRQRGGGDVDEVNTSTTVRHRRPEIPRRKVSYKHYEYSSQSQDDTSVAQETLQDKIVSPIPPTQCLQQESFTPNQQCEEEEVTESISKSENGVHKMFDELMASNIENLDGNEALSFLKERMNIKAVDIEDLQLPEFHEIPRVDFISPFKNLLQESHNKSILTTDTTEKVLLPNKYNPPFQSVGGSPTPPRRPFLAISTYGKQMLESKDPFSSTHGHDVDSPPTTFTKIISASKDMVSATSAKKNITETATATGDMEDREHNVEERDADENVIDIRTKKDINGNSEDTVAKAASVVTEFSLDAEEIISEENNNNVEDVTEKAGEAEVNVVEDLDLRQPGLPTVIGVKYISPSKEDGFKFKVESFVSHKYKHLVELTALH >cds-PLY89071.1 pep primary_assembly:Lsat_Salinas_v7:9:28243756:28244846:1 gene:gene-LSAT_9X24840 transcript:rna-gnl|WGS:NBSK|LSAT_9X24840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTKDCMDNVEVEERTFSRVKEEKHAKNKNSPEKLTSHHRKSKNDDVRRKYPDEVTENPPRNRKDILAAEKGYKAGDDNKEAAAAKLQKSGSLDSGGSDESDGGKRKRKRSKKKDVTSDDDDDDYSHHSHDDSHTEDKKEAKKRRKEEKRLKKEERRKRREERRRRKAEKQKGRKASGNVSPSSDENEEDADQKKLEIELREKALESLRAKKGSIGH >cds-PLY95661.1 pep primary_assembly:Lsat_Salinas_v7:2:113857653:113858137:-1 gene:gene-LSAT_2X52720 transcript:rna-gnl|WGS:NBSK|LSAT_2X52720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLTTFQLHYRGSTFQDRIQLLYLQEELEICLVCHECMQLEVSAAISFNYLWKISLKMQSMEARIPMPVALAQGHWNLLFCKFII >cds-PLY94617.1 pep primary_assembly:Lsat_Salinas_v7:8:144811638:144811973:1 gene:gene-LSAT_8X97640 transcript:rna-gnl|WGS:NBSK|LSAT_8X97640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRKEAGGRREWQHLVAETHNSSGGNKLFWWQSDGRSLISSLAVQYDWREVTGVVSSFTVARGGVERQGRAEQGWQSTSSHQFPSLVIVADSKGGGGWRKSGKAVINGGSR >cds-PLY73971.1 pep primary_assembly:Lsat_Salinas_v7:5:106672513:106673260:1 gene:gene-LSAT_5X46001 transcript:rna-gnl|WGS:NBSK|LSAT_5X46001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGLRCYNLLSDDQFKLQLTPTTTSPLSIDASESTQMRIQRLITENPVNIFTRSNCFMCDVVKRLFYSIGVFPTVIELEEEEVADLAAFHEHSGGGDEEVPAVFIGGSCVGGLENLVALHLSGHLVLKLVEVGALRSGNNGVVGL >cds-PLY93292.1 pep primary_assembly:Lsat_Salinas_v7:4:303824235:303826360:-1 gene:gene-LSAT_4X153040 transcript:rna-gnl|WGS:NBSK|LSAT_4X153040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RCI1 [Source:Projected from Arabidopsis thaliana (AT5G38480) UniProtKB/TrEMBL;Acc:A0A178UA42] MASEASREENVYMAKLAEQAERYEEMVEFMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVKLIKEYRSTIEIELSKICDGILGLLESHLVPSASSAESKIFYLKMKGDYFRYLAEFKTGAERKEAAESTLLAYKSAQDIALSDLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEDSYKDSTLIMQLLRDNLTLWTSDIADEGGDEIKESSKPEETQ >cds-PLY76563.1 pep primary_assembly:Lsat_Salinas_v7:8:90776636:90776953:1 gene:gene-LSAT_8X63320 transcript:rna-gnl|WGS:NBSK|LSAT_8X63320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNYLHKLKISNYFDVFPFMESSYSPNILSLANSEATLIRNNIWIINNQIKNIIFLDLQGEHMNWLNKCPCCFEVYFKNDEYHCHLT >cds-PLY92039.1 pep primary_assembly:Lsat_Salinas_v7:5:324669456:324669782:1 gene:gene-LSAT_5X179501 transcript:rna-gnl|WGS:NBSK|LSAT_5X179501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILRTMLQRKHQAKSKSKKGSHLRQSIVCRLLLCSDKSIHKPPFPAVSLQVWMETDILVARSSRGRCHQRTVAITRSPKLSVIYPVDPNRHRSKAENRRANKCTDAKL >cds-PLY68677.1 pep primary_assembly:Lsat_Salinas_v7:7:86165658:86168619:-1 gene:gene-LSAT_7X58821 transcript:rna-gnl|WGS:NBSK|LSAT_7X58821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRQPPKSIPLAANMSSPGQPNTATIAALKTRSSRTKFCGAFGDCMTKPTIASSPPRQSNSRLELQVEELHKEVKKEKELKSMYKIRLERTQDYLKYCLQVAQDNGFLNHIINKKDNPQESSPTSSSAIVQASTNPQTPPNVQQNTNLLALIEQAKLNGWYIDPHEIELHKKVAQGSTAEIYKATWRGLEVAVKCIFPDFFRLNDCGVSFFAQEVETLSRQRHTYVLRLMGACLDPPNHGWVVTEFMNMTLKDWLHGPGKRRKERVTPLPPFEERLAKALEIAQGMQYLHEHKPMIIHRDLKPSNIFMDDAFHVRVADFGHARFLSDEEKAFTGETGTYVYMAPEVIRSEPYDEKCDVFSFGIILNELLTGNYPYIETDYGPSKIALQVGEGKIRPALPEHEDNIEDLIQLIQLSWDEDPEYRPSFGTITRGLTMIYDRFINAP >cds-PLY67026.1 pep primary_assembly:Lsat_Salinas_v7:5:282243918:282245468:-1 gene:gene-LSAT_5X148700 transcript:rna-gnl|WGS:NBSK|LSAT_5X148700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVLRQTQTDPILKENQTSMICQWKKIRFVVAEMIYCLEPNKKAEAITLIQESLSNSPISSIGSLGGSVKEWKLKDCVAVHKILVSTFDDRDAALRWKKRCGEEFPYSTYFEGSLCYKK >cds-PLY80486.1 pep primary_assembly:Lsat_Salinas_v7:2:137944461:137946713:-1 gene:gene-LSAT_2X67941 transcript:rna-gnl|WGS:NBSK|LSAT_2X67941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPSDSIISISRDCGGGAITESVQNFVWEHCISRVDKKAHAPYIRSFLKKLILEIESDGAVVVDELYEELAFYMASLKGDNFKGDSRIVRKISFLYPSDHVEARICQNPKKVIVPLNCSLNMLEGDTGCSLWPSSLFLSEFILSCPELFSMKSCFELGSGVGLVGICLAQVVLSDGDLSTLANLKVNLDLNQMNAHVECLHLPWESALENDLQNLKPDIVLGADVIYDPQCIPHLVRVISALLTTKKSNFIHGDRDKEFFVASRGQPVAYIASVVRNIDTFNYFLKVAKEANLRVVDITENVKMYDFLPYMRSYQRSSVRLLEICYSFH >cds-PLY70648.1 pep primary_assembly:Lsat_Salinas_v7:5:71374231:71374551:-1 gene:gene-LSAT_5X33120 transcript:rna-gnl|WGS:NBSK|LSAT_5X33120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARDGRMQPRRDEHLVMASAVANCRVAAGEMEEGTTSATTNATQRFEHHVGKRLPLLLGSEPTTTLLVVFGLHDSGDNFNGGGGAAPEIARVEVAAGLTTRVRVTR >cds-PLY94297.1 pep primary_assembly:Lsat_Salinas_v7:7:165254108:165255610:-1 gene:gene-LSAT_7X97480 transcript:rna-gnl|WGS:NBSK|LSAT_7X97480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQKPRWGELEEEADDGDYDYLLPPKQVIGPDEHGLKVFEASSLQIFSPSELDYLLCGRREMWEADTLVEHIKFDHGYTSKSPVVINLLEIMGEFNPKQQRGFCQFVTGAPRLPPGGLAVLNPKLTIVRKYCLPSSQ >cds-PLY79729.1 pep primary_assembly:Lsat_Salinas_v7:8:125077194:125078178:-1 gene:gene-LSAT_8X86560 transcript:rna-gnl|WGS:NBSK|LSAT_8X86560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKLFSRWRHDFHSHNRPQILRHLTQSHFEDDPPFCPILKPQNQKNKKLKEDASNKKPQNKFPIKSNLPFDFRYSYSETNPSLEPIGYRETPKFSPFGPGRLDRKWTGTVAPLQQAPDMAKVEEERNAILGNPLSEEEVSELVERYRHNDCSRQINLGKGGVTHNMIDDIQNHWKKAEAVRIKCLGVPTLDMDNVCFHLEEKSGGKIIYRQINILLLYRGRNYDPKNRPVVPLMLWKPHPPIYPKLIKNVADGLTFEETKELRNRGLNSPPLMKLST >cds-PLY88530.1 pep primary_assembly:Lsat_Salinas_v7:7:134605410:134605746:1 gene:gene-LSAT_7X81220 transcript:rna-gnl|WGS:NBSK|LSAT_7X81220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLVLLNNLACSTNVRSADLRDTITGRQSGIMHDAVPSGDWHMNPRIFINAFLFIPIMTLYPHGKRKNRKSQKLRT >cds-PLY92978.1 pep primary_assembly:Lsat_Salinas_v7:5:114524090:114525416:-1 gene:gene-LSAT_5X50161 transcript:rna-gnl|WGS:NBSK|LSAT_5X50161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASYDTTFSPATAAEEPLKRSAPPQEYKGECSPLVDVALRVLLFLTALVSIIVMVTSNQTKLIPLAPGLAIRLPAKFQHSPAFIYFVVALSVACLYSIITVVLSLLSAMKPSGCSAKMQFHFVMFDALLLGIVAAATGAAGGVAYIGFKGNSHTGWSKICNTYDSFCFHSAASILLSLISSITLLLLVWLSIYVLYKKTTRR >cds-PLY70644.1 pep primary_assembly:Lsat_Salinas_v7:5:71409706:71412008:-1 gene:gene-LSAT_5X34021 transcript:rna-gnl|WGS:NBSK|LSAT_5X34021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKARPEAGDYTIFMGLDKFENEELIKYGFPEDIWFHVDKMSSAHVYLRMRKGQTIDDISEGLLEDCVQLVKANSIQGKSRNKVNNIDVVYTPWQNLKKTPSMDVGQVGFHNNKAVRTVRVEKRINEVVNRLNRTKVERKPDLKAEREAVNAAERAERKQQLRDKKRREEMERLEKERQAEVRSYKNLMVADKMTSNKEIASTHKSLQELEEDFILVDFKCYDYDDDALSLLVFVDV >cds-PLY67119.1 pep primary_assembly:Lsat_Salinas_v7:5:291381586:291383534:-1 gene:gene-LSAT_5X155420 transcript:rna-gnl|WGS:NBSK|LSAT_5X155420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPSNGIILEELRNGVAEFELVSSPVTSISTNVYRNPIRSVFITDHTHRFFARIGQKMEVSPALSKVESFRVHKVTGDGRCMFRALVKGMAMNKSVNLSLREERENADELRMAIKEVLCDNEKERHKYEEALIAITVEEPLKRYCQRIGRPDFWGGESELLVLSKLCRQPIVVYIPEHEHSRGGYGSGFIPIAEYGADFGKGSRKEKGKKAVKLLYSGRNHYDLLV >cds-PLY92378.1 pep primary_assembly:Lsat_Salinas_v7:3:123302379:123306537:-1 gene:gene-LSAT_3X85860 transcript:rna-gnl|WGS:NBSK|LSAT_3X85860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRASYHIRASFRFIPQTLAQKSIFPPLSITPSSPFPNSQIRHTHEDAGADEYNKDPDSPPRLFVVQPRFRPDAILKTKLDEALNLANSLEEQRDGYYGSDFSKKESPPHLVVQNPAYRSIRADTFFGPGTVDNIKCHLNAEDSNDGVDAVFVNGILSGIQQRNLERAWGKAVLDRVGLIIEIFHAHAQTKEAKLQAELAALMYKRTRLVRIRGSNGRSTFGGEAEVVSAKGRGSGGRGFISGAGETELQLQRRRIIERKNQLLSEIKDVRRTRALQRASRKRHGGSYGQDMPTVAIVGYTNAGKSTLVSALSDTYLFCDNRMFATVDTKVRSVILPSGKKVLLSDTVGFISDLPIQLVEAFHATLEEVVEADLLVHVLDSSAPNRDQQRESVLQVLQQIGVSTSKLENMIEVLNKIDLQDVKIAVNEEAMDDDDDEWISCEDEVKWDDVSGSFVGWKTLEGQPKDDSNLKGVIKREFESLSEYTPHVKTSAITRVGLQELLELIDDKLKIEKVVERSVFERKWRPHREEDTSVVVR >cds-PLY69830.1 pep primary_assembly:Lsat_Salinas_v7:6:4317684:4319898:1 gene:gene-LSAT_6X3081 transcript:rna-gnl|WGS:NBSK|LSAT_6X3081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLFKQEHDLEKRRAEAARIREKYPDRIPVIVEKGERSDVPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNALPPTGAIMSAIYEEKKDDDGFLYVTYSGENTLKLEEWQDT >cds-PLY73563.1 pep primary_assembly:Lsat_Salinas_v7:5:119125490:119130095:-1 gene:gene-LSAT_5X52800 transcript:rna-gnl|WGS:NBSK|LSAT_5X52800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHEYNSLDLNWYLKFGITAFAVLFGLIYLVKNTAAKYFVVDGDDDARFDSHSQSSSPTASSDSIVSPSSSSSMPGIVAISDSCVVCGSLTKKYCSRCKGVRYCSESCQRSHWFSGHKEKCEEIRLSSKSSLQGRRNPTGITLVSSTGNSTQIKKILFPYDKFVELFNWKKQGFPPCGLLNCGNSCFANVVLQCLAYTRPLAAYLLEKGHRRECRRNDWCFLCELQDHVERASQSHHAFSPINILSRLPNIGGNLGYGKQEDAHEFMRFVIDTMQSVCLDEYGGERAVHPTSQETTLIQHIFGGRLQSQVICTKCNNVSNQFENMMDLTVEIQGDAASLEECLDQFTAKEWLEGDNMYKCDGCNEYVLAWKRLSIHMPPNILTIALKRFQSGRFGKLNKRVTFPETLDLSPYMSEAADGNDKYRLYAVVVHVDMLNASYFGHYICYTKDFSGNWYRIDDCKVDTVELDEVLSQGAYMLLYSRVSARESCLNPIEETSRKEELETSNSSQQKTPVKCSLAAESVDLTNNNSNNPLSPISMSQNSSEPTMDIDTSDSNPNSPVSVDAGDLAGNCKDSGDRTATFPVEDTQQSQVVCPNETDIEKNPDSVHVNGNGMESVTLECDPGKSDSIPEDDSGKLLKTNSSKLKPLISPGFFGKRPRKILNNTKKDENALGEKEQANGDLTPGKEKHCFKNNGLISSVESESIVVNGSLSD >cds-PLY72258.1 pep primary_assembly:Lsat_Salinas_v7:7:87873011:87874991:-1 gene:gene-LSAT_7X60720 transcript:rna-gnl|WGS:NBSK|LSAT_7X60720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRDAHGRKMSKSLGNVVDPIEVICGITLEGLHKRLGEGNLDPNELKVATEGQKKDFPNGIPECGADALRFALVSYTAQSDKINLDIQRVVGYRQWCNKLWNAVRFAMTKLGDNYTPPSKIDPNNMPFSCQWILSVLNKATSKTISSLDSYEFSDASTAVYSWWQFQLCDIFIEVIKPYFFGDDAASKAHAQDTLWVCLDTGLRLLHPFMPFVTEELWQRLPSPKHCEREKSIMICEYPSVVESWSNEKMEYEMEVVESAVKSLRSIRANMPAQERNERRAGFAVSRNAQTTDLLRRHEKEVSTLANLSSFTVLSENDAAPAGCAVSVVNQSLCVYLKLQGAIDVKKEREKLNTKLTDLQKQRDSLNKAMSAKGYEEKVPEHIKEENMAKLTMLMQQLLSCEEATQHFEREVAVRAEI >cds-PLY79232.1 pep primary_assembly:Lsat_Salinas_v7:9:182284613:182285717:1 gene:gene-LSAT_9X112280 transcript:rna-gnl|WGS:NBSK|LSAT_9X112280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSNFFLPFSCILFLASIILAVHWHLQEPHLRPNELSGKGDTVKSIRDVKLYLAHFGYLNYQKNLNLTDIEDDDLDEELEVAIKSYQVNYHLNATGTLDEPTVSQMMMPRCGCPDKVIHKNTGNSLHTVSRYRFVPGTPKWPRGQLTYGFGPSFPTQFMPAVDRAFGKWATASSGYFTFSRAGSYQGADLKISFERGDHGDGYPFNGSGEVLAHAFPPPDGRLHFDADENWVIGAVKDAFDLETMALHEIGHLLGLGHSQFQTTIMWSSFSAGVTKGLTSDDIQGLRILYGVTSDDIQGLGAQYGLITRYGLITEFSWGDN >cds-PLY65672.1 pep primary_assembly:Lsat_Salinas_v7:5:275459289:275461547:-1 gene:gene-LSAT_5X146321 transcript:rna-gnl|WGS:NBSK|LSAT_5X146321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTHHQQLQRAPPQSINPFQSGHGKLARQMALLMAFYDYSTNCIKFSMFECKPFYDKIIYHASDSTSI >cds-PLY66713.1 pep primary_assembly:Lsat_Salinas_v7:6:79507647:79510056:1 gene:gene-LSAT_6X55020 transcript:rna-gnl|WGS:NBSK|LSAT_6X55020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMATAVLYYVQSLWPFATFRNDDLRLSDRLVKGLELPDETKRFVFAIREPESEAVIYILCVQNLSERSAIDVERLVRCVKPGAVVAQVNEIDFEDVQLLSDGDNEHPIPTSSLEVLKRCFLHKIGKDTYENVAGNLVLKEIFGVGFNGHFSTAKRIAEEVGSSFLLLESPFVQLERDNNNSSSEVESGNNFQGFGLQPSNLMPQKMGSIIPSSSSKYLISDDHIRSQTLKLLSSHLLQLNPNQNPSPINIQPKDDYEAPQYARSVYPLLQDLHNIFLEIPSIGRSLAYAQKMLHDVSKGEGIDTKLLSEVYTFRIAVEGLRIALNNAGRMPINKTGNHQTPKTDFSTLPDEEKSHALLANALRSQTKNFNSIVAILDASTLSGLRKHWNTEVPQEIEDTIEELVAESTKDGETTNHDKKRRLTNKPVVAVGAGATAVLGASSLSKVVPASTFIKVVTFKVPASLKLIMTQTQKLVSISISKILGPSKVIAPSFFNSGVNTTSSMKAAASAEKIRTVVHSVIASAEKTSLSAMRTAFYEIMRKRRVRPIGVLPWATFGCSVATCSSLLVYGDGIECAVESLPSAPSIASLGRGIESLHQASQAVEQMESFRIQNSIESLVNRFKKWNLAS >cds-PLY97285.1 pep primary_assembly:Lsat_Salinas_v7:1:43796450:43803537:1 gene:gene-LSAT_1X37941 transcript:rna-gnl|WGS:NBSK|LSAT_1X37941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVNEFSRSVDMGIKLSKRIYYGKDSPSPSRSAPKPPSMEKSLSTSSSASSVRMPQNHHPTSPMAYAVINEPLVVENPAIRSYQPYIYGRCDPPALIPLHMVGVTMEVDCYLDTVFVTVSGVWRLHCVSSTTLCDCRIAIPMGEQGSLLGVEVDTTTRSYFTQLITPEDEKNHVLRENKKDGFLMKGNTYTFQISQVEGGSNIHVKARFSQKLLYQDHNFCLSVPFTFPPHVFPVFKKDYSCTEKILLNVNSGTCTSITCNNASHPLKELKRQVGQLVFLYEAGVIKWSSQDFYFSYSVCSNEIFGGMLLQPSSLHDYDQRDMFCFYLFPGNKQNIKPFRKEVVFVIDISGSMRDDPLEKTKDEIVGSLWKLNEGDSFNIIASNENIKSFSSSLNLVTEGMITTAIEWMNTNLIANGGTNLMLPLKQAIDMVGRTSDSIPFIFLITDGSVEDEREICNMVKGHIVDGELNSPRICTFGIGSYINQYFLQMLANIGRGHYDSAYDVDSISIRMRQLIDNATSPLFSNVTLDALQNLESYEIFPFCIPDLLSGSPLIVSGRFKGRFPDTVKARGLLADLNTHVIDVKVSKANDMPLEMVCARREVGMLTAQAWLDQNTELEEKVSKTSLQRGVPCEYTRMILVQHDNLKQVIESDPPEEKYTKSKNEKITYLMNLNFGFGNLIATVENLPPRNEELKLREPPGKVAQAASSCWIMFVDRFCCRCMIQACGQINNQCSIMLMNLRCGICFGDAVIIILLTSVAALSHRSFANGELETERTLAIVKPDGVFGNYTSSIRKIILDSGFSIQREATVHLDEDSVRSFYAEHSTKSFFPNLVKYMTSGPVLIMALQKANAIADWRTLIGPTDAHKAKSTHPHRAMCGQDLERNCVHGSDSRESAAREISFFFTETFSG >cds-PLY77092.1 pep primary_assembly:Lsat_Salinas_v7:MU042616.1:517943:521835:-1 gene:gene-LSAT_4X76761 transcript:rna-gnl|WGS:NBSK|LSAT_4X76761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIQQEQPPVSSHQLSTQPRTATADLFGDPIDSHPLWFKQSSFLSPDFDSESYISDLRTFVPFETLRSELQSHLSSLKHELVELINRDYADFVNLSTKLVDVDAAVLRMRAPLIEIREKILGFRGAVEGSLVSLQGGLRQRAEASAAREILELLIDTSHVVSKVEKLIKELHNVPADASNGDLHTAEKGHLSNGVSLQHAEIGINLRETQSMLLERIASEMNRLKFYFAHAKNLPFIENMEKRIQNASLLLDTSLGHCFVDGLIHKDENAVYNCLRAYASVDNTHNAEEIFRSTVVAPLVQKVIPYTSSGMVGGSTGDELEEDYKQIKNLIAQDCTFLLEISSTENSGLHVFSFLANSILKEVLWAIQQGKPGAFSPGRPTEFLKNYKSSLGFLADLEGYCPSRSAVANFRAEAVYVDFLKQWNIGVYFSLRFQEIAGSLDSAFVGSNLTPIPKSDSDSDSSQGSSQHVTLKQSLTLLECLNSCWREDVLVLSISDKFLRLTLQLISRYANWLSAGLSARRTRNSGFEWALTAAPDDFVYIIHDLDHLATEVCGKYIEHVLKILNSCSTQVVDTVKNSILQGGQSLKDLVPSVIDSIIETLVEKSNEELKQLHGIVAAYRMTKKPPPVRHSHYVSGVLRPLKVFVEGERAMTYLREDRRGKLIEGAAVKITGRYNDLAADIVNTARKTETSLQRIRKGAQRRAGATSDVSDHNVSETDRICMQLFLDIQEYGRNLAGLGVEAAKIPAYGSLWQLVAPQDRQGEIRF >cds-PLY61666.1 pep primary_assembly:Lsat_Salinas_v7:5:90834422:90835743:-1 gene:gene-LSAT_5X41181 transcript:rna-gnl|WGS:NBSK|LSAT_5X41181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRSWMKSQSTNLAIKDFVDYVKSGPLHYAFCDSPDPFFPKQLTQSVDKGLIKFERDVATMKRLMALDDDDDDMVVDVILHNFPGDNPPPLAPTWKNLPPAPPPPSHPPP >cds-PLY66034.1 pep primary_assembly:Lsat_Salinas_v7:MU045229.1:69517:72420:1 gene:gene-LSAT_0X31780 transcript:rna-gnl|WGS:NBSK|LSAT_0X31780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFHSEQDSADDYLFKIVLLGDSGVGKSNLLARFARNEFFANSKSTIGVEFQTQKMEINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDITRRQTFDSISRWLHELHTHCDMNVITILVGNKKDLKDAREVSTADGKSLAETESLFFMETSALDSSNVNAAFETIVKEIYNILSKKVMQSQELKKDSSIGSGKGKTVVLETNKDANKEEKKEEEAKKGGGGGWCCSS >cds-PLY63832.1 pep primary_assembly:Lsat_Salinas_v7:7:102640714:102643251:1 gene:gene-LSAT_7X68501 transcript:rna-gnl|WGS:NBSK|LSAT_7X68501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEFLKIQTCALKVNIHCDGCKRKVKKTLQKIDGVYTVSIDSEQGKVTVSGNVDPATLLKKLAKGGKNAEIWGAPKPNQQVNNQFKNMQIDGGKGGGGNNKNQGQKGGGNNQHQQQQGKGGQPQQKQVGGGGGVGGGGGGPTPQQIQQLKQLQQLKGFQDLKLPPQFKDMKLPGFGNGGGGGGGKDAPKSVKFKVPESEDEYDDDDDEFDDDDDDDDYDDDDDEFDDEIDDIPMKKPVMLGGGGSQMANMMMNPQFMKGGQMPQQLMKGAPQMGNNGGNGGKKGGGGNIPVQVNGGGKKGGGSGGGGGSNNNGGNQNHGGGGGQSKNGGGGGNGGVNMSVNDGKKGGMNDGLQGMRNMMSMSGGGGMGPMGQMGQMGAVQGLPASAAMNGGGGGGGGYFQGGGGEAMAGNPHYQQQLAAAMMNQQRANGNERFQPMMYARPPPAVNYMQPPYPQYPYPQPPQGDPLGQYFHDENTSSCNVM >cds-PLY84193.1 pep primary_assembly:Lsat_Salinas_v7:6:108352514:108356824:-1 gene:gene-LSAT_6X67960 transcript:rna-gnl|WGS:NBSK|LSAT_6X67960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATASPAFSNTSADTTSNTGYASSGAVKTTSTATTSMVMSNSSINTSKNLRGLNKPKCIKCGNVARSRCPYQACKSCCAKAQNPCHIHVLKGNSSFPDKTQSSTSSFNFQHSNETSSSGNAHRVTSLRQLSNNFAQFNNLQTPARAKKPLTRKEASQINEWRFSKLKEYKDRNMEIENESFDRYLKNISLLEDVFALNSNLEGENETMVSKLKIIRSENLRDRMRFIVNNGLKNLETETQETNTTGPKKARYSGLVELNKKLTNARTTEDLKSCRLLKSQLLNRPEDAEPEQKSSKWFTTVTINQEALGHIDDRFNGLKEIQQL >cds-PLY92374.1 pep primary_assembly:Lsat_Salinas_v7:3:123337267:123337962:-1 gene:gene-LSAT_3X85760 transcript:rna-gnl|WGS:NBSK|LSAT_3X85760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSRNQLQVTHVQSQEGVKVCDCVVPAKERTCWKITNPRRRFWNCQNSMIGPDSLDFDKLRKCSFFEWKDEEHADGYYKNRLYSLKQKLDAKDELSEMNKLRRRIDEVEFLLSQEQYKVAKSEKEVHDARKAIGRYKMIVALLFACLALYVLKLGGSM >cds-PLY62797.1 pep primary_assembly:Lsat_Salinas_v7:4:30130256:30133297:-1 gene:gene-LSAT_4X17000 transcript:rna-gnl|WGS:NBSK|LSAT_4X17000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGEMDAKAAEMEAKHQQMREEMDAKAAAIDVKQQQIDAKYEAMEKIRVEYPNLEGLMQAHIQSRDRISALPQDIIEKILTLMPMRDALRTSILSKKWRYCWTGIPKLVFDDNLVKQSSNMEEEIEKYKLINAIFHVLLLHKGLVSELCICITDAEIVNEIDQIILHLSRSKNIKRFTFEISSIDEYYKLPFSFFSLQGLEHLSLKYCKIEVPSMFNGFSMLKSLIFEDGIITANMLQQLLTSCPLLEEFTLVRSILLLMKKLFQLIPSYKGSNSMPHMLPISLVHLRILDLGVCFLELSFVLCVISSSPNLEKIKVEICWDHDRRCVEQAFDNLPDIQDYLGLNLDHLKELEITSFHNYAPEMEFLKLIMAKSPLLKKARIELDDSVSVAEEVMMLRDLLHLPLPRASPAVNFDFKTLMGTSEMRLV >cds-PLY69952.1 pep primary_assembly:Lsat_Salinas_v7:4:68966017:68966969:-1 gene:gene-LSAT_4X47420 transcript:rna-gnl|WGS:NBSK|LSAT_4X47420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFHLDAWASFYLSHLPGEFLALTGEKLNGAELVACGIATHYSLSGKLAWIEERLGQLMTDDPSVISGSLAQYGFMIKANSSGVRRGKESSS >cds-PLY91602.1 pep primary_assembly:Lsat_Salinas_v7:7:11929262:11930806:-1 gene:gene-LSAT_7X10180 transcript:rna-gnl|WGS:NBSK|LSAT_7X10180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYKHLKHSYALGACTFADEMLISQIRHLHLRNCGLIFFQLSSSKMALNGAAPPRGSAAATAANLRRRRTGGTGAAAGGTAGNMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAVLHVMGKLYFVRREA >cds-PLY93505.1 pep primary_assembly:Lsat_Salinas_v7:5:325647499:325648573:1 gene:gene-LSAT_5X179760 transcript:rna-gnl|WGS:NBSK|LSAT_5X179760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKEDIARGLKFGGSQKKDDVVVVRVGSKVLPVNYESTTTLSSSKNANLSLDLKKEKGEKIKTISKMKLELLRWAAAAKSDKGGKYIARKVSQFRNKTTVKTLQNDDQMTDDSPKISFRWEVESCSTFSSALSSNRNDEKVNIETHSDPDGKSSSVSLRSTGSGSWITTDSDYSILHLEKSVSHEFSLSDMTFLCVSATVVVLEL >cds-PLY75250.1 pep primary_assembly:Lsat_Salinas_v7:7:66054052:66056534:-1 gene:gene-LSAT_7X47940 transcript:rna-gnl|WGS:NBSK|LSAT_7X47940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYTYKPTYYSSVQDSIASICKNILPFSFKKRRLPAIAAAEQRLWKQQSDNLKWQQESFHQILNLIGLFNEGILAEAEVSAFRAHLLDTLISSPFDHEQPVILRDKLIFLQELLYANCISEEEYHTSKTPLMQRLSAQGAKINPKDIVVAGSQQRNPNDEWSVIDLQDSGSKNKSKPQKSTIKQVKGTASILGLSSSSSSSNKNDKDKSVISDMSIQNLGVSQENPFWNCEMKEKDEEKPTILMSESVVIEDSSSKKAKRRGFNGFMKWKKSDDLEKDTIPLSLNDSINENSLGVGEGQDTKIKRKLHTDGSSGDFFVDKVLGDKIKEELLKLKAELQLSDDKIDVISTRLPIDKADLKKFFSKKWCDQYGEIVLEVVRKEFKDHVQEIKNTCSAMKKEEQKRSEIKNTCFDMKKEEQKSLETKWTTFDEEDDENCHPNLFADTGRVSSGFGSQKDDRSVRVSKNRNSNDRLFKNNPFFDY >cds-PLY80971.1 pep primary_assembly:Lsat_Salinas_v7:9:175114303:175117425:1 gene:gene-LSAT_9X107640 transcript:rna-gnl|WGS:NBSK|LSAT_9X107640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVYSCSVFAYVLGVLVFASTLYVDGGSTSSFVRKVEKTIDMPLDADVFITPPGYNAPQQVHITQGDHVGKAMLVTWVTMDEPGSNTVIYWPQNSKKKRKAEGMLTKYSFFNYTSGFIHHCNLTHLRHDTKYFYSIGLDHTKRTFWFTTPPEVGPDVPYTFGLIGDLGQSYDSNITLTHYEMNPTKGETVLFVGDLSYADNYPNHDNRRWDSWGRFTERSTAYQPWIWTAGNHEIDFVPEIGEDEPFKPYTHRYPVPYKSSQSTAPFWYSIKRASSYIIILASYSAYGKYTPQYKWLEKELPKVNRTETPWLIVVMHSPWYNSYNYHYMEGETMRVMYEPWFIKYKVDVVFAGHVHAYERSERISNVAYNIVNGICKPIKDQSAPVYITIGDGGNLEGLATNMTEPQPDYSAFREASFGHATFDIKNRTHAYYAWHRNQDGYSVTADTMWFFNRYWNAKDESITGA >cds-PLY83617.1 pep primary_assembly:Lsat_Salinas_v7:2:67810:78751:1 gene:gene-LSAT_2X241 transcript:rna-gnl|WGS:NBSK|LSAT_2X241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELVSTIISPIVESLIAPVKKQLRYLFSSTKHVRNMNTKIKLLDDTSRDVKKHMETNNRSNLEIPTHVLGWLKEVEKTKEKAQSIPSTGNGCCNLKMRYRAGRKAFKTTEEMESLIDENSKIIWTNAQRPLGKVNSEIASSSAPSDGDAQNHFKSREKSFKNALESLQQDHKSKVIALCGMGGVGKTTMMEQLKKAAEDKKMFDYVVKVVIGQQINMLSIQQDVAVYMMGQSLTELSKEARADRLRITFGNLPEGRRKVLLILDDVWETIELKDIGLSPLPNSFKLLLTSRNENICKQIAVEANSESTLVRVDVMEEPEAQNFFWQITGVSKQHDMELNQIGSEIVRRCGFLPLAIKLIAKTLQFHEVFVWRDTFQRLKKKNLDENVQEVIKISYNYIKTEEEKVIFLLCGLFPDDFNIPIEELTRYAWGLQLLSEVSTLGEARDRTKMCVQNLRNANLLMDSDWIGCVKMHDLVLAFVISRVSKGHHPWIINHGDVSKWSRAEVKESCKRISITCTGMSEFPGDSKYPNLSLLRLMDGDKSLKFPEGFYEKMENLEVVAYEKMQYALLPRSLECSTKLRTLILHKCLLMFDCSVIGELLNLEVLSFAHCGIRKLPSTIGKLKKLMLLDLTGCINLRIDDGVLKDLVNLEELYMRVADEKAIRFTNSNRAELAELSKHLFALEAEFFDNNNTPENMLFTKLRRFRIAMGCGLVANTDKNMHSFENTLRLVTNKNELLESGMNELFEKTEVLYLEVDGMNDLEEVLLESVHLPRSVFNNLRVLDVFKCENLRYLFTVPIANGLVKLERLTVSECSVLEVLARSENGVAGKIKFQELKFLSLKSLPKLIGLCNTTNVIELPQLVELELDGLPNFGSIYPKKTSATSSMSSNDSAIQPFFNKQVLIPKLEILEIGRMNKLKEIWPYQSSSSDEVNACMLREIRVRECDNLVNLFPTNPMSLLGRLEELVVSNCGSIEVLFNIDMSCVGEIEEYSSNLRLINVDGLGKLRELWRMKGESSSDILIRTFQAVESIEIRECERFVNVFTPTVSNSDVRTLMNVSIDGRRPSEETRSNIELIQNSQEINVISKTEISKVYGNIPDIESSIHPKPFHVNHLQTLVVSGCKDVEVVFEIESSSNSNSTTDFTTSLHKYNHQPPPLLLPHLKSLYLINMERMSDVWKCNWKKLVIPQNQSQSYSFHNLTQIFMSDCHSIKYLFSPLMGKLLPNLKRVEIWFCDGIEEVVSNRDINDENEEIISSTHTNTISSFPLLDLLNLWNLPSLKSIDGGTKITTTSIHDQFKCSQVGVASWFLCQYSKHIHIWNCPALSRVFPSNVVGQLHKLEKLTILECKSMVEIFESKEINKDGVDSTTNVGDGSDDTCTAITIPRSADMTLLELPNLTILEIYDCEVLEYIFTSSTLESLKQLKELMVAECKAMQVIVKEDTEHTEKSKSIIVFPRLKSLTLVDLPDLKGFFLGKNEFRWKALEKVKIYGCPQMMNFTSGHSMTPKLNYIHTGLGKHSLECGLNFNLTNVTQETQLPMCSTPDLIKLVQCPWSFSNLVEVDAQRSDKLLKSRIIFPCKELLNLKNLEKLSITHGYGSVIEEVFEVAEGTNEDVDIETQSVVVFEKLKEVTLSGIDNLKHMWKSNRWIVLNFPNVTKVSIKRCPLLGQVFSSCMVGSLLQLQELHISDCESMDVIVKQVEDSETRPTTEVVFPCLKSITLFKLPNLKGFCLGKEAFLWPILDALEIKDCPKITVFTNGQSTTPELKLIDTTFGLCHATEDPNSFIKTKQQEVRGVSFGMGVLEQHQIHTCGLVRFEVSYIAQLLAYYSFNSGY >cds-PLY62958.1 pep primary_assembly:Lsat_Salinas_v7:2:211991326:211993356:1 gene:gene-LSAT_2X132761 transcript:rna-gnl|WGS:NBSK|LSAT_2X132761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVVLLSEFGNLVMWSASMKSFIWLETPDGSTQQVEEEVAMFCPMICREVLQTGMGSSKNYAIKLPQRVTTPVLGLILDYCRFHQVQGRSNKERKSFDEKLIRLDTKKLCELTSGADSLQLRPLVDLTSRALARMIEGKTPEEIRHTFHLPDDLTEEEKLEPLRIRTNDPRIRLLNRLYARKRKELKIREKAKCMLENVEVEEEHEHVDDRSVDDLLLFINSGDEKDSKGVKSSASGAPKNKKKNRRRKDHPKGLHIIENGNHKKETDSLCSSASSPSKISKLLESDSPTAIMHSSDFDFDDELDPAMKEQLDREVEDFARRLNSVWPKRVSGTGMGSLGHDRRIAFAPLSRSS >cds-PLY93823.1 pep primary_assembly:Lsat_Salinas_v7:6:143243450:143248529:1 gene:gene-LSAT_6X86320 transcript:rna-gnl|WGS:NBSK|LSAT_6X86320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVLNCMQTENLELKKLVYLYLINYAKSQPDLAILADSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKELISDNNPMVVANAVAALAEIQDNSSKPIFDITTSTLTKLLTALNECTEWGQVFILDALSKYKAADAREAENIVERVTPRLQHANCAVVLSAVKMILQQMELISSPDVIRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQRRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVEFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLETFPEEPAQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDSSLLDELLANIATLSSVYHKPPETFITRVRTVQKTEEEEFPEGIEGGYTETSSSLVVDGGASLPQPPPAAAPVPDLLDLMGLDGGDDNNAIVPVDQPAAGPPLPVVLPAASGQGLEISGQLVRKDGQIFYSLNLENNTNIPLDGFMIQFNKNTFGLAAGGPLQVPQVQPGTSARTLLPMVLFQNIAPGPPNSILQVAVKNNQQPVWYFSDRLSLLVLFMEDGRMERSAFLETWKSLPDSNEVSKEIPGITINNVDATIEQLASSNMFFIAKRKNGNQEVLYLSAKIPKGIPFLIELTAVIGVPGLKCAIKSPTPEMGPLFFEALETLLSHRG >cds-PLY87829.1 pep primary_assembly:Lsat_Salinas_v7:2:66019411:66019575:-1 gene:gene-LSAT_0X38541 transcript:rna-gnl|WGS:NBSK|LSAT_0X38541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNLWKFPLECFLNFEIFKWRGRSFLKRRMSKFLCVCLNVAESEREERREVILK >cds-PLY97072.1 pep primary_assembly:Lsat_Salinas_v7:4:75896083:75896940:1 gene:gene-LSAT_4X51260 transcript:rna-gnl|WGS:NBSK|LSAT_4X51260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKKLQTPQSEGILENVWANFIGEDHDHHAKEEIPQKTFEVSESWEELPNLDHGRDEEMGFLQRLPSLGRWISMGAEEWEEILAGIVIPSENIENPREILAKGRDQTVLGSGADDVVKTEKRYRGVRRRPWGKYAAEIRDSSRKGARVWLGTFKTPEEAALAYDKAALRIRGPKARLNFPIQEVEYKMRATDQPESDSNDHPSTSSQRTDSTDIQCSQYKDYNTNKRVFRDWEEVQTEVAMNGPPPLKRLKTLEESYWDDNIDVLEFEDLGVRYLENLLSKGS >cds-PLY70892.1 pep primary_assembly:Lsat_Salinas_v7:9:14838873:14839732:-1 gene:gene-LSAT_9X12301 transcript:rna-gnl|WGS:NBSK|LSAT_9X12301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGSTKEKGVLKLVHPGRHVEYHRSSITAAEIMRKNPRHSITRPDFFKNPYIVVRPESIMVPGQVFYIVPNKTVYKLVKHRRSQLSSHPNESPNPNTSDHNQASQQISPSKSMAGRTPISEYKFHDQEQEGSSSCEENTLDDDDDDGDSLTFQARDYYLNRNGSAWGRTANYGQQGTNLKSCMKKEGRVRVSPNLRVTFDFSTIIQYYWN >cds-PLY68685.1 pep primary_assembly:Lsat_Salinas_v7:7:85135026:85138117:1 gene:gene-LSAT_7X58220 transcript:rna-gnl|WGS:NBSK|LSAT_7X58220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSCISFVSLYGSFLRRSLALSGLSSQSVGVDAYTTIHFWGPKRLPASARSPSSNGEHRKPSLVLIHGFGPHGVWQWRQQVSFLAPYFDVYVPDLVFFGESTTKSSERSEIFQASAVGKMMEKVGVSKYSVVGTSYGGFVAYRVAEMWPERVEKVVIASSGVNMRPRDNHELLKRANVEKIDELMLPETAAQLRTLFRLAVSNRVYMPDFFLNDFIDEVLLIWGDQDNIFLLDMAKELKEMLGKNASLEVIKKASHAPQLENAKSFNKILYNFLCDST >cds-PLY91512.1 pep primary_assembly:Lsat_Salinas_v7:7:143484268:143487839:-1 gene:gene-LSAT_7X85980 transcript:rna-gnl|WGS:NBSK|LSAT_7X85980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERKQGFFSALRDEVVRGLSPARSRGKSPARSSSSPMTGFLRLKPKQLQKPEPVVIRSGSLSGETLAPLIEGPDPAASDLGETKRPGSGIGQWMKGHLSRAPSMTSSMAYKQRSDLRLLLGVMGAPLAPVNVSSSDPLPHLSIKDTPIESSSAQYILQQYTAASGGQKLQNSIKNAYAMGKVKMVASEFETASRVMKNKHSAKSAESGGFVLWQMNPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGAHTAKGPVRPLRRALQGLDPRTTANMFVDAKCIGEKKINGEDCFILKLSADPKTLKARSEGPAEIIRHVLFGYFSQKTGLIIHMEDSHLTRIQTNGGDAVYWETTINSFMEDYRSVDGIMIAHSGRSIVTLFRFGEMAMSHTKTRMEEVWSIEEVAFNVPGLSNDCFIPPADLRTCSVSETSELPRPGKASHCAKVVALEKPCEGSLGNVVWKMEI >cds-PLY77628.1 pep primary_assembly:Lsat_Salinas_v7:4:275687061:275689292:1 gene:gene-LSAT_4X141681 transcript:rna-gnl|WGS:NBSK|LSAT_4X141681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPLVTVQSLESDMATDSAGIPLPQVMKASIRPDIVNFVHSNISKNSRQPYAVSRKAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTRIWRRWHRKINVNQKRYAVVSAIAASAVPSLVMARGHRIESVPELPLVVSDSAEGVEKTQNAIKVLKQIGAYADAEKAKDSVGIRPGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGVEIANVERLNLLKLAPGGHLGRFIIWTKSAFEKLDSIYGSFDKTSEKKRGYVLPRAKMENADLARIINSDEVQSVVKPIKKEVKRAPMKKNPLKNLNTMLRLNPYAKTAKRMAVLAEEQRKKAKKEKLDAKRQPISKEETAKIKAASKSWYKTMISDSDYAEFDVFTKWLGVSQ >cds-PLY66249.1 pep primary_assembly:Lsat_Salinas_v7:5:142737089:142737463:-1 gene:gene-LSAT_5X62720 transcript:rna-gnl|WGS:NBSK|LSAT_5X62720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSETPSAADQTASSGLPGIKVNQNLILDFDPFKYDAFFQPLIESLRYSPLATGLSKTDNVPLPPLSKAYSIARYKESGVVIIFELGNQQTSITKSRFSQLMGFPYTRDLIDPQSISSSDIM >cds-PLY69780.1 pep primary_assembly:Lsat_Salinas_v7:5:231715187:231717405:1 gene:gene-LSAT_5X111860 transcript:rna-gnl|WGS:NBSK|LSAT_5X111860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANFASNRSPSPLSARGFRNSETNTTTRRSFSGNPFTRPNAVVNPRSVNPPTPANTPATTDHTKRGSVLRKSTGSSMFQDGKENHKDIVRSPARSYEKGFMAPTISAASKFTPSPRKKVLGEKNEVVRTSIQFLDKDFVMKSEATPLDQHAMMEEDSNESVTLEQKEVVLETPPVRKVTFVSIDDATDVTEDFDLVKIRPFCCSPQTSPIIAPFDADHLPPYDPKKNFLSPRPQFLRYKPNPRIELLLNKVDGNDGYGEDDVIGLEDGFNLSDTSSDTEKEEAKQKEEEEEEAKLKEDDEEEEKEAKVEEEEEKLEDLRHGSSDNLSKIISEEKQSDSKLKTASKPRFFTRSKTILCISVMFLVACFSVSFTDSPPMDLPIYKDVSFSEIYDESLKLAASVKVSLDGFVESLEQWSNIFVSYLSGQLSHFSSTHSSIQFFNLTPSPIQEEWKIVADYADVENFEEIQEFEEEMEENFEMVEEEAYEEMEVDVQDEVLMEDPNEIQPNDVILEKSLNLEDGSSEIASLSVSKSEMAVNDYEIESSLADTSAESETLTTSLTRSVYPIYMTGFSMVIVVMAAIFYLIKKKSTGTSATARVTKLDADNDKELQEESSCSSESSIQKGYKKKPINNKRDSLASSSEFSMGSASYGSFTTFEKIPIKHVSIENSNL >cds-PLY81924.1 pep primary_assembly:Lsat_Salinas_v7:8:120382923:120383888:1 gene:gene-LSAT_8X84581 transcript:rna-gnl|WGS:NBSK|LSAT_8X84581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 8 [Source:Projected from Arabidopsis thaliana (AT3G02960) UniProtKB/Swiss-Prot;Acc:Q9M8T7] MKSLRGFDGVEEIEPNTMDHRVIVKGKNADPIRVAERLRQKSGKHVDLISPVPKKQQEKKQHEKKPEAPKVVETVLKMHLHCEGCAKEVKHCLHKMQGVQTVSIDMKASHSHVIVKGSFDPKDLVTYISKRAGRHAEIVNVKKNQNKNKNDGGGGGDQQQKGENDQNWNGKKEKGNDHNNNNNKKNTTGFAYPQVPPELVYAQDLFSDENPNACSIM >cds-PLY88467.1 pep primary_assembly:Lsat_Salinas_v7:1:150169967:150172384:1 gene:gene-LSAT_1X105701 transcript:rna-gnl|WGS:NBSK|LSAT_1X105701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKKATSGQGDLITILSIDGGGVRGIIPSVILDFLETELQKLDGENARLADYFDVISGTSTGGLVTAMLTSPNDEKRPIFAAKDITNFYLEHCPKIFPQHKNPFAPVEKALKALSGPRYDGVYLHRLVREILGNTRLHESLTNVVIPTFDIKRLQPVIFSTYQLKKNPSLDVMLADICIGTSAAPTYLPCHTFRNQDSEGNLIGEFDLIDGGVTANNPTLVAINEVTQEIKGGIKTGGFLVLSLGTGSPKFIEKYDGNTSSHWGVVGWLVGGGSIPLIDVFTQASSGIADYHTSTFLQALHLEGKYLRIQDDTLTGDVASMDLATTKNLEDLVQVGKELLQKPPTLGMGKQPFSDSSTNEKALIRYVSLYIHIYKYGK >cds-PLY93838.1 pep primary_assembly:Lsat_Salinas_v7:6:145890936:145892927:-1 gene:gene-LSAT_6X88261 transcript:rna-gnl|WGS:NBSK|LSAT_6X88261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase domain-containing protein Sgpp [Source:Projected from Arabidopsis thaliana (AT2G38740) UniProtKB/Swiss-Prot;Acc:Q9ZVJ5] MTASASDISENGKATIVALAPLEAVLFDVDGTLCDSDPIHHIAFQEMLQEIGFNGGVPIDEDFFIKNIAGKHNDDIAAVLFPDDIQRGLKFCEDKEAYFRKLVKEKVEPIKGLYKLTKWIEDHGLKRAAVTNAPRPNAELMISTLGLTDFFHCLVIGDECEHPKPAPDPYLKALQLLNVSKAHTFICEDSVSGIKAGVAAGMPVVGLSTRNPEHMLMTANPTLLIKNYEDPQLWAALEEIDRKKTSGA >cds-PLY92176.1 pep primary_assembly:Lsat_Salinas_v7:8:5231950:5232339:1 gene:gene-LSAT_8X4021 transcript:rna-gnl|WGS:NBSK|LSAT_8X4021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIKSSSPIALIIITIAIALTASPICVQSKSDFLLLPSDDAGSTSLCPRSVNSESCPVKCFRPAPVCGVNNVTYWCGCSEAICAGVQVAKLGFCDYENEGSGPVSGQALLLVHIVWLILLGFFVLFGLL >cds-PLY97004.1 pep primary_assembly:Lsat_Salinas_v7:2:31948691:31950152:-1 gene:gene-LSAT_2X16981 transcript:rna-gnl|WGS:NBSK|LSAT_2X16981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVAFSPTDDHWKKMRKVFITEFAGSKRTRFFKNVLDMEVKTMLDSFSSHLLDTTINLEDHIEHLITDVACKLAVGKSYREGTLVRGKTLKEILDELVIMLSGSLSDIFPSVGWILDGLRGWNGRLEQCFSDLDSFLEMVLDEHIDRTESERGDHERDLIDACRSQLTRDELKSLLMNVINGSVDTTTAATIWAISEIIKNPRVMQKLQDEIRSCVGKKPRIDESDITQMTYLKLVVKETLRLHPPPFLLVRECTSHCQINGCDILPGTRILINSWGIGRDSKIWKDDATDFNPERLENLEVDQCEMVPFGGGRRSCPAANMATSIIEFTVASIFYSFDWKLPNEVNNENLDMEQEGFPVVKRKVPLCLVPMKHNPED >cds-PLY87385.1 pep primary_assembly:Lsat_Salinas_v7:4:11979436:11985148:-1 gene:gene-LSAT_4X7801 transcript:rna-gnl|WGS:NBSK|LSAT_4X7801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNGGSGQKLTTNDALMYLKDVKDMFLDKKEKYSEFLDIMKDFKAQRVDTTGVIARVKELFKGHRKLILGFNTFLPKGFEITLSEEDEPHAKKPVEFEEAIQFVNKIKMRFQGDDRVYKSFLDILNMYRKENKPIKEVHQEVAALLHNQPDLLKEFTNFLPDSSAATSNQYVHSSRNHKPHPEDRSSPIGRIRPPPSEKKGVACHAEREVSADMADPHHEEKFIKAEKEHKRHGDREKVKREEREHHSQDRDSNHHQGSNRLAQKRKSTNALEDSVTELFQQDMRDQMISLREKVKERLHNSDDYQAFLKCIVHYCTENITRPQLQSLVNNLLGAHPDLMEEVNVFIDRNERTDDEDKNVSESKPSLLPNKDEYQAKPIHELDLSDCERCTPSYRLLPKNYPIPSVSQRTTIGDEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTAKRVEELLDKINDNSIKTESLVRVEDHFTSLNLRCIERLYGDNGLDVMDVLKKNASLALPVVLTRLKQKQEEWARCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDSKSLSAKALLAEIKEISEEKCRNENIYQHFTMKRQNSTPHQEFKYCDLDVHEDLYQLMKYYIPQNSTPEQFNKVMKIWTTFVEPMFNVPPRPPQSTNGNQDVAKTSKRQSEGKNVNTTTSVNGHHKVEKEEGELSPNGDFEEDNFAAFRETTTTTTTNNNNRFREDDEVEGEESAHRSSCDSENGDGSGSETADVAGASHEDHADKDESEGEEGDENETLLPFSELFLDTVKPLAKYVPEVSRIVTNGPRVFYGSDSFYVFFRLHQTLYSRLEEAKEKSANDKWRGSSDKTPNDSYARFLDLLYSFLGGGIDSAKYEDECRTVLGTWAFPVFTLDKLIYKLSKQVLAIAGDEVDNKLLHLHAYENMRKPERFIDELYNANARVIVNDDNIYRFQHSLIPETNKQTQLAIWVMDTVYETSEPPAFSIDPNFTPFPIPTTQGKKKPGIFLKRNKRKYACEDEDLAMMHAMEGLRIVNRMECKINCVTYKISYVLDTEDSMVRKGRKKVNSQSISLNQQVNLSDQVQKFRKVLQSRIR >cds-PLY93366.1 pep primary_assembly:Lsat_Salinas_v7:9:64279737:64284549:-1 gene:gene-LSAT_9X56100 transcript:rna-gnl|WGS:NBSK|LSAT_9X56100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGFISLTIRHEDLNSSLFRYIVREMLACAVIRPVINLANPRFISERIENVVQNSARKPEKVSACSKCFNSPVAWSGKLNAIACASESCACIPRISTVIDSDMVMVLSFGEMMEYDAPSKLMESDSYFSKLVVEYWSICKT >cds-PLY89258.1 pep primary_assembly:Lsat_Salinas_v7:5:310918222:310922037:-1 gene:gene-LSAT_5X169740 transcript:rna-gnl|WGS:NBSK|LSAT_5X169740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASHHASLGRRTLEDIRQKRAAQKLSKTSSGPDLTRPPNPSEIFGIKKSSSGSGISESDISGLVSQLQELQKKNLELDEENKKLSSELHSNEVENDMLRKRVNDLEQNTVPSLRRALKDVAMEKDAAVVAREDFSAQVRALKKRLKEAEEEQYRAEEDAAALRSELNLLQQQAISGAITSIGSPPDDLQAMEKELADLKTQLEQESMLRRQEGMMRRQEQQQLVEEQRRISAIISEKKELEEKLAAMSREVSGVSDREAQFTMEEKERYETQLHDMAVAVERLESSRQKLLMEIDSQSSEIERLFEENSNLSSAYQEATDIMSHWENQVKDCLKQNEELRSMLDKLRAESIMNNENHIHSGISESNKEGEAHTTELVSLKGQLAKEQSKAETLSAEVLQLSAQLQQAIQAYNGLARLYKPVLRNIETNLLKMKQEGSLIVQ >cds-PLY94390.1 pep primary_assembly:Lsat_Salinas_v7:6:9082404:9082664:-1 gene:gene-LSAT_6X6500 transcript:rna-gnl|WGS:NBSK|LSAT_6X6500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRQKGKNLQHRYHLLSTNKNHSFHTSIFIGRAKASTKTKGDDPHMSEKGKNNDKNGNGGMFSDGGFEWKLGGLRFMLVYSYNLRLI >cds-PLY64176.1 pep primary_assembly:Lsat_Salinas_v7:8:125401971:125403386:-1 gene:gene-LSAT_8X87740 transcript:rna-gnl|WGS:NBSK|LSAT_8X87740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSTLDYINQMFPIEASLSGVEPLMQKVHNEIRVVDTEILAAVRQQSNSGTKAKEDLAAATRVVQELMYKVREIKTKAEQSETMVQEICRDIKKLDFAKKHITTTITALHRLNMLVMASKRQYKEASAQLELCSHFDGYRDNPKITKLRDKFKNIKQILKCQVYSNFSRYVSLMLFYNLFLSWQV >cds-PLY74221.1 pep primary_assembly:Lsat_Salinas_v7:1:77458447:77460135:1 gene:gene-LSAT_1X65500 transcript:rna-gnl|WGS:NBSK|LSAT_1X65500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQKAESGRSKKGETAPATAKANGKRKIKKNAEGDVQVEVPIVQSSSSNSHNHERNGVKSESFVEEQVEVSGKVDVVDDSVEAEEAMKSTEASKEEKKKEKAKEEEVKEDTHQKKKKKVKEEIDEKDSSSLYQIPMNRVSRIIKVEDPNVRITQEAVFIINKASEKFLQLFTTEAYASAFLDRKKHIGYKHLSSVVGKRRRFDFLSDFVPEKVKAEDALKETPAVET >cds-PLY82582.1 pep primary_assembly:Lsat_Salinas_v7:2:187952301:187954634:1 gene:gene-LSAT_2X109620 transcript:rna-gnl|WGS:NBSK|LSAT_2X109620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYSNEQTKLKPQLLKSSSFVWDRAFFTSEGVLDEDELSSIIEANGNNVKHQLQPLQEIKNLEAELFQEMEEASTKKFNKIKTKPTTSRHNSPLNNVKSSTTITRNSKTGKAKADSPSKSHLPSHIPSRTSSPSSPNSPGSPGSSSSTFALNQRSSRGNTSAPRRSSSTNARRKDEQKPGKQLIIALKNKSSPVHSHHPSSPLGLSSSSSSTSLASSSLSSTYKVNQRSISTGRGTTLQHRSSNRDSSASSSLKTRPTSQTLDRTMKQSTTLYPRTKTTLFEDHGKERKIQAKKTGERSSSPGPSRVQTSGKERERVSSSVSLKGVRNIFVVSPEIMDIKGKLNALKMEINMQKREKCKETKMVPVKIGKSESTSSGIFKNSSSKMNNQARRT >cds-PLY67310.1 pep primary_assembly:Lsat_Salinas_v7:8:235489346:235489570:-1 gene:gene-LSAT_8X141541 transcript:rna-gnl|WGS:NBSK|LSAT_8X141541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARERWNTTNGIHGLDSILGFWAGVMMFALVLFAVIIFSCAEGAESKDKNDGGGDTTAYGGSECVAGCGAGCGG >cds-PLY69489.1 pep primary_assembly:Lsat_Salinas_v7:6:44936566:44945284:1 gene:gene-LSAT_6X31901 transcript:rna-gnl|WGS:NBSK|LSAT_6X31901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAASENMKYKDPKQPLNIRIKDLMKRMTLEEKIGQMTQIERTVASADVMQKYFIGSVLSGGGSVPAKEASPETWINMVNEFQKGSLATRLGIPMIYGIDAVHGNNNVYNATIFPHNKIGVATALEVRATGINYAFAPCIAVCRDPRWGRCFESYSEDPAIVRQMTELIPGLQGDIPANDRKGVPFVGGQKNVAACAKHYVGDGGTTKGINENFINRHGLFSIHMPAYYDSVLKGVSTIMISYSSVNGVRMHGDHELVTNFLKNTVKFRGFVISDWQGIDRITDPPHANYTFSILKSVEAGLDMIMVPYNYTEFIDGLTYLVKNNFIPMTRIDDAVRRILRVKFVMGLFENPLADLAMAKYLGIQEHRDLAREAVRKSLVLLKNGKSGDPPLLPLPKKSTKILVSGSHADNIGNQCGGWTIEWHGQSGNITIGTTILSAIKNTVDPQTEVIYQENPTPEFIKSNNFSYAIVVTGEYPYSETVGDSLNLTVPEPGPTTITNVCGTLKCVVVLISGRPVVIEPYVSTMDALVAAWLPGSEGQGVADVLFGDYGFTGKLARTWFKTVDQLPMNVGDPHYDPLYPFGYGLTTQPV >cds-PLY74526.1 pep primary_assembly:Lsat_Salinas_v7:7:35473926:35477369:1 gene:gene-LSAT_7X27180 transcript:rna-gnl|WGS:NBSK|LSAT_7X27180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMQAQVNEKSREERLLETMVTSGGVRLQPTRDVIQGFFMEASKLNALALSHALEAKLQSHMWQVLSLLNGEQSGSMISHQDKWNGVFDLHIPRDKETDKPKGFVFAEYETEEIVDYVVRLFTGLVTLYNKTLRFVLSWQPSTVVLDRHLLAKDIVYVFLCSYFGFGNILAYWSNYMYFT >cds-PLY71639.1 pep primary_assembly:Lsat_Salinas_v7:9:135103916:135106315:-1 gene:gene-LSAT_9X87321 transcript:rna-gnl|WGS:NBSK|LSAT_9X87321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLASPCRRPKPRYIDPSSQTLEELALFSGPHKILPKIGQAHPTNRLQALRVVVFADGAIADEARAAGADLVGGEDLIEGIKNGNLHSH >cds-PLY98156.1 pep primary_assembly:Lsat_Salinas_v7:1:140926586:140932710:1 gene:gene-LSAT_1X102120 transcript:rna-gnl|WGS:NBSK|LSAT_1X102120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSELSEGSSSSSSTHKCHTYDVFLNFRGDDTRHGFTNHLYNALIHSNITTFLDDEEIETGEDLKPELESAIKASRASIIVLSKNYATSTWCLDELVLILEQHKACNHIVIPIFYHVEPAHVRKEKSSFGDAMAKHRQMIEAETDANNRSKLAQKIEKWNKALIEVADLKGNDVKDRLEVEFIDEIVKDIFRRLHISSRFPLPQLIGMEDSIKFVNSWLKDASSHTTDILTILGMGGIGKTSLTKYVYALHSHEFDSCSFIEDIGRKFDEKSNGMLGVQGQLYNDISKPNSVQVLDGSIYTSMIENALARKKVFLVLDDIGSVDQLDVLLGSKGLHPGSKIIITTRDTWLTKSCALFKKNVKPKHATYTLKGLFKTESQKLLCFHAFMCNDPKAGYEEVSRKLVNYCEGHPMALKILGRSLHDRDITYWEGYIDRLKKENDSPINTVLRMSFDSLPSDNDKELFKHIACIFVGMDIDFSVTILEACDIETRSGITNLIDRGLLSNKWNKELTMHQLVQEMGRFVVREESLYKPWERSRIWGHESFKVLKDEKGTENVLGLTLDMRMLEKEQLHGSIELKIDALSKMDRLMLLQLNYVQITGSCKDFPKKLIWLSMHGFPLKYVPLNLPLENVVALDLSYSKIESFGISCSYPQRLLKRLKFQQLTGSCSKAKKLLGSLKILNLSFCEQLSILGGFDHLPKLERLILKGCIGLLEVCESIEQCFELVHIDLSYCDKLEKLPRSLGKLKKVKTLLLNGCYLSESRINVRDKKSSEIVKANNIHINTTTCSSTVIHAMPSYSKFSVISLPRFLVSLSLKNNNLSTESFPLDMSCLTMLKELYLDENPIVSLPSCVRTLPRLETLSMRDCNMLTSVEHPPHTLTVMNLYSKPNKHLLRKVIFDPQMSPLKLSVGWRMMAPSSSEFEGMLKIQPMSGVEEKLLHCLGWTKVDFLNRRLVVTSTGNATLKESEIQMYYEFGIFSTIYEGEEMPDWIMDKSMEPSISFTVPSSPNNLIGLNFCCLLTSPFPYYKFFYLPLIKISNITKNLTWIYDHYIEKVNIGGKFLTLSHWMFGMNEMVCGDHVNITILRERPDDFAYTKECGVSFVYDDGEEKEEDLLGYYKSWNHIIGGDLIGFQSTTGEYILSKWRILLPNLEMDQLSYGYLCEEGANFKDKLYFKALVS >cds-PLY88252.1 pep primary_assembly:Lsat_Salinas_v7:4:154977299:155000910:-1 gene:gene-LSAT_4X95020 transcript:rna-gnl|WGS:NBSK|LSAT_4X95020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIDGSFSVEYELQRFLLRCPKLAAAPQLDNLVKKGKILTEEELVDGVAELLLHPRYTIPLVGCFRPIARKIVDRVVALLHLVPDLTSNSHDSMIEFDDGRLFKDAESSDYERDISVINLYVKYGRGLGLHELSCLAFSRTLDMLPYLSGSVRDYFKFAPPPFKRIMEKESMAQSLVQPGTHLLDAVRVSYRLLLAEPEVFAKLWDWSCYMDLVHEITGFNGENTELLMDIRWCAVQTLTMVLKMSDKFIRKSKTSDMATSEFGFDDEKAYGCLLRWKEFLQDVSLEKANWYLEPFRENTSVGQPNWSNMEAKWDTLKTGSPFVLTSAVKKSFEMVLLAVSQRWPVLLYGPAGAGKTALISRLAQGHGSQVLSIHMDEQIDGKTLIGSYVCAEQPGEFRWQPGSLTQAIINGLWVVFEDIDKAPADVQSILLPLLEGATSFLTGHGEAIRVSESFRLFSTVSSSRLDASSMTTGRNSLGALWRRVMIGSSSSSDLESILKAWYPDLESLCGKLIETFEKVNHLTGFQLGTSVTLSSAGRFSLRDLLKWCKRIAGLGFHFMGERLSGHVAKCIYQEAVDVFATSSSSVETRMRIMRELAEIWGIPISDAETLFPVDKPVVQDLRSDIRIGRVTLQRTRIPTSSVNKSFVEIRTSLHILERIAGSVKYNEPVLLVGETGTGKTTLVQNLAARLGQKLTVLNLSQQSDVADLLGGFKPMNAQFLCLPLYQEFESLFRSTFSSKDNENFLAILRKSVSDKNWNVLFSGLQKGVRTVVKIGKQKPGVKRKRPLGENLIKSWEKFSLKLEAAQGQMNASDGMVFSFVEGVFITALKNGDWILLDEINLAPPETLQRVIGVLEDEGGSLCLAERGDVDYISRHPNFRIFACMNPATDAGKRDLPLFLRNRFTEYFMDDVLEDEDLKLFINRFMEADNSVKDITTKILKFYKIAKKESEERLQDGANQKPQYSLRSLYRAMEYTKKARRAFGFLKAIYDGFSMFFLTMLDPPSANLMKKLITGYLLDGKDPTHVSFDRYIVVGKNTKNEEFLENYVLTKSVRDQLSNLARAIFIGRYPVLLQGPTSSGKTSLVQYLAAITGHEFVRINNHEHTDLQEYLGSYITDASGKLVFQEGVLVKAVRNGHWIVLDELNLAPSDVLEALNRLLDDNRELYVPELCETIHAHPDFMLFATQNPPTFYGGRKMLSRAFRNRFVEIHVDEIPQDELSTILENRCKIPGSYAKKMIEVMIDLQKHRQSSKVFAGKHGYITPRDLFRWADRFRMFGKSYEDLGRDGYYLLAERLRNDVDKEVVLEVLKNKLRIKLCRSVMYKEDESLNLQNSVATPRDLGRHVVVWTDSMWRLYFLVERCYRLREPVLLVGETGGGKTTGFYPVRERSEISLDYKNLCEELQASKAFKHFPHDATISLDINQASTTLEQVTKMIKYYREAQVHHADVTQNEVDTFEEIRNRLSLLHQKWGTIFTWQDGPLVEAMKNGDMFLVDEISLADDSVLERLNSVLEPERKLSLAEKGGSDLEKIIAHPKFFILATMNPGGDYGKKELSPALRNRFTEIWVPPVTEMNELKCIALEKISNPEISFVVDVMISFWEWFNQLQTGRTLTVRDLLSWIDFINVTDGSLSPEVSMLHGAFLILLDGLSLGTGISKKEAEDLRERCLSFLMEQLKESKLRLNKVSVLTDENYGWSDLLKSGEALSSNGMEIDTHFGIHPFYIEKGDNHLETKGFDFLAPTTRRNSLRVLRAMQLKKPVLLEGSPGVGKTSLVLALGKFSGHSVVRINLSEQTDMMDLLGSDLPVESDEGMQFAWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGHTFKCPSSFRVFACQNPTSQGGGRKGLPKSFLNRFTKVYVDELVEEDHKYICGTLHPSVPEDLLSNLIKFNKRLHEDTMVNHKFGQDGSPWEFNLRDIIRSCQIIEEAPEGSKSDCFLNTVYIQRMRSSTDRQQVIQIYEQIFKAKPVINLYPRVQVTPENLIVGNTHVKRTNMQSSTVSSCDLKILPGVRQSLETMAQCLHHQWLVLLIGPAGSGKTSLIRLMSHLTGNILHELNLSSSTDISELLGCFEQYNAIRHYRLVIEHVERYIKAYSCRQFEKSTQEFTKQKDLIGRWLAFSSSLDNSSVSFVTNENWSRGVFRSLPLLVDIIENLLLDLENDSEHLNRLLKTVKKLQDDQRKMLYPAKFEWVTGLLIKAIENGEWIVLENANLCNPTVLDRINSLVEQSGSITVNECGTIEGKPMVLHPHPQFRMFLTVNPTYGEVSRAMRNRGVEIYMMQPYGENELIDVKRFLALSDIPGEHLVNAMAKAHLSAKRDGLKHNVSISNLELMRWIQLFQRLVTNGNQAMWSLKISFEHTYLSSLGEIEGKDIINEAIGSYLSTPELHNCSSLCLPGGWPTTLNVCDFVWYSRETSVKQNCMYLEYLGAQMASHSFRASLGRMDNVQCPSDSMRGYLVDLETLHAMTFPKADVAGLDKVNQTRFDFAFTNKRLLFAANWTMEQATESDLKLYLLWFGWLGDHLNRHCSFFSSFRKLLEKELDHSIWNYIRTSHGSQSSPMLSLDFGTSTPSESESISRNLSNAVKCVGLLRVSYQQWHNESEFKYSEQTRCFIPVLQSLKRLEERILDMLVESPFFDVLFVLYKELINDHLSAWNGLVSSQYDCMLISWRSLVKDAKKLKEFCANEVENFQNEMKNLERVLSWALSSQRSLLWAYGGHPSSPSSVEIYRKQQQLVNLCHSIWTKNINLQELDHKGYIEAAVATNPELRFLAMQGVCMSAFIMSKVDEDDHDVLTQLEEMYQVLSSKFHYEKDKMERNAGTNDIASLFGALSSCCTMNPDMLCLPSGFNCWLDTLPLNDNNSFGLDMRLLQELSNIITLDGKELESGLSRLRWLLESTLKFSLNSSSRPPTDFSPYQKISWVLEAWTSVNNAHASISSTVLEMWFNWHTSLWKHHSNREKKNSSQHGNADLVPDMLFEPVATLALDKILGNPIGIKGLNAHSLKLGVASCNLWLSSPHVADSRSFFLSSARSLFQQIILAHEKSFEADKYSLIKSIFFSAQQADVRVIISLLESSNHNVLKSLISPFIKPLLHALYVKNSTDSLFDLGCAWLRIGGLRYHLLLCCDDVDPAVKKNLKYFQLTERIASLELDIEVRKECVQLAGCFSLSEADKDKTELLNDLKAERTRLQKQVVFRSDPRKFKKLRSECDEFMKLVATPFDLLKNIGNLSMQRVADQVHNWQETATRFVDRLSNEYSEYVDIVQPVQIAIYEMKLGLSLVLSNSLRDQFLDRVGQKDIDVVLGVIYSFMRFPRGISGKVDSCVDNNSFHAKLSSFDKAFPTYIGEADVAMVETLVTSAKDNNSDEVASALKLKASIHQNILHRVVHYVAQAHFLDDVSFKLMDRMFDEFANLWMKMKIQVRTKEELDSKQYIFRPRAFDIKNVIEMDVSTLESSIANEAFSEWQELASEEAPVEIEKKNTDEEDEMLEKDWSLLLESALNDMINVYTTVFGSADLLQPAGLFQVADSDRLGSFSDSYAMGTRVIKDMEGLLCWNLDSKLIPEHVLRLSLEHDRKSMSSHLPKNSYNFYKDSNASMLAKMVDPVMNLQNRIRHLLSEWDDHPALQKIVDVIDMILSIPMNTPLAKALSALQFLLNRVRNLQETVSKFPLSDQLDPIIGLVSSWQKLEFESWPALLDEVQAGFDTNAAQLWFPLYSVLRQSQSTDTDDYSHLDDFIRTSSIGEFRKRLQLVSAFHGHISTGISQGSNLSRGHEDNVRILYNTFGFHIQFLPIILERLADNRKSIQVELNELMKLCKWERNEWFMTMETSKRTREKFKKLIQKYTDVLKQPVVLILTQEAARSGIKTIAVHTSASFSDSFEKYKQVLEVSCNETQFKNKKRFIWPSTWRNKVDLALGDIRVAKGIINSDYPCVPLEEAQGNIDIVSKLIGLESLSGVTKEEWRQVRHTFKKISTNLVYCGELWKDEKKKSLGKRRALSELLKMLDSFGLSKHRSTSMEDKAWSLQPSYVIEHLLLNESRLPSGDLDVKALEKVQKLPMDNNNNLETDWKTPNEYFFKSISSLNHLRQVSVNFHKDFTTEQVGRSISYLDHLVEIQKSHRAAAYDFSNGLDRLKKQSVPLQNLSSSSYSHNQDVVSKCMWRQKQLFDAMCDMLNDECLLLKSVENNHLNNCSSVGVGAGRIRLFLEKFVPEFKKSKELLDGYLFGDCGGVLSTEVVLHPHGITKQMEQFVQQNLLLVKDFKEQLRAIRKQDLTSGLAKYVLLDHFEEIFAKGKLLDEYYNSAMEARVHIQDVNDVSKAEAEFTESLRKTCKHIVDGFDRVNSVNNKLDSTEDYLGNINTWQTLFASRVENLQLNSIHDELVKTLEFAGRLMDLYGNGETNLSMVTHALAEIFASLYSQGFGGPTETQEEENGGDDTKDTTGTGMGEGAGIKDVSEQIEDEDQLLGTEKGEEQDASNDDPSKNDKGIEMEQDFTADTFSVSEDSSDDENDDETDEPQLDSAMGETGDDSEVVDEKLRDNKDDEDVENEKKNEKYETGPSVKDNDESGRELRAKEDEEDDVNNGDEPGELDPEESNKKNDENDDLVPDETDEVEDMNIDKDEAFSEPTGLKPDETNVNSDEDIDMDQQEGDDDQNEDGETETVDESAEINNGEDENTNSVEENADMEAEEENQEKNGDADVDEQKKDANSTPITTDFGNEIQPNADSATQANGGSNGASMGDVAPEATWSNSNDMQSDMAPIRGLPNSSENEVTVADSSKGGKLNDEHISQLPEVDPLSSQKSQPNPFRNIGDALDGWKERAKVSIDLEEKKDEAMDDENGEIDADEYGFTSGLEKGSAQALGPATADQIDKNMDGKEPNDGKNTDGEVTDKKDDSEMEIDEEQHVEARPIKNHLSIGNNLNEKTQVEETEIPEETLEVDSNGEHDESRETESSVVMKRSYMTEGINQLSKLSVGDDDMGIAHDLKDVSHDMKDSATALWRKYELQTTRLSQELAEQLRLVMEPTLASKLQGDYKTGKRINMKKVIPYIASHYRKDKIWLRRTRPNKRNYQVMIAVDDSRSMSENNCGNVAIEALVTVCRAMSQLEVGNLAVASFGKKGNIQLLHDFDHPFTGESGVKMLSSLTFKQENTIEDEPMADLLKYINNTLDSAVMNARLPSGQNPLEQLVLIIADGLFHEKEKLKRCVRDLLSKKRMVAFLILDNNPAESITQVLEATFEDGKLETGKYMDSFPFPYFVILKDIQTLPRTLADLLRQWFELMQHSGA >cds-PLY66229.1 pep primary_assembly:Lsat_Salinas_v7:5:142934452:142934802:1 gene:gene-LSAT_5X63340 transcript:rna-gnl|WGS:NBSK|LSAT_5X63340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSREQQPLFDLNEPAMEDDESDFLLCFKPKTIVLNTVDNLVTFKTTIISSSRSVNNHQFSHASSGSAFQPFIKSKGEREKVTKLMDPSDCNANVAMNPSRIIGRLMLIIKNMSVK >cds-PLY91638.1 pep primary_assembly:Lsat_Salinas_v7:8:12837675:12841043:-1 gene:gene-LSAT_8X10241 transcript:rna-gnl|WGS:NBSK|LSAT_8X10241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHRPPPYLPLIFLLFFLISAAALPSELLSLLALKSSLKDPLDTLHDWEWDPSKPGTQCSWSGVQCDPKTNRINSLDISNRNLSGTIPPEIRYLTSLRYLNISVNQFAGPLPVAIFELSSLQTLDVNHNLFSSVFPSGISKLKHLTFFDAYSNSFTGPLPTEFVRLRLLEHLNLGGSYFDGEIPKSYGGFERLKFLHLAGNSLRGQIPAELGVLTSLEHLEIGYNAFSGGVPMQFGLMSNLTLLDVSSASLSGEFPIGVTNLTKLETLLIFKNNLHGEIPAAIGKLQSVEILDLSNNNFSGRIPEEISLLRNLAELSLMNNRLTGSIPEGVGELPKLEYLFLWNNSLTGILPQKLGLNSRLQRLDVSSNSLSGPIPPNLCLGNNLLKLILFSNKFSGELPPSLANCTSLYRFRIQYNRLNGPIPIGFGSLPNISFMDMSENNFSGPFPGDLLNAPKLEYLNVSENSFDSTLPENIWKAPSLQIFSASYSKFKGDIPQFKGCENFYKIEMEGNELSGSIPWDIDHCVKLISLNLQRNSISGIIPWEISSLPSITEVDLSHNLLTGTIPSVFGNCSTLEGFNVSYNQLTGPVPASGVAFSSLHPSSFAGNEGLCGGVIRKPCRTETEPETKSQHPKKTAGATLWIVAAAFGVGLFILVAGIRCFRATYQQRSVVEETETGPWKLTAFQRLNFTADDVAESLSMTGKIIGTGSTGTVYKTEMPGGEIIAVKKLRGKHKETIRLRKGVLAEVEVLGNVRHRNIVRLLGCCSNRECTMLLYEYMPNGSLDDLLHGKNKCDNLVGDWFTRYKIALGVAQGICYLHHDCDPVVVHRDLKPSNILLDGEMEVRVADFGVAKLIHCNESMSVIAGSYGYIAPEYAYTLQVDEKSDIYSFGVLLMELISGRRSVESEFGEGNSIVDWVRSKIKSKEGAKEVLDKNAGSSCSSVREEMMCLLKIALLCTSRNPPDRPSMRDVVSMLQEAKPKRKSPDDGVRVVVNGGGKPPVQKSSG >cds-PLY73447.1 pep primary_assembly:Lsat_Salinas_v7:4:180193591:180197788:-1 gene:gene-LSAT_4X105600 transcript:rna-gnl|WGS:NBSK|LSAT_4X105600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVHHTTMNIFIIFISVTLLITHALSLPLCTDSSAPLKPKTPLVFCNYNGSSCCDSIQDKNIQKQFESMNVSQPACASLLKSILCSRCDPFSAELFTIKTVPRQVPVLCNSTVSSNSSQTNQANNNFCEKVWDTCQNVSVRNSPFSPLLQGQAPTPANSSNKLTDIWQSRSDFCNVVGGPSLEDSVCFNGEKVQLNITTNSTKAPPTGMCLEKIGNGSYLDMAGHTDGSNRAFFSNQKGQIWLVTVPEIGSGRRLDLDESNPFLDLTDEVYFDTQFGLMSIALHPNFAQNGRLFASFNCDKSKNPSCSGRCACNSDVKCDPSKLPADDAAEPCRYQTVVAEYTVNGTSSSRASVIPVASPVETRRIFTMGLPFTSHHGGQILFGPDDGYLYFMMGDGGGGDPYNFAQNKKSLLGKIMRFDVDKIPSESEITSLGLWGNYSIPRDNPYLEDKDLLPEIWALGFSNPWRCSFDAERPSYFMCGDVGLNEYEEVDMITRNGNYGWRVYEGPTIYTPEKSPGGNTSVTSINPVFPVMGYKHSDINKNEGSASITGGFFYRSTTDPCLHGSYLYGDLYAHEMWAGVETPVNSGIFTVNNISFTCAKDSPEPCILVPGSSLPALGYLFSFGQDNNKDIYLLSSSGVYRVVPPSRCGYTCEYETPTTGPTTGPVTPTRNSSANILKNSFKSLFVLLLFSLVLVYSTP >cds-PLY98805.1 pep primary_assembly:Lsat_Salinas_v7:7:23986406:23989490:1 gene:gene-LSAT_7X20200 transcript:rna-gnl|WGS:NBSK|LSAT_7X20200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQKRPPKKFIWIANRQNPLSVSDTNSTLTIDNNGNLRILDGEQNTVWSTSIRFQSNKTTAKLTDAGCFALNDTISGLTLWASFDYPGNTLLPVMKLGTSGKDLMTSWKSENDPNPGDFVVGLSEDQPPQAFIWRGSKAYWRGGPWDGGKFIGIPEQETRYPNQMTIMPENSQGGAFLTINNYNSSDIRWLYLRHDGVLQYNYIDDVHNVWDFTWEAPANPCDVYGVCGVFSICTDKKFPICDCLRGFLPWSDDEWRKSNWTRGCVRRNELLCEKNESKSEPDRFQVIKGIKLPDYHEYFPYMDSEECGSWCLGNCSCKAYTFVEGIHCMIWTKDLIDIQQFSFWGEDLFLRLAFEESGEDTKGAAVAISLTAIGGLLFLGGFVFCLYKCRTYKKGKKTKLNHFNSEDRIVLGDRQEEDDLNKESFELPIYKFEQIITATDNFSYRNKLGEGGFGAVYKGMLDDGQQIAVKRLSGHSGQGIEEFKNEIMLISKLQHRNLVKLLGCCFEGRERLLIYEYMINKSLDTFLFDPKKRMQLDWATRFNIIQGIGRGLIYLHRDSSLRIIHRDLKCSNILLDAKMNPKISDFGLARTFQMTQELANTRRIVGTYGYMSPEYAMRGVFSEKSDVFSYGVMLLEIISGKRNTEFIHHEQIYPLARAWKSWNEGLGVELMDETLGESSCVSQGLRSIHVGLLCVQDIAEDRPTMSEAVSMLCSETHLPEPKMPLFTLQRLSSINGIGEEFKNMCSRNTVSLSMMEGR >cds-PLY77906.1 pep primary_assembly:Lsat_Salinas_v7:1:23207581:23208508:1 gene:gene-LSAT_1X19101 transcript:rna-gnl|WGS:NBSK|LSAT_1X19101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCRCCRSRKKSRRAMKTMIDRLPILTLSRDRSRGRGKSVCSSDDVSFSLPTSSIKFQSVISLSPTQVTVIEDTTIAFCFSAPCTNKFCLQVEFERLHAKCSMKVLKYVNCCCGLKNNHSVDYN >cds-PLY92826.1 pep primary_assembly:Lsat_Salinas_v7:2:2760545:2763810:1 gene:gene-LSAT_2X1920 transcript:rna-gnl|WGS:NBSK|LSAT_2X1920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKEAENTQVSELSTTELEEQNENTLNFLDSVDNYLILIESLTSTLRQGWLELASARHSMGGSRVNTALLTLKQHSAATKVEVNYDNGGSMKKSPHLTLCKWTSSDKKDSSFEKENTDNKDSTKESNESETTASPHRTENHLQKERGKVLSMFGGLVSPKLRASQLSFEKGKNHTFIFIINWRVNYTNGPYGFG >cds-PLY76002.1 pep primary_assembly:Lsat_Salinas_v7:5:320312606:320315246:-1 gene:gene-LSAT_5X176021 transcript:rna-gnl|WGS:NBSK|LSAT_5X176021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGFLGMGIMGKAMAMNLLRNGFKVTVWNRTLSKCDELKEHGASVGESPAAVVKKCKYTIGMLSDPPAALSVVFDKGGILEEISSGKGYIDMSTVDAETSSKISQAVIAKGGSFLEAPVSGSKKPAEDGQLVILAAGEKGLYEKIVPAFDVLGKKSFFLGEVGNGAKMKLVVNMIMGSMMNAFSEGLVLADKSGLSPKTLLDVLDLGAIANPMFKMKGPSMIENSYSPAFPLKHQQKDMRLALALGDENAVSMPVAAAANEAFKKARSTGLGDLDFSAVYEIFKVTQPSP >cds-PLY68683.1 pep primary_assembly:Lsat_Salinas_v7:7:85613557:85616044:1 gene:gene-LSAT_7X58540 transcript:rna-gnl|WGS:NBSK|LSAT_7X58540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYAYCTCARVILAWLRCLPIKGDLIEAKVVHELLCSMVERSDTVLLGPNNQYLPKKFSVFAEVLLMCIVSSKVARML >cds-PLY81343.1 pep primary_assembly:Lsat_Salinas_v7:4:38382368:38382748:1 gene:gene-LSAT_4X25680 transcript:rna-gnl|WGS:NBSK|LSAT_4X25680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKLDTRSDTAALNLNKDSVLEESSSWDRIEKMETELAEIRTESERRQTLADQRHAEVMALLIKVAQPATQSPPAATIDFPSVTQLLPIIQSLPLPITTYRSIIQSLPPPNFSMPPPNHQRLGTGG >cds-PLY97367.1 pep primary_assembly:Lsat_Salinas_v7:3:245035645:245037616:-1 gene:gene-LSAT_3X134920 transcript:rna-gnl|WGS:NBSK|LSAT_3X134920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKPHAICIPYPAQGHINPMMKLAKLLHFKGFHIVNLFRGDMSDMTNGYLETNLNWIPGMNNIRLRDLPSFIQTTDINDILFTYLMTEAENLPRGAAIVLNTFDALEQDSVNPLIAINPRTFTIGPLHLMQQHIHDDRLKHIGSNLWKEDETCIKWLDTKDPGSVVYVNFGSITVMTKEQLIEFGWGLANSKKNFLWITRPDIVGGKEALMPPEFVDETTKRGLVTSWCPQEQVLKHPSIGGFLTHSGWNSTIESIGSGVPVICWPFFAEQQTNCRYSCVEWGIGMEIDTNVKREEVEAQVREMMDGKKGKMMKSKALEWKKEAEKAVAIGGSSHLNFEKLINKVLVRKSS >cds-PLY85012.1 pep primary_assembly:Lsat_Salinas_v7:4:222319070:222320895:-1 gene:gene-LSAT_4X120360 transcript:rna-gnl|WGS:NBSK|LSAT_4X120360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELFLPFSATITAIVFCFLLKFLLQSFKGKRVKNTEPPQVKGRWPVIGHLRLLGGPELPHRVLGGLADKYGPIFSIKLGVHNVLVVSSAEMAKECFTTNDKVFASRPKSMAVEHMGYKYAILALAPYGDYWRQVRKIMTLEVLSQRRVEMLGPLRSSEVKASMEDIYNAWVKNKESGSSDMVKVDMKQLFQNLILNVVVRVVSGKRFSPDDKEGVRFQKVIRKFFVLLGTFVVSDFIPYLKPLDLGGYEKKMKMTGEEMYGIVTGWLEDHKRVRAEEKHAQQHERSQVFMDVLISVLEGASPEEFRGFDHDTIIKATCLTVLAAGLDTSSATLTWALCLLLNNPRVLKIAQDELDEHVGRKRAVEESDLKNLVYLDAIVKETLRLYPPGPLNLPHESMEDCVIGGYKIPKGTRLLTNLWKIQHDPNKWSHPEEFQPERFLTSHKHVDVRGNNYELLPFGSGRRVCPAIPFALRSLHITLATLIQQFVLKNPSKEPIDMSESAGVTISKAIPLEVLLAPRLSLDMYPAVAA >cds-PLY77830.1 pep primary_assembly:Lsat_Salinas_v7:1:25963946:25966781:-1 gene:gene-LSAT_1X21940 transcript:rna-gnl|WGS:NBSK|LSAT_1X21940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYVYWARRALEALKGVLKLQALVRGHNVRRRTKMTLKCMQALTREESSINTDEWDRRHACRIEIQVFQCWKFQQFYQIRRRDQCMMLDFMIPEMKKTRDMMFESQTRRHDLAGVLLNRGINLDPLSKWSKVQSASSFLPVMAVAPQEEERIVDMA >cds-PLY87098.1 pep primary_assembly:Lsat_Salinas_v7:5:266693110:266694270:1 gene:gene-LSAT_5X138701 transcript:rna-gnl|WGS:NBSK|LSAT_5X138701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTEMLPDELLLNIFIRLSAKQLAQMRSVSKSWNSLLSQSSFVKSHLHRSSHNNDQILLVFCPTLCSSDSKLFKAHLRRSPHLELTEFNTFFPVNLESGHTTRIKVIGAVNGLICSFYYLPVLHIWNPSLSAMSTLPPYSTPSCLPFEICFRFGFDPKTNDYKVVKLTSIANYIGTSPYILREWMQVEVYSMRKGSWELIAERFPSHMTMVDDLCDVCADGHDGHLHWHCHCMRGTDTDTPATIVAFDMGSETFHEMPLPDSILEYKSCYVLGVLATKLCVMTWDENDAFEVWVMNEYGVAESWSKRHAFSQFDGFTSHGEFLVEDRDERLVLYDPVANKAKVLDNYCLGDIYLEKIVEYVDSLVWVAPSEHEIVDGAGQNERCQV >cds-PLY73383.1 pep primary_assembly:Lsat_Salinas_v7:6:112091709:112095326:1 gene:gene-LSAT_6X69461 transcript:rna-gnl|WGS:NBSK|LSAT_6X69461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLAQQIGGLRCPSLSTTHLSRKSSFSYNSTNQTIKRVQTTKAAAAVVSNAQTRERMKLKEMFEEAYERCRTAPYEGVAFTVEDFHSAIEKFDYNSEIGSKVKGTVFNVDANGAYIDITAKSSAFLPVREASIHGIKHVEEAGIVPGMRDEFIIIGENEHDDSLILSSRQIQYDLAWERCRQLQAEDVVLKGKVVGANKGGVVAIVEGLRGFVPFSQISSTSNAEELLEQEIPLKFVEVDEEQSRLILSNRKARADSQAQLGIGSVVTGTVQSLKPYGAFIDIGGVNGLLHVSQISHDRVSDIATVLQPGDTLKVMVLSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKAEEMAMTFRQRIAQAEAMARADMLRFSPESGLTLNSDGTLGPLGADLPAEGLDLNESPPGEEV >cds-PLY62525.1 pep primary_assembly:Lsat_Salinas_v7:5:294957361:294957579:-1 gene:gene-LSAT_5X155901 transcript:rna-gnl|WGS:NBSK|LSAT_5X155901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDSKEVISLSVRHAMATQKDVHAVGETSSGSSYGQIGRRIMQMRGFSCLISSISSDPGVLVVILDDDVSTV >cds-PLY79281.1 pep primary_assembly:Lsat_Salinas_v7:4:370485700:370490053:-1 gene:gene-LSAT_4X182221 transcript:rna-gnl|WGS:NBSK|LSAT_4X182221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGHDHIKNGFLRADQIDLKSLDEQLHRHLNTTPTSATTIRRARSFDKNTNINLNISPINFNNPSYNHQKPHHLHHQQNRHGGVNLENITTSRLRIDTNTNRQRQDWEIDPSKLVIKSVIARGSFGTVHRGLYDGLDVAVKLLDWGEEGHRTDAEIASLRAAFTQEVVVWHKLDHPNVTKFIGATMGTSELNIQADNGHFGVPRNSCCVVVEYLPGGALKSFLIKNRRRKLAFKVVMQLALDLARGLNYLHTKKIVHRDVKTENMLLDKTRTLKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGSAYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPNSLATVMKRCWDANPDKRPEMDEVVAMLEAIDTSKGGGMIPGDQQQGCFCFNRTRGP >cds-PLY75954.1 pep primary_assembly:Lsat_Salinas_v7:5:247695803:247697317:1 gene:gene-LSAT_5X123441 transcript:rna-gnl|WGS:NBSK|LSAT_5X123441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENDFPLINLEKLNGEERGATMEKIKDACENWGFFELVNHGISHELLDIVERMTKDHYKKSMEERFNEMVAEKELEGVKTEVNNIDWESTFFLRHLPTSNISQIPDLEDEYRNLMKDFAGKLEKLAEELLDLLCENLGLEKGYLKKAFHGSKGPNFGTKVSNYPPCPTPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDGEWIDVPPMRHSIVINLGDQIEVITNGRYKSVMHRVMAQTDGTRMSIASFYNPGNDAVIYPAKALLVEKEQKVYPGFVFDDYMKLYAGMKFQAKEPRFEAMKASAEANVKC >cds-PLY91902.1 pep primary_assembly:Lsat_Salinas_v7:8:198108466:198108759:1 gene:gene-LSAT_8X127800 transcript:rna-gnl|WGS:NBSK|LSAT_8X127800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILMPRITEAKKIFRRSFSNGSSTKNIYGYPKACLAVCVGEQDKKRFGVPVWLLSRPTSQELLDQAEDEFGYIHAMGGLTIPCSEYTFADLASRAL >cds-PLY66855.1 pep primary_assembly:Lsat_Salinas_v7:7:20221744:20222895:-1 gene:gene-LSAT_7X16280 transcript:rna-gnl|WGS:NBSK|LSAT_7X16280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKYTVTGFQLPFKVWILETFPEALRFAHHTENEIPRMRAWRIKTQLSLEQCLRILDISVGNNISRVFEPTSVLDQFSILCVLWEAFFHGLDPESGHTYVQTPPPVVEVEDNTVTPLKGRLKMKSKFCRKPYTQVPSTEPLKNRKGKKSHKSNNIEKRPLLLDDYLDDDNEFWKLWGKSMGAVFLEIDF >cds-PLY75304.1 pep primary_assembly:Lsat_Salinas_v7:3:158173971:158175195:-1 gene:gene-LSAT_3X99181 transcript:rna-gnl|WGS:NBSK|LSAT_3X99181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAGPLQLGVAPPANRAIAILDFFLRLIAIVGTLASAIAMATTNQTLPFFSQFIRFRAKFNDLPSFTFFVVASSIVSAYLILSLGFSILHIAKSNLVNSRVLLLLLDTAAMGLLMAGSAAATAIVQLAHKGNNKVNWFAICQQYNSFCKRVSGSLIGSYAGVVVLILLILLSGVALSRR >cds-PLY67528.1 pep primary_assembly:Lsat_Salinas_v7:6:70006760:70007032:1 gene:gene-LSAT_6X49920 transcript:rna-gnl|WGS:NBSK|LSAT_6X49920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYARCGQLGYAHRLFDEMPKRNLVSWTAMISVFSQNSEFAKAITTFCGMYVSDESPNQFAFSSVIQACSSLKSVQIGKQIHCLALKVGLS >cds-PLY70874.1 pep primary_assembly:Lsat_Salinas_v7:9:15342222:15344175:1 gene:gene-LSAT_9X15241 transcript:rna-gnl|WGS:NBSK|LSAT_9X15241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTKPPVVKYARGGGRQRKGGLDLNVPPPNENQEQAGGPTVSVSQTSITTAPTIPGSALPAPIDVEELDDDVVISSPRAFEEAKKKSQRIRKRPLVVDVEPEEVSARIIGQNNHGNKRKRGAGIPPVINCEMYVNLEGGSSGSMRVRAPPPPPPPPPPPPPEPTFSCPVCMGSLVEEVTTKCGHIFCKACIKAAIKAQSKCPTCRRKVTNKDIIRVYLPTAK >cds-PLY68064.1 pep primary_assembly:Lsat_Salinas_v7:5:292550920:292551410:-1 gene:gene-LSAT_5X154140 transcript:rna-gnl|WGS:NBSK|LSAT_5X154140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSFSSKRQDELQSDHPCDCDLPSRVKTSRTPDNPGRKFRVCQNSLNGKSPSCKFWQWLDEDEGRKDGRGHYRMKAEESCNLTLKICTLENEISICRMKIEEEKNRNKQELDKVNWKLFTHRLALIFLFLLYVKMLF >cds-PLY77990.1 pep primary_assembly:Lsat_Salinas_v7:MU042436.1:191712:193933:1 gene:gene-LSAT_0X11501 transcript:rna-gnl|WGS:NBSK|LSAT_0X11501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACKTAVQFSDVPLLDQVNDNAASLSLYATRLPTGLDVSNNRKSLPKFMVVGHRGHGMNVLVSPDKRMNAFKENSILSFNNAANHPLDFIEFDVQVTKDDIPIIFHDNFILSEENGIVVEKRVTDLTVDEFFSYGPQRIAGVVGKTLLRESHGQIVGWNVETDDHSCTLQEAFEKVNRCLGFNIELKFDDYIVYEKEYLIKILQIIMKVVYEYAQERPVMFSSFQPDVALIMKKLQTKYPVYFLTNGGTEIFDDVRMNSLEEAKKLAINGGLDGIVSEVKGIFRNPSVVREIKESNLSLLTYGKLNNVPEAVHVQYLMGVEGVIVDLVQEITTSVANIKTTKAYSESEKKKKLLIEGEEGELQVTEITDKIELAFLLNLISQVIQH >cds-PLY95531.1 pep primary_assembly:Lsat_Salinas_v7:6:173829666:173832419:-1 gene:gene-LSAT_6X105021 transcript:rna-gnl|WGS:NBSK|LSAT_6X105021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 31 [Source:Projected from Arabidopsis thaliana (AT4G24530) UniProtKB/Swiss-Prot;Acc:Q7Y030] MKFQYLQTQSQRAAFAGIFVILLPNFFPNLFDPLGRAYPSMFSEWNAPSPKHIHLLEGALHQQISEKQQSDIWSPLANQGWKPCVDSATVPFDSEKSQGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWQDSSSFTDIFDVDHFIEVLKNEVSIVKEVPSKYSWSTREYYGTGIRPTRIKTAPVHASANWYLENVLPMLNSHGIAAIAPFSHRLAFDNLPEEIQLLRCKVNFEALVFVPHIRTLGDTLLQRLRTSPTTKTKGENGNGKFIVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVIWQGRVVKTQFSNEELRHQGRCPLTPEEIGLALRALGFNSDTRLYLASHKVYGGEARISTLRKMFPLMEDKKSIASEKERAQVEGKASLLAAVDYYVSMHTDIFISASPGNMHNAMLGYRAYRNMKTIRPNMVLLSQLFLNGTMEWSEFQQAVENGHKNRQGQIRVRKETQSIYTYPIPDCMCH >cds-PLY78238.1 pep primary_assembly:Lsat_Salinas_v7:6:7507402:7510235:1 gene:gene-LSAT_6X5060 transcript:rna-gnl|WGS:NBSK|LSAT_6X5060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASQAQLDKMQQRQNYRNVWHTDLMSTIVADTPYCCFSFFCGPCVSYLLRKRALYNDMSRYTCCAGYMPCSGKCGESKCPELCLCTEVFLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQLACICSIVACLVGNEELSDASQALNCLADMVYCTVCACMQTQHKVEMDKRDGKFGPQPMTVPPAQQMSRFDQPYPPNVGHGQPPPYGGYPPGPPPPAAYPPPGYPAPGYQSYTYISIDKRLLSTMEVLTGDENGFRPDPITPDLKNPEMEYAYLSIWITIVMWLKMPIFM >cds-PLY75147.1 pep primary_assembly:Lsat_Salinas_v7:4:62034678:62039450:-1 gene:gene-LSAT_4X41340 transcript:rna-gnl|WGS:NBSK|LSAT_4X41340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNFLLFSLALLIIPISVIPNFACASLEEANALLKWKASLQIPNNSLVSSWLPMNSNASAPCTSWLGIICNADGSIQTLNLTSSGIKGTLHRFPFSLLQNLTKFELSVNNFFGPIPPEIQLLSKLVYLDFSANKFSGVIPTGIGMLASLETLHLNENNLNGSIPQEIGQLTFLYELALYNNSLEGELSPSLGNLKNLAYLYLDNNKLSGPIPQEFGQLVNLVEVYITQNSLHGPIPKEIGNLKKLTILYLFQNHLNGSIPEEIGSMVSLEGLSLFSNNLSGSIPSSLGKLTSLNLLHLYQNQLSGHIPVELGNLKSLTNLAVSENHLSGSIPSSLENLSSLQYLSIRDNKLSGNIPQGLGSLDLVELEMDTNQLSGHLPKDLCHGGNLRFLSLNDNQLTGPIPRGLRDCRSLFRAFLSDNQFTGDISNSFGVYPSLDYLDLSHNKFYGQLAENWSKCKNLTALGIGYNNISGSIPPEFGNSTQLGRLDLTSNHIVGEIPKEIGKMKSMLYLSLADNQLSGNIPNELGSLRDLIALDLSTNRLNGSIPRNIGDWTDMYYLNLSNNKLSEKIPSEIGKVSQLTKLDLSHNFLMGEIPSEVQSLKILKILNLSHNSLSGSIPDAFEKLLSGIDINLSYNELTGPVPPYAIFVNASIQVFQGNPGLCGNVTGLKLCPSQNMMKKKDPFHHKLVIIIVLPLFGALLLGLLMCGLIAYQRQKKISPRQPSDEEGDDFFSISSFDGRVVYDEVLKATNDFDDAYCIGTGGYGVVYKAELQPNNVVAVKKLHSSSGNVDRKGFLNEVRALTNIRHRNIVKLYGYCSHALHSFLIYEYLEKGSIGSILSSDILAKELDWLKRIIIVKGVANGLAYMHHDCSPPIIHRDISIANILLDSDYEAHISDFGTSKLLKLDSSNWTAIAGTYGYIAPELAYTMVATEKCDVYSFGVVALEVIMGKHPGELITSLPTLAADYLLPANVGDPRIPPPSSLVEKQIKLVLSVSRACLNSNPHTRPTMRQVSNLLMENCL >cds-PLY70191.1 pep primary_assembly:Lsat_Salinas_v7:9:1973065:1974368:-1 gene:gene-LSAT_9X3440 transcript:rna-gnl|WGS:NBSK|LSAT_9X3440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGENGVQIADASSSSSASITTASGKIGQNLVTCVYQCRLRNFSCFIITVTWTKNLMGQGLSVQIDDSTNQCLCKVEIKPWLFSKRRGCKNIEVSSKLIDIYWDLASAKFGFSPEPLEGFYFAIVVNQDLILVLGDMEKEVQKKVDVSSFAPNVVFLSKKEHIFGKKVYATKARLCGKGKMHDVSIECDALGTNDPYLLIRVDGKTMMQVKHLRWKFRGNYTILVDGLPVEVYWDVHNWLFGKLMGEAIFLFQTCLSAEKLWASPSMLDSSWSGSCSRDPHSQGLGFSLVLCAWKNE >cds-PLY64900.1 pep primary_assembly:Lsat_Salinas_v7:1:166106608:166108092:1 gene:gene-LSAT_1X111681 transcript:rna-gnl|WGS:NBSK|LSAT_1X111681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDTKKGTILMQRYEIGRLLGQGTFAKVYYARNLKTNQSVAVKVIDKEQVMKVGLIDQIKREIAVMRLVKHPNVVQLYEVMASKSKIYFAMEYVRGGELFNKVSKGRLKEDAARKYFQQLVAAVDFCHSRGVYHRDLKPENLLLDESGNLKVTDFGLSALCESRRQDGLLHTTCGTPAYVAPEVINKKGYDGQKADIWSCGVILYVLLAAYLPFHDNNLMEMYKKISKGEFKCPQWFAPEVKKLLSKILDPNPSTRITLAKLMENPWFRKGFKKIEASKSFTNESPSKSFIDYENAIRYMDTPKGSYNNLKELDDPKPMLSQSPSFSSFSPSCSKEALKPTSMNAFDIISLSQGFNLSGLFEEGGSGQKKESRFTTKEPASAIVSKLEQVAETESFGVSKSVDGTVRLQGSKEGRKGQLAIDAEIFEVAPSFHVVEMKKLSGDTLEYNNFCNQELKPSLKDIVWTWEGEQQRDDQPHIPKPN >cds-PLY84256.1 pep primary_assembly:Lsat_Salinas_v7:7:78096975:78098575:-1 gene:gene-LSAT_7X55841 transcript:rna-gnl|WGS:NBSK|LSAT_7X55841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATDEALESLLSDFDEIHNDFCEGIVKIQSLQSSCKSEIKKREALEFTANALKSENERVMKLYTESINKLANQLECRNNCRSLKEELKRVNDEYIRKENELRNAMSLLKHDYEKRIKELEVQIKDYIAQKTANESTINQLHQDLGAHRNHVEALSKRLDRVHSDVEMTCEFDI >cds-PLY97893.1 pep primary_assembly:Lsat_Salinas_v7:4:90991670:90999989:1 gene:gene-LSAT_4X59561 transcript:rna-gnl|WGS:NBSK|LSAT_4X59561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYSSDEDDKPLVFKRSSNSDRLKHSSQQKPTSISKQENPVAKPVAKPVAKPLARPIVKPPPKQKSDSEDSEDDKPLSSRISVVPNKPNSNHVKITPNPNPNPSSSQHPRPQVKHEDSKDEKPLSSKFTPKPSIGPFIRKHDDSDDDKPLSSRVNQNGSASRDNQIKKPNLGLNKRPPNEERSSSQPLVKKPKLSDSYSPTPIIRKPEVKPEAESSDDDENDHVPISQRIKNQSPSSSKSASVKKVMKVTSSSSKTFKKSKKVEKKSKYSKPSKVPPGSGEGQKWTSLVATMFAVMLDTDYMTKPVFKQNFWNDWRKILGRNHTIQSLDDCDFKPIYDWHQREKEKKKQMTTEEKKAIKEEKMKLEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKSRIRPSDITINIGKGAPIPECPIPGESWKEIRHDNTVTWLAYWNDAINGKDFKYVFLAASSALKGQSDKEKYEKARLLKGYIGGIRQAYTKDFTNKDLTKRQVAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVEPQPPNILKFDFLGKDSIRYQNEVEVEPLVFKAIQQFRTGKKSNEDLFDKLDTSTLNAHLKALMPGLTAKVFRTYNASITLDDMLNKDMSSGGNLAENVVVYNQANKEVAIICNHQRSVSKSHSAQMMRLNEKIEELKGVVEELETDLGRARKGKPPLKGSDGKLKRNMNPEALQKKIAQTNAKIEKMERDKETKEDLKTVALGTSKINYLDPRITVAWCKRREVPIEKCVVEELETDLGRVRKGKPPLKGSDGKSNENLNHETQYY >cds-PLY84194.1 pep primary_assembly:Lsat_Salinas_v7:6:108367141:108367530:1 gene:gene-LSAT_6X67941 transcript:rna-gnl|WGS:NBSK|LSAT_6X67941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPEQDFLILYGQPSPSELSFMLSQETDMDFLGSADSSLDSLSSTESEAQSAPPFPEILESISHTLNQLLNETERQLPPEWSMADLVRAVNADDLSSLVRDFYDLQSNGTHSWLWEEIYNLLDLINYVF >cds-PLY81877.1 pep primary_assembly:Lsat_Salinas_v7:8:122092775:122095172:1 gene:gene-LSAT_8X84101 transcript:rna-gnl|WGS:NBSK|LSAT_8X84101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATEQSVLAVIRASRPKFRNSADKIAFAIHSIFLASGYNLNATGPPAFTDDALDATVSDEAEIEGWNEVEDNYAFVYSSPEVSSKKVLVKCLTMNNQLLVDALAAGSSNPTHVEIKIDDFIGESDDTKYSSQYKNLGNLVNCINKDVISKLNGSSTASTSNATTSSKQSIQDNDNDQPRTGPFGLQDPRAPYHPSGVVMPPVYPSIGGGDLYPQPGAGMYPTRGGFGDGGMLVGPNDPRFFGGIGGPMGFPGGQPGVPPGARFDPFGPPDVPGFEPNRFARNPRRPPGGTHPDLEHFGNDSDFI >cds-PLY62061.1 pep primary_assembly:Lsat_Salinas_v7:2:57917789:57920793:1 gene:gene-LSAT_2X25941 transcript:rna-gnl|WGS:NBSK|LSAT_2X25941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWVPFQYTGDEHAFAFFISHLSHSAAAKPESSGLEPGRYVVNEGGGKARFFIAVQWDPVHSYACLGMTTARRVTKNQIEDDASSSKNMKTDDVGSWSYLNHDVLLLVMMQLGVIDFVAFSGVGKSWRSVAFTNRKTFMVSKPPMLMDISPRCNNKDRKCRLEDSEGRVYKTTLTHSAGMYYVGLTCGYLILFRMKTNKDFWLVNPITRHELNFPHAPWMPDYLSNISCVLVFSPSISKLVYVVLAQNQIWFSIEDEGAWNHLSTFDFKFCRDLHVFKGKIYTVDTNNFNLCEFRLNPEPNVTLLETKILMDVPDIIYCPQLVSCSEKLYVMESYMYGCFFNVYKLDFGEIEWMHFEDTGEELGFFFSCVGHGAAVKLEFWAEPVGVGKLFY >cds-PLY79212.1 pep primary_assembly:Lsat_Salinas_v7:4:235026757:235028401:1 gene:gene-LSAT_4X127260 transcript:rna-gnl|WGS:NBSK|LSAT_4X127260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSVGLTGEGSVPVKLPGSYPASVGFNSDGSIYLDGTKLETESEIEIWEKTDTVIGCGYNPSQKKVFFTLNSKLVHEIHCKGEEFGSPLYPTLAANSDVMVLVNFGQSIFKYAPANLQRTQNPCFIGSMANSTSLGYEDSKELFSMGILDSQYWLNGYATRSGQYNSNVNKGKTKDYDEASEGDLFEIVIDSNTYRKSPITPS >cds-PLY61953.1 pep primary_assembly:Lsat_Salinas_v7:5:158660780:158669535:-1 gene:gene-LSAT_5X68921 transcript:rna-gnl|WGS:NBSK|LSAT_5X68921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKGNGRKRPAQQPPVSQQPPKQHAAEPAMEEEDMDEDVFIEENLIDEDELILRDMQDREALATRLEKWKRPALSTGYQSQSENIVFQQLEIDYVIGESHKGLIPNSSGPAAIIRIFGVTKEGNSVCCNVHGFEPYFYISCPSGMGPDDISRFHQLLEGRMREVNRSNNVPKFIHRIELVQKKSIMYYQQHSSQPFLKIVVTLPTMVASCRGILDKGIQIDGIGMKSFMTYESNVLFVLRFMIDCNIVGGNWIEVPAHKYKKTIKSLSSSQLEFDCLYSELISHAPEGEFSKMAPFRILSFDIECAGRKGLFPEPTHDPVIQIANLVTLQGEEQPFVRNVMTLKSCSPIVGVDVMSFDTEREVLLAWRDFVREIDPDIIIGYNICKFDLPYLIQRAETLGITEFPLLGRIRNSRYGTRESKEVTLEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLNEQKEDVHHSIISDLQNGNPETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVLPNVKQAGSEQGTYEGATVLEARAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTSEDAKKLNLPPECVNKTPSGETFVKSDLQKGILPEILEELLAARRRAKADLKEAKDPLVKAVLDGRQLALKISANSVYGFTGATIGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTLAGYEHNAEVIYGDTDSVMVQFGVPTVDEAMKLGREAADYISATFIKPIKLEFEKVYFPYLLISKKRYAGLYWTNPDKFDKMDTKGIETVRRDNCLLVKNLVTECLHKILIDRDVPGAVQFVKDTISDLLMNRMDLSLLVITKGLTKTGEDYEVKAAHVELAERMRKRDAATAPNVGDRVPYVIIKGAKSAKAYEKSEDPIFVLENNIPIDHGYYLENQIKKPLLRIFEPILKNASSELFHGSHTRSISISTPSNSGIMKFAKKQLSCLGCKAKISGTDETLCSHCKEREAEFYCKSVASVSELEKLFGKLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMAEAKVQLERWNF >cds-PLY65498.1 pep primary_assembly:Lsat_Salinas_v7:3:1031023:1033820:-1 gene:gene-LSAT_3X1221 transcript:rna-gnl|WGS:NBSK|LSAT_3X1221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPFVYQSQDDAVTPFQILGGEVQIVQIMLKPEDKVSAKPGCMCYMSGSIQMENVYAPENEAGMWQWVFGKNATKTIFVNSGSTDGFVAMAAPSLGRILPIDLARFGGEILCQPDAFLCSIGDVKVSSNTFDQRGPNRNVVERLLRQKVSGQGLAFMVGGGSVVQKNLEVGEILSVDICSIIALSTTVDVQIKYNGPMRRVVFGGENQNMVTAMMRGPGIVFIQSMPFPRLSQSIARGVASPNMRLRDNPKLLMQILVFSFLAYLVLGSFLLYTDF >cds-PLY70869.1 pep primary_assembly:Lsat_Salinas_v7:9:15240074:15240712:1 gene:gene-LSAT_9X13041 transcript:rna-gnl|WGS:NBSK|LSAT_9X13041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEFPESMVCDADRFFIGHTIPALSIDDQLKPGKTYFVLPLDIFSTEILSASSISAFVSHTPKLINPAHLKECPLEYIKGSNGRVLIKVKPEFMARHLTSRGEGHDDDDQTNKGIGGNNSSRSCLCSTPELKKEYEQLVRSKDQTWSPNLETISEAKRIWYSPYRVIGLEWKEKDELDA >cds-PLY81779.1 pep primary_assembly:Lsat_Salinas_v7:3:32260006:32277639:-1 gene:gene-LSAT_3X24081 transcript:rna-gnl|WGS:NBSK|LSAT_3X24081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGIDHKMISVNGIDMHVAEMGQGPIVLLLHGFPELWYSWRHQILYLAAHGYRAVAPDLRGYGDTTGAPIDDPTKFTTLHVVGDMVALIDALGADKVFVVGHDWGAMIAWRLCLFRPDKVKALVNFSVQFVPRNPHQKTVEIFRTAYGDDHYICRFQEPGEIEAVLASLGTKKAVEKFLTHRDPDPFYFPKGQPFGALHDTPVILPSWLSEEDVDYYTKKFEQTGFTGGLNYYRCFDLNWELEAPWTDAKVSVPVKFIVGELDLVYHIPGVKDYINGGGFTKYVPLLDEVVVIQGAAHFITQETPDKVNKHIYDFLQKF >cds-PLY76949.1 pep primary_assembly:Lsat_Salinas_v7:7:52337210:52340048:-1 gene:gene-LSAT_7X39321 transcript:rna-gnl|WGS:NBSK|LSAT_7X39321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRELAQLLESGQDRTARIRVEHVIREEKMIAAYELIEIYCELIVARLPIIESQKTCPIDLKEAITSVIFAAPRCSDIPELVDARKNFTAKYGKEFASAALELRPDSGVNRMMVEKLSAVAPDIQTKLKVLSAVAKEHNVDWDSTLFEETESKPKDDLLNGSVNFENASMMNVDSPKIQTSNIQNVQSHMQKLNVTDDFTQQNRRYTLGSQNITSNDTNPSGMASEMMNKRHSFHTNTNNASSGRENNWNMEFKDATSAAQAAAESAERASMAARAAAELSSKGKIGSPKVSTASEAPNQHQFKDSYNNSFDNRNSKIQKNPQTDQSEHDTSPKSRIRKQTSHQHDVFVSHQQKVSQRTVVDQGNRSRDDETDSDDDENPKFDTGFEYNEGDAKSFFPSPDREESSPPLQNTHISSPKQKTQKVNSWKTRIELNDLVEKDSRVSLRNEKKKKDIEIEPQIVEKNREFLNQGDDLGTGKELKFGTLTGGLRNSGGLKYTPYTKGGITDSSPLSKLNSFNSKESGYKNKKKSVSMSSLESELESDDQSDKYVKSSTVNDSSSSSFKKLVGQTSKPSSFNSIASGMEKKEPSVSMSSSESESDDQSDKKVKNRSRFAPPDVFFNDGGITDSDEEVVLVTKLTSTGLSRRTKGYVEAEGKTVISKASMHPSTNESATKRISEHPHKKITESGKSESPKASNLSSQTSLEGNDVKKPSHVHPNLPDYDSLAARIQSLQANHR >cds-PLY91241.1 pep primary_assembly:Lsat_Salinas_v7:3:74697660:74712997:1 gene:gene-LSAT_3X57720 transcript:rna-gnl|WGS:NBSK|LSAT_3X57720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKQKNVENGCLYEPLQTIPPSETNENVTPMVEAGTLSKLTFWWLNPLMIKGKTKVLDDKDIPKLRREDTAKECYSRFMETMKKRRASGGRGDSDPSILSTLFVWQCKEVVITGFFALIKVLALASGPLILRAFIRVFQGKESFEHEGYFLALGLLLAKCLESVSERQLKFGSRVIGLQVKSMLCAAIYQKQLRLSNDAKLSYSSGQIMNYATVDATRIGEFPFWFHRVWMIPLQICLAIVIIYFSVGVATFASVLVVTLTVLGNIPLGKLQHENLTGLMMTQDRRLKGITEAVTNMKVLKLYAWEMHFREVVGKLRNEEIRWLSAVISQRGVYLALYWSSPAVVAVVTFWSCYLLGIPLDAGNVFTFLATIRIIQEPIQSIPDVAAVFIEASVALARVVKFLEAPELQKEGTSHVNVEDDQDLSVIIKCERISWNDDSSKPTLTHVNLEVSTGKKVAICGEVGSGKSTLISAILGEVPNIEGTVEVYGKVAYVSQTAWIQTGTIQENIMFGMLMDEEKYEKVVAQCSLVKDIEMFPFGDQTIIGERGINLSGGQKQRIQLARALYQDADTYLLDDPFSAVDAHTASSLFKEYIMDALSSKTVLLVTHQVDFLPVFDDILLMVDGKIVQTGTYEKLLASCREFKNLMITLRDTSTLDNQEAHDDSQHGFKALFQQNQKTNPKEEIVGEQLLKQEEKEAGDTGFKPYKQYLSQSNGVFYFSMLVLINFVYIIGQVLQNLWLAKEVHSFGVNHRNMLLVYTILAFMVIILLFSRSYFAVKLGAKTSITMFMKLITSLFRAPMAFYDSTPIGRIISRVSSDLSIVDLELAIKLTMCVGATMNAYLSFGVLAFLTWPTLFIIIPTVYVTILLQKFYNASAKELMRLDGTSKSLVASHLAQSIAGAVTIRAFSKEDRFFLEHMHLIDGNASPFFHSFSANEWLIQRLEMLCALVVSLSALAITLLPFQASDSGIIGMTLSYGLSLNVALVASIQFQCQLSNQIVSIERLEQYMHIPSEAPEIIEANRPSTSWPSIGRVDIQNLKIRYQPNSPLVLQGINCVFEGGHKIGIVGRTGSGKTTLIGALFRLVEPTQGRIVIDDLDITSIGLHDLRSSFGIIPQEPTLFSGSIRYNLDPLAEHSDHELWKVLEKCQLREVIQDKKEGLDSLVVQDGLNWSLGQRQLFCLGRALLKRRKILILDEATASIDNSTDTIIQKTIREEFQDCTVITVAHRIPTVIDCTVVLVMKDGKVMEYDKPMKLMNESASLFTQLVNEYWSQHKASGLN >cds-PLY87138.1 pep primary_assembly:Lsat_Salinas_v7:5:258437805:258442495:-1 gene:gene-LSAT_5X133280 transcript:rna-gnl|WGS:NBSK|LSAT_5X133280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNGKSFLGWNLGFYKTRKECGGSSHRGMGCSALMSNVISDKRNPQMGHVVKMKHNGPHMSCSLSVSVVCDSYQVHVVMLMKEHCQAFGFLCTMLAASGNDDGIILINTIDGSVARVLKGHRGSITSISFHPKREYLAFVDSFGTVIIWELQSGTTLHTLKNISHNTPPDFTTLSALSWSLDGEMQAVSGLKNDVVMYDRDTAEKLFTLRGEHTQPVCFSTFSLNGKYIATSEFDKHVLIWDVAKTQDIERQNFEEVISFMTWKPHGNALAIIDVIGKYGVWDSVVPSSMASPTEERPTLDSKKNDGLFFFEEEEKEISTSGSMSDHGEEEDSFMNSEQPTRKRLRNFKYDEDSDDHWWTRVCSGWFDETRSREKKVNKRKVESVERNEQLEAMDVELDINEMNKSSQVNKTNLFGLLRDDVRQLIELEGKTVVLSTKEEPKFEEPEEKHLTGEFDKDEV >cds-PLY79590.1 pep primary_assembly:Lsat_Salinas_v7:2:166395880:166398034:-1 gene:gene-LSAT_2X87880 transcript:rna-gnl|WGS:NBSK|LSAT_2X87880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRVDENAVGGASGGKQPRRYIISSTTQKTIIEEYRVNPRYLKPSTGSCHDSCKNATDEWMEYKNTRNLGPKRIYAMTTSRYLTPSTGSCHDLCKHGKKDEKMELRTTRTLASRKRSVTKNNRVKRTVVSVERKKITEIKPKPSRAPKIRAPAVPVKVGKLHNSLPSKKVIKPISANHSSSTLKNKKATKITPKKSNKHASPRKDQTVITKTQLRLMIADKMQEMILQEVEAAEMGVEEETIESPETLTTTESTILQKSEEVLDEEQVENSESSSGNCESSSNEQVENSESVDDEVCDEELLLEEEFEYNEEGYILEEEEGEDDEDEDDDDDDEKKARRSRVVRSEGKDGPRGVKFRRGKVLEVDGDDGLRRLKFKAGTVVDSDEEEESEKVNLKHQEVGRKEAQELLNSVIEETASKLVEDMKTKVGALVGAFETVISRQDEAPATPSEK >cds-PLY85253.1 pep primary_assembly:Lsat_Salinas_v7:3:72032317:72033592:1 gene:gene-LSAT_3X54021 transcript:rna-gnl|WGS:NBSK|LSAT_3X54021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNLTNVSVLNNPAPFLSPFQFEISYECLLSLKDDLEWKLTYVGSAEDETYDQVLESVLVGPINVGNYRFVFEADPPDALKIREEDIIGVTVLLLTCSYLGQEFVRVGYYVNNDYEDEHLKEEPPQKVLIDKVQRNILADKPRVTKFPINFHPENESIEQSEQQPNHSPEIENEQEEHQQTVASPQFEELNPVNSEMKSIDGEETGTDLLQDHQPAVVSA >cds-PLY87354.1 pep primary_assembly:Lsat_Salinas_v7:1:97462857:97463857:-1 gene:gene-LSAT_1X79261 transcript:rna-gnl|WGS:NBSK|LSAT_1X79261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIXHKCRKNCYPIILKPVVFKGYQIGGAICDGATGATAKTLKLPREDEFWSRGISACAICDGASPIYKGEVLAIVGVGDTGTEEAIYLTKYARHVHLLIRRDQLKASRAMQDRLVKEETLG >cds-PLY71478.1 pep primary_assembly:Lsat_Salinas_v7:7:191458773:191459196:1 gene:gene-LSAT_7X116340 transcript:rna-gnl|WGS:NBSK|LSAT_7X116340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSRSSFNGGTRMRNKKVIRCDCGDVCGVSVSRTPDNPGRKFWGCPNYQVEGGNCVFFKWADGELGQNMEMCHTEEIKPLSEVIIGLLVAISLMLGIVVIKM >cds-PLY75443.1 pep primary_assembly:Lsat_Salinas_v7:7:71903834:71904043:1 gene:gene-LSAT_7X52120 transcript:rna-gnl|WGS:NBSK|LSAT_7X52120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRERKERLVVIGKLSSSGMKSSRGNGFGGGGGSRNSTEMAAVDGRGWQPLAVLLSSSFSEQQVVEREVA >cds-PLY95139.1 pep primary_assembly:Lsat_Salinas_v7:1:93096114:93096359:1 gene:gene-LSAT_1X76760 transcript:rna-gnl|WGS:NBSK|LSAT_1X76760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVYMKIDLHFAGLFTRYLVISYSDGVEQRFKDVDFAGMDKNEFLVFIQRFANETCVNVYFCMPDIEFPERLRIIATEKDY >cds-PLY95630.1 pep primary_assembly:Lsat_Salinas_v7:4:196348045:196348260:-1 gene:gene-LSAT_4X113900 transcript:rna-gnl|WGS:NBSK|LSAT_4X113900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKIRSKELTPISFNEAYKLFYNGISEYGPFWDNVLGYWKASQEEVGGVHGNAFYNGGRGGRGGGRDCEAV >cds-PLY86470.1 pep primary_assembly:Lsat_Salinas_v7:8:54310602:54311548:-1 gene:gene-LSAT_8X39441 transcript:rna-gnl|WGS:NBSK|LSAT_8X39441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADQPGVTKMENSAEDDNKQQMEATVTYPRKVRVIVSDPHATDSSSDEGGNGERPSRRRIVHEIVLGLRAGENKDDGEASKRRGSMDEKENERIRGKLCSEIRDPFNKKRVWFGTFDTAEKSLKPYKIKKEKFRAGQAAENQQVTPVSKGVPEGKKVAKLDDDPTRKQCKGVRKTESGRWSAKIRDPVKKSQVWLGTFDTKEEASEAIESKKVEFGSKRTLSNAESGSSLKTPKTET >cds-PLY89755.1 pep primary_assembly:Lsat_Salinas_v7:1:59123946:59124548:-1 gene:gene-LSAT_1X50440 transcript:rna-gnl|WGS:NBSK|LSAT_1X50440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPPQIVVSILSHLTRIIPTWRIVPGQDIIELAFRDPKIREEVRKNPLCYKGRVRLQTANELFGVTTNLETRLQEVKLPFFLAHGGDDKVTDPTASKLLYEKASSTDKTFKLYPGMWHALTYGEFTENTDIVFADIITWINERIAQGNSRMEREQKKKNDESSKKNAN >cds-PLY87940.1 pep primary_assembly:Lsat_Salinas_v7:4:33053972:33058064:1 gene:gene-LSAT_4X21660 transcript:rna-gnl|WGS:NBSK|LSAT_4X21660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGCERNGTVRQYIRSKVPRLRWTPDLHRCFVHAIHTLGGPNKATPKLVLQTMDVKGLTVSHVKSHLQMYRSMKNDVVTRDDEIHRSTGLNRKQSLEDQHDGCIDHEIYLHPSIQESNPHFIYHPFAVPSKRGRMETRMHGYSEIRIKGKEGVGDHKWQQPHSFSIPHFHSNPSFNHLRESDFLKNSKLEIAEHGMLSGMKLEKARNIQEVDQPDDCGLSLSLSLQHPLVQMSNGSLSSEISETHSRQNTSDHSSNKCSVNLDLSIALCQ >cds-PLY74863.1 pep primary_assembly:Lsat_Salinas_v7:8:106733711:106735452:-1 gene:gene-LSAT_8X72960 transcript:rna-gnl|WGS:NBSK|LSAT_8X72960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQANDIQRTSNAQSGQQVQNAQHIKSTSKAQTSSTFQQLLQREGSKWSHISTEKLKNNKNQEHDGNHHNKKSSVLAKVKEKAKKLKHSLSIKKHRHENDPPSTSVTCNAITSSEHKGGENAEFHSPRMSKSKHIPDTNKQETRDHPRETHIPITEKHSATSKEEPTCSSNTIKNSHSKNRELTDDANDQVTSTVGAHNDVNNQAATTADAAKENDLPESSSRFSNLTVSTAGKDERSIKEGENMKTWERGVSVKEYLLQKFEPGEDERALSQIITQTISPRRDKVREAMSSFLKTEEHSESTSKVSNSEVNDNDTNPNNLKTTKSLPASVNTESKIASIVQSHSLGSNASKNLNQTFKSTVASYQKNLNSSANNTSSSPKSSSSPLCHGVSSPEYSNARTTRVPPSKNLLGVVEE >cds-PLY72179.1 pep primary_assembly:Lsat_Salinas_v7:7:58247515:58248435:-1 gene:gene-LSAT_7X40800 transcript:rna-gnl|WGS:NBSK|LSAT_7X40800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENRFKSQVSKIFQSTFNSCRPKNNSDVSDRHLSFLKTPHHRRLIDLFSPKPQPLSSTSCNLKPHLSRLGTTPYPANTDRHTPHSVSPLHEKTSKRGRKKKPHHRKRRNIASFSSLTDNYYNNWWSSDEGDKSNGENTLFSRRSFSSESLESLRKTRGKRSGSGVGGCNDRGATDVVAVAKESSDPHEDFRASMVDMIVERGIFRVEELEKLVECFLSLNSEENHKVIFEVFAEIWETLISKSDLCKND >cds-PLY94370.1 pep primary_assembly:Lsat_Salinas_v7:6:10755486:10758313:1 gene:gene-LSAT_6X13021 transcript:rna-gnl|WGS:NBSK|LSAT_6X13021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRASFSDDSRMIKKAPPKSLLLRDYLLDDMSSCSSNGFRSYPRRQCCTTVRFLVEMDLNNNGKLPLEQQRRSIPEIKTKWKSKSKPKQKQLSVLQRASAAMIKAFKHFQFSGAGNPTMANFLPRSFSRKLLKHGLWKKTDHPNKEIKRLKSFGDFMKEKETTTHPPSLSQFSTAITTAELNSSTSNYSNSWSDSDFTTTTGNSSEVNLAQRNVIDASPEIKNSKNGKIVGATATTADTATNYSPTSDDAKFVGDDNQYKKWQNQQDEQFSPASVMDFPSNNDNDVDDEEEVSSMFQHTHIDVEGTKKIMYKTRRFEGVLQLEPIKLEDRITQFDIESSIKTLYEVDQEENHQEKKALALLQLMKSTISSLDLFKYEVMECLLLEFFKEKMIEQSVSNYEILKKTKDWMDGQVQEILFDWESKKSTETCIREMEKGVNWSKYDNEVEKENVGMELEYDIFNSLVDDILLDFHL >cds-PLY83685.1 pep primary_assembly:Lsat_Salinas_v7:4:39145970:39147556:-1 gene:gene-LSAT_4X26920 transcript:rna-gnl|WGS:NBSK|LSAT_4X26920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRILGKILLLFFLFAAPSTADIRSVKIRSHNRDIIFFSEFEYSHTGYVSVAVSSVGISSIPVTSNSSQPDPSRIGFFLLSDELQHRYNLEFDRNPDLCALDIKFITVLYTFQNLTPPPQSSFNKSYNVIYPGEYSLYFANCNDLSLVTMDVRTELYNTNDDGTTKDYLSAEQPQPSLYFNFFYIYLCFLSIWIEVYFRNKQYFQKLHLVMGVLLVMTLLNLFCKGMDQHDFKVTGTHHEWHVLFYVSQFMRNVLLFTVIMLIGVGWGIWRRVLPEWAIFIWTIVILFQVWAIVSYTVVGQSVSYIEEHALNESFGVIDGFCCFVVFSHTFCSGIAFKESKDTSTFMRSFISIKETSVRPDVFPFVFLVYIIFFRWTTEEIGNLLFYIVMFYLFRPFDLDDEGVGILDDTGDKV >cds-PLY72701.1 pep primary_assembly:Lsat_Salinas_v7:6:27871972:27875437:1 gene:gene-LSAT_6X21500 transcript:rna-gnl|WGS:NBSK|LSAT_6X21500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADVDDIMVVSGNGTTHDADHDYEDDNEIIARIPNYDSEVKEGKGNSMDSFTEEIEESNDLDALKELQLKDSDVSSQPKCQNTTDDNLGLKIDTKKKIEAKKTSSVKQFEKKSGNSKPAISQVKAHLSKQHGKSDSTTSTANIVQSEGVKDKVKVKSMKTVQGSSTEARTHSSESSTTGDEKPQRLGTLPAYSFSFRCNERAEKRKEFYSKLEEKIHAKEEEKNSLQAKTKEHQEAEIKMLRKSLMFKATPMPSFYHETPPKTELKKIPTTRPKSPKLGRKKESPTGEENGGNIFRPRLSLDARKSLISKTAPKGVVTSSVVAKKPTRKSLPKLPSEKTKVPSDSKERKEAPSCEITISSELESQPNKDSSSHVEEEKAEVLAN >cds-PLY97281.1 pep primary_assembly:Lsat_Salinas_v7:1:44565014:44565777:-1 gene:gene-LSAT_1X39081 transcript:rna-gnl|WGS:NBSK|LSAT_1X39081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISMAMGSIFKVDETHETTKIASMESKAVGLKILTTNTPQLNHSKPIFQRNQIPSSGSCFIKSCFLCHKHISFNKDVYMYRGDQSFCSEECRSRQIYIDDIKQLEISTKKMVQRYRQSPSISMASPVFCL >cds-PLY67380.1 pep primary_assembly:Lsat_Salinas_v7:4:211237873:211238262:-1 gene:gene-LSAT_4X119180 transcript:rna-gnl|WGS:NBSK|LSAT_4X119180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFPPPPISLLCLISIVTLYLMICYAVIGFIAKSEVFRVDSRENDKSTPGLSVEELQKLQSFSHKINQGDEEESLSCSICLDDFKEFEVCKRFPSCDHVFHSRCIDLWLVHRRTCPICRTSFDHLIHVV >cds-PLY88126.1 pep primary_assembly:Lsat_Salinas_v7:9:7582717:7583507:1 gene:gene-LSAT_9X7041 transcript:rna-gnl|WGS:NBSK|LSAT_9X7041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSLTDVGSRSWSSLPLPVSGGSLTSKNPRNLITYAPAHRKCNRWRFRCSIAKDSPITPPISNESNSQSLLDCVIVGAGISGLCIAQALATKHAAVSPDLIVTEARDRVGGNISTVERDGYLWEEGPNSFQPSDAMLTMVIWICYIPWPIS >cds-PLY77135.1 pep primary_assembly:Lsat_Salinas_v7:7:183768750:183770570:1 gene:gene-LSAT_7X108801 transcript:rna-gnl|WGS:NBSK|LSAT_7X108801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPRSVFHNTSLVSLKIRMDCAIQLPSHVSFPCLKALQLSYVQFLHDDFTETFLSGCPVLEKLILFNNSFKSNITISSSSLKSLTIRDLSRFGEIDDLSGCKIKIGAENLIYFEYIGYLSNWIMFSDTSSLDISFINIPTHNERLQKVANRAIDLLKQLQYVVSLRLSNLTLQGLMHLGENNLIWSSIPICMSNCLKIVAMKNFHGYDSEICLLKNVLKTARVLERMDIRWSETYLRDLKRKTNARKELEKIVKSSPACVIKFS >cds-PLY72923.1 pep primary_assembly:Lsat_Salinas_v7:9:194255442:194256618:1 gene:gene-LSAT_9X118741 transcript:rna-gnl|WGS:NBSK|LSAT_9X118741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFNLQNPFSIFIFVCSIASLFASNSLCSSDDLFANGDGFSPALGTWYGDPRGAGSGGACGWANWANDIKCSREPYCSLNPITITIIDECPGACNNVPFHFDLSGTAFGAMANPGQADNLRNLGQVDIQYRRVPCYYGRTKIAFKIDAKTNPNWFAPAIEFEDGDGGLSSVEISPAGSQQFVPMKNIWGAVWEADVSPSFHGPYSFRLRSPTGKVVVASNVVPNGFVPGQTYFSNVNF >cds-PLY99189.1 pep primary_assembly:Lsat_Salinas_v7:6:130288958:130289359:-1 gene:gene-LSAT_6X79281 transcript:rna-gnl|WGS:NBSK|LSAT_6X79281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNVCVLTESRKRINDEIEVEFNRNIVKVGVFESDFGWSPFPFSPSELFVLESNQDVREVDENASNTDDNILEDGEIKDVSDDGDEGISETILLDETEKKMDVAGTGGDPAIGVAPCTMAIEDDSGEVQQPYR >cds-PLY95544.1 pep primary_assembly:Lsat_Salinas_v7:6:174630002:174632931:1 gene:gene-LSAT_6X106460 transcript:rna-gnl|WGS:NBSK|LSAT_6X106460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRKSATPVDENASALKAAKIRSLQTQFLQNHHNNIYTKEALELNAKLLEINPEFMTAWNYRKLAFEHNISSQSEVEKADSDHIKSIIDEELRVVENALRKNFKSYGAWDHRKWVVSKGNSSTERELKLLNLFQKQDTRNFHAWNYRRFIAGLKNISDEKELQFTTDMINDNFSNYSAWHNRSVLLTHLLEKKVEGYSPKEIFLTEEYEFVRNAVFTDPDDQSGWFYHLWLLDQTVKVDMPIMISSWPPCGSDINLPIANKVTFPVVLYFNETVKGLNSHTVSVETESDVSKDITWSPLSTNKFGCGQAWVTHLSIPHQELNSSKTYEVKIRSEDSQGITSLSGVPCSQPWSFSFRVSVCLDEKQSFGKITFTDESFCTIDTSLKSIQLLKSYFEVTKSDDEHPTSSKWKLEMIASEIAQYRELLTWAECKIGKLTLARLLMAHDAMMSTGTSITHVEEVLELYSELMKLDPPHYQYYKNEHSLVLLKQMTSCMESLLKYCWQYKESSSLNITGSICLRLNSLSLSRIGSFERLLWVQSLDLSHNQLHSIEGLEALQLLSCLNLSHNKLTSFTALEPLRFLKSLKVLNVSHNEIGAHTVDTRRYLCSSPMSHTLSYDPSFEEFANGDDAKLVNFWEAYSIFGGLNLMQLDVVGNVIVDDERFVLFLVKLLPQLKWLDGEELH >cds-PLY76534.1 pep primary_assembly:Lsat_Salinas_v7:5:12445459:12448838:1 gene:gene-LSAT_5X6620 transcript:rna-gnl|WGS:NBSK|LSAT_5X6620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKDLKVRSKSEGTVTCDSEAESLKDYWIPDYILLHESKVEKPSYVPASPVIVFINSRSGGQLGGELLLSYQTLLNKNQVFDLNKDAPDKVLHQLFLNLEKHKRNEDSFASEIQKRLRVIVAGGDGTAGWILGVISDLHLSQPPPVATVPLGTGNNLPFAFGWGKKNPGTDLESVKMFLNLVKDAKEMKVDSWHVLMKMRVPRKDGSCDPIAPLELPHSLHAVHRVPQSNPLDEEEYHTFRGGFWNYFSMGMDAQVSYAFHAERKLHPEKFKNQSANHNAYAKLTCSQGWFWASLSHPSSWNIAQLAKVSIMKKPGQWEVLSIPPTIRSIICLNLPSFSGGLNPWGIPSRRRLRVKEWTPPYVDDGFLEVVGFRNAWHGAVLYAPSGHGTRLAQARGIRFEFHKGAADHTFMRMDGEPWKQPLPTKDENESVVIEISRFGQVSMLATGENPSKSVNDPLTPRTPSKDSEYDSDEEDLEEIPEDRKKLGAAASFKLPQDFNLSQVS >cds-PLY99378.1 pep primary_assembly:Lsat_Salinas_v7:7:146152044:146152898:1 gene:gene-LSAT_0X4460 transcript:rna-gnl|WGS:NBSK|LSAT_0X4460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKESKLYNRLMRLDTGLGGIRSLIEAPPEWWEDKINERKEYAKFRNKNLIIFDEKYALLFRDSVAIGNQTMTSLQFQKIPIRTNKIWRKMEIVMKSI >cds-PLY70833.1 pep primary_assembly:Lsat_Salinas_v7:4:58858154:58860209:1 gene:gene-LSAT_4X39440 transcript:rna-gnl|WGS:NBSK|LSAT_4X39440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFKNVKQWLSEIDRYASENVNKLLVGNKCDLVQNKVVSTEAAKAYADEIGIPFMEASAKEATNVEQAFMAMAASIKNRMASQPSMSKSRPPTVNIRGQPVQQSGGCCSSS >cds-PLY71979.1 pep primary_assembly:Lsat_Salinas_v7:5:121372586:121373907:1 gene:gene-LSAT_5X53640 transcript:rna-gnl|WGS:NBSK|LSAT_5X53640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPPEEHNTPPLKYKTWFLKVSIHCVGCKRKVKRVLQSIEGVYTIDIDSKQQKVTVVGNVEVDTLIKKLVKTGKHAEKWPENPNKKEKTAAGGESKNEKEKGSESSGNSSDEEERNPPPENGNPPSKNGGMSVRFADVPENISAQASQETGGGSQVSGGGGGGGGGGQGGKKKKKKKKKKSSSAKPSGGPPDTGLVAPEMGRNQVIDQLHLSPPRGYSYPMPAGPAYAVSYNETHPSGNGGPAYYIPPTPYTYDYTEDSDDFVTLPRPSDTFELLSDENPYGCCIM >cds-PLY94208.1 pep primary_assembly:Lsat_Salinas_v7:5:321409763:321422966:1 gene:gene-LSAT_5X176780 transcript:rna-gnl|WGS:NBSK|LSAT_5X176780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGADCAKKLSETSESETSTTDSDEYDDESDEEIYVKKRTGDRKYVEGVTKIKEAKRKKNNRDNRAAVKKQKTVKEQKTVKDILKELPSINTRSTPGLMTDAVSSLTSEQKDWVKRMGFKAFLKINIKSIPLKLCHFVLKHYDEGTNSILIKGKRIKITKEKIHKVFGLPKTGKSLFDLHKVSEDHQVFNGWMKELEGGKANATNYKKIIQKSEQVDMNFKLGFIALFVNTFAESIPMGTNNLVPVRALVEVDDISKIDWCAYLLYCVKNSKGRWHPDNPKCYYIGPMLLLLLIYCDEIECKLQKIERKTPLVTMWTADKLKERQSFEIEAGGFGVGNLIEESSNLELEKNENQVNENQDMRIEEYEEKYEKIFNNVSTEKNDMEDIIFHCLSKFPEDNKTKEMIRKFRDIFSTTLFSSREKSETIKERTEVKSDRDEELNKTNISDSDDDKDEGMNTKLVAFLAVKPLQQKFPENEETQEEDQDMNVDDRTNLGFENNIGEETIRHPHNPERQIEFEGINVDDKINLASEVNNIDETIEKKNLEDNVESKNLVKGGEIIGGENIGEGNIVEKVVGDNIGESSIVTPKHNPKGISIDFSPWSDSFIEKMDEDLLRIFSNRNPDSNTIQNPVVRSTVPKKLTFENSEFPSFDLQITQLINNAETGDNSEGSDEDGELEGNEEHILDEKGKKDQNVNARGKRKVTNPDIFRSPFVNRVIDLSEKVSTEQEIMAQIMFRCVADKDPMEMLFETESGDIMDRVHFEGMRPNHKIHPFVIDCWAAVLNFEEENLRNKKSPPRVFFNTQIMTEKLLDSSIPFVERSRLFDEAVNNYLYDIKRKVDFNSINLVFFPIHNRGHFYCILFNLTNPEHIIIDNIRYTKKVEDVYGEIPKLVQMYFSKFLDNNRRDKVSLFKSMKPKKMKMAWQTKTKTNDDGIFLMRHMEKYMGEKEEKWDVELGEESVRTSKKIAKLRTFYVSKLANHQINKQRKLNVTEALEFSKLDKKTRCMLVKEGSEARDNLEMKKV >cds-PLY76977.1 pep primary_assembly:Lsat_Salinas_v7:6:63961511:63963459:1 gene:gene-LSAT_6X46361 transcript:rna-gnl|WGS:NBSK|LSAT_6X46361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g22410, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G22410) UniProtKB/Swiss-Prot;Acc:Q9SJZ3] MTQMKQIQTQMIITGLISDGLAASRLIAFCAISESRNLDYCTRILNNLQNLNVFSLNVTIKGYYESEKPEESLLLYKEMLTKSKGLRPDNYTYPLLLKCCAKLSSMRMGFGVIGHVIHLGFDSDMYVNNSVIHFLVSCGELDNAQKVFDESILRDLVSWNSIINGYVRSEKPWEALRLYHRMEEEGVKPDEVTMIGMISSCAQLQNLNLGKEFHQYIQQNQIKMTIPLVNTLMDMYVKCGNIEAAESLFNKMSKKTIVSWTTMIVGYGKSGHVEAAKKLFDEMPERGVVQWNAMIGVYIQSKRYKDALATFQEFQATMIKPDWVTIVHCLSACTQLGALDLGIWLHHYITKHHLPINIALGTALVDMYAKCGNIAKALQVFDEMPNRNSQTWTTIIIGLAYHGNAHDAVSCFWDMINVGITPDDVTFVGVLSACCHGGLVNEGRKIFTQMTSKFNVSPKCKHYSCMVDILGRAGLLEEAEEVIKSMPIVADDGVWGALFFACRVHGNVEMGERAGFKLLELDPSDSGNYVLLANMYREAKMFEKAMEVRKLMRERGVEKTPGCSSIEVNGNVHEFIVRDKSHPYYQEIVECLVHLAKKSRVLGMWG >cds-PLY81929.1 pep primary_assembly:Lsat_Salinas_v7:8:122471419:122477972:1 gene:gene-LSAT_8X83981 transcript:rna-gnl|WGS:NBSK|LSAT_8X83981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNSGSTGSERAADLYNEPDMDIETWVNELYTDEFRDSLMNLGFDDIIINPDYASDQPNMSVNSRSIFGGGSADKSQRGIPEERMTVSSLTPNSSTPTLQTSGHILSPLPTFVSNPASQSSPSHTTQDLPQFTNQNQPLKQQIFEHTAVQQPPTHPSMTQSTIMFGQQKTNQQMASDHLRPCPRPVSTIKKIHLQNLQTPMQQISNTSQVQKRQKQMVVHPLQNFQQNQNQTQQPAVMTPHILMPEGGQHRVHGLLIGSEGQKKPQGHISTALPATAGFSHPNEFSTNENWRECAFRQETNVEIATKYGHAKVFLEKMINFLNIATIDKIPKNRERVYNYMNFIINYLTSFRIKNGGSSPIFDQMINQAPEDHSQSKGSYLQPSGGDMRLPYNLQENLNHGVINLEQNILNFNQQRLHQPVDIQKGNGDMRLQNMPPPNSSQGINLATREAEMMKFNQYFPSRRPEGRITSTLISNDSYQMGSVNPLLTGSFKSTQPTVLGTTQRSNLGPRPSSVNVSTSSMSLLRAATAANFPSRQVNHQPSLVNQSFNSEKMKQPMQKSNHEMITGSNQGQVLSPLAALLQNQFPSQPAPNPIILQPSGQHSVSSWQVNANNVLSPQSIACSPFLLGSSSAPSRREKHHSSSGPASVSNTEGQRQEIPIIAGMFKNQKTMNNKVSDMDHPKTPTASPPMDTTPCTEKAAVSEDSKDPVVHLTETVESLPSKTVGSSMNDITIVEQDHHSVPAYPEPAKPVGGNTEPIFDEELVNLDHLNIGEETAFLFAPENVPQQKVKRKFTSASHSSQDFGDFDSIDQFFSEEWDSDSTVPSMKKKSKIECTNLAISEEIKEINKKLLETSSEMVANYPVEDSNSILEGHEGIKVTLVYRNVCIPDLFRNQAGVTSKMRPEFRIKLLVPLNYPASSPTILKGSHDNVSSEPWPQLYEEMVSKFHCAIRELPEPMSLGDMARKWDACARSVITDFVQGIGGKSFSIKYGSWESSSVSK >cds-PLY80568.1 pep primary_assembly:Lsat_Salinas_v7:6:14557390:14557734:-1 gene:gene-LSAT_6X8461 transcript:rna-gnl|WGS:NBSK|LSAT_6X8461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPRSSSANPRSTSLLTNLQYPPRSASLLIDMQPCPHLVFRIEGKRRRRHPNLHRCTLSSWSSESPAMTAFSDGSEDLEEVCEACSSIDGDTKHASTDSWDHGFGGAHGVCVHK >cds-PLY76773.1 pep primary_assembly:Lsat_Salinas_v7:4:187394609:187414824:-1 gene:gene-LSAT_4X108241 transcript:rna-gnl|WGS:NBSK|LSAT_4X108241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMVRQKTQIKKIENLAARQVTFSKRRRGLFKKAQELCTLCDVDIALIVFSATGKLFHYSPSSMNQVLEKHRLLQTRNVGELRQPSHEMQIASRNFGILSNELAEKSTALRQMKGEDLKGLDLKELDKLEAMIESGLVKVVKTKEQKMLKEISTLKEKEAQLREENIHLKQQFAMMHLCIEKMGIHEQCGHHPSWELTIGNLKSRDQPQNYNNNSDTCLKLG >cds-PLY86919.1 pep primary_assembly:Lsat_Salinas_v7:5:259820408:259829296:-1 gene:gene-LSAT_5X129901 transcript:rna-gnl|WGS:NBSK|LSAT_5X129901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGASLPPKEANLFKLIVKSYETKQYKKGLKAADAILKKFPDHGETLSMKGLTLNCMDRKPEAYELVRLGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLAGFVETRQQLLTLKPNHRMNWIGFAVSHHLNSNASKAIDILEAYEGTLEDDYPPENERCEHGEMLLYKISLLEECGLLEKALKELRKKEFKIVDRLSYKEEEVSLLVKLNSFEEGEKLYRVLLTMNPDNYKYYEGLQKCVGLHSKTGQYSSDEIDKLDALYKSLGEQYTWSSAVKRIPLDFLVAEKFRDAADSYIRPLLTKGVPSLFSDLSPLYDHPGKANILEKLVLGLEESLKKTGGYPGKSEKEPPSTLMWTLFYLAQHYDRRGQYDVALAKIEEAMQHTPTVIDLYSVKSKILKHAGDFAEAAALADEARCMDLADRYVNSQCVKRMLQADQVSLAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYLRQNEVGRALKKFLGVEKHYADITEDQFDFHSYCLRKMTLRAYIEMLRFQDRLHAHAYFRKAAAGAIRCYIKLYDSPPTSSTEEDDELAKMPASQKKKLRQKQRKAEARAKKEAEVKNEEANVGVSKYGKRNVKTVDPDPNGDKLLQVEDPLMEAGKYLKLLQKHSPDHLETHLLSFEVNIRKQKILLALQALKHLVQLDAENPDSHRCLIRFFHKVASRPVPTTDSEKLISGVLEAERPSFSQLHGKSLMEANTVFLEQHKDSLMHRAAVAEMMYCLEPNKKAEAITLIQESLNNSPISSNGSHGSVKEWKLKDCVAVHKILVSTFDDRDAALSTTLNYFLDDKINRAEILFQGVACFLIVVCLASVVYVSNAKHNQAKLNGLKVIKLKNNKILEHQLNNNNILIYICRHPNLNTNSYIFL >cds-PLY88843.1 pep primary_assembly:Lsat_Salinas_v7:3:194124217:194132885:-1 gene:gene-LSAT_3X115620 transcript:rna-gnl|WGS:NBSK|LSAT_3X115620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPEKGNGDALPPPPPVPEDVVPMKAEPEKKKVMRVPMGRRGLATRGNKVQLLTNHFKVNVSNVDGHFFHYSVALFYEDGRPIDGKGIGRKVLDRVHETYGSELAGKEFAYDGEKSLFTVGALPRNKLEFTVVLENVTSNRNNGNASPGSPDNDKKRIRRSYQSKTFKVELSFAAKIPMQAIAQALRGQESENSMEALRVLDIILRQHAAKQGCLLVRQSFFHNDVKNFADVGGGVLGCRGFHSSFRTSQGGLSLNIDVSTTMIIQPGPVVEFLIANQNVKDPYSIDWAKAKRTLKNLRVKTSPTNTEYKITGLSEKPCNEQLFSLRQKTKDENGEFETLEVTVYDYFVNYRKIELRYSGELPCVNVGKPKRPTFFPLELCSLVSLQRYTKALNTMQRSSLVEKSRQKPQERMKVLTDALTLNKYHEEPLLKSCGISISNSFTQVEGRVLAAPKLKVGNGEDFFPRNGRWNFNNKKLVEPTKIERWAVVNFSARCNIQSLVKDLIKCGGLKGIKIDEPFDAFEESPQNRRAPPLVRVEKMFEMIMSKLPGAPQFLLCLLPERKNSDLYGPWKKKNLADFGIVTQCIAPMRVNDQYLTNVLLKINAKLGGLNSMLAVEHSPSIPLVSKVPTIILGMDVSHGSPGQSDIPSIAAVVSSRHWPLISRYRASVRTQSPKVEMIDSLFKKVSDTEDEGIMREILLDFYTSSAKRKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDDKWNPKFLVIIAQKNHHTKFFQQGSPDNVQPGTVIDNKVCHPRNNDFYMCAHAGMIGTTRPTHYHVLYDEIGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAATQMSQFMKFEDHSETSSSHGGTGVTSAGAVAVPQLPKLQEAVANSMFFC >cds-PLY73267.1 pep primary_assembly:Lsat_Salinas_v7:8:212373337:212373960:-1 gene:gene-LSAT_8X132721 transcript:rna-gnl|WGS:NBSK|LSAT_8X132721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSEGKGYSGFVRCMCWCCCLLLLVIIISGGFAYYIYSAEDPKPPVYDIQSFTVNTLDLEDDSTLKTEFVVIFKIENPNEKIGFVYGKKNNISLLFDDEIISCGQLPAYKQGPANTTVLEVTLAGTSPDESSTYQDIVQNGKEKGVGMEFVVKMSVPMKFYVGNVGDVARKEVIASISCGLVMKNLVSGKTATILEKECEELVKF >cds-PLY90788.1 pep primary_assembly:Lsat_Salinas_v7:9:113715365:113723133:1 gene:gene-LSAT_9X79740 transcript:rna-gnl|WGS:NBSK|LSAT_9X79740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase associated protein phosphatase [Source:Projected from Arabidopsis thaliana (AT5G19280) UniProtKB/TrEMBL;Acc:F4K124] MAKQPMVAVVAGIVTANGGLLPYLLLLMLFLILIIILIACRPWRFFARNYRSRTIKATTSSDVQDVERPLISQDSDEVESNIDEHHRNSPSEGPYHQTDGHLSSHQNHGVVHKHRLPPRPPQVKVSHGDSLILDISDPSESVVVGQTLKRPLVIKESAGDEDYGAKEDISNKSRIKSDNDSSRRTADQRSILTLEVISGPSRGARYSVQSTNKSKLPLILGRVSPSDVLVTDSEVSGKHAKINWNLNKLKWEVVDMGSLNGTLLNSKAVHHPETGSRHWGDPVELNSGDIITLGTTSKISVEIASGGFNRKIPFGVGVVSDPMSVRRGGKKLPMEDVCYYQWPLPGAHQFGLFGICDGHGGAAAATSASKIMPEMVTRILSDTLRRENVLSRCDASDVLREAFSETEAQMDHLYEGCTATVLLIWGDGHDNYFAQCANVGDSACIASVKGQQVKMSEDHRVASYNERMRIQATGEPLKDGETRLCGLNLARMLGDKFLKQQDARFSCEPYISQVVYMNSETQDFAIIASDGFWDVVNSKKAIQLVHQTREKDVVEVYSSAEKIAKVLLSEARAQRTKDNTSIIFLDFDTNQTITTNDDV >cds-PLY71416.1 pep primary_assembly:Lsat_Salinas_v7:4:238597320:238597547:-1 gene:gene-LSAT_4X128201 transcript:rna-gnl|WGS:NBSK|LSAT_4X128201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKASEARDTMKLRVNWNEMRSGWISDWGVVAGLTNGVLYKTAAGPRSTAVVKAIGGIAVGLVVMGKQILKRYIPI >cds-PLY94089.1 pep primary_assembly:Lsat_Salinas_v7:5:183447965:183448399:-1 gene:gene-LSAT_5X82000 transcript:rna-gnl|WGS:NBSK|LSAT_5X82000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLCILLLYLGCTTNASLTVNTTFKACITTSWEVHIHNKINDPIIVHVKSNDDDLGNRTLPFNGSRDWSFCSKANGRTVFSSNFYWKSKIASFDVFTNPIGKKYCANSVPFKVQKCNWLVKEDGFYISKKVDNPSPHKLHDWS >cds-PLY80896.1 pep primary_assembly:Lsat_Salinas_v7:8:127945243:127946484:1 gene:gene-LSAT_8X88580 transcript:rna-gnl|WGS:NBSK|LSAT_8X88580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEDVGGEEYLFKIVVIGDSAVGKSNLLSRFARDEFDLHSKATIGVEFQTQVVDIDGKEVKAQVWDTAGQERFRAVTSAYYRGAVGALIVYDISRRTTFESIKRWLDELNTHCDTTTARMLVGNKSDLENIRDVSVEEGKRLAEEEGLFFIETSALDSTNVKEAFQIVIRAIYNNSRRKVLNSDSYKAELSSNRVSLINGSTSRSSCCSR >cds-PLY87306.1 pep primary_assembly:Lsat_Salinas_v7:3:155867085:155868038:-1 gene:gene-LSAT_3X98541 transcript:rna-gnl|WGS:NBSK|LSAT_3X98541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHDLAGLLSSPDVKFTASQIKCYMMQLLKGIDHCHSRGIIHRDIKSSNILVNNEGVLKIADFGLANFYDSMSRQPLTSRVVTLWYRPPELLLGSANYGPFIDMWSIGCVFGELFVGRPILKGRTEVEQLHKIFKLCGTPPDEYWTKTRHPLAAMFKPQFTYESSLRERCKELPRTVVDLMDQLLCVEPEKRVTANSALQAEVRLAVYHSWF >cds-PLY70737.1 pep primary_assembly:Lsat_Salinas_v7:8:167953802:167955030:-1 gene:gene-LSAT_8X110401 transcript:rna-gnl|WGS:NBSK|LSAT_8X110401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVAQVHSPHITENYHRLHHISTFQLPDRKLLFKSSLNFTFPSLKSKPFNPNLLPEFQPLLCGAGGSNYGSNGGGNDGSHGGGGGEGGDGKSDDSPQSDGFGPIGAFLSGWRSRVAADPQFPFKILMEELVGVSAAVLGDMATRPNFGLNELDFVFSTLVVGSILNFTLMYLLAPTMSSSSISLPFIFANSPTSHMFEPGSYTLMNRLGTLVYKGTLFAAVGLAAGLAGTALSNGLIKMRKKMDPSFESPNKPPPMFLNSITWAMHMGVSSNLRYQTLNGIEFLLQKGLPTVFFKTSVVGLRLVNNVLGGMTFVMLARATGSQSSGTEKKISSNLDEKVPHSD >cds-PLY69647.1 pep primary_assembly:Lsat_Salinas_v7:5:111607986:111609819:1 gene:gene-LSAT_5X49081 transcript:rna-gnl|WGS:NBSK|LSAT_5X49081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSITKKFEHLKIPLEAIISSTNNFAESNCIGRGGFGKVYKGELHVHLKGQTTVALKRLDRTFGQGDPEFWKEIVMLSLYKHDNIVSLLGFCDDSGEKILIYEYASKKSLDLYLKSDDLTWVRRLKICIGAARGLAYLHNPAGTQQRVLHRDIKSSNILLDENWNARIADLGLSKFGPANQQYTFLVSNTVGTFGYCDPIYVETGLLTKESDVYSFGVVLFEVLCGRLCISYNNKAQSFTEMVRKSCKQNKVSEIIYDNIKDEINPDSLEAFTTIAYRCLKRDREQRPLMNEIVRALETALIYQAPPTSPTTTTTPTPNLHPLSKLITTVLPPPPESKRMFSPPPSPLSESSNMTAQPPFPPSKNNKAHFRF >cds-PLY88397.1 pep primary_assembly:Lsat_Salinas_v7:5:62745675:62745980:-1 gene:gene-LSAT_5X30081 transcript:rna-gnl|WGS:NBSK|LSAT_5X30081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPSPDSLNSGEDINYNVLHSIFCDCGPKITENQTEMKRLKEQLGQEYIVCRIDHISLQHKLDDHDQKFKVFGVAMGGMMVGMLLLLVVVLHFHVKLG >cds-PLY61669.1 pep primary_assembly:Lsat_Salinas_v7:9:158475534:158477751:1 gene:gene-LSAT_9X99160 transcript:rna-gnl|WGS:NBSK|LSAT_9X99160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFFYMKMMNSECTHVSNPSRLFLGTSTPLNSLDSNPNPNPRSINKNRVQIPHASHRASSYVASFAAFAMLTRSVANDVLTVGKELRTLADEFIPREYQDYYIHEMKKIIRNKDSEFTIVIDEYQAQSNTADEMYQAVATYLGTKVNESCIRKVNVCKNKEALTITMNEDEEIIDEFDGIQVKWRSTTKTEVANVQSGLKSYELTFHNRHRCKILESYLPFILKRSDEIKSEESGLKLHMIDADYNESKTVIIDNPMTFKTLAIEPEVKKMIMEDLNNFKDGKEYYRRIGKAWKRGYLVYGSSGTGKSSLIACMANYLNYNIYDIDLTEVDSDSQLKNILLEMPSKSILVFEDFDQLKNQIGEKTFSRLFNFMDGIWSCCGEERIFIFTTKSINALDPTLLRPGRLDMHIHMSYCTFSAFKQLAFNYLELEDHRLFKRVEELLQSVNATPAEVAGELMKYKKDVTMSLEKLIAYLEKKQGYNM >cds-PLY96891.1 pep primary_assembly:Lsat_Salinas_v7:2:110644924:110648846:-1 gene:gene-LSAT_2X51620 transcript:rna-gnl|WGS:NBSK|LSAT_2X51620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCYPYPLYEYLIVCFTTHHYNIQLKDNSMELTEEGIALAEMALETKDLWDGNDPWASSDQYGSQFIQQKLETATTEEKKNMFFHEIMPQALSLMTDVFGNYVIQKAIEVVDLDQQRKMVAELDGHLILETIIAETSVTIPGIKYVIDPGWVKVRSYSPDSGIESLTVLTTSKAQALQRSGRAGREGAGKCFRLYPESRFEGHDDSTMPEIKRGNLSNVILQLSALGVDDILGFDFMEKPDRHIPLNRINGDAHCSFPSLSAAYEDIKEGDSSSTTLIERNLGSVEAAAKVLNAKHFPVCVAGLRCSKRRR >cds-PLY65123.1 pep primary_assembly:Lsat_Salinas_v7:1:158182871:158190938:1 gene:gene-LSAT_1X109061 transcript:rna-gnl|WGS:NBSK|LSAT_1X109061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGNEKSYKGGRGKCVEFGCLSQPSEEDKTRVGEIESSDSNQAVEDEIVVARIFEIAEALSLAFGDIRQASIDGDGSSGRGNDQLVAEGISEGCHCAIRDAVRMSVLLCTNLEGEILVGTKHLQELTEL >cds-PLY81293.1 pep primary_assembly:Lsat_Salinas_v7:3:204064122:204065958:1 gene:gene-LSAT_3X119140 transcript:rna-gnl|WGS:NBSK|LSAT_3X119140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKENLKVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHNAGDKNPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVICSIASGLSFSDEPTAVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILTGGMVACIISAAFKSSFPAPAYEDDPLRSTVPQADYVWRIILMFGSIPALMTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQVDLEAEPEKLQEKSPNSFGLFSKQFAKRHGLHLLGTTTTWFLLDIAFYSQNLFQKDIFTAIGWIPAAKTMNAIQEVFKISRAQTLIALCSTVPGYWFTVAFIDRIGRFKIQLMGFFFMTVFMFALAIPYHHWTQKENRIGFVVMYALTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAASGKAGAIIGAFGFLYAAQSQDKTKTDKGYPPGIGVKNSLIVLGVVNCLGMLFTFLVPESNGKSLEEMSRENEGEDEEGMEMESERTHENRSVPI >cds-PLY79227.1 pep primary_assembly:Lsat_Salinas_v7:5:300413015:300413414:-1 gene:gene-LSAT_5X160641 transcript:rna-gnl|WGS:NBSK|LSAT_5X160641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEIDMVFVGDDGVVESGGIINMMGTYQIAMVAKTMDKTVCVAAESYKFARLYPLNQKDMVVALKPIDFGVPIPLKVRLKHLLGITVHLNILPCYSQIWVF >cds-PLY65339.1 pep primary_assembly:Lsat_Salinas_v7:6:40192385:40194150:1 gene:gene-LSAT_6X30501 transcript:rna-gnl|WGS:NBSK|LSAT_6X30501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTDTDIEEGINDQRDRASAGDRDYQQPLAAPLIEAAELAKWSFYRAIIAEFVATGLFLYITVSTVIGYKRSQNDSTKNIPGYCGGVGLLGLAWAFGGMIFVLVYNTAGISGGHLNPAVTFALLLARKVTIPRAIMYILAQCSGATCGCWLVKAFEKTYYMVNGGGVNELQHGYGRLTALGAEIIGTFILVYTVLSTTDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGPAVMYNEKETWNDHWLFWAGPMIGAAGAEFYYEHILRAHLTLYRDHHHITRR >cds-PLY86781.1 pep primary_assembly:Lsat_Salinas_v7:5:14820582:14821947:-1 gene:gene-LSAT_5X8441 transcript:rna-gnl|WGS:NBSK|LSAT_5X8441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILLLQNLGSVMADVVIDAMIAEAARREKYVLATSFYSLHFQDAIAAVSVVSDEEIQKLVAMGFDKEAHDIFGDVDELLRQRKLGLERISRYDDSGEGKERRLEDEFEPIILSYKYKTEKGEYIREIDILERI >cds-PLY97162.1 pep primary_assembly:Lsat_Salinas_v7:2:206188262:206188447:1 gene:gene-LSAT_2X127641 transcript:rna-gnl|WGS:NBSK|LSAT_2X127641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEVCAGQDSDECKVAWEEVEEISQAKAHLRVKLEHEEDPLGVVCSGNPEVDECSVDYD >cds-PLY63857.1 pep primary_assembly:Lsat_Salinas_v7:1:124647838:124656186:-1 gene:gene-LSAT_1X93741 transcript:rna-gnl|WGS:NBSK|LSAT_1X93741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSELLPEGSSSSSIHGHSSPTCGHSSSTNGYIYDVFLSFRGADTRHSFTDHLHKALIDANINTFLDDEEIETGEDLKPELESAIKASRASIIILSKNYAYSTWCLDELVLILEQRMTSNHIVIPIFYHVEPTHVRKQQSSFGDAMAKHKQTMEAETNANKRSQWAQKMDRWNKALIEVGNLKGSDVKGRLETKIIEEIVKDIHRRLHVNLKTAQPLFIGMDYHISFVTSWLKDGSSHTVDILTIYGIGGIGKTSLAKHVYGLYSCEFHRSSYIEDITRKSDGKFNGLLGLQEQLYNDISKTSSIKFHDVSVYTTKIEKALARKRILLVLDDIGTLVQLDALLGSKGFHPGSKVIITTKDSWLTKSCALFKTNIKPKHVEHFLEGLHETESRQLLCFHAFMCNYPKVGYEEVSYKLVKYCQGHPLALEVLGKSLYNRDVAYWEGCIEGLKKETSSPINNVLRMSFDSLPSTNDKELFKHIACYFVGVDRDVSETILKACDINTRSGITNLIDRCLLSIGRDNELKMHQLVQEIGKFEVRQESVNKPWKRSRLWCHKESFRVLKQKKGKGNLLGLALDMRMLEKEKLGGSFELKTDALSNMDSLMLLQLNYVIMNGSYEYFPEDLRSLCMHGFPLKFIPSCLPMRNLVSLDMSYSKIESFVGCYSNPQRLEKRQKLDGSCLKDKKVLGSLKILNLSFCKQLCSLGEFDQLPALERLIVRNCIGLLEVCESIERCVELVLIDLSYCKKLEKLPRNIGMLKNVKTLLLDGCNLRDSRIENRDTDSPEICMANNMVINIRTSSSALMGAIPSDLKLFAISLPRSLVTLSLSNNNLSTESFPMDFSCLSMLKELHLDGNPIDSMPSCVRTLPRLEILSMQNCEKLKSVEHPPRTLSRLMLFSHGRSIDKVVFDSEMSPLKLSPFRIAWPFEIEGMIKIQPMVNVEEKVLRSLGWTNPEFINKRRMGTNSSEFEIEMIYEFGIFSTLYEAEEMPSWFRHRSVGPSISFTIPSSSSPNNRLTGLNFCSLHTMKRTDEWPLFPDDDPFPFSPMMTISNITKNNMWIYERRKDRYIPNQKCWVVLSHWMFGRNEMEAGDHVTITVTDQNDELTKECGVSLVYNDGEGEEDALGYYKSWNHIIGGDLSPFQTTTGQYILLNRRFFMYGIYLSPYHRKFITDGPDYQGTCHFY >cds-PLY78013.1 pep primary_assembly:Lsat_Salinas_v7:9:43979969:43981468:-1 gene:gene-LSAT_9X40260 transcript:rna-gnl|WGS:NBSK|LSAT_9X40260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein CITRX, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06730) UniProtKB/Swiss-Prot;Acc:Q9M7X9] MLLAATPFSFYAPSKPLSPVQRSSPLKTRDFASIFSTTTQSLASSLQSPSRRLLPTPPSGKYLREDYLVKKFSAKEIQDLVRGERNVPLIIDFYATWCGPCILMAQELEMLAVEYESNAMIVKVDTDDEYEFARDMQVRGLPTLYFISPDPTKDAIRTEGVIPIQMMRDIIDKDM >cds-PLY94785.1 pep primary_assembly:Lsat_Salinas_v7:2:179438128:179439866:-1 gene:gene-LSAT_2X101321 transcript:rna-gnl|WGS:NBSK|LSAT_2X101321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 41 [Source:Projected from Arabidopsis thaliana (AT3G02065) UniProtKB/Swiss-Prot;Acc:Q3EBD3] MESIRLKFEIIVKGSCVPPPVLSFSHCNLPQKLLQNIESAGYEIPTPVQMQAIPAALKGQSLLVSAETGSGKTASYLIPTISFCANFRKEEKKPLVMVLTPTRELSIQVEEQAKILGKGLPFKTALVVGGDAMPKQIHRIQGGVEMIVGTPGRLIDLLTKYDIELDTVSMLVIDEVDCMLQRGFREQVMQIYRALSQPQVLLYSATVPVEVEKMGRSLAKEMNVITVGKLNKPNQAVKQVAIWVESKRKKQKLFDIFASSQHFKPPVIVFVGSRLGADLLSEGISVTTGLKALAIHGEKSMKERREILNSFLLGEVEVIVATGILGRGIDLLHVRQVIVFDMPNSIKEYVHQIGRASRMGVEGNSIVFVNEENKKLFPELIGILKASGAVIPREISNSRYLAMAAPKDNRDNRKRKPVW >cds-PLY74528.1 pep primary_assembly:Lsat_Salinas_v7:7:39751754:39753216:-1 gene:gene-LSAT_7X28781 transcript:rna-gnl|WGS:NBSK|LSAT_7X28781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKADIELEGKDIIGHTVKFLVDCKENGEILDNQVPPSIYIVPSAFRDLSPSSFNPRVVSIGPLHKQDENLKGFEVQKKSYLHNLLQRCVPKQTLQDEHSVAIKQMLQECAEKVTRSIQRIKASYGGLTTCSDFELAEMMVIDGCFILEFGARLLELSSKSNKLITQSIMFDLMLIENQIPFFVLENIFECTLLKSIPNASLTTYIQEILKYYSYIFVGNLVAPNVSSDSTHDHLLGLLHKNYQPSKPIQAMFLPDPKGHSAMDLYRAGINFKPNEDQDWGLAMKLEVPSPLFSWIPIFMAIPQIPWLQWPTLKMPIMRINDSTELILRNLIIYEQFSNVGKYITSYVCAMDLLIDTPQDVARLVKSKVLINHLGSNDHAANMINNICKEVLLLEFVYHEQWKDLDAYYNRYWPYTISGLRRTYFKNPWNIIALIAAFVLFALTVVQTIFTVNPK >cds-PLY66889.1 pep primary_assembly:Lsat_Salinas_v7:7:20181265:20181968:-1 gene:gene-LSAT_7X16380 transcript:rna-gnl|WGS:NBSK|LSAT_7X16380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNHSKFIKIAMLLALVFMIQATKEESLIIDDENLDTENMETPTLEPEQQVFLRTSRFLAQKQQATKMTCDKNPRLCRAKGSLGPDCCKKKCVNVMSDNMNCGLCGKKCKYSEICCKGKCVNPRSDKKHCGSCGNKCMKGNFMP >cds-PLY74143.1 pep primary_assembly:Lsat_Salinas_v7:9:14201468:14201760:-1 gene:gene-LSAT_9X10940 transcript:rna-gnl|WGS:NBSK|LSAT_9X10940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLGLHKWCTLDKYNHVKGIHNVSMWLK >cds-PLY90223.1 pep primary_assembly:Lsat_Salinas_v7:8:274380849:274384826:1 gene:gene-LSAT_8X157341 transcript:rna-gnl|WGS:NBSK|LSAT_8X157341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWYRRSKLGFDAISSYFTSKLTSPKSTIRNSNQLSKLTCSAYYPLKVSKVSVDRSLNSRLYATSNRYYYVDSRQVRHFKPRGYRRWFDNPKNVFIAVLVGFGIGLTVYFGNVETIPYTKRRHLVLLSKNLERTIGESRFKNMKVGLKGKILHAMHPESVRVRLISKDIIEALQRGLKKEQGKNEVLNDMWVDQSREKGKEKGGKSATGHLEGLNWEVLIVNDHVVNAFCLPGGKIVVFTGLLEHFRTDEEIATIIGHEVAHAVARHAAEQITKNLWFTIGQLILYQFVMPDLVNTMSNLLLKLPFSRRMEIEADYIGLLLMASAGYDPRVAPKVFEKLGQVSGDSALRDYLSTHPSGKRRSKLLSEASVMHEAVAIYREAMVGREIDGFFL >cds-PLY65928.1 pep primary_assembly:Lsat_Salinas_v7:4:136973116:136976294:-1 gene:gene-LSAT_4X85380 transcript:rna-gnl|WGS:NBSK|LSAT_4X85380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYKPFGEDQMVTDVKEVSAMQKAPVGTPEGAGLLVIIHEGKNLEGKHHTNPPVRVLFHGKEKRTKGIVGIDGIHWSGVDVQDNVLVLDLLRPSLEDLFVLPWQGLKGATKKQKYDKICEKKVSTPIENHHVEFATYFKYCRSLTFDQKPNYGFLKRLFRDLLTREGFDFDYVFD >cds-PLY77069.1 pep primary_assembly:Lsat_Salinas_v7:3:219706945:219707769:-1 gene:gene-LSAT_3X126981 transcript:rna-gnl|WGS:NBSK|LSAT_3X126981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSESLSDSDTAMLEFVRKHLLEDHDISCSFPAKNSSFDKRLYSRSSSFTSPYSFDTSIEQSFSVDSYVDSLSSVISSCNSRVCSPRAINSINNQIFHDNSLESSCSESIKSPSLSRSQSHSSIILGSDNSNIFQVTNWEVGPSLHNPCSQISTEEAQFKLDFSLGKVEKIQKNDQKTKDWRRFRGVRRRPWGKFAAEIKNPCKKGARIWLGTFSTPEEAALAYDQAAFKIRGSRAMVNFPHLIGSKTIKPLCSLSQVALECKLKNKGFKTETEH >cds-PLY99788.1 pep primary_assembly:Lsat_Salinas_v7:9:53297016:53298100:1 gene:gene-LSAT_0X7521 transcript:rna-gnl|WGS:NBSK|LSAT_0X7521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQEWHEASNHVLCANNCGFFGSPTTLNLCSKCYKDHRLKEQHMSNAKLAVEKSLTPPQAPQQPPPPLETSSSSGTSVSLSVTTDLESGAVPPPPVAVEVVPIPKPQQRNRCGSCNRRVGLTGFTCKCGTTFCGTHRYPELHACSFDFKTIGKEAISKANPVIKAAKLNKI >cds-PLY70086.1 pep primary_assembly:Lsat_Salinas_v7:4:204475976:204478281:1 gene:gene-LSAT_4X114841 transcript:rna-gnl|WGS:NBSK|LSAT_4X114841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVEYLSQLRNTTTSSIMMISIRFTANPSSIQSLIARLMRDKQRNEIGGHLFKTRCHLFKNTKLTNLLLMNNHDKVGGSFSKSMDTITDMEIILKR >cds-PLY91366.1 pep primary_assembly:Lsat_Salinas_v7:8:47235400:47236399:1 gene:gene-LSAT_8X35100 transcript:rna-gnl|WGS:NBSK|LSAT_8X35100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGTVGPSVPNLDACLESVPKMNYDTLSSKPQGEICIRGNVLFAGYYKREDLTVEVLVDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVIVENLENVFGPVSDHDSIWIYGNSFESCLVAVANPNKQAIEHYANAHNISGDFEALCENPKIKEYVLGELTKVGKENKLKGFEFVKAIHLDPVPFDMECDLLTPTFKKKRPQLLKYYQSIIDNMYQKINNR >cds-PLY64972.1 pep primary_assembly:Lsat_Salinas_v7:8:159995736:159999469:1 gene:gene-LSAT_8X106600 transcript:rna-gnl|WGS:NBSK|LSAT_8X106600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPMNTNLRSISELQHRHIQIPIASHNQSPPPLPLNLPRSDLLVGRRDDYNEICVPTYKATITGNWKAAKIILDKRPELVRFSITESYDTVLHIAVMGKSYWFVEYLVSLMEKEDLELQNQIGQTALCLAAMAGNVKIAMILVNKNNALLNIPDSRDVALQIVMDCPELAINGNVLGLLARKPYAFDATRTNIFWRLKCSFFAVCHLKIGIPDMESHALQLLRIVWAEIVKLPKAQIDEIIRGPPDQTKEDEKQTRDEKEKQETLLLLRTISDNVAKMPSRIFNLFKSPFDENATTSKPPNQRYSSRVLFLAAEMGNTAFVVEVIRQYPHLVREVNDNNHSIFHVAVSHRHEGIYNLLYEIGALRNLIITLEDKNGNNMLHLVGESAKINRLQHIPGVGLQLHLETLWFKEVEAILPPPFREKKNASGLTPHEVFNKNHKELFSKGEEWMKETAAQLMVVASLIATISFAAAFTFPGGYDQVTGVPIFLKKNLSKIFIIFDGLSFISATTSILLVLSILGSDYSEHDFMISLPQQLMICLASLFISIATMILTFLINFLLLYQHYSRWIPVFISCFAAVTYMIFGSPKFPLLGRFLYGSRFLFERERRMLKKPIF >cds-PLY89596.1 pep primary_assembly:Lsat_Salinas_v7:9:39497415:39500534:1 gene:gene-LSAT_9X35621 transcript:rna-gnl|WGS:NBSK|LSAT_9X35621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLWFQILLIIGFYLILLGVNSPSASSHCQTEQQSVLIRLKKELHFDSLLSSKLVYWEPNAADCCTWTGVTCSAGGVVIGLDLSNETISGGIDDSSSLFRLESLETLNLAGNNFNSTPIPSGFGSLTSLRNLNLSNSWFSGQIPGELSHLTKLQVLDLSSLFSFHSLKLESPNLAMLIKNLTRLRVVYLDGVNISAQKSDWCQALSSSLLRLEALSLSDCQLSGPLDESLGKLQSLSVIRLALNNLNASVPDFFGKFRNLTALHLGNCNLHGTFPTKVLQLQRLQILDLSVNRNLHGSLPGFPVNRSLRSLVLSNTNLSGEIPESIGNLKSLSRIELPNCNFRGRIPKSMENLTQLTYLDLSSNKLTGQIPSFQLCKNLTHIDLSRNSLSGIIPSAHFQDLQHLMLINLRFNTFNGSIPSSLFNLQQLQKIQLSNNNFDGVLTDFSNPSASLLDTLDLSSNMLKGQIPKSFFQLGRLNILLLSSNNLNGTIYTKDFQGLSNLTALDLSFNNLSIINSPIPLTHLPKFFSLRLASCNLHHFPKLQNQSRLINLDLSDNKIDGEIPNWIWQVGTSYEGLTYMNLSHNQLTSLQEPYFLPDLGVLDLHSNDLHGAIPIPPKTATFIDYSNNLFNSSLPETIGVNLTFAYFFSVSNNSLTGEIPETICNARYLKVVDLSNNNLTGRIPRCLIESGSGSIGVLNLGGNRLSGRIEGIFPSNCGLNTLDLHGNRLEGEIPGSLVNCNMLQVLNLGNNRMIDTYPCSLSNNITSLRVLVLRNNRFHGSIHCGEDQQNKWSKIQILDIAHNNFNGTVPPDYFWKWDAMNNNHTDGEWGSKKHISFKVMPLDNLYYQDTVAVTVKGRELELVKILTIFTSIDISSNRFSGEIPDTIGRLTALYMLNISHNEFTGSIPPSIGNLSQLESLDMSSNKLTGKIPSELTDLPFLSVLNLSYNQLKGRIPTGSQFQTFDGDSYGGNKGLCGLPLIRSCTTSVIISSPPNSQESNDDGYDWQSLFYGMAAGSGSLAVLAILYSLFKRRTTRQ >cds-PLY63023.1 pep primary_assembly:Lsat_Salinas_v7:8:183325263:183329545:1 gene:gene-LSAT_8X118661 transcript:rna-gnl|WGS:NBSK|LSAT_8X118661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLPATAFSSSSSSSANSAVVRVDKATSEFLNGPDWTINIDICDTINANPWLVKDVVKALKKRLQHKNPHVQLLSLTLLETMVKNCGDNVHYQIAERNILPEMIKIVKKKTDMRVREKILVLLDSWQEAFGGRGGKYPQYYFAYDELRQAGVQFPHRSPDAAPIFTPPVTHHPSVGPTQPNYGMPSNSSTRLDEAMASEIENLSMSVIESMRNVSELLSEMLQEVDPNDRAAIKDEVIVDVVDRCRSNQKKLMQMLASTSDEELLGQGIELNDNLQTVLAKHDAIASGSPIPAQLTNSIASPSNTNNTLEPQIKSTEEKENLKSTVPVAAPPPVPPPSGNDGDDEEDEDDFALLARRHSKAQVGPTSDPSLSMALTITDPPPPLNTTKADDMIDFLSLTLSSPNPPSPPPVTTSHDQSPPVSTTAQQQQQHYPHQPQPSMSSYVVPWAQSEPQPQHQPPPQPPPVQPQYQQQNLSPGGYIPPPWAATPGYYTNPYQPSPVTYTYPTPSYNNINNTSSQQVNLYSNRAAAGGVSGDSQVAAGGGSGGSQRPFIPSYRLFEDLNVLGNPNTTSGSSSGESMLGARK >cds-PLY62959.1 pep primary_assembly:Lsat_Salinas_v7:2:212261712:212262068:1 gene:gene-LSAT_2X132301 transcript:rna-gnl|WGS:NBSK|LSAT_2X132301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQYTNLANHRERKGSKNFDPVVHPFEDRKRKKGDSIDREGERRLRCLTRPKGKKFEAAGEEAYEGSGVVSEVWSNRRKRRGRSSGHSLFPVRFPGARKNEVQAGKAVSDGNGGLDLL >cds-PLY78369.1 pep primary_assembly:Lsat_Salinas_v7:9:8927226:8927612:1 gene:gene-LSAT_9X6381 transcript:rna-gnl|WGS:NBSK|LSAT_9X6381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIAKVICEEQAGRFHEFGDSDEDGFRFSLDLSEEGVSAKEIDSQGWTIFPLFNSDLLIKDEVKSMDNEIHASDPITSSLRKLFIDEPEESSSCSSSEANELEALPSGTFCVWRPKTESRSSLVTRVE >cds-PLY94403.1 pep primary_assembly:Lsat_Salinas_v7:6:10130691:10132386:1 gene:gene-LSAT_6X7160 transcript:rna-gnl|WGS:NBSK|LSAT_6X7160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGFSSFLVLSSAPSAPAVPPETNLGDLPESVVGSVLVHLNPQEICRLAALNRAFRGASSADFVWESKLPENYDSIVSRVFGDGNEFPSNLCKRDIYARLSRPNSIDGGTKKVWLHKGTGRPSLSISFNGLSITGIDDRRYWSRISTDESRFKSVAYLQQIWWFEVDGEVEFPFPAGTYNLYFRLQLGRSGRRFGQRVCDCEQIHGWEKKPVRFQLSTSDGQNAMNECYLTSPGNWKFYHVGSFVVAEDSKVAMKVKFSMMQIDCTHTKGGLCVDSVFISPK >cds-PLY93563.1 pep primary_assembly:Lsat_Salinas_v7:2:173751374:173752663:1 gene:gene-LSAT_2X95461 transcript:rna-gnl|WGS:NBSK|LSAT_2X95461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQCVAPSSSIGFKSLHTVSHRIVQPTQLTVQCVASRYDAGAANATNLPLSAAAPTTTNNFAYGGVVKSRTDWQSSCAILASKVVSQQQNTEKSNGADNITVVNGHTSLDLVPIDKLPKPLTIADLSPAPLHGSTLRVAYQGVAGAYSEAAAGKAYPNCEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEYLNRVISHPQALSQCELTLTKLGLTVTREAVDDTAGAAEFVAANNLRDTAAIASARAAELYGLNILADGIQDDSSNVTRFVMLAREPIIPRVDIPFKTSIVFAHDKGTSVLFKVLSAFAFRNINLTKIESRPHRNRPIRLVGDENVGTAKHFEYLFYVDFEASMADVRAQNALAEVQEFTSFLRVLGSYPMDMTPWSPSSQ >cds-PLY93171.1 pep primary_assembly:Lsat_Salinas_v7:3:257500732:257504136:-1 gene:gene-LSAT_3X140860 transcript:rna-gnl|WGS:NBSK|LSAT_3X140860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPFEHGEVFVLDDGGEVDLDLGNYERFLDVRLTRDNNITTGKIYQSVLEKERKGDYLGKTVQVVPHITDAIKDWIESVSAIPVDGKVGPADVCVIELGGTVGDIESMPFIEALRQLFFSTGPDNFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPHFLACRSAQPLLENTKQKLSQFCHVPAANILNIHDVPNIWHVPLLLRNQNAHEAILKQLALLRVARPPNLQEWTNRAETFDNLTNSVKIAMVGKYVGLTDSYLSVVKALLHACIACSLKPSIEWIAASDLEDESARLTPEAHAKAWETLRSAACVLVPGGFGDRGVKGMILAAKYARENKVPYLGICLGMQISVIEISRSILGWKEANSTEFVEHATNPVVIFMPEGSRTHMGNTMRLGSRRTLLQSSDCITAKLYQNPEYVDERHRHRYEVNPEVVGDLEKTGLRFVGKDESGQRMEILELPSHPFYVGVQFHPEYKSRPGKPSALFLGLVLAATGHLEAYVKTHQNGSI >cds-PLY75358.1 pep primary_assembly:Lsat_Salinas_v7:6:177566989:177568891:1 gene:gene-LSAT_6X107960 transcript:rna-gnl|WGS:NBSK|LSAT_6X107960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTGFNELIGLNFALQKPSPSTGAIWYAAGPVVVLMFASLVYSISSKNRKELNIGKLKYDDVSAEIADAARGSFQRSDDDEVSWKSASDVLFQEDNDDIIPEPDSKFAEELQIQEALLASTSSHKTRSTLSSLLKNTEFKEIICKICLENQESWQMFTNSTCSHSFCYTCTRKHATTKIHESKNTITCPELNCKSTLDPNTLRQIIPKETLIKWDEHLCESMILESQKLYCPFADCSVLLINDDISITNIDCPVCRRAFCAVCRVPWHSEFSCKEFGKLKSKRKGKRDDDMAIALAKKKKWKKCPMCRFFVEKSEGCLHITCRCEYEFCYNCGGKWSSSHGGCKSRS >cds-PLY80395.1 pep primary_assembly:Lsat_Salinas_v7:3:80669995:80670305:-1 gene:gene-LSAT_3X62901 transcript:rna-gnl|WGS:NBSK|LSAT_3X62901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVSILRRMYLTTYNWVVCAGWFKILYLALRTLYESGHEHVYRAIEKPLLSAQSAACFEVFYINHH >cds-PLY64629.1 pep primary_assembly:Lsat_Salinas_v7:6:35340807:35345349:-1 gene:gene-LSAT_6X24580 transcript:rna-gnl|WGS:NBSK|LSAT_6X24580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVIEPQYTMSGNVKVLLGVGDHVLLVEEDGVQTFIVGLSKPTSGSMYIQRVRNCIRSNVGDVEFAAVPCGFGNAIGNKLLNRLLEEGRLSEVGIIVIDEVHMVGDQQRGYLLELMLTKLRYGAGEGRLEFSKGECSGSNSGKSDPTHGLQIVEMSATSPNVNAVANWLQAALSQTNFRPVVAAGYTSEELYTETSGLDPKQLQQPHTSHAFALSQVMPNNLNGEPFLTPLELYEPNWELISDVINNTLQFMVKLMVERNMKSLDSNLAALSAR >cds-PLY79650.1 pep primary_assembly:Lsat_Salinas_v7:5:251905111:251907468:1 gene:gene-LSAT_5X126680 transcript:rna-gnl|WGS:NBSK|LSAT_5X126680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASGA >cds-PLY82702.1 pep primary_assembly:Lsat_Salinas_v7:2:147966011:147967673:-1 gene:gene-LSAT_2X73640 transcript:rna-gnl|WGS:NBSK|LSAT_2X73640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAKCFLVTGSPGVGKTTLIARVLENLRTSNPNLKIQGFFTREIRQEGERVGFEVVTLDGRTAPLASINNSSVESIRWPTVGRYRVDVASFESLALPELQVKEDTDLFVIDEVGKMELFSSLFFPTVLRVLESNKPFLATIPVPKYGRDIPAVARLKNHPGAKVFTLTTSNRDDMKNQIYSQLTHNLV >cds-PLY76523.1 pep primary_assembly:Lsat_Salinas_v7:5:12586352:12587484:1 gene:gene-LSAT_5X6940 transcript:rna-gnl|WGS:NBSK|LSAT_5X6940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIWDDIRSVAVRFMNRSTSNTSSDSSTTSRNRFLTKPVVESLPDPDRRETITRVLTGFGKFAVDSAVNDSLKGRMQVYKIVKEGLKDEAPVEPANLNNKAPHTLMMEEMQARMEKMEEDLHIIRLDDEDSILCAKDLDPRKDESAEGSEKSSPAKTDVKKIFIRSRL >cds-PLY75745.1 pep primary_assembly:Lsat_Salinas_v7:4:333528478:333529593:-1 gene:gene-LSAT_4X165020 transcript:rna-gnl|WGS:NBSK|LSAT_4X165020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGREFCEKINEYLVSTGEETQGFDVIQSSPDKSKHLETTRMSLFHVWIDFVHLRSEDCNLQFGSPEEDAYRRDLTINTLFYNIHTCLVEDFTKRGLDDLKFGKIVTPLPPKVTFLDDPLRVLRAIRFSTRFGFEMLEELKVAALDNDVKSAILGKVSRERIAFEIDLMVNGNQPTKALTYIYDLGLFSIVFTPPTNHKPLILEEHEKSCVENMDLAWRQFHEVGCSFTYKQRRLYLYASLFLPIRNIVYIDNKDKKVSVVNYILKNPLKLKASDANDVMRLDDGVEKFLCLIPFVLSNEDMNKNDLKIDLIEVPVKLKSRILLGLVLREMKDLWRVALMLSSIVGGEVEKRKEVFMEVEKEILKLGLDKV >cds-PLY78529.1 pep primary_assembly:Lsat_Salinas_v7:1:102574563:102574787:-1 gene:gene-LSAT_1X84260 transcript:rna-gnl|WGS:NBSK|LSAT_1X84260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEISELKGIFSNLKRPSTSVILSPVVEKMPEAMKKLFLETEVEAHKEYRDFGMHKRTLEEYWAGIDRKKSFNG >cds-PLY92993.1 pep primary_assembly:Lsat_Salinas_v7:1:147126240:147128599:1 gene:gene-LSAT_1X103021 transcript:rna-gnl|WGS:NBSK|LSAT_1X103021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKTPIRCKNSNKIDGGEPDASGDKVTEDLPLVSEDVGKGKQGEGDGIVYLENDESDETIVWKIDDLQHGSENVDQDKQGLGINGAQLETVSQQSSDQLTIIREHPTLSAGIAITAALLLMREQRKSRKESSSGTLDE >cds-PLY74670.1 pep primary_assembly:Lsat_Salinas_v7:5:176387949:176396124:-1 gene:gene-LSAT_5X78281 transcript:rna-gnl|WGS:NBSK|LSAT_5X78281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPGAYNVFLSFRGEDTRHSFTDHLYEALKRAGISTFRDDEEIKRGEELQQEIERVIKECRASVVVFSEKYGTSTWCLDELALILQQRRECNHFVLPVFYHVDPSDVRKQSNTFSIEVKACSRWTDDNVNLWKKALTEVADLAGMVLCGSEAKFLKEIVDIIYNKLDRKEVNLPPNITGIATRYGEISSWLNKPNVEFLAICGMGGSGKTTLAKYIYNSNWKTYENMSFIEGISHICEGPDGLRMLQEQLLKGILGGKKRKIPSVFEGTWEIQEALQTKRSLIVLDDIAEDSQLVALLGTGEINAQSKIIITTTRENTENWFKSTNWRCQDYKMTLLNDDESLELLSRHAFGSKAPKEGFEELAVQAVEYCEGNPLALEVLASSLSNNNTILHWKSQLKLLEKDIHSRIQNVLMMSYKSLSFDSEKELFLHIACFFVGKDMDYVVKILEHDYSALSGIKSLSNRCLLFVSPNNKLMMHRLLQELGKNIVRQESKFPAKRSRVWLSSDSYKILIHGEGSETMEGLALDMQMLEEQKFAFKSSNLKTDALQKMDNLKLLQLNIGPLSGSYENFSKDLRWLCWLGSHLRTIPSDLFMGNLVALDMSYSSLEVFEPPMVLQSLQILNLTGSHNLIQIRNMSMIPHLETFILWNCQNLVSVCESIGDLKSLVLLNMTGCKNLCMSEKTDQLLGLEASTSGGEVAEQPNFFFPRSLHRLFLKDCNLDCTDSFPLSFSDQPSLQYLNLGNSLFEFLPCYDHLKNLRVLDLSLCSRLKRLLCLPSTLAELYIYYCTSLEEISFQSHRFTLQEFGYEGCISLCEIEGFIKLVPVAKLGEIDLGHMKWLKEYQNHEVNLIGDDELTKGRSSCVQMLYEFNIMSTSLPDMKYPNIKPTYVSELSSFSFEVPPPPKNRSLKGLDVTFKYTISSDDDWVWFCKISTTNGVDLMYNPKVFGKPESGEVGIWLSYWPIGNTLDTGDNVNVSIIVISGLEVHKCGVSLIYTDDKVTEETLEENMEWIEVVGGDLSGFQLSTRAYYLCRRDFFELMEVGRLTPDWFNTLVGDTIECTEVRGWRKTGRPMQLNPSFSELKFVRCIIHGPESELNEIQESTSSPPRKKLKSLTTHGVTGGMEVQDGVQLPSQQIFGTLPRSPSDAHSTTSLQLRFQTKLLPTFFTGNRIESEDKSGIKVGLFDATSNEIVSSGPLSSQKIELVALDGDFTFDDNEDWSQSYFDAKVIYSRDGNRPLLKGDLVVTLKDGVAVLGNVYFTHYSSWSKKWVYRLGAKIQNKTAGFRIREARSQAFIVKDKRGDLYTKNYPPGLGDEIWRLEQIAKNGVLHKALSSHKIYTVKDFLRLYNTNESLLCRLLGGPDNKNSKKIIKHAKTCVLDEKLYIYNSNTDGFGILFNSVMEVVGATVDGKYHLSMNELSDSQKSMVEALKEQVYKNLEGVLPIDDVSMVAARVLETNLHGDPLRTASLDQLQITAEMDPFSNLSGWGEEV >cds-PLY76890.1 pep primary_assembly:Lsat_Salinas_v7:3:6053578:6055480:1 gene:gene-LSAT_3X3401 transcript:rna-gnl|WGS:NBSK|LSAT_3X3401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit-related protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G12410) UniProtKB/Swiss-Prot;Acc:Q9XJ36] MAVSLNSITCSSYLHPPQPSLSFGDKVFVGLRLQSPNSYGISRPNLSAELHKKIHKSIEPRIGIKPARGRITMMPIGTPRVPYRVPGEGTWQWVDLWNALYRERVIFIGQNIDEEFSNQILATMLYLDSVDNSKRMYMYINGPGGDLTPSMAIYDTMQSLQSPIGTHCVGYAYNLAGFLLAAGEKGQRFAMPLSRIALESPAGAARGQADDIQNEANELLRIRDYLFKELAQKTGQPVEQVHKDLSRIKRFNAQEALDYGLIDRIVRPPRIKADAPRQEAGTGLGVDIKQTDCFIHLRICCDYT >cds-PLY96687.1 pep primary_assembly:Lsat_Salinas_v7:5:79407553:79411045:-1 gene:gene-LSAT_5X36560 transcript:rna-gnl|WGS:NBSK|LSAT_5X36560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEPPNTSTTTPPNIVNVTPYPAIAYKNHHLPPITHGGATTSNVVLLPASNHREYRKGNWTLEETLVLITAKKLDDERRINATTSATANSRAATGGELRWKWVENYCWSNGCFRSQNQCNDKWDNLLRDYKKVREYELRSPAQNRPSYWSMDKTQRKDRNLPSNLLLNIYEALNDVVQKKTPQRHCLPQQQPPLAVLPPPQPPPSSLPPPQPSSSQHHPPPPPPPPPPASEASVESSETEGEDADNDNKRRRVRDIGSSIVHSTRVLSETLKRFEEKKEKRHRELMELEEQRLHLEETRNEVNRQGITGLITSINKLSDAIYALISEKRDRP >cds-PLY69338.1 pep primary_assembly:Lsat_Salinas_v7:7:79572140:79573447:1 gene:gene-LSAT_7X55440 transcript:rna-gnl|WGS:NBSK|LSAT_7X55440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVPDASNSECEFVSENNVVSNNKRKRPSNKKNTQNAKPMKPEVSEWWDHFQETKIPNFAECIYCQDLVPYATKSTINHLINHMHACKEYPPNVDLRQELHHLESKTHLSDEASVETVTIPRLRDPNQEALEKALAKMVIVDKLPLSFIEGEGFVRYCKTVNPFFVIPSRAKLRAMILDGATDDETPGND >cds-PLY93668.1 pep primary_assembly:Lsat_Salinas_v7:2:200251978:200255067:1 gene:gene-LSAT_2X121060 transcript:rna-gnl|WGS:NBSK|LSAT_2X121060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTRQPISFSCFVIFLIAASIDGGNETDYQALLKFKSMIRNEEALISWNASFHFCDWSGVYCGRRHRRVTVLLLESQGLEGSLSPHIGNLSFLRFFSLWNNSFQGTIPHELGRLSRLRFLYLGSNKFNGVIPTNLTRCSNLKEIGLNNNNLVGSIPKGIGFLSKLTYLSVDENNLTGGIPRVLGNITSMEVFSAAENPLGGSIPDTLGHWNSLTQLYLGACNLSGTIPPSIFNLSHLTNFSLAENQLTGSLPSALGTMLPHLRYLQLWGNQLTGTLPPSISNCSKLDFLEVHLNNFSGKLEIDFAEMKDMYFISLGGNNIYGSGGADDMKFIDTLKNCSRLETLDLFRCTFQGPLPASIGNLSDQLSYLSLGENRIHGNLPPGIGNLIGLTNLGLENNQFTGKIPSTIGKLQNLQIASLHYNQFSGPIPDAIGNLSLLTKLWLNSNKLEGQIPSSLGNCHHLLELHLDDNKLGGKIPTQLLQLSSLTIALNLSQNNLVGSLPTEVGNLKMLTYLDLSNNKLSGNIPSSIGGCTSLVFLSLKGNLFQGHIPTSLSSMRAVSTLDLSHNKLSGQFPRFLQRLTLLEYANLSFNDFQGNVPVIGVFANASAFSVLGNNRLCGGLAEIRLPKCNTKKHNKKLPLFIILILFASTLFTILSFANFLCKKKKSQPSQSSRGERFMKVSYSQLLKATNGFSQANLIGEGGFSSVYKGVLDDTIVAVKVVHLQNRGAHRSFTAECEVWRGIRHRNLVKIITSCSSVDYHGNDFKALVYEFMPNGSLHDWLHSSPSTSRLNLFQRINILMDIASTIDYLHNHCPSPIIHCDLKPSNILLDDDMVAHVGDFGLARFLGTNPNKKSTSGIRGTIGYAPPEYGVGSEMTSSGDVYSFGILLLEVMTGKRPTDNIFNEGLTLHKFAYMALPDHVTDVIDDDLLNFLQEDATATQYTSAHAKKIEECLASIIKLGVSCSVDSPPQRMNIKNVVRELQKILDTLQNIHGSMFSHLPK >cds-PLY93434.1 pep primary_assembly:Lsat_Salinas_v7:9:61697956:61701502:-1 gene:gene-LSAT_9X53601 transcript:rna-gnl|WGS:NBSK|LSAT_9X53601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQIARSFLRIYTTDARYSQPPSGTLPTGFSRRPIGAILLAPPISFAPLSSLTTVLVPRSQPLSNRFNSTFFKLNILLKPEAFLMTKRKGVDLRGSRLSLIGSSDAEGSGDDDEGKGNGKKMMMSSAALGKYDIKKTKGFDECNVSPLTIKALTLAGYVKMTKVQDAAISACLEGKDALVKAKTGTGKSAAFLLPAIEIVLKASTSNKRKRVPPICVLIFCPTRELSSQIPVEANVLLKYHEGIGVQTLVGGTRFKIDQKQGEYGGLEHQVVRGVVENLKIIKPHASLRVAEYAFHYAKAHGRKRVSAIHKANIMQKTDGLFLKDWLSRATNWAKFSSTAGLGVIYGGYLQQGRSLMAHYLPQSGAGGGGGGRSPYSEGDALYALGLIQQRLDWLTTSFLTPPVSPPRPSYLTEIEMRRVYSLEFRPHLMDSGLADPLLGSPGVLGASERSFYLNQLFTTGVAIITWVRNGDIEVTWAGRMVLMVGPQAIYVVGCDDDDDSAGGGSEVSDDAASWEIMEGEDNEMENLQKELEDLRMQNRPEMSVITVMQTAESIQTDNSGGDNVTLHREDKNDNTLER >cds-PLY82729.1 pep primary_assembly:Lsat_Salinas_v7:2:144161466:144168101:1 gene:gene-LSAT_2X71120 transcript:rna-gnl|WGS:NBSK|LSAT_2X71120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVFLVFLLIQPGISQSNDHDNNTNIHLQSFCGSIAPIHPTNFIKNRNSTLAELRKQLMNEGVLSARAQDLSAGDTVYAAAQCRNYLSKDQCVACFDAGVSVLVKCTAGNGAYTLFDNCFIRYEDFADFYNNPNVVQDGTGTPSSRCGDQSASQPITTFQGVVDDLLTDIRDATPKASNFYVALTREIASDNATVYAIGQCDGNKIPCQNCMNAAYNELNNCLPRTEGWFFDMGCFARYSTTPFFNNNQTTDITSVLKGHSSEVPIIVGTIAGIALFFTIIVMWLVYRKRKKAKEIEQEDLKGAVHYNYKDLQLATNNFGEENILGKGGFGEVFKATLDDNNVVAVKKLHVQHARVKEEFENEVKLISDVHHRNLLRLLGWSSEGSDLLLVLEYMPNGSLDRFLWGSERGTLDWNQRYEIIFGIARGLAHLHNEFHVKIIHRDIKSNNILLTDDFKPKIADFGLARFLPEDETHVSTKFAGTLGYTAPEYALQGVLSDKVDTYSFGVVSLEIISGRRSTEVKSDISSSDYLLEDAWKSYEKKTHMKFIDVTLDLNQHQQEHVMKIIEIALLCTQSPASKRPTMSEVVLMLQDGQSLGKRELTRPTDVHNHERRIHIGSLKNSIVADNVCKNPKQILEANEKLRSERGMPK >cds-PLY98891.1 pep primary_assembly:Lsat_Salinas_v7:5:18935301:18936913:1 gene:gene-LSAT_5X10080 transcript:rna-gnl|WGS:NBSK|LSAT_5X10080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF115 [Source:Projected from Arabidopsis thaliana (AT5G07310) UniProtKB/Swiss-Prot;Acc:Q9LY29] MSAIVSALSQVIGTTTTTGGGGSDISFTPNQAQHVQEPGNQRRRHYRGVRQRPWGKWAAEIRDPQKAARVWLGTFETAEAAALAYDEAALRFKGTKAKLNFPERVQGRTELGYFITRPQLQMPPTFLPNSHLRPPSQSTYPNLLDYTQFLQGANERRMSTTDMNDLNCTSSSSTDMGSSSSVSPSQYWQDYDPTNQSRDQ >cds-PLY69355.1 pep primary_assembly:Lsat_Salinas_v7:7:82131783:82132204:1 gene:gene-LSAT_7X57580 transcript:rna-gnl|WGS:NBSK|LSAT_7X57580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAGEIAFNASTIKELVQQSTNDDERSKTQINELECQIEEKRRQMTALERQIVESNKASISNTSLTDRYTTFDYEINDTV >cds-PLY80666.1 pep primary_assembly:Lsat_Salinas_v7:5:247205259:247215953:1 gene:gene-LSAT_5X123200 transcript:rna-gnl|WGS:NBSK|LSAT_5X123200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVLSPPNPRKPSGPTKLKETFRMRSGAAGGTRRCMVQDDSVHDDEVDVSIPGAKKGRKWSKAVRKAARMQVAREAEPVKVELMEVGEEGMLIDELAYNLVVSEGEILGHLYAKGIKPDGVQKLDKEMVKMVCREPPVLIVMGHVDHEKTTLLEFIRKTKMLQAIVLLLEKNKLLLEFGLCQAQTAVLAMPKNGI >cds-PLY86303.1 pep primary_assembly:Lsat_Salinas_v7:8:55621032:55625658:-1 gene:gene-LSAT_8X41000 transcript:rna-gnl|WGS:NBSK|LSAT_8X41000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFADYKEKVEKYTDLSQLTNVANTASHEASRERKRRKAKEQIWWGSIMGTSMIIRMVEGILIVNPTEFFADIVIEHERKEIEGFLTCASEYLKKIQMQDRSCV >cds-PLY69773.1 pep primary_assembly:Lsat_Salinas_v7:5:233020022:233021072:1 gene:gene-LSAT_5X114340 transcript:rna-gnl|WGS:NBSK|LSAT_5X114340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCNDNCILRHSLHGICTPQAQANATVFVAKFFGRAGLMSFLSSVAESQRPALFQSLLFEACGRTVNPVNGAVGLLWTGNWHVCQSAVETVLRGGSIRSMPELFSVGSTPVMTENDDASEAINCNFDVSRVRPEDLNLGVGGLDDNMRLRNSGSGRNTHRWMTEKRRAVSPSDASETTTLESGLGSDCSETKLLRLFL >cds-PLY63591.1 pep primary_assembly:Lsat_Salinas_v7:4:135203519:135205474:1 gene:gene-LSAT_4X84040 transcript:rna-gnl|WGS:NBSK|LSAT_4X84040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALPMTCVRLTTSINTNQKDTSISDASDAIEKRAEEIGANTTDVIEKLDEKKDTMTSTANEDIKKVVESTNTNAKDLAEKLIEKKEEVEANTSDAIKGFVEKASKAATDFTEEK >cds-PLY64010.1 pep primary_assembly:Lsat_Salinas_v7:4:190777629:190778886:1 gene:gene-LSAT_4X109001 transcript:rna-gnl|WGS:NBSK|LSAT_4X109001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPTIKIVRKSIHTFLHHYNYFTTAAILALPFSVSILISSTLLPHSLTVHSRLRSLFNDAGFPQQSEFFSILNLKLSQTITSSILVLPFTLSFLLIAKASVIQSFNTHRKPPPSFSGIFSSILLTQIWNTLVMVSANATCFWVLFIAFNCLENLRIPSLFFTVAGGIVYSIIIANALIVCNLALILSGMELSGGFISILKACVMIRGRTSIALSLALPINMALAGIEALFQFRVVKAYSDSGMNRPSSSMVLEGLFIGYLYSILIIIDAISGCVFFRSCKIAYDENIIDQEAGRIEEDERGDFASAKC >cds-PLY88921.1 pep primary_assembly:Lsat_Salinas_v7:8:129466981:129467268:1 gene:gene-LSAT_8X89840 transcript:rna-gnl|WGS:NBSK|LSAT_8X89840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLGGRFDDDATAHGGLITISGGKEPPTISHQCLLSSPPYLRFNSIVVPVAEPISSPPTSVQTPHMRIWVWKNYMWLGMRWRIRERLNREEMDGR >cds-PLY87964.1 pep primary_assembly:Lsat_Salinas_v7:3:178019558:178020182:-1 gene:gene-LSAT_3X107940 transcript:rna-gnl|WGS:NBSK|LSAT_3X107940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFNPTVEQGSMAHSRFRDPKVKETDNVGTLEIAVFSPKYSYKEDDGDANQNPEAGNYRLQYSYLSQRGYYPHALDKANQESFCIHTPFGSNPNDHFFGVFDGHGEYGTQCSQFVKQKLCENLLRNSRFHVDPVEACHATFLTTNSQLHADNNVDDSMSSQQPLLF >cds-PLY78763.1 pep primary_assembly:Lsat_Salinas_v7:9:51523269:51525861:1 gene:gene-LSAT_9X45881 transcript:rna-gnl|WGS:NBSK|LSAT_9X45881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQQSKNVEQIAMLPMADKSCSESSTPIKKLHIQSIKHLDASFMSPTPEKTNENLNIRSKKEPAKLPDKYQILSDLFNRMTTSLRLLNLQKKSPTFSNICHQVEKLTGRKFSYTHLAQMKFVLPEAIQTDKILLHNNKTLTMEPDIKVTLLFDIVEGHIEHSPYIALCHTFSSRLLKFVNTHSEGCDVPEAELPEPFNQKEIRSKSLNVDLSVEKEKLPNVEESELLNPSHLEPSFSRHFTKKDNEDTTSEKETGFSSCLDIVKDEKILVSVNGSTPMKPPLLHNGVSLLTPDLPTPKRSVATEDNKVCQKVMSSSLFTKRSLDFSNPDIEGAVVDEKSNSVSFPSGKVEEKSCLSELVETIYNIFMSANSSSVTKSELVHKILINNFDIIENGEIEDQIDDVVKKVPDWISKKVAPSGDLLYK >cds-PLY78820.1 pep primary_assembly:Lsat_Salinas_v7:8:289551912:289552705:-1 gene:gene-LSAT_8X163181 transcript:rna-gnl|WGS:NBSK|LSAT_8X163181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPVPRNGVSMFIPQEELQDAESEHNILSEHNCVVAYEKAILEDHVLTLENRTEHLEDQVLEKGVVRVIDRVIESTEFARGILGVRKACEALEFERGKQLANCSTTFSRFGVLDPSHVARKAGEVDTALPSLAEMGFASLLNLEVLDYNDFHQFYARPGLGSSSSDSEG >cds-PLY88898.1 pep primary_assembly:Lsat_Salinas_v7:4:64580482:64581537:1 gene:gene-LSAT_4X45260 transcript:rna-gnl|WGS:NBSK|LSAT_4X45260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKTRSVSKSKNRGDGLGTKRFMTGATAPWSDLDPHLLYLVMMQLGAFDFFAFSGVCKSWRSLALSNKIRFMSSRPTMSIWIIEQGNKREYSMNDFDGREFKFRIPKSSGKTCVGLTCGYLVLFGLKPREFWLMNPITRHGFRFPKVPRDLEPNRDGIRAILVFSPSISAWVLVVFRRFLSEIWFSIAGKGSWSYVSSEEGFFDLHEFKGKIYAIDTDACLYELGLDPDPKLTLLKIKNVLDPDLRLPEIVCSGENFYFMENFWEYVYVVHELDFDEMKWVSPNENTMDEYAFFVSELKHSAAIKRELWSDSWLPYYKKYGFRYISRNGMFFNKDIWYFPHDCLKVNLKHV >cds-PLY76883.1 pep primary_assembly:Lsat_Salinas_v7:3:4498582:4499445:1 gene:gene-LSAT_3X2200 transcript:rna-gnl|WGS:NBSK|LSAT_3X2200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKIRGFRIRHKFVKLVKWGLHRRRKESNYIRLNPPNNYTVKAMSKLYGFARSLKKSAKDICLRKSGSSYNRIGEEELNPVPKGHLAVYVGEKEDDAHRVLMPVIYFNHPLFGELLREAEKVYGFNYDGGIHVPCRISEFQNVQTKINAAGGCGGCDGFRARRSWRLTL >cds-PLY88486.1 pep primary_assembly:Lsat_Salinas_v7:4:291933925:291938639:-1 gene:gene-LSAT_4X150201 transcript:rna-gnl|WGS:NBSK|LSAT_4X150201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFSEITKEDSDIMGCISSRVRHLGQLHCKTRMLRQLLHLFQCLKNDQQALVLEGQMLIKYVIMNAIALRFRKEWEERLTEH >cds-PLY75982.1 pep primary_assembly:Lsat_Salinas_v7:1:42144205:42146033:-1 gene:gene-LSAT_1X35500 transcript:rna-gnl|WGS:NBSK|LSAT_1X35500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSKSSGKHLVQYDDGEEEHLDLSKEKIELLKEQAKRLRRLRKFSIEDEDDDEAGGGAEGNMDKNVESRGDDFDDEDCGMNVEKEAIDDEMEDLELVDENKEEEEEVEEMKAIKPDSKKRKVFGMKSASVKKTKNEALLDLSPCNLEHKTNNNSAKAFAFADNALVGDKAERFTTREEEKFKFLGKDNGGSSSHNIWIRFYFLRWESFTHVGAKELDLQYMKGDQPHCGFPEKNFALNVEKLARKGYRVLVIEQTETPDQLESRVLDIRL >cds-PLY92763.1 pep primary_assembly:Lsat_Salinas_v7:8:66613710:66616013:-1 gene:gene-LSAT_8X46861 transcript:rna-gnl|WGS:NBSK|LSAT_8X46861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyl phosphate kinase [Source:Projected from Arabidopsis thaliana (AT1G26640) UniProtKB/Swiss-Prot;Acc:Q8H1F7] MMSGSSSGPVLEMDWSKRPGISETSITVDAFKDQEVLDYNSFVVIHGAGSFGHFQASKSGVHKGGLNKPLVKAGFVATRISVTSLNLEIVRALAREGIPSVALSPFSCGWSTHDRNIASADVSTVVNALDCGFVPVLHGDAVLDESLGCTILSGDVIISHLASHLKPQFVVFLTDVFGVYDRPPSEPNAVLLREIAVRDDGSWFVVKPTFEDIDKQVEISVASHDTTGGMVTKISEAAMIAKLGIDVYIVKAATEHSLIALSGKLRDEIPEDWLGTVIRYVP >cds-PLY73937.1 pep primary_assembly:Lsat_Salinas_v7:8:215569673:215570176:-1 gene:gene-LSAT_8X135280 transcript:rna-gnl|WGS:NBSK|LSAT_8X135280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCNTNPTRVGPHGYRGNKPKWEQEKASGQLPSQLYEIKSERSLDYVLGRRSKNESGSKIIPPNMEPIVKKLIDVQKEISNGDLLSGPGEDLLTMAIGPEHPGRTKAVGHDIGLRKGMQGLDKKRGKPWTKKLLVRCRSS >cds-PLY91627.1 pep primary_assembly:Lsat_Salinas_v7:8:10387293:10387466:-1 gene:gene-LSAT_8X7481 transcript:rna-gnl|WGS:NBSK|LSAT_8X7481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRDITRSNILEKPAFFLCFVALTSDIISVLGFRSRKRHHLILILFPLPFDFACISL >cds-PLY71973.1 pep primary_assembly:Lsat_Salinas_v7:5:120905747:120910999:1 gene:gene-LSAT_5X53440 transcript:rna-gnl|WGS:NBSK|LSAT_5X53440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCSHKVNGYLWQRVNSQVNSSSQLCSLRKALAQAQSSRTGASTQAQSSRTGASTKELRESLHPVLRQKPTKIKFISPLSKVKEEENRVLELEPIKSKNRSRDSNFTNMTTGANKEVRRRKCGGGSPEEECKKG >cds-PLY63093.1 pep primary_assembly:Lsat_Salinas_v7:8:73887533:73890235:-1 gene:gene-LSAT_8X51861 transcript:rna-gnl|WGS:NBSK|LSAT_8X51861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEDRSCFVSRSYSRERDNSHNTWSYMSNYRIERLESQQGKRPLEHDENEELDHTHPRKISKQSNSLQNLQLELGFLEFCNHSNSQDQSGDNSDSSSLINGLDRDNSLTCLMKCSRSDYGSIASLSRSFRELVRSGELYRLRRKNGIIEHWVYFSCHLVEWESFDPITSRWMHLPTMTSNPCFQFSDKESLAVGTELLVLGKEVLDHVIYKYSLLTNSWCLGRNMNFPRCLFGSASLGEIAIVAGGCDPNGKIVNSAELYDSESGTWETLPCMIKPRKMCSGVFMDDKFYVIGGIGGPEMKPLACGEEYDLKTREWKEIPNMSPVRTGTAPANEVGAVVVPRTEAPPLVAVVDNELYAADCADMEVRKYDKEKKEWETVGRLPERADSMNGWGIAFRGCGDRVIVIGGPRAIGAGFIEVNSWVPREGPPRWRLLGRKQSNNFVYNCAVMGC >cds-PLY76528.1 pep primary_assembly:Lsat_Salinas_v7:5:13208066:13209839:1 gene:gene-LSAT_5X7360 transcript:rna-gnl|WGS:NBSK|LSAT_5X7360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAASISTTFLPLYKPIKSFVPISQAPKFFTKTKHGAGAGTVRAVSKEQDVIPVQSNDFTDHQVGILVSEIEREVEGGKDVQLISGFGGSEGRLSFEGGFSSASSSGDGNQVVEGDNLDKLIDRTINATIVLAAGTFGITKLLTIDYDYWHGWTIFEILRYAPQHNWSAYEEALKENPLLAKMMISGVVYSVGDWIAQCCEGKPLLEFDRTRMFRSGLVGFTLHGSLSHYYYYFCEALFPFQDWWVVPVKVAFDQTAWSAVWNSIYYMVIGLLRFESPVTIFSELKSTFWPMLTAGWKLWPFAHIITYGVVPVEQRLLWVDCVELIWVTILSTLSNEKSEARVLDAPIDQSSSSSFEPSEVC >cds-PLY96092.1 pep primary_assembly:Lsat_Salinas_v7:3:97528001:97533784:-1 gene:gene-LSAT_3X72520 transcript:rna-gnl|WGS:NBSK|LSAT_3X72520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAPRRIFNKISSTLTSSRINHSSGRTSPRGNINQEKIRCYSVCVARLAIMAMLAILIGLLTLLTWHFTKVYTRTSLNALAFGLRYELLQRPMLRMWKILNSTVEITTSQVKLSEYVIRKYSKAENQAQQVELYEVMRDVTWALFASHKALNSMSIKYRNGFVQAFHRDLRDKNTYYIYSNLYNYTMVEPYDVNQSLPHQGWNDQTIHGNISAIWYREPLDPDTGRKTGKQKEIPPDELMNIAGISQVPDGAASWHIAVSKFTSSPLLSAALPVLDEGGGSIVAVVGVTTALYSVGQLMKELVELHDGHIYLTSQEGWLLATSNSSTPLLRSSPSGPTLMMAVDSEDEIIKSGAKWVEKAYGGQPPVTRKVHTAAARLGHQRYYIDSFFLNLTRLPMVGVLCIPRKYIMGKVDARAFKTLMILISASVCVLVVGCICIFILTNGVSKEMKLRAELISHYDARRKAEASSNFKSQFLANMSHELRTPMAAVIGLLDILLCDECLTNEQSATITQIRRCSTALLRLLNNILDISKVESGKLVLEEAEFDLGRELEGLVDMFSVQCKNHNVETIIDLSDDMPKVVRGDSGRVVQIFANLISNSIKFTTSGYIIVRGWSENLKSFNENEKFYVDEKALWSAFQKRLKKQGLHEKTCSKNDNTMILYFEVEDTGCGIDPSKWESVFESFEQADPSTTRLHGGTGLGLCIVRSLVNKMGGEIRVVKKNGSGTLMQLYLLLSTPSDTPREIYKPKFSEINLKIMLALNGTMGRTILSQWLLKLGVPIWEANEWNELTHILQQLFNPTNYAQNISSKVDFFLIVIDIGLLNLSTDIWKEQLNFLHKFNKRAKFGWVLNHDTSNTIKIELRRRGYLLMVSGPLYKSKLIQIIETAINETPPLTFFPNGGDKIEVHEEIHDEEKGKNGKKCLEGLRILLAEDTPVLQRVATMMLEQMGAVVVVVGDGAQAVEALDIFIHEVKKGKKSIESTPYDLVLMDCQMPKMDGYEATKAIRRAEMESESHIPIVALTAHAMSSDEAKCLEVGMDAYLTKPIDCKLMVSTILSLTKSRA >cds-PLY84704.1 pep primary_assembly:Lsat_Salinas_v7:2:150172558:150176910:1 gene:gene-LSAT_2X75881 transcript:rna-gnl|WGS:NBSK|LSAT_2X75881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQTDGEDKVSLELTEEIIQSMEVGMAFRDYNGRISSMDFHRTSNYLVTASDDESIRLYDVSNATCLKTINSKKYGVDLVSFTSHPTTVIYSSKNGWDESLRLLSLHDNKYLRYFKGHHDRVVSLSLCARTECFISGSLDRTVLLWDQRADKCQGLLRVKGRPATAYDDQGLVFAVAFGGSIRMFDSRNYEKGPYEIFSVGGDVSDANVVKFSNDGRLMLLTTKDGRIHVLDSFRGTLLGTYNVKPVSSDSTLEASFSPEGMFVISGSGDGSVYAWSIRSGKEVASWLSTENNPPVIKWAPGSLMFVTGSSELSFWIPDLSKLAAYIGRK >cds-PLY91109.1 pep primary_assembly:Lsat_Salinas_v7:MU039484.1:554766:555461:1 gene:gene-LSAT_0X6101 transcript:rna-gnl|WGS:NBSK|LSAT_0X6101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPATGFSYQRLRNEGSGDENYEYLKEVKRAIAHVKARIQWSSRLKRVPLRKKTKKMKIPSLRKFMRRKARVVMLSMAKVVKRLKDSQSHFGDLFAGNYLFMQVNPSSLKSSSPFAIRGSIKGNEDHDLRCSSSSSSSLRVS >cds-PLY89417.1 pep primary_assembly:Lsat_Salinas_v7:4:62932296:62936163:-1 gene:gene-LSAT_4X43120 transcript:rna-gnl|WGS:NBSK|LSAT_4X43120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G45270) UniProtKB/Swiss-Prot;Acc:O22145] MASLASSLSCTVSRLNLFAELSLNYHSIRIYRTLSSNLRPMKSLYHHRSFNSPFSSYTCKSTISNCSKTLGSNTVFYDNLVVLGIETSCDDTAAAVVRSNGEILSQVVSSQADLLAKYGGVAPKMAEEAHSQVIDQVVQDALDKANLTESDLSAVAVTIGPGLSLCLRVGVQKARKIAAIHQLPIVGVHHMEAHALVARLCERDLQFPFMALLISGGHNLIVLARDLGDYLQLGTTIDDAIGEAYDKSAKWLGLDLRRSGGAAIEELALRGDAQSVKFSVPMKQHKDCNFSYAGLKTQLRLAIESKNIDGGVPFSSASVEEQGIRADIAASFQRVAVLHLEEKCERAIQWALEIEPSIKHLVVAGGVASNQYVRSRLQEVVGRNSLQLVCPPPSLCTDNGVMIAWTGIENFRMGRFDPPPPAIEPEDSQLDLRPRWPLGEEYGRGRSVARSMRTARIHPSLTSLTQHQRQ >cds-PLY91879.1 pep primary_assembly:Lsat_Salinas_v7:8:195862106:195866622:1 gene:gene-LSAT_8X126741 transcript:rna-gnl|WGS:NBSK|LSAT_8X126741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKSKIKWVALGGLVLSFLSLLVHMFLANTSAELVQYHVMTGFLEDLNVNVMGKQGGASRKLWKKVKPLEALQPYANPRKKYPVPVHKNNGFIHAKIFGGFEKIRSSICDLVTISRLLNATLVIPELQESVNSKGIGSEFKSFSYLYNEEHLINSLKNDVIIVKDLPPKLKEARKRKECPIFKPKSSASPEYYVKQVLPKLKKGKVIGLVIIDGSCLQAILPPKLAEYQRLRCRVAFHALNFRPEILALTHQMLKRLRASGQPYLAYHPGLVRDSLAYQGCSELFQDVHTELIQFRRAQMIKQKLLHGKPSVDSYIQKAKGLCPLMPEEVGLLLRAMGYPPMTRIYLAGSERFGGQRVMIPLRAMYTNLVDRSNLCNKYELNKLLGTETPLPLSPFNYTPTKTTTEWDHAGPRPRPLPPPPGRPIYQHEKEGWYGWIAEKDSEPDLSAIDLREKARRLLWDAIDYVVSVEADGFFPGFNNDGTGWPDFSSLVMGHRLYEMASSPTYRPDRKFLANLFNSTRDNLYFPRRNWTLAARKHLNNSLGDEGLNRQFHQSKPSSFLSHPIPECTCTIFKQFGNTKCPKWLKESFTESKTQETKITDENEQQPDDETDEWQSGSEDENQSNNSRFEQDSEIDPND >cds-PLY76293.1 pep primary_assembly:Lsat_Salinas_v7:7:124057366:124063181:1 gene:gene-LSAT_7X74900 transcript:rna-gnl|WGS:NBSK|LSAT_7X74900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVISRKLFPACVNMCVCCPALRSRSRQPVKRYKKLLAEIFPKSPDGSPNERKIAKLCEYAAKNPFRIPKIAKYLEERCYKELRLDHVHLVNVITEVYSKLICMCKEQMAYFAVNLLNVSIELLDDSKRDSVQITGCQTLTRFIYSQVDGTYTYNLEHLVQKVCMLARKPEEEDEKKGLRAASLQCLSAMIWFMTEFSHIFGDFDEIVHAALGNYEPNTNNEQDDDGEEAHHNWVNEVVRCEGRGIPIDTDSSYTSLKPRPEKKDPSLLTRDEAETPKVWAQICIQRMVELAKESTTMRRILDPMFVYFDTNRHWVPPRGLGLIVLSDMAYFVESPENKQLILASIVHHLDHKNISHDPELKSYVIQTTSALVRQVRSEVALKDIGYVTDLCRHLRKSLQATVESVGEHEFNLNALLQSSIEDCLFEIGKGIPDARQLFDVMSTTLEKLAPSSNVARATTGSMIILAHMIVVASVSSNSQQVFPEDLLLQLLKLMLHPDVEIRLSGHQIFSVLLIPNSNHLRRDTDASTSNQTRRWSSDTASVFASVTSLLDKLRGEKNGKEIVCIQDGDNNNTEAERKHPGWAHKKSPNFQKLSSITAGEITLSDAESSVMKFSEDQITQLLSAFWIQANLPDNTLENIEALAYSFCLTLISLRLKNPNDNLVVRIFQLPLSLWKISFDHGNGILCPAHQRSLVTLSTAMLMFAAKMYQIPDVADVLKPMLKSDVDNYLGISDDFQVFIKPQADIKSYCSEHDNQVAAALLSDLQIKMFKSNEMIVDILVHKLSSITEMEAEEVRIQLLETFMPDDAIFGQESMLHLDHSHRVAHSKESLSFDTDFPTNSLVEDDASSESSVSDLSRFISKSPTPSSMSHVISIRQLLESALEAAGQVAGASVSTSPLPFSTMAGQCEALGTDSRKKLSTWLSHANTDATKPSPMPIKILGEDEPLCRGMNGLRLPPASPFDNFLKAARYG >cds-PLY69246.1 pep primary_assembly:Lsat_Salinas_v7:2:128360975:128362162:1 gene:gene-LSAT_2X60060 transcript:rna-gnl|WGS:NBSK|LSAT_2X60060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAQVHVPENQQINHSIFTSNKSKLNGKAYKLLLAINYICLFVGSVASSLLLKFYFNHKGSSRWVSTWVQSVGFPLLLIPIYLPYYLHCFLPKNGQILNRRKPFSSFDMKLLGLSFGIGLMLMISNLCFSWGNSYLPLSTSSLVLSSQLVFTLLLSVIIVKQKITFLNLNCVILLTLSCVLLALSSKADRPEGLTPTKYLVGFFSTVCAGLLFALYLPLMEKIYSKVDCYAMVMEMQFVMEATATAVATLVMALAGGFKEMRHESVAVFDLGPTKYWVTVGFNVVTWQLSFMGVAGMVFLTTSLTGGICMTALMAMNVIGGVVVYGDDFKGQKVVSTMLCLWGFCSYVYGMYLRMTQDTKENNNRSGEDTPPLGLQQEQKKSLLELSEIVTEDHH >cds-PLY92162.1 pep primary_assembly:Lsat_Salinas_v7:8:4925335:4927540:1 gene:gene-LSAT_8X3720 transcript:rna-gnl|WGS:NBSK|LSAT_8X3720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWVEIIFGLVIYRLVRRFFSDEEEHIDFGSSGSNALFAVADRLQALYGGKAYVGLRIPDPDTASRQNIDLVLVTKGEAVVVSVINASGIVSVDGDGTWVCTGGSKHKTERLPDPIVEAKRQVAVLESYLEQRGITLPDGYLSFKIVCPNPDFRTIHLDYFPPEVVTHEQWSELKPEKKSISGWIKGALPLRSDKKEMQESFQQNLGFILSTAPMWDRLELKNNKQLLGEFLEFKGKQDDIVLLRNIKRSKVSRMIIQKTSMFGLAHSKLQVLYAPRDYRSEGNSSSELNEVTVRSSTEVLFQQQDNAKLQKIKLSSIMSMSLSP >cds-PLY88137.1 pep primary_assembly:Lsat_Salinas_v7:MU040217.1:99468:99783:-1 gene:gene-LSAT_0X31400 transcript:rna-gnl|WGS:NBSK|LSAT_0X31400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMVASWKKGLCADISQRHLQIQHKIDDSESVSQEDKDLARWSVLDVGTGNGLLLQELAKQGFSDLTGIDYSE >cds-PLY69777.1 pep primary_assembly:Lsat_Salinas_v7:5:232779057:232780486:1 gene:gene-LSAT_5X114180 transcript:rna-gnl|WGS:NBSK|LSAT_5X114180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHREGRDSDSRHHRSRFDRELSPKRVRRDGRTATEQPAINHNLDSSEHINRDKKRPVVPDSKIQNVSSIKESDKKSNGYHEGTKISSENMESSRSRSHFQHDERGRSFRHRDTHTTERGGWKDSKDRESGRATNRSSNNGSDTKKKRPSFRETKIPLDAVTHDKPATQVSKPLEGSERKEDGGKPVVERPDRWLSGERDPQRMKFQSRDRYGGGGGGGGYRGRGDRLGGGRQGQGGGGGGGAEKWKHDLYDEANKSPNSKNEEDQIAKVEALLAS >cds-PLY87704.1 pep primary_assembly:Lsat_Salinas_v7:1:5733324:5737288:1 gene:gene-LSAT_1X4841 transcript:rna-gnl|WGS:NBSK|LSAT_1X4841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTQFATSRRMGIFEPLHHIGMWDDDTTNFGCDIVTPNTASSSMINRLPNKTDYISQESIGPSSDNEAAKNMSDKLQRRLAQNREAARKSRLRKKAYVQQLETGRLKLAQLEQELERARQQRVYGGLLNTSNGLLPNNVNPGIAAFEMAYDLWVAEQQKKDNELKDVLQSHVSELELRIFVDSGLNHYYELFQLKANAAKADVFYTMNGLWRTPLERFFQWLGGPRPSELLYILMPQLEPLSNAQLMSVSTLKHSCKQAEDALSLGMEKLQQNLAQGITIDITGVGSYNAQMTLAMERLEGIENFLNQADHLRQQVLQQMSRILTAHQAARGLLALGEYFQRLRALNSLWSARFREPTS >cds-PLY89157.1 pep primary_assembly:Lsat_Salinas_v7:3:22799325:22800603:-1 gene:gene-LSAT_3X17241 transcript:rna-gnl|WGS:NBSK|LSAT_3X17241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTASRYIHHQLHLSDLQLHSSQPENNQHHQLFSDGALHNHQHQEEEDQGHDLVSPNSGDGGGGSGDIVGRRPRGRPAGSKNKPKPPVIITRESANTLRAHILEIGNGCDVFDCIATYARRRQRGICILSGSGIVTNVSLRQPAAAGSVVALHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGNVVGELTAAGPVIVIASSFTNVAYERLPLEEEEPEGGGLQMQQPTSQTDGGGGGGINSNNPFPDPSSGLPFFNLPLSMPPNVQLPVDGWAATGGNSAGRSNNNNPF >cds-PLY90152.1 pep primary_assembly:Lsat_Salinas_v7:7:14592223:14603360:-1 gene:gene-LSAT_7X12620 transcript:rna-gnl|WGS:NBSK|LSAT_7X12620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELSKKVNASKHEYGVDKISSLPNLVLQLVLSSLPTTEEVIRTSILSTRWKYVWTSIPSIDIDCSRGSEFSRNKFKKFVDRVLAKGSLDLEGVCLCCSDHYSMQIVQKWIHAVVKRNIKQLHLLFCCGHWLKSIHLPDCLMTCGSLEVFRLYLYKRRLNFLKLTGFSGLRVLELNNFELAYDSVYVYDFLKGLPLLEDLSLIDYMMKKLVFLCISCPNLKNLRLDNRNISELYNIESICGCIEIVCPKLVFFEFGAFEAYKYIFKSLVSLKKVAIHSEDFPYEYMERKFRGNGLLESLSTSIDIFCFSLYPSVVPNLKTLELTTGIHDSPIISKLIRFLTRLPQLESLNLIIEDDHFLWEDWKLDEAERRGILTRHLKTVEFLNFYKEKLILDLARCLLEHGDALEEMIFRRDCEEDEFHERSMETMNQVSKFHKASSNVKLITLNEINYYQLSGKPGKPQRGMKKSKQAKASEHEDGVDMISNLPNPILHLIVSSLPTTEEVIRTSILSTRWRYLWNLIPSIDIDSSRGMKFDRTKFKKFVYHVLANSTLGLDSFRISCSDLYNISTVYQWIQAAVSRNIKQLHLSFCYEDLFKHIELPHCLVTCDSLQVLRVFLYEKRRLILPKFIGFQTLRVLELKNVELYKDYLVKSFLESLPLLEDLSLIDYAINKLQILYVSCLKLKNLILDNRNIFSFEDLYDVDFLCDCIKIVCPKLLFFEFRAFLALKYIFRSLGLVKKAVNL >cds-PLY89928.1 pep primary_assembly:Lsat_Salinas_v7:8:68627208:68628361:-1 gene:gene-LSAT_8X48861 transcript:rna-gnl|WGS:NBSK|LSAT_8X48861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIDWNSLTSFHFRVESVLGISMEALIGRELYDPIHELGLSTVAEVFSTNRKGNKQEEASSSSGHVKNEGQESPASIEDLKSEGMQAFDVKDEEDEEVRGVNVEQDSNENLKNLEINPNEEDEQKTVGTIEEQVSTENVEIQDITILARDWSPEKLESAIYLLKQFGEKLFDVGSEVEESTVLENVARYRSVYTCRLEKLKKMKDEASGSSSHGENEEQESNEKAKNDEEQRTTEDVKTLEENPEKMKEKQREEAGAYVIMEESGTENAASSSNHGRNQEQESSENVENRAGNPDDEQELNANAQNHEEQRMPENVQQNPVNVNARAWENQVPFIIDNALKEGKKIKTPYKRRR >cds-PLY69506.1 pep primary_assembly:Lsat_Salinas_v7:6:42809013:42810557:-1 gene:gene-LSAT_6X32900 transcript:rna-gnl|WGS:NBSK|LSAT_6X32900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g42450, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G42450) UniProtKB/Swiss-Prot;Acc:Q9FIH2] MRRVVECKQFLSLPNFKSIRLLHSVLHTDVTETQKSDQTATKSVASSSKRSCYLDASKLFDELPQWDVVSVTTLISQLTRQNRQNEAFYIFSKMLQMEITPNEYTLAALIHASTSLKNLNLGKQLHCYAIKTSFNPNVFVGTAVTDLYAKLNTIEEAQMVFDETHDPNVVSYTALVSGYIKKERFNDAVRIFKTMPEKNVVTWNAMIGGYSQKGHNEEAVNLFINMLREGIVPTQNTFPCVFSSAGNIAALGIGKSIHASAIKNLGKIGVFIGNSLITFYSKCGNMEDSLLSFHKIPDKNIVSWNALINGYAQNGRGEEAIGFYNEMKAKGVNPNAVTFLGLLSACNHSGLVEDGLEYFHETRMKNPSLIEPAHYACMVDLLARSGRFLEAERFIQDLPFDPGVGFWKALLGGCQIHMNMELGEVAGRKILDLDPSDVSSYVMLSNAHSVAGRWQNVLDVRREMKEKRMKRVPGCSWIEVGFKIHVFVNGDRESGDPYEIRKILSCVYDHVKRV >cds-PLY83430.1 pep primary_assembly:Lsat_Salinas_v7:5:330366567:330367810:-1 gene:gene-LSAT_5X186881 transcript:rna-gnl|WGS:NBSK|LSAT_5X186881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTEVGYRSWRSSSAEGGIAGRKGCVGDLFSFLYPLKKGEKGLRSSVFVCPRRGTWWEAVTRVVLWCSWIAASSSPVNCATEIKGKNLCLGFPGKIDANERDMGLIGNSSVFVSCVLDWKGMQISSEDELQALKNELLLIVAQLPENAIVGLIVFDSMVRVYDLGFTECLRMYSVRMFENNCQQQVHSVRIYSFEEANIDVEKEENIENESNVVIIQ >cds-PLY88389.1 pep primary_assembly:Lsat_Salinas_v7:5:61165975:61166619:1 gene:gene-LSAT_5X28201 transcript:rna-gnl|WGS:NBSK|LSAT_5X28201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWAPDIYEGSPTPVTAFLSIAPKISISANISRLSIYGSYGATLQQIFFFCSIASMILGALAAMAQTKVKRLLAHSSIGHVGYIRTGFSCGTIEGIQSLLIGIFIYASMTIDAFAIVSALRQSRVKYIADLGALAKTNPISAITFSITMFSYAGIPPLAGFCSKFYLFFAALGCGAYFLAPVGVVTSVIGRWAAGRLPRVSQFGGPKAVLRGPDT >cds-PLY98374.1 pep primary_assembly:Lsat_Salinas_v7:5:316395892:316396463:1 gene:gene-LSAT_5X173460 transcript:rna-gnl|WGS:NBSK|LSAT_5X173460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYHFWWNHYSRCGNDIVYNVLPHSLGCGSLRCKFAKSLALMRVSCGRERMLIVAYPNVVVNKQLSKQLLYRGCNIGQLKSKIVASATSLTNIFYLMWILCTNIRLVVAFGRVS >cds-PLY64772.1 pep primary_assembly:Lsat_Salinas_v7:2:101385117:101385739:-1 gene:gene-LSAT_2X46920 transcript:rna-gnl|WGS:NBSK|LSAT_2X46920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGANDLSIEWQDDTRYWEWGHIPESRFPEVCTLREVWWLEIHGKLAAVNLSQNNTYVAYLVFRTTENCSGLDAPAKSSVSFRGVKRETENVYLQTPGRVRQDYVIPLRRNDGWMEIKLGEFEYKEGDDGEVEMVFKEVTLNKRKSGLIVEGIEIRPK >cds-PLY76886.1 pep primary_assembly:Lsat_Salinas_v7:3:3714304:3715154:-1 gene:gene-LSAT_3X1981 transcript:rna-gnl|WGS:NBSK|LSAT_3X1981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSCAKCWGIIISILAIITAVIVVCVYFTNPSDPSFTINDFYVSALNLSDISSTTTAANQTIFFDMRLHNSNKAMGAYYDPVKITFLYIPNIKLTFVVGEYTVPKFYQHNQKSMYVRETVATRGIAPYNRMVTSSVFIVKVSTKVGFKSPAYRKKGTVVVVANVRVGQNGERDSKRGITLFESGVGDHGLRCLGFRVSLLILSTATLLMIM >cds-PLY77562.1 pep primary_assembly:Lsat_Salinas_v7:2:164995236:164997133:1 gene:gene-LSAT_2X86181 transcript:rna-gnl|WGS:NBSK|LSAT_2X86181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRVDESVQVPASGRVDRFGFVKQEHNSPDGPSRNKSALEYQREQKRLRKWRKMIGVGGSDWKHYVRRRGHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFYQQRHGPGQRSLYNVLKAYSVYDREVGYVQGMGYLAGLLLLYMSEEDAFWLVVALLKGAVHAPMEGLYLEGLPLVQQYLFQFDRLMREYMPKLGEHFTEEMINPSMYGSQWFITVFSYSFPFHLALRIWDVFLYEGVKIVFKVGLALLKYCHDDLVSKRLEELKQEYEKIHGKVAESKSKQKQLQLA >cds-PLY92986.1 pep primary_assembly:Lsat_Salinas_v7:9:120247476:120248652:1 gene:gene-LSAT_9X79661 transcript:rna-gnl|WGS:NBSK|LSAT_9X79661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYDGWNEILKIQKFRRTVGYTIFFSFSALISYAYNSNTTRAGFSRGDQFYASYPAGSELLTDTTKLYKSALGNCFEEEEWGPIEWSVMAKHFERQGKSPYAYHAQYQAHLASNGNLDGSG >cds-PLY99489.1 pep primary_assembly:Lsat_Salinas_v7:4:56969355:56975662:-1 gene:gene-LSAT_4X38241 transcript:rna-gnl|WGS:NBSK|LSAT_4X38241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVNQSKGNNNESQQYRRSGRSGNPATQRNFSGGGGAGKGGGGSTTAPPSSSLNSGKSFKKVVSNAQGAQNRVLGQNPNVNLSTSNSPAVGRAVQNGAHIQPPLSGTPDASSTGPTVKPTDASVQKSTPGLPKAPQSNAVPSGSSSTGATGPATPVKGSADASKGFPLQFGSLSPGVMNGMQVPARTNSAPPNLDEQKRVQARLESLKATSNQNPPVPKPRKDGGSVDQSNVSEPHPTPKEKRDVLSSSSSSTTTTTTTIKPSGPPMPSIPSVPMQMPFHQSQNYLQFGGPNPPLQSQPMVNTSMPVPLPMHLPVGNPPFVPGIQHHPMSSQGIIHQNQGLNFTSQMGHQIGNMGMGIGPQLGPQYQQQQMGNFGGTRRTVKITHPDTHEELRLDKRTDVYPNGAPSGPRSHPHGPPSFPTPHPHPHPMNYYPNSYNTSPMFYPPPGSSNPLTSAQITPASQPPRFYNQQPSKQVTVKPAVSASAHGEKAGDSLPIDKTEIPKIQKPGGESQSTLPHKESEVVAGISLPEPAASKPSASSVATEDAMSPKHPTGKKVQEALHGDDSVQEPTLSLETNKDVVDVSKKVDGDEKGLISTEVIGSGADSDKSLKVEGPVGEIVGSKEESKDSVDQIELSTVETVSMVTPEAQEKESLNNIDVKSSVSIPTSEVNVLTAETSLLNLEEGPHAVLETPALTLEVEGLVDKAVVETGKVKGSSSSTPKGKKKIKEILKNADARGSTSDLYNAYKRPEEKKETSASEMVESSSDIITKQEPVDLTKKDVKFEPDDWEDAADISTPKLEQVKHNSEVDNEGMTKKYSRDFLLKFSEQCTDLPEGFEVTTDIAEVLMGSSMGPSPHPSPRPGGGPRLDRRSSNVGEDDKWNKGSGSGPGPMGPGRDMRVGIDPGYNVSGFRGNHGVLRTPRPQQPGYTTGGGILSGPIQSPGPQGYRNNSDSDRWQRAGGYQKGLIPSPTPMPVMHKAERKYEIGKITDEEQAKQRQLKGILNKLTPQNFEKLFEQVKQVNIDNAGTLSGVISQIFDKALMEPTFVEMYANFCSRLSVELPDFSEDNEKITFKRLLLNKCQEEFERGEREQAEANRTDDEGEVKQTEEQREEKRVQARRRMLGNIRLIGELYKKKMLTERIMHECIKKLFGEDEENIESLCKLMSTIGEMIDHAKAKEHMDVYFDMMLKLSNNMKLSSRVRFMLKDVIDLRKNRWQQRRKVEGPKKIEEVHRDAAQERQAQSNRLARGPNPNPSLRRGQGQPMDFGPKGSNLLPNPQMGYRGSPQQTRGYGNQDSRFEERHSFENRTLSVPLRPVGDDNITLGPQGGLARGMSVRGQPSMRVIGGPNPNGYGSGPERPGYGPRDDLGGPRYSPRPAYEPEQHRVPPSSPPPRGRVPTPPQSGSSDKVLSEDRLHDMSVEAIKEFYSARDEKEVALCVKDLNAPGFYPSMISIWVTDSFERKDMDRESLAKLLINLTKSQEIISEEALVKGFESVLMTLEDAVNDAPKAGEFLGRMFARVILENVIPYKEVWRLIYEGGEEQGRLVEIGLAAEVVGVILEIVKSEKGDSFLNDLREASDPKLEKFRFPGIKKATRLDKFI >cds-PLY86684.1 pep primary_assembly:Lsat_Salinas_v7:4:317864583:317866289:1 gene:gene-LSAT_4X159501 transcript:rna-gnl|WGS:NBSK|LSAT_4X159501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLQSYSVAGNRFPSPPGGAIPTRLFLFSIYSVIMDPTLNNLNFRLPLLHQPIRIIACGCT >cds-PLY70453.1 pep primary_assembly:Lsat_Salinas_v7:1:72754721:72755379:-1 gene:gene-LSAT_1X64840 transcript:rna-gnl|WGS:NBSK|LSAT_1X64840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPVEEKKPAEKAPAEKKPKAGKKLPKEAGAGAVDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >cds-PLY86260.1 pep primary_assembly:Lsat_Salinas_v7:8:57959354:57971485:-1 gene:gene-LSAT_8X41780 transcript:rna-gnl|WGS:NBSK|LSAT_8X41780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore anchor [Source:Projected from Arabidopsis thaliana (AT1G79280) TAIR;Acc:AT1G79280] MPLFLSDEEYARCSHDPSLIAQKADAYIRELYNQLETEKAQYDASSITAEQTCSILEQKYVSLKSEFTTLQSQHSQLNSTLEERVSELAQLQADKHQIYLQSIGKDGEIERLSLEASELQKSKSQLLQMIEHKDLEINEKNSSIKGYLDKIVSLTDNTSSKESRTRELEAELARAHTSLARLTQEKELVERHNVWLNDELTTKVNNLLELRKTHNELEADMSSKLAELERNYNDTRTSLKWKDDRVKELESKLEALQEELCLSKDTAASTEERLSAELSTVNKLVELYKENSDEWSKKAGELEGVIKALETHANQVEKDYKEKLEKETSARKEFESEVSNLKAKLEKLEAELENSRNSDQFNLLQMTTFNPNSYKDSMENDNNLMIVPSIPAGISGTALAASLLRDGWSLVKMYEKYQEAVDALRHEQLGRKQAESILKRVLHEIEEKAEVILDERAEHERMVEAYDMLNEKLQHSLSEQTLLERTLQELKAEIRRHERDYNLAQKENKDLQREVTVLLKECRDIQLRCSSVNYNSAIEDTSQLVDQDGDSVLSERLLTFKDINGLVEQNVQLRGLVRLLTEQIESKEMELKEQFEKEFQKHNKETASKVDAVLARAEEQAGMIESLHTSVAMYKKLYEEEHKRHLLPLESPDTTHMERRSNVTLFLEGSNDASKRAQDRAYEKIKALEEELSVLRGEIITLKSQRDKSALEATFAHEKLERFMKDFEHQREETNGVLARNVEFSQLIIDYQRKIREASESLHTAEDLSRKLNMEVSVVKREKEILINSEKRAFEEVRQLSERVHQLQATLNTVQTTEEVKEEARSAERMREGDYVKRTEREWADAKKELQEERDNVRKLTLEHDSAMRTAMQRIEEMGKELANALHAVADANAKAAAAEERLLKFEKTKSSEANDENAPTQEDEMRVLKEEIEKLRVEAKTNKDHMLQYKSIAQVNEAALKQMEASHENFKVEAEKLKKSLEEEILSLKEEVNRLQEGYNLKAKEAASASVSQEEALVNTLSEISKLRDECTAKNLCIESLESQVSAMKVDLEKEHQKWRISQDNYERQVILQSETIQELTKTSQALGSLQQEASELRKVSDILKIENEELKSKWETEKKVLEDSKDRAEKKYNEINEQNKILHDQLEALHIKGAEKSLGGAQSSDTFDDAGLQNVVKYLRRSKEIAETEISLLKQEKLRLQSQLESALKSQETAQNLLRAERENSRSVHFTEEEFKALQLQVREMNLLRESNVQLREENKHNFEECIKLRQSTHNVRAEVENLESLLGERVNEVEACKREIEMLKKEKNELQIKVNEFVEKFRDMDPEEYGRMCADLQDLKVKVLEKDGNLEEVKKAVLEKQEVIVKLEQEIGKSKVELDERESRLNSIGETETFLKGEVERLKKNYFLLRRRNDIVVKEKEELLKKNQELSKDLAADSSQVKKSNVDSSSVEQAAVTREREEKDTRIQMLEKTVEKLREESREREEKDKRIQMLEKVIERLREDVRKGKDEVRMEKEKAKNQKSDKGIVDSSKLADDLEKHKQALKSLSEEIEKMNSGDSIDELAAACLLAVENFEQVANQVSGEYGLPVTTTTTESPPADSCAPPQAVPPPQNTIEESAKPASSDKAKVEPRKIARRLVRPRIVKPDPAKSKGDVDMSDSVATNSQQSLSVRKRPSEGQEGSQSNARETTGSDVAAPIVKKSRGSEPPPEAQNMTDAPESVIPEEAPPINVVDESQTLKEDMDTGREEEVETGEEQGVEDVQTESQNEIGEAEVSEDQPKEGEVAAGEVGSEPEEGEMVSDAVVGNQETGEGQLVERGRRSASPMPVEDDMAGGEDTLGEMEIGSPLLTMEETEDKNEEGEIEVEETTPESSMDNKVNNDANDEEAAVIDHVEKSTTTTSALTTETGGSTTEVKPEESAGTGTGTAETSNSTTINLNERARLRSLQRLAGTNTSPPPPAAARGRGRQAPRGRSVRGGRAAGRGGAGQNPASQGYEEVAINVENEENMENDICIQT >cds-PLY69748.1 pep primary_assembly:Lsat_Salinas_v7:5:233059041:233059468:1 gene:gene-LSAT_5X114460 transcript:rna-gnl|WGS:NBSK|LSAT_5X114460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVERCMSKVAKGNECYDYEESEVQSQSLKNKVQGEKKEYNEQQQEEECWIPHRRTGIYYPKGHEWVMEDVPDGAACFAYSYWLRNSDRDGV >cds-PLY64356.1 pep primary_assembly:Lsat_Salinas_v7:4:26142905:26143392:1 gene:gene-LSAT_4X18800 transcript:rna-gnl|WGS:NBSK|LSAT_4X18800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIINSAQELGIHEHDDDDAFYAELERRVLTLIDDHDYKEFSSHTQIYSSSSLNTKRRYETVKQTSNYFYWNEGGCDSVPASILSLWGSNNKGTGVFIPRIAISRNKNKPRRKNNNKGIIYKHVGS >cds-PLY98250.1 pep primary_assembly:Lsat_Salinas_v7:7:173779276:173781920:-1 gene:gene-LSAT_7X103120 transcript:rna-gnl|WGS:NBSK|LSAT_7X103120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCVPLRSISPHPKIPLGSQAKLLRFLPTTRFVHFHHRRKDLNKIIHRSNGYSHRPCPCSNSDTGVFSSSKEEFDMELGRLLRLLPEEMRRRVNEHQELHQLIEVVMDLGRKPLARFPSGDFVLSEHLITVDDLEHATSLVGDFAIDNRAGISRTLHRISAIRNRKGAIIGLTCRVGRAISGSAKLLQDLVKDGASLLLIGPPGVGKTTIIRDVARMLANEYKKRVMIVDTSNEIGGDGDIPHAGIGNARRMQVPDSDMQHKVLIEAVENHMPQVIVIDEIGTKLEAAAASTIAQRGIQLVATAHGITIENLVMNPSLEMLVGGIQSVTLGDDEANRRGVQKSVLERKGPSTFDCAVEIISRVELRVHTSLEATVDAILSGRSPKYQICKLDGVLDATLQSDQIVPDSFVKKSVTTIEMANDHLTPDKHISKESQSEKDKSYDKHKPPLCLFLYGVSETSVMQVFKHLKMESTIDFTENISEADAIIALLSKIKKNSRIQAAAHSSDVPIYVTKTSALMQLTKAIEALVSDYDNEFEDIEDESPINESEKIDALEEARIAIEQVVIPKGESVELLPRSSNIMLLQKDLIRKYKLQSQQIGSEATTDVRIRILPFQAKKQDTNPERNDEDYGNDDDDDDDDENDDDDDDEISEFDLSNTNGSPYPMDRLPLLPD >cds-PLY72737.1 pep primary_assembly:Lsat_Salinas_v7:4:372336463:372340452:-1 gene:gene-LSAT_4X183301 transcript:rna-gnl|WGS:NBSK|LSAT_4X183301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSSSSSVSLRNPRLPISSSFNYFGSVKSCNRLSLCSRLSKVSLRCFASSTTTAMDKIPVLNPIVEMDGDEMTRIIWQMIKDKLIFPYIDLDIKYFDLGILNRDATDDEVTVESAHAALKYNVAVKCATITPDETRVKEFGLKSMWRSPNGTIRNILDGTVFREPIMCKNVPRIVPGWTKPICIGRHAFGDQYRATDAVIKGPGKLKMVFVPENGETPMELDVFDFKGPGIALAMYNVDESIRSFAESSMSMALSKRWPLYLSTKNTILKKYDGRFKDIFQEVYEKNWKEKFEEHSIWYEHRLIDDMVAYALKSDGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRQHQKGNETSTNSIASIFAWTRGLQHRAKLDKNERLADFVTKLETSCVETVESGKMTKDLAILIHGPKASREFYLNTEEFIDAVAQNLNSKLQPLAVGSLK >cds-PLY93694.1 pep primary_assembly:Lsat_Salinas_v7:2:200953519:200955393:1 gene:gene-LSAT_2X121640 transcript:rna-gnl|WGS:NBSK|LSAT_2X121640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGCRSLLKQIRKRKRRKPHKRIPKNLKQNPHLTEEQQQDFATEDGFSLKGSSPSQNYGVQPLGNFYLSLSPHNSRNTGLGNIQTLTDELVLDILGFLRGTDLGILSTVSKSFYVFCNHEPLWRNLVLDNCEIDNGFLFKGSWKSTFVAAHNSSFDVSKIGSLGFKVKDFYSDYLFQSWLCANLEMKNEWLNRDNIIRRKGISLDEFVSNFEEPNKPVLLEGCLDNWPAIKKWDKDYLVKICGDTRFSVGPVHMKLEDYFTYSNQAQEERPLYLFDPKFANKVPKLGQDYETPIYFSEDLFSVLGDERPDYRWIIIGPAGSGSSFHIDPNSTSAWNAVVKGSKKWVLFPPDVVPPGVHPSSDGAEVACPVSITEWFMNFYESTKTWKKKPVECVCKAGEVIFVPNGWWHLVINLEDSIAITQNFVSRRNLLNVLDFLKKPNASTLVSGTRDRVNLYDKFKKAIELGFPGMIDEVVVKDQERKAELAKPSFWDSVKDSHSGAFKFSF >cds-PLY87670.1 pep primary_assembly:Lsat_Salinas_v7:6:45546392:45549045:1 gene:gene-LSAT_6X34661 transcript:rna-gnl|WGS:NBSK|LSAT_6X34661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYYKNEQLSKDPPSIHQDPKPPTPTQNTPPKLVIIAKNDTKPPPPPPIVSYQEIKIDIKQSQKEKASSNSNAKKQNNVKRMLSAGLQADKVLKTKTGHLKEYYNLGQKLGHGQFGTTFLCIEKTTGKEFACKSIAKRKLLTDDDVEDVRREIEIMHHLSGNPNVVSIQGAYEDSVAVHLVMELCAGGELFDRITKKGHYSERKAADLARTIVSVIEACHSLGVMHRDLKPENFLFVDEHEDSPLKTIDFGLSVFFKPGETFVDVVGSPYYVAPEILLKNYGPEADIWSAGVILYILLCGVPPFWGEVLRGKLDFSSDPWPSISESAKDLVRKMLIKDPKRRITAHGVLCHPWISEDGVAPDKPLDSAVLSRLTQFSAMNKLKKMALRVIASKLSEEEIAGLKQMFKIIDTDNSGYITFEELKAGLKRFGSNLTESEIYDLMQSADIDNSGTIDYEEFVAATLHMNKVDREDHLFSAFSYFDKDGSGYITLDELQQACKEFGVDDVHLEEIIKEADQNNDGRIDYNEFVAMMHNGSDIMRVKPMKDDFNMMLREPVAVC >cds-PLY62966.1 pep primary_assembly:Lsat_Salinas_v7:1:210666092:210668552:1 gene:gene-LSAT_1X128700 transcript:rna-gnl|WGS:NBSK|LSAT_1X128700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLVTNGVFSTFSPQPISETPKISKGSSSKTEISCKTPKSKSHNSRFLKLRADAKTIQSETVAEGNSSNSSSVDDDPLQKFLKRDYKWGFSQEIDSFTIPKGLSEETIRLISSRKNEPDWMLEFRLNSYHKFLQMTEPKWSDNDYPKIDFQNLCYYSEPKKKPTLNSLDEADPELLKYFDKLGIPLSEKNRLANVAVDAVLDSVSIATTHRKTLEKSGVIFCSISEAIKDHPDKVKKYLGKVVSSDDNFYAALNSAVFSDGSFVYIPKDTKCPMQISTYFRINAMETGQFERTLIIADDRSFVEYLEGCTAPSYDTNQLHAAVVELHCNEEAEIKYSTVQNWYAGDENGRGGIFNFVTKRGLCAGRKSKISWTQVETGSAITWKYPSVVLEGDDSVGEFYSVALTNNMQQADTGTKMIHKGKNTKSRIISKGISAGNSRNCYRGLVQVQSRADNARNSSQCDSMLIGDKAAANTYPYIQAKNPSARIEHEASTSKIGEDQLFYFQQRGIDYERAMAAMISGFCRDVFNELPDEFGAEVNQLMSIKLENSVG >cds-PLY95745.1 pep primary_assembly:Lsat_Salinas_v7:5:248839373:248840217:1 gene:gene-LSAT_5X123960 transcript:rna-gnl|WGS:NBSK|LSAT_5X123960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAETILLAFQNYILMLGTSVMIPSLLVPLMGGSDGDKARVIQTLLFVAGINTLLQTLFGTRLPAVVGGSFAYVMPILYIINDSSLQRIPDHHDRFIQTMRAIQGALIVASSIQIVAGYSQLWGLFSRFFSPLGMAPVVGLVGLGLFQRGFPMVCCRSFHF >cds-PLY92089.1 pep primary_assembly:Lsat_Salinas_v7:4:106239579:106242589:1 gene:gene-LSAT_4X69261 transcript:rna-gnl|WGS:NBSK|LSAT_4X69261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRPSKILISSRLKTLLFVPSIVFLILYLSSSSFPGHSNTRISLHDDQESTYVPTSLDHIVFGIASTVNAWPKRKEYVNMWWNPDTMRGCVFMDAPLDHDTLLDYDFVFPPICISNDTSEFHYTWNGGLRSAIRVARVVSEIVALNHSNVRWFVFGDDDTLFFPENLVKTLSKYDHNLWYYIGSNSESYVQNRHFSFSMAYGGAGFAISYPLAKVLAKVLDSCLERYAHLYGSDGRISSCLAELGVAVTIEPGFHQMDVTGNAFGLLMTHPMKPLVSLHHPERIDPIFPHMAQTNALHHLYEAAKVDPHRILQQTVCYDRWYSWTISISWGYAIEVFGVHVLLPDVLRVPVTFQPWQGTHTFKTFFNFDMRQHHLDPCRRAVVFHLDRVYSQGEGITSVYRIMERHNCTFGMTSPRRIQEIKVYSQKLNLDLKQLQAPRRQCCDILPSSSEKLMEINIRACHEEELVYKRP >cds-PLY76212.1 pep primary_assembly:Lsat_Salinas_v7:4:53283151:53284473:-1 gene:gene-LSAT_4X36561 transcript:rna-gnl|WGS:NBSK|LSAT_4X36561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESLKDHAPFQNDNIVFHQLDVLDPTSIFSLVEFMKAKYGKLDILVNNAAVLGVSVDAHALEASINGKEPGHIKWEEVSTQPYNLGEECIQTNYYGAKRMLEAFMPLLLLSESPRIVNVSSSSGKLKFVINEWASNILNDIKVLSEERIDKIFEIFLKDLKENSLETKMWPTFLSAYTISKAAINAYTRLLARKHPSIIINSVCPGYVKTSINYFSGYLNVEEGAQSITRLVLLPMDGHSGLFFYRDDISSF >cds-PLY79394.1 pep primary_assembly:Lsat_Salinas_v7:5:124979746:124980836:1 gene:gene-LSAT_5X54340 transcript:rna-gnl|WGS:NBSK|LSAT_5X54340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMLNNLLIMCQIIRKKGLIIIQFGKLSDYRGRLSVSNWYFVTKLYINANIEEILQFKNTLVSKNSFENLSANRSKGSSSLLYSETDEFLLKHDFKPITEIEEIRKVSRVIVLGTVKRVCTNFSWYYWGCKSCHKKVDEDIMMNTELNDGSNGKKMVVCSSSICNNKVVSVLPRY >cds-PLY74664.1 pep primary_assembly:Lsat_Salinas_v7:5:176929644:176931058:-1 gene:gene-LSAT_5X78841 transcript:rna-gnl|WGS:NBSK|LSAT_5X78841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVIMNTQLEQDENEKWLGMGNQELLDYFGSYEAVRARHSYGPKGHRGMSVLIFESSAVGYTEAERLSKHFEHQGTDRDAWDHRRILFYPGGKRQLYGYLATKRDLDFFNQHCQGKSKLKFELVSYQERVVNQLKQMNEDNQQLNYYKNKIAKEQKHSKALEESFDFVTQRLRKTEEENRIVRERTQRYHEQNKEEMDYQEQFFKDQLKIIHDARNAKEGKFDKLQKEERMKVEQSYSVVDPQKRDEKLEAMKEFEEEREKLMKALEEQRTEMKSRHWKEEIELEKGFDAVLTQLMDKYTNKLEG >cds-PLY65976.1 pep primary_assembly:Lsat_Salinas_v7:4:137868969:137871422:-1 gene:gene-LSAT_4X86561 transcript:rna-gnl|WGS:NBSK|LSAT_4X86561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIEVRESTMVRPAEETPRINLWNSNVDLVVPNFHTPSVYFYRPNGASNFFDPKVMKDALSKALVPFYPMGGRLKRDEDGRIEIDCQGQGVLFVEAESDGMVDDFGDFAPTLELRKLIPAVDYSQGIESYPLLVLQVTYFKCGGVSLGVGMQHHAADGASGLHFINTWSDMARGLDLTIPPFIDRTLLRARDPPNPTFTHVEYQPAPQMKTTTTTTATATGELEQPPPETAVAIFKLTRDQLNALKAKSKENGNTINYSSYEMLSGHVWRCVCKARNLPDDQLTKLYIATDGRARLRPSLPPGYFGNVIFTTTPVAVAGELMSQPTWYAAGKIHDGLVKMDNDYLKSALDYLELQPDLKALVRGAHSFRCPNLGITSWARLPIHDADFGWGRPIFMGPGGIAYEGLSFVLPSPINDGSLSIAISLQAEHMKVFSKFLYDI >cds-PLY78422.1 pep primary_assembly:Lsat_Salinas_v7:2:167570234:167575349:-1 gene:gene-LSAT_2X88940 transcript:rna-gnl|WGS:NBSK|LSAT_2X88940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARKLRFFKDQMSKAGTTWSLNIFFNQYQSSSSLYSAISSDGKFSPMSLSGGEYRGYFGLLNKKRTVCHLDLVLVNSVVCHCQEVNSVPCHCQEVKKGGMPPGPRAGFSMCVHKSGLGYLVKFLTWRLKRNCIQSPTEAPGDGPIMLVLAPTRELDSIFQNNEQDNQNFLTTETTIVWPNDHGSIGYSVFPNNTYQHAAPTFVNLMNDVILRLGTYNGNMTIQTRNHPMPMTESQHLQHQDLDAFSVTIVVYLNSDFIRASFVVPIVKVTMDHRPPFLTLGIPAAF >cds-PLY71725.1 pep primary_assembly:Lsat_Salinas_v7:3:45386781:45389550:-1 gene:gene-LSAT_3X35500 transcript:rna-gnl|WGS:NBSK|LSAT_3X35500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASASFAGSAVAAFKSTGISDKSGHGRVSLFLKNASSVQLQRGFNYSHCRSKMSFASSGVKAQVATIEQASVDATPKVEAPVVIVTGASRGIGKAVALALGKAGCKVLVNYARSSKEAEEVCKEIESVGGEALTFGGDVSKEADVASMIKTAVDAWGTVDVLVNNAGITRDGLLMRMKTSQWQEVIDLNLTGVFLCTQAAAKIMMKKKKGRIINIASVVGLVGNVGQANYSAAKAGVIGFTKTVAKEYSSRNINVNAIAPGFIASDMTAKLGEDIEKKILETIPLGRYGKPEEVAGLVEFLALNPAAAYMTGQVLTIDGGMVM >cds-PLY67546.1 pep primary_assembly:Lsat_Salinas_v7:3:54785929:54787710:-1 gene:gene-LSAT_3X42821 transcript:rna-gnl|WGS:NBSK|LSAT_3X42821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METRSAKRRKLRSHEHSWGNNTGEDRITDLPDAILHHIFCLLPIKSIVQTSILSKRWRNLWYTFPDLDFTTIIPSGTALTKFVYWNNLGKVITQVLSLRDKLSDVRILRFYACMSFSGLHALIRSAIRLHVQELDIRVATNDTFNFPRSIITSDCLRVLKVKSYPGFRLPPSRIMTAGFQKLRTLSLSFVYLDNQSSLLDLFTDCAFPQLTKLHLDSCLNLKHLRVGCHLLEELVLENCSSLQGLEIASHKLETLKVSSCFDAFNINNTWLQIDSQRLNTIVWVNNPITSKSCMQNIVCLHEATIGFLLNQENLDVDKLQNLSTFLSGLSNTESLTLESRFVEIMLKHKPLATIFFHPFMKLKSLELQTRDVLGLASILKICPMIHTLIIKISHEGYKAERRWNRHSWNLSKYSREEKFWDSHTKDLKSLLCYLKIVKIQGFSESENHISLVKFLLKHAKVLQYLILCLEDENSRGYYPHENFKSHIMGFSRASIDAKLEFS >cds-PLY74580.1 pep primary_assembly:Lsat_Salinas_v7:7:33757352:33757762:1 gene:gene-LSAT_7X25701 transcript:rna-gnl|WGS:NBSK|LSAT_7X25701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPSIPTINMSDIQSPTSSFKVQLVSRSVSERLLVKFADVSEFGFDYSQSGLWSPPLHRTVFLSSPGEILTPDEMLQKLESMARHRRRYTYCLNALLCSPKR >cds-PLY78771.1 pep primary_assembly:Lsat_Salinas_v7:9:52042274:52048651:-1 gene:gene-LSAT_0X34660 transcript:rna-gnl|WGS:NBSK|LSAT_0X34660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGNYRFSMDRDDIARSLITTIGSFIQDRLLDQRQRALQKEQCGERLAAEDESSNKDTAVRYSDQAVLANLDWGMDALEEAINTSNTETKMARLDHAEKMLQVCAMLNSSDKTAGVPNFYLSAWAHLNLSYLWKLRNNVTNAVLHVLEMFSIDPFFSRIDFAPEIWKTLFLPHMSSIVGWYSEERHRIMMNMIPDSGDLSFTADFDQYFNESLVLSMRPDQAEKLQKLEKHYGQSLDENTRNYANYFKDCMNHDPETSKKAIPMMPIAEAPMTPLHEVSRKIPDYVRFGPILPKSAGFSPVLKPNKNSREASRLEGIPEENNESEYEQDEDGIQSIESLPSVEMNNNYKPEPNGHTLKEKNRPFSPQMFSPVISSKTSPKVSSPKQDGNNKVTSTSTLRSNRSMDYKVATSLPTSPLVHHDLSISSADSDNESRDHKKSVRTKVGHGRSSSIANMKTQTSDKSFHGEYDNGSPNFGSPQSSTQSRPPKDFVCPITSHIFSDPVTLETGQTYERKAIEEWMKRGNTTCPITRQPLSANSLPKTNYVLKRLITSWKEQHPDLAQELSYPATPQSSHGSPSLRQMSQIQSRTSNPYDSNNFKPNRFMQATIATSPTSVISQAAVESIISGLKPFITCLCTSEDLKECETAVLTISKMWKESNADSGVHSCLSTSTTVNGFIDVLSASLSREVLRTTVYILSELLVADERLREILTNVDLECLAALLQNGLSEAAVLIYLLKPSFSQLSEFNLVPYLIQNICKKDEESKDSELVMNPKDAAVELVAQVLIEGDENSRNSNALSVISMNAVPSLVMCLERVDTRQSVMYILLCCIHADRSCRNLIATRIDLCYVLELFHAGNEYVRGLCIEFLWELVQLNRRTLCNQILQIIKDEGACSTMHTLLVYLQNAPMEQQPVIASLLLQLDLLVEPRKMSIYREEAIQTLIESLPKKDFPNSQLAALDALSSLSGHLSASGKSLTEAWLLKLAGFDQPYEDSVKKENLKVNENEPIENMDKEEEIAANSWEKRIAFVLCNHEKGLIFNALEECLKSNSIDMTKKCLSIATWLIYMLYNLPDTGVRDAARKALLDQFIYILQSSKNLEEKILSTVALRSFISDPGALVELGGYAKGLYKTLKKLKRSSVVVSDIMKTLMNLPSVNAADMWSCSEGFELDISMNGEVLSLVNIKGRLISSHSDGTIKVWDSGKKALRLIQEVRDHAKAVTCLYVSPSSDKIYSGSLDKTIRVWVIKQEGIHCIQVHDVKETVCGLVADADFAYFFSQSAGVKVYGWSGVIKNLNVKQTVRSLALNGNKLYCGCSGFNIQEVDLRKNTSTTFYAGARKLLGKQSINSLYIQDNLLFVGGTSIDGIAGKVFSLSNRGVIGSLSTGFDIQLLAVNNDFIFGATKSGSIEVWLKERLTKVASIKNSKITSMASDVDGEMLFAGSSDGRIQTWGLD >cds-PLY94841.1 pep primary_assembly:Lsat_Salinas_v7:2:177055633:177060347:-1 gene:gene-LSAT_2X98360 transcript:rna-gnl|WGS:NBSK|LSAT_2X98360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATGFAPASFTSLKNRDNGLGFAKASDFVKVSDLKRVKFQRTKITVIKNSNPGSDIAELRPASEGSPLLVPVQKYCESTHKTIRRKTCTVMVGDVALGSEHPIRIQTMTTTDTKDVAATVEQVMQIADRGADIVRITVQGKREADACFEIKNTLVQKNYNIPLVADIHFAPSVALRVAECFDKIRVNPGNFADRRAQFEKLEYTEEDYQQELEHIEKVFVPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDYHNFVFSMKASNPVIMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRKLANLGMKAAQIQQGVAPFEEKNRRYFDFQRRTGDLPVQKEGEEVDYRGALHRDGSVLMSVTLDQLKTPELFYRSLATKLVLGMPFKDLATVDSILLRELPPADDKDARLALKRLIDVSMGVITPLSEQLTKPLPNAMVVVNLKELSTGAHKLLQEGTRLVVSVRGDEAYEELEVLKTSDATMILHDLPYTEEKTGRVHAARRLFEYLSENSLNFPVLHHIQFPKGIPRDDLVISAGANAGALLVDGLGDGILLEAPDQDFEFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQVISAEIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVKRAIAMENATEALIQLIKEHGRWVDPPVEE >cds-PLY96653.1 pep primary_assembly:Lsat_Salinas_v7:7:45594417:45596408:1 gene:gene-LSAT_7X34101 transcript:rna-gnl|WGS:NBSK|LSAT_7X34101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGGVKRKSISSDPPPPRVHNDLASSGNDKSEYYNQNGEQQQQLEQQQQLLPLNDGGDKYEDEEEDEYDEEYDDQYGDHENEGDGGEAEDADERPKLAEGFYEIESVRKKRSRKGKVQYLIKWRGWPEAANTWEPFENLLSCSDVIDAFEERLRSGKQRWGKKHKRKTAVALQPQAKKKKKKQQQHQPQQQQQQQDSPSDTYEVPSTKVKIIQESLSQPSNNDPKYGESNVKTTNHLNDNESLLVEHQIGEKKETNELKVPSLTDKEKENEFSLEIQEDRSGEGVGVSSSNHVQNVNGLKSVGAKRRKTGAVKRFKQDLNFVSSNDGDDVIERVSNTNGVVVDHGVWNFDQVRMVVDNGVQRFDQVGDTSRSMYGITKIIKPVEYSKSTLNDMHEILVTFSVLRSDGKEVMVDNRYLKANYPLLLINFYEQHIQYSE >cds-PLY91370.1 pep primary_assembly:Lsat_Salinas_v7:8:47889013:47890676:-1 gene:gene-LSAT_8X35661 transcript:rna-gnl|WGS:NBSK|LSAT_8X35661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSHRIRQLADSTMQGAVKKPRSIATESAPTSSSMKDSFSRYAEYLNQFNDKRERVVKASRDITINSKKVIFQVHRISKNNKDEVLQKAEKDLSAVKDQYIARLVNELQGTDFWKLRRAYSPGVQEYVEAATLCKFCTSGTLLNLEEINSLLLPLSDPSLEPLQINILDYILGLADLTGELMRLAIGRISDGEIEYAEKICRFVREIYRELTLLAPKMDESWDMKSKMDVMLQSVMKIENACFSVHVRGSEYTSLSGMEEDTSYALLGVSEFD >cds-PLY66151.1 pep primary_assembly:Lsat_Salinas_v7:8:303160077:303160388:1 gene:gene-LSAT_8X165381 transcript:rna-gnl|WGS:NBSK|LSAT_8X165381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDREGVTDGGGGGPAIQRRRSGEMEQVRLVRLFGVQCCGVLPSGCCAFRSLEGKRMGKEIITTQGQDKGKIVEFPVSNCLKIEPEAIWVSRSYRESSNQTPKV >cds-PLY74600.1 pep primary_assembly:Lsat_Salinas_v7:7:33376461:33376804:1 gene:gene-LSAT_7X24261 transcript:rna-gnl|WGS:NBSK|LSAT_7X24261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPLLIKAKMKSTSTSTTCFAYSPPKPSSTGSNSQRFTPGNSIALRIGSSRRANLASCFHRIKKNCVGRNWKLMGNGENGSD >cds-PLY82584.1 pep primary_assembly:Lsat_Salinas_v7:2:182795536:182800651:-1 gene:gene-LSAT_2X104580 transcript:rna-gnl|WGS:NBSK|LSAT_2X104580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAFDHVQNMYDVAVKPRLLRSFIKELIPDEKQQLGNPLELSQVVSAIKTHGLLSERVAQTDDNKKLIEKWKSAVDLWVDRLLMLVSSNMPDKCWAGICLLGVTFEECSSERFLASYSVWFQKLLSHLQPPAESHFVKVSCCVSISDLLTRLSGFPNMKKDGTSHAAKLVQPVLKLIQEDSSDAEGAVRLLCTILNFFPSSLQKNYDSAEAAIVTKLIYGKCNPNMLKKLALCLSLLPKSKGDEETWSLMIHKILIAINILLNDSFQGLEEESKTSETMRALVPPGKDPPAPLGGLTVFDLSNKSTERLSITNISSLMLCCSTMLTTSYAVRVKVPIKALLMVVERVLNVDGSLPQTMYPTLTAMQQEYICTQLPVLHSYSLEILCDIIKEARSQLLPHTAHTIRLVTEYIRRCELPELRVKLYGFIKMMLMSMGVGMTIYLAEDVISNASVDLDSTHVHGGEAQPMNKKRKHENAISFLENQSQIIYSPKNHVPISVKIAALGALETLLTVGGALRSESWRSNVDQLLITVATDACKNGWAKEANDVYTPSWGDFQLASLRALLASLLSPGRFRPAYLAQGLELFRGGMQETGTKLAEFCAHALLSLEVLIHPRALPLIDIGSSVEYPVNVNGMKDKFMKNNIYSSGVQKSNLVTVTGGTSRNEAESEEDDLYEKWVKDGENEIEKNTAENAVSIEATINVAKDKGKGILVETQSIEETDRNALKNMETEVEVAVAVAKGVSGGGAANDVAGRRFETVGGSEGGGQLVFAIGDNDNEDAAMDEIPDIVDVEPDSDEE >cds-PLY66114.1 pep primary_assembly:Lsat_Salinas_v7:7:30946633:30949756:-1 gene:gene-LSAT_7X23500 transcript:rna-gnl|WGS:NBSK|LSAT_7X23500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLADNAPKAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRSGTEMLTNEAGDVTSHLQGMFNRTIRLLESGLKPVYVFDGAPPDLKKQELAKRYSRREDATAELDEAIKSGNKEDIEKFSKRTVKVTRQHNEDCKKLLRLMGVPVIEAPSEAEAQCAALCKADKVYAVASEDMDSLTFGAPKFLRHLMDPASRKIPVMEFDVSKVLEELNLTMDQFIDLCILCGCDYCDSIRGIGGQTALKLIRQHGSIETILENINKERYQIPENWPYQEARRLFKEPLVCVDDEQLELKWTSPDEEGLLNFLVTENGFNSDRVTKAVEKIKASKNKSSQNRLESFFKPVVSTSVPIKRKGTKCILGSSKQVTKTKILTGTIISSFSPPTLSLCSKHPLMLSKGVCFGT >cds-PLY89328.1 pep primary_assembly:Lsat_Salinas_v7:7:177590999:177591252:-1 gene:gene-LSAT_7X105220 transcript:rna-gnl|WGS:NBSK|LSAT_7X105220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTTRGVISDKWSMRVLWLTAIGSAVGLYMVAVERQTQNREKMLAAAAEAEANNSV >cds-PLY74105.1 pep primary_assembly:Lsat_Salinas_v7:9:13546975:13547178:-1 gene:gene-LSAT_9X11781 transcript:rna-gnl|WGS:NBSK|LSAT_9X11781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRWRDRFLFCAEAIFKSQAETGEIKGHYLNATAGTCEEMMKRAIFARELGVPIVMHDYLTGDHIKTK >cds-PLY81875.1 pep primary_assembly:Lsat_Salinas_v7:8:120998610:121004142:-1 gene:gene-LSAT_8X84940 transcript:rna-gnl|WGS:NBSK|LSAT_8X84940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHLEKGQQVEIDEKKWVYDSSFDHRGQTPLRSSTGAWKASLFIIAIEFAERLCYFGIATSLIIYLTKVLHQDVKTSAKSANQWAGVTTLMPLVGGFLADSYLGRFRTVLLSSIIYLMGLILLTMSSMIPSLKPCANHEHCVEPRKIHVVVFFIAIYLVSVGTGGHKPSLESFGADQFDDDHPEERKKKMSFFNWWSVALCSGLLLGVTLIVYMQDHVGWGQADIILTIAMACSVAIFIIGRPFYRYRKPVNPLKRMVQVCVAAFYKRKLPYPSSPNDLHEVQKSEKSQERQLCHTNTFKFLDKAAIIEEEDFADKKQDPWRLSTVTRVEEMKLLLNMIPIWLTSIPFGICVAQATTFFIKQGVLLDRKITHTFILPPASIFGLSALGIIVSVTIYDRILVPLLRRVTGTERGINILQRIGIGMVFSVTTMIVSAVVERKRLAVVGDNPLHGSAGMSVFWLAPQFLIIGIGDAFTLVGLQEYFYDQVPDSMRSIGIALYLSVIGAGNFMSSFLITIVDHVTTKVSGKSWFGKDLNGSRLDYFYWLLAAIAAVNLCVYVFVARRYSYKNVQQTTTVAVADCYQGHGHVARA >cds-PLY69629.1 pep primary_assembly:Lsat_Salinas_v7:5:111254439:111256679:1 gene:gene-LSAT_5X49321 transcript:rna-gnl|WGS:NBSK|LSAT_5X49321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:BI1-like protein [Source:Projected from Arabidopsis thaliana (AT4G15470) UniProtKB/Swiss-Prot;Acc:Q94A20] MFEFTRINTKDDHEGDIEQGTLYPGISHGENQLRWGFIRKVYGILAAQMVLTTAVSCLTVLYAPINDLLRGNSGLLLFLVFLPFILLWPLHVYQQKHPLNLVFLGLFTVSLSLTVGVSCANTDGKIVLEALVLTSAVVSSLTAYTFWASKKGKDFSFLGPILFTSLVILLVTSFMQVFFPLGPTTNAIFGGFGAVLFSGYIIYDTENLIKRFTYDEYIWASVTLYLDILNLFLSILRMLRQGDN >cds-PLY73827.1 pep primary_assembly:Lsat_Salinas_v7:7:67886212:67886702:1 gene:gene-LSAT_0X40900 transcript:rna-gnl|WGS:NBSK|LSAT_0X40900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDTISAGDDHIPDQLEYPNDYVDDDEDFGLADHDSLHNTDFQIDAEHKNEFLYDEADETIADDNIDLQKG >cds-PLY90066.1 pep primary_assembly:Lsat_Salinas_v7:6:17836096:17837834:-1 gene:gene-LSAT_6X13521 transcript:rna-gnl|WGS:NBSK|LSAT_6X13521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKRYVTSEELKTHNKPGDLWICIQGKVYDVSEWMKTHPGGDLPLLNLAGQDVTDAYVALHPSTAWQYLDKFFNGYYLKDYAVSEISKDYRKLYFEFTKMGLFEKKGHGVLISMVVIAMMFSVCVYGVLFSQSAFVHLICGGLMGFLWIQSGWLGHDSGHYQIMMDRKFNRFAQILTGNCLAGISIAWWKRNHTAHHIAVNSLEYDPDLQHIPVFAVSSKFFDSLTSKYYERKLNFDSITRFLVSYQHLTYYPVMCVARLNLFAQSFVLLLSSTKVPNRGQELFGLLVFWIWYPLLVSCLPNWSERVMFVLASFSVTGIQHVQFTLNHFSSTVYVGEPSGNDWFEKQTNGTLNITCSSFMDWFHGGLQFQIEHHLFPRLPRCHYRKISPIVKDLCKKHGLPYDSASFFKANEMTIATLRNAALQARDLTKPVPKNLVWEAVNSHG >cds-PLY78478.1 pep primary_assembly:Lsat_Salinas_v7:7:175183041:175184502:-1 gene:gene-LSAT_7X104620 transcript:rna-gnl|WGS:NBSK|LSAT_7X104620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRIFLVELEGRTYKCKFCKTNLALAESVISRGFHCRRGKAYLFSNVVNVTAGPIEERMMLSGIHTVTDIFCVCCGQIVGWKYETAHEQSQKYKEGKFVLERGRIMDGLDSEFYIDTRPSSSDAEEA >cds-PLY84011.1 pep primary_assembly:Lsat_Salinas_v7:8:36869712:36870981:1 gene:gene-LSAT_8X29380 transcript:rna-gnl|WGS:NBSK|LSAT_8X29380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIITWLPSIKLTIVSSSLLKTPLIHYGDKMKELFTSMFSLIFFHALLLIFVFHFGVAGGIIGAVVGALIGFKDKTNLLQDVIMGATSGAALSQKITTATFQQLLYSDHDDEHGCFLHLVDIVASALESKLLRDEDHIFLINKVASCEKLIKLPKIEITKDDVFDAFGNTTCCSICLQDFEVADAAGMFPQCEHKFHPECISQWLLNHNTCPVCRRSI >cds-PLY91013.1 pep primary_assembly:Lsat_Salinas_v7:7:179013007:179014556:1 gene:gene-LSAT_7X105761 transcript:rna-gnl|WGS:NBSK|LSAT_7X105761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTDSSTGSQLLQLPPGFRFHPTDEELVVHYLKKRAASTPLPVAIIAEIDLYKFDPWELPAKATFGEQEWYFFSPRDRKYPNGARPNRAANSGYWKATGTDKPVMTSGGTQKVGVKKALVFYGGKPPKGVKTNWIMHEYRLTDGKTISKPHGCDPTKQKGSLRLDDWVLCRIYKKNNLQRPMDSDGSDHLHNMNGMLSSIPPSISLAGGHQGTIVKQTAGVGYNAMMENHEHNLMFDARINSNDTDTNTSNLLPMKRSMPGLFWNEDAGAGAGNTGNSTSTYTKRFLTESNSDVSVMATRNNEENSGSIASLLSQLPQTQQMQPQAMLGSLEDGVFRQPYQLPGINWYS >cds-PLY84971.1 pep primary_assembly:Lsat_Salinas_v7:2:123137297:123143754:1 gene:gene-LSAT_2X56201 transcript:rna-gnl|WGS:NBSK|LSAT_2X56201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSERLKENVTVTVRFRPLSPREIRQGEEIAWYADGETIVRNEYTSSIAYAYDQVFGPTTTTRHLYDIAAQHVVSGAMEGINGTIFAYGVTSSGKTHTMHGNQRSPGIVPLAIKHAFSIIQETPCREFLLRLSYLEIYNEVVNDLLNPAGKNLRIREDTQGTFVDGVKEEVVLSPAHALSIIAAGEEQRHVGSTNLNLHSSRSHTIFTMTIESSPCSENNEDEAVNLSQLNLIDLAGSESSKAETTGIRRKEGAYINKSLLTLGTVISKLSDGRAAHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSNSEETHNTLKFAHRAKHIEINTSQNKIIDEKSLIKTYQNEIRSLKEELDELEREIVTVPQLKHTGAKDALLSQIQSLTKLILVSTKSSHQSDPGIRYSFGEEELVYLPERKRDLSLDHENIDLHVTINGGIETKEDKKIKKPGLLKWLKPQKRDGLTLTLTSGSDKSSTPSTPASSHILPIVESRPSYSSLSEATSVGFLLEHKQDLDINKEVEVAYSEVIEEQLTQKNNELKGLDETITSLKQQLGSEKNEKNTDTNHSLEIEHQQLKEKIVNLTESKERLESENTRLKEQNSYAKELASAAAMELNILCEEIIKLMNQNEKLSAELAAHSSNSTSNSNSNNNSNSPRNTTKSNGHRKSVSQLELLKREVAMSKEREMAYEAVLSEKSERESELEQMVMESNQREEYLENELANMWILVSRLRKSEDVEIDASESSKESGFEISEDI >cds-PLY78203.1 pep primary_assembly:Lsat_Salinas_v7:4:226536530:226547521:1 gene:gene-LSAT_4X124880 transcript:rna-gnl|WGS:NBSK|LSAT_4X124880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDGNEGKGEQVAKKHNQITKLNKLTESRRSENPPAGETWTEQDTSNLSPILSVEPQQGSKEADRVDRLPGQPQVDFDHFAGYITVDLKAGRALFYYFVESPHNSSSKPLLLWLNGGPGCSSFGYGAMEELGPFRVNSDGKTLYRNDYAWNNEANVLFLESPAGVGFSYSNRSSDYTTGDKQTAKDSYTFLINWLQRFPEYKTRDFFITGESYAGHYVPQLASLILSENKKTKGTIINLQGIAIGNALLDDNTHLQGMLDYLWTHALMSDEANAGIKRYCDDAVNLSNISEKCYQYVDQGFTEVGKIDIYNIYAPLCERTTQKLQSTASVKNFDPCSSRYVSSYLNRAKVQEALHARNTSWSHCSDYNWTDSPTTVLPTINQLIAYGIRLWIYSGDTDGMIPVTSSRYFVNKLKLPIETAWRPWYYNGEVGGYVVGYKGVILTTVRGAGHAVPSYQPERVLLLISSFLHGKLPPSLAE >cds-PLY86947.1 pep primary_assembly:Lsat_Salinas_v7:5:255439804:255442356:1 gene:gene-LSAT_5X131480 transcript:rna-gnl|WGS:NBSK|LSAT_5X131480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSQGISGPPYRFVHGNTKEIAHIKDQAKTSLFTGISHDIYPRIQPQFVIWFRLYGKNFLDWYGPQPELVITDPEIIKEIASNRSVSLERPDLGSFHKKMLGDGLVTSKGEKWARQRKLANHAFSAESLKNMIPTMVESVEMLLHRWKSMSGSEVEVNAEFRILTAEVISKTAFGSSYLQGKEVFEMLKEMSTIAGRNYYNPRLIPGLGKILKSSDDLRSDDLQKNIQDLIIKTIKKREKIMAVDADTWGTDFLGQLVKANHDTDERYQLSTQDIIDECKTFYVSGDGTTSLVLSWAVLLLSVHKEWQERAREEVFELFGRENPLSEGIAKLKTIGMIINETLRLYPPGLAIIRKNKREVKLGSLTIPSNLILHVPVLALHHDRKIWGEDAHLFKPDRFSEGINKATKNNPSAYIPFGFGPRNCVGSNFATNTAKVALAMILQRFRFTPSPNYVHEPVHLLMLIPKNGVQVMLHAL >cds-PLY69487.1 pep primary_assembly:Lsat_Salinas_v7:6:42641897:42645491:1 gene:gene-LSAT_6X33061 transcript:rna-gnl|WGS:NBSK|LSAT_6X33061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASSAPPPQQKLTAPYGSWSSPITSDIVSGAGKTLGGTAIDSLGHLLWLESRPTESGRSVIVRGGSDEDEASDVTPIDFSVRTVAQDYGGGDFSVSGDTVIFSNYKDQRLYKQSIRSPSPPVALTPDYGEPLVCYADGVFDKRFNRYVTIREDRRESSKNSVATIVSLELNDETIRDPKVLVGGNDFYAFPRLDHEGKRIAWIEWSHPNMPWDRSQLWVGYISENGDVYKRVCVAGGDPTIIESPTEPKWSSEGELFFVTDRNLGFWNLYKWVEFDNTVLPLYSLEAEFTRPSWVFGINSYEIINDQKNLIACSYRQKGKSYLGVLDKNKNTLSVLQTPFTDLRNITCGVHCLYVEGASAVHPLSIAKVTLDDQASKVVDFKIVWSSSPTSSNYKSYFSTPEFIEFPTQVSGEKAYAYYYPPTNPKYQASQEEKPPLLLQTHGGPTDEAHGILNLNIQFWTSRGWAFVDVNYGGSTGYGRKFRERLLKQWGIVDVNDSCSCAQFLVDNGKVDGERLCITGESAGGYTTLATLAFKNTFKAGSSLYGIADLKSLKEEIPKFESYYIDNLVGSEKDFLERSPINYVDQFSCPIILFQGLEDKVVSPEQAKKIYKALKSKGLPVALVEYEGEQHGFRMAENIKFTLEQQMVFFSRLVGHFKVADEFTPIKIDNFD >cds-PLY69016.1 pep primary_assembly:Lsat_Salinas_v7:9:140189573:140189929:1 gene:gene-LSAT_9X89820 transcript:rna-gnl|WGS:NBSK|LSAT_9X89820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLELESLHLRNRLLLRWDSIRELMLKHAEVIIDRNRRWDRSRGLMPQPSYLTCVEASKVEVDLCRMWGIQVLTVFAFTSNNWLRPRVCIISKLDSNFIRTLWVQSIDWLCLCRSKLIS >cds-PLY62960.1 pep primary_assembly:Lsat_Salinas_v7:2:212541867:212543455:-1 gene:gene-LSAT_2X131920 transcript:rna-gnl|WGS:NBSK|LSAT_2X131920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCNKGEVKKGAWTPEEDKILVDYITKNGHGTWRSFPKLAGLQRCGKSCRLRWTNYLRPDIKRGAFSFEEEQTIFHLHSLHGNKWAAIAAQLPGRTDNEIKNFWNSHLRKRIPSLQTDLPSNSTDTKSNRVENDAKPEDEAKPSMNPMSHSDNFHHEWGSGAFQKDFHKVTTYGIPNPIKIEPVSFVTVQASESCDQSVKEEMTNGSESQNSNEAQNELDITLDLLLDFPNDGEYLQYV >cds-PLY84342.1 pep primary_assembly:Lsat_Salinas_v7:5:190231577:190234251:-1 gene:gene-LSAT_5X85220 transcript:rna-gnl|WGS:NBSK|LSAT_5X85220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLIIGSFTSNVSVHSPPLPNSPSVFVSAGSLHVSLRSSKGNRVIRCESSLVRHNLSSFNESFLFSRKRNTNHVANAVSEQPIEPESSSPQKLLPNAFDAFYRFSRPHTVIGTALSILSVSLLAIQKLSDFSPLFFIGVFEAIVAAFFMNIYIVGLNQLSDIEIDKVNKPYLPLASGEYSVKTGVIIVSSFAFMSFTLGWIVGSWPLFWALFISFLLGTAYSINMPMLRWKRFALVAAMCILAVRAVIVQIAFYLHIQTFVYGRLEVFPKPVIFATGFMSFFSVVIALFXXXXXXXXXXXXXXXXXXYCGGQDIWYSVFYSSFGSKEGYGTCDTWFNVMGSC >cds-PLY80198.1 pep primary_assembly:Lsat_Salinas_v7:8:190986137:190987853:-1 gene:gene-LSAT_8X124541 transcript:rna-gnl|WGS:NBSK|LSAT_8X124541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDGELDFSTQEMFPPPNIGDIPSNDSMDSFFDDIFKDTHACTHTHTCNPPGPDSSHTHTCYHVHTKIVPAISGDDDKTPTDDTAESAEKKGKKRPIGNREAVRKYREKKKARAASLEDEVVRLRALNQQLMKRIQSQAGLEGEVARLKCLLVDIRGRIEGEIGSFPYQKQNLVGNNVGSVNLGGGYVMNPCNMQCGDQVYCLHPDGKSAEDASIHDPGVNGCEFENLQCLGNQNSLLNGLPGCGNEDGFPVANPNPPGGRTKRKGK >cds-PLY91080.1 pep primary_assembly:Lsat_Salinas_v7:9:125018429:125019027:1 gene:gene-LSAT_9X81441 transcript:rna-gnl|WGS:NBSK|LSAT_9X81441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQEIKVKVSMHSQKCRKEVMKTVTKLSGVDEVSVDLQKEMLVVIGDVDPVCVATSLRKKRKVANIVSVGPYKEKGKEVNKPAVGFPMMYCNTPCYDGYGHFVYGYPPTRDAGGCNIL >cds-PLY98517.1 pep primary_assembly:Lsat_Salinas_v7:7:126667312:126673575:-1 gene:gene-LSAT_7X75520 transcript:rna-gnl|WGS:NBSK|LSAT_7X75520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGCYPVLGMFNHKFIAGWRKSLSISILSSNSSNNHNLAFYSKSIKPKILLTTTSELNRDSPLIGLECVMMDYIFGKKKATQVAHSVWEHVVKKGDAVVDATCGNGYDTLAMVNMVADKSRVGRVYAMDIQETAIKNTMSLLDRSLHPNEKEMVQLYATCHSEMEQVVPRSATVRVVAFNLGYLPGGDKTMITKPETTLLGMEAATRIVVAGGVISMLVYVGHPGGMEEYEMVEAFASGLPVDTWICCKLQMLNRPLAPILFLLCKR >cds-PLY99166.1 pep primary_assembly:Lsat_Salinas_v7:6:129664968:129666260:1 gene:gene-LSAT_6X78860 transcript:rna-gnl|WGS:NBSK|LSAT_6X78860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLHHPRRFFFILNIITLAFSWFVKPSEAVYHGYVPSPWTLAHATFYGDESASETMGGACGYGNLITNGYGTDTAALSTTIFNDGYACGQCYQIRCVQSPWCYKGYTTVTATNLCPPNWSQDSNNGGWCNPPRTHFDMAKPAFMKIAQWKAGIVPVMYRRVPCNAIRKGGIRFSFQGNGYWLLVYVMNVAGAGDIKQMWVKGTKTGWMSMSHNWGASYQAFATLKGQALSFRITSYTTKQTITAYNVAPANWNLGLTYQGNVNFH >cds-PLY89566.1 pep primary_assembly:Lsat_Salinas_v7:4:365777730:365785601:1 gene:gene-LSAT_4X178061 transcript:rna-gnl|WGS:NBSK|LSAT_4X178061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETTEASKAMPAQAEKRKEQSIPFYQLFSFADKFDYALMILGSIGAIIHGSSMPFFFLLFGQMINGFGKNQSDLNTMTHEVSKYALYFVYLGLVVCISSYAEIGCWMYTGERQVSTLRKRYLEAVLKQDVGFYDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWKLALLSVAVIPGIAFAGGLYAYTLTGLTSKSRESYANAGIIAEQAIAQVRTVYSYVGETKALDSYSDAIQHTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLLEIIKQKPTIVQDSTDGKCLTEVNGNIEFKEVSFSYPSRPDVLIFKEFSIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNQGQILLDDVDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPNATTSEVEAATSAANAHSFITLLPNSYNTQVGERGIQLSGGQKQRIAIARAMLKNPKILLLDEATSALDSASENIVQEALDRLMVGRTTVVIAHRLSTIRNVDSIAVIQQGQIIETGTHEELISRPGAYSSLIRFQEMIGNRDFSNPSMTHRTRSSRLSNSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNAETDRKNGAPSGYFFRLLKMNAPEWPYSIMGAIGSILSGFIGPTFAIVMSNMIEVFYFDNPARMERKTKEYVFIYVGAGLYAVVAYLIQHYFFSIMGENLTTRVRRMMLSAIMRNEVGWFDEEEHNSSLVAARLATDAADVKSAIAERISVILQNMTSLLTSFVVAFIVEWRVSLLILATFPLLVLAHFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKILSLFSDELRLPQTQSLRRSQLSGILFGISQLSLFASEALILWYGAHLVTKGLSTFSKVIKVFIVLVITANSVAETVSLAPEIIRGGEAIGSVFSILDRQTRIDPDDPDSDVVDTVRGEIELRHVDFSYPSRPDVPVFKDFSLRIRSGQSQALVGPSGSGKSSVIALIERFYDPTAGKVMIDGKDIRRLNLKSLRLKIGLVQQEPALFAATIMENIAYGKAGATEAEVIQAATAANVHTFVSGLPEGYNTPVGERGVQLSGGQKQRIAIARAVLKNPAILLLDEATSALDAESECVLQDALERLMRGRTTVLIAHRLSTIRGVDSIGVVQDGRIVEQGSHGELISRPEGAYSRLLQLQQHRM >cds-PLY82551.1 pep primary_assembly:Lsat_Salinas_v7:2:185117029:185119465:-1 gene:gene-LSAT_2X108561 transcript:rna-gnl|WGS:NBSK|LSAT_2X108561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAFVLRRNGKLLPGYLCFPVFLFQNNILAAFINPQIQFPSRKLILNESCELKDSLLPFNRIVETPSIAPIDQSNHIHANRNISQAILGFQADVVTLRMINRLCMSGDARPALPTHREMEKSKPCNPSTTHYNNIINGLCKSRRVTEAVDIYFEMRDKGIQPDIVTFNCLIQGLCNLGLREEAQGFFDEMVTQSISPNVVTFNILAHSFCKDGKIEEAHNTLNHMISYQCVPDVISYNILINCYCKSKKIDQALSLFMEMSEKRIVPDIITYTTLITGLCQVGRLEDALVRFDEIQDSGLVPDVVTYSILLNFLFKNGKFNEAIVFERAMEEVRVAPNITLYNILIDGMCKAGKIDVGEELFRKLPAFGFQPGFYTYNILINAYCKSKMIGEALSLKNEMSEKEVTPTIVTYNTLINGLCHVGRLKDALILFDDIKHHNLVPNIVTYSTLIDSLFKNEKFDKAIELYKIMKDDGLAPNIVLYNILIDGMCKAGKVDEGEGLFRDLSSRGLQPNIRTYNILMNGLFKNGKLKDAYQLLQEMMIEGCMPDGFTFNIIIQGYIQWNETRMAVQFVRRMVDAGFSADANTSTNLVDLLNKRNLDNASKEVLKKWKEDLSSRMGIDACEA >cds-PLY72112.1 pep primary_assembly:Lsat_Salinas_v7:7:52801809:52802225:1 gene:gene-LSAT_7X38261 transcript:rna-gnl|WGS:NBSK|LSAT_7X38261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLSLDRYSGIHEFRDLKFSLKDLFCVFLNVTSLCFKSSAWSDFKLWYGTWDGMKALKTFRGYLMTVDLSLTPCLACVMDQCINLVDVSLFFHRGDVSRGFIDRCMARWPKVKWRWGMWEEEMEAVWITDDQLPKKR >cds-PLY87930.1 pep primary_assembly:Lsat_Salinas_v7:4:32905218:32905997:1 gene:gene-LSAT_4X21600 transcript:rna-gnl|WGS:NBSK|LSAT_4X21600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEILCKLPKVSSSDNFDDLLEDMELDEELLRELLEEINDDNVGQTSMIETVLNDMPPSKEENGDFFHVLPDNESQLLFYQDKQIQDFDYWITQQIAEMPCSFPGLWFENEMMPFWNMENRVDEMMVDDIVYFELWED >cds-PLY93222.1 pep primary_assembly:Lsat_Salinas_v7:6:159253648:159256847:-1 gene:gene-LSAT_6X99120 transcript:rna-gnl|WGS:NBSK|LSAT_6X99120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKEMKPHAVCIPAPLQGHINPMLKLAKILHSKGFIITFVNTEFNHQRLLRSQGPDALSNLPSFHFETIPDGLPPPENHDASQDIPSLAKSVDETCLDPFKNLLTKVSASYSPITCIVSDFLMGFTLAAATELGIPEILVWTSGAGSLLCYNQYQNLLEKGLMPLKDSSFLVNGYLDTVVDCIPTMSGIRLKDFPPFIRIIYPGDDYMVKFLCAQVERAKMASAIIFNTFHELESDILDTISSMFPPCYAIGPFHFLEDKIADKSLGSIKSNLWKEEPECLKWLDSKPPLSVIYVNFGSIVVMTPQQLVEFCWGLAKSNYSFLWIIRPDLVIGDSAVLPPEFLEETSDRRFLAGWCPQEQVLNHPSIGGFLTHSGWNSTLESISCGVPMICWPFFADQQTNCWLSCNKWGIAMEIDNDVKSDEVKNLVVELMTGEKGNVIRKNVTDLKNKAEKACTSSGSSMADFQKLIHLMQAFSK >cds-PLY74830.1 pep primary_assembly:Lsat_Salinas_v7:5:113800039:113800524:-1 gene:gene-LSAT_5X49801 transcript:rna-gnl|WGS:NBSK|LSAT_5X49801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDDPFNIQTQHPRVQPSSIETISDHHDHRILLIFNPYPSSRSTRRLEKPNRVMLRLCRFLLVAASLVAETRLLLNLQKFEGEISRKFLFIHNCIRIRKWLGFNWFNFIDRQTLEILTFTLLMQ >cds-PLY88570.1 pep primary_assembly:Lsat_Salinas_v7:7:8868988:8871725:1 gene:gene-LSAT_7X6261 transcript:rna-gnl|WGS:NBSK|LSAT_7X6261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSTNYPSRNLSAINMEKQDSSQKEKTADDLPNVLVLGPPSVFKVYERQFSDKFHSLKPWESAIPLHQFLSTHAGSVQAMFCSVSCPITTDILQKLPELRFIATSSVGVDHIDLHECKRLGVKVANAGSVFSEDVADMAVGLLIDVLRRVSAANSFVKAGLWHQKKDYPLAHKLGGKRVGVVGMGNIGLEVTKRLKALGCIISYTSRTKKPDLTFPFFPDVLQLSTNCEILVICCALNDQTHHMIDKNIMLNLGKQGVIVNVARGAIVNEKELVECLVKGEIGGAGLDVFENEPDVPHELLKLDNVVMTPHHAVMTEESFMGLFEVVVRNLDAFFANKPLRFEVCSREG >cds-PLY66790.1 pep primary_assembly:Lsat_Salinas_v7:3:65871407:65874346:-1 gene:gene-LSAT_3X51140 transcript:rna-gnl|WGS:NBSK|LSAT_3X51140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKACDCSNSDKDDPKQKERHIVSWSEEDDDILREQIRIHGTNNWAIIASKFENKTTRQCRRRWFTYLNSDFKKGGWSHEEDMLLCQAQKIFGNRWTEIAKVVPGRTDNAVKNRFSILSKKKEKCESSTKENNNGVNMNTNNKRTRQNTDKILETSMQHMNIRRKQIVATMEDCDAKEQKVRPPFVVILQNCMKPCIEESSGNTVEGKSLIELNQGSPETISSKTIEKANDLCDGRKCPLPNSEFNSPLQVTPLFRSMAEGIPSPQFSESERNFLLKTLGMESAIPNPTSRTSQSPPCKRALLHCL >cds-PLY78169.1 pep primary_assembly:Lsat_Salinas_v7:6:108440643:108442402:-1 gene:gene-LSAT_6X66781 transcript:rna-gnl|WGS:NBSK|LSAT_6X66781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSPTCSADALAAMFGANTTNATGAAAFICDQFNTVSTKFSDTTYAVDSTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFAFAFGTPSNGFIGKHNFALQNMPSSDFDYSYFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWFWSVDGWASATNMDNLFLGSGVIDFAGSGVVHMVGGIAGLYGALIEGPRIGRFDHSGRSVALRGHSASLVVLGTFLLWFGWYGFNPGSFVKILSPYESGSYYGQWSAVGRTAVTTTLAGCTAALTTLFGKRILSGHWNVVDVCNGLLGGFAAITSGCSVVDPWAAVICGFVAACVLTGFNKLAEKMKYDDPLEAAQLHGGCGAWGIIFTGLFAKEKYVNEVYPGKPGRPYGLFMGGGGKLLAAHVIQILVIIGFVSATMGPLFLILKKLGLLRISAEDETAGMDMTRHGGFAYVYHDEDDQKLGLPMRKIEPAVSS >cds-PLY89784.1 pep primary_assembly:Lsat_Salinas_v7:1:7545483:7549485:-1 gene:gene-LSAT_1X6061 transcript:rna-gnl|WGS:NBSK|LSAT_1X6061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDIRDGIGSLSRRGFERSKSQSFVHELDNHSGIVINSHWEGLPPELLRDVIKRLEASECTWPARKHVVACAAVCRSWREMCKEIVSSPESSGKLTFPISLKQPGPRDTTIQCFIKRDKSNSTYYLYLCLSSALVVENGKFLFSAKRSRKSTCTEYIISLNADNISRSNRGYIGKVRSNFLGTKFIIYDSQPPHNTANVVPPGRLGSSRRFSRRVSPKVPSGSFNIAQIVYELNVLGTRGPRRMNCIMHSIPMSAMEPGGTTLDHHPNLAAHSFKDSFRSISFSKSIDSLSEFSSSRFSDIMGTNEVQNGEQMKNMPLILKNKQPRWHEQLQCWCLNFKGRVTVASVKNFQLIAATTTTAAPPVVVDEATPPQTAQAQSQSSHDKVILQFGKVGKDIFTMDYRYPLSAFQAFAICLSSFDTKLACE >cds-PLY73934.1 pep primary_assembly:Lsat_Salinas_v7:3:37819923:37821232:1 gene:gene-LSAT_3X28580 transcript:rna-gnl|WGS:NBSK|LSAT_3X28580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDMGNSSRATSSFDEQSIHGDVLEAILSHVPLVHLLPACGVSKSWTAXSSLRKSTNTKPWLIIHTQSSRHPYSTATHAYDPESNMWIEIRQPAIGYVSTLRSSHSNLLYMLSPSKLSLSFDPLHLTWHHAVAPKVSRIDPVVAVVGSHVVVAGGAYDFEDDPLAVEVYDLESQQWTKSDPMPEFFNGSAASLWLSVASGDPHIFVMEKTSGVTYSFNPNNNTWSGPYDLRPDHRVFYSVIGFSNDRLIVIGMLGDAEDVDGVKFWEVNCESFEFDEIGEMPANLLENLKSHDSQISSIDVSMVGNAAYVYISSRAEEVFMCELIDGGGCRWRSVANTSANGRSIMDKLVFTCSKIGIEELQRATRSENRKFVLKR >cds-PLY87536.1 pep primary_assembly:Lsat_Salinas_v7:8:95991306:95992396:-1 gene:gene-LSAT_8X67120 transcript:rna-gnl|WGS:NBSK|LSAT_8X67120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSQDKNKRWSLLGRLRTAVKKVTFLLNLDINRWHIVSSLIGGRRTLSSRRLSFNERLGLTSIVSSPAHDEYYSTWASPYTATSSSQISPEQGELQLQKTQSFPLQRTTSFPEEDDIDRRAEMFITNFKRQLRMERQVSLELRRRLRSQIDHYSQEA >cds-PLY98735.1 pep primary_assembly:Lsat_Salinas_v7:6:104061755:104064678:1 gene:gene-LSAT_6X66560 transcript:rna-gnl|WGS:NBSK|LSAT_6X66560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKFRTLRRFLDSNMVKSMTMSNLYGHLMIMADQDHDGSHIKGLLINFLHSFWPSLLKEKTNCWLIICYIYGLGTSNGKEGAEYFVDLDKHKKDFVWADDEHGEATELAFSKKKIEARKTWLRALQLIDSFGKKPVVMSIRICRRNPTLEVKMKKNFLIRKWPEQQLLIEFFSIDLFYYFSRGFRGNVEYKH >cds-PLY78413.1 pep primary_assembly:Lsat_Salinas_v7:3:237065202:237065537:-1 gene:gene-LSAT_3X132840 transcript:rna-gnl|WGS:NBSK|LSAT_3X132840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEEHGLRMGKFGTLEFRGDGEVDLIDVNDDEDKLVGVESIEMLYCRICDDKKKYEETIKKGLEDDANDDEVKDWSRKVC >cds-PLY86313.1 pep primary_assembly:Lsat_Salinas_v7:8:56940748:56941286:-1 gene:gene-LSAT_8X42460 transcript:rna-gnl|WGS:NBSK|LSAT_8X42460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEVDHLLAKKVVDRYLKREVLGEGTYGVVYKAIDTKTGQTVAIKKIRLMKQKEGVNFTALREIKLLKELKDPNIRCWF >cds-PLY88765.1 pep primary_assembly:Lsat_Salinas_v7:4:169995885:169997044:1 gene:gene-LSAT_4X99921 transcript:rna-gnl|WGS:NBSK|LSAT_4X99921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTMLKTPPDAAIAIPITIPADHSAPTTKVAAEVDCATMSSTKLPRNYDVMHLALRLVCVSASVASVVVMTSAKEKSTISLYGFNLPVYSKWSFSDSFDYLVGVSGAVAVHSFLQLLITSNMLLRKSSAISSRKHAWLVFAGDQVFAYAMMSAGSAASGVTNLNRTGIKHSSLPNFCKPLHSFCDRVAVAIGFGFFSCFLLAMSAVLDVVWLSEYY >cds-PLY80434.1 pep primary_assembly:Lsat_Salinas_v7:8:299046705:299049826:1 gene:gene-LSAT_8X164921 transcript:rna-gnl|WGS:NBSK|LSAT_8X164921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPEFPDVMADGYSSRGLHGRDVKTGIPAAVYKLADNIDDWNRFAWGTYFWKYTSRMMRGMFEKIEEFRQFKQANPESRKGHKYTIPGFMLPFKPNNQPINVVANPEELMLPFYVRYVNWTLNPVESPPRQHSPVPNSPPHVDSPARRRMYKSEIETSTTESATNASSSQHLETSYMSNDTSILKKKKKTSTKALVKHLIGVVADLTSKVDRALQKKDVADTNVEPDRGFQEEEEMINEEEEEKYQHHTYFDYDYIGTHGLEGEFGPTPTHVEQSSDVGEDHTKEMTPIGRPQRKRGVPWFQRTPFTVLQSTPKVKKIIIPKKKKVVKSPEKPNEDIVNEESNDVSNHLLLDSVEAASTLSFWKEWNSISSNLITKHRLHIITLDLEFWSRLLAVTDLGWLLSSVVFPLIIPHVHWFLAVLHLDTWKVDIYDSARCMNNFTKYCAGGEFKSFGDSIIEELDAIEY >cds-PLY73541.1 pep primary_assembly:Lsat_Salinas_v7:9:77276735:77276998:-1 gene:gene-LSAT_9X63180 transcript:rna-gnl|WGS:NBSK|LSAT_9X63180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVSVKMLNSVFRLFDARSEGPTLSGHVVRMLSPRVIRSSFRISGDSGFVQQAENEATTGVGWTLIQVLLKMIMAFGGDLDFEVDVM >cds-PLY62564.1 pep primary_assembly:Lsat_Salinas_v7:9:76419999:76422923:-1 gene:gene-LSAT_9X60860 transcript:rna-gnl|WGS:NBSK|LSAT_9X60860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTESSNPNRALTDTRFSDLNPPLSDEVLDALSTSGFDFCTPVQAATIPLLCSYKDVAVDAATGSGKTLAFVVPLVEILRRTTSVKPHQVLGIIISPTRELSSQIFHVAQPFISSLSDVKPMLLVGGSEVKVDMKKIEDEGANLLIGTPGRLHDIMERMDILDFRNLEILILDEADRLLDMGFQKQINSIISRLPKLRRTGLFSATQTEAVEELSKAGLRNPFIKCEADKKSSQLVDFFIKNKSKKMIVYFMTCACVDYWGVVLPQLTALKGVSLISLHGKMKQIAREKALASFTSLQDGILLCTDVAARGLDIPGVDCIVQYDPPQDPNVFVHRVGRTARLGRQGSAIVFLLPKEEAYVEFLRIKRIPLEEKMCCEDALDVVPLIRDAAKKDRDVMEKGLRAFVSYIRAYKEHHCNFILRWKDLEIGKLGMGYGLLQLPSMSEVKQNSLSTAGFIAVEDLNLEEIKFKDKSREKQRKKNLQIKKEKPQEEKTHKAKAAMKNVTMVMKKRTAKQRRAKQSAEDDDELTRDYRLLKKLKKGAINETEFAKLTGTEDLL >cds-PLY93747.1 pep primary_assembly:Lsat_Salinas_v7:6:144825574:144830251:-1 gene:gene-LSAT_6X87481 transcript:rna-gnl|WGS:NBSK|LSAT_6X87481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSTISHGYAPADTTSGGDDSPIYQLLLDRCRSLETSYTNLQEQFHMLEQEHASSYNHGTLLQKEVIPSRSGISPFSGWSNVPDALPVGFSYKRALDQLGHAVYVSKAISRTIIYWNRSAEKLFGYEDYEALGQTVTDLLIYDEFQTSSEKILERVRSGQSWAGQFPFRKRSGVTFMALVNKSPLYEDGELVGIVTVASDATIFNKIKSENPRTYEEDPTNGQNRTTKGLNFKKLQWHPPQQLSSFVSNLTSKDILRKGGGQNRHTRDSSVDMDGNIADLEKPPKAPPTKRGFGFSLFKKTTGAEIGEDEFSEPNNYAAKMFSKLNIQRTSNIQQEGSSDGSESHNAVNMSSFQQRSTTAAPCNTSFVAEYEEDGFSYEQNGGFEEAKPVHQLNISAFQFTRKELEVENDLNFVDDCEIRWEDLIFKEEIGHGSFARVYRGIWNGSDVAVKVYFGNQYSEKALQDYNKEIDIMKRLRHPNVLLFMGSVCSQDKLAMVTELLPRGSLFNVLHNSGNSLDIRRRLRMAADVARGMNYLHHRNPPIVHRDLKSSNLLVDKNWSVKVGDFGLSKLKHATFLTARSGRGTPQWMAPEVLRNEPSNEKSDVFSFGIILWELVTQCIPWSNMNPLQVVGVVGFMDRRLDLPENLDPSLSSIIQDCWQSNPELRPSFEDIMQRLPGLIQNLN >cds-PLY64419.1 pep primary_assembly:Lsat_Salinas_v7:MU045654.1:140641:141121:1 gene:gene-LSAT_0X27480 transcript:rna-gnl|WGS:NBSK|LSAT_0X27480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQFWVYDNLELGDHYAEMEKLDTKQKENRKKKKKSSDFNLVGVKTNVHKYKAGDTSHPNHEKFHRHLQCLKQHMIGVGYVAETELVVQIERLYLVEALLTSLAHARILIYKNLRLCVDCHKALKIISKVVG >cds-PLY88669.1 pep primary_assembly:Lsat_Salinas_v7:5:68225108:68239022:-1 gene:gene-LSAT_5X32220 transcript:rna-gnl|WGS:NBSK|LSAT_5X32220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTASAHCSLGVNAQFHENSRNFPSKSESSSVNFHCNGEFFKISLKPSLGSSICSKPPTPILDTVECPLHLKNLSHKEMKQLADDIRSELLFIMNKTQKSVKGSMSVVELTIAIHRVFSAPMDKILWDAGEQTYAHKILTGRRSLMHTLRQKDGISGYTSRSESEFDPFGSGHGCNSISAGLGMAIARDIKGKRDRVVAVISNESTMAGQLYEAMSNAGYLDSDMVVILNDSRQSLHPKLEESPKTPINALSSTLSKLQSSKLFRKWREVAKGLTKRIGKGMYEWAAKVDEYARGMIGPLGSTLFEELGLYYIGPVDGHNIEDLICVLNQVASLDSTGPVLVHVITKEDKVLPNEKPIGISNKYKEDPYEMDPQPSNHTQTYSDRFAEALTMEAQIDKDIIVVHAGMGMESSLKLFQQKFPDRLFDVGMAEQHAVTFSAGLSCGGLKPFCIIPSTFLQRAYDQVVHDVDRQKIPVRFVIPSAGLVGSDGPTNCGAFDITFMSCLPNMIVMAPSDEIELANMVATASCIDDRPVCFRYPRGAIVKSNSSLCHGVPIEIGKGRILVEGKDIALLGYGAMVQNCLRAHSLLSELGIEVTVADARFCKPLDIKLVRQLCQNHSFLITVEEGSIGGFGSHVAQFITLDGKLDGSIKGKNSVNGPESEEGNASCESQQQIPAGPVASHTPSAMDHHNHNTPFSGIKKDLQGRLQCYKQDWVGALCSGARLLAPTAYIFFASALPVIAFGEQLNRDTDGTLSSVETLTSTAICGIIHAIFGGQPLLILGVAEPTVIMYNYLYGFAKGRPELGKELFLAWVGWVCFWTSIMLCVLAILNACTLITRFTRVAGELFGMLISVLFMQEAIRGVISEFSIPKGENPKLEEYQFQWLYTNGLLAVIFSFGILITSMKSRGARSWRYGTGWIRSFVADYGVPLMVVVWTVISYAKPAGLPHEVPRRLFCPLPWEAASLSHWTVIKDMVKVPAVHVFTAIVPAMMISALYFFDHSVAAQMAQQKEFNLQNPSAYHYDVFLLGIMTLMCGLIGVPPSNGVLPQSPMHTRSLSVLKRQMMRKKMVKCAKEGIKLEASNSKIYERMHAVFVEMEPHPNSVVDKELENLKEAVMKRDDGGESKGKFDPEKHIEAYLPVRVNEQRVTNLLQSTLVGFAVFAIPAIRMIPTSVLWGYFAYMSIDSLPGNQFWERLLLFFIPTGRRFKVLESLHASYVESVPFKYITMFTIFQLVYFLICYGITWIPTGGILFPLPFFLLIPIREHFLPKLFPPEHLQELDASEYEEFIGRPVQSMSLSRKEGSNEEIMENDFEIDSAEILDEMTTRRGELKLRSSFNDRQFHGTPDEIKTRKSELKLRSSFNDRFRVGADEMMTNRGELKLRSSFNDRLLHGHSQNNSSPR >cds-PLY84475.1 pep primary_assembly:Lsat_Salinas_v7:7:127791806:127792367:-1 gene:gene-LSAT_7X76580 transcript:rna-gnl|WGS:NBSK|LSAT_7X76580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDITAELNEITPIKVKRDAHIKELEQEMHHCIRRMDSLPDGHPEKETLIERYTDAYVMKKLYLQDKVQLTNKALKVKNVCDRIRSCVKSKINGCT >cds-PLY69096.1 pep primary_assembly:Lsat_Salinas_v7:5:276852849:276859935:1 gene:gene-LSAT_5X144600 transcript:rna-gnl|WGS:NBSK|LSAT_5X144600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDSSTEVPLQPSVEELIKKISVEKRITPPDVSARRLLSTITEASAIEILNKIAASSNIRTFSGFIIHMVVKASSAGVSSPNGVCSSPHKRSPSPSASGSPNKYARHVSPNSKEPVDSPFSSSKTRTIPQYFPRSPHDDCSSSLSKQITCLKDATPNTTTYHLAESSNATHIVSAQKQLTFSPCSSLKDSNPSNPNVLRSTVNKRLAFSPNCTLDGANSNKGKQQFFDTPNVIYHSPSVQNQQTLLQCSSSKGTFGGPTPGNILSTSNHSDHTSSLAISLQSLVLDELEFRKLFMVHSYLGRKKLEDVVSDEDAVEIKNMKNLSMIDFEAKLWAKYGRTYCEPCDRAMHLDWDSGKTYLYHCYVGADGNYQFKGPYLNTRRTHLQRVLGDDNVLIVQFTDDPETSDTPSNYCNWIAAYQRISGGISIGLRHYRLFAFKDGRGDNKNPKAYSKKKQVSSWAVKCYFVRKESIHAPWKDKNDPYILFKKTNHEARCLFMHVHMVSNMAKYISRCSLALSNTIKLRVDLSSVHVERIPDIPCRDEYGHVVCDKDGEPLIHTDGTGFISEDLAMLCAKDFIEAKGKHDGSFELEEESLGGSKGTETCIRESPLLVQCRLFKEGYAVKGTLLVNKKLKPRTIQVRPSMIKVEKDSRLSDSDSFSSLEIVSISRRPRKANLSKNLIALLSVGGVPKEYFLGLLETTLQEAQKVSSSMRAAVRVGLNYGQMDDSATSVAMIGSGIPLDEPYLQYRLSILANEERKGLCGGKLPVNESFYLIGTADPTGILNSDEVCIILENGQISGKVLVYRNPGLHFGDIHILNARYVAELEEFVGNAKYGIFFSTKGKRSVGSEIANGDFDGDLYWVSKSPQLLHYFQVSKPWERLHSTPSGPSKKPSDLSYEELETELFTQLFASQKQSIVAGKAADAWLTWMDQYLSLGENDVHNKHVINEKLLKLVDLYYDALDAPKSGKTVEIPKYLLPQKYPHFLEKKIDKTYNSSSVLGIIYDTAKGYLPDNASIQDIWKLPCFNVEIPEGCLDLWKKWYSSYRHEMSDAMNSGDESKHNSANIVIKKYKQLLYGTEDFATSSRKIDDIYNEALAIYHVSYDYARTYGDVKKCGFAWKVAGEALCSFHEMKTPGKSIPFKLAVLSMFI >cds-PLY97991.1 pep primary_assembly:Lsat_Salinas_v7:8:286386897:286388401:1 gene:gene-LSAT_8X161000 transcript:rna-gnl|WGS:NBSK|LSAT_8X161000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANWGAGEAPNTFQDGSYPIDPVFMPVRNVNHSIHVYLQYLTTICYEAFVIVIFFTKMTPTNVTFIADVDGNKIQTIVSKKNITIFKDIVKDGHAFYIKYPIFASQRIGGLRLTRLHHKLIFVHNTVLTECHDFSGPTFGFEFVDYQTLISLVHLQNIAIDVVGLVVAFGGILRDNSDMKKHRLNIQIQDANFDGDDPPDSASNTNTLIPFNQVCKLDDFIHKFQLSSNANGLEHNKEIVKVTSKNIA >cds-PLY84502.1 pep primary_assembly:Lsat_Salinas_v7:1:30662375:30664413:1 gene:gene-LSAT_1X26480 transcript:rna-gnl|WGS:NBSK|LSAT_1X26480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQIRSNLFVSFLFFVLFSSVRTEDQSVIQALVTFMDKLAPMQTTTNWGWNISSDPCTSKWVGVTCDGSNVTVKKIVLENLNLSGTLDPGSLCKVSNLLVLSLNFNNLTGILSPEISNCNRLTHFYLTGNRFSGNLPDSITNLPNVKRIVISNNDFSGDLPDFSRTTSLLTFLAQNNQFTGQLPGFNYNQLQDFNIANNNFTGPVPDDTGRFDGNSFAGNPGLCGKVLPRSCPVKKKEKESKLRDFFIYSGYAVLGFLVVVLVAIMLVKRKKRGQNEKTDLSPKNSSRSGRSSESRNSKRSEFSLTSVENGGVSTSMVVLSSPVGNGLRFEDLLRAPAELISRGNNGSLYKVKPEGGVSLVVKRIKNWKISKDEFKKRMQKIDEIKHSNVLPVVAYYSSKQEKLLVYEYQQNGSLFRLLHGSQNGQIFDWVSRLSLASSVAAALAFMHRELEADLIAHGNVKSSNILLKDDMEPCVSEYGLMPANHQDPGPSDKDPGPSKTQERTTNGNFKGDVYGFGVVLLELLTGKPVQDNGSDLVTWVRAVVQEEWTGEVFDKALIVEGANEERMIRLLHVALNCINGSREARPMMGEVATMILSLKEEEERSMTSSDP >cds-PLY81464.1 pep primary_assembly:Lsat_Salinas_v7:5:334537360:334538274:-1 gene:gene-LSAT_5X184141 transcript:rna-gnl|WGS:NBSK|LSAT_5X184141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol N-acetylglucosaminyltransferase subunit C [Source:Projected from Arabidopsis thaliana (AT2G34980) UniProtKB/Swiss-Prot;Acc:O64761] MENSIGQSSSPTQRRWRKVAYGGMQPGFDDNHTDNTFLQEMVMNANVVKRDMLKVMLDSISISQYLCIVALVVLVWTHTLNSTITHNFLLILDVALLASGFFILLLTAKMLSFTLLINYLIKISFFTTSLYVLSPIYHTLTRSISSDSIWALTTSLIILHLFLHNYSGSTIKAPGALEIKPTLTSNISLNASIVASLLIASRLPSRVLVFAVILFSLQVFLFAPLVTYCLKKYSVRLHLFFSLVLMVMTLSCVYCLHKLMFVLLLGVLSFVNLVCPYWLIRIQEYKFEINGPWDEAKLCFNITD >cds-PLY75372.1 pep primary_assembly:Lsat_Salinas_v7:6:177628862:177631983:1 gene:gene-LSAT_6X108000 transcript:rna-gnl|WGS:NBSK|LSAT_6X108000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFHYIFIFYLVLLLPLSSSYEASMADQAEFFTHMKNSLTGTFLSDWDDNGQKPVCNYTGVNCDQQGYVVKIDISAQGLFGHFPENICSFLPRLRSLYLGYNKIHGDFPHSITNCSLLEQLNITHTNLTGTLPDLSPMKSLKLLDLSSNFFTGAFPMSFINLTNLEVVNFNENAGFDIWRLPENITKLVKLKSMILSTCKVSGLIPKSIGNMTSLVDLELSGNFLVGPVPREIGLLKNLQQLELYYNQLVGGIPEELGNLTELIDLDMSVNRLTGNLPECICRLPKLQVLQLYNNSLTGEIPVVLENSTTLTMLSLYDNLLTGEVPRHLGRSSPLSLIDMSENRLTGELPPDVCNGGKLLYLLALGNMFSGELPESYGNCVSLIRFRVSRNRLEGNIPEGILGLPSVSIIDLSYNFLNGSIAKAIGNARNLSELFLQNNRISGVVPHEISNAINLVKIDFSNNLLSGPIPSEIGNLEKLNLLLLQGNQLTSSIPDSLSSLKSLNLLDLSRNLLTGGIPETLTDLLPNSMNFSDNLLSGAIPVSFIKGGQLESFTGNTKLCVPVPVLPKTGNQNFSICSQTYNKKRVNFFWMIGISIGILFIGGIIFLRCNRERDVLQHEDDTWAFSYFSYNMKSFHHVSFNQHEIIKSMTDKNVVGHGGSGMVYKIDLSNGEVIAVKRFWSQKSKDNSSSNDQKIMEKELKSEVETLGNIRHKNIIKLYCYLSSCNCNLLVYEYMPNGSLWDALHNGKCVLDWPTRHQIAVGVAKGLAYLHHDLVPPIIHRDVKSTNILLDRNFQPKVADFGLAKVLNGRGKDSTTTCIAGTYGYIAPEYAYSSKATTKCDVYSFGVVLMELITGKKPVEAEFGENKNIIYWISTKVETKEGATEILDKNLSGYFKDDMIKVLRVAILCTCRIATLRPSMNEVVQLLIQADPCRVDTCKLSNKIKESEK >cds-PLY87680.1 pep primary_assembly:Lsat_Salinas_v7:6:46104333:46104817:1 gene:gene-LSAT_6X34100 transcript:rna-gnl|WGS:NBSK|LSAT_6X34100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTEVVSEDLNGGKSTDEILNGKKSTDKNIDDFIEQTQMMASQNRYGRTRMSLQ >cds-PLY88502.1 pep primary_assembly:Lsat_Salinas_v7:5:46244450:46244905:1 gene:gene-LSAT_5X22521 transcript:rna-gnl|WGS:NBSK|LSAT_5X22521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSRKKKSRTRHEGPKGVAETLAKWKEYNKKIDKLDEKAKPTRKVPAKGSKKGRMKGKRGPENSRCNFRGVKQRTWGKWVAEIRESNRGSRLWLGTFGSKVEAAIAYEVAQVMYGPRARLNLPNCRTMSEYYSESMVVPNDASSCDSTTM >cds-PLY84763.1 pep primary_assembly:Lsat_Salinas_v7:5:230657003:230662824:-1 gene:gene-LSAT_5X111041 transcript:rna-gnl|WGS:NBSK|LSAT_5X111041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVGVAGIEASRIWKGEAVQLRQQLEYLQQSHRQLLGEELFGLNVNDLQNLEAQLERSLKGVRTKKEQALTIEVKELHHKGSLVAQENEELQKKLDLLLQEQAELKKVLI >cds-PLY94450.1 pep primary_assembly:Lsat_Salinas_v7:3:213922920:213924150:1 gene:gene-LSAT_3X123580 transcript:rna-gnl|WGS:NBSK|LSAT_3X123580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDQVVQRFQELFAQTKYKEVVELAAKSPQGIFRTPEIVAKFQSVPVQGGQTPPLLQYFGTLLTKGKLDAFESVDYLAWLSIRTKRIFWRIGWLKTSWNLSSSSKSVDNDLALKFYIKASATPKVVADFAERREFDRILIYSKQIKITAELMQGAINFALMTSQIEGGCLIDYNTITYLFLQRNLIREATAFLLDVLKPHLPEHAH >cds-PLY65816.1 pep primary_assembly:Lsat_Salinas_v7:4:360670807:360671565:1 gene:gene-LSAT_4X179140 transcript:rna-gnl|WGS:NBSK|LSAT_4X179140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADTGGKNSVNRVEFDYLLKDQESRLRTLIGEVDKKYQERLATRSRSFNQEITKLHGVAKSRHEIFMEKVTETKESLDLKVTEFQTLMSKEIKKLEDNYNLLHENFDFVAGATTRLVELNKDYSKDLKDKSEKDDKVFEKFEEFLSGIKDTLSKVDISNQSSISQESISKMVSNIESSIKADLAPILNLVIRLPTNAQCTAQVLQEGEREVGSSKAYGEDKVVVVWKIMSTQIPTTIPMKPVIVSSTTNFTT >cds-PLY92108.1 pep primary_assembly:Lsat_Salinas_v7:1:108914857:108918094:1 gene:gene-LSAT_0X29540 transcript:rna-gnl|WGS:NBSK|LSAT_0X29540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKPFMTMLEKNGNLGDINGSNGMMPLYMASLFGKHEMVNYLYDKSKEMYGDCWTDKNRSWVLQKCVEADQYDIALRIVSRWPLLAKSGILLGVLARKPNAFKGIKPHFIWRIICPILALIHVKVGPSEKETDAMELLKIIWSEIVKLSKDEINTIIRGPPDQPTNSGTEKEDVEQLLGSILENVAKMPNRIHNLWSRKPVDHEAKSVNQKFSSRVLFVAAEMGNTEFLVELIRQYPDLIWKTNDNEQTIFHVAISHRHEGIYNLLHEIGSMKDMITPMKDRKGNNMLHLVGKCAKKKRLQVISGVALQMQRELLWFKEL >cds-PLY79194.1 pep primary_assembly:Lsat_Salinas_v7:8:163834559:163839135:-1 gene:gene-LSAT_1X78300 transcript:rna-gnl|WGS:NBSK|LSAT_1X78300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFHVTPTNMSLKLNMNPDHEYFHHQTFVKEHMFEKRLTPSDVGKLNRLVIPKQYAEKYLPVISGSLVLSVVDESGKQWKFRYSYWNSSQSYVFTKGWSRFVKEKKLEAGDVVFFQRQVVDSSSVMVLGWRRRMNDGWISSEKPEMTKYKTVRLFGVNLECRTFEAQEDSISDMKAPTPDHGCFTTKMERETAHRRCNGEEITAVPAQKYVFGGGKVVVDGDGGGEVAVICGLCGGVH >cds-PLY93853.1 pep primary_assembly:Lsat_Salinas_v7:6:142780715:142782306:-1 gene:gene-LSAT_6X85141 transcript:rna-gnl|WGS:NBSK|LSAT_6X85141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSATSQSHPTLSSTIPSLLILMLKPLLEPLKMITQSLGERLTRVEKDVVDMKRIMTLGDDVMIIDDTPPNSQDTNATSNHHPILDVGDQSETDNYKGFLDLGFMPQAVVPVVPLCFIYTYPHFVGEIPQGTNNNIEFDDDQLNPQKRKASFLRGAHDAEAGSSFAVGDPSTPPPSKKCKLLLI >cds-PLY66047.1 pep primary_assembly:Lsat_Salinas_v7:6:49879659:49880853:-1 gene:gene-LSAT_6X37201 transcript:rna-gnl|WGS:NBSK|LSAT_6X37201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARGFRKKGTIHLSTYLRTYHVGDYVDVKVNGAIHKGMPHKFYHGRTGQVWNVTKRAIGVEMNKQVGNRIIKKRIHVRIEHVMPSRCTEEFKNRVKKNDVLKAEAKAKGVVISTKRQPLGPKPGFMVEGTTLETVTPIPYDVVNDLKGGY >cds-PLY90111.1 pep primary_assembly:Lsat_Salinas_v7:6:17870611:17874644:1 gene:gene-LSAT_6X13540 transcript:rna-gnl|WGS:NBSK|LSAT_6X13540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent zinc metalloprotease FTSH 4, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G26140) UniProtKB/Swiss-Prot;Acc:O80983] MGLKRLLTQVSRPQSQVKLLKSLYTRSYNTSQTVVSDTVYKVESGQRRFQSSYGGGFARRMRERDADNRGSAASFRELYHQSDPEAVIRQFESQPYLHSNPSALKEYVKALVKVDRLDESELLKTLQRGMAGSGKGGYEEEMIGGFSALKNVGKSTKEGVLGTAAAPIHMVATEGGHLKEQIWRTVRALGMTFLLMSGVGALIEDRGITKGLGLHDEVQPSMESSTKFSDVKGVDEAKAELEEIVYYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPQSLDKALVRPGRFDRRVVVPNPDVEGRRQIMESHMSKILKADDVDLMVVARGTPGFSGADLANLVNVAALKAAMDGAKSVSMADLEFAKDKIMMGSERKSAVISDEVRKLTAYHEGGHALVALHTDGAHPVHKATIVPRGMALGMVAQLPEKDETSVSRKEMLARLDVCMGGRVAEELIFGEDEVTSGASSDLEQATRLAREMVTKYGMSKEVGVVAHNYDDNGKSMSTETRLLIEKEVRGFLEKAYNNARNILTTYSKEHHALANALLEHETLSGKQIKELLAQLNANAPAQQPQQVAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCRQCGVCCRQGERYCSGGILMVGRMV >cds-PLY88953.1 pep primary_assembly:Lsat_Salinas_v7:8:129497170:129498719:-1 gene:gene-LSAT_8X89921 transcript:rna-gnl|WGS:NBSK|LSAT_8X89921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGICAKEVVVDARHHMLGRLSSILAKELLNGQRVTVVRCEEICLSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSKILWRTIRGMIPHKTKRGAAALARLKVYEGVPTPYNRKKRMVIPDALKVLRLTAGHKYCLLGRLSSEVGWNHYETIKDLEKKRKEKAQVVYERKKQLNKLRAKAEKAAEEKLGAQLEILAPVTY >cds-PLY94874.1 pep primary_assembly:Lsat_Salinas_v7:2:178891363:178898685:-1 gene:gene-LSAT_2X100781 transcript:rna-gnl|WGS:NBSK|LSAT_2X100781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLLIQTADEETSRKCPSLRYQPSSLFXDIDGQTQATMVKAYSQEHTYKHPWERVTSASWRKFADPENKRILSHILEVDTLNHNLDSKSGKLYTTRAITIHAPGPWFLRKIVGQDICHCVESTVVDAKTRSMQLATKNISLQKYVEVEEKIRYDPHPENPNEWTICRQETSIRIKPLSTLASMAEKIEQKCVDKFQQNSAKGREVMERMCKYLEAESSSRGISV >cds-PLY61880.1 pep primary_assembly:Lsat_Salinas_v7:6:60844360:60845559:1 gene:gene-LSAT_6X45421 transcript:rna-gnl|WGS:NBSK|LSAT_6X45421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWNWSDSRSFHRHHFFFLLDPYSASAHPSISISIHLLPTGIAQAHDSTTLSTIDPFAPFISDNCDTQNQILSIKLSPPSTSSRTWKPTVSL >cds-PLY75339.1 pep primary_assembly:Lsat_Salinas_v7:5:51900573:51902452:-1 gene:gene-LSAT_5X25201 transcript:rna-gnl|WGS:NBSK|LSAT_5X25201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKGGKPIVTKKKTEKVANPLFEKRPKQFGIGGALPPKKDLHRFVRWPQVVRIQRKRRILKQRLKVPPALNQFTKTLDKNLATTLFKMLLKYRPEDKAAKKERLLKRAQAESEGKTVEAKKPIVVKYGLNHITYLIEQNKAQLVIIAHDVDPIELVVWLPALCRKMEIPYCIVKGKSRLGTIVHQKTAAALCLTTVKNEDKMEFSRVLEAIKANFNDKYEEYRKKWGGGIMGSKSQAKTKAKERVLAKEAAQRLN >cds-PLY99741.1 pep primary_assembly:Lsat_Salinas_v7:2:131505431:131507942:1 gene:gene-LSAT_2X62101 transcript:rna-gnl|WGS:NBSK|LSAT_2X62101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKQRFFKRNGGLLFKQQQATDIGLVDKTILFTSDELDKATNNFNENRILGQGGQGTVYKGMLPDGRIVAIKKSKVVDECQLEQFINEVVILSQVNHRNVVKLLGCCLETEVPLLVSEFISNGTLYDLIQNEADEFTCPLNLRLQIAIEVAEAVSYLHSTTTILIYHRDIKTANILLDEKYKAKVSDFGTSRVVPIDKTHCTTAVMGTFGYLDPEYYSSSQLTEKSDVYSFGVVLLELLTGEKAVFLTKDVKTSLVEHFKPHYFGCSIYVHKGQPQM >cds-PLY72163.1 pep primary_assembly:Lsat_Salinas_v7:7:57487306:57488744:1 gene:gene-LSAT_7X41980 transcript:rna-gnl|WGS:NBSK|LSAT_7X41980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEPSVISNARFTYVHDYHKFLPLQAVDTHHIIAQRSVVKPIVIYLFVILLVAYATFLHFEQGKPVTTVLWCLLFGLLLVKLFIWRPIVKESVIIMPSFGVQLETHYGSGKIRRCFIPVSKILKPVLNEHVTPVTCWWCLSLLLRDQDELTLVFKKFHPPLKMLVPIWKALCDATECKQSSEVFQEEE >cds-PLY65910.1 pep primary_assembly:Lsat_Salinas_v7:4:136017290:136019355:1 gene:gene-LSAT_4X84521 transcript:rna-gnl|WGS:NBSK|LSAT_4X84521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVAVKDQQDTYNHRTYFPSEEHAGKSTLKADKQVTPPKPTCLKEEKPIICTKNSQTIESLLPDEEDLFSGVLDELGCTTATVNGDDEDFDLFSSSGGMELEENSKLYFTQQNPAIAKGLNNTDQIQITTSDFSISSEHPTFHHQSLPISHEGFYNMLNPYIPQMEHMLRGSPYNTFQNHHHHLNPVIWSHSPPYVHNQNICAHSFPNLHGLSEMLPPVFSFSPIHKQQAIGIGIGSPPSYMEESCKPDVVIPVASPNSMMSSPHIIKTHKSPKRETESTLPLSFIRPRGRTRRTSHGRHETVSCHTHTDDKKYELDIQRVLRGEDTRTTLMIKNIPNKYSSAMLLAAIDEHNQGTYDFIYLPLDFKNRCNMGYAFINMIDPLQIVQFHKSFHGKKWEKFHSGKVACLAYGRIQGKAALIAHFQESSLMNEDKCCHPILFTTNGPNAGNQEPFPLGPNMNSRRHKNRCNVRQMNENLEISSTSYACQIKNSI >cds-PLY97654.1 pep primary_assembly:Lsat_Salinas_v7:5:239559532:239561143:1 gene:gene-LSAT_5X117261 transcript:rna-gnl|WGS:NBSK|LSAT_5X117261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSDHPASSSQLSLRKIPGSYGLPFFGPIKDRYDYFYNQGQDEFFKTRIKKYNSTVFRINMPPGPFISSNSKVVAVLDSKSFTILFDNDKVEKKNILDGTYMPSTSFFGGYRVCAFLDTTESNHHALKSFFLSFLASSHKKFIPYLRTSLSELFESLENEITDKKSADFNKHSDNLAFDFVFKLVTGVLPSETKLNSKGPGIITTWLALQLAPLGTAGLKYVPGFLEDIIHTVRLPFFLIKSGYKKLYNAVFDSASLLLDEAEKLGMKREEACHNLVFLTGFNAFGGMKVLFPTLIKWIGSGGENLHRRLAEEIRAVVKEEGDITFSALEKMPLTKSAVYETLRIDPPVQFQYAKAREDIVVESHDAAFQIKKGEIIFGYQPLATKDPKVFENPDDFIADRFVGEGEKLINYVYWSNARETEQPSAENKQCPAKDLVVLCCRLMLVELFLRYDTFTVDIGKFSALGTPVTITSFTKAT >cds-PLY95465.1 pep primary_assembly:Lsat_Salinas_v7:9:160855778:160857984:1 gene:gene-LSAT_9X100481 transcript:rna-gnl|WGS:NBSK|LSAT_9X100481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKTTLRTAFAAIFVLLTLLISQAHDNGEGTRRRSRSRQSNECNLFKGSWIMDPSYPIYNGSECPFVDSGLNCQKNGRDDTMYLKFRWQPHRCALASFSGKRFLRRNRGKKIMFVGDSLSSNQWQSLACMLYHSVPSTKHTFTREGPLSNLSFPEYGVSVMYLKNGFLVDLVVEKESRVLKLDSISRGGKWEGVDVLIFNSYHWWTHSGSLQTWDYYQVGEEIYKNMGRMEAYKIALTTWAKWVDSNINPNKTRVFFQGISAIHDEGKDWNEPNVRSCEGQTLPIHGLHFPGKRYPGQHVVKGVLVKMKNPAYLLDITLLTQLRKDGHPSIYGDEGRDCSHWCLAGVPDTWNQILYNILLKK >cds-PLY82603.1 pep primary_assembly:Lsat_Salinas_v7:8:175501580:175505144:-1 gene:gene-LSAT_8X115100 transcript:rna-gnl|WGS:NBSK|LSAT_8X115100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERVLKSTSANPIPLHLTPTRSDSTHLLLPTGTDQKYVGVDITSMVTLPVLIFEPMTMLQKMAELMEYAHLLEQADNCEDPYMRLVYTVINGHLSMVPIDMEPQPIILAYIDLNLQTLTAARMLTPAGPVGQTHWGDSMANYPMPATHSADAQLKDLDAFTAAIVVSISFSFIPAXLLL >cds-PLY67826.1 pep primary_assembly:Lsat_Salinas_v7:8:187354504:187358801:1 gene:gene-LSAT_8X121961 transcript:rna-gnl|WGS:NBSK|LSAT_8X121961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLCASAPKSRTNPERNQFQNHQKSNLFSYNQTNPPSTNSPQKFSGQVPPQTTTSPGGGGAGGGGGGGATPSFSEFSFADLKTATNNFSTDLIVSESGEKAPNLVYKGRLKNRRWIAVKKFSKLAWPDPKQFAEEAWGVGKLRHKRLANLIGYCCDGEERLLVAEYMPNDTLAKHLFHWENQTIEWAMRIRVAQYISDALNYCSTEGREVYHDLNAYRVLFDEEGDPRLSCFGFMRNSRDGKSYSTNLAYTPPEYLKHGRVTPESVVYSFGTVLLDLLSGKHIPPSHALDMIRGKNIILLMDSHLEGNFSTDEATIVVDLASKCLQYEPKDRPTIKDLVATLGPLQNKCDVPSHVMLGISKQEEAPLTPQRPLSPLGEACSRKDLTAIHQILVMTHYRDDEGTGTNELSFQEWTQQMRDMLEARKRGDQAFRDKDFKAAIDFYSQFIDVGTMISPTVYARRSLCYLLCDQPDAALRDAMQAQIVYADWPTAFYMQSVALGKLDMHQDALDMLNEASGLEQKRHKGERRGS >cds-PLY99746.1 pep primary_assembly:Lsat_Salinas_v7:2:131008866:131014435:-1 gene:gene-LSAT_2X62380 transcript:rna-gnl|WGS:NBSK|LSAT_2X62380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATDMDVDMSEEDESKGGMWALEQKIDQPMDEEAGRLKNMYREKSFSAILLLRFAFQSLGVVYGDLGTSPLYVFYNTFPKGIDDTEDIIGALSLIIYSLTLVPLIKYVFIVCRANDNGQGGTFALYSLLCRHAKVNTIPNQHRTDEELTTYSRSTIHEKSFAAKTRRWLEGNIYKKNALLLLVLVGTCMAIGDGILTPAISDVVIVVAVVILIGLFSLQHYGVDKVGWLFAPVVLLWFLLIGGIGIFNIWKYDIGVLRAFSPVYIFRYFKRRGKNGWTSLGGIMLSITGTEALFADLAHFPVSAIQLAFTVVVFPCLLLAYSGQAAYLMKNKEHVYDAFYRSIPESIYWPMFVIATLAAVVASQATISATFSIIKQANALGCFPRVKVIHTSSKFLGQIYIPDINWILMVLCIVVTAGFKNKSQIGNAYGTAVVIVMLATTFIMILIMLLVWRCHWLLVLIFAALSLIIELTYFSAVLFKIDQGGWVPLVIAAIFLIIMYIWHYGTVKRYEFEMHSKISMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEDERFLVKRIGPKNFHMFRCVARYGYKDLHKKDDEFEKKVFDNLFMFVRLESMMEGGSDSDEYSLYGQTQGQNQTQSQRSKEFLLPENSMNTFSSVVDLTISSCDSIVPVNVNSSTVMSSGQVSSHTEVDEMEFLTSCRDAGVVHIMGNTVVRARRDSPFYKKICIDYVYAFLRKMCREHSVIFNVPHESLLNVGQVFYV >cds-PLY92953.1 pep primary_assembly:Lsat_Salinas_v7:5:183335021:183336284:1 gene:gene-LSAT_5X80800 transcript:rna-gnl|WGS:NBSK|LSAT_5X80800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSFNDENSLFNFVVKEGNGVKGLMDSGLTEVPRRFIQPPDERLDKQHAAAPSSENMIIDLSELDGPNHDQVVKAISHAAETLGFFQVVNHGVPLELLDSLKTATHKFFDQPAEKKATYLKEVSDNPMIMYATSFIPEKEKVWMWRDFFKMTYTNDDDALQYWPNECKEVVLEYVKTSTVMMKKLLQVLIGNLGVKLNDSRLDTLLGSKSVHMIFCPTCPNPELTIGVRKHSDKGTLTMLLQDDIGGLYVKKGENLSSGDEEWIEVPPIHGALGVNVGDMLQILSNGRYKSAEHVVRTTNTASRVSVPIFNAPLPVAIIGPFPELVASDGVARYRDINYKEYTNNIDIEKPCGRKMALEFVSI >cds-PLY98871.1 pep primary_assembly:Lsat_Salinas_v7:5:20536769:20538388:-1 gene:gene-LSAT_5X10540 transcript:rna-gnl|WGS:NBSK|LSAT_5X10540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRRYGGRSSPSTGNRIRLRGDLHNHAVKNLSAKLYAKDVHFLTELIQNAEDNEYPEGVDPSLEFVITSKDITETGAPATLLVFNNEKGFSDKNIESICSVGLSTKKGIYQYGYIFLCLS >cds-PLY84589.1 pep primary_assembly:Lsat_Salinas_v7:1:31283709:31285272:1 gene:gene-LSAT_1X27140 transcript:rna-gnl|WGS:NBSK|LSAT_1X27140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCPSTFHPADYGIHVSGYGYDPFGTFSYGLDDLEFKPFELENSCGMMMNYMGCGSLTNVDKSLMGVSGLDCSVNVGDPNIFGADEGSCVTGESGIIKSNGKKKTNSSKEQWTKEEDRVLKHLVEKHGERKWSYIAQMLKGRIGKQCRERWHNHLRPDIKKDYWTEEEDKILITTHAEIGNKWSEIAKKLPGRTENSIKNHWNATKRRQYTKRKCRSKWPKPSPILQNYIRSLNLPRGKSSSVNNNHPLPMAITDHYEFDLSDRLVPDFDFDKVPEFALDHKLLGVDTIDSFLEEIPPVNCGGSGGEKKQFDLMEIISHVNL >cds-PLY99222.1 pep primary_assembly:Lsat_Salinas_v7:6:185432379:185434114:1 gene:gene-LSAT_6X113640 transcript:rna-gnl|WGS:NBSK|LSAT_6X113640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKGLRNASPNNGKLITILSIDGGGIRGIIPATILAFLESQLQELDGKDARLADYFDVVAGTSTGGLVSAMLTAPDQTNRPLYAAKDIVPFYMEHGPKIFPQQRGAWGSIMKSAKMLIGPKYDGNYIRKLIKEKLGNTRLNETLTNIVIPTFDIQRLQPLIFSTYEAKVNPCYNAQLSDICISTSAAPTYFPPYYFKNDNEDGRNSEEFNLVDGGVAANNPALVAISQVTKQVFSKHPDFFPVKPTDYGRFLLISIGTGATKITNQYNAKMASHWGVLSWLVHSGSTPIIDVFTQASGDMVDGHLSVFFQAVHSQENYFRIQEDTLDGNEASADVATKENMVKLVEIAENLLNKPTSRVNLKTGLSEPMGNGDTNAKALKR >cds-PLY88949.1 pep primary_assembly:Lsat_Salinas_v7:8:129486094:129489368:1 gene:gene-LSAT_8X89880 transcript:rna-gnl|WGS:NBSK|LSAT_8X89880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLSVGNSSLHHRNRPPQSSELSFNKRSSSNISLSGGRLFTHDGKLVLNSTQNLFNRSPFCTRSFRGEDAEYTDTTRYINNSEGQDETADVILGNQQQNKTTVTRSSLLAQLAILLGVAATITLLSICLKQPNQGSSSGIQILAGSSSTSAVSTVGFSFNAFGYKIILPEYTPGWIYFWLLMAAGCGLFISEEALNIWVGISLARMLSLDGTRQSLAESFSRNAPHILSTLLWVYWGVCISDMIPFYLGRLFKKSGASDDVYSKLGVSKDKALGLTNIVQRYGNLIGFVERFSLGVRNPTAFFAGALDISPECFFAGVCCGGLITLPIQLAIGFFLRERPVFAIATVATVVGVWTVFPYAVAAITALFFYLRRRYSN >cds-PLY65479.1 pep primary_assembly:Lsat_Salinas_v7:8:32468127:32470298:-1 gene:gene-LSAT_8X25581 transcript:rna-gnl|WGS:NBSK|LSAT_8X25581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LYK5 [Source:Projected from Arabidopsis thaliana (AT2G33580) UniProtKB/Swiss-Prot;Acc:O22808] MLLWWWSSVFLLCIHGFRAQQTYVDNRQLACEQNFTEALGYTCNSSPACQSYLTFRSQPPLYNTPFSIAALLRSNPNDIAAINNFNSTSDIIPANTIIIVPIRNCSCSGGFYQHNASYVLQSTNETYFSLANNTYEGLTTCQAMISQNTYNYRNLLVGNNITIPLRCACPTANQTAAGIRYLLTYLITWGDTYTSMADTFGVDDIQSILDANELSPTHIVFPFTPILIPLTTPPTGINNSATPATPPAPPVIPVAPSPGGGSSSKLVFVGVGIGVGLLLIVSLSGFLVWFFRRRKNQNQHNLPPPKHFPPKVMIPSESKSWSISSEGIRFAIESLTVYKYEELQKATGDFREENRVNGSVYRGIFNGDFAAVKIMKGDVSGEINILKQINHSNTIRLSGFCLHQGNTYLVYEFAENGSLSDWLHSTKNTKNRKNGNDSVLGWKQRVQIAHDIADALNYLHNFITPPYIHKNLKCSNVLLDSNMRAKITNFGLARSVDDNEDGELQLTRHVVGTYGYMPPEYIENGLITPKMDVFALGVLISELLSGKEATTTTGAPEKEEDKDAVVVNRAQLSEMIKEVVGGENVREKLVAFMDGDLKGEYPLELAYSMAEVASKCVAADLNDRPYVAEVFVVLSKILSSSEDWDPSDELEHSRSLSIGR >cds-PLY84807.1 pep primary_assembly:Lsat_Salinas_v7:8:24785120:24785867:1 gene:gene-LSAT_8X20241 transcript:rna-gnl|WGS:NBSK|LSAT_8X20241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASHPCDLVILEPNRVVETLKNLTTKFCDYRVVDVVNLIPTANDGTITHLYMQLLNLLDVIIDNAESKQAPAPEE >cds-PLY90183.1 pep primary_assembly:Lsat_Salinas_v7:7:13342278:13343931:-1 gene:gene-LSAT_7X10501 transcript:rna-gnl|WGS:NBSK|LSAT_7X10501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPVPAKSQPLHNFSLPHLKWKNHRSGRSRLAGETSSSSPPHRSPSTPWRESPPPASHAHIPPHPPLRHPSPSYVSRRPSPLHKQSPMRDSESESEPSCAVSKGIEKHTRKPSSAKSTKSVDSSKGKRSNKICIRFRKNSNIKHDDAVPEENHSSTPVDNAVPSAAAEEEESLPKIWNLRPRRPPMNHKQFNGGLPKIGSSSSPENTASQINNPNKEASEVKNNDHSNFGTSKKQKFSIALSRHEIEEDIFAWTGSKPSRRPKKRPRTVQKQLDTLFPGLWLGSITADSYKVSEAPPKV >cds-PLY67779.1 pep primary_assembly:Lsat_Salinas_v7:9:165736104:165739056:1 gene:gene-LSAT_9X103001 transcript:rna-gnl|WGS:NBSK|LSAT_9X103001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVPRGFIAKLWSFVSFLPFFLLLLSLGLLKGVIIGPIVVVIMVVGNSSVAIGLLPAHFFWTYYCVLKTKRVGWVLKLLVLLFLPLPLVLWPVVAIVGSLLGGIGFGFFSPLIATFEVIGTDHKDKCFHCFVDGCFSTLEGSCTVVRDFTDFCFHSYFSFMDDLSEEIPAGEKPVDIRLSKLPKSLFIIPIAIAIDVPLITGVALWKSPYMLIIGWKRLLEDLIGREGPFLETVCVPFAGLAIVLWPLAVAGAVIASLFSSFGLALYSAIIVHQEDSIKFGFAYIVAVVSLFDEYTNDLLYLREGSCFPRPRYRENMKASDGLERRNSIDMKNEKESSRGSRLVSQRSKTLKQALQQYTPVQVWDWLFKSCEVNGRILFRDGLIEVKDIEECIVKGKCKKLGIKLPAWSILQCLLASAKSESSGLIISDEVELTRTNLPRDKVFEWFIGPLLIMKEQIKGLELSEDEEFCLKKLVMRNKNERPEDWDDTGFPSADHVRRAQLQAIIRRLQGIMGSMSRLPTFRRKLKNLVKVLYLEALQSGIIASQGQGGGSSKSRRKGQGSVGTVDTKGPIVTQEERHRHNPQVPEDLV >cds-PLY64864.1 pep primary_assembly:Lsat_Salinas_v7:3:17783975:17784517:1 gene:gene-LSAT_3X12840 transcript:rna-gnl|WGS:NBSK|LSAT_3X12840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase polypeptide Vc (EC 1.9.3.1) (Cytochrome c oxidase subunit 5c) [Source: Projected from Oryza sativa (Os12g0561000)] MELTMVAGRVAHPVLKGPSVVKELIIGSVLGLACGGLWKMHHWNEQRKTRAFYDLLEKGEISVVVEE >cds-PLY79769.1 pep primary_assembly:Lsat_Salinas_v7:1:181511342:181511569:1 gene:gene-LSAT_1X118681 transcript:rna-gnl|WGS:NBSK|LSAT_1X118681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDERLTNVERYVATMKRLMASDNDDDDMVVDENPPNAPCDNPPLSPPTSTNLPPSSHPPPRTPYPPPNSPQSNAA >cds-PLY75137.1 pep primary_assembly:Lsat_Salinas_v7:4:62498757:62500480:1 gene:gene-LSAT_4X40961 transcript:rna-gnl|WGS:NBSK|LSAT_4X40961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKEQANWSPYDNNGGTCVAIAGADYCVIAADTRMSTGYSILTREYSKICKLADKSFMASSGFQADVKALQKVLASRHSIYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERVGYSAQGSGATLITPFLDNQLKSPSPLLLPAKDAVTPLSEAEAIDLVKTCFASATERDIYTGDKVEIVILNADGIRREYEELRRD >cds-PLY72429.1 pep primary_assembly:Lsat_Salinas_v7:3:190574845:190575144:-1 gene:gene-LSAT_3X113021 transcript:rna-gnl|WGS:NBSK|LSAT_3X113021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTSHTQGGVEKVTWCLSQRDDVKTERKREWYSRGLAIQSSPEEGSGNSLCRLTLLATASLTASQGLPDHDFTGKKKSGGRTMTPDRWRLNRIVIRV >cds-PLY87378.1 pep primary_assembly:Lsat_Salinas_v7:4:12897177:12898241:1 gene:gene-LSAT_4X9381 transcript:rna-gnl|WGS:NBSK|LSAT_4X9381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDWYKNSKENGSKTTQREFLEKLSVTFYITIFPKDMTEKGLWKLFEEYGKLAYLYIAKKLLKIGRKFAFIKFLNVIDEKRLENNLASIWVGNYHLFSYIARFNRDQKTNFQSRGFGDQEIKGPKDRITMHGNVPMNGASYANVVKGERKQEITNKGNKVFLGAGDFHLLDDSNKTVLEKVKEATLIPQLYRVWKEYMIHVREITSWCLDVKRVEDNMDRKSQQHETESKYEEDDYSVDDEGGVNLDQFFHKTMGMKILENMMGLKKGLWKTRHYHKLIKQLEDAHESHDDAGNNIKTTYNMDGNKDEVHINIKEDGGGVFHGDEASPGS >cds-PLY81380.1 pep primary_assembly:Lsat_Salinas_v7:4:36832068:36832888:-1 gene:gene-LSAT_4X23940 transcript:rna-gnl|WGS:NBSK|LSAT_4X23940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAGGSGITLMFQVARVVLENRSDKTKMHLIYANVTFDDILLKARPGFVIMKPPEGWIGGVGFVSKEMIEDHLPSPSSDIKILRCGPPPMNKAMVAHLEALGYELDMLFQF >cds-PLY99459.1 pep primary_assembly:Lsat_Salinas_v7:6:20353191:20354982:1 gene:gene-LSAT_6X15560 transcript:rna-gnl|WGS:NBSK|LSAT_6X15560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQDHLLRSNSNRIRRHIKRSNSLRSNSPGGDDRDDRGWTNLHVGARKGDLKEVKRLLDEGMDANVAALGPKSHGLTPLHLAAKGGHIKVMDELLDRGANIDARTKGACGWTPLHTAAKEKNRRAIRFLIENGAFLPDNIEDTRFNPPLHYCPGLEWAYEEMKRVQQESSSSSGEGSYSS >cds-PLY79268.1 pep primary_assembly:Lsat_Salinas_v7:9:5447591:5449292:-1 gene:gene-LSAT_9X1100 transcript:rna-gnl|WGS:NBSK|LSAT_9X1100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEYRRLIQEAFGESSEDSDDEDHRQQLEGSNIAVEAQFIFGENPKWERISDIKGLSLCRDFLTSDQQSTLLASILQEGWFSEASHNQAMRFGDLPPWAKELSTGIHEVVQYGDYDPESMEMDKQEFIFPSELLKREPLFNQLIVNSYQPGEGICAHVDLMRFEDGIALVSLESSCVMHFSRVHESANENKIPVYLTPGSLLLISGEARYEWKHEINRKPGFQIWDGLEIHQKKRTSITLRKLCKSDTST >cds-PLY93064.1 pep primary_assembly:Lsat_Salinas_v7:9:26123186:26124774:1 gene:gene-LSAT_9X23200 transcript:rna-gnl|WGS:NBSK|LSAT_9X23200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDKSTPPLTVDSLNPKVLKCEYAVCGEIVSLAQVPYYLDEATWWGVEVFELKKQLETARQKGITVRALFFINPGNPNAQVLTEENQRDIVEFCKKEGRVLLVDEINNVYQENIYVPEKQFRSFKKVACSMGYGDKDIPWVYFQSMSKVLNFFFLHILKLQTLEDALNGLEGVTCNKAEGAMYLFPQVKMPNKTIKEVGKAKKALDAYYAVRLKGFVIGIRV >cds-PLY96531.1 pep primary_assembly:Lsat_Salinas_v7:5:335824920:335827430:1 gene:gene-LSAT_5X187541 transcript:rna-gnl|WGS:NBSK|LSAT_5X187541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSITKNCRSVKGFISASVNSLNCNTTKMGRAVYWFLQEALDELGCCFYTLTCAILLLNGHIHLTFICNTCMARNQSSQTPSRGSCDRIRKATFGRFRPSFSSKPRVSFTQPMALHQPFSGKEIPIEFVPPPEVKSVKNVHFSGKNVEKHGNGGKELGDHQKTFGEAKFDSFIGRMKMKMSAPSDIGDSKTVDGNDSFNDKVSTFIGRARLKLRATSSMGANGKTVSFK >cds-PLY94728.1 pep primary_assembly:Lsat_Salinas_v7:8:50718845:50719246:1 gene:gene-LSAT_8X38320 transcript:rna-gnl|WGS:NBSK|LSAT_8X38320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLYKTSTPSTRNGAVDSKVKSNPRNNLIYGQHHCGKGRNARGIITAGHRGGGHKRLYRKIDFRRNEKDIYGRIVTIEYDPNRNAYICLIHYRDGEKRYILHPRGAIIGDTIVSGTEVPIKMGNALPLSAG >cds-PLY73586.1 pep primary_assembly:Lsat_Salinas_v7:6:128325957:128328565:1 gene:gene-LSAT_6X76580 transcript:rna-gnl|WGS:NBSK|LSAT_6X76580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDSETVVAQSSAIQEHPSTTVNRDLAASTGIDTMDVDNVIPHEDDRASIQNFMLSDFTYMVAFYIRHHPNIVLLLFIKRGLIPCAVLLVGLFFVPESPRWLAKIGRKKEFDAALRKLRGKDADISEEADEIQCTKETHRLVDCETVSKWIMKKSAESENMNWYADRIANAKWEVKEHGMERKVAYTISSQWSIS >cds-PLY83544.1 pep primary_assembly:Lsat_Salinas_v7:1:64707741:64713468:1 gene:gene-LSAT_1X55921 transcript:rna-gnl|WGS:NBSK|LSAT_1X55921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5B [Source:Projected from Arabidopsis thaliana (AT2G37420) UniProtKB/Swiss-Prot;Acc:Q0WQJ7] MTPDHNGKAGVGMVANPMPFLTPRPERRRPESRGIDWNSSKVEKDRGEVNIQVLLRCRPLSEEEQKCNVPKVITCNEHKREVAILQNVANKQVDKLFTFDKVFGPKAQQRSIYDQAIFPIVNEVLEGFNCTVFAYGQTGTGKTYTMEGGMRNKGGDLPAEAGVIPRAVRQIFDTLEAQNADYSMKVTFLELYNEEIVDLLAPEDNTRSLDERQKKPISLMEDGKGCVLVRGLEEEAVYSANDIYTLLERGAAKRRTADTLLNKHSSRSHSVFTITVHVKQATVGSEELIKYGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATVSPSAHCLEETLSTLDYAHRAKNIKNKPEANQKMSKAMLLKDMYLEIEKMKQDLRAAREKNGVYIPHERYAQDESEKKLKSEKIEQLEIDLSISEKQVDKYRELYESEEEKRLSLESDLKDCKEDLEKSNKSLLDIQGKHREAMSMLKKKEIFISKLLDSENCLVEHAKGLRNKLQDASEDITGLFSKIDYKSKLEAENQGLLLSFGCELDHSLKDLQKVVLSSVSQQQQQMRCMEEHVSVFLDTKHDKTEVLESRIKNITETYTSGMKVLKELVDTLQTEASSDLVHMKSRISDQTLAIENIFENAVMEAKNVTCDIQNSIADQKQMLAVSVQQHEEGLHKSLETSHEISRAVIGFFNNLNQQATKLMKVLEENHKIRSHQLNAFEKNFKEDAAREEQMAIENISTILKNLMAKQTSRVSEASQNLDNLSSQDNKILLQDISNMLQTSNDGKTEVNEQIEKAKSHYTEAMFISTQNQAMMENCFEDCTNKVGKSLQHWNNTELVINHVNESSIVETELLISEKLHVNKLRSEEIASTHASMDAKFHSDATDLKSSVIDSLMMDQEMKKEIDSVSKTCLHHLTSLEDEHDDRTKNMLNQSECLKKHYKVDDDSGVRKREIDVPSLISIEAMRTLAFEDLLATNTAYENRHKCVENGSKVKHHHQHLSSGSPNRCPFADVN >cds-PLY78834.1 pep primary_assembly:Lsat_Salinas_v7:5:307395155:307395346:-1 gene:gene-LSAT_5X166901 transcript:rna-gnl|WGS:NBSK|LSAT_5X166901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIVGVRQHLGLDHPPFPHIGSSVPPPAQPRGARTSITHHGDIDDDIEESLEDKEGEYGSSE >cds-PLY78631.1 pep primary_assembly:Lsat_Salinas_v7:4:152489960:152493101:1 gene:gene-LSAT_4X93961 transcript:rna-gnl|WGS:NBSK|LSAT_4X93961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHELYRPVHVHTPLAPYFSECITSEHLDDMHIEIMINTLYKAYLEDVYQFYQGASWDPNGRMILIAFSESLTLGSVHFATKPPSLDAHLLPADLPELKSLTNM >cds-PLY83635.1 pep primary_assembly:Lsat_Salinas_v7:4:43458606:43459403:-1 gene:gene-LSAT_4X28820 transcript:rna-gnl|WGS:NBSK|LSAT_4X28820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMSVSSSFIGQAVKITPSGSEITGNGRVSMRKTAVKKVAPSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWATQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVANNAWSYATNFVPGK >cds-PLY80126.1 pep primary_assembly:Lsat_Salinas_v7:2:74891540:74899503:1 gene:gene-LSAT_2X33921 transcript:rna-gnl|WGS:NBSK|LSAT_2X33921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMIQTPRMTSEASRTGGQVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLANDESVLIWFSGKEEKILKLSHVSRIVSGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRGHQRKWRTESRSDGIPSEANSPRTYTRRSSPLHSPFGSGDSSQKDLIDQIPLQSPFGSPPKNIPDVNFYKIPPPKGFFSDSATGSVHSLSSRGSDSIHGHTRATGIDAFRVSLSSAVSSSSQGSGHDDGDALGDVFLWGEIAGDGGRNSTGGKIDYLLPKPLESAVVLDVQNIACGGRHAALVSKQGEIFSWGEESTGRLGHGVDSDVLHPKLIESLSNTNIELVACGEYHTCAVTLSGDLYTWGDGHFGVLGHGNEVSHWVPKRVNGPLEGIHVSFIACGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSISKPREVESLKGLRTVRAACGVWHTAAVVEVIVGNSSSSGKLFTWGDGDKGRLGHGDKETKLVPTCVAALVDPNFCQVSCGHSMTVALTTSGHVYTMGSHVYGQLGNPQADGKLPARVDGKLSKSFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDIDDRNAPTLVEALKDKQVKSIACGTNFTAAVCLHKWVSGSDQSMCSGCHLPFNFKRKRHNCYNCGLVFCHSCSSKKSLRASMALNPNKPYRVCDNCFNKLKKVNDFDSSSQSSSVRNGNGNGNGNGNQGLNMNEGNNKEDKSESSFRPRLARLSSMESLRPFENRTSKRNKKLEFNSSRVSPIPNGSSQWGGLNIPKSLNPVFESSKKFFSASVPGSRIVSRATSPISRRQSPPRSTTPIPTLGGLSSPKIVIDDAKRTNDGISQEVVKLRAQVENLTRKAQLQEIELERTSKQLKEAIAIAGEESSKCKAAKEVIKSLTAQLKEMAERLPVGAARNIKSPPSFTSLGSINLASSDISPNAPPLDQSNGQISPPPPPPKEPDSNGSNSLLNLKPTATNNRHKPPDAATKNSSRSKDGESQPQGQGEEEWVEQDEPGVYITLTSLPGGVKDLKRVRFSRKRFSEKQAEQWWAENRTRVYEQYNVRMVDKSNVGIGNEDLAH >cds-PLY81511.1 pep primary_assembly:Lsat_Salinas_v7:8:157503134:157505170:-1 gene:gene-LSAT_8X104061 transcript:rna-gnl|WGS:NBSK|LSAT_8X104061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVSIDIKARLIQAITSFNQVKHVHARILRLDLLHDNYLLNTLLKSSFNLNDDTNYSRLLFNQIQEPNDYVWNTLIHGLVSRDHFKESIKCFYSMRQNGFLPHYFTFPLVLKACTRVLDLRLGVELHTIMVKAGYDYDAFVKTGLVCFYAKCGRLDDACKVLDEMPEKNPVSWTAIISGYIEERRFTEALDVFKRLLSMNLRPDSFTLVKILSACSQLGDLKNIEWLDECITTLKITKNIFLGTALVDTYAKCGSMEKAHATFDQMLEKDAVTWGAMIQGYASNGKPKEALDIFYKMKNNNLKPDCYTIVGVLSACSSLGALELGEWARGLIDKNMFLHNPIVGTALIDMYAKCGKMNSALKVFKEMKEKDVIVWNAAISGLGMSGQPKVISSLFGQMYKLGVHPDGKTFIGVLCGCTHAGLVDDGRRFFYDMKSFFSLDHEIEHYGCMVDLLGRSGLLNEAHDLIKSMPMEPNAIVWGALLSGCRLHKDTELAEHVLKKLIELEPLNSGNYVLLSNIFSANHKWEESLNIRSQMREKGIRKIPGCCWIEVDGVVHEFRVGDTSHPISERIYGQLSELNREGKQMGYVERTEFVLFDVEDEEKEHFLGCHSEKLAIAFGLISTKPGEVIRVVKNMRVCGDCHVAIKLYSKITGREIVLRDNSRFHSFIDGSCSCGDYW >cds-PLY92454.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:2598628:2600248:1 gene:gene-LSAT_0X16941 transcript:rna-gnl|WGS:NBSK|LSAT_0X16941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHGRYGQPAFTTGHTRVHFNSRIPSLDSEVPFYMSQVLNPDAPPPHTFRDNPTVTPPPPPPAYSPQTGWNGFNSGINLNHGTTSYAQSTTIRWPRQETLALLEIRSRLDPCFKEATSSNHKAPLWDEISRIMNEDYGYQRSGRKCKEKFENLYKYYKKTKEGKVGKHDGKHYRFFRQLESLFGDQHDHRSINNNILIHPSSQSNNSSEHGTPSSEKNRSNRKLKEKEMIAVIEESMESQFSKLMAKQDEFGKKILCTIERKEHEREVSNEEWRKQEVARLDHECEAWASHVARIETRDECLLNALQNLLRVRSIGSSYRSMVPTENSKEINGCLGNYEKHKDWIETEICSLIRIRTEKECRFQDVNQEHGEGLWQEVAFELSLLGYSRSDSECKEIWEKICVDFNKTKMVCESMKKEDSSRTSNSMDDKEDRNSCFSATAMNIDGERSWETM >cds-PLY89246.1 pep primary_assembly:Lsat_Salinas_v7:5:310916865:310918814:1 gene:gene-LSAT_5X169761 transcript:rna-gnl|WGS:NBSK|LSAT_5X169761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g46790, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G46790) UniProtKB/Swiss-Prot;Acc:Q9STF3] MWLFCQSPQTIQQSHFPLRTRHHPSRLHSHTRHQTSVTLPPSTTNTNHLIQTLCQKGLVKQAIQALTQEPNPTQRTYELLILSCAVANSLHDAITIHRRLVEDGFDQDPFLATKLINTYSELGSMEHARQVFDEIPNRTIYVWNAFFQALTLAGHGINVFDLLCSMSTDGIKPDRFTYTYVLKACVASDTSVSLLPYGKEIHAHILRHGFETNVHVTTTLVDMYARFGCISEASHVFNKILSKNVVSWSAMIACYAKNGRPLDALQLFSEMMLEVHESTPNSVTMVSVLQACAALGALEQGKLLHAYILRKRLDSVLPVIASLIAMYTRCGDLEMGKRVFDQMVRRDVVSWNAMISGYGMHGFGEKAIEVYNEMLRNKIDPSPITFVSVLGACSHAGLVEDGKRLFESIRKPIIEHYACMVDLLGRANRLEEAAKIIQEMRSEPGPKVWGSLLGSCRIHGNIELAERASKRLFELEPTNAGNYVLLAEIYAELGLWDEVQRVKKLLQSRGLEKKSGCSWIEVKRKVYSFVSVDEFNPHVAQLHAFLLKLSMEMKEKGYKPKTKVVLYDVEEEEKERILLGHSEKLAVAFGLINSCRGQTIRITKNLRLCEDCHSVTKFVSKFANREILVRDVNRIHHFKDGVCSCGDYW >cds-PLY67024.1 pep primary_assembly:Lsat_Salinas_v7:5:280422353:280424939:-1 gene:gene-LSAT_5X146820 transcript:rna-gnl|WGS:NBSK|LSAT_5X146820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASFIIKTPIQSNNPFPKTHRINFPIVSPVSYSSYKKKPIPKSIRISCGLIEPDGGKLVELVVPESQRDVKKREAMSLPKIKLSRIDLEWVHVLSEGWASPLTGFMRESEFLQTLHFNSLRLGDGSIVNMSLPIVLDIDDSQKNRISGSTSVALIDSSDNPIAILNNIEIYKHNKEERIARTWGTTAPGLPYVEEAITGAGDWLIGGDLEVIQPIKYNDGLDKYRLSPSELRAEFTKRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPVLLLHPLGGFTKADDVPLSWRMKQHEKVLEDGVLDPENTVVSIFPSPMHYAGPTEVQWHAKARINAGADFYIVGRDPAGMSHPVEKRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDKTKQKMEFFDPSRPQDFLFISGTKMRALAKNKENPPDGFMCPGGWEVLVEYYDSLAANDSGRVPEPIPA >cds-PLY74064.1 pep primary_assembly:Lsat_Salinas_v7:9:11675148:11676694:-1 gene:gene-LSAT_9X8660 transcript:rna-gnl|WGS:NBSK|LSAT_9X8660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYHQSIKVQQKSAYQSDPSTSSFPILAIAALCIVATAFLLVSYYFFVTRGCFNWQQVNPLRRFSMSRERYTPDPLSSPYSTPPWQVRGLDEVLIREIPIFQYTESEGEKRSLYECVVCLTDFQEMDTLRVLPSCNHAFHLDCIDIWLQNNANCPLCRLSISGNTRYPKDKIIAPSSSPQDPQMSPTGRNQDFLVIELGEEDHGSRSQQSRGHSPRKIGGKRAKTRKCHHVSIMGDENIDIRGKDEQFSIEPIRRSFSMDSAIDRHIYLSVQEIIQNHGSLQQIRTNEEGSMSGRTRRPFFSFGNGRGSRNAVLPI >cds-PLY90244.1 pep primary_assembly:Lsat_Salinas_v7:8:14197619:14199669:-1 gene:gene-LSAT_8X13940 transcript:rna-gnl|WGS:NBSK|LSAT_8X13940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISRHVISSKQPLDDGDDCKLEEFRKPKTVMRKYPPPKIRIGVEAVRDFPPGCGILQDSLVSNADRKPLKKLDIGNDNIVNSQPFEDKKLDSIKHEGLGFKKPSFGTRQSGKVDQPPVCGIIHERKPLKKLDNVDSKLVECHPLENSKLKSFKPKETGINNHSFGSRPIHKVQYWDPTSSNDDNAQKAKDTNTIVSRNEQIRREKIREAMILFDKVYTHLLKDNGSRQKGEKITHWRVPKEAAKMVKQKLKWMNADKTLGQIRGIQPGDMFKLRSQLQMVGLHCQLLNGIDYTSIDGKNLAISIVDSHRYSNQSQSCDVLTYCGEGGGAGFLGSKPQVAPDDQKLERGNLALKNSMIKKSPVRVIRKLVGVGKKNNEVFVYDGLYTVKHCKHKRGSEGNMVFMFELHRMPGQPQVHKRVNAIPGCDCIRLSQM >cds-PLY72565.1 pep primary_assembly:Lsat_Salinas_v7:3:199901322:199903468:-1 gene:gene-LSAT_3X120520 transcript:rna-gnl|WGS:NBSK|LSAT_3X120520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB15 [Source:Projected from Arabidopsis thaliana (AT3G23250) UniProtKB/Swiss-Prot;Acc:Q9LTC4] MGRAPCCEKMGLKKGPWSHDEDQILISYIQQHGHPNWRALPKHAGLLRCGKSCRLRWTNYLRPDIKRGNFSREEEDTIIHLHEMLGNRWSVIATNLPGRTDNEIKNVWHTYLKKRLESHETTSHAPKRHNTKSKRASSNPNLEESIVSQCHAQPQQNSHDEEQLIYVQPSCSESSSETETTTNSFDVAKHEEIKHETFLDDSFWSETLSGEGDDIMESNPTINISSVEFSSSPLKVIENEDIRNSYADCHMGSIDLWYDIFTRIEELPELPEF >cds-PLY75492.1 pep primary_assembly:Lsat_Salinas_v7:7:72406708:72407759:-1 gene:gene-LSAT_7X52601 transcript:rna-gnl|WGS:NBSK|LSAT_7X52601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENKSIPQSQSSLGFYGIFRESIKITYRNTKLLLPILLFAFLSLSQLEFYQDYIQVPLLKGYMLEFAQNPRKHHILRRKLDIIAYRGALDDIFEVLFIKQLTIAFSSIIKLFFCVATVSSTYEAYTSKVLGLKDMFLKIRTSWKNALITGFCVFLTYSAIICVYLAAYGITNIVIANSWSYLISRAITISTPFCYLYVTSLLMLSMVVSVLEEGFGGFKAIGRSKELMKGNKIKASVLMVPFVIASSYFHHMIYYGISSDHRLRSTWLAIVIYCTNGLSCLLNLFMFVVYTVFYHERKTSLDQKEVKSLYRPIASGEA >cds-PLY88195.1 pep primary_assembly:Lsat_Salinas_v7:5:220854303:220854799:1 gene:gene-LSAT_5X102841 transcript:rna-gnl|WGS:NBSK|LSAT_5X102841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGTLPPGSTTATTYHSVPGGENQSRMDIHSKEDEGKVQIDKLQDKVEDCAGRGGPIFGDAVSATVEAQEQGRPDKPDPGVTGTG >cds-PLY92684.1 pep primary_assembly:Lsat_Salinas_v7:3:117824481:117827065:-1 gene:gene-LSAT_3X82280 transcript:rna-gnl|WGS:NBSK|LSAT_3X82280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNHSYYSYSFSLCRHLLLCTVASPNPLPPPVSGFITQKFEVYFGLGAILLPFHIKNMEQEGGMGNGDSAQIRMSKGTGEPVGTGTVSDLFSMKSHLHEDIWSEIIMKDYDVLVLHVVVAKMKVIIERTGGLVVLAKTFGHSIFKDSFKHVFEKGEESLGLARNGTLKITCSKDIKIQGIIGPCTSLDKKGPVVANTVIGQWNTTSWKLCGLDKDTYLTVFFDISSSDKDPSGNVNPQLYIQTTTR >cds-PLY73584.1 pep primary_assembly:Lsat_Salinas_v7:6:128614726:128615110:-1 gene:gene-LSAT_6X76420 transcript:rna-gnl|WGS:NBSK|LSAT_6X76420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLFGARVEGNRKQKEGCTVFETIIFSPYLFVFSDLSFLIISGVIYQEHGQFNKLCEVLRKWNYCW >cds-PLY67200.1 pep primary_assembly:Lsat_Salinas_v7:6:133625987:133629265:-1 gene:gene-LSAT_6X80681 transcript:rna-gnl|WGS:NBSK|LSAT_6X80681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSELGVRVWSDLGKRWFALMTKNFSELGLRKEDCVEGSWIDSVLYWANFDNTTEDNYCEGQYIKENALIESSKVDRCWQLGMSYRKICDALTGDELCSFDHKHIVRSCSFSEDTHLLLTGGFEKILRICDLNCPDAPPQEAEKSSPGSVRTVAWLHSDQTILSSCSDSGGVRLPVKLDITGQNGKLIAGNFCGFDCKKNAEVSKDGRYITTAYGSSVKLWDANHFGLVKSYDMSCTVESASLEPKFGNKFIVVGEDMWIHLFDFNTGEEIALCKGHHGPVHYVRFSPGGESYVSSSEYGAIRI >cds-PLY72558.1 pep primary_assembly:Lsat_Salinas_v7:2:143585320:143586318:1 gene:gene-LSAT_0X22260 transcript:rna-gnl|WGS:NBSK|LSAT_0X22260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVKCGNLVKAKLVFDKSPNKDIVMWNSMITGYSQHGLGEESLKLFDKLCSLSISPDDVTFIGVLSSCSYTGNINKGVEIFNSMKLKYAIDPKTEYYACMVDLLGRNGRLDEAMSLIKNMPMDPDAIIWGSLMGSCRIHMNLEMAEIAAKKVIELEPTNSGPYILLSNIYASKKKWCEVEDVKKRMRLEMVKKSPGCSWIVIGKEVHMFRGGESENSSHVEYDLIIKMLEYLMGLIREVGYCPDGRFVLHDVDEEEKVHSLGYHSEKLVVAYGVLKVPKGIVIRVMKNLRVCGDCHSAIKLISKVVSREIVLRDANRFHHFKDGVCSCRDYW >cds-PLY69934.1 pep primary_assembly:Lsat_Salinas_v7:4:67797709:67800931:1 gene:gene-LSAT_4X46321 transcript:rna-gnl|WGS:NBSK|LSAT_4X46321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISKSVNNNKKHHHQCYISVPSEIINSLSSNSLQSLLVSPKKASKTGAFSRSKLLKNPKTWFMFLFLSGFLLMLKMWYNFDPFLPNPCGNGSGSGSGVTLTPQKDSLSIIPNGVLNYETKDEETNDFWKQPDGFGYRPCLDFSEEYKKSSVEILKNRTKYLVVVVSGGMNQQRNQIVDAVVIARILGAALVVPILQVNVIWGDESEFSDIFDVDHFKEALADDVRILSSLPSNHLMSRPVEEKHTPLHVSPQWIRARYLKRMRREGVLLLRGLDSRLSKDLPSDLQKLRCKVAFHALRFAPPIRELGNKLTERMRSKGPYLALHLRMEKDVWVRTGCLPGLSQEYDEIIYKERKSRPELLTSRSNMTYHDRKLAGLCPLNALEVTRLLKALGVPKNGQIFWAGGNPLGGPQALLPLVTEFPHFYNKKDLGLAKELEPFAKKASIMAALDYIVSENSDVFMASHGGNMGHAIQGHRAYLGHKKTITPNKRQMLTHFLKTSLNENEFNKIILDLHRDSMGQPELRTSKVGRDVTKFPIPECMRNDSSSSY >cds-PLY87192.1 pep primary_assembly:Lsat_Salinas_v7:1:135037598:135045823:-1 gene:gene-LSAT_1X99900 transcript:rna-gnl|WGS:NBSK|LSAT_1X99900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESYLKENFDVEPKNPTEEALKKWRSAVWLVKNPRRRFRMVADLAKRAAAEKKRRKIQEKIRIALYVQKAALQFIDAANRTEHKVSEEVAQAGYDIEPDDLAYIVRSHNNKTYDFHGGLQGLARKIKVSLRDGVLSSDISKRQQIFGFNRFVEKPPRALWMFVWDALQDLTLIILMVCAVISVGVGIATEGWPKGMYDGLGIILCIALVVVVTAVSDYKQSLQFKDLDKEKKNIIIQVTRDGSRKKVSIYDLVVGDIVHLSIGDQVPADGIFIDGYSLSIDESSLSGESEPVNIDKKRPFLLSGTKVQDGSGKMLVTSVGMKTEWGRLMVTLSEGGDDETPLQVKLNGVATIIGKIGLAFAILTFLVLTARFVIMKFLNDEMGIWYMSDGLELLNYFAIAVTILVVAVPEGLPLAVTLSLAFAMKKLMNDRALVRHLSACETMGSATCICTDKTGTLTTNHMVVTRLWAAGENKDIRSKEKLKSSISEKVLTVLLQSVFLNTNSEIVKDESGKTNIIGSPTETALLEFGLLFQSNYTAESKNVKIIKVEPFNSVKKKMSVLVALPGGKLRAFCKGASEIILSLCDKIITDDGEIMEISDKQRKAITDVINGFACDALRTICLAFRDLDTGSSSDNIPETNYTIIAVVGIKDPVRPGVKEAVKTCLDAGIIVRMVTGDNINTAKAIARECGILTADGLAIEGPVFREKSVDQLKDIIPRLQVMARSLPLDKHKLVTLLRNEFKEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVVIMDDNFKTIVYVARWGRSVYINIQKFVQFQLTVNVVALMTNFVSACTSGSAPLTAVQLLWVNMIMDTLGALALATEPPHDGLMKRPPIGREVNFITKVMWRNIIGQSVYQLVILGLLQFDGKRVLKINGPDSTVILNTLIFNTFVFCQVFNEINSRDMEKINVLRGMFDSWVFMLVMICTVTFQIIIVELLGTFASTVPLSWDLWFASVLLGSLSMPVGAILKCIPVDSSTSHGIKQHDGYEPLARGPDMA >cds-PLY72476.1 pep primary_assembly:Lsat_Salinas_v7:2:142748033:142752226:-1 gene:gene-LSAT_2X70441 transcript:rna-gnl|WGS:NBSK|LSAT_2X70441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIVNIPLFLGVGERVNRTPAHSMRRDLKVVVLSSNSKKNMKPKVNVKKFDGGNSRFTKSKKNIQKQKGKYNVTVKKLDEDEDFDFEDDKPVVTRKKRRHYTSFKNDDKENVKKFKRQRNKEGNVVKARKLPKVVASDVEESRRIQVRTSPNVLYSCMHNLSKEQEAYISSIGLGHLLNMKVDGCASIMGHYIVRNFDADRMVLKLHHGEIPINRQVIHEMLGLPLRHVTIKSMPYREVTGDTIIVWRKQFEDEDNIRPRAVQQVIMQSTCADLIFKVNIFVLLCNTLGQSMSMGTCDLSMLSKVTKDLDLSDIDWCGYVFDCLKETKSAWNPNSKKGFYVGPIILLLLLYVESVRCDSVKIVRCRPAICCWNVDKLRERERVECRTIGLGMGELQDPFQVINEASGTSNVGQEKVQGNDAGGVRCKGNQGYEIFSGSGESVETTISTIKEMYDMILQQKKVLEDKINDAVKKYPENQLVKEWKNKVNDLFTEVSASEEPEQSQWWYDNEAEIERTLILATTHKQFDNSPIAKCSIQMSQEYADFANRSGKKSFKNTPPSKMEMPIPLSVVPFNNDEHWVSRRGYRPRIKSKYLKSPYIIRAVDIIKGVPRHEKRVAEWIFSLQGKPNDIVFHTLDGFSAQRFHMESFFPTCELFGHVIDCWSQVLNLDESKRAPESPLRVYCKTYVTNSYLESDLTESQHKDKFIENLVLSIEDMDTSLCFVGLLFLPIIRSFHIFLFVINLQHPEFVIVDNNKVDDPDGERYGQLPQIIKEYIVDYLKSQNHPKAEMFSHVMPHRLEMPWRTINNNIDCGVFTMRHMETYMGGSMNEFKTGFTNESSAQDDQLVKLRTKYLYKIITHEYNVQKDYVLQKVDEFHKIPSRQRSQLLAIAKEEIHRRLDDLS >cds-PLY73207.1 pep primary_assembly:Lsat_Salinas_v7:5:102439479:102440348:-1 gene:gene-LSAT_5X48780 transcript:rna-gnl|WGS:NBSK|LSAT_5X48780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSSIPQGLTPDEYSELQLLINAYHMFDKIPNTCTSLITQRIDAPSDVVWPLVRRFDNPQRYKHFIKSCNMFGDGGVGSMREVTVISGLPASTSTERLEILDDEKHILSFRVVGGEHRLNNYLSVTSVNEFKKDEKVYTIVLESYIVDIPAGNTVEDTKMFTDTVVKLNLQKLGLVAISSLHGNE >cds-PLY78950.1 pep primary_assembly:Lsat_Salinas_v7:8:2342748:2343158:1 gene:gene-LSAT_8X320 transcript:rna-gnl|WGS:NBSK|LSAT_8X320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDETDSESSETPQSPIRPMASLEIANTPITPPAQTSGVITETPSSGGPLPSLTVATHFVTTDNHIPIPTMNNTISSLFPKIGGPNLFTPPPLPLFFPNTDGPSNTGYILIPPPIHSTKRATTNDNRNTTVTGVSH >cds-PLY74462.1 pep primary_assembly:Lsat_Salinas_v7:7:40090156:40122623:1 gene:gene-LSAT_7X29000 transcript:rna-gnl|WGS:NBSK|LSAT_7X29000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGNDPYLFSTNNFVGRQIWEFDPDAGTPEEHQEVENARQLFLNRQKEGFQASGDLLMRIQLIKENEIDILSIPPARLGENEEANQEAVTTTIRKAVRFNRAIQTKDGHWATEHGGPLFFTPPLIIILYISGAIDTHLTKEHKKEMKRFIYNHQNEDGGWGFHIEGHSTMFVSVLSYISLRLLGEEKDDKNVALTRARKWILDHGGATYVPSWGKLYLSVLGVYEWEGCNPIPPEFWIFPEFLPFHPGKMWCYCRTAYMPMSYLYGRKFHGPITDLVLQLRQEIYLTPYDEINWNKQRHKCCKEDLYYPHTIVQDLIWDGLYYLSEPLFKYWPFTKLREKALKRTIELTRYNAEESRYITMASIEKGFQMMCWWAENPNGNEFKHHLARLPDYLWLAEDGMKSQTFGSQLWCSAFATQAIIASNMPEEYGDSLKKAHFFIKESQVKQNPKGDFTKMCRQFSKGSWTFTDQDHGWPVSDCTGEALKCLLLLSQMPEEISGENVDNQRLYDAVNFLLYVQSPTTGGFAVWEKPIPHPYLQTLNPSEMFADIVVEREHVECTTSVMQALIEFKHFHPGHREKEIEKAVANAVRYLEDIQWEDGSWYGYWGICFIYGTFFSLGGLESAGKTYNDCEAVRKGAKFLLSIQNEEGGWGESYKSCPSEVYTPLDGNRTNIVQTAWAMLGLMSSGQAERDPTPLHKAAKILINAQMDDGDFPQQEMTGTAMRNCILHYPLYRNIFPLLALSKYRNIFWAT >cds-PLY67473.1 pep primary_assembly:Lsat_Salinas_v7:6:69923171:69926695:-1 gene:gene-LSAT_6X49841 transcript:rna-gnl|WGS:NBSK|LSAT_6X49841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMAIGASALQITFTRPSVLLTQRNLGVTMASFVQESDRALSSKLTSSSHLSSMLNSNQSFTINRPRFRKFVTRSMSEASETKSTSQLSIDLKGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFEMSLRRGKFDASRVLPDGTLMEITKVYPLDAVFDNPEDVPEDIKANKRYAGASNWTVQEVAELVKKDFGSIDILVHSLANGPEVTKPLLETSRKGYLAAVSASSYSYVSLLKHFLPIMNPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTKVLAFEAGRKHKIRVNTISAGPLGSRAAKAIGFIDTMISYSFANAPLQKELSADEVGNTAAFLASPLASAITGALIYVDNGLNAMGVGVDSPLFKDLNIPTSFLKKEMEIDQRDDSKQQNGPFYKLNSPMTKIEMNLLK >cds-PLY74213.1 pep primary_assembly:Lsat_Salinas_v7:5:145468062:145471782:1 gene:gene-LSAT_5X64980 transcript:rna-gnl|WGS:NBSK|LSAT_5X64980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYTYAPGRNHLFVPGPVNIPDQVLRAMNRNNEDYRSPAVPALTKILLEDVKKIFKTTSGTPFLIPTTGTGAWESALTNTLSPGDRIVSFVIGQFSLLWVDQQQRLGFNVDVVESEWGAGANLEVLASKLAADTAHTIKAVCIVHNETATGVTNNLASVRKILDHYQHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCASPKAIEASQTAKSLRVFFDWKDYLKFYKMGTYWPYTPSIQLLYGLKAALDLIFEEGLDNVIARHNRLGTATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSSEIVRRGWKRYNLSLGLGLNKVAGKVFRIGHLGHVNDLQLLGCLAGVEMVLKDVGYPVKLGSGVAAACAYLQNNIPMIPSRI >cds-PLY63987.1 pep primary_assembly:Lsat_Salinas_v7:4:13191589:13192754:-1 gene:gene-LSAT_4X9001 transcript:rna-gnl|WGS:NBSK|LSAT_4X9001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPRGIPSFFKIMLDCSATHLPLPTGFVRKHLENNIPENATLRSVNGGYSWRLKIKKDGDIYCFADGWKQVIEDTRLEFGDFIVFWLLGRSIFKFLIFGTNGCEKKFPLEHDHIHDDEEEDDDIDGDAGDPCFTKVIPKKGKQKFALVRFGVISNHKFIIYNDNNFCLHQRFPLEFARLIRLTAGRTMSMKNLEGKEWPVRLLSEGGHYTRYYLSKGWSEFLRDNDICEGDTCVFKYLRTEDKFCLAEITKKRVQADRAMAVEGLKRPRGRPARVVVEQPAGKVLKRPRGRPPRVEVAVEKKVRPTEPDEGLKRKSVESKDGGVEMVKRPRGRPFKKKMAAEVDKKP >cds-PLY70214.1 pep primary_assembly:Lsat_Salinas_v7:9:460878:465092:1 gene:gene-LSAT_9X5181 transcript:rna-gnl|WGS:NBSK|LSAT_9X5181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEGINNGSSLQNPSNDSGEIRMKFNQNWCASPSKVASHFGTSGVAVAAATGITHPLDVLKVRLQMQLVGQRGPLIGMGQTFVEVVKKEGTRSLYLGLTPALTRSVLYGGLRLGLYEPCKNFCELAFESTNIFMKIASGAVSGAFATLLTNPVEVLKVRLQMNPNARRGAINELQKIVRKEGVTALWKGVGPAMARAGALTASQLATYDEFKRVLMKRTPLEEGFYLHLMSSTIAGLVSTLITAPMDMVKTRLMLQRESMSDGAYKNGFHCTYKVLITEGPRGLYKGFSQLKKDNVVVTALSFCLLFVLEFISIDSFETMECNWKMKNSMIDVTPFLLFESSADSEDAIADDVLRHHHYHNHHQEKHGEKEKESMDDDVESCSYDHSFHVNVKTIHDNHHQVHAYGVGHGQYDHDHRHVYDGNREEIDDDVDDDDDDDDDNWGESKMIGQEKRCHELCVDSSSLDDRQFWETCLDS >cds-PLY79085.1 pep primary_assembly:Lsat_Salinas_v7:3:9946492:9958898:-1 gene:gene-LSAT_3X6601 transcript:rna-gnl|WGS:NBSK|LSAT_3X6601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MPSICEGKTQTEGTRTPMANLSSVVYELRERILASSSTPPNKSDDASLELRFRAVIPNLLHAYVVPSSSANEREVIAVLKLLTHTVKYFPGVFFHGKASAILPVVFRILPFFAEPSFCSRHGIIFETLGSLLSLLRTGDRDAYRQFFEDAMGAVEDLPAIASIANNTIKQDQEVYLRCFYESLSGMSNDSALLSELPACIKPTDGFGIIINLTGVARWQPFATWIIKISCKCLTEGALNVEGLINVPFVLAACKILCYGDAALQMACFDLVRILGAVVNDEIIPSENMILSISTILSEAEDGLSVFRDISYDSSLGGCLEALYSSSADDVIKLTATDIVSVFHQSMQKTASLELKEALCGAYIRIAKVCPPHIWKPELLIYLLCSPKPYYGLVECFQVVVSILDPNLVGGTTNREDYLDASGYEPVRVGDKRPLHLPNALKNKRQKVDESENLKIDYPSCEGKKEYAKYIGSSLLSLIECLEPPGGKANVLEPEISLTALSMLCIVFSKYPWAKLLLRISHQMLNWIPWISEQANQENLGGLDLSIYLEALHSILLKHRFLPVKDELFSDNGNVANLMQSVLKIPWNYFPMAADPCLPWKTKSFCLQILPMLGSLSQSVSDLDVLDLGLQDEAEEVRSEAIIAMPLIGMCRFGTLTPIFKRLGLLSEEQSEKVKKSIAISIGYLGCLYGSCDGIASSSRKSLKLFLKWENSKHSWTGDKLLQGFWCSMCDKSVLHNHETVSIISSLHNIENLSPKLGCDYRDLLHLLFSLLYDESEEVQLSCVSIIGRVLVHMSSDILHENKMKWLKCIDYLLLHKWKSVREAFNRQIGCFLEHRILTDLFMDGQLFLDKIRRGYEVASDPELFETLLEATSSIMVASDIHGQLFLSSLMLLLDQLDSKYVTVKISASKCIHSSCFFHLKGGLEQILAKFVHIRNEVYNYLSLRLARSPKMVEEFAGGLLDVKVEELVKKMVPVVLPKLVIGQQDNDKAVVTLKDLANCLNMDMVHLIVDWIPKVLAFALYQADGHKLDCALQFYCDHTGSTKKEIFAAALPALLDELICSVDVDDSVDTSIRLSRVPEMIKEIAKILTGNEDLPGFLRNHFVGLLNSIDRKMLHAGDISLQIQATKRIEMLINMMGSHLNTYVPKLMVLLMHAINKEPLQSDGLAVLHCFIKQLTKVSPSSTKHVISQVFASLIPLIEKHKEQSSPHMIKIVKIFEELVFENKSVLKQHICEFPPLPNIPPLAEVNRVIEEVRGVMTLKDQLRNIVDGLNHENINVRYMVACELSKLLKLRREEVTVMVNGEGDSDMDVLSSLITSLLRGCAEESRTVVGQRLKLVCADCLGLLGAVDPAKVKGFSNQRFKIACSDDDLIFELIHKHLARAFRAAPDTTIQDSAALAIQELLKIAGCEASLDENVSVSNGNVSGRGQRLWGRFSNYVKEIIAPCLTSRFQLPNVSDSASSGPIYQPSLSFRRWISNWVKKLTVHATGSRAMIFNACRGIVRHEVQTATYLLPYLVLNAVLHGTQEARHGITEEILSVLNAAASESSTIPVPGISSGQSEVCIQAVFNLLDNLGQWVDDIEQELALSQSLKSSVSKQKMKDHTMTATASSSLDPDQLSQCKNVSELLSAIPKVTLAKASFRCQAYARSLLYFECHVREKSGSFNPSAEKSGVFEDEDVSFLMEIYSGLDEPDGLSGLASLRKSKSFQDQLLINKKAGNWAEVLTSCEQALQMEPTSVQRHSDVLNCLINMCHLQAVVTHVDGLICRIPQYKKTWCMQGVQAAWRLGRWDLTDEYLDGAEEEGLLCSSSESNASFDMDVAKILRAMRMKDQFSVGEKIALSKQALIAPLAAAGMDSYTRAYPFVVKLHVLQELEDFHSILNGESFLEKSCVSEPEFVKVTENWENRLRLTQPSLWTREPLLAFRRLVFGASGLGGQVGSFWIQYAKLCRSAGHYETANRAILEAKASGAANVHMEKAKLLWSTRRSDGAIAELQHSLMNMPVEVIGSAAMSSITSLSLVPLNQPSLPCNTQASNENRDVAKTLLLYSRWIHYTGLKQKEDVIGLFSRVRELQPKWEKGYFYAAKYCDEVLVDARKRQEDNSEVGQRMVSSSSTTSNSEKPWWYFLPDVLLFYAKGLHRGHKNLFQALPRLLTLWFEFGSIYQRKGASSNKDMKLVHGKVMGIMRGCLKDLPTYQWLTVLPQLVSRICHQNEEIVRVVKHIITSVLRQYTQQALWVMAAVSKSTVSSRREAAAEILNNARKGFHSNSLFAQFASLIDHLIRLCFHASQSKSATINISTEFSALKRMMPLEIIMPTQGAINVSLPTYDASMTGFFSATDLPTITGIADEAEVLSSLQRPKKIILVGSDGIKRPFLCKPKDDLRKDARMMEFNAMINRLLSKCPESRRRKLYVRTFAVVPLTEDCGMVEWVPHTRGLRHILQDIYISSGKFDRLKTNPQIKRIYDQCQAGKIGEDEMLKNRILPMFPPAFHKWFLNTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDRGLLLEKPELVPFRLTQNMIDGLGITGYEGTFLKVCEITLSVLREHRETLMSVLETFIHDPLVEWTKTHKSSGVEVQNPHAQRAISNIEARLQGIVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMPWF >cds-PLY74820.1 pep primary_assembly:Lsat_Salinas_v7:5:113852968:113853824:-1 gene:gene-LSAT_5X49921 transcript:rna-gnl|WGS:NBSK|LSAT_5X49921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METSVVDTTINHGEQSKQSTPEQSAVIPPDVSTIESFHEEVQTSNITAYGSNTDANVIGDGSGDKCQKNSLPVSTRVQVGERQSVGSGSGDKGERGSVDTTINHGEQSKQSTPEQSAVIPPDVSTIESFHEEVQTSNITAYGSNTDANVIGDGSGDKCQKNSLPVSTRVQVGERQSVGSGSGDKGERGSGNVVGDARVVGRILTT >cds-PLY64413.1 pep primary_assembly:Lsat_Salinas_v7:7:83079866:83080084:1 gene:gene-LSAT_7X59641 transcript:rna-gnl|WGS:NBSK|LSAT_7X59641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVALKAKGKSSKGSKPSPDNSPSKLLKEWSTWTMKKAKVITHYGFIPLVIIIGMNSEPKPSISQLLSPV >cds-PLY83263.1 pep primary_assembly:Lsat_Salinas_v7:4:146262557:146263960:1 gene:gene-LSAT_4X89300 transcript:rna-gnl|WGS:NBSK|LSAT_4X89300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPSTPAAVNRINNRPRRRPDLTLPLPQREPQIAVPLPLPPSSATTTSSQLLPPAQQQQQQPINFSELDRMNRIGSGSGGTVYKVLHRPTNRLFALKVIYGNHEDEVRRQICREIEILRDVDNLNVVKCHDMFDHAGEIQVLLEYMDCGSLEGTHISHEPSLADLTRQILSGLYYLHRRKIVHRDIKPSNLLINSKKQVKIADFGVSRILAQTMDPCNSSVGTIAYMSPERINTDLNHGKYDGYAGDIWSLGVSILEFYLGRFPFPVGRQGDWASLMCAICMSQPPEAPPTASREFREFVACCLQRDPARRWTAAQLLRHPFVTGLAGNHSIANQVHPTHQLLPPPPRPHFSSSS >cds-PLY95979.1 pep primary_assembly:Lsat_Salinas_v7:9:42906629:42907021:1 gene:gene-LSAT_9X38881 transcript:rna-gnl|WGS:NBSK|LSAT_9X38881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPVFLQVDVHFQGMFARNPVRYTGGITQRFSDIDFTGMDKDGCVAFIERFTGEKYEKLYYCQPDIDFPKGLSLICNDLDYYDFIAIAYECGVILLMYVDHFENSNIQEWLDEHKDEFVGNIQEKVFDDA >cds-PLY91994.1 pep primary_assembly:Lsat_Salinas_v7:4:89145281:89145883:-1 gene:gene-LSAT_4X58881 transcript:rna-gnl|WGS:NBSK|LSAT_4X58881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRCRALGDDDDDMVVDDRLPNSPCDNPPLPPPPSTNIPPPPPQPSHPPPRTPSPSPNSPPQSDTTKTGENNQEVLQPMHMQVVIASQPEMAGTVEAEAEYRDQSETDDFEVLLDLGFMLQTVIPIAPLNIFYPGSCFEGKISQVVPRGTDSNIKSNNKHLNLRNRKASFSKGRGAYDAEAASSFGDLLSYPTKKKQIHL >cds-PLY62700.1 pep primary_assembly:Lsat_Salinas_v7:6:55973341:55976712:1 gene:gene-LSAT_6X41321 transcript:rna-gnl|WGS:NBSK|LSAT_6X41321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQNSDPKSPAIGSDADSSPAMHPCSWAKHTGFRPRFSGESNASDSGQSTRPNNPSPPASLDLETSRVRPIPTVNATAPAPQPANAQAHPQSDNDQSATKRRDAQGGSAPLPPKRGANGHARIAAAAETPTSTPPQPSRRAARNGDIESTLPQVFDDSRRSHMKYELRDTPGLVPIGIYGIQHYLSMLGSLVLVPLVIVPAMGGDHEDMSMVVSTVLFVSGITTLLQANFGSRLPLIQGPSFVFLAPALAIIKSPQFLGLHENSFKHIMKELQGAIIIASAFQALLGYTGLMTLLLRLINPVVVSPTIAAVGLSFYTYGFPHLGECLEIGILQILIVIIFFLYLRKVSLFGHRVFLIYAVPLGMAITWGITYILTETGAYKYKDCDVNIISDHCKKHISRMKSCRAGANTSIALKSSPWFRFPYPLQFGTPVFNLKLAIVMSVVSIISSVDSVGSYHASSLLVASKPPTPGVVSRGICVEGISGILAGLWGTGIGSTTLTENVHTIAVTKMGSRRTVELGACVLIILSLVGKVGGLIASIPQVMVAGLLCIMWAMLTAFGLSNLRYSESGSSRNIIIIGLSLFFSLSTPAYFHQYNSNSPFQPYIVASHAPFHTHYKGLNYVMNTLLSLHMVIAFLVAMILDNTVPGSKQERGVYEWAPLEAVREPAVVKDYGLPFRVGKFFRWVRWVGL >cds-PLY62738.1 pep primary_assembly:Lsat_Salinas_v7:8:48371977:48375003:1 gene:gene-LSAT_8X36901 transcript:rna-gnl|WGS:NBSK|LSAT_8X36901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGNLDSPVKTQMALSVFKSPVGRNHHGNDTMEGVNLKTTGRRRIFIQTESGCVLGMDLDRNDNAHMVKRRLQIALNVPTEESSLTFGDLILKNDLSAIRNYSPLLLTRNLIHRSSSSPCLSPSGRDIQQRDRSGPIEILGHSSNFTKTKELVKEIAIALKNGIDPIPVHGGLGGAYYFRNINGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGYREVAAYLLDHNHFANVPPTALVKITHSIFNVNDVSGTRDKNKKKHFSKIASFQQFIAHDYDASDHGTSNFPVSSVHRIGILDIRIFNTDRHAGNLLVRIGGGQFGQVELIPIDHGLCLPENLEDPYFEWIHWPQSSIPFSEDELDYIESLDPFRDSEILKSELPMIREACLRVLILSTVFLKEGARFGLCLAEIGEMMSREFRRGEEEPSELEALCMAARRAVVVEREKNQNQNREPFVLSSSSPKREVQVQFQEDGNHGIVFEIDDISPISPFQFGYGRNPLSKLEEEQEEEEEEEVVLCASGDVHCVSRLLKKNLSLGEHRSASEQAPGSACFVKLGDMKEEEWGMFLEKFQELLGPAFGQRKSGSVGQRLRQRLGTSCQF >cds-PLY85076.1 pep primary_assembly:Lsat_Salinas_v7:7:6972050:6972362:-1 gene:gene-LSAT_7X8440 transcript:rna-gnl|WGS:NBSK|LSAT_7X8440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQALVSMTAEAILKKPKERQKGTEVVTVWLKQLTHVVNEADDVLDKIHYEMLRREVKKRDTMSSPP >cds-PLY65176.1 pep primary_assembly:Lsat_Salinas_v7:7:60139696:60141481:-1 gene:gene-LSAT_7X45180 transcript:rna-gnl|WGS:NBSK|LSAT_7X45180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSNQIEQTLPEWRDKFLSYKDLKKQLKLINPQGKSGDVCNREAKRQRVSGGVAGDWTVGDGGIGGMSKEEIDFVDLLEKEIEKFNSFFVEKEEEYIIKLKELQDHVAKAKDSSEEMIKIRKEMVDFHGEMVLLENYSALNYTGVAKILKKYDKRTGAVLRLPYIQKVLQQPFFTTDLLYKLVKECEALLDLLFPLTEPSASSEEEADSSGAGDTDVASTSGSAGQLSAREYAELEYMKSLYTKSTISALRVLKEIRSRSSTVSVFSLPPMQVSVLEETWNKFPVHEQLAK >cds-PLY86019.1 pep primary_assembly:Lsat_Salinas_v7:8:125790247:125791784:-1 gene:gene-LSAT_8X88460 transcript:rna-gnl|WGS:NBSK|LSAT_8X88460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGASSRSCKYVLNTLMQVLQNTIYEVDLDRILQSIHVYLQDLGMEEIRGAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDMELQPIILAYIDHNLQTLAAARMLTPAGPVGQTHWGDSMANYLMPVTHSADAQLKASSIP >cds-PLY84470.1 pep primary_assembly:Lsat_Salinas_v7:7:128537453:128540892:1 gene:gene-LSAT_7X79181 transcript:rna-gnl|WGS:NBSK|LSAT_7X79181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 3-I [Source:Projected from Arabidopsis thaliana (AT1G61010) UniProtKB/Swiss-Prot;Acc:Q9C952] MKKHIHRPHTGTHPFPNPDRARNLLHKRSAASHIGDSSLISAPDIRLPAPAPATYFISGLPQLNSIEMASTGPQQSSSSLKRRDSTVTRESDLLTITPLGAGSEVGRSCVFMTFKGKTVMFDCGIHPAFSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYKLLLSDYVKVSKVSVEDMLFDEQDILRSMDKIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAELPQFSPDICIIESTYGVQLHQSRHIREKRFTDVIHTTISQGGRVLIPAFALGRAQELLLILDEYWSNHPELHNVPIYYASPLAKRCMAVYQTYINSMNERIRTQFANSNPFDFKHISPLKSIEEFNDVGPSVVMASPGSLQSGLSRQLFDKWCAEKKNACVIPGYVVEGTLAKMIINEPKEVTLMNGLTAPLNMQVHYISFSAHADCAQTTTFLEELMPPNIILVHGEANEMGRLKQKLVSFFVDRNIKIFTPKNCQAVEMQFNSEKMAKTIGRLGEREPESGENVSGVLVRKGFSYQIIAPDDLHVFSQLSTANITQRITIPYSGAFGVIKHRLKQIYESVSESVIPTESESVIPSESELGIPALVVHGKVTVRRESERHLAVEWVADPIGDMVSDSVVALVLNAGREMVKVVVEAEEVVDEVEEGRKREKIVHALLLSLFGNVKYGDDGRLVVRVDENVAYLDKKNGEVESENEGLKERVKVAFRRIQTAVKPIPVSES >cds-PLY90169.1 pep primary_assembly:Lsat_Salinas_v7:7:13435577:13436479:1 gene:gene-LSAT_7X10600 transcript:rna-gnl|WGS:NBSK|LSAT_7X10600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFGSNISMAFLSLMLTLLLVRSSNAGGISIYWGQNGNEGTLADTCSTGNYEYVNVAFLSSFGSGQTPVLNLAGHCDPSSNGCTKLSSDIKSCQAKGVKIILSVGGASGSYSLASSTDARQVATYIWNNFLGGKSSNTRPLGDAVLDGVDFDIESGDGKHWDDLAKYLSAYSKRGQKVYLTAAPQCPYPDAWVGTALQTGVFDYVWVQFFNNPPCQYSSGDIDSIEDSWKQWTSDIPATKIFLGLPAAPDAAGSGFIPVDDLTSKILPVIKGSDKYGGVMLWSKYYDDQSGYSSSIKSHV >cds-PLY63148.1 pep primary_assembly:Lsat_Salinas_v7:9:114410233:114413612:-1 gene:gene-LSAT_9X81141 transcript:rna-gnl|WGS:NBSK|LSAT_9X81141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGSDSRNESNCDANLEIHWALLVPMDRCLYIGSNWLVQAVGCEGYLGSMIQWICMVNRDSVSSAIGANFSPHIINVNTKEVVEDTQMDFGDFLVFWLLGRSIFKLLIFSSSQLLPITISTPMKLLSETSRKIKVGSESKLLDKLPESKLFPSDNLCKLFNLVSSNGSSPENVLELISRTVKVVMLENCVGIGDERLLPENIVKG >cds-PLY83245.1 pep primary_assembly:Lsat_Salinas_v7:8:252001329:252005010:-1 gene:gene-LSAT_8X147640 transcript:rna-gnl|WGS:NBSK|LSAT_8X147640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g11860 [Source:Projected from Arabidopsis thaliana (AT5G11860) UniProtKB/TrEMBL;Acc:Q9LYI7] MQTKKVAMGRNSSKALASPKVSKTQKKVPENVNNQENKASQMITSSARKQKPAATYTKDSKENVDATNLNARGMCLDNDSYIAINNMSVDSEGCETTESFSNGTIFSPAFHVSRNIEGEIVDGGCGLSSEVSAIYQCMKDSKLECVDEDDHEHEHDHTSSDVQMEDDECEEYDEFDPYFFIKNLPELASVVPSFRPILLPKQTRSCPPTTLVLDLDETLVHSTLEACEDADFTFLVNFNLEDHRVYVRCRPYLKEFLERVAGLFEIIIFTASQSIYAEQLLNVLDPKRKVFRHRVYRESCVFFEGNYLKDLSVLGRDLARVVMIDNSPQAFGFQVDNGIPIESWFDDRSDQELVSLLPFLESLVGVEDVRPIIAKKFNLRDKIAAAICPLAFET >cds-PLY75279.1 pep primary_assembly:Lsat_Salinas_v7:3:158290033:158290539:1 gene:gene-LSAT_3X99041 transcript:rna-gnl|WGS:NBSK|LSAT_3X99041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFMISSFIQFFIFSTSKFFIYTKLNFSMNESKIHVEKKIQHSKLSKRMPSFRDRSLRRDEVEMVMNNLGIFNLGDKIPERLSSNDAFNIFEEENPNLDEVKGAFDVFDENKDGFIDARELQRVLYAMGLKDRAQMDDCKKMIRVFDVNDDGRIDFDEFVKFMEGTFC >cds-PLY65412.1 pep primary_assembly:Lsat_Salinas_v7:1:60659869:60662292:1 gene:gene-LSAT_1X51500 transcript:rna-gnl|WGS:NBSK|LSAT_1X51500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEEAVSYVRYPLRTPQTVHESTGSSTSSTTRRNSIENSNKKNDPAMFRKLDRKKPNDQKLNIAVSLPPILVSNNEDHLKLKPVTKHVIFNEISDEKMHESLYHEERSSSKVAEIIDNYSINLIQQESEDLYEQLSDEKFKAKEEDEDSAQKMARVIQRITELHDKGFKSNCEPQSSWQQVFPYSIWHCYLGGAKVSKANQGIMEGYVDFAFER >cds-PLY81933.1 pep primary_assembly:Lsat_Salinas_v7:5:35798829:35799266:1 gene:gene-LSAT_5X16401 transcript:rna-gnl|WGS:NBSK|LSAT_5X16401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLTLYLGIERGNIACHKSEARFGTLLREGRLASRAFRDEAFWRSQVNFGPLNPATDEKALSYGVKGSVFIVTLPAFQKCLEDGPDAAERRPGSGFPTGGGGRRRPSQGTSRPTGNTGETWEGNPIGSQRIHSSCSLPDFIFIIF >cds-PLY79730.1 pep primary_assembly:Lsat_Salinas_v7:5:178453121:178493041:1 gene:gene-LSAT_5X78940 transcript:rna-gnl|WGS:NBSK|LSAT_5X78940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLWSQPQPQPQPQPQSQPQPGNLFNKLTSLLLLGLFLLVVSSEVIESKFLIKTLPGLSGELNVSLETGYIGVGESDDVQLFYYFVESEGSPDNDPIMFMLSGGPGCSGLTKIVSNTGPFNFNYANSTPENPVLEINSYSWTKVANIISIDQPAGTGFSYAKYPKAYITNDTLSSMLCYQFLRKWLDDHPRFIKNPLYVGADSYGGLFVPLIVQQIYKGNEVGEEPHINIKGYVIGNPVTDTSAEYNFRIPSAHHLALLSDAVYKSAKRNCRGEYLNVDSNNILCIHDLNVVEKCLKRIQMEYILDPYCGPSNTFKPSLFKRDLRSIEKTSKDIWSLHQVQMEGCNDDIHMYPYVWANRRDVREALHIREVFNETEWVLCNGSLQFFYNQEPISYTHNIWSTVAYHRHLANKNCRALVYSGDQDMIVSYLSTLNWIKSLNLLLKNDWGPWFVDEQVAGYTMKYSHNDYNLTFATVKGGGHTATDYKPKECLKMLMKWFSNDAL >cds-PLY79897.1 pep primary_assembly:Lsat_Salinas_v7:8:17755473:17757863:1 gene:gene-LSAT_8X12340 transcript:rna-gnl|WGS:NBSK|LSAT_8X12340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGTAAVKQRQQQMTGSFRKSVKEKEEELGLFLEMRKREKERNNLLQNSEEFDSSLGSIEGCSPMFGMPAATPARKTGADEFLNSENDKNDYDWLLTPPGTPLFPSLESESQKPVMGQIGTPKARPTALKSRLSNTHPHLETNGRNNMTLSRQPLSSPGLNTSSGGPRRPTSSSGPGSRPATPTGRPATPTGRPTLSAPSRPGSNSNSNSVPKTTTRPTRSSTPTPRSSTMGPTKPTLPARSSTPTPRSTPRSSTPTRGPTPRAPTPTRRPPPTLTKPVTKPVPNTRNVGAPPQQPQPPPPRASSPTVKRPWKPQEMPGYTLDAPPNLRTSLSDRPTSATRGRPMAVSRSTSVEPVVNGRVRRQSCSPSRGRLPTGVSHMSGSSVPVPALNRAYAKANDNLSPGLYGTKMVERVINMRKLVPPKKDDKHSPHSNLSAKSSSPDSSGFGRSLSKKSLDMAIRHMDIRRTVPGNLRPLMSNIPASSMYSVRGGAGPTRSRTMSVCDSPSSNGSSEMSVNNNNNGVWLDGNIDDEISSLVRGR >cds-PLY91886.1 pep primary_assembly:Lsat_Salinas_v7:8:196120104:196121182:1 gene:gene-LSAT_8X126841 transcript:rna-gnl|WGS:NBSK|LSAT_8X126841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLGEIVGEKAERCSSIYFKEKASQLDVNLEVANMLDSKLLNGLHLGLAGDHQYINAGLAIMLSSTWLQRTDGAHSPESMEVSANWFSIAVGGDNFRKDSAQILLFNYMSVRDLQDVSKRAS >cds-PLY94565.1 pep primary_assembly:Lsat_Salinas_v7:9:202753884:202754066:1 gene:gene-LSAT_9X124741 transcript:rna-gnl|WGS:NBSK|LSAT_9X124741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNMECVHKELEAKEAEAELTKVTLATCMILFPLWTLEQCHKEAIGDPSALRLELWISLS >cds-PLY64742.1 pep primary_assembly:Lsat_Salinas_v7:7:103709701:103711215:1 gene:gene-LSAT_7X68021 transcript:rna-gnl|WGS:NBSK|LSAT_7X68021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFSSLSLTLLCFLLILIVSSSVAQTSSRPKALLLKVTKDAKTLQYVTQVSQRTPLIPVKLTLDLGGDYMWVNCERGYTSSTYRPAPCGSAACQLLNTTSCTTECYSAPAPDCYNNTCGHGPTNSVANTGTSGQLGADVFAIQSTDGKNVLEVVTDPRLYFVCGNNFIEAGLASGVTGMAGLGRTGASLPAQLSSYFRFDPKFALCLSSSTRSSGVVFFGNGPYTLLPNVDASSSLTYTPLIINPVTENGFLGDASPKYFIGVTSIKINDKRVPINESLLSIDAEGYGGTTISTADPYTVLETSIYSAVVAAFVKAMPKNVQRVPSVAPFGACFSSNNISSTRLGPGVPSIDLVLQSKSVYWRIVGANSMVEVKKNVLCLGFVEARTDFRPRFAVVIGGHQIEDNLLQFDLSTSRLGFSSSLLGRSTTCANFNFTSTA >cds-PLY92021.1 pep primary_assembly:Lsat_Salinas_v7:8:241471379:241471987:1 gene:gene-LSAT_8X145401 transcript:rna-gnl|WGS:NBSK|LSAT_8X145401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAIDTAKTSIEWILATLIKHPRVMKELQKELTVVIGDARVVEETDLTKLSYLHMVIKETFRLYPVAPFMIPHESMEDIVINGYNIPKKTRVLINYWAFGRDPKVWSENWEEFLPERFLDRDIDFRGPDCQLIQFGIGRRGCPGMNLGLLNIGLVISNMIHCFDWELPDGMSPSDMDMKEKFGLTIPRAEPLLAIPTYRT >cds-PLY66950.1 pep primary_assembly:Lsat_Salinas_v7:7:21575948:21576259:1 gene:gene-LSAT_7X17141 transcript:rna-gnl|WGS:NBSK|LSAT_7X17141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGISTASGSQLGSYVIMTPSTASRTPIGLATDGAVDSKAGIMVGDCWVAGKRKVVQAMSDLAPDGSLGPIGWRVIQRYGLMHLLSYAPSSPGGVLVIPDDDAQ >cds-PLY81382.1 pep primary_assembly:Lsat_Salinas_v7:4:36433470:36436064:-1 gene:gene-LSAT_4X22820 transcript:rna-gnl|WGS:NBSK|LSAT_4X22820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 27 [Source:Projected from Arabidopsis thaliana (AT3G09180) UniProtKB/Swiss-Prot;Acc:Q8RWM3] MQHQHSQPPLYSAGNPISSPPDSQDSTTEAPPKQVALAMDRLAHAARLIADIRLGADRLLEALFIAGQHPQQSSTGKPVHLILQEGASMRQYLQDLRTIGRQLEDSGVLNESLKSRSNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDDSVDESVTKKPRGSHSTTMSQQEEEELSELRMRSVSDVLTQLEKQIPEVKTSTYQRLDWSKRASLLPNDTSDESQNTHSFHIRPGSGAGGAPDADQIAVIEVLLPSVFRAVISLHPTGSLNPDAVAFFSPDEGGSYVHARGVSGFHIFRNITEHAAMAPQHFIGVNADMALYSLLHWICSYESLFTKVCSKCGKLLSMDKESALLLPPVQRPYRNFSVSKEKLSSKNEKVNDIAAYHIGCFPQEA >cds-PLY80507.1 pep primary_assembly:Lsat_Salinas_v7:2:135942573:135943926:1 gene:gene-LSAT_2X65020 transcript:rna-gnl|WGS:NBSK|LSAT_2X65020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFAAFILVSGFLSDRSDTDDGSLLTATEKTSVLKLQVGLLGLGRSLQRDLNRIAETADTSKPEGLSYVLQETTLALLRHPDYCISGYSSVDVKRSIDEGEKRFNQLSIEERGKFDEETLVNVNNIRKQSATTQRSNGFRNEYIVITVIVAASGVHKLPPINSSAQLKEALQKLASIPSSRVMAVEVLWTPQNENDTLTERELLEDYSLLRPL >cds-PLY91264.1 pep primary_assembly:Lsat_Salinas_v7:3:76936199:76938047:1 gene:gene-LSAT_3X59660 transcript:rna-gnl|WGS:NBSK|LSAT_3X59660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAALISALSRDLPRPASFLPKTPSLFRRREVIALKSKPWESRKQILTENAFVCAASRRNSSSSTTTDLSVPELDDNTRKVLQFVLWATEGVYIIWLFLLPYAPGDPFWAISSDTINSLVGLSLNFFFILPLMNSVGIRLIDAPVLHPMSEGLFNFVIGWTLMFAPLLFTDLKRDRYKGSLDILWSFQMFLTNTFLIPYMAIRLNKPDTNYTPRKTSQLRSTMVNGATVVGVVGGAVCVISILWALFGRPEGYFGNVKDRLEFLVSYLGSERLAYAFIWDICLYIVFQPWLIGENLENVEKRKVDLVKYLRFVPVFGLVAYLVCLDVDEEV >cds-PLY74433.1 pep primary_assembly:Lsat_Salinas_v7:6:11636252:11637235:-1 gene:gene-LSAT_6X9320 transcript:rna-gnl|WGS:NBSK|LSAT_6X9320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITILSEIESSGGETFFYELQVPSFFCELQFRENLQKEPSGGNKKRFERNNLFKHGEKSSDYWNLDSCKGKISRIGRTSLKSVKPVVDDHLDDFTKVNGKPMHVKPGSQHNNNIKGGEVVAGAILGIGSKGYTDSQRKAPPHKHEKQVGPVANKKQKPNDLVEKRKDLWCCLSACSQVTSFSCRACSNPKSLSPNPWRNHASKQSTT >cds-PLY73506.1 pep primary_assembly:Lsat_Salinas_v7:4:22188841:22191718:1 gene:gene-LSAT_4X15480 transcript:rna-gnl|WGS:NBSK|LSAT_4X15480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLAASLLRSSLHRSPSRSPFTHSSRAARTRSQSHPSPTGYFLNRAVNYATSAGAASSQPPATYTVQGSTDGKITDEFTGAGSIGQVCQVIGAVVDVRFTEGLPPILTALEVLDNSIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDHRGDIKTDHYLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMMESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESIASFQGVLDGKYDDLSEQSFYMVGGIEEVIAKAEKIAKENA >cds-PLY64849.1 pep primary_assembly:Lsat_Salinas_v7:2:31314070:31317943:1 gene:gene-LSAT_2X15981 transcript:rna-gnl|WGS:NBSK|LSAT_2X15981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSTSSTRKSYRYDVFLSFRGEDVRKHFVDHLFTALQRQGIHTFKDDPKLEKGRMINHELLKSIEESKLYIVIFSKNYASSSWCLDELVKIMECQKETEQIAYPVFYDVDPSEIRKQLGPVGEAFKRHNNMKEVKKWRGALKEAANLSGWDLRKTDDGHEAKVVSKIVQKISQELHFINMKVEEKLVGMESRIKDIVSSLEMGVEDVRMIGIKGIGGGGKSTLARAVFDKICILFEGKSFVDNIREVSKPSMSGLPSLQQQVLCDVLNDRSITVGSVHDGKSTMQNLMCGQKVLLVLDDVDHLDQLDALAGGINWFKLGSRVIITTRDEQVLIAHNVKPIHNVNLLSNKEAICLFSRYAFGRDIPVQRYKDLSLKVVQYAAGLPLTLKVLGASLCGKEKVEWIDVLRRLKTIPLKETLEKLELSYNSLEDDYKEIFLDVACLLKGWKKDDAIRALESCGFHGRNGLRVLERKSLITISHDQVLSIHDSIEEMGRNIVRRLHPNEPIRHSRLWTRREIEDTLANDLVTEATGVQFQEFLSDLSFLSGVKYNSTSIFLKKGFGNMKKLRFLHVISFPCYVSQVGQNFPNNLQFLSWKGYPHCCLPKTFQANQLVALELPMSIIKQLWEDGERKVLDLSDLDLMELPDILEGCKNSLLEFKISKNNIKELPSSIGELKNLVSLDLSWCKSLKSLPRSICGLPRLKTLNLENCSIKKLPDDFGHLESLEWLNLRGTRVRYLPNSICMLKHLKTLLLARCRVFKELPEDLGLLESLEELSLACSKIRDVPNSICKLTHLREFNLRYCDQLKKLPDKLGDLKCLQRLNVHGTRLSNLPESISLLKGLKIVGFTLGDSSIHSSAPYTTMNNNNSGVLKKYAGIKSIMALRQKLQNIDTRDIRCSSYLSYFLFEF >cds-PLY74121.1 pep primary_assembly:Lsat_Salinas_v7:9:11276992:11278537:-1 gene:gene-LSAT_9X9080 transcript:rna-gnl|WGS:NBSK|LSAT_9X9080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESFKAKTLTPILLVLVLLPCVICSSSQQHIKEDMLNNHHQVVEPISHRLSLQIVIHGFLLWASMGFLIPVGILAIRLSNREENERRLRIMFCIHAILSVILVTAGAILSVKNFSNTFDNMHRRIGVALYGLVLLQALTGLLRPARGGKGRSLWFFSHWALGTTISLLGIMSVYTGLHAYQKKTSRNIKPWVMFYTAEIVCIGFLYLLQEKWEYIQKQEVIPGNESTIVELSDNRETLSSSQNKDSC >cds-PLY91877.1 pep primary_assembly:Lsat_Salinas_v7:8:198133622:198134329:-1 gene:gene-LSAT_8X127861 transcript:rna-gnl|WGS:NBSK|LSAT_8X127861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMPRIIQAKQILQRSLSNGTRASTMPLPKGYFAVYVGEQEKKRFVIPVSLLSQPSFQQLLHQAEEEFGYDHPMGGLTIPCSEHTFFDLANRLGAL >cds-PLY84556.1 pep primary_assembly:Lsat_Salinas_v7:1:29253267:29254611:-1 gene:gene-LSAT_1X24701 transcript:rna-gnl|WGS:NBSK|LSAT_1X24701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAKKIAELCNEAKKSLHWFVLNNCDEDEMRSYKSEFKLELPESDFKKEFPSWFKEKMAEVARGHGGDGGDRPPHGIARGVPSSCQSCKLYEIFNY >cds-PLY61789.1 pep primary_assembly:Lsat_Salinas_v7:8:76618753:76621946:1 gene:gene-LSAT_8X55081 transcript:rna-gnl|WGS:NBSK|LSAT_8X55081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEGHMPRKHSDDSVKLFVGQVPKHMTEAQLIAMFKELALVDEVNIIKDKATRASRGCCFVICPSREEADKAVDGFHNKKTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVSEGEVSELFSQYGTLKDLQILRGSQQTSKGCAFVKYETKEQAVAAIEGLNGKHKMEGSTVPLVVKWADTEKERQARKAQKAQSLANIDPTQHPSLFGALPMGYMSPYNGYGYQATGTYGLMQPPFASLSPNQANAYRGGSPRNYVGVGVGPPTGGYMGSAYQAMPPRLQYPVAYPGGLRPFSGPSSPLPPDVSKNHAATSSTVSADHVEGPPGANLFIYHIPQEFGDEELANAFQSFGRVLSSKVFVDKSTGVSKCFGFVSYESPNAAQSAINTMNGYQLGGKKLKVQLKRDNKQNKIY >cds-PLY95978.1 pep primary_assembly:Lsat_Salinas_v7:9:42877331:42881637:-1 gene:gene-LSAT_9X38960 transcript:rna-gnl|WGS:NBSK|LSAT_9X38960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVALLAFLVPLVEVSLKIGQWLCGPVKNQHGYLFNYISNIGKLRDGVEDLENRRASVQHSVDAAMRNLEVIKPDVLAWMNGVDELKKEADKVLQATTTDSVQKWFWCFCGRFLNIKSRYSRSKKAVKMMEDVKQLQEKYKFTNVSNPPLPVEITDFNHLSYSEGFGSRVSIRTEIMESLKDDAVCVIGVCGMGGVGKTTMAKEVGARAKLEHLFDVIIMVDVTQAPNKNTIQSSISEQLGLKLQEESLLVRAARVSARLKMLTRVLVILDDIWSRLDMEELGIPFGSDRQHHGCKILLTSRSISACNQMRADRIFKIREMPLNEAWLLFERTAKKAFHPDPNLHQVARDIVEECGGLPLAIVTIARALESEKDKSMWDDALQRLRSYDLEGEYASVYSSLEVTYNFLESDEMKHVFLLCCLFPEGHDISIEDLLRLGLGLSLFKKTTGVSEARIRINAFVQKLKNLNLLLDGSDHEQSVKLHDLVRDSALKIASKNKHVFVVKNGDGLTFWPYELTDECCTSISLRCDEMSELPGRLNCPKLELLLLIGGNHPLEFPTGFYEGMTELKVIVLRGMLVQLQSYPVSFEVSSKLRNLSLEYCTFDKTSDISMIGNLVKLETLSFLHSDVKELPEEIGKLSQLKVLDLTGCGDLFNIAPGVLKSLIQLEELYMSGTLVSWPDEQITTCITELNSLSMLTALEIELSIYDLLPHDFIFMRLKRFRICIGFSIESKMFQNMLQVRFPAHWRDGGIEVLFNRTEILHLHGWRLLTNSSLKNPSRSNFLMLRNLKLERCDLSYLTEICQGQNHVVGEITVDEPDHQLTLFENLHDLEIVGCVNLRRVFSLSAPTDFRKLESLKIIGCEMIEEIFLRKKDEKSVVEIQLLNLKSLILEDLPRLTGFCKDVFSFAIPQLLEFRLRNLPKLQSLNQKILSTMQLKVLSVSKMKINEIQNHLLPVSSFVNLRVMSLCYCDDLSSVVLSGLLQKPKDLKVLRIERCHMVEVVFDIDRLIREDHEVLKNLSDLELEHLPAMTHIWNHGPETFVGFQNLTKLSVSSCHKLTYILLPSIATILSHLQELSITECRNLTVILKEEDEHEATQLTSESHELVFPRLKSLELIDLPCLRCFYSSGLHSFIWPLLESVWIDCCKEMMVFTAGTSNTPKLREISINGQNHNMEGDINTDLRWLQQQLNDGNTSDSTFTSSLVE >cds-PLY87667.1 pep primary_assembly:Lsat_Salinas_v7:6:46228451:46233918:1 gene:gene-LSAT_6X34200 transcript:rna-gnl|WGS:NBSK|LSAT_6X34200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKPNSSSGGLWRTQSDNLTKILGDAMKSSVSNEETKEVDSYTLSRKSSKNQVLASPGRSGTSGRNAHIKRGKSTQMKFDFDEVSTGAALSRASSASLGFSFSLAAFTMPPDEIADSRPFSDDDIPEDIEAGTRKRFQTEPTMQIYLKFTEVTYKIITKGVTSTQEKYILNGINGSVNPGEVLALMGPSGSGKTTLLSLLGGRLTEHTLGGSVTYNDKPYSKLLKSRIGFVTQDDVLFPHLTVKETITYAALLRLSKTLTKQEKEKRAEDVIYELGLERCQDTMIGNSFIRGVSGGERKRVSIGNEIIINPSLLFLDEPTSGLDSTTSLRVIELLQDIAENDKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMVYFSSIGCSPLIAMNPAEFLLDLANGNMNDVSVPPELEDRVHLGNSGRETTNGKPSPSIVHEYLVEACMSHVGEETKKRLRTQTSLDQEKTFQKREWGASWREQYLILFMRGIKERRHDYFSWLRITQIVATAIILGLLWWQSDVHTPKDLQNQAGLLFFIAVFWAFFPVFTAIFTFPQERAMLKKERAADMYKLSAYFLARTTSDLPLDLLLPIIFLLIVYFMGGLRLTAQSFFLTMLIVFLCIVSAQGLGLAIGAAVMDLNKATTLASVTVMAFMLAGGFFVKNVPVFISWLSYLSFNYHTYRLLLKVQYEHISSVIEGIELDSGLKEVGALAAMAVGYRILAYLSLRHL >cds-PLY61793.1 pep primary_assembly:Lsat_Salinas_v7:5:82272585:82273015:1 gene:gene-LSAT_5X36781 transcript:rna-gnl|WGS:NBSK|LSAT_5X36781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASYSTFRCTKATVCHRRLLPFHRHQSILLTFINYRTNDITSDREKTPSWRCRW >cds-PLY89812.1 pep primary_assembly:Lsat_Salinas_v7:8:215987098:215988591:-1 gene:gene-LSAT_8X136100 transcript:rna-gnl|WGS:NBSK|LSAT_8X136100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLRVKVGGLKDVVLSEPVSPTGQYFNCSVLSTSILCILEFDNPFDDSSSLVLINDVFLPINPRFSSIMVEDKKGGKHWKRVEVNAEDHIKIPCFPEGLSPESYDHYFNDYLSKMAMDPLPQTKLLWEIHIIKYQTSNAFGSLVFKLHHSLE >cds-PLY97376.1 pep primary_assembly:Lsat_Salinas_v7:3:244858949:244860759:1 gene:gene-LSAT_3X134741 transcript:rna-gnl|WGS:NBSK|LSAT_3X134741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMRLLISGSIDGFDVDDLRSNTNYVGGYHHMCPTQAITIEGEEREDGSRRTTSYDIDMAKCIYCGFCQEAFPVDAIVEGSNFEFTTETHEVGSVPDLIEQIHRTTARLLLHISK >cds-PLY76384.1 pep primary_assembly:Lsat_Salinas_v7:8:85114108:85114580:1 gene:gene-LSAT_8X59561 transcript:rna-gnl|WGS:NBSK|LSAT_8X59561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMMARRGGKIKYVRRIGVVHGSLSQTVAGVDEVVLPCHEKLDHEDFETIKDLQASGYDHGEIVEAFNKLTKERKEMLVESILDEETNQETQDLLVRKRKPSERIIKIKLKKAVHDPDAGGSTTEKTLILD >cds-PLY87604.1 pep primary_assembly:Lsat_Salinas_v7:8:112669776:112670576:1 gene:gene-LSAT_8X78880 transcript:rna-gnl|WGS:NBSK|LSAT_8X78880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY92332.1 pep primary_assembly:Lsat_Salinas_v7:9:177999515:178000852:1 gene:gene-LSAT_9X110380 transcript:rna-gnl|WGS:NBSK|LSAT_9X110380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVFGGDSWGREAQYRKRRVDDLLLDTAADHSSYRKLPTGKYACLICPHNPVLDTALMLSMHVKARRHVDAEMRLKQREEERQKELNKRMAFSLDSTSMSKTNLASKPLIEKTRKAAFEVLHHGYIEQSSANYSQSRCSISENAPYDSTTSRFGETEASGKDIVKMPLDYRERRERELKFTSAGWKRDCHGGWFRDENVEFDSDEEDPNVSLANGD >cds-PLY81980.1 pep primary_assembly:Lsat_Salinas_v7:8:263743358:263744653:1 gene:gene-LSAT_8X152740 transcript:rna-gnl|WGS:NBSK|LSAT_8X152740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METQSIRLRLVFEDGSLLSEAQRSDGMNQSWLLVEPNQHQKISDVCNHLLHSFNLRDSCPNGILLYMDGFVLPPSESTRILKDKEILCVKRKEMGLAEAIEGGDAGNLVDYVEVNRKEPVSNAMLLLPNEEEKLEDESSSVETVSKKRKLQSSK >cds-PLY73800.1 pep primary_assembly:Lsat_Salinas_v7:7:69843637:69844972:1 gene:gene-LSAT_7X50300 transcript:rna-gnl|WGS:NBSK|LSAT_7X50300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVATTKPPQPSLSLPWKTRIHISLFSAVTEACSRKNGTVNRGLLKYLLDFRTPPTSKSVNGVASHDVVVDETRNLWFRVYVPTQHAGEDLPVIVFFHGGGFAFLSPDVEMYDVVCRRFARKVPAIVVSVNYRLSPEHRYPAQHDDCFDVLTFLDDEENRSKCLPENANMLRCFIAGDSAGGNLAHHVAQRACEFNFRRLKVIGVVAIQPFFGGEEQTDSETRLDGTPIVSKRRTDWFWKVFLPKGEGFNRDHPISNVSGPNAVDISKVDFPATMVVVAGFDPLYDWQKRYYKWLKESGKEVYLFEYPNMCHAFYLFPELPESEHLFGQVKDFIEKVSSNVATL >cds-PLY91501.1 pep primary_assembly:Lsat_Salinas_v7:7:143041152:143043982:-1 gene:gene-LSAT_7X85601 transcript:rna-gnl|WGS:NBSK|LSAT_7X85601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVAAVSETTTRNQTTRPPLLPSEKDNGISITTPNKSIRHKPRQVSSRYLSPSPSTSSNSNSISNSNSSSLPTLRRSPSPLISRNSTTPAPKRSVSADRRRPIRADLTLKPNNAGGGAGETLSAATKLLVTSTRSLSVSFQGEAFSLPISKTKVTPQSPNSNTVRKGTPERKRTPTPTPMRTTVDGGGGDHLENTKPIDHHRWPGRIRQANVTVLSKSLSLDCGVEKSKINSSGNVIRPSSHQSNLDFEHSIDLLNHFQQNPDGNSFKDSDTDSVSSGSTSGIQENRSRIGPRGIIVSPKFWQETNSRIRRLQDPSSPLSTSPKSKLITPQKLSISKKFLPESSPLSSPRTMASPNRMRPSSPSKFIASPRTPGSKISSNFSETPSVLSFAVDVRRGKVGENRFFDAHLLRILYNRQLQWRFVNARSEDIVLKQKHSVEENLWNAWITISDLRDSVTKKRHRLQLLRQKLKLASILKAQIIFLEDWGYLDKDHSISLLGAIEALKASTLRLPVGEGASADLQSINDAINSALDLIQAMGSSTRSLCLQVEEVNVMAAELAKVAAKERALLRICKDFLSILSTLKVKDCSLRTHMLQTCRA >cds-PLY98204.1 pep primary_assembly:Lsat_Salinas_v7:2:83215380:83219056:1 gene:gene-LSAT_2X36140 transcript:rna-gnl|WGS:NBSK|LSAT_2X36140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g31850, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G31850) UniProtKB/Swiss-Prot;Acc:Q9SZ52] MAVITLCSSTIYCINPHYTTHTASDTSTSYTLSLKHSKCFTFSKNSSASSGSMVEWKKHRRKFVGICGCVIRSSLDADNLVEIRDSNMSDEEITRVLKSFSDPNEALSFFKSASELPNLVHNTDSCNYMLELLRVNRRIGDMAVVFDVMQKQIIYRNLGTYMIIFKALGVKGGIRQAPFALFQMSKCGFVLNAYSYNGLIDLLLKSGFCKEAFEVYKRMLSEKIKPSLKTYSALMVALGKRRDTGKVMGLLKDMEALGLKPNVYTFTICIRVLGRAGKIDQAFEVFKRMDMEGCGPDVVTYTVLIDALCNAGRLNWAKEMFQKMKSSSHKPDRVTYITLLDKFGDSGDLDSVQSFWMEMESDGYLADVVTFTIYIDALCKVGKINEAFATLNLMKSKGISPNLQTYNTLIRGLLRDDRLDEALELFSSLVSLGVEPTAYTYILFIDYYGKSGEPDKALETFEKMKIRGVVPNLVACNASLYSLAEVGRIGEAKKMFYELKKSGLAPDSVTYNMMMKCFSRAGKIDEAIRLLYEMMESGCDPDVIVINSLIDNLYKSGRPDEAWDLFKRMKEMNLSPTVVTYNTLLSGLRKEGKVVEAIQLFESMKEMNYLAPNTITFNTLLDCVIKNDEVDLAIKFLFEMSHGNCRPDVFTYNTIIYGLIKENRVLDAIYFFHQMKKTLNPDCVTLCTILPSIVKSGKIDSGLKIVNEFIHRVGNRPERVFWKDLIEGITNEAGIGDSVRFVERLISNGTCVNDSVMIPVIKTLCKQKKTLEAYELFVKVTKDYGIQPTLESYFPMIDGLIDDNLYEKGRDLFNGMKDSGCVPDVFTYNLLLAELSKSGRANELFDLYNEMICRGCKPNTITHNILITGLVKSNSLKRAMDLYYDLISGGFSPTPCTCGPLIDGLLKQGKFQESKDFFNEMVEYGCKPNSAIYNILMNGFGKAGMVDSACELFDKMVKEGIRPDLKSYTILVDCLCLVGRVEEAFGYFKRMKETGLDPDVISYNLMINGLGMARRIDDGLGLFDEMRARGVSPNLYTYNVLILNLGIVGRIEEVGRMYKELQVMGLEPNVFTYNALIRGYSVSGNPGRAYAIYEKMMVGGCSPNTGTFAQLPNQS >cds-PLY93161.1 pep primary_assembly:Lsat_Salinas_v7:9:3076227:3079952:-1 gene:gene-LSAT_9X2920 transcript:rna-gnl|WGS:NBSK|LSAT_9X2920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-D-xylosidase 2 [Source:Projected from Arabidopsis thaliana (AT1G02640) UniProtKB/Swiss-Prot;Acc:Q94KD8] MHMPANTLLPQYLFPILFLLLVSWPAGHADHQRHPFACDPSNAAVKDLPFCKVKLPIAERVRDLLSRLTLKEKVGLLVDNATAVPRLGMLGYEWWSEALHGVSNVGPGTRFGGDFPGATAFPQVITTAASFNASLWEEIGRVVSDEARAMYNGGMAGLTYWSPNVNIFRDPRWGRGQETPGEDPIVAGEYAARYVRGLQGNLPGDRLKVAACCKHYTAYDLDNWSGVDRFHFNAKVSKQEMKDTFEVPFRECVMQGKVASVMCSYNQVNGIPTCADPRLLRDTIRGAWGLNGYIVSDCDSVGVLFDNQHYTATPEEAAADAIKAGLDLDCGPFLGIHTQGAMDRGLLKETDVDSALVNSLTVEMRLGMFDGSGDDVFGKLGPRDVCTRSNQELALEAARQGIVLLKNHGPSLPLSQRRHRSVAVIGPNSDVTVTMIGNYAGIACGYTTPLQGMGRYTRTIHHRGCSNVNCKNAHLFGDAIAAARQADATVLVMGLDQSIEAEFKDRDGLLLPGYQQELVTKVAAASKGPTILVVMSGGPVDVSFAEHDPKVSSILWVGYPGQAGGTAIADVLFGTHNPGGKLPMTWYKQDYLSKVPMTTMDMRSNEATGYPGRTYRFYKGPLLVYPFGHGLSYSNFIHTLATAPAIFTVPVDGRHRNTTTTTTNNAIRVTHAKCGGLSLGLQVDVKNTGSKDGSHTLLVYSTPPVGHWGPHKQLVAFAKVHVPARTQLRVPINIHVCKFLSVVDRSGVRRIPMGQHSLHIGDIKHLLSIQSTPLGVIKS >cds-PLY87490.1 pep primary_assembly:Lsat_Salinas_v7:9:160554871:160555595:-1 gene:gene-LSAT_9X100920 transcript:rna-gnl|WGS:NBSK|LSAT_9X100920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVEGNKQTILSNDQKNTTDKKSNPKLTLLPLIALIFYEVSGVPFGVEDSVKAAGGALLSLLGLLIFPIFWSIPKALITAELATSFPENDGYVIWISSAFSPFWGFQEGFWKWFTENSKPLAITILLTHLNYRGLHIFGFSAVLLASFSLLPFAVMGILSIPKIRPKRWITLDFKKVQWRGYFNSMFWNLNYWDKASTLAGEVENPSRTFPKA >cds-PLY76598.1 pep primary_assembly:Lsat_Salinas_v7:5:224408774:224409067:1 gene:gene-LSAT_5X105161 transcript:rna-gnl|WGS:NBSK|LSAT_5X105161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQEHVNKEHVIQENIHVNQEHVNQDPENQYHVNQDPVDEVPINQDPMNPITVKQVPVNLGVRVPKSLGVRARKPLERSNKIQIRKHVFLKGWKRSD >cds-PLY67287.1 pep primary_assembly:Lsat_Salinas_v7:5:133734232:133735006:-1 gene:gene-LSAT_5X57660 transcript:rna-gnl|WGS:NBSK|LSAT_5X57660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENASLLSLLQNTTRSGKPSKTGGGIFRMFKLLPMLTSGCKMVALLGKPRKLLTDKATTGTLFGYRRGRVSLAIQEDPHRLPVFVIELPMHSVAFQKEMASDMVRLSLESETTSRKKKVLEEFVWAVYCNGRKYGYSIRRTQMTDDELHVMQSLRGVSMGAGVLPGLSEKDYAVDGELTYMRARFERVAGSKDSEAFHMINPDGADDGQELSIFFVRVH >cds-PLY83495.1 pep primary_assembly:Lsat_Salinas_v7:8:115936645:115938083:-1 gene:gene-LSAT_8X80580 transcript:rna-gnl|WGS:NBSK|LSAT_8X80580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAMKPTKPGLEEPQEQIHKIRITLSSKNVKNLEKVCSDLVRGAKDKKLRVKGPVRMPTKVLNITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADP >cds-PLY62227.1 pep primary_assembly:Lsat_Salinas_v7:5:164817378:164820488:1 gene:gene-LSAT_5X72381 transcript:rna-gnl|WGS:NBSK|LSAT_5X72381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGYNEAEDLNINMIESYTNNGSRKLRPLVPRPSCAAASSSHRFHSTHHDHHLQPINHHLVAAEQHKREFNSQQMVVVSSRWNPTPEQLQTLEELYRRGTRTPSADEIQHITAQLRRYGKIEGKNVFYWFQNHKARERQKRRRQLDNPTTDLHQPPYYTTDINNINIDRSIERKDPELNRTSYEVEETRNWAIPTFSEQKVLRERTSKAESRVTSIADQGLIPVEQQDEEGNDQLLLLHRRRKSFPEKNATWKHMMQCLSSSTPSTNHNSTTIATTCIPATPVSKTSSYLVVSPNSHRHHHLQHDVESFQTLELFPVNSNGHGREQPDKCLLPTNDVNLSPRQFIEFLPLKN >cds-PLY77311.1 pep primary_assembly:Lsat_Salinas_v7:4:258573121:258592454:-1 gene:gene-LSAT_4X134700 transcript:rna-gnl|WGS:NBSK|LSAT_4X134700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box transcription factor, Regulator of both biotic and abiotic stress response [Source: Projected from Oryza sativa (Os08g0112700)] MARGKVQMRRIENPVHRQVTFCKRRSGLLKKAKELSVLCDAEIGLIIFSSHGKLYELATNGSMQGLLEKYMKSARCSVEKQADENNVLESKMEVSMLKNEIDVLQKGLRYMFEGGAGKMSLDELRILENNLEVWMYHIRSAKMDIMAQEIQLLKNKEGILKAANKCLQEQMEMQYGAVTTDQTMANIPYSLTIQNEIYQY >cds-PLY92363.1 pep primary_assembly:Lsat_Salinas_v7:3:124529220:124530843:1 gene:gene-LSAT_3X86540 transcript:rna-gnl|WGS:NBSK|LSAT_3X86540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQDVDALEILTVIGKIPFLSEFMNSLYECQYKSFFCAFVRVTKHINLDRYLHPHFCFYMREIRTVVYSQFLESYKSVTIEAMAKAFSVTVDFIDLLHVSHTCLMECSILTKRDSQEVVLCNSPVEEHKLFMQ >cds-PLY89915.1 pep primary_assembly:Lsat_Salinas_v7:8:68840749:68844422:1 gene:gene-LSAT_8X48481 transcript:rna-gnl|WGS:NBSK|LSAT_8X48481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCAHYKKMSVFSRLTADAPPHRAFPNSQLLPSPPTSPPSHPSHTSGATIAQQNSDSYAGSSDAKEQIHKLTHVEKLQLKFDLKPRIMEALETRLKELEQKMLDINPKLQEGHIVRWLQTPDIRTLRQGEKKHYNQKVDGYIFTIVLWELLHNKLPFEDMYNLQAAYLQLSSFGRSTRRFSNDYEFMLDGGLRFSQFINQLVNSMLRRGGFRTPLKPREATPFLTPSLGQGIVNTSSLTHQPQPASLGLFFLLLANTTCKH >cds-PLY74474.1 pep primary_assembly:Lsat_Salinas_v7:7:38347160:38347639:-1 gene:gene-LSAT_7X28141 transcript:rna-gnl|WGS:NBSK|LSAT_7X28141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIRDLVQKKTTPIEPGSMAQNIQSTFTESSPVIQDIPSLILEPIPMDWDFQILIIEEEVIPSEGAQALGSSFETPELDISKGKSKLPESDFVDVVQLQNRAFDLEQNSAEKDLIMGKQDIRISELEKENSDKDSKISELQANLGGLTALLCDLKQRLF >cds-PLY82923.1 pep primary_assembly:Lsat_Salinas_v7:1:19858479:19861381:1 gene:gene-LSAT_1X17221 transcript:rna-gnl|WGS:NBSK|LSAT_1X17221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPILLLLSAICHLIVIVGLTLHPTDFLIGTEPQLSFNRTDLHSASLDFGKMTSIEPTAVLHPSSAADVAKIIKLAYESGHGFVVSARGHGHSINGQSQAANGVVVQMSGSSGAPVAPVVSEKLMYVDVWGGELWIDVLKSTLQYGLAPKSWTDYLYLSVGGTLSNAGISGQAFNHGPQISNVHEVDVVTGKGEVVTCAENKNSELFHAVMGGLGQFGIITRARIALEASPQRVRWIRVLYSNFSVFTHDQEYLISLHGQPSSKKFDYVEGFVIVDEGLINNWRSSFFSPSNPVKISSIADGGNVLYCLEITKNYYNHSDPQSVDQEVDALLEQLNYIPASVFTTDLPYVEFLDRVHKAELKLRSKGLWDVPHPWLNLFVPKSRIADFDQGVFKGILGNKTSGPILIYPMNKNKWDAETSVVTPNEEVFYLVALLRSALQNGDEALTLERLSDENRQIIKFCKDEKIDIKQYLPHYTTHEDWMDHYGEKWPQFYKRKMEFDPRHILATGQRIFEPSFISKMKSW >cds-PLY67870.1 pep primary_assembly:Lsat_Salinas_v7:5:11604903:11608774:-1 gene:gene-LSAT_5X5400 transcript:rna-gnl|WGS:NBSK|LSAT_5X5400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGRGSGKGCNLLLIPYDFGTIHLICGKHTIKIRYYSMYGHVEKLAEEIKKGAASVEGVEAKLWQVAETLHEEVLGKMSAPPKSDVPIITASELSEADGFVFGFPTRFGMMSAQFKAFFDSTGGLWRTQQLAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMFEMEQVKGGSPYGAGTYAGDGSRQPSDLELQQAFHQGKHIATITKKLKGLVVAA >cds-PLY89683.1 pep primary_assembly:Lsat_Salinas_v7:8:42155496:42157476:1 gene:gene-LSAT_8X32380 transcript:rna-gnl|WGS:NBSK|LSAT_8X32380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWIGEQIDSVKSMQFRQLLTQAVSLGMIVTSALIIWKGLMCITGSESPVVVVLSGSMEPGFKRGDILFLHMSKEPIRAGEIVVFNVDGREIPIVHRVIKVHEREGSGEVDVLTKGDNNFGDDRLLYAEGQMWLQRHHIMGRAVGFLPYVGWVTIIMTEQPIIKYLLIGALGLLVITSKE >cds-PLY67770.1 pep primary_assembly:Lsat_Salinas_v7:9:164462762:164463798:1 gene:gene-LSAT_9X101841 transcript:rna-gnl|WGS:NBSK|LSAT_9X101841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCSKVGLRRGAWSIEEDTLLTDYINTNGEGRWRSMPSKAGLLRCGKSCRLRWVNYLRPGIKRGNFTADESDIIIRLHSIHGTRWSFIATELAGRTDNEVKNYWNSNLKRKLAIDSGNNDVDDSKKKKKKTTKKKTNQKSRKVKILEKVEETVPQHASSSWPLPSPSESLSLTLRENNSYYDGVMNSESPSSRDADLLSMDMDWRDWVPWMEMEGEARTDGDDDLDIRLADGFDTLMSKEEESNMLEKLYDEYLLLLENEDQV >cds-PLY94851.1 pep primary_assembly:Lsat_Salinas_v7:2:176746833:176747596:1 gene:gene-LSAT_2X100021 transcript:rna-gnl|WGS:NBSK|LSAT_2X100021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDPSQVVDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIAKVMRPRSMAKELQGTVKEILGTCVSVGCTVDGKDPKDLQQEIADGDVEIPQD >cds-PLY99348.1 pep primary_assembly:Lsat_Salinas_v7:1:81266856:81267682:1 gene:gene-LSAT_1X67241 transcript:rna-gnl|WGS:NBSK|LSAT_1X67241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKFSHTSHTKSRLKFFTERSYPNLVDGNNFTFNSDDNGNANHALKLNGAINIEPMDSAGMKLKRKHAEIQPTDAEDDENDEPLSFASPVKSNDHERYSDTSDDELSDH >cds-PLY97759.1 pep primary_assembly:Lsat_Salinas_v7:4:374832567:374834535:1 gene:gene-LSAT_4X185701 transcript:rna-gnl|WGS:NBSK|LSAT_4X185701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLSSEKPRSIDFYKEVAGGGDRNMLIESEQTPHNHQQQHQQQQLMIMAENNNNTSSGEDHELRAPKKRAETWVQEETRILISLRREVDRFFNTSKSNKHLWEQISSKMRDKGFDRSPTMCTDKWRNLLKEFKKVKHKNSKGSSGSTKMLYYKDLEELIRDRAKDGTYKAASPTSSKLDSFIQFSDKGLDDGSIPFGPMEANGRSTVNIERPLDNDGDPLAITTAEAVAGNGVPPWNWRDTPGNGGEGQSCYGRIITVKWGEYTRRVGIDGSAKAIKEAIKSAFGIRSKRAFWLEDEDGVIRALDRTMPVGNYNLHLDEGVSIKICHYDESERIAVRTEDKTFYTEEDLHEFLSGRGLSGLREINGYRSFNNVDDLRLGAAYQGVRLLGGD >cds-PLY61856.1 pep primary_assembly:Lsat_Salinas_v7:6:59812958:59815367:1 gene:gene-LSAT_6X44081 transcript:rna-gnl|WGS:NBSK|LSAT_6X44081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAERLNSPQTSAVLFEVMGYQLQFSQDPNSKHLGTTVWDASMVLVKYLEKNCRKGRFSPSKLKGKRVIELGAGCGVAGFGMALLGCDVVSTDEVEVLPLLMRNIERNISRITQMNPDADSIGSMTAAELSWGNKDHIRALDPPFDYIIGTDVVYAEHLLEPLLQTMLALSGPKTTILIGYEIRSTNVHEQMIQLWKKHFEVKIVPKSKMDVKYNHPSIQLYIMTLKTLEGSINLEDEVDTSNSNGNSNSNSKMVEDEGDDELPTEPHDGSLSEWEARRYGSMAARLLRDIKIT >cds-PLY65651.1 pep primary_assembly:Lsat_Salinas_v7:7:27225546:27228613:1 gene:gene-LSAT_7X21420 transcript:rna-gnl|WGS:NBSK|LSAT_7X21420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKYTIDGIYSTKFDVFNFGVLVLEIVNGKKNRGFHHANHDLNLLGHPWRLYKNGKQLELMDDTINDSYVHTQVNKAIYICLLCVQKYPEDRPDMPLVVVMLGSQIPLPEPKQPGFYTERRRPQEADCSSSNPEWSSSNHLSVTYLQPRYKLINRWKKFGSVSQSDGATKMVAVDGGLRSGLNLVGAMLDFFRSFSSQGFSCEHASKRRTKSK >cds-PLY92029.1 pep primary_assembly:Lsat_Salinas_v7:8:241465454:241467512:-1 gene:gene-LSAT_8X145420 transcript:rna-gnl|WGS:NBSK|LSAT_8X145420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFLPYRNPTLISFWRTLWHCFDAPPPLRMENLNVWMRQSMEMLQGLLITDCFFTKTNVKKKVLKNDC >cds-PLY66006.1 pep primary_assembly:Lsat_Salinas_v7:4:135826304:135829491:1 gene:gene-LSAT_4X84721 transcript:rna-gnl|WGS:NBSK|LSAT_4X84721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMTMAVSLMVMLCAFTVVVGEPSLSESDKILKLPGQPPVGFQQFSGYVTLDSKRKRALFYYFVEAEVDPVSKPLVLWLNGGPGCSSLGVGAFSENGPFRPNGQGLVRNEYSWNTEANMLYLETPVGVGFSYDSSNSSGYEVVTDAITARDNLVFLHKWFLKFPQYQQRDLFITGESYAGHYIPQLAELMVQFNKNKKLFNLKGIALGNPVLEYATDLNSRAEYFWSHGLISDSTYKLFTSACNYSRYVSEYYRDSVSPICSKVYSQVSRETSRFVDKYDVTLDVCIPSVLSQSKIISPQQVTERVDVCVEDETVNYLNRRDVRRALHARLVGVRKWEVCSNILDYELLNIEIPTINIVGSLLKEGIRVLVYSGDQDSVIPLMGSRALVHRLATEMGLETTVPYRVWFEGQQVGGWTQVYGNIFSFATVRGASHEAPFSQPERSLVLFRSFLKGNPLPESF >cds-PLY87968.1 pep primary_assembly:Lsat_Salinas_v7:3:178001113:178006940:1 gene:gene-LSAT_3X108001 transcript:rna-gnl|WGS:NBSK|LSAT_3X108001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLTEEIENEGGIWALEQKIDQPMDEEAGRLKNMYREKKFSVILLLRLAFQSLGVVYGDLGTSPLYVFYNTFPKGIDDTEDVIGALSLIIYSLTLVPLIKYVFIVCRANDNGQGGTFALYSLLCRHAKVNTIPNQHRTDEELTTYSRNTIHKQSFAAKTKRWLEAHTFRKNALLLLVLVGTCMVIGDGILTPAISVLSASGGIKIDHPRMSNDVVVLVSVIILLFLFSLQHYGPDKVGWLFAPIVLLWFLLIGGIGIFNICKYDKGILRAFSPVYIFHYFKRRGKNGWISLGGIMLSITGTEALFADLAHFPVSAIQLAFTVVVFPCLLLAYCGQAAYLMKNKEHVYDAFYRSIPDGIYWPMFVIATLAAIVASQATISATFSIIKQANALGCFPRIKVVHTSKKFLGQIYVPDINWILMVLCIVVTVGFKNQSQIGNAYGTAVVVVMLVTTFLMILIMLLVWRCHWILVFIFTALSLIVECTYFSSVLFKINQGGWVPLVIAGVFLLIMYIWHYGTLKRYEFEMHSKISMAWILGLGPSLGLVRVPGVGLVYTELASGVPHIFSHLVTNLPAIHSVVVFVCVKYLPVYTVPEDERFLVKRIGPKNFHIFRCVARYGYKDLHKKDDEFEKKLFDNLFLFIRLESMMEGCSDSDEYSVFSGGQKTVCSPENETCSSVGDCILPENMAAGMSSGRTSSHTEVDEMEFLLSCRAAGVVHIMGNTVVTARRGSRFYKRISIDYIYAFLRKICREHSVIFNVPHETLLNVGQVFYV >cds-PLY79586.1 pep primary_assembly:Lsat_Salinas_v7:2:166463348:166466000:-1 gene:gene-LSAT_2X87700 transcript:rna-gnl|WGS:NBSK|LSAT_2X87700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPDIEVAVVDISVPRITAWNSDQLPIYEPGLDDVVKQRRGKNLFFSTDVEKHVCEADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPGGEKAIQALKAVYAKWVPDENIITTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVSQVAYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKTRFVNRVVASMFNTVSNKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKALLSIYDPQVTEDQIQRDLSMKKFDWDHPLHLQPMSPTTVKQVGVVWDAYEATKDAHGVCILTEWDEFKNLDFQKIYDNMQKPAFVFDGRNVVDSEKLREIGFIVYSIGKPLDAWLKDMPALV >cds-PLY99783.1 pep primary_assembly:Lsat_Salinas_v7:9:52996817:52998003:1 gene:gene-LSAT_0X8021 transcript:rna-gnl|WGS:NBSK|LSAT_0X8021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDKATYDKLLTEAPKFKLITPSILSDRLRINGSLARKAIKDLMARGAIRMVSAHASQQIYTRATNT >cds-PLY85928.1 pep primary_assembly:Lsat_Salinas_v7:2:190158273:190159294:1 gene:gene-LSAT_2X111821 transcript:rna-gnl|WGS:NBSK|LSAT_2X111821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRSLGRQKIKMAQIQKKSHLQVTFSKRRVGLFKKASELCTLCGVDIAIVVFSPAGKVYSFGHPKVDSVVDRFLTRKQINPMPTNLHLVEAHRIASIPDLNLQQTHMRDELEAEKKRRETLDEMRKASQNQFWWEAPIEDLNLHELEQLKDSMEELKKTISIQANKLFLENANSVTIFGTNNVISGDADYYEMKPNPIISASCTSSHVHSYGYGHGKLNAQPSLVLLRLDLLALFIWGKNEMFMH >cds-PLY65945.1 pep primary_assembly:Lsat_Salinas_v7:4:135453761:135458572:-1 gene:gene-LSAT_4X84780 transcript:rna-gnl|WGS:NBSK|LSAT_4X84780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTIPTVDHNSVSVDQELTQANGISHEVDHPQPDILGDLLSPLAIEAPPAVSEDDALALAPVEEQDNLVKVQCPVEVVNLGPSRDVVVLNLSYKFGTNLVETKLRLPAVINKIFQPIPVSTEELREVVQIFLKVHNTFLDLKELNILQESNIPCCHRPLWVLLFRTCSVSKYIYINGKKKSISYGEKYVYEPIKSDDVDALIYTGNPKGVMLTHSNLLHQEAMLKEELQNMERSQKREGVDMTYLKNFIVKLLETCEPEPLTGEEHGVYGKKIIILEVSASYEQALMDARKLIEREMERFKICEKEKKKKAFSKEGLGQQPKTVSELESQIDSFEAEMKVLSVKKGKARPPRLKSFCQKHSHQQKVHIDLV >cds-PLY78918.1 pep primary_assembly:Lsat_Salinas_v7:8:2690496:2691772:1 gene:gene-LSAT_8X560 transcript:rna-gnl|WGS:NBSK|LSAT_8X560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNKRGFVVLVIGFVVLLELCLLASANVVFQVQHKFAGNKRSLTQFKAHDSDRHRRILSAVDLPIGGDGSPTSAALYFTKIQIGTPPKDYHVQVDTGSDLLWVNCAGCQKCPKKSDLGISLALYNPQASSTAKKINCDQEICVTTLSGPNDECRVGMYCSYQVTYGDGSSTMGYFVRDTIQLDRASGDLQTTFMNGSIAFGQVCCFSPSA >cds-PLY99199.1 pep primary_assembly:Lsat_Salinas_v7:4:366993883:366994576:1 gene:gene-LSAT_4X179800 transcript:rna-gnl|WGS:NBSK|LSAT_4X179800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPHSRIRRDPHKIADDNDDDRWFPFYFVIMMPNTVATIAKAIRRNEYSTALLCASVFLCFVLLKFCLSRYRSLPENEKSSQKFVLKLNMWFLYTVISFGFVYPFADFFPPPTTVALYSVVVVFSLFLFYVFVMVDLARYWKLWIHSEDEHRRLDARNLNDYSVLIWEQV >cds-PLY93477.1 pep primary_assembly:Lsat_Salinas_v7:9:58917643:58920053:1 gene:gene-LSAT_9X52140 transcript:rna-gnl|WGS:NBSK|LSAT_9X52140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVSYLPVSAIFTVLSITTLHYWTEPSLTELKSDGLNRNILLHPEDVTHALELLLLSSNSTIALVANFVINIYLLIILSLKTIFFGELHAFESRKLLEQLVNYVMYKGMFLPLVVPPTVIQVGSWSTWLIVVSSLKMFQALARDRLQSLNSSPSATPRSYFRVYCVLLLVISVDVVWIRICMLIYDTTPSSLDMLLFFEPLSIASETLQPMDFNSLIYGNITQQAPPQIPKCAMWEWKSVLSRNLGFLLEMMSLLMALAHYVHILWLHGVNVHILDAVIFLNIRALLSAIVKRTKGFIKLQLALATLNGALPDATSEEIKSYDDECAICREPMTKAKKLTCNHLFHLSCLRSWLDQGQREYYSCPTCRKPLFGNVTPNTEDISNDEQIARELSSALEWQNPHGHTHTTLPSWNFWPINAFHATSSSSSSSSNAPVHNHAPTVVPDDSLNRVGLHLTYQDLRRMVEMAEIVREVLPHVPRNIIMQDLERTMSTSVTVNNLLQI >cds-PLY85441.1 pep primary_assembly:Lsat_Salinas_v7:3:41783026:41785082:1 gene:gene-LSAT_3X31601 transcript:rna-gnl|WGS:NBSK|LSAT_3X31601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVRDALNSALDEEMAADSSVFIMGEEVGEYQGAYKITKGLLHKYGPERVVDTPITEAGFTGLAVGAAYHGLKPVIEFMTFNFSMQAIDHIINSAAKSNYMSAGQINVPIVFRGPNGAAAGVGAQHSQCYGAWYGAVPGLKVIVPYSSEDARGLLKAAIRDPDPVVFLENEILYGESFPVSAEVLDPNFCLPIGKAKIEREGKDVTITAYSKMVGFALKAAEILEKDGINAEVINLRCIRPLDRDTINDSVRKTNRLVTVEEGFRQHGVGAEICAMVVEDSFGYLDAPVERIAGADVPTPYAANLERLAFPQIEDIVRATKRACYRSA >cds-PLY73140.1 pep primary_assembly:Lsat_Salinas_v7:2:191601623:191601844:-1 gene:gene-LSAT_2X112360 transcript:rna-gnl|WGS:NBSK|LSAT_2X112360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNGDDTIGDLKKLVAAQTGTRADKIRIQKWYNIYKDHITLQDYEIHDGMGLELYYN >cds-PLY78284.1 pep primary_assembly:Lsat_Salinas_v7:1:130018338:130020930:-1 gene:gene-LSAT_1X96760 transcript:rna-gnl|WGS:NBSK|LSAT_1X96760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEIGKKKRVVVESLGWLTESSIMPKKHRAIAGVGPSSILELKAQLYKSQEEAKSANSSKNPDQLEFHRAKKKITPHDPFAAKNSGVDVRSHKDKLEMKAEKDGSASYAALEKKAELYEKLARGEVSDEEDKEKYCVDFFSKKEESESHSHSQNFLYSEMSERDDDDVAVLPESRAMGLGRANSMVDNDEHKRFVREVHEEVNEAREKVSDLKVRRQEQVAIRREKLKQAYLRKQLEKLKAQSKQGNT >cds-PLY77950.1 pep primary_assembly:Lsat_Salinas_v7:1:24649243:24652186:1 gene:gene-LSAT_1X20960 transcript:rna-gnl|WGS:NBSK|LSAT_1X20960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSHKGEHQTVPLSVLLKRELANEKIERPEIVSGQANQSKKGEDFTLIKSECQRVLGDGVTTYSVFGILDGHNGAAAAIYTKENLLNNVLSAIPADLNREEWIAALPRALVAGFIKTDKDFQEKGQLSGTTVTFVIIEGFIVTVASVGDSRCILESADGSLYYLSADHRFEDNEEETERVTASGGEVGRLNTGGGTQIGPLRCWPGGLCLSRSIGDLDVGEFIVPVPYVKQVKLSSAGGRLIIASDGVWDALSAEAALECCRGMPPDAAAGQVVKEAVQPKGLRDDTTCVVVDILPAEKTNPPPMPQHKKMTKGVFKVKTIFKRKSTESTSHIGEEYLEPDVVEELVEEGSAMLSERFDTKYPLCNMFKLFTCAVCQVEMKPGEGISIHAGGSCNTRNSRPWDGPFLCVTCQEKREAMEGKNHSGNGRYSSGSE >cds-PLY83119.1 pep primary_assembly:Lsat_Salinas_v7:3:241580023:241581332:-1 gene:gene-LSAT_3X134300 transcript:rna-gnl|WGS:NBSK|LSAT_3X134300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAGALSPNDLDTKYSIKRAPTSKPPFSLSDIKKAIPPHCFQRSLIRSFSYLVFDLIISSILYYLATTYIPQLPHPLPYIVWPVYWFLQGCIFMGLWLIAHECGHHAFSDHVWVEDSIGFVLHSCLLTPYFSWKISHRRHHANTGSLQHDEVYVPKTKSKLASSSFYLDNPIGRSLTLLVKLTLGWYIYLTINAAGRPYDKFASHYDPRSPIFSDSERVLILMSDIGLITFSYMLYKVAMIQGFAWVFCVYGGALMVMNAFLVIITYLHHTHPSLPHYDDSEWNWIKGALSTVDRDYGVLNMVFHNITDTHVLHHLFSYIPHYHAMEATKAIRPILGEFYQSDSTPFFLALWRESKNCLFVEPDNEIDEKKKGIYWFKGQY >cds-PLY64522.1 pep primary_assembly:Lsat_Salinas_v7:6:36187771:36191934:1 gene:gene-LSAT_6X28620 transcript:rna-gnl|WGS:NBSK|LSAT_6X28620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKSYLRYEPATAFGVIASVDSNITYDTSGKHLLAPALEKVGVWHVRQGVCAKTLTPSTQSRGPSLAVTSIAAVPSSSSLIASGHADGSIRIWDCERGTCETTLNGHKGATTILRFNKTGSLLASGSKDNDIVLWDVIGEVGLFRLRGHRDQVTDLAFLDSGKKLVSSSKDKFLRVWDLDTQHCTQIIGGHHSEVWSIDVDPEERYLVTGSADSELRFYTIKQENLDTNNKDSSIENKWEILKPFGEIQRQSKDRVATVRFNKSGTLLACQAAGKTVEIYRVLDETESKRKAKRRVNRKKEKKSSKMVENGNTEDSNLTMVTEVTVPDVFKLLQTVRATKKISSISFSPITSKNSLATLAISLNNNLLEIYSIDTNSTTKTSSIELQGHRSDVRSVTLSSDNTLLMSTSHNSVKIWNPSTGSCLRTIDSGYGLCSLFVPGNKFAVIGTKSGNLEIIDVRSGTLVEVVKAHTGAIQSIVATSDGGGFVTGSSDHDVKFWEYETTKIQGQDSVNLTVSTVKNLSMNDDVIVVAVSPDGKHIAVALLDCTVKVFYMDTSKFFLTLYGHKLPVLCMDISSDGDLIVTGSADKNIKIWGLDFGDCHKSIFAHADSVTGVKFVRNTHYMFTVGKDRVVKYWDADKFELLLTLEGHHAEIWCLSISDRGDFVVTGSHDRSIRRWDRTEEPFFLEEEKEKRLEEMFEADLENLENRYLPQQELPEEGAVAIAGKKTQDTLTGTDSIIEALDIATEELKRMAENEEEKKQGKGGEFRSNVIMLGLSPSDYILRAVSKVHTNDLEQTLLALPFSDALKLLSNLKDWSSNPDKVELVCRIATVLLQLHHNQLVATTSTRPLLALLKDILHAKVKECKDTLGFNLAAMDHIKQLMASKSDAPFRDAKSKLQEIRAKQSKRVEERGDTKGERRKKKKQKKDDGHVWS >cds-PLY83504.1 pep primary_assembly:Lsat_Salinas_v7:5:22761040:22764380:-1 gene:gene-LSAT_5X10960 transcript:rna-gnl|WGS:NBSK|LSAT_5X10960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLKRHKSLLISPKSLILCCAIFTLFGLLVLAFISGGDLPAGTPIPPPPELHNTTAKPASTCATVEEMGNEAVGPSGGVDSWKQSLKVRRLIRNHFESHGASRVRLLPPDEFCKQGFVMGKASEAGFGNEMYKILTAAALSIMDKYPFGEYITYANFSFTLKEVKHLWRKNDCVGKYGRHLVMRVDDFEKPSETNVLCSNWRKWKHPIIWFKGTTDAVGAQFFLKNVHVQMRKSASALFGNTEFLASRPNVIGELLRVLISPSPDVERAVNWVINNGPDPDIALHMRMLMNRSPKVINAALNCIKTTISRTPHLSHVKPRVVLISDTPSLITDFMPKLQGFTEVLHFEYKNFKGNISGEPQVVKFRSKDWGPAPRWVAFVDFFLASRATTAVITGAQRRVGTTYAQLIAALAEAHQLDDGGWGNFSFISSFQSNLLHRGLQNQVGWGHVWNRFAGGLSCHGQGNQCAVTPVLPPGWWDGLWQSPVARDIRRMESYGVKLSGFGTIDENRVRVHCKARKAIIRTIPITRGCIGLKCI >cds-PLY85647.1 pep primary_assembly:Lsat_Salinas_v7:6:84714414:84716384:1 gene:gene-LSAT_6X59160 transcript:rna-gnl|WGS:NBSK|LSAT_6X59160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPPDTSKTTKLERYNTYIRKVNTSKLLAASSKLLFRLTLLVALVLIFFFTLNYPPLSDSTTTAASNHRVLTTSHLLSSAFYGSGASWEKQVRRSSTPHRPNGFSVLVTGAGGFVGTHCSLALKKRGDGVVGLDNFNSYYDPSLKRARQEVLKQHDIFIVEGDLNDGELLAKLFDIAPFSHILHLAAQAGVRYAMQNPQSYVKSNIAGFVNLLEIAKNADPQPSIVWASSSSVYGLNTENPFSESHRTDQPASLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTKDILQGKPINVYQTHDEKEVARDFTYIDDIVKGCLGALDTAEKSTGKGGKKRGPAQLRIYNLGNTSPVSVGKLVSILESLLNVKAKKHVIKMPRNGDVPYTHANVSLAFRDFGYKPTTDLSTGLRKFVKWYVSYYGIKPRVKMGITGAN >cds-PLY86056.1 pep primary_assembly:Lsat_Salinas_v7:3:195329886:195332251:-1 gene:gene-LSAT_3X116420 transcript:rna-gnl|WGS:NBSK|LSAT_3X116420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRPSGAGMIPKLNVVILGESLASEEDDLVFPGHDFSQQAHVPSPQKVKVEKKTWKVDAGSRTVDLELTTLSSTHHVELNPSDDGFQERYIVQEIIKEMAKNRPIDVKGKKGFKEQLKQANETDHRAKVSTSKKIIDAKLAKDFQAVLKEFQKARRLSAERETTYTPFVPQAVLPSSYAASGIIEEREQGIQEIHNQTGEVNEISKDLAVLVHVQGAMIVDIGSNIENSHAATAQARIQLSESIFIG >cds-PLY99480.1 pep primary_assembly:Lsat_Salinas_v7:4:57681878:57685181:1 gene:gene-LSAT_4X38680 transcript:rna-gnl|WGS:NBSK|LSAT_4X38680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80770) TAIR;Acc:AT1G80770] MTSGSFTLLQLWQLPYSTLLFNRTKLCKGLYPLPRMKIYPLCFSKQMQTTTYEVVKGSYLETSQTKHENKTKETASEILDTVGAFQKLPMVMPSVDILYSSLRKAKRIAPTKGIANAAKREKNKGAKQLDALMKELTLPLRTYKDNFPNKRQLHPYERSLIELTLGDGNYEEVLGKVDYLRKKLVSVGKEHASLCAQSTTKREAEERLVEGMRKLEEIFLSDGKAVDDLMQIAKTLRAMPVVDLEMPTLCLVGAPNVGKSSLVRILSTGKPEICNYPFTTRGILMGHIALSYQNFQVTDTPGILRRRDEDRNNLEKLTLAVLSHLPTAVLFVHDLTGECGTSPSDQFLIYQEIKERFSEHLWIDVVSKCDLLEESPVLYVTENAEDDNDELLKYRKVGPDGALRVSVTNEVGLNELKNRVHEVLVSQSARLNIQKPEGIMDNISSPRKTFI >cds-PLY67308.1 pep primary_assembly:Lsat_Salinas_v7:8:236491240:236491866:-1 gene:gene-LSAT_8X142920 transcript:rna-gnl|WGS:NBSK|LSAT_8X142920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTAACTTVIAVVILVLSLTGSQMATAATCSVTELSPCLPAFTSSATPTAQCCAKLKEQKPCLCGYIRNPSLRSYVTSPNARKVASTCGVPIPQC >cds-PLY95324.1 pep primary_assembly:Lsat_Salinas_v7:8:243602531:243607232:-1 gene:gene-LSAT_8X144040 transcript:rna-gnl|WGS:NBSK|LSAT_8X144040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGWISSKLKVAETLLQQASFSLIDQQAAESLRKNEASRSDDDFSPAIPKKPGDILPPLKDQLKKKKKEAPENSDFVAKLRNDNNLKVDGNEVGGSQNVNPKSSLTDSDWTELLSAPSKTINGSNGGVPRVSGLLKDGKRQIRSGSNLLALERKRSPAVQNKSARRSDVALGDKSNGDSLDGNQGSVSSESTQASFGLQGLAKNLSSELLDKDASGSLVTDGRKKELPIHLNDENAPETQSAFNPVLDLNIEVKNEEQRSNSSINMVPHNKIIGIPVTSSSGKMDSPSDGELNMETDSDSSSDSESEGEREERRRRREQLLAEKAAAKAIEAIKARENLVARLEGEKQSIEKAIDERAKQQAQEASQLQMTMMETMEAVDLEKQKHNDTRMKALMKLAKLETTNAELAKSLASAQWSLEIEVKRVAELDRQIELKEATHEGDSIKGS >cds-PLY78982.1 pep primary_assembly:Lsat_Salinas_v7:3:174662721:174663047:1 gene:gene-LSAT_3X103860 transcript:rna-gnl|WGS:NBSK|LSAT_3X103860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLRPRGLSYAIRLSLSSNNDANFLLEVSSSRLCPYPPTQQPPHAAYHAATDLAAIPRPWKWFLLDDGVYGHDTVGNITIIKLLTSLSLTMNLILDGNIWEEARKL >cds-PLY98935.1 pep primary_assembly:Lsat_Salinas_v7:7:51690496:51692356:1 gene:gene-LSAT_7X37520 transcript:rna-gnl|WGS:NBSK|LSAT_7X37520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESWVPLLDIFMNSTCPETEASLWLQSSFNPSSNPSISISISTASFLALLMQSTEADVVCSPSSSSSSLPSKHRFMWIQTLPNAVQARILSFLTYEHAKFNKQDLSKLARDILYNETVVDFWVNKAAHQLFDVVSDSNSKWVSSFNLDSEEEKFEASFGAVPGWLKDAANSNDPILPWLPISVDDLNTKTPHITSEDDEYTIMEVEEGKDGNFESIESTHREIDPIDHETEETALCLKTRILNMESSLKAAEIADDIQKLCVKRKGESLKVLDLIQPWNVNDEVIPILLSHLLNGKEDEFEWTSNILCSILLPKFLTLEKPASRILVSATIDYCKVHHKAAVYALLFPLILHKNGINTPICDVITKIVKECLHPAHVSAFCQKLLSEDELDGKRHICPPCYQHLVCEKLVWTELLFTLFQNILNHNVHLSQDSVDQIVFKIQESAKVLSKSLKFANFLLCFVTKCGSLLKIHKAVLSEAVGDTSSFLKKSILSKLDSI >cds-PLY82262.1 pep primary_assembly:Lsat_Salinas_v7:1:66594409:66597186:1 gene:gene-LSAT_1X58521 transcript:rna-gnl|WGS:NBSK|LSAT_1X58521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVKMMKWRPWPPLLSRKFEVKLVVKKMEGANCDPLHSDLEKDNRRVVEIKWKGPKITLGSFRRTAKRDFTREGEIVDPNGVVFWDQEFNSVCTLSGYKENDFLPWEIGFTVGSNTGLKNKVLLIGKAYLNLAEFASSANEKEFELNIPLVVASGSTEPHPSLHVSLSLMELRAAQTQNQSSETSSGDKDEHSALKAGLRKVKIFKEYVSIKRGKKASGEDDNEISESRSDEGDYSNSNSNLIDSDSGDDSGDGESFENANVRKSFSYGTLAYANYAGGSLFEDNLYYSNQKSDESERCSPENDPTASSSEQSITQIPKRSILPWKKRKLNFKSPPKGEPLLKKDYGEEGGDDIDFDRRQLSSDESLVNLPQVILPASEFGDDSFAIGNWEQREIISRDGCMKLQTEVFFASIDQRSEEAAGESACTALVTVISDWFQNNHNLMPIKSQFDSLIREGSSEWRRLCDNETYMVRFPDKHFDLDTVLEANLRPLSVIPGKSFVGFFHPDELHEGSFDFLHGAMSFDNMWDEISHESEASTNSEPQVYIVSWNDHFFVLKVDSDAYYIIDTLGERLFEGCNQAYILKFDRSSAIFRLPAMDSGEQTPAAEQPSDSDDKICYGKESCKDYIKNFLAAIPIRELQADIKKGLVSSTPVHHRLQIEFHYTQSPPPPATNGVVVDATSAPVTEVVI >cds-PLY61833.1 pep primary_assembly:Lsat_Salinas_v7:6:57474849:57475799:-1 gene:gene-LSAT_6X42040 transcript:rna-gnl|WGS:NBSK|LSAT_6X42040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSCYATILTNSWKFKNAELITSFNGKQYPVCVRECENKWEQFLNRSFPNAPLSEVNESKDDEDIKESDDDSEENSDDEGVLETWINQDKMEGLEEGEIGMEEITTTRNVASSRYQMGGEDESWKVGDRGEALITEAKSSEDGEVPKSQSKKRNFSHMNPNSDFPSSVARNLEFKFQPNSHLIPEPENSIDLNVAIPSASYNGDSVETRSSSDEISSIIKIGNDVGFQIGEINVNILEDVLDMVVGEEGVNHTSK >cds-PLY80780.1 pep primary_assembly:Lsat_Salinas_v7:3:179949999:179952063:-1 gene:gene-LSAT_0X22080 transcript:rna-gnl|WGS:NBSK|LSAT_0X22080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEGLEANLYNHFVGLLWGNGDSTYLSKVDSPVDTEWESLCAILLDIFGRDRKILSTFRHFRDDVAELAIEESKNFRDRNMTLVLVPNKEEFLLALFKNKRKKNLFANKIFSLFDVKHKGVVDFGDFVRSLYMFHPKAPVEDKIKYALISEVIPRIVTQVQSLLQQKTDLSIMEFWSKIMEEIKDSYAVERISEKILQKLATQNINDVKGYWILWLLFHQIFELQTSIRSMFAERFLLWKVFPICCLRWILQFSVLQSPPNTTVKGKTRNHHNLLDATQHVLAVWSK >cds-PLY76476.1 pep primary_assembly:Lsat_Salinas_v7:5:199584743:199587288:-1 gene:gene-LSAT_5X90100 transcript:rna-gnl|WGS:NBSK|LSAT_5X90100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKQGHNGKESVDSGKKEEKVKKGSQKENSFEKASRVAECCLCGGNIGAFRKSTNGQWVHAFCAEVETQKHGVEEWNSLKKVRVMIKIWVNGVEGQKLEGQSATFSTKLAETTDGGAKQSVVVPNPSNCCSNLSSQVCGAHDNQQELPHNFKKMAKDVYTPDVMEASDCMLDQMKVVLVSFFKKIPIFLLHCLLIQEEIYVARAPGRLDVVGGIVDYFGSLVLQVIFFLFLKKKMKQTIYIGSYALRIISLENQLAKILGGTKHPNNRQTRGASLPWST >cds-PLY92179.1 pep primary_assembly:Lsat_Salinas_v7:8:4877670:4880710:1 gene:gene-LSAT_8X3640 transcript:rna-gnl|WGS:NBSK|LSAT_8X3640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) UniProtKB/TrEMBL;Acc:F4J7P7] MTRGLLMLPHYNAKSHLIRIGLSSPSKNPPKFHTFSSISRKSIHVRLLCGCSSNLQDESIELSKYKETFSRRMAMAGLKPHHHIAVGVSGGPDSMALCLLAAEWKTHGLNAALRQNKDVIDGLLAIIVDHGLRPESKEEAETVQHRILNMRIRCEIAHCKWTDGRPKLSHLQEAARDMRYEKLQNICIQHQIGVLLTAHHADDQAELFILRLSRNSGVLGLAGMALATQLFATHGISNSILLVRPLLDFSKQDLYKICEEGKQQWVEDPTNQNTIFARNRIRMSLGNLSSCIFKSELQAVISACRMTRVYVDQICCSLVNQSVTVMPEGYAIIDLSILNPSKVLDICLSKFVTLLLQFISQRQRPVRGSAQKLLLDYIRMFPCKSSFTAAGCYLCPSPSSKGTKLLICCSVNSTLPMKMMKSFYAAASFNEKLKVSSDLTQIIENGRSYLNKMVFLNTSDKIHFLNTKSSESILSEAKCVKILSESTHTSICSLQKNEHEKFQLKTKTLPDNGSCKVVELVNNVKQLHQGQIGHFMDRFLVSWEFVSGGCCGCVFGQYSVMEVRHMVDDDWLYLSKLSKSENLCSDFVKVSAREALRVLKSIPVAARRSLPVLVNPKGQILSIPNIGFSICPCLKVSAKFNPRVPLGGGYSSFL >cds-PLY86782.1 pep primary_assembly:Lsat_Salinas_v7:5:16092261:16093203:1 gene:gene-LSAT_5X8681 transcript:rna-gnl|WGS:NBSK|LSAT_5X8681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKTHLKPPFIHEYAVAFSQNQLEEDDIAYFNHEFLQSMGISIAKHRLEILKLAKGSSAWHPIAKIMIAIKKTKRTLGSYIRTWVHHNDSAMIRVKRRSYSSRWKEAMAKRSNRLVMNRRGSATLLINNGYPPVVKSGGARVNSLSSPLVYDLHYDQGKTDGGDDEGNCFTDDEDDDGDGGRYWSGRGVEEIKWDAMFHNLKPT >cds-PLY69418.1 pep primary_assembly:Lsat_Salinas_v7:5:301478920:301479872:-1 gene:gene-LSAT_5X161960 transcript:rna-gnl|WGS:NBSK|LSAT_5X161960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSGKLIGHVDVSKKGEICHLFRNNPYEIAIISPDKVQGCDLIDGDRGDVGSVICWHYTHEGKKKISKQIIEAVDEDNHMIVFKVIGGDLVDELYKTFTIILNVDKKGDKVVATWTFEFEKPDTSVPYPTSLMDYLCDLVKDLDTHSTTK >cds-PLY76086.1 pep primary_assembly:Lsat_Salinas_v7:9:29674039:29675285:1 gene:gene-LSAT_9X26160 transcript:rna-gnl|WGS:NBSK|LSAT_9X26160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYKHFSHPHNLIIHRVYELGQQVNCSGCDSACDNTTTAVHACHQCNFFLHDHCANAGRYVKHPSHQPHPLILLPHPTYAGGTFICNACGHPGKSFSYCCTLCEFDLHVGCAFLPVSVTHQAHQHELNLFYGVPVVPRRGSNTDLEYCNICRKVLEGRHWAYSCQKCEFNLHTACATTEVVPGLYHDDSPAYGAAEGKSTVADGGGGGGNVVEVELTEEDIIQLYRLQMLAQSMASLSTTG >cds-PLY75054.1 pep primary_assembly:Lsat_Salinas_v7:2:66662441:66666384:-1 gene:gene-LSAT_2X30621 transcript:rna-gnl|WGS:NBSK|LSAT_2X30621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVTIAAEGILKKVLTIAASEIATAWNYEEKLNSLHQKLELIRARLRDAEKRKETEAVRAWLKQLKEAVVKADDVLDEVDYEILRRQIRKQDQVARKVACLPSLKKLSFRNEIGHKIEKINEDLDEIFKNANGLQLQIDQQPAGTVPDPDRETVPDLDRETVPYPEKFQIFGRDDEKQRIVELLTESRKEEELTILPIVGMGGIGKTALAKLVYNDEKIEQYFDVRKWLCVSVKVSIVKLLEMIYESVAGKEPQLHTKVYLIDSLKELLASKRYLLVLDDVWVEERQYWEDFRKEMLYVNSQNGSGILITTRKLAIGTHDMIRDSCNLEGLSNDECWSIFRGRAFVPGKSPPPELEAIGREIVKKCCGLPLLLNVIGGMLANDTDPEKWLSIKTNNVWDIEEGNKVQRILELSFDNLPNSTVKQCFVYCSIFKKDEVMEREELVRLWIALGLVHEEKNKEMEDVGNDVFQILVSNSLFQDVRRDEHGHITHCSMHDLVHDLSLSLSNHESRCLVDATNDDIARIPHVKHLSFYQELIEDDEFKSKVSMFIERKPVARTLHTLFIKVEIEKKFPFQQFKCIRVLKLKGYKRGKLDDSIGGLVHLRYLDLTYTKILVLPESIGKLYHLQTLKLPKDIEQFPETMRNLICLQYLISHTNIPANILGQLIYLRKLSSVKVVGRKGHGIEELRNLNNLTGTLCIFNLENVESKEDAVNANLSRKKNLYNIEFSWSGNNDEDATRNNKDVLEGLQPPRDVKKLTFRNFSGDNFAEWVTKMAIHIEGKWTPLDKLVEIRLFGCRRCLSLPTLEHLPHLQDLVLESMVSLTSLRSTSDVTGSTKPLSPSLRTLTLWNMERLEKWIDGATNSSKMISPVLEELVIANCPKIILLDECHPHPLVSLSISDCTGMESIKSIQGLTSLEFLYIWNCPSLLGIPSFSCDDYPASVTNVAIDIERKWTTLDKLVKITLSNCCSFLSLPMLEHLPHLQDLWLANMNTLTCLRSSDVTGSMKPLSPSLRSLRLYNMESLEKWIDGATNSSKMISPVLQRLNIKNCPKIILLDECHLHPLVSLSISDCTGLESIKSIQGLTSLESLSIWRCPSLLGIANLPNQCHSLKTLYIFHCEKLTSFAQEMCDCFAFLNDLKLGPFSKELDSFPSLQGIEKLRNHLHSLTLFGDNQWESMPEEIQHLTSLTSLTISGFRMRELPKWLKNMSSIRKLDFYGCKGLNKEIFRQGAPREATYVELNFQRC >cds-PLY77074.1 pep primary_assembly:Lsat_Salinas_v7:3:220350391:220353336:1 gene:gene-LSAT_3X131600 transcript:rna-gnl|WGS:NBSK|LSAT_3X131600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTGQSQTVMDRWSGILKVPVFKGSRGLYKVAASLCISSSSQIPIVPSMNAIFFNGDRVKGTGNPVIERLSDVEKIGEIMVSKLGENVNVWVIEASKFNGPFAVYKDFIPSVNKWGEPTSYDPTGFPASNSLVSLLQSCLTEAKNILSSKVVESISTEPPISCFSQPKTYLFGFSKGGTVINQLVTELAFSQVIIPFNSQNKNEIIPTSKETLLNSITEIHYIDVGLNSNGAYINDQDVIEKISKRVLEVGPRLRFVLHGTPRQWRDSMRVWIGDEKDTMIRLLNDVCQKSEEKLIVCERFYFANKHANMQMHFEVIEKMDIS >cds-PLY78270.1 pep primary_assembly:Lsat_Salinas_v7:1:131858803:131862552:-1 gene:gene-LSAT_1X97061 transcript:rna-gnl|WGS:NBSK|LSAT_1X97061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGNKFSNTFEEAINIDDDDFVNIHSGGSSVVMLSRELLAKKKVKTRFEKGTSSTDSVDLEMLEEHGLRIGKFGTLEFHGDGEVDLIDVNGDEIRWRMRKENDFCKNYIPSFDLRITQLNEEDKSMDVKEGSKAVIRYTKKIVAEDKLKKRIGKDLMESEMVHVDDFKNGKCKRKGKLGQLVCSPYVDRIMNADEVVKDDENVVAQSIIAWGKDKG >cds-PLY91337.1 pep primary_assembly:Lsat_Salinas_v7:4:247014958:247015164:1 gene:gene-LSAT_4X131260 transcript:rna-gnl|WGS:NBSK|LSAT_4X131260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLESNMTSYGSAIEDLLNNSGQWRRRWREGTGGGMLSSNVRSCRSQEITVGRQETTMDWFLVMKMEE >cds-PLY90762.1 pep primary_assembly:Lsat_Salinas_v7:3:35444312:35445064:-1 gene:gene-LSAT_3X27320 transcript:rna-gnl|WGS:NBSK|LSAT_3X27320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLENPGSDSFQGSGRRKVLVHVATNEVVSSYEVLERMLLSFGWERYYDDPDLFQFHKRSTVHLISLPKDFKKLKPMHMYDIIVKNRNVFEVRDI >cds-PLY85234.1 pep primary_assembly:Lsat_Salinas_v7:1:148861367:148865992:-1 gene:gene-LSAT_1X105560 transcript:rna-gnl|WGS:NBSK|LSAT_1X105560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGTEQDIDLATITDRMAVKKAVQSGNIEDAIEKVNYLNLEQSFLEELERTVALLAFEDVNNSPVGDLLDVKPKYDEKDKRRPRMNEQIYAHYVKIVTVEGHGVVSRHEALEHERRHNVDFVEGKVIAGRSVVDECMLTGESLPVFKEEGLFVSAGTINWDGPLKTEASSTGSNSTITKIVKMLLKIKIKCKIELALIRRSS >cds-PLY72330.1 pep primary_assembly:Lsat_Salinas_v7:8:294010349:294011802:-1 gene:gene-LSAT_8X161180 transcript:rna-gnl|WGS:NBSK|LSAT_8X161180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQKNKNSKKPKYSKFSQQELPAWKPILTPGWVITTFIIIGALFIPTGLLALAASDSVVEIIDRYDEACIPDAYTQKAESYIQNPNEKKTCTRTIRVPKKMVAPIFLVFRFVKSKSDKQLRDPQAEDDTQNCFPIDHTVDNKPIVPCGLIAWSLFNDTYKLSSRGKAIDIDKKGIAWKSDMEAKFGSDVYPKNFQNGPLIGGAKLDDSIPLSKQEDLIVWMRTAALPKFRKLYGKINTDLDAHETITAEVENNYNTYRFGGRKKLVLSTTTWIGGKNDFLGIAYISVGGLCLFMAINFILLYVFRPRRLGDPSYLSWNRQPIV >cds-PLY81108.1 pep primary_assembly:Lsat_Salinas_v7:9:67806936:67807463:-1 gene:gene-LSAT_9X56960 transcript:rna-gnl|WGS:NBSK|LSAT_9X56960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEDIEYMVDYPYGSHYDDQSPPPPTSNNPPTPFQPPPLSYLPSSTPSPPHNTCPSSTPGSSPPADDVKKGENDKAIMVHADHFEDIDDQPDIDTDDEEGFMDMDFMSRAVLLNIIYLDANLEEEIPQGTDSDVESGDDQLITRKKRRPPPQVEFMTLVKALLLLPARKGSSQSI >cds-PLY86970.1 pep primary_assembly:Lsat_Salinas_v7:5:263203022:263205325:-1 gene:gene-LSAT_5X134840 transcript:rna-gnl|WGS:NBSK|LSAT_5X134840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSQSTTATSSSRVPPPPPKESFARRYKFLWPLLLAVNFSIGAYLFMRTKKKEIIAEEVTAVTSTPTSLVSTSSVSDPSPAVMELVKPREPIPLNQQLELFKWILEEKRKVKTKDPEEKKRIDEEKAILKQFIRAKSVPSL >cds-PLY71121.1 pep primary_assembly:Lsat_Salinas_v7:9:81688805:81690386:1 gene:gene-LSAT_9X64961 transcript:rna-gnl|WGS:NBSK|LSAT_9X64961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTWASSSSYWCYRCNRFIRVLTHPQDSSLICPDCNGGFIEEINSAQLSGSMLSESIHRRFPAXAAAMYTAENDQSPNPSLSSSPFPNLTPPVLQRARRNTGERSPFNPVIVLRGPTSNLSTPEASDEATTGTGGFELYYDDGAGSGLRPLPASMSEFLLGSGFDRFLDQLSQIDANGFGRISNNPPASKSAIESMPTIEIHENHISTESHCAVCKEPFDLGTDAKEMPCKHIYHPDCILPWLALRNSCPVCRHELPPDNQDSIESNRSQINDNHNNNQPEEAVGLTIWRLPGGGFAVGRFSGGMRGGNREFPVVFTEMDGGFNNHNNNGGAPRRISWALRGNNNGGRESGRFRRLLHSFFSCFNGGGRAIGSSSMSNSSSTSSWRHRA >cds-PLY86245.1 pep primary_assembly:Lsat_Salinas_v7:8:56110672:56112776:1 gene:gene-LSAT_8X40381 transcript:rna-gnl|WGS:NBSK|LSAT_8X40381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METVGKVVIAIVIAVIIRRGWKVLNWVWLKPKKLEKWLRDEGYKGNSYKLLMGDMIELGKMMNLAKKDPIPITHDILPHILPFDHKIISTYGEKSFLWLGPNPRVYIRDPELIKEILLRPNEFQKPHPEPFRDSIIGGLLITEGHKWSKHRKIINPAFNLQNLKTMFLVICSSCSDMVNQWELLTMETGSAEVDVWPYIDNLAGDVISRTAFGSSYEEGRKIFRIQKEQIELIFKMLFILYLPGRRFIPTKANKKYKENIKELHDVLSGIINKRKKAIESGEGSCDDLLGILLESNSKEIEEFGVGLSMEEVMEECKLFYIAGSETTSNLIVWTMVCLSLHQEWQIKAREEILQVFGTGELDFEGLKNLKTLTMILYEVLRLYPPVIMTIRSTIKETKLGNMMIPPGVQLALAMIYIHHDRKVWGDDATEFRPERFSDGVAIATKGKGFFPFSSGPRVCIGQNFAMTEARAAMAMILRRFCFELSPSYKHSPFPVFTMPPQFGANLILRNIS >cds-PLY78179.1 pep primary_assembly:Lsat_Salinas_v7:8:250883703:250886810:-1 gene:gene-LSAT_8X147801 transcript:rna-gnl|WGS:NBSK|LSAT_8X147801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQVDNRNSSAAKRARTDGGRREDDWTWPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSAGKPMQTPQGYSSATAGPYVGSGAPSSMQPLSTPPAPYSGGSLIGGMYGVPPMMDRYLPLGHTAAMGPRPGFYPEEKAKKDGKSENDWVCPNCGNVNFSFRTVCNMRKCNTPKPGSQASKSGNNSKGDRDMPDGSWKCDKCNNITIHSEQKWC >cds-PLY90642.1 pep primary_assembly:Lsat_Salinas_v7:6:51019936:51023746:1 gene:gene-LSAT_6X36740 transcript:rna-gnl|WGS:NBSK|LSAT_6X36740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTSVSASYEQALMDARKLIEKEMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNTVSELESQIDSFEAEMEGLSVKKGKARPPRLTHLESSINRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEAFDDFDDVDMLYNTLPLDKVESLEDLVTICPPGIVKGVGATAAVSSIKSTLSTSAQSSATITSPIQQGDSVQEQVDEVAPPQDTISELGPQTPSPKIIPISPAPPTSERPPATPVAIAGPNPVKGILDNTTLSVPSTPINTSTKEEELSTFPTRKPSSSLRSGVTNLPSLTIPVTSRISVSSSGSLTTPLSEASMSGMMQSLVSPLSSRILLPQVGKTSESVDGGESGEGGGVTGRVFSSPSGVPGIQWRPGIGNPFQSQHEGFCGRAEIAPDQREKFLQRFQQVQQQQQQQAPKQFTPLQFNSQTSGAPLSLPPQIVGPTAMSALQPSLTHSQSGQHILMSTVDVGHVKAEELQNDDSAPDSAPVRETDSKVSMSFPSDTVAEPAQAARDIELSPGQPLQSTALALGVIGRRSVSEVGAIGDTLSGGGTFLPLDAPHDQQSTFQLLDSGFYKLPQPKDSERAKSYTPRHPAATPQFYPQVQAPIVNNPAFWERLGSDNIGTDTLFFAFYYQQKSYQQYLAAKELKKQSWRYHKKYNTWFQRHEEPKIATDDYEQGTYVYFDFHMATDTDHHLLQHGWCQRIKTEFKFEYNFLEDELV >cds-PLY72999.1 pep primary_assembly:Lsat_Salinas_v7:9:37310149:37314730:-1 gene:gene-LSAT_9X35180 transcript:rna-gnl|WGS:NBSK|LSAT_9X35180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase SAC8 [Source:Projected from Arabidopsis thaliana (AT3G51830) UniProtKB/Swiss-Prot;Acc:Q96328] MEIESPSSTGKSHFKLWSELELHEFPDKFVIRPVKSPGQGFSIARSDGTFRNLDGEVNLETASRMYTIFGVAGTIRLLAGTYVLVITSRKEVGTYLGYPVFRVISMKFLSCNEGLSNLNNQQKKDEAYFQTLLRTVEETPGLYYSYETDITLNLQRRYKLADGWMSKPIWKQADPRFVWNRNILEELIENKLDEFVVPLLQGNILYNYSYECILRLRFQTGQLKLNNLTSTITLISRRCTRRLGTRMWRRGANLEGDTANFIETEQLLEYNGFKSSFLQVRGSIPLLWEQIVDLSYKPRLAVIEHQDTPMVVKRHFHDLMQRYGDTIAVDLTDQHGNESLLNLAFATEMEKLPDVRYVSFDFHQRCGNRNFENLKLLYDEIADDFEKQGRFFLQTTQGELVAEQKGIIRSNCIDCLDRTNVTQSYLARISLNQQLQRMCALTQSESICSFTADEDLEIFRTLWVDQGDEISLEYSGTNALKGDIVRYGKQTISGLIKDGMSALSRYYLNNFQDGDAIDLISGNYTANGNSPSPFQLNKFETRTLFPVASALLIGGLTVTSITLNRAGQNAQSMISSVICVGATAGMMALVKANGRQICSRPRLCGLL >cds-PLY83330.1 pep primary_assembly:Lsat_Salinas_v7:1:61590008:61591956:-1 gene:gene-LSAT_1X52821 transcript:rna-gnl|WGS:NBSK|LSAT_1X52821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFHCRCFSFSFQEDEEIEYETRTQTKSTSTHDYYTASSDLNRTISDFHAKPSGFPNLTKKPNNLRVFTFAELKAATNNFDIAWNIGEGGFGCVYKGVIQNLEHPFDEIQGHREWLTEVNVLGVVVHPNLVKLVGYCSEDTEKGIQLFLVYEYMPNGSLKDHLSTRSQTPLSWSMRLKVAQDAACGLAYLHEEMDSEIIFRDFKSSNILLDDQWNAKLSDFGVARIGPQEGRTHISTMFQGTVLYAAPEYIESGYLSSKSDVWSYGVFLFELITGRRPLDKNLPQGEQKLLEWVGRYTHSKSLHHIIDPRLEGTCSLKSVQALVNIANLCLQKHPRSRPKMSEVLGLVNALIGALSPTTSPVRPPIQMIEVNCKEVVTMDEKTHEYTRHEGERVSIDIQLVETSIVPPISQPKPSKPVKSLIRKKWCCVS >cds-PLY66303.1 pep primary_assembly:Lsat_Salinas_v7:6:83321691:83329976:1 gene:gene-LSAT_6X59741 transcript:rna-gnl|WGS:NBSK|LSAT_6X59741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVITGVVVALLFGFDSCDNKGSTFHVQAGEDDINRIVCDLKEEVRKDILATLYVPMEHTKLVKLIDTIQRLGIAYYFDEEIKQALQHIYVKYGDNWSGGSSSVWFRLMRQQGFYVSCDIFSNYKEKNGAFKESLTNDIHEMLELYEATYMRVKGEVVLEEALLFTKTHLEKLAKDPVQCNSTLSIHIQETLNHPIXESLTNDIHEMLELYEATYMRVKGEVVLDEALLFTKTHLEKLAKDPAVRCNSTLSIHIQETLKHPIQRRLPRLEALHYIPFYQKQASCNESLLQLSKLGFNLLQSLHKKELSEVSKWWKGFDVPNKVPYIRDRLVELYFWALGVYFEPQYSRARIFLTKVLAMSSMIDDTYDAYGIFEELEIFTEAVQRWSTTCLDMLPNYMKPIYQGLLDVYKEMEEIMANEGNAYRVNYAKECMKEFIKSFMTEAKWVNEGYIPTMEENMSYRLQSCGYSMLTAASFVGMGDIVSDESFKWVLTDPPIVKAACVICRLKDDIASHKISDGSAKHLDILAMNPDAGLYSDEGINKGDGHTTPHGSNNTNIPVYGTFYGLGDLMWCGRLGG >cds-PLY63722.1 pep primary_assembly:Lsat_Salinas_v7:9:85076368:85077853:-1 gene:gene-LSAT_9X66521 transcript:rna-gnl|WGS:NBSK|LSAT_9X66521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSRLLRATTTTSYTILRFSSPYSTSSSSEIGVLAPTIASNKSSDRRNVQWVFLGCPGVGKGTYASRLSKLLDVPHIATGDLVRDELSSKGPLSSQLTEIVNQGKLVPDEIIINLLSHRLQIGEEAKGESGFILDGFPRTIRQAEILEGVTNIDLVINLKLREEALLAKCLGRRTCSQCGGNYNVACIDIKADHANPAMYMPPLLPPPHCASKLITRSDDTEHVVKERLRIYHEKSQPVEEFYRIRGKLLEFDLPGGIPESWTKLLQALNLDDHEDTRSAAA >cds-PLY81958.1 pep primary_assembly:Lsat_Salinas_v7:9:153075819:153075971:1 gene:gene-LSAT_9X96941 transcript:rna-gnl|WGS:NBSK|LSAT_9X96941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIWHPDRNPSSNKGEEEAKFKQISEAYDVLSDLKKRQIYDLYGEEALKSS >cds-PLY97232.1 pep primary_assembly:Lsat_Salinas_v7:1:43246776:43248226:-1 gene:gene-LSAT_1X38460 transcript:rna-gnl|WGS:NBSK|LSAT_1X38460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMKKWIEKPYVVESSDSEEDDEDDNKEDADEDTENTEDYYEESVDNQEGVDEEEDSDKDEEESSTDKGEDVAQGLNSLPRMNKHIRFSSASSSIPSADVVAQRGSSPTFVETVEPMTQYLSASPSPQAENIPSMPTPIKTTAFHQDNKEESNSNFRTVVLSELSLIVQLTESMDKRLTKFERDVAVMKRFMALGDDNDDMIVDDTPPNSPDDSTHPPPPSSMNPPPPTPPPSHRTPRTPSPPQMQMVVITLNPSQPEMMPKNGRVITDLQKETIVDDILDADATTDDQPISDFGDQLETDDYDRFIDLGFMPQAAMPVIPLNIVYLDSYSEGEVPQGTNSDIDSDNDKLNPRKWKAFFSGGAHDVEVGSSAANDSSPPLLPRKVRKTNSFFFYLNELATTWILTIEEVSEIMLEYKVTIRQKKEARKEERMSAKLVQALASADKNVDDQVKKIHLFSNNL >cds-PLY63134.1 pep primary_assembly:Lsat_Salinas_v7:4:65305101:65305628:-1 gene:gene-LSAT_4X45380 transcript:rna-gnl|WGS:NBSK|LSAT_4X45380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYLGGLPLAIQFGCSSDSDAYLKDKNRCSDWFKWVRCADEQILSYERTAWLKILSMPLPLWDENNFSKIASRFGKVIATFDNISTRRDYSMGKIGVLKSNRKWINEEIIVQENGVNYRVGVVEYTEDWSPFKPLSFNEVEESDDEVDGVSDTWLGGEDDEPEEGEILQSKNRES >cds-PLY72091.1 pep primary_assembly:Lsat_Salinas_v7:9:196612344:196617792:-1 gene:gene-LSAT_9X121401 transcript:rna-gnl|WGS:NBSK|LSAT_9X121401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIAAGAGVAAAIIQGKTALWEPLKQTIALSKDMDEIYGALDDAMQTLIAKRDDHEDMVQRHKTTMTPSNAYTNWILRVNLVAKEVNDLKAKYTKERKRSSWFSIGSRSSFTKKMRKTASRVFALMEEDTHLVDVLVDKKPACVVEMITSKITNIPTLQQPLEQTLGWLCDKRVRGIRIHGLIGSGKTTIMENLNNHVKVAEMFDIVIWVTVSREGSIENRGIDQIQQVIIQRLKLNIGVTTTIDLIASRIREELTNIKYLLLLDDVKEDLSLDKIGVPISENGSKIVFTTRLRHVCSSIATRQTNVATLNRKEALDMFKSVLDRPHLAENSNIKRLMPLIVNWCGYHPLMIKVAAGVFRVKETEESWHDGLINLRKWPEKGDDTMQEIYKLLACFFDSLKVVQKKCFFYSALYPEDSDIQKDCLLDNWAADELLDTVDDVEGTRVSGRDILDYLKMLSLVEENTQQCIRMHKLIRLAALYNLSTNEDQENLIKFGEALHKPLDVECWKNKRWISLVDSKMNALPDEPDCPKLSTLFLQKNPNLEVIPRAFFNHMQGLRVLDLYDTRISSLPSSVLNLVTLKVLYLQKCVALVELPHDIGNLQKLEVLDTRGSGLANIPPQVERLICLRRLLVSFTSSSSSSPIQEATEDIKVISKIPKLKELVIDVEEGLEKLLYGLIVNVVTLTNLSLLQFCSPNKVVDLIKAIGGCWKINFPNEKLLQKYIDKVESSKLENCQIFIGCDISSPPHIMKFMPYFKFNGEVSNNTISQVLGNDVAIELVNHNELEHLSNFTPANMNKIRSCVIKGCNKMRTIVDGNTGGSLILNNLEQLHMINLPFLKNISEGPMQGLNNLKTLILCNCPMLTWVCTYGVILQLPEIRHLEVKGCSEMKEIFSGPVNVVSPVLPNLKKLILVDMPQLTSILVSNSFEWPSLERIKILGCPELKRLPFRKDGAAKLKSIETEKSWWEALENDKDVKEQFQRYCTLR >cds-PLY83677.1 pep primary_assembly:Lsat_Salinas_v7:4:43230895:43233378:1 gene:gene-LSAT_4X29081 transcript:rna-gnl|WGS:NBSK|LSAT_4X29081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g08490 [Source:Projected from Arabidopsis thaliana (AT5G08490) UniProtKB/Swiss-Prot;Acc:Q9FNN9] MNKSYSEPNYQTFAATIKSFAAKANIKFGKCLHSSVIKLGLQSCVIVSKSLLNMYAKCKALDDCHILFNQITHPDAVTWNIMLSGLAGTRVHDIEVMKLYKTMNLVQHFKPSSVTVAIVLPVCVRLKGLRLGKSIHSYVIKSGLESQTLVGNALVSLYFKLGCVSGDAYQVFDEVSERDVVSWNAMVAGLVENGLFLEAFSMFRQMIVEGTSPNYATIANILPVSTYHFGKEIHCYTIRREELFLDISIANSLLRFYSNIGQMKEAESLFKRMHSKDLVSWNSMIAGYTSNGEWLKALEFFQKFNSLNLAKPDSVTFLTLLSTCTNLQNLKFGKQIHGYIIRHFNLYNMTSVSNSLINFYSKCGDLVSAFHTFSLIQTKDLISWNSILLTLAEGQLVVDFLDQLHSMFMEGVKPDSITIITTLQLRGMVKEAHGFSLRSHLLLNSKEPTLGNALIDAYSKSENMEYALKVFKNLSTRNIITSNSLLSGYVNSGSHEDASIIFKNMIERDLTTWNLMIRVYAEHGYTDEAVNLLHELQNHEIKPDSMTIMSILPVVNQMVSMDMVKQCHGYVVRACFQDVQLKGALLDSYSKCGSINYACKIFETSVYKDLVMFTSMVGGYSMHGMGEEALRVYFQMLENGGKPDHVVITSVLSGCSHAGLVDQGLKIFDSIDHVHGLQPTMEQYVCVVDLLARNGRINEAYSFIKNMAVEPNANVWGALLGACKNHDDVEMGCVVSDHLLGIEDNNMGNYVVMSNIYAAKDKWDEVLEIRKLMKRKDLKKPAGCSWIEVDGRKNVFIAGDTSHPLRTSIVNMLENFDKQVNERFQLS >cds-PLY89166.1 pep primary_assembly:Lsat_Salinas_v7:3:19844733:19846332:-1 gene:gene-LSAT_3X13140 transcript:rna-gnl|WGS:NBSK|LSAT_3X13140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGCSAVGTFLETVLVAMTTKVQWRQRSGGSGVDHWWWSLEDEHYKRGGREKNVVQAIIQVGSKNDVFKPTSNKVLEAQRNLDPLRCEICEINYTSFEHHNSHMSGKKHLKKLNEVVQIVPDLSLTFTSSMDTQPMQNPESTEGKVVNSQEGNPVSCELCGISCNTYKVLKIHQARQQYQKILKKSGLPTGHNLAPWMQQDDTKEEGKVVHLDGTNKKIKRVVSDDDLEAKRQNIDKIHMTQVYTKRMTKSMTLSKD >cds-PLY79772.1 pep primary_assembly:Lsat_Salinas_v7:1:181774354:181775530:-1 gene:gene-LSAT_1X118560 transcript:rna-gnl|WGS:NBSK|LSAT_1X118560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSVIATPELKRFVVDEGHGVKGVSDLKLKTLPELFIQPVERRLDVTKVLQDELIPVIDLSNYEDPEVMKSICYAAEKWGFFQIVNHGIPLNIIDGVKDATHKFFELSTDEKKKYSSQNSPSKNVTILTSFNPEVDKAYEWKDHLSCFYVSDEEALEFWPSVCKYQLLEYLKTSDSLIKRLLELLIKGLGIPKINEKNKPILMGAKSINLNYYPICPNPELSIGASSHSDVSTLTVLLQDHNGGLYVRKLDSDNWIHVPPVKEALTINIGDALQIMSNGRYKSVEHKVVANGYENRISVPIFVNPRPTDVIGPFSELINNGEKALYKQVLYSDYMKHFFKKSTNGKETVDFAKI >cds-PLY81448.1 pep primary_assembly:Lsat_Salinas_v7:5:334166604:334167478:1 gene:gene-LSAT_5X184400 transcript:rna-gnl|WGS:NBSK|LSAT_5X184400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTTFERNLNLCFTKIKRPQSPPPSPPPPPPHRHRHHHRRRHSEQSLHNPKSNLIKNFNTLYDIPPASDSDDSSIITAPDFSTAIASNRFFFSSPGRSNSIIESSSPSTSSLASTSYTPEDATDGFVGGGVAIPTISPDPYFDFRKSMQEMVEARDLVDVRANWDYLHELLTCYLDLNPKSAHKFIVGAFADLLVTLMESSTAENGGRRNV >cds-PLY81802.1 pep primary_assembly:Lsat_Salinas_v7:3:32462036:32462464:-1 gene:gene-LSAT_3X24321 transcript:rna-gnl|WGS:NBSK|LSAT_3X24321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSSSSSMNRLKKRCYCGDPVGRWTSWNPLNPGRRFIGCPNYQNGLKDCKYFRWVDPPLPSQWYADLLLVLHNNVNLENHRMFGEFGQEQPAVNFFGDVVEQPMAQQPVAQQAVEGGRWKSLLYVSVVSFVFLLVMLMDW >cds-PLY76769.1 pep primary_assembly:Lsat_Salinas_v7:4:187110372:187118067:-1 gene:gene-LSAT_4X107960 transcript:rna-gnl|WGS:NBSK|LSAT_4X107960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTPISSSYLPRVYSQLSAHETTSETNSRVCLSNASSLLQRTLTYKAGFPSHLERRESFNFKVSASVTTDVSTTELPVTPSVVKGDTWSIHKFGGTCVGTSERIYNVAKIVTEDNSERKLVVVSAMSKVTDMMYDLIEKAQSRDDSYELALDAVFEKHKATALDLLDGEELASFLSILSIDISNLKAMLRAIYIAGHVTESFSDFVVGHGELWSAQILSSVLRKGGVDCNWMDTRDVLIVTPAGSNQVDPDYTESEKRLEKWLSQNPSKAVVATGFIASTPQNIPTTLKRDGSDFSAAIMGALFRARQVTIWTDVDGVYSADPRKVSEAVILNNLSYQEAWEMSYFGANVLHPRTIIPVMRYDIPIVIRNIFNLSAPGTKISRSPVNEIEDKKNLVTYVKGFATIDNLALVNVEGTGMAGVPGTASAIFGAVKDVGANVIMISQASSEHSVCFAVPEKEVKAVAEALEARFRQALSAGRLSQVAVIPNCSILAAVGQKMASTPGVSATLFNALAKANINVRAIAQGCSEYNITVVVKKEDCVRALRAVHSRFYLSKTSIAVGIIGPGLIGATLLNQLREQAAVLKEKSNTDLRVMGILGSKKMLLSDTGIDLSTWKEAHKEKGEKADLEKFAQHVHGNHFIPNTVIVDCTASTDVADHYHDWLREGIHVITPNKKANSGPLDKYLKLRALQRQSFTHYFYEATVGAGLPIMHTLRDLLQTGDKIIRIEGIFSGTLSYIFNNFVDARAFSEVVMEAKAAGYTEPDPRDDLAGTDVARKVIILARECGLNLELSDIPVQSLVPDPLKATASVDEFLQQLPSFDTDIAEKQQAAEEAGEVLRYVGVVDVTNQKGVVELRRYKKKHPFAQLSGSDNIIAFTTERYNKQPLIVRGPGAGAEVTAGGVFSDLLRLASNLGAPSC >cds-PLY75986.1 pep primary_assembly:Lsat_Salinas_v7:1:42134634:42135853:1 gene:gene-LSAT_1X35561 transcript:rna-gnl|WGS:NBSK|LSAT_1X35561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNNHNQNKIINHHHHNQLLPSPTTTTTTTNRSNSSTITTARSNSTTQACAACRYQRRKCAPDCILAPYFPHDRQRQFQNAHKLFGVSNITKLIRDLDPPQKDEAMRTIIYQSDVRAQDPVEGCYRIIRELHRQIEYSRAELEIVLHQLAICRAHAVQNQNQNLHHHHDQILIDGVDCDIHLVSNPDDPQLYDDLDTENVNHHHNHGLDLDLGQITQQQEHEDHNVQIHNNNHDQEQYILGQQNLNDQDDGCADDNVPQLQEINSWAATMHNSPPNSSAICLEVKPQVDECEDFKPLMINEIPDGDRHEFKFEFDEINEPSEETLFNEGNQKALNKEDNVSFFTKEEENVNVSYQQHNEDHDLKGAATLFTLTNCSSY >cds-PLY88961.1 pep primary_assembly:Lsat_Salinas_v7:8:130431026:130435039:-1 gene:gene-LSAT_8X90361 transcript:rna-gnl|WGS:NBSK|LSAT_8X90361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRNRNLEHPIPGCLGRMVNLFDLNTSLGANRLLTEKPHYEGSPVSRCQSDVSRTRVVEDRMNDKMILSELAKSPSNKKKSNGTPIKMLIAQEMSKEEAPKQSPSNLVAKLMGLDTLPERHQLGSASYRSNNQRGSRSHSGSLDFIQKEHGFLETREHDEIINQSCKDVFEIWQQSPQKGRHKESKSKNEKNMALVREKFMEAKRLSTNEKLRQSKQFQDALEVLNSNKDLFLKFLQEPNSLFSQHHHLQSSLPPPDSRRITVLRPSKLVDAHKLNKNGKQINEALQMSHCNYRSPTQPTRIVVLKPSSIQPPHEMTSVSSPPSTSNDDGFYGDLEEDTKETTTQSGLRRDENETILSSVFSNGYIGDESSFSKSEVYYAAGNLSDSEVMSPISRHSWDYVNRFGSRSPYSSSSFSRASCSPESSVCREAKKRLSERWAIMSLNGSVQEQRHVRRSSSTLGEMLALSDLKKEEQHCVNRQGSSKGDDDDADSSPKTLVRSKSVPVSSGSIMGQVSDSVKVKKSDTKDLTTEKSVKSSLFKGLFFSKSRKSSKQKSHKDDEHQSSRNIGDDGSECCINDVNGPLRKESCQGPADDLPELGTFGNANENQEQPSPSSVLELQLEEDDNTAVYSCSAKLKEHGVDPIKFNNLIDKSPPIGSISRTLSWDESSVGPVPGKTWSPEEEEQEYFLYVQTLLSVAGINGSSPENPLDPSLRDTYMSLSLTDNHPVAVVHRSKQRQQKSTERLVFDCVNEALRGVHVDRVWERMKEWISGEERCVWDGDDEDGGSMAVEREVVGRGWAEDLGLEIDDIIKNEIEEKLVEELVEELTLDTIRLSDFALHSLIC >cds-PLY79556.1 pep primary_assembly:Lsat_Salinas_v7:8:118266632:118267539:-1 gene:gene-LSAT_8X82080 transcript:rna-gnl|WGS:NBSK|LSAT_8X82080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTKQPLLHHNLHFRLLMLKLRIFLKQDIARFLCLDFGGRVHSYQITFSQ >cds-PLY65289.1 pep primary_assembly:Lsat_Salinas_v7:8:105044043:105044461:-1 gene:gene-LSAT_8X71061 transcript:rna-gnl|WGS:NBSK|LSAT_8X71061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLESRYQQQRHILMKDPNDKCDLWEWVDEDEEMITKNKNKKDEEHDFKTEVKIAILEHDFSEYKVKTDKECKSFRQELDKMKCFVLFVVLFVVKYMM >cds-PLY74290.1 pep primary_assembly:Lsat_Salinas_v7:3:127509449:127513816:-1 gene:gene-LSAT_3X87441 transcript:rna-gnl|WGS:NBSK|LSAT_3X87441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLAVSNYLCPFFILRFYDRLIFLHLLGFPSGSETICEMKKLTLTMKVQTLAVLPDDSTRAQTVWVSGGNYTIHAMVVSDMESPENENDTTNNEETIQHSATEAIFTSEKIQEIIPLASNAILLLGQGSESKGMKIQLFFTTFK >cds-PLY90913.1 pep primary_assembly:Lsat_Salinas_v7:1:57446103:57448023:-1 gene:gene-LSAT_1X48100 transcript:rna-gnl|WGS:NBSK|LSAT_1X48100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVKRKISASSARSHTRKSKSKSSSSFPSGFFILLVFIIGFLAWAYQSACPPQPKKLGSPDGLPITTPRIKLRDGRHLSYKEYGVPKEVAKYKIIYVHGFDSIKYYAVIATSASPALIEELGIHIVSFDRPGYGESDPNPNQTLQSSALDIEELADQLDLGSKFYVVGFSMGGQAIWSCLKYIPHRLAGASLIAPVVNYWWPRLPLKLSQEAYSKQFIQDQWSLRVAHHLPSLTYWWNTQKLFPSYKAIAHSPDILSQQDMELIPKFTAGRGPIEGQVRQQGEYESIHRDLNIGFGTWEFDPMDIENPFLENEGSVDIWMGDDDKIVPVTLQRYIAQQLPWIRYHELKGAGHMFPYADGISDEILKELLLGKI >cds-PLY95223.1 pep primary_assembly:Lsat_Salinas_v7:4:247776187:247778781:1 gene:gene-LSAT_4X132460 transcript:rna-gnl|WGS:NBSK|LSAT_4X132460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRENCKQEPCVDDYVDSKGRPCKPGKHGGMRAALAILGLQSFEMMAIAAVGNNLITYVFNEMHFPLSKSANVVTNFVGTVFLLSLLGGFVSDSYLGSFRTMLLFGFIELCGFILLSIQAHLPQLRPPKCDMTSSVSKCEEAQGLKEFIFFAAVYLVALGSGCLKPNIISLGADQFRKKDSRKLSTYFNCAYFAFCIGELIALTVLVWVQTNSGMDIGFGVSAAAMAVGLICLLSGTSLYRNKPTCGSIFTPIAQVFVAAITKRKQVCPSSFKMLHGSQSTVILHHNVSTESHGGSSLLHTDKFRFLDKACIKIQDDTGSNESPWRLCTMSQVEQVKILISVVPIFACTIIFNTILAQLQTFSVQQGSIMNTKLTSNFEIPPASLQSIPYVMLVFLVPLYETAFVRIARKITGHDSGISPLQRVGVGLFIATFSMVSAAVVENKRRTMALRDPRETLSIFWIAPQFLVFGVSEMFTAVGLIEFFYKQSLEGMQSFLTAMTYCSYSFGFYISSILVSLVNKVTSRSGHGGWLSDNNLNNDRLDLFYWLLAGLSFVNFFNYLFWSRWYCYNPLLAGHHEGPGCPKDSTSNRNDIETG >cds-PLY83745.1 pep primary_assembly:Lsat_Salinas_v7:4:42388630:42391140:1 gene:gene-LSAT_4X29421 transcript:rna-gnl|WGS:NBSK|LSAT_4X29421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:vesicle-associated membrane protein 724 [Source:Projected from Arabidopsis thaliana (AT4G15780) TAIR;Acc:AT4G15780] MGQESFIYSFVARGTMVLAEYTEFTGNFPAIATQCLQKLPSTNNKFTYNCDHHTFNFLVQDGYAYCVVAKESVGKQISIAFLERVRADFKKRYGGGKADTAVAKSLNKEFGPIMKEHMQYIIEHADEIEKLIKVKAQVSEVKSIMLENIDKAIDRGQNLTTLNDKAENLRDSAQEFKKAGTKIRRKMWYQNMKIKLVVLGILLLLVLVIWLSICHGFDCTN >cds-PLY95192.1 pep primary_assembly:Lsat_Salinas_v7:8:61754916:61758520:1 gene:gene-LSAT_8X45001 transcript:rna-gnl|WGS:NBSK|LSAT_8X45001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSWRWPKQNSSASLIGSSSFNASSISVYPFIFSHRQRSSFAFRRNHHPPPPPSCCSAGPNNAKRRPRKSDKQLQIGKISVHDDASVSKREQSLKTISNLNFKSLFGKRALWRRIFFASKKVRSIILLNVITLVYASDIPVLKEVEAIMDPAAFTVVRFTVSAIPFLPFVWRSWGDVQIRNSGIELGFWVSLGYLMQALGLLTSDAGRASFISMFTVIVVPLIDGMLGAVIPARTWFGALMSIIGVGMLECSGSSPCIGDLFNFLSALFFGIHMLRTEHISRKTDKENFLPVLGYEVCVVAFSSIIWFLIGGVYDGSSLDYDPSSWTLTMFLKWFMEFPWIPALYTGVFSTGLCLWVEMAAMRDISATETAVIYGLEPVWGAGFAWFLLGERWGVYGWFGAALVLCGSLVVQIVGASSGKGEGLSKKEDITSLSKGQNGLSASPVPVRSRKDVSDLLK >cds-PLY96395.1 pep primary_assembly:Lsat_Salinas_v7:2:87548122:87548814:1 gene:gene-LSAT_2X37161 transcript:rna-gnl|WGS:NBSK|LSAT_2X37161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNFCNLLLNKVTYHLDHPPLINLKYYCFVTKVRTFGRFSGNSVNYVYPCPDFPFANARFCQLCAQISRAPLYLRHLRHCPQHRPRPTKPPPSHQCLVSIQPLPKFPFPDHLRRTPDDHPRSTARYNEVFEGMKTVTEPAFRDMMVSGCFSCKSDSPVTVVIPDGLFSFALDVAEEIQIPLIYFETVSPCALWTYLCLPKLIGAGEVPFNGELSSFFSFHRRYMTSNVEK >cds-PLY68497.1 pep primary_assembly:Lsat_Salinas_v7:2:214627651:214629723:-1 gene:gene-LSAT_2X136121 transcript:rna-gnl|WGS:NBSK|LSAT_2X136121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVDEAESSRKRRKKSALCRDREAAQRHDHLMPAVHAQAKLLSVQVPSPSPSPSPTPTNYAAAAAAQNDSYSHSPYAAAANAIHGMPNPNPAFSHAESLVSSSKKLEDELLLLGRNIKDHEENIEYLKTQISSLDDQITDIQVTLGKKHSSSPHMTEDKDLSEQATVEHIMQHRKSAASIVCQFKYDGAHDDHPTAIKDVVGVVATLGKVYDDKLSRLLSEYLGVDTMLALVCMTYDDGVKALETYDTEGAVSKSCGIYGVGASMEGRFNVICLENLRPYVGEFMADDPQRRLALVKPRLPNDRSPPGFLGFAVNMIHIDIPHLSSLTSNGCGLRETLFYSLFSRLQVYRSRAHMLQAVPCISDGAISLDGGIIRSNGVFVFGNREKEMDVRFAISSYLPENLVEIEKKLKELKWEKETLVETLQIEEPILANIRYTFEFKKQDFVRFMAQCSPYAAMQYPVPATPRRSTPR >cds-PLY62177.1 pep primary_assembly:Lsat_Salinas_v7:2:153288403:153290975:-1 gene:gene-LSAT_2X77500 transcript:rna-gnl|WGS:NBSK|LSAT_2X77500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKTLASSIFVVALLILNHNTFLETEAAESRNGGFITTRGVHFMLNGSPYYANGFNAYWLMILASDPSQRSKVSTAFQQASSHGLSVARTWAFSDAGVTPLQYSPGSYNEQMFKGLDFVVAEARRFGIKLVLSLVNNYENLGGKKQYVNWARNQGQYLTSDDDFFRNPVTKGFYKNHVKTVLNRYNTITGVMYKNDPTIMAWELMNEPRCTSDTSGRTIQAWITEMAAHVKSIDRNHLLEAGLEGFYGKTSNQNPGFDIGTDFISNNQIPGIDFATLHSYPDQWLTSSDDQNQLNFLSNWLRTHIRDAQYVLKKPLLLTEFGKSVKDPNFSTYQRDQLFNLVYNNIYLSAKHGGAAAGGLFWQLLAEGMESFGDGYDIVLSQGSSTANIIGQQGRRLYQVRKIFARMRNVQRWNRARAARRAQWLNWKRGVKPIGN >cds-PLY98816.1 pep primary_assembly:Lsat_Salinas_v7:7:23548462:23550120:-1 gene:gene-LSAT_7X18681 transcript:rna-gnl|WGS:NBSK|LSAT_7X18681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFHSRSATTILFSLANFHLPFFLILLIVVGNNGVGVGGTKKRVHISDDLDDVEDNEEDEAWIEWGQKKKMTVEEFDPPPENFSDMDFSQMQNEVLKRQVGQAYGFVKLRLTDHRTPDMVSDIAVKWTQLARTGAIGVIFMGFDLSTIMFTLQNAQNTLEFKDFLLDQPEAYEIKMGDQFFRRPGDPPFDNLLKKLHEEEDKKKIATSSNDTITKDEL >cds-PLY92609.1 pep primary_assembly:Lsat_Salinas_v7:7:162398890:162401435:-1 gene:gene-LSAT_7X95621 transcript:rna-gnl|WGS:NBSK|LSAT_7X95621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVEAVSSSTNMGLEENKGDKTEIEDNGDEKGSESSICETEDEGEDAESHKIDLGPQYTLKQQFEKDKDDESLTKWKEQLLGNVDINAVGESLEPEVKILSLSIMSPGRSDIVLPIPEDGKPKGPWFTLKEGCPYSLRFSFQVSHNIVSGLKYTNNVWKTGVKVDGMKHMLGTFSPQQEAYTYDMPEDTTPSGYFARGSYSAKTKFVDDDGKCYLEINYTFDIRKDWANVELPKTR >cds-PLY63041.1 pep primary_assembly:Lsat_Salinas_v7:8:72670869:72671452:-1 gene:gene-LSAT_0X42020 transcript:rna-gnl|WGS:NBSK|LSAT_0X42020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYNQTFNLDQVAFASEGIKDAQQTVCVTIIKRLLQIGSNKELKGLMKTVKIQDIDNLQDEMMDMMDISSEIQESLELDALEADMGQETEGEGVPSYTQPDNEPDLNEELNMPLAPSGHAVPVKPSIR >cds-PLY89201.1 pep primary_assembly:Lsat_Salinas_v7:3:19878046:19878426:1 gene:gene-LSAT_3X13101 transcript:rna-gnl|WGS:NBSK|LSAT_3X13101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQYKEWRHEPEEARFPLRHRLTTLFEIDVEDGNIRKVINNHMARAWRTHRSQLHNYFKGIGGPVDPTKAKTTPPPNMGSKDDWGYLCDMWCEPKYMVMF >cds-PLY83155.1 pep primary_assembly:Lsat_Salinas_v7:3:94771302:94776765:1 gene:gene-LSAT_3X71581 transcript:rna-gnl|WGS:NBSK|LSAT_3X71581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKGRFYPRGSSRKSSSSTLLFSVLTICSFFVLILLAYVTLSIPKTPSDSPKAHEVNSIVHDSSTIERREDIEERADQWVEVISWEPRAVIYHNFLSQDECNHLINLATPHMEKSTVVDNETGKSKDSRVRTSSGTFLARGLDETVTTIEKRIADFTFLPLENGEGLQVLHYEVGQKYEPHYDYFLDQFNAKNGGQRMATVLMYLSDVEEGGETVFPAAKGNISAVSWWNELSECGKEGLSVKPKMGDALLFWSMRPDASLDSSSLHGGCPVIKGNKWSSTKWIHVEEYMV >cds-PLY85117.1 pep primary_assembly:Lsat_Salinas_v7:4:195727607:195730153:-1 gene:gene-LSAT_4X114580 transcript:rna-gnl|WGS:NBSK|LSAT_4X114580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAADYRRPVRRKFSVWIWVLLGLLCIAGFIFLFFLQNQEFKDRVEQPILDKKSRTLQFPHTNQNLTDESANPNSYARQLLEQMTLAKAYIVIAKEHNNHHLAWELTSNIRTCQFLLSKAAMKNTQMTQQEAQPLIKTLSTLIFKAQESHYDIATTIMTMKSHIQALEERANAATIQSTLFGQLASESLPKNLHCLNIKLLSNWLTNNTLHHITNEIKNSPKLLDNNLYHFCVFSDNLLAVSAVVNSTISNTLHPKQIVFHLVTNEANYGAMQTWFATNNFKGCTIEVKKIEDLTWLTPSYSPVVKKLTKPEFRAYYFQGSLDNEPKFGNPKYVSLLNHLRFYIPEIYPHLEKVIFLDDDVIVQKDLTPLFSLDLHGNVNGAVETCLESFHRFYKYLNFSNPVLSSKFDPQACGWAFGLNVFDLVAWRNANVTGVYHFWEEQNSEGVLWKLGTLPPGLLAFYGRTEPLDRRWHVLGLGYDMNIDNRLIESASVVHFNGNLKPWLKFGIGKYKVLWEQYVNRNHPYLLDCVVN >cds-PLY84823.1 pep primary_assembly:Lsat_Salinas_v7:8:24812175:24812468:1 gene:gene-LSAT_8X20181 transcript:rna-gnl|WGS:NBSK|LSAT_8X20181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDMLRGKGTRFYGDALGLTSSPTTTDRGEGKQQRVAQKNGRRGCVRQRGSVVGVSDKQREQGSSGVFRCGVNEQMVEGIAALRRALVGSFLVVNSK >cds-PLY72700.1 pep primary_assembly:Lsat_Salinas_v7:6:28069613:28071685:-1 gene:gene-LSAT_6X20981 transcript:rna-gnl|WGS:NBSK|LSAT_6X20981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLLVGGPDQAHWILKLAMFDFSNVVGHLVASVPRINSLKHPYIYESLHYLTNGTGPTSEEVGSGPSQPLNGWGDVEQFFGRFDDQQWAAIHRERSKRMEEQKKMFANRRLCLVLELDQKLLNSTKLRLTVGEEVEVEYEVDGWFYKEIVFRILYSSDKVGSLIGKSGTIIQAIQNESGAHIAIRAPVSDCDERLITISAMESAAESQNSASQNAVILIFNRSVESGFQKGMDMTSSSGAQVSTRLVISQNQMGCLLGKGGSIVAYMTKMTGAFIKIVGDHQVPKCAPETDQVVLMTGEMINVRDALYSVTGRLRNNLFSNRMSNNHGIGTRTTKGTYTQHQSSVAMSHYPNQHNTNLTQAMDNLKLSSSSIDRPLTPGKWHLPVLFFFLFSSSYF >cds-PLY92776.1 pep primary_assembly:Lsat_Salinas_v7:2:148455048:148466004:-1 gene:gene-LSAT_2X74361 transcript:rna-gnl|WGS:NBSK|LSAT_2X74361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAITVRRNVFLLPIKPLFSLQGLFHKFVELDDSKPRLLSEDDYLIFKPGEINVSKWKKLDSRSLGINRTIPPSPFAVLQILRTRGFEAYLVGGCVRDLLLNRTPKDFDVITTAHLEQISKQFHNCEVVGKRFPVSSFDTLAKETEGKEKFLISQMPRGCDKLDLLRWKNSMHRDFTINSLFFDPFIHTIYDYNDGMKDLLELKLRTLVPAQLSFTEDSARILRGVRIAARLGLSLSKEIKSAIFKQTSSITRLGQSRTMMEINYMLSFGAAESSFSLLHKYHLLEILLPFQAAYISQQATRFDQSSMMLMKLLSHLDKLVSCDRPSGSPLWIGILAFHLALVNNPQHPFVILTFASVLYHQSWKDGLKFARKYGQPPVNFDPESLDTYDEFISDDEIAKKVNQLAIMVVDSVDVLMETNCLNHAMENFPGSPSPSPCSGFVFISKNMGYSAQQLFHVLSHKVEAYNEGRTSFEINFDLLKKGYSLETRFALGKIILNTLGCGIGQTEVNSSSLENERFVNKKHDIKQPPLSFNFNLQQEIVTKSPKMITKLSSIMQDKSKGVFSKHKEVVDISSDPKNKCLYHKYAEVGNKPRPRSDKGSDFEPGEINISKWKKMDSRSLGISRTMVPSAPYTLLKILQTQGFEAYLVGGCVRDLLLNRTPKDFDVITTADLNQIKKQFHRCHIVGRRFPICMVHIKGSIIEVSSFKTLAKHSEDKEKFLVSHMPRNCDKSDLKLWKNSMHRDFTVNSLFFDPLMHKIYDYSNGMKDLLELKLRTLVPANLSFTEDCARILRGLRIAARLGLSFSKDIESAIHKHVSSLLDLSQTRIMMEIDYMLSYGAAESSIRLLHKYHILEILFPFQAAYISRQTSGSEQCSMMLMKMFSHMDKLFSCDHPCTYRLWIGVLAFHEALVKKPQDSLVVLTLASVLYHQEWTAGVEFVRQCSPSPVSFKPEISESESSDLISDEDELSEKVSQLALNVLDSINGLVKDTDRMQKTKSKLPRLVFISKNSGHGAAQLFNVVAHNVENYNKGRSCFEMEYGLLGKGDVSETRFALGKIILNTLGCRVDDEEDENKQFIEESDSRLWLLNDAHMKQKWSTRKKQNIVVTEVKKINKDEQVIAVEKAEEMNTFESRIQKLESISVGNEKFPSDLELEAQTETLKVDELKSITQEQSLNNKRKGSLSILSSLFK >cds-PLY64528.1 pep primary_assembly:Lsat_Salinas_v7:6:35568039:35568601:1 gene:gene-LSAT_6X28280 transcript:rna-gnl|WGS:NBSK|LSAT_6X28280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB27 [Source:Projected from Arabidopsis thaliana (AT3G53200) UniProtKB/Swiss-Prot;Acc:Q9SCP1] MSIHDPRNLRRGPWVDEEDDRLTITVEALGEKHWDALAKQSGLRRSGKSCRLRWMNYLRPNLKHGEITDEEEHIILDLHKQWGNKWSRIAKRLPGRTDNEIKNYWRSHLKKKAEAQLGL >cds-PLY76453.1 pep primary_assembly:Lsat_Salinas_v7:5:198310855:198313161:1 gene:gene-LSAT_5X88800 transcript:rna-gnl|WGS:NBSK|LSAT_5X88800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFSSTPSHPTHLSSPPSFPTYALPVNETLPASPSLQQLAHPLLKASRAGSIVFISLVAGLVNVIIGSVYSAIKDLEFCEWRMGHALRLSLLLILLAIVHPSSILYDFEHCKNSVKQWACSSLHSDTKDVHILKDLLFFLHVPRTGAQTYFYCFLKKLYSIVLERPRSYDKLRFNPSCRLLVTQCLRNPIKRVFSAYKFSIEVAARFLVHPNLTSILKMFSRIRSKNGGICTLEIWPWKYLVPWMREDLFTQVIMSY >cds-PLY73007.1 pep primary_assembly:Lsat_Salinas_v7:9:36017388:36017657:-1 gene:gene-LSAT_9X33320 transcript:rna-gnl|WGS:NBSK|LSAT_9X33320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METFMREKGRRTRERRKSLPILENVEERRPPTSALTHASDDSETTMIVLCLLGGSTDRKNSVTGGGGSSXXXAGVHSQEPPGHAEPTQK >cds-PLY83103.1 pep primary_assembly:Lsat_Salinas_v7:1:155877408:155878489:1 gene:gene-LSAT_1X107800 transcript:rna-gnl|WGS:NBSK|LSAT_1X107800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVILKRLVTNKVVVIFSKSSCCICHNIRTLICSFGANPTVYELDEHPDGQIIEMELKALGCKPCTPTVFIGQELIGGANEMDVMIKLFVYQAPGSRSFFTEIIASISDIKFGRDGRYILSRDYMILKLWDINMDSGPVSTFQVHEYLRPKHEIEISDVCSGGA >cds-PLY82855.1 pep primary_assembly:Lsat_Salinas_v7:1:85707399:85707812:-1 gene:gene-LSAT_1X72640 transcript:rna-gnl|WGS:NBSK|LSAT_1X72640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVAETYAQAITCDQVVSDLSPCYGYLTNGGAVSSACCSGVDALNSAANSASARQTACNCLKSFYSSNSGINLSNAASLPSDCGVNLPYKISPSTDCSTVE >cds-PLY89968.1 pep primary_assembly:Lsat_Salinas_v7:2:191952549:191955802:1 gene:gene-LSAT_2X113341 transcript:rna-gnl|WGS:NBSK|LSAT_2X113341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGLFTSLLCTISLFLLFSGSFAVNSVVSNQGIKDGETIVSTGGMYELGFFSPSNSNNRYLGIWFKKISTGRVVWIANRDFPLLNRTGKLKVSSEGLLLLSCCGDTVIWSSNSSASVRYNNNPVAQLLDTGNFVVKDGSSSSNKTNFIWQSFDYPGDTLLAGMKLGMDYITGTNRSLTSWKGTDDPSPGQYVSYIDTNGYPQILLSQGVVVDVRFGPWNGIRFSGLPTEMSNPIYSLDFVVSQKEVYYKFELKSSIVQIIHLKWDGSISMLHWNNRSQDWLVYTSGLIDSCGHYGICGPYGSCNTNTNPPCNCMEGFEPKSPEEWNIADWSNGCKLQSPLDCKAGDAFRKVIKMKFPDTRHSWYNRSMTLGECEIACRRNCSCTAYANLDIRKGGSGCLLWFGELMDLKVCEESQDLYIRMPSSLLTGLTVSQPGFNRKIQILTIVLSILVVLVGMFVAVYAFRMKKKRSYMKGRGRRVHSIDRHYSDVEKEDLELNFFSLSIITKATNNFSINNKLGEGGFGPVYKGVLETGQEIAVKQLSRTSEQGYDEFYNEVVCVAKLQHRNLVKLVGYCMDGDERILIYEYMSNKSLDLLLFDETKSCMLDWPQRFCIINGIARGMLYLHQDSRLRIIHRDLKAANILLDHDMNPKISDFGLAREFEGNQITAKTKKVVGTYGYISPEYALHGRFSVKSDVFSFGVLVLEIVSGKKNREFSHEDLNDNLLGHAWRLYTEGKYLDLMSPSLQSSCIISEVKRSIHVGLLCVQNHAQDRPTMSSVVMMLGGDGILPPPKQPAFFAEEDSPKLNTYSGVDEATITLLHPR >cds-PLY77158.1 pep primary_assembly:Lsat_Salinas_v7:8:25705464:25708810:-1 gene:gene-LSAT_8X19641 transcript:rna-gnl|WGS:NBSK|LSAT_8X19641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVYLIFVLLSCTIFFFVSCSNAVDSVSANQPIKDGNTIVSYGETYELGFFSPGKSKNRYLGIWYKKISPCTVVWVANRHTPNTDASGVFEVTTDRILLIHSGISIGNRSVIWSSNSTILSVNPVAQLLDTGNLVVWDESSNKENPIWQSFDYPGDTFLPGMKFGKDLITGRERYLTSWKSPDDPSVGEYKLWLDTNGYPQIFVVKGLVRHARLGPWNGVGFRGFALENTNPILYAEFTVNDKEIYYGVKLKSSVILRRVMMNDGNPRQLNWIERTQEWVMYGNIVVDSCSYYGRCGPYGICSIELPPCSCMEGFEPRVSEDWNGGDWSLGCQRRKPLNCGTRDVFHKISGVKFPDTRRSWYNVTMSLGECEMVCRRNCSCTAYANVDIRNGGSGCLLWFDDLMDITEYEDQQELYIRLASSELAGENENEPFVGSRPHTLVKDQSSVQIENLDEVPFLSMYQIVNTDNFKIDNKIGEGGFGPVYKGVLEYGKVIAVKRLSETSQQGLDEFQNEVICIAKLQHRNLVKLLGYCIHGNERILSDVFSFGVIVLEIVSGKKNREFSHEAHSDNLLGHAWRLYKENKSIQLLNASLRNSCVISEVLRSIHIGLLCVQHHAEDRPTMLSVVLMLISEGALPPPKQPAFFTEESHRQVDSVSTLEEYTITLLHAR >cds-PLY70625.1 pep primary_assembly:Lsat_Salinas_v7:4:228113449:228113793:1 gene:gene-LSAT_4X125501 transcript:rna-gnl|WGS:NBSK|LSAT_4X125501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYKCIIISCMAHFGMILIPNSLCANWIRFSISHSSTIPFPFLSAALIPAFPFQPENHPPVAFTSCWSSVLLILPSLSVSNLINHLLNSLIVSSRVPSSSEWFIEVVLNAMFDF >cds-PLY95769.1 pep primary_assembly:Lsat_Salinas_v7:3:27258322:27259871:1 gene:gene-LSAT_3X21020 transcript:rna-gnl|WGS:NBSK|LSAT_3X21020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERVLKSTSVYYALQQTWKPFNTILGETYEMVSHHPPISDAHVENEYFVYDITSKVKTKFLGNSIDVYPLGRTRLKLKKDGLILESVPPPTKNESMSYQPCDYEEEPVPNTELTQNDNLALSCMFGQHVIFTEHAAVSSTAHLCPYVAYVQPVHPS >cds-PLY88608.1 pep primary_assembly:Lsat_Salinas_v7:4:362249300:362250373:1 gene:gene-LSAT_4X177381 transcript:rna-gnl|WGS:NBSK|LSAT_4X177381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSDSCDHRRTTIAKPHNVGGCGGAAGAGAGAPPPEPEHLPCPRCDSTNTKFCYYNNYNFSQPRHFCKGCRRYWTHGGTLRDIPVGGGSRKNAKRSRVTTNAHGNSSISHSLEFRHMAPPTGTPILIPFAGEHGGGLHFLGEGKSGMSMCESFTSLLNNTHGPGFFGVGGFEDGSFGFGRSIWPFAGIGDGGGSVQYASGGGGGGGGSMIGGGHTWQVESGDGGDCFTLPDLAISTPGMI >cds-PLY65233.1 pep primary_assembly:Lsat_Salinas_v7:8:20879765:20879935:1 gene:gene-LSAT_8X38281 transcript:rna-gnl|WGS:NBSK|LSAT_8X38281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEFPHDDLFDESNEDSDMFVESEDFDDESDIGENDEDDQGNDKDDEGDDEEKDSE >cds-PLY96587.1 pep primary_assembly:Lsat_Salinas_v7:4:6760811:6763804:-1 gene:gene-LSAT_4X4020 transcript:rna-gnl|WGS:NBSK|LSAT_4X4020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast sensor kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67840) UniProtKB/Swiss-Prot;Acc:F4HVG8] MILSSFAPQFHHQHKDLRRQVAYRPATSTTAVHCSIHNSASALRHVSSSNHNNGNTNTCEDDVVTVSSAIAVAAAIRKASTSPVEFVQRIEHDGKNKGLVLPSSDFQTLCVEQLDLFRRIVDPEALLSVYVRPAGSYVMDRLELRRVTVYPGRNVADVVIVIGNFSITTGLRAAEASLLKQQAEFIPKSRALVFPMVKHPFVVGFLVAELPKLELQKEEDDVKVKQGSSPEESYPYAIPKSWELQSFVDKTLEMHNFSTEQRLNAINISRSVAMAYVMDQKAMLLQQSSWQNNVRMNNLVEQIRGPLSSIRTLSKMLSANMKKNEVSYDIVEDMMVLGDHMRETLQQLQDAVHMTKTNIVRYNEENLMKIDESTRDSVRTQLSNFFSHDHGGPFSLSSKSGDLEMPMPPLTLSPLLHQNIKPCNASDVLADLADALEPLAHKQQRVIQICELPQTLEVAVEEPALRQALSNLIEGALLRTNVGGMVEIASTKAPAGGALIVIDDDGPDMHYMTQMHSLTPFGADLLSEGMVEDNMTWNFVAGLTVAREILENYGCVLRVISPRCMEAALGAGGTRVEIWLPVFSSSSPDGPAQAA >cds-PLY77193.1 pep primary_assembly:Lsat_Salinas_v7:8:26153518:26154365:1 gene:gene-LSAT_8X19121 transcript:rna-gnl|WGS:NBSK|LSAT_8X19121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKDHLYLEEPLADQNPNATMQDNLADESEETVSLCDLPIYGYDTNNYNVTDSEDSMIGDESFEFFSEEWVKKVKDSNPKESIVFCGNLILPKQPLSRNTQEFDKRNHGSTKHDLTSGSGRNIEFTKIRPMNKGRNHGTYGEHERKRVASGSKKSRWYYYGVGLAGIPAEMDLSAIKSRQNRRQQNSSDRTEGGFEKEESGGFRHAKGLGRLIRDFRCNGQTQATKMVKASLVYIPRVE >cds-PLY75541.1 pep primary_assembly:Lsat_Salinas_v7:9:33955481:33958212:-1 gene:gene-LSAT_9X30061 transcript:rna-gnl|WGS:NBSK|LSAT_9X30061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METAGDDDRSVIRKKAKGTTGIHVSHSDSNINSFVTNLLNSFNLLEVDPFRQSDSDKELVDRVIMVYNLLWRKLTQIDETKLALPGTKKRPDLGAGAICMNKGVRANTKKRFESVPGVDIGDIFFFRFELCLVGLHFPSIAGIDFMGVPSSEYEEPVAVSIVSAGGYEDEGDDGEVLIYSGAGGAQRKDKPQTDQQLTRGNLALEKSLHRGDKVRVIRGIKDCQYTTGKVYLYDGLYTIHESWIEKEKSGCDVFKYKLFRVPGQPEAFTLWKSIQQWKKSHGPITRVGVNVILPDLSSRAEMLPVSLVNDVDDEKGPASFTYTRTLKYKNPFPLTKSSSTCTCTDGCQPGSNCPCLQKNGGYMPYTPLGVLLTHNTVIHECGNSCRCLNTCRNRISQMGLKIRLEVFKTKDRGWGLRSWDPIRSGGFICEYAGVVIEGRKGIDPDDDYVFDATRVFEPVESVTSDEHAKFPFPLVISAKKEGNVGRFMNHSCSPNVYWQPVVCEYQHDAYFHVGFYAIKHIPPMQELTYSYGKGRVDKGGPRRKKCLCGSPRCKGSFY >cds-PLY67437.1 pep primary_assembly:Lsat_Salinas_v7:6:72823587:72824760:1 gene:gene-LSAT_6X51840 transcript:rna-gnl|WGS:NBSK|LSAT_6X51840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGFVFLVIGFWHLVNHIKLHVQNHKTYHFLPWFPSIKIRYLELYLIMIACSMSIAMELFIGPDRHQPFDTDGTIPSNHLHNFEHSFISLTFLVYAAFAILLDKFVPNAQYELTHLLKGIAFGQQLLLFHLHSADHMGVEGQYHKLLQMLILISFITTLMGIGYQKNFIVSFIRSISILFQGLWLIVMGFMLWTRSLIPKGCFLNLEVGHHVVRCHGEEALERAKSLVNFQFSWYLIWVTIFAVSLYLAMHKIYAGKVKYQSVTMYDFVKVKENIEAQRKPDESQSFLVMKKSFSPLDMEE >cds-PLY94878.1 pep primary_assembly:Lsat_Salinas_v7:2:177061411:177064373:-1 gene:gene-LSAT_2X98340 transcript:rna-gnl|WGS:NBSK|LSAT_2X98340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIEEVQSTTKKQRIATHTHIKGLGLEATGKAIPLAAGFVGQAAAREAGGLVVDMIRQKKMAGRALLFAGPPGTGKTALALGISQELGTKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEEIESVTGGYGKAISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRSDAFATEYDLEAEEYVPLPKGEVHKKKEIVQDVTLHDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVIFATNRGICSVRGTDMSSPHGIPVDLLDRLVIIRTETYGPAEMIQILAIRAQVEELSIDEESLAYLGEIGQQASLRHAVQLLSPGSVVAKMNGREGICKADLEEVNSLYLNAKSSAKLLQEQQDRYIS >cds-PLY92270.1 pep primary_assembly:Lsat_Salinas_v7:2:210238105:210239802:1 gene:gene-LSAT_2X130500 transcript:rna-gnl|WGS:NBSK|LSAT_2X130500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVFAKELHARLIKTHLNTDSSSIFPVIQSYSLQPSKLQKTLFIFDQIERPTLSIWNILIRCVAQSDRPVFALHLFDEMRDRGLIGNNLTSIYVLKACGRAQDASYGGKIHAHSFKMGFRSYLYVCNSLIHMYASCKRLECAKRVFDEMPERDLVSWNSMICGYSQSNRYKEVLRLFDLLQEADLIADSVTMVKVISACINIGDKSIGLSMAKYIKDNTIKMDVYLGNTLIDMHGRHGSMDLAREVFDEMEERNVVSWNAMISGYAKAGDLTDARKLFDKMPKRDVISWTTMITGYSQSSQFSDALTLFIDMMNTNIKPDEITVASVLSACAHLGSIDMGKSVHDYICKNNIKQDLYVGNSLIDMYCKCGSTEKALEVFGEMEHKDSVSWTSVISGLAVNGNANYALDLFSKMNTKPTHGTFVGVLLACAHAGMVDKGLEYFESMKREYGLHPEMKHYGCVVDMLSRSGDVERAYEFMKEMPMDPDVIIWRMLLGACRLQGNIVIGEIATNRLLELDPDNSGNYVLSSNIYATAERWDDAMKMRNLLKKGHVFKPLGWSSTQV >cds-PLY82340.1 pep primary_assembly:Lsat_Salinas_v7:5:312664114:312664895:1 gene:gene-LSAT_5X170701 transcript:rna-gnl|WGS:NBSK|LSAT_5X170701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKCSCGSNCSCGSGCNCNSCGVETSTTATIIVAGVAPKMTFAEGTETSFVAESGNGCKCGSSCTCDPCNC >cds-PLY75138.1 pep primary_assembly:Lsat_Salinas_v7:4:62103191:62107032:-1 gene:gene-LSAT_4X41240 transcript:rna-gnl|WGS:NBSK|LSAT_4X41240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSNFLLFSVALLIIPLSIIQNFASASIEEANALLKWKASLQIPNNSLVSSWLPMNSSTSAPCSSWLGIICNADGSIQTLNLTSSGINGTLHQFPFSLLQNLTKFELSVNNFFGPIPPEIQLLSKLVYLDFSANTFSGVIPPGIGMLASLETLHLNENNLSGSLPQELGQLTSLYELALYDNSLEGELPPSLGNLKSLAYLYLDNNKLSGPIPQEFGQLVNLVEVYITHNSLHGPIPKEIGNLKKLTILYLFQNHLHGSIPQEIGSMVSLEGLSLFSNNLSGSIPSSLGKLTSLNLLHLYQNQLSGHIPVELGNLKSLTNLAVSENNLSGSIPSSLENLSSLKYLSIRDNKLSGSIPQGLGSLDLVELEMDTNQLSGHLPKDFCHGGNLRFLSLSDNQLIGPIPRGLRNCRSLFRAVLYNNQFTGDISNSFGVYPSLDYLDLSHNKFHGQLSENWSKCKNLTALRIAYNNISGSIPPEFGNSTQLRRLDLTSNHIVGEIPKEIGKMKSMLYLSLADNQLSGIIPNELGSLRDLIALDLSTNRLNGSIPRNIGVWTHMYYLNLSNNKLSEKIPSEIGKLSQLTTLDLSHNLLIGEIPSEVHSLQNLEKFHLSHNRLSGSIPDAFEKFLSGIDIDLSYNELTGPVPPYAIFVNASIQVFQGNPGLCGNVTGLKLCASQNMMKKKDPFHHKRVLIIVLPLLGALLLGLLMCGLIAYQRQKKIVPQQSSDVEGDGFFSISSSDGRVVYDEVLKATNDFDDAHCIGTGGYGIVYKAKLQPNNVVAIKKLHSSSGKVDRKGFLNEVRALTNIRHRNIVKLYGYFSHARHSFLIYEYLEKGSVGSILSSDILAKELDWLKRIQIVKGVANGLAYMHHDCSPPIIHRGISIANILLDSDYEAHISDVGTSKIFKLDSSNWTTIAGTYGYIPPELAYTMVANEKCDVYSFGVVALEVMMGKHPGELITSLPTLAVDYLLPANVGDRRITPPSSIVEKQVKLVLSLSRACLNSNPHARPTMRQVSNLLMEAWL >cds-PLY83557.1 pep primary_assembly:Lsat_Salinas_v7:1:65215845:65216270:-1 gene:gene-LSAT_1X55380 transcript:rna-gnl|WGS:NBSK|LSAT_1X55380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSTSTGPVTRSISRGVTNLVSELLVMFTQQEELMKNLEAFMVQQTHSTLKLKGVVEALQAKHAALEESFSQSQNKVNKQGSDASEEEEEMDQQFEDSSKLGRGRGKGIVTGNAPGGKMTSSFTVLGRGRGHLEQTPWE >cds-PLY79776.1 pep primary_assembly:Lsat_Salinas_v7:1:180179408:180180917:-1 gene:gene-LSAT_1X117280 transcript:rna-gnl|WGS:NBSK|LSAT_1X117280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSISNRWKTLSGEDNWLGLLDPLDIDLRRYIIHYGEMAQAARDAFNSEKASKHAGNSLYSRSNLLSKVEIEQGNPFRYEVTKYFYGTSSVPLPSFLVQSLSREAWSKESNWIGYVAVATDEGKAALGRRDIVIAWRGTVQAMEWINDLKFVSVSAPEIFKENDDIKIQDGWHSIYTTADPRSPFNKTSARHQVLEEVSRLVELYKNEEVSLTVVGHSMGGALATLNAVDIVSNGFNKTMSMPSKTFPVTAFVFASPRVGDSDFHKFFSSHKDIHVLRVQNAKDVVPHYPLKIGYLHVGEELAIDTTKSPYLKSPGDIQRWHDMESYLHGVAGAQGSKGGFNLEVGRDIALVNKYSDGLKDEYHVPSFWWVQKHKGMVRHADGSWLLMDHEDDDIGTSK >cds-PLY77256.1 pep primary_assembly:Lsat_Salinas_v7:3:56943997:56945407:1 gene:gene-LSAT_3X45101 transcript:rna-gnl|WGS:NBSK|LSAT_3X45101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAFPHTGWRKPAIRILDRPSVFLAGAVSSLLLLWAFFFFSALDSIAIFSQSKPNSCNCNQHDPAFNLQFDPPIATFYDDPSFGYTIDKPITNWDRKRRTWLQLHPSFEPRSQERVFIVTGSRSTPCKSPTGDHFLLRFYRNKVDYCRIHGYDIFYNNVLLDPNMHSCWAKIPAVRAAMVAHPEAEWIWWLDEDAVFTDMEYKLPLHRYKDYNFVVHGWPKEVYQKKSWLGLNAGSFLIRNCQWSLDFLDSWADMGPQSPNFDKWAGILMDVFKHESDDQTAMAYLLLKEHQQWYGKKMLIETGYYLEGYWVLIVDTLKNITERYLEIETTAAMLRRRHAEKVSERYGVLREPSLKDAGNEFGSWRRPFVTHFAGCQPCNGKHNPLFTGANCRKSMNMALNFADNQVLRNYGFVHRNLSDPSVVSPLPFDYPA >cds-PLY94138.1 pep primary_assembly:Lsat_Salinas_v7:5:35147602:35149528:1 gene:gene-LSAT_5X17281 transcript:rna-gnl|WGS:NBSK|LSAT_5X17281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEENVLDFVLVPLGLFLMAAYHVWLLYQIRRHPATTVIGMNVINRRFWVSAMMEDTQKTGVLAVQTLRNNIMASTLLASTAIMLSSLIAVLMTGGVTGRGSSAAGSINDHHFIGITFNQGTKFMSTIKFFSILVCFLVSFLMNVQSIRYFSHASMLINVPYRKITSFTNHRLTAEYVGSTVNLGSYFWSLGLRAFYFSFPLFLWLFGAIAMFVCCIFMVFMLYFLDITFEVGWKADAMSTDEETG >cds-PLY79143.1 pep primary_assembly:Lsat_Salinas_v7:9:90614932:90622057:1 gene:gene-LSAT_9X70141 transcript:rna-gnl|WGS:NBSK|LSAT_9X70141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G54860) UniProtKB/TrEMBL;Acc:F4JE40] MAQIPNLQNGPINLTPIRDQSQKELITILKNIRGKKCLVIDQKLGGSLSLIVQTSVLKEHGAELLYLNEDPLETTCTKVVYLVQTQLHLMKFISSHIHNDNSKGLQREYFLYFVPRRGVACEKLLEDEKVHNLLTIGEFPLYAVPIDEDILSFELDSSYKECVTDGDTTSLWHIAKAIHKLEFSFGLIPNVRAKGKASVRVADMLNRLQAEEPVSSTDTGVPEINTIIILDRELDMVTPMCTQLTYEGLLDEFLHINNGAVEIDASIMGANQQDGKKMKVPLNSTDKLFRETRDLNFEVVVQVLRQKATSMKQDYTEMQTTNQTVSELKDFVKKLNSLPEMTRHINLAQHLSTLTSKPSFLGRLDMEHTIVEAESYDICLDYIEEMIHKQEPLVSVLRQLILLSVTNAGLPKRNFDYIRREILHSYGFEHIATLNNLEKAGLVKKQEGKSNWLLIKRALNLVVEDTDTTNPKDVSYVFSGYAPLSIRLIQHAIRSGWRPLEEILKLLPGTHTESKRSAFANGTLDTLPGGSHMDKVQDGRRSLVLVVFVGGVTFAEISALRFLSSQEGMAYDIIIGTTKIINGNTLTETFLEKLG >cds-PLY90974.1 pep primary_assembly:Lsat_Salinas_v7:5:287284445:287286486:1 gene:gene-LSAT_5X151340 transcript:rna-gnl|WGS:NBSK|LSAT_5X151340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRQGLSRSSTFNEGILHPRDQDDTTTSSAAAAPSSPLAPQPNRPTDPRLQSFQSSGAFHASFKNRTKSGFVEKKSSATTYDYTSMKSTNEPGGFWGVIARKAKSILDHNGSPKQSNTPNIAKPEAVSFSSSNQFNGIQFEHSKKLEGPPRVKIHGLDRLTSCSLNQIGETIGNALEEGNRKSMRDRKSDEELMQELMQMRRGRGKNNEGKKVQMDPETQLKVAIATAAKAKVLLRELKRVKADLDSAKKRCSELEEENKMLRDGDHPAHAHDDDDDMIRIQLETLLAEKGRLAHDNSVYARENRHLREIIEYHQLSMQDLVYWDDVTQEVQIDLSTPASAWSRPTDPDTSQRPRLTTAKSV >cds-PLY63742.1 pep primary_assembly:Lsat_Salinas_v7:9:86877445:86880195:1 gene:gene-LSAT_9X66981 transcript:rna-gnl|WGS:NBSK|LSAT_9X66981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEELVMDIGDDQLEKMEESNAANVDDIGHKVDDLFARVEKLEQRVNEVEQFYLKSSKKQSNASQKTSFVKDKEKGKHIPGFKKHQQDLARREAVAAKRMQEIMRQFGSILRQITQHKWAWPFMQPVDVEGLGLRDYYEVIDRPMDFSTIKNLMEAKDGTEYKHVRDICSDVRLVFQNAMKYNDDKSDVHMMAKTLLEKFEEKWLQFLPRVTEEEKRREEEEAEALLNLQRAQETAHAKIARELCNELYEADMYLEDLRETVVQKCRKMSIEEKRNLGIAMTHLCPEDLSKALDIVARHNPGFNSTALEVDLDIDSQSESTLWRLKYLVKDALLKGHNKNDETNDAKCHVGPTQPINTTRTIASKRKKEICNALARTAKKRNQKLSS >cds-PLY68468.1 pep primary_assembly:Lsat_Salinas_v7:2:213445345:213446317:1 gene:gene-LSAT_2X134481 transcript:rna-gnl|WGS:NBSK|LSAT_2X134481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMYAIFRRSIAYVCHIGSDGMVYKIIFIAHWSRFRVFADSLMMNTDPNQVQYVLSMQMRGRSSLRRSLCCFLLLTPLRGLISWLGLPKRSMVDELEAMLDLVDPQPRGDGKLIPLILFDLCSIFRNGFEPSTLLHFFIEMGLSNCLAEWFIEYELISLQFMIFFL >cds-PLY85077.1 pep primary_assembly:Lsat_Salinas_v7:7:6191677:6192658:-1 gene:gene-LSAT_7X5481 transcript:rna-gnl|WGS:NBSK|LSAT_7X5481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEGTLEVLVVSAKGLENADVLCNMDPYVIITYRSQEKKSNVASGKGSNPEWNETFLFDVSSKETADLKIKIMDSDTGNADDLVGHASISLDALFHDGDIPAKSYNVMKDDAYCGEIRVGLNFTAQRSRDFDPTDGNMGGWKQSSHD >cds-PLY68018.1 pep primary_assembly:Lsat_Salinas_v7:8:248152358:248153303:1 gene:gene-LSAT_8X147281 transcript:rna-gnl|WGS:NBSK|LSAT_8X147281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVTPAPPNCSSEVPFSISKPIVGAQNRVSHKWYDLNEEALRRRQRFCPEKLHISLGNNCFIDI >cds-PLY81974.1 pep primary_assembly:Lsat_Salinas_v7:9:152847018:152847218:1 gene:gene-LSAT_9X97221 transcript:rna-gnl|WGS:NBSK|LSAT_9X97221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETQVEMDRIKKEMGRDSMISRFEMLVMQRRLDRNEKKLQAMTVVVVALVVVTIGFLVEKIHMLI >cds-PLY79385.1 pep primary_assembly:Lsat_Salinas_v7:2:46699943:46700973:-1 gene:gene-LSAT_2X20760 transcript:rna-gnl|WGS:NBSK|LSAT_2X20760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLHSRVIDCWAGFLNKMENYKDESSLSRFFFDTTIVAEEILKELKTEDLKCRLFATLLQIYIKKFDVKPSFRDVALVRILKNYYATSQHHPMAKALSFKAGRVMNISWQVEQAGTDCGIYLMRHMESYMGESEGRWECGLTTKKAGDVTAILKLRMKYMARLLIADFNKYKSMIVTDFEAFCKLDILEQTMILQQPAENRKKKRKRGAVAKKLKL >cds-PLY66382.1 pep primary_assembly:Lsat_Salinas_v7:4:119480076:119481803:-1 gene:gene-LSAT_4X75140 transcript:rna-gnl|WGS:NBSK|LSAT_4X75140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRHATKRILGLGLADTRSSAPVVARILPRFYHERVVDHYNNPRNVGAFDKNDPTVGTGLVGAPACGDVMKLQIKVDEETGKITDACFKTFGCGSAIASSSVATEWVKGKQMEEVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYETKRSNNKSLPDKKAAEA >cds-PLY95012.1 pep primary_assembly:Lsat_Salinas_v7:3:221330113:221331121:-1 gene:gene-LSAT_3X127861 transcript:rna-gnl|WGS:NBSK|LSAT_3X127861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLGDGIGKTIITGSKSVGGGATTFKSATVAVVGDGFIGRGITVRNTAGPQNHQAVALRSGSDLSVFYQCSFEGYQDTLYVHSDRQFYKECDIYGTVDFIFGNAAVVFQNCNIYARNPPNKTNTVTAQGRTDPNQNTGISIQNCRVMAASDLKGATGSVKTYLGRPWKQYSRTVFLKTFLDGLVDPAGWLPWSGSFALDTLYYGEYMNTGGGSSTAKRVNWKGYHVITSATEAAKFTVGNFIAGGSWLPATSVPFSSGL >cds-PLY80983.1 pep primary_assembly:Lsat_Salinas_v7:9:177125062:177125719:1 gene:gene-LSAT_9X109521 transcript:rna-gnl|WGS:NBSK|LSAT_9X109521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFITKRLCTEGVINFMDVSINNDMMIGFHVVLSVRSKNACGQQGSYPIKMFYTSNMIIILQSTLVSNLYFIYQLLHRKYCGNFLVNMLGKWKEFEYSVQSVPVGGLAYYVVAPSQGND >cds-PLY80234.1 pep primary_assembly:Lsat_Salinas_v7:6:124769841:124772547:1 gene:gene-LSAT_6X74241 transcript:rna-gnl|WGS:NBSK|LSAT_6X74241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRLVNRLSDSTSFSRSAVHRRWSIVLHTLPLTHICSPLDVKQVNGLWKTKTQNMTSLCKVAKELKDKFASFQICHVEREFNTEADRCSSEPGSTSPGYVALEGFENEIKSYQNALQVDGRHYNAWYDLV >cds-PLY70612.1 pep primary_assembly:Lsat_Salinas_v7:1:90091587:90092111:1 gene:gene-LSAT_1X74401 transcript:rna-gnl|WGS:NBSK|LSAT_1X74401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSDAQSHYKTHKLFLISNYILLGAASSCIFLTLSLRLLPSVAGGLLVLLHIITIAGAISGCNAVSAGSSKWYAAHMVAAVLTAIFQGSVSVLIFTTTSNFLAALKSYVREDDAAVILKMAGGLCVLMFFMEWLVLTLAFFLRYYAFVEGSRTTGKVQAEDDSKWWATPFQV >cds-PLY99415.1 pep primary_assembly:Lsat_Salinas_v7:4:103429217:103431017:-1 gene:gene-LSAT_4X65440 transcript:rna-gnl|WGS:NBSK|LSAT_4X65440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIDMFEFIFGWRKASKCKKLIRKVHCRLSLLKNKRCCIVRQIRNDVAELIKHGHYQSAFNRVDQIYKDECIVNVYDLLTNFCEFISLQLSYIRRNKDCPNDIKEAISTLIFASARCGDIPELLQIRKLFRNRYGERFEATALEFGPGNLVNSEIREKLSITKVPNEVKYKLMEEITTSVLQTGPLAFEFTSELHRQVVPFA >cds-PLY90041.1 pep primary_assembly:Lsat_Salinas_v7:MU039662.1:73485:74751:-1 gene:gene-LSAT_0X35241 transcript:rna-gnl|WGS:NBSK|LSAT_0X35241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKRGKVAANEWWADWSGLVPPRYHKDRCPATARGYLRSPTEQNGSNSRERRDKKREGSAETQVPLPELPEPEVEVEGLLSEKKRQMIRTVVALAEQGAYREDWGNEEFDDLAHRLHLSLNQLPNHLPNRIINRIHLNLINFL >cds-PLY97722.1 pep primary_assembly:Lsat_Salinas_v7:8:6048425:6049689:1 gene:gene-LSAT_8X5481 transcript:rna-gnl|WGS:NBSK|LSAT_8X5481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIECRVGSKWAEMAFYVLDHQMGRTIYTGRSSTEVGVCAELSDAGNWIFVCMRGRSLASSSFSSSESDVFRLTQEKRSSDAKNADATLLPTQQATPSSFSYDKILGFSISPICVY >cds-PLY74660.1 pep primary_assembly:Lsat_Salinas_v7:5:177878712:177879992:-1 gene:gene-LSAT_5X79261 transcript:rna-gnl|WGS:NBSK|LSAT_5X79261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRWTGSHSLRRSPRMMQGGFQSKFSNTANNDIDVEEEEVLYIESGSSSICVVEGNKCMRSAGKKVVPGGGKN >cds-PLY87315.1 pep primary_assembly:Lsat_Salinas_v7:8:132688125:132691198:1 gene:gene-LSAT_8X91040 transcript:rna-gnl|WGS:NBSK|LSAT_8X91040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSVLYISSDEEPGWDGDDDRVITVGFDDNNWIAELLDEVNGDDCGAGCHDGSDDSDEVVLVSEVLPSRRPRKKPTSKSSSLIELDDECVVLDHDPGKPKEVRNDDPISRSENGDDDSDDLLVVSETGQVACRDYPHPRHLCIKFPFSSTPNESHCDQCYCYVCDSLAPCLYWGNGSGTIDHCQATEKIEFWKLERQNSKNINKLTDVPLPHMNMASLPLPLPLPLPLPPPPLLVQTPTMTHIPVQRPNPIRVCPVSPNLGSPNMINQNRAPFLLSRNKYQPGLVSQQLIRTSSCSIPRDRGHQYHNYTFNKPVFKRTVSPGIASTGNRNHHFYSSHRDRDRNLCRQQDYRVPENSVNTSASFPPSYLPFQEPLLSPDSQPQVNSDSYVESPIPIPFQPQPQPQPQSALLNYVVPCEEASQQGNHNHGQRSTVDPKFFHGINWPESQTNHLPAAQTATPANIDSGDLVDYRYDNWGYDSGACEPSSMEIPGSFGLNEFSPDPAFLDTVIIDFVAGI >cds-PLY82674.1 pep primary_assembly:Lsat_Salinas_v7:4:62870011:62878021:1 gene:gene-LSAT_4X43181 transcript:rna-gnl|WGS:NBSK|LSAT_4X43181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRNGMDRLAKDNESSQLSGTISLIRTKHVSLNDDFLKNNEVKTIYKSKELVQVEEFIIVGTIIGIRQDKPWCYQSCPDCHVKAVEIPDCNEDVKLSLLKFLSQVCVQDDSASTILTMFDREAYGLLGISARDLAEKHTRLGFSLGIYPPELNFLKNKHLAFKVSVTKYNVKFQNSVYTISRVTEEKQIIESLERKLLQLQPATLESLNDGPSDSNSQEKILTKDWVSHSDENVTPSTGNILTPTSFENVKSTSMNLTRKFEEVYDVEQYSNSSSTKAPRLSTGTGEGIKLLIPKVEK >cds-PLY80277.1 pep primary_assembly:Lsat_Salinas_v7:3:215238238:215240193:1 gene:gene-LSAT_3X124700 transcript:rna-gnl|WGS:NBSK|LSAT_3X124700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDKLWEEITVIKVRAKYVTFISQNVSQRTIKARKMSTYAHRMRQGGYTTLRRKLIEDNVILKEEIPPRLVMWCNGRESKGEFKDEDIKITVDKLIKEGQVNVEPGTDAMTLVFGKEKGGFLKGIGTGVTYNRYFNVPRSKGSSMEEIKYLKVALHNGKLELEKKDVELKALSTKVNEQDQTLKLVLAHLNAKGADFPNLSHTTKIVQSNETSFVSLKTNEPSEPVTPVIPKPNKKHVQTKSATAAPDAKLISMKSATVTNTKTTNKTVESMTTTINHDIPKVSPNNLIHQSIKFSLSYPYKRNIIARDTIHLSSERQFIHEVLLQEDYYKVSIDEVVVKSAFLPPSN >cds-PLY64798.1 pep primary_assembly:Lsat_Salinas_v7:2:101104081:101105204:1 gene:gene-LSAT_2X43980 transcript:rna-gnl|WGS:NBSK|LSAT_2X43980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCINFNIKKGPTMDRIIEAIEEAKSIQESWKNYYMLDKRNIQIDKLESGNKFYMLGPQDLSITWQECARYWQMGHISQSRFPEVWILREACWLEIHGKIAVVKLSEKSTYVAYLIFQTTENCSGLDVPANSSITFGGKKWRLKCLSSKTKG >cds-PLY84144.1 pep primary_assembly:Lsat_Salinas_v7:6:192357608:192360760:-1 gene:gene-LSAT_6X118141 transcript:rna-gnl|WGS:NBSK|LSAT_6X118141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLVGRKKSGGEEGTQLQLGKYEIGRTLGEGNFGKVKFAKDVQTGHPFAVKILEKTRIKNLNFSDQIKREIATLKILKHPNVVRLHEVLASKTKIYMILEYVNGGELFDTVASKGKLPESNGRKFFQQLIDGVSYCHDKGVYHRDLKLENVLVDAKGSIKISDFGLSALPQHLRDDGLLHTTCGSPNYVAPEILSNRGYDGATSDTWSCGVILYVILTGYLPFDDRNLAVLYQKIFKGDVQMPKWLPSGAKNLIKRILDPNPKTRITIAEIKADEWFKQDYTPAILDEEEDDVVPVEVEVLSLHEAIPKKDPESPTHINAFELIGMSSCLDLSGFFEKEDVSERTVRFTSTCSPRKLLGRIEDTVSQMGFVVQKRNGKLKVLEDHNGQRKPCNLSVTAEVFEINPCLYVVELRKNSGESSVYRQLCDKVLKDLEVSQSQEHLAAKLLEA >cds-PLY67253.1 pep primary_assembly:Lsat_Salinas_v7:6:133472570:133472891:1 gene:gene-LSAT_6X80580 transcript:rna-gnl|WGS:NBSK|LSAT_6X80580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRTSWTDKNPGRRFWGCPTEGSKCRFIGWYDGPICERSKAIIPGLLRTINKVKAQTTRLKIYLLCSWIFFVYVLFYK >cds-PLY95990.1 pep primary_assembly:Lsat_Salinas_v7:9:40899669:40901478:1 gene:gene-LSAT_9X36640 transcript:rna-gnl|WGS:NBSK|LSAT_9X36640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVVGQGAFGKVYQVRKRDSLEIYAMKVVRKDKIVEKNHAEYMKAERDILTKIDHPFIVDMVVLTDGSRILGLGDLGVQGMAIPIGKLDMYVAAAGINPQRILPIMLDVGTNNQQLLHNPLYIGLRQPRLEGDEYISVVDELMEALHARWPKAIVQFEDFQFKWAFETLERYRKKICMFNDDIQVRICLMLMLLDLKHQVIVWYDGVKEGMEWFIPPERKKIFMFVLPDGMMEWNG >cds-PLY96556.1 pep primary_assembly:Lsat_Salinas_v7:4:368239958:368241434:1 gene:gene-LSAT_4X180801 transcript:rna-gnl|WGS:NBSK|LSAT_4X180801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G28340) UniProtKB/TrEMBL;Acc:W8QNM3] MIGKASVNADMLRFQAVFVLIVALFFLCTNAIRSIPELETPIKKYASNIGVYGSDNVQYLEAPEYRNGDHCGVLGTTATAYGPFVVHVAMTLDFEYLRGSIAAVHSILKHTSCPENLYFHFIASESKTPKPDDLSRIIKTIFPSLDFRVYSFDDRVVKNLISYSVREALEDPLNYARTYLAEILDSSVTRVIYLDSDIIVVDDIQKLWSFSLGSTAIGAPVYCHANFTKYFTDRFWSDSRLSRVFDGKKPCYFNTGVMVMDLAKWRREDYRSRIERWMEIQKEERIYDLGSLPPFLLVFGGDVAAIDHRWNQHGLGGNNRVHSCRSLHPGPISLLHWSGKGKPWVRLDAGEPCPVDELWSPYDLYRHSKEQPRL >cds-PLY89690.1 pep primary_assembly:Lsat_Salinas_v7:8:42204108:42206150:-1 gene:gene-LSAT_8X32481 transcript:rna-gnl|WGS:NBSK|LSAT_8X32481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT3G18630) UniProtKB/TrEMBL;Acc:A0A178VFQ0] MASAKAITDFFPPAKRSKVVAAAASSLESSSKKSILSVSQKPTTNAVPFPSTTPESVDGSGVQLSPSSNLNEEQKLRVQFNQSLAKAKRNLKICAGRVSKSTSGEGLGSMKLEELLVEETWLEALPGEFQKPYAKKLSEFVKAEIQSPIPIYPPQHLIFNALNSTPFDKVKAVIIGQDPYHGPGQAMGLSFSVPEGIKVPSSLVNIYKELQQDLQCLIPSHGNLERWAVQGVLLLNAVLTVRQHQANSHAKKGWEQFTDSVIETISRKKKGIVFLLWGNYAQAKSRLIDESKHHILKAAHPSGLSAHRGFFGCRHFSRTNRILEEAATSPIDWQL >cds-PLY86621.1 pep primary_assembly:Lsat_Salinas_v7:1:3614751:3615862:-1 gene:gene-LSAT_1X2761 transcript:rna-gnl|WGS:NBSK|LSAT_1X2761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHRKLLSEQDSNQTSTCSDCGPTCPYKCSYPEIFWPPQPPPLPPHTTTTTTHISPYVIIIVALLGSAFLFISYYLIMVRCCTRFRQFPTTQVYSEAEDFSDEDHVGFQVDHPIWYITTVGLQPSVINSITVIKFNRGDNMIDGTDCSVCLSEFEDDEILRLLPKCNHAFHIPCIDTWLRSHTNCPLCRAGVLSNTLSVGLSPNDRSFSHGTGSNRNTQIENLENHGDLDGNHVSESEVCDDIEDSKTEYESVMTARRSISMDSVVVAEIVVGEIEGDFGDSRRFSRRCSVEEIEEHEE >cds-PLY85880.1 pep primary_assembly:Lsat_Salinas_v7:4:350368194:350370885:1 gene:gene-LSAT_4X173121 transcript:rna-gnl|WGS:NBSK|LSAT_4X173121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTFASPLFSLLLLLTTTTTSQPYKPTDYFLLNCGSSTTITTSDRLWQTDEHSKFVPYNMTTTSFPSTPYDHDPSVLEIPYSTARIFNTSSFTYSFPVNHGPKFLRLYFYPATYSHLNANQSFFSVSSNGHSLLSNFSAFLTSSYVGSILSNAGVHGPPVPQLIKEFIIYVNDTQNLNVTFTPSPNSYAFINGIEIVSLPETLYFKAKNLKYVGQITGHVIAKDTALESIYRLNVGGRQISVNDDTGMYRSWDGDENYIFPKNALGLTPSTQIPITYTTDTPNYTAPELVYQTQRSMGTLSSYYNLTWILPVDLGFWYMLRLHFCSIIPQYTFERQMIFKIFINNQTAEDKADLFFWTPGSGYPVFKDYVVFVGNPEGQRSKQDLWLALHRNEESLQYRDGFLNGLKVFKMSMSGSLASPNPELSPTPPPPRPSSPGEVTTKKTPPYAAIIGGGGGGLVLLSALGFIVFWRTRKLVIEKSSGKPKSKKSGQLQSGRCRRFSVKEVKDATNEFNEECIIGKGGFGMVYKGYIDNATTMVAIKRLKSSSKQGFQEFQTEIGMLSKLRHVNLVPLIGYCDDEDEMVLVYDYMPHGTLREHLYNTNNPRLTWRKRLDICIGAAKGLHYLHTGANRVIIHRDIKSTNILLDENWVAKVSDFGLSKLGPKDERQNHVSTMVKGTFGYMDPDYLRMQQLTEKSDVYSFGVVLLEVLCARPVINIDLPYEEVSLAEWGKSHCRKGTLHEIIDPKLSGEIAPKCFMKFAEVANSCLQEKGSKRLSMDEVVWGLEFALQLQEAAEKTGGIVGEFVLEKQELLFPLQGESSTTSEGSSRWFMRHGMSSSDSSHVGLYSETGFSQMRDLS >cds-PLY71872.1 pep primary_assembly:Lsat_Salinas_v7:7:121125386:121127778:1 gene:gene-LSAT_7X74120 transcript:rna-gnl|WGS:NBSK|LSAT_7X74120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pectinesterase/pectinesterase inhibitor 22 [Source:Projected from Arabidopsis thaliana (AT3G05620) UniProtKB/Swiss-Prot;Acc:Q9M9W7] MENPLILQACSNIKDERTCLTNVKSFLNEDRPKNHKPDSILTAALKATMKETHIAMQSFTKFTSLSSSSREQMAIEDCKELLDFSVSELAWSLTEMKEIRAGSQNPHSQGNLKSWLSAALSNQDTCLEGFEGTDRHLESFIRGSLTQVTQLISNVLALYTQLHTLPFKPPRNYDDTTNTFFPKWMTEGDKNLMLGSPHGMHVDVVVALDGSGRYRSISEAINDAPNYSNRRYVIYAKRGIYKENIDMKKKKTNIMLIGDGIGATVVTGDRNFMQGWTTFRTATVAVSGRGFIARDITFRNTAGPQNHQGVALRVDSDQSAFYRCSMEGYQDTLYAHSLRQFYRECTISGTIDFIFGNGAAVLQNCKIYTREPLPLQKVTITAQGRKNPNQNTGFSIQDSYVYATKPTYLGRPWKEYSRTVFMNTYMTSMVQPRGWLEWYGNFALSTLWYGEYKNYGPGASMSGRVRWPGYHMAMDASTANYFTVRRFIDGLSWLPGTGVTFSAGLSN >cds-PLY77702.1 pep primary_assembly:Lsat_Salinas_v7:9:18840956:18841648:-1 gene:gene-LSAT_9X13861 transcript:rna-gnl|WGS:NBSK|LSAT_9X13861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKPFFLFFLLIICLLSSTPESRRPPPFLGKSPRLLKTQNILVQPKYTYETRYYDQTLDHFSFNDLPKFQQHYFINSDHWVGAGPNRLGPIFLYCGNKGNIEWFAANTDFIWELVPRFGAMVIFPEHRYYGESMPYGSQKEAYKNASTLAYLTAKQTLADYALLITDLKRNLSAEASPVILFGRSYGGSKIFSNNFNKN >cds-PLY63931.1 pep primary_assembly:Lsat_Salinas_v7:4:76351606:76353066:-1 gene:gene-LSAT_4X51460 transcript:rna-gnl|WGS:NBSK|LSAT_4X51460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLLKLGTLALKTLSKPIASRLKKQAALHPKFRTSIISFAQANHRLTTNIQRRIYGHATDVEIRPLNEEKAVQAAGDLISELFVFSVAGVALIFEVQRSARSEAKKEEVRKQELEEMRQRDEDLAKEMESLKQKLEELEQLAKGKGLTGVFNFKNVKTQNANSVNPA >cds-PLY96493.1 pep primary_assembly:Lsat_Salinas_v7:5:335873912:335879744:1 gene:gene-LSAT_5X187461 transcript:rna-gnl|WGS:NBSK|LSAT_5X187461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTATLPSTATPTMNLSLREGLMEMTKSAQNKGTDPLIWGVQLSSSLLSAGITMPSTEVAELLVSHICWTNNLPIAWKYLEKALTLRIAPPMLVLALLSNSVIPNRRSQPAAYRIFLELLKRHIFSLASEVNGPKYHKIMESIDNALHLSQRFGIPSGEPGILLVEFVFAIVWQLLDASIDDEDLLELVPEKRSTWPIKPQEMEIDDHNIGEKKIDRYDRLYKTNTTLAIEIIGEIYRNKLTSRILSLARQNMPVHWRSLIYNLRLLIANSISLRNSKDITPESLLQLTSDNHVIMSPECKTVSLQQFHAVMASGSLVSSTAPTHGASRSSLWLPIDLFLEDTMEGFVVATTSAAETLSGLLKAYQAITQASWQEAFLGLWIAALRLVQRERDALEGPIPRMDTCLCLLLCTTTIAIVNIVDEEESSLVEEDMHMQSETQVVGRCRKSLVSSLQQLGDYEGLLTPPPSVTSLANQAAAKAIMFFSGIGIGSGVSLNDTPLTCSGDLRHLIVEACIARHLLDTSAYLWPGYVKGHANQIQIPHNIAGQMPGWSSLMKGSPLTPPMVHALVSTPASSLAEIEKIYGIAIEGSDNEKISAATVLCGASLTRGWNIQEHTGFLIIKLLSPPVPSDYTGSESHLIASAPFLNVLLVGIASIDCVQIFSLHGLVPHLAGVLMPICEALGSCSPTVSWTLPTGELLCPLGVFSNAFTLLLKLWRFEQPPLEHAIGDITPVGSQLTPEFLLLARNSQLSSCRNSSKHQKKRMRFLKQCDLSASTPVYLDSFPKLKFWYRQHQACIASTLSDLKPGTSVYQIFEALLNMMFRKTNTNTNTGGGGGGQTLTSSTSNSSDDYTLRLKLPAWDILEAVPFVLDAALTACAHGTLSPRELTTGFKDLADFFPASMATIVSYLSAETTRGLWKPASMNGTDWPSPATNLSMVEQNINKILAATGVDVPSLSVGGTCPDTLPLPLAALVSLTITYKVDRISESVLNLAGPALNTLGAGCPWPCMAIIAALWTQKAKRWTDYLVFSASRTVFHHNTDAVVELLKVCFASTLGLNSSNTGGGIGNLLGHGFGSDFSGGIAPVAPGILYLRVHRSVRDVMFMTEEIVSLLMHSVKDIVSTGVPIEKLKNPKTGMILRYGEASLSATMTRIKLAASLGASLVWITGGLNLIQTLIKETMPSWFISVHRLDHTKGDSGVGGMIGMLKGYALAYFMILSGAFAWGVDSVSSASSKRGSILGAHLEFMASALDGKISLGCNKATWRAYVSGFVSLMVSCTPKWTREVDVDVLRSLSKGLRKWDEEELAMALLGIGGVRAMGAAVDFIVESSM >cds-PLY78626.1 pep primary_assembly:Lsat_Salinas_v7:4:151186360:151187162:-1 gene:gene-LSAT_4X92841 transcript:rna-gnl|WGS:NBSK|LSAT_4X92841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKTYGPGSRTCRVCGNSHGLIRKYGLMCCRQCFHSNAKEIGFIKVSTESIKSFHVCFYIISCCVLLISFFFNNFCSQYR >cds-PLY83980.1 pep primary_assembly:Lsat_Salinas_v7:8:37213758:37214780:-1 gene:gene-LSAT_8X29661 transcript:rna-gnl|WGS:NBSK|LSAT_8X29661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKQSPGSFGKEILDVNEEYMKAFRTQSYLDICDKVQNHITFDNGSSSSSIHTHYIHLCDIFLEPQTEAIAKLAKTFNLHHLLLDFFESGLQAWIICEELLESIHQVNTNHHKVKRLIKLAQRVPSSTKVYEELASYSSMVNPLSDFTPEKFPKIHINHKHLLNKLTTNHTRITRKRKVLICLKKCGGFALIASYTVLAITLIVLACHGLVVTLASPGLIACFLGLFKTKKGLKTSELKRVGSQMDVAAKGIYTLIKDLDTMCWLVGRLHDEVEFGKVIARKCVGRKFDLLEEVVREFRVHDSCFLEQKEELEDHIYLCLLNVNRSRRLLVDKIMPTSQN >cds-PLY85459.1 pep primary_assembly:Lsat_Salinas_v7:3:43039632:43040389:1 gene:gene-LSAT_3X33400 transcript:rna-gnl|WGS:NBSK|LSAT_3X33400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFFLHVPLLEAYFLVLDDIKDESHTQCSFRLPKVGMIAVNFLATIFSRILKKHFRSKTCYVDLLDLFSVVEFQTTSGQMIDLNLITTPVGEKDLSKYY >cds-PLY92810.1 pep primary_assembly:Lsat_Salinas_v7:2:149762870:149764696:1 gene:gene-LSAT_2X75740 transcript:rna-gnl|WGS:NBSK|LSAT_2X75740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGAKIIQPFALHDSDPFNKAKLSTITSSSPFLGSTRNLRLLQSAPTAQPRPASASRVVAAVSDVFKEKKLKTGSDLLLTKEEGLEVYEDMILGRAFEDMCAQMYYRGKMFGFVHLYNGQEAVSSGFIKLLKKEDSVVSTYRDHVHALSKGVPARAVMSELFGKKTGCCRGQGGSMHMFSAEHNVLGGFAFIGEGIPVATGAAFSSKYRREVLKEADCDHVTLAFFGDGTCNNGQFFECLNMAALWKLPIIFVVEHNLWAIGMSHLRSTSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAKEAIGRARRGEGPTLVECETYRFRGHSLADPDELRDPAEKAHYATRDPITGLKKYLIENKLASEADLKAIEKKIDEVVEEAVEFADESPAPSRSQLLENVFADPRGFGIGPDGSYRCEDPKFTEGTAQV >cds-PLY95837.1 pep primary_assembly:Lsat_Salinas_v7:5:65220022:65220929:-1 gene:gene-LSAT_5X30641 transcript:rna-gnl|WGS:NBSK|LSAT_5X30641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLEDRTVRLQLRDTAGQERFRSLIPSYIRDSSIAVIVYDVANRQSFLNTTKWIEEVRTERRTDVIIIFVGNKIDLVDKRQVSIEEGDGKAREFGVMFIETSAKAGFNIIPLFRKIAAALPGMDTMSSIKQEDMVDVNLKSSGNASHSDQGRGCAC >cds-PLY92447.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:834343:840674:1 gene:gene-LSAT_0X10461 transcript:rna-gnl|WGS:NBSK|LSAT_0X10461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSLGLTHLNGLTSSRVALPSSRRRVSGRARVGGLKIVASEMKQQEPDLSVNVNGLHMPNPFVIGSGPPGTNYKVMKKAFDEGWGAVIAKTVSLDAAKVINVTPRYARLRAGVNGSSKGQVIGWQNIELISDRPLETMLKEFKQLKEEYPDRILIASIMEEYNKAAWEELVDRVEQTGIDAIEVNFSCPHGMPERKMGAAVGQDCDLLEEVCGWINAKATVPVWAKMTPNITDITQPARVALKSGCEGIAAINTIMSVMGINLKTLHPEPCVEGYSTPGGYSSKAVHPIALAKVMSIAQMMKSEFQDGDYSISGIGGVESGGDAAEFILLGANTVQVCTGVMMYGYDMVSKLCEELKDFMRAHNFATIEEFRGASLQYFTTHTELVRIQQEAIKERRAIKKGLASDKDWTGDGFVKESESMTLPGPVSDPSELPKWNYDGSSTKQARGEDSEIIIHPQAIYKDPFRRGNNILVMCDAYNPQGDPILTNKRHNAAKIFSHSDVIAEEPWFGIEQEYTLLQKDVKWPIGWPIGGYPGPQGPYYCGTGADKAFGRDIVDAHYKACLYAGINISGTNGEVMPGQWEFQVGPSVGISAGDQVWVARYILERITEIAGVVLSFDPKPVHGDWNGAGAHTNYSTKSMRSDGGFDVIRKAIGKLEKRHKEHMAAYGEGNERRLTGKHETADINNFNWGVADRGASVRVGRETEKANKGYFEDRRPSSNMDPYVVTSMIAETTIIWQP >cds-PLY89441.1 pep primary_assembly:Lsat_Salinas_v7:8:30359638:30359850:1 gene:gene-LSAT_8X21660 transcript:rna-gnl|WGS:NBSK|LSAT_8X21660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRPFFMHIHIHSQTQRCTIRSKSAVLLLALVAGKGQPRGENEWLRNRLVPSRLVELQFGLS >cds-PLY97137.1 pep primary_assembly:Lsat_Salinas_v7:4:358959027:358959754:1 gene:gene-LSAT_4X175540 transcript:rna-gnl|WGS:NBSK|LSAT_4X175540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESAKVPKSFGCFSQKGLLVRLSSFRSKSNNTSNSSSPTLMSPKPSKRNTNNRQQDFRWVFARFDTDNDGKISALELRSYFGSIGEYMSHQEAQCVIDDLDVDGDGFIDFDDFMRLMKVKDERDDVKAAFEMFEHEKGCGQISPKSLQKTLSRLGESKSYDECLQMIKVFDVHGKGGVDFNEFQRMMMT >cds-PLY68364.1 pep primary_assembly:Lsat_Salinas_v7:5:107120163:107121744:1 gene:gene-LSAT_5X46480 transcript:rna-gnl|WGS:NBSK|LSAT_5X46480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNPPPEANNAAVINIPTHTRRRSFRFQNLPGAKPIPLALSISVGLIVCFAIPKPSQVTTQAWRLFAIFLTTIAGLILGPLPVGAWAFVCLTISVVTKTLPFKSAFAAFTNEVIWLIVASFFFSRGFVKSGLGDRMAMYFVRWLGKSTLGLAYGLAICEAIISPAMPSTTARAGGIFLPIINSLAIAGESRPKDGSARRLGAFLVQSQFQNGTWS >cds-PLY75184.1 pep primary_assembly:Lsat_Salinas_v7:2:202888741:202898410:1 gene:gene-LSAT_2X124121 transcript:rna-gnl|WGS:NBSK|LSAT_2X124121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAPITMKEALTLPSIGINPQFITFTNVTMESDKFICVRETSPQNSVVIIDMNMPMQPLRRPITADSALMNPVSRILALKAQLPGTTQDHLQIFNIEMKAKMKSHQMPEQVVFWKWVTPKMLGMVTQTSVYHWSIEGDSEPVKMFDRTANLSNNQIINYKCDPSEKWLVLIGIAPGSPEKPQLVKGNMQLFSVDQQRSQALEAHAASFASFKVSGNENPSILISFATKSSNAGQVTSKLHVIELGAQPGKAAFTKKQADLFFPPDFADDFPVAMQISHKYGLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEASSVGGFYAVNRRGQVLLATVNEATIVPFVSGQLNNLELAVSLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTKGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRSDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAQLQTKVLEINLVTFPNVADAILANGMFSHYDRPRVAQLCEKAGLYVRALQHYGELPDIKRVIVNTHAIEPQSLVEFFGTLSKEWALECMKDLLLVNLRGNLQIIVQVAKEYCEQLGVEACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDEELINVTSRNSLFKLQARYVVERMDGDLWEKVLNPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADQSRVMDYINRLDNFDGPAVGEVAVEAELYEEAYAIFKKFNLNVQAVNVLLDNIQSIPRAVEFAYRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLEVIRAAEDIECYVDLVKYLVMVRQKTKEPKVDSELIYAYAKIDRLSDIEEFILMPNVANLLNVGDRLFDEALYEAAKIIYAFISNWAKLAVTLVRLQQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRYEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAASTVMNHSPEAWDHMQFKDIAVKVANVELYYKAVHFYLQEHPDLINDVLNVLALRVDHTRVVDIMRKAGQLPLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDKVYKDAMETASQSGDRELAEELLLYFIDQGKKECFASCLFVCYDLIRADVALELAWLNNMIDFALPYLLQFIREYTGKVDELIKDKIEAAKESKAKENEEQDVIKQHNMYAQLLPLALPAPPGMGGGGFAPPPPPPMGGMGMPPMPPFGMPPMGSY >cds-PLY89798.1 pep primary_assembly:Lsat_Salinas_v7:2:138369195:138370103:1 gene:gene-LSAT_2X67840 transcript:rna-gnl|WGS:NBSK|LSAT_2X67840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAASATFRLTQPSSSSSSSPRPKPHFTLPYKPYVFSPIPKYPKLQIASKSIDVSKEDKPILDPPTEPVETTEEFDKRRLEEKFAVLNTGIYECRSCGYLYNEAAGDPSYPIAPGLPFDKLPDDWRCPTCGAAQTFFQSKSVEIAGFAQNQQFGLGGNTLTSGQKAILIYGSLFFFFVLFLSGYFLQ >cds-PLY77692.1 pep primary_assembly:Lsat_Salinas_v7:9:19340557:19341314:-1 gene:gene-LSAT_9X14541 transcript:rna-gnl|WGS:NBSK|LSAT_9X14541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNGSNTSSFGYDPEKHCITSEDPVWEAYLQVHKEATRWKHKTFPYYEDLCIVFRKDRAQGNRARDFMEMEQEVNLEEETQDSDDDFLDSEEVSRTTAMQHDETSPSVRSKKRKNRNDEGFNKAVGLITESLKEISKDLSEGIKFDMKINELSEKIPPAILKMNSISQLEKFKALTKIKSDLINVQNFWEIEEGDREAWVKYILEG >cds-PLY86421.1 pep primary_assembly:Lsat_Salinas_v7:3:252017998:252020177:-1 gene:gene-LSAT_3X138801 transcript:rna-gnl|WGS:NBSK|LSAT_3X138801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGISNQPSQKEFISCLRNGLIPCNAINKIQLGSVPKAHSSWLPHWLAAHLASSQKWIPNAKEQWVMMITTVEPLVQLLTKKTKEVYSQSKEVVTPHVIKIKQIVHPHFQHNHPSEKKQMTKTIRTLKRNIRAAKGIQESLAKTLTEAPHFLNYAISAQILDTRDALNRKATNIVVTLEEEINDTIATLKKVPDNLPIK >cds-PLY63479.1 pep primary_assembly:Lsat_Salinas_v7:4:231900456:231901567:-1 gene:gene-LSAT_4X126721 transcript:rna-gnl|WGS:NBSK|LSAT_4X126721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIEFLKSISEDQTDMMMMLQLEKLPDFGGMYGDDQEPSTEFTDHGSSSSTNVNTNMSQFMEDSRFGSPALLNPSSTISFTSPAQTTGGEVQFLSTARWRNSGNVDGQSSVEQKRNSMAAMREMIFRIAAMQPIHIDPESVKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKNQVQSLEKAGENRPLTAAGIGFPVPMTSGTYIPMGTSAKVYQQPAQNVQNYLGA >cds-PLY70889.1 pep primary_assembly:Lsat_Salinas_v7:9:14925239:14926614:1 gene:gene-LSAT_9X12420 transcript:rna-gnl|WGS:NBSK|LSAT_9X12420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGGGRSRRNELDEETNAPPAPASLTLGGILSIKQTPPYRIQDRTLLDLIRDDQTCGKEPKTTWKMIREKLRLKLAGSVCTTTAPTLASLVPITTESNRLSTRHGSTQRNSTDESTWQLNSPQVTNSSNIPETQTARVQTDPPVEESEQTSTEENRGAGGEGEPAAARMSLMSLLEVDGSAYLDYEEEKEAEEMEEEEEVEEGGGGGGGYKYNNCCVCMVRHKGAAFIPCGHTFCRLCSRELFVQRASCPLCNNLILEILDIF >cds-PLY73521.1 pep primary_assembly:Lsat_Salinas_v7:4:22093134:22094822:1 gene:gene-LSAT_4X15340 transcript:rna-gnl|WGS:NBSK|LSAT_4X15340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSQILKRSAATTPEIFRSSLHSSSSSPSISVTISSIEIIKESLTSNQTKLPPSSLHLYLRSFCNKTIENPDAFNHQIITDAEKLCKIISTHRDTSRLESLLNSTQTELSPALVVEVLKKLSNAGVFALFFFRWAEKQNGFKHTSDSYDALIEALGKIKQFKVIWSLVNDMKIKGVLGKSTFALISRRYARAKKVKESIEAFERMEKFGLKPELHDYNRFLDTLCKSRQVESAQQVFDKMKKTKFKPDIKSYTILLEGWGQEMNLLKLDEVYREMKGDGFEPDAVAYGIIINAYCKAKKYDQAINKFDEMKSKNINPTPHIYCTLINGLGSEKRLNESLMFFELSKSCGHLPEAPTYNAMVGSYCWSMQMDDAFMVIEEMRRYCIGPNSRTFDIILHHLVKDRRTKEAYSVFKRMKDEFGCEPSVSTYEIMVRMFCNEGRVDMAIGVWEEMKDEGVLPGMHMFSTLIDSLCREKKLQGCCKYLEEMMDMGIRPPGLMFNRLKKALVDEGKEDVVMMLTRRLEKLKTLLVVS >cds-PLY69459.1 pep primary_assembly:Lsat_Salinas_v7:6:122255181:122257826:-1 gene:gene-LSAT_6X72960 transcript:rna-gnl|WGS:NBSK|LSAT_6X72960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLMAAHNSSLLLTFRNDKGDTLTALYYHIVKPLTNTTSLDKSCLGPLRKAYCSSLNLLLRHEAREFANELRAITKASRNPTVLLEGSTGSNQNVNNADTSTALNNMPKCSPYLSHSFLMRIHQEQEKHKQFLGFLVPYYKPAEYISLLVTYAGNNIVHSWAVNVPVCFHLCR >cds-PLY74779.1 pep primary_assembly:Lsat_Salinas_v7:6:117046657:117049817:1 gene:gene-LSAT_6X70560 transcript:rna-gnl|WGS:NBSK|LSAT_6X70560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKLYDSFSKSLIDEVHRWGAMKQNGVSLRYMLEFGSKFSERNLLLGAQFLHKELPIRIARRAIELESLPYGLSEKPAILKVRDWYLDSFRDLRSFPDIKDMNDEQDFTKMINLIKVRHNNVVPTMALGVQQLKKDLNPKLDYKDLDDIHQFLDRFYMSRIGIRMLIGQHVALHEPNPPPDRIGYIHAKMSPVEVARHASEDARSVCLREYGTAPDVKVYGDPDFTFPYVPTHLHLMVFELVKNSLRAVEERFMDLEKLAPPIRIIVADGLEDVTIKACLVSCLRVSDEGGGIPRSGLPKIFTYLYSTAKNPLDERSDLGTADIATMAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSQEPLP >cds-PLY82777.1 pep primary_assembly:Lsat_Salinas_v7:2:145234271:145235512:1 gene:gene-LSAT_2X71700 transcript:rna-gnl|WGS:NBSK|LSAT_2X71700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLSFTLLFLVFAHYPYSTSSYPSSTEWRSARATYYAPADPRDAIGGACGYGDVEKAGYGKATAGLSTVLFQKGQICGACFEVRCVEDLQWCIPGTSIIVTATNFCAPNYGFPADGGGKCNPPNPHFVLPIEIFEKIAIWKASNMPIQYRRIKCRKEGGIRFTIDGAGIFLSVMISNVAGAGDLAAVKIKGSRTGWLPMNRNWGQNWHINADMKNQPLSFEVTSSDGLTVTSYNVAPKEWNFGQSFEGKQF >cds-PLY66475.1 pep primary_assembly:Lsat_Salinas_v7:5:328072385:328074271:1 gene:gene-LSAT_5X183421 transcript:rna-gnl|WGS:NBSK|LSAT_5X183421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFLSLSLLLLVHGCLAFQQKNECQIQRIDAVEPRQRVESEAGFTEFFDTNDQQFQCAGVEVIRHQIEPQGLLLPSYVNAPILFYVEQGKGFQGMTLPGCPETYEVSQQQFQGRKGGQSFQDRHQKIQEFRQGDVVAIPAGAAHWIYNNGQDDLVLIVLLDSTNFANQLDQNHRRFFLAGNPQQEQKQQQGRQQSPRGTRQQGQSLEAGSNNIFQGFDVDVLAEAFNVDRETAEMLRCEDDRRGHIVRVERGLKVVSPSMSREEQWQGQQGGRGRGQDNGFEETICSMKVRANIDDYTQADVYNPQAGHCNHLNSFKLPILRLLQLSAERGVLYRNAIMGPYWTMNAHNIIYVTKGNMRMQIVNDEGQAVFDDQIQERQLVVVPQNFAVVKQAGEQGCRWISFRTNDNAMINTLAGQTSAIRALPVDVLTNAYQIPREEAQKLKYSREETVMFSPGSFSSRGRAPRV >cds-PLY92316.1 pep primary_assembly:Lsat_Salinas_v7:9:179633313:179635604:-1 gene:gene-LSAT_9X110980 transcript:rna-gnl|WGS:NBSK|LSAT_9X110980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQSIDLRFVSFICTFIFISTKSSSSLDDYRAYIVHMDKSMMPSPFTSHHNWYTSVLSSLSDEAPTMHLYTYSHVMDGFSAVLTKSQLAQLEKMPGHLATFEEKLGQLHTTHTPKFLGLKKHAGLWPVSGFGEDMVIGILDTGIWPESESFHDKGMSEVPSRWRGTCETENFCNRKIIGARSFSQGMKRRRENISSTDDYDSPRDYEGHGTHTSSTATGSRVQFADYFGYAKGTATGIAPKARLAMYKVLFLNASYDAAESDTLAGMDQAIEDGVDLMSLSLGFIETPFFQNPIAIGAFAAMEKGIFVSCSAGNAGPHHYTILNGAPWITTIGAGTIDRDFAAYVTTGNQKYGTLVGKSVSPLNLLVERVSIYFGHGNRSKETCDYDSIDPKDVKGKYIFCESDGISFDEFKTYGALGVIFSSDFGNFLRPRDFDFPYVLLEPKTGDLLKRYIINTANATVSVKYCETLLGVKPSPQVAYFSSRGPDLRSPWILKPDLLAPGVDILAAWAPNRESAPIGDDYLLSDYKLESGTSMASPHVVGIAALLKSVHRDWSPAAIRSAMMTTAYILDNNKSVIMDMTSGLQGTPLDYGAGHVNPNKAMDPGLIYDIQPEDYINYLCALNYTRNEIRVISRRSEVSCENATLDLNYPSFMLILNNTNTTTVTFQRVLTNVGKSRSTYRAMVETPPSGMIVVVKPATIVFEERYSTAKFEITVDVDITHAPPTYAGYYGNSGYLSWQEVNGTHVVRSPIVSATASGKPFQI >cds-PLY95735.1 pep primary_assembly:Lsat_Salinas_v7:2:111551595:111560685:-1 gene:gene-LSAT_2X50861 transcript:rna-gnl|WGS:NBSK|LSAT_2X50861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLILRSAVALSEDLLGVAPQDEEYYKGLFSSGTIKCKDGSKTFTKTQLNDDFCDCSDGTDEPGTSACPNGKFYCQNAGHTPLSIYSSRVNDGICDCCDGSDEYNGKIKCKNTCWEAGKVARDRLKKKIATFHEGVAIRKREVEEARVSVAKDEAELSRLKNEETILKGLVQQLKDRKEQIEKAEEKERMQKEQEEKLKKEAEEAALKEQKGEEKVNAEEQESKESTTSHNDNEDPNKGSVDNGVESTHNDDDVKDASHDNIEEKKHVEKNEESSQHEHDHDHDHDPENKEEEASEDNESLSREELGRTIGSRWTGKKTEHHDDHDHDHDHDHDHDDDTHEEEEYSGYDTETEEDHRNYDEDDTEDHTNDVGDTSHDDDSSSSHKYDSDDEIDMSDMESTSNPSWLEKIQQTVKKFLQSVNPFQTPVDTSEAESVRKEYDDASGKLSKIQSRITSLTKKLGNDFGPEKEFYSLYGHCFEIKENKYVYKVCPFKDATQVEGHSTTTLGQWEKFDESYRVMLFSNGDKCWNGPQRSLTGTQYQARVFNQNFSRFRHLLKEDESYIVIKANMAAVTNGFSYTSHKQTLTLDWKSILKKCDDFSGPVNGFMFVDFNSIIEQTCPRDTFFDVIGQIVSFSPLEISNPVESKHYIKLTLSNLDSVHLKVTIFGSQTYQMSEYLKNNPTVNCAVIVMQFLKLNIWNGLYW >cds-PLY77812.1 pep primary_assembly:Lsat_Salinas_v7:3:35064565:35065790:-1 gene:gene-LSAT_3X26140 transcript:rna-gnl|WGS:NBSK|LSAT_3X26140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSNKAYPMKGGDGMSSYTNNSSYQKSLSDVAMSLISEAIAEKLDVAVCGSPFRIADLGCSVGPNTLIVVENIINSVQLKYDQHSFPTPEFQVFFNDHASNDFNTLFKTLPSNKQYHAAGVPGSFYTRLFPRASLHVIHSSFALHWLSKVPQEVIEKDSRAWNKGRLHYGCADNEVVMAYRQQHMKDVDGFLKARAEEVASGGMVVVLVPGRPNEVPHQECIGNVLFEVLGCCLLAMAKEGKIEEEKVDSLNIPIYYSSPHELEEIVDRNGCFRIEKMEVMPHIAEPETKDAAGRLAMGVRVGVEGVLKGCLQEETIDELFESYRKRLEQVPSLYSSGGAAIIFLVLAKKES >cds-PLY76259.1 pep primary_assembly:Lsat_Salinas_v7:8:32974477:32975130:-1 gene:gene-LSAT_8X26161 transcript:rna-gnl|WGS:NBSK|LSAT_8X26161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLIGVGRDTTSTTLSWFFYLIANNPIAEDKIREEIHTFLQVKVKDQKNWNSKELGKLVYLHGALCEALRLYPPVPFNHKTPLQPDILPSGHHVNQNTKIVLYFYGMGRMKTIWGEDCMEFKPERWISKEGGIKHEASNKFSAFNSGPRTCIGKDMSFTQLKIVASTIIYHYHIKLVEGHIVCPSASIVLQMKHGLKVRLSKLSEVNI >cds-PLY95606.1 pep primary_assembly:Lsat_Salinas_v7:6:138747314:138751501:-1 gene:gene-LSAT_6X83821 transcript:rna-gnl|WGS:NBSK|LSAT_6X83821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHCVLVTGGAGYIGSHTVLQLLLGGYKTVVIDNLDNSSQIAINRVQELAGDHAANLVFHQMDIRNKPALEKLFASTKFDAVIHFAGLKAVGESVEKPLMYYDNNVVGTLTLLEVMNTHGCKKIVFSSSATVYGWPKEVPCTEDFPLSAANPYGRTKLMIEDIFRDIYASDCGWKMIMLRYFNPVGAHPSGYIGEDPLGTPNNLMPFVQQVAVGRIPALKIFGTDYLTKDGTGVRDYIHVADLANGHMAALRKLSDPKIGCEVYNLGTGKGTSVLEMVAAFEKASGKEIPLIIAERRPGDAEVVYASTAKAERELNWKAENGIEEMCRDQWNWASKNPYGIVFTRGNRRRYEGGSETTLSLAIRKSSYPITLTKNIHHRYHLHLKPTTIATQLHKILRLLDSNHPPPPMAMATQASFFAPTIPTQTSPWKQSQLTSFTTVKPTKPTTRFTTIKAAAAGEVPTKEAPVGFTPPELDPNTPSPIFAGSTGGLLRKAQVEEFYVITWESPKEQIFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKIKYQFYRVFPNGEVQYLHPKDGVYPEKVNAGRQGVGQNMRSIGKNVSPIEVKFTGKQPYDI >cds-PLY64591.1 pep primary_assembly:Lsat_Salinas_v7:6:37491119:37492121:-1 gene:gene-LSAT_6X27001 transcript:rna-gnl|WGS:NBSK|LSAT_6X27001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATSLTFSAFSSATKNHHNHIPLASSSYSFNPIRNLRSRLLHHHSIKTSTITAIISVGDKLPESTLSYFDSDGELQTTSISDLTKSKKTILFAVPGAFTPTCSQKHLPGFVEISSELKAKGVNTIACISVNDAFVLKAWKADLKIGDEVLILSDGNGDFTKAIGCGLDLSDNPIGLGVRSRRYAMLVEDGVVKVLNLEEGGAFTSSSAQDMLAAL >cds-PLY64888.1 pep primary_assembly:Lsat_Salinas_v7:2:77933246:77934446:-1 gene:gene-LSAT_2X34100 transcript:rna-gnl|WGS:NBSK|LSAT_2X34100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLNENYSAFHAYGQSKLANALHAKELSRCLKEEGVNVSVNSLHPGVIKTNLLRNQGFVASILSKIAFSLLKNIPQGAATTCYVALHPQVKGVSGEYFADCNISKASKYVDDSEMVKKLWDFSLELTESRRL >cds-PLY82758.1 pep primary_assembly:Lsat_Salinas_v7:2:147793528:147797853:-1 gene:gene-LSAT_2X73880 transcript:rna-gnl|WGS:NBSK|LSAT_2X73880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKNLVWAFLVLVVGFGGWFSEGLENTNVSTRPDVVHIASILTFDSIIGKVAKIALQAAIEDVNSDPTILNGTKLKLNLHDANYSGFLSIMEALQVMETETVALIGPQSSVIAHMISQVASELQVPLLSYTATDPTLNSLQYPFFVRTTHSDLYQMAAIADIIKYYEWKKVTAIYVDDDHGRNGIISLGDQLASRGCEISHKAPIKPLATRTDISDVLVKVALMESRILVVHTYADRGLDILDVAKHLGMLDSGYVWIATNWLSTVIDTSTSLSLKTIDVMQGFITLKAYTKDSNLTRKFASKWQNLTTFGLNTYCLYAYDTVWLLARALDTFFDKGGNISFSKDPKLQGLRGEILNYGSLSIFNEGNLLLHDILDVKMEGLTGHIEFTSDKNLVFPAFEVINVIGTGVRRIGYWSNSSGLSTIRPDKANTKPSDHQSSSSELLHAVIWPGLTVQKPRGWVFPDNGKQMKIGVPHRVSFQEFVGKARHSDSFKGYCIDVFTSATKLLPYALPYKFLSYGDGVRNPSNTKLVNLIHDGVYDAVVGDIVITTNRTRLADFTQPFIESGLVVVVPIRRSSSSTWAFLRPFSPLMWTVSGIFFLVVGAVVWILEHRVNDEFRGPPRKQFVTVLWFSFSTLFSSHRENTLSTLGRMVVLLWLFVVLIINSSYTASLTSILTVQKLSTPITGIESLIQSREPIGYQENTFVNDYLVGELGIQASRLIPFSLPEEYEKALIDGPHNGGVAAIVDQRSYIELFLSTRCEFSIVGQEFTKTGWGFAFPRDSPLAADMSTAILELSENGELQRIHDKWLMRSACSSQGTKFEVEQLELTSFKGLFIICGIVCLLALIIYFAQIIHQFIKHYPTLSESTGRSLQSGRLQTFISFVDERKETVTTHSKRKHFEGSSSGMNANDESIYSNKTSRRDLSLNSS >cds-PLY86943.1 pep primary_assembly:Lsat_Salinas_v7:5:263572424:263578058:1 gene:gene-LSAT_5X135281 transcript:rna-gnl|WGS:NBSK|LSAT_5X135281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALHTKLFLSLFLLSFCLATLITSSQGDYRFWQQQFPFIRRASSFSSVSSSKSTRSNGGDKKFDYIVVGGGTAGCPLAATLSRNYSVLLLERGGVPFANINVSLLSNFHLTLVDTSPASASQFFVSTDGVFNARARVLGGGTSINAGFYTRASTSYVKRVGWDAKLVNESYPWVEKQIVHEPVFEPWQRAVRDGLLEVGISPNNGFTYDHLYGTKVGGTIFDKYGRRHTAAELLASGNSQNLHVLIHARVQKIIFDTTGKKPRAIGVIFKDENGVNHEAFISRRRKSEIIVTCGAIGSPQMLLLSGIGPKEDLKKLNISVVQDNKFVGKHISDNPLNTIFVPLNRPVKQSLIETVGITKMGIYIEASSGYSQSPDSIHCHHGVASAEIGQLSTIPPKRRTQEAIEAFKQNKKDLPHEAFHGGFILAKLAYPKSKGHLRLNNTNPDDNPIVTFNYFSHPKDLQKCMKGIKIVEKLVRSKHFLNYTKCDKGTLDKLLNMSVTANVNMIPKHTNDAKSLKQFCKDTVITIWHYHGGCHVGQVVNKDYKVRGVHRLRVIDGSTFRESPGTNPQATVMMLGRYMGVKILRDRLERSVGI >cds-PLY69692.1 pep primary_assembly:Lsat_Salinas_v7:5:213677391:213680041:-1 gene:gene-LSAT_5X97480 transcript:rna-gnl|WGS:NBSK|LSAT_5X97480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATADVAMKPADAGEKDEGCSSRHAVKTGEGLRQYYLQHIHDAQLQVRQKSHNLNRLEAQRNELNSRVRMLKEELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYVLHLVLPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRGDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKKXERRVHVTQEDFEMAVAKVMKKETEKNMSLRKLWK >cds-PLY98220.1 pep primary_assembly:Lsat_Salinas_v7:7:173559948:173561858:1 gene:gene-LSAT_7X103261 transcript:rna-gnl|WGS:NBSK|LSAT_7X103261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLLRTLLSSVNQTLIPRSFTTSAYLQRLRPIVTIAAANIRHSSLAATNTRAFSTRLTTSSLNDSNPNWSNRPPKETILLDGCDFEHWLVVVEKPEGEPTRDEIIDSYINTLAKVVGSYDEARMKIYSVSTRCYYAFGALVSEEVSYKIKELPGVRWVLPDSYLDVKNKDYGGEPFIDGKAVPYDPKYHEEWIRNNARANERNRRNDRPRNFDRSRNFERRRENMQSNRGPPVMGGPPPPMGGPPPPPNYLGGPPPNNMGGPPPNMGGGPPPNNMGGGPPPSNMGYGGPQSNNWNGPPPPYNGGPPNMGGGMRPPPPNYQQQGGWNAQNNGGPGYQGQNSNYPPPPPPPQNVGGGNPYQNQDVPGRDMPMPPAANY >cds-PLY90278.1 pep primary_assembly:Lsat_Salinas_v7:7:104744706:104745707:1 gene:gene-LSAT_7X68660 transcript:rna-gnl|WGS:NBSK|LSAT_7X68660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFRWLVVTLAVALCCVVVPVYSQISTPCTPSMITTFTPCLNFITNSTTNGSSTPTSDCCNSLKSITSSGTDCLCLIVTGSVPFQIPINQTLAISLPRACRMPGVPLQCKAAAAAPIPSAGPAPSPGSTSTVPETITPTSAPESKTTPTLAPQSDMTPDLSPPSTSVDSGIPTTNQGSRPTVTPSAAASSYVYSPFILAGTGVTFIGYFLLF >cds-PLY61850.1 pep primary_assembly:Lsat_Salinas_v7:6:59577613:59579802:1 gene:gene-LSAT_6X44241 transcript:rna-gnl|WGS:NBSK|LSAT_6X44241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVELHRSTKNRKGFYVRMKFFRTRNPKPEKPFCFRYFKWLLWFSLSFYFFAKFLNNHEPSTNSLKKTIISHTQSNVVSRALSESVPQQPRINQGLLKNLKVYVYELPSKYNSDWLSNKRCNNHLFASEVAIHKALMKSDVRTFDPSEADFFFVPVYVSCNFSTVNGFPAIGHARALLSSAVELISSELPFWKRSNGSDHVFVASHDYGACFHAMEDRAMADGIPDFMKNSIILQTFGVKYKHPCQDVENIVIPPYISPEDVQSTLSKSPIEGRRDIFVFFRGKMEVHPKNVSGRYYSKRVRTEILRKYGNDRRFYLKRHRFAGYQSEIVRSVFCLCPLGWAPWSPRLVESVALGCVPVIIADGIRLPYETTVPWSEISLTVREKDVAKLGAVLDKVAATNLSAIQRNLWDPQLRRALLFHDDMEIGDATWEVLVELSMKLGRSYRRSRLSSE >cds-PLY73450.1 pep primary_assembly:Lsat_Salinas_v7:4:182436383:182440918:-1 gene:gene-LSAT_4X107140 transcript:rna-gnl|WGS:NBSK|LSAT_4X107140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVEAMENGDEKVEEIKELNYRGVRAMPYVIGNETFEKLGTIGTSTNLLVYLTTVFNMKSITATNLIYVFNGTCNFGTLIGAFLSDTYFGRYKVLGFASVSSFLGMLVLTLTAAVTKLHPPHCVEAPCVGATPWQMAFLLTGFLFLIIGASGIRPCNLAFGADQFNPNTESGQRGIASFFNWYYFTFTFAMMVSLTVIVYVQADINWAIGLAIPTFLMFLSCAVFFIGTNIYVKVLPDGSPLTSICQVLVATVKKRDLAFPDEPATSLFNHVSSKSINSKLPYSKQLKFLNKAAIMTSEDIVNSDGSSGNRWTLCSIQQIEEVKCVIKTVPIWISCIIYNVSINQMQTYTVFQALQSDRRLRPSSFQVPAASYTVFQMLALTIWIPIYDQIVVPCLRRITHKRQGISLLQRIGVGAGIAIITMLVAGLVETKRRDEAHSMPTVGYEKGKGAISSMPGYWLIFQLSIAGLSEAFAVIGLVEFFYKQFPENMRSFAGSFLFCGFAMSSYLSSFLITVVHKTTREGGSRNWLAEDLNEAKLDYFYYLCMGLEVLNFIYFLIVAKWYKYKGAGDEADDVPLEEMPAQKHIA >cds-PLY69885.1 pep primary_assembly:Lsat_Salinas_v7:4:67506995:67509076:1 gene:gene-LSAT_4X46521 transcript:rna-gnl|WGS:NBSK|LSAT_4X46521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAVLIGCNYAGTKAELRGCINDVKRMYRCLVDRYGFSEDDIKVLIDADDSYTQPTGRNIRQALDELVQSAEPGDFLVVHYSGHGTRLPAETGDDDDTGYDECIVPSDFNLINDDDFRDLVDRVPHGCRITIISDSCHSGGLIDEAKEQIGESYKNNPEENEGSGSGFKLSSFLHKTAEGALESRGIHIPSAFRHKNREEEEEVEEEVAYEGDDEVKNKSLPLSTLIEILKQKTGRDDIDVGKVRPTLFDIFGEDSSPKVKKFMKVLLDRFQGNNSGEDGGSGGGFFGMVGGLAQEFLKQKLENDSDYAKPAMETGVGRKEEAYAGSKKKEMPENGILISGCQTHETSADATPSGNKDQAYGALSNAIQTIIEESDGSVSNYDLVTKARKLLKKQGFTQHPGIIRIRSAYLEIWSKLLE >cds-PLY92790.1 pep primary_assembly:Lsat_Salinas_v7:2:148522022:148523781:1 gene:gene-LSAT_2X74480 transcript:rna-gnl|WGS:NBSK|LSAT_2X74480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTVTILKKLFSDTPPHLRSCLRSITYMPRPGRGGSIPVNPITGEGIPRLVTLIPGDGIGPLVTGAVEQVFEAMRAPVTFEKFEVHGDMNTIPDDVMASIKKNRVCLKGGLITPVGGGVNSLNLLLRRELDLYVSLVSCFNLPGLPSRHENVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAQYAFEYAHLNNRKTVTAVHKANIMKLADGLFLESCREIAKRYPNIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGTEYAIFEQGASAGNVGKPKIVEKKRANPVALFLSSAMMLRHLQLPDYADRLENAVKRVIFEGKYRTKDLGGSSTTQEVADAVISALE >cds-PLY72794.1 pep primary_assembly:Lsat_Salinas_v7:6:22787845:22791764:1 gene:gene-LSAT_6X18120 transcript:rna-gnl|WGS:NBSK|LSAT_6X18120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein high chlorophyll fluorescent 107 [Source:Projected from Arabidopsis thaliana (AT3G17040) UniProtKB/Swiss-Prot;Acc:Q8RWG2] MKLSSSKQHFPLFSPSNGATSKLHFTTLIKPSFTHSHLQFPYVHALDASSSAAASSPAPVLREDAIPVECDDTGSEDAEEQTLEELLVVRRPVMESTDDGEYGEDNDVESEIEVEESSSSTSTSTSSVIDVNLSKFAMKMPIFEPKRVGSSSSGDKPLGVNLDLALYRAKVLARNFRYKEAEEVLQKCISIWPEDGRSYVALGKILGKQSKSTEARTVYEKGCQATQGENAYIWQCWAVLENKMGNIRKARQLFDAATVADKKHVAAWHGWAVLELKVGNVKKARYLLSKGLKNCGGNEYIYQTLAMLEAKSNRFDQARYLFRQATKCNPKSCASWLAWAQLEVQQENYRVARQLFEKAVEASPKNRFAWHVWGVFEANVGNIDQGRKLLKIGHALNPRDPVLLQSLGLLEYKHSAANLARALFRRASELDPRHQPVWIAWGWMEWKEGNIKTARELYQRALSINSTTESAARCLQAWGVLEQRVGNLSAARRLFRSSLNINSQSYVTWMTWASLEEDEGNSIRAEEIRNLYFQQRTEVIDDGSWVTGVLDMIVDPAIDSIKKLLNIDQNSYNKMQDSSTNEEGNGVGENKTQESGSGFNLDGFIREKLSLDASKLDIDLESKSNNFVERKSMSLRKVWRPSKKVVSV >cds-PLY88579.1 pep primary_assembly:Lsat_Salinas_v7:7:8431526:8433499:-1 gene:gene-LSAT_7X6000 transcript:rna-gnl|WGS:NBSK|LSAT_7X6000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYHTFFLLLCCLFPFIYAFTICNSSRRNSRLPPGPKGFPIIGNLLELGANPHHSLAILSKCYGPIMSLKLGSRTTIVISSPAITKEFFHTHDTSFLNRSVPIALRAGDFDKYSMMWMPAGDQWRKMRRITKEYLFSVLQLDAREFLQWKKVQELLNHVDECCKDEKALSIGGAVFTTALNILSNFMFSIDLAPYGLESVQEFKDAVCALLEAGGKPSLPDLFPILNSFNLLGFLQKENVNATKLLGIFDKIINERLQTRSTLYSYDGVSTKNSDVLDLLLNLNLKDESIFTQNDMRILFLTLFIAGNDTTSSTVEWAMSELILNPQTMKTARSEIIKLMQNKNESIQEYDISQLPYLQAVIKETLRLHPPAPFLIPREAIHDVDVHGFMVPKNAQILCNVWAMGRDPNVWLDPDMFIPERFLDTKIDYRGQDFELIPFGAGRRICPGLNLANRTLPIILGSLIHKFDWKVVGQPKDIDMEETFAITLQKAVPLMAIPIKI >cds-PLY71038.1 pep primary_assembly:Lsat_Salinas_v7:5:43132020:43134510:1 gene:gene-LSAT_0X21321 transcript:rna-gnl|WGS:NBSK|LSAT_0X21321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYKTSFSATQKKFQTSLRRRNNKVLITGVQGCLRCPSLEQTTSRYLALIEISDDAKGGILVLTRYFLDFFRARGFKRVIDDFAKKANIDEKQPLDGLYMEYWGLWLERHKHIPYWAFFFQKKLEALKKHSLQVISEKKTVLLSYVF >cds-PLY62846.1 pep primary_assembly:Lsat_Salinas_v7:4:30714978:30715601:-1 gene:gene-LSAT_4X16700 transcript:rna-gnl|WGS:NBSK|LSAT_4X16700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAQRQSSDRISNLPQDIIEKILTFMPIRDALKTSILSKKWRHCWKGMPKLVFDDRLSNVSSDMEDVKKYKLVITIFHVLLLHRGPILELCICISDEEIFNEIDQIILHLSWSKNIKKFIFEVYQFDEYYKLPSSFFSLQGLEHLFLKYCIIELPSTFNEFSMLKSLKFYEVYITATMLKRFLISCPLLEEFTWVNKVHITTTHVVS >cds-PLY68649.1 pep primary_assembly:Lsat_Salinas_v7:3:176741670:176742872:1 gene:gene-LSAT_3X103500 transcript:rna-gnl|WGS:NBSK|LSAT_3X103500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHSNHQPSDNERRNSDMMDSPSGIENALDWREALSLKNAIEDHITKGVFFVLLWIWS >cds-PLY94312.1 pep primary_assembly:Lsat_Salinas_v7:7:165658930:165659215:1 gene:gene-LSAT_7X97741 transcript:rna-gnl|WGS:NBSK|LSAT_7X97741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTLDQKKKKFDVCYREKEIPGRVIERWGEREAKIGSVNGARVLRSYQRWVMFTGVKNHQPLYSNTTQVN >cds-PLY67036.1 pep primary_assembly:Lsat_Salinas_v7:5:281398699:281398902:1 gene:gene-LSAT_5X147921 transcript:rna-gnl|WGS:NBSK|LSAT_5X147921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPVDVPAPPVDPVQVDDPHPDVDVPAQPVATRQRIRKYSERITKIGLRRKVLKKEGSTGHNPMVLE >cds-PLY97365.1 pep primary_assembly:Lsat_Salinas_v7:3:244677282:244677755:-1 gene:gene-LSAT_3X134840 transcript:rna-gnl|WGS:NBSK|LSAT_3X134840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTYPCSKHNLNLFPFYIIILCLLFISELKKQKISETKAGIDEVESLIRKMDTYARSLPPNVKVVLMMKLREYISDPNNLKSEIKRIASSNLNKPTQDESLQLGMANATVVCMTYIHQFIIIIILQLKE >cds-PLY64452.1 pep primary_assembly:Lsat_Salinas_v7:3:15665136:15665637:1 gene:gene-LSAT_3X11260 transcript:rna-gnl|WGS:NBSK|LSAT_3X11260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATTLFSAPITPHQYPISVSSFGSKSSRAQSPFILLRGRASSSTSSSTVDKQEEEESSSSDTLTNQSGGCKACGKAEIGWGCNGEGRIQGGIATVPGFGWWPIKAYRPCPDFVATGGRYRXSCWLWECPKNFSRHHHLLLRSH >cds-PLY95413.1 pep primary_assembly:Lsat_Salinas_v7:9:189361403:189365378:1 gene:gene-LSAT_9X116800 transcript:rna-gnl|WGS:NBSK|LSAT_9X116800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEASALFSTAFSPPSIHLRSRILTKSRPHVFPTKKTSFHPLLRSPPPVATNARRLFFTTTSKPSLFTSLPKPLKPISAASTSVSSIAEPKKTQLQGAKIVPLALSIAIGLLVRFVVPKPVEVTAQAWQLLSIFVSTIAGLVLSPLPVGAWALLGLTTTIITKTLTFTTAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGKSTLGLSYGLTLSEALIAPAMPSTTARAGGVFLPIIKSLSLSAGSKPNDPSSRKLGSYLIQSQFQSAGNSSALFLTAAAQNLLCLKLAEELGLVIASPWVSWFKAASLPAFVSLLLTPYILYKIYPPETKDTPEAPAMAAKRLEAMGPVTTNEWVMIGTMILAVSLWVLGDKIGIPSVVTAMLGLSVLLLLGVLEWDDCLSEKSAWDTLTWFAVLVGMAGQLTNLGIVTWMSSCVARFLQSFSLSWPAAFGVLQGAYFLIHYMFASQTGHVGALYSAFLAMHLAAGVPGVLAALALAYNTNLFGAQTHYSSGQAAVYFGAGYVDLPDVFRIGFIMACINAVIWTVVGGAWWKFLGLY >cds-PLY67943.1 pep primary_assembly:Lsat_Salinas_v7:5:299656229:299657510:1 gene:gene-LSAT_5X160860 transcript:rna-gnl|WGS:NBSK|LSAT_5X160860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASNDLQEALKPFYQRASEAELVFNLKERLARLEAAFSTRKAADTGNEELLNKVAQLQRMLEDEKEKALKEAQKASEENAKLRYRITHLTRALQKADSDLASK >cds-PLY65678.1 pep primary_assembly:Lsat_Salinas_v7:5:274431398:274432024:1 gene:gene-LSAT_5X145440 transcript:rna-gnl|WGS:NBSK|LSAT_5X145440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTYLWRKYSDYLHTKWEKEVLWTMVDPFKRPKSFTPLVIIYVSAFYTGVIGAAITEQLYKEKYWEDHPGEAVPIMRPKFYGGPWKVNKGGLLPPNK >cds-PLY95233.1 pep primary_assembly:Lsat_Salinas_v7:6:97313281:97313700:-1 gene:gene-LSAT_6X65201 transcript:rna-gnl|WGS:NBSK|LSAT_6X65201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESIIHMLIGQAEEELAALTNLQSDFYFNKDMKNELLENLSCRPKYTNYLQMKDLINNSTYVASKRIMAIYSLKKETETTIQELKKLLKTLPEDE >cds-PLY86069.1 pep primary_assembly:Lsat_Salinas_v7:3:194861047:194861769:1 gene:gene-LSAT_3X117820 transcript:rna-gnl|WGS:NBSK|LSAT_3X117820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPASKSKSKDKRAAAKDPPKSTNKTTSTPTSGGGTATNSYNPLLGTFHALDINNTDDHTQNALGVEYDSLSNNGSWSGESEDHKDKTSGTPSRHEPPPPPGVDNDKREKIRQKNEKKHQRQKERRAQELHERCSGYLMSRKLEALAQQLVSMGFSSERATMALILNEGRVEQSVAWLFDGGEDSEHRAAASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSTRRQKP >cds-PLY94517.1 pep primary_assembly:Lsat_Salinas_v7:2:158630127:158632259:-1 gene:gene-LSAT_2X83381 transcript:rna-gnl|WGS:NBSK|LSAT_2X83381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNGPGLFSDIGKKAKDLLTRDYLSDQKFSVSTTSVTGVGLTSTATKKGGLSSGDVGAIYKYKNTLIDVKFDTQSNIATTLTFTELVPSTKTIASFKLPDFTSGKLEVQYFHYHATLTSAVALTQAPNVDLSATIGTPTFAIGAEAGYETSSSKLTKYTAGITVNKPDSSASIVLGDKGDTIRASYIHHFDASKKNAAVGEITRRFSTNENTFTVGGSYAVDGLTMVKAKLNNHGKLGALLQHEIIPKSLVTISSELDTNSLDKTPKFGLALALKP >cds-PLY80629.1 pep primary_assembly:Lsat_Salinas_v7:5:9145820:9147903:1 gene:gene-LSAT_5X4881 transcript:rna-gnl|WGS:NBSK|LSAT_5X4881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPVSKRDDIKAIDKIGHDGIISIESSSSSETSMIVEEGMKIDKGYMSPHFVTNQNKLSVEFENAKVLITDQKISSVKEIVPLLEKCTQLSVPLLIFAEDISISVLETLIVNKNQGLLRVAIVKCPGVGERKKALLQDIALMTGADFLSRDLGLSLEYATSDQLGIAQKLTITSNYTTIVADPSMKAEIKERISQIKKDLSETDSSYLSKKLSERIAKLSGGVAIIKVRAYTEMELEDRKLRIEDAKNATYVAMDEGIVPGGGATYIHLLEEIPSIKKLMEDPDEESCVNIIASLEDGENMAIMEGVLFT >cds-PLY98787.1 pep primary_assembly:Lsat_Salinas_v7:7:25140462:25140898:1 gene:gene-LSAT_7X19500 transcript:rna-gnl|WGS:NBSK|LSAT_7X19500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLPSFFSVPIRFSPNLLRFRSQELGDSESGSVDRISMVYQEEVCWVMVVRWCVMWVALEVMFRPMNRGAECEDG >cds-PLY67828.1 pep primary_assembly:Lsat_Salinas_v7:8:187120896:187121864:1 gene:gene-LSAT_8X122041 transcript:rna-gnl|WGS:NBSK|LSAT_8X122041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METPNVEIWEIDDEVDQVEETEAYKNYFCFMVDDDKEPSPLHQQVVEKVCFMLCDNHLTSKPFLDYINHISEIIKEYVTNVEYRVSYYKDELTDTQLRLEELRCRMAKLENDLAIQIEAHLTSFEQYEINSHAILKGPKPSWTKYGLGYDEMNKEIMGLNTQPELLPYDPNLFTGDNEDQEEVVTCSPDVIATSSSSISLEVTSSHDLPDLDTKSDTPVEFSLKCLTMESFNLEDATSEQSNIHDSKSSDKQPKSYEPIGYPRQNPLDSSVKNHFHLLLLPSLEQRT >cds-PLY82273.1 pep primary_assembly:Lsat_Salinas_v7:1:69151528:69152766:1 gene:gene-LSAT_1X60661 transcript:rna-gnl|WGS:NBSK|LSAT_1X60661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSVLHNNLTGEILASICELKYLQLLDLSFNNMSGTLPSCLGILSNSLIALYLKRNNFHGKMMNAFMSGSLLNHLDLSENRFIGQLPRSLMNCTHLEFLSLEDNSFHDVFPSWLGTLPRLQVLVLRSNKLHGPIDGSTAASSRFPMLRIIDLSNNRFSGQLDQNYFQTLHAMSSGNLGISSVMETNISSKHVKLDWAYSMTLTHKGVRIDYDLILTIDMSIDLSCNHFEGEIPQSLQHLRGLQSLNLSNNHFTGRVLPSLGHLKNLEALDLSRNHLSGEIPQQLVQLGFLSIFNVSFNRLQGRIPQGKQFDTFDNNSYIGNPRLCGRPLSKECQDHPNVSRLPPTSSVSESLFPTETIDWIIVFCGVGSGLVVGIAIGNNLHTRYSHQITKRKDTWVRPLRNTRRNQGIIIR >cds-PLY96678.1 pep primary_assembly:Lsat_Salinas_v7:7:45138059:45143421:1 gene:gene-LSAT_7X33381 transcript:rna-gnl|WGS:NBSK|LSAT_7X33381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIEVGYRSWRSSSAEGGIAGRKGCVGDLFSFLVRSVSTHEGGERTSVLCLCLLEKRNLVGSSHKGSFVVLVDRCFFFSGELCQQGNSWVFVSSVLDWERMQMCDLGFTECLRVVVLHGERKPSFSQNFSCWLHTCTITVISVLANSKVIIATPPSPFCDHKAISYKLKTMGGGHRHDGDKGLFSHLIGAGHYPPQGAYPPLAYPYPPPVGYPPAAYPHHGGYPPHVCPPAGGYPPVAYPASSAPYYSGHGRHGHGVGTFLAGGAAAVAASYGAHHMPHHGYGSHGYGYNYGYHHHGKYKHGKFKHAKFGKRWKHGGMYGKHKW >cds-PLY87025.1 pep primary_assembly:Lsat_Salinas_v7:5:256814658:256815550:1 gene:gene-LSAT_5X131240 transcript:rna-gnl|WGS:NBSK|LSAT_5X131240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAILMSGRNAKTNFPIIKAESCNKTDGGSLPSSSSSSATTSALSAMLSAKLRKCCKSPSPSLTCLRLDTESSHIGVWQKRAGVHSDSNWVMTMELGKNMGINQKVEGETGDTPTTMGVPETVVSAPRGGRYCSTRSSEEEDKIALQMIEELLNTN >cds-PLY79977.1 pep primary_assembly:Lsat_Salinas_v7:9:45631113:45632075:-1 gene:gene-LSAT_9X42101 transcript:rna-gnl|WGS:NBSK|LSAT_9X42101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVASIQCIRISNSSSNAHTFRFSTTKPTIVTIRSAQADGPLRRPSIATPPVRPSIPPSPSPNPPPPPSAPAKSLAEAAAVGQNVITMEFQRQRAKELQEYFKQKKLEEANQGPFFGFIAKNEISNGRWAMFGFAVGMLTEYATGSDFVDQVKILLSNFGIVDLE >cds-PLY63686.1 pep primary_assembly:Lsat_Salinas_v7:9:201533217:201534522:1 gene:gene-LSAT_9X124801 transcript:rna-gnl|WGS:NBSK|LSAT_9X124801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPKFGVDDMSSVSNFDATLVLATMLMSQLHNSNNTTTSHGAEEQPQDTDSHRHRDRPKGNVSEHPSDHTRIWSELSGSATKSTTGGGGERDLRNTNNHNNHDIHRISKMHISKADKYPNIYNYQQPSMQREDVEERQDEGAEHGNGGAEHGNNGVSQTQRHVSNWVDADAEDDEEEEGEPYIQATPPYYEEH >cds-PLY98009.1 pep primary_assembly:Lsat_Salinas_v7:8:44290882:44293004:1 gene:gene-LSAT_8X33661 transcript:rna-gnl|WGS:NBSK|LSAT_8X33661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESIPETTISSSNGRRRIPLIGMGMAMLVEGSDKVKATVVEAIKVGYRHFDTAALYGTEKALGEGITEALRQGLINSRAEIFVTTKLWCNSAEGHLVLPAIKQSLQNLGLDYVDLYLIHWPLKLNQEEFKLPIPKECIAAINIKDVWEGMEKCQNLGLTKSIGVSNFAPRKIEEILSFAKIPPAVNQVEMNPLWQQKKLNEFCKKNDILITAYSPLGASGTKWGHNRVMECEVLQDIAKSKGKTVAQISLRWIYEQGVSFVVKSFNTERMKQNLEIFDWSLTEEELNKISQIPQRKHVYLIGSFVTEPNDVMAEIDADLSFQ >cds-PLY65137.1 pep primary_assembly:Lsat_Salinas_v7:2:75779458:75779847:-1 gene:gene-LSAT_2X33200 transcript:rna-gnl|WGS:NBSK|LSAT_2X33200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHPQNLSLDVIGLVVAVGEMARESTDKSKHKIYIHIQNENGLEIRLVLWGDYAYQM >cds-PLY90672.1 pep primary_assembly:Lsat_Salinas_v7:6:52975628:52977011:-1 gene:gene-LSAT_6X39000 transcript:rna-gnl|WGS:NBSK|LSAT_6X39000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNNKTVAFVVAIGFIFLNLSGHCYGALQFGFYNGKCRGSDVEDIVRKTVLSKFLVDRTITPALLRMQFHDCFVNGCDASILLDGTNSEKTAPPNLSVRGYDVIDAVKTAVERVCPDVVSCADIIVMATRDAVSLSGGGRYNVQTGRRDGRVSLAKDAQILPSPSSSVSSAIQAFATKGLTATDMIYLLGGHTIGIAHCSFFQDRLYNFENSGKPDPTMDLALLTSLRRTCPRNATVDGKANLDQNSFSSAVVDKSFYTQIMLRRGVLQIDQELAVDGLSKSTVAAIAGSSDFTTKFGQAMVKLGGTHVLTGTQGEIRKSCRSVNSQTLMALVNG >cds-PLY73615.1 pep primary_assembly:Lsat_Salinas_v7:5:202375210:202376003:1 gene:gene-LSAT_5X94621 transcript:rna-gnl|WGS:NBSK|LSAT_5X94621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTDEIRASASDICHGDAICQEKSKALLTEMGLPNGLLPLEDIEECGHVKETGFVWLKQKAEKKHKFEKIDRLATYGTEVTATMEKMKIKNLKGVKTKELLLWLTLDEISVDESNTEKITFKATSGLYRTFPVSAFQVEDVKKDVEDVAVAKDAKVVEVEVAKEAQVQEV >cds-PLY66134.1 pep primary_assembly:Lsat_Salinas_v7:7:31003470:31003635:1 gene:gene-LSAT_7X23481 transcript:rna-gnl|WGS:NBSK|LSAT_7X23481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLISLSAGYDVIGMRKIEIAKREFEKQFERDIASGKNGDPVRLSPGGPDPHHH >cds-PLY69033.1 pep primary_assembly:Lsat_Salinas_v7:9:141725388:141729410:1 gene:gene-LSAT_9X91400 transcript:rna-gnl|WGS:NBSK|LSAT_9X91400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSFIHKSFKYDVFLSFRGKDTRRNFVDHLYSALQQKSIHTYKDDERIKRGKMISNELIGSIEDSKFYIIVFSKNYASSSWCLDELVKIMECHKTTEHTAYPVFYDVEPTEVRNQSLAVGEAFAKHEKEEAAGKWREALKEAADLAGWELKNTAHGHEAKFIKQIVEELSLELRSINFSIDEKLVGMETRIKDVISSLGIGFDDVRMIGIKGMGGSGKTTLARVVFDHISCQFEGKSFVENVREVSNASLSGLKVLQNQILLDVLNDQNIKASSVHEGKNMMKRMMPGRKVLIVLDDVDHIDQLEALAGEPNWFKRGSRIVITTRDEQVLLAHRVNLIRDVNLLLREEAFCLFSRYAFGREIPIQGYEWLSEQVVRYACGLPLTIRVLGSFLCAKNKLEWIDALERLKTIPLKETLKKLELSYIDLEDDYKEIFLDVACILKGWPKYLAIEALESCGYHARNGLRVLEQKSLLTISHDKPDHKYVGIDDHIEYVGMHDHMEEMGKNIVRRLHLDKPQKHSRLWIEDEIEDVLVNELGTKATRCVRFYTKKLNPEIIMKGLQKMKELRFLAMSPNSFDSSYCSRFRWNWDFDKVSPYFPNVLRYLRWNHYPFTSLPKTFQVNNLVALGMAYSDIVQLWEGDERKVLNKLRFLDLSYSMLRTLDLGLTPNLEALTLVQCYNLVELHMPVECLKLKSVELSGSKFRTLDLGLAPKLELLDLENCRNLVKLHMPSRCLNLRSLKLTTSRLKTLDIGPTPNLEYLNLKGCYYLEKIQMPNECQKLTSLNISHSKLRTLNIGLTPNLEYLDLKGCHYLENLLMTNKCQKLASLNITHSKLRTLDLGLTPNVKNLDLKECCNLVELQAPMGCLKKLVSLHLSDRSRFRSFSFQIDDDTSCSVDELLKVGPLAELHLTAKSIERCPFHPENIMPKFQFTYFYKDVPLLTRNLEKLISVGLCACTNLETFSGSICGLQHLRMLKLQGNIPQVPKDLDQLECLEELSFSYTKIKHLPDNICMLKHLKSLELISCRRLGKLPDDLGLLECLEKLTLSFTKIKYLPDSICMLKFLASLKLTDSSLLEKLPEDLGQLECLEKLILRDCKFLQEIPSSICKMTSLKELDIEGTCISRLPQSIVLLKGLHIIGCRGLLESCGFTSKIRTSANKRFCFIDM >cds-PLY82278.1 pep primary_assembly:Lsat_Salinas_v7:1:68236460:68237178:1 gene:gene-LSAT_1X60060 transcript:rna-gnl|WGS:NBSK|LSAT_1X60060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANNLFLTNSTAATTFIVVLFSLLLAGKSQTFSTSLPRTALRLGKQKLTHLHFFFHDVVGGPNATAIRVAAASITNTSTTGFGAVIMMDNLLTVGPEPNSTRVGRAQGMYASADLNDMSFMMVQNYVFDEERYNGSTLSILGRNPIMSPVRELPVVGGSGVFRFARGYTEARTYFLNATNGDAVVEYNVYVIHY >cds-PLY89749.1 pep primary_assembly:Lsat_Salinas_v7:1:59180952:59190057:-1 gene:gene-LSAT_1X50361 transcript:rna-gnl|WGS:NBSK|LSAT_1X50361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:HDT3 [Source:Projected from Arabidopsis thaliana (AT5G03740) UniProtKB/TrEMBL;Acc:A0A178UP12] MRRLGKQRLGEAATSKKRPVRRIRNPQDSRRSRSQPVISILEIGAEIKSGQSFDVVIGEGKVLHLSQACLGEAKKETKESVCMHMTMDGKKLVLGTLIPEKIPQQLFDLVFDKDFKVSHNSKNSSIYLYGYKADNPADDNEYPFLNQSFFSNLKSFVLIMLRFYDEEEDLPLPVANGKQEAKKEEKKAVVPKKEQKSSAAGKQKVKIVEPEKDVKESDDDDDSDDSAAMSEDSDDEDDEDSSDDEEDSDEEEETPKKEQSGKKRQNESASKTPTEKKKTKIAATPQKTEGKKSGAVHIATPHPSKQAVAKTPAGGSKSNQKSPATDGAHSCKSCNRNFKTEGALSSHNQAKHSASK >cds-PLY95944.1 pep primary_assembly:Lsat_Salinas_v7:9:42641941:42644105:1 gene:gene-LSAT_9X39161 transcript:rna-gnl|WGS:NBSK|LSAT_9X39161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEMMMKVLCVVVAFMVVSTPYTMAITCDDVVNKLTPCANYLKKGGAVSAACCKGVKGLHAAAKSTPDRKTACVCMKEAYKSNPGIKPENALVLPKKCAVNIPYKISLKTDCSKVK >cds-PLY64416.1 pep primary_assembly:Lsat_Salinas_v7:7:82294969:82298384:1 gene:gene-LSAT_7X57960 transcript:rna-gnl|WGS:NBSK|LSAT_7X57960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCDLIAKEAMTPIESTFSSDVNSKLDWKATGKILARGHIRVHKSSQDHPITFCLLHPLPLAGVHSKQRRKRANPSTTVDCHPSLNLASPLAVVVAVRTPPLRPPATFSCPPFHRNRQNQSRSKLLSQLHPAATTAAIENLRSPPPAPDVVVSLEQKQVRRSKIPPEQPPAAQTPPNSTVVDLAAADANQSRPPPTHCKSSKSLRSVSLSS >cds-PLY96195.1 pep primary_assembly:Lsat_Salinas_v7:3:92600961:92603072:1 gene:gene-LSAT_3X69141 transcript:rna-gnl|WGS:NBSK|LSAT_3X69141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPCLPKLLNLLYKARNLIGVATIGSSSAAASAAITSSPKFSTSAAAMDDLQTLKTRLCIIGSGPAAHTAAIYAARAEIKPLLFEGWMANDIAAGGQLTTTTDVENFPGFPEGILGFELMERCKSQSIRFGTEIFSETVTKVDFSSSPFKIFTDSKSVIADSVIVATGAVARRLTFPGSGEGKSGFWNKGISACAVCDGAAPIFRGKPLAVIGGGDSAMEEANYLTKYGSKVYIIHRRGEFRASKIMQQRAMNNPKIEVIWNSAVEEAYGAEGKSLLGGLKVKNVVNGEISDLQVSGLFFAIGHEPATKFLDGQLELDSDGYVVTKPGTTITSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEIGSQQGKSD >cds-PLY91624.1 pep primary_assembly:Lsat_Salinas_v7:8:12155070:12156264:-1 gene:gene-LSAT_8X9340 transcript:rna-gnl|WGS:NBSK|LSAT_8X9340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51545) UniProtKB/Swiss-Prot;Acc:F4KDA6] MALIFPSSSTFITFSHKSRHQLRHLTAIKAQNTSSSPESSESSDETSSSLKSESPPLGFGSTSSVSTSSPVKKQKGKKERARIIRRDPVDTPKFASQQAERGGEASNEQGINERAFLLAWLGLGSLIIFEGLALAASGFLPEEWDALFVKYLYPSFTPTVFLFVAGTVVYGVVKYLENEKPNSSN >cds-PLY77507.1 pep primary_assembly:Lsat_Salinas_v7:4:51001983:51003310:1 gene:gene-LSAT_4X34001 transcript:rna-gnl|WGS:NBSK|LSAT_4X34001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAMMADGQVTKVDSIKVQSENDLFGYDSYTYLNWDDFEEVLTMDELTGAVIVSYMIRHWVLGVLDMKSDTCYYLDSLSCGNFNMQLKQIVDSAMVLYTTQSGSNKRVKLNWVNATCPVQPGSTECGYYMLRFMKEIVEEGIKVLVKDNIGDGKVEYTTADIDEIREEWSEFVIGFIYR >cds-PLY72637.1 pep primary_assembly:Lsat_Salinas_v7:8:254026947:254027224:-1 gene:gene-LSAT_8X148920 transcript:rna-gnl|WGS:NBSK|LSAT_8X148920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSADFHPSSSISATAICGDTASITASVGWFSVGLFQFNAENINGCSLLNILYPMNGFAFQFC >cds-PLY97812.1 pep primary_assembly:Lsat_Salinas_v7:9:2190665:2192001:1 gene:gene-LSAT_9X5540 transcript:rna-gnl|WGS:NBSK|LSAT_9X5540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGKSKRTKRNAPRSDDIYLKLLVKLYRFLVRRTGSNFNAVILKRLFMSKVNKPPISLSRLIRYMAGKDDKIAVVVGTVTDDVRVDEIPCIKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNCREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFRN >cds-PLY96849.1 pep primary_assembly:Lsat_Salinas_v7:2:109185909:109186205:-1 gene:gene-LSAT_2X48500 transcript:rna-gnl|WGS:NBSK|LSAT_2X48500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMPRIMQARQILKRSLSNGTRTTETDLPKGYFAVYVGEQEKKGFDLLRETEEEFEYNHPMGGLTIRCCVQTFYDLASGLGAF >cds-PLY84904.1 pep primary_assembly:Lsat_Salinas_v7:6:14792158:14793442:1 gene:gene-LSAT_6X10760 transcript:rna-gnl|WGS:NBSK|LSAT_6X10760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVNLAPLPLRSPEITIGSSGFSNSLISFNRKRPTLSFAVSNSTEEPPTTTPEIELEFLGPKPGDDGKYPVDRAAAASGEKLLRTIMADNKIELYGTYGKVMNCGGGGSCGTCIVEVVEGKELLNERTNTELKYLKKKPESWRLACQTIVGNKENSGKVRIQRLPQWKK >cds-PLY80952.1 pep primary_assembly:Lsat_Salinas_v7:8:145257955:145265477:1 gene:gene-LSAT_8X98440 transcript:rna-gnl|WGS:NBSK|LSAT_8X98440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEQLLNHSDPTQINHLKCPESQSQFQCPEHHTSKHMLVLIIADDDGLSLQFSKQAGRVVEECKLKVIYFHPPPQPPSPVPEEPGEGALSPKVSFTDGGININTKTSDQATSLISQLTDEKNVVVQQSNKIRQEMNQCSRDGSEALPKGIVSKTSDLEMRPLWGPRKKRKNVKSPMSLLAMAVGMKQKENVNEMIKKFPSDDFVIMLFHYDGIVDEWKDLEWSSRVIHISAISQTKWWFAKRFLHPDVVSEYAYIFLWDEDLGVQNFDAGRYLSIIKNEGLHISQPALDPEKSEVHHEMTSRESGSTVHRRIERMSRSRKQCYRNSTDPPCTGWVEMMAPVFSKEAWRCVWYMIQNDLIHAWGLDMQLGYCAQGNRTQYIGIVDSEYVVHYGIPTLGDSSQNKTNSDSSKESSSKESVPLESNHTDIRAEVRKQSFNELETFKRRWRKAVQEDECWVDPYNQH >cds-PLY62981.1 pep primary_assembly:Lsat_Salinas_v7:3:118855452:118856270:-1 gene:gene-LSAT_3X83381 transcript:rna-gnl|WGS:NBSK|LSAT_3X83381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLRLVESPTPPYYEPPQHHSDSSRPTLGFPLGTALLLIIIFSLSGFFSCCYHWDKLRHLRGSMAEAEADSDDSPSKPNPTFAEKDKVEKQSLPVIMPGDRIAKFIALPCPCKPPREEKITVEVVTEPPVKPPHIVIPMN >cds-PLY67344.1 pep primary_assembly:Lsat_Salinas_v7:4:19146645:19149874:-1 gene:gene-LSAT_4X13581 transcript:rna-gnl|WGS:NBSK|LSAT_4X13581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKSNSFFHNLVKRFKLSSSKESQEEELEAIAAQEQKIFSFQTLVSATKNFHPDNKLGQGGYGPVFKGKLDDGREIAVKKLSQTSTQGKKEFTTEAKLLARVQHRNVVNLLGFCGYPEKLLVYEYVVHESLDKLLFSSGNRKALDWKRRYNIIVGVARGLLYLHEDSHDRIIHRDIKASNILLDDKWIPKIADFGMARLYPEDQTHINTRVAGTNGYMAPEYVMHGNLSVKVDVYSFGVMVLELVSGQKNSAFNLDPECENLLDWAYKLYKKGKSLEILESKLYSSSDLDEVSACIKIGLLCTQSDPGQRPTMRRVVVMLTKKSGVLDEPSRPGIPGTRYRRSRRPGTSGGSSSHSSTTTTTTTTTITTSTAPTTASGPSGSVPRRRSSSSGISSRSDPHGKRPMMETYD >cds-PLY63201.1 pep primary_assembly:Lsat_Salinas_v7:6:89427815:89427967:-1 gene:gene-LSAT_6X60780 transcript:rna-gnl|WGS:NBSK|LSAT_6X60780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERKNLQLPPCGLSKVMLFVQLLLHVCHGPFPLVFFSMAAALKGKMVINR >cds-PLY98562.1 pep primary_assembly:Lsat_Salinas_v7:1:38078329:38078633:-1 gene:gene-LSAT_1X29721 transcript:rna-gnl|WGS:NBSK|LSAT_1X29721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLASGELIGSQPEHWVNEKSWTIFDKYEKAMCEKYGPDPSQHPLGDVEIWEHCVGGRKKGRVYGVGSSDPGNVVLGTPYECELIIP >cds-PLY94240.1 pep primary_assembly:Lsat_Salinas_v7:8:69580852:69581016:-1 gene:gene-LSAT_8X50440 transcript:rna-gnl|WGS:NBSK|LSAT_8X50440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVEASAEVIATRLHRLDEDHDQTSDRVNALQEEVPTTRVEIREFRERHVEMER >cds-PLY84922.1 pep primary_assembly:Lsat_Salinas_v7:6:14956481:14957364:1 gene:gene-LSAT_6X10940 transcript:rna-gnl|WGS:NBSK|LSAT_6X10940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGISYTSRRMMNGSTLIGTLVVLMLLIHPGRAGVYGCWGGCLNQCVLIADKKPEEKSPCYWNCLANCFPESGQISTHSSSASEIAGSPLPNTPPISLNNKPTFPHFGLGKKYYCIIGCSLHSCLIHGHAGVDLKLCLIRCTHKCK >cds-PLY85972.1 pep primary_assembly:Lsat_Salinas_v7:3:201015543:201015797:-1 gene:gene-LSAT_3X119700 transcript:rna-gnl|WGS:NBSK|LSAT_3X119700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVELRLAVEIKMDYKKDFVNQKADKVVVTTKEIESGIRRLMEDYNLRKKMKEIREKSIAKVAEGGSSYASVGRLIKDFKRNLL >cds-PLY84285.1 pep primary_assembly:Lsat_Salinas_v7:1:45175040:45177426:-1 gene:gene-LSAT_1X39720 transcript:rna-gnl|WGS:NBSK|LSAT_1X39720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTLEKIDFESDEESPLTLSQSAGAKQSFQFETSSHTSVPKFRTLRKLQFTAFHSVYVVLFKAKINVLLPFGPLAVLMHYMTGRHGWVFFFSLLGITPLAERLGYATEQLACYTGNTVGGLLNATFGNATEMIISIYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFTGGIVHYPKVQRFNQTAAIVSSGLLLMAVMGILFPAVLHFTHTEVHFGKSELALSRFSSCIMLVAYASYLFFQLKSHANLYDSVDEERENDGDEPEEEEAPEITMWEAIAWLTILTLWVSVLSGYLVDAIQGASDSWNMPVSFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVVGWIMGQPMDLNFQLFETASLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHIDNKSDDD >cds-PLY63066.1 pep primary_assembly:Lsat_Salinas_v7:8:75626716:75628618:-1 gene:gene-LSAT_8X53500 transcript:rna-gnl|WGS:NBSK|LSAT_8X53500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RFI2 [Source:Projected from Arabidopsis thaliana (AT2G47700) UniProtKB/Swiss-Prot;Acc:O82239] MVASKITDVINADVADNASPALGCSSSVPCSICFDLVIDEGERSTAKLQCGHKFHLDCIGSAFNSKGTMQCPNCRKVESGRWLFADAISETSARDWMPNEGPHDLSYSRRPFGFHWCPFSGFTVHSSIEESEPSLNTFTNFQANHPMIIDHTPSAPSYISYFQPSEHANNFHHPLNSISTPRATNIQHPAWGWNCHFLPYNADRDHGIPATLRSTSFLHPLHYNTQQRLRMVLPAVSNRGNHDGRRGFYIHEHEHEHEQSSYNSYYRTHEREYDSSHVPIMNNPWGSGSGSFHHRTHWS >cds-PLY92789.1 pep primary_assembly:Lsat_Salinas_v7:2:149023200:149040767:1 gene:gene-LSAT_2X74920 transcript:rna-gnl|WGS:NBSK|LSAT_2X74920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVVPVQMAQTDEHLAPTLTPDPEDISISVALHHPTKPIPDLLTGTRKEYIAIAIPLYEASIRGDWKAAKKILDERPELVRYSISGNNETALHVAASAKSTKKVEEFVENLITKMQKEDLELKNSSSNTALCLAAAAGNVKIVEIMVERNRALVAITGSRGMTPLYMAALFGHYEMVKCLYGYSQKLRDDCWTDETRSWLLLRCMETDMFDIALDIVKEHSKLGFSGLALGALARKTNVFNETESNTIRRSIEWVWTCIHPKVGPSKMESKANALELLNIIWGAIAEKPTKDIDNIIRGQPDPIEKDDKPASDTDQTLQLLQKISQNTSKKHDESGIINKGHAATTTNNTNVALKENARPKYSSRILFVAAEMGNTRFIIELIRLYPDIIWKLNDDNQSIFHTAVKHRHEGIYNLLYEIGSMKDLITPLKDTNENNMLHLVGKSAKKKRLEDVSGVAFQMQRELLWFKMSQTGLPLPNFDVQNPSSQQQQHATGVSFPPQDLPIPAYPVNTDRKKAADVPVPQYPSIPHPFMDHNKAADVPVPQYPPQYPVPQYPSTPHPFTGFPLPNFEVKNPPSQQQQQHVVGVSFAPQEKAIPDLFNGPRIDYIHFGVPLYEASIKGDWKAAKDIFDETPTLVRYSITENGETALHVAASAESTNEVEEFVEHLVNYMQKKDLELQDKSSNTALCVVAASGKINMVKIMVQKNRDLMSIRGWQNMMPLYMAALFGHHEVVKYLYQESNNLRDDSYWTPKTRGWLLLTCVENDMFDVALWIVKDHPELVNNSDVLGVLAQKPDAFSKRKSNIIMNTVKWGKHLYSFVVFIVIFPKVGVYEKGSTALELLTIMWQNIAMKSKKEIDVILRGTHVTLPGNAKKKYPSQIPFVAAERGNTRFVIELIRLYPDLIWKVNDTNQSIFHTAAEHRHMDIYNLLYEIGSKRNQITLLKDANENNMLHLVGKSAKKKRHEDVSGAAFEMQRELLWFKLTPQSN >cds-PLY67370.1 pep primary_assembly:Lsat_Salinas_v7:1:170920856:170921864:1 gene:gene-LSAT_1X113240 transcript:rna-gnl|WGS:NBSK|LSAT_1X113240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGESEVVLLGWPLSMFGARVKIALAEKGVKYEYKEEVFLHNKSPLLLKCNPIHKKIPVLIHKGRSVCESKIIVEYIDELWKGESPLLPSDPYLRSQARLYIPLRLGPKGEEMQKARHECLGYLNVLEGELGDKPYFLGNAFGYVDIALMSYYNHFFTYETLGGFSLKIDNPKLLAWATRCMKRESVSKSLPDPQKIYDYTIVYRKNIGVED >cds-PLY89250.1 pep primary_assembly:Lsat_Salinas_v7:5:310556124:310558775:1 gene:gene-LSAT_5X168160 transcript:rna-gnl|WGS:NBSK|LSAT_5X168160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIDNFADVEVPAVGMGALPARRRRRRGRSSRRRRPSHAFSSEAATTDGGSSFGLSDSDAQSSARLDSSVAGDECGSSGIFSYNHSRMESSSDEIDLESGELEMKVHSSIKNEKQCRICHLNFEVSDVDQAEDEDDGGGGCGGGDPIELGCNCKGDLGAAHKQCAETWFKIKGNMTCEICGAIAQNVGGDQTNEASNATVETEVVERSATGPMVVATEPRNFWQGRRIMNILLGCMVFAFIISWLFHFNVLP >cds-PLY92910.1 pep primary_assembly:Lsat_Salinas_v7:1:153514950:153524240:-1 gene:gene-LSAT_1X107261 transcript:rna-gnl|WGS:NBSK|LSAT_1X107261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQKMAELMEYAHLLEQADNCEDPYMRSVYTVYYALQQTWKPFNPILGETYEMVNQLYYALQQTWKPFNTILGETYEMVSHHPPISDAHVENEYFVYDITSKVKTKFLGNSIDVYPLGR >cds-PLY78338.1 pep primary_assembly:Lsat_Salinas_v7:2:181354759:181357908:-1 gene:gene-LSAT_2X102800 transcript:rna-gnl|WGS:NBSK|LSAT_2X102800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVESWFRGLLKSSRKHDHGQEKAQIGVLAFEVASLLSKLVHQWHSLSDKQVAKLREEINNSVGIKKLVSENDDYIVDLICAEMLHNLDCVARVVTRLAKRCNDPLLKCFEHAYDDLIKSGVDQYQWQLTWKKMSKKVKKMEKFILINANLYQEMETLTELEQILRRMKSNEEQDSITLVEYMKKLAWKQQEVKRLKELSLWNRSHDYVVVLLARSIFTIFNRIGFVFGINHVLPLESDSDSDSVSDHMYRSQSVSTLLQSSIHPSENRITLGSIISRSGPISRTNNPNNFYSGPLGNSTTVSGPIKRKNITYSGPIKSTSKSGPLARMSKTGIKLWNGKSPTPHRFSTTGPFKGCMLAGNGSPVKHCYINPNATCSTTPQTIKESEMEIQLPNCGPKHKVFEAPPETLGAAALALHYANVIIVLEKLVASPHLIGHDARDDLYNMLPKNVRSALRVRLKPYAKSLASSEYDMGLAEEWNEAISGTLEWLSPLAHNMIRWQSERSFEHQNLLSKTNMLLVQTLHYANQEKTELTITELLVGLNYIWRFGREVNAKAMMECESGGLIDESVVNSVS >cds-PLY96011.1 pep primary_assembly:Lsat_Salinas_v7:4:20623011:20628298:1 gene:gene-LSAT_4X13981 transcript:rna-gnl|WGS:NBSK|LSAT_4X13981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKVEQELGSQNHHHHHNHPCVEISMEIHKVETPPKRSSLQKLKNRLKETFFPDDPLRQFKGQSAKQKWLLGFQYIFPILQWGPHYNLNLLKSDVVSGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLVYAVLGSSKDLAVGPVSIASLLMGSMLRQEVSPAQDPLLFLQLAFTSTFFAGLFQASLGFLRLGFIIDFLSKATLIGFMAGAAIIVSLQQLKALLGITHFTKEMGLVPVMSSVFHNTKEWSWQTILMGFCFLVFLLVTRHISMKKPKLFWVSAGAPLLSVVVSTLFVFAFKAQHHGISVIGKLEQGLNPPSWNMLHFHGSHMGLVLKTGLITGIISLTEGIAVGRTFAALKNYQVDGNKEMIAIGVMNVVGSTTSCYITTGAFSRSAVNHNAGAKTAVSNIIMAVTVMVTLLFLMPLFQYTPNLVLGAIIVTAVIGLVDIPAAYQIWKVDKFDFVVMLCAFFGVIFISVQEGLALAVGISIFKMVLQMTRPKTVVLGNIPGTDIFRNRHQYKDAVPVPGFLILSIQAPINFANSNYLNDRILRWIQDYEEEEENIKMHSSLRFVVLDLAAVSAIDTNGVTFVQELRRVLEKKGVEASSIQDLVLVNPVGEVMEKLQKADGTHDLLQPNVLFLTVGEAVSSLFSSMKGVSSSHV >cds-PLY61719.1 pep primary_assembly:Lsat_Salinas_v7:5:217723530:217730495:-1 gene:gene-LSAT_5X101240 transcript:rna-gnl|WGS:NBSK|LSAT_5X101240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQNMTISKLEAGMDASNNNNNPYAKLLKGSWIAQFLYGSNPWMARYVYSVMFLLANLLAWAVRDYGPTALTEMNKLKSCKGGDDCLGTEGVLRVSLGCFMFYFTMFLSTTGTSKLYGQKELWHSSWWSAKIVLMIALIMLPFFLPTQIIQLYGDIAHFGAGVFLLIQLISIISFITWLNECCLSEKYAERCHIHWMLLATTAYVVCILGIILMYIWYTPQPTCLLNIFFITWTLVLLQLMTSVSLHPKVSAGFLTPGFMGLYVVFLCWSAIRSEPMDDKCLRNSGASRDWLTIISFVIALIAMVIATFSTGIDSKCFQFRKEEKQEEDDVPYGFGFFHFVFATGTMYFAMLLIGWNSHHTMQKWTIDVGWTSTWVRIVNEWLAVCVYLWMLVAPIIWKSRQVEEPK >cds-PLY64564.1 pep primary_assembly:Lsat_Salinas_v7:6:37760877:37766159:1 gene:gene-LSAT_6X27280 transcript:rna-gnl|WGS:NBSK|LSAT_6X27280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELDSILDVLDKKIILVTGATGFLAKIFVEKILRVQPNVKKLYLLVRATDSMSALRRFQTEAVEKDLFKVLKEKYHTNLQNFLSEKVILVPGDITCENLGVKDSCLKEDMWGDVEVVVNTAASTNFFERYDVALALNTFGAKFVLDFAKKCINIKLLLHVSTAYVSGEKPGIILETTSYLGEMIDIKHEKRIIEDRLKELEYHNANEQVVKMAMKDFGRQRANHYGWPNTYVFTKALGEMVLQHCKGDIPLVIFRPTIITSTYKEPFPGWIEGIRTIDNFIVGYGKDRLRFVPSDPECVFDVLPADMVVNAMIAAIVANVDQTSSVTIYHVGSSVSNPMTSTMIQKYIYMYFTQHPWTNKNGKPVIVSKLRTLKSMASFQRYITLRFMLPLQVLKVVNVILCQAFAGTCKNIERKINFVLWLVKLYQPYLFSKSLYDDINTEKLRNMVRENKQDDVFFNFDPKIIDWEDYFLHTHIPGVVRYEFK >cds-PLY83847.1 pep primary_assembly:Lsat_Salinas_v7:3:49290476:49291230:1 gene:gene-LSAT_3X38021 transcript:rna-gnl|WGS:NBSK|LSAT_3X38021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTGKIVREVEVKCHRHQVHGIYKNNHNDLATIAPDKVEACHLVSGQWDAPGSVIQWNYYHDGKVETAKVIIEEVDDEFHKIVYKVIEGAVLEFYNPVVLTFSTEDKGDKKLVIWTMEFEKVNASLPDPTHYLDLICAVVEDVDGHLFK >cds-PLY69444.1 pep primary_assembly:Lsat_Salinas_v7:6:121848414:121852271:1 gene:gene-LSAT_6X73301 transcript:rna-gnl|WGS:NBSK|LSAT_6X73301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTALQYLDSLRTTHPELSDWYNTLADLYQRKLWHQLTLKLEQFVALAVFQAGDALIQLYHHFITDFETKINLLKLAHFAVIVSRQYSEKEAAISYLEGVIEKLRATKEMRIEEPILYIKMQIAIFNLEKGDQKECKNLLEDGKSTLDSMTDIDPSVYANYYWVSSQYHKSRQEFAEFYKNSLLYLAYTSVEALSDSFKLDLAFDLSLSALLGDNIYNFGELLAHPVIKSLIGTKVEWLYHILEAFNSGDLVRYQELCRVHSASLNAQPALLENEKKLLEKINILCLMEIIFSRPSEDRTIPLSVIAERTKLTVEDVEYLLMKSLYVHLIEGIIDQVDGTVYVSWVQPRVLGVAQIKSLRDRLDNWVGKVHTALISVEAETPDLVAS >cds-PLY74789.1 pep primary_assembly:Lsat_Salinas_v7:6:121164095:121165461:-1 gene:gene-LSAT_6X73521 transcript:rna-gnl|WGS:NBSK|LSAT_6X73521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCNLHRVKSGEKVITSYYVSNLPEGVLKAQIWKSFQKYGRVADIYIGGKKDRSGSTFAFVRFENIRDAKVLEHEKSYQVAWKETKATRDSRTFVEVTKDLPTVLPINGNPVGMVYYFGDLNVIIKFINSKSAKAFYDNEHNWKCWFKWLKMGFNDLIPERITWIKILGLPVRVRSNKNFPCIANTFWTTLEIIGVDWKAFDISIGEVCIIAKHNTIINDVVNVSFVNKVYPVGVVEYDRDWMPFDRSIQKDPVAQVNDDIDDEPDDDMEVNDSKEDKGDKQDSEEEDNQDFEEDAISETWMGPIQQECAPKYGEIIEESGEYVAPTTVALMSEDLNNPKVALSVDGQSPQHINVGNIHDMGDTRDDRNGTSVKGGQLPEGPVNNSIKPISTPPEPNHNASLRPNYTIPLPGGDE >cds-PLY90022.1 pep primary_assembly:Lsat_Salinas_v7:5:185798459:185799524:1 gene:gene-LSAT_5X82660 transcript:rna-gnl|WGS:NBSK|LSAT_5X82660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTGHQNITLHDEKDLTFIGLTTAESLCRKTGAFDHFGDFVGISFTASEFEDLPASQKTSALQHMTLFTRVKPSHKNMLVEPLQKQNEVVAMTGDRVNDSPALKNADIGIAMGSGTSVAKSASDMVLADDNFAMIAALSFMSLSIDVIVIITSAFFSSDNKKQQH >cds-PLY68493.1 pep primary_assembly:Lsat_Salinas_v7:2:214939902:214942437:-1 gene:gene-LSAT_2X135240 transcript:rna-gnl|WGS:NBSK|LSAT_2X135240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDISTSNMEPEPSKASLNLLHIHFVSSTDSPEFARLNWALTHSSIIGLDAEWKPVRAHQPTFPTVLLLQIACRLNSDESTQEHDKSIVFLVDLSEILLPSIYELLRDVFVSPDILKLGFRFKQDLVYLSSTFRSQGCDSGFDRVEPFLDIATIYSHLYQKQTRRKTKGTKSLSFICEELLGISLSKELQCSDWSVRPLTEDQRTYAAIDALCLIEIFDFFQQRVVKEGALGNLSEVSSSSLNLGLKQILREPTSSTIILSTRFCEAVEMVRATATIIEYPQRVDGIGEVGVSFRKSMDYMVLQIVREYGDKIVLTESDGKPKTSKRKGKRKSSTTGFKCKEQRVYDTDEWHWQGPAPWDVLVGGDGSPKFLCDVMXGLAKHLRCVGIDAAVPHSRKPESRELIDQAIKEKRVVLTRDAKLLRHEYLLRNQIYRVKSLLKNDQLIEVIESFELKICEDQLMSRCTKCNGRFIQKPLSMEEALEAAKGFQVIPDCLFDRNIHFWQCTDCNQLYWEGTQYHNAVQKFIDVCNI >cds-PLY97154.1 pep primary_assembly:Lsat_Salinas_v7:3:138680630:138680818:1 gene:gene-LSAT_3X92460 transcript:rna-gnl|WGS:NBSK|LSAT_3X92460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLVEKCLRDVKMYKSNIYDMVLVGGSTWIPKVQQLLQDFFNEKDLCKSINPDEAVAYEAAV >cds-PLY69100.1 pep primary_assembly:Lsat_Salinas_v7:5:277274870:277275145:1 gene:gene-LSAT_5X143881 transcript:rna-gnl|WGS:NBSK|LSAT_5X143881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEPKGKNHNEGVLSSDLMVVVCGVRQSSDPAGDGGGVWCSIIRVDNGRDEKIGVKYIVCRCSSFLGVFVLPEARDFPTEMVVAYGCSPEK >cds-PLY89077.1 pep primary_assembly:Lsat_Salinas_v7:9:28880434:28881737:1 gene:gene-LSAT_9X25520 transcript:rna-gnl|WGS:NBSK|LSAT_9X25520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLQHLLLSKKHMKNLKEMMEVEASCRRNLRDIHIQDLQVRSTLVQVGYEMLDDIIGHIELLKTLDISLVKIQHLALSSTPPSKRKQEVHSNDHVLDDIFLYDVEILW >cds-PLY94408.1 pep primary_assembly:Lsat_Salinas_v7:6:11086012:11086713:1 gene:gene-LSAT_6X12761 transcript:rna-gnl|WGS:NBSK|LSAT_6X12761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKALLNQYPSDSHEQLGYEFSNNMWLSTSSGWRDLLMRRVLISSLFRGN >cds-PLY80773.1 pep primary_assembly:Lsat_Salinas_v7:8:138307959:138312935:-1 gene:gene-LSAT_8X94880 transcript:rna-gnl|WGS:NBSK|LSAT_8X94880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKVIGLRLPPPHAFINSLMRRSWPMFGDSVQEDVGARLTTVSTEEIIFERPRAPGTKAEDSNASGDPLAQMSKGGVVLMECRTCGKKGDHWTSKCPYKELAQPTESFSENPNPSDSSATGHYSLQCLTSSDSPHLARIKIEAGFFTKYGEASRYQVQEVIGKGSCGVVGSTVDIHTGEKVDVNLQKFFTWYTDGIYDTEGWPQILKLKDWPPSNSFEERLPCHGVEFITSLPFKEYTHPCDGYLNLTVKLPEKSLKPNIGPKTYIGYGVSEELGRGDSVTKLHCHKSGVIVIWLVILKMHGSRSLNTKNMLKFVVVFQYIPDDHKPPPEDHR >cds-PLY69349.1 pep primary_assembly:Lsat_Salinas_v7:7:81646212:81648953:-1 gene:gene-LSAT_7X57361 transcript:rna-gnl|WGS:NBSK|LSAT_7X57361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLVMLNLRSIKSFFGSRYLHHWPPSRIAINVYNASAARIEEESSTNLYRYTRRNMSCVTQVERTKSVQHPPVFGKLITVLSIDGGGIRGLVPAIILDFLENELKRIDGEDARIADYFDMIAGTSTGGLITAMLTAPDEDKRPVFEAKDIKNFYLQNSPKIFPQDCNMFKKFIKSLMGPVYDGKYLHNSIRKRLRNAKLEDTLTNIVIPTFDINTLQPTIFSSYEIKEKPYLNALLSDICIATSAAPIFLPPHHFETNHQGHKHEFNLVDGAMAANNPTLIAMGEIAKQLIRKNNDFLHIPQSLEYRRFLVISIGTGECKKIWKYNVNKASKWGVLGWSLNVFNLSTPLLDIFSQASTDMVDIHLSVLFKALDVEKNYLRIHEDGLERSLSSLHRATKHDLERLIETGERLLKRKVSKVNLQTGNFEPYSEKTNEEALKDFAKQLSDEKHLRDHRSP >cds-PLY90461.1 pep primary_assembly:Lsat_Salinas_v7:9:94649193:94652511:1 gene:gene-LSAT_9X71520 transcript:rna-gnl|WGS:NBSK|LSAT_9X71520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIHHMNTSQDSNLMPSVDLKNAISVNRNLEDSMNSGKIMFLRFAHLLLISWLCKLLGFFYVNLVMFEILCSGDIPAATEEDVNIFLDATHKALQFSSQESIFNHGSCHTQTDTSNSRCFAVNACNKKPDQNIHLESKVLLEPIEMTCDPTYLVNFVALYIVLASFQSHEGRVLNSLNGIKDMKSRLTSKAEFVFSYDLTAGIILFPLGYMLSGRKRMMWDISLVNIKIIIPWENGKSEIHKLVHDLTIVTFSSNHDVSCFAPDINVPSQLMRNLIDDNSSSVLLEGTQIQDLYDLLEIKLVDFQVSYKLVVPFYPTYYFPILENLNASSTLALCIVQDESLLKAMEVLWESFLEPWKFQVSLRREQGKSALQNSPVMTDARLESIMNLNINVTKSLIEIILTNTTTMPFEVRFDIPFGVSPKILDPVYPGDEFPLPLHLAELGRIRXREHYL >cds-PLY84858.1 pep primary_assembly:Lsat_Salinas_v7:8:171449055:171449383:-1 gene:gene-LSAT_8X112240 transcript:rna-gnl|WGS:NBSK|LSAT_8X112240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHNADASNYSIYVHSEPSFIFDETTTRSSFFYNRQLSNNIKVDWGESTMIEAERLLLQAALENPAN >cds-PLY77488.1 pep primary_assembly:Lsat_Salinas_v7:4:49268430:49272037:1 gene:gene-LSAT_4X33280 transcript:rna-gnl|WGS:NBSK|LSAT_4X33280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFNFFSPPHLRLLNSSSFLVTRFIMKSNPSVSKGNEVFTRVFPHKMKYQSSSPVKKLGLPNLITTAQSQLQFSTRASKHGSEDRSAKSLIEDEAELSDWVSELKSDSFKKSRLYSESDDGENDWGGGAGSTVKRKREGDSDRERGRGGSRGSFNGDSRGKGRSGGEFGRRDDGFVSKRENGFGNGRGGRDSGSSRGRGRFGGEVDRRNDRFSRDKTKEDDGFVSKRRDDNFNGRGRGGRGGGVVRGGKSMSKANLMSSDQDEDDEEEEHKNITSKFRELISDEDDDDDEDEDLDDDVEVLGNGHSVKNVASSSPRASPDGSESYLSGTRFDQCAVSPQSLKAIKDAGYEKMTVVQEATLPVILKGKDVLAKARTGTGKTVAFLLPSIEVVAKSPPVSRDQKRSPILVLVICPTRELASQAAMEAKKLLKYHPSIGVQVVIGGTRLGLEQKRMQASPCQILVATPGRLKDHIENTAGFATRLMSIKVLILDEADHLLDMGFRKDIERIIAATPKQRQTLLFSATVPPEVRQICHIALKRDHEYINTVQEGSEETHTQVQQKHLIAPLDKQFSILYTLLKDHIADDSDYKVLVFCTTAMFTKLVADLLGELKLNVREIHSRKPQSYRTRISDEFRRSKGLILVTSDVSARGVDYPDVTLVIQVGVPADKAQYIHRLGRTGRKGKEGEGIIMLAPWEEFFLSTLKDLPISKAALPLVDPDTKKKVEKALSNVEMKNKESAYQAWLGYYNSNKTIGKDKYRLVELANEFSRSMGLDNPPAIPRLVLGKMGLKNVPGLRSK >cds-PLY86735.1 pep primary_assembly:Lsat_Salinas_v7:2:197390589:197391020:1 gene:gene-LSAT_2X118581 transcript:rna-gnl|WGS:NBSK|LSAT_2X118581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLEVSSLSSNRSLSPNFSPSAKSIVPLIPPLSSPFSNIGLQILEYTSVPQTLEFLPLETSVEVDVSFKALHMSLPIRRFKIPPSMDVSSPVSEFESSTLAFSKYYTPKKKPLCFGFLIVFDYTICVCPYFSLHLLFFCNTTH >cds-PLY86365.1 pep primary_assembly:Lsat_Salinas_v7:8:27400413:27403763:-1 gene:gene-LSAT_8X17681 transcript:rna-gnl|WGS:NBSK|LSAT_8X17681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDNCNWHSDLDYDQWVPLPVAGPRPSARYKHAAAVINEKLYIVGGSRNGRYLSDVQALDLRNLIWSTIKLRTQSGPDSINDGQLFEVFPATSGHSMVKWGNKLLIIGGHSKDTSDNVTVRFIDLESHVSGIMEAKGKIPVARGGQSVSLIGSKVIMFGGEDKHRRLLNDVNVLDLETMIWNFAETTLTPPPPRFDHTATVHGDRYLQIFGGCSHSTFFSDLHVLDLETLEWSQPQIQGSIVSPRAGHAGISIDEKWFIVGGGDNKTGALETLVLDMSTLVLSILTTVEKKDPLASEGLTISSALVDGQQFLIAFGGYNGKYNSEVFAMKPKPKDLKHPKIFKSSAAAAAAASVTAAYALAKSEDLTAVDNSKSKVDLSVEIGVLKEEKKVLESSIAWVKAEKSTLKSKLDEVNETHVDLSKELHSVQGQLAAEKSRCANLEAQISELQVMMSSMESVEQKVEALRSQKSESVQRQTSAVSWRWMSP >cds-PLY94446.1 pep primary_assembly:Lsat_Salinas_v7:6:9318213:9321819:-1 gene:gene-LSAT_6X5920 transcript:rna-gnl|WGS:NBSK|LSAT_6X5920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKFLSLACIRGTGAGDGYSRGLSPRPHYPSMPKYPKGMSADMESSMDRSERRALFSVTGMTCSACAGSVEKAVKRLPGIKEAVIDVLNNRAQVMFYPSFVNEETIRETIEDVGFESALIMEETNEKSTQVCRILIKGMTCTTCSSTVESALGSVHGVQRAQVALATEEAEIHYDPMTVSHDHLLNTIEDTGFEAILISTGEDVSKIHLQIDGPWNDGSMRIIQESLQALPGVEKIEFDTNLKKCSLSYKPDLTGPRNFIQVIESTGSGFKAKIFPKGNGRDSHRQEEINQYYKSFKWSLVFTIPVFLTSMVFMYIPGLKHGLDTKIVNMMTVGHLLRWILSTPVQFFIGRRFYTGSYKSLRHGSANMDVLIALGTNAAYFYSVYSVLRAAASPHFEATDFFETSAMLISFILLGKYLEVKAKGKTSEAIAKLMDLTPDTATLLTFDTEGNVVNEEEIDSRLIQRHDVIKIIPGAKVASDGFVTWGQSHVNESMITGEARPVGKRKGDTVIGGTVNENGVLYIKATRVGSESALSQIVQLVESAQMAKAPVQKLADRISKFFVPLVILLSFSTFLAWFVAGKFDGYPESWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTIGKPLVVNTRLLKNMVLREFYELIAAAEVNSEHPLAKAIVEYAKKFRDDEENPIWAEAHNFESITGHGVKAIVHNKQIIVGNKSLILNNGIPISIDAQEILTEIEGLAQTGILVSIDNHLTGILAISDPLKPGAREVISILKSMKIKSLLVTGDNWGTANSIAKEVGISDVTAEAKPEDKAEKVKELQGSGLVVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFTRIRLNYIWALGYNLLGIPIAAGVVFPFTGFRLPPWIAGAAMAASSVSVVCCSLLLRNYRRPRILETLEIQGITTV >cds-PLY75115.1 pep primary_assembly:Lsat_Salinas_v7:9:202645729:202648889:-1 gene:gene-LSAT_9X123821 transcript:rna-gnl|WGS:NBSK|LSAT_9X123821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIFLSIFNQIYQPKEVEEVKVVPKTEEDTMKEYRTTTRRHENAKLVCKFQFSYPSSIFHVGHFLMFTRCSSRYRDEYRATRGEVATEAGTNIMEGTKKVGPIVGVGTLIIIKDIGGDLAMELESDTEHNHNMMIDHKIPTHNMQIDPSRSCFPCCIVWTPLPVVSWLLPFVGHIGIGREDGVILDFAGPNFVCVDNFTFGAVTRYIQISKDKVLDMPAYEA >cds-PLY76446.1 pep primary_assembly:Lsat_Salinas_v7:5:199550196:199550525:1 gene:gene-LSAT_5X90201 transcript:rna-gnl|WGS:NBSK|LSAT_5X90201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFFHVLFTSYINPDSYDFPGPVDIRETIQRELEKEMIREKIIAEEVERFHVLEAEVRRELMIGREMMAMKRRSGYPSSSFMLRSDQPGEVKEITTSLPKVAKVSILIL >cds-PLY87682.1 pep primary_assembly:Lsat_Salinas_v7:6:45363213:45364151:1 gene:gene-LSAT_6X34821 transcript:rna-gnl|WGS:NBSK|LSAT_6X34821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGVGGRFFAKLKSISTNVKQGLVLPTVISDIKNEENGRAPMISLEEIIEKNVSDSVEFEEKCPPGGSDAVILYTTSLRGIRKTFEDCNTIRFLLGSFRVSYDERDVSMHMEFREELWRTLGGRVIPPRLFIKGRHIGGVEEVVGLHEQGKLIDLLNGIPRSPTTAPCKGCGGIRFRICFSCNGSRKVVSEETDDDHSDHSDTPLPISCVECNENGLTKCQVCF >cds-PLY81105.1 pep primary_assembly:Lsat_Salinas_v7:9:67447103:67448647:1 gene:gene-LSAT_9X57221 transcript:rna-gnl|WGS:NBSK|LSAT_9X57221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAASSSMAQAMLAPRSSMAPFSLRSSLPHLHLLPRSASSPLTHSSKLQTSASKRSLSYRIKASSEDTSETSEIGEVFSGLKEKWNALENKPIALVYGGGAVVGVWLSSTVLNAINEVPVIPKFLELVGFGYTGWFIYRYLLFKSTRKELADDIEALKKKIVGSE >cds-PLY78215.1 pep primary_assembly:Lsat_Salinas_v7:8:66002340:66015398:1 gene:gene-LSAT_8X46541 transcript:rna-gnl|WGS:NBSK|LSAT_8X46541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RUS3 [Source:Projected from Arabidopsis thaliana (AT1G13770) UniProtKB/TrEMBL;Acc:A0A178WJD5] MEEEIDKVKSQMVVEEWSGTSSNKLSLTAVISPSSSPLSFTLKRHGNRFKLFSRRFLEAFVPEGFPSSVTPDYIPFQTWDLLQGLSTYIRTMLSTQALLSAIGVGEKSATVIGATFQWFLRDLTGMLGGVMFTFYQGSNLDSNAKMWRLVADLMNDLGMLMDLVSPLFPSAFIFIVCIGSLSRSFTGVASGATRAALTQHFALQNNTADISAKEGSQETVATMIGMALGMLLAHITMGHSTIIWFSFLSLTMFHMYANYKAVRCLNLTTLNCERSSILLLHFMETGGVLSPKEVSVLEHVLPLWMSSWSSKNTKSLYTRVCLGIRVSSLNTLEMKELLSYAGSEYEKGKYLLLENKGIVSIVVHKDSSSGDVIKGFMHALVMANLLDDKKSQMWMNKHYQDFIFKLQSCGWKTERLLSSSIVWRANWTHKKIN >cds-PLY86439.1 pep primary_assembly:Lsat_Salinas_v7:1:10260594:10262246:-1 gene:gene-LSAT_1X7760 transcript:rna-gnl|WGS:NBSK|LSAT_1X7760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein PISTILLATA [Source:Projected from Arabidopsis thaliana (AT5G20240) UniProtKB/Swiss-Prot;Acc:P48007] MGRGKIEIKRIENTSNRQVTYSKRKNGIIKKAKEITVLCDANVSLVICGSSGKMYEYCSPKTNLIDMLDRYQRLSGNKLWDAKHENLQNEIDRIKKENESMQIELRHLKGEDITSLNYEELIAYEDALENGLTNIREKKDEIPKIMRKHEQVLEEENKHLMYLVQQSEMATMGDYQGQEPFSFRVQPMQPNLHERM >cds-PLY75793.1 pep primary_assembly:Lsat_Salinas_v7:3:67732459:67738285:-1 gene:gene-LSAT_3X52400 transcript:rna-gnl|WGS:NBSK|LSAT_3X52400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAASLVFSIRHPQSTTSFYRLWGTLLADIVIQMLGTDYARILHAIYAFPQGNLFTSDTMAKPQKQVYKDVMQSTKQAWLQQM >cds-PLY81387.1 pep primary_assembly:Lsat_Salinas_v7:4:35643462:35647953:-1 gene:gene-LSAT_4X23420 transcript:rna-gnl|WGS:NBSK|LSAT_4X23420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAAITTSSEALKFCIFDLRRGQHEGEELEKILFFFPADLPFPAQLSVIGLSEGLITFTRIFSPEAACEVIEAELHSHVFFEAEPDIWMVMVVAKSKETEAIWRIEALRSVLKEIHSLFIMFHGSIRVLLDKEPGGGLTRSHLFTFIMDYLNDFLMGNKFVLPNFRDSLKQRGTVQMLTVGREAAIEVQSLVGALDSCMGHTSGYSVILFQDLLVSTTLTPDDTTNLFSYATSRLTPRVLSSGGSSTWSYLRKGNAELASRSANIGSGLDGFRIVRPLQHGKWSKGKDGFLVTDIWGVEVGNLVPTTPTLWLQQTEHKMYLCAYQHKSLTIILLIPVTSMLNGEEGISMLKQQLLENASAKIVKVEEKLAKGWGGENAYHVKGYRYLLVDGDMNISRASPPGKVTTLTKESLLAINKVREDVDLEKSRGNGNNDEKDLEICIRAKNNAWVIARSTRGKELYIVLEKANETLLYASEAIEKFSDRYCNGAFSLD >cds-PLY88347.1 pep primary_assembly:Lsat_Salinas_v7:2:10210227:10221800:-1 gene:gene-LSAT_2X4341 transcript:rna-gnl|WGS:NBSK|LSAT_2X4341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVLPQEYPTMETANEIMKQVVPILMIPINRYLRYLILCTKYMRDMGIKIIELNAAKVGVEEKTRHNISNNLEVPAQVKGWLDDVGKINAQVENVPNNIGSCFNLKIRHTAGRSAVEISEEIDSVMRRYKEINWADHPIPPGRVHSMKSSTSTLSTKHNDFQSRELTFTKALKALDPNHKSHMIALCGMGGVGKTTMMQRLKKVAKEKRMFSYIIEAVIGEKTDPISIQEAISYYLGVELNANTKSVRADMLRQGFKAKSDVGKDKFLIILDDVWQSVDLEDIGLSPFPNQGVNFKVLLTSRDRHICTVMGVEGHSIFNVGLLTEAESKRLFWQFVEGSDPELHKIGEDIVSKCCGLPIAIKTMACTLRDKSTDAWKDALSRLEHHDIENVASKVFKASYDNLQDEETKSTFFLCGLFPEDSNIPMEELVRYGWGLKLFKKVYTIREARTRLNTCIERLIYTNLLIKVDDVQCIKMHDLIRSFVLDMFSKVEHASIVNHGNTLEWPADDMHDSCKGLSLTCKGICEFCGDLKFPNLMILKLMHGDKSLRFPQNFYEGMQKLQVISYDKMKYPLLPLSSECSTNLRVLHLHECSLQMFDFSSIGNLLNLEVLSFADSCIQMLPSTIGNLKKLRVLDLRGSDDLHIEQGILKNLVKLEELYMGFYDEFRHRGKGIYNMTDDNYNEIAERSKGLSALEIEFFRNNAQPKNMSFEKLEKFKISVGRRYLYGDYMKHMYAVQNTLKLVTKKGELLDSRLNELFVKTEMLCLSVDDMNDLGDLDVKSSRFPQPSSFKILRVLVVSMCAELRYLFTIGVAKDLSNLEHLEVDSCDNMEELICSENAGKKTITFLKLKVLCLFGLPKLSGLCHNVNRIELLQLVELKLSRIGNITSIYPKNKLETSCFLKAEVLVPKLEKLSIIHMDNLKEIWPCDFRTSDEVNLREIYVNSCDKLMNLFPCNPMPLLHHLQELQVKWCGSIEVLFNIDLDCAGEIGEGGIKTNLRSIEVDCLGKLREVWRIKGDQVNSGVNIRSFQAVEKIMVKRCKRFRNLFTPTGANFDLGALMEISIEDCGGERGIFNESEKSSQEEKQESSEAGGVFWSLCQYSREIEISRCNALSSVIPCYAAGQSQKLQVLKIKFCNGMKEVFETQETSSNKSGCDEGKGGTPTPAIPRINDAIVPKLPYLKILEIVSCEGLEHIFTFSALESLRHLKKLKIWNCKAMKVIVKREEYASASSSKKVVVFPRLKSIVLKALPELVGFFLGMNEFRWPLLDEVVIEKCPKMIVFASGGSTAPKLKSIKTTFGIYSVDQHGLNFQTTFPPTSERTPWSFHKLIELDVKHSHDVKKIIPSSELLQLQKLGKIRVSGCKMVEEVFEALEESGRNRNSSSGRGFDESSQTTTTLINPPNLTQLELVGLDRLRNLWKRNQWTVFEFPNLTRVEISECDRLEHVFTSSMVGSLLQLQELCIKDCGHMEEVIVVKAEEESDDKTNETLVLPRLNSLTLKSLPRLKAFSLGKEDFSLPLLDSLAISYCPAMTTFTKGNSTTPQLKEIEINYNSFYAGEDINSFIKMNKR >cds-PLY61740.1 pep primary_assembly:Lsat_Salinas_v7:5:216707172:216707720:1 gene:gene-LSAT_5X100381 transcript:rna-gnl|WGS:NBSK|LSAT_5X100381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISTLIILLSDTDSATVSAAWEALSRVVGSVPKEVLPSYIKLVRDVVSTSRDKERSKKKGGPIVIPGLRLPKALQPFLPIYLQGLIRGSAELREQAAQGLGELIEVTSEKALKEFVIPIIG >cds-PLY76355.1 pep primary_assembly:Lsat_Salinas_v7:6:170727730:170728134:-1 gene:gene-LSAT_6X104580 transcript:rna-gnl|WGS:NBSK|LSAT_6X104580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSGRAERNWSPNYGRHMDENHSNGVTNEDMAISLLQTQTELSLIREDLQDQLRELRQTVNRHLDALNLEVDDVRAGQMDISHMVADLKNHFVSLQGAYVKMVFKDNKRKKLMSCVGIFGVVCASVVTYLVFK >cds-PLY75500.1 pep primary_assembly:Lsat_Salinas_v7:9:32093299:32093526:-1 gene:gene-LSAT_9X28881 transcript:rna-gnl|WGS:NBSK|LSAT_9X28881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKYIEILDLGVRMAARFHSHCPQTARLYYHPPSNSLESSCRSHAPPALEESGKIRRFQASMSFNTREIIFSSAM >cds-PLY99866.1 pep primary_assembly:Lsat_Salinas_v7:4:47567568:47567798:-1 gene:gene-LSAT_4X32741 transcript:rna-gnl|WGS:NBSK|LSAT_4X32741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLILNLHLEQIGKTPEETLSLHLHRGPPAPPLLFSLKPTSNANIILAATSIVTAIALILQLISSPVLVSKKRDPL >cds-PLY80259.1 pep primary_assembly:Lsat_Salinas_v7:6:124029467:124034922:-1 gene:gene-LSAT_6X74641 transcript:rna-gnl|WGS:NBSK|LSAT_6X74641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTTSIHKNFKYDVFLSFRGEDTRKNFVDHLYRALWQKSIYTYKDDEEINKGRLISDELIGSIKDSRFYIIVFSKNYASSSWCLNELVQIMECQKMTEHTAYPLFYDVEPTEVRKQIGAVGEAFVKHENEEDVEKWKEALKEAAALAGWELKNTSDGHEAKFIEKIVEDISNKLPSINLSVDDELVGMDTRVKEVLSYLETDIDEVRMIGIKGMGGSGKTTLARAVFDHISFRFDGKSFIENVREVSKASSFGLTDLQKKILTDVLNKDRNINISGVGNGKNSMKKMMHGKKVLLVLDDVDHIDLVEALAGDLNWFEPGSRIIITRRDEHVIARRANWLLNVNLLSEEEAILLFSKHAFRRESPKQGYEELSKKVVLYADCLPLTIRVLGSFLCDKDEREWISTLERLKEIPLKETLQQLELSYDALEEDYKENFLTVACLLKGWTRDLAIEALESCGFHRIGLKVLEQRSLVSYTGCKLVDMHDHIEEMGRNIVHREHPDEPEKHSRLWIDEEIQNIFANDLVLKKLKFLDLSCSMVTTLDLELIPNIESLSLGECRGLVLIDMLNQCLNLISVDFSHSQLKTVDLRSAIKLELLKLEGCCDLVELHLPNGCQKLKQLYCTESKLMAIDLQLAPNLETLILKKHRDLVTLHIANNCQKLISLDVSYSKLKTLELGLTPNLKSLNLEGCCDLVQLHLTNGCQKLKKLNCTKSKLMVLDLQLARNLEMLMLEKNKGLATLHIPNNCRKLISLDISYSKLKTLELRLTPNLKSLNLEGCCDLVELHLPKGCQKLKQLHCTESKLMALDLRQAPNLEMLILRKNRDLVTLYIAKNCQKLISLDVSYSKLKTVELGLTPNLKSINLEGCFDLVELHLPNGCQKLKELHCTESKLMALDLQLSPNLETLILEKNRDLVTLQIAKNCQKLISLDITYSKLKNLDLGLTPNLKILNLEGCCDLEELHLPNACQKLKQLHCTESKLMALDLQLAPNLETLILKKNRDLVTLHIAKNCQKLISIDVSYSKLKTLELGLTPNLKNLNLEGCCYLVELHLPNGCQKLKKLQCTESKLMALDLQLAPNLETLILKKNRDLVTLQIAKECQKLISLDVSYSMLKTLELGLAPNLRSLNLECCCDLVELHLPNECQKLKELICTESKLMALDLQLAPKLKTMILKKNRDLLILHIAKNCQKLKSLDVSYSKLKTLELGLTPNLKSLCLEGCCNLVELHFPNECQKLKKLNCTESKLMTLDLQLAPNLETLILEKNRDLVTVHVAKSCEKLISVDVSYSKLKTLELGLTPNLKSLNLEACNNLAQLQVPNGCQKLKQLHLTESKLMALDLQLAPNLKTLILKKNRDLVILHLAKNCQKLISLDVSYSMLKTLELGLTPNLKSLNLEGCCDLVELHLPNECQKLKKLHCTESNLMALDLQLAPNLETLILEKNRDLVTLHIAKNCQNLSDISVAWIFRCINT >cds-PLY91061.1 pep primary_assembly:Lsat_Salinas_v7:5:171570142:171570546:1 gene:gene-LSAT_5X76220 transcript:rna-gnl|WGS:NBSK|LSAT_5X76220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYNLFIFAILTYPIASTAFSCAFTPKWNISVISAVPDDLVFHIKSGDDDLGNHTIPFVGIYSWGFCEKVGGGTLFYAYFWWGSKFQSIDLFDGAIKKICYLGGSTQRCYWFVKPDGFYVNPYPSGGGTFIKGWG >cds-PLY72629.1 pep primary_assembly:Lsat_Salinas_v7:6:48141955:48144587:-1 gene:gene-LSAT_6X35101 transcript:rna-gnl|WGS:NBSK|LSAT_6X35101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGELITNCLMLILGYAYPAFECFKTIEKHGVENGELRFWCQYWVIVAILTVFERIGDIFISWVPMYGEMKLALIIYLWYPKTKGTWYVYEAMLRPFVERHETDIERSLKELRSKAWDVAIYYWHNSTELGQTKIFEIFQYLVDKPSSRPEVLFQNHGNSGEGRPPPPPVTPASFFRSEPAEERWVPTAPPAPATPNSSRHHPVYAEELHLPPSPSRSGSNSGQREPVWLRFRRSRGL >cds-PLY71661.1 pep primary_assembly:Lsat_Salinas_v7:5:128330798:128333441:1 gene:gene-LSAT_5X56460 transcript:rna-gnl|WGS:NBSK|LSAT_5X56460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:Projected from Arabidopsis thaliana (AT4G31300) UniProtKB/TrEMBL;Acc:F4JRY2] MDRDATADVNAPHSMGTTIIGVTYNGGVILGADSRTSTGLYVANRASDKITQLTDNVYICRSGSAADSQIVSDYVRYFLHQHTIQLGQPATVKVAANLVRLLSYNNKNMLQTGIIVGGWDKYEGGKIYGIPLGGTILEQPFAIGGSGSSYLYGFFDQAWKDNMTKEEAEQLVVKAVSLAIARDGASGGVVRTVIINSEGVTRNFYPGDKLPLWHDEVEAHDSLLDILNAANPEPMST >cds-PLY62060.1 pep primary_assembly:Lsat_Salinas_v7:2:57145002:57150264:-1 gene:gene-LSAT_2X25861 transcript:rna-gnl|WGS:NBSK|LSAT_2X25861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMESRHAYQVAQMYMVTKMQQQNTNNTEGVDVLESRNFEDGLFGKGRYTSKVYRLQSKAPTWLSTFAPTDALTMQEEAWNAYPKCKTVIKCPYFSSFTLTVETIHKADNGHSENVHGLNKQKLANREVEIIDIASTSDDYWSYVIGSNNVDFSKFQSARTGRGPLLQGWQDECKPVMTAYKLVTVDAPYWGFGSRLEQALIAGERALFLESHRNIFAWIDEWYGMTMKMMRDVEKQSIPLYHEVNISTPHPEMTILPL >cds-PLY80196.1 pep primary_assembly:Lsat_Salinas_v7:8:191140158:191143164:-1 gene:gene-LSAT_8X124621 transcript:rna-gnl|WGS:NBSK|LSAT_8X124621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVEFLLGNLKQLLVYNANLISSVKDQVDSLCNDLRLLAAFVKETTESRSKHAIVKELVRRIRIEVYKAEDIVDMYVFHASIQKSRSSFERAIHFTDYPLKLRTVGKEIEDIRRRVKEIYDNKLFANEVFRKTESFTRSPPSVEEENVVGFEKEAENVVGFLKAETEELEVISVVGMGGLGKTTLVKKVFNDSSIQYEFFIRSWVYVSQVYSRREVFSKILYDVTRENKDTSKWSVDTIAEELHRQLKDGRYLIVLDDVWTKTAWNDLKMVFPNTKNGSRILLTSRNKDVALHANTNLPPYQLRFLTDEESWELLEKKVFPKGSRCSSELEILGKEIAKKCYGLPLALVVIAGILRKKDKTRGWWEKVKEKVGTYVAMESEQCMDVLALSYNHLPYDLKACFLYFGVFPEDFEIPVWKLIHIWVAEGFIQRIGDASPEEMAEENLQDLVDRNLVLVEKRKADGGMKTCRIHDMLHDMCIRQAEEENFFKDIKGLEPSSYVSIDPNVFRRLCVHSRVIDYISSKPDASHVRSFLCYSKEEKALPNDLITYFPGSFKLLRVLDVRSINFPRFPNIQLVHLRYIALVGNFKVLPSAISNLWNLQTLVVETSSRSITVQADLWKLLQLRHVYTSAASDLPTPSSKSSRKTVTDPLVNENLITMSKVSPSSCTDVILTRTPNLQKLGVRGKLLLLLEEKKGSCKFDNITRLTHLENLKLSNDTYPTPPLDGKLRALPEWYKFPSKLKKLTLSDTMLDWEHMSVLGKLRGLEVLKLGDNSFMGERWVTPDGSFIQLRVLQIGKTDLVHWEASGNQFPQLQRLSLKHCEQLEAMPSGLGDMSTLQVVELSWICRSAVASARLLQKNFKLLVFPPDQENQS >cds-PLY99425.1 pep primary_assembly:Lsat_Salinas_v7:4:103145292:103145800:1 gene:gene-LSAT_4X65701 transcript:rna-gnl|WGS:NBSK|LSAT_4X65701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCLGLSEAKLQQIEGHGLSEAEVQQIEDVDVAMLQDVEIASQITVEELPTNQALGDEERMNEDDGIDEPGMGEVMMNEERMDGEREIPSTQQLNQVRRRPTKRSKVNQVRRRKPSERITDIKLQKVVAVKNGKGMSSSNPLSLD >cds-PLY95032.1 pep primary_assembly:Lsat_Salinas_v7:5:227843446:227844128:1 gene:gene-LSAT_5X108040 transcript:rna-gnl|WGS:NBSK|LSAT_5X108040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAASASFRWILQLHKDVPKAAKFYKEGLDFTINVCTLREIEVQKGYSSLLSFSVTDINNTVTKLMALGAEMDGPIKYEVHGKVAAMRCIDGHMLGLYERV >cds-PLY72016.1 pep primary_assembly:Lsat_Salinas_v7:8:237016826:237017656:1 gene:gene-LSAT_8X143900 transcript:rna-gnl|WGS:NBSK|LSAT_8X143900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLLSLSLSLLLSLFFFISIDAQSSNSIYPNCPSYNCGNINISYPFWRIGSETSTQFCGYPGFGINCSSNGDQRDIPIIKLEYDSYYIQNITYGSRSIVLQDYDVFTAVDCPRVNHNISIENLHLNFSDQNVNLSIHFNCNGVPHFAHEIPCLSSRTNKSCVNSVHSEPPDFNWDEYSCDDDVIVTTVLDVFRSINELGIVFIRALRGGFELRWWEIDDCEMCENSDGRCGYNNGTKEFMCFCSNGTTTKGDCKGKNY >cds-PLY74853.1 pep primary_assembly:Lsat_Salinas_v7:8:106982925:106987781:1 gene:gene-LSAT_8X73200 transcript:rna-gnl|WGS:NBSK|LSAT_8X73200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSELGRRPMISGGGDGSFGDEFEEIGLLLREQRREADDREKELNMYRSGSAPPTVEGSLSAVGGLFNTNHGQNNGLPFSEFAGGNGFTSEEELRADPAYLSYYYSNVNLNPRLPPPLLSKEDWRFTQRLQGGGSSGLGGIGDRRKANKTDGGGGSGSGGGGMSLFSMPPGFNSKKQENDNSDAEKVKVSAEWGGDGLIGLPGLGLGSKQKSLAEIFQDDLSRSAPHSGHPSRPASRTAFENPDETLSPGDAELAQPHGAKGPPTSYSYAAALGASLSRSTTPDPQHIARVPSPIPTPIGGGRVNPPDRRNPNSPNIFNGPTSHPKEQSDLVNALSAMNLSNGVIDEPNSDDQNAFYHRNQKNNKNNNLYFDGSSSNLQFDGGYSINSPQMMSNQHGNINLPPLFETAAAASAMAYPGMESRFPLESQAMNRMGNQMTGNALQASFMDPMYLQYLRSTEYAAAAQIAALNDPTIDRNYNLGNSYTELLQKAYLGSLLSPQKSQYGGVQYVGASASPHHHGYYGNPGFGVGLSYPGSPLASPLPNSPGGPGSPIRLGELNTRYSPQMRNLGGGSGVMGHWHLDGGDNTFASSLLEEFKSNKTKCFELSEITGHVVEFSADQYGSRFIQQKLETATTEDKNMVFQEIFPQALTLMTDVFGNYVIQKFFEHGMQGQRRELAGKLMGHVLTLSLQMYGCRVIQKAIEVVDMDQKIKMVEELDGHIMRCVRDQNGNHVIQKCIECVPEDHIQFIITTFYDQVVTLSTHPYGCRVIQRVLEHCEDPKTQSKVMDEILNCVSMLAQDQYGNYVVQHVLEHGKPNERSIIIQELAGKIVQMSQQKFASNVVEKCLTFGDASERQLLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCSDQEREHILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIAAQLLHAT >cds-PLY78508.1 pep primary_assembly:Lsat_Salinas_v7:4:124179229:124182348:1 gene:gene-LSAT_4X78801 transcript:rna-gnl|WGS:NBSK|LSAT_4X78801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFVDFKELQEKLSTQFRPWQRSFQFWARAADIYAGYKLFQVRVSFEKDVEKAEVMWERHHEHAADKLYSMCYDMGGFFLKVAQVVGKPDLAPTAWVRKLVTLCDQAPKTPSNVVRLLLEKELGKSIAEIFERFEWDPIGSASIAQVHRARLKGDKKDVVVKVQHPGVQDLMMTDLRNLQAFALCLQKTDLKFDLFSVCKEMEKQIGYEFDFTREALAMDRIRTFLSENNKKMLVKVPRVIRDVVTRRVLVMEYIDGIPILNLKDEIMKRGINPDGKIAVAAKQNILKSLSLAYGQMILKSGFLHADPHPGNILICKGSQVALLDYGQVKELPNSLRFGYANLILAIADNDHIRASEGLRELGINTLSLCDNEKEELFKLAQVMFDTKLPPGVKMLQPYAEDATLKKVAVQAFPEELFSVLRTVHLLRGLSVGLGLNYSCAEEWRPIAEEALYHSGRLKGLIVPYKSVMRVTLSY >cds-PLY82709.1 pep primary_assembly:Lsat_Salinas_v7:2:146873076:146876810:-1 gene:gene-LSAT_2X73061 transcript:rna-gnl|WGS:NBSK|LSAT_2X73061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDLFSAASTPILKVLIVTALGSFLALDSIDILGQTTRKQVNNIVFFVFSPALVGNNLARTITLESIISMWFMPVNILITFIIGSALGWLLLIITKPPKHLKGLILGACAAGNMGNLPLIIIPAVCKEKGSPFGDPDVCHEYAMAYASLSLAIGAVYLWTYVYNLVRVFSDHPQDSDNNVVKETETMKEDLTETLLPSSSSTVNTKGTLKVILDKMKQHLGNFSRRVNLKALFAPSTNGAIVGFLVGTIAPMRRLLIGTTAPLRVIQDSASLIGDAAIPTMTLILGANLLKGLKGSSRVSLPIVLGIVAVRLVLLPLFGILIVKGALYVGLVHADPLYLFVLLLQFALPPAMNIGTITQLFGVGESECSVIMLWAYGLASVTLTLWSMFFMWLVA >cds-PLY91380.1 pep primary_assembly:Lsat_Salinas_v7:8:45463504:45465709:1 gene:gene-LSAT_8X34760 transcript:rna-gnl|WGS:NBSK|LSAT_8X34760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPYDNFGSFFGPSQPVIAQRVIQESKSLLENPHLAERVPKAKNILPQQNKGSSSAPTGSKPRPNEAPRKVDTWAKPKAKIQMLKNTRDYSFLLSDDAELPIPPKNPPKPSSAPKPDAHVSPRPPVNNGGRKVINGREERRPGPTSNQTRVRPPPPGQQQSQRPSGSSKMTSSSLDSRKQLGSGPGRPSGQKPAMPSKMPPVAAKQKVIPPPVSRSIPTGHNHRPQTSNSRPLPPVTQKKPLDQKRGLQLQSQSQSQQRRDDRGYENRRVDDRDRDRDRDRGGLGLQSSKGNVVRKPILSSKPQMKQQQQARLSTSGQQRERAQKRPSRPFEDDDDDDTAKAFSMMRSMLKYNPKNYHGDDDDDSDMEAGFDDIMREEKRSAWIAKKEDEEELKKIEEEERRERMRKKRKQSQR >cds-PLY81193.1 pep primary_assembly:Lsat_Salinas_v7:4:345320715:345329342:-1 gene:gene-LSAT_4X170601 transcript:rna-gnl|WGS:NBSK|LSAT_4X170601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNFTIKVFGSFSNFKTGIHDPITSNALDMKLRDELEHHNKMRLGYDRLTLEVVRSRLLLNTLDFAATLLIATSGHLHYRYKYFDPVGDGHRKGDECSSVYVVGASCGVMIGSIMGLENPARFGDNKEMFTVMDEVMAASHQFNSQSSSGLGGAPPANPNTATSAPKQNLIHLQLSQQMSTSSKDSANNYSKVDEIEQQQQQIHQQSVTSDDTTPNPTQSPVLNKNTSNEEDSKVTYAIHMQGSGTMTKAAQSRRDVDLSPGHPLQSNQLVSLGVTGRRSMSDLGAIGDNLSGLAMSLGRTHNEQYNLLML >cds-PLY76249.1 pep primary_assembly:Lsat_Salinas_v7:4:55562809:55564122:-1 gene:gene-LSAT_4X37701 transcript:rna-gnl|WGS:NBSK|LSAT_4X37701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANQLIKPPNNFTKSLKNLYFIASNLQSMTTSKRVQDRSQKKRVHALEVAVEKHKIASKILFLLELLKHEPEQIIPIRSLDQHRRPLNLPKPHKISDFIRKSPKLFELYKDQKGITWVGLTKKGEELIEEEERLITENEEKAVEHVTRLLMMSVEKTLPLDKIAHFRRDLGLPYDFRKHWVHKYPEIFRVYKNEDEIEFLKLVSWNPNWCITEVEKKVLGITKADDYTPGVLSLPFPMKFPPDYKKVYRYKGQIENFQKREYLSPYADAKELKAGSVEFDKRAIAVMHEVLSFMNEKRLVTDHLTHFRREFVMPQKLMRILLKHFGIFYVSERGKRFSVFLTEAYEGSELIEKCPLVVWKEKVVSLTGYRGRKKKIETFDDFDDLEDDDLFESDSDDEKTIRIDQEDDMMSSDVEDDLIPECSEMDISEVQKAYTEK >cds-PLY70195.1 pep primary_assembly:Lsat_Salinas_v7:9:1703916:1706417:-1 gene:gene-LSAT_9X3860 transcript:rna-gnl|WGS:NBSK|LSAT_9X3860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNDREPMEGGGSSEMEFADLEVAADSIDASVMFHLVMDILGFVLYMHQQIPSILQDVNLEFDALHTEYKDLEVVLAETDMKASQRRVQNGRKREVKHGIKRLQKLMNNISNAQTALKLVFSEIPHVEAVILVLGGSPARPQHVYELCFSHGQDTLSDACDFTKTKAAEGISRKAIRTLVSRGAGSASYGGPSKLFLLIKAHSSFNAPLHFLPKRDFRYNKKIVPMRLRIKCRNQDHEIPALSSDPQPADSNNMMPSTSNDYIWFQCRHVIKGLVCKTSPEE >cds-PLY71290.1 pep primary_assembly:Lsat_Salinas_v7:3:192549235:192549774:1 gene:gene-LSAT_3X114861 transcript:rna-gnl|WGS:NBSK|LSAT_3X114861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFLQQQSRSFFLHASNDRLLFVMHDLINDLATSVAGDFFSRLGIEIKKEIRKEALEKYLHMSFVCEDYMVYKRFEAFKRAKSLRTFLGVSFEVKENWETFYLSNKILTNLLHELPLLRVLNLSHLSISEVPEFIGSLKQLQYLNLFRTSITHLPENICNLYNSQTLIVFGCHGLIK >cds-PLY77424.1 pep primary_assembly:Lsat_Salinas_v7:3:147209435:147211293:1 gene:gene-LSAT_3X94961 transcript:rna-gnl|WGS:NBSK|LSAT_3X94961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVGIGNGIGIGIGIGESRDLMTQLVKMGANGGSGSRNTSPMGRRVGSRNVSPSKQKAIKTKPRGLDEETIAKFAKSPQPDVQMEDDIWAMLPEDLLNEILARIPPFMIFRLRSVCKRWNSILLDSAFLQFHSQVPSHGPCLLTFYKTSINSQSQTPQCSVFSLPLKQWYRIPFTFLPQWAICLIGSSGGLVCFSGLDGSTFKTLICNPLTQTFQILPLMHYNIQRQLTMVVDRSQRTFKIIAANDLYGDKSLPTEVYDSRFGQWSVNQTMPAVNFCSSKMAFCDSRLYLETLSPIGLMVYRVDTGYWEHVPAKFPRSLLDGYLIAGVKKRLFLVGRVGVYSTIQSMRIWELDHGKVMWVEVTRMPPRYFRGLLRLSAERFECFGQDNLILFTSWNQGKGLLYDVDKKVWSWIAGCGLRLYNGQVCFYEPRFDASIY >cds-PLY81778.1 pep primary_assembly:Lsat_Salinas_v7:3:33470980:33472016:-1 gene:gene-LSAT_3X25020 transcript:rna-gnl|WGS:NBSK|LSAT_3X25020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWKEDTTTTVMVRHNSGSRFEIPTTDVSVIAGGQRLRHGGVVGGDVTSGEAAVNGGGCGGVHQKWLKEVFINIP >cds-PLY94235.1 pep primary_assembly:Lsat_Salinas_v7:8:230554494:230557210:1 gene:gene-LSAT_8X139701 transcript:rna-gnl|WGS:NBSK|LSAT_8X139701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGFAGKWRKLSGEDNWNSLLEPLDIDLRRYLIFYGERVQANYDTFILDRRSRYTGASKFTKKQFFSRLGLDKGNPIKYNVVKYIYATSTSPTAPQSFLLKSLVKDPYLGQSNWMGYIAVSSEKSKPLLGRRDIVISWRGTIQISEWIENFDFPLKPTTKVFPTSTCALAHSGFLSIYTSSHPNSRFNKTSARDQVVSTIKRLVERYKDEDVSITVIGHSLGGALATLTGGDIAVNGYNKPTSRPNKSFPVTVFAYGNPILGNICLRELLHKQENLHILRTVNMIDFIQFLPPLIGYEHIGHKLVIDTRKSPYLKAIESYAKRHNMEASYLHGLAGSHGVDKEFKLVITRDLALVNKRTNLLKDEYLIPNHWWTQQNKGMVQLSNGSWKLHELKGYPPQNDEIEYDDKDDDDDEDEIEHDDNDDEEEDEIEHDDKDDDDEDEINDDDEEDEIEHDDKDDDDEDEINDDDEEDEIEHDDKDDGEDEDDKDRDEARVQISL >cds-PLY92641.1 pep primary_assembly:Lsat_Salinas_v7:2:160122055:160124180:1 gene:gene-LSAT_2X83661 transcript:rna-gnl|WGS:NBSK|LSAT_2X83661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLESCYKPLERCFGGGGGDGLLWNMDLKPHASGEYSVAVVQANSLLEDQGQVLTSPSATYIGVYDGHGGPEASRFINSHLFRYLEKFADERGGLSKDVIAKAFDATETEFLHLVKESWLDRPQIVSVGSCCLLGVISNGTLFVANLGDSRAVLGRQASGGGRMVHSSTVVAERLSTDHNVGVEEVRKEVKALHPDDAHIVVYTHGVWRIKGIIQVSRSIGDVYLKKPEYNRNPLFQQYASPFPLKRAVMSAEPSIITRKLRPQDLFLIFASDGLWEQLSDEDAVEIVHKNPRNGIAKRLIRAAIEVAARKREMRYDDIKRIEKGVRRHFHDDITVVVIYLDGGRGKCKSHSHDDITGDTTAPLDIFTFNSDDNSHLSP >cds-PLY85748.1 pep primary_assembly:Lsat_Salinas_v7:1:47593110:47593900:1 gene:gene-LSAT_1X41181 transcript:rna-gnl|WGS:NBSK|LSAT_1X41181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEENTKAASAKELLRKIQELETLESHLKQKISKLMLSGNQKKPEHQWSNSAYGGPDGAHKLRIMEPLAMKLTETQFLNIIQSMDLAIHIYGLDLRIFFWNQAAEKLYGYTPVEAYGKTPTELLVDPKDGSLSDYLLERTINGESWSGEFPIRNKKGERFVVMGSNSPFRNEIGGLVGATCVSSASSPYHVKKHARLGFDSQQPQHTSIASKISNLVSISYY >cds-PLY69508.1 pep primary_assembly:Lsat_Salinas_v7:6:42986948:42987948:1 gene:gene-LSAT_6X32781 transcript:rna-gnl|WGS:NBSK|LSAT_6X32781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKYLVSKTEFHVLILGIDKDGKTIIISLLFHYCILLFDASCPSRFEDFKFVIEKLLMHEDLQGALLIILANKQDLVDAVSIEELVQYLDLKKLDERAYTFEVASGYDG >cds-PLY90102.1 pep primary_assembly:Lsat_Salinas_v7:6:17506994:17507545:-1 gene:gene-LSAT_6X13161 transcript:rna-gnl|WGS:NBSK|LSAT_6X13161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKGGTSSVYRGVRKRKWGKWVSEIREPGKDSRIWLGSFETPEMAAAAYDTAAFYLRGGDVSKLNFPERVNELPRPICSTAECIRMAAQEAATRFRPLVMPEYGHDQNGSGSVSGHMVPVNIGLSPSQIQAINDSPLDSPKFWMELSDVFIAEQKLYFCNAAANFDHTGDWGEIPEYPLWDSY >cds-PLY88527.1 pep primary_assembly:Lsat_Salinas_v7:8:295609700:295611827:1 gene:gene-LSAT_8X163520 transcript:rna-gnl|WGS:NBSK|LSAT_8X163520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAKRIGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLIRLMEGEHVGPFNLGNPGEFTMLELAQVVQETIDSEAKIEFRPNTEDDPHKRKPDITKAKELLGWNPKVPLRKGLPMMVSDFRQRIFGDHKTTTTATST >cds-PLY96156.1 pep primary_assembly:Lsat_Salinas_v7:3:99230654:99231387:1 gene:gene-LSAT_3X74241 transcript:rna-gnl|WGS:NBSK|LSAT_3X74241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSSILPFTKSIATSPHIFTSHHNHHHLRPSTLLLTRSSSSGSDNTTVDDGAANSSPPPSDKDTVQIKFKRGARRKTRRNQENGFDDNAKMMAKKEVVKKDWESMTLTEKALELYVGEKGLLFWINKFAYASIYIIIGAWILFRFVGPALNLYQLDAPPLSPTDVLKGSSPK >cds-PLY92262.1 pep primary_assembly:Lsat_Salinas_v7:2:209724484:209725000:1 gene:gene-LSAT_2X130140 transcript:rna-gnl|WGS:NBSK|LSAT_2X130140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSFFISATSSSQTTLTLVKVKCKRYIIWFRVENNQLPVDGERKPMALAKATSCSEAAVNNVVVAPIQTSKGVNVATLVAIVRTAMLSRLYLVTRSRSRRSIVHLFIKKVFHHFRSSKNLLLIVGQFKCEKWIPKMKVEDGDDG >cds-PLY96543.1 pep primary_assembly:Lsat_Salinas_v7:5:337435323:337437169:1 gene:gene-LSAT_5X189561 transcript:rna-gnl|WGS:NBSK|LSAT_5X189561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLTQGYKLLQNLKEVGSPELESGAVNAALDLYDVIAFHCLFQISIPSFSHTPSNSLHVIHCCGIAFSQMLQSQNALDVFLQLPHKQYNTGWVLSQVGKAHFELVDYLEAERAFSNARLASPYSLDM >cds-PLY96158.1 pep primary_assembly:Lsat_Salinas_v7:3:97840606:97845577:1 gene:gene-LSAT_3X73081 transcript:rna-gnl|WGS:NBSK|LSAT_3X73081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIGLDDSLEDERDLDQIMADRRAAEIELDTMEGVASREKLPHHLNDRGVLLGAGLYGEKSASSLADGIKNQIPTDVQSSSSSSSSSPSGHQRKPLKPLSPWITNLLLVAPLGIRSDYFHWCGGVMGKYAAGELKPPFLECLEEVDITIIESVASKGAVCLDGSPPAYQLDRGFRDGVNNWLVHIQLNIPPSIVNLSNLSWLDLSGNKLQGPIHVSNETAPVLVLTGGFDGNSMKSLLDADEVNASHVSPCDIVAVIRPATYKAGIACEELDQKYVMKDNFEMSLSVTFSENGNETNIYLGRVMPHHERTYMRDSRCEKHVVKIKVEASCEVHKWALAKKLPDYSVTYLPFQLMTFDFKGVDILIGSYF >cds-PLY76125.1 pep primary_assembly:Lsat_Salinas_v7:9:30324971:30328264:1 gene:gene-LSAT_9X28021 transcript:rna-gnl|WGS:NBSK|LSAT_9X28021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMGGSSGSSESQLLKIGLQFGKEIYFEDVGVGAQVKSDDGLSPASGGDAAGGPQKKGRTAGGVVSGFGQQQPPRCQVEGCNLDLSDAKSYYSRHKVCGAHSKTAKVIVNGLEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPSLLSTRYGTVSSSIFENNGNSGGFLMDFSSCSRGRIQWPGTRAAPPPRAAIDLPIAGEKFPPLPWQSNLDNPPPYVPPGGCFNGVHEDSNCALSLLSNHSSGSRNQSLSHEYYINPEAGAYVHQLHQPTTGTGAGSGTMVEVHYSTTATGWVYETHDAHLGLGHVPQSGGGYSGEVGLGLHGGGRRYDSSVDHIDWSL >cds-PLY75917.1 pep primary_assembly:Lsat_Salinas_v7:9:198981468:198983366:1 gene:gene-LSAT_9X122920 transcript:rna-gnl|WGS:NBSK|LSAT_9X122920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTQNQSFSSSHPFERSSFCNSTYPSILHLSSHTYRFLKDLEIGIKEDSNYVINDKFIILLTGFANRNQRRTAQLFFLPVRQGKLNKDGNMNIMGYVTFHGWGLEDQVILKADIWHD >cds-PLY80329.1 pep primary_assembly:Lsat_Salinas_v7:7:154812894:154814284:1 gene:gene-LSAT_7X91840 transcript:rna-gnl|WGS:NBSK|LSAT_7X91840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNVNQKPQPNSQTLQQIEEEESASSTFTCEICIEPVTLPNKRFKNSNRCVHPFCTDCMIKYIQVKLEDNVSDIKCPALTCDHSLEPLSCRPKIAHQLFDKWCDVLCESAVLGLDRVYCPNRDCSALVINECGGEGNLKRCVCPNCKKAFCFRCKVPWHAGYRCEESGEMRDRNDIAFGVLSERNQWMRCPMCRHCVELVKGCAIVKCRCGIEFCYKCGKKVDHHWCNCRRSSTFCMWIFHLCIVILVLWPFFLLFTAITRKGHH >cds-PLY69037.1 pep primary_assembly:Lsat_Salinas_v7:9:141847606:141850881:-1 gene:gene-LSAT_9X91481 transcript:rna-gnl|WGS:NBSK|LSAT_9X91481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTLPIHGSSKYDIFLSFRGKDTRKNFVDHLYHALQRQGIYTYKEDKKIMKGKRISDELIRSIEDSKFYLIVFSRNYASSSWCLDELVKIMECHNDKTTEHTAYPVFYDVTPTDVRHQRGDVRKAFAKHKNKETAGKWREALKEAADLAGWELKNTADGHEAKFIQEIVEQVSLELCSINCNFDEKLVGMEMRVNDLVSSLEIGIDDVRMIGIKGMGGGGKTTLARSVFDGISFQFEGASFVENVRETSSTSLSGLKSLQCQIVSDVSDDKDISIRGVHEGKSMMKRRMRGRKVLIVLDDVSHINQLEALAGECSWFKPGSRIIITTRDEKVLLAHKVTLIRDVNLLSNKEAICLFSRYAFGSEIPIKDYGELSEKVLRYAAGLPLTIKVLGSNLCGEDKPIWKDALERLKSIPLSETMKILELSYTVLEDDHKEIFLDVACIMKGWSKDKAIQALESCGFHAISGLRVLQQKSLITIDARGDQEYVRMHDHIEEMGRNIVRRSHPNMPEKHSRWWKNDEIEHILANDLSTEETRCVHFHIQKLDPYILIKCFGKMKALRFLSIVMKDCSRNLELNTFIPSFPDALQYLRWTNYPFRCFPKTCQANNLVTLEMPESKIVQLWEGGERKLLDKLRFLDLSYSKLKTLDLDLTPNLETLNLGNCCDLVELTDAISMMEHLKSLKVNGCLLLEKLPDDLCRLGCLEKLNLSSTNIKHLPDNIFMLKNLKHLKLDECKLLEKLPEDIGEVKCLKKLSLSSTKIKRLPDSICMLKHLRKLKLDDCESLEKLPEDIGELKCLECLDLSCTKIKHLPDSICSLKHLRDLKLYYCESLEKLPEDIGKLKCLEFLDLSFTEITHLPDSLCKLKYLSDLILNCCFSLEKLPEDLGELEYLRELHIKGICIDLPQSIHLLNGLCIYGSRWLLESFDFSSEIQPLEDEENFKGQDQYYKMLCYVEV >cds-PLY88079.1 pep primary_assembly:Lsat_Salinas_v7:6:182910381:182912680:-1 gene:gene-LSAT_6X110760 transcript:rna-gnl|WGS:NBSK|LSAT_6X110760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSGSRSTFSLERFLSQDTALAEDEDEDELNEYESSTQDEEYLRILLDRERDRNHIRSPVDDWIKTRAFFRLRFHTAYLSVIYIDRFLSKGLITSDKNWAIRLLSVACLSLAAKMTELKAPALSDFPADEYNFESNTIHRMELLVSTTLDWRMHSITPFNFISCFIPCFCNESSNREFVSLTTQILLATTKDINFVGYRSSTIAMAATLMVIDQNLTKESLEMKLKSTWLNRFLDHGDVYNCYCLILELEPVKVTVSKADLATGLENLPAGTKRKRLAFNECEKKQLP >cds-PLY87017.1 pep primary_assembly:Lsat_Salinas_v7:5:255048772:255050434:1 gene:gene-LSAT_5X127901 transcript:rna-gnl|WGS:NBSK|LSAT_5X127901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRLKEIKSLHFEKRWAFPIAMASIVSLFLFATCFNLGTVSSSYKTIFPSFNPRISANKTTMNFSEENIQSPPPSPPPLPRFAYLVSGSKGDLNKLWRTLQALYHPWNYYILHLDLESPPEERIELASRVENDPVFTAIGNVYIISKANMVTYRGPTMVSNTLHACAILLKKNKDWDWFINLSASDYPLVTQDDLIFAFRDLKRDLNFIEHTSHLGWKEHKRAMPLMVDPGLYQNTKSDIFWVQPRRALPTTFKLFTGSAWMILSRSFVEYCIWGWDNLPRTLLMYYTNFVSSPEGYFQTVICNSPEFIPTVVNHDMHYISWDTPPKQHPHVLTLNDTTKMIASGAAFGRKFKENSLVLDRIDKMLLHRKNGSFTPGGWCKGDPKCSKVGKPTRIKPGLGGQRLSQLIRKLLEQPKFNESQCR >cds-PLY79413.1 pep primary_assembly:Lsat_Salinas_v7:3:78602541:78603613:-1 gene:gene-LSAT_3X60920 transcript:rna-gnl|WGS:NBSK|LSAT_3X60920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPLIGAVLFGFFMCGLIAYRKQKKNSPQKPLEEESGDYFSITSFDGKVVYDDILKATNDFDEAYCIGIRGYGTVYKAELQPNNLVAVKKLHSSFENVDHNGSLNEIRALTNIRHRNIVKLYGYCSHARHSFFIYEYLEKGSLGSILRSDVLAKELDWLKRVNIVKGIANGLAYMHHDCSPPIIHRDISIANILLDSDYEAQISDFGTSKLLKLDSSNWTAIAGTYGYIAPELAYTMVATEKYDVYSFGIVALEVIMGKHPGELPTLSADYLVLANVRDSRIPLPSQQVEKQVNLVLNLSRACLNSNPQERPTMRQVSNLLMKA >cds-PLY70418.1 pep primary_assembly:Lsat_Salinas_v7:9:123991391:123994605:1 gene:gene-LSAT_9X83341 transcript:rna-gnl|WGS:NBSK|LSAT_9X83341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEEVSIEELSSNLSTYRQQLQEVRKLLKDDPGNSEYADVEKELVEVIALTEELLATAHEEAGNSEVGIGTSADLSPGFHHSGGTFQNDAVSSGMYDGHKLPIGAQVQAVYSEDGEWYDATIEAFTPNGYLVAYSGWGNKEEVDPANVRAIEEGIVDPLVEAEKEAEATKLALKRKIAQAATADVDFQSKSLPAKLRIEPEDPEDVKAAKRKKIHAFKSKMRKEQIEVTQNKRQNAWQQFQTTKGRAKKIGFFSGRKKESIFKSPDDPFGKVGVTGSGKGLTDFQKREKHLHLKGANAENTEE >cds-PLY93125.1 pep primary_assembly:Lsat_Salinas_v7:MU039154.1:1867:2274:1 gene:gene-LSAT_0X43980 transcript:rna-gnl|WGS:NBSK|LSAT_0X43980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKNSTIIIAKNLSECIKISNIYSPEHLIIQTKSPREILNYISNASSIFLGLWSPESAGDYASGTNHVLPTYGKSITNSSLGLSDFQKRILVQELTPQGLIKLSDVLEVLSSAEKLEAHRNAVKIRVDFLKRKI >cds-PLY84096.1 pep primary_assembly:Lsat_Salinas_v7:6:189873985:189874191:-1 gene:gene-LSAT_6X116521 transcript:rna-gnl|WGS:NBSK|LSAT_6X116521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLDVSLPNSGLKAEPHIKSRMKIWKNDFNIVHDMVYAYTEQTRVDSAGIRITVVLPLMLKFGMST >cds-PLY63157.1 pep primary_assembly:Lsat_Salinas_v7:4:311014426:311016074:1 gene:gene-LSAT_4X156881 transcript:rna-gnl|WGS:NBSK|LSAT_4X156881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKNMKKIVSIQTILPRKKKNTNDDNRLLITVNVVGSSGPLRLVVNEDDKVSTVMDSSLKLYARSGRLPILGSDFNDFMLYALTEGSECCKAKEDDTNMGVDVERVALELEDSLDRKRSAQ >cds-PLY75323.1 pep primary_assembly:Lsat_Salinas_v7:5:53041261:53046231:1 gene:gene-LSAT_0X34441 transcript:rna-gnl|WGS:NBSK|LSAT_0X34441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCALFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLGQMNTDFFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKIWRMNDTKAWEVDTLRGHMNNVSCVLFHSKQDIIVSNSEDKSIRVWDATKRTGLQTFRREHDRFWILGCHPEMNLLAAGHDSGMIVFKLERERPAFSVSSDSLFYIKDRFLRFYEYSTQKETQILPIRRPGSVSLNQGPRTLSYSPTENAVLICSEAEGGSYELYIVPKDSFSRGDTVQEAKRGLGGSAIFIARNRFAVLEKSTNQVLVKNLKNEIVKKSALPVASDAIFYAGKGNLLCRAEDRVVIFDLQQRLILGDLQTSFVRYVVWSNDMENVALLSKHSIIIADKKLTHRCTLHETIRVKSGSWDDNGVFIYTTLTHIKYCLPNGDSGIIKTLDVPLYITKIFGNTIFCLDRDGKNRPIVIDSTEYIFKLSLLKKRYDHVMSMIRNSELCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASAKEIDEKDHWYRLGVEALRQGNSGIVEYAYQRTKNFERLSFLYLITGNLDKLSKMMKIAEVKNDVMGQFHNALYLGDVKERIKILENVGHLPLAYATAKTHGLTDLAEELSVKLEHNVPILPSGKSGSLLVPPTPVLCGGDWPLLRVMKGIFEGGLDNVAQEEYEDAADADWGEGLNIADVDDTQNGDISVVVEEGEEQNEDNEEGGWGLEDIELPVDTDTPKTATIRSTAFVAPPPGMPVSQIWVQKSSLAAEHVAAGNFDTAMRLLTRQLGIKNFTPLKSLFMDLHTGSHSFLRAFSSAPVVSLAIERNWSESTIPNVRAPPALVFTFSQLEEKLKSGYKATTTGKFTEALRVFLNILHTIPLIVVESRREVDEVKELIIIVKEYVLGLQMELKRRETKDNPIRQQELAAYFTHCNLQLPHLRLALMNAMTVCYKAGNLITASNFARRILETNPAENQAKTARSVMQAAERNMKDSSELNYDFRNPFVVCGATYVPIYRGQKDALCPYCSSHFVVSQEGKICSVCDLAVVGSDASGLLCSPAQIR >cds-PLY83501.1 pep primary_assembly:Lsat_Salinas_v7:5:22826105:22831487:-1 gene:gene-LSAT_5X10920 transcript:rna-gnl|WGS:NBSK|LSAT_5X10920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEIKVKQEFLEPLRNNNGDSTRGKNVSEIAVIDLDSSDDDSSTSGNGVSNGKRSRVSGNGGDDEGHGDKRKKSGQGVVLPAGFLDPLPPKDVASRVANGTGVAAQSCKQFWKAGDFEQSAGDNWETSSGGMDHVRVHPRFLHSNATSHKWVLGAFAELLDNSLDEINNGATYVNIDMLTNKKDGNRMLLIEDNGGGMDPDKMRQCMSLGYSLKSKVADAIGQYGNGFKTSTMRLGADVIVFSRCSPKDGTRPTQSIGLLSYTFLRCTGKEDIVVPMLDYEKGVREWKNIIRSSSGDWDKNMEAMVQWSPFSSETELLKQFDHMKDQGTRIIIYNLWEDDQGQLELDFETDKNDIQIRGVNRDEKNIQMANKFPNSKHFLTYRHSLRVTYRPQPGADVVSKDTNIVAVVTMGFVKDAKSHIDVQGYNVYHKNRLIKPFWRLWNSTGSDGRGVIGVLEANFVEPAHDKQGFERTIVLSRLESRLLQMQKTYWRTHCHKIGYAPRISNSSLHDSETSPDYTPETSSRRKKASNKSASNKNQKIAGSSSGRPPMPAVNGLGGESTPLKTENIHEDDLQDPVNTSYTKSNPHYDYGNTMQIVTQSHSHPSNLPIFGENGSVPINDTTLDLDQLEEENRQLKEKLKRKEDAILGDLLHELENERARCKALEAQLEEVKEKCEALNKEQESIIDVFAEERERRDKEEETLRKKLKVFFFLPLFG >cds-PLY87582.1 pep primary_assembly:Lsat_Salinas_v7:8:112534383:112536937:1 gene:gene-LSAT_8X78740 transcript:rna-gnl|WGS:NBSK|LSAT_8X78740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENAFKLLLSCPSGLSSSQVSVKFDESYDRVPHPDIDLDDSISEIWDQKVQQSTSLFNGKKFRYGGHSGLEPHVCLHLGLTDYRTFMGTNLNPSWERFLVASEDDCKQCQHTSSPLGNGAIVQTSDNMILVLQRSNNVGEFPGYLVFPGGHPEPEEVGITPHKCENSELRNKNISQEMFDSIIREVVEEIGVPASTLSDPLFIGISRRVLNVRPTAFFFIKCNLESTKVQQLYSSAQDGFESTKLYIIQPSELENMASKMPGCHRGGFALYKLMLECGKNIK >cds-PLY85500.1 pep primary_assembly:Lsat_Salinas_v7:2:196506911:196507261:-1 gene:gene-LSAT_2X117301 transcript:rna-gnl|WGS:NBSK|LSAT_2X117301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVNWAELPDSVVNKANRALSKNTKDKVGQEELANLLHYAEAVVEFIRFLVTWKMEIDDSVGLSGEDVKPLLSDVANALTVAFERYYV >cds-PLY97500.1 pep primary_assembly:Lsat_Salinas_v7:1:194199252:194199670:1 gene:gene-LSAT_1X125620 transcript:rna-gnl|WGS:NBSK|LSAT_1X125620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKMAVITPYAEFKFRFVTVTPDENGVKKSYPRLTEEMPRVSVETKYHPSAVDLP >cds-PLY67249.1 pep primary_assembly:Lsat_Salinas_v7:6:134005220:134005591:-1 gene:gene-LSAT_6X82781 transcript:rna-gnl|WGS:NBSK|LSAT_6X82781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFTISYSGYVAQNLATASGKSNTCRIFHEVFSRPRIFQNLDRDPVAGYGPTATAGSRCSVSAYATLACDFLGVDTSSKPSPLVVGLISLVKSTATGGGVGGGSGVFGISPLKASSMIPFF >cds-PLY85588.1 pep primary_assembly:Lsat_Salinas_v7:2:120854127:120856717:1 gene:gene-LSAT_2X54721 transcript:rna-gnl|WGS:NBSK|LSAT_2X54721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTQSNRVVDGLIPGYGKIRKRGCSSSPSSSSKVHNYRFKRAIMVGKSRVGGGSRSSTPAPSWRMTPSSKSKLGGGGGVESPNYDGGGGRSKPVSARKLAATLWEMNEMPSPQNLETTRRRREKETTRVREKTNRPVHTPGSLPPHLSDPSHSPVSERIDRSGTGSHHRTSISHKLKLEDNNGGNFDSFSNASLMETETRSRAQTPSASAITVNPRLKDVSNALTTSKELLKIIHRMWAHDNLPSSSMSLISALHTELERARLQVNHIIKEERTGETEVNYLLKCFAEEKQSWKTKERRSIEAAVEAVSGELEVEKKMRRRSESLNKKLGQELAETKANFSKVLKELESERRTREIMEQVCDELAVDIGEDRLEAEEIKRESVKAHEEVEREREMLQLADKLREERVQMKLAEAKHEFEEKNALVDKLRNQLEVFLGKKKGRKDEDLMMYLNQSNIVDNGEVENEEDDDLHSIELNMDNNNKSFKWTHGQNSHNNLVNGKGTRRSTSSIQRSISDVIEFEKLTPRRSHGDELQRYKSVKGLRDRILTNLKPQMSRDFDNGVVHGSGSKSRGETVNGRRLKK >cds-PLY63610.1 pep primary_assembly:Lsat_Salinas_v7:4:129590492:129590788:-1 gene:gene-LSAT_4X81301 transcript:rna-gnl|WGS:NBSK|LSAT_4X81301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGNQENMRKLQQQCEIKKQSFPLVGIDMGKRRSFGCCSKVELTNFSIFNGVQVVSIDMSPFMQIHDVDITRKTYDSLEKFAAKMFALFPKKINIKLL >cds-PLY90511.1 pep primary_assembly:Lsat_Salinas_v7:2:41835875:41836261:1 gene:gene-LSAT_2X19000 transcript:rna-gnl|WGS:NBSK|LSAT_2X19000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARRISKKKRKEVEDSLEEVVLESDFEESVVSQTPPHDIHMGIPSTTRVSPIKPNIEETGGPGGSVKISYMDTTTTQGDNYQISTPEKTIVTPPEVPISNQVLRRFGLQVSLRTYLIWTQMSIWVKEC >cds-PLY90696.1 pep primary_assembly:Lsat_Salinas_v7:8:141627324:141666057:1 gene:gene-LSAT_8X96260 transcript:rna-gnl|WGS:NBSK|LSAT_8X96260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSFHGLVAVAFISVIAFQSCVVHGNFYRDVYFNWGAKHSYIYGNGDNLNLLLDKSAGSGIQSYNSFLFGSIEMLIKLVPGNSAGTVTAYYLSSTGNYHDEIDFEFLGNSSGQPYTMHTNIFTQGKGNREQQFKLWFDPTADFHNYTIHWNPTAVVWFVDSIPIRVFRNYKSEGIGFPNQQGMQVYSSLWNADNWATRGGLVKIDWSCAPFMAGLKKFNARACKWEGPLSIIKCAVPTPFNWWTSRVYKQLNWDQLGKMKWVQDNYMIYNYCTDYKRFGGKMAPECYKPQY >cds-PLY71132.1 pep primary_assembly:Lsat_Salinas_v7:9:79665701:79666881:-1 gene:gene-LSAT_9X64321 transcript:rna-gnl|WGS:NBSK|LSAT_9X64321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKTTTPESLSATTNPRWSLAGMTALVTGGTHGIGYAVVEELAELGAAVHTCSRNEAELNQRLQEWSSKGFTVTGSVCNAASRPQREHLLKKVSTIFNGKLNILINNVGMNIMKPTTEYTAEEYSLIMATNLESCYHISQLSHSLLKASGFGSIVFISSVAGSTHVFSGSIYGATKGAMNQLAKNLACEWAKDNIRSNSVSPWFTRTPLVEHLLGNKELLDRVVSRTPLKRVAEANEVSSMVAFLCMPVASYITGQTIVVDGGFSVNGFA >cds-PLY86587.1 pep primary_assembly:Lsat_Salinas_v7:1:132515735:132515899:1 gene:gene-LSAT_1X97281 transcript:rna-gnl|WGS:NBSK|LSAT_1X97281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVDECDSAMGCDEEHAYQPPCRNNIVDASKAVWEALGVSEDNWGELDISWSDA >cds-PLY88789.1 pep primary_assembly:Lsat_Salinas_v7:4:92346393:92350262:-1 gene:gene-LSAT_4X60761 transcript:rna-gnl|WGS:NBSK|LSAT_4X60761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNALKRLVQADALKMEIIPNPKEVEGIKVLQLETAAGAAIKFNFNQFFDNPIGINVPRSRFLPVXIYINYLLYSDLYMEKDGYVVRNPAWTDPANPSIELGPEFKKVGEFLKRFKSIPSIIEPDSLKVSGDVWFGSLVVLKGKVVIATKSGDKLEIPDKAIIQKKRQKTQEIAPKKVMDIIRRLEEGMFKTATTKDALTQSNFENYSSKARDSLKYQLPELLRTPLNSLCLQIICWEVGSIGEFLSAALHPPEPLAHSETSMSFKTMDDGQVLLYAVLTALATSFHALKPLKVPT >cds-PLY95079.1 pep primary_assembly:Lsat_Salinas_v7:1:92990927:92992259:1 gene:gene-LSAT_1X76700 transcript:rna-gnl|WGS:NBSK|LSAT_1X76700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMAMRVDYNIIITSAIIVAAASIISSTTAATTVPGLINIVNLGAIADGKTDSTLPFQSAWASACSSSKPVTIYVPSGRFFIRSAYFQGERCSNKAINFRIDGTLLAPTDYGKSSSDVWIKFEKATGVSISGGTLDGRGTNLWACKASSSSCPTGANTLGFYNSRDIIINGLSSINSQKFHIVMNGCQNVKLQGITISAPGNSPNTDGIHIEFSTGVTVLSSRIATGDDCVSIGPGSSNLWIQSITCGPGHGVSIGSLGWSAQEAGVQNVTLKTATFKSTQNGVRIKTWARESNGFVKGVVFQDLTMVQVQNPVIIDQNYCPGEHNCPHQLSGVAISDVIYEDIRGTSATDVGVKLDCSKEHPCSGIKMEDVNLSYDNRPAQVSCTNALGTTGGGGGAAAVIHDTTSSSCLM >cds-PLY75287.1 pep primary_assembly:Lsat_Salinas_v7:3:156497336:156500028:-1 gene:gene-LSAT_3X99700 transcript:rna-gnl|WGS:NBSK|LSAT_3X99700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARVVTVAQDGAGDYRTVQEAIDDVPLGNNCRTVIRVSPGIYKQPIYVAKTKNFITLAGLSREITVITWDNTANKIDHHQASRLIGTGTFGCGTVIVEGEDFISENITFENSAPEGSGQAVAIRVTADRCAFYNCRFLGWQDTLYLHYGKQYLKDCYIEGSVDFIFGNSTALMENCHIHCKSGGYITAQSRKSCQESTGYVFLRCVITGNGGAAYAYLGRPWGPFGRVVYAYTYMDACVKHDGWHNWGKPENERSACFYEYRCYGPGSSSENRVTWARELMEEEAQEFLMHSFIDPTPERPWLAQKMALRIPYSA >cds-PLY90810.1 pep primary_assembly:Lsat_Salinas_v7:2:106616132:106616419:-1 gene:gene-LSAT_2X47741 transcript:rna-gnl|WGS:NBSK|LSAT_2X47741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDPVMAEIPKLQTTTFVILDPQNFNFVGSIPEVMLAKVLMENDLIREYCSFPSFGIFPIPTELQVIDAGDKPKGGGKSKAKASSSEIVRVSKKT >cds-PLY91939.1 pep primary_assembly:Lsat_Salinas_v7:8:201305671:201308823:1 gene:gene-LSAT_8X128741 transcript:rna-gnl|WGS:NBSK|LSAT_8X128741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCDVCESAAAILFCAADEAALCRACDEKFPGDRNGNGREDELGSQPVDPNNPPKLTTRDNHNHNQHHRLSAIGMMENNNNTDNVGRMENKMIDLNSKPQRMHGQTSNNQEQGMEISGGNNECASVVPIGSFKREPEK >cds-PLY91836.1 pep primary_assembly:Lsat_Salinas_v7:6:33159801:33162611:-1 gene:gene-LSAT_6X25960 transcript:rna-gnl|WGS:NBSK|LSAT_6X25960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMSRIQKLDPENASKIMGYILIQDQGENEMIRLAFGPENLLVSVIKQAKNFLDISSNASSAPSTPSLFMHRNSPQIIIPNNGFHHMNPSSPSSPWSLSGSFPDHHHHRGSPRPASYAAVVNGGGSSASSFYNNFNDPTEEYSTNNGLQVVPDQLSFFDESKNVDFIDPMVSPGGRSDSVLFPYPNDSSNWSVETGNCGDLHHHHLHRRSCSVNDVFLGGGGGNDDMGGGWRPCMYFARGFCKNGTSCKFVHGNGGFGEELGLGSSSPTAVVGSPTGKIDAFEDLLRFKAIQQQQQRIAAMTGGGVPPFPFNRCMNFLNENPRSAAALMMGDEFHKFGRCRPDRNDFLGFGNSNSSSRQIYLTFPADSTFKEEDVSNYFSMFGPVQDVRIPYQQKRMFGFVTFMLPETVKAILAKGNPHFVCDSRVLVKPYKEKGKIPDKKHQQQIERGDFSGCLSPTAIESAEPFDHIPFGARMFNHEMILRRKLEERAELQHAIEIQDRRMMNLQLNELKNHQFHHNLSASSTFSSDVNNEDVVSGAKISTEDDTNSPSDESDPNVPPPEVKNDGGNSGGNGSGKEEKSGQNETDKQESFEHNLPDNLFASPTKSAATNHQTIFSTDTPEAETGGGAATTHHPPTSSTAIDMRGSINSCYFQMPRYKIHQKIASNLNF >cds-PLY93786.1 pep primary_assembly:Lsat_Salinas_v7:6:148023917:148024156:-1 gene:gene-LSAT_6X88841 transcript:rna-gnl|WGS:NBSK|LSAT_6X88841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVFQEADPRERICTFVRSISKASIFAQSLVRQIEACSSAVACWALAAADLPPPVLLRANCCSAASARLHSCNSAAIPN >cds-PLY86996.1 pep primary_assembly:Lsat_Salinas_v7:5:260046417:260048565:1 gene:gene-LSAT_5X130160 transcript:rna-gnl|WGS:NBSK|LSAT_5X130160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANKIVISILSLVLVVAAVLGVVAVIKSSGSKSDKDLSTSNKAADSVCKPTEYKEACKKVAVEVAKNSSATHEDYIIATIRATADELQKALKKASTAKDDLDDDDKKDSPSHRDLETCEKMLGYAKDELQQVLKVVSETEAVSLAQQIDPILVWLTAVRAYQTTCVEEIRDEKLKKDMQEGLETSNELTYNAQKIVYNVLDILKDIGVDLGELGDLQLPPTGSRRLLDELHEIEHYGFPSWVSTNDRKLLGSKKGGGGKLKPKGQAIFKTPPPPPLPPTVTPNAIVAQDGSGKFKSIKQALAAYPPNHQGRYIIYVKAGVYNEGQIIVGKTQNNVYMYGDGRTKTIITGTLNFGIQKISTSQTATVVALGERFMARAICFRNTIGPAGHQAVAFRSQSPHTVMVDCSFEGYQDTLYYHAHDQFYKNCAISGTVDFIFGFGRAYIQDSEIFVRKPDKNQASMVTADGRTKFEEAGGVVLHNCKIMPAPELAPVKGQYASYLGRPWKASATSVIMQCDIGDLIKPEGWTVWESPEGKNNHMSCMFREFGNRGPGSNMAGRVKWKGFQPIKSQKEALGFTAGTFMMAGAWLPQYGVKANLGL >cds-PLY90232.1 pep primary_assembly:Lsat_Salinas_v7:8:14560189:14561760:-1 gene:gene-LSAT_8X11581 transcript:rna-gnl|WGS:NBSK|LSAT_8X11581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRYLFLIILNIILIILPSTISDDSIPIPQERSQVKKWFKENVKPLQARKATLDPDLVAAEKKPRIIRVMKKGGGDFTTICDAVKTIPLKNTKRVIVFIGPGEYVEKIKMEREMKFVTLMGDPDNMPTLIFNGNAATYTTVESGTLTVDGDYFVAANLHIKNSSPRPNGKTKGSQAAAMRIGGDMAAFYNVRFYGFQDTLCDDRGRHFFKDCYIEGTADFIFGNARSIYLNTEVHCIPGELQSWITAQAREMDETDTGYVFVHCPVTGLGQGWYLGRAWKGFSRVVFAYSKLGPIVDPKGWSSNNKPLPDKNLYFAEYKNKGKGSDMIGRERFVKKLTDAEAKRFISLSYIGGSKWLLPPPKPNKFRPKRKLQVFNS >cds-PLY84014.1 pep primary_assembly:Lsat_Salinas_v7:8:36881448:36891779:-1 gene:gene-LSAT_8X29401 transcript:rna-gnl|WGS:NBSK|LSAT_8X29401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLFFKRKKKKAERLSTKLSQALASADENANIQSADNQIKISDLHDKIVGIYFSANWYPQCQSFTKLLIQVYEQIKAKKGSAFEIIFVSSDEDLNAFNNYYQSNMPWLAIPFSDLETKKALNNRFDVEGIPCLIILQPDHDAGIVHDGVELIYRYGVEAYPFTKERLDELLKQEKEKHERQTLSNLLMNHDRDFLLAHSASKKVLISSLIGKTIGLYFSAQWCLPSLKFTPKLISIYQKIKAKLSEQEDFEIIYVSTDHNQLEFESSFSLMPWLALPFGDSINKELTKYFDITGIPSLIILGPDGKTVTKNGRSLINLYEEEAYPFTEARVKLLEKQMDEDAKNLPSMEIHSGHRHELTLVSQENGGGPFICCDCDEQGSGWAYQCIDCGYEVHTKCVRPVVQMSSA >cds-PLY76851.1 pep primary_assembly:Lsat_Salinas_v7:3:4125735:4128120:1 gene:gene-LSAT_3X3081 transcript:rna-gnl|WGS:NBSK|LSAT_3X3081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDWQRTAFHFQPKKNWMNDPNGPVFYNGWYHLFYQYHPDAPVWGKIVWGHAVSKDLINWRHLPIAMETDQWYDEQGVWTGSATILSDGQLVVLYTGSTNESVQVQNLAYPADPSDPLLINWVKYPGNPVLVPPPGIDNKDFRDPTTAWKTPEGKWRITIGSKINKTGISLVYDTEDFRTFELLDGLLHAVPGTGMWECVDFYPISKQGENGLDTSVDGPGVKHIVKASMDDDRNDYYAIGTYDAYKGKWTPDNPTLDVGIGLRYDYGIYYASKTFFDQNKQRRVLWSWIKETDTEASDIKKGWASGVPRTVVLDKKTQSNIIQWPIEEINRLRKNLTVFKDVVLEVGSLVPLNLSSASQLDIVAEFEVDKKTVERLNGADVAYDCAKNGGAAQRGALGPFGFSVLAHEGLAEHTPVYFYVAKGVDGNLKTFFCADQSRSSNANDVDKSIYGSIVPVLKGEKLSMRILVDHSIVESFAQEGRSCITSRVYPTKAINNNAQLFLFNNATATKVTVSVNVWQMNSAHV >cds-PLY68595.1 pep primary_assembly:Lsat_Salinas_v7:5:574799:578350:1 gene:gene-LSAT_5X261 transcript:rna-gnl|WGS:NBSK|LSAT_5X261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-f [Source:Projected from Arabidopsis thaliana (AT1G55620) UniProtKB/Swiss-Prot;Acc:Q8RXR2] MSGGDHSDHAILLRSNSSSSDKDLEGQVSSGRSTKSIKDLWNRLDRAFSGRRLSIKRRSPRARRSVRDHISPRRGGENGGGGGDDEILGDGAPPEWALLLIGCLLGLATGLCVAGFNRGVHVIHGWAWAGTPNEGAAWLRLQRLADTWHRILLIPVTGGVIVGMLHGLLEILEQIKKQSSPGINGLNFLSGFFPIVKAIQAAVTLGTGCSLGPEGPSVDIGKSCANGCSLMMESNKERRIALVAAGAAAGIAAGFNAAVAGSFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNAVLGEKQAFTVPTYDLKSAAELPLYLILGMLCGVVSVLFTRLVSWFNKAFEYIQERFGLPAIVSPALGGLGAGIIALKYPGVLYWGFTNVDEILHTGKIASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAGELINSAIPGNTAIAEPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVANQSKEGERGGGYAMLSPVDKFENWRQTGDSDDLELCIMGPDENHEAIDEDIILEDLKVSQAMSSNYLKVQLSSTIKEAVQCMDNGQQSCVLVVDNNNQPEEEHLEGILTYGDIKRGLMRNYDEASEGGSSTPDFSECAVSGVCTRGISYRGRKRGLVTCYPDTDLAMAKKLMEAKEIKQLPVVQQRAFASFDLQQHRKPRIVAILYYHSVWNCLRSKSILSPFLLLNSILF >cds-PLY68979.1 pep primary_assembly:Lsat_Salinas_v7:9:139006954:139007634:-1 gene:gene-LSAT_9X89420 transcript:rna-gnl|WGS:NBSK|LSAT_9X89420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENQYDAYMGHNQVSGKTMLFAVIAGITIGGPLLALMGFSFLATMTLFVISSPLLIIFSPLLMGAGFVFVASLVGFGAAGLMAIAGLWALGWVFPSVKRDGLEGLEYATEKLVEFGENVKDTGKDWGSHLKQTVQNSPENKTANRA >cds-PLY79210.1 pep primary_assembly:Lsat_Salinas_v7:4:234879311:234883202:1 gene:gene-LSAT_4X127421 transcript:rna-gnl|WGS:NBSK|LSAT_4X127421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTSQIWDRKAEVQGMIGNIKKRKAKAEEASGDWNLQPRTKYFFIRNYSTIVAFSIGKKYIAGNGFHIVGAHTDSACLKLKPVSKVSKGGYLEVGVQTYGGGLWHTWFDPLAIHLDRGVNDGFKVNAQTHLAPVLATAVKAELNKKAAENGPDGKPNESLNSSSIQKHHSLLLQILVDKGGCRPGEICDFELQACDTQPSIVAGAMQEFVFSGRHDNLCMSFCSLKIPARYSAPINHLASASALNTAGKGQFLRRCNYPPISNFHSPIMGSRHGQCMVNTHSTNLPLGCNNFVVSHGHEDMQALQKVYLEALLAQHNQQYSSPLFGRSGSLNHLYGNPTYNHGIPYHGNLLENSTRSTVGSRQLSQQFAPAFRNSVGGVSGSWNPEGDMSLDRRYVSSLLDELKNNKNKSFELSDVVDHVIEFSTDQYGSRFIQQKLESATVEEKNIIFPEIVPHTRSLMTDVFGNYLIQKFFEHGTKSQRRELAGQLIGHVLPLSLQMYGCRVIQKALEVVEVDQQTEMVAELDGSIMKCVRDQNGNHVIQKCIECVPQDRVQFIVSSFFGQVVSLSSHPYGCRVIQRVLEHCDDRNTQAVMDEIMNSVCTLAQDQYGNYVIQHVLQHGKPYERSAIINKIAGETVKMSLQKFASNVVEKCLTYGSPDERQLLVNEMLGSTDENEPLQAMMKDPFGNYVVQKVLETCDDQTRELILYILDIFPLFKSFIKFSLLDTELDERMRDLNLLSNSHFWRWP >cds-PLY82872.1 pep primary_assembly:Lsat_Salinas_v7:4:18569591:18570996:-1 gene:gene-LSAT_4X12941 transcript:rna-gnl|WGS:NBSK|LSAT_4X12941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSTGQWSTGLCDCTSDYSNCCLTCWCPCISFGQIAEIVNKGTTSCGVHGTLYSILCLFTGCPYIYSCMYRSKLRHQYMLPDEPCNDCLVHCCCECCALCQEYRELKHRGFDPSLGWHGNLANQNQGVGMPPVGPGEMKR >cds-PLY62636.1 pep primary_assembly:Lsat_Salinas_v7:3:37481659:37491513:-1 gene:gene-LSAT_3X27801 transcript:rna-gnl|WGS:NBSK|LSAT_3X27801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEPCHIFNFFTLIMVWRKLITDLLKRGLSGMTAYDDTWLSMRSKLLSIYEKALSSKSIRLVNMIQSIQEELLSEEIETHKVLNGNQIPPPLARLLSFIAQMTPDPSGDQTSSFKSAISACMRDMYHYARISGLHVLECVMDVALSAVKREQLQEASNILSLYPQLQPLVAVMGWDLLPGKTDMRRKLMQLLWTSKSQILRLEESSLYGNKSDEVSCVEHLCDFLCYQLDLASFVACVNSGQSWSLKSSLLLSGKGNTTEFENQELQLDPFVENLVLERLSIHSPLRVLFDVVPDIRFQDAIELFSMQPITSNLAAWKRMKDVELMHMRYAMESAVLALRAMGNSKNNEVKSYQMALCYLKDLRIHLEAVTNIPRKIMMVNIIISLLHLDDLSRDSSPSPPPRTHPDTSSTSEESGDQCTNEEGNTMVVSFTGGLLNILRQNLPSGVTEQEIAIDGNVPTDGRQALEWRISKARSFIEDWEWRLSILQSLLPLSDRQWKWEEASTVLRAAPSKLLNLCMQRAKYDIGEEAVNRFSLTPEDKATLELAEWVDGAFKRASAADAVSRAADETSVVQDLDFSTLRSQLGPLVATLLCIDVATASSKSSALSQKLLDQAQVMLSEIYPGRAPKMGATYWDQIYEIGIISVVKRLLKRLQELLEQEKFPVLQALLTGDLISTKNFQRQGPRERALAMLHQMIQDAHMGKRQFLSGKLHNLARAIADEEYEREFMRAESTYPDEKDGVVGLGLRPMRQQSSVEKSTASKEAEKRIYGPLTSKATTYLSQFILHIAAIGDIVDGTDTTHDFNYFSLIYEWPKDLLTRLVFDRGSTDAAAKVAEIMSADFVHEVISACVPPIYPPRSGHGWADIAVIPTCPKSSSEGKLLSPSSKEAKPSSYCPSSATPGVPLYPLQLDVVKHLVKLSPVRAVLACVFGNCILYGGNDYSSTMSGSLTDGPGSVQKHDADRLFFEFALDQSERFPTLNRWIQMQTNLHRVSEVAVTAEHSVNDGIEVKTSVKRFREHDSDSDLEHDELAAVGTSSLSVLTDTTNESGIWQDSPKSEAAEIDTTVFLSFGWENEKPYEKAVERLIEEGKLMDALALSDRCLRDGASDHLLKLLIEREEENHTVFNASSHSNFRIPSNSWQYCRRLKDKQLAAKLALKYLHRWELDAALDVLTMCHCHLLETDPSKNEVVLRRQALMRYSHILSADERYNSWQEVEAECKEDPEGLALRLAEKGAVSAALEVAESAGLSIDLRRELQGRQLVKLLTADPITGGGPAEASRFLSSLRDSEDALRVAMGAMQQLPNLRSKQLLVHFFLKRKDSNLNEPELSRLNLWALGLRVLAILPLPWQQRCSALHEHPQLILEVLLMRKQLHSASLILKEFPSLRDNNKIFRYAAKAIAVTIAPPRRETRIQVSGPKAKQKAGGTPTKSSFSNSLSNLQKEARRAFSWNPRNSAEKTAPKDVQRKRKGSGLTQTERATWEAMAGIQEDRVSSYTMDGGQDRLPSVSIAEQWMLTGDPNKDEAVRSSHHYESAPDIILFKELLSLCSDESTSAKGALDLCVNQMRAVLSSETLPENASMETIGRAYHATETFLQGLLYAKSQLRKLSVGNDFSVLSKDMEDTSSDAGSSSMGSQAPDELSETLSHSDTWLRRAELLQSLLGYGIAASLDDIADEESSGRLRDRLILEERYSMAVYTCKKCKIDAFAVWNAWGLALIRMEHYAQARVKFKQALQLYKGDPAPVIQDIINTIEGGPPADVSSVRSMYDHLAKSAPAILDDSLSADSYLNVLYMPSTFPRSERSRRLQEASNENSVNSSEFEDDVPRSNLDSIRYLECVNYLQEFTREDLLEFMFRHGHYKDACMLFFPENSVPTPPQVSSTPQKPDPLSTDYGSIDDLCDLCVGYGAMSVLEEVMASRMSSETADVAVKQYTGAALSRICVFCETHKHFNYLYRFQVIGNDHVAAGLCCIQLFVNSSALDEAIKHLENAKMHFDEALSERYKSGGSTKLVSKGVRAQKLTAEGLIKFSARVSMQVDVVKSFNDTDGPQWKYSLFGNPNDAETFRRRCEIAETLVEKNFDLAFQVIYEFKLSAVDIYAGVASSLADRKKGGQLTEFFRNIKGTIEDDDWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLSQYM >cds-PLY67074.1 pep primary_assembly:Lsat_Salinas_v7:5:282560461:282563191:-1 gene:gene-LSAT_5X148460 transcript:rna-gnl|WGS:NBSK|LSAT_5X148460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRERHQNPEPFLSDDTNKSVSKKRTKPAKQHQSEEKLISAGMSSKILKEALLQQKEIQDEADAENPDNIVFPEEPVTQTQVDEDDDVDNFAGFSDNHSQFGGYEEEINEDEEKLLEAFFSKDAGPQQTLADVILRKIKQKDQMPPGLSAVLQPSPKLDDSIIEIYKSVGEIFKKYTSGKLPLAFKSIPAKQNWEELLYLTEPEKWSPNAVYQATRILASNMSSNKVTKFYKFVLLPRIRQDIRKNKKLHFALYQALKKSVYKPSAFHKGILFPLCASRTCNLREAVIIGSILQKVSIPPLHSSAALMKLAEMEYGGTTSYFIKILVEKKYALPYRVIDAMVAHFMRFCEDSRDMPVIWHQSLLAFMQRYKHELTKEQKDDINYLVKKQRHKLVTPEILRELNNSRIRGEKEDDLIVSPSPVSVMNKTIEEDRFDIPDVPMEED >cds-PLY88870.1 pep primary_assembly:Lsat_Salinas_v7:8:4579834:4581540:-1 gene:gene-LSAT_8X1841 transcript:rna-gnl|WGS:NBSK|LSAT_8X1841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFPSTPAFSSQSWNHDVFLSFRGEDTGRTFVDHLYSALVLQGIDTYKDDETLCQGESIRPSLVKGIEESQITVIVFSENYVDSPGCLDELALIMKCKDMRGQIVMPIFYDVDSSEKRMQKRKYGEAFAKHELENKVGSWRKTLVDASNLSGWEPMNIANGHESKAIKEIVDKISSRLQLVTSNANENLIGTGARVHGLESNLQLGSGAHDRNMGGWGWW >cds-PLY79093.1 pep primary_assembly:Lsat_Salinas_v7:3:10162962:10165706:-1 gene:gene-LSAT_3X6761 transcript:rna-gnl|WGS:NBSK|LSAT_3X6761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTWISIFTGKSGFAASSTAEDVTKGVDGTGLTAIVTGATSGIGLETARVLALRGVHVVMPVRNMESGKKVKESIVEKIPNAKIDVMELDISSQESVRQFASQYCSKGYPLNILILNAGIMSPPFSLSKDNIELQFATNHVGNFLLTNLLLDTMKKTVKESGKEGRIAILSSEIHRMTYKEGIRFDKINDEKSYSAFSAYGQSKLANVLHAKELTRRFQEEAFFYGVFNRILKNIPQGTATTCYVALNPQVEGVSDSNLGKASKHAQDAELAKKLWDFIYGPTFLGSICRVQKNTRLNIIKRTPAQWAIELGKRISMIRGSISL >cds-PLY74991.1 pep primary_assembly:Lsat_Salinas_v7:5:86177567:86179571:1 gene:gene-LSAT_5X39901 transcript:rna-gnl|WGS:NBSK|LSAT_5X39901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEFPPLSSVRLLVNHISKQFLSLNKSVYNWEEPCFPPSLLSMDSKTSWDSLRKQARKLEAQLDDQIHVYRKLVSTKVDHNNENNLGSRIEQLLKELQQVISLMQSWLSTGGPEIFSHTLTRHQEIHHDLTKEFSQLRSSLKAKRDHASLLEDFREFEKSRLVSEDDGGSQDQYFLKERGTLMRNTRQMEGVISQAQETLGTLVFQRSTFGGIGSKIGNVKSRLPTVSKQYYIDNKEEKIIGDYYPFHGCLMLYISDLDLLVDKIVKLLVEQWKEKKMYCLSIT >cds-PLY70083.1 pep primary_assembly:Lsat_Salinas_v7:4:204137706:204141509:-1 gene:gene-LSAT_4X115060 transcript:rna-gnl|WGS:NBSK|LSAT_4X115060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKTNSEKIAGLEAGVVALEQDLTKLREEADLAKKENAAQFTEVLQDINNLTKTHVTAEGTTLVKQAEDAASNKRLQVDPGTWEIIIFRVS >cds-PLY69276.1 pep primary_assembly:Lsat_Salinas_v7:7:131044930:131047573:1 gene:gene-LSAT_7X77920 transcript:rna-gnl|WGS:NBSK|LSAT_7X77920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKEGPCYHCGIEQTPLWRNGPPEKPVLCNACGSRWRTRGTLNDYIPKHALKNVQDTQTDEDSDDHSGSSSTSTNGPSQLVFENAPRRKRSILDQFVISPIERLNKQLLDFAHEQPDVYLNKPTDRDVLIDRADVATSTPLGTALGALLLVPPHELIKQPNSTTETIIASNLKEKRW >cds-PLY72274.1 pep primary_assembly:Lsat_Salinas_v7:5:196415281:196416644:-1 gene:gene-LSAT_5X87781 transcript:rna-gnl|WGS:NBSK|LSAT_5X87781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRTSRRSFSSNRTIFLSALVLVTLTASILSFIDSGERFLYNSISWETKIRSSAHIRRPNGISVLVTGAAGFIGTHVSLALKSRGDGVLGLDNFDNYYAPSLKKTRRALLDIHGVFIVDGDINDRRLLAVLFDTIAFTHVMHLAAQVGVQYAVENPYAYVHSNVASLVTLLERCKSADPQPAIVWASSGSVYGVNINNKVPFSESDRVDRPVSIYASTKRTGEEITLTYNRVYGLSITGLRFFTVYGPWGRPDMAYFSFIRNILLGKPITVYRAKNHTDLARDFIYIDDVVKGCVASLDTSGRSVGSGRSKNRTAPYRIFNLGNASPVTVPTLVSILEENLRMKATTNVVEMSESGDDLFAQANISLAEKELGYKPTTDLETGLKEFVKWYLWYYGKPFVQNRNV >cds-PLY96742.1 pep primary_assembly:Lsat_Salinas_v7:2:172313291:172315263:1 gene:gene-LSAT_2X95221 transcript:rna-gnl|WGS:NBSK|LSAT_2X95221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRNGTPPFEGHSSSDHIQAPSVGECSSSTSLSSQQDVDVDDDGMIAVVLSEEYAKLDGSVGRRLTNLEPVRHIPRINSFIPNISDASLDHQRLSQRLHVYGLYEVRVSGDGNCQFRAVSDQLYRSPEYHKHVRKEVVKQLKECRALYEGYVPMKYKKYCKKISKTGEWGDHVTLQAAADRFAAKICLLTSFRDTCFIEITPQQQTPQRELWLSFWSEVHYNSLYEIREAVVQHKPRKKHWLF >cds-PLY79217.1 pep primary_assembly:Lsat_Salinas_v7:5:300533738:300535129:-1 gene:gene-LSAT_5X160161 transcript:rna-gnl|WGS:NBSK|LSAT_5X160161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METKEVVVLVVPFVAQGHLNQLLHLSRVLSSYNLPIHVVGTTTHNRQAKLRIHGWDPTSAINIHFHEFETPKFASPPPDPNASDKFPSHLIPSFQLPSHLREPFAKLLADITPTTRRVIIIHDYLMSSVVQDVVSYENAEAYVFHCASAFTTFSYFWEGKGKPCLDDDDESYKQLMKVPAFEGSLPAEFIESMMSHQVCNTFNSGNLHDTCNVFDRKYIDFLAKEGLSGSTKQWAMGPFNPVAISDKENLGKRHMSLEWLDKQAKDSVIYVSFGTTTSLSDDEIRELANGLENSQHKFIWVLRDADKGDIFDGEVRRVELPKGFEERLGEQGLVVREWAPQLEILAHLATGGFMSHCGWNSSMESITMGVPIAAWPMHSDQPRNAILVTEVLKSGISVRDWERRGELVTSSTIETSIRKLMASDEGDAMRKRAAKLGDDVRRSVEKGGATHMEIDSFISHITR >cds-PLY83764.1 pep primary_assembly:Lsat_Salinas_v7:4:41603337:41604321:-1 gene:gene-LSAT_4X27540 transcript:rna-gnl|WGS:NBSK|LSAT_4X27540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANDMRSVATLLMFLNISMYVVLLGLGGWAMNRAIDHGFIIGQGFDLPAHFSPIYFPIGNAATGFFVTFSLIAGVVGVASSIAGFDYIRSWNPDSRPAATSAAVIAWGLTLLSMGFAWKEIELEGRNATLRTMEAFSIILSVTQFLYTIVLYG >cds-PLY67127.1 pep primary_assembly:Lsat_Salinas_v7:5:292263844:292264603:1 gene:gene-LSAT_5X152800 transcript:rna-gnl|WGS:NBSK|LSAT_5X152800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALDLFVPAEKLLKLSPELLEMVVQGFIFVQSQLDRLSIFLKWPPMKNTLVKTCHSILPQLGYDSSRQGIKCDPAKVVLRQRFPANWTYVTGAIGRCIDHNIGSLDQLKLFELCILYDMVQSKDLDFAKFSFDRLVECISGNKHETYVPYPRWLALFLDHVGMGYNVNQGAPIFSPVPS >cds-PLY85857.1 pep primary_assembly:Lsat_Salinas_v7:9:128911877:128916180:1 gene:gene-LSAT_9X84121 transcript:rna-gnl|WGS:NBSK|LSAT_9X84121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPIYYILVALPCTIGAIALALLHIYRHLLNYTEPTYQRFIVRIIFMVPVYALMSFLSLIFNSKTIYFNSIREVYEAWVIYNFLSLCLEWVGGPGAVVLSLSGRLLKPNWCLMTCCFPPIPLDGRFIRRCKQGCLQFVILKPILVGVTFVFYAKGKYQDGNFSAKQSYLYITIIYTVSYSMALYALALFYVACRDLLQPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKSGYIKDAEEAAEFQNFIICVEMLIAALGHLYAFPYKEYAGANIGGASRGFGASLAHALSLNDFYHDTVHQFAPAYHDYVLYNHSNDTGDEGARKYRARTFVPIGSEMENVRKNKQTYANKGDDMSPSATTPTTTPPSNRAKSEAMNSSLLSDASNSATAQYDFTLIDMDSPVKKTGNGN >cds-PLY92740.1 pep primary_assembly:Lsat_Salinas_v7:8:67120218:67122955:1 gene:gene-LSAT_8X47580 transcript:rna-gnl|WGS:NBSK|LSAT_8X47580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMRHLLKLSRRSHRALAATTTISRSASTATAVSSVSDTPTPAPPHPHQMIYDRVAEEVKSKLKRLENPDPRFLKHNSPYPTLTDHTSILTYPETRVTTLPNGLRVATESNLASQTATVGVWIDAGSRFETEENNGVAHFLEHMIFKGTSKRSVRDLEEEIENMGGHLNAYTSREQTTYYAKVMGGDVPKALDILSDILQNSTFDERLINRERGVILREMEEVGAQTEEVIFDHLHATAFQYTPLGRTILGPAENIQKITKKDIQDYISTHYAAHRMVISASGAVKHEELVDQVKSMFTKLSANPMTTTQLVAKEPAIFTGSEVRMRDDDMPLAQFAVAFNGASWTDPDSIALMVIQAMLGSWNKSAGAGKHMGSQLAQLVGISELAESMMAFNTNYKDTGLFGVYAVAKPDCLDDLAFAIMQEISKLCYRVTDDDVIRAQNQLKSSLLLHIDGSSPIAEDIGRQLITYGRRIPFAELFARIDAVDAATIKRVANRFIFDQDIAIAASGPVKLLPDYNWFRRRTYMLRY >cds-PLY76205.1 pep primary_assembly:Lsat_Salinas_v7:4:53842802:53844178:1 gene:gene-LSAT_4X36860 transcript:rna-gnl|WGS:NBSK|LSAT_4X36860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDPKTCDCGFPARILTSTTPKNPGRHFMVCNEKWLDVEPAQMPVMEVAERMKVELVALKTEVKKVKEDIEQMKKEKILPLSTSTNWALLGTDLAAAGGGGSVWIAGGGGGGAGGGG >cds-PLY88597.1 pep primary_assembly:Lsat_Salinas_v7:5:132194251:132199418:1 gene:gene-LSAT_5X55981 transcript:rna-gnl|WGS:NBSK|LSAT_5X55981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTCITCSKQQIEDGGEEVGARGTPSGKEAVKSLTAQIKDALKVSGTSKGKPPIGPSSYKKPPPHRAYPDFESISEGGAHYPYMQSGSTSSSTPAWDFTSNNDSHQTNAGFEPPRQSGHLVLEDEDEPKEWMAQVEPGVQITFVSLPNGGNDLKRIRFNREMFNKWQAQRWWGENYDRIMELYNVQRFNCQALNTPSQSEDGRDSSYSRLGSARESPMMTPSINKDWNNRNYYKPPDQGGAHHYNVGPSAYVAGGSKGEMSSMEASRTTTSSRDEASISVSNASDTESEWVEQDEPGVYITIRQLTDGTRELRRVRFSREKFGEVHAKTWWEQNRERIQSQYL >cds-PLY76011.1 pep primary_assembly:Lsat_Salinas_v7:5:320418179:320429184:1 gene:gene-LSAT_5X175861 transcript:rna-gnl|WGS:NBSK|LSAT_5X175861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLEGTSFEFTPTWVVAALCTVIVGISIAVERLLHYTGEKLKKADQKPLFEALQKIKEELMLLGFISLLLTVFQSKIIKICVNKSIVDHLLPCSLSERDKLQGTSHLRHLLAEETTAMGYCALKEKVPLLSLEALHHLHIFIFILAVVHVTFSVITVIFGEVKMREWERWEESITEDDFDTLQVLQRKVSFVEEADDFFKNRFLGIGGSSAIRGWLHSFFKQFYWSVTKSDYVALRLGFITNHCKGVPKFNFHKYMIRALEDDFKEALGISWYLWLFVVIFLLMNINGWHTYFWIAFAPFILLLAVGTKLEHIIIQLAYEVSEKHIAEEGHKVLIEPKDDHFWFNQPRILLFLMHFILFQNSFEIAFLFWIWLQFGFDSCIMGKLCYIIPRLIIGVFIQVLCSYSTLPLYALLTQMGTNFKSAIFAEHIKPLLMGWAKDAKKRVAHSGGISGSSSAVELKASVDHAC >cds-PLY81013.1 pep primary_assembly:Lsat_Salinas_v7:9:177214131:177215945:1 gene:gene-LSAT_9X109361 transcript:rna-gnl|WGS:NBSK|LSAT_9X109361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLGSSINMPTKAGLRLLLVPLNSNIVIKTACCTVGVALPVYSTFKAIEAKDPNEQHKWLLYWAVYGSFSVGEIFADRFISWFPLYYHIKFAFLAWLQLPTTSGAKQLYMNHLLPFLSKHEARLDQIAGLFYNKMDKFVSAHQGEFEFMKTKIIKILMSGKQLVNGSSQPVATQERRAIVDPREQVETSDSTDEVKSS >cds-PLY97097.1 pep primary_assembly:Lsat_Salinas_v7:4:72644463:72644753:-1 gene:gene-LSAT_4X48961 transcript:rna-gnl|WGS:NBSK|LSAT_4X48961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHLGVNLPEWLKDEQDEVCSPKDKLSSVGEVHEKLQSDMDFGIKMDDLEDNIDVGIEIKLDNEPDMDVDNELDDGIRMNKTKNDEFLSKLCLKEQ >cds-PLY93377.1 pep primary_assembly:Lsat_Salinas_v7:9:59551631:59552375:1 gene:gene-LSAT_9X52540 transcript:rna-gnl|WGS:NBSK|LSAT_9X52540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTTTIVTPLVVIEPVSGAPVVPASNTIVVEALISSADYIALDTPSEAESARVREEMHQRILALQAKLEEVQNQQTSLL >cds-PLY71358.1 pep primary_assembly:Lsat_Salinas_v7:4:346213433:346219864:-1 gene:gene-LSAT_4X170780 transcript:rna-gnl|WGS:NBSK|LSAT_4X170780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVAMKTLFSILACVMFATLLWATAMDGLASCIDLRDKWLVAALVNFYINFAFIGAWIVYKESSLIKAVILISIAIIVGSVVTCGYIALQFFKLSREESSKDPLYFVLVRHQKKDALEHMRGPSVVTARVIISSLGFLILGTFIYTFVVYGSPIRVSTCMIAVVIDFYFHVVALSVWVAYKESSWISAFFWILSLVCCGSIATCVYIVKQLFYLSPEQPVSLVLVNNSNRNLLTSDPLLMANTNA >cds-PLY98721.1 pep primary_assembly:Lsat_Salinas_v7:6:103074828:103078323:-1 gene:gene-LSAT_6X68900 transcript:rna-gnl|WGS:NBSK|LSAT_6X68900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQYDNTTTTTIRLDHDLCWPFGTLEGLDHDDIRTTAYEIFFTSCRSSPGFGGRNAIQFYSSESNGGDHASGGTGSPAKAGGHGVGMAVTSKIKRALGLKMLKQSHSSRRSNSCGTNPMSPGGHHGNASPGIGFSTVPGRSRRPLTSAEIMRQQMNVPEASDNRLRKTLMRTLVGQAKTIILPLELLRHLKPSEFNDSKEYHIWQKRQLKILEAGLLLHPSNPLDKSDSFAMCLREVVRSSDVKPIDTGKNSETMRNVCNCVVSLAWRSADGCPTDVCHWADGFPFNVNLYSALLHSIFDSKDQTSILDEVDDLLELMKKTWSTFGINKPMHDLCLTWVLFEQYVTTGHVENDLLSASLTMLTEVANDSKKVDREPVYVKMLSSVLTSMTKWCENRLLDYHESFNKGTIGVMEHILPLVFSATRILEEDVPGYGYELKGDLTSDSTGNNVDQYTRSSLKKAFAKMVGNRNLISRNMPSQQVCETLVQLANETEELAFKEKETYCVVLKRWNPISGGVGALTLHSCYGVLLKQFLSCNSDISHEMLTVLHRADKLEKVLVNMIVEDSVECEDGGKNVIREMVPYEVDSIIAKFLKQSVGGRLKKIREVVHRAKESETWNPKSKTEPYAQSAVELLKQARDLLVSFFEIPIAIAETMVEDFADGVERILRDYTTFVASCGTKQNYIPALPPLTRCSRGSKLAKLWKKATPCAVSGMSPYHLGLEEGNHPRPSTSRGTQRLYIRLNTLHYLISQLTSLEKCFAHSSRIIPSHKNRASSSRRHAAGGAHFEHARSSIMAATQHVSEVAAYRLIFLDSNSVLYGSLYVGHVVNARISPALRVLKQNLTLLSAIVTERAQPLAIKEVMKASFEAYLMVLIAGGGTRCFTRVDHEMIEDDLRHLKKVFTTYGEGLVLQEDVVEKEAETVEGVVALMGKSTEELIEDFTVVACEASGIGVSSGQTLPMPPTTGRWCSSDPNTMLRVLCHRKDRIANSFLKRTFQLAKRA >cds-PLY69572.1 pep primary_assembly:Lsat_Salinas_v7:4:84613547:84614445:-1 gene:gene-LSAT_4X55881 transcript:rna-gnl|WGS:NBSK|LSAT_4X55881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHKVTRNTSVHAASQSTNHSETRKLQKRHLRSTTFSGGASSSSSRISSSISTATSAEEPSVASNADEGPPTTVQSYLRLTPTTPTVVSCPTDAILRRKPIKDLQTNLVELRHRRRTKLRSSSSSDDLQQGTKKKTIAARHQKIAATTSSCKQRVPTRNNPSPIVTSYLTAQESSGADELRCWSLQTSSSSEETPIAGCLCRSIFGHLHYRS >cds-PLY82100.1 pep primary_assembly:Lsat_Salinas_v7:9:110206465:110209712:1 gene:gene-LSAT_9X76981 transcript:rna-gnl|WGS:NBSK|LSAT_9X76981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFGYVFIFSLVFLFSLANTIANQSEFFKLMKKSLSGNPMADWDVTSGKNVCNYTGISCDSKGYVVKVDISGWNLSGHFPENICSYLPELKSLNIGHNDIHGDFPYSITNCSLLEELTTTHTNLAGKLPDMSSMVSLRFLDMSSCSFTGKFPKSLINLTNLQVVNFNENGGFEPWSLPIDIFRLTKLRSMILSTCMVSGLIPKSIGNMTSLVDLELSGNFLVGPVPREIGSLPNLQLIELYYNQLTGGIPEELGNLTDLTDIDMSVNKLTGRLPESICRLPSLRVLQFYNNSLTGEIPRVLEESKSLVMLSLYSNYLTGEVPRHLGRSSPLVLFDLSENQLTGELPPEICNGGKLNYLLALDNRFSGVLPESYSKCVSVIRFRLSSNMLEGNIPEKILSLPSVSIIDLSDNFLSGSITPSLGNAKNLSELFLQNNRITGVIPCEISQVYKLVKIDVSNNLISGPIPSEIGHLKRLNLLLLQGNKLTSHIPNSLSSLTSLNVLDLSRNLLTGSIPESFCDLLPSSMNFSNNHLSGPIPVSFIKGGQLESFIGNPNLCISEYPNSSSDKNQNFSICGEAYSRKKVDYIWVIGISIGLVVIGGVVFLQRWFSKENDVVKHEETWSSSYCSYNVKSFHRVSFDQEEIVEAMIDKNVVGHGGSGTVYKIELSNGEVVAVKRLWSQKSKASAADEGTDEQQQIMNRELKTEVETLGNIRHKNIVKLYCYFSSFDCNLLVYEYMPNGNLWDALHTGKCLLDWPTRHQIALGVAQGLAYLHHHLMPPIIHRDIKSTNILLDQNFQPKVADFGIAKVLRGARGKDSTTTVVAGSYGYLAPEYAYSCKATTKCDVYSFGVVLMELITGKKPVEMEFGENKNIIYWISTKVETKQGAIEVLDNTLSAYFNDDIIKVLRIAYRCTCNTAALRPTMNEIVQLLIQADPCKFQSCKSPNKTKEPLIK >cds-PLY85279.1 pep primary_assembly:Lsat_Salinas_v7:3:71486814:71489430:1 gene:gene-LSAT_3X54641 transcript:rna-gnl|WGS:NBSK|LSAT_3X54641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:QPT [Source:Projected from Arabidopsis thaliana (AT2G01350) UniProtKB/TrEMBL;Acc:A0A178VX18] MFGIQLSQLVSPASLLTASSSSRSRSRLVVKMAATTTINHGRSIQSAMVKPPVHPTYDLKGVIKLALSEDAGDKGDVTCLATIPTEMEVEAYFLAKDDGIIAGISLAEMIFNEVDPTLKVEWYKKDGDSVFKGLKFGKVYGRAYSIVLAERVVLNFMQRMSGIATLTKAMAEAANPACILETRKTAPALRLVDKWAVLIGGGKNHRMGLFDMVMIKDNHISISGSVSNALKSVDLYLTNNNLQMGVEVETRTFEEIHEVLRYADENKTFLSRIMLDNMVVPQGDGDVDVSMLKEAVGIINGRFETEASGNVTLETVHKIGETGVTYISSGALTHSVKALDISLKIDTELALEVGRRTKRA >cds-PLY68734.1 pep primary_assembly:Lsat_Salinas_v7:3:139813231:139820056:1 gene:gene-LSAT_3X91921 transcript:rna-gnl|WGS:NBSK|LSAT_3X91921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKMATTGDEEKHFVLVHGACHGAWCWFKLKPLLEAAGHRVSAFDLSASGTNTKVIQDVATLADYSMPLLEFMATIPPEKKVVLVGHSFGGMNLALAMEKFPEKISIAVFLTAFMPDTVHTPSYVIDQYNENTPLEAWLDTQLLPYNDENESETSMFFGPKFLSLKLYQLCSNEDLELGKILIRPGSLFLKDLATAKHFTEEMYGSVKRAFIICNEDITIKEEFQRWMIENNPTVEVKELNGVDHMSMLCDPKQLSVCLLDIAHEYA >cds-PLY79600.1 pep primary_assembly:Lsat_Salinas_v7:2:166416089:166419171:-1 gene:gene-LSAT_2X87760 transcript:rna-gnl|WGS:NBSK|LSAT_2X87760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLHFSPSMRSVTISSSNGFIDLMKIKVAARHISYRTLFHTFLILAFLLPFVFILTALVTLEGVNKCSSFDCLGRRLGPKLLGRGDDSGKLVKDFYKILNQVNPEEVSHDLQIPDNFTQLVSEMKSNKYSAKDFAIILKGMMERSEREIRESKLQELMNKHFAASSVPKGIHCLSLRLTDEYSSNAHARRQLPSPEFLPVLSDNSYYHFILSTDNILAAAVVVTSAVQSSLTPEKIVFHVITDKKTYAGMHSWFALNPISPAIIEVKGVHQFDWLTRDNVPVLEAVENHNGIRNYYHGNHISGTNVGDTVTPRSFASKLQARSPKYISLLNHLRIYLPELFPNLEKVVFLDDDVVIQRDLSPLWEVDLGGKVNGAVETCKGEDSWVMSKRFRNYFNFSHPLVAKSLDPNECAWAYGMNVFDLHAWRLTNIRETYHLWLKENLRSNLTLWKLGTLPPALIAFRGHVQGIDPTWHMLGLGYQKKTNIESVKKAAVIHYNGQSKPWLQIGYEHLRPFWSKYVNYSNEFVKNCHILE >cds-PLY98734.1 pep primary_assembly:Lsat_Salinas_v7:6:103794090:103794770:-1 gene:gene-LSAT_6X68601 transcript:rna-gnl|WGS:NBSK|LSAT_6X68601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKDARNRMQQPTSLIQFNPSIIRERARSIFFSSDIAPRRGLQGNIVVAKRCRNNGREVASLRKTTSIMRCKGKSLTFRHVSLGMRLITMDFKEVALNFPNPELIRFYWNAFLAILVINDAMEEEKGMWEGFIDSTAEALVLLILAKEGTFLVKTIVEAG >cds-PLY84082.1 pep primary_assembly:Lsat_Salinas_v7:6:188564629:188575819:-1 gene:gene-LSAT_6X114321 transcript:rna-gnl|WGS:NBSK|LSAT_6X114321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLIQTEMKKINYVDTLTAIDVGCLISKKTGNYVTAASPGNNGSPPKSFDYVLVIIGAGVAGHGAALHDVEKGLKTAIIEGDVIGGTCVNRGCVPSKALLAVSGRMRELQDDHHMKALGIQVSGAGYNRQAVADHASNLASKIRNNLTNSLTALGVDILTGFGSILGPQKVKYGKDNMITAKDIIIATGSIPFVPKGIEVDGTVHGNTCDHTKGRLLLQIAIVGSGYIGLEFNDVYTALGSEVTFIEALDQLMPGFDPEIGNHIDFVLLFQQITPAKNGKPVMIELIDAKTKEPKETLEVDATLIATGRASFTKGLGLENINVETQRGFVLVDEHMCVIDAKGNLVPHLYCIGDANGKLMLAHAASAQGISVVEQVSGKDHVMNHLSIPATCFTHPEISMPQAKKEKFKISVAMTSFKANTKALAENQGEGVANLIYRADTGEILGVHILGMHADDLIHEASYVMALGTRIQFMYIPPFQKYLMNFLNKPRSMMLSCSFRWPTTSVITTPSSSLLQLGKGTPAAATTSRKRDPGDLSYPLHWIINNMQVHLCRRSHCRPMFDIFTP >cds-PLY85078.1 pep primary_assembly:Lsat_Salinas_v7:7:7379270:7380025:1 gene:gene-LSAT_7X6941 transcript:rna-gnl|WGS:NBSK|LSAT_7X6941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQRPPAYIDYQGMNIRNQAERKWALVLHDGFNRMTSNEAPTSPIMEQRPSAYIDYQGMNIKNQAERKWALGLQSRAHPHEIMTVVLKALQELKVCWKKIGHYNMKCRWVPGVPKAR >cds-PLY66117.1 pep primary_assembly:Lsat_Salinas_v7:7:31607510:31609729:-1 gene:gene-LSAT_7X22980 transcript:rna-gnl|WGS:NBSK|LSAT_7X22980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-specific cysteine protease SAG12 [Source:Projected from Arabidopsis thaliana (AT5G45890) UniProtKB/Swiss-Prot;Acc:Q9FJ47] MANSHQKGSTLVMTLALLMLLVAMASRATSRTLNDPTMIARHEQWMAHHGRIYTDENEKQLRFQIFKNNVAYIDAHNARSDQSYTLEVNKFADLTNDEFRASRNGYKKQPDSDSHVVSGLFRYANVSAVPDEVDWRKEGAVTPVKDQGDCGCCWAFSAVAAMEGINKLENGKLVSLSEQELVDCDIDGIDQGCEGGLMENAFQFIEKRKGLAAESVYPYTGEDGICNTKKAAIPAAKISGHEKVPANNEKALLQAVANQPVSIAIDASGYEFQFYSGGVFTGSCGTELDHAITAVGYGATMDGTKYWLMKNSWGASWGEKGYIRIKRDSLAKEGLCGIAMDPSYPVVSKA >cds-PLY88472.1 pep primary_assembly:Lsat_Salinas_v7:1:150091234:150097452:-1 gene:gene-LSAT_1X105720 transcript:rna-gnl|WGS:NBSK|LSAT_1X105720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTEKRQPLQSSTTDNIPPPTKPAATKAGRTIEETYQKKTQLEHILLRPDTYIGSIEKHEQTLWVWEDDQMVKRSISYVPGLYKIFDEILVNAADNKQRDPKMDSVKVTIDVEKNLISVYNNGDGIPVEIHQEEGVYVPEMIFGHLLTSSNYDDSIKKTTGGRNGYGAKLANIFSTEFTLETADGKRNRRYKQVFSNNMGKKSEPTITKCKSGENWTMVSFKPDLTKFGMECLEADVVALMKKRVVDLAGCLGKSVKVELDGKRVPPKTFEDYVKLYLQSSQEPLRIYEKVNERWEICVSIADGHFEQVSFVNNIATIKGGTHVDYITNQIANHLVAVVKKQNKHATLKAHNVKNYLWVFVNALIDNPAFDSQTKETLTIKQSSFGSTCELTPEFLKKVAKSDIVKKVLSWVQFKQHNDLKKTDGNKRGKLNIPKLEEANFAATNNSDNCTLILTEGDSAKALAMSGLSVVGQDYYGVFPLKGKLLNVREASPKQLQENAEIQNIKKILGLQHGKEYDNVKSLRYGHLMIMADQDHDGSHIKGLLINFLHTFWPSLLKLSEFVLEFITPIVKATQKNSNNVISFYNMPEYEAWKESLGCRVKNFKIKYYKGLGTSNGKEGAEYFADLDKHKKDFVWDNDEDGDAIELAFSKKKIEARKTWLRALQAGTYFDSNEKHIPYRDFINKELILFSMADLQRSIPSMVDGLKPGQRKILFCAFKKPIFQEVKVAQFSGYVSEHSAYHHGEASLVGTIIGMAQNFVGSNNINLLYPSGQFGTRQMGGKDHASGRYIYTKLTPITRHLFNKADELLLNYLSEDGQSIEPTWYLPIIPMVLVNGSEGIGTGWSTFVPNYNPRDIIANLKRLLNGEEMVPMDPWYKWFRGTIQKTASKDTGYTTTGIVDEDEDENTLRITELPVRRWTQEYKEFLEVASTAGKDKEPFIEEYNAHNDDTTVNFQIIMTADQMNKARQEGLLKKFKLTTTLSTSNMHLFDANGVIKKYDTPEQILEDFFPLRLDYYERRKATLLRELGKISLTLENKVRFIKEVVEGTLVVSNRKKADLYAELAKKKYTPLPKEAVIEASIAGAVDHVEGSEETEEEEASDVPIDVEAAAAXIPGTEYDYLLSMAIASLTYEKMKQLWKERDEKKAEFDELTNTPSRSLWLKDLDDLDKQLDEQDKRDAKDEAERKKQQEKARAKGPGGRNARKPVRRAPAPKKPAVAKAEPMETSGGSPAETGNGNAPAPAPAKGRGKAAAQKKAPAKSKGKSVVMDEEEEEEIPSLAQRMAKSSLNSVQGVDNDDIVELEDRLARHNIESSPDTAEVVPKKKQPAKRAAAGKKKATTIISDDEDEDEDEIELSDDSDFEVVKPPSEEKKRGRKPAAAAAAKPPAGATKKRGPAKKNQPAAGGQKLITEVLKPVVVAEASPEKKVRKMRPSPFNKKSGSVLGRMNKDDEDDDEEEMVAESSGVVEVAAKARPVRENRKKMTYVLSDSEEEDEDDDDATEDSEFDDDE >cds-PLY66347.1 pep primary_assembly:Lsat_Salinas_v7:5:289332872:289336073:1 gene:gene-LSAT_5X157741 transcript:rna-gnl|WGS:NBSK|LSAT_5X157741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component [Source:Projected from Arabidopsis thaliana (AT3G56640) UniProtKB/TrEMBL;Acc:A0A178V8H8] MNAKKSRNVTENGETVEDSILMTLIGNGEDLAPMVRHSFEMGKPETLVLQLKHVVKKKEVEIEELCKLHYEEFIVAVDELRGVLVDAEELKSELASDNFRLQEIGSALLLRLEDLLESYSIKKNVTEAVKMSRMCVEVLDLCIKCNKYVADGQFYPALKAIHQIEENYMKVIPVKTLKNLVEKRVPVIKNHIEKKVCGEINEWLVHIRGTSKEIGQKAIGFASSSRQRNEDMLARQKKAEEESCLGLGDFTYSLDVEEIDDNSVLKINLMPLYRAYYIHVCLGIRDQFREYYFKNRMLQLNSDLQVSSVQHFLSSHQTYLAQIAGYFIVEDRVLRTAGDLLSPSQVQAMWETAVSKVTENLEYQFSHMDTASHLLLVKDYVTLLGATLRQYGYDVGYILDASTNSRDKYHELLLVECRQQIKDAVNDDDCKQMVLKESEYSTNVLCFHLQTSEIMPGFPYVAPFSSMVPDCCRIIRSFIKDSVNYLSYGGQTNFFDFARKYLDKLLIDVLNDVILSTIKSSNTGVSQAMQIAANISFLERACDYFLQTAAKQCGVPTRMIARPQTTLNAKNVLKNTREKSYVALVNLVNHKLTEYLSLMEKVNWIIDDVAQHKSEYMNEVVMYLDTLLSTAQQVLPLDVMYKIGSGALEHINNCFVNTLLSDSLKRFTANCVMGINNDFKTLEEFADERFHSTGLSEIYKEGSFRGCLLEMRQLINLLLSSQPENFMNPVIRMRNYNSLDYKKLAIICEKYKDSPDGLFGSLSKRGAKQSNRMKSMDVLKRRLKDFN >cds-PLY70283.1 pep primary_assembly:Lsat_Salinas_v7:2:91676629:91685545:1 gene:gene-LSAT_2X40261 transcript:rna-gnl|WGS:NBSK|LSAT_2X40261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASNASDIKIFTGLQQIAESRHYKAWLLDQFGVLHDGKQPYPGAISTLENLASRGAKMVIISNSSRRASTTLDKLKSLGFDPSLFIGAITSGELTYQHLQRRDDPWFRVLGKTCIHMTWSDRGAISLEGLGLQVVENVEKAEFILVHGTEALGLSSGDSISKKLEELEKILEHCAAKGIPMVVANPDFVTVEARELRIMPVNKPYVLLMFMDFNMREVERKKVEGQLGTLAATYEKLGGEVKWMGKPHEIIYKAAMAMAGVDASDSIAVGDSLHHDIKGANASGIESAFITCGIHANELGLGGFGELADVSSVHALALKYDAYPSYVLPSFTW >cds-PLY65936.1 pep primary_assembly:Lsat_Salinas_v7:4:141563352:141568101:-1 gene:gene-LSAT_4X88160 transcript:rna-gnl|WGS:NBSK|LSAT_4X88160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAICPSLMELCTHIICEKIDQYDTFSMLPRDISQQIFDQLVYSKRLNETYLEAFRGCALEDIELGEYPGVDDSWMDVISSQGSSLLSADISNSNVTDNGLFHIKNCDNIQDLNFNFCAQISDIGLDIISGLSNLTTLSLKRNNITTNGMSVLSSLVNLLNLDLERCPLIHGGLVHLKGLSKLEVLNINCCNCITDDDMEPISELKNLKELQVSSSKVTDHGVTFLKGLYKLAMLNMERCPVTAACLDALSDIVTLLYLNLSRSNLTDTGCHKFSRLKALRVLNLGFNDISDAVLVHLKGLNNLESLNLDSCRIRDNGLVNLAGLHHLKCLELSDTEVGSNGLFHLSGLMNLQILNLSFTVITDGGLPNLSGLSALRSLNLDVRHITDAGLSTLTSLTGLTHLDLFCARITDAGTNSLRNFTKLQSLEICGGGITDAGVRNIKDLRSLALLNLSQNKQITNASLKSITGLTKLVSLNLSSSQVTGEGLQHLKPLKKLKSLSLESTNVTPNDIKQLQESDLPNLVTFRPE >cds-PLY67755.1 pep primary_assembly:Lsat_Salinas_v7:9:165861045:165861446:1 gene:gene-LSAT_9X102941 transcript:rna-gnl|WGS:NBSK|LSAT_9X102941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPIATDKATAHEMRKLSVIIYITEALLLLLLISHSPNKSNHRNNHRRHRRLKLRSNFSFTPTIATTTDHHVSFNPLVVDIERKREDKLWEKSHFFGDHHHEAETEPEADDATGMESQPEWVLSMICSFHLNN >cds-PLY84511.1 pep primary_assembly:Lsat_Salinas_v7:1:32011315:32011837:1 gene:gene-LSAT_1X27721 transcript:rna-gnl|WGS:NBSK|LSAT_1X27721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYAQFHLDLGQSDFLLHTCKTCGFKFAPGDKEDTRSSQRVSQKLHSWYSIQGTHSLEHGRGRVILVLNDDPPAHIKKVEEVIKMMEMELGDGWIFHKHYKVTFFPNPFPLIFS >cds-PLY95081.1 pep primary_assembly:Lsat_Salinas_v7:1:96383128:96383430:1 gene:gene-LSAT_1X79861 transcript:rna-gnl|WGS:NBSK|LSAT_1X79861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLTIRTEDGDEEQVMKGKLQSLHGKIDQLLQSTKASSTDDYGKSAVESLFERIMKQHSASAETSNKVAANSTEVYKSTTEKFDKIIEKTTGFVENFQTT >cds-PLY78485.1 pep primary_assembly:Lsat_Salinas_v7:7:175331574:175332970:-1 gene:gene-LSAT_7X104540 transcript:rna-gnl|WGS:NBSK|LSAT_7X104540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLELLENMNAQVGLTSPWWIGVDVCHLNLHKTFCIPHGGGGPEMGPIGVKKHLAPYLPSHPVRYTAPEQSKPLGTVSAAPWGSTLILPISYTYIAMMGSQGLTDAYNIAILNANYMAKRLESHYPILFRGVSGTVAHEFIVDLRPLKTSAGIEPEDVAKRLIDYRFYGLTMSCSIPGTLMIEPTESESKAELDRFCDALISIRQEIAEIEKGTVDINNNVIKGAPHPPQLLMADKWTKPYSKEYAAYPAPWLRAAKFWPTTCSSLSHG >cds-PLY98883.1 pep primary_assembly:Lsat_Salinas_v7:5:20510099:20510563:-1 gene:gene-LSAT_5X10680 transcript:rna-gnl|WGS:NBSK|LSAT_5X10680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYQPSQKTQTRTKLVEIYTRLGVRTLSESVRKNTSDFDHAAFKPVDSKEKIIKKWLLKLIMGFLVDPKLKMEPDKRHEAVSRVIAIEAFETREKMMVRYSVSLSCEDVIDVEGRRMIRWDKQQSKLFMQKMEGCSCQKDVMEYAFHFAKEIAE >cds-PLY94273.1 pep primary_assembly:Lsat_Salinas_v7:1:156675255:156675620:-1 gene:gene-LSAT_1X108440 transcript:rna-gnl|WGS:NBSK|LSAT_1X108440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVRCRQWRKYAAEIRDPSKQGVRVWLGTFATTEEAARAYDRAAFDMRGHMAVLNFPAEYPLTFSAPAYNASTRATTSSSFMATLTIKPFPVDYFWMINEGFPNTIASIPCWMLGLYWVGD >cds-PLY80307.1 pep primary_assembly:Lsat_Salinas_v7:3:209195058:209198744:-1 gene:gene-LSAT_3X123280 transcript:rna-gnl|WGS:NBSK|LSAT_3X123280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHSTDSDSDFVSTAVPNSTSQDRVKKAKKVQTNATVRKTGKEIYIDIDSASQDRVKKGVTKIKEEKRKRNNRDNRAAIKKQKTVKEKNIVKDILKELPSINTRSTPGLMTDAVSSLTSEQKDWVKRMGFKAFLKINIKSIPLKLCHFVLKHYNEGTNSILIKGKRIKITKEKIHKVFGLPKTGKSLFDLDKVSEDHQVFDGWMKELEDGKANATNYKKIIQKSEQVDMNFKLGFIALFVNTFVESIPMGTNNLVPVRALVELIYCDEIECKLQKIERKTPLVTMWTADKLKERQSFEIEAGGFGVGNLIEQSSNLELEKNENQVNENQDTCIEVYSN >cds-PLY84930.1 pep primary_assembly:Lsat_Salinas_v7:6:15325497:15330530:-1 gene:gene-LSAT_6X11541 transcript:rna-gnl|WGS:NBSK|LSAT_6X11541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNSIEQANGVLGKEITCTAAVAWGPGKPFSIQQIRVQPPQKLEVRIKILYTSVCHTDLSAWQGQNESQQVYPRIFGHEASGVVECIGEGVQDMKEGDHVLTIFNGECRICAYCKSESTNLCEKFRVDPLRSVMRNDKKTRFSTQDGKPIYHFLNTSTFSEYTVMDSACVVKINPKAPLDKMTLLSCCLSTGFGAVTNTANVQHGSTVAVFGLGAVGLAVIQGAKTRGASKIIGVDINADKHIKGMGSNNSIGDSSNTKNVAIASNGAV >cds-PLY82908.1 pep primary_assembly:Lsat_Salinas_v7:6:94750771:94756021:-1 gene:gene-LSAT_6X62221 transcript:rna-gnl|WGS:NBSK|LSAT_6X62221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAELDAFRTQVLGISATKLQSKYRSHSEHGKYVMLQQAVVPVQTICRGQIARKRFEHLKRVAACVVIQKNARMFLKLKCYRAVYDLATRLQASIRGKAANNKYLSQKQTDAAVVLQSICRHDLAHNHFVKTRNAAIVTQSVCRKNLAHAEITKLRKAAKSSVALEAKNKTNEEMQELISQLEAKDKEIAKLESYIKEITEYPIKEEGASEIEKGQLPRLEDIPITGNETVDKLTAENVRLKYMASALQKKFDEAHKLCEERSQQARDAEAMVIELKTSMQSLQEKVCDLEEEEFILRQQAMMKSGSLMVKDEENGLHGSPMTPGRMKKFNSSLRRSANEKHNENVDALISAVRQEMGFSQGKPIATLIIYKSLLHWKTFEAEKTSVFDRLIQMIGSAIEKEHDNKHLAYWLSTTSTLLFLLHKSLSPSHAKAHSPSLFGRMTQGFKSSFNDIVRHVEAKYPALLFKQQLTAYVEKIYAIMRDNLKKELAPVLTNCIQPFNEIVVLITGKTNKALIHILQTVQAPKTTTNGPPPSSHWLIVIQCLNIMLDIYKSYNVPSVLVQKMFTEAFSYINAQIFNSLCTQPECCTFRNGEYVKGGLGQLEQWCTQITAEYVGSSMDELQHTKQAIGFLIIPQKPKIGYDELTTKLCPVLSVQQHHRLCTFYVDDHNTGGVSQEVIHNLNVLLKSEGDTHSNAYLLDDNSSVPFSTDDINHCLHEKDFSDMKPSGELLENPAFQFLLE >cds-PLY75882.1 pep primary_assembly:Lsat_Salinas_v7:1:172318573:172318908:1 gene:gene-LSAT_1X114481 transcript:rna-gnl|WGS:NBSK|LSAT_1X114481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTPTKNPLLQVETTCGSLVYELRIIWDEVGENDIERDKMLLELERECLEVYKEKVDSANKCRAQLRQAIAHSEAELAYIC >cds-PLY74503.1 pep primary_assembly:Lsat_Salinas_v7:7:35885763:35886211:1 gene:gene-LSAT_7X26320 transcript:rna-gnl|WGS:NBSK|LSAT_7X26320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSDVSVSPNVVVVFVLVILLIRFFTGHKKDDKDQVEFIAKKTSLGDTVDGAIKIFTVAVRRLSACETMGSATTICSDKIGTLTLNN >cds-PLY97592.1 pep primary_assembly:Lsat_Salinas_v7:5:236995239:236995454:1 gene:gene-LSAT_5X115621 transcript:rna-gnl|WGS:NBSK|LSAT_5X115621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHSIKYTVCSKCGLVIESYSIDETSQWRTFANESGDNDPIHVDGLTNILLNDGRLSNVVFEPNGMINNFL >cds-PLY95463.1 pep primary_assembly:Lsat_Salinas_v7:9:160857438:160859310:-1 gene:gene-LSAT_9X100460 transcript:rna-gnl|WGS:NBSK|LSAT_9X100460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L13, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G78630) UniProtKB/Swiss-Prot;Acc:Q9SYL9] MAMAYATSSSVMFGASQNNRSPVLFGFSTMAASASSPKHIIGARTSRNFQIRCEEQKVQQRTLAPVEQRWMFTDSDFKGPDVWNKTWYPKAEDHVNTEKTWYVVDATDKILGRMASAIAVHIRGKNLPTYTPSVDMGAFVIVVNAEKVAVSGKKRLQKLYRRHSGRPGGMTVETFDQLQQRIPERIIEHAVRGMLPKGRLGRDLFTHLKVYEGPDHPHQAQKPIDLPIRDKRIQKQT >cds-PLY88135.1 pep primary_assembly:Lsat_Salinas_v7:6:8311091:8311663:1 gene:gene-LSAT_6X4541 transcript:rna-gnl|WGS:NBSK|LSAT_6X4541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDKSLARNLFPVRPSSDGRPSSLPDRSGLQRIPLTGGSVPRARSSPLLDPNGLPRIPLTGGSVPGAMSSPLPDPSGLPRIHLIGGSVPGAKSSPLPDPSGLPRIPLTGGSVPGSGSSPLLDPSGFPRGGFVSGARSSPGPNGLPRIPLSGGLSRTSVRTTRSGFSGSSSMGDINTNMGLTDAIADTGVV >cds-PLY75956.1 pep primary_assembly:Lsat_Salinas_v7:5:247390368:247390998:1 gene:gene-LSAT_5X124560 transcript:rna-gnl|WGS:NBSK|LSAT_5X124560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHEMVTVACYTLGSQVALVGSYKGSCCLYDTTENKLQQKVRLTCRTEKSHATKRSPVFRKETTLLKSGAWRLIADIILIKGSYACRPSLLFTIFRFQK >cds-PLY94051.1 pep primary_assembly:Lsat_Salinas_v7:3:231089983:231090799:-1 gene:gene-LSAT_3X130260 transcript:rna-gnl|WGS:NBSK|LSAT_3X130260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGVLLNLLPGQVKGGMVIAAIDLIKNCGVDNQYTIVVIVFTVDPMTTIGKSHH >cds-PLY80648.1 pep primary_assembly:Lsat_Salinas_v7:5:245923399:245926632:-1 gene:gene-LSAT_5X122201 transcript:rna-gnl|WGS:NBSK|LSAT_5X122201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAATNGSDSIKPRDVCIVGVARTPLGGFLGSLSSLPATKLGSIAIESALKRANVDPSLVQEVIFGNVLGANLGQGPARQAALGAGIPNTVVSTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNVPKYISEARKGSKFGHDTLVDGILKDGLWDVFNDFKMGNAAEICADMYELTREHQDDYAIQSFERGIAARDSGAFDWEITPVEVPGPRGRPSTIVDKDDDLSKFDPAKLRKLRPAFKESGGSVTAGNSSGINDGAAALVLVSGEKALELGLKVIAKVSGYADAEQAPELFTTSPALAIPKAISRAGLEASQIDFYEINEAFAAVALANQKLLNLDSAKLNVHGGGVSLGHPLGCSGARILVTLLGVLKTKGGKYGAAGVCNGGGGASAFVLELV >cds-PLY98758.1 pep primary_assembly:Lsat_Salinas_v7:1:8834810:8838867:-1 gene:gene-LSAT_1X7381 transcript:rna-gnl|WGS:NBSK|LSAT_1X7381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILIGWRVVLLFLSPILTLAESSNTPWSMWSSNFGAEQTLLYRWTIFSASFCVLVALFLSTYLIFEHLAAYNQPEEQKFLIGVVLMVPVYALESYLSLLDADAAFNYEIIRDWYEAFALYCFGRYLIACLGGEDSTIEFMESKSRISYSIPLIEESYTYGVVEHPFPLNCFLKEWYLGPDFYQAVKIGIVQYMILKLIFAPLAMIFQYLGVYGEGKFELRYAYPYMAVVLNFSQSWALYCLMQFYSVTKDKLAPIKPLAKFLTFKSIVFLTWWQGVGVAILFSIGAFTGPLADQLQTRIQDYIICLEMGVAAVVHLYVFPAGPYKRGERCVRDVSVMTDYASLGAPPDPEEVRDSERTPRLRLGLPDDRPKRPKLHQSVRDVVFGSGEIIVDDMKYTVSHVVEPVERGLAKINRTFHEISENVKRHEERRRPLPRPKDDSHLIPLSSWSNEFLEIHRDVEKGSSSDSKLSNARRSKNPFSQSPYR >cds-PLY87165.1 pep primary_assembly:Lsat_Salinas_v7:5:257661607:257665559:-1 gene:gene-LSAT_5X132881 transcript:rna-gnl|WGS:NBSK|LSAT_5X132881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMYAMNGIVVNEFIGHSWNRVLPNSTESLGVRIITSRGFFPYAYWYWIAVAALIGYVFLFNFTYTLALTFLNPLEKHQIIILDENEAQNPSAVELHSMSKKKGMILPFEPHCITFDDVKYSVDMPQEMKDEGVIEDRLMLLKGVSGVFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYMEGNIVISGYPKKQETFARISGYCEQNDIHSPHVTVHESLIYSAWLRLPADVDSETRKMLVGEVMELVELNPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTIRNTVDTGRTIVCTIHQPSMDIFEAFDELFLIKRRGEELYVGPVGRNSCHLIKYFEDIEGISKIKDGYNPATWMLEVSASAQEMALGIDFTQVYKNSELYKRNKALINELNTPPSGSKDLYFPTQYSQSFFTQCMACLWKQRLSYWRNTSYTAVRFLFSLGIGLMFGTMFWDLGGKRTSQQDLLNAMGSMYAAVLFIGTQNSSSVQPVVAVERTVFYREKAAGMYSPLSYAFAQRIPIWWRWYYWACPIAWTLYGMVASQFADSEDMLENGETVKDYLERYFGFERSFLGVVAGMHVGFTLLFAFVFAFGIKSVNFQKR >cds-PLY88920.1 pep primary_assembly:Lsat_Salinas_v7:8:297456815:297458090:-1 gene:gene-LSAT_8X163980 transcript:rna-gnl|WGS:NBSK|LSAT_8X163980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGKSKRTKRTAPRSDDIYLKLLVKLYRFLVRRTGSNFNAVILKRLFMSKVNKPPISLSRLIRFMSGKEDKIAVVVGTVTDDVRVHEIPCIKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNCREAVRHFGKAPGVPHSHTKPYVRSTGRKFEKARGRRNSRGFRN >cds-PLY64793.1 pep primary_assembly:Lsat_Salinas_v7:2:101161345:101166429:1 gene:gene-LSAT_2X44040 transcript:rna-gnl|WGS:NBSK|LSAT_2X44040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVSAVITVLCEKLISGDLMKLARSEGIDSQLNKWKKTLQLIQAVLADAGRKQITERSVQLWLNDLQDLAYDIDDVLDDMATEVIRRKLNQESHASTSISKVSKFIPSCCTNLTPRNIMYGRQMSNKLDEITTKLHDLVDQKNDLGLNVNVERSNKTERRLEQTSLVDESKIMGREDDKVALLEKLWNESSDQNVSVVSIVGMGGIGKTTLAKVLYNEEKVKDHFELRAWVCVSEELDVFNISYAIFQAVCGEERRFSNLDLLHVALKEKLVNKRFLLVLDDVWNEDQSKWELLQSPLVGATGSKILVTTRNTRVASVMDSDEAYHLEVLSDKDALSLFAQHALGEKSFEKHPTLKSHGEGIVKKCGRLPLALKTLGKVLKSNRNDDEWERLLNSEIWDIHDGSEILPALRLSYYHLPPHLKLLFAYCSLFPKDIVFDKKELVLLWMAEGFLSQENGNKSMESSGYRYFEELKSRSFFQHSTNGELGYMMHDLINDLATSVVGEFFFRLDEEMDASERNQTFEKFRHFSFIGPRWAAYKKLNKLQRARRLRTFLHIQVGWGSHGLLDKDLADLLPLLKFLRVLSLTNRIITGVPQSIGSLKHLRYLNFSNTGITSLPDQVGDLYNLQSLLLRSCYELRSLPKSFVKLINLQHLDITGTPKLNKMPLGIGGLTSLRTLIKVIIEGPNGFEISDLKGLSDLEGRLSIMGLEKVINPMEAKDANLHQKKGLDVLEMKWSDVFDDSRNEKIEYKVLEGLRPHHKLRNLNIWFYMGTRFPNWVGDPSFDQLTELTLRGCRSRHLPTLGHLRSLRKLFVERMNEVKTLGLELLAPSNSFIGIAFPSLEVLKFDNMQGWERWSTSGGNNTITTTSFPCLHEISIKRCPKLAQVSVGLIPSLSVLHIEECSEVVLKSMVSVSSSLVALKLLCIKGFTQLHGEDLVHLGAVKDLYIDNCDELKHLWEPTLEASKILGSLQKLEVSYCEKLVSLEEKEDKEVNLGINMECVKEVILRSCDTMESYKCQNSVERLVIDSCRSMTSLTFSTIHKLSSSLTESLISDSDNIEPIPKNNFDFLPIFCLTSLHIVNCKNLKSFPHEHFQSLTSLEQLLIHDCPSMDYSFPSGLWPPNLRTLHIGCLKKPMSEWGPQNFPPSLVTLHLYGKNSGVVSFQVAEAEDMKNTTSASFLLPRSLVSLALIGFMEVKSLSEVLQHLTCLKRLDIWECPKLRGVHDTTSKPSSLTIRAW >cds-PLY77888.1 pep primary_assembly:Lsat_Salinas_v7:1:22348163:22348572:1 gene:gene-LSAT_1X19500 transcript:rna-gnl|WGS:NBSK|LSAT_1X19500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEGIIETLISENKALHSEVGMLVVTVKKIQDTMTRMEEDDRAALPLMVANQEKNAANSHHNDSRATVSEASRILHILL >cds-PLY71455.1 pep primary_assembly:Lsat_Salinas_v7:7:190083931:190086757:1 gene:gene-LSAT_7X116101 transcript:rna-gnl|WGS:NBSK|LSAT_7X116101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold-responsive protein kinase 1 [Source:Projected from Arabidopsis thaliana (AT1G16670) UniProtKB/Swiss-Prot;Acc:Q93YN1] MGCFSFFFRRSADPPTSRFYEFEDELSEVQNLKLYTYKELRCATDDFSPANKIGEGGFGPVYRGRLRHGKDAAIKVLSAESKQGAREFLTEIKLISDIEHENLAKLCGCCVEGNHRILVYDYLENNSLAQTLLGNGHSSLEFSWRTRSKICIGIAKGLAFLHEEVRPHIVHRDIKASNILLDKDLTPKISDFGLAKLIPANMTHVSTRVAGTIGYLAPEYAIRGQLTRRADVYSFGVLLVEIVSGRCNTNSLLPIEEQFILERTWDLYEKNELIELIDAELNGDFDVEEACKYLKIGLLCTQDNPKLRPVMSTVVKMLSGEMEIDEGKITKPGLISDFMDLKVRSTPNKTANHDPKKNIFNTTTTSSGSDNAEHSLLTSNNTSQSTMTFTDVWDRAN >cds-PLY95616.1 pep primary_assembly:Lsat_Salinas_v7:4:124411933:124413507:-1 gene:gene-LSAT_4X78141 transcript:rna-gnl|WGS:NBSK|LSAT_4X78141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEGMSGSPLLEDIPELLHRIQMRLPVKEAARTSVLSKSWLHAWSTIPTLRFLQATMSLRKEQKPEHLKVIDHTLKKYLHNNIPIERLYLMIDTENQEYSSSLAEKWITSVATKSCLKQLSILIMVTSASFSLPDDILSGKNLTKLRVTGSFSKIHSLLMTTHPVINSVSLRKLHLKHVHISEQVIHEIFSTCKLLVDVELFHCKGFDTIKIKNLGFLNNLQIDSYERNRILEINEVPNLSFFWCVGNPLSVNMGSLEKVTHLSFGDVFMDEAFLDMMKLKFPLLESLTLYMRLWNFESFHFTCASLKRLSLLGCSLRLINVQVNAPKLHSFCFEGQTMPSLMFPAVANSGLKKIKLGMYLSNPVDTSFFLKMRNALELSIEREIGITMTNIVIVPPFEVDIINLRKMAKFPALDVKRLLFKTIGDEGLWESSPFFDAFFTICHPKKIITKPDKMFQHHNHFCKLMVREVMEKKNTGKAEEYLNWPYYLKGFEVKSEKEKSLPDGLRTSLDGSSRHSVFKLNWR >cds-PLY84125.1 pep primary_assembly:Lsat_Salinas_v7:6:190640282:190645846:-1 gene:gene-LSAT_6X116141 transcript:rna-gnl|WGS:NBSK|LSAT_6X116141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARKGNQQKNGLDGSTSNHKKGGLQSGTAMPNKKGKGNASNLKDAKREELSNDTPPNISVTDSLHKKKTNKSGRHIKVETQGTAGTSSVEQLEPRDVTEDCSADMATTGSSCLEEEKWSSANGINGSKNRKSNSAHSLNGLDEDGLRESVELPETLGVKYLKTFVLSVSQASTEWFERHKPLFDILVTKILISREYVRMKIKYAYPIVLKWLTHFFNILLLLSMVWLDCVLRGIDSVIRMGTTSFFTVIWCSIFSVVAMIGMLKFITVGAIVALVALFVGFTIGLLLLAVSGVVFLWLYGSFWTTLAVLLFGGMAFMFSHERLALLIMTVYSVYSAWTLVGWLGIIVALNLSFFSSDALIFFLRNNMNEQRRSNSVPEQNGPGVFNGEEARASFMDGGTHTHPHPPADRSPGVPSTSGSDDLTSEDEVVRLLNSVDHYSAFGLSRFQEIDALFLKREYRKKAMLVHPDKNMGNEKAAEAFKKLQNGYEVLLDTSKRKAYDDELRREDLLNYFRRFQNASPKNKRNGLFGSAFGRTEVHVDDPLGESRRIACQKCNSFHMWFYTKKTKSRARSCQDCKDFHPAKDGDGWVEQSSQPLLFGMFQKVDSPRAYVCADSKIYDATEWYICQGMRCPANTHKPSFHVNTSVMSKHSNNTKGGASSSRNGNGNGNGMPNMEESMTEEEFMEWLQNAVQSGMFDSFAANASDTTPTPKTNAPPGNNSNNSSKRKKKGKKW >cds-PLY92299.1 pep primary_assembly:Lsat_Salinas_v7:2:209518271:209518531:-1 gene:gene-LSAT_2X129981 transcript:rna-gnl|WGS:NBSK|LSAT_2X129981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQWVSRQNQKMRERMGVTEREIEKEEGVAGVAIGGIRAVQRAQGGPGGRKSIQEVEESTGNRWEFSLFVLHYHFRRPNRKNRFHRG >cds-PLY80514.1 pep primary_assembly:Lsat_Salinas_v7:2:138000263:138003622:-1 gene:gene-LSAT_2X68081 transcript:rna-gnl|WGS:NBSK|LSAT_2X68081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQFWILKELLAGRRQRGVNLLLYRHGYFLLEEVIDALKKLIIGWSNVSNVQIKGKNVGDYMDKFEYGDKSGGCNRGVISK >cds-PLY78685.1 pep primary_assembly:Lsat_Salinas_v7:9:48018090:48029037:-1 gene:gene-LSAT_9X43561 transcript:rna-gnl|WGS:NBSK|LSAT_9X43561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGKVNGDRGSGYSSNRDAWSMDKLSVPFYFTNFPNVVDSKKLWDICDRYGVVSDVYMDLKLSKLGKRFAFVRFIKVDNERDLESELWDIWIGDEFVDRTGKFYSAVDAKKFVVPDQNCAQPPLPANVDPEPAIPAPEQPPANGLASGQMLPSPIEVSVQQQQLDYHSVAQNSEEGDSQSQPPGFRRSYPVGAGLIDDEMFSLSLILAYNDEFNSQQRF >cds-PLY69484.1 pep primary_assembly:Lsat_Salinas_v7:6:42806486:42808988:1 gene:gene-LSAT_6X32921 transcript:rna-gnl|WGS:NBSK|LSAT_6X32921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNMKQLHKHPSANHRRDEEMMSPHEIPPYSPKSLKHTSAVRSISLSVNYILKEQRLLFTLVGILIGSVFFIYQPSLSTVSSIDTVTSNELPSMRAVSFSQRDTNTHYSRPATHGATGRIPVGIGRKRMRVVVTGGSGFVGSHLVDKLIARGDEVIVIDNFFTGRKENVEHHFVNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGDGVEVRIARIFNTYGPRMCLDDGRVVSNFVSQAIRKQPMTVYGDGKQTRSFQYVSDLVDGLMALMEGEHIGPFNLGNPGEFTMLELAQVVKETIDSSATIEFRENTADDPQKRKPDISKAKELLNWEPKVPLHEGLPKMASDFRNRILNEDEGKGNK >cds-PLY73601.1 pep primary_assembly:Lsat_Salinas_v7:6:128293253:128293777:1 gene:gene-LSAT_6X76240 transcript:rna-gnl|WGS:NBSK|LSAT_6X76240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSIFMATPITLLKKTPTFSVKCMSQTPQSGEPEISKPATTPTPPKINIPPPPPPAPKVSTKFSDVLAFSGPAPERINGRLAMIGFVSAMAVELSSGQDVFTQIGNGGVAVFVGTSVVLTLASLVPLFKGVSVQSKSSGLMTSDAELWNGRVAMLGLVALAFTEYVKGSALV >cds-PLY79183.1 pep primary_assembly:Lsat_Salinas_v7:5:57504103:57508471:1 gene:gene-LSAT_5X27000 transcript:rna-gnl|WGS:NBSK|LSAT_5X27000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSNFHQQHHDHQPLSDSSCYGVSWSQNPILNNTSNDTNSRDQKQHLGTTPMIHELGLPWNTNNNSNVTSINNPIESFMTHELRRLGRTKDEFSGSESYPKFTEMIINSSPTSSIEDMQLKPDVLFRTFSNGCQIESNYDYQNGSSRSSSRGSFSQIFPTINISNLNQSPANSFDMNLPALDLFGSPRFNGSFSHPSSFNPHQLGSFFKDTCLSYGLDQMHQPHRPAICPSKISSAFNTSTTVCTEAKRPATNYIDTKPPQSTLPKKSKMEPRASCAPFKVRKEKLGDRIAALQQMVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMKSTQKSNRLPTSGVSLMEDGNEEAKRDLRSRGLCLVPLSCLSYVTDGGGGVWQAP >cds-PLY96322.1 pep primary_assembly:Lsat_Salinas_v7:5:192439751:192440887:-1 gene:gene-LSAT_5X85921 transcript:rna-gnl|WGS:NBSK|LSAT_5X85921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSATASASTSHSPAPGSDSKSERIVPPVLAQAPVSNLTSSSSPSSSSPARKNARIRSSLIRNLEENYDLHRTCLNKDIPHDEIMEIFKHESDTDRRNIALYTVLFTLVTLFVLYKYLDDLPKIKNISKRSNNNKEEVPLKKRIAYMLDVCFSIYPYAKLHALLFATIFLIAFGGLALYAVSDGSLAEALWLSWTFVANSGNHANRVGTGSRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSL >cds-PLY62051.1 pep primary_assembly:Lsat_Salinas_v7:5:331063904:331064609:-1 gene:gene-LSAT_5X185241 transcript:rna-gnl|WGS:NBSK|LSAT_5X185241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRQSNYTTQEILDCLGINEEELYEFEGLKHVRVDLNISQGFEFPSQLTVETLTENEEDLEQEGMDQEGIDEEGMDEEGMYQERIDEEGMEQEGMNQDGMGPKGIIEEDMGIEGIDEEGVEQEGMDQESMNQDRMGAQGLDQEGINENGIGEEGMEQEGMDQEGLVVEDMGRRPKLRKRKTSERITKIQLKKLVVVKHGKGMSSSNPLSLD >cds-PLY68210.1 pep primary_assembly:Lsat_Salinas_v7:8:118700776:118704482:-1 gene:gene-LSAT_8X82321 transcript:rna-gnl|WGS:NBSK|LSAT_8X82321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGATPISTTGSPRFGGVSAHRRRGLEQTNDVAAASTDSDAVNNNVIGIGMGMGHPIHLHQQQQQQQEKHRHQNINDCIAEAAVDCFRSYTANHIQVHHNSNNNNVNRKGSIEVRNYGKLGNNLRRTVLGILILLVGLSVFVKFSYMAVTNVAEMEVEVVGRRASNAQMLILRDYKRDIIKAQRALADIVSSTSSMPKRVYEKSLYNPAPEIWMKSNSSRYHQCIARPRNQSKSSSITNGYLLVHANGGLNQMRTGICDMVAIAKMMNATLVLPSLDHQSFWTDPSDFKDIFDWKHFINDLKDDVHIVESLPPEYETQKPFDKAPVSWSKASYYRGEMRQLLRKHKVVKFSHSDSRLVNNGLPSSYQKLRCRANYEALRYSDEIESLGKKLVARLRSDDEPYIALHLRYEKDMLAFTGCSHNLTTEEHQELETMRYNVKHWKEKDINGTEKRQQGGCPMSPREAALFLKAMGYPTNTKIYIVAGEIYGNKSMDAFRSEYPNVFSHSTLATPEELTTLKHFQNRLAALDYIVALKSDVFAYSYDGNMAKAVQGHRRFEGFLKTISPDRLNFVRLIDQFDKGEISWEHFSSQVKLIHKDRIGAPYKRRPGPSPKLEESFYANPFPGCICGVSKKKSSHVLPEQKPGSGNLLRSPK >cds-PLY78228.1 pep primary_assembly:Lsat_Salinas_v7:6:7924919:7926589:1 gene:gene-LSAT_6X4801 transcript:rna-gnl|WGS:NBSK|LSAT_6X4801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGQKIETGHTDIIHDVCMDYYGKRVASASSDATIKIISVTTTTTTTATSHPLATLTGHNGPVWQVAWAHPKFGSLLASCSYDGTVIIWKEGNLNDWTLAHTFNNHKSSVNSIAWAPHELGLCLACGSSDGDISVHTARSDGGWDTTKIDQAHPVGVTTVTWAPSMTPGSLIGSGVFDPVQKLASGGNDNIVKIWNFVNGEWKLDSIPTLKMHGDIVRDVAWAPNLGLPKSTIASASQDGSVVIWSVGGKEGEEWKGKVLNDFKAPVWRVSWSLTGNLLAVASGDNNVTLWKEALDGEWQQVKTSE >cds-PLY85538.1 pep primary_assembly:Lsat_Salinas_v7:2:196605143:196606484:-1 gene:gene-LSAT_2X117441 transcript:rna-gnl|WGS:NBSK|LSAT_2X117441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIFLLLLSLLTFTGDVISTTTPYLSSETLFSNYDKMLDSFKIFIYPTPPITGGTIFTTTQESQFYTSLLSSPFVTEDPSQAHLFFIPFPSSLSTRNLARLIRNIRGNYPFWNRTLGADHFYLSAAGVDSSSDRNIVELKKNSIQISCFPTSSGLFIPHKDITLPPVHPFKAKSSVNNTLSFLGYMKPSGRSSSTLIEEIKKHPEFKVESEPGNRTREFIKSSRFCLFLYGDDMTWMVEAMALQCVPVVITDRPIQDLPLMDVMKWSEMAVFVSSSGGAKGLRRVLDVIELNGYEKMKESGVAATQHLAWNAEPQPHDAFHMIIYQLWLRRHTIRYARWVEQ >cds-PLY81828.1 pep primary_assembly:Lsat_Salinas_v7:3:33681679:33683250:1 gene:gene-LSAT_3X24921 transcript:rna-gnl|WGS:NBSK|LSAT_3X24921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFFQSVFSDDPDSSDLDATEAHSPQSLPQNPGPSIPTITSAWTFGSSLMKTLASKSESVIETYRRDLEEFSSGIKKETAVIREAAAKAVKDLPTSLEAGAGLAQESLESVGQAIDDLGTTVTEIIAQGKDTLLAVDYSDSDSDLTDANISQRITSSENLRFSKPYSRLDAQILTIQSDMNTYLNDPEDLMEYNEWKLGFKFDEKAEEINDIMNAYNGVVGEIYKEIVPARVDEDSFWSRYFYRVYKIKKTEEARVKLVNRAISGEEDEDLSWDVDEDDYEENAESGLKVEEKESVENSQIEDDDNEKIGVSETKTEDQNETKTEDQNESKADCMEAKSDVKTGSEGKTDRDSDISIVSSQPSPEEDGWDEIEDIGSSDENKEKVVTHGNASPVREELRKRLSIAEEEEDLTWDIEDDDDDDDDVPVKA >cds-PLY88495.1 pep primary_assembly:Lsat_Salinas_v7:5:46350085:46353195:-1 gene:gene-LSAT_5X22440 transcript:rna-gnl|WGS:NBSK|LSAT_5X22440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSCAIITFLLLFIGCSSRPLYPLPSHDEKTKIPLQTFRPFNVAHRGSNGELPEETAPSYLRAIEEGTDFIETDILVSKDGVLMCHHDVILDDTTDVLDHIQFADRKRTYEVEGANMTGLFIFDFTLEELKTLRAKQRFSFRDQQYNGKFPIITFEEYIQIAINAPRVVGIYPEIKNPVLMNQHVKWPKGKRFEDVFVEILKKYGYKGSYMSKEWLKQPCFIQSFAPSSLVHIHNKTDLPKIFLIDDVDVRTQDTNQTYQEITSDRYFNYIKEFVVGIGPWKDTIVPVIDNYIETPTDLVDRAHAYNLQVHPYTFRNENKYLHFNFSEDPYIEYDYWINTIGVDGLFTDFTGSLHRYQEWTCPSSSDNRDATKLLQKISSMIVKYELPRRT >cds-PLY70500.1 pep primary_assembly:Lsat_Salinas_v7:1:71038843:71039720:-1 gene:gene-LSAT_1X61621 transcript:rna-gnl|WGS:NBSK|LSAT_1X61621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARRLTPDHPLVIGRVVGDVVDNFTPSVNMLVMYNLSNQVYNGHELLPSSLTSKPKVDVNGGDLRSFFTLIMTDPDVPNPSDPYLREHLHWYYYIFI >cds-PLY71075.1 pep primary_assembly:Lsat_Salinas_v7:2:162268122:162269056:1 gene:gene-LSAT_2X85140 transcript:rna-gnl|WGS:NBSK|LSAT_2X85140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATGEAQPAKHQEVGHKSLLQSDALYQYILETSVYPREPQPMKELREVTAKHPWNLMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLSTALALPEDGKILALDINRENYEIGLPIIEKAGVAHKIDFREGPALPLLDEMVNDVKLHGSFDFIFVDADKDNYLNYHKRLIDLIKIGGVIGYDNTLWNGSLVAPPDAPLRKYVRYYRDFVLELNKALAVDPRVEICQLPVGDGITLCRRIS >cds-PLY64014.1 pep primary_assembly:Lsat_Salinas_v7:4:295637176:295642233:-1 gene:gene-LSAT_4X152920 transcript:rna-gnl|WGS:NBSK|LSAT_4X152920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTIMLIENNGQEKDEKAQVDFDDKTSWEYLFKVYWIYLKGKLSLTLDELTQATNPWKRANTTTPLVSQSTCVHNGDNDLKSLTPEISPQNLETSESKRQKTDEHIQKETVITKKPGANESKEWATKELLDFVAHMKNGDTSLLSQFDVQELMLEYIKRNNLRDPRKKSQILCDSRLKILFGKPRVGHFEMLKLLEFHFFINEDLPKNTIKNIGKQVDPDWNLENMVTLGKDKKRRNHKKSEDRVPQNKLDDYAAIDVHNMNLIYLRRKLMENLIDNSEEFRVKVIGSIVRIRISGCDQKYDMYRLVQVVGTSKADAPYKIGNKSVDILLEVLNLDKKETVSIDTISNQDLSEDECRRLRQSVRCGLVKRFTVGDIQDKAVSLQSARLNDLMEAETLRLNNLRDRAKYVEKLQLLKTPEERERRLREIPEVHSDPKMNPDYETDDTEEHVQQMKPKHSRINHKNTNSPKKQPEFINNVTSSPLKNKPLRHLTIKPEEGSTHGSSGSVRPQTKVTSNGSTVTNRTHATSSSLNTPTSIDSDMEKLWHYRDPSGKIQGPFCKIQLQKWSTTGYFPVDMRIWMKNEDESLLLNDVLLKEESPIPTEKVGIGIEGLTVRIPIPSSTTVQIPKSIQPDLASPTPIENNSTDKVGIGIESLTVRIPIPSAAVKSTAPVLASPTPVEKENNSDVKHVSTVYDSSNVGMVDLPSPTPKTRNEDENVSGIGIGIGIPVQDAGNRNPANWSNGGGAEVSKTGGEWSGYSPTSGGGSVAEVGAGGDHGGLPLSLPPWQGVRETIEFSTLAEESVSDLLAEVDAMESQNGFPSPTSRRNNFVEDLFNGSFEDFSPTPRTDTHLPFQSTTTTTTTTAAAATTAXXXXXPRQFTTSAFQVARYTGTNRIQKHNRLK >cds-PLY62940.1 pep primary_assembly:Lsat_Salinas_v7:2:212643090:212643245:-1 gene:gene-LSAT_2X131760 transcript:rna-gnl|WGS:NBSK|LSAT_2X131760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKLFSVYKQAENGEPKCCVCFHFLDSPVEAIQYMDHGATSYFGTIEFVNM >cds-PLY76880.1 pep primary_assembly:Lsat_Salinas_v7:3:5824543:5827856:1 gene:gene-LSAT_3X3641 transcript:rna-gnl|WGS:NBSK|LSAT_3X3641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLCVPVSILLFLPPKKQRLGWGEGLAKYEKKKVDPEDILDKEVAARNGMVDGVTGSEPLLTSPFSLTDKSPSVNGYSEYASPATPYSYACTSSPGLEAAYAQAFGKSVSLSEQQLVACARDFNNFGCNGGLPSQAYEYIKYKGGLDTEESYPYTGKDGVCKYKSENVAVKVIDSVNITMGAEDELKHAVGVVRPVSVAFLVINGFHQYTGGVFTSDVCGNDPMCYPRKRVRKHATNIVLRKKRSLRRRKSKLHAGKHVGFLKGWIRGKDPSRVVHYEYWEAIDSTFGLQGGFIWDWVDQGLLKENANGSKYWAYGGDFGDTPNDLNFCLNGLIWPDRTPHPALNEDLEFNWAIEGDVCKLDSGTLSLPTLEFNWVIEGDFGS >cds-PLY76431.1 pep primary_assembly:Lsat_Salinas_v7:8:154380021:154383834:1 gene:gene-LSAT_8X103360 transcript:rna-gnl|WGS:NBSK|LSAT_8X103360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKKKPLQMVESSVKIMLLITGALVTIALYYVNINNNEEEKASRPLVIYDTSVYDSLEGCDLFSGKWVHDNDSYPLYKDSDCPYIRGDYACGQYGRMDSKYQQWRWQPNACNLPRFDAKEALERLRGKRVIFIGDSVNRNQWVSMVCMLQAVIPLGRKKMQKIHGVSLFTFKVFDYNVSIDFYWSPLLVESNGDHPSKHRTNERIVRINSIEKHATHWINADILVFNSYLWWRMPTVKILNGSFEDSTQYDIVDNHNGYKMVLKTWSNWLHSHINHTRTRSYFMSMTATHHKGVEWGMQDDQNCLNETEPIMKDEFWESGSDLKMLMILESSLNKLKTRGVNVQMMNITQLTQNRKDAHPSIHRLHYSPLAKEQLSNPSSYADCTHWCLPGVPDVWNELLLAHILRKHI >cds-PLY76494.1 pep primary_assembly:Lsat_Salinas_v7:9:194656559:194658631:1 gene:gene-LSAT_9X121141 transcript:rna-gnl|WGS:NBSK|LSAT_9X121141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQRSSSLFFSSLLTPRGDTLLYLIALLSIFSLIIHHISLSAAADSHFEGFDADDEVELDDDSLLLQSSSLSDLPRRSPPPSTTLSTSSDPESHHGPPNPPSSQPADSDLATKPSTPSSSFEYWDEDEFEGFPTEITPPEVSRITEPTTPGGSESAAPEDTEKIAQPTSAKKSIGSFTIEITCVSILIIFAINFFTGKKENETLALAWAAKFATNDSIFEKNFSLLGVGETDDSPLLLKEGQNVFKFYASGRRFCQGLLATMELKSRHDLIARLYNMIVPCKDEITFEVYMNDDAMDHVVFALAKKKAAKTMQKELRDLQRFGNLMPAPTNRKWVADELSVITESKEVAGDLITEAVLDQVFGEKAFQKFGKLFMSMHFSDQHPSTHRKILIFKFALPAADQMADMTRLVALIPYYIDLIGRYKLSSQARSKPEAARAKLAQEVYKELQYARQEAIQRKKIEKKKMMEEAESKLNAEALRKKEAKERARQLKKAMPKIKMSRGG >cds-PLY83645.1 pep primary_assembly:Lsat_Salinas_v7:4:43816100:43818292:-1 gene:gene-LSAT_4X30361 transcript:rna-gnl|WGS:NBSK|LSAT_4X30361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKWNYRRRWTPKNYYRDQRVPSPPTYYDTEFKFRGAWKNEELPWEERFCLSVGIPWEKIVNAKNYMNCYDNVINWNDSAGEASFSEAKNRFWAKINEIPIDKSQPNLDPDMYNHDIDWNPEIDLELIKDLDRAYFNPDEPQKVETLDGIRSNNDGFVPGCIIGLNEMNKGSENPWERSKNDKINGWDCFNNSVNKNIDPWERGATQEDREIKDCGWGGGGVSGSWHNDMMTRGGGANKSWKRVDDNSKNSWGNNRNTQRHEHNAYVGTSQGTQRGNNRGNFGRGQYNGSGWDSKVGSLKREGSQQYTSTYKSARLQYDDYRARGNQYRR >cds-PLY73909.1 pep primary_assembly:Lsat_Salinas_v7:3:38431736:38434596:-1 gene:gene-LSAT_3X30600 transcript:rna-gnl|WGS:NBSK|LSAT_3X30600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSRSDTFYSANGVRSISSEVHSDLVVHVNETRYLLHKFPLLSKCVKLQRLCSENPESSHLQITQLHDFPGGSKAFELCAKFCYGITITISAYNIVSALCAAKYLQMTEDVEKGNLVHKLEVFFNSCTLNGWKDCIVTLQTTKPFKLQSEELGITTRCIESVVSKVISNPSKVSLSHSQNGEREVSDTNKKWWGDDISELRIDLYWRTMAALKSGGKVPANLISDALKIYTSKWLPKISRNLKIVSSSNGESELESSKANTSRLLLESIITLLPMETNSVSCSFLLKLLKAANVLQASASSRLELARRVALQLDEAKFMLQTQSQKTSPLRTNGDRTECQESSRSSSSTINGSMVKVAKLVDGYLQEISRDVNVPLSKFISLGESIPELARLNHDDLYRAIDVYLKSHPDLTKSERKHLCRTLDCKKLSFEACMHAAQNEFLPLRVVVQVLFFEHTRAAMANGHLTGLPGNIKALLAAKGNGTSPHGSETVSTNMSTPQGDHEQWVKPTKSRMKLAADENPDDGSSKSSCLVPRRRMFSRLWLMNRSEIENKNKKVVNCE >cds-PLY62116.1 pep primary_assembly:Lsat_Salinas_v7:5:38970253:38971125:-1 gene:gene-LSAT_5X18860 transcript:rna-gnl|WGS:NBSK|LSAT_5X18860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRTRQSSTTSRISDDQINDLVSKLQQLLPEIRNRRSDKVSASKVLQETCNYIRSLHREVDDLSERLSELLENTDSTQASIIRSLLSQ >cds-PLY74654.1 pep primary_assembly:Lsat_Salinas_v7:5:176924567:176928355:1 gene:gene-LSAT_5X78820 transcript:rna-gnl|WGS:NBSK|LSAT_5X78820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKFWSNQADSETESEVSDYEQDDVNEGQEDATNAPDRNKYLDTGDSDSDDSDMHKRVIKSAKDKRFEELSTTIDQMKNAMKINDWVNLQENFDKINRQLEKVMRVTESDRVPNNYVKALVMLEDFLNQAMANKEAKKKMSSSNAKALNAMRQKLKKNNKQYEDLINKCRENPESFEDQEEAEEPSEDEDEDDTGSEIDDPTKSGTESEGESDDNEHDEDMDENQPGWERMMNKKDKLMEKQFKDPSQVTWDIVNKKFKEVVAARGRKGTGRLELVEQLTFLTKVAKTPAQKLEILFSVVSAQFDVNPGLSGHMPINVWKKCVQNMLVILDILEQYPNILVDDSVEPDENETQKGADFKDTIRIWGNLAAFLEKVDVEFFKSLQCIDPHTREYVERLQDEPLFFVLAQNVQAYLERVGDYKAAAKVALKLVELVYYKPQEVYGAMRNLAEQSEDKGEESGAETKEESRGPPAFVNTPEIVPRKPSFPDSSRALMDILVSLIYKHGDERTKARAMLCDIYHHAILDEFSTSRDLLLMSHLQDNVQHMDISTQILFNRSMAQLGLCAFRAGLIAEGHGCLSELYSGGRVKELLAQGVSQSRYHEKTPEQERLERRRQMPYHMHINLELLEAVHLICAMLLEVPNMAANSHDARRKVISKTLRRLLEVSDRQTFTGPPENVRDHVMAATRALSKGDFQKSFEVINSLDVWKLLRNRESVLEMLKNKIKEEALRTYLFTYSASYETIKLEQLAKMFDLSESQTHCIVSKMMIGEELHASWDQPTRCIVFHEVEHSRLQGLAFQLTEKLSVLAESNERAVEARLGGGGLDGLPSRRREGGQDYAAAAGGAKWQDNNMGYSQGRRYGQGQGQGQGQGQYQRDRAGQSRGGYQSMRYQDTAYGGAGRGYGGSSARGGQMDGSNRMVSLNRGARA >cds-PLY66498.1 pep primary_assembly:Lsat_Salinas_v7:5:184187750:184192199:-1 gene:gene-LSAT_5X80560 transcript:rna-gnl|WGS:NBSK|LSAT_5X80560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVQVNYLGQLQHPNIVKLIGYCCEEDHRLLVYEYMASGSLEKHLYDRVCTTLTWSRRIKIALDTAIALAFLHDSERPIIYRDFKTSNILLDAEFNAKLSDFGLAKDGPMGDQTHVSTGVIGTYGYAAPEYIATGHLSVRSDIYGFGVVLLEMLIGRRAIDASRPSCEHNLIDWARPHLVHNRKLVRILDPRMKGQYSSKTVIKVANLAYQCVSKNPKDRPIMTQVVETLESI >cds-PLY76780.1 pep primary_assembly:Lsat_Salinas_v7:4:187052811:187053446:1 gene:gene-LSAT_4X107981 transcript:rna-gnl|WGS:NBSK|LSAT_4X107981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKRQVVVKKSNSNYTTSSASMIQRIRYGECQKNHAANIGGYAVDGCREFMASGEEGTTAALVCAACGCHRSFHRREVDEVVYEGSSTSEM >cds-PLY61901.1 pep primary_assembly:Lsat_Salinas_v7:6:57231253:57236160:-1 gene:gene-LSAT_6X42521 transcript:rna-gnl|WGS:NBSK|LSAT_6X42521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRASVMARRPATWTFSGIVVAVLLQGVVVLCKAPATLKLERAFPHNQRMELSKLRDRDNLRHSRFLKQQLSSSTKDVIDFPLQGTFDPYRVGLYYTKVQLGSPPKEYYVQVDTGSDVLWVPIEFYDHEGSKTSSLVKCSDDMCLVGMKFGDADCSHTSTRCIYKFKYGDGSATAGYYASDLIHLEMMSDESNPSSNASSKVIFGCSTSQSGELSEPERAVNGIFGFGQQGLSVISQLASKGAAPDAFSHCLDGGGDGGGILVLGQIMNPKMVYSPLIPSQPHYNLHMTSISVNDKTLPVDPSAFEISEHRKGTIIDSGTTLGYLTEEAYNPFVDAITKFVPQSVKAFDAKGYQCYLTNDSVSEIFPTVSFNFEGDASMNLKPDNYLLEQNTVDGGLAWCIGFQKVKGQGLTILGDIVLKDKAIVYDLGAQRIGWVDHDCRTPVNVSATSSGRRRISSSSSSLQHKPYQLTLIMILAYMLHLLVFYCFSS >cds-PLY78854.1 pep primary_assembly:Lsat_Salinas_v7:5:308837994:308840871:1 gene:gene-LSAT_5X166541 transcript:rna-gnl|WGS:NBSK|LSAT_5X166541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCDNITAAVMGMSPEQKQAILRMGFGSILQVNITSYPGQLSYYLLDVYDADSKRLVLQNSVIEITEQTMHDMMGLPFGGEDINELPLCDKGNQILEEWRGQYSGDKFNGEEYLRRIQATTKDNLMFRLNFLTLFVNNFIESMLMGTNQIKVVRKLVLVEDFSKLNWCKYMLDCLGSRKKLWKRDDKSSYYSGPITLLIAYAAVIEHSYGVILTEKSTMEVTLKDGLEKFPHSVVLNEWMEKMNELFKGVFEGAGNKKVHEPAYFNEVNMNDVGDGGEGNSSPVGGLILTEVNTEKEVNYTTPVDTTSLTMTQFHRLPGVNEEMIKLLDETELQVYRKKKRMSVISRDNLVGRNIGEAVDNAAGYDDNDKREKRIPKKAKVFHSPYIERIVKVGEKLTKDETWICNSVFASKRDDRDEIWDIGTGHLLHQGFAYQFNHGMFLHSKIIDCWAAFLNKMENYKDESSLSRFFFDTTIVTEDILNELKSEDMKCRLFATLLRIYTKKFDVKPSFRDVALVFFPIVDDGKYYLLIFDLRSSLYYIVDHVKRTGTLERKYGMIPNLVKKLFCNYLTSQHHPMAKTSTFKAARVMNISWLVEKAGTECGIYLMRHMDTYMGENEGRWECGLTGKMPADVRATIKLRTKYMARLLTSDFNKFKTMIVKDFEAFRKLDILEQDMLLRESAENRKKKRKTKGRR >cds-PLY70107.1 pep primary_assembly:Lsat_Salinas_v7:3:12742020:12744898:-1 gene:gene-LSAT_3X9840 transcript:rna-gnl|WGS:NBSK|LSAT_3X9840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSMTTLCSSESVLMMRRMASFSTQSTSAKPLEFFRCFLDSNVSSLHTSQLRLFSSRQHCIKLHDRRLRQCQHSKSQMNVLHSDDEDATKLTHNVEDWECSTSNKSYSRYKLTPKTSLPFKDFSLDNTSWNSCLKFMLFCGILSLQDSQNAFAVGSDVANLMQSNSFFGDLSDLSTGFASAFLLIFFSELGDKTFFIAALLAARNSAAVVFLGTFGALGIMTIISVVLGRTFHYVDEILPFRFGDMDLPLDDIAAALLLVYFGVSTLVDASSGDGLKAEEEQKEAEIAVSEVLGNGAGILAAASTIISTFLLVFVAEWGDKSFFSTIALAAASSPLGVIGGALAGHGLATLLAVLGGSLLGSFLSEKVIAYVGGVLFLVFAAVTVVEIVS >cds-PLY68173.1 pep primary_assembly:Lsat_Salinas_v7:8:118970065:118973301:1 gene:gene-LSAT_8X82740 transcript:rna-gnl|WGS:NBSK|LSAT_8X82740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRRTMTMNWDGLGDLDDDEDIFFDAQRLSSVSPQDLASSSSDEEFDDSRMSFSSAADYGDLAEIQTGEYDMWMAAPESITDRRRRLLEDMGLNSNKDLLAGLGSPITKASLRPMQSTKSKASSEKELSAKDDQRQDPFEGLYGTLLRSLSDGGIDTSFMDTQRRKDEMIGSIPKEHLTRTRTLATNHNPQAGQMESNFLIKNLDNGKEFEVKECHNEGNWNKLRDVQTGKHITMAEFEKNAGHSPKVKEIMQKVINDDKNASDERKLTPANSSIKKSFRKSKKKGAALFKNMKLGSMGSSKSQKEKDAKITSSPSINGNNPTLISETKSQSPSQWVKVRVHAKPYKEFTALHLSQEIKGHEGSIWAMKFTYDGHYLATAGEDKVINIWEVQDCDLMSIRSGDDLSSISGTPVHPMAMPSPDGRPPLPDAVPEKKKKGKKKKGIPDYVHVPETVFGLSETPFCTLEGHLEDILDLSWSRSQLLLSSSMDKTVRLWDIETKNCLKTFSHTDYVTCIQFNPADDDYFISGSLDSKVRIWNIPDRLVVDWIDLHEMVTSIGYSTDGKVSVVGSHKGICRFYNTSERKLEFKEQIELKTKKTKPQPKKITGFQFSAWNPCEVLVTSADSRVRILDGTKVVQKFKGFKNINSQFSAAFSPDGKYVISASEDSQVYIWRHEETRSKNKRAMIMKSYENFPCKEVSVTAPWPGTSRLAPPVVAMHSKKHSKKSTTTLPPSTATCSTPPPDESTGHSKRSSSLPPVPKRSSSSVESMEDTEQSSHGDSGVEPCESFSTATGSSGRLDEVTAPSPNTKSSGGHKTIQATAWGFVIVTGGLSGEIKVFQNVGLPFKVGRL >cds-PLY77573.1 pep primary_assembly:Lsat_Salinas_v7:2:164468742:164470691:-1 gene:gene-LSAT_2X87361 transcript:rna-gnl|WGS:NBSK|LSAT_2X87361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMRLFLIFSLLLIFPLVSIKAQQPYIKKLNTNCRVRNASTSVLGYACNGVNRTCEAYLTFRSRPPYNTVTSISNLLNANATQLSQLNSVSETAAFGTGETVLVPTRCSCLGQFYQANATYVIQSGDTPFTIANNTFEGLSTCHAVQVERSNLTIDIYPGSRLTVPLRCACPTENQAADGIRYLLSYLITWGQSVSSISAIFGVNTGVTLAANQLSEQDFTIYPFTTLLVPLSDQPSRSQTIAPPPPPTTSPPPSSPPPPTSSVDNKWIYMLIGVLGGVALTSSIGFAVFWFCFLQKRKQIPPPMVSSASQSFEAIVKPGDKKLDVDEDSDFMESLNSIAQSLKVYKFEELKSATQDFSPNCLIKGSVYKGTINGDLAAIKKMHGDVSKEIQLLNTIHHHNLIRLSGVCFNDSHWYLVFEFAVNGPLSDWIYQDEHEQTTNKSLNWIQRIQIALDVANALDYLHSYTTPPYVYKNLESSNILLDDEFRAKIINFDLARSAEGQAGQFALTRHIVGTRGYMSPEYLENGLVSTKLDVYGLGVLMLEIVTGKHVYELYEKVKKNLSEVLDDVLEVEDTDENQKLTDFVDPFLQGDYPPHLAMSVIRLIDGCLDKDPSARPDMNEVSQSLSRVLTTSQAVDISVTISVQGR >cds-PLY81526.1 pep primary_assembly:Lsat_Salinas_v7:2:127804302:127808645:-1 gene:gene-LSAT_2X59680 transcript:rna-gnl|WGS:NBSK|LSAT_2X59680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQVLKFLAVFFVGFLLFGYVECVNLDSATSFGILLEVKRSFTEDPGNVLKDWSKKNQSFCNWYGVTCAGKLSQVVGLNLSGASLGGGISPSISLLQNLLHLDLSSNQLSGPIPPNISTLSSLSSLLLFSNQLSGHIPPQLGSLYNLVVLRIGDNRLTGPIPPSLGDLQNLTVLGLASCYLSGVIPPELGKLTSLENLVIQNNELEGPIPPEIGNCSSLVAFTAAVNHLNGSIPDELCDLKNLQTLNLANNSLSGDIPRRIGEMNQLEYLNLLGNQLEGEIPKSVGQLGNLWNLDLSANKLSGEVPGEVGNMGRLQYLVLSNNSLSGGIPTTICSNTSTTMEHLMISQNMFSGEIPKELGDCIALKQIDFSNNTLNGTIPIDLFKLTNLTDIWLNNNTLTGSVPPAIANLSNLETISLFQNKFNGELPKEIGMLQKLQIIFLYENQFSGRIPLEIGNCSSLQMVDFYGNHFNGEIPVTFGRLTQLNFLHLRQNDLSGEIPATFGNCHLLTIIDLADNSLTGGIPATFGYLRSLEQLMLYNNSLQGNLPLELTNLANLTRINLINNKFNGSISPLCSSNSFLSFDVTNNEFSGEIPAEFGNSQFLQRIRLGRNRFTGRIPSELGKIKELSLLDLSSNLLTGSLPHELSKCKNLTHIDLNNNLLSGPIPVWLGDLPLLGELKLSSNKFIGSIPLSLFNCSDLLILSLDSNSITGILPKEISKLSSINVLNLNNNQLSGQIPDSIGSLSKLYEIRLSGNNFSGEIPIEIANLKNLQSVLDLSYNNLTGTIPGSIGTLSKLEVLDLSHNELTGTVPPELGTMSSLGKLNLSYNNLNGKLDDGYSDLPADVFIGNHGLCGPPLDSCRFPRNRQPGLSEAAVITISAISTITAIALMFLAALLFFRRNRSGFVKAGDGSSSTDYSSSFSSRVQRRSLFFKRGSTKRDFRWDDLMEATNNLSDEFIIGSGGSGTIYRAELLSGETVAIKRIPWKDDVFLDKSFAREVNTIGRIKHRRLVKLLGYCSNRGAGSNLLIYEYMENGSVYDWLHHEPQNIKKKKTLDWDTRLNIAVGLAQGVEYLHHDCVPKIIHRDIKSANVLLDVNLDAHLGDFGLAKAIHENHESSTNDSLWFAGSYGYIAPEYAYSFKATEKSDVYSLGIVLMELVSGRMPTGGTFGENVDMVRWVESRIEMEGPKREELIDEGLKPLLPHEENAAFQVLEIGLQCTKTVPSERPSSRHVCDLIMHVVKDGVSNAEKMSREPYV >cds-PLY81410.1 pep primary_assembly:Lsat_Salinas_v7:9:95473188:95473499:-1 gene:gene-LSAT_9X72241 transcript:rna-gnl|WGS:NBSK|LSAT_9X72241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGLNINEGGSGSSIVKLDATSKPNGKGVQVKTTNEEKKRLQELEVERMNHLNNIMRIRANEPDGLDKGDPNKVWCYETIETMAYGEVDALRKGQRKATTSKN >cds-PLY66811.1 pep primary_assembly:Lsat_Salinas_v7:3:202944428:202947678:1 gene:gene-LSAT_3X118641 transcript:rna-gnl|WGS:NBSK|LSAT_3X118641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTEEREWTEVVVWCTKVSEQRSTGIGDIDTSTIVKADVNNCIREASERKKEKWDEKNQETIDDVVKQHEQFDKSYEDKGPVIDVVVWHDGKFWRVALDTLSLEDDPKCGKLADFVPLTKFKIERKYGVFSKLDMCTFVTNVYYQIISHDIQEEDKENVVQNSNTFQGYNHVEGRPYVAMAGSELFEDGLLGVSTYDADKNCMCLFVSSKATPYQSVPTIPLASDYGLTKHKDGRWELAIAPRISPSHRYQHAAVCSYQILVCDDAWLRYYNSVRLAQNVKVRRRLYLDL >cds-PLY74395.1 pep primary_assembly:Lsat_Salinas_v7:6:154259353:154265371:-1 gene:gene-LSAT_6X93441 transcript:rna-gnl|WGS:NBSK|LSAT_6X93441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:HOS1 [Source:Projected from Arabidopsis thaliana (AT2G39810) UniProtKB/TrEMBL;Acc:A0A178W236] MSYARYQSDLEDIWACVSYPLPEEDQVVPHKLETKSDYGPTMSLPLTPISVEDDDEPFEDEEETVEEDEKHDEEFDGEPTYNSPYPDSSSHDNLVEHAKALENLASIELSELCNEAKVEHCRATRDLRSCGRCVQSVLITCGHASLCEECSQRCDVCPICRIPLSKNGNKLSLRLYYECIEAGLISKRYDDRFQEKDGEKQATADIERLYSFFDVALENNLLSLICHYITDVCMDETAVSSDPVVAMLLDEVVVKDWCKRTFKNIIAELQPIYEMKEKSNTLLKLTSRLTSISTVLEEFESSFKDSLSAQLHDVHHLQESILKTKQHMEMMIWYTRHQSLEILNHHDSFSSWHSDVRERKSMAVKRAWPSPMGTSGKDIAMLFIEDALSNLDAQQEYATNKDDEFQIASLQKDGGLSFSRVKIEGMVGSYPFENLRSAIDILFLCGSSDLVVAKQAILLYYLFDRLWKIPDDKWRYCVDDFSSTFNIARHSILESFTFYLLDDHSDEALQEACGLLPEISGPTTHPKVAQVLLERENPYAALMVLRYSGSENATELVSLSLNEAVTGVRVRVECGLLIEAFMYQRSICTKFKKKKSRHGFYDDDDDFESGIKINWLEILVTEICILCIRRNLVDRIIGLPWNVDEEKHLHKCLLDYASDEPLTNTGSLLLVFYLQRYRYVEAYQVDQKLQSIEHEFVSKNSIDDDVANRMSSIQHWRKGLVDKSIQLLPEVEQEKVKNGEMTELPLLENDIPGQADYLPKQNPTLPSLLFQMGDENPSPKSNLTNYGTPQVNNNNKFSDIERERGMSMLKSISKSFKFDDINTTTTTPLKEFNRGSSRIRKNKQLSQNDQNDLPSSSPLFGNLATNSERPSRFGRRDVVEASGDVMDMSWSNKEERERGDPVYSSNGGPRWRSDDCEEEHDEIQIQSPERFTTNQTPSKGLRRSRRLAGR >cds-PLY84861.1 pep primary_assembly:Lsat_Salinas_v7:8:171464622:171468305:-1 gene:gene-LSAT_8X112220 transcript:rna-gnl|WGS:NBSK|LSAT_8X112220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35600) UniProtKB/TrEMBL;Acc:F4JN38] MGNCMNVSAAAAADANSTISANQSSNTRPSSTTGTSISTSNGVGNSATSSSAGHSRFWEATSPNGEVLPAADLKVYSYSDLKSCTRNFKSDMVLGVGGFGTVYKGWVEEKTMLPSKHGTGMMVAIKKLNHESVQGFQEWQSEVNFLGRLSHPNLVKLLGYCREDIDLLLVYEFMQKGSLENHLFRRSSVVEPLSWDLRLKIAIGAARGLAFLHSSDDNVIYRDIKASNILLDGNYNAKLSDFGLAKLGPSGGMSHVTTRVMGTYGYAAPEYIATGHLYVKSDVYGFGVVLLEMMSGLRALDTKRSGPQHNLVEWAKPLLPNRKKIKIIMDARIEGQYSSKAAMLFAQLTLHCLEPEPRKRPSMKEVTESLEHINSMKLKSKGKA >cds-PLY68964.1 pep primary_assembly:Lsat_Salinas_v7:9:139717816:139718073:-1 gene:gene-LSAT_9X88780 transcript:rna-gnl|WGS:NBSK|LSAT_9X88780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPDHRPSAVEAGNHQDGAAIVSVATDDDEPPPLLISLHIITSPLSSGGLSTPLMPYGRRMPPWNGGWVRGIVKLMRESSCNRFR >cds-PLY62448.1 pep primary_assembly:Lsat_Salinas_v7:1:84590830:84591665:1 gene:gene-LSAT_1X70701 transcript:rna-gnl|WGS:NBSK|LSAT_1X70701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKTRAQNVSSERPLRQFVTGKDKSAGRNSKGRITIFYRGGGEKRSQRTIDLKRNTSPVGVVERIEYDPNRTSRIAMVRWAEGAAVDRPKKFNSLQKKLHPTPKILPSISIKDQFSFSSIP >cds-PLY81841.1 pep primary_assembly:Lsat_Salinas_v7:3:32470484:32472442:1 gene:gene-LSAT_3X24340 transcript:rna-gnl|WGS:NBSK|LSAT_3X24340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRKWEYNTTTNSVGLDGNELSLSLATCSGISNLSLQGRQLGSLEQASSCNSRSLSLGFDSNSITDKPLQVLQCSSGSNGYLHTMQQILTEIATYSLGNLDPVSYKPMIAPDEFSDGNNKYEYDDHRFVHAFKGRSVEAKKKHLLALLEMVDERYNQCLDEIHTVISAFHAVTELNPQVHACFALHTITFFYKSLRERISNYILSMGADFSTMDQGEDELSSFVPKQWALQQLRRKDHQLWRPQRGLPEKSVSVLRAWMFQNFLHPYPKDAEKQLLAVKSGLTRSQVSNWFINARVRLWKPMIEEMYLEMNRRRRSDEETASNNNHRNQQYEYHS >cds-PLY83222.1 pep primary_assembly:Lsat_Salinas_v7:9:122290392:122294252:1 gene:gene-LSAT_9X77180 transcript:rna-gnl|WGS:NBSK|LSAT_9X77180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDLNTGLSQQIGVLGIKVWELIGIIVGLLIVGILCVLTCYLTSRKKVRGSRGRGRDRVSHSQIPTVSKEIKEVRVEQVSTGDFAPRDGILLTIHDKNSDKDSDKVLVHLGMGKLKNGDNASSQGSFHHTDRDVCGSQSGEDGGSGNFLYKPSSSSSHPISAPSPLIGLPEFSHLGWGHWFTLRDLELATNRFSKENVLGEGGYGIVYRGTLVNRNPVAVKKLLNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGTHRMLVYEYVNNGNLEQWLHGAMRQHGYLTWEARMKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFNAKVSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVVLLEAITGRDPVDYGRPTQEVNLVDWLKMMVGSRRSEEVVDPTIDTKPSTRALKRALLTALRCVDPDSDKRPKMSQVVRMLESEEYPLPREDRRRRSQAGSTEIESQRENYDTDKSDNPDQRSDSKRNLRV >cds-PLY79462.1 pep primary_assembly:Lsat_Salinas_v7:9:4197572:4200298:-1 gene:gene-LSAT_9X3101 transcript:rna-gnl|WGS:NBSK|LSAT_9X3101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKGPPLNGGTPYPRRSSFLPRRNVAGHRISEDDDLDGDLPEDHVSLGISAEEKGKVQILSQLDMLRDASEDMHERHETCLLQKRQADTCVEDDVEFPYFFGTKSKFTYNPQGCNSDEEIVSDNEVGGNWLQLSNKYFTSEADCGSFQFHPVSARSKRSCKGGQGKAKTKAKCKFSFRTQLHKDHLFQEEDGTTTTVKCNKTKSTSEQLADKKRSILSISDHIYTDIDIEDDPDCLVSDSESSEEDKAPPPPPPHEPKQKTIADQFHEALGAASTNEDGGHMYAVSRHTHTGFGLCGKLQHVMRMEKERDLYFLSKLQKQDEEGCFEVKILSRSWEGKLTVCSCSSIENEEQTKNLSIIFSSRICGDVDLDVGMMVRIHPPWYVLTHSICKIIILLLCV >cds-PLY97199.1 pep primary_assembly:Lsat_Salinas_v7:5:98995570:98997241:1 gene:gene-LSAT_5X45920 transcript:rna-gnl|WGS:NBSK|LSAT_5X45920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGIKEFEHLRIQLGAIESASNNFGESNCIGRGGFGKVYKGELLIQSKGLTMVAIKRLDRAFGQGDREFWKEIVTLSLYRHENIISLLGFCDEKGEKIIVYEYASNRSLDLYLSSTYFTWIQRLKICIGAARGLEYLHNPKGTQHRILHRDIKSSNILLDENWKAKISDFGLSKVGPANQQYTFLVSQAVGTMGYCDPLYLEMGYLTKESDVYSFGVVLFEVLCGRLCINNYNNVCQYLVGMARQSFKQNKLNEIIFDHIKEQINPDSLKVFATIAYKCLKRDPEERPTMTQIAKELEIAIESQLATHFNFGKLLHGCEHYRRRCKIRASCCNLVFCCHHCHNKYTCELNDPKERHKMVLKDVKKVVCFICKVEQQVDQICCNCGVKMGEYFCGICKLFDDDTSKQQFHCFDCGICRLDGRENYYHCQKCGGCYLIEMRGFHTCLENVAKNDCPVCKEYLFDSIRKVTLLYCGHTIHVDCYSGMLKKNQTYCPICSKSSQEPIHD >cds-PLY77975.1 pep primary_assembly:Lsat_Salinas_v7:1:25595034:25597946:1 gene:gene-LSAT_1X21660 transcript:rna-gnl|WGS:NBSK|LSAT_1X21660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLNDELSKKTSIFGLRLWVVVGICVGAAIVILMFLISLYFTSKHNNSCKKTKTLPQKPTIPNISKEIREIRIDPTRTHNQETPNTIHKHQNTGQNPYPESDSLAVVDRHADDESKLNGYQKIQIDIGKKHRISYPEKGGGGGGVSSHESGSGDQLAPTSVQPEVSHLGWGHWYTLRELEIATNGFADENVIGEGGYGIVYCGVLVDRTTVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCVEGAHRILVYEYVDNGNLEQWLHGDVGPTSPLTWEIRMNIILGTAKGLTYLHEGLEPKVVHRDIKSSNILIDRQWNPKVSDFGLAKLLGSESSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYSRPQGEVNLVDWLKAMVTNRTAEAVLDPKIPEKPSSRALKRVLLVALRCVDPSAQKRPKMGHVIHMLEADDFPFRDERRGSRETARERPFEKRHVESGDSSGYESSIQTSKSIWKREEQL >cds-PLY74506.1 pep primary_assembly:Lsat_Salinas_v7:7:41093190:41095326:1 gene:gene-LSAT_7X32041 transcript:rna-gnl|WGS:NBSK|LSAT_7X32041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PID [Source:Projected from Arabidopsis thaliana (AT2G34650) UniProtKB/TrEMBL;Acc:A0A178VTE5] MLEFPRDQSEGLGEETVSEAVNSSQSSMSSDSCTSFSRLSFDALELLPPTRPLYSPENMIIKPHRSSESSWQAIRTVALKRSSSEGFGGVDGGSSLNFRDFSLVRQIGSGDIGKVYLCRLRNEDVEESRCYFAMKVVDREVLALKKKIQRAATEKKILNMLDHPFLPSLYAQFEASHFSCVVMEYCSGGDLHSLRHKQPRKRFSLSAARFYAAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLTDFDLSLCSDAIPAVQSQSLSSSPDPPSSSPAVSRPSSHPFSCLPSQLFRSKKIQSFSSTKRLFVAEPVDARSCSFVGTHEYVAPEVASGQSHGNAVDWWALGIFIYEMVYGCTPFAGVTNEATLRSIVKKPLQFPTVSPISSRETQARDLISRLLDKNPESRFGSKRGAADIKTHPFFNGLNFALIRSATPPVIPRQKTAATSTRYFGGPTSSFDFF >cds-PLY93337.1 pep primary_assembly:Lsat_Salinas_v7:9:58878371:58878550:-1 gene:gene-LSAT_9X52121 transcript:rna-gnl|WGS:NBSK|LSAT_9X52121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRSSFPFMLGTVCGVYIAQNYNVPNINKLADCAVSMAKQMEQRYRKPKKPDDDDNF >cds-PLY63815.1 pep primary_assembly:Lsat_Salinas_v7:5:4467615:4470474:1 gene:gene-LSAT_5X2060 transcript:rna-gnl|WGS:NBSK|LSAT_5X2060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLKSKFLEVYDTLKSELINDPAFEFDDDSRQWVEKMLDYNVPGGKLNRGLSVVDSYQLLKGEELTEDEIFLSSALGWCVEWLQAYFLVLDDIMDESHTRRGQPCWFRLPKVGMIAANDGIILRNHIPRILKKHFRGKPYYIDLVDLFNEVEFQTASGQMIDLITTLVGEKDLSKYSLSIHRRIVQYKTAYYSFYLPVACALLMFGEDLEKHVEVKDVLVEMGTYFQVQDDYLDCFGAPEVIGKIGTDIEDFKCSWLVVKALELADQEQSKLLHENYGKKDPASVAKVKELYHTLNLQGVFEDYESKSYEKLITSIEAHPSKAVQAVLKSFLGKIYKRQK >cds-PLY70641.1 pep primary_assembly:Lsat_Salinas_v7:4:227112878:227113168:-1 gene:gene-LSAT_4X123980 transcript:rna-gnl|WGS:NBSK|LSAT_4X123980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSSVYSTSIHHFKPYTEGFSVPAPSTYTAVEAPKGEFGVFLVSNGSNRPYRRKIRAPGSAHSQGLDSMSKHHMPADVVTIIGTQDIVSGEVDR >cds-PLY67674.1 pep primary_assembly:Lsat_Salinas_v7:4:3509191:3509490:1 gene:gene-LSAT_4X2300 transcript:rna-gnl|WGS:NBSK|LSAT_4X2300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVLPASTTAQPPIAAALLHRFVRTGDEIRPTKEHRSRRTPPLSSRSASQNHPNQPHTPRYHHGSKAIAPLSPSTTCCVASDLLHPLPPFEFSSNLTRK >cds-PLY69018.1 pep primary_assembly:Lsat_Salinas_v7:9:142534267:142537698:1 gene:gene-LSAT_9X91680 transcript:rna-gnl|WGS:NBSK|LSAT_9X91680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGIPEEKTKPDLKRLLQLCDKNWELIEEKNYRALADAIFDTQESEAYLRSLDQDARNGLTPIKLRAKSAKFAKATVKAQMASFKRFGVWADWDHPYLTLDPEYEAAQIEVFGQMVFKRYIYRRRKPVHWSPSSRTALAEAELEYPEVHVSKSMYAIFKLLTTPTKDGLLDEFPKLSLAIWTTTPWTIPANAAVAVNSKLQYAIVEVQSPSPDVTSSSEDGKKRIGSVLKGSKIPFFIVALDLLSTLESKWNVKLAVKKTVFGSDLENCRYAHPINGEECPVVIGGDYITTESGTGLVHTAPGHGQDDYITGLKYNLPIISPVDDEGKFTEEAGIFKGLDVLGDGNAAVIDHLDQLSSIIMVEPYKHKYPYDWRTKKPTIFRATAQWFASVEGFREAAMDAISQVVWTPSQGVVMGLQIPTRFEFYFASISDLSSPLQRLKNPSLHFNPSQLPVTHLPTRFTSLLGLDFVDVIAKLIMFSFSFSIYRINDGFGENPVKQPFECDD >cds-PLY67824.1 pep primary_assembly:Lsat_Salinas_v7:8:187686018:187686212:-1 gene:gene-LSAT_8X121860 transcript:rna-gnl|WGS:NBSK|LSAT_8X121860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKLLGDIGDVLGDKFSLPGQKEIVVGPSSLKASHSPFTGSLLVDPGSYSVLGGALGMSGDSSP >cds-PLY63095.1 pep primary_assembly:Lsat_Salinas_v7:8:75633726:75636944:1 gene:gene-LSAT_8X53481 transcript:rna-gnl|WGS:NBSK|LSAT_8X53481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKKYQEYMQTQDQKKLPAVGFKNLKKILKRCRTDTILSQNSRRSQSPSPLNDSPHGSSAICPHHCAEMSEVVGCFNKRAQKLLDVHLATGFRKYYVWCKDKLQGKHGALVKEGKDLVSYAIINAIAMRKILKKYDKIHYSKHGQAFRSQVQSMHMDILQSPWLCELIAFHINLRERKGKGIRSSELFEGCSLVFNEGKPSLSCELSDTLKLEIGLTCSICLTGVYEGALHLDELNILLSRSCPEYWEERLKNERVERIRQAKEHWESQSRAFLGI >cds-PLY71363.1 pep primary_assembly:Lsat_Salinas_v7:4:345832678:345844189:-1 gene:gene-LSAT_4X170520 transcript:rna-gnl|WGS:NBSK|LSAT_4X170520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLHFSHSMRSITISSNNGFTDLMKIKVAARHISYRTIFHTILILAFLLPFVFILTALVTLEGVNKCSSIDCLGRRLGPKLLGRGDDSERFLRDFSMVLEQVNSEEVPDDLQLPENFEQLISEMKSNKYKAKEFGLILKGMMERSEREIRESKFQELMNKHFAATSIPKGIHCLSLRLTDEYSSNAHARKQLPSPELLPILSDNSYHHFIVSTDNILAASVVVTSAIQSSLRPEKIVFHVITDKKTYAGMHSWFALNPVSPSIVEVKGIHHFDWLTRDNVPVLEAMENHNGVRNYYHGNHVAGANVNVSVTSPRVFASKLQARSPKYISLLNHIRIYLPELFPNLDKVVFLDDDIVIQRDLLPLWDIDLGGKVNGAVETCKGEDSWVMGKRFKIYFNFSHPLVAKNLDPEECAWAFGMNIFDLRAWRKTDIRETYHSWLKENLNSNLSLWKLGTLPPALIAFRGQIHPIDPSWHMLGLGYQKNTSIQSVKKAAVIHYNVSGVPDSLEIKFLLIDGSVIGPSSFPIATSVASLKESIISQWPEGKENAPRTIKDVKLISNGKVLENSKTVGECMSRFCDVPCSITTMHVGINQAPQEKG >cds-PLY87348.1 pep primary_assembly:Lsat_Salinas_v7:1:98696064:98697056:1 gene:gene-LSAT_1X80521 transcript:rna-gnl|WGS:NBSK|LSAT_1X80521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEHENTEQLNFHSFFDSWLRELNSNLQKLASAASHHHDNDQIEDDSDLHSLIHKVVGHYEDYYNAKSKGAKEDVLSMFSPTWLTSLEDALSWMAGWRPTTAVHLLYSKSGIQLEARMTELIPVLSCGDLGDLTSNQMNQIDELQRKIIRQERGITEKLASLQESAADTGMVDLSNMESEMNRKEEDSGGKDIDQRVKSLLKTKKDDLEEVLHMGDSLRMETLKSVLEILTPLQAVYFLIAAAELHLRIHDWGQKRDAT >cds-PLY91834.1 pep primary_assembly:Lsat_Salinas_v7:6:32636783:32638679:1 gene:gene-LSAT_6X26361 transcript:rna-gnl|WGS:NBSK|LSAT_6X26361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSRKRNLDVEDDELLILFSEQRRELTDAIAADSDLDFAFQLQMEEAIKVSSISQPSSSSSSSHQPFLLLNEVTEAPSGIAHLIAEEIDKFERERSDRELVEAEKRNMQENLNRLIHDQIFARKIKGVPDDEWRRTGDYIQKPYGLSPSSNEEAFRIYFKGLVRDETVMNFKMRFAGIGVAICDTSDCCVFELRKPYLLGGNEGEDNLIELKALIEALNTAVTLGLKRVNVFCDSNSVYQCLTGKGRSSDNRIALLINKLNLVQRNFVSCSPFLVTQNNVKFAFQFAKDAILSQAKKSAENTSGKTLLEQCTICFEQTYIAQMFPINKCLHKYCFSCMRKHVEAKLHQGKLPECPHEGCKSELQLESCKKFLEPKLYDMMSSMIKEASIPPSEKVYCPFSCCSALMSKSEIKEHGGRETGMRKCIKCHRLFCINCNVPWHEKVTCSDYSQPSNEAKLKSLAKRKHWRQCIKCKNMVELTTGCYHIYCRCGHEFCYTCGAEWINKKPTCKCPLWDECNIIYANRQRQRR >cds-PLY63275.1 pep primary_assembly:Lsat_Salinas_v7:3:89798689:89799009:-1 gene:gene-LSAT_3X69221 transcript:rna-gnl|WGS:NBSK|LSAT_3X69221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSPGKINYDVGEVVEGGKEFEGDGEQISICGCRGNIRYYIDLTEIGICVCFFLASYDVIV >cds-PLY65456.1 pep primary_assembly:Lsat_Salinas_v7:9:185253863:185258114:-1 gene:gene-LSAT_9X113681 transcript:rna-gnl|WGS:NBSK|LSAT_9X113681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTALLRSLRRRDLSSASLSAFKSLGGAKQSWVGSHLSQKMGILSRPFSSRPLGNEVIGIDLGTTNSCVAVMEGKSAKVIENSEGSRTTPSVVAFNQKGELIVGTPAKRQAVTNPTNTVFGTKRLIGRRFDDSQTQKEMGMVPYKIVKAPNGDAWVEANGQKYSPSQIGAFVLTKMKETAEAYLGKTINKAVITVPAYFNDAQRQATKDAGRIAGLDVERIINEPTAAALSYGLNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTMLEFLVSEFKRTEGIDLSKDRLALQRLREAAEKAKIELSSTTQTDINLPFITADASGAKHLNITLTRSKFEALVNHLIERTRNPCKNCVKDAGITTKEVDEVLLVGGMTRVPKVQEVVTEIFGKTPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTKLIGRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMASDNKLLGEFELMGIPPAPRGMPQVEVTFDIDANGIVTVSAKDKSTGKEQQITIRSSGGLSDSEIEKMVKDAELHAQKDAERKTLIDAKNNADTTIYSVEKNLNEYKEKLPADVVAEIEAAVADLRKAAGGEDAAEIQAKIDAANKAQSKIGQHMQGGGGGGGGGGGDSGSQGGGQAPEAEYEEVKK >cds-PLY85415.1 pep primary_assembly:Lsat_Salinas_v7:1:205256686:205259165:1 gene:gene-LSAT_1X128420 transcript:rna-gnl|WGS:NBSK|LSAT_1X128420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDKFTNDIMEEWEMDDDMAFVDDGRKKAAMGGKRGSGSGGPTQPVCHVANCTTDMTRSKAYHRRHKVCEVHAKAPIVVIGVRQQRFCQQCSRFHDLTEFDDAKKSCRRQLAGHNERRRKSSYEPYGESSE >cds-PLY99618.1 pep primary_assembly:Lsat_Salinas_v7:5:242135836:242139034:1 gene:gene-LSAT_5X119440 transcript:rna-gnl|WGS:NBSK|LSAT_5X119440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALNSAPKSNLQNATLTNHMHEPLHHPNRSSMEKIEVENHEDEKKCKRNSFKHKAISASKRFKTSFIKKGRRNSRVMCVVVEDVHDAEEIKAVDALRQALISEELLPSNHDDYHMMLRFLKARKFDIEKTKQMWADMLQWRKDFGADTIMEDFEFKEKENVLEYYPQGHHGVDKDGRPIYIERIGQVDATKLLQATTLERYIKYHVMEFERTFTHKFPACSIAAKKHIDQSTTILDVQGVTLSRMYIINAGSGFRLLWNTIKSFLDPKTTAKIHMVSNGEHKCSKDTVIEEKIISEDQSCVATQREHMKPSQLSPVVEEDCIRNGKESKDYSAMADCKAHEKVDDDWGEVSSSTGKLGNQVVTGLMTLFMGMVTMMRMTREMPRKLTNATLYSTGFLDDDNKKPTISRTEYQDLMKRLADLEEKVVAHNSKTAELSPEKEEMLNTALRRLDALETELAAANKALDESRVQQQQVVAFLDKQKKRRKKKKFFCFQSNI >cds-PLY65859.1 pep primary_assembly:Lsat_Salinas_v7:4:86003551:86005433:-1 gene:gene-LSAT_4X56760 transcript:rna-gnl|WGS:NBSK|LSAT_4X56760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGIPTSTGGDKAYPGNLTLYVTFTCVVAAMGGLIFGYDIGISGGVTSMNPFLQKFFPSVYRKQIEDTSTNQYCKFDSQILTMFTSSLYLAALVSSLVASTVTRKLGRKLSMLFGGILFCAGALMNGFAQAIWMLIVGRILLGFGIGFANQSVPLYLSEMAPYKYRGALNIGFQLSCTIGILVANMLNYFFDMIKGGWGWRLSLGGAVIPALIITIGSLFLPETPNSMIERGNKEEAREKLRRIRGVDNVDQEFYDLVMASEASKMVEHPWRNLLQKKYRPQLIMAILIPAFQQLTGINVIMFYAPVLFKTIGFGGKASLMSAVITGSVNVMATCVSIYGVDRWGRRFLFLEGGTQMLICQVAVAIFIGSKFGIDGNPGELPKWYALVVVLFICLYVSGFAWSWGPLGWLVPSEIFPLEIRSAAQSITVSMNMIFTFIVAQVFLTMLCHLKFGLFIFFAFWVVVMTAFIYVFLPETKNIPIEEMVVVWKNHWFWSRFMVDVEYPNGVEMTNGGQLVKEV >cds-PLY82185.1 pep primary_assembly:Lsat_Salinas_v7:1:13406742:13407566:1 gene:gene-LSAT_1X11520 transcript:rna-gnl|WGS:NBSK|LSAT_1X11520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTNKLEGKVAIVTGGASGIGEATARLFAKQSVRGVVIADVQDELGKNLSASIGSDRSTYIHCDVSDETQVKSLVDQTVAKYGQLDIMFSNAGIASKGEQTVVDMDLNEFEKLFSINVRGMVLCLKYAARSMIEKKVKGNIICTASVLGRRGVPRRTDYCMSKHAVVGLMKSATKQLGKYGIRVNAVSPFAVATPLMCNVHDKGAEEVEKMYESMSSLKDVVLKADDIADAVLFLASQESRFITGVDMAIDGGFDV >cds-PLY85832.1 pep primary_assembly:Lsat_Salinas_v7:8:173042064:173046848:1 gene:gene-LSAT_8X112841 transcript:rna-gnl|WGS:NBSK|LSAT_8X112841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILTELPEEQEAAIKYDVFLSFRGKDTRLGFMDHLYQALVNENISTFLDEEEVETGEELKPELARAIKSSRASIVVLSKNYASSTWCLDELVMILEQRRVSDHIVLPVFYNVEPTHIRKQKSTFGEALFEHKQRIESEKDVEKKIQGAGKLEMWTKGLTEIADLKGKDATGRRETVVIEEVVKEISTRLELHLQRRIPHLIGMEDSIYTISSWLKKESSEAAEILTIWGMPGIGKTTLAEYMFTSHRHKFESSSFVEAIGRRCAQQPYSQIDLQKQLLGDVLKKRKIEENNADLCTSKVEKALSRKKALLVLDDVDNFQQLDVLIGTKGFHPGSKIIVTTKDGSLTEKCSLFRMKFPPKHTKLALHGLSDTASMRLLCWHAFGNNDPKKGYEKEAERVAKFCGGHPLAIKVLGCSLINKDAAIWSDVLEMLEAKGYLTDVQENVQKALQISFDSLSGDCKELFKHIACFFVGKEREVTQTILKECGFQTSYGINKLIDRCLLKIGGRNELRMHQLLQEMGRDLVRKESPEKPWKRSRVWKHEESLDLLKKDKGTHRIQGLILDTNLLRKELSHRSGSLTEHNFQNDDVNKTLRAAQPIQMVYEFFLRIWLFFARLLLMLSSSHCKKVELRADALRKMDKLKLLQLNHVKINGSYKNFPKGLRWLCMRGFHSKFIPYDLPMENLVALDMSYSNLTQLWKKPKHLGSLKILNLSNSKLVRVEGFSGLPALERLYLTNCESLVHVCESIGGCDSLLVLDLSYCDKLSNVPISISKLKNVRILSFDGCLGASEFLMRMKDMKSYAYSSSVGEFIPKTPKSILLPSLVTLSLVGSNLSNESFPKNFSSMPMLKALCLRKNPIDSLPDCVRSLSRLEVLDVGECWMLKSVLYPPFTIKQLSTEKCLSLIKITFHQEMLSPPVVYYDWSESLTEIQGIIKFQAIAQIEDQILCSLGWINLQHVKDQRIRISESSLWSRAKKLPIPVQMFYEFGIFSTCFHGKAVPDWLHHKSKGSSISIAMPSSSMNKSIQGINISFVHTFPGIGKQSRLKIKVQNVTINRTWIYYGHIFSFRETDEDMVWLSHWMFGNEIKNGDEVCVTILENKLYGGVMVRECAIGPVYTNKDNNEEDPLSYYKSWKHIIGGDLSDFQVASGEYFLNNFRFISHYHVFKRPLN >cds-PLY70560.1 pep primary_assembly:Lsat_Salinas_v7:1:89736878:89738778:-1 gene:gene-LSAT_1X74540 transcript:rna-gnl|WGS:NBSK|LSAT_1X74540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVQTLTSEYLHLGFNLASKLFTSWTQMGGFGSLAPKTKNLVVAGGLTGFVFGVYFYTMRAVGGSDELQIKTQTYKSLLSNTSYIFDNSS >cds-PLY98228.1 pep primary_assembly:Lsat_Salinas_v7:7:171980075:171983946:1 gene:gene-LSAT_7X102480 transcript:rna-gnl|WGS:NBSK|LSAT_7X102480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEHIEKLRGVVRDCVSKHLYSSAIFFADKVAAFTSDPADIYMQAQALFLGRHYRRAFHLLNASQIVLRDLRFRYLAAKCLEELKEWDQCLLMLGEAKVDEHGNFSDTKDSNVMYLDKDSEDREINISSAICFLRGKAYEALENRSQARQWYKAAIRADPLCYEAIECLIENHMLTCEEEKSLLSSLQFAQEDGWLSSFYSCLIKKYDKASIVEEKFNELEKEDTSNHSLTCTLKNNTDLLACKAEYYHQCGEYQKCFELTSVLLEKDPFHLKSTMVHIAAAMELGHSNELYLMACNLVKDYPQKAISWFAVGCYYYCIKKYDQSRRYFSKATSLEGTFAPGWIGYGNAYAAKEEGDQAMSGYRTAARLFPGCHLPTLYIGMEYMRTHSFKLADQFFMQAKAICPSDPLVYNELGVVAYHMKEYAFFTSFFVSVKKKNDHFALWCMWYKKAEWWFKKTLAHIPTPLSEMWESTVVNLAHTLRKLKLYSEAITYYEKALALSTRSLSTYAGLAYTYHLRGNYTDAITYYHKALWINPDDQFCTEMLTVALVDESRQGVVVARFIEACEEILSIFVNSQLVKRVSQSYYI >cds-PLY68253.1 pep primary_assembly:Lsat_Salinas_v7:4:236888355:236890152:-1 gene:gene-LSAT_4X127841 transcript:rna-gnl|WGS:NBSK|LSAT_4X127841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKGVAGVTDSGSRKHKRLALIFGFSAGVLVLISSIMCVLISIKTSEKKKRKDIMSTSEIMEMAAAADAAAEVMRMEETNELEEKVKKLQQGIAMGKSGNLVFCAGETQLYSLEQLMRASAELLGRGSVATTYKAVLDNRLIVCVKRLDAARLAGTTKETFERHMEAVGGLRHPNLVPLRAYFQAKEERLLVYDYQANGSLESLIHGSKSTRAKPLHWTSCLKIAEDVAQGLAYIHQAWRLVHGNLKLSNVLLGSDFEACLSDYCLTAISNRHPDGGNADSAAHEPPETRKLNHQPTAKSDVYSYGVLLLELLTGKPAVEHPHLMPEDMVEWVKSVRDGGGGGGVVVEDHRLVMLVEVAIVCRVSSPEQRPTMWQVLKMIQEIKEAAVVEDYGVELEPTNGTV >cds-PLY97984.1 pep primary_assembly:Lsat_Salinas_v7:8:286089111:286090039:1 gene:gene-LSAT_8X161641 transcript:rna-gnl|WGS:NBSK|LSAT_8X161641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQFPCDNNCFSTLQQHPISKIIKIMESGTDEALGHAGSVLSVNSAGDRDMAVFAAGMAQAELKGK >cds-PLY87028.1 pep primary_assembly:Lsat_Salinas_v7:5:266509362:266513727:-1 gene:gene-LSAT_5X137340 transcript:rna-gnl|WGS:NBSK|LSAT_5X137340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDASFPNKRPFDHSTADRSDHPHLTPTSSNKRRHQHHHPLPSSPATPQSNNNNPFRSLKLSSGETLFRILCPAAKTGGVIGKGGAIIRQFREETGAKIRIDDYTHGTEERVIVIVAADSTHKNKDIANSNNDDSNSEESGNSNCEESPAQKALIRIFERILKVDEERSKMFKEESENGEDNSTEGSGGIQQGPVVCRMLAASNQIGCVLGRGGKIIEKIRQESGAQVRVLPKDQLPECASPGDELIQMAGKFSAVKKALLSVSSCLQENPRADATTSGSNSNRPIGMIPQPHGYGPEGIGNPNHHRIPMEEEVVFRLLCQFDKVGSLIGKGGSIRRAIQSETGASIKIADSAPESDDRSLDQRHSPAQDAVLRVHGRIAEIGFESGAAIVARLLVHSRQIGCLWGKGGVIISEMRRLTGANIQIFPKDQLTKYGMPNDEVVQVTGSLQSVQDALFEITGRLRETVFVFPPVKSYYPNHVQEMRPPPSFRPRHDHSFEPPHPPPPPYANEGYGYGYGPGPGPYFDHGPYPYPYANERPGYAPSHNNRQSPPPPGRWNSQVGD >cds-PLY97922.1 pep primary_assembly:Lsat_Salinas_v7:4:83514736:83517139:1 gene:gene-LSAT_4X55740 transcript:rna-gnl|WGS:NBSK|LSAT_4X55740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLLERFLGFKSTFTLVDNPSDALIAFCYLSRILLVYQLKQLGLIHMKDYHCAGNPFVLPSQGHENATAENGPWVFTLDAPSWLLLVKQKSFGKNSGVFPGMLQFRVLEMINNSHYLCRLIVVRAISLLAPIMGSEITFSKLLPALITLSKERVPNIKFNVAKVLQLLIPIVDHSVSNNMAFQEKMEQVTFLVLEYR >cds-PLY86648.1 pep primary_assembly:Lsat_Salinas_v7:4:280575427:280578024:-1 gene:gene-LSAT_4X76120 transcript:rna-gnl|WGS:NBSK|LSAT_4X76120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVESSAHHFLLTNMFSFFNTLCMKDSWGPLKALAVASVINGVGDVILCLYLSYGIAGATWATMVSQVVAGLMMIEALKDKGYNGYVIFVPSPTEPFQIFKLTGPVFIMMMSKIFSPDPHIIKEIRGTPLMELMIAARKFVLILQLEHGVDVVRVYTGSFMTYGKFWFSIAIMKAEQAILQRLDVATKSPHWPVGVDGWISMLFLIKIWD >cds-PLY87016.1 pep primary_assembly:Lsat_Salinas_v7:5:255462397:255464782:-1 gene:gene-LSAT_5X131541 transcript:rna-gnl|WGS:NBSK|LSAT_5X131541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFPSRFCCLRVFDRKQNRKKQSTWRIFSLKELHSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVYSNKAEMEFAVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLLSHLHGQHSAECLLDWNRRMNIALGSAEGILYLHHHATPHIIHRDIKASNVLLDADFKAQVADFGFAKLIPDGATHVTTRVKGTLGYLAPEYAMLGKASESCDVYSFGILLLELASGKKPIEKVNARRRSITEWALPLACQKKFSEIADVKLNGDYVEDEMKRVILVGLICARNQAEKRPTILEVVELLKGEVKEKVVALENDEMFVDYNDGVSVADDSSEFISEEKEQKQEIEKVGL >cds-PLY82978.1 pep primary_assembly:Lsat_Salinas_v7:1:20667152:20669017:1 gene:gene-LSAT_1X17000 transcript:rna-gnl|WGS:NBSK|LSAT_1X17000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAKVLSLEEVSKHATKEDCWLIISGKVYDITPFLDDHPGGDEVLVLATRKDATEEFEDVGHSQNARDMLKDYYVGDIDVNTLPKKGQYYKPPSASGSKQASAGGSSNNTIVMLVLPIIIMILAYALYFYANKR >cds-PLY90355.1 pep primary_assembly:Lsat_Salinas_v7:2:197448828:197450593:1 gene:gene-LSAT_2X118200 transcript:rna-gnl|WGS:NBSK|LSAT_2X118200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGSSSSSYWCYRCSRSIRVRINQEDSSLSCPDCNGGFIEEIDSPNRLNGSVISEFHRSRFPAAAMYMVGNGQHSPAPSPSPPLLRRARRNAGERSPFNPVIVLRGPTSTPEEPGSGTEESGTGGGFELYYDDGAGSGLRPLPASMSEFLLGSGFDRLLDQLAQIEGNGLGRIDNNPPASKAAIEAMPTIEIQENHVSIESYCAVCKEPFDLGSEAKEMPCQHLYHSDCILPWLALRNSCPVCRHELPIENTDSGDQPREANNQSGNEEEAVGLTIWRLPGGGFAVGRFSGGRRGGERELPVVFTEMDGGFNQNGAPRRISWASRGSVTRERHGLGSVFRNLFSCLGGGCVRTGRRALASSSSSSSDDRASHRSRSLSSTLSGSSRRRRGAVTFDGHTEPPRR >cds-PLY93640.1 pep primary_assembly:Lsat_Salinas_v7:1:187962808:187966002:1 gene:gene-LSAT_1X120521 transcript:rna-gnl|WGS:NBSK|LSAT_1X120521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLLLHNIISLLFIFFTINYSQSQTPVAKPKPSALFVFGDSTVDAGNNNFIRTPMKSNFPPYGRDFINHIPTGRFSNGRLVPDFVASYVGVKDSVPAYLDPTLRIDDLMTGVSFASAGSGFDPLTPTLSGAISLEQQMKYFREYKSKMDMLIGKERTVDIIKRAAFMLSAGTNDFIVNYYALGEPVSQVLYPNVSSYQNLLFENIDQFLKELMNEGARKIAMVGLPPMGCLPEVITLNRNAAMNGRECVATMLSVAKDYNVILQNKLKAMQTSETKFYYADIYTPLLDMIQFGKSKLGFEEVSTGCCGTGYIEASILCNMNSAICDDASKYVFWDAVHPTEKAYYYVFTTIRPVVDIVLNDY >cds-PLY82078.1 pep primary_assembly:Lsat_Salinas_v7:8:52553207:52553605:1 gene:gene-LSAT_8X39121 transcript:rna-gnl|WGS:NBSK|LSAT_8X39121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDVMSDADGLDMADMDYITQQITELRKSGYTDVDIMRCLGITKAHLEEFGYVAANVEGGLEEDGQGNEEEGGHGQGDGVEGGGDGQEGDGQEGEGDGQGNEEEGGHG >cds-PLY95460.1 pep primary_assembly:Lsat_Salinas_v7:9:160576320:160577082:-1 gene:gene-LSAT_9X100901 transcript:rna-gnl|WGS:NBSK|LSAT_9X100901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAHLLLFGLLAAGCSLAFASDPSPLQDFCVADQNSRVFVNGLVCKESRLVQADDFFYRGLQLMGNTSNAVGSAVTPVTVEELPGLNTLGISMARIDFAPQGINPPHTHPRATEILTVMEGRILVGFVTSNPQNRLITKELQKGDVFVFPEGLIHFQKNVGNGYAVAIAALSSQNPGVITIANAIFGSNPDIAGDILAKAFQVDINLVYQIQSKF >cds-PLY86053.1 pep primary_assembly:Lsat_Salinas_v7:3:194766173:194771260:-1 gene:gene-LSAT_3X117761 transcript:rna-gnl|WGS:NBSK|LSAT_3X117761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVSAFFSVVFEKLASEALKKIARSKGVDSELKKLKRSLDQIQDLLNDASQKEIANNAVKRWLNGLQHLAYDIDDLLDDLSTEAMRRELTEEPGATTSMVRKLIPACCTNFSLSTKIHSKLDAITIELQKLVEEKENLGLSVKDESPKHMNRRLQTSLVDASRIIGREGEKDALLHKLLEDEPCDKNFSIVPIVGMGGVGKTTLARLLYDEMHGKAHFELTTWVCVSDEFDIFNISKVIFQSIGGENKEFKDLNLLQVALKEKISKKRFLLVLDDVWSESYTDWEILERPFLAGAHGSKIIMTTRKLSLLTQLGYNQPYTLSVLPHENAVSLFCQHAFGENNFDSHPSLKPHGEGIVEKCDGLPLALIALGRLLRTKTDEEEWKELLNSEIWRLGNRDEIIPALRLSYHDLSANLKLLFAYCSLFPKDYMFDKEELILLWMAEGFLRLSSTGKSMERMGVECFEDLLSRSFFQQAPNDKSLFVMHDLMNDLAMSVAGEFFSRLDIEMKKEIRKDDPGKYRHMSFVCEKYMVYTKFKAFKGANSLRTFLASSSERNNWQKFHLSNKVLTELLRELPLLRVLSLSRLSISEVPEFIGSLKHLRYLNLSRTKITHLPDNVCDLYNLQTLIISGCESLEKLPESFSKLKNLRHFDMRDTHRLKKMPLGIGDLKSLRTLSKIIIEGDNGFAITELKDLKDLQGKISFKGLDNVRSPMHAQEVNLSHRKLSELRLEWSGVFDNSRKEAVEMEVLNVLKPHNDTLKKLGIVSYGGTQFPSWVGDPSFHRLAKVRLTGCKKCTSLPLLGQLPSLKELVIEGMDEVKVVGLELLGTTGPTFPSLETLHFKDMKGWEALSTNNNGVLVGTAFPCLQELRIERCPNLVRVSLEALPSVRVLRISGCGHEVVGSLVRLASSVTKLDIDNISGLNDQVWGGVIQHLGAVQELTISRCNEIRYLWESEAEASKVLVNLRILFVNNCSNLVSLGENEEDNCGTNLTSLTRLILVYCDSLEHCSCPNSLKSLWTAGCNKLLEKELVGGRKKPLINSNIPKLESLYISDWPNLKSITELSFFNHLLKLEISDCPNMESFPDHELQELNVLTHLTILGCQNMDSSFSRGLWPPKLCRLHIGGLKKPISKWGPQTFPISLVELGLMGGQSEDASNFSQLSHLLPPSLTSLKISDFENVEFISMGLQHLTSLQYLSIYNCPKAIDLPEMLLPSLLSLEINGCPNLKERSSKRGSYWPLISHIPYTFIL >cds-PLY66079.1 pep primary_assembly:Lsat_Salinas_v7:2:206908688:206911911:-1 gene:gene-LSAT_2X128040 transcript:rna-gnl|WGS:NBSK|LSAT_2X128040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSCSHLSPSTKVSLVPLLHQMKIVKEVKREPISIKQCSNLFLFLTVNVQNVHATAATRPIILEIKAYLNEVPVPALKEHIASVTVTGVLSEQQRLIYHGKILKDDQLLSAYYVEDGHTLHLVARQPINPSLASFSDHTGENVVDQLVEIIKEMGFIKIVKHLSRVIASIMSFPDSSTVERLLLLLKSNKEDQ >cds-PLY88692.1 pep primary_assembly:Lsat_Salinas_v7:5:68784141:68788943:1 gene:gene-LSAT_5X32021 transcript:rna-gnl|WGS:NBSK|LSAT_5X32021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHMKIPLKDIKSATHDFHEHFKIGRGGFGEVYKADLFHFDFRKYVAENRFQKHSSAELSVYPRRQGTVAIKRLDRRYGQGPVQFLQELSVLPFLTHKNLVTLVGFCDEDGEHILVYEYAPNGSLHDHIQTSNTTNSHTWARRLQICLDAASGLEFLHNGLGEHYRIIHRDIKSSNILLGQNYIGMISDFGLSKIGPANLEATFVMTQAAGTPLYIDPQYQKTDKLTKESDVYSFGVVLFEALSGRLVHSGSSKDDPQLVLNMAKRCFEQRNVKEIIHSNFKSEFEKAGLSLLDDESCPESIRIFAAIAYKCCKDKREERPTMVEVVKKLEKALKYHVNRVEATRITLGDIRSATNDFRDIIEQGPPADVYCGELSHLKGSHGTVTIKRLHHSMDSDGEEFVKEIGKLYSYSHENIVPVLGFCEEGIERIIVFEHMVKGSLKENLTNTSLTWKQRLKICIDVAYGLAYIHSHAEREQMIHGDLKSSSILLGDDWKASISDFIVFKGAGTLGYLDPIYANTSSLTQESDIYSFGVVLFEILSGRLATETIKIDRQLPLGEVRNVEPQLINSARGSGDERMIFLANWASKCFKNKKVEDIIFHAIKKEIEPISLVIFSTIAYQCLMEQRDDRPTMTKVVEELEKALDCQDEWEWEQKLPTDYKEIIQMSKRPVASTIRKKDIHSLLTSGMLLHNEKWFSITMDGARNEMASAKTFSFGDVSSVKWKSVQKSRFPKVARISDISNLNIQIQITTQFLTPEMMYGAYLVFKFCDRRKVSSRPLYVNLKYKKAGETLSAYFAEWEVGSEWLKVELFRFSSKNGSVNFEILLESLSQYYCGRGAIYIEGIEFQAIRSVDFEHNNELKDGTNSEGVLINTQLDMDWVEKMLYRYEKIIRRYGKNVHVANGIDNKEVMYRLLSEGIHIDKGEKFFSLSKSMKNCHMLRASSVIYNSLNLKFSKPPTGLRFAKVAKILSHQDFRIKCDIETQMLSSDTTYACFLVFKLSKKCHGLKCPVKARDLVPHRKERTKIISFTYPNIVNLDKIKWIPEQREDGWMEVIVWEFNVDSTHNDEFVPMDLRLTCFEGNMSGLIVYGIEFRPTA >cds-PLY94043.1 pep primary_assembly:Lsat_Salinas_v7:7:100145957:100156006:-1 gene:gene-LSAT_7X66420 transcript:rna-gnl|WGS:NBSK|LSAT_7X66420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIHANATSYDVFLSFRGEDTRDSFTDHLYYALKRAGICTFRENEEISRGEELKPEIERAIRESRGSIVVLSPNYATSTWCLDELRLILEQRREGNHFVLPIFYHVDPSDVRKHNKTFKIEVKAYPRWTDNNVILWKKSLKEVADLAGMVLSGPETEFLKEIVDTIYNKLDRKEVHLPINLTGMATRYKDINSWLNGSDVEFIAICGMGGSGKTTLAKYIYDSNWKFFENMSFVEDISCRCKESHDLLGLQEQLLKDILGGKKRKIPGVSQGTCKIEEALQTKRALIVLDDIAEHSQLLALLGTRKIHAQSKIIITTRDLNTQEWFESRSWRCQKYTMKLLNDGESLELLSRHAFRSKIPMEGFEELAVQALRYCEGNPLALKVLGSSLFVSEDLTKINSIQLWRSTLSLLGRDIDDGIKRVLMRSYNALPHDSNKELFLHISCFFVGKDIDYVVKILEHDYAAISGIKILTNRCLLSVSPNKKLMMHPLLQEMGRAIVHQESPKDPAKRSRVWRNKDSYDVLRKGKGSKTMEGLALDMQMIKEEKYTFKSSTLKTDALEKMDKLKLLQLNFVQLTGSYANLSEDLRWLCWLGFHLRLIPSDLFMGNLVAIDMSYSNLEVFEPPTVLQSLQILNLKDSYNLYEIRNMSRIPNLETLILWNCHSLIRVCETIGDLTSLALLNMTGCENLCKMEQTNIFIGLVASSSVGGVSEQPTFPFPHSLHRLFLKDCLLDCTDWFPLSFSLQVSLQYLNLGNSLFEFLPCYDHLKNLRVLDLSLCSRLKWLLCLPSTLAELYIYYCKSLEKISFQSHRFTLQEFGYEGCISLAEIEGFIKLVPVAKLEENDLGHMKWLKEYQNHQVCLVGDDDLIKGRSWCVQILYEFNIMSTSLPDIKDSNMKPSYVSESSSLSFDVPLCPKNKKLKGLEVTFRYTISGDDWAWFCKISTTNGVDLMYNPKVFGKPEFGKIGIWLSYWPIGNTLDTGDKVNVSIVVMSGLEVHECGVSLVYSDDKVAEETLENNMGWVEILGGDLSGFQLSTGAYYLCRRDFFELMEVGRLTPDWFRILVGDTIDYTEVRGWRKTGRPKQLNPSFTELKTVRCIIHAPESELKEIISLQNMDISKPFLSDEIEESISSPHDVNGQIKVTGTKIENVMTNIEDVMTNYVPLWGVTSICGKKSDMEDAVATVPRFLKVPIQMLTRDPGHEKLSKSPIHMTTHFFGVYDGHGGSEVANYCSGRVHTALQEELEPFIRSWGGDGSGIDIDNSGQEMWTKAFVKCILKVDDEIGGKYGNLEHVAPETVGSCALVALICSSHIIVSNCGDSRAVLYRGKEAMALSVDHKPNREDECARIEAAGGKVIQWNGHRVFGVLALSRSIGDRYLKPWIIPNPEVKVIPCVREDECLILASAGLWDVMSNKEACQIATKRILIWHKKNGNISLPQERGQWIDPAAQEASEYLSNHALQRGSKDNITVIVIDMKPQRKFKTKI >cds-PLY62294.1 pep primary_assembly:Lsat_Salinas_v7:5:164984375:164988731:-1 gene:gene-LSAT_5X72980 transcript:rna-gnl|WGS:NBSK|LSAT_5X72980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLKFIPRDQIDDKTHDEKVNDSDTRKIHKKKKKHNQSSSSDDGSQRIKKKSKKKWYSSEEDSSSYSDASESGSDQDTRSHRKRKKEKKHKKGKSKKSRRKEYSSDNDNAKEDKAVEKSHKNDENIIRKEMGMEWMLRPKDNMEQKPASVSTSDLLEEPPAKEIKKANPRELNPYFNNDGSGYPEEEAEGANSGDSNLLSTSVVGDGGASWRLKALKRAQEQAAREGKKLDEVVGERWGSLGKLAVSVASGSAAPSRAHLHAIKNRQRLQTKDQKTLPENQTENERDREKGTPSQHGNKMRVPRSDNSLSWGKKKSQSMSMKDADADVISSALASLQKFSNDGSFLNKFKSQKDDDLNLISSTNVSKSEEQSSHKQDTDTHMKPPMSANQLAAKVMQLRMKGKHDEAQKLLKEAEEYKAKSKEADVITTNPEGDGTTSRYMMHGIKARKEKKEEDADMHVARSIMQNKQYIGISGQADDEYEYDDGVGPKRKKKGKTVNVAQNTRFEKRILTQQERCNFCFENPKRPRHLVIAIANFTYLMLPQWQPVVPGHCYILPMQHELATRSVDDNVWDEIRNFKKCLVMMFAKQERDVVFLETVMGLAKQTRHCLIEAIPLPPDAAKQAPLYFKKAIDEAEEEWSQHNAKKLIDTSEKGLRHSIPKDFPYFHVEFGLKKGFVHVIDDESQFKSNFGINVIRGMLQLPAEDMHRHRQKHESMETQKEAVVNFGRDWEPFDWTKQLD >cds-PLY90445.1 pep primary_assembly:Lsat_Salinas_v7:9:95082069:95085384:1 gene:gene-LSAT_9X71760 transcript:rna-gnl|WGS:NBSK|LSAT_9X71760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDIGGGCLTGNPIDDCWKCDPNWGNDRQRLADCGIGFGRFALGGKGGQYYIVTDSSDNDVINPTPGTLRYGVLLSEPLWIIFASSMLIKLKHELIFNSYKTIDGRGVNVAITGGGCITLQYVTNVIIHNIRVFDCKPSGNADIRSSPTHVGRRGLSDGDGISISGSRNIWIDHCSLSHCTDGLIDAILGSTAITISNSYFTHHNEVMLMGHDDAYLPDKGMQVTFAFNHFGKGLIQRMPRCRHGYFHVVNNDFTEWKMYAIGGSANPTINSQGNRYIAPPDPNAKEVTKRVEASEKKWVGWNWRTDGDLMENGAFFVPSGDAFSTMYAKATSTDPKSAFLVDQLTMNAGVFGGMRDDVGTVSYGDGPITTGGESRNNGENSGHDDDDYFGMIFGSGATTQSQPPTNIFLILLVFIGLFTITMNGDGLFTLLSLVLL >cds-PLY67975.1 pep primary_assembly:Lsat_Salinas_v7:2:12721005:12745575:1 gene:gene-LSAT_2X5761 transcript:rna-gnl|WGS:NBSK|LSAT_2X5761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVASEIMKGVVQVLMVPVKKQLDYLVSYKKYVEDMRTKIKDLDDARLGVESQKTQNRERRLEVPAQVDPWLIEVEHMNEKVADFPSEVTGCLDLKSRHKLGRKAFKIFKEIESVLARCPKIQGTELQIPVGRIDTIMASTSKPSSDQNDFRSRELTFMKALEALGPNHSSHMVALCGMGGVGKTTMMKKLKEVVIGKKMFNHYVEAVIGEKTDPIAIQQAVAEYLGISLTETTKPARTDKLRTWFANNSNGGKKKFLVILDDVWQPVDMEDIGLSRFPNQDVDFKVLITSRDQSVCTEMGVKADLVLKVSVLEEAEAHSLFLQFLEPSDDVDPELNKIGEEIVKKCCGLPIAIKTMACTLRSKSKDTWKNALSRLQHHDINTIASTVFQTSYDNLEDEVTKATFLLCGLFPEDFNIPTEDLLRYGWGLKLFKAVDTIREARYKLKACIERLMHTNLLIEGDDVRYVKMHDLVRAFVLDMFSKAEHASIVNHGSSKPRWPETESDVSSSCKRIALTCKGMIEFPCDLKFQNVSILKLMHGDKSLRFPQNFYEEMGQLQVISYDHMICPLISASLECSTNLRVLLLHQCLLTFDLSSIGNFPNLEVLSFAGSRVNRLPSTIGNLKKLRVLDVTGCDGLHIDNGVFKNLVRLEELWASGRDISFTGDDWNEVAERWKNLSALKFEFHENNAQPKNLAFENLERFMISVGRSLEGEYSMESKYFIENTLKLVTKKGELLGSRINELFGKTVVLCLHVEDMNYLEDVGVEPFIGPQSSSFRNIRVLVVSECAELRYLFTLGVAKVLSNLEHLEVFNCLVMKELIHVESGGEERVTFPKLTSLSLSGLPRLLSLCQNVNVIALPHLMELKIEDIPNITSIYSKNKSATSCLLRGEVLIPKLQRLHIERMENLKEIWPCDFQKSEEVKLREIEVDNCKNLVNLFPCNPMPLMCHLEDLKLWNCGSIEAIFNFDLDCVAAIEKGSISLRRIQVFRCDKLVDLFPCNPMPLLHHLEELEVSDCGSIEALFNIDLDCVGETGKEGGSNSLRSIDVWDLGKLQEVWRIKGGENNSGIPIRGFQAVESIYIRDCMRFRNIYSPTTTNFDLGALTSMAILNSGEIGREHESSQEKETTVFPPCLINSCHNLRNLKLDRYQGVEVIFEMKSTPRSQDNQHRMLPYLEKMKILDMESMSHVWKCNWNEFLGLHVESPFHNLTTIKLEWCRSIKYLFSPLMAEHLSNLKKVKIGFCDAIEEVFSNRDDEYEEKITSTSTHISTTLFPRLDSLSLYGLQNLKSIGGGGGGAKGRNNEISFNSTTTTAASFLDQYKFSQRGGVSWSLCQYAREIEILECHALSSVIPWYAAGHMQKLQELEIYCCHGMKEVFETQGINKSVVKLELGNLKRLEIDDCDLLEHIFTFSTLESLVQLEELLIESCKAMKVIVVKAEEHGVQQTTMASSSKVVVFPRLKRIHLEYLQELVGFFLGTNEFQWPSLKKVGIYGCPQMKVFTAGGSTAPQLKYVRTRLGKHSPECWFNSHVTTTTTGQLQESTSFSCPAATSEVIHWSFHNLIELRVAGDISVQKIVPSSELLQLQKLEKIQVSECDLVEEVFEAFEGTNSGFDESSQTTTTLVNLPNLTQVELKWLPCLRHIWKSNQCIYGSAIDGHYSGFLT >cds-PLY82290.1 pep primary_assembly:Lsat_Salinas_v7:1:66676634:66678779:1 gene:gene-LSAT_1X58421 transcript:rna-gnl|WGS:NBSK|LSAT_1X58421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFVLAIISPVIESIMVPVKKQLGYIFYSTKHVTNMNTKMKQLDAASLDVKNHMEEQLKRVAEDKKMFDYVVKVVIGQQIDMFSIQQTVAEYMGESLIETNKTTRADCLRITFGNPPKGRNKVLVILDDVWETIELEDIGPSPFPNDFKLLLTSRNKNICNKIAVEANLDMTLVIVDVMEEVEALNFFWQIIGVSKQDDVELNQIGSEIVRRCGFLHLAINFGSLSKLRNFSS >cds-PLY81546.1 pep primary_assembly:Lsat_Salinas_v7:2:126832345:126832680:1 gene:gene-LSAT_2X59220 transcript:rna-gnl|WGS:NBSK|LSAT_2X59220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKISTVSPPETTQSQPLQQQVRVAIVIGGSCGISKAISLHLASLGAKLVVNYTSNSSKADLVISEINSKFQSESPHVVSFKDDVSDPIQVKALFDAAKSIGRKRRLERE >cds-PLY75559.1 pep primary_assembly:Lsat_Salinas_v7:9:33542659:33545764:-1 gene:gene-LSAT_9X29301 transcript:rna-gnl|WGS:NBSK|LSAT_9X29301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAKDTDYFAIEDDYDDNENDDIEANNSDDDDTDDASSRRSSFSQATGQWPQSYKYTLDSYSISATPSVAFLRRPSGSIYSMYEPGIDSSTANFGDNIKSKLLIECSKVFSKEDVDRISRKISTWSGKGSLPDQLIDELPIAFGCSVTQTVFNSVNVMVGVGVLSMPNTIATAGWAGVGILLLFAAICCYTAYLMKLCFESKETIQTYPDIGEAAFGKYGRLTIAIILYTSLYAYCVEFIILEGDNLTSLFPGVSLQWGSVNTDPVHMFAVLSAVVMGSTLLVKNPRVISLFSATGVVATLMVIICVFWLGTVNVGFHERGPPIKLGGIAFALGIYGFCFSGHCVFPNIYQSMADKTKFTKAMIICFILCLLMYGSVAIAGFLMFGEQSLSQITLNMPEDAVASKVALLTVVSVHCRSLTFHTYALLMNPLASAIEELFPAKVARSNWCFITLRIALVASSVCIALSIPFFGLVMALMGSVLCILVSLILPSLCFLMISGSKATTTQIGLSICIMVLGIVCMVVGTYSSLADIANEL >cds-PLY70374.1 pep primary_assembly:Lsat_Salinas_v7:4:100092999:100093525:-1 gene:gene-LSAT_4X64800 transcript:rna-gnl|WGS:NBSK|LSAT_4X64800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLVVLQNNLANHTNLRSRQMDRSRTRTQTQTRDSNNQTKALEKLRKEIYNPVPKKIIQRLGRFYSQKDGGNTIKEVHEDDDDDDDKRCVICLEDLEAKQVVMVTPCNHTFHEQCILPWVRTHGRCPVCRFTFL >cds-PLY85601.1 pep primary_assembly:Lsat_Salinas_v7:2:118266703:118267659:1 gene:gene-LSAT_2X55400 transcript:rna-gnl|WGS:NBSK|LSAT_2X55400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVESTEESQSVVFPVILYNGERETDVGSIKIHNSLDFKQFQMMLKETIGISYNNLTTYLVDSDKSKIPSERRKILITGKVNFSVLVRERNCYFLVVLKRSRRDRRRKLNKQSGLEYGFAIAPSISPEYLMHLRRNPIDLIDEQMLSVYGIGSYELQMQKENYINAVQNWNHVIYPPLNAAIHGGRSRALCKDCAKAEKQGKKAAFHFCVYDDVVEGGFRSPVGPISRPC >cds-PLY81724.1 pep primary_assembly:Lsat_Salinas_v7:3:33851880:33855684:1 gene:gene-LSAT_3X24601 transcript:rna-gnl|WGS:NBSK|LSAT_3X24601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASKFHIFFFVSLFFALIFTLTEADSAVEEVVVESDNSEAFRIEVDKLTSKIQSLESFIDKNNQELKNKDEIIAKKEKAIAEVEKTIKEKSDSITSLKHEVAKLEVKVSLDANEQVKKAHTKAHELEKQVEKLQMEMEYKNSLREALETRTKELEKKVLDLNPKLQNLQHVVDEQKTKLHKTERALKIAEEELKKAKFEATAKVKELTEVHGAWLPPWLATRLVGYQSYAESHWNKHGKPAFDSFLQKALEKKAQAEKWAEPHVETITTKWVPAAREKWVLFITNAEPHLQSVKGKTKEVYIQTKGTITPHMIKIKEAVDPHFQSFRKVCNPYIDQIATATRPHLDKARETMTPYTNEAVQAYGRFLESASKYHHQVQGIVEESLKRHDISRALATKELVWFAASAFLALPIIILFKTFSAIFFKKAKRPIRHPNQPRRKAKRAHPDQ >cds-PLY68572.1 pep primary_assembly:Lsat_Salinas_v7:2:20989541:20990914:-1 gene:gene-LSAT_2X10721 transcript:rna-gnl|WGS:NBSK|LSAT_2X10721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAADDSDNNVEIWKIKKLIKALEAARGNGTSMISLIIPPCDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKIPPNGLVLYTGTIVTSDEKEKKVTFDFEPFKPINSSLYLCDNKFHTEALKQLLESDDKFGFIVIDGNGTLFGTVSGDTKEVLHKYTVELPKKHGRGGQSALRFDRIRMEKRHNYVRKTAELATQFYINPATNQPNVSGLILAGCADFKTELSQSDLFDHRLQEKILNVVDVSYGGENGFNQAIKLSSEILANVKFIQEKRLIGKYFEEINLDRGKYVVGIDDTLEALHMGAVETLLVWENLEINRHVLRNNVSGEIVIKYLNKEQETDGSNFRDSVSDAELVVEENMSLIEWFVNEYKRFGCKLEFVTNKSPEGLNFCRGLGGIGGILRYQLLIHSFDELSDDGENNDIENSDDGESYDNENHDDGENYNAE >cds-PLY71335.1 pep primary_assembly:Lsat_Salinas_v7:7:185457785:185458384:-1 gene:gene-LSAT_7X110580 transcript:rna-gnl|WGS:NBSK|LSAT_7X110580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVLKYFVVIFFWLLICTFDIVCGKKLDGENTSRRYGHGISKGSCSTTRVGCGFADVAIHGGGHVGGHLVVAGLRGNLGGIRGGIEKSHVRDTKERPNGSNKNVRGECLKDDGSCNDLSDEKTHRNRKRNNNMSCTTGVCNIFNSSGNNDSDNGTGNIIGKDSGITNTRINGNNSGNTINVGNSNNAAGSTSNNTAGSK >cds-PLY96100.1 pep primary_assembly:Lsat_Salinas_v7:3:102211944:102215265:-1 gene:gene-LSAT_3X75100 transcript:rna-gnl|WGS:NBSK|LSAT_3X75100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSSISALRIKLHRHSFNPRIISSSASSNPQQFFIISPRLPPKRRFIRPFSLKLSRSFSINSIFNDDKKNSNPSFHHFLAQAQATALTASDATETDDVPSSEVLPRGRIYQETYGCQMNVNDMEIVLSVMKKAGYDEIVNEPESAEIIFINTCAIRDNAEQRVWQRLNYFWFLKRHWKSNVATGRSHSLHPPKVVVLGCMAERLKDKILDSDKMVDVVCGPDAYRDLPRLLEEVENGQKGINTLLSLEETYADISPVRISKDSISAFVSVMRGCNNMCSFCIVPFTRGRERSRPVESIVKEVAELQKEGVKEVTLLGQNVNSYNDESDEEEIEQGGNWKLSDGFSSKCKVKKVGLRFADLLDRLSMEFPEMRFRYTSPHPKDFPDELLYIMRDRHNICKNIHLPAQSGNSNMLERMRRGYTREAYLELVHKIRSIIPDVGLTSDFICGFCDETEEEHNDTLTLVKSVGYDMAYMFAYSMREKTHAHRNYSDNVPEEIKQKRLTQLIEAFRESTGQCFDSQIGSIQLVLVEGPNKRAPETELIGKSDRGHRVIFANLEVPDWVECRGDRNPRVGDFVKVRVLKSSRASLFGVAIGITTMSEFYNVGQQEGVVCGKSS >cds-PLY90793.1 pep primary_assembly:Lsat_Salinas_v7:2:107348658:107350144:1 gene:gene-LSAT_2X46001 transcript:rna-gnl|WGS:NBSK|LSAT_2X46001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTQRKILIVAYSGQGHVNPAIRFATRLIKVGVAVTVCTSLSVVRRIDKETIPHGLTFAPFSDGHDGGKQPTTPLEQFVSDFATYGASAVAEIISSAAAAGQPFHHLVYTTVIPWAARVADAHGVKASLLWCQPAAVLDSYYYYFNGYQELISSNGNNLTFPINLPGMPPLTIGDLPSFLLPSNPKEYEFLIPLMKDHVDVLKLGKRILVNSFNELEVQSLGAIKQIEYLPIGPLIPSEFLNGEDSSDNSLGEDFFDKKEDEHIQWLNTKPKSSVVYVSFGTIASFSMEQMEEMAIGLLESRRPFLWVIRDSEQAGRLSKIEELKKHGMIVGWCSQVVVLSHQAIGCFVMHCGWNSTAETLVAGIPAVVFPQWSDQPTNAKLLEDVWKTGVRVKRRERDGVVEGKEIERCVEMVMGDDDIKKNAVKWRELAREALNNGGSSTMNILAFLDEV >cds-PLY92816.1 pep primary_assembly:Lsat_Salinas_v7:2:148496716:148499470:-1 gene:gene-LSAT_2X74441 transcript:rna-gnl|WGS:NBSK|LSAT_2X74441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAACLGSVSEMHSIRTVLEELDEEKQMVRPETTNSNPNSPTSTSTSVMVMRRKVERIFPVYARGKLSPRSDPSVNSGSVVGDSIWDAVRVEAKCEAENEPILSSFLYASILSHDCLERALAFIIANRLQNNTLLETQLMDIFCDVMVQNRGIHRAIRLDLQAFKDRDPSCLSYCSALLYLKGFHSLQTHRVAHALWNQGRKLLALALQSRVSEVFAVDIHPAAKIGEGILLDHGTGLVIGETAVIGDRVSLMQGVTLGGSGKETGDRHPKVGEGVLIGASVIVLGNIKIGDGAMIASGSLVLKDVPQHSMAAGIPAKVIGYVEEQVPSLTMKHDASKDFFEHVAIGCEGRSIGEYEYSI >cds-PLY76456.1 pep primary_assembly:Lsat_Salinas_v7:5:198333363:198334547:-1 gene:gene-LSAT_5X88881 transcript:rna-gnl|WGS:NBSK|LSAT_5X88881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCSVMVGLVGSVSSRIPITQNSCLHSRRLLTSRPSTGTSNNGVVATTTRVLRRGSSSIHTFKGKVALVIGGDSGIGRAVCYSFAKEGATIAFTYVKDVEDIDEKYTLEIINDSKMSDAGDPIAIPTDVRYDKNCKDVVDKVVATYGRIDVLVNNAAVQYETYTLDDITEERLERIFRTNIFSHFFMTRCAS >cds-PLY87441.1 pep primary_assembly:Lsat_Salinas_v7:2:134399490:134400307:1 gene:gene-LSAT_2X63481 transcript:rna-gnl|WGS:NBSK|LSAT_2X63481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSTDYQSTTTETTSTVTAPVTTPPLIGSGGNMVLDTDETGLEASFLNSHSKVEIVDMLRKHTYNDELEQSKRSCNLNKTPFTNGFRSDDNLVNAREQLFEKTVTPSDVGKLNWLVIPKQHAEKDFPLQSGSTSKGVLLHFDDIRMKVWRYRDFVART >cds-PLY96917.1 pep primary_assembly:Lsat_Salinas_v7:4:8793070:8796342:1 gene:gene-LSAT_4X7141 transcript:rna-gnl|WGS:NBSK|LSAT_4X7141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEELSQSTVTHLLQHTLRSFCIHENSQWVYAVFWRILPRNYPPPKWDAQGGAYDRSRGNRRNWYIKFQFQEIYSHPRTWEAQFQAGIKTIALVAVREGVIQLGSIHKVIEDLSYVVMLRKKLSYIESIPGVLLPHPSSSLYPFRPEGYNTPELWPFHGGSGGGSDLPAAYNQPLNITPSMSSLEALLSKLPSVVPVSSSPLAAPPFCEAAQPHFVAVSPEKEVVEEEEEEEIKDVGECSSSMSSYGHHHQHFQHHDINVSSCMTNNRY >cds-PLY63288.1 pep primary_assembly:Lsat_Salinas_v7:3:89453932:89455273:1 gene:gene-LSAT_3X67900 transcript:rna-gnl|WGS:NBSK|LSAT_3X67900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGRYAELDQYCWADEFSQQHSGDPNAWALSFERQNGIVGWAFKFQHEQMQMMSVDRMTGANIPSLAAMEQTRMLAHTLTQNTNPKFQNCKFLQFVSKTSHRELTVKDNQVRPAGGDWANEYQQQYNAGPSSTSWADQYAREEASKTFILLILSTGVVVSIQTRLRAMVARDEFRRRRNKAATIVQVDLE >cds-PLY61830.1 pep primary_assembly:Lsat_Salinas_v7:6:59644200:59646538:-1 gene:gene-LSAT_6X44180 transcript:rna-gnl|WGS:NBSK|LSAT_6X44180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNPPSPGYNPSSRVDTIAFNQAYKNLWGPQNQRLDQSSLTIWLDKSSGSGFKSIESYKSGYFGTDVKLHPGYTAGVITSFYLSNNEDHPGNHDEVDIEFLGRTPDKPYTLQTNVYIRGSGDGHIIGREEQFHLWFDPTEDFHHYAMLWTPKEIIFLVDDVPIRRYARKSDTTFPLRPMYVYGSIWDASSWATENGRYKVNYGYQPFVGRYTNFKLNGCAADSQQSCQPPSGSPATTGGLSHQQVAAMQWVQRNYKVYDYCSDPKRDHTQTPEC >cds-PLY81614.1 pep primary_assembly:Lsat_Salinas_v7:1:50636040:50638167:-1 gene:gene-LSAT_1X43420 transcript:rna-gnl|WGS:NBSK|LSAT_1X43420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVKMKKWSPWQHQPPVVTGSKKFRVKVERIKLEGFEHGGGGGEKVMGVELRWKGERKHGLAAVGFHRRRPGERWCKERIVRKGEVIVWEEEDDLENICWFSTVASNAFDLNQHKFAPWIVTFKFTYGEYNKGKTAVIGKVSLDLAELASRIDSPVIEKKLPVDLEVAGFTTQATISVLLSFVEINDSVQLAHEATQSEDVVEVAKAEKNQSSLEEVLFSDSDNSVTFDSEGTSESRDVTPPRSMIAVPPELDKKANFFNWKRQRLNNRREKSKVEDSGESIVSGSEQINLIQNSFPNTWDARNFVSRDGESKLNTQSFFASFDQRSDKAAGPSACTALVTVIAHWLESNAATTTMPTVQQFDSLIISGSSEWRSLCEKETHVAEFPDKHFDLETVLHAGIRPLSVSRGKSFVGFFSPEKFDSLTGVMSFDEIWDNEISRSPGVYIVSWNDHFFVLKGDEDGYYIIDTLGERLVEGCDQAYILRFDHGSYLTESGSNEVICRGKECCKEFIKRFLAAIPLKELEMEEKKEAVPYYSLHHRLQIEFNFCSTV >cds-PLY78684.1 pep primary_assembly:Lsat_Salinas_v7:9:51499976:51502247:-1 gene:gene-LSAT_9X45920 transcript:rna-gnl|WGS:NBSK|LSAT_9X45920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQTYKDTDADDQRMSDQSSPDSSPPRLTIIPKSAAPKVDDTMLSLTVADAIANKSLTKPLDPTQHVVAFNPTYDQLWAPIEGPAHPYAKDGLAQGMRNHKLGFVENAAIEPFTFDEQYNTFYKYGYAADPSASAGYNYVGDLNALKQNDGISVYNIPQHEQKKRKLEKKKEALEKEGGDEDEVDKEEVENPATDAWLLKNRKSPWAGKKEGLQGELSEEQKKYAEDYAKKKGEEGDKNKDKGEGMSDKSTFHGKEEKDYQGRSWIAPPKDAKATNDHCYIPKRLVHTWSGHTKGVSAIRFFPKNGHLILSAGMDSKVKIWDVFNSGKCMRTYMGHSKAVRDISFCNDGSKFLTAGYDKNIKYWDTETGQVISTFSTGKIPYVVKLNPDDDKQNILLAGMSDKKIVQWDINTGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNGNWLAAQSLDNQILIYSTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHNGVCIGAQWHPLEQSKVATCGWDGLIKYWD >cds-PLY71713.1 pep primary_assembly:Lsat_Salinas_v7:3:45175138:45182155:1 gene:gene-LSAT_3X33541 transcript:rna-gnl|WGS:NBSK|LSAT_3X33541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRQATTTAFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKTHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDENPPIPDSLSPGITDFLRQCFKKDARLRPDAKTLLSHPWIQNSRRVVLQSSLRHSGTLRNIEDDGSVGAAKTSNADDLTTVENLSVEKVKEVKTELLLAEATLAGKSYEENDSSNEKADVSEDDVAVATLAIHEKLPLEPSSVNNETNQEHAHAKLLSELGATEVTITNGDSESKSKTTKVKENGSSVTTETSSTTSSHKGHDNTSQKSTKASRTVGGNNELSKFSDTPGDASLDDLFQPMDKTFEDRSAEASTSASSSHMNNNNNNNNQGNTDTGRADLATHLRATIAQKQLENEPGGQSNGGDILHLMMGVLKEDVIGIDGLGFDDKLPADNLFHLQAVEFGKLVSSLRPEESEDVIVSSCHKLTVFFQHRPEQKLVFMTQHGLLPLLELLEVPRTRVQVICSVLHVLNQIIKDNTDFQENACLVGLVPVVMGFAVPDRTREVRMEAAYFLQQLCQSSSLTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLQRSTLRNDFCRIAAKNGILLRLINTLYSLNEATRLASISGGGGFSMDGLGLGLATRSRSGPLDSSSNTFMQTESAANGMDYVDHVKVKHGVTTDQSSSHSPDSRFFTLDIDKHHHHHHHHQSSNTSAEAPFNSRSPDSNTVKEERGDGSHDVEHKQRLSNFGRLSTDRPRKSMDVVSNGQENVRPLLSLLEKEPPSRHFSGQLEYVRHLTGLEKHESILPLLHTSIEKKNNGLDFLMAEFAGIASQTASGVLSGSGVLNARPGSATSSGLLSHMVSPWNADVAREYLEKVXDLLLEFAGADSTVKSYMCSQSLLSRLFQMFNKIEPPILLKLLKCINLLSTDPHCLEHLQRADAIKHLIPNLDLKDGPLVSQIHHEVLNALFNLCKINKRRQEQAAENGIIPHLMRFIMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGMDVYLSLLEDQLWSVTALDSIAVCLAHDNDNKKVEQALLRKESVQKLVRFFQCCPEQFFLHILEPFLKIITKSIEINKTLAVNGLTPLLISRLNHQDAIARLTLLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQSSGGQVLVKQMATSLLKALHINTVL >cds-PLY92951.1 pep primary_assembly:Lsat_Salinas_v7:5:183064143:183065780:1 gene:gene-LSAT_5X80660 transcript:rna-gnl|WGS:NBSK|LSAT_5X80660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNWFSAGAGDSSSDSNRNSLPKTLSSSSSSLLADWNSYAATKGSDVGSSSLVGSFDIESAVRSANDTVTGTFNVVSRGVSNIPGNLQSATSNIPSGKSLMYFGLLLASGVFFIFVAFTIFLPVIVIVPQKFALCFTVGCGFIIGAFFMLKGPKNQFLHMTSTERLPFTLGFIGSMVGTIYVSMVLHSYILSVFFAVIQVLALAYYCISYFPGGSAGMKFLTSSMTSAVLKCFGR >cds-PLY79072.1 pep primary_assembly:Lsat_Salinas_v7:3:8544789:8552403:-1 gene:gene-LSAT_3X5520 transcript:rna-gnl|WGS:NBSK|LSAT_3X5520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIGPNVARNAVINAAELASYDQVNQGPLAFYKGFIPNFGRSGSWNVIMFLTLEQIWWEEMSTVNRRCNEEEVMMVLDLKFVIDGDNGDEFIVCGGDEVVGGGGDVVDGGDGGGYNGVHQRKLKEMR >cds-PLY91678.1 pep primary_assembly:Lsat_Salinas_v7:8:10822454:10822831:-1 gene:gene-LSAT_8X7541 transcript:rna-gnl|WGS:NBSK|LSAT_8X7541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIEKVKDEEYYLNKVKELNKKSKDKAFMVMETPSVEAWEINDEADQEEEIKSYKIYFCFMVDDDEEPFPLHQQVIEKVRFMLHDNHLIVEPFLADIDHISEIIKAYVTNVEYRVNYYKDELIDT >cds-PLY73859.1 pep primary_assembly:Lsat_Salinas_v7:4:206976277:206977481:1 gene:gene-LSAT_4X116621 transcript:rna-gnl|WGS:NBSK|LSAT_4X116621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATEGVVIGCHTVEEWEEQFQKHVGSEKLVVVDFTASWCGPCRVIAPILAEFAKKKPHVTFLKVDVDELETIALKYSIEAMPTFLFLKNGEIVDKVVGAKKDDLHACIVKHEGVAASLTV >cds-PLY66427.1 pep primary_assembly:Lsat_Salinas_v7:6:152643300:152644986:1 gene:gene-LSAT_6X92040 transcript:rna-gnl|WGS:NBSK|LSAT_6X92040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSFESTNWDLIDYSSLINDVASTDLYWGDQSAAIPVDAPLASLAPLDECAEKECTRKRGRSNSCSRAENKACRERQRREKLNDRFLELSSTLEPGRPVTNDKLAILGDAIRVLNQLKSESQECKEMNEKLLEEIKTLKAEKNELREEKLVLKAEKAKMEQQVKALTNHTPHGFMTPHPASKMPVFPGYGYIPMWQYLPQSAQDTSHDHELRPPAA >cds-PLY66162.1 pep primary_assembly:Lsat_Salinas_v7:2:4749994:4751799:1 gene:gene-LSAT_2X3220 transcript:rna-gnl|WGS:NBSK|LSAT_2X3220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76570) UniProtKB/Swiss-Prot;Acc:Q9C9K1] MILHLSTSTSRNSTAGLHCSLLPSKSYNFSGDHQFTRYRASWQELAGVLVFSAIPFTAVKAIANSPLGETLQRRMEEKKKAAVQNASVYKALSEKAKKDSLWYGKQRPRWLGPLPFEYPTYLDGELPGDYGFDIAGLSQDPEAFKKYYNFEILHARWAMLGALGALIPELLDLVGAFHFVEPVWWRVGYSKLQGETLDYLGIPGLHFAGSQGVIVIAICQALLMVGPEYARYCGIEALEPLGIYLPGDVNYPGGVLFDPLNLSGDPVGFQELKVKEIKNGRLAMIGWLGFYVQAALTGKGPLQNLVDHISDPMHNNILSILGSMYK >cds-PLY97252.1 pep primary_assembly:Lsat_Salinas_v7:1:43472492:43473943:1 gene:gene-LSAT_1X38361 transcript:rna-gnl|WGS:NBSK|LSAT_1X38361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALFYTVSKRPIFLNPTPTLRFCSASSQPPSDNETAVATAVSVLKHHRSKSRWTHLRTLFPSGFDPSQFSQITIQLRNTPHLALRFFLFSIQHSMCHHSLLSYATIIHTLARSRQKSHALALIKSALRKFPDANTDSPLRTPPLIFETLITTYRTCDSAPFVFDLLIKACLQSKRINQAIEIVRMLRTKGISPMISTCNSLIMSVSKHHGSIAGYEVYNELLGSTRQFGDQKLGVKIVVPNVHTYNIIMHSFYKDGLIENLEQLWSDMIVNKCLPSAYSYNILMAAYCDNGRMEEAMRVWEEMRNKGLKHDAMAYNTIIGGLCEAGEVDKAEEFFKEMGLDGEESTCVTYEHLITGYCKTGDVDSAMLLYKDMCRKEFTPLGSTIDVLIKELCEKNKVSEALKVSRFAMKRNNVVMKGESYELLIKGLCNEGRMDEGMKLQAEMVGRGYEPNSGIYSAFINGYEKEGNKEQAAKLKMELQSIK >cds-PLY95888.1 pep primary_assembly:Lsat_Salinas_v7:5:79893003:79894172:-1 gene:gene-LSAT_5X37441 transcript:rna-gnl|WGS:NBSK|LSAT_5X37441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTETAVAPVITSPEHPKKNRIQVSNTKKPLFFYVNLAKRYIQQHDEVELSALGMAITTVVTVAEILKNNGLAVEKKVVTSTIGMKDETRGRLIQKARVSFTKHVKQ >cds-PLY79308.1 pep primary_assembly:Lsat_Salinas_v7:5:169699136:169699531:-1 gene:gene-LSAT_5X74941 transcript:rna-gnl|WGS:NBSK|LSAT_5X74941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEMLLNQGDLVVCPEGTTCREPYVLRFSPLFSEKSNEIVPVALDSKVSMFYGTTASGFKFLDPLFFLLNPIGIYHVMILEKWTSENTCGKSSIDIANQVQRQIAETLGFQCTNLTRKDKYMVLAGNDGII >cds-PLY73780.1 pep primary_assembly:Lsat_Salinas_v7:8:92894597:92898739:-1 gene:gene-LSAT_8X65560 transcript:rna-gnl|WGS:NBSK|LSAT_8X65560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFLGLVGNSFGCSASGERLVSAARDGDFQEAKALLEYNPRLAKYYTFGGRNSPLHHAAAQGHHEIVSLLIESEVDINLRNYRGQTALMQACQYGHWEVVMTLVLYKANIHKTDHLNGSTALHLAALNGHSRCIRILLADYIPSISKFYKLIKKRSRIQEFVSESNEGNSLYEIINKPADGGVTALHMAALNGHVDSLHLLLDLGASVNEVTVEDGTTIDLIGAGSTPLHYAAYGGNPQCCQVLIDRGASLSAENANGWSPLTIARSWHRDWLEEILSTQQEQSTTPPSPYLCLPLMSVIKIARECGWTGDSASNYADQCAVCLENKCTVAASGCLHELCTQCALYICSTSNTASSPTGPPGSIPCPLCRHGIVSYKKLTTTKPLPQTLKSTSSLSCCSCSSMAETDYGIAIETTPLCNPKPDSRSFLSSQKFPSLKLLNPNLCMRGSDVIPSLVNRSAERPSFRAQMARYSRSSFRGSYSYSKGRLWLCSLNQSVETPTDYSRER >cds-PLY85390.1 pep primary_assembly:Lsat_Salinas_v7:5:244009539:244009946:-1 gene:gene-LSAT_5X120681 transcript:rna-gnl|WGS:NBSK|LSAT_5X120681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLECKLGLNDRVLLEAQGHTTKGKAIDLDDIKFHQCVLLARFENDRTISFVPPDGAFDLMTYRLSTQVKPLIWVEAQIERHSRSRMEIMVKARSQFKERRYVNQ >cds-PLY81375.1 pep primary_assembly:Lsat_Salinas_v7:4:36116570:36119831:-1 gene:gene-LSAT_4X23100 transcript:rna-gnl|WGS:NBSK|LSAT_4X23100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSDVGFEIRRRFLPFILIISSVSVINVHSQSSSNDAVVMQALKKNLQPLSSLDWSDPNPCNWNNVKCSKDNRVTGIQLGHQNLKGNLPQTLNNLTQLQVLEFQHNQLTGPLPSLSGLTQLHNLLLNNNNFSSIPIDFFDGMSSLQNIYLDYNAFTAWSISENLKTASNLRIFSATSTNLTGKIPDFFGADTFSGLITLRLASNSLEGGLPDSFSSSSIQSLWLNGQKSTFRLNGSIDVLQNMTQLTEVWLHTNSFSGPLPEFSGLNKLQNLSLRDNSFTGPVPPSLLSLKSLKTINLTKNMLQGPTPKFSDSVSVDMIGIESFCLPNPGVPCDSRVNTLLTVAESVGYPRVFANNWKGNDPCNSWMGISCSNDGSITVVNFRKMGLTGTISSNFSQIKSLQRLLLADNNLTGVIPNELKDLPDLIEIDVSNNHLSGQIPPFRENVKVKSEGNTNIGKDGPTVTAIPPSNGVFRSGGSGGKTPGTLVVVGSVIGGVCVVFLAGLLAFCVYKAKKNRSSSSGPGNPPCQHTMVIHPRHSGSDGDGVKITIAGQTNAGGSTETNSHHTSGDVHIVETGNMVISIQVLRNVTNNFSSENILGKGGFGTVYKGELHDGTKIAVKRMESGVMSETGITEFQSEISVLTKVRHRHLVALLGYCLDGNERLLVYEYMPQGTLSRFLFNKGNEDLKPLLWSKRLVIALDVARGVEYLHGLAQQSFIHRDLKPSNILLGDDFRAKVADFGLVRLAPDGKGSIATRLAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMELITGRQALDETQPEESVHLVQWFKKMHINNDTFRNAIDPSLELDEDGLASVTTVAELAGHCCAREPYQRPDMSHAVNVLSSLAELWKPSEPDPDDVYGIDLNTSLSQVVKKWQAMEGISGFDHSVENSQTSIPIGPSGFGDSFMSHEGR >cds-PLY93147.1 pep primary_assembly:Lsat_Salinas_v7:9:3158798:3162434:1 gene:gene-LSAT_9X2821 transcript:rna-gnl|WGS:NBSK|LSAT_9X2821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKKSHEETWSVIQHAATTLLPMKSSATNIAKLATVSLGKSKTDLISFSHIESQVSPLLFQALLTIPHLSQVSYIRQDGLLFALYSNNQHHIFAIYSNTSFSRNSYSWYTQPIDSDTGKLYGDAVVFPSQVLVNETWLQQALNSTNGCASASLGKSLNDVNDLLVLNTAGVGVDKNGVVSLGFHLKSLMNGIKPSGGGLYMATKDGNYVVLHELDGNKTISFQLWNGNHGPESHVLTISGTKYILYYSSSLDEMELVYVLALPYDGIGGAESRMHKNIVFGLLLLSLLFVTVAISIFSFVVLTVRAAKKEMCLRAALIKQKGATQEAERKSMNQNVAFVTASHDIRASLAGIAGLLEMSINEVDQRSELAKNLKLVQICSGDLYGILNSILDTSKIEAGKMELEEKEFDLTKVVEGVVELFYPVGLKKGVDVILDASLTKYSHHVKGDEGRLKQILSNLLSNAIKFTSEGNVSVRAWARKRGLQYTHDEESTGGCCCLLFRTEARIDEFHEHDPNSMEFVFEVDDTGKGIPKEKQASIFENYVQVKETSPAIEGTGLGLGIVQSLVRLMGGEISIVDKVVGEKGTCFRFNVVFKVCVSDLSEDDKTVKSSPPSSSSIVVLFISSDERRKMAQKFIAAQGIKVLAVKNIGQLSESLRKIRRQEEEQNRSSSDLSLSFGYLNWPTPIPTSNGVGVPLSALDGTDVSPTPQRNKNNNQTAGRRVPNFILLVIDTTRVDFNELCKAVAEFRKDSKNACSRIVWLGSKCIQLQGLDQKKLPPSDIIIPMPLHGSRLHSLIHLLPEFGAPPHANHHQITKQEEETENSSSPLRGKKVLVAEDDSLQQMIAKKILLKLGVSFEMCRNGKEAFTMVSKGLSHQTNLGASHILPYEYIFMDCQMPEMDGCEATRLIRVKEKEYGVHIPIIGLTAHAEGQELNKFIEAGININISKPITEQKILKAIQELHRRK >cds-PLY83149.1 pep primary_assembly:Lsat_Salinas_v7:3:95786837:95787335:1 gene:gene-LSAT_3X71980 transcript:rna-gnl|WGS:NBSK|LSAT_3X71980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILRPVTLGPVIPQDLMMLCKALPLLIMLIFLVWVSWTWLARGNYVLSTMLMSTWVMLKVMMVVTAIGWVVPVLLYHMLNEVLVMAVLFGLLAELLVVVMGNLLMVMRRLVVVMGKFRSVSPSWHGLIFGPAWPCL >cds-PLY92631.1 pep primary_assembly:Lsat_Salinas_v7:2:160155717:160161700:1 gene:gene-LSAT_2X83581 transcript:rna-gnl|WGS:NBSK|LSAT_2X83581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SRFR1 [Source:Projected from Arabidopsis thaliana (AT4G37460) UniProtKB/TrEMBL;Acc:A0A178V335] MASTLAQRAELAKFCTSRDWSKAIRVLDSLLSQSCVIQDICNRAYCYSQLELHKHVIKDCDKALKLDPTLLHAYILKGHAYSALGRKDDAVMVWEQGYEHAIHQSADLKQLLELEELLTSAKQSMADAKQLSEWSVSKPEPNNSVRTSETSNNHTMSSIGYEPIGDSREIESKQNHVSSNGNHEKQQNGTYNISVDFGARSSTSDTRKKSDLSTKISLVPSKSSDNDKSDMNSEQSNDAKRNKKFSVTRISKAKSINVDFRLSRGIAQVNEGQYARAISIFDQILREDPTYPEALIGRGTAYAFKRELDSAIADFTKAIQSNPSAGEAWKRRGQARAALGESAEAIADLTKSLEFEPDSADILHERGIVNFKLKDYYAATEDLSACVKLDKDNKSAYTYLGMALSSVGEHKKAEEAHMKAIQIDQKFLEAWGHLAQLYQDLANSKKALDCLQHVLQIDARFAKGYHLRGLLLHAMGDHRNAIKDLSIGLTIESSNIECLYLRASCHHAIGEYKEAVKDYDAALDLELDSMDKFVLQCLAFYQKEIALYTSAKINSEFCWFDIDGDIDPLFKEYWCKRLHPKNVCEKVYRQPPLRKAKLKKQDFNFNKPKIALLLAADRIGKTIQYNCPGFLPNKRQHRMAGLAAIEIAQKVSKVWRSLQSEWRFSTNNKSKNGKRPRRKEKIIIPCSTNNNSEASTSTALEEKSTGQSVFSWLHLYSIAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPLILGQAKVTRYYPHFQRTLDVAKAIMKENGHVFSKADVAIDLTKNGKLKEILKAESCSDLYEAVGEDFWLATWCNSTAFEGKRLEGTRITLVKMGECGFDFAIRTPCTPARWEDFDAEMTSAWEVLCNAYCGETYGSNDFGTLENVREAILRMTYYWYNFMPLSRGSAVVGFIVMLGLLLAANMEFTGNIPEGVQVDWDAIMSLSPNSFMESVKIWLYPSLKVTPTWKDIPDVSSTLETTGSVVAALSSYST >cds-PLY70777.1 pep primary_assembly:Lsat_Salinas_v7:3:135203845:135204225:1 gene:gene-LSAT_3X89241 transcript:rna-gnl|WGS:NBSK|LSAT_3X89241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIVSELESKIELMSIQSVSLNQVALESDDVVQPVQKDVISQTDESFTPSTVDKSTATTPSNISNVLKPNLQ >cds-PLY64844.1 pep primary_assembly:Lsat_Salinas_v7:2:30770875:30771096:1 gene:gene-LSAT_2X15060 transcript:rna-gnl|WGS:NBSK|LSAT_2X15060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANGDVDDDKKSGREKNGGNGYNSGMVVRLQLMAAAPPQFISPVGTVTASGDGRVFDREQPQRQDSCDSFLL >cds-PLY89838.1 pep primary_assembly:Lsat_Salinas_v7:4:324005888:324006181:1 gene:gene-LSAT_4X160720 transcript:rna-gnl|WGS:NBSK|LSAT_4X160720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKCKSFAAHIKDVRAKVVQVYRRKWVIHIERITREKVNGSTINVIINPSKCVITDTKVRLDKDRKSLIDRKAMGRAAADKDKEDKFTSEEIMHNVD >cds-PLY74773.1 pep primary_assembly:Lsat_Salinas_v7:6:121247714:121248415:-1 gene:gene-LSAT_6X73621 transcript:rna-gnl|WGS:NBSK|LSAT_6X73621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTKISDFGMARIFKQNETAEITNRVVGTYVYMSPEYAMEGTFSIKSDIFRFGVLILEIVSGRRNSSFGHLGRTFNHIGYTWELWQQGDTLELKDPTLGNTFVVQQFLRVVHVALLCVQESAVDSPTTSDMISMLLNDAIPLATPNRPTFRACGSWPLPTDRDGGG >cds-PLY95322.1 pep primary_assembly:Lsat_Salinas_v7:8:243781883:243784066:-1 gene:gene-LSAT_8X147060 transcript:rna-gnl|WGS:NBSK|LSAT_8X147060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWGIPLGGKRIEENSYRDRSDKWYNDWAKQFDDVLNLRMTQLRHSIVSTSLVDMNFKMNFIVLFVNTLCKSSSSGICNINKLKHISTGTDIKNIDLCSYVLDCLIVTSNSFEPYNNKKNLDHAHISCVDCDELKVERTRPLICYWSSEKKKYHENVEMTIGKFGYGDINLPFVKEDCERPFVDCGSEFQNNDESIEYTLKIEESENHINRSLRSPEFETHKRVTSDGNIVCDWLFSCKGEPIDEVIRTKEGNATIRVAIESLLSSFEVTVDVLDCWSDHLNYDENVGNLNSPLRVFCKVATTVG >cds-PLY81944.1 pep primary_assembly:Lsat_Salinas_v7:4:284872363:284874388:-1 gene:gene-LSAT_4X145300 transcript:rna-gnl|WGS:NBSK|LSAT_4X145300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELNFDYENGGLSILQLLEQIDDIERLGLDYRFQNDIRRALNFIASIKENNTEHEEKEGSLHEASLRFRLLRKHGYNVSQDFLLRFKDSHGGFMRCLETDVRGLLSLYEASYLSFECEKDLHEAKLFAREHLLKLKCQENEALEDINHALELPSYRRMLRLQARWYTDAYSKRKDANMLLLELATSDYNMVQSEFKKELQQVSKWWKNIGLASKLSFVRDRLVECFFWSVGVIFEPQYNSCRVELTKVCTLITVIDDIYDVYGSLDELVMFTDAVKRWDINAVKHMPEYLQLCFRTLYNTIIEIGSQTSIAQGEDIIPVLVKVWGDLLEAFLLEAKWTHNKYIPTLQEYMDNAWRSVSGVVILTHGYFLINQEFKKDIVENMEKYNDLLKWSSIIFRLCNDLGTSSDEIARGKTANAISCYMHENSVCEEVSREYIKTLIDKAWREMIKARVACFQESTDTFIDMAINLARISQCVYQYGDGHGAPDVRAKERVLSVIIEPIPIKDN >cds-PLY66053.1 pep primary_assembly:Lsat_Salinas_v7:MU045213.1:371162:371519:-1 gene:gene-LSAT_0X14240 transcript:rna-gnl|WGS:NBSK|LSAT_0X14240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPFPPCESLEICCQPNEGCMRQEWPELLGVAEKQACLAIEKSNTKVRAVPVLPTEILTTDFCCNRVWVFVDKHGGVVIQAPMVG >cds-PLY97791.1 pep primary_assembly:Lsat_Salinas_v7:7:120386888:120389410:1 gene:gene-LSAT_7X73840 transcript:rna-gnl|WGS:NBSK|LSAT_7X73840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease DPD1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26940) UniProtKB/Swiss-Prot;Acc:Q682U6] MRAAVCFSHFQLPKCQIHTFSGSWWEKIHNFNKKRSNIYGLQGGNTNSRRWTQKSLSTNTEGIAKNILSRKTTNIGQQITDVTSFTHTNLNTTKIKINESKSIHLEQKISENKDLSKLVTIFVFDIETTGFSRENERIIEIALQDLSGGVNSTFETLVNPDKIVTNPHIHGISTYMVNRPGIPRMKDLIPILIDYVESRQKPGGQTLFIAHNGKAFDVPFLISEFNRCSFEIPPDWEFADTMTLAREIMKVEGSKLSSKSLQALREYYGIPVIGKAHRAMADVNVLALVLQRMTCDLKLTVSGLLENYAFTASELSNNSKKKKNSR >cds-PLY84177.1 pep primary_assembly:Lsat_Salinas_v7:4:370128578:370128769:-1 gene:gene-LSAT_4X181980 transcript:rna-gnl|WGS:NBSK|LSAT_4X181980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVFQFEAVGDDQPIPDVDDHSETDYDEYERFLDLDFMAQTAIPLSFIYLDVYYDGKIPQGTQ >cds-PLY98239.1 pep primary_assembly:Lsat_Salinas_v7:7:167785949:167786239:1 gene:gene-LSAT_7X99760 transcript:rna-gnl|WGS:NBSK|LSAT_7X99760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSSVYSTSIHHFEPYTEGFSVPAPSTYTAVEAPKGEFGVFLVSNGSNRPYRRKIRAPGSAHSQGLDSMSKHHMPADVVTIIGTQDIVYGEVDR >cds-PLY98078.1 pep primary_assembly:Lsat_Salinas_v7:4:78606474:78607136:1 gene:gene-LSAT_4X53341 transcript:rna-gnl|WGS:NBSK|LSAT_4X53341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLVLNIVYFICVAVDLHYVKFTGTAHELDVLFFVLQLIRGVFWVTLLVLISGGWYFWKPFLEGKEKLFLMIMILLYVWVNIDPILPWEAAMPYDKLDWSIVDIMGCFFILIPIARSIIYLEEISETDLNAERNLRKLWLFTTLIFVYVIYTWPVALVGVSSRWQYYVAKETSTLVFCMLMFYIFRPVDRMTLKMATPNEESCMLSTSISDSCLHSPV >cds-PLY70047.1 pep primary_assembly:Lsat_Salinas_v7:5:201625713:201629954:-1 gene:gene-LSAT_5X90580 transcript:rna-gnl|WGS:NBSK|LSAT_5X90580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNYPATSSFNCQNTRFTPPPGYTLYPSSLTLSSSSSSSSSSSLHSSSQYHQNLPKVIAYKHTHFNISPRTKVLKVRCSQNEPLKVMISGAPASGKGTQCEMIVQKFGLVHISTGDLLRSEVAAGSEIGNQAKEYMNSGRLVPDELVTNMVIGRLSRDDTKQKGWLLDGFPRSFPQAESLEKMKIRPDVFIVLDVPDEILIDRCVGRRMDPETGKIYHIKNFPPETEEIKARLITRPDDTEEKVKSRLQIYKKNVEAIVSTYSDLLKKIDGNRSKDVIFGEISSLLLQVEKEKETEKSGNISKLDSQFNKSASTKENWRGIPTNLNNIPHSREIRDYFYNDVLQATQRAIKDGKTRVKVEINIPELNPNTDVYRIGTLMELVRVLALSFSDDGKRVKVSVQGSMGEGALAGMPLQLAGTRKILEYMDWGNDGAMGTFINIGAIGGRDVDEADDIFILVAPQNAMGNCIIDDLRAMTDAAGSRPVILINPRLKDLPASSGIMQTMGREKRLEYAASFEICYQFRLLYYSGTQYPIMGALRMAYPYRYELFKRINEANGKEKYVILSTFPSRPTGDEVNDAFEGKTRDQVKKASGFWGFLNSILST >cds-PLY81093.1 pep primary_assembly:Lsat_Salinas_v7:6:131104001:131104754:-1 gene:gene-LSAT_6X79900 transcript:rna-gnl|WGS:NBSK|LSAT_6X79900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRRDVVLKYTKVYWVMHYEYEDVGSCAHTLLEQYRKLITDPNSPIVDFYPTDLEVDMNGKRFA >cds-PLY96879.1 pep primary_assembly:Lsat_Salinas_v7:2:109462005:109465894:1 gene:gene-LSAT_2X48221 transcript:rna-gnl|WGS:NBSK|LSAT_2X48221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKSNSRSKAFSVFILLFQFLCCVHVCFSNDTSSLITLRKFLLQRKDVTSTWFDLQTPPCNWTGIKCEGAIVHRISLSCTFSPLTLPFPKILTEFKSLKHLNVSGCGFNGHIYSDFWNLSNLETIDLSDNRLLGVLDNNTFSNLKNLKVLVLDSNGFSGSLPETIGQLRNLTELSVKSNSFSGRLPFELGYLEKLQSLDLSLNLFSGDLPSSLGNLSKLLFFDASHNRFTGSIFSEIGNLKKLMTLDLSMNSFTGEIPETIGALTDLTYLNLHSCKFTGHIPYQMSKMSSLTKLNIAQNCFEGGLPSNFGELKNLVFFIANNAGLSGRIPESMGKCTNLRILDLGFNSLSGSLPDSLANLESINSFTLFSNRVSGSIPIWISKWKEVESILWSQNLFTGNLPPLHIPSLTFLDLSSNLLSGELPVGICEGNVLRGLVLSNNQFTGTINNTFRNCKFLTDLVLSGNKLHGDIPSYLGDLELITLELSKNRFHGTIPVQLWDSKTIMEISLGNNLLQGRIPETIGMASTIERLQLDNNLFEGNIPSSIGELKNLTNLSLHGNMLTGEIPLELFECRDLVSLDLGSNKLTGGIPKSISQLELLDNLVLSNNKLSGFIPTEICSGFQKVALPDSEFVQHYGMLDLSNNNFSGEIPTSIKQCIIVRQLVLSHNQLSGIIPYEISELSNLTSLDLSFNLLSGAIVPQFFSMKNLQGLVISHNKLTGSIPDNFGSLMPNLVKLDISSNSFTNSLPSSIFNIETLQYLDVSMNSFTGRLSFTLTTTTSLFALNASNNLFSGALDPSISNLTALTILDIHNNTINSTLPSLSTLSALTYLDLSQNNFQNSFPCSICNIEGLSFVGFSGNKFTGPVPESCTKPNSCFPNYPSPSQHTYKPNHASLLGIILSVTFVFVVFLLIVLLRRKILKQKDVNSDKISKATKTNSKYGLLRKRPKEPLSINIATFEQSLLRLNHHDILSATENFSKTYIIGDGGFGTVYKAILPEGQTIAVKRLNGGRTHGEREFLAEMETIGKVKHENLVPLLGYCVFADERFLIYEYMANGSLDVWLRNRADAVEALDWPTRYKICIGSARGLAFLHHGFVPHIIHRDIKSSNILLDSKFEARVSDFGLARIISACESHVSTLLAGTFGYIPPEYGQKMVATSKGDVYSFGVVMLELVTGREPTGQGDVEGENLVGWVRGMVGNRREFEVLDPCFYGSWMWKDQMLGVLAIAQLCTNDQPRKRPTMLDVVKMLKELNTCKEIV >cds-PLY63770.1 pep primary_assembly:Lsat_Salinas_v7:6:25028819:25030204:-1 gene:gene-LSAT_6X19780 transcript:rna-gnl|WGS:NBSK|LSAT_6X19780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSDHPILHRRPTCNRNLNNSPSPPPPPPPPPSSKPNVTTTLYHTHIGIFALTWSRTLFGRSLYLHLLPSSANDDDDDSISTTSSTQTAAATPSFHLQIKPLIFWNRHGSKKIPISSNKNEFIHIYYDLSRAKFGSGPEPISGFYIAATVSGQMTLLVGDSPKQAYSKAKSTQSIKNQITVLRREHVYGIANKKYNTKATFRGKTREITIDCTRVAGGDDSRLYFSVDNKRVLVVKHLQWKFRGNERVEIDGVHIQISWDVHNWLLEEEIDDGYALFMFRFEKSGFDYHEDDKYLSRLNASGSGISGMGSGFGFGFEMKKMKKGMLKRVKSSSSSSLSSASSGCGSVMEWESVEENELKGPSGFSLLVYAWKS >cds-PLY73198.1 pep primary_assembly:Lsat_Salinas_v7:4:263170589:263170792:-1 gene:gene-LSAT_4X136360 transcript:rna-gnl|WGS:NBSK|LSAT_4X136360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEENHFVVGSETVESSENEETEEVIVEEKVEQAKEDEDSIPIYDYDGEEKVVEEVEEEVEQIDMICG >cds-PLY63663.1 pep primary_assembly:Lsat_Salinas_v7:4:130829440:130831133:1 gene:gene-LSAT_4X82640 transcript:rna-gnl|WGS:NBSK|LSAT_4X82640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDGVTGSEPLLTSPSSLTDKSPSVNGYSECASPATPYSYACTSSPGLEEKESTKTITVDNDTYNPSVASCHVFENHIEGLSSNLELNLSSALDELLQMDASSSPRSKFVKSNAMDKLHVWKAELTKTLEITKTENDSLEHELKSLVSDTGSFPTEYQNKTCGGPLPVEKTDSSLEEVNGAEDSDDKSSDTTSEFVESVSKCSEDVGNDDALGFVTSCGDRSSYTVSDGEDVDNGTEDDKLYDFIFATNKFVANETSDELNRILLPTTHLCNKISNMNDHGFSCSLVIHPFVSMSATEQVIFDFRFCALLAVAGSLAGSLLCFLYGCVYVVDAYKIYWTSCLKGSLPGKMVIRLVEAISESTSFYILPPIEI >cds-PLY88838.1 pep primary_assembly:Lsat_Salinas_v7:3:193107473:193108114:-1 gene:gene-LSAT_3X115400 transcript:rna-gnl|WGS:NBSK|LSAT_3X115400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARFSLVFAAAGLLLLVAMAEATTKTIFTTTTTFEENPTDRTDMSCSQQLVEQAMLNHCVKYLETGSGMSMDLERTSEPTPAEKHLMLCCMQVRNIDEMCRCDAIKMMMNQQRWTQQQMGKVMGMAENLPKKCKVEPEMCKMRAVWF >cds-PLY95669.1 pep primary_assembly:Lsat_Salinas_v7:2:116917258:116917593:-1 gene:gene-LSAT_2X53680 transcript:rna-gnl|WGS:NBSK|LSAT_2X53680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIIESVTAREPFLNLSFLFSPESNEGNECDDNMDESKLESDEEAIPKTYGIHDIGCQELKDGEIKEYDNVSSDEMASLTCNTPLLEKIEFPPTGDSYIPVNVEEGRSHDA >cds-PLY88360.1 pep primary_assembly:Lsat_Salinas_v7:9:17883426:17884671:-1 gene:gene-LSAT_9X15361 transcript:rna-gnl|WGS:NBSK|LSAT_9X15361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISFASFCVIFSVLFTTIPSVKSQSLRPTGEIFFSPRFLDSVLQDYAFRALSRHRPRTGVVYDGNVPSNLTGSTVSALRLRSGSLRKRGFHGYKEFDIPKGILENPYVERVILVYHNLGNWSSVYYPLPGYSFLSSVVGLLAYNAVNLTAKGLPELDLRTSENPILIKFETLGVAPDGVLPKCVFFDLFGGVAFDRVQNGSICSTVTQGHVGIVIEERTPAPVPAPPKVPEYPIAGGEGSGGGGGRKKGWWVGGSVAGGVLLATVLVVLVLWVRWCSGRKRIGKMKAAAEGGATLAVTAVGRAKVPVAMGTRTKPVLENEYVS >cds-PLY87853.1 pep primary_assembly:Lsat_Salinas_v7:3:44505169:44507487:1 gene:gene-LSAT_3X32961 transcript:rna-gnl|WGS:NBSK|LSAT_3X32961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSYFHSSDYCYDIVLPIYYKENRSSSGVLVNLTNQNIESLKYFCDEKLHVEVEDKYNEPVAWIGIYISIASLFCILAMAADLWNGFRKRKYCFPCKYFSLNAVSITMIAVAMKLPMDLNSPMRGYVDQLAKQGSLIFMCVMIANLIPSLASMDNKALFANVIGLAILIITMIVNVYMQMNTGVIEHKVFSLRIGKYTFIKPHFMNVAYIYVAMLLFFLVILISSAIIVPTSKQILQLKYQATMKTTLINDQYPNETFAIEKLREHMKRYWIMAETGSPQFVMANNPLSFASGVICVIGLAIYINLLSSIFMTPILLRYQSDYKWSVIAIVTTQSIGVVVGVVAPIFRCSTVVSFKSFSRWDRNHLDVFKVETYWIKELCEWKESHITFLSNGRRSRRLMRILKNLVLIPSIGFQKAVVVTCKIIGLILVLVLLIFMCCSYYFMSLKEMIFSPPSRSSDDIGEFEELSNYVLFLEDNMELSERTFKRISNSINHLIEKAEKEQDNNLVKFIENSVGRFEGVEKFDIYEVQPLLSIKLPNSWSLPIVTLTCIAIALPNIGKDATNWLIKCVGEGLFYTRVVEENLNNGCKYVNIQKAAMTSWDEVEDNNKWLENSLGKSTYEGKTSREILEWFAHKAEEIVIEVSKSTNEGESVEYLPQKLIVANSMYRIAHSIMLTYQSNILGITEEQLFALLSHMIADILVACFTNIPRVITMKCHESAIEKREASVEAAAKLLGRTTEIIKRLEMYQLPSIDHKKMAYIDEWRFHLQCIP >cds-PLY71561.1 pep primary_assembly:Lsat_Salinas_v7:3:53590683:53591149:-1 gene:gene-LSAT_3X41001 transcript:rna-gnl|WGS:NBSK|LSAT_3X41001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHQEISEAAAIQNMTRVPGKRGRNEIPHEIIEVSRDELMKNRPREDQVKSTGIAFGPSYQPAFSGKGKPTKLHKRKHQIGFLYFDIRSKGMELAERRSKGFLTKAETQAKYGW >cds-PLY84602.1 pep primary_assembly:Lsat_Salinas_v7:1:29543025:29548155:-1 gene:gene-LSAT_1X25041 transcript:rna-gnl|WGS:NBSK|LSAT_1X25041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDEEVMGSASPAPSDHKRKLDELDSEPFELPPASAESNGNSQSLADGHGDADGDGDADGDADAASTEDPDAKRPRLDDNSDGSETTENGHQEERVDEEKEDIAEPSDSVKNTELEENQEKSNEPLDDVNKESVTDEPLASSLELQAAENPVESPKEEQQEYGVEYQDPTTDVGLQEDESDLKEQSASADQLTSRRMEVPSNKVGVLIGKGGDTIRTLQYSSGARIQITRDSDVDPDSPFRPVELIGSLENINKAERLIKDVIAEADAGGSPSLVARGFSAHSSGGFGEQVHIQVPNEKVGVIIGKGGETIKNLQTRSGARIQLIPQHLPDGDQSRERTVRVTGDRKQIETAKELIKEVMEQPMRSSTPSGGHNQRQRGPGSNWGGPRGSGGGHHSHSHSHSHSHASGYSNNYSAPPSRGGGGSYPSQNPPYSSSGYGNYPPQQSLPPKNNYGWDQRPPPTNMPEQTGYGYDYYGGAPPPNPMQSHPNPMGMGPPPSSQVNYNYGPDYVQTAPQGGYGGQGQGYGEPRYDHNQGPMGQHSYSSYGGQGQATQPPPSTYPQNQGYGQQQQQVDQYGKPLAYNMPQQQQQGPYGQPYGPPPPRQQQPYPMSTGPTQQPYPQYGTPAPAPVNNDGYSHPGGYAPPPPVSGYGQQAAPGYAPPTGGYGQYPSTQPGYGEQAAANTGGYGYQGPAVDQGYGGGPGMGYGPPVQPTAYSQPAPALAPAPAPAPAQPGYDQSVPQTQTAGGYATPQPQPQPQSQPQAGYGQYDSSQMYR >cds-PLY77923.1 pep primary_assembly:Lsat_Salinas_v7:1:24354211:24354874:1 gene:gene-LSAT_1X20120 transcript:rna-gnl|WGS:NBSK|LSAT_1X20120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSNDSESMSLKQIQFESLELGSVSTKTGVFGFGVLLLEIISSKMNHGTYDVEHPINFLGLAWELWNEGRGLELMDQVLENSCTPEEVMTCIHVGMLCVQDHAMIRPTMSEVISMLTNENMHLPEPKRTAFFFERHDPDSARDDNLGNVLVNGQSISILMAR >cds-PLY66890.1 pep primary_assembly:Lsat_Salinas_v7:7:20388761:20393710:-1 gene:gene-LSAT_7X16040 transcript:rna-gnl|WGS:NBSK|LSAT_7X16040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPSPSTENRCSDVVTDAANLSKNKDLSSEHMEDDEEEDEEGDIDFNPFLKEAPSVEASSSLSSDIEEFNADVDSGQKHSPVKNVEHTQETVTKAAESLCNKDNEPNIATSDSQKPILDSDDEDAIWRRTRARYSLVGSTLDELETFLQETDDEDDLYNIDDEQEYRKFLAAVLQDGDANSGALQETENVDEDEDEDNDADFELELEEALGSDLDENLQSVSQEHERRPETRQKKRQKTDVHKKKFSGEMNNRPLRPILPYAPISPYMFQNMTSTSNEYTHMSAFTPHQIGQLHCLIYEHVQLLVQVFSLSVLEPSRQHIASQVQRLLLEMVHKRDQVVASQKAPYPGCCFSSPYIHSTVNFSFPSNSSESEEGSFFWVPFVSENLLSVIDVAPLSLVGSYIDDSSIAIQEYQRRQIEVTYDATTDKECLFPFSNFPSSADSDPNTVSPRANDRKSKKTIAATAVERSKKQSIALVPKEIARLALRFFPFFNPALFPHKPPPASVANRFLFTDAEDGAPENPIKAVRRWKTSPLTPQEKARIEEGLKVYKLDWMAVWKYMVPYRDPTLLARQYRTAVGNQKSYKGDELSKLKRRSYESNRRRSKLQGSIVSGQNREGWSTEDDATPGFCSTDNAPGEINSGDDAGNNEEEEEAAYVHEAFLSDWRPENQPATSSFTQDRLLPNYPPRVVNHHHQNPIPAANKFSRPEAHLQSYQSRRSRCSRVVKLAPDLPPVNLPPTVRIMSQSAFTKYNEASSKSVTMSVTPLTRPRPGQPDEENTGKGDSDLQMHPLLFQDNEDGSLPYYPLNPSVGSSSSFDFFPNNLNLFRYSHQEKHTLNFFNNSLKSKEISSSSVEFHPLLQRTDDGIRDSGVTVLNPPAESSTPHRKVPRSPNELDLDIRLSSSARNRDSGTTETQDTGLPEENGNDHDSGVGNNEIVMEQEELSDSEEEETAESVEFECEEMTDSEGEGGSDSDHAENLQNEELQDDVLVKAIDEVERCKSDVAMGLSLNPRLPLTRKSRSSKNTTTLPVPTPTPPQIKKPRKRAQKMDPR >cds-PLY90854.1 pep primary_assembly:Lsat_Salinas_v7:9:162074653:162077471:1 gene:gene-LSAT_9X101241 transcript:rna-gnl|WGS:NBSK|LSAT_9X101241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTYSIHHRSKVKIANLTKLTQKSKLQLRSLLRVLVCLSRERRSLRLQPTTPPHPPLANDASASGLRSKTQTKSPTPPYSCFRLLLSRCLQSSQLLL >cds-PLY78483.1 pep primary_assembly:Lsat_Salinas_v7:7:175313910:175315768:1 gene:gene-LSAT_7X104561 transcript:rna-gnl|WGS:NBSK|LSAT_7X104561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELFLPYSMSIAAIFFAVFLQILKGIKSGNKGKNRKAPEAKGKWPVVGHLHLLGGPEPHHITLANMADKYGPIFTIKLGVHNALVVSNSEIAKECFTKNDKAFSSRPKLLAVELMGYNYAIFALAPYGAYWRQVRKIIMLEVLSTRRVEMLGPVRSAELRESMKDLYDVWVKNKDQSGSLDMVKVDMQQWFGNLVLNSLIKVVTGKRFALDDEEGIRFRKVANRFFQLLGGFVVSDYIPPLKFLDIGGYKKEMIITGQEMDNFFDQWLEEYKRERESKQQNERDKVLMDVLISILEGASEEEFPGHDHATIIKATCLTMVIGGLDSTSVALTWALCLLLNNPRTLKLAQEEIDEHVGRKRRVEESDLKNLVYMDAILKETLRLYPPGHLGLPKETLEDCIVQGYYIPKGTRVMLNLWKLHRDPNIWPNPTEFLPERFLTTHKDVDLKGHHFDLLPFGSGRRVCPGILFAMQATRLALASLIQQFELKSPSSEPVDMKEIFGVTCSKATPLEVLIAPRLPLDMYPLGA >cds-PLY85660.1 pep primary_assembly:Lsat_Salinas_v7:6:85619044:85621002:1 gene:gene-LSAT_6X59520 transcript:rna-gnl|WGS:NBSK|LSAT_6X59520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEGGGVSHSMLLSEVETSFYHIDSSHDLSLTFDIRGFRASVLKLVFYNEREDLLRRHDAPVRCIEYSYATGQVITGSWDKTLKCWGPRGGGAQENIVPRPLNMNI >cds-PLY83785.1 pep primary_assembly:Lsat_Salinas_v7:4:42352224:42352781:1 gene:gene-LSAT_4X29441 transcript:rna-gnl|WGS:NBSK|LSAT_4X29441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLTYLIFYTYVPFLNFCPLIGKIDVQVDIEAELEVERVVVFKSESDSDFESESESESEVEAASDVEVAIEVPEVEANIEVLEADVEANIKVPLVQDEVEQDIQVEDGVEHEIQDDVQQEIHDNAEIQARKRTRKTSERITKIMLRKNIGRKEGSSNEHPLEV >cds-PLY77525.1 pep primary_assembly:Lsat_Salinas_v7:2:163234338:163235274:1 gene:gene-LSAT_2X84020 transcript:rna-gnl|WGS:NBSK|LSAT_2X84020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMIPMIMELDELPEQFSLPFQDLSDSPLMEFSGGSSTSNHPSPPPQPQFMYRNQLPVILPSPQIRALREFPRCSWFQQKPDSVEAMREMIFRMAALQPVQIDPESVKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAAHYMKFLKKQVQSLEQAAAAGGGGGGGGGMPTKTSPLPGLNVIGTTTTMNNHGTNNHSNFVRACGQPSTQASLTVGSMELLR >cds-PLY97603.1 pep primary_assembly:Lsat_Salinas_v7:5:235001002:235002570:-1 gene:gene-LSAT_5X115280 transcript:rna-gnl|WGS:NBSK|LSAT_5X115280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWEEYVSEIASYILKEQSPKRLFQVQGKLYELLVNCIPPEVILKRLLHELLKKLNSELKHEVCHWAAYYMDYINTSYLNIIGGSKVVETTMQLVKSSKITPMNSRKKEAADDKVPQSERGIKSHAILGRQVKGIVTEQG >cds-PLY79257.1 pep primary_assembly:Lsat_Salinas_v7:9:182675380:182678843:1 gene:gene-LSAT_9X112361 transcript:rna-gnl|WGS:NBSK|LSAT_9X112361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVAAISETTNQSRPPLLPSEKDNGIIGPNKPTRPKSRQVSSRYLSPSPSPSPSTSSNSSSLPTPTPSRRFPSPLVSRNSTTPAAKRSVSADRRRSTRPDLNLKSNNAGGAGEVSAATKLLVTSTRSLSVSFQGEAFSLPISKTKATPTSPNSTTFRKGTPERKRTGTPLRVGDHAENTKPGDQHRWPARTRQSNQTVLSKSLSLDCGVEKSNIIGSGNVIRALHQSMNMDSRRASVDGVHGGRLSLDLGNSILSNPIQQNPNGNSSDTDSVSSGSTSGIQETNRNGTRGVVMSAKFWQETSSRMRRLQDSSSGTSPGSKLVTPSKLAKKFSNDGPLLSPRTMASSPNRMRPSSPSKLMTSSVSSSPCRGMISPRRNSVSGIVSSGFGETPSVLSFAVDVRRGKVGENRIVDAHLLRLFYNRQLQWRFVNARNEDTLLKQRCGSEKNLWNAWITISDLRDSVTKKRHRLQLLKQKLKLASILKEQIAFLEDWASLDKDHSIALLGAIEALKASTLRLPVGGAIADLQSMKDVISSALEVMQTLCLSICSLCVKVEEANSMVTELANVCAKEQALLRICKGLLSMLAALKVKDCSLRTHMLQTKCVKKSHL >cds-PLY62312.1 pep primary_assembly:Lsat_Salinas_v7:3:211491164:211491955:-1 gene:gene-LSAT_3X125940 transcript:rna-gnl|WGS:NBSK|LSAT_3X125940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGCRKGEKLKGVRDLKLYLAHFGYLNYQKNPNNVTDLEEDNFDDELEAAVMSYQVYYHLNVTGTLDGPTVSQMVKPRCGFPDKETQHNHNTNNSLHTISHYRFFPGRRKWPTGKKHLTYGFGSRFPRRFIPPVAQAFRTWANASGYFTFSRAITYQGADLKISFQRGSHGDIRAFDGPGGVLAHAFAPTNGIFHFDADDSWVIGAVPNAFDVETLALHEIGHLLGLDHSEFENAIMWGSFTAGVTKELNSDDIEGIKALYGV >cds-PLY64623.1 pep primary_assembly:Lsat_Salinas_v7:6:35752810:35753781:1 gene:gene-LSAT_6X28380 transcript:rna-gnl|WGS:NBSK|LSAT_6X28380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYSTTPIPSVKEGSHMEAHQDDDIMIMEDMKSHIKGKRTKRRRPASSALTLSIACTTSSSSTTTTSTTTGAPANPTFFHMPTTTSIDFTNILHDNDEDVANCLILLAHGHNLPAAPSPVNAVVSPPLYVYECKTCNRSFTSFQALGGHRASHNKPHKDVHCQPSKNRTSLSISPNKNVICSPSGLIKGPKVHECSICGSDFTSGQALGGHMRRHRSMSMATTTCSTSNGCHESKKHKTLLSLDLNLPAPVEDDHKETKFGFSSNNQIIVFSAPSLVDCHF >cds-PLY69832.1 pep primary_assembly:Lsat_Salinas_v7:6:4292972:4293677:1 gene:gene-LSAT_6X3121 transcript:rna-gnl|WGS:NBSK|LSAT_6X3121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIIKSTFSFMLGTAFGVYVAQNYDVPNVNKLYKTGLVMAKHYEENYRKPKGKGDDDDRNDR >cds-PLY88957.1 pep primary_assembly:Lsat_Salinas_v7:8:130071872:130075599:1 gene:gene-LSAT_8X90200 transcript:rna-gnl|WGS:NBSK|LSAT_8X90200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIEVSCSSGQWLKKEPHSVLNDGVLAGKISKNNISISTGEEFSMEFLQDRGTVRQVHNVYALSNGTLPKDNGNHHLVYEELSVMLGLQRVDSACSSGMAESTSVKGSLSKPSAPSAIVVDSAPSLAPRASGSGVSDESQREKIKFICGYGGKILPRPSDGKLRYVGGDTRIVSIQKNISWEELIKQNSEFCNQPHTIKYQLPGEDLDSLISVSSNEDLQNMIEEYNALGNLDGSQRLRIFLIPHSDSETTCAIESNGNQQQNPDYQYVVAVNGIVDHNPRFPQKHPPSFDILEDSIGLNNSNVTQQLCEPLVITNSPENFPLSSSDLVPQRDLMNGHAQANKNPNSLQTPFGSESLKRACDANCIDEKIQAAKEDPRKILGVQNNSKENIQSFSEAANFSNNISSATANGDINKALKPLKVNYDQIPVLHYLNVSNHVIPASTATNVKHAINSQRITENQQHVTNGIENGQQGISTQWAHNPEKSLTDLIPGLSDNVSHEYAVQLPLMQNPTTAAGHRREVSLMDEDFFIYTKQEVGNSNHEAYYNKMQKEMEVPFIKDMKDNQLELPDLLGNITDVASNSPLLDAISTEAQSSNATEAESTFSDSNVENAATDKGGKDDPFSNALIAEMEADMYGLQIIKNAELEELRELGFGTYGTVYHGRWRGSDVAIKRIKKSCFAGRSSEEEHLWTSIIVHANDFWREAQILSNLHHPNVVAFYGVVPDGAGGTLATVTEFMANGSLRNVLVKKDRSLDCRKKLIITMDAAFGMEYLHSKNIVHFDLNCDNLLVNMRDPQRPVCKVRDFGLSRIKRNTLVSGGMRGTLPWMAPELLNGSTTRVSEKVDVFSFGITMWEILTGEEPYANMHCGAIIGGIVKDTLRPIIPEKCDPEWKNLMEQCWSVDPTIRPSFTEITNRLRAMSKALQQNGPKKGSQSHA >cds-PLY98952.1 pep primary_assembly:Lsat_Salinas_v7:7:49618081:49619486:1 gene:gene-LSAT_7X34701 transcript:rna-gnl|WGS:NBSK|LSAT_7X34701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIINGGLNNCDRRGNSDGVDDSGDLVVDIYPLSSYYFSSKPAVASKDENETLADRAQRLKSNYDAHGMRICVEAVILVELFKHPHLLLLQSKNCIYKLPGGRLRSDESEIDGLKRKLSNKLSAADDDSYRNQWNVGECIGTWWKSDFETIPYPYLPSSGKNPKECIKLYIVKLPSSKDFIVPKNLKLLAVPLCQLHENRKTYGSIIAGIPELLSRFSINIVDT >cds-PLY86564.1 pep primary_assembly:Lsat_Salinas_v7:9:4893418:4893771:1 gene:gene-LSAT_9X2500 transcript:rna-gnl|WGS:NBSK|LSAT_9X2500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMKHIHNSCSCRIKIKRNEDVCKNSHLLGRTNEEVFVVACEEDRCSDSKQMIKRSNKRSMEKGGSTICSSGPFLSLQILEEAEVTKLIGNVIRFNMEDFQNQAKDAVESLGEQFFQ >cds-PLY93870.1 pep primary_assembly:Lsat_Salinas_v7:5:332363072:332366041:1 gene:gene-LSAT_5X185720 transcript:rna-gnl|WGS:NBSK|LSAT_5X185720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGAELSPLRKFWNNEKVKGERRGFVAPLLTGNAQENTSDGGASAENRDRRRKETGRVPVVGSINKMMIKGRGMSMDLWKMNKNKPGLG >cds-PLY75797.1 pep primary_assembly:Lsat_Salinas_v7:3:68803765:68807543:-1 gene:gene-LSAT_3X56320 transcript:rna-gnl|WGS:NBSK|LSAT_3X56320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRPVSAITDHGGLPTESGDTVVTLDQVPRWIDGDLRYSDEIEDPSSHFPDPLASSSEAESSGTGIVSRFPVDHEVNSKIYLWRGNPWNMEVDAVVNSTNENMDEAHCSPGLHAASGPGLAEECSTLGGCRTGMSRVTNAYDLPSRRIIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENRLQSIAIGCIYTEAKYYPREPAAHVAIRTIRRFLEKQKDKITAVVFCTTTANDTDIYKRLLPLYFPRDRNEESFAIMKLPADVGDENGETLIDERKIRIKSLPNVKKSVPKPRKALIDVPINDRGLTRRNSSSYLDSYLDPDFMSLIMDPDQRRKEQWEKTAKAQNGFKLGKILGFGDVGGPPLSAAEEYSLHSRYLAKANSRNLSEIAEMKIVYRGGVDSEGRPVMVIVGAHFLLRCLDLDRFVLYIVKEFEPLIQKAYSIVYFHSAASLQVQPDLGWMRKLQQILGRKSQRNLHAIYVLHPSFGLKAAVFTMQLLVDNMVWKKVVYVERLLHLFKYVPREQLTIPDFVFQHDLEVNGGKGLVVDPRTKYVYNRPGGKSENVII >cds-PLY82808.1 pep primary_assembly:Lsat_Salinas_v7:1:86759074:86760052:-1 gene:gene-LSAT_1X73221 transcript:rna-gnl|WGS:NBSK|LSAT_1X73221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILVIIVATLYGGATAQSSGCTSVLISLSPCLNYISGNTSTPSSGCCSQLASVVQSQPECLCQVLNGGGSSLGLSINQTQALALPSACNVQTPPTSRCNAASPSNSPSETTPSSGTTPSSETTPSGNPSGKGSETNTVPSTDNGSSDASSTKFAAIPIVFTLLVAAYAMVF >cds-PLY86518.1 pep primary_assembly:Lsat_Salinas_v7:7:75874528:75874689:-1 gene:gene-LSAT_7X53600 transcript:rna-gnl|WGS:NBSK|LSAT_7X53600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVLKLSEEAKNNKEVQLDILNLLKVELLMMVMLLVVVIMMEFMVHNVMVKAL >cds-PLY66066.1 pep primary_assembly:Lsat_Salinas_v7:2:206634045:206637514:1 gene:gene-LSAT_2X127221 transcript:rna-gnl|WGS:NBSK|LSAT_2X127221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTDMKSFLLLSSASLSSSSRLLQYLIVLALCCCCLCNEDSDHVLCIQSERVALLHFKTDLIDGANRLSSWSIGYNNDCCKWAGVVCNNITGHVQEIRLGGPADGLHGHCHGSYDTDAELDAASKQMLGGNINPSLLSLKQLNYLDLSCNDFGGIPIPGFIASMRSLRYLNLSMSQFDGQIPHNLGNLTMLRVLDLRFGLWQSNFPLKNLKWLSPLRMLQHLDLTGYDLSSEDEWLQVMNTLPSLLELRLSSCSLPQIPRNIITVNFTSLSILDLSYNTFDTSFMPLWIFNLTSLVSLDLTNCFFHNLVPVGFGVGFQKLTSLRVLHVSGNDAMNHSSLLKGVSSLTNLVSLDISSCYLTRPILHDLQNMSSLVSLDLSNNKINESLPNSLVNLCNLRSIALQSNHLYGSITELLQNLCECKSSKLESIGFWGNYLVGYIPEKLGVLKNLITFDLGFNFLTGQIPESVGTLPNLKTLILNANSITGQIPNSIGKLSFLDRLDLSNNLLIGSLPESLGNLSSLTFLNVYNNLLNGSVNSNQLTNLTALKTLRGENNKLTLQLQPNVDEDWVPSFQLDVLRIGSWNLGPHFPSWLQFQRNLTELDISNTNISDVMPDWFWSTFSGIGFLNISHNRIQGKLTQDLEFLATNAVVDLSDNSFSGPLPSSFNRPDIDFLDLSTNHLSGSLQQFLCPKIQESRQLKVLNLANNNLSGVIPNCWVNWDSLYVLNLENNRLSGGIPRSVGEVPFLRSLNIRRNNLSGKIPVSVMSSKSLLIIDLADNKLTGITLTPKWGKATRLKLLSLRSNMLDGKFPNELCHLTSVQILDLADNNLSGSIPTCFNDFTIMSGKESSTPIILYDEFDQNQVLGSASLVTKGRESSYSTILYLVTTLDLSRNRFSGNIPDELMELVGLRYLNLSGNQLTGGIPENVGGMRLLESLDLSSNLLQGGIPWRISSLTFLNWLNVSYNSLTGRIPTSTQIQSFNQSSFIGNRLCGPPLENLCGSLAHVDGTKDEENRDEGNEVDWVLVVCLVVGFFFGFWVVVGPLAVNRIWRITYFGFLNKVWHKVCGIFISKPKHATLEPTELRLGSSF >cds-PLY69204.1 pep primary_assembly:Lsat_Salinas_v7:1:80434958:80435293:-1 gene:gene-LSAT_1X66601 transcript:rna-gnl|WGS:NBSK|LSAT_1X66601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVGADIADRSTVLGAKPMIIDFFSRWWRRQQTEQTTASNNDEIEQKGVIPEALCCRRGNECRKRDERVGEGTSNEGGFLGSVHRKGRKKEARMSVGESESIAKMEVEAYK >cds-PLY79579.1 pep primary_assembly:Lsat_Salinas_v7:2:166594222:166595292:1 gene:gene-LSAT_2X90140 transcript:rna-gnl|WGS:NBSK|LSAT_2X90140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHKNGSGSSEHLDSEFDVTYSVKDDDKMVGRASSSSFSSSSSSSSSHPMEVNGGENDVKFPEFEENESISLRSNNTESAKTSSSGRLTVKLSSMASPRFSDFDTSNASPIQSPPIQVMAQSDDYDRNRIPSSIFSPKQSDDSEWSAASNESLFSIHMGSNSFSMDSDFFISQSGKLHWLDDGFEYSCFTPHGSLTSPMVETTVEDERKSAEEKEELLETLNASPTDFVRGKESSPDWESSPNSRVPTEGTRNSDSSSQSTGSFAFPLLAGGSPVKEDAGKPQSPSSTPPTPKGAAEAETTPPPAAPATTPKAGGNQWFNCFSCFPICC >cds-PLY75422.1 pep primary_assembly:Lsat_Salinas_v7:7:75345917:75347333:1 gene:gene-LSAT_7X54340 transcript:rna-gnl|WGS:NBSK|LSAT_7X54340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 25 [Source:Projected from Arabidopsis thaliana (AT5G57550) UniProtKB/Swiss-Prot;Acc:Q38907] MTSSSCLYTRTTSLLLIFCIQLFLIVYAAGNTNFYNDFDITWGGGRGKIIGAGDILTLSLDRVSGSGFQSKKEYLFGRIDMQLKLVPGNSAGTVTAYYLSSQGANHDEIDFEFLGNVSGEPYTLHTNVYAQGKGDREQQFRMWFDPTSNFHTYSIIWTPQTIVFLVDETPIREFKNMEAIGVPFPKHQPMRLYSSLWNADEWATRGGLVKTDWTKAPFTASYRNFNVKTGALLQWGMTHSQKLKWVKDNHMIYNYCNDAKRFPQGFPPECRVTGSH >cds-PLY91601.1 pep primary_assembly:Lsat_Salinas_v7:7:11867855:11874082:-1 gene:gene-LSAT_7X10260 transcript:rna-gnl|WGS:NBSK|LSAT_7X10260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEESSENKSNISPESSSSVADFGVLEKAKPFAGDSAVEWVEYAVQQAVITQKTIAETLESTISLTKSRLCQIKSTSTAHLHMSIETLQDLKSDYNVYEAIVFGKIKEGVYFAAAHPFATSGLIVGSGVLAVKSTRRSLYYNTLRLFVNEEAMLARANAKVQKLRDSLRTLTEESKKLEKFSSDAEVELKRGRTKLRQTGKQIQGVITSAYKIERQAGGLKDILKELPNRDASAFRSEVKTGTISGIIMIRLKGAMTDATMVEVFNVVVIVDNLNMIVNNLFLFRQLLGLREEFLLSGRPSANK >cds-PLY67147.1 pep primary_assembly:Lsat_Salinas_v7:5:290946317:290948149:1 gene:gene-LSAT_5X154641 transcript:rna-gnl|WGS:NBSK|LSAT_5X154641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNAIQFFELFNKTKIPSVGLGTWQSEPGLVGDAVTAAIKMGYRHIDCAQIYRNEREIGLVLKKLFQDDVVKREDLWITSKLWCSDHAPEDVPVALDRTLNDLQLDYIDLYLIHWPVRLKKGSVGLKPENLAPVDIPNTWKAMEKLYDSGKAKAIGVSNFSTKKLGDLLDVARVPPAVNQVECHPSWKQTKLRDFCKSKGVHLSGYSPLGSPGTSFIKSDVLKQPILISVAEKLHKTPAQVALRWGLQMGHSILPKSTSESRIKENFEIFDWSIPDDLFTKISSDIEQARLLRGTYFVDETHGHYKTVEELWDGEI >cds-PLY90091.1 pep primary_assembly:Lsat_Salinas_v7:6:16161185:16164583:-1 gene:gene-LSAT_6X9421 transcript:rna-gnl|WGS:NBSK|LSAT_6X9421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSSLIPGFRFHPTDHELVMYYLKRKLLGKRIIVNAVAEVNIYEFSPWDLPDKSSLKSGDLEWFFFCPKSKKYSSGARSNRTTESGFWKATGKDRKVEYKGRVVARIKTLVFHLKTASDGQRTNWVMHEYNMEDQKLADEGVVQDMYVLCKIFEKEGAGPKNGAQYGAPFNEEEWDDDVASCSGPTNKPDDKQKGPLTLSLTEPGSSTITYSANETVIYKQKGPAATINNKTLPQSTCTLTEPAPSSSANANANDTPGNDDDVMLYEDLASIFGVPTGGLNSNNKDKGVEVKGVGPNEDEGIFGDLVNLVNLDDFVGAELNRFETDGSEYTMGMMMGADDLDVDLGRFCVD >cds-PLY62228.1 pep primary_assembly:Lsat_Salinas_v7:5:164974260:164976954:1 gene:gene-LSAT_5X73041 transcript:rna-gnl|WGS:NBSK|LSAT_5X73041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSEESGVGRMSNGQQLSGEALAEWRSSEQVENGIASTSPPYWDTDDDDDDDCGAKPSELYGKYTWKIDKFSQINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAIVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVLDGFVDADTLKIKAQVQVIRERVDRPFRCLDCQYRRELVRVYLSNVEQICRRFVEERRGRLGKLIEDKVRWSSFCTFWLGIDQNARRRMSREKSDLILKVAVKHFFIEKEVTSTLVMDSLYSGLKSLEGQSQTKNKTKGKCLDAEEVPQPVPIVRVEKETFILVDDVLLLLERAALEPLPPKDEKGPQNRTKDGGSGEDFSKDSIERDERHLTELGRRTIEIFVLAHIFSKIEVAYQEAVALKRQEELIREEEEAWMTGIEQKAKREKEKKSKKKQGKQKRNNRKLKDKIKEEKANTVVIQDKPQLSIDEAEDLLLLEKSDISDVSDSVECVPLVLQPDSDERDSIQVNWDTDTSEAQPPTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRQ >cds-PLY81118.1 pep primary_assembly:Lsat_Salinas_v7:9:67583761:67585729:-1 gene:gene-LSAT_9X57040 transcript:rna-gnl|WGS:NBSK|LSAT_9X57040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADNVAGEHEKEDSFVESVKDKISETFHKDDSSSSSDSDNEGKKSSSVSDVKDKIYRLFGREKPVHKLLGGGKPADIFLWKDKKVSAGVLGFATLIWVLFELVEYHLLTLVCHTLILALAVLFLWSNAASFINKSPPKFPEVNLPEDIVLGVASALRVEINKAFEVLRCIASGKDLKKFLGVVAGLWVLSIVGSCWNFLTLFYICFVLLHTLPYLYDKYEDKVDAFGEKAEAEIKKQYAVFSVKVLSKIPVGALKHKFA >cds-PLY65818.1 pep primary_assembly:Lsat_Salinas_v7:4:360249855:360250574:-1 gene:gene-LSAT_4X178480 transcript:rna-gnl|WGS:NBSK|LSAT_4X178480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGICSSYESTSVATAKLISHDGRLQEFSYPVKVSYVLQKNPSTFICNSDEMEFDDVVSAISDDDELQLGQLYFALPLSRLRHPLQPEEMAALAVKASSALGGCRRKNVSFTTSGEKCHTKSSGRVADAGVLETGNRRGGVRRGGGGGGSGRRRNFSAMLSAIPE >cds-PLY79082.1 pep primary_assembly:Lsat_Salinas_v7:3:9390014:9391256:1 gene:gene-LSAT_3X7761 transcript:rna-gnl|WGS:NBSK|LSAT_3X7761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARIHPCIPSSSSSSSFCSNEREAYTIWMKSLVLNSNGYTVYNSKADVVFRIDNYDNKRSNEVYLMDLRGNVVCTILRKKLLRFGLWECYNDKDCSEPWFEVGKTCNFFKNHSVYNVLVGTNEARSLCSSKHTMEGSFDSLEFKIKDGEGRVEALVQRKRSSSGVVLGEDVLLVTIEPHVDHIFVMALVAIHALIHHRM >cds-PLY98643.1 pep primary_assembly:Lsat_Salinas_v7:1:37919631:37920752:-1 gene:gene-LSAT_1X31180 transcript:rna-gnl|WGS:NBSK|LSAT_1X31180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSERNKSGGASVIRSSFQRSISPSGRFCSSTISSSSSAFTSSMSGFSSSTRSTSPSRISVRSGYTSSSPSVRFSIGHRHSSPGRSVAASSSPRNVNRRCDRNNNTNKAWSHGSKKTCLCSPTTHPGSFRCSLHKNSSTRNGNGSHDSMASYHSHRLYARRSAMTNSLVRIGTVEGDLVKRALAALIRPSSHQQRRRSDFQPRPSRLSVMSKAGD >cds-PLY62660.1 pep primary_assembly:Lsat_Salinas_v7:1:35290812:35292562:1 gene:gene-LSAT_1X30120 transcript:rna-gnl|WGS:NBSK|LSAT_1X30120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTTEKRTNKKERAKERREKRHQEISRLRTVPYSDHDRWWSADTIAVVTGANRGIGFEIARQLAFHGLTVVLTSRDTTAGEETTKTLQEEGLKVVFHQLDILNHESIDSFCAWVNEKYGGIDILVNNAGISHNTGSDNSVEFAEKVINTNYVGTKNMIKASLPLMKPSAEGARIVNVSSRLGRLNGRRNRIGDLGLREKLEDVELLSEDLIDGTMNKFLEEVKDGSWISGGWPQNNTDYSLSKLAVNGYTRVMGRILSERDDSEKIYISCCCPGWVKTAMTGWAGQTSPEEGADTAVWLALIRDQSVNGKFFAERREINF >cds-PLY62809.1 pep primary_assembly:Lsat_Salinas_v7:4:29035591:29035929:-1 gene:gene-LSAT_4X17301 transcript:rna-gnl|WGS:NBSK|LSAT_4X17301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDTWEENQNSDIEEGEIRLESPPMSKMSPDGMSYSSKNLIVEESGLDVDEESINSFSESTLSVNVEIEDTITTIKPDMLFPINLRTLEIHNIDTVVCIMYANYSFTKRGGY >cds-PLY75721.1 pep primary_assembly:Lsat_Salinas_v7:5:136671979:136674848:1 gene:gene-LSAT_5X60100 transcript:rna-gnl|WGS:NBSK|LSAT_5X60100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSIRSSSKNVNPSATSASPIFSFGHFRSYSMSAIPPSDVPVLPSSSMSSIDLEDQNQFSSRKSMDEKGEAEGLLSFRGLCEAGLSDDVFVGCFLSMSSTAVADDIVNKSVWCISFNMVIRAMLPESDGATVILREIILSIGSNVNESYWMARRKYTIP >cds-PLY63928.1 pep primary_assembly:Lsat_Salinas_v7:4:76188117:76188536:-1 gene:gene-LSAT_4X51660 transcript:rna-gnl|WGS:NBSK|LSAT_4X51660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLKPSLFLIIAMVVASMEFNHSVATEHVVGDSFGWAVPQDAGFYAMWSLNDTFIIDDTLIFNFVDGFHNVAEVTKEAYDNCDTQNLISIQSTSPARFTINNVDNHYYICTVGLHCKSFLKLAIRVSVPNNSSAMLSH >cds-PLY79930.1 pep primary_assembly:Lsat_Salinas_v7:3:108905341:108905502:-1 gene:gene-LSAT_3X79501 transcript:rna-gnl|WGS:NBSK|LSAT_3X79501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVTYEGVSQSNGNEDATEGDPKDDEDDNVLDVEGDNLPDVKAKPMLNEDIS >cds-PLY82167.1 pep primary_assembly:Lsat_Salinas_v7:1:14280921:14282633:-1 gene:gene-LSAT_1X12561 transcript:rna-gnl|WGS:NBSK|LSAT_1X12561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIATFSVGSYIPWLSWVDRLTGLEGRAKRGAQEFDVFLEGVIEEHVNKKRGMDAKGDDVQDIVDILLEFQNENTSDFTLDSDSLKAVILDVFAGGTDTIYNTLVWILTELIRNPRVMKKLQQEVTKVAQGRSMLFEEDFEKMEYLKAVIKESYRLHPPAPFLLPRESVEDVKMMGYDIPAGTRVFVNAWAIGRDPTVWENFEEFKPERFLDSSIDFKGHHFELIPFGAGRRGCPAIHFSVTIFELVLVNLVYKFDFRLPDGEKIEDMDMSERNGLTVHKKVPLLVVPTPR >cds-PLY80894.1 pep primary_assembly:Lsat_Salinas_v7:8:128158638:128160038:-1 gene:gene-LSAT_8X87840 transcript:rna-gnl|WGS:NBSK|LSAT_8X87840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEDQIPLLDLEKLKVISPLGRGAKGVVFLVQNEASNGELLALKVISRASIKKKVKDKDSDGSEYKRICFEKEVLRRCQHPLLPKLRGVLSTENIVGYAIDYCPGRDLNYLRKKQTEKMFSDDLIRFYAAELVLALEYLHGLGIVYRDLKPENIMIQENGHLMLVDFDLSTKLSPKLPSQSSSTESTPSPKSDALTKKKKRISPFYKCCHPVISAEESVHPAESVKSETRSETRSLSKSNSFVGTEEYVSPEMIQGTGHDFAVDWWCLGVVLHEMLYGTTPFRGINRKETFYRILSKSPELVGKPTALRDLIRKLLEKDPKQRISVEEIKGHDFFKGVDWEKVVQISRPPFIPGLFDDEHVDGIKKIDVEDFVQGLLKVDDDVTAGVMEKEHKQEQDAAKDLLVF >cds-PLY86032.1 pep primary_assembly:Lsat_Salinas_v7:3:74103578:74106294:-1 gene:gene-LSAT_3X61220 transcript:rna-gnl|WGS:NBSK|LSAT_3X61220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGINDNERNDSNPMVVINKLKFTYPGIDGHPPPGSVPLIEDFSLTLNPGDRCLLVGSNGAGKTTILKILGGKHMVEPDMVRVLGRSAFHDTSLTSSGDLAYLGGEWRREVAFAGFDVAIQMDISAEKMLFGVSGVDPQRRAELIKVLDIDLSWRMHKVSDGQRRRVQICMGLLKEFKVLLLDEITVDLDVLARADLLTFLKKECEERGATIIYATHIFDGLENWPSHIVYVAQGKLQLALPMEEVKKMSNLSLMRTVEKWLRKERDEERKRRKERKANGLPEFDRRIDGTRVVNNGWAAGRLNSTVAGQENFVYSSNRVMRQ >cds-PLY86977.1 pep primary_assembly:Lsat_Salinas_v7:5:255855862:255857325:1 gene:gene-LSAT_5X131700 transcript:rna-gnl|WGS:NBSK|LSAT_5X131700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNCAKELWHQRIFFSNVVVTRKRNLFWGRKWRSLDIKMAIGILLFHILAFFAPFTFTWDAFWIAFFGYILTGIFGITMCYHRLLAHHSLKLPKWLEYTCAYLGVQAIQRDPIYWVSIHRYHHQYVESEKDPHTPTYGFWFSHMGWILDSGFIMEKYQERKNVEDLKSQAFYRFIKRTYLWHIFGFGALVYAWGGFPYLVWIMGVRSMWVLHMTLLVNSACHIWGKRVWNTNDLSKNNWWVALLTFGEGWHNNHHAFEYSARHGLEWWQIDLCWYVICFLESVGLASNVKLPTPAHKFKKSFVSTNKFR >cds-PLY97519.1 pep primary_assembly:Lsat_Salinas_v7:5:78548338:78550757:-1 gene:gene-LSAT_5X36681 transcript:rna-gnl|WGS:NBSK|LSAT_5X36681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNIPKHDSVEAVWAFGDSFFDSGNNNFLNTLIKADFPPYGKDFMGGVSSGRFTNDRTISDYIVKKLGLKDYLPAYLDPYIREKDLPTGVCFASAGSGYDGVTSQIQNVMPLSIQLEMFKEYIWKLNRIVGEEAAKHIIKNGVYLVSSSSNDWSISYGLVPVRRLQYNATDYANLLAKNARMFFEELYKLGARKLVVFGTPYIGCFPLMRTVFGGVRRNCVNMFNEDAETFNKMLKSQLEYLRSSLPHSTICYVDYFNLSREIIQNHLQYGLEDVDNACCGSGLYETSYLCNRLSPICPNDSKFLFWDSLHLTDKGYNIVVDHALPRLMQCLH >cds-PLY61879.1 pep primary_assembly:Lsat_Salinas_v7:6:62158958:62159437:1 gene:gene-LSAT_6X46020 transcript:rna-gnl|WGS:NBSK|LSAT_6X46020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAKRFISLLAFTLLFTLSVSSDPAGVSPSPAPQSGSDDQPIIPSPSPSPSTGSPPAPPPSSDLAPIPTSTQPPATSAPPAMSPAPETADDNDLSSANVKSEESNKSSSGGMSGGKKAGIAIGVIAAACVVGFGGLLYKKRQQNIRRAEFSSAARREFL >cds-PLY76197.1 pep primary_assembly:Lsat_Salinas_v7:4:52410039:52412626:1 gene:gene-LSAT_4X34580 transcript:rna-gnl|WGS:NBSK|LSAT_4X34580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRSEGPHRGFLPIGNPFKMMMPKTSHLPSTFLTTFEKNLAKRFQQLKPNHPNDVITFSWMKSALSSICDTLDDVRKLIVDLELPICSWDIKWVDMYLDNSLNLLDFCSAFGTDIVRQRFGYVMLKCALLDLDSDNPQKLMKASSSLHEWRQYHNSYHNSKLQDCCDVISKLEQTLNLPKIKNIPKAKDLVRAMYGLKVQTMFIFSTFVAAFSTFPRVLVELQVPKLYLWQESFTELQVVVNGEIKNVYSSNGVSPLMELRRIEENVKKLYPLLHEGLGDVKDEDFKSYCSELMENNEKFLIGLDEIKSEMDRFFKVVVSGRMALLXVIVMMDKEWKELTFRPVPKGG >cds-PLY80044.1 pep primary_assembly:Lsat_Salinas_v7:9:46535571:46538263:1 gene:gene-LSAT_9X43060 transcript:rna-gnl|WGS:NBSK|LSAT_9X43060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTPLNEGEDHGDVFLDEDDIVEEINVDEEDLPDADDDADSDTEAYVDEADDSVHIFTGHTGEVYTVACSPTDPTLVATGAGDDKGFLWKIGQGDWAFELQGHKDSVSSVSFSSDGQLAASGSFDGVIQVWDILSGTLKCTLEGPGTGIEWVRWHPRGHLVLGGSDDSTVWMWNADKAVYLNTFSGHASTVTCGDFTPDGKIICTGSDDASLRIWNPKTGENIHVVRGHFYHTEGLTCLAITADSSLVLTGSKDGSAHVVNIITGKVVTSLTSHTDSIECIGLSSSSPWAATGSMDKKLIIWDLQHSIPRCTCEHEEGVTCLSWVGGSRFVATGCVDGRVRIWDSLSGECVKTLRGHSDAIQSLAISADGNHLVSVSLDGTARVFEIDEFH >cds-PLY92999.1 pep primary_assembly:Lsat_Salinas_v7:4:193061521:193063635:-1 gene:gene-LSAT_4X111021 transcript:rna-gnl|WGS:NBSK|LSAT_4X111021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSPEKRCLYEVLGLQRDCTADEIRSAYRKLALQRHPDKLIKSGVSEAEATASFQELVNAYEVLSDVRERTWYDSHRSQILFSGSNPNNSSSGSAVVPDLLSFFSNSVYSGFSDKGKGFYKVYADVFDKIYRNELKFAKTLGLGNVVKEAPLMGNLDSPYAQVNAFYGYWLGFVTVMDFVWEDEYDANAGPNRKSRRMMEDENKKIRKKAKREYNETVRGLAEFVKKRDKRVIDMQMKRNEEIEKKKEEERARKKEMEREKAERARLYEEPDWAKMEDSDEVVVEEEEEEDERKNEFYCVVCGKKFKSDKQWKNHEQSKKHKEKVAELREAFEEEEEEEEEEEVTENKDDLQSEDIDDGEVIVDEVEELKEKFEEVIIEKEETAHDEEKDDDENSLEAMLSWNKNKKKPKKKVYVEVEEEEVDLMEYNNKKGRRKRGGKKDTATKPEDEEQQKIDKPEISGNADVDNKSDDDDDGSHIEKPSSSLSKPCVETETNVEEANEPNVKIKVVKQAPASKVINKKEGNSKGKNSTRGKKQKATSRNSGHECDTCGVNFDSRTKLHKHLSDEGHAAIKSR >cds-PLY74587.1 pep primary_assembly:Lsat_Salinas_v7:7:37070155:37074711:1 gene:gene-LSAT_7X27500 transcript:rna-gnl|WGS:NBSK|LSAT_7X27500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRMRMGSTATATKHQPSNLPPRRSADEADRPNGDCGYESLDPEDFDDVFGGPPRSVLSRQYSVDADFARSRNLVFEDIFRKPKGYMDLGGRSDRTLPEFRIPESRTGGGERRRTEEEFYSDIFGNSRRSRSRSKSHTNSNSKSKSNSSSILSSEEVSPFRPAVVDDDDVSFSSFASKLRPINVSSKWNTSEKMQTAEEQPDPPWSCNSFAKTQSVESDYIQKFKGCYSGFSQRVSSPEIISFGLDSYTSTLKESIEDLQVNSPASSAVSSFYQEQDEGKDIDPEDEEEDEDEVMSSYVIEINPRNRERIGEGIGIDEAIAWAKQKCETRNLEKVWSKEEAEEDECGGVEDTNTNPAGVKQVPEVQITGHSSRESPLLDKQKEWTTEESLEKDASPCKQMELELLDEDIKLWSDGKEPNIRLLLSTLHHILWPSSGWIMIPLSNLKESSNVKKAYQKARLCLHPDKLQQRGATIPQKYVAEKAFPILQVFSDVVVGVNKEKGVAVVTGFSGVMVAGSFTKATSPRSRFKYQKASFIHCFLSQEREFGSKRC >cds-PLY72831.1 pep primary_assembly:Lsat_Salinas_v7:6:22732184:22732802:1 gene:gene-LSAT_6X18000 transcript:rna-gnl|WGS:NBSK|LSAT_6X18000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIKRLLLEVEPPSPVRYLIGSAIMMIGVVLPLGYMMFRNKRVPSSSSFAKQTNKVLI >cds-PLY94001.1 pep primary_assembly:Lsat_Salinas_v7:2:71881615:71882589:-1 gene:gene-LSAT_2X31660 transcript:rna-gnl|WGS:NBSK|LSAT_2X31660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCCSQHGGFHHFFSPIILLHHIFSKPICVPSNVSPLILQTTIDLSTLVQQGSNKNQERILALLVLVVRRRGLLVAVTGGVVVFRCRKLIAWWQLCSTAMSSDQFSPGNVARHHGPSHRRSPPPIIPAGDSPRNTWCANIASSPDFLLIFLH >cds-PLY63826.1 pep primary_assembly:Lsat_Salinas_v7:3:230350624:230350857:1 gene:gene-LSAT_3X131020 transcript:rna-gnl|WGS:NBSK|LSAT_3X131020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSRKESKEDPKALVKPFPVKPVALVKHVVKKEPKGKETLFSNDPIVDSSEEEEDLDENELKRRQAHEAEMDEHQ >cds-PLY70031.1 pep primary_assembly:Lsat_Salinas_v7:5:201844830:201852646:-1 gene:gene-LSAT_5X90540 transcript:rna-gnl|WGS:NBSK|LSAT_5X90540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGLNTLTQIKTIPEDGSRFKEQEYQPLSPMARLFHEPGSNVYIISIMGCKTKINVDVLKENLVQSLVRHPRFSSLQVFNKETLSIRWVPTNVNIDDHVIVPKLEPNIEFPDSFVEDYISNLSKSPIEDSKPLWDLHILDIKTTNNEGTGVFRFHHSLGDGISLMTLLLACTRKSSNPNALPTLPFNKDYSYIKFKGFYSVLEMLWNSCVALVMFLLTVLFLKDTETPLKGTLGVENRPRRFVHRSVNLDDIKAVKNVMGVTLNDVVLGVTQAGLSRYLNRRYSEISNANGTAHCNQNSFIPKNIRLRATFFFNLRATTRVDTLVETMKTGKLGRWGNQIGFVLLPFKIGLKTNPLDYVKEAKAVIDRKKASFEPLYTYFIVYFVLKLFGIKAVGKLNHKVFSNTTLWFSNVPGPEQEVTFYGHDLTYIAPSCYGQPNALMIHIVSYVDKLTFVISSDEETIPDPQRLCDDLEESLHLIKTSALATESAKNK >cds-PLY98766.1 pep primary_assembly:Lsat_Salinas_v7:1:8419758:8420728:-1 gene:gene-LSAT_1X8661 transcript:rna-gnl|WGS:NBSK|LSAT_1X8661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCHLGEVAKYKDEEDVTELIKVPCVLHMDPIRGSHTGLKGLMQSYLKEEWKGRQQEASEDISSRFDNLRFISLELPQQPNSFDCGLFLLHYVELFLEQAPINFNPFKITKSVHFLNTDWFPPADASLKRVVIQRLVYDLLQQPYDEAEAFYKVFSQVSAKRFLLAKFHINFDPCVNFNNPFVKLINMTVLPLSDSWILYFIGCLQL >cds-PLY66089.1 pep primary_assembly:Lsat_Salinas_v7:1:182768108:182784631:1 gene:gene-LSAT_1X119121 transcript:rna-gnl|WGS:NBSK|LSAT_1X119121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMKELYVSPDDHSRRSNHAEEDEDGLKRAALDSLPTFDRLRTAFMESYATQDIENQQGDTIHKQVDVRDLGVDDHQKIIDKLFKVVEVDNDRFLRELRERFHRVGITLPTVEVRFKNLTMKANCFVGNRSIPTLTNTLRNLTDTVFGFLGIGLSKRGKITILDDVSGMIKPSRMTLLLGPPSSGKTTLLLALAGRANPELLSELDMGEKQANVSPKPEVDLFMKATAMEGVEHNLITEYIIKVISKKDQEQYWANKGKPYTYVSVSQFTEHFKQFHVGQTLQNDLLMPYEKSRNQKGALVFKKYLVPKKDLFKASFDKEKLLIKRTAVVYIAKTLQISFVAIIGATMFLRTRMHSRNEEDGAIYVGALLFALITNMFNGFPELPLTIERLPVLYKHRELFFHPTWAFTVPTILLRVPISLVESTVWTILTYYTIGFAPEASRFFKHFLLTFLIQQMASGIFRLIAAVSRTMTIANTAGTLILEATFLLGGIVLPKGRIPDWWQWAYWVSPLSYGFNALTTNEMFSPRWMNKLGSDNSTKLGVVVLQNFGVNPNQNWFWIGSAALLGLAILFNIFYTLALAYLPPPGKPQANILQEEKISTFVSVAKTSRESVIHKNQNINEDSSLEATDVMAPKRGMVLPFAPLSMSFDNISYYVDMPLAMKEQGVTDNKLQLLKEVCGAFRPGILTALMGVSGAGKTTLMDVLAGRKTGGYVEGDIRISGFPKVQETFTRISGYCEQNDIHSPQVTVYESLIFSAFLRLPKDINKEEKMLFVDEVMELVELDNLKNMIVGIPGVTGLSTQQRKRLTIAVELVANPSIIFMDEPTTGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPGVDIFEAFDELLLMQTGGEGIPGIPKIVDDQNPATWMLEVTSSATESRLGIDFVQHYKSSSLYQRNNALVEELSMPPSGAKELFFSTKYSESMWGQFKTCIEKQWRSYWRTPDYNLVRFCFTLITALLIGSIFWKVGTKRDSSIDLTMIFGGLYGAVLFAGINNGNTVLPIVAFERTIFYRERAAGMYSSLPYAMAQVIVEIPYVFFQTTYYTVIVYAMVSFEWTTTKYLWFFFIHFFTFLYFTYLGLMTVSLTPNDQLASILTSAFYSLFNLFSGFFIPRPKIPKWWLWCYWICPLAWTFQGLIASQYGDVEDTIKVAGMSQDPTIKDYVQSHFGYGDEYLGPMALVLVSYAVFFAFMYAYCLNKLNFQTR >cds-PLY84488.1 pep primary_assembly:Lsat_Salinas_v7:7:128603405:128606364:1 gene:gene-LSAT_7X79141 transcript:rna-gnl|WGS:NBSK|LSAT_7X79141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKYELVKDIGSGNFGVARLMRNKVTKELVAMKYIERGHKIDENVAREIINHRSLRHPNIIRFREVVLTPTHLAIVMEYAAGGELFDRICNAGRFSEDEARYFFQQLISGVHYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTIQRIMAVQYKIPDYVHISQDCRHLLSRIFVAGASRRISLKEIKSHPWFLKNLPRELTEAAQAVYYRKENPTFSPQSVEEIMKIVEEARSPPAASRSIGGFGWGQDEEDDDDKETGEKEAEDDEDEYDKRVKEAHQSGEVCLS >cds-PLY73219.1 pep primary_assembly:Lsat_Salinas_v7:8:177983419:177984216:-1 gene:gene-LSAT_8X116301 transcript:rna-gnl|WGS:NBSK|LSAT_8X116301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEASTSSKIQSRNQTKSLPDMSFDVMEKIIVDLAKISVVEAFRMKSVCKFFNEAGKTDEIYKHMELYGLQFRVCSDQKHTTVNKCIMMRNPNIIFRSGLMKLFFLEAEHEGKMMLEEASAMGQFDSTFVLGMMLMVEGRHRKHEALDMLNNAYHRAKGKWNLRAICSKVHLQLNREGMKHVHFHGFHRSCALHKSVCSLSDAFVNG >cds-PLY86680.1 pep primary_assembly:Lsat_Salinas_v7:4:318658980:318659270:-1 gene:gene-LSAT_4X158501 transcript:rna-gnl|WGS:NBSK|LSAT_4X158501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNASEASNDVRLAVTRALYNALGFAQSNFTNEMERDYIMRVVCEATCLGLVARTVGNDIVPLVMPSIEEKITKPDWRQREGATYAFGSILEGPSPN >cds-PLY66127.1 pep primary_assembly:Lsat_Salinas_v7:7:30942716:30945774:1 gene:gene-LSAT_7X23521 transcript:rna-gnl|WGS:NBSK|LSAT_7X23521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTATVSGGDNNSSERNAAEEVCRIVEQSKELQESAATLISRNSQEEASLRQRALALDSSIKRLHSYIASSVKKGNLDPKDAEKLVEELTRASYTLSEGDAAAFLPSKSYGRFLKMFLGPINVHATRKDVQLKVKEEYNNFRDRTAYLFLFFPSLLLLLRSWVWNGCFPALPVQMYQAWLLFLYTGLALRENILRVNGSDIRPWWIYHHYCAMLMALISLTWEIERQPDCSQKQKGIQLFLKWAIMQGVAMLLQNRYQRQRLYTRIALGKARRMDVVWGETAGVEGQIWLLYPILFVLQAFEAYVGLLLLKTAVVGVVSEWQVVTCGVLLIIMAVGNFTNTVKTLVSKSRVKAKMKKGKSKNDLLQGSS >cds-PLY80957.1 pep primary_assembly:Lsat_Salinas_v7:8:145277277:145281130:-1 gene:gene-LSAT_8X98521 transcript:rna-gnl|WGS:NBSK|LSAT_8X98521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDTQSVASLLQSTTSKIQQLQKAFAELESHRAITLNLKWKQIEDHFHGLEKSLKRRFTELEDQENEFKIKTTQSQKLLETRQSAVIAKEEASLQKLQEKRDLAVAAITGGTPMVEEKPPPPPPPPPPLELTLTNPQLAKLCKENDVAGLHKFISDNRKNLATIKEEIPVALQVADDPGGFVLDSVSGFYNLVDGKKDANLLGQRRTCIMLMECLSVLLENVDGVYVKRVISENVKERAKGIADEWKPKLDDLDLDASNGNSLEAHAFLQLVATFGIDSCFVKEDLIKLVPMVARRHQTADLCRFLGLSEKMPGVIDVLVNSGRHIDAVNLAFAFELTEKFSPVSLLKSYLTEARRVPSLIKSGNLSPAQNDVSERELSALKTVIKCIEEHKLEDQYPVGPLQKRILQLEKAKADKKRATEVAKPQPKRPRANGISPVYAPPPRNTNTDKNFYPRMSERYAPAPTPQSQYVYDNRPYGYAVQPDTHMGAYMGTPAYNMGPNHAHYFATGYQYQAAYMH >cds-PLY63512.1 pep primary_assembly:Lsat_Salinas_v7:5:326673275:326674769:1 gene:gene-LSAT_5X183820 transcript:rna-gnl|WGS:NBSK|LSAT_5X183820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >cds-PLY84634.1 pep primary_assembly:Lsat_Salinas_v7:5:11481860:11482455:1 gene:gene-LSAT_5X4240 transcript:rna-gnl|WGS:NBSK|LSAT_5X4240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLILQKDNRSPDAAKASSSVTEECDFISPKLSDWSHRGSEDMLDCFPDQGDNSVSMDDIKAHPSMPCRTRFGPKSDQGMTTSSAGSMTPRSPLMTPKTSQIDLLLAGKGAYSEHDDLPQMNELADIARCAGNTPLNDERSLSYLLTCLDDLRVVIDRRKFDALTIETFGARMEKLIR >cds-PLY94286.1 pep primary_assembly:Lsat_Salinas_v7:7:165390886:165392735:1 gene:gene-LSAT_7X97361 transcript:rna-gnl|WGS:NBSK|LSAT_7X97361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSHTTPISCNFPSLHSQNPKHKLPNLKTSTLPLSLQITNARYKLKFPPLTKRGHLQIRRNSLDTQKPQEPDLEQKDSMKVDGEIGGGGGRTTSFLIFLLWGGLMYYIFILAPNQTPSTDMYLVKKLCYLIGDDGFQLNHVIVSLWNIMGLWPLLYCMLLIPSGRSSKGSVPVFPFLILSFFLGAYALIPYFVLWKPPPPPTEEAELKKWPLNFLESKLTAGITFAVGLGLITYAGLASGDDWKEYLQYFGGSRLIHATSIDFALLSTFAPFWVYNDMSARKWEDEGFWLLPFSVIPFLGPALYVLLRPSLSTVPVAPISLASKEE >cds-PLY76472.1 pep primary_assembly:Lsat_Salinas_v7:5:198578991:198586557:-1 gene:gene-LSAT_5X89101 transcript:rna-gnl|WGS:NBSK|LSAT_5X89101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24120) UniProtKB/Swiss-Prot;Acc:O24600] MTSSAIFSPSPRGDLQSPWSTKTIKPRKPQKPSTHLPTLQLFKHPSQNPLIYSSSFPHNLKLPSLKDPISSTHSHPLPDSADENFTKNLENLATLQRITHGFDSISTPEMNPKRVYIQEPPWITSLLMKNFYRSNVKKRVRFEIDKRNYYILRRRQIKAETEAWEKMTEEYREFQREMCEKKLAPNLPYVKSLFAGWFEPLSKAIEKEQKSPNTKKHQEAYAPYIDSLPADKMAIIVMHKMMGLLMMGHEYRYVRVVQAAIQIGMAVELEVRIQTFLEKTKNCPKKKVLIQAQQDGTKENDMLRKRVKTLIKRKRIMEVQKILKNEEYQPWGRDKQAKLGCRLIELLTEIAYVQSPASQSADAPPDIRPAFRHIFKIIAMESGHVRKCGVIECDKMILTGLERTARHMIIPYVPMLVPPRKWKGYDSGGHFFLPSYLMRTHGSRQQQEAVRNVPSTQMQKIYEALDTLGNTKWRVNKRLLNVVESIWAAGGDIAGLVNREDVLVPDLNSEDMNEIKEWKWSVRKAKKINQELHSQRCDIELKLSVARRMKDEEGFYYPHNLDFRGRAYPMHPHLNHLSSDLCRGILEFAEGRALGKSGLRWLRIHLANLYGAGVEKLSYDCRLQFVENHLNDIIDSADNPLGGNRWWLTAEDPFQCLAACINLSETLKSSSPHTVISHLPVHQDGSCNGLQHYAALGRDTLEAAAVNLVAGDKPSDVYSEIAARVHDIMQRDSEKDSTTFPNAILARLLIGQVNRKLVKQTVMTSVYGVTFVGAREQIKRRLQEKGLITDDQLLFSASCYAAKVTLEALGEIFEAARGTMCWLGDCAKVIASENQPVRWTTPLGLPVVQPYFKTKRHIIKTSLQVLALQREGSMVEVKKQRTAFPPNFVHSLDGTHMMMTAVACRDAGLRFAGVHDSFWTHACDIDKMNQILREKFVELYSMPILENLLESFQTTYPGLEFPPLPKRGNFDLNEVLNSPYFFN >cds-PLY67500.1 pep primary_assembly:Lsat_Salinas_v7:6:70592240:70594966:1 gene:gene-LSAT_6X49001 transcript:rna-gnl|WGS:NBSK|LSAT_6X49001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHPCVFIIFSLVLLCLQNTTANQLVAVEGGGGDDNGVIRNKCLDKERDALLQFKANLHDPDGRLSTWGTEEDDCCNWIGVRCHSQTGHHVTELDISHYNIGGEISYSLVNLTYLNLLDLSSNSFHGTIPTFIGCLTELRYLDLSDNSLYGIIPSEFGNLTKLHMLYLRDLGSCRVENVEWLVHLSHLQQLEMDGISLAKENHWVDVILSLQKLTHLSLLGCELSHVMYPYSSFLNSSSSISFLYLGNNNLTSSMFRWLSPLTSDKLRHLDLSSNMLDGIPKYLGNLCRLEHFEFHNNSAVVSFPDFLNNLSGCTLRTLAELEASHSHFTGPLSDMIEKFSSLERLSLSSNQLNGAINEKLFELPNLLDIDLSQNSFEGGPFLDHMSKLSYVEYLNVSSAKLGPCFPKWIQTLKHLTRLDIANTRISDTIPLGFWDMWPSQLQYLNLSSNNISGKIPDLSSNFDYNAVIDLRSNNFSGLIPNVSSTVQSLNLSKNKFYGGIYFLCQIADGYLRFLDLSDNFLTGHLPDCLWHFKELKILNLGNNNLSGRLPPAVGCLIELEALYLYKNNFSGELPLSLRSCTSLTSLNLGANKFSGNVPVWIGENLTRLYVLILSSNNFFGTIPLQLCQLPNLHILDLSRNHLHGTIPSCLNNLTSMVQGGFSATQIGHFFYSISHFWHGSTSYFDHAVIEWQGAEREFIRFNLGLLKTIDLSSNNLTGTIPLELTSLVELVALNLSKNTLLGEIPWKIGQMGNLSVLDLSRNNLSGGMPSSMSQMNFLGYLDVSYNNLSGRIPSSTQLQSFEPSRYDGNPGLCGPPIYKTCHGDEELEEPPVVVGRNEGDEEGVGEVWGWFYIGVGTGFATGFWIACGVLLLNHRGRHAFSLFFDGFKDWVYVKVVVFVANLQKVRQT >cds-PLY66180.1 pep primary_assembly:Lsat_Salinas_v7:4:34391500:34391658:-1 gene:gene-LSAT_4X22520 transcript:rna-gnl|WGS:NBSK|LSAT_4X22520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAARSRKSRVRSHRFRVPVEAQPPFPAVVAPHSSSVPSSATPSIAPSVYAAY >cds-PLY96971.1 pep primary_assembly:Lsat_Salinas_v7:2:33124232:33124773:1 gene:gene-LSAT_2X16861 transcript:rna-gnl|WGS:NBSK|LSAT_2X16861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSAKSSFQGGPTLTKKRIVRCGCGDVCKVSVARTPENYGKKFYGCPNYKVEEEDCGFFKWYNEEDGHIIDLTHTKQKQGQGQGHGQLKTLVEIIVGLLVLIFVMVTILAFGRMFELTWLLVFSCKWKYNTEVG >cds-PLY83019.1 pep primary_assembly:Lsat_Salinas_v7:5:51426074:51429912:1 gene:gene-LSAT_5X24320 transcript:rna-gnl|WGS:NBSK|LSAT_5X24320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2444 [Source:Projected from Arabidopsis thaliana (AT2G18510) UniProtKB/TrEMBL;Acc:A0A178VZK5] MTTRIAPGVGANLLGQHSAERNQDATAYVGNLDPQATEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKVLNMIKLYGKPIRVNKASQDKKSLDVGANLFVGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFISYDSFEASDAAIESMNGQYLCNRQITVSYAYKKDTKGERHGTPAERTLASSNPTTQKSRPHTLFASGPPTLVQPTGGAPVPPRPFANGAVPPVTTIPAIRPLPPPAQLYQPMQMSVPPPQWQQQMGQPPQGMPPQHLQQFRPPPAPTMSQQPSNMTQQFRPPPPPAGMSGQQMWRAPPPPQQLTGGHGHPPMMQMSMPPPPPNAQQPPPPSG >cds-PLY81653.1 pep primary_assembly:Lsat_Salinas_v7:2:24663192:24664046:1 gene:gene-LSAT_2X13261 transcript:rna-gnl|WGS:NBSK|LSAT_2X13261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLGTKGESAIINEIVEQILEGTQPSGMEKDLINLKSRLDELYPLLDMEATEEVCMVGILGTGGIGKTTIAQALFQKIACNYEASSFVQDVRKNSSSKEDNCALQQKIIGEILGSWKILTSMLSHLDPVYGAEMIHRIFCNKKVLLVLDYVDDIEHLLSDTDAIYKPGF >cds-PLY76675.1 pep primary_assembly:Lsat_Salinas_v7:4:116608178:116608674:1 gene:gene-LSAT_4X73921 transcript:rna-gnl|WGS:NBSK|LSAT_4X73921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARDCFQSGGGGGGGYGGGGRGGGGYGGGGGRGGGGGGGGGCYNCGEDGHFARECPNANNR >cds-PLY69643.1 pep primary_assembly:Lsat_Salinas_v7:5:110493029:110493391:1 gene:gene-LSAT_5X47721 transcript:rna-gnl|WGS:NBSK|LSAT_5X47721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVVKLFVHVFLLLLICTSEYNVCGRKLVGEEKTSLGVMTLLNDIVTDGDIGTDGITDGTRSAILSFQKLLQSIYGTLQRLNARDIVTPTGGYMGDNREKTNFGGGGAVVGARTTPSGGA >cds-PLY91318.1 pep primary_assembly:Lsat_Salinas_v7:4:246661610:246664710:-1 gene:gene-LSAT_4X131081 transcript:rna-gnl|WGS:NBSK|LSAT_4X131081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METATALRTSFGTCIRPSTKSDIRRSRTGSIAFATVSNKGFLSFSHPRSGLKSASFQSQKKRIAIKASLSSDSAGSDAPIAPLELESPIGQFLSQILISHPHLVPAAVEQQLEQLQTDRDSQKQTEEPSAPTTDLVLYKRIAEVKANERRKTLEEILYALVVQKFMDAKISLIPSVTPKPSTPFKTIDSWPTQDEKLELIHSPEALEMIQNHLSLILGNRVSDSTSVAEISKLRVGQVYAASVMYGYFLKRVDQRFQLEKTTKVLPDNTGDSAPWVPNVHPEVEVPNSGSFGQVKASRLRNYVMSFDGESLQRYATIRSKEAVSIIEKHTEALFGRPEVVVTADGAVDSSKDEVIKISFGGLKRLVLEAVTFGSFLWDVESYVDTRYHFVAN >cds-PLY97499.1 pep primary_assembly:Lsat_Salinas_v7:1:193750468:193754321:-1 gene:gene-LSAT_1X124781 transcript:rna-gnl|WGS:NBSK|LSAT_1X124781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKFNREELTLLGAGGCTMLSLHFTFQLASQHLYYWKNPKEQKAIIIIILMAPVYAVNSFVGVLDVKGSKPFFMLLDSVKECYEALAIATFLSLLYSYLNISISKNIVPDEIKGREIHHSFPMTLFQPRTTRLNHQTLKLLKQWTWQFVITRPTCSFLMIGLQLLGMYPSWLSWTFTIILNLSFYVAMYSLVVFYHVFAKELEPHKPLAKFLCVKGIVFFCFWQGVLLEILVKTGVIKSHHYWLDVEHIEEAIQNVLVCVEMVGFSVIQQYAFHFEPYSGNVQSMLQRGKKNE >cds-PLY62549.1 pep primary_assembly:Lsat_Salinas_v7:4:355457465:355458109:-1 gene:gene-LSAT_4X175161 transcript:rna-gnl|WGS:NBSK|LSAT_4X175161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNTQSAGFQAGEAKGQAQEKGNQLMDKAGAAAQSAKESVQEAGAQMQMKASDAAEAVKDATGMNKST >cds-PLY62477.1 pep primary_assembly:Lsat_Salinas_v7:1:83879086:83879982:1 gene:gene-LSAT_1X70100 transcript:rna-gnl|WGS:NBSK|LSAT_1X70100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATVDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLFSKEATGGEKQFSSQGHQGNFSKNEGSGVFAGNRKQGQKGNYSKIGNSGAFCWNSLSRGYYGNIQPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGGYQQHRYLRYHSVINKAPLKALHHLPLSLLP >cds-PLY86084.1 pep primary_assembly:Lsat_Salinas_v7:7:139210431:139211937:1 gene:gene-LSAT_7X83541 transcript:rna-gnl|WGS:NBSK|LSAT_7X83541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSSGGRSARAPARAPARNPPAPASRAPPPAPVQQSSGGGSMLGGIGSTIAQGMAFGTGSAVAHRAVDAVMGPRTIQHEAVVAAATDMPTNNTVSDACGMHTKAFQDCINSSGSDIGKCQFYMDMLAECRRNTALNA >cds-PLY66919.1 pep primary_assembly:Lsat_Salinas_v7:7:20235266:20237913:-1 gene:gene-LSAT_7X16240 transcript:rna-gnl|WGS:NBSK|LSAT_7X16240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALAVTSSTSIVFSSSNRTEQGVQFNSSNFHPSDRSNRLPAAFSLSQKRSAAVKPLNAEPKRNDSIVPSAATIFAPDVVEKSVEVVDIEKLAKDLENSSPLAIMDKALEKYGNDIAIAFSGAEDVALIEYAHLTGRPFRVFSLDTGRLNPETYKFFDEVEKHYNIRIEYMFPDAVEVQALVRTKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEVPVVQVDPVFEGMDGGPGSLVKFNPVANVAGNDIWSFLRTMDVPVNSLHAQGYVSIGCEPCTRSVLPGQHEREGRWWWEDAKAKECGLHKGNLKEESVNGNGNGNGNGNGNSAADIFESQNVVNLSRTGIENLVKMEDRKDAWMVVLYAPWCPFCQAMEASYVELADKLAGSGVKVGKFRADGDQKSFAKQELQLGSFPTILFFPKHSSRPIKYASEKRDVDSLMAFINALR >cds-PLY80444.1 pep primary_assembly:Lsat_Salinas_v7:4:176447238:176450919:-1 gene:gene-LSAT_4X104481 transcript:rna-gnl|WGS:NBSK|LSAT_4X104481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKGANIEEPTFRVTRARAAACKPSVGSEAPKGQGQDRLLRKNPKRSVLDEKNNNTRVSSAQNKRRAVLKDVTNICCDNNSYKNCIIPAKITKKTTKITKKNPIKPQQVSAQTQSTAVDHIDLDKSVIHQKGTHIAERENTNRIGKRVGSQLQSFSKKGRNNINEKTIIATKVDFTDIDSDEKDPLMCSMYAHEIYNNLRASELIHRPHSNFMETMQRDVTQSMRGVLVDWLVEVSEEYKLVPDTLYLTVYLIDSFLSQQYIERQKLQLLGITCMLIASKYEEMCAPSIEEFCFITDNTYTKSEVVNMEGQVLNKLNFQFSAPTTKTFVRRFLRAAQASNQSPSLELEFLANYLAELTLIDYNFLVFVPSNVAASVVFLARWMLDQSRHPWNDTLEHYTSYKPSDLKNTVLALHGLRSSDISSPLNAIRSKYMQDKFKCVASLPSPQVPETLF >cds-PLY83688.1 pep primary_assembly:Lsat_Salinas_v7:4:43260141:43262551:1 gene:gene-LSAT_4X28981 transcript:rna-gnl|WGS:NBSK|LSAT_4X28981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSQALLQSTQLRVSPLDPLRHHSFHINVKPLALPTPRRATIVMSASAPKRETDPKKRVVITGMGLVSVFGNDVDTYYEKLLAGESGIGLIDRFDASKFPTRFGGQIRGFTSDGYIDGKNDRRLDDCLRYCIVAGKKALEHADLGGDKLSKIDKERAGVLVGTGMGGLTVFSDGVKALIEKGPRKITPFFIPYAITNMGSALLAIDIGFMGPNYSISTACATSNYCFYAAANHIRRGEADMMIAGGTEAAIIPIGLGGFVACRALSQRNDDPQTASRPWDKDRDGFVMGEGAGVLVMESLEHAMKRGAPILAEYLGGAVNCDAYHMTDPRSDGLGVSSCIKSCLEDAGVSAEEVNYINAHATSTLVGDLAEVNAVKKVFKSTDGIKMNSTKSMIGHCLGAAGGLEAIASIKAIQTSWLHPTINQFNPEPAVEFDTVANIKQQHEVNVAISNSFGFGGHNSVVAFSAFKP >cds-PLY61934.1 pep primary_assembly:Lsat_Salinas_v7:5:158298992:158304167:-1 gene:gene-LSAT_5X69021 transcript:rna-gnl|WGS:NBSK|LSAT_5X69021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYSRAYKSQKITPLMVAERLVSAVMQSSAPGTDMSFFINYESRDILKQATESTLRYERGEPISILDGVPIAVKDEIDCMPYPTTGGSKWLHKVRPCKEDACCVKRLRSCGAILVGKTNMHELGAGTSGINPHYGTTRNPYDPNRISGGSSSGSAAVVCAGLCPVALGVDGGGSVRMPAALCGVVGLKPSFGRIPYSGVIPWNWTVGMVGILAGTVEDSLIVYAAVSGGAEAQQPTMNQQPKLYLPMLKPSNQMSIKLAKSKEWFNDCSDDIRICCLTALDNLYKCYGWQTVEVTIPDIEAMRLAHYVTIGSECAASFAHYLEKFDKSESGSDVRVALSVYGAFSSRDYLQAQRIRNRQMKIHEKIFGMADVIVTPTVGITAYEIKDDVKKTGELDYVNGASLVRYSIAGNFLGLPAVTVPIGYDKLGLPIGIQFIGKHWSEDMLIQIAYAMEALCKAEYKKPKVYYDLLTEI >cds-PLY76496.1 pep primary_assembly:Lsat_Salinas_v7:4:175439425:175441091:-1 gene:gene-LSAT_4X103780 transcript:rna-gnl|WGS:NBSK|LSAT_4X103780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERKNTVGIRIFFVHGKVVVTSLVEENEIRKKRLRSMLTEATIRGVSKVRAKIFSHVLIIIGQRSAHKFLRKNFIGETVASWYPNDIQKEDLLMQRTTAFVKN >cds-PLY92904.1 pep primary_assembly:Lsat_Salinas_v7:3:181131427:181132520:1 gene:gene-LSAT_3X108220 transcript:rna-gnl|WGS:NBSK|LSAT_3X108220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIESGGRSVTVIRSGTNDGKPSGVVKIVDFGEVIEDKKNNVVEPVKVRKSLPDLSRKEPESKVKIKDQNSKKTTLEEQELVKNGVVKDKEKEKELQLLTA >cds-PLY84069.1 pep primary_assembly:Lsat_Salinas_v7:6:186309650:186310705:-1 gene:gene-LSAT_6X113321 transcript:rna-gnl|WGS:NBSK|LSAT_6X113321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRQAEKLMNQIMELKFTAKSLQRQARKCEKDEKSEKLKVKKAIEKGNMDGARIYAENAIRKRNEQMNYLRLSSRLDAVVARLDTQAKMTTISKSMSGIVKSLESTLATGNLQKMSETMDQFEKQFVNMEVQAEFMESSMAGSTSLSTPEGEVNSLMHQVADDYGLEVSVGLPQAAGHAVPVKNTEKVDEDDLSRRLADLKARG >cds-PLY86874.1 pep primary_assembly:Lsat_Salinas_v7:8:50303669:50304098:-1 gene:gene-LSAT_8X38081 transcript:rna-gnl|WGS:NBSK|LSAT_8X38081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTGVVVLMLISFFLIIASNGRIGKQPSILRDVHGSQSPQAPRYKGVPPLQHPSPPSPTREGRQPITYKSLQRSNPACNAGINGQCRGTYNIGSSRKCKNDVYCRDHN >cds-PLY63013.1 pep primary_assembly:Lsat_Salinas_v7:8:183737543:183740490:-1 gene:gene-LSAT_8X119680 transcript:rna-gnl|WGS:NBSK|LSAT_8X119680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCILCVIQKWSRKVATMLPWLVIPLIGLWLLSQFLPPAFRFEITSPRLACVLVLLITLFWYEILMPQLSSWRARRNARLRERKRFEAIEMQKLRKTAIRRCRNCLTPYRDQNPSGGKFMCSYCGHISKRPVLDLSVPPGLGRLSNSGILKDLVGKGEKMLNGKVWSDNNWICGQDWLENGGNWAHGSSSWKSSYSDHFFSEKSYSFLLVFVCKSLGAVFLGVMWVLKKLFRVSLSEDNTSTDTDIRGLSKKGDNGVNCNESKSEKARRKAEEKRQARLEREQLEEEERKQREEVAKLVEERRKLRDEKTVTEKDEEKDKKETERKRHEKKKETERNKKGDIDRGQNLTLKSNTTETGHGSKGTTVNTHNNKGTVGGRYLDRMRGNFFPSSRTLGTGGFFGKGTNANSNANAGNTREHRSSSYSDHSQNTPNKRDSFQNDRGFGKSNPHPDDHNHIRPAVFEPQPCPPPKRSWQQLFTRSSTTTTTTTPSTTTNVISRPNGGIPNSIPPTEGITNSILLGLPYHSPSNPILPPEVEEFDNFQDPCYVLDPTSLIGPVSESLDDFQLDLGFEKSRPVKAHSEVNRPSPIESPMSRLSVSSTQSKTNLPLEEHGGGNEKEWQMWNSTPLCLDTLGLMGKPNDDPWGTMRTSYGSMSSNNNNNININNNRLKNSNLPEGIGHNVWAKNEKGAAGVSGEGAGLMMPPVAGLYSGQDVQAVWSYE >cds-PLY94077.1 pep primary_assembly:Lsat_Salinas_v7:4:171334335:171340620:-1 gene:gene-LSAT_4X100861 transcript:rna-gnl|WGS:NBSK|LSAT_4X100861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTMPQITNLRLLFSTATNHPNPSFFLSSFKPNSLLKFNNKFPTKLHKSSSSSSSSSYPFFTCLSSSRKPHRYNSKSFNPNRRISTGNTSSLKQSDNKEESGLSSKKMELEMEDPKKAGMAAGFNKKRAEGRDKSSRPKDLKLKVRRLNPVNTISYVQVLGTGMDTQDTSPSVLLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLSRVCSETAGGLPGLLLTLAGTGEEGISVNVWGPSDFKYLVDAMKSFIPNAAMVHSRCFGTLDKNPEKLHDQLKLIDDEVVKISAILLRPNCPETQGHKEDDFSLKSSDVSVLYICELPEIRGKFDLEKARSLGLRPGPKYRELQEGISVKSDLKDIMVHPDDVLGPSVPGPIVLLVDCPTLSHFQELLLSTSALDTFYGETPNKRVNCVIHLSPALIVNSSDYQKWMSRFHGAEHIIAGHQMKNVEIPILQSSARVAARLNYLCPQFFPAPGYWSLENLKSSMPPVINEDTDLKTISAENLLKFHLRPLAQLGLDRSNVPKTVGPTEIINDLLLEIPEISDASQQVGQLWKVDQNTIKEEPWLNSQSGVPSCLEDITRENVEIVLLGTGSSQPSKYRNVSSIFINLFSKGSVLLDCGEGTLGQLKRRFGIEGADNAVKGLKCIWISHIHADHHTGLARILALRRDLLKRVPHDPLIVIGPRQLKRFLDAYQRLEDLDMQFLDCRHTTDSKNTFQDSNLFTKGSQMQSQWKRPNSPVDMTLVSPIINNLKEVLKDAGLDDVISFPVVHCPQAFGVVLKGRGKIGNNGEIINGWKIVYSGDTRPCPELIKAAQGATVLIHEATFEDALVEEAIARNHSTTEEAIGVGNSAGAYRIILTHFSQRYPKIPVFDETYMHKTCIAFDMMSVNFADLHVLPRVLPYLKLLFKNEMVVDEADDVDNVATAAA >cds-PLY87568.1 pep primary_assembly:Lsat_Salinas_v7:1:161924331:161925039:1 gene:gene-LSAT_1X110881 transcript:rna-gnl|WGS:NBSK|LSAT_1X110881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPNPTTTTTAVAATATADFFKSVARQRPRKHVHPSIYQTFYNPQPATSAAFPPPTQRPQPPQQSLQSNSRTHHQQSATGGVLYPVASSGRGFLSKHQQLTSEQSAAVGVVINSDGFPPGPVSAYPYAVHRPYGFSNSDIPGQTSHQLVTPSSAHLQQTLMGGGAIVPTFAAGVSVSANPKV >cds-PLY79792.1 pep primary_assembly:Lsat_Salinas_v7:8:275829041:275829370:-1 gene:gene-LSAT_8X157720 transcript:rna-gnl|WGS:NBSK|LSAT_8X157720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTGLSDQNSIRRRRRRLGYRRRRRRRLGESGRAIRSKPTHHTPPRTINSTKTLFKFQNAVNFVNSSGTGNRYFLHVAINCTYNRKHSNVCFNRRKALEDLFTRNDFLC >cds-PLY80230.1 pep primary_assembly:Lsat_Salinas_v7:6:125147260:125149259:-1 gene:gene-LSAT_6X74000 transcript:rna-gnl|WGS:NBSK|LSAT_6X74000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METVPLRHPYQTIPSSSQPLAHQKLSQHQQPEKSQKHFPSYLDAYDLSPRARAICEILARVFPAEVETALSVTGMNLEPEVVQEVIKFSYGCPEATMIFFKWVGLKQKHSPLTWNLIVDLLGKNQMFERMWEAIRSMTQEGVVSITTFVSAFRSYCEVGRFNEAIKAFDAMEKYGIQPDVVALNSLLSAICREHFQTEIAAEFFEKIKTKIPPDADSFAILLEGWEKEGNVAKAKTMFAEMVIRVGWSPENMSAYVSFLNTLIQDSQADEALKFLHVMKGKNCLPGLKFFSNALDVLNNQNDSAHALSLWEIMTTNGLIPNLVMYNSIITLLCKKDEITNAYQLLDEMPFNGVFPNSLTYNIIFECLIKNKKVKETGNFFLEMIKNEQPPTPSNCASAISMFFELDDPEMGFEIWFYMMKDGVSPLEDSANALLIGLASMGRLTELRRYVEQFFLKGIKIYESTMGKLKIAFLKEGRKGVNVYTDLDWKWRSS >cds-PLY64397.1 pep primary_assembly:Lsat_Salinas_v7:4:22291294:22291826:-1 gene:gene-LSAT_4X15740 transcript:rna-gnl|WGS:NBSK|LSAT_4X15740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPETKKQSVFPTDTEEVKVIFNRFDTNGDGRISEEELIGVLKSFGSDTSPDEVKRIMAEIDTDSDGSISLDEFVGFCKGLAGESEIDDLRETFKLYDQDSNGKISATELHQILCKLGENYTVESCANMIKSVDADGDGFVDFEEFKKMMSKN >cds-PLY76783.1 pep primary_assembly:Lsat_Salinas_v7:4:188989768:188990941:-1 gene:gene-LSAT_4X109340 transcript:rna-gnl|WGS:NBSK|LSAT_4X109340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVTQASVAVFRPCASRSSFLSGSQGKLNRALAVKPATSSSSSFKIEAKKGQWLPGLASPGYLDGSLAGDNGFDPLALAEDPENLKWFVQAELVNGRWAMLGVAGMLLPEVLTSAGILNVPKWYDAGKSEYFASSSTLLVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKSYSLPPNEVGYPGGIFNPLNFAPTAEAKDKEIANGRLAMLAFLGFVVQHNVTGKGPFDNLLQHLSDPWHNTIVQTLSGN >cds-PLY71996.1 pep primary_assembly:Lsat_Salinas_v7:8:149356196:149357796:1 gene:gene-LSAT_8X100500 transcript:rna-gnl|WGS:NBSK|LSAT_8X100500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENGDVDTNNIANTTLISILDFVKNRRSEDLLSTSINMVPSGLRDVSPSSYDPRVACIGPLHREDQNVQYFEELKANYVQFLLDDINSPPEQTLKECVQRVLALIHRIRACYMGTSVIHRYDDIELAKMMVTDACFMLHFINVITTSSAELHRTLRGTAILYDLILLENQIPFFVLQEVFNCTIPKSLHKVSSLPHLILKFVRYLNIFESTVTFAINLPSPPYDHILGFLAKCYWPSDTHSSFLLPTSATHSTIELDRAGVIFKPNEYDSLQLAIEFKSSRSLWCSLSREKPTLRMPVLRIDNYTELVLRNIIAYEQYFGNVSYVTGYAMAMDMLIDSEDDIAKLIESKVIVNHLGSNEKAANALNSLCKELPILNFSYERQWRDMDAHYNRYWPKNIAELKRTYFSSPWNLIALLAGIILFALTVVQTIYSVNAA >cds-PLY88613.1 pep primary_assembly:Lsat_Salinas_v7:5:141140962:141141189:1 gene:gene-LSAT_5X61581 transcript:rna-gnl|WGS:NBSK|LSAT_5X61581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLKFVDSHNIVGYLMDPPVGCQEFKSMMVGLNNCRISQALHGNSVIHKDLIAEFWKNASISKLGADGACAVK >cds-PLY82316.1 pep primary_assembly:Lsat_Salinas_v7:1:67366658:67368096:1 gene:gene-LSAT_1X57340 transcript:rna-gnl|WGS:NBSK|LSAT_1X57340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSWICTVFLPGRTKLKVGLTSERAIWSMSFVLLAPSKTIEDEAGTAALETVEVSKFNGEQYYDDGSRYWKFLAFRSFYTCKRSKDASGNKCSDSRLLS >cds-PLY73558.1 pep primary_assembly:Lsat_Salinas_v7:5:58660158:58661349:1 gene:gene-LSAT_5X27881 transcript:rna-gnl|WGS:NBSK|LSAT_5X27881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWDSRVRDPKSINLKRNLSMTNEEIANYWRSKKNDEQVHAGVLTSCQTFSMENYKKNELEKIYGRSNSLPITKEYSVIETSEEEEEDEEKLFKSHGWWINSRWAFLNEPPVIASETPVVRYASQFHVAQKHINASDHHAATNGIRT >cds-PLY85304.1 pep primary_assembly:Lsat_Salinas_v7:5:326574420:326576777:-1 gene:gene-LSAT_5X181360 transcript:rna-gnl|WGS:NBSK|LSAT_5X181360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTATSLLTSPPIIFRSTTITTTVPPRNFKPRKLRQSTPKMSINNSPTTPSPTQLITSITKLLWGKSLPPQLLISTVRSAWSTAWHLMMSQLAPSDPSGSYTRPVSQFRNPNHHQLQPNALHLYAALPCPWAHRTLIVRALKGLQDAIPVSIASPGLDGSWVFNDSPIPNGDKLIPTRDKVNGAKTVREVYKMRRGGYDGRCTVPMLWDVEKKEVVCNESYDIIELLNSGLNEVARNPDLDLSPPELKKQIDEWNKIIYPSINNGVYRCGFAQSQEAYDTAVNELFTALNTVDDHLKGSRYLCGDNLTLADVCLFTTLIRFDLVYNVMFKCTKKKVVEYPSLHGYMQDIYQIPGVAETCNLEAMMDGYYKFLFPLNPGNIRPIMPSNSDHHSLSQTHNRESLSASSQSVQVLV >cds-PLY77717.1 pep primary_assembly:Lsat_Salinas_v7:9:19146400:19148486:-1 gene:gene-LSAT_9X14181 transcript:rna-gnl|WGS:NBSK|LSAT_9X14181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESAAKPTKPSSNISEIVSQFAKVCKFRSIGVFSSENPTHFQHQEFSNAVKELVDGIGKTDSDDAKVFPDLVEVCSKSSWSGQEEISKLFDIMSELKLAYVQLQEAHIPYDPDKIKAADELVFSNLDGLCKVRRSFKEKQFQKSNSLSACLTVLQAENKIQEKSLERLKSQAKKKDLEISYLKGQLCDLDAKNKVIMEEINLRKREAINRLNFSSIDNIVTEVSKGIHDFAKPLIALMKASGWDLDEAANSIQDSVLYTVRSHKKYAFEAYISRRMFYGFSLKSHNLDDVLKFNDPVDVLIDDPNGNFAEFCRTKYMLIVHPMIEASFFGNLDQRNFVSSGRHPITPFYQLFVKMARWVWLLKGIAASEPESEMFIVNRGSKFCDDYMESIEGWKDDIGSVEGQFGNYKVELMIMPGFRIGDRLIKSRVYLSELSNTITC >cds-PLY93672.1 pep primary_assembly:Lsat_Salinas_v7:2:202292659:202294552:1 gene:gene-LSAT_2X123580 transcript:rna-gnl|WGS:NBSK|LSAT_2X123580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMATISSSPRSVEEIFKDYSARRAGIVRALTYDVDEFYSNCDPEKENLCLYGHPNETWEVTLPAEEVPPELPEPALGINFARDGMHIRDWLSLIAVHTDSWLLSVAFYFGARLNRNERKRLFSLINDQPTVFEVVTERKPIKDKPNMDSSNKSRSNTKRSNDGQLKSTQTLYNDNYVEEEEEDEHGETFCGCCGGNYSGDEFWIGCDICERWYHGKCVKITPAKAESIKHYKCPSCATKKVEALMT >cds-PLY70942.1 pep primary_assembly:Lsat_Salinas_v7:5:151614242:151617591:1 gene:gene-LSAT_5X67200 transcript:rna-gnl|WGS:NBSK|LSAT_5X67200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFVELEAIEGLRWSWNSWPVSRTESNDLVIPLSIMQTPLMKFNELPLLSYDPLICSRCGSVLNPYARVDYPSRIWICPFCYQKNSFPRSYAEIGENNIPAELFPTYSTVEYQLGHQGLMTPTRMNSNPVHNWGTSNGSGLAKSNSSSFSSSSLSSLDQRGLTGRGIGIGPAFVFVVDGSSSEDELKALKNELLLIVAQLPENAMVGLIVFDSMVRVYDLGFTECLRVVVLHGERKPSSSQIIEFLGIHPTRQQLGKPQNTQKHNFILPISECEFNITTAIEDIRHSPPPSSGHRPPRCTGAAILVAIALLEGSLVPTGSRIMVFTSGPATIGPGTIVSQDLNQSIRTHRDINTGNTVFYKKSSDFYKQISEKLSDLSMVLDLFACSLDQIGVTEVRPAVERSGGFVMLSESFESDQFKKCLRHIFSRDEDGFLTMCFDVTIEIITTKDVKVSGAIGPCVSTRKQNGSNIWKLGTITDKTCIAFLFEITQEQKAQPGTAFFIQFITKYRHGNMGFRKRVTSAARRWETAAAVMARLAVRETERNFPREVVRWLDKELIRFAGKFGDYIPEDPGSFRLSTNFSLYPQFMYHLRRSQFIDVFNSSPDETAFFQMMLNRESVIGSLIMIQPTLTRFSFDGPPGPVLLDIGSVSPDVILVFDSYFYVVIHYGSKIGQWMKMGYDKDPGHENFRRLLEAVEIEAAQLAAERIPVPKVVRCEQHSSQARFLLAKLNPSVTHKSSYVVDGAEVVLTDDVSLEVFIEHLQELAVQG >cds-PLY95255.1 pep primary_assembly:Lsat_Salinas_v7:8:137286669:137286920:1 gene:gene-LSAT_8X94701 transcript:rna-gnl|WGS:NBSK|LSAT_8X94701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAETATSSGSTSALLLPSSQYSDDRKEXRSTEKKKDGVRLEWFYGGRRCSGSRWSLVVEWRLAGGAVAISRLPEVARPLLVH >cds-PLY98531.1 pep primary_assembly:Lsat_Salinas_v7:1:39055936:39056754:1 gene:gene-LSAT_1X33480 transcript:rna-gnl|WGS:NBSK|LSAT_1X33480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASHDQQYRAGEVKGRTEEKTGQMMGTMRDKAQQGKDKTSDTAGAAWNRTKESTDQTGSYVSDKAGAARDKASEMGQATKDKASQIGQATKDKASDTAQWTKETAESGKEKTGGVMQRTGEQVKNMAQGAADAVKSTLGFGENEGGDKHTTGTTGGLDTTTTTTRRTNY >cds-PLY82626.1 pep primary_assembly:Lsat_Salinas_v7:3:103952952:103954465:1 gene:gene-LSAT_3X75940 transcript:rna-gnl|WGS:NBSK|LSAT_3X75940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFAVVSILISPPPILNQFHKFDIHRKTPFSTPVFRTLKESAIGYPKSVRLKKMKVEESIETGILSGGGGDNERFSPAVSALEQETLIDYGGDSFHQTVGGLHTVVNRLSKWVVAAIFGGFILLRHDALALWAAMGSVLNVILSITLKQILKQERPVSRVSSGHGMPSSHAQSIFYATLILILSVIKGQGLNVVTGVFSVLVVALGSYFSWLRILLRYHTTSQVVVGAIVGSIFSVLWFSAWEAIVFKAYNSILWVRILVIVGAFCFCLGFISHVFRHWMKDE >cds-PLY99620.1 pep primary_assembly:Lsat_Salinas_v7:4:82297222:82298477:-1 gene:gene-LSAT_4X54020 transcript:rna-gnl|WGS:NBSK|LSAT_4X54020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRSISSSKNVYRNIIHYQKPPTAAVVTPKQQPCEVFINHRGIDTKKCVAGLLYNHLRSLRLQPFLDSKNMKPGDKLFDKINNAISECKVGVAVFSPRYCQSYFCLHELTRIVEAKKKVIPVFCDVKPSELTIKDNWRRPKHEIDSFQSALEEAKYTVGLTFDSSNGDWQGFLMSATEAIIENLIEVEEEEQQKIIREI >cds-PLY89478.1 pep primary_assembly:Lsat_Salinas_v7:8:92108400:92113003:-1 gene:gene-LSAT_8X64660 transcript:rna-gnl|WGS:NBSK|LSAT_8X64660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVHFSHNHPLNLVQLQPNHNEEEEEEEDVDDFVVEDHHVGQCNMCEEDIYSFHLCYYTCKNCNYSLHKFCAEIPKTLQDHPLHDPNHNLTLSKIFQYSHRYFHSDQEWTCGVCNRKRKNMFNYHCSICKFSMDIICATMSQQKIDHPSHHHQLQRRGKVYKNFKDDDHPNLLRCPFADESYNLVKHHFIKNHKDFVVLEENNHGEPLNHDTHNHPLVLVDKQTSLNKNSILLHNPLKKIQLLCDGCVKPITEIPFYKCLEDVHCGFVLHEWCARLPPKIDDHPGHPNHTLFLMPNNITTTEMVRVFKCKICMLPSNGFSYGCSTWKYYVDVNCAFLPKEITHEAHPDHLLSRIDASSTSSLSNTACNACGYYLRNHIAFCCHSCNFYLDTGCAFMLPGLIRHKYDKHPFTLRYNPVENHPGDYFCEICEEEFNPESWFYHCGSSVQSMHTACAPLIIQCEQAVYTRYKISIFYFANVKFGGTFKINDHPHLLTFTQGIKSHGRCDHCIIRLQYRMIFKCLKCKYAVHYECRQPANRRNEQFPKLISYFIMKEQQPRLSKHIKNEDQSLLT >cds-PLY97602.1 pep primary_assembly:Lsat_Salinas_v7:5:238166509:238168042:-1 gene:gene-LSAT_5X112921 transcript:rna-gnl|WGS:NBSK|LSAT_5X112921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVREVSESCMDSLLTEIVSSYCNRFYANKPELAARRIEAIGYQVGHQLSERYTMERPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNKFRWLSRMSGDPSMEISGASQDPSAISENKAAQATGMHLYFPCGIIRGALSTLGIPCAVSADISNLPACSFVVRIKV >cds-PLY78418.1 pep primary_assembly:Lsat_Salinas_v7:3:235929581:235931895:-1 gene:gene-LSAT_3X132180 transcript:rna-gnl|WGS:NBSK|LSAT_3X132180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKNRASNFIWLRSVISIRFVVLLNRVSSFVQEEAQYSNYLKPVSIQKRKRGEASVHHPTSEFQGAINLQQSSDLYGKSEQLVSRFDSRNNDRCDTELRPGLHLRRLPSRRRDRRPLHSRCAATILASATNNASIKRYHTSSKKQHQHTVHNFGKREDNIRRRTKQDMYGISCR >cds-PLY62033.1 pep primary_assembly:Lsat_Salinas_v7:5:131099735:131101207:-1 gene:gene-LSAT_5X55580 transcript:rna-gnl|WGS:NBSK|LSAT_5X55580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSRGATTVVRSVMGQMGPRLFSTAAGNASITGVMRANAGLIGSEKAVVTWWITSSPIGARHASTMTLGEKVQQPDQKEEATEWKWHCFRPWETYQADLSIDLKKHHTPTTFLDKLAYWTVKSLRFPTDVFFQKRYGCRAMMLETVAAVPGMVGGMLLHCKSLRRFEPSGGWIKALLEEAENERMHLMTFMEVSKPRWYERALVFTVQGVFFNAYFLAYLASPKLAHRIVGYLEEEAIHSYTEFLKELDKGTIENVKAPAIAIDYWRLPADSTLRDVVMVVRADEAHHRDVNHFASDIHYQGLELKDSPAPIGYH >cds-PLY68397.1 pep primary_assembly:Lsat_Salinas_v7:8:23079325:23081260:-1 gene:gene-LSAT_8X18400 transcript:rna-gnl|WGS:NBSK|LSAT_8X18400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIEVCCKAAVGAPDILGDCPFCQKVLLTLEEKKVTYTILLINLDSKPEWFLEVNPNGKVPLIKFDDKWISDSDVIVGLIEEKGSEIFSNFVTFLKSEDSNDGTEQALLDELEALEEHLKNHGPYVNGEKITAVDLSLAPILYQLVVALPHFKNWTIPESLIHVHNYTKSLFALESFEKTKAAKEYVIAGWVPKLNA >cds-PLY90406.1 pep primary_assembly:Lsat_Salinas_v7:9:112141917:112142513:1 gene:gene-LSAT_9X77540 transcript:rna-gnl|WGS:NBSK|LSAT_9X77540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFEVDPVQYYLIEAEIHDILQPQQPTRSTCTSASGSMSCEEERKRRRMISNRESAKRSRQRKKRRLQELSDQLKRLRMENGELKTRLTSIVNHRNYLLTQNCHLQSESLLLQSKLSALCQLLVSSRTFSCMN >cds-PLY66568.1 pep primary_assembly:Lsat_Salinas_v7:6:166884044:166886852:-1 gene:gene-LSAT_6X102801 transcript:rna-gnl|WGS:NBSK|LSAT_6X102801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKPEKWQTTFDSDGKVFGFRKQLKLIILWGVDPSIGPEVWEFLLGCYSLSSTTGYKRRLRTARRRLDIKWTAPKSMVYIGMLFSWFAWHVEDHELHNLNFLHIGSPKTWYAVPGDYAFTFEEVIRSKAYGGGVDRLENQATMRSSVLDVLLEITKVCDLYLMETVLDDKREKMVLIALENVGIFTSGGLVKDKMFIFPSFDHSHLAQITRIIRAGVH >cds-PLY86985.1 pep primary_assembly:Lsat_Salinas_v7:5:260602923:260603613:-1 gene:gene-LSAT_5X130661 transcript:rna-gnl|WGS:NBSK|LSAT_5X130661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLELYLLDCCSLWIHTCVRDSTYKNLQMEATGEANIGGLLDKINPPLLEDAGLEDCALHPDSIQEAFLKAATAVRSHIFHDSDDESEGDCINDQWPRNESGGDRLVGITTETDPPGACAPKKGGELSEVIGDEVVIGEREGMPDKVVEPEVPDEAEKSCVDGLQGLKIQDTDDKKSETNKKSNEDEEDDEKRIPVLTEVCI >cds-PLY89787.1 pep primary_assembly:Lsat_Salinas_v7:1:6748389:6750593:-1 gene:gene-LSAT_1X5820 transcript:rna-gnl|WGS:NBSK|LSAT_1X5820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFESWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLLISAISLIAGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPASRGEYVRWNNFLDVLPHPQGLGPLFTGQWNLYAQNPDSGSHLFGTSQGAGTAILTLLGGFHPQTQSLWLTDMAHHHLAIAFLFLIAGHMYRTNFGIGHSMKDLLDAHIPPGGRLGRGHKGLYDTINNSLHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLEHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDILLSSTNGPAFNAGRSIWLPGWLNAINENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >cds-PLY69290.1 pep primary_assembly:Lsat_Salinas_v7:7:131266755:131269481:-1 gene:gene-LSAT_7X78081 transcript:rna-gnl|WGS:NBSK|LSAT_7X78081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLYSRPKRTGPRLDRRNAIKNIDYDASTSSSSFDTQSTHRTRSLDISSLSDRTSFRIGGTDGELDLIFSTLGLSPEDFAIPTAAWEAHKSYSPTGGSLPHSSRLRHSPLGSTRESPESDFSVSFESKVSFTDDDDVKSDGECSRSAEVGEARVLATNGIAIRDDVRLCGIDENRLGNDCSETSNGVDLLKSQRARVLEDGGDGDTDKTRERRHPVFENGIKGPRPPLLAPPPPMSRAIVDTMDSNWDLIRSFAPGDNEDSGSDEPDRAITNVDANRTTTSRIDTTGSNTLDDENEGYSSAPAELEYSLSSNGSFGSFKNWQKGDFLGSGSFGTVYEGFNEAGNFFAVKEVSLLDQGSQGKQSIFQLEQEISLLSQFHHENIVRYLGTDTDDGKLYIFLELVTKGSLAKLYQKYELRDSQVSAYTRQILSGLNYLHERKVVHRDIKCANILVDANGSVKLADFGLAKATTLNDIKSCKGTPYWMAPEVVNNSRSNNGYGLAADIWSLGCTVLEMLTRKIPYSHLEGMQALFRIGRGEPPPIPNTLSREAQDFILECLKVNPNDRPTAAQLLQHPFLKKSGSVNSVLASPRYNGVQL >cds-PLY80995.1 pep primary_assembly:Lsat_Salinas_v7:9:177229275:177235432:1 gene:gene-LSAT_9X109321 transcript:rna-gnl|WGS:NBSK|LSAT_9X109321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIDFSEYRLRCQLRGHEDDVRGICVCDNGGIATSSRDRTIRLWSLDQTNNSSHTSSKILLGHTSFVGPLAWVPPNEQFPEGALISGGMDTLVLVWDLATGEKVQTLKGHKMQVTGVTLDGSDIISASVDCTLRRWKGEHQVEVWEAHKAPIQSIRTLPSGELITGSSDTTIKLWKGSKCTHTFSGHSDTVRGLAIMPDLGILSASHDGTIRLWAVTGEVLLEMVGHTAIVYAVDAHKYGLVVSGSEDGSAKIWKDGVCVQSIEHPGCVWDVKFLENGDIVTACSDGVARVWTAHKNLIAETQELEGYASLLSHYKGSRKRVGGLKLEELPGLEALQVPGAKDGQTIVVREGDNGVAYAWNMQNQTWDKIGEVIDGPDDGNGMKRPVLDGVQYDYVFDVDIGDGEPIRKLPYNRSDNAYDTADKWLLKENLPLSYRQQVVEFILQNSGQKDFILDSSFRDPYTGSSAYVPGQPSRSGAVSTKPTFKHIPKKGMVVFDAAQFDGILKKISEFNNALGSDPDQQGLSLSESETSRLSGIAKTLKDTSHYHISKFSNDDISLLSKLLKSWPVSMMFPVIDILRMVILHPDGSSVLKRVSDENDIFMDLIKKVTNNPLPPNLLTSIRAVIFDAFASCYSSPNKNVQISYSTLVLNYAVLLIEKKDEEGQSHVLSAALEIAEGETLEVDAKYRALVAIGSLMVEGAVKKIAMDFDVESVATSAKASKDLKVAQVGADIEAVIKHG >cds-PLY70961.1 pep primary_assembly:Lsat_Salinas_v7:2:44764911:44766970:-1 gene:gene-LSAT_2X19081 transcript:rna-gnl|WGS:NBSK|LSAT_2X19081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVAQPSRSGSSGGGGGMTNIASFGLHVVTGRWFMVFACLLIMSVAGATYIFAIYSGDIKSSLGYDQSTLNLLSTFKDLGGNVGIISGLINEISPPWVVLLLGAGMNFSGYFMIWLAVTGKIAKPPVWQMCLFICIGANSQTFANTGALVTCVQNFPESRGVVLGLLKGFVGLSGAIITQLYHGFYGHDSRSLILFIGWLPAAVSIVFLRIVRILKVVQQSNELKTFYNFLYISLGLAGFLMVIIITQNKLQFSEAEYAATASVVVVLLFAPIGIVFKEEFKLWKKNQEVVQHHFPVKVITENPTRSNDLVTPPTPPPEKEVSCWKTVFAPPERGDDFTILQAVFSVDMLILFTTTTFGVGGTLTAIDNLGQIGRSLGYPSTSITTFVSLVSIWNYLGRVTSGFLSEILLARYKFPRPLMLTIVLCVSCVGHVIIAMGVPNGLYVSSIIMGFCFGAQWPLIFAIISEIFGLKYYSTLYNLGGGASPVGAYILNVVVAGALYDKEAERQMKAKGLEREHGKDLTCTGVDCYKLSFLIITGATVFAAFISFILVIRTRKFYRSDIYKKFREAREAATAHTEMVVSPVTTVEPPMPSKPPQMERKRTEEDVS >cds-PLY82984.1 pep primary_assembly:Lsat_Salinas_v7:1:18156448:18156942:-1 gene:gene-LSAT_1X15781 transcript:rna-gnl|WGS:NBSK|LSAT_1X15781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDCKQRKYLIVYIHYNGFFAPKPLVYLNDVVAICDVDFGAMDLKEFILFIEKLIEGSCDNVYYFTRNEPLAEGIRRVGNDADYFEFIEMGYNDENGLKMNVYIDHKNELVLDWADMEVVEDDEGNDYDQDPDDDNKSQLSDDIPYEHEADDYIPSLDKTIGD >cds-PLY72682.1 pep primary_assembly:Lsat_Salinas_v7:6:28063719:28065822:-1 gene:gene-LSAT_6X20961 transcript:rna-gnl|WGS:NBSK|LSAT_6X20961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILVPQTVIALVYGENGSKLTRLRQIPGAKVVVHEPRSGTSDHIVVISERAESLARAVSGEALPIEQLDAYCPENGMILANCSAFGMELDVHLTPVSKENLRSYDLVFDAVYTPRNTRLLQEAVEVGVTVVSGVEMFIRQALGQFRLFTYGLGLMKANNSGVRRGKESSR >cds-PLY91793.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1593764:1594546:-1 gene:gene-LSAT_0X380 transcript:rna-gnl|WGS:NBSK|LSAT_0X380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVESIGSIHHVNLVRLRGFCAWKSERLLVYEFMSNGSMTRPKSKPPSDDYNERNLRLYDSWLSSVITEKVDVYSFGIVLLEILCGRKNLDRSQPEESWHLLTSLSELLGTRDIAKCSEDMQSHGIEVTEMMKVASWCLQTDFTRRPSMSSVVNVLEGVMNVESNLDYNFLDPYNPFFFSFFKNN >cds-PLY92463.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:246165:272140:-1 gene:gene-LSAT_0X14701 transcript:rna-gnl|WGS:NBSK|LSAT_0X14701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVINGESETSADQLPFARSYQIEALEQAIKQNTIVFLETGSGKTLIAIMLLRHYAYLLQKPSPFIAVFLVPTVVLVKQQADSVRKHVDLKVEEYWGEKVVDLLNIAAYWKKQQDENQLLVMTPQILLNALNRSFLSLDNIKLLIFDECHRAKKKYEYALIMKEFYHPRLCDGGSQLPRILGMTASPVEAKVSSSPKDYWEQMNKLETMLNSKVYTCSSESVLSDYIPVSTVKIKFYKNMAPPYHVLEELKNTLSILRQKHESKVSISSTLSESSRQNAIKRLSILYSTFEFCLDELGIFLASKAAEAYSCDKNDMFLWGQLDLRGENISREFCKDANKVFCSYIPNEWSITQVNEATVSIGLLSTKVACLIESLAEYRDVKEMRCLIFVERVVTARVLKSLLSELHSKLFDWKTEYVAGNNALMQSQSRGVQNKIVDEFHKGIVNIIVATSILEEGLDVQKCNLVIRFDPASTVCSFIQSRGRARMQNSDFLLLVKSGDDDTLNKVNNYLLSGKIMRDESLSHASEPCGPLEKDLYDEVVYHVESTGATLRLSSSISMVYFYCSRLPSDGYFKPYPRFVIDKELKTCTIYFPKSCPLPSVHVSGPTKMLKQLACFEACKQLHAMGALTDNLIPDTLKKSADDEQETGIKYVEEQVQYIPPELVGFGDNSSKLYHFYTINLEKHFDYNIPLQDIVLAVSTKLEFDNEGLTFDLEADRGDISVSLTYIGTCKLTSEQITLTNQFQMVVLTLLIHRSISKLKTCVDSFKLKNDADMAYDYLLLPSAGPHKAPMIVEWKAVKAAMFSYERGVDERMCCLKGNNHEKVHTKSGLVCSCLVDNSLVYTPHNGRIYCTTERLLGLNGNSTLEIKEGEVVTYKNHYKRRHGVDLMFEKEPLFAARQLFTVQNFLQKGRQQKEKETSNAGVELPSELCDIIMSPVSISTIYSFSFVPSIMHRIESWMIALNLKKMHLCHSMPNADVPVIKVLEAITTKKCVEKFHLESFETLGDSFLKYAATQQLFKTLQDQHEGILSPKREKIISNDSLCRLGCNCNLPGFIRNEPFEPKTWIVPGDRSSSFKLEEEVLLDERKMYIRGKRVIKKKVVADVVEALIGVFLSEGGELAALSFMRWIGISVDFVNTPYTRALTLHPEKYVNIQYFESLLNYSFRDVSLLVEAITHGSYMLPEIPKCYQRLEFLGDAVLDYMITVHLYNKYPGMSPGMLTDLRSASVNNDCYAQSAVKYELHKHILHGSQDLHRAIVTTVHEFDQLSMKSTFGWESETSFPKVLGDVIESIAGAILVDSGYDKDKVFQSIRPLLDPLVTPETLKLHPVKELHDICQKNHFEMKKSAKRGTTDDGTISFTIEIVKDNIVLKDSCMAADKKMAERLASKSVLKLLKEYLSAA >cds-PLY74036.1 pep primary_assembly:Lsat_Salinas_v7:7:10597360:10597806:1 gene:gene-LSAT_7X8721 transcript:rna-gnl|WGS:NBSK|LSAT_7X8721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLADPEELHEVLLQLAEYPYFPDGLPMTPDNLSEEEDTSEDEEEDDLEEVEESEDEGILQDAQEEIQDDNLVEDEDGLTDEEPAPPTPPPSPLRPYYQPYRRHEKILLLMRSPRMSVPLVYHLDTSTHISRSISGQKRKLTLPHELA >cds-PLY80874.1 pep primary_assembly:Lsat_Salinas_v7:8:127945980:127951340:-1 gene:gene-LSAT_8X88561 transcript:rna-gnl|WGS:NBSK|LSAT_8X88561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESLQQRVESWIRDQRTKLLKVTWPQQWPVAMKWPWIKGREHRKRMQEEYKLRKTQLHNLCIALKADSLSDLQDILCCMVLSECVYKRPDAELVQAVNKFKADFDGQVVSLERIQPSSDHVPHRYLLAETGDTLFASFIGTKQYKDMMADANILQGAIFHDDALEDTEEITTKNSPQVDSQKTLGAKPQGVTSTKPAAHRGFMSRAKGIPALELYKLAQKKKRKLVLCGHSLGGAVAVLSTLAILRVIAAASSSKEHEKVHVKCITFSQPPVGNAALRDYVNEKGWQQYFKTYCIPEDLVPRILSPAYFHHYNAHSYVPPVDIVSEKQKAKVKEDEKEQLVLGLGPVHNSFWRLSKLVPIDAVRRQLNKYTGNTFGSSVNPTTDSNLTSSIQDTEDAPQSLEIEEDSDGISLKPYPTTDKGDPYETIKDKSSNKRKAWHRVPALPSYVPFGQLYLLGNSSVEFLSGAEYSKLTSVKSIIAELRERLQSHSMKSYRSRFQRIYNDCMRDNSSSFLGMDQQQQFPQLQKWLGISLANTVELGHIVESPIICTATSIVPLGWNGVPGEKNIEPIKVDITGVDLHLCTLVQARVNGNWCATSVEAFPSGPNNNNNHSDDGVKSGMQKIRVLVGRPLKQPPKNQITTDSLISAFPSMDLNPVDQLGKFMCPEGLSDFVVFCTTDFSTISKEVHVRTRRVRLVGLEGAGKTSLLKAILDQGRANMSTSVENFPMDVDVKEGFAGGLCFTDSAGVDLQDLNKEAARFKKELWMGIRDINTKTDLIILVHNLSHKIPWYTLQSNTSTRQPSLSFLLDEAKALGIPWVLAITNKFSVSAHQQKPAVEAVLDAYQANPTTTEVINSCPYVMPSPATEEIIDPDVKLGPHKLIAGPINLVRRSFQKRSMILPVEGVSALCQLVHRVLRNHEEVALEELAKERLMVELSKGRESGADGSREAMAKANSLTAAAVGASVGAGVGLVMAIVMGAASALRKP >cds-PLY95074.1 pep primary_assembly:Lsat_Salinas_v7:5:227201424:227203695:-1 gene:gene-LSAT_5X106941 transcript:rna-gnl|WGS:NBSK|LSAT_5X106941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMVCSEKKRKMVIEIDGGIRKNEWKLLEGRKEMNTKGKMVVVAGSSSPLNSTDGPVCDRSEACFSWPWRRFGGYWVGLPLKWKEDERSVSVSASASHDTGSSSGSGSGSTTNFYKLLSLSSSKAGCQEIKRAYRTLVLKYHPDVSHDHDTVKTFIMLHAAYKTLMDPVSRAEYDCTLGCHGCTFKDHGGEGRRWEAQIVELKKRSSHRADCMEGSWGSRVRYGYRQNKGS >cds-PLY77278.1 pep primary_assembly:Lsat_Salinas_v7:4:165125561:165126608:-1 gene:gene-LSAT_4X97380 transcript:rna-gnl|WGS:NBSK|LSAT_4X97380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATGRFFKEVELPEQKSHDDGVLFPAVLSPISNTDLTGFEDAIRAHKPWLDSLLQKRGVILFRGFPVTSPSDFNNVVEAFGFPEAFYVGGRASRTQVVGRIYTANESPPEMQIPFHHEMAYTSPRSYFSFVKKQAGGETPVVLSHIIYEKMKEKHPEFVAKLEEHGVKYTKIMSEDNQQSFFTGSGWKSAYMTDDKNVAEERAAKLGTKLEWMGSDHDHVKAITGPMPGIRFDKESQQKIWFNGLAVSYSGRLSLNKHQHIQISHYIIMGNFPNKIP >cds-PLY81360.1 pep primary_assembly:Lsat_Salinas_v7:4:36860473:36862235:1 gene:gene-LSAT_4X23861 transcript:rna-gnl|WGS:NBSK|LSAT_4X23861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSEHPRLILHDFLSPDLCKELEFIHKSCSTIGYRENVFSTTLSHLIATNSPHLILPFLPIREKLKEKVEEFFGCEYELFIEFTGLISWCKGASIGWHSDDNRPYLKQRDYAAVCYLNSYGVDFNGGLFHFQDGNPATFVPMAGDVLIYTADTRNVHSVEEITNGERLTLTLWFTRDKSHDEDVKLISSLTKHPCNSSDTYSKPYLPFPASQNMYWFPSEESLKYQSGFDIRCGRLHVLGYQFCCKDARVKSSMDFSDILLEPLHLVKGNDLFDKEFSNILHLLQVVQFYHWKGPTELKRSEFKMEAMNVVQVSMSQREEIRLLKVESLKDEDLAEVIFNGGGSVEHDWVSFCDVVDLWEAYTSNLWDNLVFRLPDWIANQSIFYE >cds-PLY68001.1 pep primary_assembly:Lsat_Salinas_v7:1:195739414:195739674:1 gene:gene-LSAT_1X125420 transcript:rna-gnl|WGS:NBSK|LSAT_1X125420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPAEDTGGEGDTGEATGGGCEVGGGGDVADRMDADKIRGGGERCGREPASMREPKGLAAGNDVGDGVAVGGGDVDRRKWTIIGDR >cds-PLY95923.1 pep primary_assembly:Lsat_Salinas_v7:9:40359947:40360825:1 gene:gene-LSAT_9X37140 transcript:rna-gnl|WGS:NBSK|LSAT_9X37140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVDQGYVGEPDNLGNCEQIRSSVKFRRVLLTILSLGSSFNQGTATGAAVGFRLSSLLRLDETKAHFDLVLNFGVRSLNLILG >cds-PLY93606.1 pep primary_assembly:Lsat_Salinas_v7:2:174510061:174513213:1 gene:gene-LSAT_2X96780 transcript:rna-gnl|WGS:NBSK|LSAT_2X96780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAILRFRKLCFIEPTLKNCGSSETPKDEKQEEIQTITKQECDKNKKKNKRGWKCIDSCCWFIGCMCTTWWLLLFLYHCLPAHLSGFKSPESPGVRLKNEGLTPLHPVVLVPGIVTGGLELWEGRPCSHGLFRKRLWGGSFAQILQRPLCWLEHLSLDNETGLDPPGIRVRPVPGLVAADYFAPGYFVWAVLIENLAKIGYEGKNMYMAAYDWRLSFQNTEVRDQALSRLKINIELMYVTNGNKKVVVVPHSMGVIYFLHFLKWVEAPPPMGGGGGPGWCDKHIKAIMNIGPAFLGVPKAVSGMLSAEGKDVAFFRAMAPGVLDSEILGLQTLEHMMRVGRTWDSVISLLPKGGDTIWGDLDSSPEDIQTVSSYQRQNNTKHQIEIKQETKYGRIISFGKTASEQHSSNLTTHDLVKDKLDSGMNCGEVWTEYGKISRENIMKLADNKAYTAGTLIDLLRFVAPKTMKRAEAHFSHGIASDLDDPKYSHYKYWSNPLETKLPHAPDMEIYCLYGVGLPTERSYVYKVSQSDKCNTIPFQIDSSAEGKWLRGGVYFVDGDESVPVLSAGFMCAKGWRGKTRFNPSGSRTHIREYRHKAPGSLLEGRGLESGAHVDIMGNVALIEDVLRVAAGASGEEIGGDRIYSDILKMAERVNIKL >cds-PLY85241.1 pep primary_assembly:Lsat_Salinas_v7:1:164256639:164258433:-1 gene:gene-LSAT_1X108121 transcript:rna-gnl|WGS:NBSK|LSAT_1X108121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPSTTGSLLLLRIVLLYSAAVVVSQPANAASTSSTLDPKQVRALQSLYIPTTHDPCTQPSFHNATVCDNGTPFRHLLSLRLSNCSEDLSLSTTALSSLSTLTSLSFVDCHVPIVHFPTSLSINLLSFTSINSLQRLTGVFLSRFSNLTELDISGDSIKASGIHIITSNMKSLNTLTLSNTNLTGLIPKHWYPKLSHMDLSENKLNGTIPTSLTLLENLRFLNLSSNQLTGEIPSTIGDLISLQNLSLSSNSLSGSIPGSISTISRLVHLDLGSNQLNGTIPRSINEMKGLKYLNLENNNFHGVMPFNASFIKKLTVFKINGNDNLCYNHSSISKKAKLGIAPCDKHGLPILPPPAADEPSSSVDDLSSGDYDDGGDSGKKKKGDDSDHGPNKVVLGVAIGLSAVVFLIIFLIILSKCGGRCCGCC >cds-PLY86424.1 pep primary_assembly:Lsat_Salinas_v7:MU040700.1:78205:82014:1 gene:gene-LSAT_0X33740 transcript:rna-gnl|WGS:NBSK|LSAT_0X33740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSGPMSVWFTIIIDQENEPPNRKHPSNEDDWSNNRNDGINLLHVESIKKDAEYCTETPSSKRNTLAPDHESNPWAFYRQYVVSVKTSEYMSFKNQWKSISPEQAKRFTKIREREGLIENDVDLTAHCCSMRVMGLSDFSPLSLLIMKDESQAFWCFLISQLLQTKRLLLFLLDSDIIQKGKYIIESQKRVLGDYAFML >cds-PLY98381.1 pep primary_assembly:Lsat_Salinas_v7:5:314759482:314763152:1 gene:gene-LSAT_5X171201 transcript:rna-gnl|WGS:NBSK|LSAT_5X171201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVSSARQCLTDEAARALDDAVAVARRRCHSQTTSLHAVSALLSLPTSTLRDACARARSSAYSPRLQFRALELCVTVSLDRLPSSKSKTSDDEPPVSNSLMAAIKRSQANQRRHPETFHLYQMHQQLHNSQSSLSCVKVELKHFILSILDDPIVSRVFGDAGFRSTDIKIAILHPPTVSGFQKSMMRFPPLFLCNLPDSNTNRAGFNFPFAVDQGEEDFKRIGQVLAKKTSRNPLLIGVSADHVLAGFTDSLKMGKTDFLPTELQGLNVINIEKEIREFLVGNLSEDMMNLKLKEVRDNIDTCTNSGVILNLGELKLFLDGKSSGVLDYLVSQLSNLVSGKSWLIGSIGSYETYMKLSAKFPSLEKEWDLNLLPITSSKSSPGASQPLKSNLMGSFVPFGGFFPIQTELENSSRNSDPSVTRCDLCNKKYEHEVSIVLKGGTTVSVADQQSVGVASWLQNPESDLTKGNTGIQAIDHGSVFNARVTGLQRKWNDICRRLHGNPSSPPIRVRSQTGTDLDWRFQPDSKRAEINHHDSNQQIPCKNLSPPVDFFTTTPSSSPPTSITTDLGLGTIYVEAHEIPKSYEKDFKQIYKALVDKVGYQDDSIRAISQTITRCRIGDKRRNIWFMFCGPDRVGKKKISQAIGEVVFGNRDSLISIDLNFENQIHHRSSVFDRKTVNFSDLSFRGKTITDFIGEELTKKPRSIVLLEHIDKADFVTKENLSQAIKTGKLSDSRGREIRLTDAIFVTTLSSSEEGDGNGNGNGNGISYSEERIMNAKPFQMRILIESFTDPGTSSVLLSPVRLNSRNPVTCNKRKVIEIGDFEIMVPGIKKLKSGFDLNLPVDESEESEDETESGSQKVWLDEFLERIDEKVVMKPFDFDSLAETILKEISVCFQKSVGSNVLLEIENEVMLQILASCWLSERKENIKNWIDSVLYRGFMEAKQKQKHGVDGESMVKLMVERVMVEEDDLSCLCLPSRIMVK >cds-PLY62063.1 pep primary_assembly:Lsat_Salinas_v7:2:57732039:57733097:1 gene:gene-LSAT_2X26061 transcript:rna-gnl|WGS:NBSK|LSAT_2X26061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMTRNQNDDGASSSKTINTDDVGSWSYLNHDVLLLVMMQLGVIDFLAFSGVCKSWRSIALSNRKSFMASKPPMLMWIPPRSNNKDKIFCLEDYKRRTFKTALTHSAGMYCIRLTCGYLILFRIKTKDFWLVNPITRRELNFPPAPDDYITSVLVFSPSISKFVLVMFAKKQIWFSIEDEGAWNCVSSTFDFTNYKDLHVFKGKIYTLNSYNYHLCELTLNPEPRVMLLETKILLDEPEHESDIFFPQLVSCGENLYVTVSSAYADVISVYKLDSGKMEWVPFQDTGEEHGFFMSQAGHNAAVKPELWVEPWSQYPRSYVTDGGGHGRFFPADEWWYFPHDCLNVNLLDESS >cds-PLY73306.1 pep primary_assembly:Lsat_Salinas_v7:7:194980799:194981438:1 gene:gene-LSAT_7X113001 transcript:rna-gnl|WGS:NBSK|LSAT_7X113001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSLIPATYPSFFHRFRYICFFTLFSRQSPPLNPSTDHSKPSITLNVYFFYYSTASHLIDVTSSPSTASPPQGSRPRIRLYSLLQFDYGPLKDADKFALKPNVGVEINETEVGGRFRPLSNITRVCPKCKDQINGNANTAKYKPLTEPAKW >cds-PLY87517.1 pep primary_assembly:Lsat_Salinas_v7:8:96954568:96954871:-1 gene:gene-LSAT_8X67961 transcript:rna-gnl|WGS:NBSK|LSAT_8X67961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSDNSNPMTNYDGVIIGVSVTAFVLAVVVKIWIIVFICKNQAEMQTKQFSDDSRFIPLTMFKFLDDMEREKPI >cds-PLY71225.1 pep primary_assembly:Lsat_Salinas_v7:6:18756095:18764753:-1 gene:gene-LSAT_6X14381 transcript:rna-gnl|WGS:NBSK|LSAT_6X14381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKDLMIDCLVLGVQRRRDCEGFKYAAKSRVYELDRLHHEVQVPWHLQKLCRLKVEKDIACYAGIAFLEKDSLFVVLVVLPESTSKTHNTTGSGTRNGEILLFNVEHPVPIASWFLKKRVARTVVIGGLLNADMAEEVHNIAKECGSVSSITYPLPKDETTHHGLFQDGCRLGSSSIVYTSVKSARACVAKLHQKNLSGATVWARQLGGEGSKVQKWKLIIRNLPFKADVSEIKEMFSAAGFVWDVYIPKKPDSGLSKGFAFVKFTCKQDAENAIQKFNGKNLGKRPIAVDWAVPKNIYTAGIQDTLKDEQKESDDEDSSTDLEDNDKETDKNTKHANVPEASNSDSEEVNFDEEADVTQKVLNNFLSSSNEPMDPVIDDHISPQKKQKDEANNKTPTTPKNIPIVSKPENSTKFEKKIKTPEEEEEDLQKTLFISNLPFDVTNEEVKQRFAGFGEVQSFVPVLHPITKRPRGTGFLKFKTVEATDSAFSASTVADLGIILKGRQLKVLKALNKKAAHDKEVEKTKKEEHDHRNLYLAKEGLIVEGTPAAEGVSDSDMSKRRSLEQKKATKLQSPNFHVSRTRLIMYNVPKSMNDKQLKRLCIDAVTSRATKQKPTIRQIKLLKDSNKGKEVSKNHSRGVAFIEFTEHEHALVALRVLNNNPANKWFFLKVNIGVVRPTELRAICEKRLLLVTLTIPEMEVNYTKSFWLHRRNAHLAHQ >cds-PLY97779.1 pep primary_assembly:Lsat_Salinas_v7:4:375382125:375382488:-1 gene:gene-LSAT_4X185280 transcript:rna-gnl|WGS:NBSK|LSAT_4X185280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPLKAVNEYRRLERKPPVTAAILAANTLIYLRPAFLHQILPKIEDVLFNAHLIVKNKDLKRLFLSAFYHLGETHLAYNMISLLWKGRKC >cds-PLY61655.1 pep primary_assembly:Lsat_Salinas_v7:2:207901961:207906142:1 gene:gene-LSAT_2X128660 transcript:rna-gnl|WGS:NBSK|LSAT_2X128660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIHLKEKSHEAAFFATGTGDLMKRRDSWGCQFPANHPKRMIFPVNVLQEIIFKLNESMKCLGRLTFVWNSLLHCLLKVGNLQVAEGAKLCRRKRIIGVDVNQDSLKCQSYSKAYGFWIRG >cds-PLY91729.1 pep primary_assembly:Lsat_Salinas_v7:9:17101378:17101934:1 gene:gene-LSAT_9X16641 transcript:rna-gnl|WGS:NBSK|LSAT_9X16641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNCRLFPFLILMLLSFQTLLTAHEDPTYKDIQVGVILDMESGVGKVIYHCITMAISDFYKANPHYKTRIVFITRDTKGEPLYALSTALNLLENTQVQAIIGPESTVEERFLEVLEDKANIPILSFSTTPLRIRILIFFESHRMKPHSSKALLPW >cds-PLY65656.1 pep primary_assembly:Lsat_Salinas_v7:5:275331175:275332011:1 gene:gene-LSAT_5X146120 transcript:rna-gnl|WGS:NBSK|LSAT_5X146120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:LEA4-5 [Source:Projected from Arabidopsis thaliana (AT5G06760) UniProtKB/TrEMBL;Acc:A0A178UIZ0] MQTAKNAAASVKETAANVAASAKSGMEKTKATLQEKGERMTAHDPTQKEMATEKKEARKDQAEYEKQVERDQNAVQRQAVEATGTHSYSTTGATGHPMGSHQMSALPGHGTGQPAGDVVEGVVGSHPIGRNTGTGQSVAGNNPRTGGGAGGYGTGGAYR >cds-PLY76038.1 pep primary_assembly:Lsat_Salinas_v7:5:319834958:319836150:-1 gene:gene-LSAT_5X177360 transcript:rna-gnl|WGS:NBSK|LSAT_5X177360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERESTMCLRSIVKFSEHVLRTNKFVDAESPGEPPTTTNSFKHRIVRITLTDPCATDSSGDDEDGRVVKRVKKHVSEINFNPPSSSFTKLDQRKKRRVRSTGSGEKKFRGVRRRPWGRWAAEIRDPSRRKRVWLGTFDTPEEAATVYDQAAVKLKGRDAVTNFGKVSFPESVIVDGPSPTVMITGSSGSDGSAPDAVFSPTSVLPSNVELTANEGFGYGDVDAFGFDIDMPFDLPDFVVSGSYRGEEFGEFDIDDFLVDV >cds-PLY62316.1 pep primary_assembly:Lsat_Salinas_v7:3:210432896:210436066:1 gene:gene-LSAT_3X123781 transcript:rna-gnl|WGS:NBSK|LSAT_3X123781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIKYLEAALSQDIQFFDTEVITSDVVYAINTDAVMVQDAISEKLGNFIHYMATFVSGFVVGFTAVWQLALVTLAVVPLIAIIGAVHTITLAKLSSKSQEALSEAGNIAEQTITQIQTVLAYVGESRALQAYSSALKISQKLGYKTGFSKGLGLGATYFTVFCCYALLLWYGGYLVRHHYTNGGLAISTKFSVMIGGLALGQSAPSMSAFAKARVAAGKIYRIIDHKPSVDKNSESGLELDSVSGQLELKNIEFSYPSRPDVKILNNFTLTVPAGKTIALVESSGSGKSMVVSLIERFYDPTSGQVMLDGHDIKGLNLRWLRQQIGLVSQEPALFATTIKENILLGRPDAYMGEIEEVARVSNAHSFIIKLPDAYDTQGEIGMAFGDLLKTLWAPGATVVPPRTFKSKLAHLRFPDFKELQTSGKGSCKHPGSNRKNRTIQNVHTCILF >cds-PLY75829.1 pep primary_assembly:Lsat_Salinas_v7:3:69651808:69652715:-1 gene:gene-LSAT_3X53680 transcript:rna-gnl|WGS:NBSK|LSAT_3X53680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQQVQKNTLYVGGLPEEVNETILHSAFIPFGDIKDVKTPLDQATQKHRSFGFVTFLEREDAAAAMDNMDGAELYGRVLTVNYALPEKIKGGEQGWAAQPIWADADTWFERQQQEEEMKRIQAENQASMQAAEELHRKKLVDEREGEKDEQDDPMAIAEAEAVKQNNT >cds-PLY83224.1 pep primary_assembly:Lsat_Salinas_v7:9:122712631:122716806:1 gene:gene-LSAT_9X77400 transcript:rna-gnl|WGS:NBSK|LSAT_9X77400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGGNNGVATMAGGAAEQQYAKAKISVWWDIENCQVPKGCEPHSIAQNISSALVNMNYCGPVSISAYGDTNRIPASVQQGLNSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPCNYLLISGDRDFSNALHQLRMRKYNILLAQPQKASISLLAAAKSVWLWTSLLAGGPPITTGESPTPDTTYNHTPPPNSLHTPAPESTQNQMHQPLDNNIYPNPHPGLQKFSSLEIRRSNDSKQKGKPLRKNQSQPVISRTLSPPIGVLENQTHEQFYARTPTTGPNPNHIPIGQDYSWNNTSYQPHAPPPVKPPNNLPGSFFPPHFMPPRPNGYTSTSSTPPFAPDIIKLNFSDHHPLMTKPPPSGGGSNGNGQPKQNSGEPPNYTNSPQKRGNKSLNKSPDVQPGLDSSGSGVWGTPGCPKPSEYVQGLIGVILLALSTLKSEKIMPTEENIIFCIRYGNISKQRNIDVKKALESAVKQQLVVKQNLGKLPFFVGKNEKLWRCVNPIGNNYKDHSKATWDELEKYLSTPSGRSAIAASQCRYDAATIIKNTCLKDTALGDILQILNLAVTAKKWIIPHQSGWHPVTITLPETTSPTPTPEFEW >cds-PLY83456.1 pep primary_assembly:Lsat_Salinas_v7:9:89877333:89879360:-1 gene:gene-LSAT_9X68260 transcript:rna-gnl|WGS:NBSK|LSAT_9X68260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKWRRFVSFPILAVLVLMEMVYYGTVFVFLDDWLGLQSPAGWINAVIFTSLASFTLFSFFVSVLTDPGGVPSGYFPDIEDNDGSDQESRDAEALKKRCDKCPAYKPPRAHHCRVCRRCVLKMDHHCTWINNCVGQRNYKAFFLLVAYGTISSLYSSVIIIGCGIQKDWDSTRTTHIKTFYITSGAVISLLSLTLGTLLGWHMYLLTHNMTTIEYYEGTRAAWLAKKSGQIYNHPYDVGVYRNITMVLXXXXYISILLFPL >cds-PLY81269.1 pep primary_assembly:Lsat_Salinas_v7:2:26361705:26362452:1 gene:gene-LSAT_2X12921 transcript:rna-gnl|WGS:NBSK|LSAT_2X12921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISTASASSFFTSPNFSLNLNSSSKSSSNSVNFRRAPISAAYATAEKTETIRSFCNKTPSSLYEVLGVPIGADTQEVKAAYRRLARVLHPDVGRIDSSADEFMKVHAAYATLTDPAKRADYDRTLVQRRAGVSSPVSFSGGYRSRRWETDQCW >cds-PLY94598.1 pep primary_assembly:Lsat_Salinas_v7:8:180894679:180896891:-1 gene:gene-LSAT_8X117180 transcript:rna-gnl|WGS:NBSK|LSAT_8X117180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVDAQPTMEETVLVGDDLMLGPPSPLIPPEIASHVLEGVNLCDGILRNLFLCLQVNDIEPFCQEEIALYRECAEKRDKELRKRLQESEYKLGLSMPLDQAKERASQLESETTTLERRLILASGMEGAEGFRQRWSLHGRLTDTKKRMEALKEGLENRKKDDEPVAVSVKGSTGGKRWFFW >cds-PLY76362.1 pep primary_assembly:Lsat_Salinas_v7:6:170466270:170469265:1 gene:gene-LSAT_6X103020 transcript:rna-gnl|WGS:NBSK|LSAT_6X103020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGDDSTVAGDGCLCEDGGDGRKKCQQCERKSKKSGLTSSSSSYALLSFDSFPVEDYDKRWRIFTASVKGFAIGAGIKGGLSLFAILARLRRRRSLSSAKKVHMASGGEDLILAVKETLRYGLFLGTFAGTFVTARWRALLAGAIAGPSMLLTGFNTQHTSLAVYILMRAAVLASRCGIKSKRFGRICKPLTWAHGDLFLMCLSSTQILCAYILKQDSLPQSYKSFLNKHGGKDPIILQGIRDIACGMPFTNLDQIQKYYKSTGVDVVLDPQMKVPCSIIHENQSCSWHAISFFIQAYKRAIPVYLPVYLIPALIVHRQGLANRPFTILAKGLFGTARSSLFLSTYCTSAWVWTCFLFRLFKRCNVTMLALGTFPTGLGLAIEKKSRRIEISLYCLARAIESFFTTMSEIGYMPHLKNLKRVDVLIFSLSTSIIMHCYAIERDVFRSKYLNVLDWVFGVPLPPYDTTPRKNKNQTMEME >cds-PLY86693.1 pep primary_assembly:Lsat_Salinas_v7:3:155561951:155562547:-1 gene:gene-LSAT_3X98401 transcript:rna-gnl|WGS:NBSK|LSAT_3X98401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g05890 [Source:Projected from Arabidopsis thaliana (AT3G05890) UniProtKB/TrEMBL;Acc:Q67Z65] MSTQTFVEILLAIVLPPLGVIFKFGCKVEFWICLLLTLFGWIPGIIYAVYVITNFFVYA >cds-PLY68219.1 pep primary_assembly:Lsat_Salinas_v7:8:307384904:307385467:-1 gene:gene-LSAT_8X167001 transcript:rna-gnl|WGS:NBSK|LSAT_8X167001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSATKDHHLQVETLLNICKQIKLIHYTINVGVTFLETSDIYDPKTNKTLLGKVLKGGIREKMDLATKFGIREVNGAREYCGDPEYVRTVCEASLEKVGVNYIDLYYQHRIDTCLPIEIIINFFLLTRSFIQTFFFHHLNYKNE >cds-PLY82250.1 pep primary_assembly:Lsat_Salinas_v7:1:67377368:67378204:-1 gene:gene-LSAT_1X57381 transcript:rna-gnl|WGS:NBSK|LSAT_1X57381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNGGGRGPTLDLKLNLSPPRAHRPMTESPNRSTATMSPTSSCLSSEFVQDEAGLRYSTSPEATSMMLVGCPRCLMYIMLSEDYPKCPKCKSTVLLDVVHNNANKTKK >cds-PLY72647.1 pep primary_assembly:Lsat_Salinas_v7:3:183193415:183198276:1 gene:gene-LSAT_3X110020 transcript:rna-gnl|WGS:NBSK|LSAT_3X110020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHLRSLILLLSISLWSLPALSQFCESVNGYCDSENMLASSKILIKGGTVVNADHQEVADVYVEDGIIVAVRPNIKVPDGVRVLDATGRLVMPGGIDPHTHLAMEFMGTETIDNFFSGQAAALAGGTTMHIDFVIPVNGSLSKGYEAYVEKAKLSCMDYGFHMAITKWDDIVPKEMETMVEKGINSFKFFMAYKGSLMISDELLLEGLKKCKSLGALAMVHAENGDAVFEGQKRMIQLGITGPEGHALSRPPVLEAEATARAIRLAAFVNAPLYVVHVMSSDAMEEIARAQKSGQNVIGEPVVSGLVLDDSVLWNPDFITAAKFVMSPPIRAAGHGKALQAALSTGILKLVGTDHCTFNSKQKSLGIDDFRKIPNGVNGIEERMHLVWDTMVESGQISATDYVRVTSTECAKLFNIYPRKGAIRVGSDADIIIFNPNSTFHISAHSHHSRSDTNVYEGRSGKGKVEVTISGGRIVWENEELNVVPGSGNYVSMPPFNYLYHGIDKADQNYLASLKAPVNRIKQSL >cds-PLY88964.1 pep primary_assembly:Lsat_Salinas_v7:8:128457614:128459218:1 gene:gene-LSAT_8X88920 transcript:rna-gnl|WGS:NBSK|LSAT_8X88920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METNQPPKDRDTIVDIVSSESESECEGNKRSKTVVRSAAGRTALTVEASEEGECSSNSYRNSVKSSDDDYEDLESLTYHKFVKNGEHNTPLLEKTSLKEKRKIPHTKKAPKPPRPRKGPSLDTADLQLVKEIAEQTMKRRARVERLKALKKRRAAKSSSPSSPSSSSSSSSSNSSLFAMAITVLFFLVIIFQGFGSGQSSSLSFDDSPKSSGGAPSSGLISIEIGNNFNDVQQIANPPKKHVTRKIYGTGSSFVEQKSVSESRTLVE >cds-PLY87529.1 pep primary_assembly:Lsat_Salinas_v7:8:97272089:97272370:1 gene:gene-LSAT_8X68100 transcript:rna-gnl|WGS:NBSK|LSAT_8X68100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANGLTIDLPITNDPHKAKPHSTVGLGGHLSEHVVSGSGAATTAIPGEAAVIEVIPMVAAARGPCFDLVSNRSLGQEYGARLLASVVLVVGD >cds-PLY64091.1 pep primary_assembly:Lsat_Salinas_v7:MU045727.1:42642:44678:-1 gene:gene-LSAT_0X3241 transcript:rna-gnl|WGS:NBSK|LSAT_0X3241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRTFNMGIGIVLVVSKEVAERVVKEEIEMMYLIGEVYVAQNTTGNVFLHEGGQEIEVSGSPTEKAILQWGVNAEDPNSEAFKLHLPGIHDYLWFAEYGMKMQGYNGSQLWDTAFTVQATREPLILFFFPIKP >cds-PLY75892.1 pep primary_assembly:Lsat_Salinas_v7:1:172599247:172608556:1 gene:gene-LSAT_1X115021 transcript:rna-gnl|WGS:NBSK|LSAT_1X115021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13480) TAIR;Acc:AT5G13480] MYNDPQQQQQQQQQPPPMYQQHPPPPPPQQQMMQQHPHHQHHHQQPPPHQFHQPQQMGEFQRGPPQQQHQQPPPPPQMMRQPSASSTTLGGPPEYHQQLNHPPFDDNFAAKRMRKIGQRRAVDYTSTVVRYMQTRLWQRDSRDRTVLQPTPAAAIDMLPTVAYSDNPSTSFASKFVHTSLNKNRCSINRVLAHDQPIRSMVWSYNDNWMVTGDDGGSIKYWQNNMNNVKANKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLSGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDAKSGKELCSFHGHKNTVLCVKWNQNGNWVLTASKDQIIKLYDIRAMKELQSFRGHRKDVTALAWHPFHEEYFVSGSFDGSIYHWLVGHETPQVEIQNAHDSCVWDLAWHPIGYLLCSGSSDNTTKFWSRNRPGDPARDKFNVGHNQGFNEQNPAHGGRMAVNFAGPEPPTTPGPFGGLNRNEGTIPGIGIAMPLSLDTSSDQKPPISSSMPPPLPPGPHPSLFVNQQQQQPPQHHHHHQPPHQQQMTSLPPPNMQPLQHPSHMPLLPHPHLPRPPPQLPPHNMPGNLQSPIMHGQMGAMNQMGPPMQQGHFMGMNPMQQGPPSGGGGGTQMYSSGATFNRPPMPGLGPYQPGNPSGGGMGTVPPNFGMPPPLPPGPPPHGITPQ >cds-PLY97998.1 pep primary_assembly:Lsat_Salinas_v7:4:192268417:192270405:-1 gene:gene-LSAT_4X109861 transcript:rna-gnl|WGS:NBSK|LSAT_4X109861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNLIQESNQELMKHSCKLCDKSFPSGRSLGGHMRSHVLNSPDHHLHQHQKQMKKLSSVNNGGELIKNDTVVEIDGSSNDLGYELRKDPKKTPKVNDSSQMENCLVVLDKLCKECGKGFQSWKALFGHMKCHSEKVANNNKLNQDSWTSQSDNENSGVKDRRLKKSKSRNGKIKRYKVTSATTATVTTTTITTASSSISMNANNNNNNNNQISSNHASTSIVSEIEQDQESEIAMCLMMLSRDEGKWGNEFESSNYCNSSAFVGLTKVEGKKRIGKGFKIKKLAETQVGVDYLGRSEVGFTGVEKIMIQNDDFNDECELFFGSKRKFECITCNKSFDSYQALGGHNASHKKLKGSLDPKTEGENTIENKPFFDHEHIINGFDSKPSDNHQTTKAFNLGVRSLKKSMALGAHECPICLKIFSSGQALGGHKRSHMIAEAKLNQQTSMNLIEKKNEPVRGFFDLNMLPDTMEEEPWHHESTTLLGLLSAI >cds-PLY85222.1 pep primary_assembly:Lsat_Salinas_v7:1:148932033:148933195:1 gene:gene-LSAT_1X105541 transcript:rna-gnl|WGS:NBSK|LSAT_1X105541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFAPLPLSHPEEIQHDLSPFILIHKNGRIERLVGEETTPPSTDHTTGVLSKDVQISPETGLSARIYLPGAITSQEHKLPVLIYFHGGGFVFGTAFSTMFQPFHNRLALEAQTIIVSVDYRSAPEHLYPTQYDDSWEAIKWVASHAIKNGGEPWLNDYADFERLFFGGESAGGNIAHQMGMRIGLGKDLDAFGDRVKLSGIVLIDPYFWGETLIGGEVNADVKERNILEKLWRVMNPSFSSLNDPLINPAKDPNLSKLGCRRVLVSVAEKDLLRDRGWYYHDVLVNSAWNGQVDIIEAKGEGHVFHLYPPFRENALTLFKSMFSFINGDK >cds-PLY62759.1 pep primary_assembly:Lsat_Salinas_v7:9:106323818:106326947:-1 gene:gene-LSAT_9X76560 transcript:rna-gnl|WGS:NBSK|LSAT_9X76560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPEDIDSDEEPGEVIESAPPLTVGQERVFNSTGLKKKLLRRGAGFQTPEFGDEPTVHYVGSLVNGTTFISTREKGEPFSFKIGQGQICSGFDEAVLTMKKGEIALFTLPPQLGFGVSGTNVVPPNSIIQFEVELLSWITVLDVCKDGGILKRVVKKGEETGQPGDLDEVKVKYVVMLPDGVVITESPKEGVEFYLKDGHLCSALPKAIKTMTREEKADLIVQPQYAFGEEGVTITSLPNGFSSIPPNSMLHVALELVSFKSVVDITNDSKVIKKIVKEGEGALIPNEGASVLIRYTAKLEDGTVFEKKGFDGDTPLNFITDEEQVIGGLDRGVATMKKGEQAILSINHEYGYGSQEINSDLAVIPPFSNLVYEVEMIQFEKEKGPWEMDVHERIEAAGRKKEEGNVLYKSGKYQRAAKKYDKAVDYVSEEGQFGDYDEKVVKSLRVSCWLNAAACSLKLNDHKNAIILCSKVLDVEFYNVKALYRRAQAYMESYDYELAELDIKKALEADPQNREVNSIHKTLKQLQAESNKRDAKLYTNMFARMANDCSIQTKRPKIEKVEKNEKDGVMGMELEKGDNEMAVDSS >cds-PLY84821.1 pep primary_assembly:Lsat_Salinas_v7:8:24686552:24688207:1 gene:gene-LSAT_8X20301 transcript:rna-gnl|WGS:NBSK|LSAT_8X20301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPPSNHGSTTTTSSSTGTNXXXXXXPPPPPPPPQPDQAPPPPPRPAAFMRPAFSQTFRAPPTVPPTQTTTTPPPVSPPRPIATLPAANGVAPTSPPQPPSARASPPPPPPPPSPKVTSSSTSSTSPMQQSRSPSPVPTPHVSPPPPPPPPQPSYSPPPIPRSSTPTYSPPKSSKPLQKNSPPSPPNPINPVSHPPSPLALPSPQLKPEYEQKTMVVQETKEIPKNLDKGFNGDIRRHLGNWGTRNSKKPETSKKHSDSEDGGMRIITIAGENKGAIMELSPFGKKTHNFGDNPHRLHMKKDSPTASQLSDGEKSGSNSDGKSKAIKSKSPLMSAVMNSNVQGVNNSILYNCSTSHHDPGVHLSLSRKPMGFNSKLKDHIN >cds-PLY64477.1 pep primary_assembly:Lsat_Salinas_v7:3:16143137:16148444:1 gene:gene-LSAT_3X11920 transcript:rna-gnl|WGS:NBSK|LSAT_3X11920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEAPLNTRKPSPERWFNRAYTLVYTAAIFALVYRHCYNLVYHPSFTTIFLLLADVVLALVWATWQAYLLNPIQRKVFPENLTKVVKESDYPGLDVVVCTADPFREPPVRVINTALSVMAYEYPTEKLSVYVSDDGGSQLTLFAFMEAAKFAKHWLPYCRKYDIMDRSPEVYFGSDSFFFPEAYQIKVMYETMKAKIEKVVDSGTVSPDLITDERWLKALDKWTPTFTPQNHPAVVEVLLESNEDKDITDHPLPNLIYVSREKNKSVHHNFKGGALNSVIRVSATLTDAPIFLVLDCDMYSNNPKTALYTLCHFLDPKVDPNLALVQFPQCFHDINKVDTYGAEHLPEIRTIPMGMDGICGTMFIGSGGFFKRQALLGSPASIGPSDIKQAKTHCLGNKSMKSDDILAVAHRVAGCQYEENTKWGLEMGFRYGSLVEDIYTSFRLQCQGWTSVFCDPERPSFLGNSPMALSDLLLQSKRWFVGFLEMVTSKHNPITYGFKYMNPIHALCYAHYNFRPFWAIPIVIYAFLPQLALLNSYSIFPKVSDTWFPLYAFLFLGAYGKSLFEFLVAGGTFVKWRNSTRMWLALGCSSYPFSMVDWVLKSLGLSTIEFNVTSKVLDDELKKRYEAGLFEFGVESPLFLTISIAAVVNLLAFLMGTIQVLKNGGFEELFAQLFIAGFGVINSWPIYEAMLLRSDKGKMPMMTTLKALGVASVVYFVSSLAF >cds-PLY77380.1 pep primary_assembly:Lsat_Salinas_v7:7:9265601:9266150:1 gene:gene-LSAT_7X9761 transcript:rna-gnl|WGS:NBSK|LSAT_7X9761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDFLEVQMFHRFYQMNTNPNLNPVVPVSSSSSQRAIATTIVHLDNLSTNSALEIDLTISYHSSSSARRAVVLRALSMEGGTSDEEE >cds-PLY74442.1 pep primary_assembly:Lsat_Salinas_v7:6:11822694:11825445:-1 gene:gene-LSAT_6X10081 transcript:rna-gnl|WGS:NBSK|LSAT_6X10081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTHRRRSIAAGPSPLHTLPLAQLYSPLEVKQVNGLWKTKTQNMTSLCKVAKELKDKFASFQICHVEREFNIEADRCSSEPRSTSPGYVALEDFENGIKSYQNALQVDGRHYNAWYGLA >cds-PLY98753.1 pep primary_assembly:Lsat_Salinas_v7:1:8375482:8381714:1 gene:gene-LSAT_1X8600 transcript:rna-gnl|WGS:NBSK|LSAT_1X8600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSYGNADRDIEQALIALKKGAQLLKYGRKGKPKFCPFRLSHDELSLIWISGSGERSLKLASVSRIIPGQRTAVFRRYLRPEKDYLSFSLVYNNGKRSLDLICKDKVEAEVWIAGLKALISSWQGGRSKIDGWSDGGLYLDDNKDLASNSASDSSVTPDVSVSSSSNPTTSPKTSSNFERSHAVLEQTNMQLKGSSSDTFRVSVSSAPSTSSHGSGPDDCDALGDVYIWGEVICENVIKLGPDRTINPSNTRTDVLLPKPLESNVVLDVNHIACGVRHAALVTRQGELFTWGEESGGRLGHGVGKDVTQPRLVESLTVSNIDFVACGEFHTCAVTSSGELYTWGDGTHNVGLLGHGTDVSHWIPKRISGPLEGLQVSSVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDRENVSYPKEVDSLSGLRTVAVACGVWHTAAVVDVIVTQSGSNVSSGKLFTWGDGDKNRLGHGDKEPRLKPTCVPALIDYNFNKVACGHSLTVGLTTSGQVYTMGSTVYGQLGNPQCDGKLPCLVEGKLVISSVDEIACGAYHVAVLTSKNEVFTWGKGANGRLGHGDIEDRKTPTLVESLKDRHVKYISCGSNYTAAICVHKWVSGAEQSQCSSCRQAFGFTRKRHNCYNCGLVHCHSCSSKKAPRAALAPNPGKPYRVCDSCFTKLSKMAESGINSRRNVKPRLSGENKDRLDKADLRLAKSGVVSNQDLIKLLDNKAAKQGKKGDTFLGRSSQAPLMQLKDVVLYGDFRRAIPKPVVTQSSVSSRSVSPFSRKASPPRSATPVPTTSGLSFSKGVSDSLKKTNDLLNQEVLKLRAQVESLMRECDMQEAELEKSRKKSQEAMVLAAEESAKCKAAKDVIKSLTAQLKDMAERLPAGSYDFESIRVKSASVLEQNGDANDIWTPNDKVENEYPNGAMHVTEEGKDILSAQEDGNNSLSPPPVPVPSETTATATATAGGSNQQQQVEAEWIEQYEPGVYITLVALRDGTRDLKRVRFSRRRFGEHQAETWWSENREQVYERYNVRGSSSSSKSSVSSAPTAGPRRSAGSPKY >cds-PLY69977.1 pep primary_assembly:Lsat_Salinas_v7:8:64053648:64054513:1 gene:gene-LSAT_8X45201 transcript:rna-gnl|WGS:NBSK|LSAT_8X45201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQLNTNLFFFLLTTILLASTTAGHPFFPSTEDTDFIRSSCNTTLYPDTCFNSLSGYSGTVHHDSGRLAKVAIHVALYNATDMSNYVSNLSLHSDYRNTKETAAIHDCSSLFQDAVDEIQDSFNEMKRLGWTGESVKFQLSNVQTWMSAALTNEETCTDGFEEVADGVMKADVCSRVVAVKEVTSNALALVNHYADKVAA >cds-PLY96277.1 pep primary_assembly:Lsat_Salinas_v7:6:157195381:157198022:1 gene:gene-LSAT_6X96240 transcript:rna-gnl|WGS:NBSK|LSAT_6X96240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICKRLSRMTINARLIFIQLFLTFSVTIAAGGTESENTRVSSTGLKNYIVHVKRQVASLSTISSEDLKAYHRSFLPFNTRLASSEKEEPLLYSYQNVVSGFAARLTDADIEAMSKIDGFVSAREERILKLQTTHTPKFLGLHQKSGIWKESEFGKGSIIGMLDTGILPDHASFSDHGIPPPPSKWKGRCEFNASTCNNKLIGARSFTIGAMASNISRTPIDEFGHGTHTASTAAGRFVKNAEAFGGAAGGTAVGMAPYAHLAIYKVCSNGDCPDSDILAGIDAAVADGVDVISMSLGLEEKLPFFHDNIAIASFAAVEKGIFVSCAAGNFGPINGTATNLAPWVLTVGASTTDRKIKATAKLGNDKEFDGESLFQPKGSPSSTLSPLVYAGANEKQDSKLCVNGSLEGMDVKGKVVLCERGVTARIDKGEVVKKAGGAAMILMNQEEEGFSLNADEHVLPATHVSYAAGEKIKAYINSTLTPMASLLFKGTVIGDPLAPFVAAFSSRGPNTVSPGILKPDIIGPGVSILAAWGSVSSRKPSFDLLSGTSMSCPHLSGVAALLKATHPNWTPAALKSAIMTSADLVNLKGTPIVDETLQPADLFATGSGHVNPSKANNPGLIYDIQPDDYIPYLCGLGYSDEQIGIIAHRPIKCSTKSSIPEGQLNYPSFAVKLGPPQTFTRTVTNVGEAYTSYVAKVVAPKGVSVSVRPNKINFTQMNEKATYSVIFIRTNEAAGDHSHGYITWVSTKYMVRSVVSVTFIM >cds-PLY68204.1 pep primary_assembly:Lsat_Salinas_v7:8:118934025:118939849:-1 gene:gene-LSAT_8X82681 transcript:rna-gnl|WGS:NBSK|LSAT_8X82681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPPFVFPQNLIVLEEDSGDDAGHLSVQNLTSISSLRPSDLEEFVKGVSFDLSDKELFCVEEQEIFDRIYSLIKCFGDLTPGCKLNIVESLRSNFSVLLPNIDSLFRVPASPTNDGRDSDDGGRVLGRVNSHRNAFKIYTFFLIHVVLAQESNSASSNTTKVLPSNRKKHLSSSWNWEAQRGRILSLIANSLEINLSSLFGSSDPDENYLSFVVRNALSMFENATLLKDSDAKEALCRMIGTCATKYHYLAQSCASILHILHKHDFVVLHLADAVAWAEKKYSDGSLSISLIREIGRTNPKDYVKDTVGAENIGRFLVELADRIPKLISTNIGLLVPHFGGESYKIRNALVAVMGKLVAKAFNDIEGEVSSKSIRLRTKQAMLEILLERARDVSAYTRSKVLQVWVELCEEHSVSIGLWNEVAVVAAGRLEDKSAIVRKSALNLLIMMLQHNPFGPQLRVASFEATLKQYRKKLNELEPNVSSESVLDGIPLNSDSGSDDGEIVDDNDNVKKQDSLTDSCMVQEEEQSTQMDSSVPDVGNLEQTRTLIASLEAGLRFSKCISATMPILVQLMASSSASDVENTILLLMRCKQFQIDESEACLRKMLPLVFSQDKSIYEAVENAFITIYITKNPTETAKNLITLAIDSNVGDLAALEFIIGALVSKGDISASMVAALWDYFCFNVSGTSAERSRGALSVLCMAAKSSPEVLSSHLQDIIDIGFGRWAKVEPLLARTACIALQRLTLDDQKNLLTNHGSRVFGVLDSLVTGSWLPEHIWYAAADRAISTIYAIHPSPETLAADLVLKSYHSVVGVDELQNDTDALTVVQASKLSRFLYVTSHVAMNQLVYIESCVRKIQKEKAKKDKLMAEGQHVDKPEQKEDGINAELGVAASEDALLDILSERAEKEIVYGASNEKNLIGHCAPFLSKLCRNFGLLQKYPELQASGMLALSRLMIIDANFCEANLQLLFTVVENAPSETVRSNCTISLGDLAVRFPNLLEPWTENMYSRLHDPSVSVRTNAVLVLSHLILNDMMKVKGHINEMAMRLEDENERISNLAKLFFNELSKKGNNPIYNLLPDILGKLSNQDLKRESFFNIMQFLIGSIKKDKQMEALVEKLCHRFSGVTDAKQWENISYCLAQLSFTDKGIKKLIDLFKVYEHVLSNETVMDHFRTIINKGKKFAKQELKSCIEEFEGKISKFHEDKKEQEITARNAAAHQQKADAIKNIIVDTNLEKENENEKEKSEADEDGEVMDPPMDENENENENENVGVNKEEHGPAPKSVEFEETSHDASSQVIQSESSFDDEVQSPQVIPKGTTKTRAKKSNGVSEKKVADSHVPSVSVRVTRSRRR >cds-PLY98286.1 pep primary_assembly:Lsat_Salinas_v7:7:173784358:173785505:-1 gene:gene-LSAT_7X103100 transcript:rna-gnl|WGS:NBSK|LSAT_7X103100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFVDLIKSEQDTKITNSLKNRDSFRVIGRSTGTKEFAATRIQTAYRAHRARKALRCLKGTSRFRSLVEPIALTKQSFSALDKIHFWSKIQSEIRTRRHWMVAEGRIKQKKLENQVKIDSRLHELEVEWCGGPETMDEIVSRIQQREEATNKRERAMAYAFYHQWRANSNRYFGQAYFDLSKESWGWSWKERWIAVCPWEARVVARAKQQNKPSGKTMRHGAPKIIVSVKPQMCNGKGTIKTAHGTTTT >cds-PLY94300.1 pep primary_assembly:Lsat_Salinas_v7:7:164456690:164457745:1 gene:gene-LSAT_7X96981 transcript:rna-gnl|WGS:NBSK|LSAT_7X96981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEETRSMTIDENHDDASITKKIMIKTCDPWSNLNHDVLFLVLMRLGVVDFVAFSRVCKSWRSLALSNKNMFIVSRPPMAISISTDANENECYLYLEDSQGRKFKTIFPAHSDYKQCIGVSCGYLILIGEETNDLWLVNPITRHEFHAPFPFLDIRFYIHGLGVILVFSPSISAWVFVVINRFWNKIWFCIAGKREWTCVFTPLSIYDLHVFKGKIYTLHPNNCLSEVRLFPTPEVTLLEIKNFSKTERNHWRLVSSGENLYVINRMPEFPFKIEELDFSKMEWVSPEKTAEEYAFFFSIDNGATLIRIEPWADLYSQYGKYSFPKKCGKGRLFRANMWYFFHDCLNGNFLY >cds-PLY98612.1 pep primary_assembly:Lsat_Salinas_v7:1:37590528:37594347:1 gene:gene-LSAT_1X31501 transcript:rna-gnl|WGS:NBSK|LSAT_1X31501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHYQIMVHSHSARYPITQSLSNNDKFEVETEEPIGWIPLFQLKVIMLSSCNMNRHKGRVLPGFLLHQHKLQEVDMSHNHLEGKFPNWLIENNTNLEVLNLRNNSFTGMLLHRNANMMELDMSGNQMIGNLSSGYIRELHLDSNCFIGMIPGWISNTSKLSELVVRNNSLEGSLMDLSDNSLSGSIPNCLKNITGPSYLAFLKRTISWYPMSSSYDYKSVLHRWQPAHLNNQGFETQDEVEFTTKRLFLNYMGNILDYMAGLDLSCNKLTGEISQQLGFLTHLRALNLCYNQLTGPIPPSFSNLANIESLDLSSNGLTDKVPSQLIQLTSLSTFNVSHNNLSSRVPDMKAQFGTFMEANYEGNPLLCGPPLEKKCTTTNSQVIELTIRIEKNSGSPELSRSPLSRSSTSTETKFAIMKIEEARRTEE >cds-PLY84844.1 pep primary_assembly:Lsat_Salinas_v7:1:59971129:59973438:-1 gene:gene-LSAT_1X52540 transcript:rna-gnl|WGS:NBSK|LSAT_1X52540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLHIVLFPLMAQGHLIPMVDIARLLAQHGAMVTIITTPINANRFKSITNRAIKAKLNIQVLELQLPLSEVGLPEGCENFDLLPTAAQAINMFTAMTLLEQPAEKMLRGLYPPPNCIISDGGFPWTMDIAKRLNIPRFVFYGPGCFAFLCIHIVTNTNILNEIDSNSEYFVMPGLPDRIEVTKPQASTWGKGDCKETTDNFERLMEAEKSSSGIVVNTFEELEPKYVEEFAKVKGKKVWCIGPVSLCNKSLQDISERGNKSAIHEQDCLKWLDLRDPQSVVYVCLGSLSYASTEQAIELGLALELSNKPFIWFVRNASEELKKWISEEGFKERVKDRGLMVHGWAPQMLILSHAAIGGFVTHCGWNSTLEGISAGVPMVTWPHFGEQFLNERFVIDVLRIGVRIGVEVPVVFYEQDKAEKLLLTREEIRAAVEGLMDGGEEGEARRKRARKLGEMAKRAMEEGGSSHLNMTSMIQAITEEVAKKTIPIQDIV >cds-PLY73151.1 pep primary_assembly:Lsat_Salinas_v7:2:191120162:191122029:-1 gene:gene-LSAT_2X112840 transcript:rna-gnl|WGS:NBSK|LSAT_2X112840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCFSFSNGEPKCTKSGTGQSSISLWNGEEGRKSGSEFTSMDISDISRESSTRVSFTSLTQNPSGKSHNNLREFEVGELKTATKNFSRALMIGEGGFGGVYRGVLRNPNDSGHKIDVAIKQLSRRGLQGHKEWVTEVNVLGFVDHPNLVKLVGYCAEDDERGIQRLLVYEYMPNRSVLDHLCNRFQKPLPWSTRLKIAQDAARGLVYLHEGMEYQIIFRDFKSSNILLDENWNAKLSDFGLARLGPSDGISHVSTAVVGTVGYAAPEYVQTGRLTYKSDVWSYGIFLYELITGRRPMDRNKPKNEQKLLEWVRPYLSRDLSKFERILDPRLAGNYSVKSAQKLAGVANKCLHRQPRMRPKMSQVLEVLNKIVEDASVESLEVEEESPLSTPINGVFERSIRDGLKRRLLDPIVGAGENNKWLVCLKGSPKVVSTN >cds-PLY89035.1 pep primary_assembly:Lsat_Salinas_v7:9:28781950:28782665:-1 gene:gene-LSAT_9X25281 transcript:rna-gnl|WGS:NBSK|LSAT_9X25281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFILFLLSSLLLQGALGEIICEELPVGLCTFSIASSGKRCILENYINHNENMEYQCKTSEIVVKNMNNWIESDECTNACGVDRKSVGISSDSLLEPRFIARLCSRSCYDNCANIVDLYHNLAIGEGVFLENLCEVHRKMPRRAMSQLLSSGAASGPVSGVDGLISMAEAPSSDENFDSAAYAPTSI >cds-PLY65680.1 pep primary_assembly:Lsat_Salinas_v7:5:269266874:269267256:-1 gene:gene-LSAT_5X140101 transcript:rna-gnl|WGS:NBSK|LSAT_5X140101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLWIYKCDKCLYYVHVDCVRVPPPAGPAKTIKNYEDVDYPGLLHLPFRDETYSLPKHLFFQQIDDKVDDYLKHMSHKHPLILVDGEAHIDI >cds-PLY72804.1 pep primary_assembly:Lsat_Salinas_v7:6:22851651:22852260:-1 gene:gene-LSAT_6X18400 transcript:rna-gnl|WGS:NBSK|LSAT_6X18400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENMSKLGPKIIKGTTWDEGGKTKIMQILISYGKHTVYSIQFVYAVNGKTLPSEIHGKPCGSKFDIVTFDDPEEYLTFLSGQYGIKKLNSITFGTNKTRYGPFGSTLKSSDPQFVYKFIPAFSFGGFHGSVYKTCLCSIGVYVRPLGLIAEPEIEMDIGSDKGEDDESTSSRLMD >cds-PLY91560.1 pep primary_assembly:Lsat_Salinas_v7:1:10633613:10634118:-1 gene:gene-LSAT_1X10320 transcript:rna-gnl|WGS:NBSK|LSAT_1X10320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDNQQQKYGSNMSGGQTSKATVIPAKKKHVSTMMAEKIAKVTASAAKHIKNKNKINPGDHDS >cds-PLY73177.1 pep primary_assembly:Lsat_Salinas_v7:4:267671467:267675332:-1 gene:gene-LSAT_4X138061 transcript:rna-gnl|WGS:NBSK|LSAT_4X138061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQVYSFNRFSFVFILFLSLVCVHGDVDESHQLLSFKSLLKKPNLLTNWLSGNHPCNFSGVSCKDSRVSSIDLSGTDLSSDFRLVSSNLMTLPNLETLVLKNCNLTGSLVWSSRSQCSRDLVAMDLAENGVSGSFSEATLLTACPKLSSLNLSRNSIDFNGELKPIGLSIQVIDLSYNRISWGKPNVVQWILSNGCGELRHLSIKGNKVAGELPEFDCPNLEYLDLSANNFSSGFLSFRDCSSLQYLDLSSNKFSGDISGSLSSCKRLSFLNLTQNQFAGEIPSFPVDGSLQFLYLATNHFQGRVPPHLLNLCPMLVELNVSGNNLSGAVPDGLKSCSSLQLLDFSRNNFSGELPIETVLEISNLKTLVLSFNHFIGFLPESFSKMTNLETLDLSSNKISGGIPSGLCQGTTTSLKELYLQNNWFTSPIPSTLSNCSQLVSLDLSFNYLTGEIPSSFRYLSKLQDLIIWFNELEGEIPQELMYIQPLQNLILDFNYLIGSIPPTLSNCTNLNWISLSNNKLSGEIPASLGSLSNLAILKLGNNSFSGNIPSELGDCKSLIWLDLNTNELSGTIPPDLFKQSGQIADAYLTGKPYVYIKNDGSSQCHGAGNLLEFGGIREDDLGRMSKRHPCNFTRVYKGITEPTFNHNGSMMFLDLSHNNLHGNIPMELGAMFYLFILNLGHNNLTGSIPQALGSLKNVAILDLSYNYLNGSIPNSLTSLTLLGEVDLSNNNLSGLIPESTPFDTFPQKSFLNNSGLCGYPLPKCKQPLAAGSGGRNRRQVSLAGSIAMGLSFSIFCIFAVAMVGVEIKKHLQKSARDSDSATEGGGNSLSGGQRSGAWRLTTNREALSISLAAFEKPLKKLTFADLLAATNGFHDETLIGSGGFGDVYRAELKDGTTVAIKKLINVSGQGDREFMAEMETIGKIKHRNLVPLLGYCKVRDERLLVYEYMKFGSLDMVLQNRSKTRIKLDWPTRRKIAIGSARGLAFLHHNCNPHIIHRDMKSSNVLLDENLEARVSDFGMARHMSAMDTHLSVSTLAGTPGYVPPEYYQSFRCSTRGDVYSFGVVLLELLTGKQPTDSPDFGDNNNLVGWVKQHAKLKLSDVFDPDLLREDPGLEIELLQHLGVACACLEDRPWKRPTMIQVMAMFKEIQAGSTVSMDGGSFSVEMTIKEDGELSTQ >cds-PLY69403.1 pep primary_assembly:Lsat_Salinas_v7:5:302129646:302131420:-1 gene:gene-LSAT_5X161320 transcript:rna-gnl|WGS:NBSK|LSAT_5X161320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIFLVFFPEEQHFNSSTPSSTTSSLSPFKSPKINAFLKRTNSSHIFTRAQSTISVCALLIFLTFLLFTLSSFEPNNDFISSHNLHQYRRYLSQNHPKFHTKRIWNPPALQGLGTLYTRGTMAMNELVICHVSDSVTKKELKLFLRSYHRSGLASKSDLLFVFNSISTIDSFDDVIRDENDLFLKLVHRYKSELGNGSKAVDFPASFDVTRFVKSGNEADKGESIWGRKIKSNNSSFSNGDNGTELTRMCYGSVVGFGIGELDPENSLSGFLDHVPMNLRRWASYPMILGRVRRKFKHIMLVDVKELLLLGDPLARVKNHTPESIFLSSTPTPKHSRKNNKPHRKTINPALIIGGERGVRRLSAAILTEIVRSTTRQRHNKKNSITESTLLSQLAANEFLQKSIHFVSSPESISEPSSLSGVALANMTVVRRGNSNLDIGAVITKHICSFSIDSSVYKDC >cds-PLY90262.1 pep primary_assembly:Lsat_Salinas_v7:8:14254354:14254670:-1 gene:gene-LSAT_8X13820 transcript:rna-gnl|WGS:NBSK|LSAT_8X13820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFRILGTPLHTATKEKNRRAIRFLIENGAFLPDNITDTQFNPPLHYCPGLEWAYEEMKRVQQESRSSSGEGSCTILLMEHSYLITD >cds-PLY77263.1 pep primary_assembly:Lsat_Salinas_v7:3:56950494:56953778:-1 gene:gene-LSAT_3X45080 transcript:rna-gnl|WGS:NBSK|LSAT_3X45080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNIDIEGILKDLPNDGRIPKTKIVCTLGPASRSVVMCEKLLRAGMNVARFNFSHGTHEYHQETLDNLRIAMQNTQILCAVMLDTKGPEIRTGFLKNEKPVQLKEGREITISTDYTIKGDEETISMSYKKLPVDLKPGNTILCADGTITLTVISCDPASGTVRCRCENTAMLGERKNVNLPGVVVDLPTLTEKDQEDILQWGVPNNIDMIALSFVRKGSDLVNVRKVLGPHAKNIQLMSKVENQEGVINFDEILRETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEIAVKTMARICIEAESSLDYSLIFKEMIKSTPLPMSPLESLASSAVRTANKAKAKLIVVLTRGGTTAKLVAKYRPAVPILSVVVPVLSTDSFDWTCSDETPARHSLVYRGLIPLLAEGSAKATDAESTEVILEAALKSATAKGLCKKGDAVVALHRIGAASVIKICIVK >cds-PLY85177.1 pep primary_assembly:Lsat_Salinas_v7:9:146417565:146418001:1 gene:gene-LSAT_9X93960 transcript:rna-gnl|WGS:NBSK|LSAT_9X93960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIKKQTAIQRDGGVWGSTAAAAPGTMYLSGSDRGDSRKGESYSGGSFGDKTATTEVKIKISKKQLEELLGRTDVQGLTVEQILAKLMNVSDGFESNQRPWRPALQSIPE >cds-PLY83091.1 pep primary_assembly:Lsat_Salinas_v7:5:88757884:88762699:1 gene:gene-LSAT_5X41401 transcript:rna-gnl|WGS:NBSK|LSAT_5X41401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLFIEMTDSDDIQPIVCDFGTGMVKGPSHEAGFAGDDAPKVVFPNIVGRPRHTGIMVGMGQKDAYVADEAMSERGMLTLKYPIEHGIVSNWDDMEKIWHHTFYNELRIAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYIEIGSVLSLYASGRTTGVMLDSGDGVTYTIPIYNGYLLRRGILRLDLAGRDLTDNLMRILTGRGYMFTTTAEREIVRDVKEKLAYVALDYEQELETAKTSSSVEKLYELPDGQIITIGDERFRCAEALFQPHMIGYEAAGIHELAYNSIMMCDVDMRKHLYENILLSGGSTMLPGITDRFIKEITALAPSSMKIKVVARPERKYSVWIGGSILASLSTFQQLFSMLCFIKWLSPLPPPFTTTL >cds-PLY94959.1 pep primary_assembly:Lsat_Salinas_v7:4:109799207:109802859:1 gene:gene-LSAT_4X70920 transcript:rna-gnl|WGS:NBSK|LSAT_4X70920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQVVIIGAGISGLVACKYCLSKGFDPIVFESDGDIGGVWTKTIRTTKLQTFKGMYQFSDFPWPSSVIDDYPTQDQVLSYLRSYATHFDLMKHIRFHSGVKEIDFHGESSHMWSHWNGINQTFPQGKWKVTVETSQSQTPSTKVYEADFVILCVGRFKDVPNIPSFTHDTSPEVFHGKVIHSMDYSAMDHERAKQFVKGKRVVVVGFQKQGLDIAMECSSENGPENPCTIVYRKDRWKMSDFSPWGIPLQYLYLNRFSELMVHKPGEGFLLGMLATLLSPLRWGISKLVETHIKKNLPLAKFNMVPERSFSNDMRSCLLAAMPKDFFNRVETGRIHLQKSPGFGFYKDGIVVDGGNKQIQTDIVILATGFKGIHKLKNIFTSQDFRHFIAVSPDSRVSLYRECIQPRIPQLAVIGFSESFSNLFTSEIRCRWVVELLDGGFKLPSIEEMEKDISNWDDYMKKSSGEYYQRSCITALDIWYNDQLCKDMGWNPRRKKGFWADLFQPYGPMDYANP >cds-PLY96111.1 pep primary_assembly:Lsat_Salinas_v7:3:98875850:98876404:1 gene:gene-LSAT_3X74461 transcript:rna-gnl|WGS:NBSK|LSAT_3X74461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLDRFREAVFRLIMISALSRANSTTATTSYTSTSTYDSQSPIRLSSRSSSKSSPAATSRHHHRSYHHYASDSHHTEAVADCIEFIKRSANDEIRGSTASSRSRSPVCDTSNEIVIPLPVM >cds-PLY75052.1 pep primary_assembly:Lsat_Salinas_v7:2:67977275:67979513:-1 gene:gene-LSAT_2X30320 transcript:rna-gnl|WGS:NBSK|LSAT_2X30320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRKENEKMEHQLSVLNLVDDLQRLGISYHFEEEIRDVLDKLYYISQDKWSKMDLNLKSLYFRLFRQHGYHIPQEIFEDLKDKRGNFNGHFNEDIVGILNLYEASYYSVEGESLLDDARDYATRYLKENLKNMVDQNMSSLISHALTFPLHWRVPRVEAKWFIEAYEKRSGTNSTLIELAKLDFNTVQAIHQEDLKYASRWWKEICWEKFGFARDHLVESFMWSIAVNYRPNFQGRTTLTKIFAMITTIDDVYDVYGTLHELEQFTDIVNRWDINMIEELPHYMRICFLALYNTINEIAYRTLTNKEFFILPYLKRTWQDLCNSYLIEARWYYNGYTPTLNEFLTNAYVSIGAGVVITHAYLLTLTSVTEKELEHIGRAENIIRHASVIVRLTNDLATSSEELERGDVPKSIQCYMQESGATEVEAREHIKGLILETWKKLNKERQTICSLFSQEFIECVTNIARMGHFAYDVDKHAYSDMMRTQVLSLFVNPM >cds-PLY70758.1 pep primary_assembly:Lsat_Salinas_v7:8:167146773:167147636:1 gene:gene-LSAT_8X109641 transcript:rna-gnl|WGS:NBSK|LSAT_8X109641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISWGAQSPRGVKPCRKQIGYELLTSSIQEVEEVKIKRGGLVKTDWTQAPFTASYKNFRVDACVVSSGKSSCGGSASSGGNQAWISEELDNTKQEKLRWAQKNYMIYNYCSDSKRFPQGFPLECKLEPYNRENGENFKVFWIRRLVRDGDTVDGRKEGSRERL >cds-PLY84226.1 pep primary_assembly:Lsat_Salinas_v7:7:78353302:78354602:-1 gene:gene-LSAT_7X56141 transcript:rna-gnl|WGS:NBSK|LSAT_7X56141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLPDDLLSNIFIRLLAKELAKMRCVSKSWNAFLSQPSLIKSHLKSSINNNDRVLLVFYKKTYFDPKPFTAHPCQAPHHIPTDFIKFPPVNPKAEQTTSMIKVIGSVHGLICSCYSDDVIHIWNPSLSAVSTLPPYSTPSCSGNTIYFRFGMRKGSWKLTTQRFPSHVTKIFEPDYLCVDGHDGHLHWLGYCDEGNVYTIVAFDLGLETFREIPLPDSLSSDNNRSKLLGVWAGKICVMLWVKGGRSIDVWVMDEYGVAESWVKRNNVSSQCISSFFFGYTSHNEICIVNDSGHFLLYNPAAHEDNVLKESFNEEYNVSKIVEYVDSLAWVASAIR >cds-PLY65743.1 pep primary_assembly:Lsat_Salinas_v7:5:271527165:271528018:-1 gene:gene-LSAT_5X142101 transcript:rna-gnl|WGS:NBSK|LSAT_5X142101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLACTLNTHQYTLLAPMHPPPPPSPQRQQSTVITAGRCCRQVLEYFIEKIDDPMAERKADALLVAASVLAAMNYQAAISPPGGAYQDTRSVNGTIEYQAGQAIAAYVAAHEYKRFSIANTISFTFSMTTMFLFLSGLSLKRRIFSLLLTVSMFATLTATTYSYKLAMEATTPDHNELEAGWKFINRLVTGALITWCVLAGTAIVVFVGTLLKPLVTAAYRQATLKT >cds-PLY74007.1 pep primary_assembly:Lsat_Salinas_v7:1:32522612:32526423:-1 gene:gene-LSAT_1X29580 transcript:rna-gnl|WGS:NBSK|LSAT_1X29580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSAFKSTTKRDPIGTSSPSTDDSPTSSASKAHRRSRSLSRFSRAIPAEIDSGVLPTPRRKFVNTVRGSDFPEISLDDLAIEFFSGKDNSSEVDDESGSSRSSRPVRVKSEISPVLGSSQRRGRSVSRRNDDTASNAGGTIRAVPHAEISSVTPSSQRRGRSVSRRNDGTPSNAGGITRAVPNADSRRRRSVSVARYQISDSESDIDLSRSSTNQPKSRSINNGNGNGNGNSRVASFQRPTASSHRKLSRSMSQTPQWRSHDGYSSQSSALTDDDSRDARYGKNEIEKTIRAVYAQKKIDHPTGDNVDHGLYQAMRKELRSAVNEIMIGLEQTMERKPSALSVHDRLHSSKSDALQNKTVTTTRKNYPTKSKEGEDGYKIVREVLPESKNNANAQKASYSRKRSNDRSRMSKRLNEEAERYLEDFISNIEDTDFSSFDGERSDTSSTLVGATKPIQNGMKSVPVEMEGVNLPWLKWDDTDAVPSTPIIQTPTTPKPKLWDPSQDLELIQEQGGSSSSSHGSWDPPLHDKSAASSNRRESKSKSHDGCLDMNKYLELEKNEQLMFDRWRERNVIRSGGLLLSGVLVKSFLHF >cds-PLY93260.1 pep primary_assembly:Lsat_Salinas_v7:6:158956983:158959825:-1 gene:gene-LSAT_6X97261 transcript:rna-gnl|WGS:NBSK|LSAT_6X97261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKESEYYDVLGVSPLASDDDIRKAYYLKARQVHPDKNPDDPQAAERFQVLGEAYQVLSDPVKRDAYDQNGKCSISKDTMLDSTAVFAVLFGSELFEDYIGHLAVATMASAELVSENDSPEILHQRLKTIQREREEKLARSLKNFIHPYVHGDKEEFLHLAESEADRLSQAAFGANILHTIGYIYERQAAQELGKKAIYLGVPFMAEWVRNKGHFWKSQITAAKGAFQLLQLQEDMRRQFKMDGSGPTNDVESHLRSSKDTLMNSLWKLNVVDIEVTLLHVCQMILHENNAKKEELKARAVALKLLGKIFQREKISQNVGTSKNKIASDIHDDTSSSDDSSDDDDDSPRTLNYRAPLITQGIGRLFRCLCNPAYDVDDDDEIVYKGNK >cds-PLY99752.1 pep primary_assembly:Lsat_Salinas_v7:2:130181004:130185477:1 gene:gene-LSAT_2X61101 transcript:rna-gnl|WGS:NBSK|LSAT_2X61101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRELATENMNGASSSPTPPPPQALLERLKDYGQEDAFAFWDQLSADQQNFLIQEIESLDLPRIDRMIHCSLQSHGLPTAAIEPVPEGCVSTVEDRKLEDRERWWNMGLKAISDGKLAVLLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERIICLQRLATQSINEGSGNIVPIHWYIMTSPFTDETTRTFFEYHKYFGLKSDQVTFFSQGTIPCVSKDGRFIMESPCKVAKAPDGNGGVYSALKHSGLLEDMSMRGVKYLDCYGVDNALVLVGDPTFLGYFIDKGVASAAKVVRKAYPQEKVGVFVRRGKGGPVTVVEYSELDQSLASEINQGTGRLRYCWSNVCLHMFTLDFLNQVANGLEKDSIYHLAEKKIPSIHGQTLGYKLEQFIFDAFPYAPSTALFEVLREEEFAPVKNANGSNFDTPDSARLLVLRLHTRWVVAAGGFLTHSVPLYSTGVEVSPLVSYAGENLEAICRGRTFHAPCEITF >cds-PLY66677.1 pep primary_assembly:Lsat_Salinas_v7:1:53343987:53348763:1 gene:gene-LSAT_1X46480 transcript:rna-gnl|WGS:NBSK|LSAT_1X46480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKWSRHHLHVFRVEKHWIQRLQLSKNSPIPTHIPGRHCKKVFHNIRIMILNFCITLQITIVVICKTICLVPRSFLILFSYCYHFGKSSLKRFKEEPNASNSNVISDLEEYSGYVLQIEPDAKLSKRILRNVLSSITQLLHEFEKKEPRNLMKLLEKSTGFYGVIEFDNDGVPPLHPEEIQNCWSLVALTLTAIALALPNITNCNVKRLLSSMKEGLQFVRHIEERLNANEELVKAREAARHVWTDVEVYCKWLQIDLQKKARKGETSQKILEWLGDEAVNIVIQFKAMKNISLDHSRCEFIAASSMYRISQTILLHFHEQENWPTDEELFEWISTIIADLLCACFTNLPHVITMKCHDDAIEKREDNIKTAAQLLGRSKKILKMLKKRQLPNLHMESMGAALVLAEYDVDTPASILLATKVGGLGVVGAGRVRSLLSALDKEGILLDNHEMVGALIEWHVSIDELEKSIHIYDVMRRLNLSPSLSCYLTFLNNLVNKSQTQLLFGVYSDLLEITM >cds-PLY90065.1 pep primary_assembly:Lsat_Salinas_v7:6:17694299:17699516:-1 gene:gene-LSAT_6X13321 transcript:rna-gnl|WGS:NBSK|LSAT_6X13321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 6 [Source:Projected from Arabidopsis thaliana (AT5G11800) UniProtKB/Swiss-Prot;Acc:B5X0N6] MKRGIPTSSSHLRRLYFLSLISLILSFFLSLPLPVLADTDQEQLEDISDTFVNNATLTSNNASSTTDRDRSFAGMIDRALEKEFTENDQTEEEDAGSFNNSVAGQQAVLETVARVKSKKNDTKDDKSFQLHHVFTMDNDNGAEETPTLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSVVGPGGLDVVSELVQVETVAQFGVIFLLFALGLEFSMAKLKVVRAVAVLGGLLQSFLFMCICGITASTNELYQLASVAFCLLVAWSSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHIDILLASVLLVVIAKTIVISIVVKGFGYNNKTSVLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYMLLLGTTALSLVTTPLLFKIIPGVVHLGVLLRWFTPDSQSEMGIKIEGLRSDSAKQRIALIAKDLLIHEG >cds-PLY97194.1 pep primary_assembly:Lsat_Salinas_v7:2:154071998:154073816:-1 gene:gene-LSAT_2X76860 transcript:rna-gnl|WGS:NBSK|LSAT_2X76860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLPFASSQNNLNSSNVKQQVAGGGIGSNRYEPKSVLDVRRSPPSPITGKASEFNSVDHNIVVFSSEEEQLPLDNIEHGMIHQFEEWDSLMKELGLHDDSTKSAYPLDLPELPPIHTESAAPATALFFHSDFENLNPNLNALDFMSQDDDDNSNNNGNGFDFVDELIRIAECFETRSIQLAQVIMTRLNQRLRAPTGKALQRAAFYFKEALQSLLTGPTRMTQSSSSSEIVQSIEAYKTFSNVSPIPMFSDFTANQAMLEAVDGAMIVHVIDFDIGLGGHWASFMKEIAEKAEARKVHSPAVRITAIIPEEYEMESKLIRDNLWQFARGLKLRFDIDFVSFRTFEYLSFKAIKFMEGEKTAVLLSSTVFHRIGAGFINDLRRVSPHVIVFVDGEGLMGSETSFFRQTVIDGLEFYSTLLESLEAANIGGGGGSDWIRKIEMFVLLPKITAAVEASGRHVPSWREAFSRAGMRPVGLSQFADFQAECLLRRVQVRGFHVVKRQAEMVLCWHDRPLVATSAWRF >cds-PLY65723.1 pep primary_assembly:Lsat_Salinas_v7:5:272729956:272731952:1 gene:gene-LSAT_5X143181 transcript:rna-gnl|WGS:NBSK|LSAT_5X143181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNPKQKWTAEEEEALRAGVAKHGTGKWKNIQKDPEFNHFLYSRSNIDLKDKWRNMSVSANGQGPREKSRTPKPKATTDSPATAIPLAITWTPGSSAAAVVDPASTDVQMDDSSKCLLDGKTASKYNTMIYEALSTLKDPNGSDTSAIVSFIEKRHEVPQNFRRLLSSRLRRLVAQEKLEKVQNCYRLRRESANGTKGPISKPKEIRPRISQVNSYLGGTLEEAAVAAAYQVAEAENKSFVAAEAVKEAERVSKMAEEAEAFLQLAKEIYERCNIQRSTFSSSGLR >cds-PLY93157.1 pep primary_assembly:Lsat_Salinas_v7:9:2799417:2801587:-1 gene:gene-LSAT_9X3020 transcript:rna-gnl|WGS:NBSK|LSAT_9X3020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHHYVSTTNMVSSPSRGCIEKKHWWLTNKKIVDMYVKDARSLIASQEQSNVASALNLLDAALALSPRFEMALELKATALLHLRRFKEVADMLQDYIPSLSLKMASDDSSVSSSSSDNNSQPLSRERVKLLSAPTRSEQSFKCFSVSDLKKRVMAGIGKNRDKEGQWRYLVLGQACCHLGLMEDAMALLQTGKRLASAAFRRESVCWTDDSFTSSAVTFTFGNQPQTPPRAESESICNLVSHIKLLLRRKTAAIAAAEAGLHSEAIRHFSKIVDGRRGAPQGFLAECFMHRASAYRSSGRIAEAIADCNRTLALDPSCIEALSTRASLFETIRCLPDSLHDLEHLKLLYNSILRDRKLPGPAWKRQNVGYGEIPGKLCSIAVKIQEQKQRVGSGELGNVDYYALMGLRRGCSRSELERAHLLLTLRHKPDKSTSFIDRCQFADERDIDSIKDRAKMSALLLFRLIQRGYTNLMRLVLDEEASEKQRKKSCAANDVQLHQQQHSISSSKPKSVNVKMAKRNHHHHHHHHQVENKNNAFQGVFCRDLAVVGNLLSQAGFNRPIPVKYEALSC >cds-PLY69414.1 pep primary_assembly:Lsat_Salinas_v7:5:301818676:301819317:-1 gene:gene-LSAT_5X161560 transcript:rna-gnl|WGS:NBSK|LSAT_5X161560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVINRRQQWWQHTTHIKNVSFYEHNIFISITKDPDYVTAWISEITENINDHRLIIGLDTEWRASFRRGGENRVAILQLCVGHRCLIFQIIHAPYIPQSLIDFLNNPSYTFTGVGIHTDAEMLVRDYGLGRADDGTRLAANVTDVVWLAAPMNGNTINGLGLKSLAKMLLSMEPEKPKRVTLSKWGNQWLSPEQIDYACIDAFLSLEISRVLIT >cds-PLY67143.1 pep primary_assembly:Lsat_Salinas_v7:5:291156233:291157627:-1 gene:gene-LSAT_5X154420 transcript:rna-gnl|WGS:NBSK|LSAT_5X154420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECASKISPYASLSVPLPSSVHLHGKSICFADKPTRRFFLKMKATSDNGAAHPSPSQISSSNAVGNMHRRLSSLESLFCYDKSVPEEIIEKPVGLSVDEKKIGNKRRCDGCEAKGAVLCATCTGSGLYVDSIMESQGIIVKVRCLGCGGTGNIMCSECGGRGHRGVS >cds-PLY68096.1 pep primary_assembly:Lsat_Salinas_v7:8:34366199:34368152:-1 gene:gene-LSAT_8X27381 transcript:rna-gnl|WGS:NBSK|LSAT_8X27381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTGYSPSYVMVEDGFTNDIISGEEKSQNSEQLNELDIGKPPRHLSLVRHSMSTATLLTPTDPDFNFGIVDGKSEYMPMIRSGSCAEKGPKEYMEDEHICIDNLLQHLDRTEGFPSLGAFYGVFDGHGGTDAASYVRKNILKFIVEDPQFPGCLKKAIRNAYMKADQAFAENSSVDISSGTTALTTFIFGRKMVVANAGDCRAVLGKRGRAIELSNDHKPNSVTERHRIEKLGGVIYDGYLNGQLSVARALGDWHMKFPKGSGSGCPLSSEPELQEVLLMEEDEFVIMGCDGLWDVMSSQCAVTIARKELMIHNDPERCSKELVREALKRNTCDNLTVIVVCFSPDPPPWIEVQPQVGHRRSISSEGINFLKGVLDGNS >cds-PLY98408.1 pep primary_assembly:Lsat_Salinas_v7:5:316932145:316933930:1 gene:gene-LSAT_5X174540 transcript:rna-gnl|WGS:NBSK|LSAT_5X174540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDPAATISCHVVAIPYPGRGHINPMMNLCKLIALRRPSDFLVTFVVTEEWLGFIGSEPKPTNICFATIPNVIPSEVNRAADFPGFINATQTKMEDPIEQLLRQMEVPASVLLYDTYLIWVINLGNRMNIPVASLFTMSATVFSLTYYYDLLLKNGHVGDNFSEKTEEEVDYIPGVRPIRVADLVTGFNGNGKEVLPVTLQAISMATKAQFLLFVSVYELESQVIDAIKSELSIPIYAIGPAVPYFNLDNIENDQNTPDYIKWLDHQPNGSVLYISQGSFLSVSNAQLEEIIGGVRDSGVRYMWIARGDGISRFKHENDENALVIPWCDQLRVLCHGSIGAFWSHCGWNSTKEGAFSGVPMLTFPIFWDQVPNSKMIVEDWKMGKRVRTEEGNLVTREEIAKLIKCFMDRESEEGKEMRRRALEVKKISRQAIEEGGSAQIDIDSFINDISKSH >cds-PLY85216.1 pep primary_assembly:Lsat_Salinas_v7:8:183042127:183043018:1 gene:gene-LSAT_8X118901 transcript:rna-gnl|WGS:NBSK|LSAT_8X118901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSSYAPPPSASSGGLRSLKVDFPEEVQPTVADVYGTEPPPPTYSGGFTESSQPQFPAVGQRALPAYEAVLQPPPITAVRSLEHSSNQLSGNGNRGLVDTDGGAGGSLSGLVHKNNSAYGVVDPYSYEEAPGLSGFAERDRSNNGSSTNNMKFDPLANPMHSMTGNNFRNAYKQFNQSYHDDEDLDSD >cds-PLY82325.1 pep primary_assembly:Lsat_Salinas_v7:1:67640186:67643202:-1 gene:gene-LSAT_1X57561 transcript:rna-gnl|WGS:NBSK|LSAT_1X57561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLLLVSLLLLMIFTSQFEWNQHIVNEVEARPLALSQKQQYVLEREESIKEKIILSQEKQIQKLKALVQSLQEQLLVCRGKDDIVNDTTGSLTELLNELNHHQIME >cds-PLY72411.1 pep primary_assembly:Lsat_Salinas_v7:3:187927492:187930600:1 gene:gene-LSAT_3X111940 transcript:rna-gnl|WGS:NBSK|LSAT_3X111940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLDKLPSLSTIDLSRRFSSTRCSTSGEETGFGCCWIEGKNWGSSNSYKEDLKEYMREAFIWRHHTREITHNDIIWEIEGRNHETNGNRSHSRHIPNLQNSSISNDTTPRDMTNKIFRGMPKYVKIVEVGPRDGLQNEKNLVPTSVKIELIHRLLSCGLSVVEATSFVSPKWVPQLADAKEVVEAVKHLEGARLPVLTPNLKGFEAAIAAGAKEIAVFASASESFSKANINCTIEESLIRYRDVIHTATKLSIPVRGYVSCVIGCPVEGAVSPSKVAYVAKKLHDMGCSEISLGDTIGIGTPGTVIPMLEAVMEVVPIEKLAVHFHDTYGQSLPNILVSLQMGISIVDSSVGGLGGCPYAKGASGNVATEDVVYMLNGLGIKTNVDLTKLLQTGDFICKNLGRPSGSKVAVAAKI >cds-PLY67809.1 pep primary_assembly:Lsat_Salinas_v7:9:7280704:7282981:-1 gene:gene-LSAT_9X440 transcript:rna-gnl|WGS:NBSK|LSAT_9X440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKGMRQRQQRNSDGLRTIWTPEMDRYFIELMLEEAGKGNRIDDHLFSKRAWNHMTLLFNTKFRFQYEKDVLKNRHKTLRNVYKVINKLLALDGFSWDHTRQMVIAHNEVWDDYIKVHPGARSYRIKSIPYYYDLCEIYKKKISGIVDTSCGIIVVDEFMMDEEVEAGGSRTYWEPGMDGYLIELMVGQLQKGNQIDGLFLKQAWMEMINSFNHRFGFKYHLHTLKNRYKTFKRQYNTINKLLGSQGFLWDDLRQMVVADDHTWQDYIQTNPDARQYMTRPVPYYKDLCIVFVCKETLTTVSAADDQQESSHNSSVAVEHVIEAIQGLPDMDDDLILDACDFLEDDKKAKTFLSLDLRLRRKWLIRKLRPQPSTAT >cds-PLY94940.1 pep primary_assembly:Lsat_Salinas_v7:4:108721739:108722674:-1 gene:gene-LSAT_4X70360 transcript:rna-gnl|WGS:NBSK|LSAT_4X70360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSSSFKKNLDDMEAGGGSAMNDTVDLDQFFQDVENVKEDMGGVEKLYKKLQESNEESKTVHNAKTMKQLRAKMDSDVEQVLKRVKVIKGKLVALDKSNVENRKIPGCELGSSTDRTRTSVVSGLGKKLKTMMDSFQALRARMNDEYKETVGRRYFTITGEQANDELIDSLISSGEGEDFLQKAIQEQGRGQIMDTISEIQERHDAVKDIEKNLIELHQIFLDMAALVEAQGQQLNDIESNVAHASSFVHRGTEQLVESRELQKSSRKCTFIAIALILVLIIVALYPVWFPMLMAG >cds-PLY97558.1 pep primary_assembly:Lsat_Salinas_v7:5:238145236:238149931:-1 gene:gene-LSAT_5X112881 transcript:rna-gnl|WGS:NBSK|LSAT_5X112881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFFYQFTMDIDFDDLTSTDAANNVNASTKLKPKPKPKPKPKPKTQPEPKSTPTPPTQPVEAAATADNEIIARTEEEQQPFDVNQVSGNINDNWQSSFEKPHEENAEPFPTLESLNDLLPQSTTVTVNSPPVSETPTAIHVSMDENIEKGPIEGLSNTVDACTNLESLAQIDPLTGEEASIFNDNEDFQIESLSSVSKGAENALFWEPLDILSESRICAGPKVCKFKPKPNAQTRKVESIHSVSSQIDYMDNAPVPTFTPEELFGSPSIGITDSIPPESLSDFHLNEEQEPTTFTEMSQMDSVVPSEHPDAIPEALNKGKGQSLENEASRSSERLRKQSKKTLALVDEFEDEGVADDVIHGNEDDNNIDDYRPEESESVSERKGKKSKKAVKEKEKPVRKRKKANSEAPAESTKVKKKFPHSTRRRNKRQVDPQLLIIPEEDLNKNEIPMKELIRLAEHRERIAKKEAASTSGTGTPALNQSGGSFSNNFDYEDEGFGEGRDDDYLMEAENATYYNYRTHMKITPRMKWSKQDTELFYEDVQQFGTDLSMIKECFPGRTRQQIKSKYKKEEKQQPLRLNHALTTRFKGNSHFEVVIQRLKEAQGEDSENDDPMNLTGEDDVAADINEAEETTKSVEPEKKDEEMEGEVGSPTKSHDSEDEMYRWSQYKSEI >cds-PLY90804.1 pep primary_assembly:Lsat_Salinas_v7:2:107632518:107634930:-1 gene:gene-LSAT_2X47761 transcript:rna-gnl|WGS:NBSK|LSAT_2X47761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-III [Source:Projected from Arabidopsis thaliana (AT3G23710) UniProtKB/TrEMBL;Acc:A0A178V7Q4] MDSSPSSPATPLKAAHFNIQQAISKFQNQCSSFFHNLHHQHFSSTPFVNSNPDFTKFSFEFAAPEVGNVSKRPTGSLSFAKNPLWARIATDKTFVGGSAGMSDQDIEQRLAGVPVYALSNSSEEFVVVSGQNPVKSLGLFCFKEEDAETLLGQMKSMDPRMRPGSKVVPVALSMVFQLKVNGVSFRFIPESSQIKNAIEARRKAGVSDDSFSGVPVFQSKSLVLRSQNERYRPAFFRKEDLEKSLAKASTQQRHLNPALKVGDLEVAVLEDIIHGMKDDSTSAWDDVVFIPPGFGFDGSTDQSRE >cds-PLY73440.1 pep primary_assembly:Lsat_Salinas_v7:4:180437478:180439575:1 gene:gene-LSAT_4X105381 transcript:rna-gnl|WGS:NBSK|LSAT_4X105381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCPPLPSCPVNADVNIPCQRVDISEEIKVLDIFDDKELLKLAIGRQCREQGKQYKTTRSSKGRCEVVCLVKNCSWMVRARGIQSTTAFQVTKVVDQHTCCATNLESNHRQSKKKVLGHFIAEVLAGDYNSVYRGNEIVRDINSKFPINISYQQAWHAKQYALLMLRGTVTQIRVDDDDRFEFVFIALGCSEYLQNINPERWVMAHFPGNRYFLMTSNSAESINSMSRFARKMSILMLIEYFRATMQQWSFQKRNVAVNPLPSPSEWEIPDDVMTVKPPIMERRQPGRPRNTGRIPSQVEHPIRQECSTCGQFGHTRNDCTGRGSGSGSGGRKKSTQKGIMTDEFASGSRSQNESVYPTFDFNSTFDLNCP >cds-PLY66223.1 pep primary_assembly:Lsat_Salinas_v7:1:175780572:175782538:1 gene:gene-LSAT_1X116240 transcript:rna-gnl|WGS:NBSK|LSAT_1X116240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISSGYFSYTIFFLILQLLLPTILYASNDCRYTSIIAFGNSITDTAKGLGFPFIPPYVGSKENGNKTELEQGVNYAVVGAYALNTSFHEARGVFNSLTNVSLGDELEWFKRSLSSFCTSSSDCKQKIRHSLFLVGEIGGNDYSMELVAGKSIKQVKSFVPLVIDKITTTVKKLIELGGKIFVVPNLLPIECTPLALTLFKSSDARMYDNTTGCLISIKKLEEYHNQLLQRELHKIRKNYPKVNVIYADYYNAALQLYRSPKQFGFVQPLRACCGGGGPYDYNPSALCGERSSTVCAHPNTFVNWDEIHLTEAAYRMIYKSVFQGSYSIPRFSSLCPAIAS >cds-PLY81131.1 pep primary_assembly:Lsat_Salinas_v7:9:66900758:66903979:-1 gene:gene-LSAT_9X58140 transcript:rna-gnl|WGS:NBSK|LSAT_9X58140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRVRLGLYPLLNGLLGWTSEATLDGLVIVSQFTIHILQFEKLPVSIQHQRCAQPSSATLSSSPRAQRLTPLFLISVVLLPLILIAVASQPQVTLKTRFPCLKYPCPNARLPFFLIFQVPTNRFFSPNQQALAKLLPRLISHLEESFSMEVDSESNGKASKEAPSSMETESASSSGIQSTSEGKDDISKSMENLQLDKSSSGSSHFKKKPVIIIVVGMAGSGKTTFLHRTVCHTQATNTRGYVLNLDPAVMTLPFGANIDIRDTVRYKEVMKQFNLGPNGGILTCLNLFATKFDDVIQMIERRADELDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVIAYVVDTPRAESPNTFMSNMLYACSILYKTRLPLVLVFNKIDVAHHQFAVEWMEDFEVFHAALDSDHSYPSTLTRSLSLALEEFYKNLKAVGVSAVTGAGMDAFFKAIQSSADEYMETYKADLDKRKVEKERLEEERRKENMEKLRKDMEKSGGAKVVLSTGLKGDTSTKMEEEDDEEEEDEEIEMFSDEDDEDGGIDDDDEEIASFNL >cds-PLY66979.1 pep primary_assembly:Lsat_Salinas_v7:6:139934129:139935067:1 gene:gene-LSAT_6X84541 transcript:rna-gnl|WGS:NBSK|LSAT_6X84541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEHTTNSFDSDSYNNNFPFSLPNFSLTGGNSNTFDSTFPNHSSSSSSSSAPVFHEHLGSSVYLKQRDRFFKFSEDLDQATQTTTNPDGFHQHFSKAQKNNKSYRGVRQRHSGKWVAEIRLPHNRMRVWLGTYETPEIAAYAYDQAAYKLRGEHARLNFKDPSMVRFIGDLQRLNALKIAVENKICQKVKTEKSKQLEVRGSGPAVASGGDDENFGGGHMVLSEDELSGELQTFSDMDEMVDDCSLAMMPSYDLDLIWEILAS >cds-PLY76209.1 pep primary_assembly:Lsat_Salinas_v7:4:53208830:53211056:1 gene:gene-LSAT_4X36440 transcript:rna-gnl|WGS:NBSK|LSAT_4X36440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVERAKWNAHFRLVILDGKAYVERFRKSIQTRDLFTLWGFQQLLRRYPGRVPDLELIFDCDDRPVFNAKQYFRRPNPGPPPLFKYCSDPWSLDIVSPDWSFWGWPETNQKPWEITLKDIKEGNKRVKWKERVPYAYWKGNPGVSPVRSDLVKCNVNQTSHVDWNTRLFFQNWTNESANGYKQSNIEDQCTYRYKIYVEGWAWSVSEKYILACDSPTLYINPHYYTFFSRGMTPLEHFWPIRETNKCRSLKFGVEWGNNHTSKAQEIGKASSQFIQEDVKMEYVYDYMLHLLTEYAKLLKFKPIIPPNAMELCSESMACFADGKWREFMEESLVRYPSDTTPCTMPPPYDPSTIKSIIDNNTRAIKQVEMWEDEFWKTHNFNK >cds-PLY68708.1 pep primary_assembly:Lsat_Salinas_v7:5:279539521:279539730:-1 gene:gene-LSAT_5X147581 transcript:rna-gnl|WGS:NBSK|LSAT_5X147581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPNKQREGAIVPEEMKGSNEQEQGEGQVGVDQSMPGFKNFLWHGGSAYDAWFSCVSNQVIYHITLYTL >cds-PLY80003.1 pep primary_assembly:Lsat_Salinas_v7:9:45200570:45202498:-1 gene:gene-LSAT_9X41001 transcript:rna-gnl|WGS:NBSK|LSAT_9X41001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCVQTPNQVRPDSRSSAYHSTTQGLTYRSQFLPFAAIAAPLNDNGSSLRQNPIFASASFCACYCKRKLSGDAMEWTEACEKYFLEILEERVKRDPNGAPIFKGTDWTQMDEQIFMKFALRYGPEKVKAKYHRLKSVHLKFSELINHTGVTWDAISGKVFANDTVWNDFFKKKGCKIYSLLSLVFNSSTASGAFHYASTCSPQTSEEEHKIEDEYLEGGSFGESEFNGGSRKGKRMLEEEMEGLPGSRRMKKGPGNSKYDTLLDAFSESIVARKERDLARAEHYKSKYGDVTSSLTEEYSISDCMTTLEATPGVGARSYTKALSFFPDINW >cds-PLY72602.1 pep primary_assembly:Lsat_Salinas_v7:5:44719030:44719551:1 gene:gene-LSAT_5X22101 transcript:rna-gnl|WGS:NBSK|LSAT_5X22101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAVPTPSRTEIIALFRSLLRSARQFPDYNIREYTKRRTIDAFRDNKTLSEPSSIAAAFTDGKSQLQVAKRQAVVYSLYAPKIKSIMDIKH >cds-PLY93011.1 pep primary_assembly:Lsat_Salinas_v7:4:192872309:192874717:1 gene:gene-LSAT_4X110541 transcript:rna-gnl|WGS:NBSK|LSAT_4X110541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRDQDHYLPAVGSIPAITDISSPSSPFTQYRCHGLWYIAVLSIPAVLFVVYLGFHLKRNIKKLNRRRSHVMIAYYALLWLSAILNLAWCSLQVWQCIPGKEVSWNLLSLLTSMGTLCLEISLVAFLLQENYASGLQALAHTFSISGLIVGADILLKAIFVFGFRVPLFMDDETSHRGKWGLWIVDELLLTCSYAYILFVHYSKWRDKLPPRPAFYNYVVAMFIITGIALFGCGLAASGLAFGLWVYSFVVICYHTLYLPFVYVTFLSDFFQEEDLLLDNAYYSEMRDAGFFDLE >cds-PLY78865.1 pep primary_assembly:Lsat_Salinas_v7:5:304866743:304867996:-1 gene:gene-LSAT_5X165541 transcript:rna-gnl|WGS:NBSK|LSAT_5X165541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGPGFRFYPTEEELVTFYLKYKIQNSGTTRLLREIDRVIPQFHIYDFYPWDLPQYAGERCKGDPEQWFFFVPRQENEARGGRTSRLTSSGYWKATGSPSVVYSSGNRGIGIKRTMVFYNGRAPTGRKTKWKMNEYKAFEEEPSSNTNPTPELMQDWSLCRVYIKSNCLRAFDRRPSGVVRSRPVHETHQDHDNVLHATTERTTGSSYNHSYISSEQKNTTNSYSTIMEAMDQPLWDWEEHRNYL >cds-PLY69524.1 pep primary_assembly:Lsat_Salinas_v7:6:43889802:43892579:-1 gene:gene-LSAT_6X32480 transcript:rna-gnl|WGS:NBSK|LSAT_6X32480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKSEGMSEFFHKGKPFFAVVFIQFGFAGMDILSKVALNEGMSNYVFVVYRHAVATLVMAPFALLLDRKTRPKMTRPIFFKIMMLALLEPVIDQNLYFMGLKATTATFAAAMSNVLPAITFVMACILRVEKLNLKSIHSQAKVLGTVTTVAGAMVMTLMKGPIIELFWTKGRTYHEVATSGVDLHHSLKGAFMITVGCVSWSGFMILQSITLKSYPSEISLTAWICLMGTIEGGILALIMERGNPAAWAIKWDTTLMATVYTGIVCSGLAYYIQGLVMRVKGPVFVTAFSPLCMIIVAVMGSIILAEQMYLGRVIGAIVIVAGLYLVVWGLSKDTKHPSVPIDEKIIALEEQMPGKECEDSHYHKVITVKASDGVASV >cds-PLY80870.1 pep primary_assembly:Lsat_Salinas_v7:8:128058600:128061430:1 gene:gene-LSAT_8X87861 transcript:rna-gnl|WGS:NBSK|LSAT_8X87861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTVVHDFHTLGVFHKDLKPENSLFLSTDEDSPLKATDFGLSLFFKPANTGLCSKGIEKARGEDSWTRPGILLELLESTNLKHQ >cds-PLY92302.1 pep primary_assembly:Lsat_Salinas_v7:2:209023975:209026114:-1 gene:gene-LSAT_2X129361 transcript:rna-gnl|WGS:NBSK|LSAT_2X129361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKDLSDDQVSSMKEAFTLFDTDGDGKIAPSELGILMRSLGGNPTQAQLKSIIAEEKLTSPFDFKRFLELMSKHLKPEPFDRQLRDAFKVIDKDGTGYVVVADLKHILTSIGEKLEPAEFDEWIREVDVGSDGKIKYEDFIARMFPSGCCDCDVSGGGLIDFSDIKKQCFWCVIRKGLGPWRRGRVKNWALLYCGPNLINSYTSYMAMDKDYIVN >cds-PLY85361.1 pep primary_assembly:Lsat_Salinas_v7:5:244504616:244506218:-1 gene:gene-LSAT_5X121181 transcript:rna-gnl|WGS:NBSK|LSAT_5X121181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDHHTISRQDSDLDFSFTSCNTTTTTTTLASSSARSSLARSSLCLSFNESTRLSSASASTSSTAVPNLHSRPHRKSDANWSAIKAATNLSSDGILHLSHLKLVRLVGSGNLGRVFLCRLRDYEHANFAIKVVDNNLLTSKKLSHVQTEARILSSLDHPFLPTLYAHLEVSHYTCFLIDFCPNGDLHSLLRKQPNYRLPIDSVRFFAAEVLVALEYLHSLGIVYRDLKPENILIREDGHIMLSDFDLCFNSDVIPKLDNRIQGTTTSRSRGGSCYGGNIRRRDEEEMVTEFVAEPTTAFSKSCVGTHEYLAPELVNGSGHGNGVDWWAFGVLVYELLYGRTPFRGGSKESTLRNIASSRGVTFGEEKETEQAQAPPGMAEAKDLIEKLLVKDPRRRLGCASGATDIKRHPFFDGIKWPLIRTYSPPEHRGVVVKRSSKTGANHVSTPSNSKKRRSIWKGLSDLLTKSKGSKRNLNSNQNYYCYRKNVI >cds-PLY97912.1 pep primary_assembly:Lsat_Salinas_v7:4:90070477:90076550:1 gene:gene-LSAT_4X60501 transcript:rna-gnl|WGS:NBSK|LSAT_4X60501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQPNMFESHHHILDMSHKAQENELDMLRDDDYESKSGTDIMEAHSGDEQDPNQRPNKKKRYHRHTQHQIQEMESFFKECPHPDDKQRKELGRRLTLEPLQVKFWFQNKRTQMKAQHERHENTQLRNENEKLRSENIRYKEALANATCPNCGGPAAIGEMSFDEQHLRIENARLREEIDRISGIAAKYVGKPMLSYPNMSPHGSTRSLDLGVSSFSPQQTMVGDMFGANDLLRSVSGPTEADKPIIIELAVAAMEELIRMAHAGEPLWVPSSDNSSETLSEDEYLRTFPRGIGPKPLGLKSEASRESAVVIMNHITLVEILMDVNQWSNVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAEYQVPSPLVPTRENYFVRYCKQHADGTWAVVDVSLDNLRTSSISRCRRRPSGCLIQELPNGYSKVTWVEHVEVDDRAVHSIYRLLVNSGLAFGAKRWVATLDRQCERLASAMANNIPAGDVGVITTPEGRKSMLKLAERMVLSFCSGVGASTAHTWTTLSGSGADDVRVMTRKSMDDPGRPPGIVLSAATSFWIPVQPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDPGNSVSLLRVNSANSSQSNMLILQESSSDSTGSYVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGPGQDQGGIPELGTGGSLLTVAFQILVDSVPTATLSLGSVATVNSLIKCTVERIKAAVASP >cds-PLY73836.1 pep primary_assembly:Lsat_Salinas_v7:7:67038221:67039958:-1 gene:gene-LSAT_7X49380 transcript:rna-gnl|WGS:NBSK|LSAT_7X49380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLFALIFIFLFTQSLATSNNANENFIRCLLNSTELTSPIAGAIYTPANSTFPAVLQAYIRNLRFNESTTPKPAIIITALDLSHVQASIKCAKKHKLLMKTRSGGHDYEGLSYVADQPFFILDLFNFRSINVSIEEETAWVQAGATLGELFYRINEKSSTHGFPAGVCPTVGVGGHFSGGGYGNMMRKYGLSVDNIIDAELVDVNGKLLNRESMGEDLFWAITGGGGVSFGVVISYKINLVRVPDKVTVFQVRRISEQNVTGVAHRWLQVADKLDNDLFIRMVFDVVNNTNGEKTIRASFPSLYLGNTTNLINIMDQSFPELGLQRSDCQEMNWTQSILWYYSFPPGSPDTTLLSRIPRTLTHLKRKSDYVKNPISKQGLRLIFRKMIELQLPVLTFNPYGGRMAEISEFAKPFPHRAGNIAKIQYAADWSGDGVDLANRNVNLTRVLHEFMTPFVSKFPREAFLNYRDLDIGVTDNGKNSYLQGMVYGVKYFKETNFRRLVKVKTMVDPDNFFRNEQSIPTLPSWRK >cds-PLY77480.1 pep primary_assembly:Lsat_Salinas_v7:4:49592830:49594019:1 gene:gene-LSAT_4X33520 transcript:rna-gnl|WGS:NBSK|LSAT_4X33520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSSYSSEVGRDNNDFGFAFNDSKFSDRLLCIEIVSEPLGFTPHGEGSISLAARNRKRRREDITEENVFAVDNAAEYSDEQVLNQPDHILDNGKDNEIQDEEQESMIEESHTPLVPRVKTIHISSPILAAKSPFFYKLFSNGMKESEEPNVNLQINASEEAAFMELLNFIYSNTLTSTSAHALLDVLMAADKFEVSSCMKQCSSLLRDLPMTHELALLYLDLPSTVSMAEAVQPLTNAAKQFLTVRYKDITK >cds-PLY68937.1 pep primary_assembly:Lsat_Salinas_v7:9:194879767:194881443:-1 gene:gene-LSAT_9X121040 transcript:rna-gnl|WGS:NBSK|LSAT_9X121040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRNPNFQVRKRKWTTVLFLLRKRKRKNEESHQDGVKHPKIVEIFDGNEGDDDHHHENDHYRSDGSDADERDENPRDNSDEKIEGFEQENPSLDLMQEDEQENSKSALLIVSERKKHGGDTDTPPDDDCCPICFDDFSIACKTNCGHWFCANCILQFWTYRTALQKCNCPICARPITELTPEASLLIIHEVEVIEALKNVQRYNRLFQGGFSGVIWKVFEVPDVFRRMLSGLMDPDRFRGNYYAMRIFALLMSCIYNMSSFDFIPTGTIGVRRLFDICAIALVVILCLIGICHRLVLRRRVRQLAATQL >cds-PLY65482.1 pep primary_assembly:Lsat_Salinas_v7:8:32616013:32619108:-1 gene:gene-LSAT_8X25721 transcript:rna-gnl|WGS:NBSK|LSAT_8X25721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDVLGVNPTATEAEIKKAYYIKARQVHPDKNPNDPLAAQNFQVLGEAYQVLSNPEQRQAYDAYGKSGISTDAIIDPAAIFAMLFGSELFEEYIGQLAMASMASLDIFTEGEQFDAKKLQEKMRIVQKEREEKLIQTLKDRLIPYVQGNKDEFVRNAEAEVLKLSNAAYGVDMLNTIGYIYARQAAKELGKKAIYLGVPFIAEWFRNKGHFIKSQVTAATGALALIQLQEDMKKQLSAEGKYSEEELEEYMQSHKKLMIDSLWKLNVADIEATLSRVCQMVLQDNSVKKEELRARAKGLRTLGRIFQKVKTGNGSEGEMLHGGSGMHNINGVDGDNVSRGAEFGQSSQSQTTMAPQSPYVEAPPFVGVENFHFPMPTAPPGAQRFQ >cds-PLY80162.1 pep primary_assembly:Lsat_Salinas_v7:3:40393055:40396175:-1 gene:gene-LSAT_3X30920 transcript:rna-gnl|WGS:NBSK|LSAT_3X30920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPKVAVRRRYIAKDNESSQLAKDNESSQSSGTISLIRTKHVEEFIIVGTTIGIRQDKPWCYQSCPDCHVKAVEIPDGNEDVKLYKCTNVVCNKSTKVHVARYIIPVCVQDDSASTILTILDSV >cds-PLY74415.1 pep primary_assembly:Lsat_Salinas_v7:2:14275478:14276059:-1 gene:gene-LSAT_2X6720 transcript:rna-gnl|WGS:NBSK|LSAT_2X6720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFRKQLSIFRLRFPEIESDRCLGPTKCFMDRCRKRFTSAIVVVSLLDLVLSLDEMESIQEDLFKRHSNYHIVVEEIVMKLDFICAPYATNLTHVITDFKRNNTYPNVLVMGLGLWHMLHFTNYSDYGVSLRFIRESLIPFLPVGSDSTRGFHLFWLGMPTLINKMLNTEEKRLKMTSEMCNLYDQELYKSEC >cds-PLY91595.1 pep primary_assembly:Lsat_Salinas_v7:7:12055696:12059140:1 gene:gene-LSAT_7X10141 transcript:rna-gnl|WGS:NBSK|LSAT_7X10141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQCVPSWDLEDINRNQNVFKLDYEVAELTWENGQLAMHELGHRRVPSKSHSTTSTLPKYTWDKPRAAETLEAIVDQAKLQPYSKYQVAVNDEEVVPWLQHRIPTIATGSVNASGTMTSDALVPSSNGNNRTDEQSAQVHRSIKSAHDTGCSTRVGSCSGAQSAFFDRRMGQGGGSTTVAAHEWSSCRDQSASIGSETFAATDTYDGDLGGSRLTSTTGSPQNTSSGKDYSRSTSPDDSLFLCRPHQPEANGGREKRKVKAKPSISNKRRRTAAIHNQSERKRRDKINQRMNTLQKLVPNSSKTDKASMLDEVIEYVKQLQAHVHMMSKMNMSSMMMPLAMQQQQMQMAMMNSMGMGMGMGMGVGGMMDMNTICSNFPVGFHPSTFMHMPSWNHHPTDQVTNTAPMAAANPMSAFLACRSQPITMDAYGRMTALYQHMQNQTCGPLPKN >cds-PLY88960.1 pep primary_assembly:Lsat_Salinas_v7:8:130722486:130724290:-1 gene:gene-LSAT_8X90501 transcript:rna-gnl|WGS:NBSK|LSAT_8X90501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSFSGQNDFCGRRCVWVNGPVIVGAGPSGLAVSACLREQGIPFVVIERSDCIASLWQKRTYDRLKLHLPKKFCQLPKLPFPEEYPEYPTKRQFITYLENYVEKFDIKPQFNECVQSAKYDEACHLWRVVTISTNGSNRSETEYICQMLVVASGENAEGVVPEIDGLQDFSGEVIHAKDYKSGEKYDGKKVLVVGCGNSGMEVSLDLSNHNAKPSMVVRSSVHVLPREMMGKSTFDIAMMLMKWLPLWLVDKLLLILAWFILGNIENYGIKRPSLGPLELKNHHGKTPVLDIGALEKIRAGEITVVPGVKRFNCTSVEFVNGDTLDIDSVVLATGYCSNVPYWLQETEFFAKNGFPKTPFPNGWKGKCGLYAAGFTRRGLAGASADAMKISQDIGKVWKEELNQKKLKVPTHRRCISTF >cds-PLY81329.1 pep primary_assembly:Lsat_Salinas_v7:4:37468545:37469210:1 gene:gene-LSAT_4X24880 transcript:rna-gnl|WGS:NBSK|LSAT_4X24880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVEANKQTILSNDQKNTTYKKSNPKLTLLPRIALIFYKVSGGPFGVEDSVKSGGGALLSLLGFLIFPIFWSIPEALITAELATSFPENGSYVIWILSTFDPFWGFQEGFWKWFSGVMDNTLYPVLFLDYLKQSLPIFDQLYARIPTFLAIYTILLTHLNYRGLHIVGFSAVLLGSCSLLPFAVMGILSIPKIRPKRWITLDFKKVQWKGYFNSMFWNFTS >cds-PLY91321.1 pep primary_assembly:Lsat_Salinas_v7:4:246346121:246347030:-1 gene:gene-LSAT_4X130320 transcript:rna-gnl|WGS:NBSK|LSAT_4X130320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALEALNSPTPGPAPLFRQDSFNHLRHLESWTKGKRSKRPRTDHPPTEEEYLALCLMLLARGSDSTPPPIRRRITVVPPEKRSHLQDSKTSYKCNVCDKGFSSYQALGGHKASHRKNNAGGGDVMEQPSSVTTTSTTASTSGSGRSHECSICHRCFSTGQALGGHKRCHYEGTVGGGHVSPGVTSSSHSQRGFDLNLPTLPENSFSEYADEEVESPHPAKKSRLSTQAKLQMALCKQKQSLLLGELILN >cds-PLY62607.1 pep primary_assembly:Lsat_Salinas_v7:9:73929803:73939046:1 gene:gene-LSAT_9X61900 transcript:rna-gnl|WGS:NBSK|LSAT_9X61900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASCSSSCTTASPTANSYRYDVFLSFRGEDTRDSFTDHLYYALKRAGICTFRDNEEINRGEHLKPEIESAVKESRASIVVLSKNYATSTWCLDELLLILTQRRESSHFILPVFYHVDPSDVRNQNETFEIEVKASSKWTNDKVNLWRKALKEVADLAGMVLSGSETEFLKEIVGTIYNKLDRKQVHLPVNLTGMATRYKDIHSWLNGSNAEFLAICGMGGSGKTTLARYIVYSNSQNFESISILEDIGSRSKEPHDLLQIQEKLLADISGGKKRKIPSVCQGTFKIEEALQGKKALILLDDIIEPSQLVALLGSGHINKQSKILITTRDNNLGKWFESRSWRCQEYQMKLLDDDESLELLSRHAFGSKTLMEGYEELAKQVLKYCEGNPLALEVLGSSLAQDNSILFWKSTLSLLGRDIDVGIQRVLIRSYNSLPHESNKELFLHISCFFVGKDIDYVVKILEHDYSAVSGIKILTNRCLVSVSANKKLMMHPLLQEMGRTIVHQESPKDPAKRSRVWFSKDSYDVLRKGKGSNTMEGLALDKRMLQEERHAFKASHLKTDALQKMDKLKLLQLNFVQLTGSYENISEDLRWICWLGFHLRTIPSDLFMGNLVAIDMSSSSLEVFDPPMVLQSLQILNLKDSFNLIEIRNMSKIPHLETLILWNCHSLVRVCKTIGNLKSLVLLNMTGCENLCKREHKNLFVRMVASTFSGAVAEQPTFSFPHSLHQLFLKDCFLECTDSFPLSFSLQPSLQYLNLGNSLFEFLPCYDHLKNLRVLDLSLCSRLKWILCLPNTLEELYVYHCKSLERITFESPQFTLQEFGYEGCISLSEIEGFIKLVPVVKLEENDLGHMKWLKKYQNLEVCLVGDDEVTKGRSSCVQILYEFDIMSTSLPDVKDPNMEPMYLSKSSSLSFELPSFPKNKRLKGLDVTFKYSISGDDWSWFCKISTTNGVDLMYNPKVFGKPEFGNVGIWLSYWPIGNTLDTGDKVSISIVVMSGLEVHECGVSLVYTDDKEAAENLENNKGWVEILGGDLSGFQLSTGAFYLCRRDFFELMEVDRQTPDWFRILVGDTIDSTEVRGWRKTGRPKHMHQSFTELKTVRCIIHGPELEEIYNITEMSKSSFLDKTLKFTSSMLGETMKFARRPISKNTKIKFIQVTGCALSCYWCFYPPRFNNNPQLMHPLIVIFRIFITILLIMFFILRVDQLFDETEQYSASQEQEYESEPYSDCQEED >cds-PLY64245.1 pep primary_assembly:Lsat_Salinas_v7:7:4549981:4552972:-1 gene:gene-LSAT_7X3280 transcript:rna-gnl|WGS:NBSK|LSAT_7X3280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEYEIPFHIQEDIMKRLPVKSLVQFRSVSKAWKCLIDSSEFIAAHSNHCHTQPQHLLVSYEDPIMKEHYVCFVDDDTFPQQRSVLTLTLPLSVKYLRLLGSSHGLLCLYHSHHNPATKMVVLWNPLIRKSIVVDVPKQPYVCFGVCPLTCDPKIISIYQIWDEANIETSFRCKVMVYTLSSGKWRTLSSNLPTKPIRHFWLMVVTDRFIYWLVELPNHNMIMSFDVSDEKFETIDLLDSLATHHPTDLHLSKLRDSLAMLQNIENILTVWMMEHGVQRSFTKLFIIKTPNQTVGFRNSGAPIMKVTDDDPDDFDARYKLAVFEPNLGYNNVIEVSGSPISFEVRAYMETLLLLGQSDCNSY >cds-PLY93072.1 pep primary_assembly:Lsat_Salinas_v7:9:27023500:27025997:1 gene:gene-LSAT_9X23680 transcript:rna-gnl|WGS:NBSK|LSAT_9X23680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPRQNGFSNHINGFSLYDDDILPIFDQSSALTNGYKYKDEPLDLSFLEIPYTPPDPNTGSSSVSPDIPSQEESPDEFNECVFNFIDQILVEEDEEGIQSLFCDPLELQATERSLYEALGEQYPSPSPQHTLPPNLETSEENENTNSSTSHSNSTQHDWPSGYTFDSLSPVTQTQTLDYHPFMSFNDVNGNMNQMLNTHMAQNIFTDTESIKQFNKGMEEASKFLPSTKPLVIDLDQYDLPQDSPQDSTNPPPEAVVKIEKVEKVEKEKPSSNSNGVVIKGRKHHQLDDNSYEEERSSKQSAVYVEENELSEMFDRVLLGADTNCNSTPCSEKEKPQQNGNNNTRNTKKTPTKTVDLSTLLVNCAQAVAAGDRRTATEQLHLIKQHASTSGDASQRLAHVFATGIEARLAGTGSQLYAVKTAMRITATEKLQAYQVYLSACPFKKIAFTFANKSIYDAASTASIIHIVDFGIAYGFQWPIFIKHLSERPGGPPKLRITGIEFPQPGFRPAERLEETGRRLSNYCDRFNVPFEYNSIASQNWETIKIEELKLQRHEFVAVNSLIRFHNLLDETVSVDSPRDKVLKLIHEMKPDIFVHAVINGFYSAPFFVTRFKETLFHYSALFDMFDATIERGNYERLNFEKEFYGREAMNVIACEGGERVERPESYKQWQVRISRAGFKMKPLDRELVSKLRCKRVGYHKDFVFDEDGKWILQGWKGRVLYASSCWVPA >cds-PLY62530.1 pep primary_assembly:Lsat_Salinas_v7:5:295164758:295164973:1 gene:gene-LSAT_5X155940 transcript:rna-gnl|WGS:NBSK|LSAT_5X155940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVAVMWHLGMNHMPFPRVDPIVPPPSQPCNTGHDGVGTSRTRPEDTDDGDDNDADDKETETESESSEE >cds-PLY66107.1 pep primary_assembly:Lsat_Salinas_v7:1:109548492:109549869:-1 gene:gene-LSAT_1X85620 transcript:rna-gnl|WGS:NBSK|LSAT_1X85620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRPILYKCFYHDFITLKYKLFDFILLTTVPHIKKLQEEKVKYENALLVMKRTCEEVGKLLRFCEIREHYLVAINTAVKYDTCEVVEEIITYFPQAISTWDNEYPLIQLAIINRSERVYSFLAHRAMTNKHRYKLWVDEHKNNILHIAGKLAPIDKLNLVSGAALQMQRELQWFEEVKKIACPWHENKKNNKLEKPIMLFRREHKQLRKEGEEWMKKTADSYTITAALIITIVFAAAITVPGGTDDNTGKTIYATKPSFIIFAVSDAISLFTSTTSLLLFLSILTARYAEEDFHHKLPKRLIFGLTMLFLSVTSMMVAFSATLYLMFGQGKAWILILIAILTCLPIASFVTLQLPLLVDLISSTYGRGIFVKRCDCRIKQS >cds-PLY82770.1 pep primary_assembly:Lsat_Salinas_v7:2:145856545:145856760:-1 gene:gene-LSAT_2X71961 transcript:rna-gnl|WGS:NBSK|LSAT_2X71961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAQRQELDAKAAEIEARRQEMREEMDVRVQEIEATRQDITAKQRHIDAGYEEMEKMITTLQNRKGGNLKY >cds-PLY82275.1 pep primary_assembly:Lsat_Salinas_v7:1:67042146:67043658:1 gene:gene-LSAT_1X57080 transcript:rna-gnl|WGS:NBSK|LSAT_1X57080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYLFQLRSAKTMASLFQRNLILDHEMMLKYVTIQRDNSIRSICSKSPDVKDKSEKLEIESKVDEDTYEYDNMKNKYGGVAMTLKKGNWVPPPYPADLLMKLHVPDVNCFSPQFKAIIARGIKLGEIDPNTATIKQLEEYAKSISSEIDDSSIIL >cds-PLY94835.1 pep primary_assembly:Lsat_Salinas_v7:2:178177009:178183566:1 gene:gene-LSAT_2X97481 transcript:rna-gnl|WGS:NBSK|LSAT_2X97481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKTEEELLVIGEVSNDAILYVNGVRKVLPDGLAHLTLLEYLRDVGLTGTKLGCGEGGCGACTVMVSYVDQNSKKCVHQAINACLAPLYSVEGMHVITVEGLGNHKFGLHPVQELLARNHGSQCGFCTPGFIMSMYALLRSSKTPPTEEQIEESLGGNLCRCTGYRPILDAFRVFSKTNDLLYTNNSVSSQTDGEFVCPSTGKPCSCGSKTIKKGDICHDYKPVSYNEIDGSSYTNKEIIFPPQLLMRKPSCLSLNGFNGIKWHRPLELKHVLELKSRFPDAKLVVGNTEVGIETRLKKLHYPVFVSVTHVPELNILTINDDGMEIGAAVRLSELQKMLKKVVNERSSHETSSSKAIIEQIKWFAGTQIRNVASVGGNICTASPISDLNPLWMASKAKFKIVDSNGNIRTTLAENFFLGYRKVDLGKNEILYSVLLPWTRRFEYVKEFKQAHRREDDIALVNAGIRVFLEEKDQKWIVSDACVVYGGVAPVSLSAVKTKAYVIGKPWNKEMVENAMEILKQDVVISEDAPGGMVEFRKSLTLSFFFKFFLWVSHQMKGQDFFEEPIPVSHLSAFEPFHRPSVMGSQDYEITKQGTSVGSPEVHMSARLQVTGEAEYTDDTPMPPGGLHAAMILSKKPHARLLSIDDSGARSSPGFAGIFFAKDIPGDNATGPVVEDEEVFASDIVTCVGQVIGVVVADTHENAKLAARKVVIEYEVLPPILSIKDAVNSKSFFPNSDRILSKGDVDLCFESNQCDKIIEGEVHVGGQEHFYLEPQSSFVWTMDGGNEVHMISSTQAPQKHQKYVSHVLGLPMSKVVCKVKRIGGGFGGKETRSAFFAAVAAVPAYILNRPVKLTLDRDVDMMISGQRHSFLGKYKVGFTNEGKVVALDLEIYNNGGNSLDLSQAILERAMYHSDNVYEIPNVRVSGSVCFTNYPSNTAFRGFGGPQGMLVTENWIQRIAMEVKKSPEEIREINFIDNGSILHYGQQIQDCTLHRLWDELKKSCNFLKIRSEVDEFNLHNRWKKRGIAMVPTKFGISFTTKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQIAASAFEIPLSSVFISETSTDKVPNASPTAASASSDMYGAAVLDACNQIKSRMEPIASKKQHTSFKELVNVCYCERIDLSAHGFHIVPDIGFDWTTGKGQPFRYFTYGAAFSEVEIDTLTGDFHTRAADVILDLGFSINPAIDVGQIEGAFVQGMGWVALEELKWGDEAHKWIQPGFLFTSGPGNYKIPSVNDVPFKFKVSLLKDAPNDKAIHSSKAVGEPPFFLASSVFFAIKDAIIAARAESGFHGWFPLDNPATPERIRMACADEFTAPFAKSDFRPKLSV >cds-PLY99872.1 pep primary_assembly:Lsat_Salinas_v7:4:45827629:45830515:1 gene:gene-LSAT_4X31180 transcript:rna-gnl|WGS:NBSK|LSAT_4X31180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGAFDLASGLGGKIEKDDVLSAVDKYEKYHASFGGVEEDRKANYTDMVNKYYDLVTSFYEYGWGESFHFAQRWKGESLRESIKRHEHFLALQLGLKPGQKVLDVGCGIGGPLREIARFSSTSVMGINNNEYQISRGKALNRVAGVDKTCDFTKADFMKMPFPDNSFDAVYAIEATCHAPDAVGCYKEIYRVLKPGQSFSAYEWCMTDAFDPNNQEHQKIKAEIEIGDGLPDIRSTRQCLAALKEAGFEVIWEQDLAKDSPVPWFLPLDTSHFSLSSFRLTAAGRFITKNMVMALEYVGLAPKGSQRVQSFLEKAAEGLVAGGKKEIFTPMYFFLAKKPE >cds-PLY68798.1 pep primary_assembly:Lsat_Salinas_v7:3:61473693:61479159:-1 gene:gene-LSAT_3X48560 transcript:rna-gnl|WGS:NBSK|LSAT_3X48560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARWSCDFRYLLILVVIGFIYTQVKLFATQSEYAEALETAVEAENHCRNQMRLLIDQISMNQENIVVLEEKVKRQEQECEHLRAVVQDLEKKGVRSLTREEQAPVAAVVIMACNRADYLERTIKSILKYHSLVASKFPIFVSQDGSNSDVRAKALSYDQLTYMQHLDYEPVRTERPGELIAYYKIARHYKWAMDQLFYKHKFNRVIILEDDMEIAPDFFDYFEAGAGLLDKDKSIMAISSWNDNGQKQFVHDPYMLYRSDFFPGLGWMLAKPTWDELSPKWPKGRQFIRPEVCRTYNFGEHGSSLGQFFQQYLKPIKLNDVKVDWKSMNLSYLMEDKFVKHFADMVKNAKLLYGSDLVLKTNNVDGDVRIKYKDQSHFENIAQQFGIFEEWKDGIPRTAYKGVVVFRHQTLKRVFLVGPDSLHQLGIQNLV >cds-PLY72087.1 pep primary_assembly:Lsat_Salinas_v7:9:196795666:196797499:-1 gene:gene-LSAT_9X121561 transcript:rna-gnl|WGS:NBSK|LSAT_9X121561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESFRMPKNLTHRMTDNELFWRASMVPDKPEYPFDRVPKLAFMFMTRGPLPFLPLWERFFRGQDENKYSIYVHSNPGVRLDYENSSVFYQRQIPSQDVKWGTVTLVDAERRLLGNALLDFSNDRFILLSESCIPIYNFPTIYNYLIGSTHSFLDSYDDPSRYGRGRYSRRMKPDIKLRDWRKGSQWFEIHRTIGIKIISDTKYYNLFKSYCLPSCYPDEHYLPTFVHMFYGDLNANRTVTYVDWSLGGPHPATFGADDVTENLIRSIRNNGTNCAYNKGKTSICFLFARKFSPSALDPLLGLASSLLGF >cds-PLY96713.1 pep primary_assembly:Lsat_Salinas_v7:6:77426172:77430650:-1 gene:gene-LSAT_6X55740 transcript:rna-gnl|WGS:NBSK|LSAT_6X55740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPNSYPSLCSSPALPRTANSPTKQTLSFVTPQFKQTLHKKPQTLTFSIRLGNSSLEKQSVLIVRASSEESATEEEQKYEEYEVEIEQPYGLRFAKGRDGGTYIDAIAPGGNADKTKMFTVGDKVVATSAVFGTELWPAAEYGRTMYTIRQRIGPLLMKMQKRYGKMDDDTKLTEKEIIRAERNSGIVSGKLREIQMQNYLRKKEQKETRERELREGLQLYKSAKYEEALEKFESVLGSQPDYNEASVANYNVACCYSKLNQLQAGLSALEDALKAGFEDFKRIRSDPDLENVRKSEGFEALMQKFDESFINENALNAIKSLFGFGKS >cds-PLY84019.1 pep primary_assembly:Lsat_Salinas_v7:8:37356049:37358894:1 gene:gene-LSAT_8X29800 transcript:rna-gnl|WGS:NBSK|LSAT_8X29800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPGYSRNGATYEALIESIKLQKENDKAKSERKKEKRAKKEKKEKKEKRKDSEKSKLQKNPNESQQDSHSKQFPPLNIKRKEHTIEQLEKSDLTEEHGQPVDSHKPSYSSDSTQNSNKRKRDDPVVHDDSNHVKPIKIRLFKKQKGPDSIKETSCSVSGRIDQQNLSNVPLLQSNSNSINGQRTPIPIPIPIPVPVPVPSIRKTGIPGTSTSYDRKQSAPVSLQSRKGDVAVLHSSIQQQKIPIPGYRRPLEQVIPTPSPSPIPPSAPSVSRKLDASMVSSSGRMTSDEIKFQKKKDPISEIPLNVGPTTRFEKKLQKKHLKYEKLIGSWVPPVLEAQVAADGGDDDWLLGSRKTRGGARSAMKDDVETCREMTWQPCARFLVEADVYALPYTVPF >cds-PLY62359.1 pep primary_assembly:Lsat_Salinas_v7:8:113202057:113203302:1 gene:gene-LSAT_8X77361 transcript:rna-gnl|WGS:NBSK|LSAT_8X77361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDLETLASVCGVGGGDRKIACVTLAEEPGDPDIPGSHIVDYPPDCPPESFWLSKDAEYDWFDRNAFLDRKESIKGNSNSMNLNPNVNPSQSNANSNSLQFSSFLKSKAAIISLPKGQKTSYVDTKRRNCKLSSIWLFPKRSDSIEKEPVAVSMAEPSSPKVSCLGRVRSKRCRSRRKSIEPEKPITQDQKTIRVHKSGFISHINSLFRSDCCSCTKTNKSPLKIKEASESSVQRRNNLTCKQETSADPPSLGAVLRFSSGRRSDSWGETEMLT >cds-PLY99523.1 pep primary_assembly:Lsat_Salinas_v7:1:113732252:113742814:-1 gene:gene-LSAT_1X89100 transcript:rna-gnl|WGS:NBSK|LSAT_1X89100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAEQNKRRRRCQIPPKTARTTPGVCSFRSFAAKKSNGGFSLQQNGTVMVELGGARLAKVLFKGKYGAPAQDYKKYSVVLLVGSGMGATPMINIVKDILNNIEAKEEENGSTGEWHKKKSGPTNFETTRAYFYWVTSEQRSFDMVKDIMNDAAEMDKYDVIDMHAYCTRVFEEDDARSALIKMVESLNHAKNGVDVVSASRVKTYFAKPNWFETYMHIALKHSGSRIGVFYGGEPASAKELKQLAHEFSHKTSTKFDFCKQNF >cds-PLY68516.1 pep primary_assembly:Lsat_Salinas_v7:2:213442396:213443716:-1 gene:gene-LSAT_2X134500 transcript:rna-gnl|WGS:NBSK|LSAT_2X134500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRLQGNRYSPSSSLSSFVTAEQPTASTTAITPFYDALLPSLYEKKPKTFYHHHIDASPAESIVTTTTSSSTDPKQNLNQVINSIQKTLGTIHRLYLTVSSFNGTRFEADEEMCGDDEDRDALQETIKNMQAITGARIQVISLHPPPGDTSTERAVQIDGSSEQIEAAKQLVNEVISKVCAVLQGDQASAWFQKLYARLHLLFSIHSIFILTWIT >cds-PLY81349.1 pep primary_assembly:Lsat_Salinas_v7:8:4391464:4391703:-1 gene:gene-LSAT_8X3540 transcript:rna-gnl|WGS:NBSK|LSAT_8X3540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHVHDCCGCRVRWCHRHQIQHPLHFASSFYPFLIVGVRSDFCLFDFTSTEKPFVRLPTEFSSLLLIFLKIVFGFLLHA >cds-PLY99029.1 pep primary_assembly:Lsat_Salinas_v7:6:148279829:148283891:-1 gene:gene-LSAT_6X89680 transcript:rna-gnl|WGS:NBSK|LSAT_6X89680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVLMLKEKGKLFSYGVQQRKRVLRPRGSPQVENRDPLDLALRIMMVKGGQLWGTDIYTDDSNLVAGYCRPTASLPPPTIPELHDIVKKGGGTIDLEPCLTHTSTVEPTLAPVVVERTMTTKLLLRWVQVCKFMGASKLSFCLEYGSKLKEEYVLVKHLPKI >cds-PLY77979.1 pep primary_assembly:Lsat_Salinas_v7:1:24189408:24192021:-1 gene:gene-LSAT_1X20861 transcript:rna-gnl|WGS:NBSK|LSAT_1X20861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFKEEERSPLQPPTYGNLITILSIDGGGVRGIIPSVILEFLETELQKLDGENARLADYFDVIAGTSTGGLVTALLTAPGEDNRPVFAAKDVKDFYLQHCPKIFPCDSKHNPLAAAKKAIKALSRPKYDGEHLHKVIRETLKEKQLNKTLTNVVIPTFDIKYLQPMIFSSYQIKKNPNINAKLSDICIGTSAAPIYLPPYSFNTTDSKGKLLKEFNLIDGAIAANNPTLVAISEVTKEITRGGENFFPIKPTEYGRLLVLSLGTGSPKFKEKYDATESSSWGILGWAAGGGSTSMGGIFTKASSGVSIPLVDVFTQASGDMVDYHISAVFQALHSQENYLRIQDDTLSGNLTSMDLATQENLENLVKVGQDLLKKQVKRVNLDTGIYEPYHHTTNEIALIKLAKILHKEKHVRELRSPSTNRGRITQEESMKEQIALSQKTPALSNALHHSLPNLSKLNPN >cds-PLY73664.1 pep primary_assembly:Lsat_Salinas_v7:5:206391376:206394224:1 gene:gene-LSAT_5X92921 transcript:rna-gnl|WGS:NBSK|LSAT_5X92921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSLKLIPHDTSLSPPINTHLNPPSISSITFFSHLSSKITISSRNRRAFISSSFKNRESVLDYPEKRDKTHQEQAEMTIGPVVQISRRRLVVKDRTILTGVSENVSISSASESGHTDGVFLGSDFEEESSHHVVSLGKLHDVRFMACFRFKLWWMAQKMGSKGSEIPSETQFLLMETIGETQTEPIYIVFLPLIEGSFRACLHGNSGDELQLSIESGDSDVKGSIFTRTVYVGVGTDPFSTITDAINNVKLHLNSFKQRKDKKLPGILDWFGWCTWDAFYQDVTQEGVESGLKSLADGGAPAKFVIIDDGWQSVGSDKKKIGESQPLMRLTGIKENEKFQSKNNPKIGIKNIVDIAKNTYGLKYVYVWHAIVGYWGGVSPEAGPMEEYGPVMKSPKASKHVLENDPLWKADPLAIQGLGVMNPKKVFKFYDNLHAYLANAGVDGVKVDVQSILETLGTGLGGRVAIMRQYHHALDASIARNFPDNGCIDCMSHNNDSLYSSQQTAVVRASDDFYPRDPVSHTIHIASVAYNSVFLGEFMQPDWDMFHSLHPAAEYHASARAISGGPIYVSDAPGKHDFDLLKKLVLPDGSVLRARLPGRPTKDCLFVDPTRDGVSLLKIWNMNKYTGVIGVYNCQGAAWDAMEHKNMFHETRSNPITGSVSCRDVHLIAEVALGPDWRGDCAMYSHHTGDLIALPNNDVIPVSLNVLEYEVFTVTPIKVLGLGLGFAPLGLIDMFNGGGAIDDLKYLIESGGSSAVVEMKVKGCGRFGAYSMTEPRKCMVGPSEIEFVYDSRSGLVHLNLSHMPEDQKCHDIKIHL >cds-PLY67256.1 pep primary_assembly:Lsat_Salinas_v7:6:136509872:136510496:-1 gene:gene-LSAT_6X82161 transcript:rna-gnl|WGS:NBSK|LSAT_6X82161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADERAGAEIVCGDEDCGRYSIDLLEELGFPKGILPLKDLVECGRVRQTGFVWLKQKAPCEHFFKEANASVSYATEITAYIEKCKMTKVTGIKSKKMMMWVPVVEMSMENEKSSKIYFKTSIGVGKSYPVTAFVTDEEKKMYVDQPSK >cds-PLY62431.1 pep primary_assembly:Lsat_Salinas_v7:4:10656776:10657344:-1 gene:gene-LSAT_4X6241 transcript:rna-gnl|WGS:NBSK|LSAT_4X6241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDISITIDNLRKSYYTTEDIMDCLGLSEAKLQQIEGLGLSEAKVQPIEDVDVAMSQDVGIASQITVEELPITQVLGDEERMNKEDGIDEPGMGEVMMNDERMDGEREILITQQLNQVRRRPTKRSRVNQVRRRKPSERITDIKLQKVVAVKNGKGMSSSNPLSLE >cds-PLY74152.1 pep primary_assembly:Lsat_Salinas_v7:9:10998512:11000999:-1 gene:gene-LSAT_9X9520 transcript:rna-gnl|WGS:NBSK|LSAT_9X9520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHQRTFDLNRHSPIPNYGVSYSHPLLYQNPRIRISDFKTNCYTHHNHVIRTHVPVNCISYGLDVSVSKHRRLSSVNFSGKKEFDVATLGNLCVDVVLNVPNLPPSSFDERKNYMEQLAKSPPDKKHWEAGGNCNMAIAAARLGLRCIVIGHVGDEIYGKFLLDVLEDEGINLIGMREDDDIINSSSSAYETLLCWVLVDPLQRHGFCSRADFSKEPAFTWLRALSDEVKTNIQKSKVLFCNGYGFDELPANLITHALEYAVEVGTSIFFDPGPKGKSLAIGTPEEREALAKLLRFSDVLLLTSEEAESMTGLDNPIAAGKELLSKGVRTKWVIIKMGSKGSILITKSGISCVPSFKVEVMDTVGCGDSFVAAIAFGYIHKMPLLHTLTIANAVGAATATGCGAGRNVANLQKVKQLIKESNLNEDNSFWNKLLDQNLNKKEDITILSKTTVNNGFFKHVTLQKVVSEVLHKLEVPLADRILSS >cds-PLY78248.1 pep primary_assembly:Lsat_Salinas_v7:3:87951009:87953719:-1 gene:gene-LSAT_3X67100 transcript:rna-gnl|WGS:NBSK|LSAT_3X67100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein REVEILLE 1 [Source:Projected from Arabidopsis thaliana (AT5G17300) UniProtKB/Swiss-Prot;Acc:F4KGY6] MVCESMAEDRSEEGSDSNTNKNDQFTSQDDYAPKVRKPYTITKQRERWTEEEHKKFLEALKLYGRAWRRIEEHVGTKTAVQIRSHAQKFFSKVVRESTGDVSEVKPIEIPPPRPKRKPMHPYPRKLSAPIKTGGHGQHARSTSPNSSGSDQENQSPTSVLSAGGSNVFGLGDSGSPNTESSPEENESPPPMKMESCSEEKDFGSTQSLKLFGKTVVITDSNQNEEKNTTMPISSLPCGAPVYYMQFLDENMESTVVRSSPSVTPWWGVYGHVSSYPLGQQLCNPVNMEGCSDSESVGGKDEEEDDYENEKKKKKPFLLIGSERSAFTKQHSKKKDTCMKGFVPYKRCVEEREEQRIRLCL >cds-PLY90137.1 pep primary_assembly:Lsat_Salinas_v7:7:14636039:14638248:1 gene:gene-LSAT_7X12581 transcript:rna-gnl|WGS:NBSK|LSAT_7X12581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHQKISEIQIGKDSSGVSSDFNPIPAFSSPISNHSPPSPSSPSSLLVVLPSPNDNNNNFNNFTTTVTNGNPNHQHEIVINDVDIDLTPISSPAPKSTPHKRPIFPQTTTNLSSDSPFSPEKHYSKRAVALHLLDRLRHLRRLQSHIRLILLLSLPFFYFLVSRPSQSYVLDFLSAFAFSAALLFSLNLGLPQLPSLRTLLARSFPIKLYSSTHSARAPLPVFWSIGSKPKGNKKRVNSGCWVQAYSNGDVYEGEFHKGKCSGCGVYYYYMSGRYEGDWVDGMYDGYGVETWVKGSRYRGQYRQGLRHGLGVYKFYTGDVYSGEWSNGRSHGCGVHCCEDGGRYAGAFKWGVKHGLGYYHFRNRDTYAGEYFADKMHGFGVYNFANGHRYEGSWHEGRRQGFGVYTFRNGETQSGHWQNGVLDVPSSKNIMCPVSTVTEYRSKVVNAVQEARRAAEKAYEYDASEKMDQKVNNVVTSANRAANAARVAAIKAVQKQMHKSRNSDCDSDKLPIPIPVVQNLHHLPTQFTI >cds-PLY76798.1 pep primary_assembly:Lsat_Salinas_v7:MU042712.1:1346621:1347260:-1 gene:gene-LSAT_0X2801 transcript:rna-gnl|WGS:NBSK|LSAT_0X2801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTKMRKGFMKGKLVMSFYRGSRPPTTTTTTTTTVKTGHNMTTSQKMIPPPSSLIMNQEKVNPQPKHSVSYVIPQTTRTYGMFDNPYGVTVDEAVDAKATSYISCVQERFKLEHVDTKWVEPTS >cds-PLY84075.1 pep primary_assembly:Lsat_Salinas_v7:6:190631851:190634546:-1 gene:gene-LSAT_6X116121 transcript:rna-gnl|WGS:NBSK|LSAT_6X116121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g17170/K14A17_29 [Source:Projected from Arabidopsis thaliana (AT3G17170) UniProtKB/TrEMBL;Acc:Q948R9] MESLVLSSSSSSSSYSSIGGFLEQKHNLNQHLRNGFTLSFPFSSQNLPFSVRKSVVMAAKKNHNSDKKKQDRHSFVAKPDETTGIFPESVLLKQKVVQEDGKLLPEFADADEQELYEALNLMLESDLDEERNRHYEVVYLIHESFEEEVEKVNLKIQEFLTEKKGRVHRFSDWGLRRLAYKIQKAKKAHYILMNFEIEAKWINEFKSLLDKDERIIRHLVMKQDEAVTEECIPPPEFHTLRADMDDNDDDDDDDDDDEYDDDEEDEDVTNTDDGDIVYVDEDEDLGDHGNRKPASLKVGGPRKLEKSGKVSR >cds-PLY75544.1 pep primary_assembly:Lsat_Salinas_v7:9:32041119:32046232:1 gene:gene-LSAT_9X28760 transcript:rna-gnl|WGS:NBSK|LSAT_9X28760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATVKKFQQKFKRVKDDMDRWENLHAQLVSQFRNASSIIGRLQLLENPKNYGSLKNLDNIKNTIFTKQLESLQKIMLSMTKTLEELNGVVLSLAKTVGDAKQQVKGGSVQLSMKQLHHRIGVKPSIADCLEGLRILHQMYQSEYVLKSSVTSALASLALNPSVGDLQSLERLLVDQPNIPKEEGKPEVGTRFGKCREALVKISG >cds-PLY64895.1 pep primary_assembly:Lsat_Salinas_v7:1:166721316:166722445:1 gene:gene-LSAT_1X111900 transcript:rna-gnl|WGS:NBSK|LSAT_1X111900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSGRKKTSQTVSSSTSNVFSKLWISRFKNTKGCEGPQPEPESSDCKTKANHIPLPKQCSNSDGFYWRLSFGKENDEGDESLCSSDNKLNVSPVGYGSSGNMIFDQTKIRDLISGDADEDFRKSPEDCTFEDPNLEEEWQKVKNMKINEIKSNDQNHRKSFHISRKHGGNVKCHSPRTIAKAAYKIKALEDVKKPLMKTKERVTEDAFRTSLDSFAIVKTSFHPEQDFRDSMIEMIMEKRIRKTEELEELLACYLTLNCDGYHDLIVKVFRQVWFELNHLHFNQI >cds-PLY70548.1 pep primary_assembly:Lsat_Salinas_v7:1:71970097:71971308:1 gene:gene-LSAT_1X61941 transcript:rna-gnl|WGS:NBSK|LSAT_1X61941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPHNQPIPVTSPLTLSLTTESRRRCRSKAQMCLFKILRIISLFPAVDVSYEQRSSHDDRSNCEIRRFDVDLNIGLCAPNEIEPFEYPLAAEGGENCRDCVVASANNDLHNDDLFLSEERTTEQSEISHVGDQSSGIDCNGASEVEGEKKIRDKGCLDLLIEAAELILANEKTEPSRSIYVESSTTAEKGGGTKRKQHGWTTAAVAVAEWYPEFEDTSPMVKSNRGRNQVLPLKYRDSIVEPLVRWPLSRHRSNSDAAFPVKRRSK >cds-PLY66775.1 pep primary_assembly:Lsat_Salinas_v7:3:66896858:66898598:-1 gene:gene-LSAT_3X52940 transcript:rna-gnl|WGS:NBSK|LSAT_3X52940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYESPVQVNQETIGAMLPKLGEFHPSLVHLNMLEEGLVNHPVVGFGESGRKASEMRILLARIEESESFAPSVGELQRIECLRSLREIAIALAERPARGDLTGEVCHWADGYHLNVRLYEKLLSSIFDVLDEGKLTEEVEEILELLKSTWRILGITETIHHTCYAWVLFRQFVKAKLLAGIALGYVYGGWLNLAREQVGL >cds-PLY76059.1 pep primary_assembly:Lsat_Salinas_v7:5:320484217:320485227:-1 gene:gene-LSAT_5X175780 transcript:rna-gnl|WGS:NBSK|LSAT_5X175780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLELALRMGIKAAGGTGKMNFFMRYLTPHQRKRFYQAQIVYQRLIRFRLTEEEVSRVKHVVSELLQKARDVKDLDSNNVHAVVQQVVNIIEAAAGELECLSVVVASKLKKFFLFANIDVEGSAFLTSWLMFGGV >cds-PLY63717.1 pep primary_assembly:Lsat_Salinas_v7:9:85452554:85455030:-1 gene:gene-LSAT_9X66780 transcript:rna-gnl|WGS:NBSK|LSAT_9X66780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRITLKKIVYPCWKPFMEGDSQNSGRKGDFGGIVDGLWWYEDSGKHVNGDFSMAMIQANSVLEDQCQLESGSLSSIEHGPQGTFVGVYDGHAGPEASRFINNHLFNNIKNSNLFSIFTTPELTSENEGMSDDVIRKAFLATEEEFISLVESEWLSNPKMASVGSCCLVGVICNGVLYVANAGDSRAVLAREEKGINGFKGVRVSEEHNASYESVREELRSLHPNDPNIVALKHNVWRVRGLIQISRSIGDVYLKKPEFNKEPLLPKFILPEPFNQPILKAEPSVLVQKLTPEDQFLIFASDGLWEHLSDQEAVDIVKSSPQNGIGRKLVKAALRKAAKKREMRYSDLKKVGVEGGVRRHFHDDITVIVLFLNHNLSSSATPVSFKCSS >cds-PLY69522.1 pep primary_assembly:Lsat_Salinas_v7:6:44054411:44056453:-1 gene:gene-LSAT_6X32400 transcript:rna-gnl|WGS:NBSK|LSAT_6X32400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQMDVCSHISFPVNDVSSSIHGFCLDTTFESTYGLASGFSVDELAFGATKFLNIQDDIDIQGVSSSNAPFPPKGLKPVSAMKGTREKHGADPPKKLSVKWAPDVYDPVPSLPSDTVISRPRKQHGKKKGTSSSKEKNSESKSSRGSKSKGKDKKHGTRKRSGKSYDLDF >cds-PLY85022.1 pep primary_assembly:Lsat_Salinas_v7:4:222475383:222475980:1 gene:gene-LSAT_4X123881 transcript:rna-gnl|WGS:NBSK|LSAT_4X123881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHRRILIDIVGKVISGRLLGHHFAIEMTLQDQSGFVINLILNLTPEASPAMLARAIVYQWVIYVSQVKFCEQGGVNYLFSTYISRVSFHPIMTEAELIRIMLG >cds-PLY77196.1 pep primary_assembly:Lsat_Salinas_v7:8:26053446:26054985:1 gene:gene-LSAT_8X20100 transcript:rna-gnl|WGS:NBSK|LSAT_8X20100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMIGNLIRSGRRQLHTIISKEIIKPSSPTPSHLKTHNLSFIDQATISTFVPFVTFYPNTGIYPSSHDKTLDLKKSLSQTLTKYYPFAGRFAKLAPSFVDCNDQGAEFLEASIDSSLSDFLKTSQHQDLDQFFPHGLVNQRSNRPDDDDLQSNGVIPLAVQVNHFECGGVAVAMSLSHKIADGNSFVHFLSDWAKTTQLLSSREQKHGLPDDPHFIPYEYMNLNYNGFTLGSDECVTKSFIFPKAKINELKLKVKAMTAEAGQPITDPTRIEVLNWLLYNSAVTAATKNNSGCFKPTGVGQLTNMRSRFMEKLPEKSIGNYFMIMDTLTHNESELKPESFFSELRKQKKMFQGIRDIQTAFDILSGITVKEGQRRFDSVYLSTSLCGYSTYEIDFGWGKPVKATMAGDLRKNSFIMMDAPDGDGIEVLVCLGKQDLAVVQSDPELLAFSN >cds-PLY63915.1 pep primary_assembly:Lsat_Salinas_v7:5:127274477:127276662:1 gene:gene-LSAT_5X56900 transcript:rna-gnl|WGS:NBSK|LSAT_5X56900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEDSIEEAPFPPPKIYISSSLPGSSVPIRAPLTIDSDSEFYLSNNDQIYSRTSSFNSTSSIDISSYGDEHTTVHDEEDETFVAYSDEEISIETCRVKEYSFTTPFVKYPDGNHLSERSNFEESAIFRPLVKTPCEESSSVTDSRLNLGVPVAPLTRDCDSDIDSVESGRWFDSGEIPADEIVQKVTMSPKVQIFSGDEEEDEVDKKSQFEGMFSDDSINSIHGLITAMKDSILVESIDEEEHKVDCLNLVDSQRIDEEILSNSLNEIEIEDSQIIDADILPNSENETEIEDSQRIDAEILPNSETEIEIEDSQRIDAEILPNSETEIEIEDSQRINAEILSNSETETEIKTISDHRTESKKTLNEKEEETQVVIQPIKSKLLNLIHLLGLSKQDSTFLHRLTLRNTKFDSNLTILVIGKTGVGKTATINSIFGETKATTDPFNPCTSSVQEILGDIDDIHLKIIDTPGFRCSPYERSYNLKILSNIKRFIQEHSPDVVLYVDRLDMYTIDHNDSHLLRLITSSLGSSVWRNCIISLTHACSNDHESMGEFLLQRCRFLQQEIVRFGGSDWGMGNPVWLVENHMGYKHDRSWRNRLFGRGNEWNCVTPFEIVENRIKKGFFEGEGYCLKVFGMKHWSFACRLYS >cds-PLY96794.1 pep primary_assembly:Lsat_Salinas_v7:2:172330570:172334733:1 gene:gene-LSAT_2X95161 transcript:rna-gnl|WGS:NBSK|LSAT_2X95161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVNACFNYDCINGDIVDRRSYCQFTQVLHRGDRPFVDRTNSNVFVSSSFKTIRARVNSGNPFRSRLPDLANNLTTALHLRHLPSLSSRLSKLKAVILGEHLASDDDLILPSHDFSQKAHVSSFDKYLEMYNRSIEDPASFWSDIASEFYWKKKWDQQVYSENLDIRKGKIDIEWFKGGITNICYNCLDKNIESGNGDKIAIHWEGNEPGVDDSLTYNQLLDRVCQLANYLKDNGVKKGDSVIVYLPMLMELPITMLACARIGAVHSVVFAGFSADSLVQRVMDCKPKIVITCNAIKRGKKIINLKDIVDSALSESSQNGVSVDLCLTYANESAMKKEATKWQKERDAWWQDVVPECSTKCDVEWVDAEDPLFLLYTSGSTGKPKGVVHTTGGYMIYTATTFKYAFDYKPSDVYWCTADCGWITGHSYVAYGPLLNGATVVLYEGVPNYPDFGRCWDIVDKYKHVTRYSRKSLRVLGSVGEPINPSAWRWFFNVVGDSRCPISDTWWQTETGGFMITPLPGAWPQRPGSATFPFFGVQAVIVDEKGKEIEGECSGYLCVKKSWPGAFRTLYGDHERYETTYFSAFPGYYFSGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEVKGQSIYAFVTPVEGVPYSEDLRKSLVLSVRTHIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTTTLADPHVVDQLIELADS >cds-PLY82245.1 pep primary_assembly:Lsat_Salinas_v7:1:66910476:66912604:1 gene:gene-LSAT_1X58161 transcript:rna-gnl|WGS:NBSK|LSAT_1X58161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSKINSFFRPSSSSTSRSQALNPPLPLSDNLSDDEDFTREPEIPIIYTRRAPNTDGANDDLFKENDPNKLNFGQQLVSTNSKKVLNKKRKYAQFHLDLGQSDFLLHTCKTCGFKFAPGDEEDTKVHKEFHKSCTHGIQFKGWRNERAIDTHSLEHGRIILVLNDDPPAHIKKVEEVIKMMEMELGDGWIFHKHCKVYLYISSQRVAGCLVAEPINKAYPLVSNSDQNHDVTTTLKKVKKSTPATLQFGSISFQREIIKKDKNQRNSNDTLLGAIICEKDSIPAVCGIRAIWVTPSNRRKHIATHLLEATRKSFSSDVILEHSDLAFSQPTNVGKLLASSYTNTKSFLVYTTTS >cds-PLY93429.1 pep primary_assembly:Lsat_Salinas_v7:9:63794780:63795798:-1 gene:gene-LSAT_0X29780 transcript:rna-gnl|WGS:NBSK|LSAT_0X29780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGVKWDEANLGEIEANKPVRQKITEPKTPYHPMLDVDGSLSPNIRRDTSFLEGDDNTIHAEAIRSALNDVASSSSSSNNNLQRASWTSSEDEADYMDQDNQDSETGRGKGFKEHRRAHYDEFHKVKEMQRKGSFDDVSSDEDGGNRKKTNGKQRGLSTSSSSLATGLEDIDLKDAATDLSPPRGSHI >cds-PLY63171.1 pep primary_assembly:Lsat_Salinas_v7:4:311792814:311793598:1 gene:gene-LSAT_4X157381 transcript:rna-gnl|WGS:NBSK|LSAT_4X157381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTVLISDNIIDEYSHNRLTDPYVYIYIXHLSLLYTYIYLLQDGSDWKNDHVSSFSMIDASYNLPNNTTIITLQELESGKALLHLAHLYEVGEVKDYSIMESVELKKLFLDRKIMKVTEMNMSGNQETGEMDRKKLAWKVKGGSKREIVRGGGVDPEKLVVELRAMEI >cds-PLY75704.1 pep primary_assembly:Lsat_Salinas_v7:8:195568735:195569046:-1 gene:gene-LSAT_8X125861 transcript:rna-gnl|WGS:NBSK|LSAT_8X125861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERFDPDSKHGWRAQTLSSISLPPLPLVGFLAIVVFLLSLSEYSNFKSWSGYVGINFQLLLFLMPVILVFFLSSSWIIEGRWFNLGSRKRKDLMNTTQVSDEY >cds-PLY80814.1 pep primary_assembly:Lsat_Salinas_v7:3:191715730:191717053:1 gene:gene-LSAT_3X114441 transcript:rna-gnl|WGS:NBSK|LSAT_3X114441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVETSGLMEIHLRNVEYNTKKKGLNSKLPHLCKHVDRLRAQVSTMEQQQQQMQAQMEMVMWMINMSGNQSRAPPNNPEDN >cds-PLY90945.1 pep primary_assembly:Lsat_Salinas_v7:9:171870746:171873038:1 gene:gene-LSAT_9X106001 transcript:rna-gnl|WGS:NBSK|LSAT_9X106001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLDQELKQEGGDVCRTIKFVEPLRTLSTMATGKVDATGEVLYPPLNFSMVDYGIYRSSFPDSANFSFLKSLDLRSIVYLCPEAYPEHNLEFLKSNRIQLFHFGIEGTKESFYDIPEDTIREALKVVLDVRNHPLLIHCKRGKHRTGCLVGCLRKMQKWSLLSIFDEYQRFAAAKARVSDQKFMELFDASSLNLKR >cds-PLY74585.1 pep primary_assembly:Lsat_Salinas_v7:7:34349238:34350483:1 gene:gene-LSAT_7X25241 transcript:rna-gnl|WGS:NBSK|LSAT_7X25241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMVETGGGAAAVNVHHGVTLSIAKDDTKDNATSAPYFTRQFSQKLMAELLATYFLIFSGCGVIMVNIDKKNPVGMPGIAIVWGAVVMVMIYTVGHISGAHINPAVTIAFASCKRFPWKEVPGYVIAQILASILASGTLRLIFSGTHDHFVGNAPIHSNLQSFVMEIIITFYLMFVITAVATDDRATGQLAGVAIGSTVLLNAMFAGQVSGASMNPARSIGPALVWNQYQGLWVYILGPMIGAIGGAWAYNTIRFTDKPLCEITKRESQQ >cds-PLY64637.1 pep primary_assembly:Lsat_Salinas_v7:6:37425413:37426923:-1 gene:gene-LSAT_6X26921 transcript:rna-gnl|WGS:NBSK|LSAT_6X26921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDSSWFTILKTSHKNKGLEAENPMIGILSFEVSRLMCKVANLWHCLTDRHVSRLKEELRYSLGIRTLISDDHSYLIDLALSEIIDNLKGVSISVARLGKKCVDPMYHNLDHVFHNPFEINLKWCGWEYRLKKMEKRVKKMKKFANVMSQLHEELETLSDLETRLKKMQSNGVNQSQLLEFHQKVMWQREEVNGLRDMSPWVRTFDYIVRLLLRSLFTIVERIKVVFGITTEMGRDGCFVRKYSISTLAGASVYPSETSSSRSMSNLRHTPNPQTCYSPIMCARYPSIKSAHIGCTTSRSNPNSIRVKGIFQNDAFDPIKKAKRLSKGQEPTLGDTALALRYANIIIFIENLAMSPRYLRPDAREDLYDMLTTGIKNSLRQKLFLSSKKIDHRVASDWRSSLKRILDWLSPVAHNMIKWYSERNFEKQPMGSKGQVLLVQTLHYADQATSEIAITELLVGLHHVWRFSQEIIDKSLMGSA >cds-PLY89682.1 pep primary_assembly:Lsat_Salinas_v7:8:42337801:42340083:-1 gene:gene-LSAT_8X33500 transcript:rna-gnl|WGS:NBSK|LSAT_8X33500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESPPPSKLLPINDSSSPFMQSPLPTSSGVGGSSVGDGGFGYIEHTVTKFDTLAGVAIKYGVEVADIKKMNGLTTDLQMFARKTLHIPLPGRHPPSPIMSNGQGPKHSEMTPPRQRQRHSDMLDSIKSLKLSSSSSSSSPRNISPSMDALRDYYGLKPSDQTGPNPPPPLGLHRKCKSLANGMSEQGGSGNGNGNGNGNAIPGTNGIHDSDSDTWYDRWMRRRRSEIDLQNQTPETMLKPDTSNSNGAFAAAGGKGLALRPKAASRTAGDADGLPNMPPLKLGESMITEASNGVKKSSSSPSFHEMQSNGNSTSTSSSIWPTSMLNLTADIQASTAAIARPIFDGLPKPISGRKNKAAID >cds-PLY87967.1 pep primary_assembly:Lsat_Salinas_v7:3:178059570:178059908:-1 gene:gene-LSAT_3X107880 transcript:rna-gnl|WGS:NBSK|LSAT_3X107880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMVGSVTPVGGCSCSATRGGTTVLILAIPCWCSSSVVGDHRCDLVGIVTLLRVPTVMVILEDGATGDGGANLAGGACLFGGSLKLFGDRIWYRGDDGVDAAVVGRRVTLQF >cds-PLY92097.1 pep primary_assembly:Lsat_Salinas_v7:4:106284085:106286075:-1 gene:gene-LSAT_4X69220 transcript:rna-gnl|WGS:NBSK|LSAT_4X69220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSTGRMLPQIQHTNKTTYTYNTMIKTHLSNSNTNPQMALELYVKMKRNGINCDNYTYPFVLKACKMICGLWEGRQVHGEVMKAGFFDSDVFVRNGLIGMYFKCQQMSCSRILFDGFHGKDLVSWNLMLGGYAECRNMVEAQKLFDEMPERDVVSWSIMIDGYGKKLGLVTHARMLFDQMHERDSVSWNTMIDSYAKIGNMVAARELFNLMDHKTLISWTIMINGYSQHQNPKEALTLFNLMLSHNVKPDKFCVIGSISACAQLGALDSGRWLHTYIKKTKIPLDIVVNTALIDMYMKCGGIEEARAVFNSMLERNVITYNVMISGLGINNSGAEALSYFYQMEQDGMELDDLVYVSVLSACSHAGFVSEGVEIFKRIRNPKVEHYGCLIDLLVRKGEFGKALNFIGSMPMEPNVDLWGSVLLGCRVWKNVRLGEFVVDRLKEIGGDDRGVYVLMSNIYADSGMWDGVAAMRRIVAVKGVVEGGKSVIEVVGGGVEEFVSGKIGGVRGAVIEEVVCSLSKMVDLE >cds-PLY90495.1 pep primary_assembly:Lsat_Salinas_v7:1:205672016:205675556:-1 gene:gene-LSAT_1X126160 transcript:rna-gnl|WGS:NBSK|LSAT_1X126160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGYKGVPQRNRFASLGATLSRFWVLMDINDDFKSEILLLTRYLLDYFRRFGFIRATHNFAKLANIDLEQLLRCWEVYSNNRTNNADYWTFFWHTTLKELKEHTSAGKALTITTPNQQPLAVDQSYTSFQRLHLPLRQVGDMHSGVRTFLDKGMIYPDPVKRILYKMLKRYFYDYYFGRCGGELIGGISVEATEFDGQNLVRMVKSNS >cds-PLY96973.1 pep primary_assembly:Lsat_Salinas_v7:2:34394640:34398343:1 gene:gene-LSAT_2X15540 transcript:rna-gnl|WGS:NBSK|LSAT_2X15540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIEKMADETESPEDKEEHGLGLHTADIVMEENAHSDDMMSNPELIRMVTDSMKYMKAKDLRHATEQLKSTRPDEMAEIGEKMANVTLEELA >cds-PLY99291.1 pep primary_assembly:Lsat_Salinas_v7:3:93853689:93854697:1 gene:gene-LSAT_3X70480 transcript:rna-gnl|WGS:NBSK|LSAT_3X70480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENSTKPQFRSISLPSKLTPISTRIKLNLANLNTLTPLCSSVSYQTSFVCLVDLYLSVDELISSYRIQQVDLQCNNKLLIEDVLVESTTLLDSCSNLLEFLALMKKNVRTLQSALRRKGVAIDASGEADIMDYLLYRKKAKKNIMQYLRPLEKMERETEACMVSGEDDHLSMVIGVLRQIVSATISVFRGLFFCLSGKSKVDKGFSLSRLVPMSRPAYGKIQEITTDVDMIDLTLRSLQKNKSKSIDVQMVTERLLNLDHQIEGYEVELDSLFRRLIQTRVSLLNILGK >cds-PLY83294.1 pep primary_assembly:Lsat_Salinas_v7:4:127266799:127270205:-1 gene:gene-LSAT_4X80421 transcript:rna-gnl|WGS:NBSK|LSAT_4X80421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTKSFANLKGSSGNIWEVFEVLDDARRAIFRDTVFDYFIDVPRLQGDALLFHKMFLHQIRPDPVLSPDGIKRLYFRVGNTKMVYGPEEFCLITGFNFGEYPKNIGRKGSEKLISSKKRCLLRERLFPDHTNSSVKIGDLKSLILNQTFLALDDLDAVRVCLIYILCEGFLGKEVNDRVPQDWFYLAENLDLWNSFAWGSYLWDFTYVDLEDTWNKIHHYLSLPERGQTLKYSVSGFTAPIRIWIYEMIPAVRACGFALRKNKDLPRMKRWSGTKKLKWVDVNKIWSKMQEGLPPRQNMLPGDGEMTSFYYMSFQEYVYGEGKAVPSPVRDHFRRQDESSSSMSSSGRSHGRGRGSGKHKLDELLKRVHALEQHVFMNQQKPTEVFFEEVNNEQFWNDIIFEEPTVSQRNYDEQVVQDEVMNKNNTTQNVFGDTQDDKVLEESTQYAGNKFDDDVCDVNDYSEVKEEWEERNDNAGNKFDDDVPDEDELIIMGNVDYFHDDDDDKEVTPDKPRSRKPSQFLCTPYTELHTTPKQKRRTKKKVGMKSTSPVPPPVFGVAHDFSMLRLQPYVAGGEDVIQNYVLHSYDVQHRLFNFVLDRDFWSSLFGHTHDGWLESAHITIWYRLLMERRFESDRHTIMPPNFFVSHALEEGQDWRAFMAGIATYPNFMVAWWDVDTVLLPIHSSPNHWLFGELRLASMEVHIYDSLGRGAYEKFQSEGIFSKFERRVANYLDKIKYWARRNIPRIPLNMQFIYEENVPQQSSHLGDCGVFLCMFMEQLVSGQPIRVLIDPKNAALEFRLRMAKIIWGSSLAPL >cds-PLY92639.1 pep primary_assembly:Lsat_Salinas_v7:2:160064868:160065458:-1 gene:gene-LSAT_2X83720 transcript:rna-gnl|WGS:NBSK|LSAT_2X83720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRPEAKNALWKDSLDKAIAWAPSSFDYGLNTETSFSESEWTDQPTSLYAATKKQEKKSPTPTTISMVSPLPDFDSSPFMGFGIEWTWLTSSLKRWCVTSRTSTTS >cds-PLY91420.1 pep primary_assembly:Lsat_Salinas_v7:3:56330805:56333046:-1 gene:gene-LSAT_3X43860 transcript:rna-gnl|WGS:NBSK|LSAT_3X43860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] MRSLITSISIDGTSATTLILDRETGEALARPLLYNESCPDALPIVKSIAPSNHTVCSGSSTLCKLLSWWTSNPNHSNKQSAVLMHQADWLLWLLHGKIGVSDYNNALKVGYDPELESYPPWLKSQPYSCVLPTVQAPGTVIDTLKEEITTKLGFPKDCVVCTGTTDSIAAFLAARATQPGKAVTSLGSTLAIKLMSTTRIDDARFGVYSHRLDDKWLVGGASNTGGAVLRQLFSDEQLKELSKQIDPMEASSLDYYPLPTQGERFPIADPKMKPRLSPRPESDVAYLHGILESIARIEAKGYALLKDLGATEVEQVFTAGGGSKNDKWTRIRERVLGLPVSQALHTEAAYGAALLALRATL >cds-PLY99281.1 pep primary_assembly:Lsat_Salinas_v7:3:93976050:93976313:-1 gene:gene-LSAT_3X70541 transcript:rna-gnl|WGS:NBSK|LSAT_3X70541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQEAGKYESRDEEPKKKLEVKNALENYTYNMRNTAKDEKLGEKLTPVDKKVEDAIDEVIMWLYTNKLAEGDEFKDKMKELFPILKF >cds-PLY75122.1 pep primary_assembly:Lsat_Salinas_v7:5:267927917:267933344:1 gene:gene-LSAT_5X139420 transcript:rna-gnl|WGS:NBSK|LSAT_5X139420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSNGYQPSSGEGARKLMNSELWHACAGPLVSLPPIGSLVVYFPQGHSEQVAASMKKDTENIPSYPNLPSKLICMLHNVALHADAETDEVYAQMTLQPVNKYDQEALLMSEMGLKQNKQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHDQSWTFRHIYRGQPKRHLLTTGWSVFVSSKRLSAGDAVLFIRDEKSQLLLGIRRANRQQPALSSSVISSDSMHIGILAAAAHAATNNRLFTIFFNPRASPAEFVIPLAKYNKAMYTQVSLGMRFRMMFETEESGVRRYMGTVGWDESTAGERPSRVSVWEIEPVVTPFYICPPPFFRQKFARQSGMPEDESDVENAYKRGMPWLDDFGMKEASSSIFPGLSLVQWMSMQQNHGISSMQPGVFPPNALNSNEDPSKLLSFQSPNLIVPSSQMNKQNQSQTNQPHQPSLQWTQQQQQHLQHMLQQQQQPQQMQQQHMLNLQQQNSMYSQLQQQQQQQHQQQQQQQQQQQQHQQQQQQHQQQQQKQLQLQPPPSSVSQESQFQHQQTQLQQAPFHMLQHSMSPRQPVQHAPPQQSHSEQQLLQKVQQQQQQQQHQQQQQQQQQMQYLLSSVTRTEPQTHFPIQQQPKQQSLPQQQLSGNSFSTSTLLQSPSFPVNQLQSQSQSQPRQLMPIRVHSGITDGDTPSCSTSPSTNNCQLTPPSSFLNKNQLTPSTFVNDSMVVAHHLQNQNKCNIRVKEELQLPDVKLPEPPKYKEQMEPSTSVTSYCLDTGGLQNNFSIPNFCVDGDIIQPQNRSNSNLMGNIDSLPPDALLSRGFDSQNLLSSLCGGPRDIETELSSADINPQSFGMQDMSFKPGCSNDVSTRLQKNDVAVNDSGVLGNGLWGNQTQRVRTYTKVQKRGSVGRTIDVTRYKGYEELRHDLARMFGIEGQLEDTQRTDWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSYSEVQQMSLDGDLGNMPVPNQASSGTDSGNPWKGQYEDNSAASFNR >cds-PLY86163.1 pep primary_assembly:Lsat_Salinas_v7:6:156822388:156822991:-1 gene:gene-LSAT_6X94861 transcript:rna-gnl|WGS:NBSK|LSAT_6X94861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLCFCGKFAVVKCSWTPKNPGRRFYACPQKDSACRFIGWVDPPMCERSKVIIPGLLRNINRMQAWCTALKIMLLASWVKERLM >cds-PLY85290.1 pep primary_assembly:Lsat_Salinas_v7:3:72586501:72586833:1 gene:gene-LSAT_3X56920 transcript:rna-gnl|WGS:NBSK|LSAT_3X56920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFCVVRWYKIEGVPRWRRLKRVVRLLWFLDVEDDGQRNPSNNFRGSFEFKLFIIFENRRYTKRESRPVPTDFRIFNPRTRYTNLGFSIFRNRSRYTNLGFQKLFFSFDF >cds-PLY87277.1 pep primary_assembly:Lsat_Salinas_v7:1:50843588:50851383:1 gene:gene-LSAT_1X43780 transcript:rna-gnl|WGS:NBSK|LSAT_1X43780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNQWQPTKCGSTCQSSADPAVVAPSPVSQVQSHDSSRNSMMNPSHYYYPHIVQEPCSSTRKTMADPLFQATNINLYNSSLSVMGSSFFTLLSGPPASFSKYDSQHVLSSKSSKVQVHNSSSIVGPTECEPSFDNRYLKSKVDASPVIPVRALASDGGNTACLHDMVQVRKASDPNLEPAKVANYHTSHGIEQLNGFSSLKAPPTCGLPRVFCLYASGDLFLSSSGLLGVVCSCHGIRMSISKFSEHSGLQDVNPGDAVHTDSGETIAQWRKVYFSKFGIRIPEDPHGWHWPQGFSAAPELLKKSERAPNMSRTSDLSKSPRPLNNMVFPDKHHSTQNLVNEILCHEVQRDTHDNHDGFTKTSQSNVQSGAANKIMEQLVSGCLSMSKFADGLDNAFQSSPTYIDPIYKTKNSFTSQNKRSLGKDSDKFNSLRDVDKPVKATVSSNIELRLGQPSQQSNAPGFHASHVSRVSHPLELVSSQPKLYNGSNRITEESKQVVDCAAQAAKSSLTEGQNRMKFSNLGFGAFSTRMTLQPEQEATRVMNPHTPLSRQKSLKYMNHGDVSSSYGFGKDQIVPGNSLNRNLMSSMTLPSKLTGRDGYKQASKYNLPEHAMKSVHYGTTSWTADKSAVISSFQIRDRDTGVHNSNHLCNPDQTGPSMPRIGISENIRSSSGHGNCCQGTPCAYVPDKCSCCIQRNTMIENSNLQLQGRNSISAFGEPSQIGTSILSASNLNPSGKIGETMKPNLKKVEFNAFQWKDVPSKMSGRCHVPCKDQKAKFLEDRIEVNDQTSGVADQPLQKGDCMKEQVMSNISSKCSTPALTQASVKISNGDSCTDDAHNTGCAKNFAVDEGSGIQKSSDDDDDDALDSGGNTGFDDSACKISLKNGTRSLIDELRVIDSLRVKKVHNGLSMHESTSSMKTFEKDFEAGKRKRETKFKILGTSFPASPVSSLSTGSSGQSSQSLEDVHKSTEELLPCKKPKRIYDDCLESREGKKTRLDFDFAKRKPCKRIIRPVVCGRYGLISNGDTSKPAKIFSLGKILKTSKRCNHPPGNKIIKKSPEKVLKKSRIREGYRRSGRISSFKEDKYNIGQGAAVVSDEDSMETSKDTDTSYVLKKEKESINERSHGIPDSDLSTKTRRKVKEVRKRSIYELITNGKDATISKDIASVPQDNLKCDNSNNLHGVDNMYISHEEPTHKSTPDVNKFCNVCGGLNNDEINSLLECNRCLIKVHQACYGVSKVPKSYWCCRPCRENVNNMVCVLCGYEGGVMTRAIHTTNIVKTLLNAWNVNVNVIPESQKKPIMPSSMLLNSVTAGVFDSSVKQWVHMVCGLWTPGTRCPNVDTMSTFDVSGASHPQGNDNVVCSMCKRGGGCCIRCRVVDCVVDFHPWCAHQKGLLQSEVEGADNEKVGFYGRCEVHAANKYKTNSESIEFVRGDGNETCARTEGYKGRKREGFRHDSKEKARCVVGQEQVDAWNHMNQQLSLKKQIQRATQAVQEVVESDPRKEYGRYKQSKGWKHLVVYKSGIHALGLYTSMFISQSEMVVEYVGEIVGLRVADRREREYESGKQLQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCQPNCVAKVITVRGEKKVVFFAERDIYPGEEITYDYHFNNEDEAKKILCSCNSNNCRRYLN >cds-PLY98594.1 pep primary_assembly:Lsat_Salinas_v7:1:36132182:36133125:-1 gene:gene-LSAT_1X30601 transcript:rna-gnl|WGS:NBSK|LSAT_1X30601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCKGLAMELVKCLSESDCVKVENRPFRECAKEKSPVISSECVGLRETYFNCKRGQVDMRARIRGNKGY >cds-PLY89836.1 pep primary_assembly:Lsat_Salinas_v7:4:323848728:323850881:1 gene:gene-LSAT_4X161560 transcript:rna-gnl|WGS:NBSK|LSAT_4X161560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQVVIGPPGSGKTTYCNGMSQYLQLIGRKVAIINLDPANDSLPYDCAINIEDLIKLSDVMNEHNLGPNGGLVYCMDYLEKNIDWLESKLKPLLKDHYFLFDFPGQVELFFLHSNAKKVIMQLIKKLDLRLTAVHLIDAHLCSDPGKYVSALLLSLSTMLHMELPHVNVLSKIDLIENYGKLAFNLDFYMDVQDLSYLQHHLDQDPRSSKYRKLTKELCEVIEDFGLVNFTTLAIQDKESVTNLVKLIDKTNGYIFQGIDATAVEFSKIAIAPTDWEYYRYPFNKFHFNFTTAAVQEKYMKDDDNFDSDD >cds-PLY77157.1 pep primary_assembly:Lsat_Salinas_v7:8:26471852:26474456:-1 gene:gene-LSAT_8X21460 transcript:rna-gnl|WGS:NBSK|LSAT_8X21460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIDEVLGWKDEIPGIDSLHSQEKDQDFKIHTDPEANFEHGLSKPLVYEHRVQVNDDAEEHTDFCRQQETETLEVEQSTLTDDVSEPLDNETSVSFHQSCYFHPPENEGEFSASDLVWGKVRSHPWWPGQIFDPSDASDKAMKYHKKDRFLVGYFGDRTFAWNDSTVLKPFRAKFSQIEKQTNSEAFNNALHCALEEVSRRVELGLACSCTPHDIYKKIECQIVENAGIKKESSKRHGMDKTALVTSFEPDKLIDYVRLLAKSPYDENDKMDLVMAKAQLLSCARYKGYRQLSEFQFCGTLLEDSSELTQGADQVIKKERIFSDLKVDPTYYPGNNEEGSRKRKASDSNSNSNSDVSVPEKRPTLETVTPSPKPSFKVGELIQRVANQLSDKNVEPVDQTVGLSHSVQSPVNMVNPVNPQANLPEMLSQLQLTAQDPMKGYTFLNTIIPFFYSHRAAVFSKSLKQNSSTPGRVNNETRKRKPSNENDPEDFEFDDVNDSYWTDRIIQNHPEDLQLQPTVVVHDNNQNGGVEHPHQIVAYEEKQKPVKQSRRSNKKRFFSSNHEIEAKEQSELIERRRLNLATEVLMKFTEGIYFPSEIHLNKMFRRFGPLMESETEVDRQSGRARVVFKKCSDAEVAHSSAGKFNIFGSIVVNYELNYTPLISYKPLPLPLAHEPAADAC >cds-PLY74042.1 pep primary_assembly:Lsat_Salinas_v7:1:194714290:194717020:-1 gene:gene-LSAT_1X124521 transcript:rna-gnl|WGS:NBSK|LSAT_1X124521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQIAHKFLHINGLKLHIAEIGSESSPAVIFLHGFPEIWYTWRHQMIAVANAGFRAIAPDFRGYGLSDPPAEPEKASFDDFVNDIASIVDSLAISKVFVIAKDFGTMVAYPFVLRYPQKIAGIITLNMAFMPPAAFKSHPLPEGFYVTRWREPGRAEADFSRFDVKTVVRNIYILFSQSEIPIANENEEIMDMVKPLTSLPSWLTDEDLAIYGDLYEKSGFRTALQIPYRSYMSLGYTEEVPKVEAPMMLILGEEDYALKVPGMHEYVKSGEVKKYIPNIETRYVPRGCHFVHEQFPDEVNQLILTFLHANKHLSKV >cds-PLY85418.1 pep primary_assembly:Lsat_Salinas_v7:4:291102700:291105272:-1 gene:gene-LSAT_4X151960 transcript:rna-gnl|WGS:NBSK|LSAT_4X151960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRLATNAIFVVPLALALVLCFPPATFAGVLYPQFYDFSCPQAKDIVNSVVSKAVAKDARMAASLLRLHFHDCFVKGCDGSILLDSSGTISSEKRSVPNRDSIRGFEVVDEIKSALEKACPQTVSCADILALAARDSTVLTGGPSWDVPLGRRDSLGASLSGSNQNIPAPNNTFQTILTKFKLKGLHIIDFVALSGSHTIGNARCTSFRQRLYNNSGNGQPDFSLEQSYAARLRVNCPRSGGDQNLFAMDPESPRKFDNGYYKNLIGLKGLLSSDEMLYTQNQETMELVRRYAATQDEFFDQFAKSMIKMGDIAPLTGNHGEIRRNCRRING >cds-PLY72074.1 pep primary_assembly:Lsat_Salinas_v7:9:197046425:197048220:-1 gene:gene-LSAT_9X121801 transcript:rna-gnl|WGS:NBSK|LSAT_9X121801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAALNAVMNGGLTGSGAVAAQKTVLITGVSRGLGKALAVEIAKRGHSVIGCSRSQEKLDSLQSELCSGPSSSSSSSEKHLFLNADVCSNSGVQELARVVMEKKGVPDIIVNNAGTINKNNRLWEVPEEEFNAVIDTNLKGIANMLRHFIPLMIEKKQGILVNMSSGWGRSAAAQVAPYCASKWAVEGLTKSVAKELPAGMAIVALNPGVINTEMLQSCFGSSSGMYQAPDSWAPKAADMILNLTVADNGASLSV >cds-PLY63590.1 pep primary_assembly:Lsat_Salinas_v7:4:134599238:134601437:-1 gene:gene-LSAT_4X83840 transcript:rna-gnl|WGS:NBSK|LSAT_4X83840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSYHQQHQSFVLDQTVFFPFPTTTTTTTTTSFSQFHEQHDQVYVANKLQSPDSSMSIVLDDQKVSSVNDQLFAKKRKDKQICSLHRAQSKDTSTKLKKQKKSNDYQEEKKKKIISKQSSCEEEGAIGYIHVRARRGQATDSHSLAERVRREKISERMKLLQAIVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLASVNPMLYDFGVDMEAFMLKPDENMSSMVLPIMPMPSVQQCSTHGGASPATFAPATDNYPHLPLLIDASASPNSLLFQQSQMPNILSQGNGQHLWEADEQRLKLDTRFAINSNLSSF >cds-PLY63925.1 pep primary_assembly:Lsat_Salinas_v7:5:339293427:339296016:1 gene:gene-LSAT_5X190221 transcript:rna-gnl|WGS:NBSK|LSAT_5X190221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ER membrane protein complex subunit 8/9 homolog [Source:Projected from Arabidopsis thaliana (AT5G55940) UniProtKB/Swiss-Prot;Acc:Q9FG71] MSNGGECRYVIGQNAYIKLVLHALKHKTSAVNGVLLGRLSGDIVEITDSVPLFHSSQIGLLPPLEIALIMIEEYYSSQGLSIVGYFHANERFDDLELGTVAKNIADHVHRYFPQAVVLLLDNKKLGSLRNKKDKSPAVQVYARDSSSSRSWKQVESDRMTTKEPSANIVLLDFISSQKWNDIIDFDDHLDDITKDWLNSQLFN >cds-PLY71827.1 pep primary_assembly:Lsat_Salinas_v7:3:59757195:59761214:-1 gene:gene-LSAT_3X46701 transcript:rna-gnl|WGS:NBSK|LSAT_3X46701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVISTFLGFSGFGIGVSAGLVIGYYLFIYFQPTDVKDPIIRPLVERDSETLQSMLPEIPAWVKNPDFDRVDWLNKFIEYMWPYLDKAICKTAKEIAKPIIAEQIPKYKIDSVEFETLTLGSLPPTFQGMKVYVTDEKELIMEPVLKWAGNPNVTVAAKAYGLKATVQVVDLQVFASPRITLKPLVPSFPCFAKICVSLMEKPHVDFGLKLFGADLMSIPGLYRFVQEQIKDQVGNMYLWPRTLEVQILDPSKALKKPVGILHVKVLRAMKLKKKDLLGASDPYVKIKLTESKLPSKKTTVKHKNLNPEWNEEFNMVVKDPESQAVEFQVYDWEQVGKHDKMGMNVVPLKEIVPDEPKVVTLDLLKNMDSNDAQNEKSRGQIVVELLYKPFKDEDMPAFEDAGAVQKAPEGTPAGGGVLVVRVHEAQDVEGKHHTNPYVRVLFKGEEKKTKHVKKNRDPRWNEEFTFTLEEPPLNDKLHVEVASASSRIGLLHPKESLGYIDISLADVVNNKRINEKYHLIDSRNGKIQIELQWRTAS >cds-PLY96287.1 pep primary_assembly:Lsat_Salinas_v7:6:157753678:157756565:-1 gene:gene-LSAT_6X95581 transcript:rna-gnl|WGS:NBSK|LSAT_6X95581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNSPNLECRMYEAKFPDVDMAVMIQVKSIGEMCAYVSLLEYNNIEGMILLSELSRRRIRSINSLIKVGRTEPVMVLHVDEEKGYVNLSKRRVSEEDIQICEDRYNKSKLVHSIMRHVAETMQLDLEDLYIHVGWPLYRKYGHCFEAFKLIVNDPDSILNTLTREVKEAGPDGVEVTKVVPALTEEVKDALVKNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKAAMRKAEAAGNQDCPVKIKLVAPPSYVLITQTLDKEQGISVLTKAIVACTEEIELHKGKLTVKEAPRAVSEREDILLAERMAKLSQANEEVEGDEDSEEEEDTGMGEIDLDKTAASLDEN >cds-PLY67349.1 pep primary_assembly:Lsat_Salinas_v7:3:153828605:153830089:-1 gene:gene-LSAT_3X97661 transcript:rna-gnl|WGS:NBSK|LSAT_3X97661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKPHVLIIPYPAQGHVIPIIELAQRLVNQDVKVTVVNTEVTHKQVKSNALENDGFSDLLQSVSIPDGLEPWEDRSDLSKLTRSILQIMPGKLEELIETINKEDNNKVTCIIADDCMGWAIKVAKKMGIRRAAVWPASVTTLTSMLSCQKLIDDGIINNNGIPLNQEMIKLSETMPLIKPTNLWWTRFEDLPTSEAFFEVVKEAAEASRLTEWHLCRSTAELEPGALNLFPQLLPIGPLLASNRRADQVGHFWQEDSTCLAWLDQQPPCSVIYVAFGSFTIFNQTQFEELALGLELSNRPFLWVVRQGMTKETTAAYPDGFLERVGSRGRILSWAPQQKVLAHPSVACFVSHCGWNSTLEGVTNGLPFLCWPYFADQFQNEIYIRDIWKTGLGFDKDEAGIIRSGEIKGKVEQLLGDNAFRAKAIDIKEKVTSSIKQGGCVHKNICNFIEWIKEKDTDAKNQLDTI >cds-PLY63901.1 pep primary_assembly:Lsat_Salinas_v7:9:69389164:69392046:-1 gene:gene-LSAT_9X58480 transcript:rna-gnl|WGS:NBSK|LSAT_9X58480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase-2 [Source:Projected from Arabidopsis thaliana (AT4G25110) UniProtKB/Swiss-Prot;Acc:Q7XJE5] MSMLVDCSNCRTPLQLPAGARAIRCALCQAITRVADPRSLPPPLPTQPYATYSNHHYAPPHPHPPPAAPAPSPYNRVASFAQPPPVNGRKRAVICGISYKRTKHELKGCINDAKCMKYLLINKFKFPESSILMLTEEETDPYRIPTKHNIRMAMFWLMQGCQPGDSLVFHFSGHGSQQRNYTGDEIDGYDETLCPLDFETQGMIVDDEINATMVKPLPHGVRLHAIIDACHSGTMLDLPFLCRMDRTGRYVWEDHSPESGIFKGTNGGEVISFSGCDDDQSSADTSSLSKVTSTGAMTFSFIQAIERGHGTTYGDMLTSMRNTIRKNESDLGGGGAVSSLIGMLLTGGSLGGGGIRQEPQLTASEPFDVYTKRFTL >cds-PLY98811.1 pep primary_assembly:Lsat_Salinas_v7:7:22625448:22626314:-1 gene:gene-LSAT_7X17981 transcript:rna-gnl|WGS:NBSK|LSAT_7X17981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSIISILFLLQAIINTVNLVTAQFPAEPVFRCRDTGNYTTRTDYSRNLKVALNTVGNMDTYNGGSFNSSIGVNEAAHVMALCSGISTHWGGNCKDCIHKLTVQLTIKCLDQKEAVMWGSNCMIHFSDRKILGSLDDWSRFSLPDKQAGSLANKPDQFDNLMFFLMHRLKLDASRGTTDKKSEFKVDYENKPVYGAMQCTADLSRDLCDKCLQELIVAHRYCCSGRKAARIFSPNCFFSYSGQDFSKWKT >cds-PLY74906.1 pep primary_assembly:Lsat_Salinas_v7:3:131626363:131627522:-1 gene:gene-LSAT_3X88480 transcript:rna-gnl|WGS:NBSK|LSAT_3X88480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGEGRKRQQGVFGGKLSSSSIGIIATVFYSIPTEIGLMFNSRQWVSPAAPNRVEAYLSLESDKNIAGNFGTFESAVLGVANIPGPPPPKGSTIEHQKQKGLWAVKLLSADATVVRRTLSTLTENPNDLEGVSEDPKHAKKHSSFWSTIKPSHFRVKIATKNLLGMIGIIVTEISIGLLGSFSFERWLLLKFPSFSSFGGFRKEGPTEEEVASASFKMWFIDHGFSESSPLHHFFFLFQLLSMRGGGRQKENDNRGCLGCLVCRKTVGENGGGCCPWLAGNHQQTPLVGVFLLHLEDRRKRRECERKWKNEKLYLSMNYSYS >cds-PLY61745.1 pep primary_assembly:Lsat_Salinas_v7:5:218634232:218638413:-1 gene:gene-LSAT_5X100720 transcript:rna-gnl|WGS:NBSK|LSAT_5X100720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF guanine-nucleotide exchange factor GNL2 [Source:Projected from Arabidopsis thaliana (AT5G19610) UniProtKB/Swiss-Prot;Acc:F4K2K3] MGKQNEEEEEVEEEEEDDDEDDDEDEEDDEDEEDDKTIKIRKSKELGISCMLNTEVGAVLAVIRRRSDPSSYIYLDDALDSNILSSLKSLRSLIFNPRQSWRAVDPSIYLSPFLDVISSDDVPAAATAVALSSILKMLKLDMFDKKTPGAREAVSNVVMAVTGCRLEKTHPVTEAAVMMRILQVLAAVMRHVASVLLNDHAVCTIVNTCFQVVQQAANQGDLLQRTARHTMHDLIQIIFSRLLEIEVGDWENSESDTEDATDETGYGIRCAVDIFHFLCSLLNVVGIVDSDGSPSLTADEDVQVFALVLINSALELSGDGIGKHKKLLRMVKDDLFHHLIHYGTSSSPLVFSMICSTVFNIYYFHRKSIRLQLEAFFLFVLFKTANQGYSIQLQELAIEGIINFCRQPTFIIEAYINYDCDPMFNNIFEEIGKTLCKHAFLSGGTAPTSLQFQSFEGLMVLIHNIADFVDKQTDSGTGPYPVEITDYKPFWEETPVEGTESETWIDSVRLRKAQKRKIMIAGSHFNRDDKKGLDYLKISKLISDSPDPRGYAMFFRYTPGLDKVKIGDFLGDPGEFNLKVLKEFTESFELTGLVLDTALRTYLETFRLPGESQKIHRILEAFSERFYNQQSSEIFVSKDAVFILCYSVIMLNTDQHNPQVKKKMTEEEFIRNNRAINGGNDLPREYLSDLFQSIASKAITIFGQTGSPIEMNPSRWIQLINKSNLILPYVKCGFDRRIGRDMFAVIAGPSVATLSAIFEHAEDEEIIHECIEGLFAIARICQYGLEDTLDELISSFCKFTTLLNPYASAEETLFSFGHDLKPRMATLAVFTVANNFKNSIRGGWRSIIDCLLKLKKLKLLPQSVVDVGSSTANGRLHRRGESESVISKDQTGKINTSNLMAAISHCLSMENLEESLNLGISEFEQNLKVIQQCQIGSIFSKSSTLPLDPLLNLGRSLIFAAAGKGQKFNTPVEEEETVQFCWDLIQAMASCNVHRLTQFWPTYNEYLLTVVQLPTFSPIPFAEKAIVALIRICLKLLASRSNEKVAEELIFKSINMMWKLEREILDTCNDFIIQSVTKILNKYSGNLLSQLGWKTVLHLLSITGRHPESYERGVKALINLMADGSGISVTNYPSCIDCAFGFVALRNSPVDKNMKLMDLMADSVKLIVQWSRNGYSDPGSNSSINSSASIDDNTKALGTMNMSMNLFVKVGEVLRKTSLARREEVRNYAVTSLHKSFINADELYFTPNNIMQCFNLVIFALVDDVHEKMLEYSTRENAERETRSMEGTLKLAMEFFVDVYLQFLKVLVESPGFKTFWLSMLRRMDSVMKADLGVYGESKMRELVPGLLKKMIQTMQEKEILVPQEGNDLWEITYIQIQWIAPSLKDELFPDPV >cds-PLY84576.1 pep primary_assembly:Lsat_Salinas_v7:1:31261213:31267366:-1 gene:gene-LSAT_1X27101 transcript:rna-gnl|WGS:NBSK|LSAT_1X27101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEPFRAIGYITSSVPFSVQRLGTETFVTVSVGKSWQIYNCAKLSLVLVGPQLAKKIRALASYRDYTFAAYGNDIGVFKRAHQVATWSRHNAKVNLLLLFGEHVLSVDVEGNVFIWAFKGTDENPSPVGHILLDNEFTPSCIMHPDTYLNKVIFGSQDGSLQLWNISTKKKLYEFKGWNSSISCCVSSPALDVIAVGCADGKIHVHNIHYDEEVVAFSQTTRGAVTALSFRTDGQPLLASGGSSGVISIWNLEKRRLQSVIRDAHDSSVISLHFFANEPVLMSTSADNSIKMWIFDTSDGDPRLLKFRSGHSAPPLCIRFYANGRHILSAGQDRAFRLFSVIQDQQSRELSQRHVTKRAKKLKVKQEEIKLKPVIAFDVAEIRERDWSNVVTCHMDTPHAYVWRLQNFVLGEHILTPTTDIQAPVKACTISSCGNFAILGTAGGWIEKFNLQSGMSRGCYVDMSEKGSCAHDGEVVGVACDSTNSLMISAGYNGDVKVWSFKGRELKSRWEIGCKVVKIIYHRSNGLLASVTDDLVIRMFDVVALKMVRKFEGHTDRITDICFSEDGKWLLSSSMDGTLRIWDVIFARQIDAIHVDVSITALSLSPNMDVLATTHVDQNGVYLWVNQAMFSGAPHIDSYGSGKEVVKVKLPSISSGVGGEEGSKDDGDSDNKPPTFTNSQPPQLLDKQIPDLVTLSMLPKSQWQSLINLDIIKERNKPIEPPKKPEKAPFFLPSIPSLSGEILFKVTTTTDQTTHEEKGTQADDQFHKVTNLPSSPFVQYIQSSVETKNFEGLTDYLKSLSPSTLDMELRMLQIIDDDEEQEPEKRPELLILELLLEYLIHEVSHGNNFEFIQALIRLFLKIHGETMRRHSKLDKLLEAQSGIWQKLDGMFQSARCMVTFLSNSQF >cds-PLY88851.1 pep primary_assembly:Lsat_Salinas_v7:3:193069072:193069689:-1 gene:gene-LSAT_3X115460 transcript:rna-gnl|WGS:NBSK|LSAT_3X115460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARYSLLFAAAGLLLLVTMAEATTKTIFTTITTFEENPTGKRTEMSCGQQLAEQAMLNHCMMYLDTASGMSMGRGRMSEPITPEQHLELCCMQLTNIDETCRCEAIEMMMNQQGWTQQQMGKMMGMAKNLPKTCKVEPGMCKMRALWF >cds-PLY97961.1 pep primary_assembly:Lsat_Salinas_v7:3:18877362:18878981:1 gene:gene-LSAT_3X14660 transcript:rna-gnl|WGS:NBSK|LSAT_3X14660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNGDRSSSRGFNIGGGDDDSGEAPAPAPSAFLESDIAIGINMQHAVSSFNLHNKITNFMPPSSYYHHNQLQNHQASTEIGGGVVFGSDSGGATEVSGKVLFTATQWQELERQTMIYKYIMASIPVPPQLLIPYSTQSNKGGMDMRFSSGSDPEPWRCRRTDGKKWRCSRDVAPDQKYCERHAHKSRPRSRKPVEIQPHNTNITTNALNITPTSYTNTLITSSYQHPSWAIPVSVSSSNQQFQESLSHSPRVGSKRNHNFPQEHESHNNNNYSNILDTTSTAGVRRQNFIDAWSRSTGGGDNCSLTLSMQCSGGIDDDDHNFEIGMLDVEREGKLHNEWLLNQSSWMGSRSTPGGPLGEALGLGIASSTVNGPLSGPSFHAHSNSSSTTTSSLCENGDAHGHELSFIR >cds-PLY84459.1 pep primary_assembly:Lsat_Salinas_v7:7:127786391:127789126:1 gene:gene-LSAT_7X76621 transcript:rna-gnl|WGS:NBSK|LSAT_7X76621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Primary amine oxidase 1 [Source:Projected from Arabidopsis thaliana (AT4G14940) UniProtKB/Swiss-Prot;Acc:O23349] MIVIRGGGQTHELIIDLTNSSIKSDHVYTGDGYPPNTFTELLQAARLPFKSSKFKALISKRGLNISEITCFPFTIGWFGESVTKRAVKLSCFYHEGTTNVFSRPIEGLTILVDIESMKIQTIVNIGSRRTHLPRSEGTDLQSSSESYDPNVDCEKTDEKGFTIERNEINWKNWRFHVGFNARAGVIISTASVFDSGKNEWRRVMYRGHVSETFVPYMDPSSEWYYRTFLDVGEFGFGRSAVTLVNLTDCPSNSVYIDGYMSGADGMPQQVPKAICIFERYAGDIAWRHTEIGIPGKVITSGESEVSLVVRMVATVGNYDYILDWEFKQSGSIKVKVGLTGVLEMKATRYTKAEEIKEETYGPLVATNRIGNNHDHFITYYLDLDIDGDENSFVKANLKVKKVNTSPRKSYWNVVKETAKTENEARIRLGLKASELLVVNTNKKTKLGNDVGYRLMTGQPAVSLLLDDDYPQIRASYTKYQVWVTSYNKSERWAAGFYADRSTGKDGLAVWSRRNRSIMNKDIVLWYTVGFHHNPCQEDFPVMSTLANGFELKPSNFFERNPLIKT >cds-PLY91546.1 pep primary_assembly:Lsat_Salinas_v7:1:10630296:10632122:-1 gene:gene-LSAT_1X10340 transcript:rna-gnl|WGS:NBSK|LSAT_1X10340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKLTWKNQTKNKNNKRPIATISDLPFDQEEDTVATFAADDTSQDRCKAGEDGSVGTSTPGSNVDETIQLAESFQAQGNKFAEVGKYREALGKWESAITLMPEKATLHEQKAQVLLEIGETWKSLMAATRATQLEPSWAEAWVTLGRAQLNFGEPDSAIESFDTALAIKPDSVEAKEDRKGALHLVKKRKQLHSSGLSVSENRFVVGEETHEQLI >cds-PLY82494.1 pep primary_assembly:Lsat_Salinas_v7:2:183843313:183848689:-1 gene:gene-LSAT_2X106321 transcript:rna-gnl|WGS:NBSK|LSAT_2X106321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAGDGATPYQSGGAGGKFRKKPIRRSGLATPYDRPSTALRNKSPSLFAKLVDPASRLIHAGADRFFGVFRKRLPSIAAPRPPETLEEPDPSTNEGGNLASISATTEISELENMLKQKTFTRSEIEHLTALLHAKATNSDDDEGDRAKLPSPSSHFQRPEASTSSPLNKHVEERENIHAITSTPVVTSRTFEEDVASPAELARAYMGTRAPKVSPSTLRFGSQDSALLNTTAILPKTPITSLVPRSTGTFKGLENGFLTTPRSRGRSAIYSMARTPYSRPPSTFGQKGMNSPSLPLSQPAWEQEGSIESSKMASKRRSSVLDDIGSGGPVRRIRQKANLLSQGSPLSKNTSEFRQKLLLRNEADSKSFKAIEENGETSRSSLGYASVPTKSTQMATKILEQLERLSPKEKSPGSRLTRMSEKSPFTPQEKPDSTPKLMSSSEDYKKSESLFPDARESTTTQTQSKGKEKVEENGPKKFAVPRNVLSTMNGSSGVSIRDNGPTDSTFKLPAEPPQKKRFQISAHEDYQELADDDSRSNGGHVSTIPFGQNKKPETSVFGNKDVSAEVIQSPPVEKIPGTQKTDDSKSLPKTSFKSVDGSVVNKKVAFNLPASNNNTQPQPAAITDTVSPQKKATVFPTFGGTAKVTENVSPFLFSANEPSGFKPNATTDAKPLGSTSMFNSVNKESNQVQFPASKKDDNGNALINNKSESSPTLTPSSSSTSGVFSFGAKNTNITNGSISTPSTFAPSSSFPASSTNNIFSVSTTTSLPSTTMSAASGVAPAPPVFSFGSAITSIKSAEPPKETTAEPKSDTTTSPFGTPTTTTTGGGNNMFGFSSPAATNNQGFLFNGGTGGFQTSFGGTTAVQSSTSGGSLFGSSVPSFGLSSAVATSEIKSGSSTTSITPSFGLNSAWQPPKTSPPTTFSFGASSSSSSTSTSPSPFINASTGSSLFSFSATTSAFPAQSTSVFGNSTPVFGSSPNNNDQMSMEDTMAEDSTPSPSPSLPAFGQAGATPTPTPGFMFGSGTPTPSTVPFQFGGQTSQPPQNPFQTNQPPSQNNHFQLSGSADFSGGGSFSLGSGGGDKSGRRILKVRNSKNRKK >cds-PLY93603.1 pep primary_assembly:Lsat_Salinas_v7:2:174513431:174516585:-1 gene:gene-LSAT_2X96801 transcript:rna-gnl|WGS:NBSK|LSAT_2X96801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIESQSVTEDEEQIGIEQPAHHPPAPADELFDISTTVDPSYVISLIRKLLPPTMNATATSDMVSGCVSATEGTNGSLVSQAEDNLTENRHETMDIIDQINTSNKQEGKDDSSDDQEKHVDPLVGDESWEEHGCILWDLATSRTHAELMVQNLVLEVILATLTVSQSPRVTEISLGIIGNLACHEVSRKEIASVKGLVEIIVEQLFVDDTPCLCEEFRLLTLCLQGNDSITWAKALQPENVLSRVLWVVENTLNPQLIEKSVGFLLTISESQDEVKAILLPNLVKLGLPVILINLLAFEISKVVGDERIPERYPVLDIILRAIEALTVIDSCSQELCSSKKLVHLLATLIKLGDKIEVATSCVTAAVLIANLLSDSDDLILELNQDLPFLQGLVDIFPFASDDLEARNAVWDIISRLLGQIQEGEISPLNLQQYVSILSSKSDLIEEELLDHQLAATNKDQETSTASIRTTALKRIDCIVSQWLALKDRVSPNNFGVNERDLGRLKDCCCKYRNDSVSSLVMQDVKGSSEKMLP >cds-PLY74168.1 pep primary_assembly:Lsat_Salinas_v7:9:11976325:11977310:1 gene:gene-LSAT_9X8501 transcript:rna-gnl|WGS:NBSK|LSAT_9X8501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLKKGPWTHQEDRILIDYINLHGHPNWRALPKLAGLLRCGKSCRLRWTNYLRPDIKRGNFSREEEETIIQLHTALGNRWSAIAARLPGRTDNEIKNVWHTYLKKRLNPNTNPTVTSSKFQQEVEISKEDEEDIARSSPLETNSSNQTSYNQIDSPQPSSTEISSVTTSTNDNSCMEDLVLDQSLPDMDEEFWSEIFSADNSDEFPAVHENIGQLQSGCGFESNLHDDMDFWYNVFTRGEELPQI >cds-PLY73614.1 pep primary_assembly:Lsat_Salinas_v7:5:203473449:203476622:-1 gene:gene-LSAT_5X92540 transcript:rna-gnl|WGS:NBSK|LSAT_5X92540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHSAEGGRQSAEGAPRSDVFPPRNVVIEQTITPRWLEVTPQRGLRGMTSNLRGGMHENLNQKAEFTLKGVVGTMIRKYFPRLTQSQRVLFEASPFGIFLGMHIPHGDPLLVHMMMLHEVNSTPKVKKITKPKKKKVVKSHEKPNEDIVKEESNDVSNHLLLDSVEAASTLSFWKEWISISANLNTKHRLHMLTLDIEFWSRNMENGVGGYPKWKDVDKVLFPIIVPHAHWFLAVLHLDIWNVHIYDSARCMNYFTKYLAGGEFKSLGDSIIEELDAIDYWKDFPDGHKNNAVVEFIDILDVPQQEYIVDRGDCGVFVSMFMEMIASGVPVKSDKPCRDARFLYKNRMTNIIWVTK >cds-PLY62520.1 pep primary_assembly:Lsat_Salinas_v7:1:82747167:82751069:1 gene:gene-LSAT_1X69320 transcript:rna-gnl|WGS:NBSK|LSAT_1X69320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMHDANDVDSYEEDYYSGDAMYSDDDDDAGYEFLDNASDDSDDVSVSRQQKNYTILKEDDIRQRQEDDITRISSVLSISRDSACMLLRRFNWNVSNVHEAWFANEDAVRKAVGMLDTTNIKPPKAGELPCGICFESYTLDSVSTAACGHPFCNTCWAAYISTSINDGPGCLTLRCPIPSCGAAVGVDMVNMLTSDEDKKKYRRYLLRSYIEDNRKTKWCPAPGCDCAIEFDLGSGSYDVTCYCSYSFCWNCTEEAHRPVDCETVSKWIMKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCNPPCKYEFCWLCLGQWSDHGERTGGFYACNRYESAKQEGAYDESERRREMAKNSLERYTHYYERWATNQSSRQKALADLLQMQTVHLAKLSERQCQPETQLKFILEAWLQIVECRRVLKWTYAYGYYLPEQEVAKRQFFEYLQGEAEAGLERLHQCAEKELHTHIDGNASQEEFNNFRTKLAGLTTVTRNYFENLVRALENGLSDVDSHGASSKTSTSKHAAGTSKSKSGKGKGPTKGGGSTRTDDLTGWSCDQCTYMNPKNATSCQICHTSR >cds-PLY94203.1 pep primary_assembly:Lsat_Salinas_v7:5:321648872:321649604:-1 gene:gene-LSAT_5X178301 transcript:rna-gnl|WGS:NBSK|LSAT_5X178301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQQPTSINPTYLKSQFARRFVRALNNLKNQKGSAHDNQMRRKSGRVKIAAYKAMASVVGSRRAWSRAVLSKIKNRPRNQELLRSNRKRAEHRIMTNLHHRHHAKVSIKRRNPNPERDEVYFNPFKYSGQESKLRKLVPGAGSMDSWCLVDETADYIKCLAAQVDVMQTLVDLYTAT >cds-PLY80093.1 pep primary_assembly:Lsat_Salinas_v7:5:147291182:147291406:1 gene:gene-LSAT_5X64461 transcript:rna-gnl|WGS:NBSK|LSAT_5X64461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGLLGLGHLDMDGVRALCAFDEGEEDVEMLGAGGAEATGVGASVGAGGVGGGSEIGDGDGPVGGVGAGGDV >cds-PLY72382.1 pep primary_assembly:Lsat_Salinas_v7:4:147584392:147593003:-1 gene:gene-LSAT_4X91240 transcript:rna-gnl|WGS:NBSK|LSAT_4X91240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTPLDYAAFHLSPKRSKCELYVSSGGNTERIASGLVKPFVSHLKVAEEQVALSFQSIKLEVDNQKKFETWFTKGTLERFVRFVSTPEVLELVSTFDVEMSQLEAARKIYSQGSSSLGTGADATKKELLRAIDERLATVKQDLATACGRAGAAGFDHKSVVDLQLFAERFGAARLNEACSQYISLYDRRPELVNNQSWKSDVGDQAIRSSYTSDDMSLEDDLPTTTAEQPSSTTPQPPKFLSSSASFPLRGGGAHAEYDSGFVKAEEGGGASVPVQSQTATQTASSSRRLSVQDRINLFENKQKEVGSGSGSGSAAGSGVGIKPELRRLSSDVSHSSSSPSPVLKRWSGDNEKKEKEDYKDTPTSIKTEVPKDQTNSIIVQLTVSSSVKSEESLGSTSNQPISTLEKTPSWTSLTKSDDDDSLTNSQINSFHERFHKSDLTSKPNPSSELDSGTMMLSTHPKKTPLDSGYNTPPPSGKYFHGGSGSGSRNMKGNQELNDELKLKANELEKLFAEHKLRVPGDQTSAGNRQIRKQVAADPVPVPTPTPEPQLRSETESEIPIPETEVEIPDDSRGKLYDSYMKKRDARLKESWDSKEAKMKAMHVTLERNNTEMKAKLSWSSDRQNSSAQKRAERVRSFNTPSSSKRDEPLDFGQQSNKKALPASIPRSGTKLGSSSGRRRGQSDNVVAQSVPNFSDLRKENTKPYYSGKVGGRSQMRNYTRSRSGSANEETPPVKEEKPAKRFLRKNTGIGPGSGSVAAKMKASMVVSQPMNEEVNVIETVDVDDEDEEFDDNTMQEKEEEIESRMSVESETLINSESENGDEIEIENSSKMENISDIIPAAAATVSPPDESPVSWNSRSNYPFSDVDYSPVSMRSPGFNSNETTADVARMRKKWGITQKPSLVVVPNSSGSNKKDMTKGFKRLLKFGRKSQSQSQNRYNESLPDYISATTSEGDDDIEDGRDLANRSSDDLRKSRMGYEHDLTDYYADQVHTLQSSIPAPPANFRLREHHLSGSSIKAPRSFFSLSTFRSKGSESKPR >cds-PLY92336.1 pep primary_assembly:Lsat_Salinas_v7:9:178191720:178198375:1 gene:gene-LSAT_9X110121 transcript:rna-gnl|WGS:NBSK|LSAT_9X110121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 2 [Source:Projected from Arabidopsis thaliana (AT3G19190) UniProtKB/Swiss-Prot;Acc:F8S296] MLSNFAKSAESVFYRLAIKKVCKFLLKKKLGQLILGDIDLNQLDVQLSNGTIQLSDLALNVDFINKKFGEAAVLVVKEGSIGSLTVRLPWKRRNCEIEVEELEIVLAPGRKRSSQAADEPSTSGQDSNTYTSHGFTTPEQDLVNSTMTNPSVDIHEGVKTIAKMVKWLLTSFHVKIKKMIVALDPFLEEPKEKGFSRTLVLRIGEVQCGTGISEDVDLDSQRTVDGFLGLSQLTNFLKFDGAVLEFLQLDDFGNKSAFPCTPVITGEKGGFSGTIKLSIPWKNGSLDIRKLDADVFIDPLEIRLQPSSLKSFMYLMHVFEELENDHKSFMDNKPNESVYYNASFHGYSSEFSSDKPSQKPETESYLDALLRGSHLISDWMTSPVTSNLDTKTEEPDIDASVDQFFECFDELRTSQSALGNSGMWNWTCSVFSAITAASNLASGSLHIPSEQKHVETSLKARITHIVILFSFVDEDKKPSCIHYINADFHEMQLMLQVCPRESNFEASVNHIEVADHFSNTSDSNVKTQDSLIRKKQAAVEGACPPLSFQVQSDSPNVSQRGYRGIYSDDVAKVLILKTSGVTQCQFITPTVSQDVKSQPKSFSIKLPPLVFWVNFHLITTVLDLFKEIEKSREIYVKKDLSASISGDINITPNHSHREVLRGNIFLLDARMVLCFPCEKNQNQEYKTYLSWNEFIVIDFSSPLPLGKVKSSQRFRVTPSRSFHLSVGNISVYFVNDMQNLKFRVERVLSVFDKTGHPSVFSMIFQDNAVTGPWITKKAKILSTSDGTTSRNKSTGKGHGFAFVTTTKDLEDFNTQTREEMVLTSSFFIHARLSPVTINLNSSLYQNIHNLSHQITDWLSHISPNQVPTTQKHSSSQSSIFLECNLVEISVAMEGMKDSIRNELPGSWCNLKLKVGNFELLTVSNTGGICGASFLWVGHGEGDLLGSTTEVSGKNFLLISCENSTKGRGNGEGSNILSSRLPGSDIIHMWDPQTFMSQVSINIRCATIVAIGGRLDWFDAIISFFSQTSTESDQKEDTISGSSFVLNLIDIGLSYEPYSSNPTSQQDSEPRVSGFLASSSLKLSNVSSPNSVQKEYEIKGHDLGLLLCEESGVKNLERSYSEQNLRRFGYVKVAEETHIEAVLRTNCVNGHEWEMECHESHIVLETCQDSTSGLARLAAQIQQIFAPDVEESIVHLQTRWDDVQMSQESCKSRSSSHHSASSSSEFHETYTVNLMDEISEGAFDLNESHEFVPPVKVPFQMFGLEDSGLEFIDGYFNNQSSSGKTVILKETEGQVGSGWYGDSLLTIVEDHVFGNGEKTKSKHFVESGPSKKVKGRVVLKNMDVRWTLYGGLHWQYTTDSCMRNKDIGLEFKLSKMGFQYDMFPDGEITVSKLSVSIQDLCLDDVSNRAPWRQILGCYQSKDRPRESCSRALKFDLESVRPHPSIPLEEYSTHTWQISLFQKFSITPVVVRVDYIPSRVDLPALGHGKYVELVNLFQWKGVELQLKNVQAVGVYGWSSVCETIIGQWLEDISQNQVHKLLKGLPPIRSLVAIGSSAAKLVSLPVKNYKKDRRLVKGMQRELQLKNVQAVGVYGWSSVCETIIGQWLEDISQNQVHKLLKGLPPIRSLVAIGSSAAKLVSLPVKNYKKDRRLVKGMQRGTIGFLRSVSLEAIGLGAHLAAGVHAILLQAEEIISTTSPTSIPRPIQTRVNPYGRPSQPKDARQGIQQAFETMSDGIGRSASALVQAPLKKYQRGAGVGSALSTAVQAAPGAAIAPASAAARAVHSALLGVRNSLDPEHKKDSMDKYLGTTQSQPL >cds-PLY88659.1 pep primary_assembly:Lsat_Salinas_v7:7:47519758:47520309:1 gene:gene-LSAT_7X34421 transcript:rna-gnl|WGS:NBSK|LSAT_7X34421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRPYKPSIQHCSVLLRTIAGNISPNLDLVFRVVNKFEATGNSLSKSIYDGIHRSLTSVGQFDEAKKMTAMKDAGYEPDNITYSQLIFGLCKARRLEDAAKVLDEMQANGCNPDIKTWTILIKGHCSTNEVDKALIILANMIEKGCEADANLLNVLVNGFLSQNNAIEAHQVLVEMTETRQVG >cds-PLY83002.1 pep primary_assembly:Lsat_Salinas_v7:1:17181386:17182505:-1 gene:gene-LSAT_1X15100 transcript:rna-gnl|WGS:NBSK|LSAT_1X15100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQDIHSFESSGGGDALFAGGGDRRYRHHHQDLKCPRCDSSNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGIRKAKRSSKPKSRISIPCSDAVAAERKSSNSENSSSESSTPNRTATTTTSITTTDASGSNSTNSTPAMMLNFNESSRRFFNIPQSSTLITTFDPIMVNNPSAGNKSSEIGAFTNMMTSSTDQLSDIPSFQLQQKNTEDVVGNHNCNQIQWTVETMGFMDQAADIELSIYGSRRNNGGIAGIENWQHSTDEGLFDLTGNLDQSYWNQNHWKDDDHNQYHLNYIP >cds-PLY69192.1 pep primary_assembly:Lsat_Salinas_v7:1:79873099:79878318:1 gene:gene-LSAT_1X68420 transcript:rna-gnl|WGS:NBSK|LSAT_1X68420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAMTLQVVSPVPTDIDIANSIQPQHISAIARKLNLNPNHYDLYGKYKAKVLLPVIDELKGQKDGFYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTTLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRMFHESTQSDSALFNRLCPPNKQGNRIFNDVMYTRLKKLGIHKKFPEDLTPEEVKRFVRLDVDPDTITWRRVMDVNDRFLRKITVGQGVDERGMVRETGFDISVASEIMAVLALTDSLSDMRARLGKMVIGNSKSGEPVSADDLGLGGALSVLMKDAINPTLMQTLEGTPVFVHAGPFANIAHGNSSIVADKIALKLVGPGGFVVTEAGFGCDIGVEKFMNIKCRYSGLRPQCVVIVATVRALKMHGGGPAVAAGKPLDRAYVSENVGLVEAGCANLARHVEIVKSYGVNVVVAVNRFSSDTDAEIAAVRAAALAAGAYEAVVCSHFAHGGKGAIDLGVVVQKACENATEAFKFLYPLDASIKDKIEAIARSYGASGVEYSEQAEKKIKMYSKQGFAGLPICMAKTQYSFSDNPAAKGAPSGFVLPIRDVRASIGAGFIYPLVGSMSTMPGLPTRPCFYDIDLDVTNGKVKGLS >cds-PLY99790.1 pep primary_assembly:Lsat_Salinas_v7:9:52625549:52627919:-1 gene:gene-LSAT_9X47020 transcript:rna-gnl|WGS:NBSK|LSAT_9X47020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNTAATGDGGSNGTFFRVVLLVRREKYDEALEYVERARKCLATELAAMVIDYYTLLVGNSISLNSSLPAANRPVHGPPILHLVEQLFLALNDEFRRYLLIILLFGDGKTPHYMKVDRDKWADEDDDAGPAADLDMGGMGKLTDGTVFDSSFERGDPIEFELGTGWDQGLLGMCVGEKRKLKIPSKLGYGDLDSPPTIPAANLKNYPSKFSKAKGRRVGSRKG >cds-PLY88227.1 pep primary_assembly:Lsat_Salinas_v7:8:149969123:149971956:-1 gene:gene-LSAT_8X101061 transcript:rna-gnl|WGS:NBSK|LSAT_8X101061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLTRSIPVCMLILFCLAITFTPSTSQSTTTNTTAGDGATITKAVNLTKPGCQSQCGNITIPYPFGIGPGCFLSRWFELTCNTTFNPPKPFIGGLPILDISDSTFRIANKVASRCYDQFGSVANDEPISTSLGLTSPFTFSQRNQFTLIGCDDMALFLGPQQINFTNGCLALCTQPEEVLNGSCAGVGCCQVSIPKGMKYYYISLGSVASNHTNIWSFNPCAFSFMSEQERFTFGGVSDLMDPNFKTRTKASVPILVDWVIGNLSCNTNAGVLTCPVNTHCIDSDTGVPGYRCICDEGYQGWPYLDPGCQDLSCSEARNAGDLKCQANTHCIDSEPGIPGYKCICNQGYEGQPYLDPGCQDINECEDPNINLCEGICTNTPGGYSCSCKDGYVGDGFSNGRGCVAENSDAENSEFPVIKFSLGMGFGFLAILVGTTWLYFGVKKRNLIKLRKKLFQQNGGLLLKQRIMSSEGSVDSTKVFTAEDLEKATNNYAEDRILGRGGYGIVYKGIFSNNLVVAIKKSRVMDETQIEQFINEVVILTQVNHRNVVKLLGCCLESEVPLLVYEYVSNGTLFNHIHDKGTNWLSLENRLRVAAESAGALSYLHSATSTPVIHRDVKSANILLDDNYTTKIADFGASRLVPIDQTQVTTLVQGTLGYLDPEYFHTSQLTEKSDVYSFGVVLAEILTGRKPLCMERTEEERNLARYFVMALEENRLFKILDPRVVREGTLDQLQEIGELVKRCVNLSSDERPTMKEVAIQLEGLRKFTQHPWANANRHGAENVNFLNNENEQDDIYGESVTLYSNKSELSSRFSIDSSFVYSMNNPKS >cds-PLY66543.1 pep primary_assembly:Lsat_Salinas_v7:4:341428750:341432457:-1 gene:gene-LSAT_4X168700 transcript:rna-gnl|WGS:NBSK|LSAT_4X168700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKELVTFQVGSYANFIGSHFWNFQDELLGLFEDPQADMVFKNQNLDMDVLYRTGETQQGIPTYTPRLISVDFQGSLGSMSSHGTLYNQSSSLSSSITTWNGNVSTQASEPYKKNLFLQRLYDEGKEKVANANGDSQSEIQDTDVVNSLEESVEFWTDYSKVHYHPQSLFELNGSWVNPQEFNNYGIGKNTLSEGLQGDEINERFRFFIEECDHVQGIQFIIDDSGGFSGVGASILENIADDYTNVPVVLYSVRSPSSFINPKTRKQNIYSNLHDAVSFSALSSLCNLIIPVGLPSLNESRFSQFLNLQDNKLYHSSGVYASVIHSVSLPFRMKRIGPNGESLYECGAMDLYEGIQMLAGQGRQNYVAVLDACIPAPSLVGRVFKQSLLENLLPLTPETAYDVEDLQAIESVIVQGVLGSEEHEATLSEVKEAMETAYEKATTRPRFSHLSVSRCPLPILLPFPSIFGTRVGRRGEILSNPVSESESMSRRGSIDVHSIPMAARLRSSTAILPFLENRLGNIRKFGLERGSIGAEVLRNWGFGREEVEEIGENLSKLVVTLDPHQGYSSDSD >cds-PLY66522.1 pep primary_assembly:Lsat_Salinas_v7:4:339288886:339289083:1 gene:gene-LSAT_4X167540 transcript:rna-gnl|WGS:NBSK|LSAT_4X167540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLVVVMSHLGMDHPPFPSGDPIVPPPSQLHNTGYDVAGASGTRPGDTNDDDEEIETESVSSKE >cds-PLY63924.1 pep primary_assembly:Lsat_Salinas_v7:5:339112180:339114055:1 gene:gene-LSAT_5X190321 transcript:rna-gnl|WGS:NBSK|LSAT_5X190321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSLYICTPFLILALFLSPTSSAVVPSANSSVCQYTRYPSFCRSSLPINNSSANVYDYGRFSVRKSISAAHKFSSLIDKYLSGSSGLTTGAIHALQDCQYLAGVNIDFLTNAFQTVDKSQTTLSTMKSQDIQTMLSAILTNTQTCIDGLQATAASWSSKNGILAPLANDNKLYSVSLSLFNRGWGVKNKKKSSSVSAHKKHIGFKNGRLPLKMSERSKAIFETVGRRKLLQTDDGGDQVVVSDIVVVSQDGTGNFTTVTDAINIAPNKSAAADGYFLIYVTAGVYEEYVNIPKNKLYLMMIGDGINQTVITGNHSVVDGWTTFNSATFIVTAPNFVAVNITIRNTAGAIKHQAVALRNGADLSTFYSCSFEGYQDTLYTHSLRQFYRECDIYGTVDFIFGNAAVVFQNCNLYPRSPMSGQFNAITAQGRTDPGQNTGTSIQNCNIQAAEDLGSTRTYLGRPWKEYSRTVYIKTFMDTLIDAVGWREWSGDFALNTSYYAEFDNSGPGSDTSGRVTWPGFHIINATDAVNFTASSFIPADEFLPQTGVPYSSGL >cds-PLY78062.1 pep primary_assembly:Lsat_Salinas_v7:4:353806009:353813455:1 gene:gene-LSAT_4X174081 transcript:rna-gnl|WGS:NBSK|LSAT_4X174081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCEQKLAPNLPYMKSLFLGWFEPLCKKIEQDQALCRKGKHKAAYAPYFDLLPSDKMAVITMHKVMGLIMTGGENGCARVVSASCSVGEAIEQEIRIHKFLEKTKTKKAAKAAKSEENKKVSDSDDVKKEQEGLHKKVKNLMKKGKLQVVGHIVKGYDDSKPWTQPVKAQVGSRLIELLLQSAYIQPPADQLGDTPPDIRPAFVHTLRTSVKEGQKTGRRYGVIECDPSVRKGLERASRHVVIPYMPMLIPPVKWTGYDKGAYLFLPSFIMRTHGAKQQREVIKKTPKENLQPVLEALDTLGNTKWRVNKRVLSVVDRIWSNGGCLAGLVDRHDIPLPEKPDTEDEEELKKWKWKVKKVKKENRERHSQRCDVELKLTVARKMKDEAGFFYPHNLDFRGRAYPMPPHLNHLGSDLCRGILEFAEGRALGSSGLRWLKIHLANLYAVGGVDKLSREGRIDFTESHLNDIFDSADRPLDGDRWWLNAEDPFQCLAVCINLAEALRSPSPHTVVSYIPVHQDGSCNGLQHYAALGRDKLGATAVNLVEGERPADVYSGIAARVLEIVKRDAELDPDSFPDAKHARLLINQVDRKLVKQTVMTSVYGVTFIGARDQIKRRLQERSDISADDAQLFAAACYAAKITLAALGEMFEGARNIMNWLGDCAMLIACENEPVRWTTPLGLPVVQPYRIHGRHLVSTSLQVLTLQRETEKVMVRRQRTAFPPNFVHSLDGSHMMMTAVACKHAGLNFAGVHDSYWTHACNVDEMNRILREKFVELYQTPILENLLESFQESFPTLSFPPLPDRGDFDLSDVLESSYFFN >cds-PLY85847.1 pep primary_assembly:Lsat_Salinas_v7:4:120502739:120512142:1 gene:gene-LSAT_4X75780 transcript:rna-gnl|WGS:NBSK|LSAT_4X75780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSADFMADSVLDDENLLLENLLIILRVLWLLTARFEVGLMGSKLMSGGVGVRPETNFDFRLEVTDGGYRRRQWSMDVGHCYLDGNADVVEFCPHDSFHHVLAASTYTLQEGDHPTRSGSISLFNVDLGKVDLLYRLKTAGIFDMKWNPVNTTTTTATRPLLAQADADGFLKIHHLQSSINGNDLKEVSSEKLSSCMCLCLDWNPSATSISVGHSDGSISLTAFQETKTITLQQWKAHDYEVWATTFDPDQPNLVYTGSDDCKFKGWDLRNSPSSTTFQNSKSHQMGVCCISKSPHNPYTLFTGSYDEQLRIWDVRSLTRPVIEASIGLGGGVWRIKNHSVVPGLILAACMHNGFAIVKVNGSQEVKVVETYNKHESLAYGADWYRGSIDKKGKTVIATCSFYDKLLRVWVPETDI >cds-PLY83932.1 pep primary_assembly:Lsat_Salinas_v7:MU041291.1:707689:707994:1 gene:gene-LSAT_0X32080 transcript:rna-gnl|WGS:NBSK|LSAT_0X32080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHEISKERPRIGQCPPLWEELGVKLKDWCLKFQMNETNVDKVFDKRFKRNYHPEWAAAFIIGPFYLIRDRTGKYLPPFKCLTPDQEKDVDKLITCLVSQQ >cds-PLY84664.1 pep primary_assembly:Lsat_Salinas_v7:2:150513971:150514605:-1 gene:gene-LSAT_2X78820 transcript:rna-gnl|WGS:NBSK|LSAT_2X78820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLRRSHNKQTQAIADKRIIKKGSNIEDDDNGYPTDVPRGHFVVYVGERRSRYIIPISCLHDIGFQILLRQAEEEFGFNHDMGLTIPCLEQDFLSIFS >cds-PLY94497.1 pep primary_assembly:Lsat_Salinas_v7:2:156192871:156194372:-1 gene:gene-LSAT_2X79900 transcript:rna-gnl|WGS:NBSK|LSAT_2X79900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLGLLSIHVHKGVNLASRDIGGSDPYIIFKLGDQKVKTKVVKNNNNPVWDEVLTLAMFEPLPIKMEVYDKDTFSQDDELGDAEFDINPFLEALKMHFNDLPDETIIATVKPARNNCIAEESHIKWTNGKVIQKLVLRLQNVVSGEIEIQLEWANVPGSRGL >cds-PLY81284.1 pep primary_assembly:Lsat_Salinas_v7:2:27528762:27538156:1 gene:gene-LSAT_2X12640 transcript:rna-gnl|WGS:NBSK|LSAT_2X12640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSPQPCPKTVTVRRNPPRRARPTPATVVPVSAPPSSRTSSAIRSFPIQDILSIDIPEKQEIPEPPSPKESPSSEKLKVFLRIKPIVTQPKVGVSVKNAAHKNVWPQNPNKKKDATKTAKVVGKKTNEICLKVNDLHSVTLCPPQSLADARRTKSEVYEGFSHVFCAESSQSEVYEKMVNPLVEDFLKGKSGMIAAMGPSGSGKTHTVFGTTREPGMVPLALRQIFSEKENNESKCSRTFYLSMFEIYSERGKGEKIMDLSQEGGDLFMQQSNIKGLKETIIHDVQQAESLIAIGMSKRSTAMTNSNIQSSRSQCIINIRSGHENIDFHSYFHTGVQPSTAILTIVDLAGAEREKRTGNQGARLLESNFINNTSMVFGLCLRSLLEHQKNPKKPFHKHFQNSMLTKYLRDFLEGKKRMSLILTAKSGEEDYQDTSYMLRQASPFMNIKFENIEEQPTNAFGNKKRTQTLPKIEQSKRMKFNPNEVFMDNELKGDILHQILKEELTPEKVKEVDEIKDSSVETPCNNINTEVINKNKSHETNTKDSVKTSRENHILLNFSRALWNVLKEYKNKLEASEYEVNSLRHSLSVKKERINALETELNLIKYGKETITDVLVVEENESKATSANCSHVHEGTSSVEDVSTSISDESFQEPSKEKDDIAPYEYVVFDKEDLKELKDKDFSTEIGCDNLESTVHNSELLITQEHEKEEGITSVEVTSAASCPESFDNLLPAGTESDSELTCVHEDEVLSSPLEQLKQEQNEGINAVEVISVSIVPETFEDQCNEKIDVSNTNIVLDEQEFKEVEEREANGEIAGCDTSVPAGAESAFSCVLEDEVSSSPLKENHPKQEQKEKSDVSNTNLSLDKQEFKENEEKETNGEITDEVSSSPLKEDQSKQEEKEKQKEEEKQDIVCCEDVNESKLQEASNIQPCKSSSAVKPKRRLRPVSSVMLRNINILDSVDATEMTKHGKRGVEKDVEKRTQGSISLMRLLKQNLHH >cds-PLY78817.1 pep primary_assembly:Lsat_Salinas_v7:8:140379883:140380476:-1 gene:gene-LSAT_8X95820 transcript:rna-gnl|WGS:NBSK|LSAT_8X95820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRTESGHSVSGKQKQEKGSKTPPFVPLPHTRTRPDAGDFDGSDSVFSECYSDNDDPPDSSQLSPLASDYDIGSRTTAGSPSNMIIKDQLHVTKRELPKPHYHLFDTHISFSPPRRKPLRSHVPNLQVPSHGAFFSAPDSSISSPSRSPLRAFGTEQVINSAFYT >cds-PLY94172.1 pep primary_assembly:Lsat_Salinas_v7:5:35458451:35461181:-1 gene:gene-LSAT_5X17020 transcript:rna-gnl|WGS:NBSK|LSAT_5X17020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDNKRKRGRTPKSRVPETLDLQISKATSSSPSVDDVDLTHNNDTSNSNSNHHHHLRRRREPMENPKPPLISSPPSRCVEGNGNVTGNGSLLDSVVMAMARVMPAMDAVVKVFCTHTEPNFSLPWQRKRQYSSSSSGFVISGRRVLTNAHSVEHYTQVKLKKRGSDTKYVATVLAVGTECDIALLTVDDDEFWLDVSPVEFGDLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGNCVGIAFQSLKHEDAENIGYVIPTPVIMHFIQDYEKNGSYTGFPILGVEWQKMENPDLRKSMGMKDNQKGVRIRRIDPTAPEHKVLKPSDIILSFDGVGIANDGTVPFRHGERIGFSYLVSHKYTGDTAQIKVLRESKILKFNIKFDTHKKLIPAHNKGRPPSYYIIGGLVFTTVSVPYLRSEYGKDYGYDAPVKLLDKLLHELPKSQDEQIVVVSQVLVADINIGYEEIVNTQVLAFNGKEVKNLKSLANMVESCRDDYLKFELEYQQIVVLETKTAKAATVDILLTHCIPSAMSDDLKT >cds-PLY93180.1 pep primary_assembly:Lsat_Salinas_v7:5:199983344:199983888:1 gene:gene-LSAT_5X89960 transcript:rna-gnl|WGS:NBSK|LSAT_5X89960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKTSFILLVFGLITIDAFPITKPENKVCLVRWTMYIIDGIGAPIDVHIQSSDDDLGVRTLAPGSNFNWTFCVNWNSSTLFYAHFYWNSKEMFFNVFSMSISKSYCRNGKFFKPQQCFWLVREDGFYLSQLNNPFPQGWIKLLNA >cds-PLY64996.1 pep primary_assembly:Lsat_Salinas_v7:4:208077085:208077542:1 gene:gene-LSAT_4X117120 transcript:rna-gnl|WGS:NBSK|LSAT_4X117120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNQHQHQHGHGPSVVVGTFNISNKGDDGIPYFSRIVFAILGSFGLANARSGGEVIDLQSYFVQLQQQHNSERLSRIPGLNEIGSFNGE >cds-PLY97815.1 pep primary_assembly:Lsat_Salinas_v7:9:2086733:2087486:1 gene:gene-LSAT_9X5440 transcript:rna-gnl|WGS:NBSK|LSAT_9X5440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANAKIISSLIVDQLSVVARRGFATATQGSVSGSLRGSGVGMMKKGGEESKKSTPWVPDPVTGYYKPESQINQVDAAELRELLSKQKNRQH >cds-PLY79463.1 pep primary_assembly:Lsat_Salinas_v7:9:4457341:4457744:-1 gene:gene-LSAT_9X3321 transcript:rna-gnl|WGS:NBSK|LSAT_9X3321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGAILVSAIVIWLLFVRIGYHLVPFFCHFLILALVILVIWSNLSSFVNKSPVNFPDIRLSQELCDCVALLLKDQINQGCLYLRQMTIGKYLKIFMSVGIYLPTFEASYH >cds-PLY83997.1 pep primary_assembly:Lsat_Salinas_v7:8:37277400:37278904:-1 gene:gene-LSAT_8X29721 transcript:rna-gnl|WGS:NBSK|LSAT_8X29721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSEKIEPLGFVVDKFKGFTKSTQDFANGVLHNIGLSRRRHPIEILKRLQRDAFSDIMKLRDRQDKVERLLSFKSSKVSPLAETSTRVKGEIEVLGLLLMIDRIHEENQDAISRTGIKTGINSKFTFETTIREKDTLKAEFVATDRGQFDGLSTPLSLGKVVFDAKINEWCSLTTVLLGGRCIDLMKQGVTNGPPLLNQDIGSGISLTMTKSNVIGTLAQFVGTTHWLSTFGQVAYQLSGSTKVLLLGINQVPKILGQDGFLGPMCLPIGVFRRDGIRREGGRSVALVLESELDSSTRVGGWVEMNRSDTDNDTVPYGTRWGVSVSDLPEDDFGWGLRVGGSAFERFEVEVFSKMNLGEKYLLQPSLVFVVDGSTKFPALMMKSSWSF >cds-PLY93525.1 pep primary_assembly:Lsat_Salinas_v7:4:277854837:277858899:1 gene:gene-LSAT_4X142580 transcript:rna-gnl|WGS:NBSK|LSAT_4X142580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease E/G-like protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04270) UniProtKB/Swiss-Prot;Acc:F4IV66] MLRGEVSLSLHLVSSRKSRKILVRRSLTRSCSRSSSQNIFGSWMVETWLPGQPLISAKAKGIINPYDNVPINFTCLYASENSNASSDLTDEAKQLENSGSNFVVSKQLLGDLDFEDDFSSELYEPYSRCYQPVEEPWLLEASIFSQYDRQIDSSNDSTCENNISEREYPVEEPWLSIKAPTIDVSLENNVENIKPKDQSPRIEKIFDKLTRVSVGNEKESEKPVNELEEIPKRLFLDDEGRVGMQGTQQGVSVDTVILINSSLCTMQRVAVMEDEKLVEILLEPIKNNVHCDSVYIGVVTKLVPNMGGAFVNIGHPRASLVGISHHVEPFIFPPFSRHKEPRSVDGSLLVELLEHSRVNENNKPKSEEQEVIDNIEENDDDMDDDEFDVPEDFPEDVNGGVVNSVVYINGKRNIFQPIETSHDSNHQTMTEITDSNKGPCTNESKWAQVQKGTKIIVQVVKEGLGTKGPTLTAYPKLRSRFWVLLTRCDRIGISKKISGLERTRLRVIAKTLQPPGFGLTVRTVAAGHTMEELEKDLDGLLLMWKGITEQATSAALAADEGVEGAIPAMLHRAMGQTLSVVQDYFNDKVKNMIVDSPRIYHEVTNYLQEIAPDLCNRVELYTKKNPLFDEYNIEEELNNMLSKRVSLSNGGYLVIEQTEALVSIDVNGGQCMLGHGTSQEKAILDVNLAAAKQIARELRLRDIGGIIVVDFIDMWDDSNKRLVYEEVKKAVERDRSLVKVSELSRHGLMEITRKRVRPSVTFMISEPCSCCHATGRVEALETSFSKIEREICRFLARMERADPGKPETWPKFVLMVDRYMGSYLTSGKRTRLAILSSSLRVWILLKVARGFTRGGFEIKPLADFKDHRDEDQQMSRTQPPPFQPPHAARDPRPLRRKVTIFPIKKWKTGGK >cds-PLY74353.1 pep primary_assembly:Lsat_Salinas_v7:5:74045194:74051708:1 gene:gene-LSAT_5X34601 transcript:rna-gnl|WGS:NBSK|LSAT_5X34601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELILILLLLGNLHLVAPDAQGDALFELRTSLKASPDQLTDWNQNQVNPCTWSKVSCDSNNNVFSVSMSFMGFTGTLSPRIQVLSRLTILSLQGNQITGGIPEEYGNLSSLTMLDLESNKLNGQIPSSLGNLKNLQFLILSQNNLEGRIPESLSSLPNLTSILLGSNNLSGEIPDHLFQLSKFNFTGNRLNCGFNNTHPCASTSGDSSKKSKAGIVIGVIGGVLSLLLVGCLVLFLWRGRHKGYKREVFVDVAGEVDRRIAFGQLKRFAWRELQLATDNFNERNVLGQGGFGKVYKGVLGDNTKVAVKRLTDYESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPYMQNLSVAYRLREIKPGEAVLDWMTRKRIALGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDIRKTNVTTQVRGTMGHIAPEYLSTGKSSERTDIFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKRLDAIVDRNLSKNYNMGEVEMMIKVALLCTQGSPEDRPAMSEVVRMLEGEGLAERWEEWQNVEVTRRQEYDRLQRRFDWGEDSIYNQDAIELSGGR >cds-PLY87734.1 pep primary_assembly:Lsat_Salinas_v7:1:4333669:4335203:-1 gene:gene-LSAT_1X3821 transcript:rna-gnl|WGS:NBSK|LSAT_1X3821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSIVKPHAVCIPFPAQGHINPMLKLAKILHSKGFHITFVNTEFNHQRFLRSQGSATLSHHNSFKFETIPDGLPPPENLDATQDIPSLCKSTSETSLEPFKKLLFKLNDTTTTPPVSCIVSDCSMSFTLDAADELGIPDVLFWTTSACGFLAYVHYSTLREKGFIPLKDSADLTNGYLDTVVDCIPSMKGIRLKDMPTFLRTTDPDDIMVNYVIRETTRAKRASAIILNTFEDLEHDVLKELSSIYPRVYSIGPLHTLENNLKNKDLELLGSSLWKEEAECLEWLDSKESNSVVYVNFGSIAVMTPQQLVEFSWGLANSNQTFLWVIRPDLVSGDSPMLPPEFLAATSGRGLLASWCPQEKVLNHPSIGGFLTHSGWNSTLESISSGVPMICWPFFAEQQTNCWYSCNQWGIGMEIDSAVDRRQVEKLVNILMDEEKGKDMKKMAEVWKEKAESMSSWLNIDNLIKEVLLRC >cds-PLY63781.1 pep primary_assembly:Lsat_Salinas_v7:6:23411071:23412816:-1 gene:gene-LSAT_6X18361 transcript:rna-gnl|WGS:NBSK|LSAT_6X18361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHNLETRSLMDEIRSFDRNGGFFDLGHPLLNRMADSFIKAAGIGAVQAVSREGYFTLTEDGDSTAFPATQRKHRLSELKGETNKKSVEALVKGTGKESLQWGLAAGMYSGVTYGLKEARGVHDWKNSAMAGAITGAALSLTFDDSSHEQIVHAAITGAAISTAANLLTGIF >cds-PLY92137.1 pep primary_assembly:Lsat_Salinas_v7:3:142667832:142668800:-1 gene:gene-LSAT_3X93400 transcript:rna-gnl|WGS:NBSK|LSAT_3X93400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKNLPKTMTYLSFSNQQSYSSDPQLPFLHRALIETSAKLQRFEQVVEKNKETLNQLKELNDEQERDVDHVRQRDELLAKEFSQKHGVHSPPMEVYTRRNHKQSSYNRQSEEKTELSARIYMRIKPN >cds-PLY86323.1 pep primary_assembly:Lsat_Salinas_v7:8:27487026:27490894:-1 gene:gene-LSAT_8X17741 transcript:rna-gnl|WGS:NBSK|LSAT_8X17741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDVRPQLLQKPESTTDACSDFENDGSDFERGLEELMRGHLDDCMSFASCSSPHGDDDESEGGDQLVRRRRRSDLEGDDLAETSAARRRQSRILSRWAARQAQEMITTIERRNRESELMALAGLHTVSMLDSSFLSESQSPTSRRQGNIERPSTQASSILQMWRELEDEHVLNRARERVRERLRQHASVDSNTITNMSEGQEASEHQGSLEEDVTESENDYRAWSNDQMEAQNELQETETSSREQSPDLGDNERERERERVRHIVQGWRETGVTDHNASNGVRAEWLGETERERVRIVREWVQMTSQQRGPRPRGSHREEQQPLQQHVASRETVVDHDEGQPEHIRRDMLRLRGRQALLDLLVRVERERQRELEGLTEYRAVSDFAHRNRIQSLLRGRFLRNERPVEEERLPSMAASELVQLRQRHTVSGLREGFRSRLEHIVRGQVSSQSENSSNDNTNSNTIDFISSDAITNTTQQEIEHEQQTQIQETNIHQVSNQNLESISVAAALLQTTNQQTNINENEGQDWDNQGVSNDNDQIFQENNSGNQETVSDIIPRTRRTVPVRRSNRFHAPEDDNVYSMELRELLSRRSVSNLLRSGFRESLDHLIQSYVERQSRAPIDWDLHRNMSPEVGDQQQQQGGLGLRDEDNENQNEATTATIGSRPSPSPPVPPPVPVWHHHDLNHSNWSRHSIHRSELEWEMINDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRSAGGQQGVDGGSSEDGSKWVHVKKGTCCVCCDSQIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >cds-PLY69684.1 pep primary_assembly:Lsat_Salinas_v7:5:212487319:212489510:-1 gene:gene-LSAT_5X99040 transcript:rna-gnl|WGS:NBSK|LSAT_5X99040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATITRDESDYDSSCSSITVPDSSRSWMSNVSFGSRRSSISGDPSHKPHKANQAAWEAMKRLRAEKGGRVSLDHFKILKRVGSGDIGNVYLCQIRNPVVGLPSSFYAMKVVDREALAIRKKLQRAEMEKEILAGLDHPFLPTLYAEFDASHYSCLVMEFCPGGDLHAARQRQPAKCFSISSAKFYVAETILALEYLHMMGIVYRDLKPENVLVREDGHIMLSDFDLSLKCDVVPRLIRSKPDTSKQTDHEDDDHDLKCSTPSCVIQIQPVLSSCFSLRRKTKNTHSTTTITEKAESQEYNTELVAEPLNARSKSFVGTHEYLAPEVISGQGHGGAVDWWTLGVFLYELLYGITPFKGENNEKTLINILKQPLSFPRIGVSTSKEYEEMVKVQDLIARLLVKNPKKRIGSLKGSNEIKRHEFFKGVNWALIRSVRPPEVPSEMMKVRNRVVVPKLMSKKERDAPFQIRNHHFDYF >cds-PLY81949.1 pep primary_assembly:Lsat_Salinas_v7:9:153315381:153315709:1 gene:gene-LSAT_9X96741 transcript:rna-gnl|WGS:NBSK|LSAT_9X96741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVTRLPVYYPSQEEKDNPKLYAENVRRLMAREGNLIMLDIGLAEKRVYHAALNGRLTVLLEKDDCLIDSIQPSLQNPP >cds-PLY98005.1 pep primary_assembly:Lsat_Salinas_v7:8:43177016:43184842:-1 gene:gene-LSAT_8X32581 transcript:rna-gnl|WGS:NBSK|LSAT_8X32581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPSRGNNAYGQQQQQQQQQSFSGQSAYGQNVRFSIEGLFVGPDGGASQMSMASRHSAMLSGHPSSTGTHYGGQYASVYGGSVAISSALEASGASASGASGDYSVLSQKYGQKEIPGYSPGDRSRAGVYSSRDLQNEPTARFGDSVAYGHHQNQGDIYSHLDAASALRKELLQTQTLQSSSIEGSSRQSDYLSRATTIRHTGQELSSYTGRMDDMSHHGQQHAPSILGAAPPQNIDNHVYTHTSASATSGYGVSLPPGRDYGSAKGLHSTPQGAMVARGGYARVDDRAQGQGQGHNREINRRAEDLHRDVLRDRERKRERERVLERREKERDRENKRVIEKKRERTPPRIEASSSLHRRRSPAKEKRRDYVCKVNSSSLVDFERDYLSIDKRYPRLFTSPECSKVIVNWSRENLKIPLNVPVSFEHDFVRDDTETQQKEASITTMVDDPVKSEHTRWNAKIVLMSGLSQNALEELSSERDYEDRIPHFCNMIRFACLKSGNTFKAIGGLWETTDGNDPSVDKSTLVHTAIRYAKDLTGLDLKNCQHWNPFLEIHYDRVKKDGIFSHKEVTVLYVPDLSDCLPSADAWRDQWLSHKKAIAEREHQHALKREIARGKKEGLKDKEPGTPKDLKKDAKLEKKKVSESDESASKLKEMVKDKVKEPENEGVHINSVDIEKKNVVETPGEGNNSVEKKTGSGKKKIIRKIIKKKVVKKDKAGDTTKQTDTLLDSKKAGETTTETEVAGQGQSQSQESTGNTPAVKTLTKKKVIKKVPVVKAVKKEDVGTQSEVVTPVKEKESSEIKPKSADIGSLTSVKKTVKKKIIKRVVKKKVVAKDKVADKPEVTIDEEQSVVPEQKKDEIENKGEKKGKMGSKTKGIIVQSDNNEKLETEEKLKEGKVKKEKDVKGETKGKEVKDNKKDEEVPRHPGLFLQTKESNKFRSSSLSLDSLLDYDDNDVEESTFELSLFAETFYEMLQYQMGSRILAFLQKLRIKFVAKRNQKKRQLEEVSEKEKVKTSKRLKSDDSEVKIKSVENEILEKDEKNIPKVENMVTENIENTKSDEDLEEDEDMTDASSKDNTSNEKIDIDLKSKNIVKNEEEEEEKAFRFFDRNRVGHIRVEDMRLIIHNLGNFLSHREVKELVQSALLESNTGRDDRILYNKLVKMTDI >cds-PLY69887.1 pep primary_assembly:Lsat_Salinas_v7:4:70363708:70368233:-1 gene:gene-LSAT_4X48381 transcript:rna-gnl|WGS:NBSK|LSAT_4X48381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRVVRDRILKDANGDISDHLRNHIHLTNCIHLKNHMHKNSPIISDRSLIRDLVVLQRSRSLKDPSMSPPAFQSPSAVDAGGGGGGGGRRSTGFSGNFSGNSSSNRRNKKQESSGDSPLDAANLARDIYPGSSDNKSKQKGRKIKQDDPSNDVPFDSDNNVGSSHNHRLHESSIHSHGQNRVKRRRFRGGRRVSRAPKSTEMPMPMPMSTSMSMASNFIPHGGDQNLTGNLPGVPKQGCGIPWNWSRIHDRGKSFLDIAGRSLSCGLSDSRSKRTGQHNSGQIPAISDRSTSSTNGESLPLLIDGSQESPENPAAWVHDYSGELGLYADHLLNTDLDSDLASEARSGGRKRNSGHQNLTQKYMPRTFRDLVGQNLAVQALSNAIARKKVGLLYVFYGPHGTGKTSCARIFARALTCQSFDHPKPCGFCSSCVGHDTGKGKNKNRSVIEVGPMNNLGYKSMMELIENTIGSNLRSHYRVFIIDDCDSLIPDFWSAISKVIDRAPRRVVFVLVNSSLDVLPHMIVSRCQKFFFPKLKDADIIYTLQWIATKEEFEIDKDALKLIASRSDGSLRDAEMTLEQLSLLGQRISVPLVQELVGLISDDKLVDLLDLALSADTVNTVKHLREIMESGVEPLALMSQLATVITDILAGSYNFMKERPRWKFFRRQALSKEDMEKLRLALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQHGRHSPVVVNNGGGRDGSRKSNFDHGDMIGSQRGALKNERKMREDIYRQEIEEIWLEVLEYIHINSIKEFLYREGKMTSLSLGAAPTVQLIFTSHATKSKAEKFKTHILKAFEHVLGSPVTIEIRSESRKENQLILPTSQQDSYAKQRSEIIEVEASPREQKSMLHIDNDHKVEATPSHKNSSFQTRLGEQNQSMSLVRGKVSLANVIQQAESQRNGWSTRKAVSIAEKLEQENLRMEPRSRSLLCWKASRASRPKISRLKFRTRKPRALLRFVSCGRCLSTKSPR >cds-PLY68998.1 pep primary_assembly:Lsat_Salinas_v7:9:142911738:142914730:1 gene:gene-LSAT_0X15460 transcript:rna-gnl|WGS:NBSK|LSAT_0X15460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTNQKLFVHLNGGIRLPVTVQGASRRVISLSSSDMQATSQQEDENTPHSKQLSSVAGGIVALGKFDALHIGHRELAIQASKAGTPFLLSFTGMAEVLGWEPRAPVVAKCDRKRVLSSWSSCCGEVVPTELEVEFSKVRNLTPRQFVEKLSKELGVRGVVAGKNYRFGYRASGDASELSRLCEEYGIEAYIINPVMDKKQSILATGFNDAKERGQVSSTRVRYALSEGDMGYVSELLGRNHRLILMLNDSQSFSIKTTSRILDSYPKSCLLNLPPKEGVYENCRIISKEKVVPCRVVVDTTNIHLELVEIDPICIQESRYLNIEFGV >cds-PLY74883.1 pep primary_assembly:Lsat_Salinas_v7:2:155105735:155108893:1 gene:gene-LSAT_2X79320 transcript:rna-gnl|WGS:NBSK|LSAT_2X79320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQALSVSVNGFHVQNRSSRVSSGSCQFRNRKSTVRMIGNGRDSDFVSRLGAGHMRRIEPGSAGAGSVFRSSTKSRSVKAQASDGDITPLKIQSKSSGSVLPYVGIASLGAILFGYHLGVVNGALEYLAKDLGIAENTVLQGWIVSSTLAGATVGSFTGGSLADQFGRTKTFLLDAIPLAVGAFLCATATNVQTMIIGRLLAGIGIGISSAIVPLYISEISPTEIRGTLGSINQLFICIGILAALVAGLPLAGNPLWWRTMFGIAVIPSVLLAIGMAFSPESPRWLVQHGKISDAEKAIKTLYGEGKVTEVMADLSASNQGSEEQDAGWFDLFSSRYFKVVSVGAALFLFQQLAGINAVVYYSTSVFRTAGVASDVAASAAVGAANVFGTMIASSLMDKQGRKSLLITSFSGMAISMMLLSLSFTWKVLAPYSGPLAVIGTILYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGMHWISNFVIGLYFLSVVTKFGISKVYLGFASICVLAVMYIAANVVETKGRSLEDIERELSPAI >cds-PLY66075.1 pep primary_assembly:Lsat_Salinas_v7:2:206767100:206767875:-1 gene:gene-LSAT_2X127000 transcript:rna-gnl|WGS:NBSK|LSAT_2X127000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADTYHREGRGSGMMQHYRQQQQSDSPWANQAVKAVTAATAGGSLLVLAGLTLAGTVIALTVATPLLVIFSPVLVPAMITVFLLATGFLTSGGFGIAAATVLSWMYKYVTGEHPTGADSLDQAAHKLGSKGREIRDRVEHAAGGGGHATGGGHYTS >cds-PLY77300.1 pep primary_assembly:Lsat_Salinas_v7:3:212001461:212002395:-1 gene:gene-LSAT_3X125760 transcript:rna-gnl|WGS:NBSK|LSAT_3X125760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGSHKGDKVKGIRDLKLYLSHFGYLNYQKNPNLADLEEDHFTEELEVALKSYQVYYHLNATGTLDEPTISQMAMPRCGFPDKVIPQHTHNSFHIVSHYQFLPGTPKWPSVKRQLTYGFGPLFPTRFMPPVTRAFNRWATASGQYFTFTRATSYQGADLKISFQRVSHGDGSPFNGSGAVLAHAFPPVDGRLHFDADERWGIGAVPNTFDMETLALHEIGHLLGLGHSQFQSAIMWGSFSAGVTKGLTSDDIQGLRALYGI >cds-PLY90491.1 pep primary_assembly:Lsat_Salinas_v7:1:206147252:206147422:1 gene:gene-LSAT_1X125761 transcript:rna-gnl|WGS:NBSK|LSAT_1X125761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVCLYSQGAIIMTSWTDNNPSRRFGSARSSGRSSYPLDGLIHRCALDPCKSLTGC >cds-PLY63720.1 pep primary_assembly:Lsat_Salinas_v7:9:86962435:86971021:1 gene:gene-LSAT_9X68160 transcript:rna-gnl|WGS:NBSK|LSAT_9X68160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVVVGAGGGNRVDMNLAGGQSTISIKPNASKMMQIEGIICQEMNFFCRNMADESSPSQNDIIRCPFLRNINEPTNFSFSPSMAFPSPARGTKGPIFEDGPNFDMAFRLFHGQNGVVPLSEGSLQFPQKLKVDSMSHQFNPLAAKAATISLSSFGGSFGFDAFNEMFKNQQKKHKKDSSQNGDSKHEATGEEWLKSGNCPIAKSYRAVSHVIPLVAKALKPPSGIKYTCPPAIVAARAALARTAFAKNLRPQPLPAKVLVIGVMGMAANVPLGIWREHTKKFSPSWFAAVHAAVPFIAMLRKSVLMPKSAMAFTIAASVLGQVIGSRAERYRLKAVAARTPPALTEMETEIGGGFDRARVDVGRAGVTGGHCGEAVEWKTGPMRVAGPSA >cds-PLY90701.1 pep primary_assembly:Lsat_Salinas_v7:8:141480038:141482216:1 gene:gene-LSAT_8X96120 transcript:rna-gnl|WGS:NBSK|LSAT_8X96120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSLFKTYVLLLLLQFFVFSGFAGRRDRLAGGAHRNKRVKDGGADYTMPEISTKFRGEWVLDNPNVGVGAMQLQLMPNDQVVWFDTTSLGPSARKLEPPGNCPLNPDMNNKPDCFAHALAYNWKTGTSRTIVLSGEPWCSSGNLWPNGNLVATGGTFTGVKAVRMLPKDDLKANFIERRNVLADYRWYASNQVLEDGSAVLVGGRDAFSIEVVPPSLNFQPKKIDFPFLKETCTPPKGPNRFIENNLYPFVYLLPDGNIFLFANDRSMNLNPRTGQIFVEHPILPGGARNYPASGMSALLPLKLGPDNLNPLNVEVVVCGGNKHTAFEMVDAKHVKDKVFVPALQDCHRIHPMNKGAKWENEQDMPTPRVMGDLLHLPTGDLLMINGAKKGTAAWDDAIDPNFAPVLYTPFKRMGKRFKEMKPTNIARMYHSTSALLPDTRILVAGSNPNQFYTFNVPFPTELRVEKFSPHYLDRALDKDRPTIIEPTTDKVLKYRAPFKITVQFKSNIVLQPGEAKITLIYPPFTTHGFSQNQRLIVPTIKEINNNVITALAPPCGKIAPPGYYMMFVNRLGVPGHGIWVHID >cds-PLY98498.1 pep primary_assembly:Lsat_Salinas_v7:7:126642926:126645396:1 gene:gene-LSAT_7X75541 transcript:rna-gnl|WGS:NBSK|LSAT_7X75541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIPLLTPYKMGKFNLSHRVVLAPMTRNRSYGNTPQPHAILYYSQRATKGSLLITEATGVSDTAQGYPETPGIWTKEQVEAWKPIVNSVHEKGGIIFCQIWHVGRVSNSGFQPNGEAPLSSTDKGITPGLAGGDWSPPRRLRSDEIPAIVNDFRLAARNAIDAGFDGVEIHGANGYIIDQFLKDQVNDRSDEYGGTLENRCRFALEIVEAVANEIGGDRVGIRLSTFANYMECVDSDPEALGLYMANALNKYEILYLHVIEPRMVEVDTLYETPHSTLPMRKAFKGTFISAGGYKRDDGINAVADGKADLVAFGRLFLANPDLPKRFEIGAGLNKYDRNTFYTQDPKVGYTDYPFLEV >cds-PLY99373.1 pep primary_assembly:Lsat_Salinas_v7:7:145057879:145059397:-1 gene:gene-LSAT_7X86600 transcript:rna-gnl|WGS:NBSK|LSAT_7X86600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVEADKPGSLINNYVTQLSCMLSSKATNLVRTAPIVVYTSKKGSSAAGLTASVICDNNTILLVVGAEATAQDTQHGGTTLHIVAMTNDLELVKKGMNELNWLDH >cds-PLY78319.1 pep primary_assembly:Lsat_Salinas_v7:2:181251250:181254160:1 gene:gene-LSAT_2X103001 transcript:rna-gnl|WGS:NBSK|LSAT_2X103001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytol kinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04490) UniProtKB/Swiss-Prot;Acc:Q9LZ76] MAAAAVAAATTATNPKLSLLRPHFCPPRHAFYPTTPYLNLHGLRNASTFIQKQRRLLLLPPRAFTLDVPGPLLQDAGATIIVVGGAYGLVAGFDYLTQRQIIEQNLSRKLVHILSGLLYMGCWPIFSTSTDARYFAVIAPLLNCTRLLVHGLSLVPNEDLIKSVTREGKPEELLRGPLYYVLMLILSSLLFWRDSPIGVVSLSMMCGGDGIADIMGRRFGLHKIPYNKQKSWVGSISMFMVGFLVSVGMLYYFSKLGYFELDWLKTMERVAMVAIVATLVESLPTKGGLDDNISVPLVSMLTAYLSFGF >cds-PLY85561.1 pep primary_assembly:Lsat_Salinas_v7:2:195879088:195879588:1 gene:gene-LSAT_2X116700 transcript:rna-gnl|WGS:NBSK|LSAT_2X116700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDNSLTLKLISLLVIISLVAVLVAIYHFVTVSWCYDRRRNRIPQHHVHQQNHHLDNEYSLENSVVLLIPAHKHQKGSRVVGAKEGDDDDALCSICLCEFEENEELRTLPECLHSFHVSCIDMWLYSHLTCPVCRTDAVPSSQILFQFLDSDSDHIEVRHEVSNNV >cds-PLY82581.1 pep primary_assembly:Lsat_Salinas_v7:2:183734707:183735432:1 gene:gene-LSAT_2X106160 transcript:rna-gnl|WGS:NBSK|LSAT_2X106160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSESPDTGDLYSTDSTGKPEGSLTYVLGLSFAIIILLLTLSYASYKCNRSRQYQSPPVDDDNGNHLFTVSRGVDDAVLMTFPVFVYSEHVMPHKVDSAADANGSGCSICLADYKPTDVIRLLPKCGHFFHRKCIDTWLKVHPTCPVCRNSPSPEKLSIQIQRS >cds-PLY88730.1 pep primary_assembly:Lsat_Salinas_v7:7:193844688:193845302:-1 gene:gene-LSAT_7X114360 transcript:rna-gnl|WGS:NBSK|LSAT_7X114360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKIIRVLFMVVVSMAITIVLITIASIGDNHETSLPKSLVVKPFPKRVSRFLAENKNPRAAADHCKKDDEICYILEGKNSTCCNNKCMDLSDDKHNCGACKNKCKFTSSCCGGECVNLAYDKRHCGSCGNKCMPGGYCIYGLCNYA >cds-PLY81248.1 pep primary_assembly:Lsat_Salinas_v7:4:305395175:305397209:-1 gene:gene-LSAT_4X154560 transcript:rna-gnl|WGS:NBSK|LSAT_4X154560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYAVSIPKPCLSYPKTSFHSQNPQNLKRGGLRVSATLPKSNGVKVEYTPWLIVGLGNPGNKYHGTRHNVGFEMIERISQEERIVMNTIQSKALVGIGSIGEVPVLLAKPQGYMNFSGESVGPLAAYYQVPLRHILLIYDEMSLPNGVLRLQPKGGHGYHNGVKSVIEHLDGRREIPRLCIGIGNPPGTMDMKAFLLQKFSDVEQKEIDGALAQGVDAVRSLVLNGFNDRITRFNLGQKYKYNKV >cds-PLY91633.1 pep primary_assembly:Lsat_Salinas_v7:8:11786604:11788604:-1 gene:gene-LSAT_8X7861 transcript:rna-gnl|WGS:NBSK|LSAT_8X7861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIHINDLFDHITSIAKIHHTFRFVKPTHSEVYVADPINVEHILKTNFQNYTKGDYHKGVMGDLFGKGIFAADGDVWRHQRKLASHEFSTKVLRDFSTVVFRSNTAKLVKKVSEAAVNKEIISLQDLLMKSTLDSIFKVGFGFDLDTLSGSDEVSNQFMTAFDDSNRIIFWRYVDVLWRIKRYFNIGSEATLKKNIRVIDNFVYELIEHKREQMKNGKLDGDKEDILSRFLIESEKNPTKLSDEYLRDISLSFIIAGKDTSANTLTWFFYMLCKHSQIQEKVALEVKEATGSSDYTNSIDEFSLQLTESALDKMHYLHAALTETLRLYPAVPLDGKSSEEDDVLPDGFKIKKGDGVNYMAYPMGRMTYIWGEDAEEFRPERWLHDGVFQPESPFKFTAFQGGPRMCLGKEFAYRQMKIMAAFLVFFFKFRLVDESREATYRTMFTLHMDEGLHLYALPRSK >cds-PLY94648.1 pep primary_assembly:Lsat_Salinas_v7:1:41426302:41430947:-1 gene:gene-LSAT_1X36941 transcript:rna-gnl|WGS:NBSK|LSAT_1X36941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:Projected from Arabidopsis thaliana (AT1G79750) UniProtKB/TrEMBL;Acc:A0A178W4H6] MSLNGSSFLKNSISGVSRTQSQSLILPARRPMVVAVVNANGRPEGNVGVSLDNAAKEINAPVAVEVAESDSKQQTAIVGGGVEDVYGEDSATEDHFITPWSVSVASGYSLLRDPHHNKGLAFTEKERDAHYLRGLLPPVVVNHDLQVKKMMHNMRQYQVPLQRYQAMMDLQERNERLFYKLLIENVEELLPIVYTPTVGEACQKYGSIFKNPQGLFISLKDKGKILEILKNWPQKKIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGIRPSACLPVTIDVGTNNEKMLNDEFYIGLRQRRASGKEYSELMNEFMTAVKQNYGEKVLIQFEDFANHNAFDLLAKYSTTHLVFNDDIQGTASVVLAGLISALKLVGGTLADHRFLFLGAGEAGTGIAELIALEISKQTNAPLEETRKKVFLVDSKGLIVKSRLDSLQHFKKPWAHDHEPVNDFLDVVKSIRPTVLIGSSGAGQTFTKEIIEAMSSFNKKPIILALSNPTSQSECTAEQAYTWSEGRAIFASGSPFGPVEYNGKVYVSGQSNNAYIFPGFGLGLIISGAIRVHDDMLLAASEALAEQVTQEHFDNGLIYPPFTNIRKISAHIAARVAAKAYELGLASRLPQPEDLVAYAESCMYSPKYRSYR >cds-PLY62032.1 pep primary_assembly:Lsat_Salinas_v7:5:130263964:130265454:-1 gene:gene-LSAT_5X55441 transcript:rna-gnl|WGS:NBSK|LSAT_5X55441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKSSLFLVELIVWFLVLGNVLSNEYTTFNKLVLPPNVTGPASAALDRGGKGPYVAVADGRILKWQGPTTGFLDFAYTSPNRTKKLCDGTNDLKLGPICGRPVALSFNYKTSDLYITDAFFGLLVVGFNGGLATQVSSDFKYLSGIDVESYTGNVYVVDASLTYNIRDMTQPGFKPDSTGRLLKYNPRTQRVTTLLSGLSGAGGPSVSSDRKYVLVPEYVNNKIQRHWLQGPNKDTNEVFLTDCRSPKNIKRAANDGEFWVAVEKQVQLSPMLSEPQGIRVNGSATVLQTVPLPHFFNMALDVVQESNDALYVGSSDTGFVGVYTN >cds-PLY98335.1 pep primary_assembly:Lsat_Salinas_v7:7:171798751:171801198:-1 gene:gene-LSAT_7X102241 transcript:rna-gnl|WGS:NBSK|LSAT_7X102241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREMSSSLLYLIFSTLVSIYVFQFQAQAAPAAPVIKQLSSILKWTARSSSKSPLSDGNILQFEDGYLVETVVEGNQLGVVPYSIRVSQDGELFAVDAVNSNIVRITPPLSQYSRARLIAGSFQGYTGHVDGKPNDARFDHPKGVTMDDKGNVYVADTSNLAIRKIGEAGVTTIAGGKSNVAGYRDGPSEDAQFSSDFDVIYVRPTCSLLVIDRGNAALRQISLNQEDCDLHSTSVSSTDIVLVFGAIAIGYVISMIQQGYGSSYFSKVEKVESDSKPLQSKEKTLKITKNVESVREEQEAGWPSFSQLILDLSKLALEGFTNVFSFNPFQVPKKGLTPLKDSLIMPEDEPGPLLQKHRTSGPVSEARQAHDPNEKYSETKPVKVRSLKDPLKHRASKREEYAEFYGSSGGGVGPHVRSKSQKERTKHRVRDKDKDKDKDKAVYGEQKSTVDLKQQPVNFEEGKFSYMRNKYGDSYRYT >cds-PLY79344.1 pep primary_assembly:Lsat_Salinas_v7:9:54160491:54161048:-1 gene:gene-LSAT_9X50261 transcript:rna-gnl|WGS:NBSK|LSAT_9X50261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEQFMSLYHHHHHDVMKKDEHKMSIPKGCVSVIVGLKGEEKKRFVIPVMYMNHPLFMELLKEAEEEYGFQHQGPITIPCHVKDFCNVQGLIDQDHNHLYHHNHHHPICCFKD >cds-PLY61946.1 pep primary_assembly:Lsat_Salinas_v7:5:160789490:160792214:1 gene:gene-LSAT_5X71580 transcript:rna-gnl|WGS:NBSK|LSAT_5X71580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKMSQAMEKVRMLVGIEVEDNQQADEESSFAFMDDFNRNCTLSTKQRLYGFAICLVAGLTCTLMSMLVFFNPIKFGIAFTFGNLLSLGSTGFLIGPKRQVNMMLDPVRIYATALYIASILLALLCALYVHNKLLTLIAIILEFGALIWYSMSYIPFARAMVSKIMVACFDTEF >cds-PLY98795.1 pep primary_assembly:Lsat_Salinas_v7:7:25378767:25382949:1 gene:gene-LSAT_7X19720 transcript:rna-gnl|WGS:NBSK|LSAT_7X19720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSTKLCFNSTCKEALEVPRQGWLCRTGDFADLCDRCSFFLIYETLPILVQFDSSSAFKDGKFCNTYHMNASGWRCCESCGKQIHCGCIVSFHMFILLDAGGIECINCAKSEYILTPNPTWPSSPHFLTGPAERIRDISSKNWRSIAGSGPVPWKQAPYLFNSSKLQPDFLSNIDKPLSREQLTSCSLTRSIIHDPSERLVNDSWQMTGDRAKATGVQYDGKHNLFQSFFSNELATPVSSLPATFMAQDQKSEKGKASGQFCPPPSVGKCCSSSNTNSPPGTSLESQTYSGKGQGEKRGRHQLIPRYWPRLTDQELQQISGGSNAKITPLFEKVLSASDAGRIGRLVLPKKCAEAYLPPISQPEGYPLVVQDLKGKDWVLQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRLEPEGKLVMGFRKASPSSPSYHVTEVSTHEETSKGSFGNKSRNPDGTWAEVDKIAKRKKGGKMCSNNKHVKPNDEEIVRVNVTLEQVQGLLRPPLTNSSTIVVIEGVEFEDFQEEPIIGSPTNFSTISHGTNHF >cds-PLY71989.1 pep primary_assembly:Lsat_Salinas_v7:8:147535014:147537065:-1 gene:gene-LSAT_8X99341 transcript:rna-gnl|WGS:NBSK|LSAT_8X99341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMASPKIRRSLSEIPSQILATISSITSLLQIINPQHPNHPLNPNPSILNHFSPHLNPHFVIRVIQNQQNPYHALFFFNWASNPNPNPNNYSHNHFSYIAITDLLLSRNLFSLATELLESSNKLSDFMVGKLIKAHGDLGHIRWALHLFHRVKTRSSCRCLFSYNAILGVLVRADQVDLAEKYFDQMTSEGTVKPDVSTCTIMIKAFCKTGRIEKAQKLFDEMPSTPNLHTYNTMVSGMCKKGLIDTALKLVERMKETQDCLPDIVTYTTLIDGYCKIKDLKEAKKLFDEMVTRNLDPNELTYNALINGFCVCGDIDAAKRMMTKMRLNGLKDTITTHTSLLKGYCIAGKSDEAFKHFKNLITRGIKPDSKCYEVLVNEFCKLGCPNDAIGLLREMNGHGIRPHAYSFNRVLKVLVELGHVDRAVYVLSQMVKMGCRPNFLSYSVVICGLVRGKGRMKVVEGVVKEMVENGFDLDGMMYGCLMEGYRDDGNEEMVKRVCQEMVDKGFVMNMKGFSVV >cds-PLY80790.1 pep primary_assembly:Lsat_Salinas_v7:1:70169519:70174353:-1 gene:gene-LSAT_1X58721 transcript:rna-gnl|WGS:NBSK|LSAT_1X58721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTQTMGSNGGTQKPVFDGLLRKGSLHNLTLDEVQQQLVDLGKPFSSMNLDEFLKNVWCAEANQGINNGSSPNYFELTQLASASSFPRQLSLTLTKDLTKKTILDACPLFDVMSITLEKLPPSSIAARATTGSMIILANMIVVASVSSNSQQAVEGSIQLKQQVYADLEHYCPQHFILASSSPTLEYLHANTKSLLDSNLEDGNFMRGTKRSTLYSHLFSNYNNILPFS >cds-PLY84805.1 pep primary_assembly:Lsat_Salinas_v7:8:24292261:24292629:1 gene:gene-LSAT_8X20601 transcript:rna-gnl|WGS:NBSK|LSAT_8X20601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAGQSSARMTGDLCYVVTQTYALMVAAADQVRRVGANQGQLKILQGALVGMREEVRVSEAGRQVLVDQNNIVAYEKATLEDQVATLEDRSERLEDQVSSLTREKDVLANSLARCECQLS >cds-PLY76445.1 pep primary_assembly:Lsat_Salinas_v7:5:198846086:198849680:-1 gene:gene-LSAT_5X89401 transcript:rna-gnl|WGS:NBSK|LSAT_5X89401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEELNPMWPNLKTREVSSRYKSPTPRRCPSPNTTRTATTPQVSTPNRAISAERRRPGTPKLPSYPSTPIHDTSINMEVITRKAIPSPMRSLSVSFQSDSFSMPTSKKEKPPPQALSDRTLKSSSNVSLKPSRKSTPERKRSPLKGKNVIDQSENSKPLESLHSKLVEQHRWPSRTSSKSKPLGKSTSDPARVLSSFSDKGKLEYDNLLRMSNLVSSRSQSLPAVTPNKPSILALKNVSPSRRSCSPLRQPCNSNKASVLTFIADIKKGKRVVDQIEDAHYLRLLHNRQIQWRFINATSEAGFNSQKSTAKKSLFNVWRSTSELHDSVAAKRIELNQLRLKLKLYSVLNQQMTYLNEWASIEKEHKLALSGANEDLESSTLRVPVTRGAMVDIETLESSLCSAIQVMETIGSSIQSTLSRMEGPNCLVSELATVVSHERALLDECEVLSTFVASLQAKEYSLRTHLVQLKQNWTPS >cds-PLY88199.1 pep primary_assembly:Lsat_Salinas_v7:3:211615779:211617238:-1 gene:gene-LSAT_3X124540 transcript:rna-gnl|WGS:NBSK|LSAT_3X124540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIVGDMKGKIPSSLVLDLDFEDTHFQDHDSSLTKSTCQSYPEVASAGENYHLAFESNHLGYQSYWDATYAHELTNFREHGDADVMEMVASWKKGLCADISQRHLQIQHKIDDSESVSQEDKDLARWSVLDVGTGNGLLLQELAKQGYMNEQLALLEALLIVMNLLLLIYIDPTAQSSRDMLGIDTRG >cds-PLY69376.1 pep primary_assembly:Lsat_Salinas_v7:2:3069115:3075962:-1 gene:gene-LSAT_2X641 transcript:rna-gnl|WGS:NBSK|LSAT_2X641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 3.3 [Source:Projected from Arabidopsis thaliana (AT1G42540) UniProtKB/Swiss-Prot;Acc:Q9C8E7] MMSVLVWNLVVTLLLNLGVFLTNGLTSHNASTRPAVVNIGAIFTFDSTIGRVAKVAIEEAVKDVNANSTVLRGSELRIEMRSSNCSGFLGMVGALQFMESDTVAIVGPQSSVVAHIISHVANELQVPLLSFAATDPTLSNLQFPYFIRSTQSDLHQMTAVSEIIDYYTWREVIAIYIDDDYGRNGVLALDDALAARRCRISYKAGIPPGSESELGYGRSEIMDILVKIALMESRVIVLHVYPDIGFLIFSVARYLGMMEDGYVWIATDWLSSALDSNFPNLSDKMDDMQGVLALRQHTPGSKSFTENFKKLSGGSFGLNSYGLYAYDSVWIIAHALDAFFDQGGVISFSNDSRLSNAGLADLHLDAMSIFNGGKLLLDNILNSDFIGLTGHIKFDSDRSLVNPAYDILNIIGTGFRTVGYWSNSSGLSTLTPENLDLKKVNGSHNQELHSVIWPGEIVTKPRGWVFPNNGKLLKIAVPIRVSYKEFVSQLPGSNSSKGFCIDVFVSAVNLLPYAVPYQFVPFGNGKENPSYTELVRLIAAGVFDGAVGDIAIVTNRTRIVDFTQPYAASGLVVVAPFKKMNTGAWAFLRPFSPTLWAVTAFFFLVVGTVVWILEHRINDEFRGTPKRQIITILWFSLSTLFFAHRENTASTLGRIVLLIWLFVVLIINSSYTASLTSILTVQQLSSPIKGIDTLKKTNDRIGYQVGSFAERYLVDEIGISESRLVPLGTPDAYADALLKGSDKGGVAAIVDERPYVELFLSNQCKFRVVGREFTKSGWGFAFPRDSPLAVDLSTAILTLSENGDLQRIHDKWLIRSGCSTDNSELESDRLHLKSFWGLFLICGIACFVALFIYFCQVFRRFRNIARAAPDSGGAGSRRLQTLLSLIDEKKDPRDKKRQKVERSISNESRDCEIGQDSERR >cds-PLY76167.1 pep primary_assembly:Lsat_Salinas_v7:4:51881692:51884865:-1 gene:gene-LSAT_4X35441 transcript:rna-gnl|WGS:NBSK|LSAT_4X35441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSRNQTLLSKIATNDQHGENSPYFDGWKAYDLDPFHPTENPNGVIQMGLAENQLSPDLIQKWIAKNPKASICTKDGADSFKDIANFQDYHGLYDFRKSIADFMGRVRGNRVKFDPDRIVMGGGATGASEALMFCLADPGDGFLVPTPYYPAFDRDLRWRTGAQIVPIICKSSNDFQITQEALESAYENAIEANIKVKGLIIANPSNPLGTTMDKETLKTLLRFINEKKIHLICDEIYAATVFNTPDFISISEVLQEMEHDPTVLINPELVHIIYSLSKDMGLPGFRVGILYSYNDGVVSSARKMSSFGLISTQTQHFLASLLSDEEFVEDFLTENSRRLAKRHKVFTQGLEKDGITCLPSNAGLFVWMDLRRLMKEPTFDEEMVLWRLIINDVKLNVSPGSSFHCEEAGWFRVCFANMDGLTVEIALDRIHAFVRKGKENEAMAMKNKKNKVWQKNLRLSFSSRILYDEKTLMSPMSPHSPFPQSPMVQAKT >cds-PLY92474.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:1735952:1737394:1 gene:gene-LSAT_0X28960 transcript:rna-gnl|WGS:NBSK|LSAT_0X28960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHAIESYLIANGIVKTYEDLNLDRVKYLGIVVDSDEARETSKVIELLEWLSDIGVKKVCLYDKEGVLKKSKEVFLEKFDFMVLQSASGMYRNMNSLAMWLTKLGGFLMTCSCSGAMTQKSGQFLGVLQVRVVL >cds-PLY72673.1 pep primary_assembly:Lsat_Salinas_v7:6:28094832:28096915:1 gene:gene-LSAT_6X21020 transcript:rna-gnl|WGS:NBSK|LSAT_6X21020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALHFNSCNGLQLSGTRHINSPMLHISINGCEGVDVGNIQIFAPGDSPNTDGIDISYSSHVNIHDSNIQTGDDCVAINGGTYDINVTRVFCGPGHGISIGSLGENGGHDTVEQVRVENCNISGTTNGLRIKTVPYGTGYARGIVFQDIHLVNVENPIIIDQHYCTNSENSDCPAPPDASAVKVSDVTYTNIYGSSATKQAITFNCSGKYNCTEIVTNEVGITGLNEISYCQNTQGKFIDTTPPINCY >cds-PLY89059.1 pep primary_assembly:Lsat_Salinas_v7:9:28858892:28861308:1 gene:gene-LSAT_9X25480 transcript:rna-gnl|WGS:NBSK|LSAT_9X25480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 2 [Source:Projected from Arabidopsis thaliana (AT5G58970) UniProtKB/Swiss-Prot;Acc:Q9ZWG1] MADLSHRTEISFAETFICSAFAACFAELCTIPLDTAKVRLQLQKRSVSGEESGGGGAKYKGLLGTVATIAKEEGLLALWKGIIPGLHRQFIYGGLRISLYVPVKAFCAGGNLLGEVSLFQKIVAALITGAIAITLANPTDLVKVRLQAEGKLPAGAPRRYTGALNAYYTIIKEEGVVALWTGLGPNIARNAIINAAELASYDQVKQTVLKIPGFTDNIFTHLLAGLGAGFFAVLIGSPVDVVKSRMMGDSIYKSTLDCMVKTLNVEGALAFYKGFLPNFGRLGSWNVIMFLTLEQVKKLFIWEV >cds-PLY87742.1 pep primary_assembly:Lsat_Salinas_v7:1:4329252:4332429:-1 gene:gene-LSAT_1X3801 transcript:rna-gnl|WGS:NBSK|LSAT_1X3801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFIGFSWNPTHTDILPLVSSTVIVEFSCSSSRFPILSSSSMVKKLVAIVAVEITQGQNIPFHPHSQDKDDAHMSVNEVIYHGILNGSWLPLLLLRSPEDQISLSTHIARTKMMLTCGITPFGANKGCHFPDGLYVEYKGTAP >cds-PLY66037.1 pep primary_assembly:Lsat_Salinas_v7:4:284381243:284381977:1 gene:gene-LSAT_4X144140 transcript:rna-gnl|WGS:NBSK|LSAT_4X144140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLSGMLVKQVTIKSDGDVFHEILRSRPHHISEMSPDSIKGVDLHEGEWGVVGSVINVDFIHDGKAKVAKEVIEAIDEEKKLVCYKVIGGDILEAYKTFLLTVQVETKEEENLVTWTFHYEKLNDNVDDPNSLMDFCLAVTKDIEKHHL >cds-PLY93232.1 pep primary_assembly:Lsat_Salinas_v7:6:159753838:159755892:1 gene:gene-LSAT_6X98801 transcript:rna-gnl|WGS:NBSK|LSAT_6X98801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIKLLFLFIFFFTFTSSSPPTPPLNFTMFGTTILNQTSITLTHHHNNCISNPPDSNIGRVFYKHPIRFLDSSFNSTDSFFTRFTFTVIPPPPPCLSGEGIAFLITSDSNSLSRSVGSLGLPKPIDLKTLDSSFLAVEFDTNFDQGLDDINDNHVGVDLDSIVSVASVDLMTNGINLKSGKQITAWIEYRNPEKIIQIWVGYTQTKPENPILVSPIDLSKRFSGYMYVGFSAANGRGSATHLIDSWYFKTSESMSPIIDIDAIGPGNCFICFPVVPLTEEHETGLPNNHHKDKRVFQLAVGLLALNLILILLSVGFVVFYMCVLKRRNQMQDLMEQGQIRTFQEKKMPRRLELSVIRSATKGFSRNQIISQRPSATVYEANLPPYGNVAVKRFRQPTKTGSFGSQFAAEFATMVGSLSHKNLMQLQGWCCERNELVLVYEYMPNGSLDKILHTRITPSRLNFGTRLNVLLGVSSALIYLHEECERPIIHRNVKSCNIMLDVDFTPKLGDFGTAELYEHSSRAREATVPAGTMGYLAPEYVYSGVPTVKTDVYSFGVLVLEVASGRRPVDENGTMMTDWVWDLWEDKELVAAADPNLMGRFHRMDMEMMLMAGLICVHPNYEMRPTMKEAMRMLQGGLLPDLPATKPTVMIRSVNFDRSPEAVVRCGVDEGMTSWGTPKSHFSKH >cds-PLY74729.1 pep primary_assembly:Lsat_Salinas_v7:6:121259055:121261170:-1 gene:gene-LSAT_6X73661 transcript:rna-gnl|WGS:NBSK|LSAT_6X73661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRSAIDDNSSLSISDCFVKCWNDCTCVGFNSSNINGTGCVIWTGSNNFLVNPRDNSTLNYVINQNPITPNTENEKRKRDEYFLELTASESFKDIHQLENNGGKGNDLFLFSIASIMAATDDFSVENKLGQGGFGPVYKGRLSDGREIAIKRLSRTSGQGLVEFKNELVLIAKLQHTNLVRVLGCCIHGEEKMLIYEYMPNKSLDFFLFDETRKAELDWHKRFDIIEGIAQALLYLHKYSRMRVIHRDLKASNILLDESMNPKISDFGMVRIFKQNETEAITNRVVATYGYISPEYAMEGTFSIKSDIFSFGVLILEIVSGRRNSSFVYLDRTFNLIGYAWELWQQGDTLELKDPTLGNTFVVQQFLRAVHVALLCVQESAVDRPTTSDMISMLLNDAIPLATPNRPAFIITARMESELKSTSDENKAKDCSINNMTITVVEGR >cds-PLY66050.1 pep primary_assembly:Lsat_Salinas_v7:6:49611736:49612779:1 gene:gene-LSAT_6X37100 transcript:rna-gnl|WGS:NBSK|LSAT_6X37100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIATASPPHTAGISSLHTDILESHVLTRLDGQTLASASCASATIHSMSERNHRLWSDVCHSTWPSTSGELVDGIISTFSDDYSNGPREFFSQSFPLPSPDPTTSTATPSSSSSMVSPPSGLISAVDVYYRNELIFTKTEETETVSGWFQCSPFRIDLLDPKDIVPTQIPHPDGEHTCSHLIDDMTLSWILIDPVSKRAINLSSHKPVSVQRHWLSGEVQVRFASILPGGNHKHSSEATAFVQCGIVVNCGGSEGGEMQVRELSMEVEDMDGKHLNGRDSLVILERTMKGKRGNGVKREEEARDRHNKFEEMKRERRERKLRVEETLDTLSVAFGLSVFAGLFFIFC >cds-PLY83740.1 pep primary_assembly:Lsat_Salinas_v7:4:43527086:43535612:1 gene:gene-LSAT_4X30120 transcript:rna-gnl|WGS:NBSK|LSAT_4X30120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLDTLSRALRNGLELAGKFFVSSRWNIETVEKKMEKEKAAKKISRPNDFHDFAEYFVLEAIPHVVALVRAADNKALYNENNGNPYSDLFWLAALVQSVSELEFGQQVQKELQRLVHKVFA >cds-PLY80417.1 pep primary_assembly:Lsat_Salinas_v7:4:361398368:361401997:1 gene:gene-LSAT_4X177640 transcript:rna-gnl|WGS:NBSK|LSAT_4X177640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISHCASLILDKKSKKKNGSSDHNSSDENKKNPSVLRRLQEHKLREALEEASEDGSLIKSQDIDSESAVNNNNNQDDNGLGRSRSLARLETQKEFLRATSLAADRTFETDDSIPDLHQSFNKFLTMYPKYQSSEKIDHLRSDDYSHLSESISKVCLDYCGFGLFSFLQTVHYWESSTFSLSEITANLSNHALYGGAEKGTVEHDIKTRIMDYLNIPESEYGLVFTVSRGSAFKLLAESYPFHTNKKLLTMFDHESQSVNWMAQSAKEKGAKVQSAWFKWPTLKLCSTDLRKQISNKKKKKKDSAVGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGFDPTGFGCLLIKKSVIRSLQNQSGHAGSGIVKISPVFPLYLSDSVDGIPGLAGTGIEDDDIGNGDSVSETRPGPQLPAFSGAYTSAQVREVFDTEIEADNISDRDGASTIFEEGESFSVGEVMKSPVFSEDESSDNSLWIDLGQSPIGSDYGGGPVNKVKGSTSPVPPFWFTGRNKNKNLSSPKPTSKIANSPEVNHGLQESRMLSFDAAVMSVSQELDRIKEDPLEIEAKNTPNFHEIEEEEMETSKWDNGSSSKMTKESAIRRETEGEFRLLGRREGNRFSGSRLFGVDESGTDSGSKGRRVSFTIEEEGKNVFLPGNGEDDDEYITDGDYGSGMESSRREPEISCKHLDHVNMLGLNKTTLRLRFLVNWLVTSLLQLRIPGPHGSDSVPLVCIYGPKIKYERGASVAFNVRDRKKGLIDPEIVQKLGEVNGISLGVGILSHIRVLERGGQNGDLTLCKPMENGNGGGGQNGKSGFIRVEVVTASLGFLTNFSDVYKLWAFVAKFLNPDFDKDGGLSTVVEDEDEE >cds-PLY91732.1 pep primary_assembly:Lsat_Salinas_v7:9:16942976:16945200:-1 gene:gene-LSAT_9X16880 transcript:rna-gnl|WGS:NBSK|LSAT_9X16880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLQLSKLPLFRRCGWLSSSNGVFFTSEHQNFSQLQAPLAHILNWLRLNFDSWLCNYAFKFQMISREKDYALMMRVMRKKRLDEVCLERFQQYSRNYIQSWILQGKVIVDGRVVTKSGHPVSDKSVVEIKAEIPKYVCRAGHKLEAAIEQLGIDVTGKVALDSGLSTGGFTDCLLQYGASFVYGVDVGYGQVADKIRRDERVSVIERTNLRYLTELPQKVDLVTLDLSFISILVVMPAVISLMKEEATLVTLIKPQFEARRSQVGGGGIVRDPLVHQEVREKIVKGVEDLGFQCNGWIESPLKGAEGNIEFLACFSRTTVDSPVKVE >cds-PLY70093.1 pep primary_assembly:Lsat_Salinas_v7:4:204479252:204480966:1 gene:gene-LSAT_4X114821 transcript:rna-gnl|WGS:NBSK|LSAT_4X114821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFLFLLVPLLYLLSKIIEGRSNFTPPGPLGLPFIGNLHHIIYHSNIPTFLWQLSKSYGPIVSLNFGFIPVIVVSSASLAKEVLKTQDIIFCNRPSFVVLKKLSYNGLDVTFSPYNKYWREMKKIFKLHLLGPKRVESFRYIREEEVSSAMKKIHEMALSSMPVNLSELVKSVAGTIMMRVGYGKKFRDEHERKELLRLLTELELIFADFYVSDIWRGLPFVSLIDRLLGKTGRLDKCFQYFDVFYQKLIDEHLSPKSSEKEEDFIDILLRLKEEQLLSLTYDHIKALLMDVLVAGTDTSTATVVWAMTSLIKNPKVMKKAQEEVRNLVGKKGIVEEDDLSKLTYMKAVVKEIMRLYPPAPLLIPRETAKHTILHGYKIKQKTLVFVNAMAIGRDPESWERPEEFLPKRFMGSNIDFRGNDFELIPFGAGRRICPGISMGVMIVELLLANLVYLFDWGLPNDKNSVDIDFDVMPGVTIHKKNDLCLVAHVFVKD >cds-PLY75555.1 pep primary_assembly:Lsat_Salinas_v7:9:33723032:33724906:-1 gene:gene-LSAT_9X29721 transcript:rna-gnl|WGS:NBSK|LSAT_9X29721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLHSPVDSGGAKAAFHKPSNDSSNRKYRRRSPVSGSSSSDVEPTRDRSSTPTHSLQRKDDNRDYKRSRYSSSNSNRHSDRRSYNDRRHDDHKRRDKYADEDQKSFHKGCYSDKYSRDRSDGSGHRGRDRDKRDEKRDYPRTSKGGKSESLPTFEESRGHRSGHHNHHKDTSWRDSKELDDTKYGGRFEKGKSYNQEGRELKDRHFKEPKELVDDKTVLAARKSKFSMDKDPEFSKDGNSGSKHVGVANEVQSSSSKQGQEFVGKATVEQEFVKDSDIDAAKIAAMKAAELVNRNLIGTGIMSTDQKKKLLWGSKKSTATEESGHKWDTSMFSDRERQEKFKKLMSLRLYWYIWPIVGCKSGPQSGCSGCHSCREAERASDGLGETVHCWPSSQRWPYCWLRPLIFFYLLYMFLLPPPPHHASFKLCVPFQTMILIGSNHNSYLMLLLSGKKVKNVTSTFEIMILLWT >cds-PLY71880.1 pep primary_assembly:Lsat_Salinas_v7:7:120900473:120900988:-1 gene:gene-LSAT_7X74021 transcript:rna-gnl|WGS:NBSK|LSAT_7X74021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKSGIEVKSNESGIITNSDDLNGSIRHEISTKGKRSINIVWHKCSVEKMDRQELLQQKGCVVWITGLSGSDLKMPFRSYFIFNLVYEHEFRKKHLGLCINTSFAFTREADIRP >cds-PLY85010.1 pep primary_assembly:Lsat_Salinas_v7:4:223573712:223576974:-1 gene:gene-LSAT_4X123520 transcript:rna-gnl|WGS:NBSK|LSAT_4X123520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSGITATSLPDLSLQIRPPAADCIKKTTCSSTTTDSNSSGSDLTHDNGLNFINHHYHHPPPPERGGFVTHGLQLHHPRLSLGVDHMATFDPHFPQHPMVPLHLQRNNLILQHQYGNKHYDQPQFYGHEFKRSSRMGNRVRRIVRAPRMRWTSTLHAHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDKEAAALADMVMINPRTPETLLQMQGGISQTGDKIIEANNSNLHLSNHSHHPSRATTLQTTQRGSWSSTMEKYDSRFLSQEYMRNCSELGVIDNKVDEHELSLHLSENDMKLESSSRTKSLDSNRLLNLEFTLGRPSRQMEDCVQNEN >cds-PLY79261.1 pep primary_assembly:Lsat_Salinas_v7:7:104067832:104077688:1 gene:gene-LSAT_7X68580 transcript:rna-gnl|WGS:NBSK|LSAT_7X68580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEHQHEEVHDIMIDSSISQSQHVDLERELAPWVPDDDNLECPELDNTFDRHWNRFQGMNEISAFGRAHIGIKRMGELDRQIGDLDLTVLWYGDQASIIGGVIEFIKEMQQVLQSLESKKRRRSISPSPGPSPKPLLQPSTPQSERSIVVHENIKELGASCNSPVADVEAKISGSNVVLRTVSRRIPGQIVKIVNLLENLSLEILHLNISSMEDTVLYSFVIKIGLECQLSVEELAIEVQKSFSLNLT >cds-PLY97336.1 pep primary_assembly:Lsat_Salinas_v7:4:286668622:286669743:-1 gene:gene-LSAT_4X146041 transcript:rna-gnl|WGS:NBSK|LSAT_4X146041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALPHHYRTPSCHHSWTPSLYKYHPITTLQYPLHPPPSSFIFFFNLLLLLLHLHTHTFLKSFHMGHSDIVLRFLMFFVFKGVYGATTFTFSNKCDYTVWPGILGTPALDTTGFELPEGKSRSLQAPVGWSGRFWGRTRCNFDGLGHGSCVTGDCGSGQMECNGAGATPPATLAEFTLGSGTQDFYDVSLVDGYNLQMIVEASGGSGECGTTGCIDDLNRRCPSELKVADGGGCKSACDTFGTPEFCCKGAFDSPAACRPTAYSEVFKSACPKSYSYAYDDATSTFTCMGATDYVIIFCPTRRYYFYIFINYYYIIFIFLLYYAFKTFSYSNVIFFSVSEA >cds-PLY75712.1 pep primary_assembly:Lsat_Salinas_v7:8:194677268:194681558:-1 gene:gene-LSAT_8X125561 transcript:rna-gnl|WGS:NBSK|LSAT_8X125561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:A/N-InvB [Source:Projected from Arabidopsis thaliana (AT4G34860) UniProtKB/TrEMBL;Acc:A0A178V2X0] MSTASADLTQNNDNVKSSDCENDFDFSKLPHKPRNLNMERQRSFDERSLVEASPRYTWAENGQRHIDNESVFSPSRRSSFNTPRSLYGFEPHPMFAEAWESLRKTMVYFRGRPVGTIAALDNSDEKLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLRLQSWEKKIDRFQLGEGVMPASFKVLHDPIRNTETLMADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDSSLAETPDCQKGMRLILALCLSEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALFFMALRCALVLLKQDAQGKELIDRITKRLHALSFHMRSYFWLDLKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPEWVFDFMPTFGGYFLGNVGPSNMDFRWFCLGNCIAILSSLATPEQSMAIMDLIEARWEELVGDMPLKVCYPAIESHDWRIITGCDPKNTRWSYHNGGSWPGHSSSNCTNRIK >cds-PLY75003.1 pep primary_assembly:Lsat_Salinas_v7:1:112059550:112060802:1 gene:gene-LSAT_1X90381 transcript:rna-gnl|WGS:NBSK|LSAT_1X90381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKAITVHKHGGPEVLKWEHIQVRVPKEGEIRLKQKAIGLNFLDVYMRQGLHNRAPPLPYIPGMEGAGIITAVGPGVTSCKVGDVVAYASLQVGSYAQERILRADQVVPVPSSVDPVEAAAVIFKGLTAHVLLRKGFKVERGHTILVHAAAGGVGYLLCQWASAIGATVIGTVSTKQKALQAKEDGCHHVILLKDENFVDRVMEITSGKGVEVVYDSVGKDTFDGSIACLKKHGYMVLFGMASGEPQPLSVAQLASKSFYYTFSSVGEYTEDNREELLLAAEELFDNVARGVLRVRVNHKFLLSQASHAHIAIESRKTTGSIVLIPDEE >cds-PLY88463.1 pep primary_assembly:Lsat_Salinas_v7:8:88229392:88230862:-1 gene:gene-LSAT_8X61301 transcript:rna-gnl|WGS:NBSK|LSAT_8X61301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRAVTSPSFQFSSFSSQTPRLQGKVALITGAASGIGKETATKFISHGAKVVIADIHHDLGHNTATQLGPNASFISCNVTNESDVANAVDFTVTKYGQLDIMYNNAGIPCRTPPTILDLDLETFDQVMSVNVRGVLAGIKHASRVMIPRETGSILCTASVTGVLGGLAQHTYSISKFTVVGIVKSLASELSQHGVRINCISPFAIPTTLVRDELARYFPDLEEEEITSMVQNAGEFKGSYCEPGDVADAAVYLASDEAKYVNGHNLVVDGGFTSVKNFKFTVPHKQH >cds-PLY85292.1 pep primary_assembly:Lsat_Salinas_v7:3:71752818:71753959:1 gene:gene-LSAT_3X54301 transcript:rna-gnl|WGS:NBSK|LSAT_3X54301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMAYLGSKKANAAALMSSPSPSPHQRILTQDELKKIAAYKAVEFVESGMVLGLGTGSTAKHAIDRIGELLQQGKLSNIVGIPTSTMTHEQALSLGIPLSDLDNHPVLDLAIDGADEVDPDMNLVKGRGGSLLREKMIEGCCKKFVVIVDESKLVDYVGGSGLAMPVEIVPFCWKFTAQKLQSLFEEAGCVAKLRTSPENGKPFVTDNGNFIIDLYFKKDIGDLKAAGDAILRLAGVVEHGMFLDMATTLIVAGELGVTVRHKC >cds-PLY80923.1 pep primary_assembly:Lsat_Salinas_v7:5:10475410:10476898:-1 gene:gene-LSAT_5X5021 transcript:rna-gnl|WGS:NBSK|LSAT_5X5021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLLFNAYSTNTDDDEENEKHPPPPFKRAKPELTHTSINFDHRKHISTESSISGRYISKQERALMASAPPQIPNPDPPSSSACSPVQGSILDSSIRKDILSSLRNPKGGGYANSEKKSTALIGHTKAVNALQWSNSHCHLLASCGMDSSINIWNVWSNEQKKARVLNVHTAAVKDIKWCEKGLSLLSCGYDCTSRLIDVEKGIETRVFKEDQVVGVVKFHPNNSNLFLSGGSKGVIRLWDIRTGNVVNQFSRGLGPILDVEFMNDTKQFISSSDESKSNVSANSIIVWDVSRQLPLSNQIYVEAYTCPCIRHHPYDPYFVAQSNGNYIAIFSSKSPFRLNKYKRYESHFVSGFPIKCNFSTDGKKLASASSDGFIYIYNTKSCHLINKIKAYEQACVDVAFHPILSNVIASCSWNGEISVFE >cds-PLY93586.1 pep primary_assembly:Lsat_Salinas_v7:2:175835437:175839121:1 gene:gene-LSAT_2X98861 transcript:rna-gnl|WGS:NBSK|LSAT_2X98861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGESKWRKVKLALGLNTCLYVPKTADDNESPPSPSSRSRKSSDIRHRMPTTPTPSSSGLQLSKYGIKSSSKRICAICLTTIKPTNGHAIFTAECSHSFHFNCITSNVKHGNRVCPVCRARWKEIPFENSGSSRVKSNTNSGSWAHNDSYMILQGLPPQQLHSSRNVPFLFQSLEPPVFDDDELLNQTPEEVFSNSDSDQGLEMTTYPEISAVAKSTRFDNFAILINLKAPVTKGNMNSRAPIDLVTVLDISGSMTGTKIALLKQAMGFVIQNLGPLDRLSVIAFSSSARRLFPLRRMTESGKQESLQMINSLVANGGTNIAEALKKGAKVMTDRKFKNPVSSMILLSDGQDTYTSISPRKNGSKTDYQSLLPSSFQIKKSNSDTDVIRIPIHTFGFGMDHDASAMHTISEHSGGMFSFIEAENVIQDAFAQCIGGLLSVVVQELRVEVECVDPVLKLGSIKAGSYKVNMGSDFRSGFIEVGDLYAEEERDFLVGIDIPVEESSGDEMSLVKFKVIHKDPIKKTTVTVGGNENVTISRPETTAGKQIVSIEVDRQRNRLNAASAIAEARVAAERGNLAAATSVLDDCRRKLSESVAARGGDRLCVGLVAELREMKERMASRRVYESSGRAYVLSGLSSHSWQRATARGDSTEVTSVIQAYQTPSMVDMVNLSQTMCFSRSPSSSMGAQGKKSLRSIQSFPAPRPR >cds-PLY84586.1 pep primary_assembly:Lsat_Salinas_v7:1:31996842:31997805:-1 gene:gene-LSAT_1X27760 transcript:rna-gnl|WGS:NBSK|LSAT_1X27760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKENIEQHELEIVKAVAQAWLGHTTTTSPPSSTNEFDTRRLSFKIKPTRFKLEKPSSWNNSNNNSNSISTSWDFTQSLWDSYEIVAVSRRLESGLLLENEFDESSKGQTQGQSSKRKKESKNSLRNILNRTSSRSKLLRT >cds-PLY96747.1 pep primary_assembly:Lsat_Salinas_v7:2:171255955:171257620:1 gene:gene-LSAT_2X94100 transcript:rna-gnl|WGS:NBSK|LSAT_2X94100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSLHKPSAMKVRLSFGCNRKPDKHLVIPPSPVKESPQIINDNVAVKPQWPPLHSTAKPRDFGSKEETFYDSQPWLESDCEDDFMSVNGEFTPSRGNTPVHHNFTAGAPPMKGGAPSISDHESCITPKKKKRLSELFEEGLIEKHEVDEKEGNEKMAAVNGGGLKAKRERWVEAVQVNSCLPGLLSSCRPVTTTTDTQQAQMNYVDHQV >cds-PLY71192.1 pep primary_assembly:Lsat_Salinas_v7:2:133932382:133935338:1 gene:gene-LSAT_2X61740 transcript:rna-gnl|WGS:NBSK|LSAT_2X61740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSSSRLRENFKTKFTNTASVPLHIDDDDDDFVDPPLPDKLEKIEDSEVNANALGMGQFIKREDDENEDAYCAIFNIEYNKVLTEKGSMSKALIEGLKKFLDSEILRETMTLMKMLFNDVGINEDAVVNESDGIDEDTDTDDDMLQKNAQHENFGQASGSNKSHVTPYGTK >cds-PLY83063.1 pep primary_assembly:Lsat_Salinas_v7:3:81651700:81653889:-1 gene:gene-LSAT_3X63360 transcript:rna-gnl|WGS:NBSK|LSAT_3X63360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPETEHPIKAYGYAASDTSGTFSPLTFSRRATGDKDVRFKVLYCGICHSDLHFAKNEWGVTTYPVVPGHEIVGVVTEVGSKVETFKVGETVGVGCLVGSCRSCQSCSNNLEQFCPKMTFTYAFPNYSDGTLTYGGYSDHMVADEHFVLHWPDNLPLDSGAPLLCAGITTYSPLRHYGLDKPGMKIGVVGLGGLGHVAVKIAKALGAEVTVFSTTPEKKEEALDGLKADHFIVSKDVDQMQAATGTLDGILDTVSASHPIVPFLNILKTDGKLVLVGMPAVPHELPAFPLVLGRKVVGGSNIGGLKETQEMLDFCAKHGITADIEVIPIDYVNTAMERLLKSDVRYRFVIDVANSIKVPSDTRSKV >cds-PLY93596.1 pep primary_assembly:Lsat_Salinas_v7:2:175297150:175297898:-1 gene:gene-LSAT_2X99381 transcript:rna-gnl|WGS:NBSK|LSAT_2X99381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKRNTTIYTAVTIDHTALYYTMCSFFERTLPETNTAAPSIMSSLQFHSSADPHLNVALLLNLLNGNFVFSIHVVNDGDEVVCGARA >cds-PLY68844.1 pep primary_assembly:Lsat_Salinas_v7:3:61777936:61780872:-1 gene:gene-LSAT_3X48380 transcript:rna-gnl|WGS:NBSK|LSAT_3X48380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLEEDQEQDKPNDNALKSTDDNRSDEKDLDDVAVESPDDANNAEHDDKTSEIDGIANQSDSVVIGSKEDVKFLDTEVAVEKQEQVDNGEEDHIPSPSPSPTGSGGENGKNKDDEPEEEQHQLNPERSLVTKTDSEFSPDVKEEKLNTEGLSVTKIESELLPPEMENREEEAVEDDYEAELNSVTGVERPQLALTVVEEEEGAEFKTLQNHKSFLLDPNSSLEDESGTEEDQAAFMKELEVFHKERCLEFKPPRFYGEPLNCLKLWRSVIRLGGYEQVTSCKVWRQVGESFKPPKTCTTVSWTFRCFYEKALLEYEKYKMSNGELPFTDAASTEPPSGAKQTSQSQTPGSGRARRDAAARAMQGWHSQRLLGNGEVGDPIIKDKTPSTAIKREKQTIGLLKRKKPSSVERAVKVARMKASKPQLGSFFF >cds-PLY69477.1 pep primary_assembly:Lsat_Salinas_v7:6:44167588:44168135:1 gene:gene-LSAT_6X31360 transcript:rna-gnl|WGS:NBSK|LSAT_6X31360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIPSCLNSILEKLTQRWPLLVYATTWTTILTATVAVTSFAPELAFVWAITPSSSFSKVCQDQKEGFVRVPFDVPSDIFCLPAEMFKKSKIDLVVPPIFAAVIVAASACLVRALGLWEVDEGDAQ >cds-PLY88049.1 pep primary_assembly:Lsat_Salinas_v7:6:180784392:180784673:1 gene:gene-LSAT_6X109340 transcript:rna-gnl|WGS:NBSK|LSAT_6X109340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMSDNSPYSSVKILCYLIGLIIFISTTQFGAVDSRALRPTQLNISNGSNRGEGRTEFRVSSSIKTKGGGLPLRKMESAFTLASGPSKRGPGH >cds-PLY88813.1 pep primary_assembly:Lsat_Salinas_v7:4:93093038:93093469:-1 gene:gene-LSAT_4X61081 transcript:rna-gnl|WGS:NBSK|LSAT_4X61081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVATTTGKNGRHHQNASISVKEKRTLNFLVICKRSSASDLDRHRCLRFSENDLECCCRSGTSQPPFIFSGRHQICSRKRLAILQWMNVLFKLRIQPPNSSCSTTGDLKTPNEKLVYEEGQIRQKTEIKGVLDLGIDDEDGFV >cds-PLY76378.1 pep primary_assembly:Lsat_Salinas_v7:8:85949810:85952614:1 gene:gene-LSAT_8X61000 transcript:rna-gnl|WGS:NBSK|LSAT_8X61000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQFTSTCNFAFSQRKVERIRAVASDGFVKVQTEEDKFVKLGGSDLKLTKLGIGAWSWGDTSYWNNFEWDDRKMKACKNAFDASIDSGITWFDTAEVYGSRFSFGAINSETLLGRFIKERKKKYPEDEIAVATKFAALPWRLGRQSVLSALKDSLSRLELDSVELYQLHWPGIWGNEGYIDGLGDAVDQGLVKAVGVSNYSEKRLREAYKQLKKRGIPLASNQVNYSLIYRIPEENGVKATCDELGISLIAYSPIAQGVLTGKYTPQNIPTGPRGNIYTAEFLTELQPLINRIKEIGESYKKTPTQVALNWLIAQDNVIPIPGAKNAEQAQEFGGALGWRLTSDEVDELRDLASKSKPTIGFPVEKM >cds-PLY98628.1 pep primary_assembly:Lsat_Salinas_v7:1:36473430:36475586:-1 gene:gene-LSAT_1X30821 transcript:rna-gnl|WGS:NBSK|LSAT_1X30821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGSRRTNEKDQPPSFTNPIIQANCIAPNTQSTSNVAFQEANVSAGHFESIPDSPLLISPGWENMYSMNQPITQRMPETSGNPMAYLTNPSSFQNPQLNLNSSTANTDLQEVPLVPEWNTKWNPQFINQGHNAISAGGMNNGAVISNFDNPWSSKNPTTARNFAGDLQMNRQAGESKFGPFNRPQLDGHFAHSPLHYRPRDTAPIHTQNNVNFNNSQCGVQGKVERSFLSLGIGGTEEAIPTSQPGSRETSDKLKESASAELKMARARKAMGQTLNANFPGFQRSTSGFSNQLDRITSTGNEVGVHCTPNSGPGSSPYHILEMQQNDMQHGISRHDDSNSTFLSNRNAGYGDANHYRVSAGNQAVHSGTIGGNSAQFLNSQQHALNRVVQESAKPSYTMSHTPSGKLQNIRFKTTNTPPSESSMNSSLKLGSGSSSTRQNYAGKHPYLQNHMPSQGPGGGLLSQNQRTAVPQVSWVSSGQGVTDLPFPKRLGVEVNGRDSPQPAERQISLQTTSAGQSQTRQYPDNVVRPSIAPVSYPMNPQGPLFSHGQSQMVQLPNNPRGQAPTTSVDGLSNKSEYYARPYHKRSAVAPPSGAHWVQRQKMSHPTTTHHSMLI >cds-PLY96084.1 pep primary_assembly:Lsat_Salinas_v7:3:101306901:101307305:1 gene:gene-LSAT_3X75761 transcript:rna-gnl|WGS:NBSK|LSAT_3X75761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRMTPIFQLSSSSEDSSLIQSPLLLLSSTPMLLLSSSMPMPPGMDPISCPFTRFSKQRRKGGQQVPRALCPTHLSRSKCSSPVPPNAPICRQRSCECAVMLRMLRHRIDRPQRSVPNFVQSSGWRHHKIFIEG >cds-PLY68626.1 pep primary_assembly:Lsat_Salinas_v7:2:72385491:72386793:1 gene:gene-LSAT_2X32961 transcript:rna-gnl|WGS:NBSK|LSAT_2X32961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRNTKANPKVTPTTEPTIGRTRRGRPARGSVVTPVTETPTSSAPIPPPPKSGLPQTIAELEKLVSERITIAMATARSLNDGRQVVEVNGLGNGAGSTLHSHPEEPRRTCSYKDILILILKHLKFEPEEDPDEDPEEVMEEEPEEDPEEDMDEDEVIMIPDSESSASIPPTPSRSFLGFSLRRSKKTARISDPKPVTIKYNLRSPRTKKTMEPPILESNHENQRTAGKRTAGTFEEGQASGAAPASDMDIDKLSFLLEQNVR >cds-PLY87961.1 pep primary_assembly:Lsat_Salinas_v7:3:178068014:178071564:1 gene:gene-LSAT_3X107861 transcript:rna-gnl|WGS:NBSK|LSAT_3X107861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACERRVLTLGGKGSSLSPASVFEFSIASPPHILKTDPSALSSPTSNHKQNLPAPDFLAPSPGSYFKASIDSSSSPLNNKHNPAPSRIQLSVPDFLSPEEARASFLLLYKHLLGGSSSSSSTAVSQLLDILNNDTQTLTIDLDFQGNEDINKLRGSWPLITLIGICALLDHTASSLAPVADAVAALSCEALKADTSTAFSFTDSGDGCSDKDVTYVSNVMKGFENDDLYPTLVDSFNAGCPGLDRLNNSIKAAAKFELEEKYVESLHEIYILAKAVRKILSWEATISFISLEGSMKGEEGIDEKPDKKKKVMGKGTTLLMQFIKDNLLSVANNVTDSSCSTLPEKVAQCFLSHFESLLPKVKQVVESNESRRLPKLVKKPDKKKKVMGKGTTLLMQFIKDNLLFVYVANNVNDNSCSTLPEKVAQCFLSHFESLLPKIKQVVESNESNESNESNESNESRRLPKLAKVTRDFAKEQMVVREKAFAIIGNVFKRHGAMALDTPVFELRETLTGKYGEDSKLIYDLADQKVPNCKSGRDNPSKARHREFYQCDFDIAGDETIAADFEVVRILVELLDELNIGDYEIKLNHRKLLDGMLEICGVPSHKMPTICSSIDKLDKQSFDQIKKEMIEEKGLAAETVDKIGKYVCLKDHPLKLLSQLKKEESIFLENVASNEALKDLGKLFECLDNRTLDKVVLDLSLARGLDYYTGVIFEAVFKGATQVGSIGGGGRYDKSHRHVWYKTRGGSWCLFGKKSKKLRIR >cds-PLY92683.1 pep primary_assembly:Lsat_Salinas_v7:3:117258505:117261981:-1 gene:gene-LSAT_3X81820 transcript:rna-gnl|WGS:NBSK|LSAT_3X81820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVIIFSSTNKLYEFSSASMKSVIQRYNKSKEENSQLVNSMSDVKFCQMEVAILKQQLQNLHEAHRQLMGEELCGLGVEDLQKLENQLEISLQGIRMKKEEILTNEIEDLTRKGSQIHQQNIELYKKIHEARAYAANYDEYSSMHETPLLSKHVAPPISSYANLSIGGVFTYG >cds-PLY85922.1 pep primary_assembly:Lsat_Salinas_v7:2:189758577:189758867:-1 gene:gene-LSAT_2X110680 transcript:rna-gnl|WGS:NBSK|LSAT_2X110680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIDTSSAETFTESNPPSSGVDLIPSSSKEASIPTLVSLAASLPLFQCPFIITTVPRSPELASASD >cds-PLY64673.1 pep primary_assembly:Lsat_Salinas_v7:7:135736200:135737366:1 gene:gene-LSAT_7X81920 transcript:rna-gnl|WGS:NBSK|LSAT_7X81920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTEKRCKICRRTLVKLSNSQYAPCPVCGTVSLFYRKLKVPVLKRIEQITNVVDDLQRNPSQTLSRLPRFSQISIKQQTQTPLVQKRKKAVLCGVTYKGHSKKLEASVHNVRSMQQLLVNKLGFLNDSILVLTEEESDRSRIPKKRNIQEALRWLVEGCKSGDSLIFYYSGHACKVPDEDGDEIDGYDEALCPLDYRVAGVILDDEINATIVAPLPHGVTLHSVIDTCFSGTVLDLPFLCQINQDGLYMWEEHQLINKGTQGGKALCISACADNQNSADTSVIIIFFILGPK >cds-PLY67912.1 pep primary_assembly:Lsat_Salinas_v7:1:55001562:55004587:-1 gene:gene-LSAT_1X48980 transcript:rna-gnl|WGS:NBSK|LSAT_1X48980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKLREGGADVLRVCFSLEKKFEFNGVLMLEKKGGTICDGEYGVGYGLALVASHAVNPDVYWKSVLPNSPMPKAIKELVQTTEWSEDKNTAVGVGEDGVAVHTGKPGKQTDVSVGYGYGLSPFNYNYAASEDQLKDNPNVAFFFLENDLHRGTEMKTHFIKDDQKATFLPRQVAESIPFSSNKLPQIYNEFSIKSDSVEAQDMKQTLSECENKGIEGEERYCATSLESMVDFSTSKLGKNVKAISTEVNAKESTPLQKYTIEGAKKLAADKAVVCHKQNYAYAVFYCHKTVNTRAYSVSLVGADGTKAKAVTVCHTDTSKWNPKHLAFQVLKVKPGSVPVCHFLPEDHVVWVPY >cds-PLY68283.1 pep primary_assembly:Lsat_Salinas_v7:1:27965216:27967226:1 gene:gene-LSAT_1X22761 transcript:rna-gnl|WGS:NBSK|LSAT_1X22761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPLSWYLKMLDVRPLYTKSISAGLIYAAADLTAQMMTMESWGSLNIIRTLRMSMFGLFFLGPAQHVWFNFLGRILPKRDMTTTFKKLVVGQIFYGPTCTAVFFIYNAFLQGESASEVGSRLRRDLVPTLTGGLMYWPVCDFFTYKIIPVHLQPLMNSSFSYLWTIYLTYMASLEKAIEA >cds-PLY83418.1 pep primary_assembly:Lsat_Salinas_v7:5:330320824:330320994:1 gene:gene-LSAT_5X186840 transcript:rna-gnl|WGS:NBSK|LSAT_5X186840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYSLQSGSLHEIATRRRHPILLLVHPYLLRALKALHLVQALLHNCSIQRVVKAAL >cds-PLY95030.1 pep primary_assembly:Lsat_Salinas_v7:5:226368557:226369468:-1 gene:gene-LSAT_5X105920 transcript:rna-gnl|WGS:NBSK|LSAT_5X105920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAQSDFAIRIPENNVSVEEGFDYSQRGQWLRAAVLGANDGLVSVASLMMGVGAVKHDVKAMILTGFAGLVAGACSMAIGEYVSVSSQLDIEVSQVKREKRMGVSSEETENEELPNPMQAATASALAFMFGAIVPLLAASFIVDHKVRLGVVVAAVTVALVVFGWIGAFLGGTPVVKSCLRVLVGGWLAMAITFGLTKLIGSSGL >cds-PLY83706.1 pep primary_assembly:Lsat_Salinas_v7:4:43241804:43242239:-1 gene:gene-LSAT_4X29020 transcript:rna-gnl|WGS:NBSK|LSAT_4X29020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEVLQRSCELEHLCIEEAEEFFWKEPQSVPTCVLLKLRSMKFISLKRGECDIKFIEYLLANAKVLKTLTIISEIWPPADEMRLCEQFMKFPSASGCCEIQFVRKWSHFYNKLA >cds-PLY75962.1 pep primary_assembly:Lsat_Salinas_v7:5:247840633:247841508:-1 gene:gene-LSAT_5X123300 transcript:rna-gnl|WGS:NBSK|LSAT_5X123300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKLYNHTKGKIHPSPPPPSATPEHHLSLLPLAIATLAAALAPEDQEVLAYLLSSSANTTIFSTGGKPTNKSGGGASSGGDHLPQFNCNCFRCYTSFWVRWDASPNRKVIHEIIDAYEDGLIHNKKNGKGKKERKNNKIASSSSSSSSSSSCHVSHAPLTATESVINAPPLSEQRNSDEEDEMATGSSEKGSVRKIVSFIGERIWGVWGI >cds-PLY96791.1 pep primary_assembly:Lsat_Salinas_v7:2:171463357:171464930:1 gene:gene-LSAT_2X92960 transcript:rna-gnl|WGS:NBSK|LSAT_2X92960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRRPLIGKHRLRSSRYAIRCVDIQSSPPPHLSELSLLADKCTSMRQLQQIHAQMIVSATINDNFAASRLLSFSALSLHGDLIYASKLLNSVQQPNMFMWNTLIRGLAISPDPSEALFLYIKMRRIGVTPGKHTFPFLLKACSNLQSLTSCKQVHTQVVKVGLDLDFHVVNSLIRGYSVSCSLKDARQVFDEFPDKNVNIWTTMVCGSAQNNCPEDALALFNEMVAQKFEPNGPSLSSVLSVCAQSGCIDIGEKIHRYIQEKGLETGVILDTALVNMYAKNGALVMARNCFNSMPHKNIVTWNAMISGLAVHGHAKEALEYFHELQKHEVVPNDRTFVGVLCACCHAGMLDFGWKIFKSMRSVYGIEPKIQHYGCMVDLLGRGGRVLEAEELIKGMPWKADLKILGALLSACGSHGNLEVAERLVKKMIVLEPDNHGVYVVLSNMYADVGRWEDVLRVRGIIKDGSLTKTPGWSVVGGE >cds-PLY72869.1 pep primary_assembly:Lsat_Salinas_v7:5:167329144:167331924:-1 gene:gene-LSAT_5X73901 transcript:rna-gnl|WGS:NBSK|LSAT_5X73901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWTLTVKEAVYYSAELQLPKMMPRSEKRERADRTIREMGLQDCVNTRIGGWGFKALSGGQKRRVSICLELLTHPKLLLLDEPTSGLDSATSYHVMNQIVKLTRQYQMTVLAAIHQPSSQLFGLFNNLCLLSMGKTIYFGPTLAANQFFAVNGFPCPDLQSPPDHYLMTINMDFDKDTARGEVPAEHIINALAESYKSSEMYTEVKSEISTICREKGDLILRERSLQAKFITQCSVLSQRSFINMYRDPGYYWLRLGIYIGFGFSLGTIFFHIGLGFGSIHDRVSMIMFVSSFLTILAIGGFPSFVEEVKVFQWERLDGHYTVGSFVISNTISSTPYLLLISIIPGAIAYSLMGLQTEPKLFIYFVLVIFASMLLAECLMMIVATIVPNFLMGIICGAGIQGLMILASGIFRLPNDLPHVIWRYPMYYISFHRYVLQGLYKNEFEGLKFPEYSGGPPTVDGEMILKSVLQIEMQYSKWVDLGILFGMLVAYRIILFCIIKITERVKPIIKDFMPCYSYSN >cds-PLY80109.1 pep primary_assembly:Lsat_Salinas_v7:5:146345398:146347043:1 gene:gene-LSAT_5X64040 transcript:rna-gnl|WGS:NBSK|LSAT_5X64040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDEQGKEFKSIVDNGIKLGGSLAMQEHIPWLRCFFPLEEEAFAKHGERRDRLTRAIMEEHIAARMKSGGTKQHFVDILLTLQKQYDLSDDTIIGLLWDMITAGMDTATSSVEWAMAEIIKNPTVQQKVQQELDSIIGYERVLTEPDFSNLPYLQNVAKEALRLHPPTPLMLPHKANTNVKVGGYDIPKGSNVHINVWAVARDPTTWKNPLEFRPERFLEEDVDMKGHDYRLLPFGAGRRACPGAQLGLNLMTSMLGHLLHHFSWAPANGLSPGEIDMSENPGIVTYMRTPLEVVATPRLPVCIL >cds-PLY79890.1 pep primary_assembly:Lsat_Salinas_v7:8:15041437:15042639:1 gene:gene-LSAT_8X11140 transcript:rna-gnl|WGS:NBSK|LSAT_8X11140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKIWVEICLISARGLTRTSSLWKLQWFAVGWIDPDNKYCTKVDASGNANPTWKTKFSALIDHSDSRFEDLALNVEVYSRDPVFLKEKLQGTASVGLKEFLDKHKNNSDELRRVEEVGSFQLRKRNSNKPQGFVDVSIRISQETDGGSNYEGDEVGIKLRVRDDGVNLPSRIGENHLQTQLPPGPSYHQPPPPNYPSAGGFNLQPPRMPSLGPSYHHHQQQQPPLPPSQPYNAGYMPQSSIDNLPASYINMPSSSGARPGPRVGPGFGMGLGAGALAAGAVIFGDDFVSGFDLPTGFTISTDPPF >cds-PLY98396.1 pep primary_assembly:Lsat_Salinas_v7:5:316830021:316832106:-1 gene:gene-LSAT_5X174381 transcript:rna-gnl|WGS:NBSK|LSAT_5X174381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAHHCNNRRSNLLLLLLIVSVVANLITASAASSPIAIASEEKLELTLYYEALCPFCENFIVNYLYKLFDNGLISIVDLKLSPYGNAKIRSNGTIVCQHGAWECVLNTVEACAIHAWPAVSDHFPFVYCVENLTYEGEYANWETCFQKVNVDPKPVLDCYTSGFGHELELQYADEIKALEPPHTYVPWVVLDGQPLYDDYVDIISLICKAYKGSKTPQACLGLSLPVTKHKDTDNTLDNVCYKEEKSKSKSRVSEIMSATEASWMHDVGMVESM >cds-PLY70496.1 pep primary_assembly:Lsat_Salinas_v7:1:72084708:72088293:1 gene:gene-LSAT_1X61501 transcript:rna-gnl|WGS:NBSK|LSAT_1X61501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATDRSKIIPPPDLVVQKFTESRAPELESLYSTIATRLTNNFKSQRNKRRRTTSYDNQASKTRFRKKQKFGVSNQNNDVNSEKQVKKAPPRHIRRRKELNENPKNGFCTSGNGTKRLRTHVWYAKRFTMITRWGFHLPLGLHGRGRGSRALLKWLKNGTVVHDASYYSAVQLEGSQDSILSILSIVMSPFPPSDAENVISGGIYATSMLHHSETPNSHTIAPVIYMWRPNQKSIDESEDHLKVNKGQTFRQLWIWIHAAALTEGYNALKNACESQGKVNEDVDSVKCISLEGQFGTLEVMGSKASHLLHKILNPVSIMSSRITSIMENEDDTSCCEVIPLVVNDPRVLTNNTEFDSSYTELWDANKGLFSPVEESVLCMEKHHQRLLSFCLTNKTSNDNNTPTTKMESSRFCPIILLKRNNLKDHSITRWTIILPLTWVKAFWIPLISNGAQAIGLRERSWVSCEAGIPSFPSEFPECDPYTSLKEAEASTIDEQASLRPVSMKPFDIPILPPWNCIQLAYGSNPNPNEPIPLQSNMVVARSARMLTGFLNTINDNHLLLFPHDKIKNTSILSKIIKDEKILDQVPNTSTFLENGSRKKLCFVRVVLRAYKEGVIEDGALVCAPSMDDIKLWTSGSNKKVDLQIPQSVMANYFVKEDSGKWKLQVPEDPAAMESNRWPIGFVTSGFVRGSKKPVAGGLCDAVLLAHIRHEQWSCVPLKKRKKEVYVLTRNLRSTSYRLALLSIVLEQQEEDLDHI >cds-PLY81915.1 pep primary_assembly:Lsat_Salinas_v7:8:123048093:123050923:1 gene:gene-LSAT_8X85121 transcript:rna-gnl|WGS:NBSK|LSAT_8X85121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQRQRNQKMAHQEDQEQQQDEQSEEMQHGPFPVEQLQACHHPFNPICSFASGIAAIDVKKLKDAGLCTVESVAYSPRKELLQIKGISEAKVDKIIEAASKLVPLGFTSAGQLHQQRLEIIQISSGSRELDKILDGGIETGSITELYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKAMYIDAEGTFRPQRLLQIADRFGLNGNDVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAMFSGPQIKPIGGNIMAHASTTRLALRKGRGEERICKVISSPCLAEAEARFQISTEGVNDVKD >cds-PLY72216.1 pep primary_assembly:Lsat_Salinas_v7:7:57438073:57438312:-1 gene:gene-LSAT_7X41901 transcript:rna-gnl|WGS:NBSK|LSAT_7X41901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVETKSFRMSDMLEQELVNLSENLYMIHRYGIPKKVLELDFGEKKWVSPERKGEYAFFVSKVKSVAPIKPESWTDART >cds-PLY70995.1 pep primary_assembly:Lsat_Salinas_v7:9:69524524:69528941:-1 gene:gene-LSAT_9X60500 transcript:rna-gnl|WGS:NBSK|LSAT_9X60500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRRIDPDAPIDYVELQIFPSHNRYEACVSTSNIAEKVASGDLQQLLLHLPQVKDLSSKSSNSNFKILAPEDFNDHSWFTTATLKRFLQIVGSQDILTIGNEISQLEETRKFQLSLFAKAEVDMTSSFESKNELLRTVDLRLSELKENLSYALNQATGAKCSTNDISNMENLAHHFGAEDIRDSLQKLLELSELKDSITETSIPTSQLSPPVKYGVSPAKAAQIERQMSTDSETSFSSEDNQPPPIERSRTPARSAASRRSASPMRRIQIGRGGPRRPAVLSIKSLNYAKTTSQRDEAGQSSQEEDEESERVAKSNALRMSVQDKISLFESKQKEDQGVEIQKPKIVEKAVLRRWSSGMSESHGTSEIITKDPETEENMKSISPKKDADVAVDDKEQGSCEKDISTWNEQKEAELNQLFNKMMESKPVRRHSVTNDTSKGKKSSSKEQRGGFYDHYKQKRDEKLRKEVGKRAEKDAQFKEMQQFLDDKKAEIVSTKNKQPQKSKSPIPKKEAKKETPKASVVKKITNKPLSQLPATRKSWPSAPSPKPTTTSSTPTPTRKPQSATPAVRAIPITKAEKSKPQSKISKVTTTQPEAKKMTTKTIIEKKQTPVVKPKPRTKVETPVTPSTTAAKPSFYKKVTKKSSVVPVETKPFLRKGTGIGPGGGAVVVKSKAVAQIEEPITTEVEAPIEPEKIQVVETTTKCEEPECEFDDAKLELESEAMCEEVVETCDVSGVNKIEMVEVEAEAEVEVEEELMISPTAWVENNCEDEIVVCEERDVNVNVKVGSGSANVGVPGGVSSPRVRHSLFQMLLEETGESESGEWGNAQHPPVQKDAPKGFKRLLKFARKTKADLLLTDGSSPSSIFRRG >cds-PLY97301.1 pep primary_assembly:Lsat_Salinas_v7:1:177713588:177713746:1 gene:gene-LSAT_1X116620 transcript:rna-gnl|WGS:NBSK|LSAT_1X116620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIDKLNELLNQERNRHHITHGSFTQQSFPSSISADPLRGQGRPRPPCFLAY >cds-PLY76953.1 pep primary_assembly:Lsat_Salinas_v7:6:66580729:66581419:1 gene:gene-LSAT_6X48181 transcript:rna-gnl|WGS:NBSK|LSAT_6X48181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNSVAHVPVTTEPVDPVHWYGCWLIYQVIIIGYILFSWQYYRLYLSMGGSKKIRGVQNLEIVRPHQLLEQMVCTAFRAATDTLNQTRFGGLKNMTIKIDQLYFTIASALKPLQANKLPGDMEIIQDVKRLCVVFEHVEKLLTLGSGSGNQWSHQQREIGIWSCKDCGKVKARGPYTLK >cds-PLY78020.1 pep primary_assembly:Lsat_Salinas_v7:9:44416021:44418872:-1 gene:gene-LSAT_9X39720 transcript:rna-gnl|WGS:NBSK|LSAT_9X39720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase FERONIA [Source:Projected from Arabidopsis thaliana (AT3G51550) UniProtKB/Swiss-Prot;Acc:Q9SCZ4] MRNPDRHHLLDFLLSFLLVGCVIRLVLAADYNPSDKILLNCGAVGELSDDDGRQWTTDVGSKFALAGANSIVSDAATQKPSVPTVPYMTARIFRSQFTYSFPVASGRKFVRLYFYPASYANLNASKGVFSVVSGSYTLLKNFSASETATNLNFDFMTKEFSVNVDSGLLNITFTPSPNTPDSYAFVNGIEVVSHPDIYSSNGNAMIVGTSTGFNINNYTVLENVYRLNVGGQAISPSDDTGLFRPWGGDNAYVYGAAVGVPVATDPNITISYPSGMPDYVAPVDVYKTARSMGPTPGINIGYNLSWYFDVDTGFSYLVRLHFCEVAPDITKINQRVFEIFINNQTAETEADVIAWANKPKVPVYKDYVVFLALGPPRQDLWLELHPNSARKPERYDAILNGIEIFKINSSDGNLAGTLPAPAPVQQIIDPTRGLSIKKQRKDPNSSDGPSGWLPLSLYGNSHSSGSTAKTTTTGSYASSHPSNLCRHFSFSEIKSATNNFDESLLLGVGGFGKVYKGEVDGGATKVAIKRGNPLSDQGVNEFQTEIEMLSKLRHRHLVSLIGYCEDNNEMILVYDYMAHGTLREHLYKTQNQPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPALDHTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEILCARPALNPTLAKEQVSLAEWAQHCHKKGILDQIIDPYLKGKISPECFKKVAETAVKCVADQGIERPSMGDVLWNLEFALQLQESAEESGGKGAVMEMEDGVYDDVPLKGKAEGGGYEGNVTDSRSSGMSMSIGGRSLASEDSDGLTPSAVFSQIMNPKGR >cds-PLY77025.1 pep primary_assembly:Lsat_Salinas_v7:6:175396778:175400143:-1 gene:gene-LSAT_6X107780 transcript:rna-gnl|WGS:NBSK|LSAT_6X107780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRYSRPPSGTLPTGFSRRPIEAILLAPLISFAPLSSLATVLVLRSQPLSNRFNYAFFILNILLKPEAFLMTKMKGVDLRGSRLSLIGSSDAEGSGDDDEGKGNGKKKMMRSVALGKYDIKKTKRIPLKFLEEEDDLSLHVQAIRNEFNKSHMAEKGIGVGDDDSILSPKSFFLIGNDALVKAKTGTGKSDAFLQIKALLENVGKSFCPNYVDWFGFEAADIPPRSADKSVVSKFLQTNPSDHTTFKLKANEDMEYITRKAKTTQAVYIRRKYGNKQLSSSIYLSEAEPFLEQYAPNEEVWRKLKLCRSISYAAVAAHADQTSRRELSPFQVPLLLGIGEEDTALTKAIESGDTDLDYLVLFHIWQKRTALELFGMIQARPIVRDLFI >cds-PLY85714.1 pep primary_assembly:Lsat_Salinas_v7:4:216689480:216691072:1 gene:gene-LSAT_4X122120 transcript:rna-gnl|WGS:NBSK|LSAT_4X122120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCPPASSILPATQLGDYLTDEGICKFLMMIKNGSSSLPDYILPDVDPFQFSPANYPENMWYFWSGRKRETEFGFWKSKGEACEIYSTPSVSGFRKTLEFYEGKSPDGQKTNWVMQKYTITEKLISKPDPRALYKVFLVDESVSGRLSTKSQLLEKNMDDVAAAAGPSDPNPSGDFLELDDLAVPLPRTPDSGDVGDYIPRGGDYLEMDDLATPLSRTTSATDSSCMTMTSEEYFDSEALMRELEDDNGVQEIQESKIQLNLSVPSKLKEVVINTTTLGSVETDKDHKPSSTGPTSQNEPGGTSNATSSSSSSEGSSSSKEEKKDRVNRTKKRKVMKYLCFLAF >cds-PLY81805.1 pep primary_assembly:Lsat_Salinas_v7:3:34558996:34561701:1 gene:gene-LSAT_3X25820 transcript:rna-gnl|WGS:NBSK|LSAT_3X25820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 36 [Source:Projected from Arabidopsis thaliana (AT5G04920) UniProtKB/Swiss-Prot;Acc:Q9FF81] MAGNWLLPAETTSSGRPVLQPGEVESSLQPTVDLVNEENPNLPIFKSGLLILTTYRLLWLPNPESTTSAPTSKAVAVPLAAITHIFQSKKSIKGMFASPRVRFQVSVTPDGRVQERGSKSAVITLVFRGKSDPDSFVGKFYEAWRGRAWVGAVSASSQGESMPVVLGDGGGSSSSDTGFALKMPVVGVSGILRKEQEMWESTDKSLQDAFQDLNALMTKAKDMVILAEKMRQKLLSSSSSSSSSTQTNATNDEEMSSKEEMQEWLLSVGIISPVTKESAGALYHQQLSRQLADFVKIPLEKAGGIMNLIDIYCLFNRARGTELISPDDLLRACSLWEKFNVPVMLKKFESGVMVIQNKSHSDEEVFSRIRTLVQKPDALQTGISATDAARMLGIAPAMAKEHLLAAESKGFLCRDVSPDGFRFYINIFQDIDPNDLYLVKEYGIYDAWNRAAIASR >cds-PLY92760.1 pep primary_assembly:Lsat_Salinas_v7:8:66387790:66391662:-1 gene:gene-LSAT_8X46661 transcript:rna-gnl|WGS:NBSK|LSAT_8X46661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFIDIPETAINRRHGRNWGDFKCMEGHKKWIVASSSNNVGFAVVVGFVEGFMPSSRIYGGHWVTQLALSYESNTSSMVLIPIQEIGTTALGKMRVLTRDGQQWRILDDDIVELLRQVEPEDIPKHAFVRRQRPRYVDPYPTVTY >cds-PLY78321.1 pep primary_assembly:Lsat_Salinas_v7:2:180967650:180973785:1 gene:gene-LSAT_2X103301 transcript:rna-gnl|WGS:NBSK|LSAT_2X103301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRLHRRNSSSSSTASVDFTSGERLQFNFSGLQALQVPKGWDKLSLSIISVETGKTLAKTGRASVQNGNCQWTENLSEYISVPHDEASKGLEQCLYKLLISMGSGRSSILGEVTVNLSNHLNSETSISITEPLKNCSHGTILQVEIRCLTPHTSLRNERWVDTDSLTEDTNASDDLDNISDISDGKISKRVVQDRSLSTGGSRSSFDSMDDSFGRESYSPHRNLSEVTNGVIGIQDSVGSLNSTQYGSYRAYESPRSIRSPYGSGKHTLSQRQDSGKVSHSVPASPLRTSGSIEFGPESEVASVEELRAEARMWERNARKLKIDLDFSKKDSRDQTRKFENASMEVLALQTECDGLKHEIDYLKTLLDEAEVKEEAADNLKLQEKDDIRTELEEELKFQRELNNNLSLQLNKTQESNLELVSILQELEETIEKQRLSLKDEQVLKAQTLLDYESEWSKKLSLKDEEIFILKGKLSAQVPKEIPDLIEVQVLKDKIRELESDCNELTDENLELLSKLKESSKDSDSKSPNIEDSEMIELECQIQNLKEEAKKREVDGIDAGYLQIRCNDLESKCVELEAKMQGFKDKACYLDDELHKYRAKAEDQENEVAALKQLLKLQQEGKHKNSFTGEGEAEDNVQCGEQIKTMISNPCNVENESDNGDMLEKLNMELKSRVGGVDNELLAAKNCEIEKLKSDCLVKDKEIERQSCYQRDLEAQLSKLQTLKSQLKGAMKTMQSDSTIISECLEKVKSDMVVLSAQKDSQVAANKILEKKLLEIESCNKELELHLGEMELENLHLSERISGLEPQLRYLTDARESSRLEMDHSETRVMNLQAEVRRLEDEMETNKVDMRQKLENMQKRWLEAQEECEYLKKANPKLQATAENLIEESSALQKSNRELKQQRLDLYNRCMALEAELKESQHNFSKLSKNLQDLEGKFSLMINEIAAKEKMFDSEVEALHLVNEEQTEKLNLFNQMYLEKMVEIDNLQQETAHLSTQIYSTQDEKDRMASEAVLEMHVLRANNDNLEDKFSASEKKLHTVQVEYEARIQELTVELSASRQNHGVLEANLEKMMELLENTRFDEEKARITVAELDGNLKRSEYERVQLTEEISSLKDQLVKIPVLQEEVVALKNELNDVKYENERLEASLQMITGDYEELKEAKSLLLKRTCSMQKAVNELEDHKRSKVALEEQIMRLQGDLTAREALSAQDAELKNELGRLKRSNSQLQWKINSLQEEKDECMKNAQVLEEKLEHIECSTTNSPVSFVSDSMKPSEDVEGINKDHDRDVSLLETELKELQERYLHMSLKYAEVEAEREELVIKLKADRPGTGRSWFS >cds-PLY92542.1 pep primary_assembly:Lsat_Salinas_v7:5:79195805:79197052:-1 gene:gene-LSAT_5X36501 transcript:rna-gnl|WGS:NBSK|LSAT_5X36501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLILSVFEPQPRKSPRKTPSSSEAGAGERKRHHRHKPGTQALREIRRLQKTVNLLIRTVREISNYFAPEVTRWQAEALQALQEAAEDYLVQLFEDSMLCSIHAKRVTLMKKDWELARRLGKKGQPY >cds-PLY87401.1 pep primary_assembly:Lsat_Salinas_v7:4:12908119:12908412:-1 gene:gene-LSAT_4X9360 transcript:rna-gnl|WGS:NBSK|LSAT_4X9360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGKNLLDVEFFEDEYSGSKESVSEGPWSEGNMTDDEIEDEDFFVDLENILDDVDVDMKEFHIHVDEDVEWVQKTTKEASGSGVDFTEGEDLEVIDP >cds-PLY64994.1 pep primary_assembly:Lsat_Salinas_v7:4:208451207:208452605:1 gene:gene-LSAT_4X117260 transcript:rna-gnl|WGS:NBSK|LSAT_4X117260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATEEPIVVAEVAVPAVDAAVTVAVEEPAVGAAEGKPKKARKTSGKSKPRTPSLHPPYFEMIKDAIVTLKEKSGSSQYAIAKFVEEKQKNLPANFKKVLLVQLRRLVADGKLVKVKASYKLSAKKAPAAAAPAKKKPAAKPKASGKPKAAAKPKTAAKKAPAKKKPAAKPKAAPKPKAAAKPKAAAKPKPVAKPKATAPLAAAKPVAKPKAKTPTKPAKVAKTSAKSTPGKKAPAAPATKASTRSTPAKAPAAAAPKAAPKKAAAKSGKPKAATPKKVTAARKAKK >cds-PLY87000.1 pep primary_assembly:Lsat_Salinas_v7:5:266971337:266975606:1 gene:gene-LSAT_5X138281 transcript:rna-gnl|WGS:NBSK|LSAT_5X138281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDIEFPDGLRIIATEKDYQELIEVGYDCGCVIFVYIDHVGVNVHQCIVDEIAEVCTLEDMFSGLGEVIEELHAETEGGIDLDEVQVQGNLDDNIEDDDCIPMNKILNDAFLNKLCPMEQSTPDIPPHEDPYDQMDENAPIHEEEHDDDDNTHNQQCDRFNLLLNMVEPLLHVEDIHLGMKVIATHLLNHTLDLTLLLSYLFTTVTEIVCKSFKLSPSVDLIKLLEFIVYGDGGGVGSMEDTKGKKEYC >cds-PLY61887.1 pep primary_assembly:Lsat_Salinas_v7:6:61484273:61486034:1 gene:gene-LSAT_6X45580 transcript:rna-gnl|WGS:NBSK|LSAT_6X45580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAELQLKEESMGGLVKVPSNQSIRGDKEDESDEYFSNSDSMEETRSETSWSDLSPRVYTTFTTKSSFLFPLFFLTSITPIPNLNTHEQANLLVVLQEVNLPSPKFSLAVEFLRRLHGSVVQKKGGSAFDDDLEWQDSFLSHNDSTFPNDPTGELINSWGAADSSSFRIRGETYLQNRKKITGKGTTMQLVAANMLRSINKQDDLAGRPGSICQKFAAVERPDFFFIVNMQIPGPTTILHIAFYYATTSPIQDVPLLQNFVEGDDAYRNARFKLIPRVSKGPWIVKQSVGNRPCLLGQVLKIHYVRGVNYLELDVDVGSSMIAKKVANRVVSTFNHLIVESAFVIQGNTPEELPEHVLGTCRMSHIDISKVATT >cds-PLY83723.1 pep primary_assembly:Lsat_Salinas_v7:4:41482940:41484954:1 gene:gene-LSAT_4X27761 transcript:rna-gnl|WGS:NBSK|LSAT_4X27761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METSMHLWLTSSFGVAMVVIVAWRLLKWVWVKPRRLERHLRLQGIKGTSYKFFYGDTKDMKQLVKEANQNPISIHDDIIPRLLPFVSRSTKTYGNIFFAWLGPNPIVYVLDPGLAKDILSRINDFQKLRKKNPYIKLLSQGLIDYDGDKWVKHRKIINPAFHAHKLKYMAPAIHLSCSEMMEKWQKLLACEHSCELDVFPYLQTLTSDIISRTAFGSSYEEGRRIFELQKELITLLLEIIHSVYIPGSRFLPTKKNKRVKEIDRHVKASIRGIIEKRLVAMEDGEVSHDDLLGILLESNQNEIQGMSIEDVIEECKLFYFAGQETTSNLLVWSMILLSQHPSWQEQARDEVFRVFGREKPDIDGLSHLKIVTMILHEVLRLYPAVSALYRLANEETKLGDMSLPAGTAITIPVAMLHHDHEIWGDDANEFMPERFSEGVSKATKGRMSYFPFGWGPRICIGQNFASMEAKIALAMILQHFTFVLSLSYSHAPHSVLTVQPQFGAHLIINRVDHY >cds-PLY78450.1 pep primary_assembly:Lsat_Salinas_v7:2:167074218:167074487:1 gene:gene-LSAT_2X88301 transcript:rna-gnl|WGS:NBSK|LSAT_2X88301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAGHYVKKGSTTDAWLIEVGRKMKATTGIVVYCYDSEGCSSISRLFFFVQQKMRRRRGRTEAVTMVLQGCLAAHARQEERKDDVAALW >cds-PLY87388.1 pep primary_assembly:Lsat_Salinas_v7:4:12891617:12893759:-1 gene:gene-LSAT_4X9400 transcript:rna-gnl|WGS:NBSK|LSAT_4X9400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAASDVTDGPVLTLINKRIRNLRKKLNRIAQLEESVSQGKSVIKNKEQEELLKSKPAIVAAVDELEKFRQPLSVAIDEEINLAIKHRQVKASENNGKEVDGDNISKTLDSAENVAAPQSDLLVEDLLSLIYFGSMFDVKSQSDFSSIMLTKTHERNCCLTYDYVTDDAAAVMLGERDLDLISMMGSLLISRPRDSSFSHQNALQRCIEHAKLWLSKSEQPIDSNSDVTYAALREKLAKIIGSDFFRITPAMKVTADVAAEAAGNYGFQVPVQVENSVTQHDQQEDDVTNFQRNENGEEEQSIPVKDSQKVEETAEISVETHPSGADNKEQYVPRRSYHNQRGGGGRNVGNGGRRGGYGNGRGGRSGGRGGPYQNGGRNQYNEQPGNYYPRNYNGGGRGRGGGRGGGGGHYSNGSNHHAPSGAEVAES >cds-PLY98804.1 pep primary_assembly:Lsat_Salinas_v7:7:25877005:25879082:1 gene:gene-LSAT_7X19900 transcript:rna-gnl|WGS:NBSK|LSAT_7X19900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVSFQQISAFKSYSSWAKDSVFQFVRPNSTNTNNRSARWTTPSAAIIPNFHLPMRSYEVKNRTSTDDIRSLRLITAIKTPYLPDGRFDLEAYDALVNMQIEDGADGVIVGGTTGEGQLMSWDEHIMLIGHTINCFGTSIKVIGNTGSNSTREAVHATEQGFAVGMHAALHINPYYGKTSLEGMVAHFQSVLPMGPTIIYNVPSRTGQDIPPPVIHTIAANANFAGIKECVGHDRVKTYTGTGITVWSGNDDECHDSKWDYGATGVISVTSNLVPGLMRELLLRGKNPLLNEKLLPLIKWLFCEPNPIGVNTALAQLGVVRPIFRLPYVPLPLAKRVEFVNIVNGIGRENFVGEKDVKVLEDDDFMLVGRY >cds-PLY71318.1 pep primary_assembly:Lsat_Salinas_v7:4:210248194:210249557:1 gene:gene-LSAT_4X117761 transcript:rna-gnl|WGS:NBSK|LSAT_4X117761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGADSTSPMAPVAKWKSEFSRVFQFYLDKSTPLPVHRWLGTLGVASIYVLRVYYLEGFYIISYGLGIYILNLLIGFLSPKVDPELEVLDGASLPTKESDEFRPFIRRLPEFKFWYAITKAFMVAFLMTFFSLFDVPVFWPILLCYWIVLFALTMKRQIMHMIKYKYVPFTTGKQKYGGRKSPGGSAGGSPIHRG >cds-PLY89775.1 pep primary_assembly:Lsat_Salinas_v7:1:6875825:6877324:1 gene:gene-LSAT_1X5681 transcript:rna-gnl|WGS:NBSK|LSAT_1X5681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPTLQAVLAAMASFTIISLLICFFYFICRTTRNRRRDPESRPRTRATRTVPNLGTSTSFISVAESQLFDQSLNQIEMSDLVKATRNFSPDLIVGDGSFGLVYKANLPSGVTVAVKKLGADAFQGYREFRAEMETLGKIRHENIVKFFGYCATGTDRILIYEFIEKGSLDQWLYDTSSAQNDTSTVRLPLSWSTRINIIKGVAKGLAFMHNLDTPIIHRDIKASNVLLDVEFEAHIADFGLARRIEGSHSHVSTQVAGTMGYMPPEYFYGAALATVTGDVYSFGILMFEIATSRRPNWPMKGENGKEIRLVEWATKMVSQNREMEMVDVSISKQDLKESQVLEFFKIATFCTTEAPKLRPTMNEVVDLLSRIQDEATS >cds-PLY90797.1 pep primary_assembly:Lsat_Salinas_v7:2:106542865:106544026:-1 gene:gene-LSAT_2X47701 transcript:rna-gnl|WGS:NBSK|LSAT_2X47701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMVTNDEISAIARNQELANQWVFEHVINHYPETMIRFILVGNEVLSFSSTDQDRQIMDDLVPAMQRIRDALTTEGISNIQISTPLAMDIMENTFPPSSGQFRGEIVHEIVPLLEFLRESNSVFFVDVYPYFSWADNPASINLDYALLGGHQIYMDPMSGLTYTNLLDQMLDSVVFAMAKLGYNDVKIGVAETGWPHEGGIGANRENAAMYNRNIVRKMTMVPPKGTPARTGIVIPTYIFSLYDENEKFGPESERHWGLLHPDGSPVYEVDLSGKAYKS >cds-PLY95967.1 pep primary_assembly:Lsat_Salinas_v7:9:41045215:41047623:-1 gene:gene-LSAT_9X36981 transcript:rna-gnl|WGS:NBSK|LSAT_9X36981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRRGNDAPAIGIDLGTTYSCVAVWKHDHIQIIPNDQGNRTTPSCVAFSDAERLIGDGAKNQVAMNPANTIFDAKRLIGRRFSDSKVQDDIKLWPFRVIQGPADTPKIVVSYKGEEKELSAEEVSSMILGKMKETAEAYLGKVVKDAVITVPAYFNDSQRQATKDAGAIAGLNVIRIINEPTAAAIAYGLDNKSDITCKITVLVFDLGGGTFDVSLLTIAKGGTIEVKAVAGDTHLGGEDFDSRMVDHCAWEFKRRWNKDLTGNKRALGRLRCACEKAKRILSCSIQTSIEIDGLHEGIDFSMKFSRAKFEELNMSYFDKCIATVNACLSDAKMKKSCVNEVILVGGSTRIPKVQCMLQECFERKELCKSVNPDEAVAYGAAVMASKLSGNNDKRVRDLILLDVTPLSLGQETKGEKLTVVIPRNTPVPTKKSKEFHTCHDNQSHTTISVYQGERARSKDNHLLGEYTLSGLPPAPKGITKFRNSFEIDNNGILTVTSEILSTRKRKKVTITNENGRLSKEEIERMIEDADKYKQEDQEYMKKAAAANALDDCIYDIKNMIKSMEHGERLKKMKKAVDYTTDWLDHNQAPSLDELQHMKEHLKAVCMPTF >cds-PLY97512.1 pep primary_assembly:Lsat_Salinas_v7:2:6003130:6003638:1 gene:gene-LSAT_2X2541 transcript:rna-gnl|WGS:NBSK|LSAT_2X2541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTINVCAIIHMDSDFTFHVIIIYFFFYLQFLAETLDVVQDIDWVISLGNAFAKQYEPYTYDDEHYALLHRFLNCDSPM >cds-PLY97214.1 pep primary_assembly:Lsat_Salinas_v7:4:95849834:95850164:-1 gene:gene-LSAT_4X62320 transcript:rna-gnl|WGS:NBSK|LSAT_4X62320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSPPASPSGSPSSSQNLQVDANGNKVRRDRAPVVTFNTIDGSERKDVGPRYSKDVIASNGGGG >cds-PLY98897.1 pep primary_assembly:Lsat_Salinas_v7:7:49203264:49205806:-1 gene:gene-LSAT_7X35140 transcript:rna-gnl|WGS:NBSK|LSAT_7X35140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLLWLCVLAAVIHPSSTAKQTYIVQMKHHQKPTSYLTHSDWYSHHLQTLTSATHDALLYTYTTAYHGFAASLDPQQAQALRESDSVLGVYEDTVYQLHTTRTPEFLGIENELGFLTGQTPQQFSVASNDVIIGVLDTGVWPESKSFDDSGMPAVPTRWRGECEEYEDFKATLCNKKLVGARKYYYGFRKAAEVEIMKEKPTPRDLNGHGTHTSSTAAGSQVGNATLFGYATGTARGMAVHARVASYKVCWTLGCFSSDILAAMDQAISDGVNVLSMSLGAGSMPYYQDPIAVGAFKAMEMGVFVSCSGGNSGPTKSSIANVAPWIMTVGAGTLDRDFPAYAVLGNGKRVTGVSLYSGKGMGDKPVELVYNNGKQKNSSSKLCLPGSLDSDLVRGKVVFCDRGLNARAEKGMVVKDAGGVGMILANTAANGEELVADSHLLPAVAVGRKVGNEIRKYLKTEAKPTAVLSFSGTVLGVKPSPVVAAFSSRGPNKITPEILKPDVIGPGVNILAGWSGASGPTGISSDDRKIQYNIMSGTSMSCPHISGLAALLKAAHPKWSTSAIKSALMTTAYTVDNTKSPLRDAAGGEASTPWAHGAGHVDPHKAISPGLVYDISTKEYIAFLCSLGYTMKQVEAVVNRPNVTCSRRFRDPGQLNYPSFSIVFGESRVVRYTRRLTNVGPVGDVSYEVEVEAPEGVEVMVKPERVVFKEVGERVRYTVTFVSKKKKKGRDGGHGFGSITWKNGENRVRSPVAFSWV >cds-PLY62800.1 pep primary_assembly:Lsat_Salinas_v7:4:30481729:30483556:1 gene:gene-LSAT_4X16841 transcript:rna-gnl|WGS:NBSK|LSAT_4X16841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGKGKRRDRISALPQDTIEKILTYMPIRDAVRTSILSRKWRYYWTSMPKLAFDVNVNRDAMVASSMVVLSGNEEVDIYKFVNAVFHVLLLRRAPILEFSFHVIIETEIYSEIDQIILHLSKDNNIKKFIFKILGIDSYLLPRSFFSLQGLEHLDLSYCIFEFPLMNKGFSRLKNLRFCEVDITHKMLFRFLTNCPLLEEFTWARDYRSTVLTECDLVKLFKCLPLVQVLKIHKLYIKDLGAGSSSMPHKLPISLPHLKVLVLGVCFLELSTVLCVINSSPNMEKIKVEICWDHDRQCSLQTFNNLPDIQEDYLGINLDHLKELEITNFHNHVLEMEFVKLIMVKSPVLKKARIELHSHVSVNEEVKMLRCLLHMPIPRASPAASFTIERRKY >cds-PLY86882.1 pep primary_assembly:Lsat_Salinas_v7:5:258757763:258757993:-1 gene:gene-LSAT_5X133100 transcript:rna-gnl|WGS:NBSK|LSAT_5X133100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALDDDDDDDDLFVDDTPPSSLGDSPPPRLPPSKNPPPPPPQPSHHLPRVPSPAPNSPPQSDAAKRVRSIKRVLSQ >cds-PLY79312.1 pep primary_assembly:Lsat_Salinas_v7:4:384343:393747:1 gene:gene-LSAT_4X1420 transcript:rna-gnl|WGS:NBSK|LSAT_4X1420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDKSLCNGTLDVSVTKKFGQRVQKMVSEECPVKKRCSPRLRKIPEGKKPFYGPPRKASQHHPIDFITSDAAKLESLLELHEKDAVSVAERKIKCPPTSCKPNLVKSMVTTLDASASASASCITSKEHSPKGGLETSDSATDKKSLDCSYVAHTRNDKARVKKALRIYNKYYLHFFQEQGVCEYDGKRLAKHLDLKKEGICVQSIKRPDLKAISKMLEFNEVLYPTKRFGHLPGIDIGYQFYSRAEMVALGLHSHWVNDIDYMGESYSKMEEFKGYTFPLAVAVVLSAQCEDDLDNLEDIVYIGQGGNDVMVNKHQKMACGDLALKNSIEHCVPVRVVGGHRHTSTSEHQSLSLSLRLYTYYGLYKVSECWPAEGVSASGMLVYKYRLKRLQGQPKLTTNQVQCSNGRSSRVPIKAPELVCLDITEGQEDVCIPVINTIDDTIITGFTYTKYNQVLSNLSLPTNAQGCECKGNCTDPRTCACAKLNGFDFPYVRSSGGRYCFALRRLGDVSESVSSQLDEKSMNEGEAEFCIDAGRVGNVARFINHSCDPNLFVQCVLSEHHHLRLARILLFASDNIPPMQELTYDYGYALDSVVDNNGTVRTLPCHCGTSDCRNRLY >cds-PLY82279.1 pep primary_assembly:Lsat_Salinas_v7:1:66002746:66002997:-1 gene:gene-LSAT_1X56521 transcript:rna-gnl|WGS:NBSK|LSAT_1X56521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPSCRCVVEPAVAAPSPSQCHTPLPEMPMIEERASRLMDVGAEETKKRNTTSLPLFSPSEFVSIHRFHLLLPMAVVVVTPRG >cds-PLY89126.1 pep primary_assembly:Lsat_Salinas_v7:4:145943383:145943820:-1 gene:gene-LSAT_4X88900 transcript:rna-gnl|WGS:NBSK|LSAT_4X88900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDYLSKVEKFFWDNYEDEELIINGSNVSILDQALRLLDLIESKGKDIVNLEFSTSEELKQLFRFDETINIIEETSDKETSVYISEEEVESLSSDIEPNFGMNKTEPHFDHPVESSFQGERRKRPKTEQDHRTGSMPDLPTGNPN >cds-PLY73342.1 pep primary_assembly:Lsat_Salinas_v7:7:63211752:63213720:1 gene:gene-LSAT_7X47020 transcript:rna-gnl|WGS:NBSK|LSAT_7X47020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSSTFSEQNWVIQTRKNVENETPLDQKIPIAVCHVPNSITVTNPVAYAPQVIALGPYHYLRPELYHMERYKIEMVKSYWRSDQIGFVVNKLKKMGPKIRACYHKYLDLEDDTLALMLAIDGMFLIYLLSKRLNSFADNGSVFSDVMMLENQIPMIVLEEIVKSIGLSKNDDAKLFSMMQVFCESQSPFELPSYERVLQETKVLHLLDLLHMMITMSQSSDRDFQTPPWEAAAQVELSRHTSIKLELDPDNDQLLENAKEILASEVLSSIKPIRLITTLPWNMISNILGHNSGRKEVSTTNPLVEEIDIPTVSQLSKMARINFKPLNGETLKACFDQTTATLYLPVVTLNDNSEVILRNLVAYEIASSSNSSGYENHVVAGYVDFMSGIIDTTEDARLLRGAGIIKGNLTDFQVGVLFNGMNKSSNEVCDDTVARINAYYSQRLKVKAYRLVKKYVYESWRFLTVVTMLVLLLLMILQSICSVYDCERVLKW >cds-PLY98763.1 pep primary_assembly:Lsat_Salinas_v7:1:8829039:8830546:-1 gene:gene-LSAT_1X7361 transcript:rna-gnl|WGS:NBSK|LSAT_1X7361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQYKGSDVDKYRSFMSGEGEKNTTWKYGAPPNYDAVNKLFEEGRTKIWPTGSLGEQVQNLVKTWEMEMFNKVNPQDYKSVDVTKLTISVNGRKPLKLEDVAKIGGGYNMFLQTSLPEDLRLYNPSDETVDTAQKIFTTTFTRGFVLEVLEVYSGPPVIAYKFRHWGYMEGPFKGLQPTGEIVEMIGVSTFELDEQFKIVKIQFFYDRGEFLAALIKGGSAVTTTQDSTIGVGSSRCPFS >cds-PLY72644.1 pep primary_assembly:Lsat_Salinas_v7:3:183023576:183027984:-1 gene:gene-LSAT_3X109941 transcript:rna-gnl|WGS:NBSK|LSAT_3X109941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQMLCRSLVVPSAMAALPSSEDNVWEKKARRMVCVWPGIRQLCLRKNLMYGFMQLFSFPFKTLRGVSRSLGVSHLCSISNMSTSLQIELVPCLKDNYAYLLHDLDTGTVGVVDPSESLPIIDALSKNNRNLTYILNTHHHYDHTGGNMDLKARYGAKVIGSNIDKDRIPGIDISLNDGDTWMFAGHEVHVIATPGHTKGHISFYFPASRVIFTGDTLFSLSCGKLFEGTPEQMHSSLEKLTLLPEDTNIYFGHEYTLSNSKFALAVEPENSELQSYAANIANLRKKHLPTVPTTLKKEKLCNPFLRTSSQAIRSALKIPVDATDAEALGVIREAKDNF >cds-PLY92458.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:641198:643167:-1 gene:gene-LSAT_0X10780 transcript:rna-gnl|WGS:NBSK|LSAT_0X10780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQIHHVCRPILPPPSTGSRSSWPTKQFTIGRDVHRSVASTKSIASKTRKNLRSSVRVRSSLEMSGPTVVVGQVTEVDKDTFWPIVNAAGDKTVVLDMYTQWCGPCKIIAPKFQELAEKYLDVVFLKLDCNQENKPLAKELGIKVVPTFKILKHGKILKEVTGAKFDSVVAAMEDVRSS >cds-PLY89916.1 pep primary_assembly:Lsat_Salinas_v7:8:67975432:67977502:-1 gene:gene-LSAT_8X48440 transcript:rna-gnl|WGS:NBSK|LSAT_8X48440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSSSPSAPAFSYVFWKYDVFLSFRGEDTRNTFVGHLYSALEQEGIFAYKDDETLPRGESIHPSLMKAIEESQIAIIVFSKNYCDSSWCLDELSYIMKCRDTRGQIVMPIFYDVDPAQVRKPKRKYGEVFAKHELDNKTKVESWRKALVDASNLSGWEPKHIANGHEAKGIKQIVVEISWKLQPATSSANENLIGIDARLQGLKLELQIGSGGVIMIGIWGVGGGGKTTLASSIYNEISTKFDSCCFVENIREESGRFRHRKVLIVLDDVDNLDQLKALAGSHDWFGEGSRIIITTRDKHLLTAHKVNAVYNIRLLNSDEAIKLFYKHAPRDKRPVEDYDHLSKEVITYAGGLPLALTVLGSFLCDKDIHEWRSALARLKEIPDTDIVEKLKISFDGLKPIEKELFLDIACFFRRERKDKAMKILGECGFHPVIGVKVLIQKALITISEYGEFDMHDLVQEMGHHIVRGEHPNNPEKHSRIWKEEDIMTICAIDAMTANG >cds-PLY98953.1 pep primary_assembly:Lsat_Salinas_v7:7:49118345:49120839:1 gene:gene-LSAT_7X35261 transcript:rna-gnl|WGS:NBSK|LSAT_7X35261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHIGILAAAAHAAANNSPFTIYYNPRASPAEFVIPLAKYNKAMYTQVSLGMRFRMMFETEESGVRRYMGTITGVSDMDSVRWKTSQWRSIQVGWDESAAGERPSRVSVWEIEPVVTPFYMCPPPFFRQKFSQQQDGDMELENGYKRGMPMPWIEDVGMNMKLSLVQWMNMQQNHGFPSNVSQNSLSFEDNSKLLSFQSPKTNQQLSSTWPQQHQQQQNSIYNHLQQQQISGNTQPHQANKTSFQPSSSSMSHESQFQHQILQQQLNSNCLQSLYKPPIPIRVNPGITDSNPPSCSTSPSTNTCQATPPNYQIKNHQGQSDSMIHDLTKSNARIKQELPQPKYKSIATETQEPTTSITSYCLDAGDLPQNFSIPNLCLEGDVIHSQDRSESDLSFGANIDILPPDALLSRGFDHSQNLMISPESFGMPDISFKQDCSNEIKDSGVLGNGVWGNNNQSQQRMRTYTKVQKRGSVGRTIDVTRYKGYEELRHDLACMFGIQGQLENSQRVDWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSYSEVQQMSLDGDLGNIRMLNQASSGTDSGNPWRGQFDDNSAASFNR >cds-PLY81746.1 pep primary_assembly:Lsat_Salinas_v7:3:33733139:33734611:-1 gene:gene-LSAT_3X24840 transcript:rna-gnl|WGS:NBSK|LSAT_3X24840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Divinyl chlorophyllide a 8-vinyl-reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G18660) UniProtKB/Swiss-Prot;Acc:Q1H537] MSTCASFYGITLSSSSSTFKNLLSSHYPNKIQVNSSPFSFLPSRLSKTSVFNTKRHIVATASTPTALESPPKSSFRDKPPKDINVLVVGSTGYIGNFVVKELVNRGFNVIAVCREKSGIKGKNSKEETLNKLNGANVCFSDVTQLDSLQQSLQNLGVSIDVVVSCLASRSGGVKDSWKIDYEATKNSLLAGRTFGAKHFVLLSAICVQKPLLEFQRAKLKFESELIKEAENDDDFSYSIVRPTAFFKSLGGQVELVKDGKPYVMFGDGKLCACKPISEPDLASFIADCVLSTDKTNQILPIGGPGKALTPLEQGEMLFKLAGKKPNFIKVPIEIMDFAIGVLDFLVKIFPSMEDVAEFGKIGRYYAAESMLVYDPETKEYKAEETPSYGEDTLEDFFKKVLEEGMAGQELGEQIIF >cds-PLY98900.1 pep primary_assembly:Lsat_Salinas_v7:7:51386656:51387967:1 gene:gene-LSAT_7X37260 transcript:rna-gnl|WGS:NBSK|LSAT_7X37260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLTICHRGYPGQRKVKVAEMSKFGRPKWSNLAMIYTRILEIPNQQLDRYFNRELAASRPLSELRTAEELEAAAREKGEFKNQESEGEIHPNDGLAEAKELETYISLREELYKKN >cds-PLY90049.1 pep primary_assembly:Lsat_Salinas_v7:8:82249215:82249782:-1 gene:gene-LSAT_8X57381 transcript:rna-gnl|WGS:NBSK|LSAT_8X57381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNGDWMCGACQHINFKKRDACQRCQCPKFATPTEMSNYGIDRTEVLAGDWYCSTFNCGSHNYASRTVCYRCGALKDYTTTAMIAASTAGYYTHDSSALPGWKSGDWVCNRLGCGVHNYASRMECYKCKTPRE >cds-PLY61770.1 pep primary_assembly:Lsat_Salinas_v7:3:240617699:240618953:-1 gene:gene-LSAT_3X134181 transcript:rna-gnl|WGS:NBSK|LSAT_3X134181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGKDVLERVPISKPPFGIGDLKKTIPPHCFKRSLVHSFASFFRDLIIIYAFYYLAANYIPLLPQSLSYVAWPVYWFAQGSILMGFWVLGHECGHHAFSEYQWIDDAVGFFIHSVTLTPYFSFKYSHRSHHAHTNSIEYDEVYIPKRKSDTLFSEFLNNGPGNVFTLLLRTTMGLPLYLIFNVYGRDYEGFANHYLPQSGIFNNSERGQVVLSDVGIMAVLYAFYHLFVTQGVKSTLFLYGIPLFVMSGFFVFLTYLNHTHPSIAHYDSTEWDWLRGALSTIDRDFGILNGVFHNANQTHVVHHLFPTIPHYHAIEAREAVKPMLGDYYKYDDTPVLKAMWRDTKECIYVEPDESTEKKGVYWYFK >cds-PLY96750.1 pep primary_assembly:Lsat_Salinas_v7:2:172195279:172196720:1 gene:gene-LSAT_2X95001 transcript:rna-gnl|WGS:NBSK|LSAT_2X95001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDASQSGFKPPPEFQDDARAPIIEPNASDSTELWLIQWPKDQVPDFDGQQLSLNLNNDDGQLGSFEASSGKSYDVVSLAAQEPKAMVFLSSATDSKIVGKITRRVSFVRYLEADEVPKDDTKKLKQMYERSNATSLTNSGHQFSTPAKSTRTRGTHSSGHRSSLSEEKKHRSSSKDLNSSMSLQDSDHSQEKKSKKRKKHVS >cds-PLY75394.1 pep primary_assembly:Lsat_Salinas_v7:6:177828688:177831080:-1 gene:gene-LSAT_6X108181 transcript:rna-gnl|WGS:NBSK|LSAT_6X108181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRLTVGNLALKIPVASKAARSVIHPSSSPCFCKIKLKNFPVYTAVVPFLPPESQNVEVQTLAATFHMSKSDLDRLVAKSLFAGKLSLKISIFTGRRGSSCGLSSGKLLAKVTVPLNLAGTESKACVFHNGWVTVGKDANKSSSSAQFHLNVKAEPDPRFVFQFDGEPVCSPQVFQIQGNIRQPVFTCKFSFRSTTAGDRNQRSRSLPPDISGSRKWLSSFGSDRERPGKERKGWSVTVHDLSGSPVAAASMVTPFVASPGSDRVSRSNPGCWLILRPGDGTWKPWGRLEAWRERGAHDGLGYRFELIPDSAAAGIVLAESTLSLNKGGRFMIDLGAGAGNGGSGRKSNMASPVCSPRGSGDFGYGLWPYCAYKGFVMAATVEGEGKRGKAMMVEVSVQHVNCTEDAAAFVALSAAIDLSIDACRLFSQKLRKELCQPQEL >cds-PLY81967.1 pep primary_assembly:Lsat_Salinas_v7:9:152758145:152758661:-1 gene:gene-LSAT_9X97320 transcript:rna-gnl|WGS:NBSK|LSAT_9X97320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHGDAIHIVKHRADTLQIAGSRLPDCLHACGSCSPCHLVRVRFICSVGPAEAETCPIAYKCMCSNKTYHVP >cds-PLY62031.1 pep primary_assembly:Lsat_Salinas_v7:5:130719791:130721604:1 gene:gene-LSAT_5X55801 transcript:rna-gnl|WGS:NBSK|LSAT_5X55801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHLHLIFSSIIFIIFLILLHRLDLYRRRRLPPGPVRLPIIGNLLHIGSKPHESLAKLAHKHGPLMTIRLGSITSVVASTPDAARQILQLNDDACSGRLVPDVNSALKHPEAAILWMPPDKTWRAMRKAINLYLTNRQKLDGLSYLRQNVVEGMVEFLRESADKKATVDIGQLAFAVSLNQMSNTILSQNVTSYVSENIGGFKSAVETYMEMLGKFNIADIFPVLKPLDPQNIRRQAKSAFNWLDEVIEGFVSERLKNRVLKVPSTCDMLDSLLDYSQENEAIFNLQHIKSLLVDLFIAGTDTSSNTITWAMTELLLNPDMLSRLREEVRQVVGEDGKIEEAKIIDLPYLDAVINETMRLHLAAPLLAPHKTENQVKLGNYIIPTNTQILVNAWAIARDPKYWENPLVFMPERFLSNKLDYKGQHFEFIPFGSGRRRCPGMPLAHRMVHLIVASFVYYFDWELPHAKEEMDMNTIFGLTLLKAIPLVAIPLTR >cds-PLY99475.1 pep primary_assembly:Lsat_Salinas_v7:5:332552963:332554435:1 gene:gene-LSAT_5X184680 transcript:rna-gnl|WGS:NBSK|LSAT_5X184680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWDQQPFLKDDIESGSSQPLYPMMLESPELRWSFIRKIYSIVAIQLLLTAAVGSLVVTYHPIVIFFTTTNAGLACYLLLIIAPFITLCPLSYYYQRHPINYLLLGIFTITLAFAVGLTCSFTSGKVILEAVILTAVVVVSLTGFTFWAAKRGYDFNFLGPFLFGAVMVLIVFSFIQIFFPLGKISVMIYGGLSAIVFCGYIVYDTDNLIKRYTYDEYIWAAVALYLDIINLFISLLTILRAADT >cds-PLY81171.1 pep primary_assembly:Lsat_Salinas_v7:9:20924546:20925306:1 gene:gene-LSAT_9X19940 transcript:rna-gnl|WGS:NBSK|LSAT_9X19940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPLLTVLPPPNHTPPPMFMSFSFFESVKHWRLGGGMVVDLEVKSVREEECRGGDAWEGEGIGGWWCYTTGKRQGGLRRWWFNGSGEGSENGKTEICRVVWELGFSKCKPPQIEKDRDEEEIITGSSDLCRHRRWFLWRRLDKNNGCWQVVVVAVFFSVKKSGGRRWFQM >cds-PLY97689.1 pep primary_assembly:Lsat_Salinas_v7:8:8439060:8441939:-1 gene:gene-LSAT_8X6561 transcript:rna-gnl|WGS:NBSK|LSAT_8X6561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADVQMADAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIRLVPDKVNKTLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYIWESQAGGSFTVTRDVNGEQLGRGTKITLFLKEDQMDYLEERRIKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDDEPKKEEEGDVEEVDEDKEKEKGKKKKIKEVSHEWELINKQKPIWLRKPEEITKDEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEYLGFVKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIEMFNEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRSKLADLLRYHSTKSGDDVTSLKDYVTRMKEGQKDIFYITGESKKSVENSPFLEKLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLEDETEEEKQKREEKKKSFENLCKTIKEILGDKVEKVVVSDRIVDSPCCLVTGEYGWSANMERIMKAQALRDSSMGSYMSSKKTMEINPDNAIMEELRKRAEADKNDKSVKDLVMLIFETALLTSGFSLEDPNTFGGRIHRMLKLGLSIDEEEGGDDGDEVPALEEEGGEESKMEEVD >cds-PLY79129.1 pep primary_assembly:Lsat_Salinas_v7:9:90829046:90830551:1 gene:gene-LSAT_9X69981 transcript:rna-gnl|WGS:NBSK|LSAT_9X69981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKPLTVEEIFSHDQSRVDSIHSKLTTITTTGKKDTKTSKATIPAKSGSTIGSGNYIVTVGLGTPKKDLSLIFDTGSDLTWTQCQPCARSCYSQQEPIFTPSSSTSYTNISCTSTECSGLISATGNTPGCSSSTCVYGIQYGDQSFSVGFFGTEKLTLTSKDIIDNFYFGCGQNNQGLFGGAAGLLGLGRDKLSVVSQAAKKYGKVFSYCLPSRSSSTGFLNFGGGGASTGVKYTPLSTSQGSSFYGLDLEYIIVGGKKLAISPTVFSTSGMIIDSGTVITRLPPTAYSALSTAFKAQMTQYPLTKALSILDTCYDFTKFSSVKIPKISMVWGGNTIVDIAASGTLYANGISQVCLAFAANGDDSDLAIFGNTQQKTLEVVYDVNGGKVGFGNGGCA >cds-PLY67424.1 pep primary_assembly:Lsat_Salinas_v7:6:73067980:73073380:1 gene:gene-LSAT_6X52501 transcript:rna-gnl|WGS:NBSK|LSAT_6X52501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 2 [Source:Projected from Arabidopsis thaliana (AT1G55250) UniProtKB/Swiss-Prot;Acc:Q9C895] METSDSDEPEKKRPHLNNSDMARHPNPSPENGTVDATVLQYQNQKLVQQLDVQKQELHDLEDKIKELRHEQTSYDDFLIKINQLWRQLDDDLILLGVRTGAGQSALEALQHADSSRGSIPSCPAEEIFLCRLLQSDSIEKNGSEERLIYIKEALALRHSSTLELMKLIEDTIQALMCKIESIDQSFLEKSTTEDAIIQLNKIDDLMKEEVKQLQIVIEALHLKHKEYAEMIQSYIHTHSVDQSEIKRVAGDLEDSMAELEESRRKLVNLKMQKDRIAIVQSPVPFAVNGSISPENTVDKTMGLRDLKDSIEEAKIVASDRLSELHEAQEDNIVLSKQLHDLQNELKDNKYIYTSRPYTLLNDQLPYWKSEVERFRVVIDSLQVDRFAVMRKEKELSLKTESVDALKNPIDNTDSTIQELEHKLQQYINENNELEIKMEEAVQDSERKDIKAEFEVMGSALSKEIGMMTSQLNRWKETGSEALKLQEEAQSLRALVEKKSREHKELVDSCSEQSSEIKNLQAHIERLEKENLESQIFLDMLGQRIYDNRDIMEIKESERRAHSQAEVLKNAFEEHGLELRIKSAKETEIACQQRLSITEAEIADLRAKLDDSDRDVLELEEAIKIKDGEAESYISEIETIGQAYEDMQTQNQHLLQQVMERDDYNIKVVSESVKMKQTHSALLSEKQTLDKQLQQMNSAFESLKSRIAHSEEHMNGCVTQALKSTEEDRHLAINLENSKWELSNADKELKCLKSLLSSSEKEYDQIRRKMEEIQEELDNERMDRKKLDEELVELNMKVTELTLGSGEAAIQKLQDEIKECKSILKCGVCFDRPKEVVIVKCYHLFCNPCIQRNLEIRHRKCPGCGMAFGQNDVRFVKI >cds-PLY73376.1 pep primary_assembly:Lsat_Salinas_v7:7:62633017:62633448:1 gene:gene-LSAT_7X44740 transcript:rna-gnl|WGS:NBSK|LSAT_7X44740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRSQPPKPSNLLNPRGNYKPYFDRFSFQLNDSILPFFFILIFWYTEREHRYVGGETRILSIPRDITFKELMPRMKELFQGATVLKYKQLGEDLDALVSGVNDDDVKNIMEEYDNSVHEMDLRGLGYSCFQVLNLMILYTF >cds-PLY95533.1 pep primary_assembly:Lsat_Salinas_v7:6:173770218:173779424:1 gene:gene-LSAT_6X104860 transcript:rna-gnl|WGS:NBSK|LSAT_6X104860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDNNAVRWVKEGSNNIKKEVPYFMSNQQPQISPISSRNMGRGFGLPPPSKFRSGHLPGIVPISQVLPGEDTDSASENDMSTDSEGEVYGGRYSLDSSHPDDIVPPSSRYHDPLQMRPQYHVYSSDVSSCVETMGSRGKGNVVDRFKGIEKNQCNVRNSVYTEDESDDDDDDSRGSSELSTTQVKKDVYTSHVSRANENVTSRKELDDQNIQDHKAYDEDIPSAPPFVGPVEEIKQEEFPHSKTNHMPLRDEISKQSNSNNVCGEGGASSGSIPVRLPTFHASSLGPWHSVVAYDACVRLCLHAWAKGCSEAVMFLENECALLRSTFGLQQTLLQSEEELHLKHSSESEVASKGAATKPKKMVGKMKVQVRKVRMALDPPTGCNFSSIKPPKIKLETVKRHVFNVKSKISSGWKAVRRIPFSPQVPVDNSLSSQSLAYMKASTQYIKQVSGLLKNGVSSLRNTSSTKEVLQETYSCLLRLKSSDEMDTVRMQPGSSETHIFLPDSLGDDLILEVNDSKGNNYGRVLVQVATISEDPHDKLRWWSIYREPEHELVGKIQLYINYTTSLDDSLKCGSVAETVAYDIVMEVAMKVQNFQQRNLLLHGSWQWLLIEFASYYGVSDAYTKLRYISYVMDVATPTADCVSLVYDLLLPVMMKGNTKSALSHQENRILGEIDEQIEQILALVFENYKSLNEQSPSGIMDVFTPATGVAAPVLEPAVKLYKLLHDILSPEAQNKLYSYFQAAAKKRSRRHLTETDEYVSGIGEGNLMDSVAISTAYKKMKSLCMNIKKEIFTDIEIQNSNILPSFIDLPNLSTAIYSAELASRLRAFLVACPPTGPSLHVAELVIATADFQKDLASWNINHVKNGVDAKELFHLYIMIWIQDKRMSLLETCKMDTVKWCGVRTQHSTTPFVDEMYNRLIETLNDYEVIISRWPEYTFALENAIADIEKAIIEALDKQYADVIAPLKENMTPKKFGLKYVQKLTKRTTSPYVVPPELGILLNSMKRMLDVLRPKIELQLKSWGSCCIPDGGNVAPGERLSEVTVTFRSKFRNYIQAVAEKLLENTRLHNSTKLKKILQDSKESVGESEIRCRMQPLTEQLTNTMNHLYNIFETHVFIATCRGYWDRMGQDVLSFLENRKENRSWYKGSRVAVSILDDTFASQMQQLVGNTLEEKDVEPPRSIVEVRSMLCKDAASYKNDTFYY >cds-PLY91830.1 pep primary_assembly:Lsat_Salinas_v7:6:32743368:32746255:1 gene:gene-LSAT_6X26261 transcript:rna-gnl|WGS:NBSK|LSAT_6X26261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEVNGVVPPYAAGVGVGVGFGGNFRKVSREEDWRFHATEFAKGVAELSVEFGKGCRDVVRQSILRDDSFVVRHFRGPCKAACTKLKFLNEYLPEDRDPMHSWSVVSVVFAVVIAVLIVTSESDTTTQLIQKLHIYPPNATRILLPDGRHLAYHEQGVSFDSARFTLIAPHSFLSSRLAGIPGIKGSLLQEFGVRLITYDLPGFGESDPHPQRNLESSAMDMLYLSYAVHITDKFWVVGYSCGSIHTWAALKYIPDRIAGAFMVAPLVNPYEASMNKVETRRTWDKWTTKRKFMYFLARKFPVALPYFYKRSFLSGNLDRIDKWLSMSLGTRDKGIIEEVKYQEFWKRDLGESVRQGNVKPFVEEAVLQVSNWGFSISDLNVQKKHQGKGVFFWLKSLYKRPQQELTGFLGPIHIWQGMEDRVVPPSMSEYVHRILPGAMVHKLLYEGHFTYFYFCEECHRQMLTTVFGNPQGPLTVEVDLIPPVKDKDDVDEKNEEMEEEVEVSYSDVTFI >cds-PLY70905.1 pep primary_assembly:Lsat_Salinas_v7:9:15183700:15185853:1 gene:gene-LSAT_9X13141 transcript:rna-gnl|WGS:NBSK|LSAT_9X13141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGELEEMGFPLARAMRALHYSGNSSLLDAISWIVDHEDDPEIDQMPSVPLRIEIEGSDSSSSVSEEVKLKAQKLRDKARKRKKEENMKLEPSREKERIPAGKELQEAKRIAEENERKRSIALRKAEKEEENRDRERIRQKLHQDKVERRGGIQSHAHASLKTTIPVVQENKISPVVTSTRIGVNSTTKVDLMRDCLRSLRRNNKENDMRMKRAFETLLVYVRNVARNPDEDKFRKIRLSNPAFKERVGIFEEGVKFLEVCGFERVEGGEYLLLPRGEVDMTVLKFAGNELQSAITNPYFGLLSTEK >cds-PLY96329.1 pep primary_assembly:Lsat_Salinas_v7:5:191580829:191583159:1 gene:gene-LSAT_5X84901 transcript:rna-gnl|WGS:NBSK|LSAT_5X84901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYDIRGNVKCLDTRNGPPYSGNGSDFLQTLHGIMKDPQGCAVNSLENDLFILNCIFETLWILDLSSSIIKEVVNVTVRFIQKDAEEKKTSFNPRPYFKLFIDWLLDLSTLHPVFEGANFQVCIHISLFSIITSCIVLHVKLPIFHVQVLTALATSFHALLSLKVPAFRLFS >cds-PLY67729.1 pep primary_assembly:Lsat_Salinas_v7:4:1550373:1551754:1 gene:gene-LSAT_4X1800 transcript:rna-gnl|WGS:NBSK|LSAT_4X1800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSIPFSFLPSVGDLTLMIVNVSRTLVAKMGDTDSKDERHEAPPSGSAVRDDASESFCYASEDDEETQIHLGSKISIKEHLKKDKVSLLLTQAHEPFDSNRRIRLQPIQENG >cds-PLY76109.1 pep primary_assembly:Lsat_Salinas_v7:9:30250650:30250892:-1 gene:gene-LSAT_9X26841 transcript:rna-gnl|WGS:NBSK|LSAT_9X26841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNVKDVFLAAFSSSNGKKRHDAPYSFVNVLGVLRMAVAGAKPEFFVQRMKDDVKENESLLLNEVKRWDKDSVVWGHKMK >cds-PLY70501.1 pep primary_assembly:Lsat_Salinas_v7:1:72550095:72553410:-1 gene:gene-LSAT_1X65160 transcript:rna-gnl|WGS:NBSK|LSAT_1X65160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNSYVKKMAVVELHKASTLDSYVNNKEKSTTRTSSIRKMWQDLESEGKCESIESEDTNEIENESPKNQNQEAVDNRLCLKRSPSLDVPAKERVRKVFHDWGSKSFEGHTQYSSRMNNCSRAESVFIRRVYGRQAVLDLLAKFMRERKTEVEDLLKNQFVSNFPHRPRIQSLLKGRFLRNKRFAEDQKQASVAESELGLLRQTHSVSDIRKGFLSKLNNYEKNASEVNDNIRQAEEIVHEIGEEFETTNLTSKQEAYNPQQLSSQAGERHDDEDDDDDDDDFIMQYEERDDSVEETNQITYHAEFPQGSQSNEEVRLHPLHTSTDSLNWQEISHAEEEWDESDSEEDEDDDSEWHHLTRTNSDEGIDVNSPVRSDSQEWIETLESRSNAFYWFDDDDNNDSRLELTQLTNRRTVSNLLQSDFGARLNHLLMQSYVNRQNQAFESQNEAVDSSSVVIPKTEEEREIINGLRVDMDALQERMNEMQRMLEACVDMQKSVHQELNSALNQSSSCYLCCDDGFESLPEERSGVHMCICSKCAQKINWSKLKESVR >cds-PLY63101.1 pep primary_assembly:Lsat_Salinas_v7:8:75379639:75382062:1 gene:gene-LSAT_8X54200 transcript:rna-gnl|WGS:NBSK|LSAT_8X54200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDSPWDGGTFKLALQYSEDYPNKAPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARAFSENKREYNRRVREIVEQSWNAD >cds-PLY71017.1 pep primary_assembly:Lsat_Salinas_v7:9:71467919:71471033:-1 gene:gene-LSAT_9X59180 transcript:rna-gnl|WGS:NBSK|LSAT_9X59180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSHVSDNSVPISETRKLINPRVEIDTSPPFGSVKEAVTRFGGSGSWVPLNVLRLAGQDVEEIDMDTVEKQAAELEKELIIKEQETLEVLRELESAKGVMEGLKLNLIKETSSSSIMATTTTLDLNPDSGTTTPIDQSTAKTLTLCPIPMPPGMILTELKEAKSNLNKTTTDLAMIRTSVESLNKKLRTHKSNLAEKDGETKRVIEESVDVSNGFCKKLTFEAQQFRKMEEAAQYEVIKATSEIEHTKLSIKMVEMRLIAAKKMEEAARAMEAVARAENEDTPLIREGITLSYEEYSLLAQKAQKGEEIWKKNEGNENLYRRKTLEEALGPRPDTTIERNRESRNKLFRGRSDETWPTKSTNNNNSKTRAPYPYHGPRGFAPLIGDDSNMINTDRSRPVLRSSVSIGDILSRKLILQDNIVVREDVESQTRRDDVSLSEMLREQSGLIFRDTGKSEKEKRVDKQFFAQRKKFGFIHVSLPLNKHNKKKLNPQTPEPLNVRFS >cds-PLY83158.1 pep primary_assembly:Lsat_Salinas_v7:3:94615832:94617725:1 gene:gene-LSAT_3X70721 transcript:rna-gnl|WGS:NBSK|LSAT_3X70721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSTLVLLCVVTVATITKTTTGIDIHGGLFIFGDSLLDVGTNNHFDDSSARADHPHYGIDYPDSVSTGRFSNGLNSADLLASYMKGYDFSPPPFLTLVESPDTFLLQIIRGANFASGGSGILRDTGREKFRRVVPLWDQIQQFATVRGNMSDVLGNGTADFFIGMSHCIISVGSNDFFEKQNSLFRNETQPQQLIANLTATYAIHLQNLYDLGARKFGIIGVPPLGCCPKERYINYQMGGNGSCVEAMNELAQAFHASIESLLQNFSSINQGVVYSLGNTYNIIMDFIDNRRASGFQVVETACCGNGTFNAETGCESGSKLCRNRNHYIFWDEFHPTEAAARHAALTLAYADGQEFVTPMNFSSLAKA >cds-PLY66289.1 pep primary_assembly:Lsat_Salinas_v7:8:219469627:219470052:1 gene:gene-LSAT_8X135561 transcript:rna-gnl|WGS:NBSK|LSAT_8X135561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPSKPATAASSNSSPKSFVLPLPRVNPPSKGYKVLKKGSPTAPTIKSPPSTARSSAALPPPNSPDQQKVFLDLNETLIHSTTATGVSPLGTYDFLVRPLLDGERVDLFVLKRPFVDEFLRFLSTNNYEIVVFTAGIEEYT >cds-PLY76397.1 pep primary_assembly:Lsat_Salinas_v7:8:84574382:84576031:-1 gene:gene-LSAT_8X62421 transcript:rna-gnl|WGS:NBSK|LSAT_8X62421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELHLGLSLSSSSSSASCSSEFDLINCNNNYAPESKITIHHLKRKQDDDDDDLQTLPLLVWNNFCNKTRMNEQLHDHDDNDDDGEVQSNSIFVHHRDDGGVIGWPPLKSCRKKLCHPKTSNHGGGGGGGGGGGSKSMYVKVHMEGIGIARKVDLSLHHSYQTLVHTLANMFGKSYEDVKLTYQDKEGDWLLAGDVPWGSFIETIQRLKLLRKQ >cds-PLY86965.1 pep primary_assembly:Lsat_Salinas_v7:5:266435949:266441511:-1 gene:gene-LSAT_5X137500 transcript:rna-gnl|WGS:NBSK|LSAT_5X137500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component 84B [Source:Projected from Arabidopsis thaliana (AT5G49830) UniProtKB/TrEMBL;Acc:F4K7F5] MASTAAVPFWRSAGMTYISYSNICASLVRNCLKEPYKSEAISREKLFGRILLMNEGRKIDMSSKLSSRSRGGAPVKANSKETGPKLEENLNIFKSDKFDADGFVNSKCNSLNEKEIRQLCSYLLDLKKASAEEMRRSVYANYTAFIRTSKEISDLEGELLSIRNLLSTQATIIHGLADGVHVDSLSITLPEGSGLSPDLDRDPSDLENWLIEFPDILDVLLAERRVEEALSTLDEGERIASEAKGNNSLSPVVLASLQTAITECRQRLANQLSEAASQPTTRGSELRAAISALKKLGDGPHAHTLLLQAHYQRLQSNMQNLRPSSTSYGGAYTAAISQLVFSVIAQTATDSNAIFGKEPAYISELVMWATKQVEDFAVLVKRHALASSAAAGGLRAAAECVQIALGHCTLLEARGLALCPVLLKLFRPSVEQALDANLKRIEESTAALAAADDWDLSNSPGVTRLSGRSTTSVTSQHHKLSSSAQRFNVMVQDFFEDVGPLLSMQLGGKMLEGLFQVFNSYVSMLIRALPGSMEEEGSYEGSGGKIVRMAETEAQQMALLANASLLADELLPRAAMKLTPQGQGQNIYKDDPRRRPSGQNRNTEQREWKRRLAGIVDRLKDSFCRQHALDLIFTEEGDSHLTADMYIHMDGNVEEIEWFPSPVFQELYAKLYRMSGIAAEMFVGRERFSTMLFMRLTETVILWLSEDQTFWDDIEEGPRPLGPLGLQQFYLDMKFVLSFAAQGRYLSRNLNRVVNEIISKALAAFAATGVDPYAVLPEDEWFGEISQEAIDRLSGKPRIANGERDLSSPTASVSAQSISSIRSHGSS >cds-PLY75771.1 pep primary_assembly:Lsat_Salinas_v7:3:69649204:69652613:1 gene:gene-LSAT_3X53701 transcript:rna-gnl|WGS:NBSK|LSAT_3X53701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNICKRILPSRLSYSHALASYKASFSFFHSSPDTSIQVHSEEQEVVIALGSNVGNRLNNFNEALTQMKKSGLQITRHACLYETEPAYVTDQPLFLNSAIRATTKLGPHELLSVLKKIEKEMGRTKGIRYGPRPIDLDILFYGKYKIKSETLTVPHERIFERPFVMAPLVDLLGSDVDHDTVLHWHSFSKKGGIFESWEELGGESLIGKDGLRRVLPISNWLWDWSKKTSVMGILNLTPDSFSDGGKFESIADAMSHVQTMISQGVDIIDLGAQSTRPMASRISVAQELDRLIPVLERILKLPEMEGKLISVDTFYSEVALEAVKKGAHIVNDVSGGTMDSDMLNVMGKLNVPYVVMHMRGDPHTMQNVENVKYDDVCKEVGDELYERVRIAELHGVPAWRIVLDPGIGFSKNTEGNLEILMGLKRIREEIGRKSLGGSRVPLLIGPSRKRFLGEICGRVSGVERDPGTVAAVTCGVLGGANIVRVHNVGDNVDAVKLCDSLLDQVGR >cds-PLY68285.1 pep primary_assembly:Lsat_Salinas_v7:1:26972124:26972459:1 gene:gene-LSAT_1X24021 transcript:rna-gnl|WGS:NBSK|LSAT_1X24021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPYSFDRVLKVRLWEVKSCLTRWKEALLVLDWTEEGKGNGSSGATGGLGGCTAELLKQLLDYLLLLRQLITKGKEKVGLRGSRKQLTSQVQGRCEGGCCLLDCQKTPPPI >cds-PLY85149.1 pep primary_assembly:Lsat_Salinas_v7:9:146978007:146982850:-1 gene:gene-LSAT_5X81661 transcript:rna-gnl|WGS:NBSK|LSAT_5X81661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHSCGLCFVEGAYLEDAKSLSNWDAFCHSVGCGENGDVADDHYHLFLEDIDMMHSLGIKAYRFSISWARILPRGRSGEVNPAGITFYNKIIDNLILKGIEPFVTMHHFDFPQELEVKYGSWLNPEMQEEFVLLAETCYKYFGDRVKYWITINEPNLFTNAAYESGDFPPARCSEPFGNCLAGNSDVEPLIVMHNMLLANGKATKLYHDTTHGGSIGIVVSCLMYEPLTNTDLDREAAERAFAFNIGWVLDHLIYGDYPEEMHKYLGSRLPSFSLEEKNLLKNSIDFIGINHYSTMYTKDCINSSCSSTGAHAIQGFVDIMGERDGVLIGEQELFVVPRGMEEIVNHIKIRYNNKPMFITENGYSSPDVCKERVDEILNDVKRIEFHSKYLAFLAKSLRNGADVRGYFVWSLMDSYEWLQGYDVRFGLYYVDRKTLTRRSKLSAKWYKDFLMNNIDLIDMKALGGRRSFQNNVMMLRNG >cds-PLY76754.1 pep primary_assembly:Lsat_Salinas_v7:7:62408822:62409685:1 gene:gene-LSAT_7X43260 transcript:rna-gnl|WGS:NBSK|LSAT_7X43260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQDFNPNLVVLIVMMIATMQFQVTMAQKRHVVGDGLGWTVPSGGAVAYTTWASLQTFNVGDLLVFTFTDGEHDVAEISAAAFGSCTATNPISLATNGPATLTLTTPGAHYYICTFRSHCQIGQKLAINVSDSSTTTPPAATPKTPRSPPPPSAILKTPPSPSTTSPSTPPSETPSTPSPPCPPNVSTSSCDTSSPPTTTWDDTAPPPPPPSDSDEASSFTAVVPSTFLIIGLALLNY >cds-PLY94155.1 pep primary_assembly:Lsat_Salinas_v7:5:33116991:33120821:1 gene:gene-LSAT_5X15041 transcript:rna-gnl|WGS:NBSK|LSAT_5X15041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGEGEGGSETLPEAVCLNDDKLDGKGLTCKVSNQQLLDRNNDVSPVSSRYSSCGESEFDRYCSANSAMGTPSLCGSVGTFQDFTDSDFGSIRSPRVGDVGSLESFSLGGKFERKFESKSSLALGKLGNYGQRSESHDVITGSKERNNGNLEQRLMTMENEMHLYDEMDDLPDEGGVQMWKDNISSKRMPSTSTDKSLSAETTEEHMENVGVEEGSESFMGVDQVNNVFEGGRHLDECSEGEISSHLEHSESEGSMYGYGSDNEEQAGGLPPRGYVHYSPEKKSNSDDTLFMTSSIAYGADDWNDFTQETMETPQDLFVIDEIQGHNQNGIQSEGHTSKSTYTQKQEYVKDLHVDNQIDVSCDSPTYSMTHSMSHIDLLKHEEDTLAIGKQAEDINQFKMEEVTRVEKVPLMDVLHTKPEVTKSSETTHDLTFGDVSLSLTQDVEDHVAETPKDHKPYSLPSLPTINVEKRQNVTPAPLDVPEDLEMASKVESYELNEFYDEVVYEMEEILLDSGESPAARFNRGRNHHSHSNVSLPSRDGSSTASTSTLNNSPSFLQNPYKIDGIQVIGASQKKGDVSLGERLVGVKEYTVYKLRVFSGPHQWEVERRYRDFFTLYRRLKTSFSNKGWELPSPWSTVDRESRKYFGNVSPGVVSERSVLIQECLQSILNSKFSSSLPTSIIWFLSPPNNSPISPVSHSQNLGQSISLIVEIRPHKSMRQMLEAQHYTCAGCHKHFDDGKTRLWEFVQTLGWGKPRVCEYSGQVFCSNCHLNETAILPARVLHWWDFTEYPVSQLAKSYLDSTHDKPMLCVSAVNPFLFSKVPPLQHVINVRKRIGRMLPYVRCPFRMSIYKGVGSRRYILESSDFFALKDLVDLSKGIFSALPVMVETISKKIVDHITDECLICYDVGVPCGARQACDDPSSLIFPFQEGEVERCKSCELVYHKACFKKMDTCPCGVHLGARSIRSTNDVSAPPNNLVQQGTESKSSIGFLSGLLSKASSSKFWGHKENDTVIPMGSLPSSSR >cds-PLY95462.1 pep primary_assembly:Lsat_Salinas_v7:9:160865357:160868280:1 gene:gene-LSAT_9X100441 transcript:rna-gnl|WGS:NBSK|LSAT_9X100441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIPLSTIHTILPPLPPSLTLRRRPSNNLSSFQKLFSPSNKPLISTFTSNLVKPSAMDSISSTRVQSSGSSSVPDLGLIQRAIQLAQSSPPTWQSAIFSNFVIFLVGSPLLVSGLSLSGIAAAFLLGTLTWRGFRPSGFLLVATYFVIGTAVTKVKIAQKEAQGIAEKRKGRRGPGSVIGSSAAGCVCALLSIYGVGGKVFSRVWELGFVASFCTKLSDTVSSEIGKAYGQTTYLVTTLKVVPRGTEGAVSVEGTVAGVLASIVLAFVGCVMGEIKVAEAVVCVLASQIANVGESVIGAVLQDKKGYEWLNNDVVNVINISLGSILAILINQFVLQNWLP >cds-PLY78871.1 pep primary_assembly:Lsat_Salinas_v7:5:306751195:306752182:-1 gene:gene-LSAT_5X165801 transcript:rna-gnl|WGS:NBSK|LSAT_5X165801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPVKGTTRGGEGGRNRWCPTPEQVMLLERMYRGGLKTPSATQIQQITERLSIYGKIQGKNVFYWFQNHKARDRQKLRKKLMTLYQQHRLYPSHDQPSLPFHQVGGVEDESSCITLVNNWTRDLPSTQTCNLMCDCPLAMMMIDRYGTTPCCTRVPPKTLQLFPVTTTTTPDVKEDDQFSNP >cds-PLY95418.1 pep primary_assembly:Lsat_Salinas_v7:9:190254991:190257377:1 gene:gene-LSAT_9X117420 transcript:rna-gnl|WGS:NBSK|LSAT_9X117420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSALAHSLISPLNSHSPISIRTPKTLSVSSFNGFSIPRISRSPLVSNQLKTTHTSLIANCASTASDSSKDETPIELRFPAFPTVVDINQIREILPHRFPFLLVDRVIEYNPGVSAVGIKNVTINDNFFPGHFPDRPIMPGVLMVEAMAQVGGLVMLQPEVGGSRDSFFFAGIDKVRFRKPVVAGDTLVMRMTLTKLQKRFGIAKMDGKAYVGGEVVCEGEFLMAMGSSE >cds-PLY67351.1 pep primary_assembly:Lsat_Salinas_v7:3:153973582:153981898:1 gene:gene-LSAT_3X97800 transcript:rna-gnl|WGS:NBSK|LSAT_3X97800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFVSAIISPFVESLMGPVKKQLGYIFSSTKHVRNMNTKMKQLDDTSRDVKKHMETNNINNLEIPARVTGWLEEVDKIKEDAQRISSSGAGCFNLKMRYREGRKAFKTMEEMGSLIEENSKIVWTNAQKPLGKVNSQNASTCALSDNHFKSREKSFKDAVEFLQQDDTSQVIALCGMGGVGKTTMMEQLKKTAEDKKMFDWIVKVVIGQKINMYSVQQTIAEYMGSSLTETSVEARADRLRIRFGKMFEGRKKVLVILDDLWEMIELKDIGLSPLPQGFKLLLTSRYQNICTQMAVEAKSAFRVVRVDVMEEDEARDFFWQNTGVSKQYDQELNQIGSNIVKRCGFLPLAIKLIASTLQFQEVFVWRNTLHRLKKNNLDKNVQEIVEISYTYLKEEEEKRIFLLCGLFPDDFDIPVEELTRYAWGLQLLDDVCTVGDARDRTKTCVQNLRNANLLMDSDYIGCVKMHDLVLAFVLGKVSKGDNPWVINHGDISKWSRAEVRESCKRISITCTGMHEFPNDSKYPNLSLLRLMDGDKSLKFPQGFYQRMENLEVVAYEKMQYPLLPRPLHCSIKLRTLILHQCLLMFDCSVIGELLNLEVLSFAHCGIRKLPPTIGNLKKLKLLDLTGCVNLRIDDGVLKNLVELEELYMRVVDKKAIRFSNSNRAELAELSRQLSALEVEFFDDNGIPENMMFKKLERFRISVRCSLQGNTGKNTHSFENTLLLVTNKDELLESRMNELFEKTEVLYLEVDGMNDIEQVLVESVHLPRHAFNNLRDLNVFKCENLRYLFTVPIASGLMKLECLRVSQCPVLELLAHSESGGAGAIRFQKLKFLSLKSLPKLIGLCNTANIVIELPQLVELILDGLPNFTSIYHEKTSATSSMSNDISAIQPFFNKEMLIPKLEILKIFRMDKLKEIWPYQFSSSDEVNPCMLREIKVMKCDNLMNLFPTNPMTLLGRLEELDVTYCESIEVLFDIDMNCVGEIEEYSSNLRHIWVYSLGKLRELWSVKGEISSDILIRSFQAVERIEIQLCERFVNVFTPTLTNSDVRTLMNVSIDGRRSCEESRRNIELVEKSQEINVISKAEISEVCGNIPDVESSTHLNHLEYLSVNYCKDVEVVFEIESSSSSNTDFTTTLHNHQPPLLLPHLKSLDLIHMERMSDVWKCNWKKLVIPQNQSQSYSFHNLTTIRMRECHSINYLFSPLMGQLLPNLKEVFIIECFGIEEVVSSRGIDENDEMGTCTHTNTISFPLLETLHLNFLPCLQSIDGGTTITTTSIHDQIKHSQVGVTSWFLSQYSKEIFISDCHALSRVFPSYVFESNEINNNGVDSTNVGDGSDDICTTITIPRSANMTLLELPNLTMLTIKKCEVLEYIFTSSTLESLKQLKGLTVAECKAMQVIVKEEGVHTEKSKSIIVFPRLKSLKLVDLPYLKGFFLGTNEFTWPVLEKVKIYGCPQMTNFTSGHSKASKLNYIHTGLGKHSLECGLNFHLTNATYETQLSICPTADMIKLLQFCWSFSNLVEVEAHEYDGKLLKSRTIFPCNELLNLKNLEKLCIVGRYTQSACEELFEVQEGTYDDVNIETQSVIAFPKLKEVTLDTLFELTHMWKSNRWIVLNFPNLTKVSINNCPLLGHVFTSCMVGSLLQLQELQIRDCEGMDVIVKQVEDSETRLTEVVFPFLKSITLHELPNLRGFFLGNGDFLWPSLDTMRIKSCPEIVVFTNGQSNTPELKVIDTTFGLCHVTEDLNSFIKTKRQEVCIWYLFWLLLTD >cds-PLY85554.1 pep primary_assembly:Lsat_Salinas_v7:2:194638725:194640258:-1 gene:gene-LSAT_2X116461 transcript:rna-gnl|WGS:NBSK|LSAT_2X116461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDYECYKATKDTKLDTEQCTKIASRNKNLGIEDYRFLAKTMVSSGLGEETYGPKSIILGEEEHPKLVDSLSELESVFYDTLDRIFARSKISPSQVDILVVNVSLLSTVPSLTARIINHYNMRSDIKAFNLIGMGCSASLVAIDLVQHLFKTQKKKIAIVVSTEAMSAHWYCGRERSMMLSNCLFRVGGCSMLLTNDRARKNQAILKLKCMVRTHLASDDEAYNCCMQVEDDEGYEGFRLNKTLTKVAARALTKNLRVLLPKVLPLREIIRYVFLKSRSKINVKTGIEHFCIHPGGRAVIDDVGASLGLSEYDLEPARMALHRFGNTSSGGLWYVLGYMEAKKRLKKGDRILMISLGAGFKSNTCVWEVTRDLDRTNVWKDIIENYPPKKTINPYIAKYAWIHDKDMDFVTTDDIKMMLLGSAA >cds-PLY70133.1 pep primary_assembly:Lsat_Salinas_v7:3:11536473:11538322:-1 gene:gene-LSAT_3X9100 transcript:rna-gnl|WGS:NBSK|LSAT_3X9100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPYSFSSSAAMAQQTWELENNIVTMEAPQSSESDAIFYYDESAQSKFQQEKPWSNDPHYFKRVKVSALALLKMVVHARSGGTIEVMGLMQGKTDGDAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPPDEPVSEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQAEGQLSHSRFGPLIAPPQRKKEEESQLAKITRDSAKITVEQVHGLMSQVIKDILFNSVRQSNKSQGESTGPEPMVQS >cds-PLY91675.1 pep primary_assembly:Lsat_Salinas_v7:8:11556340:11558204:1 gene:gene-LSAT_8X7780 transcript:rna-gnl|WGS:NBSK|LSAT_8X7780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSLHKEHINAQPKPLVFDSLILQHETNIPEQFIWPDHEKPNSQKAKELAVPLVDLRGFLSGRASSAKEASVVVGEACKKHGFFLVTNHGVDASLIVDAHRYMDLFFELPFLDKQRVQRKIGESCGYASSFTGRFSSKLPWKETLSFQFSGEKKSSKIVEEYFEKTMGKEFARLGKVYQEYCNAMSRLSLGIMELLGMSLGVEQSHFKEFFKENDSIMRLNYYPPCQKPDLTLGTGPHCDPTSLTILHQDTVGGLEVFIDNEWRSIAPNLNTFVVNIGDTFMALSNGQYRSCLHRAVVNNKIHRKSLAFFLCPKKDKVVSPPDELVDEKNPRIYPDFTWSTFLEFTQKHYRADMNTLQAFTNWIQQKNS >cds-PLY88671.1 pep primary_assembly:Lsat_Salinas_v7:5:69813812:69819747:-1 gene:gene-LSAT_5X32500 transcript:rna-gnl|WGS:NBSK|LSAT_5X32500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTISSPSKDDNLGMDNGKYVRYTPEQVEALERLYHDCPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSHLVYENSYFRQQTQNVTLATTDTSCESVVTSGQHHLTPQHPPKDASPAGLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCSGVASRACGLIGLEPTRVAEILKDWSSWFRDCRAVDTLNVLSTANNGTIELLYMQLYAPTTLAPARDFWLLRYTSPMEDGSLVICERSLNNTQNGPSMPPVPHFVRAEMLPSGYLIRPCEGGGSIIHIVDHVDLEPWSVPEVLRPLYESSTLLAQRTTFAAFSHLRQISQEISQPTVTSWGRRPAALRALGQRMSRGFNEAVNGFADEGWSVTESDGVDDVTVFVNLAPAKATGANQMYAAHGIPVVSNAVLCAKASMLLQDVAPAILMRFLREYRSEWADSSMDAYSAASVKAGPTSLPMARNGSFGSQFMEVVKLENMGHYQDNMMMSPGDIFFLQLCNGVDENAIGTSAELVFAPIDASFTDDAPLLPSGFRIIPINNKLTQNPTRDLASTLEVGVGPPRNQRASNQAGPTKSVMTIAFQFGFEIHLQENISAMARQYVRSIISSVQRVALALSPSPFGSPSLQGNPEAHTLTHWIQQSYSCFLGEELFKSVDERSESVLKTLWNHSDAITCCSVKTLPVFTFANQAGLDMLETTLVSLQDVSLDKIFDENGRKNVFSELPLILQQGYGCLPGGVCLSSMGRPVSYERVVAWKVLNDNDTPHCIAFMFVNWSFV >cds-PLY97754.1 pep primary_assembly:Lsat_Salinas_v7:4:375695133:375697182:-1 gene:gene-LSAT_4X185040 transcript:rna-gnl|WGS:NBSK|LSAT_4X185040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKEFMVMFFNNRWLVFVVAMWVQSCAGIGYLFGSLSPVIKSSLNYNQRQIARLGVAKDLGDSVGFLAGTLSEILPLWAALLVGAIKNFIGYGWVWLIATHRVPTLPLWVMCILIFVGTNGETYFNTVALVACVQNFPRSRGPVVGILKGFAGLGGAILTQIYASINWPDRASLIFMVAVGPSMVVISLMFIVRPVGGHKQLRSLDGSSFSFIYCVCLILAAYLMGVMLVEDLLDLNQTIVRIFTLVLFLLVIAPIMIPIQMTLSRDPLDPKEESLLASPRTSNEPAKPESEPDPNDFIFSELEDEKPQEVDLLPASERQKRLAQLQAKLAQAAARGAVRVKRRRGPHRGEDFTLTQALVKADFWLIFWSLLLGSGSGLTVIDNLGQMSQSLGYEKPHIFVSMISIWNFLGRVGGGYFSEIVVREYAYPRPVAMAVAQAVMAIGHLFFAMGWPGAMYIGTLLIGVGYGAHWAVVPAATSELFGLKKFGALYNFMTLANPAGSLVFSGVLASSIYDKEAEKQAQHRNQRFDKLFVGDEPLKCEGSVCFCTTYIIMSALCVIAVVLSMILVRRTKVVYQHLYGKTRG >cds-PLY62516.1 pep primary_assembly:Lsat_Salinas_v7:1:84416544:84418310:1 gene:gene-LSAT_1X70440 transcript:rna-gnl|WGS:NBSK|LSAT_1X70440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding domain containing protein [Source: Projected from Oryza sativa (Os02g0496100)] MEGNQAVSGGGGGGGGGGPAPFLLKTYDMVDDSMTDEIVSWSTNRNSFVVWNPPEFARLLLPTYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEEFAKDQKHLLKNIHRRKPIHSHSNPQSSTIDPERAAFEEEIDKLTREKTSLEKNITRFKQQQPVSKLQVEDLTHRVNTIEERQDTLLTFLKKAAQNPDFVEHLAQKLESMDFSADNKKRRFFPEDNNNTNTNSLPQSPSRPDFGNIFHRDFSNKLRLELSPAVSDINFVSNSTQSSNEDNGTGSPQIIVSERTHGSLPFNQETQELSDSCTSFGFNMDSSFINKTCLDSNKDTTKGHDSCQLNLTLASCVSQIDTCQDTDTMPQSFEEIGKPPEWAAAAARVPEKNTTPAAPVAPAAAQTRVNDVFWEQFLTERPGSLDVEEASSNLRANSSFENSRNLQNLTL >cds-PLY80104.1 pep primary_assembly:Lsat_Salinas_v7:5:147988308:147989131:-1 gene:gene-LSAT_5X64280 transcript:rna-gnl|WGS:NBSK|LSAT_5X64280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESVLWKRYHGKLKHRVVALHEVLPPPSRLSIPVATSSQSLGSSSATGDQSAPDVSLSTGLISCPLEETSAEDMGNRKDGIISSSHHAMRKQKVVQAMSEPTSEGSFIQISRRVMQCLGHIRLLSSTSSPHDRVLVNPMMTFNSMKNESRGHDLADRDRELETLRADRERLFQIRLIRAMDKLIEHPEFGGAISRIRHVAFVSGEESG >cds-PLY99917.1 pep primary_assembly:Lsat_Salinas_v7:7:16375582:16377837:1 gene:gene-LSAT_7X14120 transcript:rna-gnl|WGS:NBSK|LSAT_7X14120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFRSSSRYSSFNNRSSTQSDPSSSTELNNLHLNQTKNRRQSPDVSFALAKSKSTKNDQNLTAMVKKFMEKRSSSSTKVKGREFVVAADVTTFIAEDFKKKTTMSARRGGATGLGGLHKKLFGSKGKGDESEGKKKALTEVKPNARTLAMVLRSERELLSQNKDQETEIIELKLMLEEKNREVDKLKDLCLKQREEIKALKSAILFPDVMSTQLQGLLNQQDSELKHAKQIIPTLQRQVTSLTGQLQCLAEDLAEVKADKYSVTAACYDSLVSSPRTPTHEQEEATNSLEFSSGSPDDMFINDLNPCLTPYSKTKSKEFETTIENHARRFPELGFGSHGGKLSKSSNQEYVVNSGNSRKILGRRSDENKYSYGKHIYC >cds-PLY85789.1 pep primary_assembly:Lsat_Salinas_v7:MU040901.1:19088:19834:1 gene:gene-LSAT_0X39301 transcript:rna-gnl|WGS:NBSK|LSAT_0X39301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWEDLVIKAKNGGLYVIDTYVFWNVHEPSPGTYGFSGRYDIVRGFPIWLKYVPGISFRTDNEPFKAAMQGFTQKIVGMLKAKNLFESQGGPIILSQVLSCFIHLSFITIKDKCHFGV >cds-PLY90069.1 pep primary_assembly:Lsat_Salinas_v7:6:16939118:16940988:1 gene:gene-LSAT_6X7841 transcript:rna-gnl|WGS:NBSK|LSAT_6X7841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGDKLEKRLVKLKCSVQNYNWGRIGYDSRVARLFERNCGGQSQIEENKHYAEFWIGTHVSGPSFLETDHNVLSVGRSLSIQAHPDKELAGLLHKLQPNVYKDANHKPEMALALTQFQALCGFITSEELDVVLESVLEFNELVGIENENGEIKVKEDRVLCRSIFTKLMSVDREAISTTLSRLISRLNREKETRELSSKEELVLKLEKQYPNDVGVLAALLLNHLKLNPGEAIYIGANQPHAYLAGECVECMASSDNVVRAGLTPKYMDVKVLSSMLTYTQGLLEILKGVPMNPYTRRYTPPFEEFEIDRCVLTGGSSVVFPAVVGPAVFVVVSGEGSMLTSSSEERVWEGSALFAPAGTEVCVTTETELELYRRGVNNKILMNPTHNTVDIMR >cds-PLY81418.1 pep primary_assembly:Lsat_Salinas_v7:3:217672484:217673275:1 gene:gene-LSAT_3X126220 transcript:rna-gnl|WGS:NBSK|LSAT_3X126220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCMHRDHELQFLVTTNTCFVPLQAVSLPPILHGNDVVVAAETGSGKTHGYLVPLFNKLCTTTNDSFETDQQLNQPHMSLVLCPNVMLCEQVVRMANCIYNDNGEPLLRVAVVCGRQVWPVNEPNIIVSTPTTLLNFLHAIDPERRRRANFIRDVKHVMPLSPPSKGHV >cds-PLY90558.1 pep primary_assembly:Lsat_Salinas_v7:6:50280431:50282448:-1 gene:gene-LSAT_6X36421 transcript:rna-gnl|WGS:NBSK|LSAT_6X36421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINDLGEQQDGTGKHAFIGLGFTKRNEEFDFNVALSDHEKYVKTEIEKDVDEANDESRINIHPDVNHRLKIKPLSLAPPPEKVKSKPLGLAPPPVASGKIRSPIPPPPNNPTAVQMTSTTHNIAARNSIDVFPDFSQLKACQVESLKTFSKHFKQSYYPSISRETVEELGLRISRIQGQLRDVLY >cds-PLY74216.1 pep primary_assembly:Lsat_Salinas_v7:5:145759581:145764618:1 gene:gene-LSAT_5X64801 transcript:rna-gnl|WGS:NBSK|LSAT_5X64801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSQGSNAVVKALKELIEYNRSGRYPLAKLWNNKEERRATLKEGVEFVLKQWRITYTHVKNWHTIYADPDRPFFVDINTSNNKKNLIPVFESLFDEGAVREISNFAMTSNESEYMLVPHKHKINFYKTTKVRVSTDFVDTVDPYHFISFPDLLARNFDTRVASDFLGEVVSTDPMRVIVEYGREKRLMNLVAQDLSGTRIAVALWGSFALKLNTYISQHHNETAPVIILLRLAKLKIWGGQPQVGNYLFGSRLHINDDMPQILEFKSNLNALDTNVESSSRTSRLNSDTVVANPVDYYLRFQIKNIDEIPDFNEEVSLTIIATIIGFGLDDGWYSFYCRDCSKKVTKNDDDVDAGPFHCDGCGFVSDVFGKIRIVVRVQEDSGSSSFVLFERHVKDLIHRGNQWLMEKIAKENTNSMHDGNLDVVDLEVVTPSSSIGKSPIEIDANSDSLEWSSSKTRAIRDTLKIPKLEKLD >cds-PLY85164.1 pep primary_assembly:Lsat_Salinas_v7:9:145807885:145818268:1 gene:gene-LSAT_9X93360 transcript:rna-gnl|WGS:NBSK|LSAT_9X93360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISAVPPDSRYIAHVSASDGDTGGSSISKEMASEVEDHIKLTLAMFGVFRRRVVSGSSSASVKIIGKSWRRTCPVASTTATCFTSEGEVLLHPRIVGNVRSICHVAQPGGPVNLRPLREVMTSLQPSVSIQMQTRMFSSSGDLVDAVVPFMGESISDGTLATFLKKPGDRVEIDEPIAQVETDKVTIDVASPEAGIIQEFVAKEGDTVEPGTKVAIISKSAEGASPPPPSPSKKEEAVSQPPKVEEKPAPKVETAPIIAKPKPSALPSPSPSRTSPSEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFALLTTFNEVDMTNLMKLRSEYKDAFLEKHGVKLGLMSGFVKAAVSGLQNQPIINAVIDGDDIIYRDYIDISIAVGTPKGLVVPVIRNAEKMNFAEIEKEINSLAKKATSGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVSKPMVVGGNIVARPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >cds-PLY64073.1 pep primary_assembly:Lsat_Salinas_v7:8:94407206:94408570:-1 gene:gene-LSAT_8X66520 transcript:rna-gnl|WGS:NBSK|LSAT_8X66520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEKENSGGGNGGTKCFPAGWSVAAKTKPCDSCKSASALLFCCKDKIFLCMVCDKKLHNETRHERVWMCELCEQSPAYVTCKADAAALCVTCDREIHSVNPLARRHVRIPVVPFYDSAEAVVKTTAAGNVLHSVSGYGSSEFESFVRKEDHVSLKIPVDMKRVDLFSAAERGFDFGFAVPTEVRLESKFHDSVNDCVVPVQRTSPTKNSQPRQIVDDHQSPGTRFEVDFAKSTTNSYDKSSSHNVSSSSMDTGVVPEQNTMLNVSYPFMLPVNGVVSEKNNEGANDEYKAKRMDRMARVLRYREKRKNRKFEKKIRYASRKAYAEQRPRIKGRFAKRTESTTELNADRWLFTAASDCSSYGVEVEYGVVPSF >cds-PLY90929.1 pep primary_assembly:Lsat_Salinas_v7:6:123001849:123003125:1 gene:gene-LSAT_6X75521 transcript:rna-gnl|WGS:NBSK|LSAT_6X75521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAQSGIDDNTMLIGQAQILRYLCGAIDAMAMRCCVELHIADIISNHSRPTLSDIATGINSPSINLDGLERLMRFLVHRKVFDEEDGDGETVYSLNHSSKWLLCNTNMTLAPFIMMFTNPFMALPANALSRSVKEGGTAFKLAHGEEFFDFLSHNSDINSLFNEAMASVTTITMDAIISNYRNGFLGLKGSVVDVGGGTGVAISVIVKAYPHLKGINIDLPHVISTATSYDGVTHVAGDMFEAIPPAETIFMKTILHSWSDDDCVKILKNCRKAVPKGTGKVIMVEIVQHPTGDDPLNDTRVTFDLVMLTCFSTGRERTEVEWEKLLISECGFCRYNIIKIPTLFSVIEAFP >cds-PLY98000.1 pep primary_assembly:Lsat_Salinas_v7:4:192533079:192535664:-1 gene:gene-LSAT_4X110280 transcript:rna-gnl|WGS:NBSK|LSAT_4X110280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCFASKSADSKSSRLSRWRATGIVALRDGKLKSFPDEVLELDKSVRTLDLTHNKLVDIPEEISKLINMQRLILANNVLERLPMNLGKLKSLKFIILDGNKLTTLPDEVGQLVKLERLSISSNLLASLPETIGSLRNLLLLNVSNNKLKSLPESVGSCFSLEELQANENSIEELPTSVCSLIHLKSLCLDHNNLKQIPPSLLKECKVLQNISLHGNPISMDQFQQMEGFEEFEERRKKKFDKQIDSNVMISSKGLDEGVDL >cds-PLY90273.1 pep primary_assembly:Lsat_Salinas_v7:7:115606583:115607743:1 gene:gene-LSAT_7X72120 transcript:rna-gnl|WGS:NBSK|LSAT_7X72120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGRQYDSGEEHTGDVVKGKPNDKNIASDTTNELPEETTTSAADGGDSKNDNIASTSSSLGKGLSYTISTIIRDFDSQAQQTSRSQDQLSSSIDRLTRELDQLLADAPSPFIMQHAAKISGVKKRVSSLNLVLKSIQRRVDNIDRLLSSGLPKDEIASGSGNGSPAEH >cds-PLY99712.1 pep primary_assembly:Lsat_Salinas_v7:9:55356855:55358085:1 gene:gene-LSAT_0X9681 transcript:rna-gnl|WGS:NBSK|LSAT_0X9681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTISTFKFTIHVIFLVSFFLISSSSAALFTITNNCPFTIWPATLSGAGTTPLPTTGFQLNSGQSAQIPTTPSWSGRVWARTGCTFDASGVGKCETADCGGKMECGGMGATPPASLFEITIGGYNNLDYYDVSFVDGYNLPIIAVPRSTSGGCNATGCASDINIGCPKELQVVGGDGGGVGGVIACNSACGAFGMDQYCCSGQYANPNTCRPSYYSSIFKRACPRAYSYAFDDGTSTFTCNAYEYAIIFCPNNGMDQTVGPGTGTGISTGTGIETGTGVGTLSAPHMKNDKIRGSMRTNTSSNAISQVSILICLIIVSFASLYIV >cds-PLY77587.1 pep primary_assembly:Lsat_Salinas_v7:2:165464976:165465200:1 gene:gene-LSAT_2X89840 transcript:rna-gnl|WGS:NBSK|LSAT_2X89840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALMGGFARIGNNEITVLVNDAEKSGDIDPQEAQQTLEIAEAALRKAEGKRQTIEANLALRRARTRVEAINAIS >cds-PLY82077.1 pep primary_assembly:Lsat_Salinas_v7:8:52263723:52267818:-1 gene:gene-LSAT_8X38881 transcript:rna-gnl|WGS:NBSK|LSAT_8X38881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMVDEPLYPIAILIDELKNDDIQLRLNSIRRLSTIARALGEDRTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGIEHASVLLPPLETLCTVEETCVRDKAVESLCRIGSQMRESDLVDSFVPLVKRLAAGEWFTARVSACGLFHIAYPSASEALKTELRSVFNQLCQDDMPMVRRAAATNLGKFAATVEPSHLKTHIIEIFQDLTKDDQDSVRLLAVEGCAALGKLLQPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTKNDLVPAYVRLLRDNEAEVRIAAAGKVTKFSRILTPQLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVAFFDDKLGALCMQWLQDKVYSIRDAAANNLKRLAEEFGPDWSMQHIVPQVLEMINNPHYLYRMTVVRAISLLAPVMGSEITCSKLLPALITLSKDRVPNIKFNVAKVLQLLIPIVDHSLVEKSIRPCLVELAEDPDVDVRFFANQALQSLMSS >cds-PLY75027.1 pep primary_assembly:Lsat_Salinas_v7:9:193295801:193299496:1 gene:gene-LSAT_9X119161 transcript:rna-gnl|WGS:NBSK|LSAT_9X119161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIKGEMKKCRLVKPHLAYLNPSPISPKIHQLHPQRTASPLHLNRNLDRFCLRHPQTIIYYHLVKDMKSHVCNGRESSRRRSPLLDQCFLAKPEGDEGGTRVVAASSGELLISSLDSITNNSISLQSSAAGENVIVVIDGNRGKGSLDALDWAIKYIVGPNDTVVVLGVLPEIGKKPAPSCLPFHLGVGTSGIWIKLEFSHNEMTPSELQQAIGRKKREYQKFLQPYYHHCKQREVKLDIRLAAGYESKKLAVEEAEKLDPRWIVLDGYLKKDKEYIHKNVDCHVALLKEKGVATLIPSKITGPECEEWQTVCRKIDDQAFTDDDFVEELPNSPKQTPLTPSSYPLSWRTGFPRAFSLGEIEEITNDFQNVTLKDQNRIIYTGVYGENQVIVMCFRADDHSASLLKIVSRVRHRNILNLVGYCWIGGSIFLLCDCPEGSLEACLLCDKAATNLSWNTRWGIALEIGAGIQYLHEEFADGSIVNLSLCSCNVALGGDSSAMVTKSYLCKNIDMNEQLRADIQDYGVFLLELISGLSRRLFEKDGQSLVDWALPFLEKNILSPILDPRLTVTSDPQVVHMARAALACLNNDSSHNLTISKVLAIVRGNQ >cds-PLY88873.1 pep primary_assembly:Lsat_Salinas_v7:8:4660470:4666100:-1 gene:gene-LSAT_8X1861 transcript:rna-gnl|WGS:NBSK|LSAT_8X1861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSPLPPALSSQSWNHDVFLSFRGKDTRKTFVDHLYKALVQQGIDTYKDEETLRQGESIRPSLVKAIEESHIGIIIFSKNYADSTWCLDELTHIMKCKDMTGQIVIPIFYDVDPSEVRIQKRKYGEAFAKHELRNKKKAESWRKALVDASNLSGWVPKNIANGYESMAIKEIVDNISSRLQLVTSSANEKLIGIAARVQHLKSALQIGLGGVNMIGIWGVGGGGKTTLASSIYDEIYRNFDGCCFVANIREESSRHGLEKLQKQILKKMEGKSIGGGRSLIDKRFRNRKVLIVLDDVNHLDQLEALAGSPDWFGEGSRIIITTRDEHLLKAHEVVVHDISLLNADEAIQLFHKYAFRGSMPMKDYDQQLSKEVVSYAGGLPLALTILGSSLCDKNIDQWRSALARLKKIPDNKILEKLKISFDGLTKVQKDLFLDIACFFRWVEKDTAMEMLDANGFDPVIGVEELRQKALITILDGRFDMHDLLQEMGHYIVRGEHPRNPEKHSRVWKKEDVLTICAMDATMELDMIEAIKVGYSSFREAKPPPILANMRNLRYIQWKGDPANPSVNNFPPRALCCLVLEYAIQDQLWNGYKCLPNLKMITLWQLKNLVMTPNFDGIPLLEIFKLHGCPKLKEIHSSFGGLDKLVCLSIIDCKGIKKFPSITRLKKIETLSFAECPRVFKLSKIQQKMDSLGDEDMSSAVRELSNLNNIQLRYFHYFRFFRREYLRKLDLGFCELGDENMSSAVWELPNLKELDLRGNYFSCLSFGLMRIPRLKFLDVSSCTCLVKLSELPSSISVLKADDCKSLKTFGDTYNCKWLWKVSLFGAHEVGLAAGYMLLDSMLKGNSIEDHLISVTLHPEIPVGSIDRLFRGNSFTLRLPHDWYNDFCGFLIRVVTFNVIPFLVIIIKQEVNEDPPFELWQESNEELEQDSNEELEQEYDEELDLENVTHVEYVSFNSLRHIASLNSTYNVISISLDQFGFNLIETAGNRIGAELIPRKSEDALVQTTKAVIGDSEFWDEEVAHAPTFTIEHDSSIKIVLMT >cds-PLY74439.1 pep primary_assembly:Lsat_Salinas_v7:6:11371801:11372046:-1 gene:gene-LSAT_6X8961 transcript:rna-gnl|WGS:NBSK|LSAT_6X8961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENHSLHLYEFEVWELIKQTENKERNYRLDFIISERFVLGADLNKFKMVFNPSSLCNHVAGDTGHLCLRFEQRRKKEFENS >cds-PLY90772.1 pep primary_assembly:Lsat_Salinas_v7:3:36215417:36216256:-1 gene:gene-LSAT_3X26560 transcript:rna-gnl|WGS:NBSK|LSAT_3X26560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFHFNVFTGSPLPTGYIDPFNPQTSSSFVNIDPKSFPCLLFSPPSSTLSICKMSTSFAKSSIQGGPTSRKKRIVRCGCGDVCKVFVARAPVNYGKKFYGCPNYKLEEEDCGFFKWYNEEDGHIINPTHTKQGCKSFGLAFGRMFGSTLVANGSTMLKLASNCCFG >cds-PLY89686.1 pep primary_assembly:Lsat_Salinas_v7:8:42026630:42028259:-1 gene:gene-LSAT_8X32121 transcript:rna-gnl|WGS:NBSK|LSAT_8X32121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPRPTVQVTEPAMIKEILADYYKFQKSRGNPLFRKLMKGLVDVEGDQWVKHRKIINPAFNIEKLKQMIPAFYTSCDEMINKWKDLLIKESSCEVDVCPYIETMSNDVISRTAFGSSFEEGRKIFELLHEMLVLIVKSIQSDIFPGSRFLPTKRNKRIKEIDQKVKDSIKGIVNKRLVAMKAGENSNDDLLGILLRSNYEEIKQDGNKNSRLSIEEIIEECKLFYVAGQETTRNLLIWTMVLLGQHTNWQTRARDEVLHLFRDKKPDFEGLSHLKVVNMIFNEVLRLYPSVSFLGRIIHKETKLGDIMLPAGTLLHINVLLLHYDHEIWGDDVKEFNPERFSEGVSKVTKGQTCYLPFGGGPRICIGQNFAILEAKMALAMILQHFCFEISPSYSHAPHLLGTLQPQFGVHLILNKL >cds-PLY89668.1 pep primary_assembly:Lsat_Salinas_v7:3:184502753:184503973:-1 gene:gene-LSAT_3X110761 transcript:rna-gnl|WGS:NBSK|LSAT_3X110761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLVDRNLLIVTKRKFNGGVKVCTIHDLVRELCLEKATKANFCLKIHKPMSSYPVEVIMTHKQRHVFTYNDLNVMNLSNPPIPSIRSLLCFHTKTSMIFNSDEYIHPYMLLMVLDLQKCGLNRKHFPDVIPLLVHLRYLAICYPSRSFPSSICNLWSLQTLIVKTDFIPLVLPSTISNLVKLRHLWSDGDIYFPPIIKPMKLKTISNVKLGDGAKCWLKCFPGIKKLTCALYTYDENDFKSLAYLESLKLIGSGSRKKSMECRLPWSDILIIQSLPNPEELNLLDNAFEGPQWDTGEEQFPQLKFLKLQNLNIQQWHASNINFPHLKRLVLLKCNYLEEVPLEIGDISTLELIETDDIISIVESLDRIQEEREVRIIE >cds-PLY88411.1 pep primary_assembly:Lsat_Salinas_v7:4:156396806:156399319:1 gene:gene-LSAT_4X94821 transcript:rna-gnl|WGS:NBSK|LSAT_4X94821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQETMVPLVYAPPFLLLLFFLIKFYYFSRPSTMLNLPPSPPKLPVIGNIHQLGPILHRSLLDLSKRYGGPLMLMRMGSVPTLVVSSAEAAREIMKTQDLIFATRPELRRWRQILYDQKEVSVAPYGEHWRQFKSIMVIHFLSKNKVEAYREVREEETEIAIEKIKKSCNMQEVVNLTDLFQKLTNDVVCRVTFGMKYSEGESGRKFKNMLKEYFDVLSELNFEDMIPWLWWVDRVRGTSARVEKVAKEVDEFLDGLVEERLRKQSTSGDDGDVDNSEDFLDILIKIQKKDANSLLDRDGIKGLLLDVYTAGTDTTTTVLEWAFAELLKHPRTFKKLQDEVRMVLQDKNHINQQDIDNMKYLKAVIKETLRLHPPAPTLIPRVSREDAKVMGYDIMKGTRVIINGWAIQRDPKVWDEPDEFQPERFLDNSIDYKGHDFELIPFGAGRRGCPGMQFALVIDEHVLANLLHKFDWELPNGGKEADLDMEEEPGITVHKKVPLLVMAKEFSS >cds-PLY66078.1 pep primary_assembly:Lsat_Salinas_v7:2:206993477:206994828:1 gene:gene-LSAT_2X127921 transcript:rna-gnl|WGS:NBSK|LSAT_2X127921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFLTYTMFLCLVCMAFSCQESMDLELDLLPRPLIIEYPETRLKNMTEELTMQCTSWRVAVESNNLNPWKTIPMECADYIEEYMSGQAYNFDLETVSKEARVYAKSLELGDDGMDVWIFDIDETLLSNLPYYSDHGFGLEVFDCVQFDRWVVEGEATVIESSLKLYEEVSWLGFQIILLTGRSEDKRNVTVTNLINAGYQNWDKLILRGDDDQGKSAIEFKSEKRKEIIEEGYRIIGNSGDQWSDLMGTSVASRSFKLSNPMYHIP >cds-PLY98029.1 pep primary_assembly:Lsat_Salinas_v7:8:43546042:43556278:-1 gene:gene-LSAT_8X34100 transcript:rna-gnl|WGS:NBSK|LSAT_8X34100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYLGKEKEDDQRRDDFTQTIFSWSLDDILNEDLYKYQVEKIPLTFSSKEQYFGSFIYPLLEETRADLASSMEIMYRAPFAEVFSFSEVKHKGKKEVVYDVTVDTWKNRFSERGKEPYRTLPGDLLILAEWKPEFGSDLHQTGRTWAFALVKTIEDDEDSNTSVRFKVKTLHQIECQDGLYVVFLMNITTNKRIWNSLHMNRNSNIIKEILYSDNMVKENCDSCSSGLILFETLDLSLVSKLNESQQEAIMASIGKIGCSHNSSVEQIWGPPGTGKTMTVSVMLSIFLQMKCRTLTCAPTNVAVVQVASRVLSLVKESFKTRIANGDCFYSIGDLLLFGNKERLKVGNEIEEIYLENRIKRLTECLGSLTGWKHCLRTMIDLLEDCVSQYCVYVDNEKFKEEQLRKENENENGSEISNVEVKSFVEFLQDRFSSSVFPLRTCIITFCTHISRSFLTEETFQNMVFLLNILCSLESLLFQDNLDSDELENLFSSKPMEDDFEKLWDIDIQSIRSMSISIMKTLQRSLEKLRLPNILNKYAMMDFCFQGASLIFCTTSSSYKLHTVEMKPLNFLVIDEAAQLKEAESTIPLQLHGIKHAVLIGDECQLPAMVTSNVSAESGFGRSLFDRLSSLRHSKHLLNVQYRMHPSISLFPNLTFYQNQILDAENVTCKSYEKKYLSGPMFGPYSFINVVGGREETDDDGRSRRNLVEVAVVIKIVQSLYKACYESKKKLSIGVVSPYAAQVVSIQEKLAHKYEKVDGFSVKVKSIDGFQGGEEDIIILSTVRSNTRGSVGFIDSPQRTNVALTRARHCLWILGNERTLVNSESVWEDIVKDARNRECLFDADADELLRMTIISAKKELEQLDDLVNGKSVLFRHAKWKVLFSDGFRRSFAKLKHARLKKVVLNLLLKLSGGWRPKNRSVDLCCERSSQIMKQFKVEGLYVICTVDIIKEVKYIQVLKIWDLLPFDEISKLTKRLENIFAAYTDEYISHCTAKSLEGDLEVPRIWAATPEIIRFRNLNSCEDESDLSTNGDGRSYVENSKVSESLLLMKFYSLSSGVVNHLLSGKDIDLPMQVTDEQMDIILFRKSSFIIGRSGTGKTTILTMKLYQNEQSFRFASKGFDEAESSGVKDVESDDDHEKENKPIVLRQLFVTVSPRLCYAVKHHVSHLTSISSDGNSSGEINLDDVDVAVDSNVPETFVEVPEKSYPLVMTFEKFLMMLDSTLGNSFFERFPEAREGSHGNHISSRSIALQTFIRSRNVTFDKFHLLYWPHFNSNLKKKLDASRVFTEIISHIKGGLHAGASCNGKLSYEDYSLLSEVRASTLTKQKREDIYTLFQAYEKMKTERGEFDWGDLVNDLHHRLKSRRYEGDQMDFVYIDEVQDLSMRQISLFKYICQNVEEGFIFAGDTAQTIARGIDFRFEDIRSLFYTEFLSSGKQEKGQVSEKFQLKQNFRTHAGVLELAQSVIEILYHYFAHSIDLLEPETSLISGEAPVLLESGNDENAIVTIFGGTGSSGEIIGFGAEQVILVRDDSAKTEICEYVGKQALVLTIVECKGLEFQDVLLYNFFGTSPLKDRWRVIYGYMNDQDLLDGKLFPSFPTFKESKHNVLCSELKQLYVAITRTRQRLWICENKEELSKPMFHYWKRKGLVQVRKLDDSVAQAMRVASSPQEWRERGKKLYYENNFVMATMCFERAGDTIWEKLAKASGLRAMADQMQGTNPGSYFDHLREAAEMFESIGKLESAASCYCDLREYERAGKIYLEKCGKINEAAECFLLAGCYSDAAEAYSKGDQFANCLSACKKGELFDKGMQYIEYWKEHVIVRSKEIEKIEQEFLESCALDYHERKDSKSMMKFVRCFCSMESKRVFLKSKGCFDELLLLEEESGCFLEAAELVRSWGDVLKEADLLGKAGSFKDAASLIIWYVFFNSLWGNGSSGWPLKKFAQKEELCERAKSLAKSDSDIFYGFVCRELKLLSDQQSNLSELKRNLQSSQQNNCLRGEILCNRKILEAHLCLNSSKYDWEDELPVDINKHCDEKILQNQVSVRTLVFCWDLWKENIMDIFETLESFENAEADENNEHVDFVLNYFGVRKQSVKGNTLYLLVNKDASWVRNSGNKGVFKDGKRVNIGSKQLVVAIQSYWQVELLSVGIKVLQTLEALYKLKTNGSSFHQSSCLLNIFKVSKFLLDSSYLKLTHHHTKTLEQFLRISTSYFDIVFPLDWRKSISRELISLRETYLSLNLLEDIILQNLHRKSELSYSTIGIMMMICFGSRKPVTLYKKIITGLQWNPTWKSFVQEFLSNNPVVSGSYSRFILNDAYFAPQLQDALEDVYRANWRSHGYISPHSFVYLLDYLLFMTSFSQGMFFTTRSSFLAWLPTLGSTSTQSITLSASQQMFPQQTVVFFVGTIQDILYNKVDTGAWIRSCDVNPAYYHPLLVLKLVMMLCLICLKVSDCSEVLLNLLLGRNNIAYLLPQKFVSIILRRRKGRYLNLNPDVVAEAFISINDPLLAVVSAEDVSRKIHVPFAIFVDLRTSKEEIMNVLFPRKSIQISQPSSKNVGVGTILEEKKSSNMLTTAAKLNLKSLNWKNLEEISESLKQKKGEVLNFSSVVIKKELDKDIHTLATVLEDAKSNAGEDTIGKVTGALGELKVLSCAFDTSEHKEKHGVFTNEAMKTLQLVVEHLQGNRPLIDDFLNKTQDSKVVQKVVSESSNTSEVHQEEDDATDSQVDMVEEEQSNDGNTQDSKTKKGKGKKKAKNSNVDSMVDSVVEKQSTSGNNQDPKNKKGKGNNKGKNKGKKGKGKNK >cds-PLY70242.1 pep primary_assembly:Lsat_Salinas_v7:9:1922590:1928072:1 gene:gene-LSAT_9X3541 transcript:rna-gnl|WGS:NBSK|LSAT_9X3541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ent-copalyl diphosphate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02780) UniProtKB/Swiss-Prot;Acc:Q38802] MKTMISSPIPAFHPRFSPAAGSVVLTGSKTQCKAVSKSPTQEYFDVLQKNGLPFINWQNDVVEDELDKEKKILYPNDEIKGFVERIKVMLGSMDEGEITVSAYDTAWVALVQDIDGNGRPEFPSSLEWIVKNQLSDGSWGDHLIFSAHDRIINTLACVIALTSWNVHPGKCQKGLKFLNDNISKLEEENPEHMPIGFEVAFPSLIDIARKLDIQVPEDSPALKEIYARRNLKLTKIPKSLMHKVPTTLLHSLEGMPDLEWEKLLKLQCKDGSFLFSPSSTAFALMQTKDQKCLQYLTDAVTKFNGGVPNVYPVDLFEHIWVVDRLQRLGISRYFDSEIKDCVDYIYRYWTKDGICWAKNSNVQDIDDTAMGFRVLRMHGYKVTTDVFRQFEKDGKFVCFPGQTTQAVTGMFNLFRASQVLFPDEKILEDAKKFSYNYLKEKQSTNELLDKWIIAKDLPGEVEYALDVPWYASLPRLETRFYLEQYGGEDDVWIGKTLYRMGNVSNNTYLEMAKLDYNNCLAIHHLEWNTMQQWYVDFGMERFGTSDITSLLVSYYLAAASVFEPERSKERIAWAKTTTLVDTISSFFHSLKISNEHRREFVEEFRNISNSIHHAKYGKPWHGLMVALKGTLHEIALDVLMTHRRDIHPQLHHAWEMWLMRWQQGVDVTEGQAELIVQTINMTAGRWVSNELLAHPQYRLLSSVINNICHEIYHNRTCMEVNSTTISTSIDSKMQELVQLVLSDSLDDLDQDLKQTFLTVAKTFYYKAYCDPETINVHISKVMFETII >cds-PLY84741.1 pep primary_assembly:Lsat_Salinas_v7:5:229764932:229765911:-1 gene:gene-LSAT_5X109721 transcript:rna-gnl|WGS:NBSK|LSAT_5X109721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMKFQYENIKVFAKCHLVGGDGASLPAPNAVINRPPLGKVGFYLYYFEAGLPDEFKKIYDLVKPIVVLFDGVSNNVHLFHNQYVKLVEKIDELEKVSTNVEMVSQVEMDKVRGELSIMQNEKSVLESKLDAYEDVVEEVSTLKATIACFELENIGPVDKIAMLEHVVQKLKGDLSDSSLRNMGLQASVVKLENQLNKVNVDVSRVLSHRITKLVDKLIVESSFFEANCDLQTTCVDFSRRAGLGYCMHWWVILVMVVRQQAIMVVVLVVMDLEWCCVAFL >cds-PLY61950.1 pep primary_assembly:Lsat_Salinas_v7:5:161165215:161166728:1 gene:gene-LSAT_5X70201 transcript:rna-gnl|WGS:NBSK|LSAT_5X70201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDLPAKGTDMRELPLRYHSKGVTIASPPRPTPQVHENAMISKSKDQSSTDVRTVIKKRKRRNKQQNIVVLEPSSWNRICPQDVVDAGMHLNPAKKKHSAWFSLTPSCDQNRKNTLQLLVEPYLQIIMEGNCNPDVSILMKYIALQLKHVRQQEVGIFLNGKLLAPEMKLLDVVKQWMAIVDSERKITKIGSSAENFCVKLTYA >cds-PLY92565.1 pep primary_assembly:Lsat_Salinas_v7:7:163075176:163077320:-1 gene:gene-LSAT_7X95981 transcript:rna-gnl|WGS:NBSK|LSAT_7X95981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEVDENPHLLVHDSDVNPKDLRPPQALRPSPSLAFLSSTVTRKVAFWIPLSLLYICCVPQFTMQEGKRVGVWKNIARGRAALTLPLTASFPNVSITSQLFFLIMKFPTFSLVETL >cds-PLY71504.1 pep primary_assembly:Lsat_Salinas_v7:2:122199278:122200748:1 gene:gene-LSAT_2X56641 transcript:rna-gnl|WGS:NBSK|LSAT_2X56641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESALKPIFLLQKMAKSKQSPTTTLYMDVEVDVNRKYYEGEEVIVIGGPHQGKKATYLHPTHAILCEDGKIIHVHPFDLHDSNNPSDLESAQVTTPKHDSPLQIVVKLDNDPFETFEIQHSSDIKLLKKISSRDPSDGKPRGDNKRSRNK >cds-PLY67981.1 pep primary_assembly:Lsat_Salinas_v7:2:12835521:12836636:1 gene:gene-LSAT_2X5581 transcript:rna-gnl|WGS:NBSK|LSAT_2X5581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (ATCG00360) TAIR;Acc:ATCG00360] MLAQSERNYAEALQRLIHTSSGEHTQALEYYFRALERNPFLPQAFNNMAVICHYAIRQGDFEIAEAWFNQAVEYWKLAIALTPGNYIKAHSWLKITRRVE >cds-PLY90609.1 pep primary_assembly:Lsat_Salinas_v7:6:50655212:50660349:-1 gene:gene-LSAT_6X36561 transcript:rna-gnl|WGS:NBSK|LSAT_6X36561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative DNA (cytosine-5)-methyltransferase CMT1 [Source:Projected from Arabidopsis thaliana (AT1G80740) UniProtKB/Swiss-Prot;Acc:O49139] MIFSRSFENPKYFEKPAEVSSFSFFTFCNSNDTLTPPRSTITHREGEQMAKGVKRGVKQSEEAIDSSIPSSDSKQSSRSKKSKTSPSAAAADDDTRLIGKPITTDEAIDSSVPSSDSKPSSCSKKPKTTRVAAADDDTRFIGKPVPADQAREKWPHRYESKSRVKVIAPSNGEADGKEIIQAKCHYTKAVIDGVTFDLYDDAFVKVSLLLSIQSAEEGHPDFIARIVEMFETVDKKLYCSAQWFFRAEDTVIKSQAHLIDKRRVFYSEMKDDNPLDSILSKVKIVQLPPNVGFSEKKKALLSCDLYYDMQYSMPVTFTTLQKESSITKSDESSVISGDNSSNGVVEKKKNNKISKPTKIHESKECEMTLLDLYAGCGGMSTGLCYGTNISGVKLVTKWAVDINQHACESLKLNHNETHVRNEAAEDFLSLIKEWEKLCKDFHLLGSHRDENSQNTNMKSEESDSEENEEKPNPSDDEFEVGKLLAVCYGDPNKVNNKKLHFKVRWKGYGPSYDTWEPFDGLSNCMDSIKEFVSKGYQSRLLPLPGDADFICGGPPCQGISGHNRFRNYTDPLKDPKNHQLVVYMDIIDFLKPKFVLMENVCDIVKFADGILGFYAVGRLVSMNYQTRLGIMAAGSYGVPQCRLRVFLWGANTMMNLPQFPLPTHEVVGRGVVPVEFKDCIVGSDVDKSTKLEKSILLGDAISDLPEVTNYNGKDEMEYGGAPKTSYQKYIRMRKQALGKDSSKRKMLYDHRPLELNEDDYARVCQIPKIKGANFRNLPGVKVGTNNKVEWDLSVERVMLPSGKPLVPNYAMTFVGGTSKKPFGRLGMDDVVKTVVGRAEPHNQALLHPNQDRVLTIRENARLQGFPDHYKLSGPVKERYLQIGNAVAFSVSTALGYALGKAVKGVCGSQPLTLPVKFPDCLGQLSSVNQVSQESE >cds-PLY75583.1 pep primary_assembly:Lsat_Salinas_v7:9:33148135:33150034:-1 gene:gene-LSAT_9X31341 transcript:rna-gnl|WGS:NBSK|LSAT_9X31341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHYQRYIQLRKVVNVTYVDEEDACVNMSACNKRSKPKLLFFLLFCTLLSSCLLLSPHLLPFPTSPLSLIYPAGAEDETLSVSKTSPCSSVSNGTICCDRSSIRSDVCVMKGDIRTHSSSFSVFLYTSKISHTGEQSFRQEKIRPYTRKWEPSTMATIDELTLIDKKPNSSISDDRHKCDVYHDVPAVFFSTGGYTGNVYHEFNDGLIPLYITSQKYNKKVVFVILEYHDWWITKYENLLKHLSDFEPIDFSGDKRTHCFSDAIVGLKIHNELAVDSSLMEGSKTIKDFRDILDKGYRPRIQGLVFEEEHEKSQQNKRIKPIRQQPEKPKLVIISRNGSREILNENLLVKMASKIGFSVTVVKPQRTSELAKIYRELNSSDVMVGVHGAAMTHFLFMKPGSVFIQVVPLGTNWAAETYYGEPAKKLGLRYIGYEILPRESSLYDEYQSDDPVLSDPNSVNDRGWEFTKKIYLDRQKVRLNLVRFRKRLIRSYIYTMTKRNTNDMVRSQ >cds-PLY67519.1 pep primary_assembly:Lsat_Salinas_v7:6:69159059:69159520:-1 gene:gene-LSAT_6X50561 transcript:rna-gnl|WGS:NBSK|LSAT_6X50561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINQGPSPVISEWPLVEGLHTILPPLRRRLPGKPCVKRKRDQIEKELSGHTRHTVSKTGIPLRCTICHQTGYNKATRPSKPTPAPSIAGPSQSTPAPSTAGPSQSTSAPVKRTLVKKAPVKKASMKRSPMKKIPLNDAGGVRKFSERITEIGL >cds-PLY95702.1 pep primary_assembly:Lsat_Salinas_v7:2:112767782:112771457:1 gene:gene-LSAT_2X49961 transcript:rna-gnl|WGS:NBSK|LSAT_2X49961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAYFSRSRKRIGLNNGVFLFIFIVLSLTSLSCAARQPPASRQKLQVHKHLKRLNKPPVKTIESPDGDKIDCIHISHQPAFDHPFLKDHKIQMRPNYHPEGLYDSEKVKTESKERENSIHQLWHVNGMCPEDTIPIRRTKEDDVLRASSVKRYGKKKQSSIPLPRSIPKSADPDLVNESGHQHAIAYVEGDKFYGAKATMNVWEPKIQQSNEFSLSQIWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNNIAMGASISPVSAYRNSQYDISILVWKDQKEGNWWMQFGNGYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGQHTSTQMGSGQFPEEGFGKASYFRNIQVVDKENNLKAPKDIGTFTEQSNCYDVQTGSNSDWGHYFYYGGPGKNPNCP >cds-PLY88839.1 pep primary_assembly:Lsat_Salinas_v7:3:193297681:193311237:1 gene:gene-LSAT_3X115221 transcript:rna-gnl|WGS:NBSK|LSAT_3X115221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPGMMDPEMIRLAQEQMSRMSPAELSRIQQQMMSNPELMKMASESMKNMKPEDLRRAAEQLKSTQPDEMAEIGAKMANATPQEFASMRSQVDAQINYQLNAAQMLKQKAKNNLKSTPSSKGGPLLLACSLNLMSCYLKTNQYDECIKEGIEVLATDSRNVKALYRRGQAYKSLGKLENAVSDLTKALEFSPDDETIADVLRDAKEKLIEHGGKDATRGLKIEEITDEDPTPSSEMHETLSSNQKTKEINDNMNFPNNTEYLQSLKDDKESIRSFQNFMSESDPETLASMGGGKIEGISPDMVKSASNLISNMQPEEFQRMLEMATSFQGQNSPLNPNVTPDMLKTATDMMNMMPPEELQKMSKMALNGSNTESEGQERDFSTFNEDIGESSTSRGVSNSRNTPQPSFPSSSFDMQEQLKDQMKNPAMREMMSSMMKNISPDMMASMSEQFGYKMSREDAEKAQQAMSSLSPESIDKMRTTNFGKFGHRRKNSIEINNNIFQNKFHQNPAELLQDVIDNSPSTSLQIQNSSQTKSQTRQEWAAIRIQTAFRGILARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRIALEEGQSEQQLQHEAHVREIEEGWCDSVGSVEQIQAKLIKRQEAAAKRERAMAYALAHQWQAGSRQQQATAVTGFEPDKTNWGWNWLERWMAVRPWENRFLDISGVKIQENLNCSSKQDQDHNMKNQLMKSNGKKTIQSDLTNDKMGQSELNGFGSSSPIKSRSMQEPPPAIVDNLLLIDSVNEGSSSSRSHSNPKERCLISGNQGKKRRSLPSSGKIGKSTGAQMSRQPGKSAAKTLST >cds-PLY93040.1 pep primary_assembly:Lsat_Salinas_v7:9:26990873:26992581:-1 gene:gene-LSAT_9X23661 transcript:rna-gnl|WGS:NBSK|LSAT_9X23661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDKKSAKASLQHKQHQNGHFSPFKFAKLLDPEASWDKDQLGDVLHWIRQIVAVVCGLLWGAIPLVGGIWILAFLLISSGIIYGYYGVILKLDEEEFGGHGPLLQEGLFASITLFLLAWTLVYSLAHF >cds-PLY80658.1 pep primary_assembly:Lsat_Salinas_v7:5:246935763:246937663:-1 gene:gene-LSAT_5X122881 transcript:rna-gnl|WGS:NBSK|LSAT_5X122881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKLNFDSYDLVKFIKLIKQAGVYAHLRIGEMKSEEDCIHNEEFQSMVDDSDSDSVGGDGEAYGEEETRQFSNKKRRLSNHKV >cds-PLY99742.1 pep primary_assembly:Lsat_Salinas_v7:2:131367472:131370561:1 gene:gene-LSAT_2X62181 transcript:rna-gnl|WGS:NBSK|LSAT_2X62181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLLQVYVLLIFLSLIAKSTAAPKYAKAGCNDTCGDVKIPYPFGIGANCSLNEWYAVDCNSSTPHLSSLNNLEVLNISLGTKRSHNKFVVEGCGEAAIAISLDYRPPTGCSTICRNNTLRDKNKCLGLGCCQITIPYYFKSYMIYVIVLERQGRDGVCGSAFLVDENSYDEGRFSGDNSYVPISLLWTLSASELEITTNDTLVTRIVVDLADGNSITSWKCYFSEEFEGNPYLVDGRAYTQECAMCRDGGGYCRYNRIYNDLGDIIDQVFTCSPNRYLPSTHPSNNRSSMGVILGVSISMGVLFLVTVRYGLYNLIKKIKTMRKRKKFFKCNGGLLLKQQEEADPSLVDKTILFTSHELEKATDHFNENRIVGRGGQGTVYKGMLVDGRIVAVKKSKVVDESQLEQFINEVVILSQINHRNVVKLLGCCLETEVPLLVSEFISNGTLYDCIHNEENEFQLSYNMRLQIATEVASALSYLHSATSIPIYHRDIKTTNILLDDKFRAKVSDFGTSRFVSVDQTHLTTLVKGTFGYLDPEYFHSSQFTEKSDVYSFGVVLVELLTGERPISLTRFGENRSLAVHFMLAMEEGRVMSIFDALVIKEGSRDELLALANLAMRCLNMNGKNRPTMKEVAIELETIRSSHVPTMVQTNFRPVAYGEELELSRPTYGESSSTFVSFNDNSSSQKGKSLLIIHLSYKFIKISKCGCIHYSL >cds-PLY87740.1 pep primary_assembly:Lsat_Salinas_v7:1:4533749:4535411:1 gene:gene-LSAT_1X3920 transcript:rna-gnl|WGS:NBSK|LSAT_1X3920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCSEENSVKKGPWTPEEDQKLTDYIQKNGHGSWRALPKLAGLKRCGKSCRLRWTNYLRPDIKRGKFSNEEENTILHLHSILGNKWSAIAAHLPGRTDNEIKNYWNTHLKKRLIQMGIDPMTHQPKTDLFSCLPQLIALANLKELLEHTQLAHNLQYLNLLRQPTIIANNPELATALNATNFMATDQEPNPYFSNDSLQVGQGRVEMSDFFSVENAPVGGGCSQPLQQQVSIDQEVVGQCQMGLMSDKSSSSTWNPLPPLMDSSGSNNTNSQENSSTVSYGGGGASALNWPDFIFEDSFLEDNLSEIRQI >cds-PLY75599.1 pep primary_assembly:Lsat_Salinas_v7:9:31979544:31981929:1 gene:gene-LSAT_9X28600 transcript:rna-gnl|WGS:NBSK|LSAT_9X28600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCATPSTTDFEKKKGKNKPNPFSLDYGSSNPSGNGGYKTTVLENPTGTEIEKTYVLGKEMGRGEFGITYMCTDKSTGEVFACKSISKKKLRTRIDIEDVKREVEIMKHMPPHPNIVSLKGTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAGVTKTIVEVIQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIKSVVDFKRDPWPKVSDTAKDLVKKMLNPDPKLRLTAQEVLDHPWIQNAKKAPNVSLGETVKARLKQFSVMNKLKKRALRVIAEHLSAEEVAGIKQGFDLMDTNKQGKINLAELKAGLQKLGHQIADADLQILMEAGDVDKDGFLNYGEFVAISVHLRKMGNDDHLKDAFAFFDKNQSGYIEVEELREALADEDEANNEEVISAIIHDVDTDKDGKISFEEFTAMMKAGTDWRKASRQYSRERYNNLSLKLFQDASLDLGNEER >cds-PLY61967.1 pep primary_assembly:Lsat_Salinas_v7:5:159368294:159372486:-1 gene:gene-LSAT_5X69380 transcript:rna-gnl|WGS:NBSK|LSAT_5X69380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRRSSEKSPGETESSGGSVSSHSERFSDDQIYSNQSSLSPEVTSKSEVPQEELEGGVKTLSQKLSAALVNISIKEDLVKQHSKVAEEAVEGWEKAENEVLGLRQQIEVLTLRNSTLEDRVCQLDGALKECLRQLRQTREEKDQKITEIIEKKTSEWQITKSQLENQLAEFRSNKKADPFLIQKLEKENSAMKLELASMAEELEIRLIERELSNQAAESASKLHLESVRKVTKLESECRKLNAALRKALVANDRSLSVVENDRNAPSIEINLMDDFLEMEKLVGLPKVDPRDSVQTIDQTSEIKEILKRVETEKKNLEIKLKEREKALEKSRNQLKEAELKLREMEASLVSSNDARETTEKELESTKGIVEVLHERVKRSESEVVELKSQMESRLGDAYAKKNEAESKFKKLEAELESLIPKVESFETKVEKERALSGKMEAKCRELELEISRLQLENEYTGNIRTLELESEVAELKSQLDTVRRERREAESRIEDAYTKKNKAESQIEILKAELESLIPKVGSLEVEVRKERALSGKMETKCRELEGEILRLQHQNQYPKAAIQNTGLRVKQDNELAMAGTKFAECQKTIASLSHQLKTLATLEDFLII >cds-PLY96509.1 pep primary_assembly:Lsat_Salinas_v7:5:337604447:337606894:-1 gene:gene-LSAT_5X189400 transcript:rna-gnl|WGS:NBSK|LSAT_5X189400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELYGRSTGRNGSQTDHTQQPEWIQPGTETGLEESMRRLGLWGGRGGEFYPERPGTADCAYYMRTGTCGYGSKCRYNHPPDRSSSAGGAARSVGGGGAYPERPGEPPCQYYLRTGTCKFGASCKFHHPRHAGGSLSNVPLNTYGYPLRPEEKECSYYLKTGQCKFGITCKFHHPQPTGESMSASASARPFYSTVQSTSPEQQQQQQQQFVGGPGGGYRVASARPPLVPGSYAPAAAYGPILLSPGMLPLPPNWSPYSGRVSPVLSPGAPQPQPASVYGVNSSFAGAGAGAGAGGHFRPLPPPSPGPSQTERVFPERPGQPECQYYMKTGDCKFGASCKYHHPPDWVLSQANCMLSPIGLPLRPGVEACNFYMQNGHCKFGRTCKFDHPLPGAVTYGGPSELPIPTIPASISFSERSKMDTMFTQGQTQTGSSPEVQISGQTSPQTR >cds-PLY90251.1 pep primary_assembly:Lsat_Salinas_v7:8:14218437:14220548:-1 gene:gene-LSAT_8X13880 transcript:rna-gnl|WGS:NBSK|LSAT_8X13880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFPKETSQPVISIKRPLDDADDCELDEFRKPKTVARKYPPPKIRIGVEAVRDFPPGCGILQDSDVNKVEKKPLKRLENVESNIEHSTSHGKDKVVNSQSFEDNKLNSCIKPKGLLGFKKPSFGSRQSGKVKFWDPTKQVVHDFPPVSGIKPERKPLKNLDNFDSDLKKLNSIDKVKLVDSHPFENNKLKSFKPKESGINNNPTGKVKYWDPTSSNAGDTEISKHINTEESRKEQVRREKIREAMILFETVYTQLFQENGSKQQGEKIAHWRVPMEAAKIVKQKLKWMNADKALGQIRGVQPGDRFKFRSQLQMIGLHCQSHCGIDYTKINGKNLAISIVDSHRYSNASESCDVLSYCGEGGGGGGGVGFFGSKRQVAPDDQKLERGNLALKNSMDEKSPVRVIRKLVGVGKNNTDVFVYDGLYTVEHCTQKRGSEGKMVFMFQLQKMPGQPQVQKMVNALHHHSTFQML >cds-PLY89146.1 pep primary_assembly:Lsat_Salinas_v7:3:20669040:20672322:-1 gene:gene-LSAT_3X15481 transcript:rna-gnl|WGS:NBSK|LSAT_3X15481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEKYEPLKELGSGNFGVARLVRDKKTKELFAVKYIERGKKIDENVQREIINHRSLRHPNIVRFKEVLLTRTHLAIVMEYAAGGELFSKITSAGRFSEDEARFFFQQLLSGVSYCHSMEICHRDLKLENTLLDGSPSPRLKICDFGYSKSGLLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTIGRIVSVQYSIPDYVRVSVDCRHLLSHIFVANPSKRITIPEIKKHPWFVKNMPKDLVEGEKTNYENASFDQSLQSVEEVNRIIQEAKVPGEGSTTTDGRPEIGGSMDPDEDDFDLENEIDYSGDHSAQI >cds-PLY76223.1 pep primary_assembly:Lsat_Salinas_v7:4:55547467:55549298:-1 gene:gene-LSAT_4X37601 transcript:rna-gnl|WGS:NBSK|LSAT_4X37601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYYTIILILLSILSWVYIFITQSNHRLSTRLPPGPYPLPVIGSIFKLGKKPHHSLATLSKTYGPLMSLKLGSTTMIVVSSREIAQEFFSKHDISFSSRSIPYAAHAHDRHKISMVWLPVGDQWRRLRKISKEHLFSITQLDASQHLRKKKVQELVDYVNNCCQNGKAVNIGQTAVTTTLNVLSNFIFSIDLAEYDSVSSQDFKNLVGGLMEVGGTPNLADFFPVLRPLDPKGLLRSASFYTGKLMAIFEQHISKRLKERRTSSSDHHEPSSSKDLTDLLLDISENEKSSISIDDIRNLLFDLFLAGTDTTSSTLEWAMAELIHSPEKMSKARSELEEVMGKEDKTIEESDISRLPYLQAVVKETLRLHPPVTFLIPHRAIADVEIQGYIIPKDAQILCNLWAMGQDPNVWSDAQTFRPERFLDVGIDYKGHDFELIPFGAGRRMCPALLLAHRMLHMMLGALIYRFDWRIEGMKPEDMDMTDKFGITLQKNLPLMAIPVKV >cds-PLY64295.1 pep primary_assembly:Lsat_Salinas_v7:5:83925688:83927258:1 gene:gene-LSAT_5X39280 transcript:rna-gnl|WGS:NBSK|LSAT_5X39280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINSSETEQQKFLPKRIILVRHGESAGNLDGAAYTTTPDYKIPLTPDGIAQANLAGTQIRDVISDSGKLRNWKVCFYVSPYERTRSTLREIGKSFPRKRVIGVREECRIREQDFGNFQITERMKIIKETRERFGRFFYRFPEGESAADVFDRVSSFLESLWRDIDSRFQHDPADDLNIIIVSHGLAARVFLMKWFKWTVEQFEYLNNFNNCEFRVMQLGDGGEYSLAVHHSEEEMQQWGLSPEMIADQKCRANSPRGGFIEKCQWYLDGFFDHVDPDDSEDGENEDNTDDKTVS >cds-PLY67223.1 pep primary_assembly:Lsat_Salinas_v7:6:136445190:136445832:-1 gene:gene-LSAT_6X82081 transcript:rna-gnl|WGS:NBSK|LSAT_6X82081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDRAGAEIVYGAEECHRHSIDLLEELGFPKGVLPLKDLVECGRVRKTGFVWMKQKGPYEHFFEETNTRVSYATEVTAYIEKYKMKKMTGVKSKQLLMWVPIVEMSMEDEKSSKIYFKIPVGVGKSFAVTAFMTDEEKKKYLLEHSK >cds-PLY75656.1 pep primary_assembly:Lsat_Salinas_v7:1:99336147:99337551:1 gene:gene-LSAT_1X81501 transcript:rna-gnl|WGS:NBSK|LSAT_1X81501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEPCPEEDRISNLPEHLIDSILARVPVGDAVRTSIISKKWRCGWTTMRELVFDEQFSEKFARNRASNYHNGFIRIINKVLFLHKGPILKFHLHIPYVFHDSFNEIDPWLSFLSRNGVMELILTNSCRRYELPSYFFSCLELRKLKLENCFFKQPLEFQRFLNLEYLYLKKVDFGANLSGTQISLPQLMDLSMHSCTNVSNFNIKASKLSSLVVLNCPGAMLLRFLNNPSLTRLDIQDFVEGEVIDLARVLCNLPELEDLSIDSNSLKEPQVDVGAASNHLETPNCLKCTLDQLQTVEIICLEGSKPELFFIKLLLAHSPSLKEITITPSKASRVQK >cds-PLY93339.1 pep primary_assembly:Lsat_Salinas_v7:9:58251493:58252829:-1 gene:gene-LSAT_9X51461 transcript:rna-gnl|WGS:NBSK|LSAT_9X51461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTHALSRPLVRGFQPTQKMVNTSPTTKKTRNQSGALIGSNDDLLIEILLRLPVISVVRFKSVSKHWLSLLSQRPFTLMYKNVSISPGFYIHNKYIPFDVDNQIVPLEIYPHACGIRIVQSCNGLLLCCTLQRIQERKYYVFNPTTKQIAFIPSIPGGRNVRNTITFMGLAFHQTDCVHYKVVCFHHAQHDEKLFQIQIYSSDARKWKISDESFSFSAPYYESIGSGVYWNQAIYWAPFSATPLYFKIDTEELQSLSFPIEAAVESLGGGPNGAMRLYFGESRGHLHLVVKADRSETHLHLNVYEMLNDCSGWFVKYRLDLDELLNSYPEISTRFYKFQVLDVVRGEEEDETFMVFMIPGNKIIRYNVADKSCKQIYDLWLLLKKKQKYDLSSVIDGPIEHSEVHRYIETIVSF >cds-PLY67334.1 pep primary_assembly:Lsat_Salinas_v7:4:18926371:18926648:-1 gene:gene-LSAT_4X13380 transcript:rna-gnl|WGS:NBSK|LSAT_4X13380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDKEGERGRNLLGVNNLLAGKTRREASRMFFETLVLKTKDYIHVEQTDPFENINVFPRSKLLKSEF >cds-PLY69811.1 pep primary_assembly:Lsat_Salinas_v7:4:244299798:244302133:-1 gene:gene-LSAT_4X129401 transcript:rna-gnl|WGS:NBSK|LSAT_4X129401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVMVVVCHPYTATNTNQEQKTYIVHMDKAVMPPEYSDHQNWYATSMESVSESPEMLYTYENVIHGFSTRLTVEQAKLLEKKHGVLSVKEEVIYKLHTTRSPEFLGLAGKEMIFSGLNSSSDVVIGVVDTGVWPGSKSLDDTGFGPVPGWWKGECKKGTSFGVSNCNKKLIGATFFSRAYEATYGPIDETIESRSAIDDDGHGTHTATTAAGSIVTGASLFGFAKGKARGMAPNARLAVYKACWLGGCLGSDILAAIEKAIADRVHVLSLSIGGTLANYTTDMVAYGTFKAVSRGIFVACSAGNSGPDPFSLSNVAPWIATVGAGTIDRDFPASVVLGNGKMFRGVSLYSGKPLSKFMVPIVYAGNISKTTGNFCLPGTLPRRRVAGKIVMCERGGNSRVQKGMVVKEAGGVGMIVVNSEKFGEELVADAQLIPTATIGYRGGEAIKRYILSNDNPTATIASGVTELHIQPSPVVAAFSSRGPNPLTPEILKPDFIAPGVNILAGWTGKTGPSGLTEDTRRVKFNIVSGTSMSCPHVSGLAALLKATHPTWTPAAIRSALMTTAYNVYKNGEGLKDIATENPSTPFDHGSGHVDPVRAMDPGLVYDASANDYLGFLCALNYSSNAIKMFGGGSFKCRKKYRVEDLNYPSFAVHLRTGSDQGGPTTVKYTRTLKNVGSPATYKVSVWSKIASVKIKVDPEELIFTKQGEKRVYTVTFTASPMQSGTTGFGQLKWYGGKYVVSSPIAFSWV >cds-PLY99257.1 pep primary_assembly:Lsat_Salinas_v7:6:185833916:185836003:1 gene:gene-LSAT_6X114181 transcript:rna-gnl|WGS:NBSK|LSAT_6X114181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRRGLNICKNNNGFEIESHYDRILHSCTSLAFLSQIHSILTTTGIIKHSVHLNARVIIKYSDFLHLQSARFVFNATDHESSSFLWNTMLRAYANSGFCSEALEFYSLMRKTGIRPNNYTFPFALKSCAANLLPTYGKLLHSEIIRTGFGSDIYVEAALIDMYAGCDFIQDGRKVFDKMSERDLVCWTSMITAYEQSEQAETALYLLHQMQQEGFCLDWVTAVTVASAIGQLGDAKRAQSVHGYAIRHAFFQDLPVVNSILSMYAKCGEVEKAEMIFHQTKQRNTITWNSMLTCYSQNGQASEALALFEQMKISDVNPNQVTALIVVSSCSYLGSQQLATKIHDFIIQNKIETNLTLWNAIMDMYAKCADLDTALRMFQEVPLSHLDVTSWNTLISGYGMHGYGKEALKLFNKMISHGFQPNHVTFTSILSACSHSGLVEEGRNCFSEMEKFCVKKEPKHFACMVDMLGRGGFLDEAYELIKNMSSEPNDEVWGALLLACKIYGNATLGKVAADNLFHLEPQHTGYYVLMSNIYATSRNWQEVGKLRQDMKNKGLRKPAGVSLIEFDNKLHGFHTGEEFNSFTREIYEKVERMVVDIKMVGYIPDLSCVFHDVEEEDKHGMLSYHGEKLALAFGLMNVSDTGLAIRITKNLRVCSDCHLAFKLVSRVYGRKIIVRDVNRFHHFEDGFCSCNDYW >cds-PLY82288.1 pep primary_assembly:Lsat_Salinas_v7:1:68116821:68117783:-1 gene:gene-LSAT_1X58021 transcript:rna-gnl|WGS:NBSK|LSAT_1X58021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGASGTVLTRNSEQPGKDKDLSQYVLQLEDDMEFAERTLKGILKSVNRLMQKAEKRQPKNLMKLLAESRGFEGVEKFDSHHVPSLLSEEYLNCWSLPLVTLTAIAISFPEVSKDTVDALLSGVRKGLVYVTHVEENLNVTNDHVSIQKVAKTLWLEVEVHREWLGHKLMPKPANTAGQILQWLRDTSKNIITEVESKENDNSKYRCISANSMYRITQTLILSYSANMEQVSQEELFLQLSSMVADILAACLTNLPQAIARRCHEKEIEKREAGVHAAAQLLGETAQIINTLQDRELPNLNADELAFIDKWRAYLKHPFP >cds-PLY87744.1 pep primary_assembly:Lsat_Salinas_v7:2:79096558:79097954:-1 gene:gene-LSAT_2X35460 transcript:rna-gnl|WGS:NBSK|LSAT_2X35460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPVDLYILLHTTSFTFSLSPSLLLTGHFHRLRITSNTASLLQPHFFNTSLLLHSPPLSFVLYLSRRVGLPDCYPLISDRLIRIHQDKRQLTMSRPKFQRVFSVDKSYCRYWICRQ >cds-PLY81837.1 pep primary_assembly:Lsat_Salinas_v7:3:33130167:33132211:-1 gene:gene-LSAT_3X23861 transcript:rna-gnl|WGS:NBSK|LSAT_3X23861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSCSGSPELEACRDEKTALILKIVAIASIFLAGTLGSAVPLVGKNWRLLKTDSSFFFAIKAFAAGVILATGFVHMLPDAMSALSNPCLPEIPWSTFPFAGFIAMMAALTTLLADFITTQYYESKHKNKQIKTSKIEPDDLAFASVVVPLVVKDDGADEDGGGIQIASVDGQYGQMHDHSESHSHSHGFGDDTDSVARHVVVSQVLELGIVSHSIIIGLSLGVSQSPCTIRPLLGALSFHQFFEGFALSGCISEARFGMLRSTVMAFFFAITTPLSVGIGIGISSFYNPNSPKALVIEGILDSISAGILVYMALVDLIAAEFLSKRMGSKLGDQMVSFIALFLGAGLMASLATWT >cds-PLY94082.1 pep primary_assembly:Lsat_Salinas_v7:4:171499449:171501014:-1 gene:gene-LSAT_4X101281 transcript:rna-gnl|WGS:NBSK|LSAT_4X101281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGIAIGSLGDSFSGSSIKAYIAEFISTLLFVFAGVGSAIAYGKLTADAALDPAGLVAIAVCHGFALFVAVSIAANISGGHVNPAVTFGLAVGGQITVLTGVFYWIAQLLGSTVACIFLSFVTGGLAVPTHNVGSLGAIQGVVFEIVITFALVYTVYATACDPKKGSVGTIAPMAIGFIVGANILAAGPFSGGSMNPARSFGPAVASFDFSGHWVYWVGPLIGGGLAGAIYPNVFISSDEHIPVSNDY >cds-PLY69169.1 pep primary_assembly:Lsat_Salinas_v7:5:287196723:287200020:-1 gene:gene-LSAT_5X152161 transcript:rna-gnl|WGS:NBSK|LSAT_5X152161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNAIEAQGLSDLSPISLFITKDESQAFWSKLTVIKVATVYPSQTMKPLIILLHEMMGLTYFMLNQSKKMLNIAQKHLQNLFIQL >cds-PLY90271.1 pep primary_assembly:Lsat_Salinas_v7:4:183943925:183946317:-1 gene:gene-LSAT_4X107641 transcript:rna-gnl|WGS:NBSK|LSAT_4X107641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRTRRNGDNHYRYVQPHASEVVTAGGAKEPYRSDSKKLTQNLPSPNPRNGDEMILSPHLKVFAFSELINATKYFSPDYLLGEGAFGYVYEGWLNKETLSPVEPESGMPVAIKKLKRLGFQGHMEWLSDVSYLGGLHHRNLVNLIGFCYEGENRLLVSEFMPGGSLEHHLFRRGGEPFSWALRLKVAVEVAQGLAFLHASQSKIIYCDFKSSNVLLDMDYNVKLSVFGLAKADPSGDWSHVTSQITGTEGYTAPEYFAAGRLTTKCDVYSFGIVLLELITGRRAIDYKRVAEEKRLLEWVRTQLRDTKKVFKIMDSRLEGKYSRKAASVVANLALQCCYPEATYRPHMSDVLSILEKIPSPRAFRNKDMSNSKSKINAHSSNGQSNGHDHQHESAADMVHH >cds-PLY86243.1 pep primary_assembly:Lsat_Salinas_v7:8:56761607:56764373:1 gene:gene-LSAT_8X41440 transcript:rna-gnl|WGS:NBSK|LSAT_8X41440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAFLLSIFLILCNSHFIVHVASLNDEGYTLLTFKQLITQDPEGSLNNWNYSDETPCSWNGIACKELKVISVSIPKKKLLGFISPVLGSLSELRHVNLRSNKFMGSLPVELFRAEKLQSLVLYGNSLSGSLPDEISRLSYLQTLDLSSNFFNGSIPISLIQCKRLRSLDLSQNNFTGSLPNGFGSNLGFLEELNLSFNRFSGSIPKDFGNLSNLQGTVDLSHNFFNGSIPSSLGNLPEKVYIDLTYNNLTGPIPQNGALVNRGPTAFIGNTGLCGPPLKNLCSPNDASSPSSFPYLPSNNPSDSSPEKGRKGLSKSGVIAIIVSDVIGICLIGLILSYCYSRICFCGRKKHGNEKKGKGRNECLCFRKDESETLSEHVEQYDLVALDSQLGFDLDELLKASAFVLGKSGIGIVYKVVLEDGVTLAVRRLGEGGSQRFKEFQTEVEAIGKIKHPNIVTLKAYYWSVDEKLLIYDYIPNGNLGTAIHGKSGVPSFVPLAWSARLKIMRGAAKGLVYLHEFSPKKYVHGDLKPSNILLDSNMEAQISDFGLSRLANIAGGGTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASNTTPTAATSNTPATQYQAPEAFKVVKPSQKWDVYSFGVVLLEMITGKPPVVAVGVEEMDLVHWIQMCIEEKKPVSDVLDPGLGQDVDKEEEIIAVLKIAMGCTQSNPERRPSMRHVAEVLDRLGQD >cds-PLY63375.1 pep primary_assembly:Lsat_Salinas_v7:7:148733423:148735013:-1 gene:gene-LSAT_7X88121 transcript:rna-gnl|WGS:NBSK|LSAT_7X88121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKDMTGKPVFFKKNTLIRRQKLAVLLHSSEPNVFITTHQQPENIDHRSSNASLPSPDLSSNSSPVYPMSPINQMPSPYSKSPWTLPHGSNGDEGSIHNTALIGSLIREEGHIYSLASSGDLLYTGSDSKNIRVWKNLMEFSGFKSSSGLVKAIVVSGDRIFTGHQDGKIRVWKYSDKKKKAYKRVGNLPTTKDYIKKSMNPNNYIEVRRRRNVPWIKHYDAVACMSLDEERGLLYSGSWDKTMKVWRLSDSKCLESVNAHDDAINSVVVGFDGLVFTGSADGSVKVWRRELVGKTTKHVLVYTLLDQDSAVTSVVVNTSQTTVYAGSSDGLVNFWEREKQTLSHGGVLRGHKLAVLCLATAGNLLLSGSADNSICVWLREGGGIHNCLSVLNGHTGPVKCLAVQDSSEDDDQKNQEWIVYSGSLDNSVNLWRVSEEPEITI >cds-PLY65690.1 pep primary_assembly:Lsat_Salinas_v7:5:268946251:268947806:-1 gene:gene-LSAT_5X139841 transcript:rna-gnl|WGS:NBSK|LSAT_5X139841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIFSWMQSKLNVKQVARKPNAVVASYNMGQATLKEEFSDWPQSLLAIGTFGISTLKADPERESSEEVGELIQEELISFLDVEESESVKESEAHEAPCEELVNRDICVQRSISGMSSRGMKGILVDHKNVIRKKSLTFLLKKFFTSRNRFNHINDSLHPTIDKSRMEKILRAVLNKKIHPQSSASKPLPNKYLVSQDTSMDDEISETEDDYEGSSTWVKTDSEYIVLEI >cds-PLY69310.1 pep primary_assembly:Lsat_Salinas_v7:4:105147612:105149381:-1 gene:gene-LSAT_4X67040 transcript:rna-gnl|WGS:NBSK|LSAT_4X67040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECIEAKALKSSFLKEFGSKSTQQTLFEDLWCVAGIDNVVNVSPDEFSVDDLLDLSDKDFNNSDGGCFEEYSEEDEEKDSMISSHFSSTGDLVSLPAGEIHLPVDDMENLEWLSQIVDDSTSEFSLSFPPTNLKKTVKFTMNRHEPVRPVIPSFTVLGLSYPVPRKCRSKRSKKTGQVWSGLTESSMDTSSSYDSSTTMHSLNPVQIIQSIFNFQTPPTKKHKKNTGTAIASDLNGCITQRRCTHCQVQKTPQWRTGPLGPKTLCNACGVRFKSGRLYPEYRPASSPTFSGDLHSNSHRKVLEMRKKKETEPDFSFGFDSFSC >cds-PLY77850.1 pep primary_assembly:Lsat_Salinas_v7:1:21260474:21264400:-1 gene:gene-LSAT_1X17581 transcript:rna-gnl|WGS:NBSK|LSAT_1X17581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIDDDYESFSFPNNEPSPSPKHRRLKRLKKSTVVADQSAPVESVSELIDLPRVDFASIEALESSDIRAFDDSCEPLSSPPAEGFDGDVDGKELSTACADTLVNVDRKETKRLLEFEEGLDDGSVKEKDLSSCYNDTRVNSDRKETKRDLEFEEGLGDDGMENNSNSGDGDTPVRINRKEIKRALEFEDEITESAVDKMVGNEAEMNSVAGESPVRTDRKETKRTLDFDDEITESVVDSMVGKEELIADMKIKENEEKEVNEDAMNEKKGKIKRLKSSSEDPKVKASSTNKKREAKERRAHLEQLHAESQRLLRETRGASFKPVPIVQKPISSILEKIRQRKLEVSKKFSQFNCDDPVKEDDACQKQTTNHSKNVEIEVGDLSESVKENEVLSGPKEDDIDMDGPDVCGEQKTHDNDSPQMPLDDKSTPVLRAPVDDTQDLFGDSQTSDSTESKDEEPDEHTISSQEEEMEPSLLTMKLKFDSVPDDISDEEENDKENVAPYVKESSSPKGAPVKAFLDDEAEEEDDSDNDRMRFGDDEENEDDDDDDIEELREMIVTGYKEKPVDKETRDELHQKWLEEKDAAGTDDLLRRLNVASKLREGSLLDDDDDDEEEGEEDVEVDDDVEEDEDEERPRVPRLNSKKAKEMIAQMFLDKDEAFSSSDDEETEKILAKKRLLNKAEEKCKLVSPDEDDDSREVFCRIKKLNTVPDAHKKAKITAFIDTIGGNSNSSSKSSFLSRVSSHSVPTSSKQLKQGSGVGPCRAYIFGRDDSNSRSSMSISEDASDSTTKEIQTKKVTTKYSISQSQVRSINENSSSNSNSSTSFFEMLKRSSVQTNVGNKESSVVELSQSVFAAFKIPKKPLKIQGRV >cds-PLY66212.1 pep primary_assembly:Lsat_Salinas_v7:1:176183251:176184222:-1 gene:gene-LSAT_1X117060 transcript:rna-gnl|WGS:NBSK|LSAT_1X117060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILTLVLVQSFFKILNAHQFTFTSRNPLVSIVEESSLQHPILGSSSSSSYFSAQSVQSHLPIQEISRNMEDTRGGN >cds-PLY65496.1 pep primary_assembly:Lsat_Salinas_v7:3:306300:306791:-1 gene:gene-LSAT_3X900 transcript:rna-gnl|WGS:NBSK|LSAT_3X900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEDSEGEPEFYNPPPIPAPGPQFQGPTPQWAETIGRWSQEQGQQPPFGPNREFLNLEGGGLANRSLPIIIRRIGRQGAQVRTTYDQLLATNATAQINTERLRRMDQGHDQTPHHTKTLWRELDSALAEIGTLRENKAALERRLNEVERQIAEPKVWNIKHSP >cds-PLY95179.1 pep primary_assembly:Lsat_Salinas_v7:1:170620669:170622030:-1 gene:gene-LSAT_1X113400 transcript:rna-gnl|WGS:NBSK|LSAT_1X113400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLKEYTVSILGYGCMGLTSICNNPLLEEEGNKVLKEAFNRGVTFFDTANVYGVQHANEILVGKGKFLVDLACHGDKLFQVVFKERTIGVISKIDQASFDPKVLAAVQALLLGQGPRVHRVLADIVSASANATPGLGRYPPFEREALFLFLFLLLI >cds-PLY86799.1 pep primary_assembly:Lsat_Salinas_v7:5:15391825:15393975:1 gene:gene-LSAT_5X8941 transcript:rna-gnl|WGS:NBSK|LSAT_5X8941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 4 [Source:Projected from Arabidopsis thaliana (AT5G02850) UniProtKB/TrEMBL;Acc:A0A178UPY5] MLQNVPHQMIQSPARLGLPNPNSPSLQTPAPPKFTSQIPQSHPPNLHPNLQTTPTSLTLLPLLPPLQRAQSILLRMSSLTTKLFDVSPNQTQWLTSFRGSFPTFLSTQTQAPTDSIPTTTKEIISLFTTLQSQLFESVTELQEILDLQDAKQKITREIRSKDSAILAFANKLKESERVLDMLVDDYSDYRRLKRSKVEESEEDSNTTTVATRLNLNDILSYAHRISYTTFAPPEFGAGTAPLRGALPPAPQEEQMRASQLYLFADMDVGLPKSDKEKFTIEPLAENMLEGNMAIKDMLPTNIVVPSGWKPGMPVQLPTDLPILPPAGWKPGDPVALPPLDSVAVAPRMEEQQQPVHVPGFGKGPQPIQVRHVQLDIDDDSDTEYSSDDSSDDED >cds-PLY87318.1 pep primary_assembly:Lsat_Salinas_v7:8:132581458:132582440:1 gene:gene-LSAT_8X90900 transcript:rna-gnl|WGS:NBSK|LSAT_8X90900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALPSDNESNISTVEKGNFVLSSDLTVSSNPAVDLAQRLLSEFIGTYFLIFAGCGSVAVNQLYGGAVSFPGISVSWGLIVMVMIYSVGHVSAHFNPAVTITLALLGLFPFKEVFFYIISQTLGSIFASGTLALILDITPKAFFGTTPSGSIMQSFFVEIIITAILMFVISGATIDRRAMKGLIDWLLK >cds-PLY86314.1 pep primary_assembly:Lsat_Salinas_v7:8:59361550:59363091:1 gene:gene-LSAT_8X42980 transcript:rna-gnl|WGS:NBSK|LSAT_8X42980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLFTFYTICNLFLFVFLFSIANHSRARQHPKTSDSLVLGLTNVRSTIKLPKKSSTLSIKVSSQSPPTLDMMEPLREVRDGYLISLNLGSPPQVIQVYMDTGSDLTWVPCGNLSFNCIDCENYTNTTTMSKFSPLQSSSCVRDSCASEFCINVHSSDNPYDPCSIAGCSLSTLVKGTCSRPCPSFVYTYGEGVVAGTLTRDTLTVHGSADGSVTREVTNFGFGCVGSSYKEPLGIAGFGKGPLSLPSQLGFLQMGFSHCFLAFKFANNPNISSPLVVGDLAISSKEHLQFTPMLKNPMYPNYYYIGLEGITIGIGLGSVIQVPTNLRDFDSSGNGGMLIDSGTTYTHLPEPFYSLLLSELESVINQPRATEVEARTGFDLCYRIPCTNNVTNAMTTMDVDDHLLPSITFHFINNVSLVLPQENNFYAMGAPRNSTVVKCLLFQSMDNEDYGPAGVFGSFQQQNVEVVYDMKMERIGFQTMDCASSSAFQQLIKR >cds-PLY84202.1 pep primary_assembly:Lsat_Salinas_v7:7:76447366:76450200:1 gene:gene-LSAT_7X53801 transcript:rna-gnl|WGS:NBSK|LSAT_7X53801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSKNQIEFQDHLPLTEEKLGGKGLIGELCNGFRLLMDADKGYMIQFITGAAILIAETYMFDYPVANDIRELISLDDDSNESPDEGLLPDAKHSATHLRDVFSRMGLSYKDTVTLSGAHTLIGLKFLTFDQAFAKKHYADLYTFRQVIHQQRVLERKFMGNILYYYWRAHTIVVTEDGIMWK >cds-PLY74159.1 pep primary_assembly:Lsat_Salinas_v7:9:13927977:13935346:-1 gene:gene-LSAT_9X11180 transcript:rna-gnl|WGS:NBSK|LSAT_9X11180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSLVVSLICCSRININREDDHTICTDQPCVNLKICDEKHLYMVWLKTLGEIVHNRDKLAMCVVYQGASVHQRLLVSSEVARRYETGNQAKGDVLHVSFYYINSTLNTYAISSLQVYSNTPIDLYKDVRILSCTQHKNVVWLAGFCIKGNQRLLAFEYVCNGPLDIHLQTGPSKSSLEAQLLEKSLVRGRGIDNILGFDWPASPSTEAMVRSLEVLYSLGVLDDDAKLTSPVGFQVAELPLDPMVSKMIIASYKLECLEEIITIATILSLQSIWISVKGKRELDEAKLRFAASEVLRKAVTTGFFANVCRLESYSHNGTYKTLRGSQEFYINLSSVLFRFTIHMCWEFSPTDELESIASSLQKQNAQVHAALSLSNIAVASKFFAATDLDQILGVCEWEGTNPMPPEFWHLPSLLPIYPGMAEKSRNYRTWTTHEDAKLVEAMLNMVNAGGFKADNGFKSGYLQHLGQALKESLPNAGILVKPHIESRIRTMKKEWQVVYDMITSNNTSGFGYDSVNRCGTVESPEVHKGAPKWKNKSLPDDLCITFGKDRAQGNRVEDCEDMSHNENVEEELLQMEDDFNEQSEEISPITNGQSEETSSASTKKRKHKFDPFIEGISKSTALLGKDLWEASATMSQSLNAEVELQKKTSLVTSEILKIPSMDQRDKFKASRKIMRKPEAVLTFWNFEGEERETFVKLMLEE >cds-PLY81136.1 pep primary_assembly:Lsat_Salinas_v7:9:66970511:66971010:-1 gene:gene-LSAT_9X58080 transcript:rna-gnl|WGS:NBSK|LSAT_9X58080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSTKALVKCLLGVVADLSSKVDRVLQKKDEPDTNVEPERGFREEEEEEMINEEEEEKYYHDTHFDYDDIGTHGLEGEFGPTPTHVEPSSDVGEHHTKEMTPIVRSQQKRGVPWYQRTPFTVLQSTPKLNKITTAKKKK >cds-PLY87583.1 pep primary_assembly:Lsat_Salinas_v7:8:111497876:111498625:-1 gene:gene-LSAT_8X77660 transcript:rna-gnl|WGS:NBSK|LSAT_8X77660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEEKKTPAAEKAPAEKKPKAGKKLPKEAGAAAGDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >cds-PLY78694.1 pep primary_assembly:Lsat_Salinas_v7:9:51913781:51915525:-1 gene:gene-LSAT_9X46981 transcript:rna-gnl|WGS:NBSK|LSAT_9X46981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREILNIQGGQCGNQIGAKFWEVICDEHGIDPSGQYNGTTADLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSIRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDALQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYISLSVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLKMSSTFVGNSTSIQEMFKRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEEQDYEEEGGGEEEE >cds-PLY76406.1 pep primary_assembly:Lsat_Salinas_v7:8:85081965:85082177:1 gene:gene-LSAT_8X59661 transcript:rna-gnl|WGS:NBSK|LSAT_8X59661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVTFIWWLPTFTAIGVKVTFVWWLPTFTAIGERRWRRKQAVEVPIRGWKDQEVKMLTIQGNPMKMKVTR >cds-PLY86457.1 pep primary_assembly:Lsat_Salinas_v7:8:4122933:4126888:-1 gene:gene-LSAT_8X2981 transcript:rna-gnl|WGS:NBSK|LSAT_8X2981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIVRRDFVPNGPGSVKMIPDESDDLWLAYNLIAPGDTVMAVTIRKVLREAASGSREAERVKLKLEIKVENVDYDKEGAVLRIRGKNILENEHVKIGQFHTLEIELHRPFVLRKVLWDSLTIDALQQAADPSASADLAVVLMQEGLAHILLVGKSVTITRSRIEASIPRKHGPSVAGYDKALNKFYENVLQAFVKHIDFKVVRCAVIASPGFSKDQFHRHLMLEAERRNLRDIIENKSRIILVHSTSGYKHSLREVLDAPNVMNIIKDTKAAQEVRVLKDFFTMLTNDPHRACYGPKHVEVAHERMAVQTLLITDDLFRSSDVATRQKYVNFVNTVKDSGGNAHIFSSMHVSGEQLAQLTGIAAILRFPLPDLDDIEM >cds-PLY67646.1 pep primary_assembly:Lsat_Salinas_v7:2:207782517:207784153:1 gene:gene-LSAT_2X128480 transcript:rna-gnl|WGS:NBSK|LSAT_2X128480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKAIRVYELGGPEVLKWEDMEIGDPKEGEIRVKNMAIGVNFIDIYYRTGVYKVPEIPYTPGVEAAGLVTGVGSGVNNLKIGDVVYHNSMGTYTEEQIVLAEKAFLLPLIDPLVAASAMVKGLTARFLVRTWFKVEQGHTVLVHAAAGGVGSLLCQWANMLGAIVIGTVSTKEKALQAKEDGCHHVILYKEEDFVTRVNEITSGQGVEVVFDSVGKDTFQGSLACLKARGYMMSYGQSSGTPDPVPLSALAAKSLFLTRPSLRTSNISKEEMREAIGDVVSKVGSGALRVRVNHTYPLSQAAQAHADMAARKTSGSIVLIPDGSGH >cds-PLY70928.1 pep primary_assembly:Lsat_Salinas_v7:9:15545251:15547657:1 gene:gene-LSAT_9X14941 transcript:rna-gnl|WGS:NBSK|LSAT_9X14941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISGGFRSSRTTLSERNNGFAGPSTRPPVAAAGFTFKLFATGLSVAVFLLLAVSFLFTSSSGVVSSGLDIGFSSNSNGAGSLRRSVLALKSDPLKPRFDQIRKQADDHKSLALAYAAYARKLKLENSKLVRVFADLSRSYTDLISKPAYRALFDSDAESTDEVALRQFEKEVKERIKVTRQVIAEAKESFDNQLKIQKLKDTIFAVNEQLTKAKKQGAFSSLIAAKSIPKSLHCVAMRLMEERIAHPEKYSDEGKPRPAEFDDPKLYHYAIFSDNVVAASVVVNSAVKNTKEPWKHVFHVVTDKMNLGAMQVMFKMKEYNGAHIEIKAVEDYTFLNSSYVPVLKQLESAKLQRFYFENKLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPTLHRILFLDDDIIVQKDLTGLWKIDMDGKVNGAVETCFGSFHRYSQYMNFSHPLIKEKFNPKACAWAYGMNFFDLDAWRREKCTEEYHYWQNLNENRTLWKLGTLPPGLITFYSTTKPLEKSWHVLGLGYNPSISMEEIENAAVVHFNGNMKPWLDIAMNQFRPLWTKYVDYDMEFVQACNFGH >cds-PLY99815.1 pep primary_assembly:Lsat_Salinas_v7:MU037944.1:168193:169092:-1 gene:gene-LSAT_0X35680 transcript:rna-gnl|WGS:NBSK|LSAT_0X35680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIPVLENFMFDEPSVWVTATTVATLLSTVYLGFAELIGNHLQYSKFSNSNNSDKKQGIKLSSRTGFLILYTPAFLAGVVSFFVFPGGGIRFLLLKFAVTFHFFKRDFEVLFVHKYSGGMILGSTILISIFYFAAAVSMIVVHYLSLGLPDPSIDLKYIGLIMFVVGIFGNFYHHNLLSKLRKDKDKGYKIPNGGLFNLVICPHYLFEIMIFVGLSFISQTPLAFACTFGDSMYLIARSYETRKWYVNKFEDFPKSIKCVIPYVF >cds-PLY62892.1 pep primary_assembly:Lsat_Salinas_v7:MU046047.1:582:1060:1 gene:gene-LSAT_0X46661 transcript:rna-gnl|WGS:NBSK|LSAT_0X46661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIWELKLVAYALQTVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANSEEYIDGQFTGNLGEILIRCNNVLYLRGVPEDEEIEEADRD >cds-PLY97010.1 pep primary_assembly:Lsat_Salinas_v7:2:35372964:35381646:-1 gene:gene-LSAT_2X16580 transcript:rna-gnl|WGS:NBSK|LSAT_2X16580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 3 [Source:Projected from Arabidopsis thaliana (AT3G04260) UniProtKB/TrEMBL;Acc:F4J3M2] MANLLSHSLIPLNFVTNNGTLAGHSPFSGGLYRNAFVIRASAVSQTRRKKQSQQKEDESSLLSATTMEKGLRLLFMEDLMERARSRDVAGVSEVIYDMIAAGINPGPRSFHGLVISHTLNGDHEGAMNALRRELSEGLCPLPETFTALVRLFGSKGYATRGLEILAAMEKLDFDIRNAWLVLIEELIRNNYLADANKVFLKGAEGKMRATDEIYDLLIEEDCKVGDHSNALTIAYKMEEAGRMATTYHFNCLLSCQAKCGIPEIAYATFENMIYGQDFMKPDTETYNWVIEAYTRAEGSDRVQDVAAVLGMMVEDYKTVQPNVRTYALLVECFTKYCSIREAIRHFRALIKIEGGIKVLYNEGNYGDPLSLYLRALCREGRIVELLEALEAMSKENQPIPARAMILSQKYRTMVSSWIEPLNTEADVGYEIDYIARYIEEGGLTGARKRWVPREGKTSLDPDVAGFVYKNPLETSFRQHCLENWRRYHRKVLRALRRRGPSLLGEVTESEYVRVLEWLFHILKRPNKNALKPKAASKMLVNELAEELEAQGLPTDGNRSVLYQRVQKARRINRSRNKPLWVPPVEDQEEEIDEEIQELISRVRLEEGNTEFWRRRFLGECLEAELGKPTAIEVEATDVSDNNINNNNSEEDATNNKQVDDDEGDKEEQTENQSGDTEAVKDKEDAANPLQMIGVQLFKGFDDSTPTKSKKSKKRLARIAAMENDDDEEWLPLDILEAFKELRERKIFDVSDMYTIADAWGWTWEMELRNTPPRHWSQQWEVELAVKLMSKVMELGGTPTIGDCAIILRAAIRAPYPPAFLEILRTTHTLGYVFGSPLYDEVIGLCLDLGELDAAIAIVADMETSGITVPDETLDMVIQARQTTRTNVNGASL >cds-PLY84477.1 pep primary_assembly:Lsat_Salinas_v7:7:128477758:128480880:1 gene:gene-LSAT_7X79281 transcript:rna-gnl|WGS:NBSK|LSAT_7X79281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASHSWKDAYRGMSADNIKGLVLALSSSLFIGASFIIKKKGLKKAGASGIRAGSGGYSYLYEPLWWIGLITMIVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILREKLHIFGILGCVLCVVGSITIVLHAPQERPIESVTEVWDLATEPAFLLYAVMVLIAVFILVFHYIPSYGQTHIMCYIGVCSLVGSLSVMSVKAIGIALKLTLSGMNQLVYPQTWAFTFIVLLCVITQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQNPTQIITELCGFVTILSGTFLLHKTKDMVDGQIPLSIRSLKHMDDEEDGIDQESIPLRRQDTSCMRSP >cds-PLY91279.1 pep primary_assembly:Lsat_Salinas_v7:3:77563887:77566244:-1 gene:gene-LSAT_3X58041 transcript:rna-gnl|WGS:NBSK|LSAT_3X58041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSKREEQEQVEDEQEEEVDHHQDLQNIQGFQEHPSIIQQIQDQNMGINDYSYTASSEVSPILHSQQQPWILPQVFQHHNSSYTPDLTYPSGDHGTYLFPPPPPPPPSSYGGLIHRRVPSGLQFAYEGSTSASSDHHLRLISETLGQMVQPGSMPFGLQAEMGKMTSQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELKRQTSIIAEQSPVPTETDELTIDNTSDEDGNIVIRASLCCDDRSDLLPDLIKTLKALRLRTLKAEITTLGGRVKNVLFITGDQDSTNNNDTVNYSIGMIQEAFKAVMEKTNGDHESSSGSVKRQRTNNINVLDPRRT >cds-PLY86380.1 pep primary_assembly:Lsat_Salinas_v7:8:282490322:282494242:-1 gene:gene-LSAT_8X158740 transcript:rna-gnl|WGS:NBSK|LSAT_8X158740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRVPLKAKILKENIIHILPFVCFSMAKKKELRVIRVIPILHANFGSQVVYFAVMDSKMECHPIVDFFTFVLIPARYSAPINHLASASTLNTTGKGQFLRRCNYPPLSNFHSPIMGSRHGQCMVNTHSTNLPLGCNNFVVSHGHEDMQALQKVYLEALLAQHNQQYSSPLFGRSGSLNHLYGNPTYNHGIPYQGNLLENSTRSSVGSRQLSQQFAPAFRNSVGGVSGSWNPEGDMSLDRRYVSSLLDELKNNKNKSFELSDVVDHVIEFSTDQYGSRFIQQKLESATVEEKNIIFPEIVPHARSLMIDVFGNYVIQKFFEHGTKSQRRDLAGQLIGHVLPLSLQMYGCRVIQKALEVVEVDQQTEMVAELDGSIMKCVGDQNGNHVIQKCIECVPQDRVQFIVSSFFGEVVSLSSHPYGCRVIQHVLQHGKPHERSAIINKIAGETVKMSLQKFPSNVVEKCLTYGSPDERQLLVNEMLGSTDENEPLQAMMKDPFGNYVVQKVLETCDDQTRELILYILDIFPLFKSFIKFSLLDTELDERMRDLNLLSNSHFWRWP >cds-PLY93364.1 pep primary_assembly:Lsat_Salinas_v7:9:58534597:58535549:-1 gene:gene-LSAT_9X51761 transcript:rna-gnl|WGS:NBSK|LSAT_9X51761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSYLHCDPQMPVFYSNHNPVHLSPTIDTLQHQPGIRLIPPKLGNMSTAKLLELAPFLMLKLNWIPI >cds-PLY82928.1 pep primary_assembly:Lsat_Salinas_v7:1:17936481:17939750:1 gene:gene-LSAT_1X15560 transcript:rna-gnl|WGS:NBSK|LSAT_1X15560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQENSSTVESPRRRSGLLRDQVQLVKKKDSSRYEIAPIQDPLSFEKGFFVVIRACQLLVQKNEGILLVGVAGPSGAGKTVFTDKVLNFMPSIAVITMDNYNDATRIVDGNFDDPRLTDYDTLLDNIRGLKEGNAVDVPIYDFKSSSRIGYRKVEVPSSRIVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRVGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFSGFQNPSYILKSKKTVTPEQMKAVLSPDHQERKEETYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVSDSPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSHVFFDEKVCVKTDWLEQLNRTYVQVQGRDRLYVKYIAEQLDLDGTYVPRTYIEQIQLEKAVNDVMALPDDLKTKLSIDDDLVSSPKEALSRASADRRSKYLNRGGAHSLSTRERNFSKLTKLSINSRRFDGRTPDSPAPVANQGVITHLSEQISTLNERMDDFTSRIEELTSKFSEKRVPSHQNIAGQTEPCNGSATSLFMAGLGNGQMLPNSASSNQLARDPPLMEEVLMIARGQRQVMHQLDNLSNLLREYMTEKGQADRAEKGSSRLTGFESVGLPFVVTLTIGGLGFLLCRSLYSQK >cds-PLY86016.1 pep primary_assembly:Lsat_Salinas_v7:1:48813202:48813754:-1 gene:gene-LSAT_1X45361 transcript:rna-gnl|WGS:NBSK|LSAT_1X45361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVTVFENRAAVDMEIRVFVPPARPDRYRMIIRVKPGEVRKVLTKRLCNCNEYFPFPSEKTDNYIFLMVFMDGTYTGVTLLPWEVKKYAKIIGYYMDDCHVILKGVRCTFTTFFRLK >cds-PLY99640.1 pep primary_assembly:Lsat_Salinas_v7:6:82780560:82782032:1 gene:gene-LSAT_6X58760 transcript:rna-gnl|WGS:NBSK|LSAT_6X58760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVWKWPLMVVHLTPGISRDSMHKRRATGGKQKTWRKKRKYELGRQPANTKISSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKTAATTKKDTEEGEGATEEAKKSNHVQRKIEKRQEERKLDPHVEEQFSSGRLYACISSRPGQCGRADGYILEGKELEFYVKKLQRKKGKSAGAAF >cds-PLY97310.1 pep primary_assembly:Lsat_Salinas_v7:1:177464613:177469512:1 gene:gene-LSAT_1X117861 transcript:rna-gnl|WGS:NBSK|LSAT_1X117861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGVNLQSFLIPLKEIKLATADFSHETQIGNGRLSVIYKGQLSKRWENRIVAIKRIKKGSKQGEQEFHNELQMISMFHHQSIIPFVGYCDESSEIIIVYEHVVSGSLDHCLKDEINRRCITWAQRLKICIGAARGLGYLHSGIGEGIPVIHRAVKSSNILLDDNLEAKICGFGLSQFSNIKQRNTRNNAKASGTRFYMDPINHGSGVVKIESDVYSFGVVLFEMLSGMLADSTRSIDDHKPQTLLNLVRRYYDDGVEKITDPCIINQINSQSFQMFKEVAYKCISFIVEDRPTMDVIIKKLEEALDVQALEQSRLCLFSQNHGATSTTIVQSYPYQNLERFLIPLTAINLATNELSKETRIGDDGNGSVYKGILSERWKNLTAAFKRFNPNRYQAAHKFHNEIGMMSNFDHENIIPFIGYCNEGNEMIIVSEYAENSTLAHHLYLYQRSRFITWEQRLKICRGAARGLKYLHSGLGEYNRVIHRDFNSAHILLDSNMEAKICGFEWSISVDRNQRQVTELAAVNTNSVYLDPIYSESGIVKTELDVYSFGIVLFEVLCGMLAYSKRRIGDDQPQTLLNLVRRYYNEGQDNLIDPQIRGEINTHSFHVIKEIAYRCISLNLKDRPTMNTIIKSIEEALDIQVYLFSQNHGGVSTITQPYQQSQNLERYLIPLKEITLATACFSSETRIGDGGFGVVYRGQLSEHWKNFIVAIKRLDPQGHQGKNEFLTELNLISKFHHQNIIPFIGYCDEANEMIIVYEYANNRSLDYHLQDPNKRHCLTWVQRLKICLGAARGLNYLHSGLGEDNRVIHRDIKSGNILLDENMEAKICDFGLSKESTRNQQRSHLYTNAAGTNFYMDPIYHESGILRKESDVYSFGVVMFELLSGMLAFYRKSFGDGKPQYLISLVRRYYKNGLEKLIDPFIRDEIDSRCFYTFKKLAFQCISHKSEERPTMETIIERIEDALDFQVSLYV >cds-PLY86145.1 pep primary_assembly:Lsat_Salinas_v7:6:156494964:156495203:-1 gene:gene-LSAT_6X94441 transcript:rna-gnl|WGS:NBSK|LSAT_6X94441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGGTDVATDGRIEEEGGAFKGVSTQPRCRSSLTLASSALTAAAAATGWKEREGSWSYTDNCRVAAADGDRRGEMVDEE >cds-PLY97760.1 pep primary_assembly:Lsat_Salinas_v7:4:375611726:375620043:-1 gene:gene-LSAT_4X185120 transcript:rna-gnl|WGS:NBSK|LSAT_4X185120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKDSEQMAETTNQQERDMESNSLHQPLLKRNLTALSSSPLALVGAKVSYIESLDYEINENDLFKHDWRSRSQAQVLQYIFLKWLLAFLVGLLTGLIATLINLAVENIAGYKLLAVVQYIDNKRYMMGFLYMTGVNFILTLIATVLCVFFAPTAAGPGIPEIKAYLNGVDTPNMYGATTMFVKIVGSIGAVAAGLDLGKEGPLVHIGACIASLLGQGGPDDYRIKWRWIRYFNNDRDRRDIITCGASSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRAFMEYCKDGACGLFGQGGLIMFDVGGVPVRYHVADLIPVTVIGIIGGVLGSLYNYLLHKVLRLYNLINAKGKLAKISLSLAVSLFTSACLYGLPFLASCTPCDPSVVDSECPSTGRMGNFKQFNCPKGHYNDLATLLLTTNDDAVRNIFSTNTPSEYRVFSLVIFFILYCILGLFTFGIAVPSGLFLPIILMGSAYGRLLGMAMGSYASIDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMLVLLISKSVGDCFNPSIYEIILELKGLPFLEAHPEPWMRNITVGELADVKPPVVTLSGIETVGRIVDVLRNTTHNGFAVVDSVGQVSEVHGLVLRAHLLLVLKKKWFLQERRRTEEWEVREKFTWVDVAERWGTIEEVAVTKEEMEMYVDLHPLTNTTPYTVVETMSVAKALVQFRQVGLRHMLVLPKYHGHGVPPVVGILTRQDLRAHNILSAFPHLEKSHASKKGR >cds-PLY63399.1 pep primary_assembly:Lsat_Salinas_v7:7:152727693:152728321:1 gene:gene-LSAT_7X91101 transcript:rna-gnl|WGS:NBSK|LSAT_7X91101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLALPHKELYLNLQLLFNLCCNMCLGPIDSAMDFSEEEWEKTFRTNLKGSWLVSKYVSSQMLAFNQGGSIINMSSISGLNRVQFPGSIAYSCSKSALNTMTQVMSMELGNHKIRVNSICPGLFKSEITKVLFQQKMLKNVASKIIPLREFGTIDPALTSLVRYLIHDSSNYITGNIFIVDAGTTLSGVPIYSSL >cds-PLY98200.1 pep primary_assembly:Lsat_Salinas_v7:2:83872536:83877255:-1 gene:gene-LSAT_2X36520 transcript:rna-gnl|WGS:NBSK|LSAT_2X36520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SMG7 [Source:Projected from Arabidopsis thaliana (AT5G19400) UniProtKB/Swiss-Prot;Acc:A9QM73] MDKAVASSSHDLAQRLFDKNIELENKRRKSAQARVPSDPNAWQQMRENFETIILEDHSFSEKHSIEFALWQLHYRRIEEFRAHYNAASATDSTTSRAGGAARPDRASKIRLQFKTFLSEATGFYHDLILKIRAKYGLPIGQFYPEPENHNVKEKDGKKDNEVKKGLISCHRCLIYLGDLARYKGLYGEGESKSRDYAAASSYYLQAASLWPSSGNPHHQLAILATYSGDELMAVYRYFRSLAAENPFSTARDNLIVAFEKNRQSYSQLHVDSKASSVRTRGRGRGKGEPGVRSKEPITDTTPDVEKATEIRQVFKAFRVRFVRLNGILFTRTSLETFEEILSLVTNTLQTLLSSGAEDEPNFGTDNSENALFIVILVTILIFTVNNVKGGPEGQTYADIVQNTVLLKNALITFHNLIGQLLKRCLQLTNPSSSFLLPGILISMEYLASRPDVITETDDFWTHCISFFNKLLSAEINDMTRYEERRENDYQPALWEDFETRGFLPLQSAQTFLDFSRKHSVSGDKSARVKRLLAAGKVIADRITVDRKKVRFDPNMKKFVIGIETQKHENKSNGVINEEAPAPAPVESDEEDEVIVFRPNLIDNRTEMPLVKGTTQEEVENVQSKDSGTTTTSQFAVPVSVYNAVGQPYHQSPMWPGSLTGGFKGLSLMENGHAGQPGMQSSNAALPIQQVMGIRENSVNYDIIPPSGPDPYIVGRNTFPNMPTSSLKVSTGRPVRHLGPPPGFSSVRPNSNKQVGQNQNQNPVNDDYSWLDGYQLQSSMKAGVQPVNFGTHYMNMNMNMNESVATTSSFPFPGKQVQVQFEGARQQNWPETATDHRETLQQQYVPLPRLDQQGGQSTWKGNQFV >cds-PLY85663.1 pep primary_assembly:Lsat_Salinas_v7:6:85982942:85985996:-1 gene:gene-LSAT_6X59920 transcript:rna-gnl|WGS:NBSK|LSAT_6X59920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLRSLVEIIEHGLNDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAIYASYYTKEVMVILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRSDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVPDIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDPRLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPVLEDEGENVYSRPELTMFI >cds-PLY62826.1 pep primary_assembly:Lsat_Salinas_v7:4:31303128:31307685:1 gene:gene-LSAT_4X20860 transcript:rna-gnl|WGS:NBSK|LSAT_4X20860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLSRSLTPASVASLPYSPLFSSRNNTHNRLSLRSAFFHQNELKNSSFSCSGLKWKVDKRGSSVVVKCEASAVAEKEAPEASGEKHEYQAEVSRLMNLIVHSLYSHKEIFLRELVSNASDALDKLRFLSVTDPSLLGDAGELEIRIKPDPEKGTITISDTGIGMTKEELIDCLGTIAQSGTSKFLNALKENKDLGNDNSLIGQFGVGFYSAFLVAEKVIVSTKSPRSDKQYIWEAAAESSSYVIREETDPEKQIRRGTEITLFLKDDDKYEFTEPSRVQGLVKNYSQFVSFPIFTWQEKSRTVEVEEEEPKEGEETPSEGEKKKKTKTEKYWDWELANETKPIWMRNPKEIEKDQYSEFYKKTFNEFLDPLAHTHFTTEGEVEFRSVIYIPGMAPMNNEDVVNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQELADSENKEDYRKFWENFGKFVKLGCIEDTGNHKRITPLLRFFSSKSEEELISLDQYIDNMDEKQNAIYYIATDSLQSARSAPFLEKLVQKDIEVLYLVEPIDEVAIQNLQTYKEKKFVDVSKEDLELGDTDEVKERESKQEYILLCDWMKQQLGDKVAKVQISSRLSSSPCVLVSGKFGWSANMERLMRAQTLGDTSTLEFMRGRRIMEVNPDHPIIKDLNAACKNAPESTEAKRAVELLYETALISSGFTPDSPAELGGKIYEMMAVALGGRWGRVEESEEAGETSESAPESESESTETEVVEPSEVRTEADPWST >cds-PLY68350.1 pep primary_assembly:Lsat_Salinas_v7:4:191181223:191183561:-1 gene:gene-LSAT_4X109881 transcript:rna-gnl|WGS:NBSK|LSAT_4X109881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSARNPPRYVCVIGAGPSGLVAARELRKEGHTVVVVEQNHDVGGQWLYEPKVEGEDPLGKGKALNVHSSMYESLRLTSPREIMGFTDFPFLIKKGRDTRRFPGHKELLLYLKDFCEWFGLREMIRFNTRVEYVGMLDYGEFGKDLRWVVETRAKDSDKVVSEVFDAVVVATGHYSQPRLPSIKGMSAWRRKQMHSHLYRVPEPFRDQVVVVVGNSLSGQDISMELVNVAKEIHLSSKSLHVSEGLSKVISKHDNLHLHPIIECLHEDGRVEFVDGSWVIADTIIYCTGYSYSFPFLDTKGAVAVDDDRVGPLYEHTFPPNLAPSLSFIGIPRKIIGFPFFESQAKWIAQLLSGKRTLPSKDEMMKSIQEFYKAREAASIPKHNTHDIAEFEYCDKYADFVGFPHLEEWRKELCISALVNSDVNLETYRDCYDDEDLLQVAKKSPHFTQLEPEAFCSL >cds-PLY68315.1 pep primary_assembly:Lsat_Salinas_v7:7:96873930:96877935:1 gene:gene-LSAT_7X64700 transcript:rna-gnl|WGS:NBSK|LSAT_7X64700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNDELGLSVSIKNEEDEEDLEESVRLQGKNGVLMENKHKQVGLSHIGNSQNLDGKRLSLSHNIHGQHESRKKRTVMQSKSNAPKSNKESKIKRPNFTEKQLLREKIKTMLLGAGWTIDYRPRRTRDYLDSVYISPSGTAYWSITKAYDALKKEEKEKEKENTVAKGDFTPLPNEILNKLTRQTQKKIERELEKSRRHEDNIRKPKRVKKSTQFRSIDQNKEKLDCYLKEASEDSSGYSSDDSPHKETPQKDGVHAHTNPHNLHESKSRMIGRRTLVVRGSEKGLDSEKNDFIPYSGKRNLISWLIDSGMVSVGEKVEYKNLRRTRVMQEGWITEDGIHCGCCSKLVTVLRFELHAGSKLGQPLKNIFIQSGRSLMECQIDGWNKQKESERKGFHVVDVEGDDPDDDTCGLCGDGGDLICCDGCPSTFHLSCLDMQMLPEGDWHCPNCACKYCNKDSGRTGSSSSLLTCRLCEKKYHKSCSGEMDMFCFCGRKCQELYTRLQKLLWMKHELDSGFSWSLIHRSDLLPDMSSLYFYQRAECISKIAIALLVMDECFLPIVDRRSGINLIHNVVYNCGSNISRLNYSGFFTAILERGDEMICAASIRIHGTQLAEMPFIGTRDIYRRQGMCRRLLHAIESALTSLKVEKLVIPAIEEHMDTWTNAFGFKPLEESCKQEMKSFNMMVFPGTDMLQKQLIKKRTLETNMTSKKDSIPSKNILRTKRPVSFFNGGVGKKGVEVEDYNKGKKSELNNTSIRNGDSEPDSNVVKRGNKTGSRVERTDLRNSNETAPGITDSDSNVIICEPQLQVTEKDSVSNSVVLRNGNVTDTPLESDLQFLGKESGSVSMAKENDVKDGRDATNGNV >cds-PLY64719.1 pep primary_assembly:Lsat_Salinas_v7:7:135725645:135728770:1 gene:gene-LSAT_7X81900 transcript:rna-gnl|WGS:NBSK|LSAT_7X81900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDYHVAKFIPHQGHEIGLFAIYDGHLGDSVPAYLQKHLFSNILKEGEFWTDPSRAILKAYERTDELILSHSPDLGRGGSTAVTALLIDGRKLWVANVGDSRAVLSKKGQAIQMSVDHEPNTERGSIENRGGFVSNMPARVNGQLAVSRAFGDKNLKNHLRSDPDVTNADIDSTTEILILASDGLWKVMTNQEAVDIAIKIKDPQKASKELVAEALKRESKDDISCIVVRFS >cds-PLY92691.1 pep primary_assembly:Lsat_Salinas_v7:3:117628514:117629323:-1 gene:gene-LSAT_3X82320 transcript:rna-gnl|WGS:NBSK|LSAT_3X82320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQEHQTPFYRYLYGDNKQDKLQSCSIWYELRKEETTMMMSKISKRNILSGKQKKKDNEGRKKNRLLITVNVLGSPGPLRLLVNQDDTVSTVIDSSLKLYARGGRLPVLGSDFKNFLLYTSNATSDALSSNEIIGLCGERNFVLSKKMTTNLPIAKSRTDTIAHAQAESRSWKSWLHSLNKSCKIISH >cds-PLY73257.1 pep primary_assembly:Lsat_Salinas_v7:8:211285647:211287347:-1 gene:gene-LSAT_8X131921 transcript:rna-gnl|WGS:NBSK|LSAT_8X131921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVYFVTFILLATTVIFLFTFTTRKASKRNHLPEPWRLPIIGHMHHLIGTLPHRGITNLAKKHGTFLHLQFGEVSTIVVSSPKLAKEVLSTYDLTFADRPHNITAEVVVYHSTDIIFSPYDDYWRQLRKLCTKELLSPKKVKSFQSLREEESWNLVQDIRSSMSGRPINLSHIVFSRVALIVSSAAFGKGVNDPTEFTDLIKKISMEMGGFDVADIFPSRKIIRNLSGKKARLAKLHNAVENVVNKIFAETQSNQSNTSEESLLDVLLRLKDGIEFPLTLDNIKAIILDVFGAGADSSAATVEWALSELIRSPRVMEKLQTELRQVLNGKERILEEDIQDLSFLNQVIKETLRLHPPGPLCMPRESREPCVLAGYHIPKRTKLIINAFAINRDPEYWNDPESFIPERFENNPANIVGEDYEYLPFGAGRRMCPGIGLGLANVRLPLANIVYHFNWKRPNGEKNEALDMSECFGAVVQRKSDLVLVPSF >cds-PLY65529.1 pep primary_assembly:Lsat_Salinas_v7:9:144189661:144191478:-1 gene:gene-LSAT_9X93180 transcript:rna-gnl|WGS:NBSK|LSAT_9X93180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSTINTLLLLLHLFSGVAFSASTGMIGVNYGRIADNLPDPTKVVQLLKSNAIDHIKLYDTDSTVLKALSGSNIAVTVALPNQLLSSAAANQAFTDNWVQSNILPYHPATIIEAIAVGNEVFVDPNNTTDFLVPAMKNVYASLLKNKISINVSSPIALSALATSYPSSSGSFKPDLIEPVIKPMLSFLKKTGSYLMVNAYPFFAYGANTDTISLDYALLRDNNGVKDPKTGITYKTLLEAQLDAVYAAMDALQFNDVKMVVSETGWPSKGDSNEPGAGEDNAAQYNGNLVRRVLTGGGTPLRPNDPLVVYLFALFNEDQKSGPTSERNYGLFYPNEEKVYNVPLSRQALADLPSAAASNGSKSQVPVAEAPAPSSGDVSAANTVGQTWCVANGNVGDEKLQAALDYACGEGGADCRPIQSGATCYNPSTLEAHASYAFNSYYQKQTRVSGSCDFGGAAYVVSQPPRFGSCKFPTGY >cds-PLY92198.1 pep primary_assembly:Lsat_Salinas_v7:6:74306117:74307168:1 gene:gene-LSAT_6X54260 transcript:rna-gnl|WGS:NBSK|LSAT_6X54260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFVLRVPPSVAERIDRLLNETASSSHDQSLNLSFSEDGRNGTFVIGDDQFPASLLDLPSILESYKTYDDNVLIKTADIGQMIMIREDGDPAPDGMEYRHGVTPPMRDARRRRFRREPDLNPELVQRVEKDLLNIMNGGTAENLDMDMTEQDEVGEGSAHNTMKKAVVTPATKPDVSEAGTNVGEHERSDSDESDYSI >cds-PLY75911.1 pep primary_assembly:Lsat_Salinas_v7:9:197984413:197985655:-1 gene:gene-LSAT_9X122000 transcript:rna-gnl|WGS:NBSK|LSAT_9X122000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCALETELNLIKCGRETKAYVLVVEENESKVTSRNCSPTHLMFMRVNSELLITQEDEISSFVKGDQQQHEKEERIRFVEVISVANCPETFEDHNEKVDVISTNDVLDKQEFKEVEEKDTNGEITRYYTLAQAASKSEMSFVLEDEVSYSPLK >cds-PLY89790.1 pep primary_assembly:Lsat_Salinas_v7:1:7384836:7388929:-1 gene:gene-LSAT_1X6200 transcript:rna-gnl|WGS:NBSK|LSAT_1X6200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGIVPEELKEENYEFWKVCVKSYLVGQGLWDVVSTEATSAEEATPEWQKKNAQALHAIQLACGSRAYSKYKKNTHVSAKFAWDHLAEMRPSASHDTEVTPDHGLPGVNEHFRHEKLYNAVEDGDIELVKEIFKNDPDAGRAIVTPHRDTALHVAILSGNIKIALELVKQMTPEDLEIANEFGATPLSLTAITESTRLAKAMVQKNRTLVTIKKGNTDESSLPVIVASMYGRKKMVHYLYSRTPKELFDPTRGMEGVLLLNNLITADLFDIASMLLNRYPQLGVTHDHHGNYALHKLSHKPSAFASGSEFPFWKHWIYRCVRIHSPWDVQTQKSDSSNGSEHEIDIDQSSTEEELISHPILLHQLGWFLLRSFVPDIKHLHEKKLVNDEASKLLSCIFKEMRDMNRSQLEKMEIDKALHGAIKHGIVEFVVELLKYNPEFIWRKDKRGRSIFSHAIILRQEKIFSLFYSLGTRKSIVASRHDIFHNNFLHLAAKLSPPAQLERVSGAALQMQRELQWYKVCYV >cds-PLY92675.1 pep primary_assembly:Lsat_Salinas_v7:4:153431216:153432889:-1 gene:gene-LSAT_4X94180 transcript:rna-gnl|WGS:NBSK|LSAT_4X94180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLASSQVISLALIRLSLLNVLIDSSDYLAGNLDYSCRNFLTCFLFDFAFTVIGMGDDMSAVSSEVDCCMLERYSYEYHLFSVLGFQVPSSSSYVLDAPPDGSKCAYQLTAYLASDIPPESIVIDDIIPNQNVDQDRFLAVEVNVRVVEGMKLASEEMSVKVGEMYADLSIHC >cds-PLY73919.1 pep primary_assembly:Lsat_Salinas_v7:3:39031203:39032585:-1 gene:gene-LSAT_3X30040 transcript:rna-gnl|WGS:NBSK|LSAT_3X30040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLPTWLWMIFSDDKTPTPPPSQLLLFSDDTTTITSNTTATATITSCSLHNNHTSPRTLALTISHLRLTSLQPLPVPHVNFLAVHNNHLYAATGNFIHVIDTTSLALIDTFSVAGSSSGSVKSITFSNGSIFTAHQDNKIRVWKLTQNKRHKHVATLPTFEDRLRRSVLPKNYVNVRRHRKKLWVEHHDAVSGLALITDQLMCSVSWDKYLKIWRTSDLRCVESIKAHDDAINAVVVAADGTIFTGSADRRIKIWGKAVRKYGLIATLEKHKSAVNALALNDDGSVLFSGASDRLILVWDKEHGSNRMVLTSTLRGHSNAILCLINVSDLLFSGSADRTVRIWQRGYDGKFYSLKVLDGYEKPVRSLVSDSAKTTNVRVFSESFDGCMRMLTIDEGLILSNYISNKK >cds-PLY74035.1 pep primary_assembly:Lsat_Salinas_v7:7:10640866:10641441:1 gene:gene-LSAT_7X8681 transcript:rna-gnl|WGS:NBSK|LSAT_7X8681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGDTGGTSGTQTSLIRPMMLLQQTTTPILTVIAHEMPHKGGSTVTTAPTTEPQQPVVREQTSSTVVSTQSTPMQTPQLTVISSSAIPPTVEDISATPNFLQPTLLNQIAGRQQETPPFNQIAGKQLVVFQTPPLNQLVRNQTTAFQTPPFATCIPFSTTIPQFQKLVPNNPLAQNSPLLSTQTLNFPFP >cds-PLY64945.1 pep primary_assembly:Lsat_Salinas_v7:6:57061535:57062665:1 gene:gene-LSAT_6X42901 transcript:rna-gnl|WGS:NBSK|LSAT_6X42901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVPLSHRSAHRHRLRLLRLHRGLCAHRIIIHAASSRRSALLPYAVDDIDKHEHDAEEEDRVDSDDERQKKKKRKKR >cds-PLY75604.1 pep primary_assembly:Lsat_Salinas_v7:9:34286392:34288540:1 gene:gene-LSAT_9X31700 transcript:rna-gnl|WGS:NBSK|LSAT_9X31700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQEMDDGMFWLPTEFLTDDDVLVDFKSGRNKGTSTMYGPDSDLSSPVESVMGSTETESDEEDYLNELSRKLAQSTLEDNYWKTENSSGLESHHSKSTSVMSGSPQSTLCGCKQTTSSRSTNRLSPAPPVTHTETAWDLLYAAAGEVARLRMADSNRNHIAPQAPRRIPSPNFQLQQLQAAQQLKQQQHQHQQQHYQQFIPPTRGRTSGGNMKPAALPLSAWPTLQQSQQQLPPGSGMRAVFLGNPTTKRECTGTGVFLPRQIGAPAEPPKKRAGCSTVLLPERVLHALNLNLEAQNGSNGGRPCYDYDAEMRHRRSVLVAEQQRRRQVEKDLRLPHEWTY >cds-PLY76000.1 pep primary_assembly:Lsat_Salinas_v7:5:318384471:318384991:-1 gene:gene-LSAT_5X175420 transcript:rna-gnl|WGS:NBSK|LSAT_5X175420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMIRAIRACKTAAEERAVVRKECASIRASVSDNDNDYRHCNLEKLMFIHMLGYPTHFGQMECLKLIAALGFLEKRIGYLGLMLLLHERQEVLMLVTNSLKQDLNHTNQYIVGLALCALGNICSVEMARDLAPEVERLLQF >cds-PLY64212.1 pep primary_assembly:Lsat_Salinas_v7:7:2423953:2424634:1 gene:gene-LSAT_7X1300 transcript:rna-gnl|WGS:NBSK|LSAT_7X1300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVAAVRIAWTLVIILVFGVGGPNTQVEGQLTLPCMRINLRMITCYLHVITCHPNCRKAPCNDQCTPPPISCCIQLVRIGKGIQTDADAKNLCDCIQETVVDRQGTPFTGIGLSVLPKECMLSMKLPPVKADTNCKKWKKKMIVRV >cds-PLY95832.1 pep primary_assembly:Lsat_Salinas_v7:5:63838319:63840660:1 gene:gene-LSAT_5X29420 transcript:rna-gnl|WGS:NBSK|LSAT_5X29420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELELSLSPPNQRRQPMKKTCHVGNTTTRYPLVSWNQEEEDEPNKNSVNEDYQQDLDGEGEGLTGWPPLNSWRRRLIEGVGFNGRVDDEEEGDNIHVMTNNYNESLFVKVKMDGVGIARKIDLNAFHSYQMLTNTLLDMFDKYVEIHEEGASYTLVYQHKDGHWLLARDFPWEYASFYY >cds-PLY88152.1 pep primary_assembly:Lsat_Salinas_v7:5:221471293:221475760:1 gene:gene-LSAT_5X103361 transcript:rna-gnl|WGS:NBSK|LSAT_5X103361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQARIRTFRDDDAMDRGKLLKPELKKAINKSAISLIVFSESYASSKWCLDEVLMIIEEQKTSSSKHDVVPVFYKVDPSDVRNQRGSFKKAFDGYEDIIKAETDFHKKREWLGKVGAWRDSLRKAATFTGMVSTDGYEARFIIDIVNVIRKKLDYKALYIEDKLVGIKDDVAEIESWLQDPSPNAAILLINGMGGIGKTTIAKCIYNSNFHNYDGSCFLANINETSNQPGGLIRLQIQLLSTILKREKEETIWNVDEGTIKITDALCNNVVLIILDDVTTLQQIDALLGPQWFYPGSKVIITTRHKWLLTAFKDHLKVKVQSVRTLSTVDAIELFSLYAFHQNQPMEPHIVHTERLVHHCMGLPLALKVLGSSLRGNTNDVWENKMCKLEAIPNPEIQKVLQISYETLEDDKDKDLFLHVACFFEGEEKDYIVKILAPCDVYPVVGIRNLTDRCLLYVEYGRVKMHQLIKEMGREIVRQESPKDPGKRSRLWHHDDCFNVLQDHAGTKEIEGLMLNMQKIEEAESTSSTTMNPETRGFEEYDHGKKVHGNKANFEIGALEKMKNLMLLQLNHVTFYGKCNKLPKKLRLLSWHGFSLKAIPGDHSSLEKLVVLDMSYSKLKCVWDDFKFIGSLKILNLSYCIELIETPDFRGLPGLESLILKGCLSLMKVGESIAYLKELVLLDLTNCRSLRDFPCLPSSLVSLQMSGCPNLDVLGRIQCLDSVSSFSFLDDMDVSNCNLFDNCFPNDWSSLVSLWSLNIDGNNVTSLPKCIQTLPRITRLHAGDCSKIKSVIGLPKTINVLYISNNKSLEKVQPGQNSSIVVYCPNCPKLCDMEGRYMVQSIDKVETKIIRYLGLTLDACEGMKLGLQVLHEFGIFSTFVPEKQIPSCFMYKERGPQISFRVPWHQNSSTIIGFNMCVVLSRLRGYDYAYMNTQVYNKTKKLRLTYMARNKKIRKHMEHIAWLSVWRCGNLLEPGDEIVISITTGKAHQFYINLLYEDDEQVEEDHHVDASHQILWTDRMDKDISNYVCGDMTRVFQSDN >cds-PLY95909.1 pep primary_assembly:Lsat_Salinas_v7:6:106079334:106087282:-1 gene:gene-LSAT_6X68281 transcript:rna-gnl|WGS:NBSK|LSAT_6X68281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVRRGKRSVAFWPSIVMKKWLNIHPKNNDFSEDEMDTESEDDGSSRKREHMGFNEENSPIIQTNMSTCLSEASNVTPAKPLVKHKRGKSEDLHDIKTKDLRLMIGTWNVAGRLPPDGLDVDDWLSMHQPADVYILGFQEVVPLNAGNVLGAETRAPIIKWETFIRKSLNKSQEPEYIPKSYSAPTSPVAEIKSNVDFRSTTEITDPERKEITWLTGLYGLDWPEYTLDRKQDIRFSANNFGPRDHVVDPGGLRIVRHSSCDMGLLWTEKQERADLVDSLYDLFGRVMEEDDDSLMDGIKVEQGNSPITSGQKIDRYVRIVSKQIVGIYLSIWVRKRLRRHISNVKVTPIGIGLMGYMGNKGSISVSMSLYQTRICFVCSHLTSGHKDRDDGRRNSDVNEILRRTHFLSVLDHDQPKTIPSHDQIFWFGDLNYRINMADIDVRKIVALKQWDKLLYNDQLCKELRSGCVFEGWKEGVINFPPTYKYKINSDHYIGETPKEEEKRRTPACSHMDIECAQSAAIWTVDEKSAIHMTAECAP >cds-PLY66040.1 pep primary_assembly:Lsat_Salinas_v7:4:284312915:284318393:-1 gene:gene-LSAT_4X144101 transcript:rna-gnl|WGS:NBSK|LSAT_4X144101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSKSKNKNGAKKNPDANKAVEVTGNRVFGGGNKAITDDRFALSQKDPRFQDVPKHKTKVAIDSRFSRMFTDKNFSTSSARVDKRGRAKQDGDSSQSALKHYYRMDAEEKKQKKQPEESDDDDDVESDEEKEESESDDAEILKAVKQTSSKFKSAPELGTESSEEEEEEEEEKEKGEDDLMDDDTTTDTDEDDEAYLEEETTGLQLEENVPEIDKETHRLAIVNLDWNQVRAVDLYVVLSSFLPKTGQILSVSVYPSDFGLKRMEEEAVRGPVGLFDDDGKSDSDNSDDDNEIDNDKLRAYELSRLRYYFAVVVCDSVATADYIYRTCDGIEFERSSNMLDLRFIPDSMEFKHPPRDVATEAPANYEGVDFQTRALQQSKIDLTWDENEPQRTKKLKRKINIDKESEYFKDEEQLKEFIASSESESESEEDENNKNSGKRQKTDKYRALLQSGSDSDSDKDDTGMEMEVTFNTGLEDLSKKILEKKDRKSETVWEAHLRKKQEKKKARKSKSMDSDDSYDSDREPVEESGDFFAGDADNKNDKKDKKGKNLDKEGEASKEELELLLGDDDGNVKGYNLKRKKSKGQKGKKEGMDEEKIPTIDYDDPRFSSLFTRPDYALDPMDPQFKRSAAYVRQVAHKQHKGDMEREEEREHNNDSYEQIQASKVDDKIDSKKDKYEMSMLLKSVKMKSKQLPLLPSSDAKKSKRKGK >cds-PLY75170.1 pep primary_assembly:Lsat_Salinas_v7:2:52676205:52680043:-1 gene:gene-LSAT_2X23381 transcript:rna-gnl|WGS:NBSK|LSAT_2X23381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLHVCLVAYTFKHEPLKFTSIYTALWNGLHNMGAVLVVIGYALRVGTTRGCKVGSIEATIERDREVSPEVWISRAFEADNGLNDNSEFTFENEDETDQAKHYMESNEKYYLMFHKYFINFFISIVKELLADEMCLGKTVQVV >cds-PLY76196.1 pep primary_assembly:Lsat_Salinas_v7:4:53026321:53028302:1 gene:gene-LSAT_4X36160 transcript:rna-gnl|WGS:NBSK|LSAT_4X36160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein SKIP4 [Source:Projected from Arabidopsis thaliana (AT3G61350) UniProtKB/Swiss-Prot;Acc:Q9M2C9] MELLERESEGSNQTVSTQSSLINGLPDDIALTCLARIPRRYHSLLKCVSRTWRDLVCSKQWQSYREKHNLTETWIYALCKDKMEQLCCYVLDPNLPKKGWKRIPDLPPHCLKRKGVGFEVLGKNIYFLGGCGWIEDATDEVYSYDASRNAWTEASPLSTARCYFACESMDDKMYAIGGLGSKSSDPHSWDTFDSNTNTWTSHMDPNVVPEIEDSVILNGKIYIKCGSSAVSSHVYAVVYDPLNGTWQHADSDMVCGWRGPAIVVEDTLYVLDQSSGTRVMTWRKDRREWEAFGRLSAVLTRPPCRVVGIGKKIFVVGKGLSTVVFDVDKAANVDGVLVTTSVPKLPASDDDVISCKSLSL >cds-PLY99299.1 pep primary_assembly:Lsat_Salinas_v7:7:179838802:179840415:-1 gene:gene-LSAT_7X105920 transcript:rna-gnl|WGS:NBSK|LSAT_7X105920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFNPTNPLLVRHNTSNRALVQKYFDLEVDFMVARGYSEDQRFIAIRSIAISKIEEYTSDQVDSFVPFLAITYVDRFLSTHINIPVVLRDKGFEENLKLFVFCCVSIACKIRCSNFSFVELWNKHKRAEVRDVLVMELQILEGLEWKVRPVTAITFMYFFLPLLKTEDPQQFLPISTVSNIIVSIQRDVRFTEFRPSTLAASSILVAAYKLLPELYSEFSRRLSRSGFVQQTELEQCLDELKEHVIPDYILNPEKCPVILKEINKWGVKSEKGKELMIEDSSPDDHEDTSEITTEISKEEDKEEMPMNFDLNWVDPEPRPIPRPVKQERYNPMQKILFCFWPMIMKCFGLENS >cds-PLY77116.1 pep primary_assembly:Lsat_Salinas_v7:7:183442098:183443703:1 gene:gene-LSAT_7X109361 transcript:rna-gnl|WGS:NBSK|LSAT_7X109361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPKKLPMSKYRGNKQHEIEHSCDMVDHISNLPDCILHQILSFMHTKEAVKTSILSTRWKYLWVSVPKLDIDDAVLYPREIDDKHPSKVTSFMNFVGRVLRMRDASNLEKFSLICRISSDASQIKLWISDAISHNVRELDLCIVDMISSVLPKSMFCSMSLTSLKLEVEWIKIPPHVSFPCLKNLHLDYVGFLNDGDAERLFSGCHVLEKLVLSYCQWIHLNHIVISISTLKSLTIFDDSDFEPEDDDIGCKIKIIAAKLTCFEYIGHLSNEILLSDIPSLVRAYIHISLPEERQNEVTCRAVDLLKQLRNVMALGLSNVTMESLIFTDMPLHLPVFPNLINLTLTKEIENYTFGAVMNLLYFCPNLQFFGLSEGFENSMDLGEEDRVWLLVPICMTNCLKIVTFKNFHATDSEICFLKRVLKYATVLERMNICWSKTQVGERKKETDVIKEFEKVESSSAVFVVRFT >cds-PLY61827.1 pep primary_assembly:Lsat_Salinas_v7:6:57196578:57200007:1 gene:gene-LSAT_6X42460 transcript:rna-gnl|WGS:NBSK|LSAT_6X42460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKSTPPIFSSLHFPHLSVVRSPPYILFTRCKSIRSTYPAISLRKSCYFLFPVPLEVLSTGRSKRYTKSTEDSRQETPAGDNDDDDSIEETYPSSDDFDKVTSSDSRKSISSLSDALNLGSRDPVYEVVEVSSKGMVSTRKVNRRHLLKSSGLRPRDIRSVDPSLWLTNTMPSLLVRENAILLNLGSLRAIAMQECVFIFNYNRRGGKAFIDDLLPRLNPKSMIGGGVVMPFELEVVEAALNSRIQHFEHRLMDLDPHVQDLLKVLPNRLTANILEQLRICKQTLVELGSKAGALRQMLLDMLEDSQEIRRLCIVGRNCILSRNSNVECSVPLEKQIDEEEEEEIEMLLENYLHRCESCHNQAERLLDSAREMEDSIAVNLSSRRLQVSRFELLLQVGSFCLGAGALVSGIFGMNLRSYIEEHVFAFWLTTAGIIFGAVVAFFLTYSYLRAKKIL >cds-PLY66973.1 pep primary_assembly:Lsat_Salinas_v7:7:122556448:122557875:-1 gene:gene-LSAT_7X74540 transcript:rna-gnl|WGS:NBSK|LSAT_7X74540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLFRFPLPSINLRPPSISLNSTAVFRSLFMANQHRCDSQKTHLDKDPKYLENYPVPLSPPLPAISKDLELRRAMSASSKSSLFSLSRSDVLFEDEWLIALNKPQGVYCETILASVPSLLNDSSNEPEELGTQSKKHELHLANRLDRDTSGVILITKSHKVAAKLVKAFTDHKVRKTYIAFCVGQAPNWKKITIKSGHGRSKFGAWRVYAARDVGRLLPGGSSIKDMETLFEVLSVNGKEACKDLSELDLVVEEKSLIEFDGNKFEVLVRAYPRSGRTHQIRLHCQYLGISIRGDVKYEGVYEWNGSVYDGHQLHAESLSFEHPVTGSPIVVRAPLPLWANKVIL >cds-PLY91927.1 pep primary_assembly:Lsat_Salinas_v7:8:203555450:203557220:-1 gene:gene-LSAT_8X130341 transcript:rna-gnl|WGS:NBSK|LSAT_8X130341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCAPNSPTSPSESLFEEEKKKKGPKRRAGEIPEQQQIASRAIHTAASSRGTSASKGKSSG >cds-PLY86558.1 pep primary_assembly:Lsat_Salinas_v7:1:162811137:162822462:1 gene:gene-LSAT_1X110140 transcript:rna-gnl|WGS:NBSK|LSAT_1X110140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPRSVYKVDFDCGSKITPAALLLPCKRSRNFTSCSVGLCQLVAQSFTVVLEWVLSHWFGFVGQRKMAFGQRQPLVLLAVSLFLETLVWSCLVIMALLETKVYIREFRWYVRFGVLYVLVGDTVKLSLILSVKDLYPSSVLYAYVSSLLCQALFGVFLLSYIPNLDAYMGHIPLQTDPHDDIKYEVLHGGEHICPERHANIFSRIYFGWMTPLMEQGYRKPITEKDVWTLDTWDQTETLSRKFQKYWADESHKSKPFLLRCLNNCVGGRFWFGGLFKSLGVLCEAQYFQNVARTGFRIRSILVAAVFRKSLRLTHEARKNFPSGKITNMITTDANALQQVCNQLHGLWSAPFRIVLSMILLYQQLGVASLVACLLLILMFPVQTMIVSKMRKLSKEGLQYTDKRVGLMSEIMAAIETVKCYAWEQSFQTKVETIRKDELLWLWKSQFLGACNNFILNSLPVLVAVISFGVFTLLGGELTPSRAFTSLSLFTVLRTPLNTLPNLITQAVNAYVSLQRLEELYLGEERILLPNPPLEPGLPAISIKNGYFSWDPNATKPTLADINIDIPVGSLVAIVGATGEGKTSLISAMLGELPPLENTITNTSVVIRGTAAYVPQISWIFNATVRENILFGSKFEASRYWKTVQVTALPHDLDILPGRDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDTHVGREVFERCIKEELRGKTRVLVTNQLHFLPQVDTILLVSEGMVKEEGTFQELSESGLLFRKLMEKVGGMDGTTECTTNTSSKPYYSHHDSINDPTTITHTSSKKNHKSLLIKQEDRQTGIVSWKVLARYKDALGGLWVVIILLACYISTEVLRISSSTWLSYWTEQSTTTARGPAFYILIYALLSIGQVLVTFANSFWLITSSLHAAKKLHDTLVYSVLRAPTAFFQTNPLGRVINRFANDIGDIDRNVANLANTFLNQVWQLLSTFVLIGIVSTISLWAIMPLLLLFYTAYLYYQSTSREVKRLDSITRSPVYAQFGEALNGLSSIRAYKAYDRMARINGKSMDNNIRFTLINFSSNRWLTIRLETLGGIMIWFTATFAVMQSGRTNDQVAFASTIGLLLSYSLNITNLMSNVLRQASRAENSFNAVERVGTYIDLPSEAPEIIENNRPPPGWPSLGLVEFENVGFRYRHGLPPVLHGVSFAVSPSEKVGIVGRTGAGKSTIINALFRMVELEKGRIFIDNYDISTFGLKDLRKVLGIIPQSPVLFSGTVRFNLDPFNEHCDADLWEALERSYLKNAISRNALGLDAEVSEGGENFSVGQRQLLSLGRALLRKSKILVLDEATAAVDVRTDHLIQKTIRKEFKSCTMLIIAHRLNTIIDCARILVLQNGQIVEYDTPERLISHEGAFLKMVQSTGAANAQYLQDIVLGREGNKQVHN >cds-PLY67700.1 pep primary_assembly:Lsat_Salinas_v7:4:4157636:4162169:-1 gene:gene-LSAT_4X3040 transcript:rna-gnl|WGS:NBSK|LSAT_4X3040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor ATP-dependent RNA helicase DEAH7 [Source:Projected from Arabidopsis thaliana (AT5G13010) UniProtKB/Swiss-Prot;Acc:F4K2E9] MMTSLDEDVEKTTSSLTGLDEVGSGVSSSGRNYTSRQYRDSTGSKTSGSESQITEEERAGGRSARHGSHSEDVTPSSRSSRSSQHRSDRYDPTEYDRRRYDSRDDSRRRHYSSDRDERNHVEQSPRYGKDYRDYGNKRSRYESSKRTPGRSDWDDGRWEWEDSPRHSSGSRHHKPSPSPMLLGASPDARLPSPWYGGNTPMGRSDSPWDNFAPSPVPIRASGSVRSSTSRSGGRSQRPHAAESSLPLEDDGEDGKEVFHNAEITESMMLEMEYNSDRAWYDREEGNTMFDADSSSFYLGDEASVQKKEAEVTKRLVRKDGTPMTLAQSKKLSQLTADNAQWEDRQLMRSGAVRGTEVQTEFDDEDERKVILLVHDTKPPFLDGRIVFTKQAEPIMPLKDPTSDMAIISRKGSNLVREVHEKQSMNKSRQRFWELAGSKLGDILGVEKTAEQIDADTAVVGEDGEVDFKEEAKFGKHMKKGEAVSDFAKSKSLSQQRQYLPIFSVRDELLQVIRENQVVVVVGETGSGKTTQLTQYLHEDGYTTNGIVGCTQPRRVAAMSVAKRVGEEMETELGDLVGYAIRFEDVTGPKTVIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVQTLYSKTPCEDYVEAAVKQAMTIHITSAPGDILIFMTGQDEIEATCYALSERMEQLASTTRQTVSSLLILPIYSQLPADLQAKIFQKAEEGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTETAYQNEMLPQPVPEIQRTNLGNVVLLLKSLKIENLLDFDFMDPPPQDNILNSMYQLWVLGALNNVGGLTDLGWKMVEFPLDPPLAKMLLVGEQLKCLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYKQWKAKDYRGDWCNDHFLQVKGLRKAREVRSQLLDILKTLKIPLTSCDPDWDIVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELILTSKEYMQCATSVEPQWLAEMGPMFFSVKDSDTSMLEHKKKQKEEKSAMEEEMETLRKRQAEDEIRNKAKERAKRMKQQQAISMPGLKQGSSTYLRPKRLGL >cds-PLY69022.1 pep primary_assembly:Lsat_Salinas_v7:9:141890080:141890829:1 gene:gene-LSAT_9X91540 transcript:rna-gnl|WGS:NBSK|LSAT_9X91540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVQLFPVDETTRRALGMKWNHDSVLIGSPPIFRLRYGWFGKGEEHNNTNNGIGIGGSVGMGLSTLEWVNSLTNISVGDLLSEVSHNAVGPAPCHQQISYSCDSFDAAIAAHINKNHHAKNNGFHSTTIQSSIYDA >cds-PLY80917.1 pep primary_assembly:Lsat_Salinas_v7:8:134198233:134201772:-1 gene:gene-LSAT_8X92940 transcript:rna-gnl|WGS:NBSK|LSAT_8X92940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEGTPYFLRLSRCFYLQMSPPAHSQSLPSRSFDFQLRCPSRQLLKKQRLLEMKPVVCLYELKEPGMEARLKPGVQPLQSQDDYESEKHTILKISNEALVTSGFGAATWQLSEVYLKEIEKKINELASDVMVFVDERPAASRTQLLENMFVDPTGVVIAHDDNYIYMCEDPKLDGVVSPKLHFFHCHMCATSFFTTQVWFLATPKKRKDS >cds-PLY73746.1 pep primary_assembly:Lsat_Salinas_v7:8:270039256:270041240:-1 gene:gene-LSAT_8X155680 transcript:rna-gnl|WGS:NBSK|LSAT_8X155680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFLFNTLESLRPLTESKAWDYCVVWMFDDDPSGFIKWIGCCCSGSSHGVCKNVKEETDELELNTPYLCKDTYVKHSVRTKACEKLAVIPSSLPLYPGIHGEVAMSKQPFLLSNDSLGTQLIVPVEGGLIELFRSKHVPNDQRTIETLISRLGVIVEHEFHENDMKSRVNSYHFHQIVPKLELLFPVQQPVMSSGNGKAKHKIGKEQYHSKNLVTERNRRKRIKDGLYTLRALVPRISKMDKASIVGDAIDYIKELEKNVKELQEELKELEEQECKVNDGEMEVCKPKRAYESSTQMYSKAKTRVSNVNDSKNEVEVEVEVHQIGAHDFLLKIICNKKPDGFLKIMETIDSLGLEVIDINVTTCNGRVLNVLNLEAKGKEVVAKSLKDSLLTSWSALKLE >cds-PLY78736.1 pep primary_assembly:Lsat_Salinas_v7:9:50202573:50202836:1 gene:gene-LSAT_9X45041 transcript:rna-gnl|WGS:NBSK|LSAT_9X45041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGSNKIAPSPSSHLFSYLSASGPSGHGGLSYVPRRKSSSSGISSRPDPLLSTVVCTVPPELTSPPDVALPPPASASTATTTTSCCR >cds-PLY91468.1 pep primary_assembly:Lsat_Salinas_v7:7:143737861:143738384:-1 gene:gene-LSAT_7X85880 transcript:rna-gnl|WGS:NBSK|LSAT_7X85880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNVQRTSSASPAPEKHKEAIQFIEKMTRNTDKVQANVLAEILSRNADTEYLRLYNLNGATDRETFKSKIPIVTYDDLQPIIQRIADGDRSPILSAHPISEFLTSSGTSAGERKLMPTIHEDLDRRQLLYSLLMPVMNLYVCSHAM >cds-PLY71391.1 pep primary_assembly:Lsat_Salinas_v7:5:46704159:46704656:1 gene:gene-LSAT_5X23001 transcript:rna-gnl|WGS:NBSK|LSAT_5X23001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLDYLFGSGGEPKPTAVSTGNTESPPTQVHVAATEPPPPPKTAAPITPPDITKQIPAGIQSSKLNNYIRADGQNTGNFITDRPSTKVHAAPGGGSSLGYLFGDGKK >cds-PLY85400.1 pep primary_assembly:Lsat_Salinas_v7:9:79360552:79361893:-1 gene:gene-LSAT_9X63600 transcript:rna-gnl|WGS:NBSK|LSAT_9X63600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAPFSGPVGNSRWSLAGMTAVVTGGTLGIGYAVVEELAELGAEVHTCSRNESVLNQRLQEWSDKGFKVTGSVCDLSSRPQREQFVEKVTSLFGGKLNILINNVGTNVFKTTLEFTAEEYSKIMATNLESCYHMCQLTHPLLKASGAGSIVLISSVTGLVHVSVGSVYSATKGAMNQLAKNLACEWAKDNIRTNSVAPWFTKTPLIQHLVESEEFLEAVASRTPLKRVAEPNEVSSLVAFLCMPAASYITGQTIAVDGGFTVNGFP >cds-PLY80001.1 pep primary_assembly:Lsat_Salinas_v7:9:46213746:46215553:1 gene:gene-LSAT_9X42680 transcript:rna-gnl|WGS:NBSK|LSAT_9X42680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-DYW protein, RNA editing in mitochondri [Source: Projected from Oryza sativa (Os12g0270200)] MAAAVEPLLQKCATLSHIKQLQAHLITTGVFQFHPSPRSKFLEFCATSSAAGSLLYAADIFRHIASPVTNDWNAVIRGLAQSHQPTDAVTFYRQGLRMLTCKPDALTCSFTLKACARALACNEANQIHSQVVRFGFIADILLQTTLVDVYAKSGYLDNARKLFDEMSKKDVTCWNAMIAGLAQGNQPNEALQLFTRMRDLGFKPNEITVLGALSACAQLGVVREGENIYSYIKNQNLDTNEQVCNVVIDMFGKCGYVTKAYQVFNSMKCTRTLVTWNTMIMVLATNGESLEALKLFDQMSKERFQPDNVSYLAALCACNHAGMVENGVELFEKMIKDVSVVPNIKHYGTMVDLLGRSGRLHEAYNVIDSIPIKPDVILWQTLLGACKTYGNVEMAEKASRKLVEMGSSSDGDFVLLSNIYAAQRRWKDVGIVRDTMRNKEVKKVPGFSYIDVDGVIHKFVNGDRSHLNLEEIYRKIEEIMGRIMEYGYVADTGYVLHDIGLEEKENALSYHSEKLAVAFGLIKMSNESNPIRINKNLRICGDCHEVMKLVSKVYNREIIVRDRTRFHNFKNGLCSCEDYW >cds-PLY71838.1 pep primary_assembly:Lsat_Salinas_v7:3:58450288:58453025:1 gene:gene-LSAT_3X45680 transcript:rna-gnl|WGS:NBSK|LSAT_3X45680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVYSVCRPETLLGFLLILVFSGNFCYGYDKVVEVVGIGECADCKDINIKSTHALSGLKVTVDCKLENGKLKTKGVGELDGEGNFKISLPQEILKDGKLTEECYAQLHNAANKPCAVHDGLEATKITFLSKSDQKHTFQPVGKLKFSSAVCTSKFFWPYFKYPPLPTLPPLPKTHPWFGHHFPLPQFPPKVYPSFPFPPNTPSPTPVYDPPVVETPPVPVYNPPPVVETPPVPVYNPPPVVETPPVPVYNPPPVVETPPVPVYNPPPVVEPPPVYNPPPVYNPPPVYTPTPKPEPPVVKPPCDPKPKPQPPVYKPAPIPVYKPKPKPPVYKPAPVPVYKPKPNPEPPVYKPAPIPVYKPKPKPPVYKPSPIPVYKPKPNPEPPVYKPAPIPVYKPKPKPPVYKPAPVPVYKPKPKPPVYKPAPVPVYKPKPKPPVYKPAPVPVYKPKPEPPVYKPAPVPVPVYKPKPKPPVYKPSPVPVYKPKPEPPVYKPAPVPVYKPKPEPPVVKPPCDPKPKPEPPVYKPAPVPIYKPKPEPPVYKPSPVPVYKPEPKPPVYNPSPVPDYNPTPKSPLPPVIDPPSPKKPCPPFSLPKLPPLPTIPPKYFHHHPILGNHHHLPTIPPKYFHHPIPSLPPKYSHP >cds-PLY99565.1 pep primary_assembly:Lsat_Salinas_v7:7:189821330:189822112:-1 gene:gene-LSAT_7X112561 transcript:rna-gnl|WGS:NBSK|LSAT_7X112561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYSVQLLDQCESPSGLCFKLSDQSLLQESSTTEKSFDFYKRIVKSNYKPDNYLFTFLINSAAQLVDKNFGLAVHGTALKYALDQDPHVQSGLINLYAEMGSLRDLKDLLFSINNPDLVTQTTMVVACAKLGDIKFTRQEFEITLDRDVIAWNAMKAGYVRFGEPLNGLELFNAMEMKGLKVHVLSACTRLSALDAGQPAYRYIKYKKLQINTTLGSALVHIYEKCGGFNTAMNVFLGDERKECLHMEWCHGRTSHAWLW >cds-PLY65528.1 pep primary_assembly:Lsat_Salinas_v7:9:143395107:143399167:-1 gene:gene-LSAT_9X90680 transcript:rna-gnl|WGS:NBSK|LSAT_9X90680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDAQNPLLGETTCGSLLLQLQKIWDEVGESDVERDKMLLQLEQECLNVYKRKVEQAAKSRAHLLQALADAKLELSTLLASLGEKSFIGIPVKTSGSIKEQLAAIAPALEQLWKQKEERVKKYANVLTQIQKIRGEISGTNEQTGSFTVDESDLSVKKLDEFHDHLQELEKEKSERLNKVLEFVNIIHDICGVLGIDFYATVTEVHPSLNDTTDTQSKSISNDTLARLAKTVLSLKEAKKQRLQKLQDLAAELNKLWNLMDTSEEERSLFDHVTCKISSTVDEVTLPGALALDLIEQAEVEVERLDELKGSRMKEIALKKQGELEEIFARAHIEIDTQSAREKILGLIDSGHVEPSDLVADMDAQIIKAKEEALSRKDILDKVEKWMSACEEESWLEDYNRDDNRYSGSRGAHLNLKRAEKARILVSKIPGLVDTLVAKTRTWEEEHGFTFAYDGVPLLAMLDEYAVLRHDREEEKRRMRDQKKFHEQLNTEQEAMFGSKPSPARPLSSTKKVVGPGSRGLNRRLSLNQNGGGGKSVNRDVKRDVPRPVAPLNYVAISKEDPGLFTP >cds-PLY93146.1 pep primary_assembly:Lsat_Salinas_v7:9:2639630:2642016:1 gene:gene-LSAT_9X680 transcript:rna-gnl|WGS:NBSK|LSAT_9X680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGLSLNAWIIGITTQGETRTNPSHITTSRTSEKSNSSPLPKTWPWSFAVRYPLTSFFSADCNRYDAVIVSEDEKEESARNRKNGNWVLRVLQVRSFWNTDGYEQVNNHVQNDNEVSIDEDYYDACRVDDDVDDKLKFDRASFSKMLWSVSLVEARLYAQMAYLGSLSYTIPKIKLGNLLKRHRLRYVTSSLEKKAELASKSKKEKASEEVRQGEYINLETDAKDQVKQSVVDDLNLLCSSPCEWFICDDDDDDEGSTRYFVIQGSESIASWQANILFEPVQFEAHDVIVHRGIYEAAKVVYEQLLPHVHQHFERHGKRATIRLTGHSLGGSLSLLVNLMLLIRDQVPRSCLLPVITFGAPWVMCGGDRLLQKLGLPRNHLQGITMHRDIVPRAFSCNYPSQLADILKAINGNFRNHPCLSNQNLLYAPMGEFLILQPDAKVSPSHELLPAGCGLYVLRCEGAGDANKQTMAAQSVFLNTPHPLDILRDRCAYGSEGGIQRDHDVETYLISIRSVIRQELNRVKRSKRRGHVWWQLVGVNQSEVQLLFYGGKEYLKWLRVVVASNHMYLICFVRWLIMEACSWITPR >cds-PLY73505.1 pep primary_assembly:Lsat_Salinas_v7:4:22141791:22144281:1 gene:gene-LSAT_4X15400 transcript:rna-gnl|WGS:NBSK|LSAT_4X15400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKNPLFPFCFSIFITFSFVSCASLLPSDQNDQINSILGENGEDGHWRNGILSSSAQAPAPSSGSSGALVLAGSRTKRPDILNRFRKYRGGWDITNKHYWASVGFTGSAALILGMIWFVFFGVAMITHHFCGWRIDIKGKESRLSQTLCLILLIIFTCAAATGCILLSVGQDEFHGEAVDTLNYVVNQSDYTVQTLVNVTGYLSLAKTVNVAQFYLPSDVKDSIDKLNVDLNSASDTLGRKTHQNSRKIRTVFDAVRSSMITVAIVMLIVSILGLFLSILGHKNAIHLFIIGGWLLVVVAFILCGVFVILNNAISDTCMAMEEWVDHSDAETALSNILPCVDQGTTNQTLYKSKQVINDLGNIVNGFIGSYANSYGVLPANSNYYNQSGPLMPYVCSPYDSQLQQRNCSSQEVSMSNASLVWWNYTCTVSESGICMSTGRLTPDMYQQLVGAVNISYALQHYTPPLLSFQDCNFVRETFTTITSEHCPALKQHLQTVTAGLGLVSVGVMLSLALWIVYANRPQREEVFAKITSKIKGKCNGKLCRGDARSQITEV >cds-PLY96104.1 pep primary_assembly:Lsat_Salinas_v7:3:98540283:98545664:-1 gene:gene-LSAT_3X72700 transcript:rna-gnl|WGS:NBSK|LSAT_3X72700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGICFSTTKISGSDSTTPSLTDADASAGENQHQQRHLILKQDGGGNNVNLPKRKESNRNSHKKQDFNNNKTKPKGKQTPKRQKGLIPCGKRTDFGYLKDFDRRYSTGKLLGHGQFGYTYVAVDKANGDRVAVKKIDKNKMVLPIAVEDVRREVRILRALSGHENVVQFHNAFEDDSFVYIVMELCKGGELLDRILSKKEGRYTEKDAAIVVRQMLKVAAECHLHGLVHRDMKPENFLFKSPNEDSRLKATDFGLSDFIRPGRKFTDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDRTEDGIFKEVLRNKPDFRRKPWPTISDSGKDFVRKLLMKNPRARLTAAQALSHPWVREDGNASVIPLDISVLSNMRQFVRYSRLKQFALRALASMLDEEEISFLKDQFHAIDVDKNGTISLEEMRQALAKDVPWKMKDSRVQEILQAIDTNTDGLVDFMEFVAATLHVQQLEEHNNEKWQRLSKAAFEKFDVDRDGFITPEELKMHTGLRGSIYPVLEEADIDKDGKISLPEFRRLLRTASIS >cds-PLY95150.1 pep primary_assembly:Lsat_Salinas_v7:1:92673741:92674315:1 gene:gene-LSAT_1X74100 transcript:rna-gnl|WGS:NBSK|LSAT_1X74100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTACSFGRDLTTEEWESFEFRFGFVPEHGVQIPFLMHHFTVPPRANYPRAKVYTDHAPTLFSADKEPTDVLEKISITGED >cds-PLY69457.1 pep primary_assembly:Lsat_Salinas_v7:6:122241093:122242617:-1 gene:gene-LSAT_6X72980 transcript:rna-gnl|WGS:NBSK|LSAT_6X72980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEN4 [Source:Projected from Arabidopsis thaliana (AT4G39810) UniProtKB/Swiss-Prot;Acc:F4JJ23] MSKSNEIVFFDLETNVPRNPGQKFWVLEFGAMVVCPRKLVEIENYSTLIRPGDLSVVGVNPARCHGISRGTVLNAPTFEQVADKIFEILNGRIWAGHNIQRFDCVRIKAAFEEIGRPAPEPVALIDSLWVLSEKFGKRAGNMKMASLASYFGLGEQKHRSLDDARMNLEVLKHCATVLFLESSLPGILENQWQHNSSPNMTTRSRSDLMEPSVSTSINKPWYKKESISSTMNKGWNQTESSISRTMNKRWNQEATPTMMTTRSRSKIYGEETSRKSPSSVLNRRRVVPYPTGSLGQMTEKVKNILCNVRNTPLNNLLKHSHTLFR >cds-PLY93284.1 pep primary_assembly:Lsat_Salinas_v7:4:302740388:302742786:1 gene:gene-LSAT_4X153340 transcript:rna-gnl|WGS:NBSK|LSAT_4X153340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRLFGISLSLIIINLASIMERADENLLPSVYKEVSEAFNAGPSDLGYLTFIRNFVQGLASPIAGILVLTYDRPTVLAMGTLCWALSTGAVGGSHYFSQVAFWRAVNGFGLAIVIPALQSFIADTYSDNVRGTGFGFLSLVGMVGGIGGGVVATIMAGHEFWGVPGWRCAFVLMAALSCLIGFLVFMFVVDPKRLTSIDRDGGEYYLERNELIERGRDSSSGSIWTESWTAMKAVMKVQTFQIIVLQGLVGSLPWTAMVFFTMWFELIGFDHKQAATLLSLFGAGCSFGSLLGGIIADRLSQIYPHTGRIMCAQFSAIMGIPYTFFLLRVIPQSVDSYLIYAITLLLMGLTISWNGTAANAPMFAEVVPAKHRTMIYAFDRAFEGSFSSFAAPMVGILAEQIYGYDPKSVDPVAGSTREALALSRGLFSMMAVPFGLCCLFYTPLYRVFRRDRDSVRMATQKEEEMI >cds-PLY97526.1 pep primary_assembly:Lsat_Salinas_v7:5:237692432:237694661:1 gene:gene-LSAT_5X112440 transcript:rna-gnl|WGS:NBSK|LSAT_5X112440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPHTGEIVPGLHMMLASLMMAGLLRYSALSQGTLQPLGKSLMRRIQSHFCPLKSYQISHAKLHFVFCYNYLHNKQQNAYDIYEFFRIYSNNNDPYRKRSH >cds-PLY94532.1 pep primary_assembly:Lsat_Salinas_v7:2:158481095:158481630:1 gene:gene-LSAT_2X82780 transcript:rna-gnl|WGS:NBSK|LSAT_2X82780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWVERFSYFPTNSKTPARSSHRKSSEGRKICAFDLLASIADKLSQESESSTSITGPEQKDQITLPKESPYSGNDSGLEHVSDVKTNVKLEPCEGKNVDKMD >cds-PLY95514.1 pep primary_assembly:Lsat_Salinas_v7:4:142404367:142404849:1 gene:gene-LSAT_4X88380 transcript:rna-gnl|WGS:NBSK|LSAT_4X88380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSESKRKFHQYVEVEEVGQLKKRVAHLEEETHVMMQEMSRNTAERKLLLKDIYRQLKLMQFSCHQKNQNMEYKFCKQQEGGRADGLSQVLYEDLNPTVVIRGGSTIHSMPAPSEHAKSTMSNILCIEYNN >cds-PLY63434.1 pep primary_assembly:Lsat_Salinas_v7:7:146394844:146397599:1 gene:gene-LSAT_7X86701 transcript:rna-gnl|WGS:NBSK|LSAT_7X86701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLLNPNQRPSRGIPLDSHIPSTSSSNSTSTSSSPTIIHNSRKKWSNLLPVFLVLVFIAEISFLGRLDLIKNADLLNSWTESFYQFTTASFSSSSLDTADEVSLFGLSDAALDVLDSGDGGESCEEWLEREDSVEYSRDFKSEPVFVTGGEQEWKSCAVNCKFGFEQKKADAAFGLPNGGGTAGVLRSMESAQYYAENNIAMARRKGYDIIMTTSLSSDVPVGYFSWAEYDIMAPVPPKTEKALAAAFISNCAARNFRLQALEGLEKSNIKIDSYGGCHRNRDGNVNKVEALKRYKFSLAFENSNEEDYVTEKFFQSLVAGTIPVVVGPPNIQDFAPAPGSILHIKELKDINPVAKTMKYLAENPIAFNESLRWKYDGPSDSFKALVDMAAVHSSCRLCIFLATRIREKEEKSSTFPKRPCKCRKGSETVYHIYVRERGRFEMESVFLRSGNLTMEALETAIFSKFKSLKHEPIWRNERPESIRGDENVLKIYRIYPLGMTQRQALYTFSFKTSNAFESHIRSNPCAKFEVVFV >cds-PLY65566.1 pep primary_assembly:Lsat_Salinas_v7:3:250656977:250665198:-1 gene:gene-LSAT_3X138600 transcript:rna-gnl|WGS:NBSK|LSAT_3X138600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWSTNLANLKENLNKIALDVHDDDDEDDADDYEKLSEIPNSRNSSFSDRRVSLNHGRNFSPIANGLDSTSNSEIEQYKAEIKRLQESEAQIKALSVNYAALLKEREDRISRLNDENSWLKKNIDASRSESLKSSANSPKGSKKNRSFGKKVVQNGVILKEDASGNGVSHSNKNGNSSSEGNEKELSDLLEEKNKSLARLQLTHDQKIKGLQEELDKERGKLASLHFRFQEEQKLNRSFQDEQNSLKADKENMLTEMNKIRDELSRKVSEIRHLQMELNKKENSEANDATERLKRTVATLEQENIDLKMEKKELKVALEVANKSAALNSNGVHEVSGSFPGKEEMESSLQKLEKDLKETSNERDKALQQLNRLKQHLLEKESEDSEKMDEDSKIIEGLRENNENLRAQIRQLERSLNQAEEVKMINSNELLKSREIMNDLHKKIDAKNIELLNLQTALGQYYAEIEAKEHLERELASAREESAKLSERLKEAYQQVETTKQEKEEIMEKLSHAERVLAEGKGRVNKLEEDNSKLRRALEQSMTRLNRMSMDSDFSVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSEDEKQRIGVAQQGAAGKSVVRGVFGLPGRLVGGMLSGASSDTSANMAAADNQSFADMWVDFLLKEGEEREKRESSSSSSQNNVDGIGISSSNPIPANHTSRNSEFSTVPLASPDNNPSRFSRQIPRY >cds-PLY64954.1 pep primary_assembly:Lsat_Salinas_v7:8:161515952:161519411:-1 gene:gene-LSAT_8X107600 transcript:rna-gnl|WGS:NBSK|LSAT_8X107600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like serine/threonine-protein kinase BAM3 [Source:Projected from Arabidopsis thaliana (AT4G20270) UniProtKB/Swiss-Prot;Acc:O65440] MKLPFLTYFVFFVLFSCCHSAKTHSSLKKQASILVTLKQSFGVSDHPFLVNWNLVDYSSVCSNWSGVTCDNATFSVVSLDISNLNLSGTLSPAITQLRSLETLSVPGNGFSGAFPARIHELTRLRFLNISNNMFDGGLEFNFTLLKRIEVLDAYNNNFSSLLPVGVSRLTSLQHLNLGGNYFSGEIPASYGGLQLLTFLHLGGNDLRGFIPSHLGNLTSLKQLSLGYFNQFDGGIPVEFGKLVNLVHLDMSNCGLEGKIPNELGNLTKLDTLYLQTNRLVGLIPSSLSNLVSLKYLDLSNNQIVGEVPPELSSLKELTVLNLFINRLHGDISMFIAELPKLESLSLWENNFTGTIPPMLGQNGKLKTLDLSTNKLTGVIPKSLCFGRKLEILILFNNFLFGPLPDDLGKCESLIRVRMGQNYLSGSLPKGFLYLPSLSLVELQNNYLAGQLEELTSNGRRGPSKLLAQLNLSNNRLSGVLPTSLGNFLGLKILFLDGNNLSGDIPGEIGGLKNVLKLDMSRNKFSGGIPPEISHCSSLTYLDLSRNQLTGPMPPQISQLHILNYLNVSWNHLNETLPDEFGSMKSLTSVDFSHNNLSGSIPEIGQYSLMKPSSFSGNPNLCVPYLNHTCNNSSSTSLQQNNKQVDNKTTKVPPRYKLVFALGLLVCSLAFVILAVIKTRKMRTPSKSTWKLTAFQKLEFGSQDILECLKDNNIIGQGGAGVVYGGTMPNGEQVAIKKLGTSKGNGGSHDSGLSAEMQTLGRIRHRYIVRLMALCSNKETNLLIYEYMPNGSLGELLHGKKGGCVLKWDTRLKIAIEAAKGLSYLHHDCSPLIIHRDVKSNNILISSDFEAHVADFGLAKFLNEGGASECMSAIAGSYGYIAPEYAYTLKIDEKSDVYSYGVVLLELITGRRPVGDFGENGLDIVQWTKMQTNGRKEGVDKILDERLKKVPLEEAKQVLFVAMLCVQEHNVERPTMREVVQMLVQAKQPNTFQTH >cds-PLY84553.1 pep primary_assembly:Lsat_Salinas_v7:1:29161501:29163305:-1 gene:gene-LSAT_1X24621 transcript:rna-gnl|WGS:NBSK|LSAT_1X24621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGMDSNLVIIIGAGICGLATALALHKKGIKSLVFERSENLRNTTGTAIGILRNGWRALDQLGVADVLRRTGFPILRERMVLLDGMKQQVMPMKGESRSLKRKDLLDALYAALPPATVKFGCELESIKLDPKTTRPILRFIDGSSIIAKAVIGCDGAKSIVAEFLNLKPTKMFPLRSVRGLSNYPNGHSFEYELYRITKDNNLVGRVPIDNNLVYWFCPQPNVPGGDERIWEDPEAIRQSTLELLGDFPEEIKEMIEIADANSLSFTHLRYRAPWDLLRGTFCNGRVTVAGDAMHVMGPFLGQGGSAGLEDAVVLARNMAEKGLDNVEEAFNLYVKVRRMRVVRLSLQTYLTGMVMGTSSLVKRLICIVFLFLLFHNPSVHVDYDCGRL >cds-PLY62813.1 pep primary_assembly:Lsat_Salinas_v7:4:30971789:30973171:1 gene:gene-LSAT_4X16601 transcript:rna-gnl|WGS:NBSK|LSAT_4X16601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFKVSMVMLLSVALSVLLVINGASLTSHQEQTSHDLAQENWFGYAAMPRKLLLNQKVEGIKDKNLVHNEALSGESRKGGEEAAAAESEQTMHEGSDLTPLFSMDYTSVRKRRPVHNKSFPTTITLP >cds-PLY84028.1 pep primary_assembly:Lsat_Salinas_v7:6:191236446:191236667:-1 gene:gene-LSAT_6X117540 transcript:rna-gnl|WGS:NBSK|LSAT_6X117540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERWGQAGHEHYIQTHELEAEVIRVLGCPSCSVAGAGLMDTTIQGALEVGKSVGVYSCIFIVFFLLRHSNFPL >cds-PLY95402.1 pep primary_assembly:Lsat_Salinas_v7:9:190965710:190966601:1 gene:gene-LSAT_9X117781 transcript:rna-gnl|WGS:NBSK|LSAT_9X117781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPTTVDNLDHPSIKHTNLDVESQQTQETTEVIFDYAKRAQWLRAALLGANDGLLSTASLMMGVGAVRQDVKTMILSGMAGLVAGACSMAIGEFVSVYSQYDIEMSQIKREITNGLSTYDLLEDKKNELPSPTKAAVASASAFAVGAAVPLLAAAFINSYHVRLVVVVLAVSMALVGFGGLSAVLGRGPIVKSTLRVLFGGWVAMGVTFGLTKAVGSTGLINA >cds-PLY87002.1 pep primary_assembly:Lsat_Salinas_v7:5:263397640:263402102:1 gene:gene-LSAT_5X135341 transcript:rna-gnl|WGS:NBSK|LSAT_5X135341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSSSGIWFTLFISIVFLISSSESFYLPGVAPRDFQRGDPLQVKVNKLSSTKTQLPYEYYYLNYCKPKHVENSAENLGEVLRGDRIENSVYTFLMREEQPCKVGCRVKLDAETSKKFKEKIDDEYRVNMILDNLPVAVLRQRRDGVQSTTYEHGFRVGFKGNYAGSKEEKYFINNHLSFRVMFHKDLETDSARIVGFEVTPNSINHEYKDWDEKNPQLSTCNQNTKNIIQGSTVPQEVDTDKEVVFTYDVTFKESEIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYRDIANYNQLDNQDEAQEETGWKLVHGDVFRPPLYSGLLSVYVGTGVQLFGMTLVTMIFASLGFLSPSNRGGLMTAMVLLWVFMGLFGGYTSARIYKMFKGTEWKKNTLKTSFMFPGILFAIFFVLNALIWGEKSSGAVPFGTMIALVCLWFGISVPLVFVGSYLGFKKPAMEDPVKTNKIPRQVPEQAWYMKPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILIVTCAEITVVLCYFQLCSEDYHWWWRAYLTAGSSALYLFLYSIFYYFTKLEITKLVSGILYFGYMLIASYAFFVLTGTIGFYACLWFVRKIYSSVKID >cds-PLY96383.1 pep primary_assembly:Lsat_Salinas_v7:2:88565857:88566664:1 gene:gene-LSAT_2X37820 transcript:rna-gnl|WGS:NBSK|LSAT_2X37820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASCTQQKKIEELEAQLQEAEDIVKDLMEELRVVEAELERFPRSKQVKNHVQVDNASIPEPPLPKERWNNASTEMEVDPPLKSSETKVPSQPLTDRVIKYTFQRKRKIGALINGSGSSERSEEGSRKVNFKGESMCLQHQ >cds-PLY86376.1 pep primary_assembly:Lsat_Salinas_v7:2:5569980:5571299:-1 gene:gene-LSAT_2X2800 transcript:rna-gnl|WGS:NBSK|LSAT_2X2800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDITAALRIVYLLQTCAKLTKVPPHHLPPAKVRSTGFARAICQHRHRKTTTCNRENRLNLKAMDAEQLRQHGHKMIAFIANYYKTIESFPVLSHVEPGYLRKTLPDSAPIRGKQLMFIAGTLFIE >cds-PLY75623.1 pep primary_assembly:Lsat_Salinas_v7:1:144402991:144405901:1 gene:gene-LSAT_1X103820 transcript:rna-gnl|WGS:NBSK|LSAT_1X103820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALEAELDLIVCITEGIPQHDMINASQKTQSEIYPLGAVGAIVSWNYPFYNLFNPVLVVVFSGNGIVVKTGEALVSSVDKIVIVNSPGVGRMIMRKAADTLILVILVLGGKDLFIVYEAVDVAHGPPQLGKYDMGVICMQDHSERFQSLINDALDKGAEIVGGGNVRDISEGAVDQYFPPTVIVNVNHQMKLMQEEVSPFFKSEIKASVYTCMSFFLLTIVIFLILCLLHDSYHAKTVINSKFTPGKDSIQQGSNIDIKVATIVQVHYTSSVDELN >cds-PLY91528.1 pep primary_assembly:Lsat_Salinas_v7:8:114376772:114377905:-1 gene:gene-LSAT_8X74161 transcript:rna-gnl|WGS:NBSK|LSAT_8X74161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGNMLDPSKANDILKRVPVEPPFSLSDLKKAIPAHCFERSLIRSSYYVVHDLVVAYVFYFLADKYIPILPYPLAYLAWPVYWFCQASILTGLWVIGHECGHHAFSDYQWIDDTVGFIVHSALLTPYFSWKYSHRNHHANTNSLDNDEVYIPKRKSKVTLYSKILNNPPGRVFTLVFRLTLGFPLYLLTNISGKKYGRFANHFDPMSPIFTQRERIQVLLSDFGLLAAFYAIKLLVDNKGAAWVTCIYGVPVLGVFVFFVLITYLHHTHLSLPHYDSTEWNWIRGALSTIDRDFGFLNRVLHDVTHTHVLHHLISYIPHYHAKEARDAIKPVLGEFYKIDRTPIFKAMYREAKECIYIEPDEDSEQKGVYWYHKM >cds-PLY67973.1 pep primary_assembly:Lsat_Salinas_v7:2:12882288:12884189:1 gene:gene-LSAT_2X5541 transcript:rna-gnl|WGS:NBSK|LSAT_2X5541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g40410, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G40410) UniProtKB/Swiss-Prot;Acc:Q9FND6] MLSSTRCPCFHLINSIFSSNSNFKALRHIIRSQTRCLHNQSDPQSLSNAFYNHHNFQSQHNPSSLLSSVIRSITLCASIPICRLIHSRVVKCLNYNDGFIGDRLVSLYARLGSIKDAHHLFDEIPNKDLVSWNSIISVFCQKGEVSLSLNAFYRMRHEYEMNPNEITLISLIPACEILEGSYLHGFAVKNGLLSETKVLNSLINMYGKIGHLNMASKLFDTIKSPNLVSWNSIINVHIQSGLMEDSISYFNSMRRVSIYPDQATIVTILHGCADIGVGKLVDAFHGNILRSGLDKNIPILTTLLTVYAKSGRLSDSYELFKQMKNPDTIAWTAMLAGYAIHGYGKQAIEHFNHMIQKGLKPDHVTFTHLLSACSHSGLVNEGQHFFNIMSSVYGIEPRLDHYSCMVDLFGRSGRLKDARVLIDCMPMEPNSGVWGALLNGCKVYNNIELGEETARKLFTLSPLDSRNYIMLSSMYSRAGRWGDFSKVRGLMKSKNLVRTAGCSFIEHEHKVYRFVVSDKSHMDFMRIYNKLDEVMGKIREVGYTLNKEFVLHDVEEVKDDMVGEHSEKLAIAFGLLVCNEKMPIVIMKNLRICGDCHNMAKFVSLVEKREIIIRDTKRFHHFAHGLCSCGDYW >cds-PLY99224.1 pep primary_assembly:Lsat_Salinas_v7:6:184631185:184632855:1 gene:gene-LSAT_6X112940 transcript:rna-gnl|WGS:NBSK|LSAT_6X112940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFHRFPPRPFLSLPIIGHLYLLKKTLHRTLAKISNKYGPVVFLEFGSRKVLVVSSPSAAEDCFTTNDLVFANRPKLLAGKHLGYNYTTLTWASYGHHWRNLRRIASLEILSNNRIQMFTNIRRDEVLSLMSTLHKSSKNPGFVVVEMKSCFFNVTLNTIMRMITGRRRLYSDNKGEPKAARKFQEMVEETFRLSGASNIGDFVPLAKWIGVNSIEKKMVKLNQKKDSSIQDMIDGHRRMRSHDSLTIIDVLLSLQETDPEYYTDEIIRGLILVMISAGTDTSAGTLEWALTLLLNHPESLKKVVDEIEKKVGSSRLMNDSDLPNLPYLHGVINETLRMCPAAPLIPPHESTEECIVGGFLVPPGTMLLVNLWAMQNDPKLWEEPERFKPERFVDQVEGQRDHGFKFMPFGSGRRGCPGEGLAMRMVGLTLGTLVQCFEWERIGVEKVEMKEGPGLTMPKARPLVAKYRPRPHMVDLLTRI >cds-PLY75350.1 pep primary_assembly:Lsat_Salinas_v7:4:204869278:204872849:-1 gene:gene-LSAT_4X116021 transcript:rna-gnl|WGS:NBSK|LSAT_4X116021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTAIFLILALVTTVLFCATISTTAITAEIQALISFKENVRDPLGALDAWNTPTPAAPCDWRGVSCSDGRVREILLPRLGLSGRLSSRISELSHLRKLSLHTNNFNGSIPSALSQCSLLRVVYLQYNYFTGVLPPAFGNLTNLLVLNVAHNLLSGEISGNLPPQVRYLDVSSNALSGNIPGNLSVASEIQLINLSFNALSGQVPPIIGNFQNLQYLFLDSNRLYGTLPSAIANCSSLIHLSADNNQLQGLIPASIGELPNLQVLSLFGNTLSGSIPASLLLNTDNSIRIIKLGFNALTGLVKPPNSTTFSKSIQVLDLHGNHINETFPIWLTNLHTLTSLDLSGNSFVGNLPPEIGNLLNLEELKVADNLITGELPNEIRKCSSLNVLDLEGNRFSGLIPDIFGELQRLKVLSLGRNLFIGGIPSSIAGLSELETLNLSNNKLTGDLEQELTQLRNLTSLNLSNNNFSGTFPILVADFPGLIELNMSGCRFSGEFPAAISKLRSLSLLDLSKQSFSGQLPVDFFGLPNLKVVALEENKFSGDVPEGFSSLSSLEHLNLSSNSFSGHIPPEYGFLSSLTFLSLSNNRITNSIPPALGNSSILQVLDLGRNLLTGTIPATLSQLSHLKNLDLSHNRLTGEIPENISEISSLNSLLLNSNHFSGHIPESLSNLSNLTELDLSSNNLTGKIPASLSMIPNLKHLNLSRNDLQGEIPMPLSSRFTDPSVFEFNNRLCGKPLAKNCKKKISSKKKKLILLICLAAGGGLFLLLSCCGYVILLLRWRRKLSKMGKSGEPKKPSPARGSSGRDHSSGGENGAPKLIFFKNRITYSDALEATRQFDEENVLSRGTFGLLFKASFPDGTVLSIRRLPDTSAPESTFRREAESLGKVRHRNLTVLRGYFASRSDNVRLLVYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAFVHSIPMVHGDIKPQNILFDADFEAHISDFGLNKLTVATQVEPSTSTTASPIGTLGYVAPEATLTGETTKESDVYSYGVVLLEILTGKNPVMFNHDEDIVKWVKRQLQRGQVSELLEPGLIELDPESSEWEEFLLGLKVGLLCTTNEPAERPGMSDVVFMLEGCRVGPDMPSSADPTSLPSPI >cds-PLY68954.1 pep primary_assembly:Lsat_Salinas_v7:4:363404908:363411833:-1 gene:gene-LSAT_4X179580 transcript:rna-gnl|WGS:NBSK|LSAT_4X179580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRFHVNGKVVDTVDLLRKRHWPWRFDSWPFAVLYATWMIVIVPSLDFLDAFIIFGGLVVLHILVILFTVWSVDFKCFVQYSKVNDIHLANACKITPAKFCGAKEVVPLNFRKLAGSSTEEIYFDFRKQCFIYSNEKNTFCKLPYPSKESFGYYLKSTGHGTEAKVQVAAEKWGRNVFEYPQPTFQKLMKEHCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRLKTLSELRRVRVDSQVLMVYRCGKWTKVAGTELLPGDVVSIGRAAGQDGEEKSVPADMLILAGTAIVNEAILTGESTPQWKVSIMGRGPEECLSSKRDKNHVLFGGTKILQHTPDKTFHMKTPDGGCLAIVLRTGFETTQGKLMRTILFSTERVTANSWESGFFILFLVVFAIIAAGYVLKKGLEDPNRSRYKLLLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFSGVGGLSADLDLETDTKKIPTRTLEILASCHALVFVDNKLVGDPLEKAAIKGIEWSYKSDEKAMPKKGGGSAVQIVQRHHFASHLKRMAVVIRTEEQFFAFVKGAPETIQERLNDIPSFYINTYKRYTRQGSRVLALAYKPLPDMTVSEARNLDREVVETGLTFAGFAVFNCPIRADSATVLAELKKSSHDLVMITGDQALTACHVAREVNIISKPALILAPTKNKERYEWVSPDETEVVSYSEEEVEALAEDHDLCIGGDCFEMLLQTSAVVKVIPYVKVFARVAPEQKELIMTTFKSSGRVTLMCGDGTNDVGALKQAHVGVALLNAIPPPNPDNKPTPETKPTTKSKKPKPTTEPSSSTTITATPAASNRHLTPAEVQRQKLKKLMDELNEGDDGRSAPIVKLGDASMASPFTAKHASVSPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDIQATISGVFTAAFFLFISHARPLPTLSAERPHPNIFCYYVFLSLLGQFTFHILFLISSVSEAEKHMPEECIEPDSEFESNLVNTVSYMVSMMIQVATFAVNYMGHPFNQSISENKPFKIALLGAVVFFTVIASDLFRDLNDWLKLVPLPRELRDKLLIWAVLMFVGCYSWERFLRWAFPGKMPVVKKLKLIDGQKKSQ >cds-PLY67925.1 pep primary_assembly:Lsat_Salinas_v7:5:299145660:299147842:1 gene:gene-LSAT_5X161180 transcript:rna-gnl|WGS:NBSK|LSAT_5X161180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FATTY ACID EXPORT 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57280) UniProtKB/Swiss-Prot;Acc:Q93V66] MSSVISQTSCFSMAYNRLHLRARSHPHLLRSKVLMVMNNDGHAIKAYSSESSGSALYHRAAASKSHNDIVVKANSSTVEVVNGEEINEPEEHVVSEQKRSAKIHDFCFGLPYGGIVFSGGVLGFIFSRNTASLINGGLYGGALMALSFLSLKIWRNGHSSLPFILGQTGIAAMLLWKSIQTYSLTKKILPTGFHVVLSGAMLCFYTYVMLSGGNPPPKKVQSMAASQS >cds-PLY69592.1 pep primary_assembly:Lsat_Salinas_v7:8:10016135:10017374:-1 gene:gene-LSAT_8X8340 transcript:rna-gnl|WGS:NBSK|LSAT_8X8340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIKLKCSCGEASCPEWAIIELQGVVEVQPAFQERLRNLQIGILCRPSSQENYTFTVGYHELSGSKIPMKKPLLVLKKKIIKSDMEIDQKDDDDDDLNSSRVELEVIGIIRQRILFKTRPKALITKPQSPVKKKKATASVAIVEKQT >cds-PLY99308.1 pep primary_assembly:Lsat_Salinas_v7:7:179976030:179979977:1 gene:gene-LSAT_7X105821 transcript:rna-gnl|WGS:NBSK|LSAT_7X105821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSFFLFIATVSTLFATSSSSTITVNRNLTDGQTIISDEETFRMGFFSPTNTSTNRYFGIWYNKISVTTVVWVANRETPLNDTSGVLFLSDNGTLTLLNRTKNVIWSSNSSETGNNPVAKLLDTGNLMIRNSGDTNPDSYIWQSFDYPGNTFLPSMKFGIDFVRGINKNLVAWKTETDPSAGEYTNGFDPEGYPQILLRAGSEVRFNSGPWNGLRFSGMPNLQQNDIYTFGFELNSRELYYKYELVNNFTISRMILNPSGAIQRLIWIERRQVWDLYLTAQMDNCDRYGLCGPYGVCNIRENQACGCMRGFVPRFPDEWQRADWSNGCVRKAELGCADGGDGFVKMTGVKLPDTRKTRYNVSMNLEECERICLRDCNCTAYSSIDVRTGSGCLIWFDKLMDIRVYGENGQDVFVRMAASELHRNAEAKRKVRIIVIPVLVGFAVILGFCLFVFYKRRQKKKGITNLVREINFAIDNEREDWELPVFGFNTIANATNNFSDECKLGEGGYGPVYKGTLEGIEIAVKRHSRKSKQGLDEFQNEVKCIAKLQHRNLVRLLGCCIEEDERMLIYEYMPNKSLNSFIFDERKRKTLDWSKRYTIITGIARGLLYLHQDSRLRIIHRDLKASNILLDKDMNPRISDFGLARSMEGSKTEANTRRVMGTYGYMAPEYTIDGIYSTKSDVFSFGVLVLEIINGKKNRGFRHANHDLNLLGHAWRLYKNGKQLELMDDTIKGSYVQTQVNRAIHIGLLCVQKYPEDRPDMPLVVVMLGSQIPLPEPKQPGFYTERRRPQEADCSSSNPEWSSSNHLSVTYLQPR >cds-PLY81680.1 pep primary_assembly:Lsat_Salinas_v7:6:56939329:56940447:1 gene:gene-LSAT_6X42661 transcript:rna-gnl|WGS:NBSK|LSAT_6X42661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFYGLAVVTFGTIVPAGQFVPGIMSGSTYRHLVGMFVVRLYEKLNIEEGTHGLSDFVKPISSKGLSISDIHLTPDDLEMYIDSCWHG >cds-PLY84313.1 pep primary_assembly:Lsat_Salinas_v7:5:191460011:191460519:-1 gene:gene-LSAT_5X84360 transcript:rna-gnl|WGS:NBSK|LSAT_5X84360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY64681.1 pep primary_assembly:Lsat_Salinas_v7:7:138043341:138043839:1 gene:gene-LSAT_7X82301 transcript:rna-gnl|WGS:NBSK|LSAT_7X82301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYNSDLELLEIQRCKQNTKPPFLDGRVVFTKQAKPIMPLKDPTSDMAIISRKGSNLVREVHEKQSMNKPCQRFWELVGSKLGDILGVEKTAEQIDTDTTVVGKVDFKEEAKFGKHMKKTIC >cds-PLY94516.1 pep primary_assembly:Lsat_Salinas_v7:2:157358821:157360073:-1 gene:gene-LSAT_2X80441 transcript:rna-gnl|WGS:NBSK|LSAT_2X80441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFHGFAKAELVLELGLNSSSMAENTTKPTKKPYLSFDHPLEPSLSLALSGDSYGGAGGGSSFSNASVKRERDVASEESERVGAINTCGEDGEEDEDGSVNGRKKLRLTKAQSGLLEEAFKLHTSLNPQQKQKLARDLKLRPRQVEVWFQNRRARTKLKQTEVDCEYLKKCCKRLTDENQRLRQEVHELKTQKLLQPIYMQMPAATLTMCPSCEQNGDTNPASKNIPFTMAPKRDFFSPYSSSSAAC >cds-PLY90600.1 pep primary_assembly:Lsat_Salinas_v7:6:54739203:54741700:1 gene:gene-LSAT_6X40281 transcript:rna-gnl|WGS:NBSK|LSAT_6X40281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDFLYFVEHVASCPNLTEEYLSDLWISLFKSHQQNRFGKPMPWIGMYIALASLICILAMVADLLHGLRNRKLWFPCKYFTVNAASLTVIAVAIKLPMDLTNLMPSYEDQATKLGSVSFMCAIMSNLLPSLATMNSKELVTNMIALGVLVITLVVNVCIQINTGVLSYHEFETVVRIGSNDGAYKLFYPSVNIMLTIIYVVVLLMLLIIYACSSLAILKSKQILESKYQAAHQTTLKDQELQQPSVEKLKQHVNNYWIMAKTGSPQFMTASFATTSASGVICALTTVLHIWSMPIFRIPHTKDFKSDYNWLMLVIRIVQLIGVILGTIAPLARCFATLTFKLSVKWIWRHIKVFKVESYWTQKLSDWKYSSIPFASSRRQCKIVIQTLKILVLNICISFQLIVVVACKIITLIPIFFVICVLYCLRCWKWLKAMFRVSRVNWEQNPEEQLGKDKDLGRYVLQLEDDMEFPERTLKGILKSVNHLIQKAEKRQPNNLMKLLAESRGFEGVEKFDSHHVPPLLSEEYLNCWSLPLVTLTTIAMSLPNIQKNIVDRLLISGVREGLVYVTLVEESLNGTDDHVSIQNAAKTVWLEVEVYHTWLGNKLQKPDPQVNTAGQILQWLSDTAKKMVIKDEGMRTGVPNENSKWRCISANSMYRVTETILLSYHEDVDQLSQEELFVQISLMSADILAACLTNLPQVIAMKCHTRAIEKREASVHAAAQLLGEATKIINTLQERELPCLDPDELASIDKWRAYLKDPFP >cds-PLY72654.1 pep primary_assembly:Lsat_Salinas_v7:3:182676803:182681645:1 gene:gene-LSAT_3X109720 transcript:rna-gnl|WGS:NBSK|LSAT_3X109720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G65410) UniProtKB/Swiss-Prot;Acc:Q9AT00] MSSLVGSSVYPLNSRNVSYLSTGNRTSRKKVDTSSIYTRKFVCCSMAPQNLKPADEFRPSKFNDSSLNLFTEDLDETDVLIECKDVYKSFGEKHILSGVNFKIKYGEAVGIIGPSGTGKSTILKIIAGLLTPDKGEVYIRGRRRHGLISDDDMSGLRIGLVFQSAALFDSLTVRENVGFLLYEHSRMPRDKIQELVAETLAAVGLKDVEDRMPSELSGGMKKRVALARSIINDTTKTTIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHCKGNDALGKPGKIASYVVVTHQHSTIRRAVDRLVFLHEGKVVWEGMTHEFTSSANPIVQQFASGNLDGPIRY >cds-PLY76943.1 pep primary_assembly:Lsat_Salinas_v7:7:52291223:52293150:1 gene:gene-LSAT_7X39260 transcript:rna-gnl|WGS:NBSK|LSAT_7X39260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPRGARGGGRSGSHIGGRDAGDRNASQSHNNAESQPSSSSVRGSNILEQXGTSPSNPSKRKFIEVDSEKEFTDQISVIRAIACILKTMFDGPWTLWKKVDKEHRDAMWEYFKGLYVWPEATDVLARKVWEDCMKKRFPDIMRRAREESLKLAKAANVNASLEGDLNLLKDYHPNWIKKEYWEKMINEVWTTSKWKRLSQSGKNNINKLEDGSVSKHTGGSISIRQHKKRMQATLKRPPTGVEIYARLHTKRSTQEYITPKAAKVKVVYERVRNEMRGEMDAKAAEMEAKHQQMREEMDAKAAAIDVKQQQIDAKYEAMEKMYAALQNMMRN >cds-PLY80604.1 pep primary_assembly:Lsat_Salinas_v7:6:13872736:13873904:-1 gene:gene-LSAT_6X12141 transcript:rna-gnl|WGS:NBSK|LSAT_6X12141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESACVYEQTTVIHELTQGIEMAKQLRLNLNSAEAREFLIHKILSSYDKALFTLKSAGQPRANPLPESSLPKSSISTVSPKSGEFEFGFEFEFDENAVSKKRKASTPWENEVEGNTNDVYSWKKRRDENPTVYKGIPTCNNVVQSAPPPPPSPEKHEIKPTHHHQQLSTPNPGEVLSNLRANLSVNTWDLSAILPSSLSFSSTPFGFSDDDFEALSLPNHFDDELLQVYSPPFISPDTSESNYLTDWGISSSLDFTSDPEDLYPDFTLFNNCFL >cds-PLY73595.1 pep primary_assembly:Lsat_Salinas_v7:6:128768648:128774528:-1 gene:gene-LSAT_6X76021 transcript:rna-gnl|WGS:NBSK|LSAT_6X76021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESEQLMPGGGSGSHYVQMQSEPSRLSSFFSFHQNPPEGTRIFDELPKATIVHVSRPDAGDISPMLLSYTIDFQYKQFKWQLVKKASHVFYLHFALKKRAIIEEIQEKQEQVKEWLQNLGIGDHPAVVHDDDDDDPDDNVMTSNNEGSVRKRDVPSSAALPMIRPALGRQYSMSDRAKTAMQEYLNHFLGNLDIVNSREVCKFMGASKLSFCPEYGSKLKEEYVLVKHLPKISNRDDERKCSACFWFNCCNDNWQKVWAVLKPGFLALLKDPFDSEPLDIIVFDVLPPSDGNGEGRVSLAKEINEHNPLRHSFMVSCGNRSITIRSRNKGKVRDWVTAVNDAGLRPPEGWCYPHRFGSFAPPRGLTDDGSQAQWFVDGCAAFEAIALAIEGAKSEIFMCGWWLCPELYLRRPFQAHASSRLDALLEAKAKQGVQIYILMYKELALALKINSVYSKKKLVAIHENVRVLRFPDHFSSGVYLWSHHEKLVIVDNDICFIGGLDLCFGRYDSHDHKVGDDPATLWPGKDYYNPRESEPNSWEDTMKDELDRKNYPRMPWHDVHCALWGPPCRDVARHFVQRWNYAKRNKAPNEQGIPLLMPQQHMVIPHYMGNNIDLEDESSTILENHKVINQDDLSSSASSEDIPLLIPQEADGLEASNGSTNYHGQGQGQRGSSSSSSRPSRASFSFMKSKAETSVPDMPMRDFVDEGASFSLNVEKDLFADVAPPCGMMMKPSDKEWWETQERGNLVVSADETGQVGPCVACRCQVLRSVSQWSAGTSQVEESIHKAYCSLIEKAEHFIYIENQFFISGLSGDEIIRNRVLESLYRRILKAYNEKQFFRVIIVIPLLPGFQGGLDDVGAASVRAIMHWQYRTISRGNSSILHNLSDVLGPRVHDYISFYGLRAHGRLSHDGIIASSPVYVHSKVMIVDDNTVLVGSANINDRSLLGLRDSEIGVLIEDKEVVESSMGGKPWKAGKFALSLRLSLWSEHLGLHTSEINKIADPVIDSTYKDIWMATAKTNTTIYQDVFSCIPNDLIHSRASLRQCVSERKAKLGHTTIDLGIAPKSIESYEDGSVKGIDPMDRLEGVKGHLVSFPTDFMCKEDLRPMFKESEYYASPQVFH >cds-PLY69269.1 pep primary_assembly:Lsat_Salinas_v7:MU044427.1:9760:10254:-1 gene:gene-LSAT_0X42720 transcript:rna-gnl|WGS:NBSK|LSAT_0X42720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANFMSHTNTSFSIYIRYICFLLCFIQNTILSVFCFIGISKPIETHHHHHHQKRPESPPISAVLTREFLLTSKFKDIMGNDSSENCAVCLDEFDGEDEIRCLTNCKHMFHQKCLDSWMDKIHDTCPLCRTPILPLACQDEYKKRLRAATCLDNFYGEDFVILGL >cds-PLY92297.1 pep primary_assembly:Lsat_Salinas_v7:2:210352739:210354950:-1 gene:gene-LSAT_2X130721 transcript:rna-gnl|WGS:NBSK|LSAT_2X130721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECRAESCMMICDGPNDPGTNDSMVWPSRQEAATMISRFMSLPEHQRSKKMITHEKFLESILKRKEALIKELQTICMECRAESCMMICDGPNDLGTNDSMVWPSRQEAAIMISRFLSLPEHQRSKKMITHEKFLESILVRENNKLQALKRKNDQMEMEDILHKLLIESASVKLESEKLTQTYFYIEDLIQKIESKESSSQNVGFP >cds-PLY91226.1 pep primary_assembly:Lsat_Salinas_v7:3:75053156:75053737:1 gene:gene-LSAT_3X57900 transcript:rna-gnl|WGS:NBSK|LSAT_3X57900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEHDHYHQPRPFTTTPTQLKLFGIIVSKNQDAKPSKIPSLSSSTSSEGRKYECHYCFREFENSQALGGHQNAHKRERQHLKRIQLKANRNAVRKSMISAMAPPPRLLHLGGPVMPPSTTTTSPPWAYITYAAPDFRVPHAYESRAAPSSAIGGVGMSSLKGVEHSYVGPPFTRSSNGDRGANSGDIDLHLSL >cds-PLY89266.1 pep primary_assembly:Lsat_Salinas_v7:3:250426209:250428659:-1 gene:gene-LSAT_3X138380 transcript:rna-gnl|WGS:NBSK|LSAT_3X138380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTLSPTTSVTFHRFSGHQSLSDFRSKRYLNFTKLQCTGGGDNTTSTDTSATGQVSESGNLLLKTAWYGSELLGIAASFFRSPENIDDGANRGIELAGDRVGVIDRSIIVETIKEDYQRSYFVTGALTLDAYEDDCEFADPAGSFKGLRRFKRNCTNFGSLIEKSNMKLMKWEDFEDKGIGHWRFSCTMSFPWKPILSGVQEKRREEKR >cds-PLY92626.1 pep primary_assembly:Lsat_Salinas_v7:2:160060363:160062966:-1 gene:gene-LSAT_2X83740 transcript:rna-gnl|WGS:NBSK|LSAT_2X83740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDVHQEGFDMLATKKELNSDAMKRISDWIFSQEIPSDVTVCVRGVSFSIHKFPLVSKCGYIKKLLSDPNHADLSVVEIPDIPGGPEGFEFAAKFCYETNIELSKDNIAMARCVAEYLEMTEEYAIGNLVSVTESYLNESGFKNLSSAVSILQSSADFLPISEKVKLIDRCIDTIAFMVTEESQVCLFGSADSSNELNSNSLSSSSHARSVVDWWAEDLIVLRIDIFEKVLLALVSRGFKQFALGPVLMLYAQKCLRGLEIFGKSKKKLEPNQEHEKRVILETIVGLLPREKNAVSISFLSMLLRASNYLDTTVSCKLDLEKRMGLQLGHAVLDDILIPSFCFDGDTLFDVDTVQQMMMIYTENNFTDTCPIDHVSPTQTDNENVGKIIESFLAKIASDRNMLVSKFINFVECIPPQVKVTEDGMYRAIDIYLKAHPAISDMDRKKVCSLMDCQKLSREARAHAAQNERLPVQTVVQVLYYEQQRLQETTTTGGNEYDHSATNSKSFTSTPSSPSSVASVSDEISSLKKENQELKFELLKMKTRLKEIERSGGNKTRVVNNNTSTAGSGKPPLPKKSLISSVTKKLGKITPFLHADGFLPSSTRGRNKPDKDRRHSVS >cds-PLY61778.1 pep primary_assembly:Lsat_Salinas_v7:8:77073268:77075453:-1 gene:gene-LSAT_8X55580 transcript:rna-gnl|WGS:NBSK|LSAT_8X55580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESMKAKLVMTKEEVEAFLTDPDCDTTGVRGLIKLVSETLNLCIQNAITKITKKGYTKEAAENAILRCGPLTPFYCSEGVESNIAECALLSLKSNNKKYNDSADYTFQELNELVDFTVLEMVATLRDSKPNLSVSEAIWCLLMCDLNVSKASVMERDLQQMGTSAEPEIESGSKSEPKNQETIASNVKRPPSQSSKSGKKTDDICTCRNWCAVATHKRDATLRGKAALLVEKPYKGRRIRKGLKKQVFSLKELSLVNKKSPSSSSSSSSSSSEQNKNTSLALKETEEKPKGTPKSEQPKTLDYYLKNIPCDEKGEYVPRDDKDILRLEAVAQIEALQKELQGWDDWATSKVMEVTKRLNQNRSEVNMLKAEMKDNEAMDENTAKRLLETTLTLNTTNSELNVANSTIVKLKTEQSVIKEEMERERNRSIFQAKRLEQALIKEEEARKKSQSAFGSEKTSLEEELKVLKRQVGQKQQELEKAKCLLSETLIRVAKEERETAKVVNKAECIKNERERIEALAMAEYEIMKGKAEEEFKKNETEKKRIEYEISALKLEAESKRIAEMYMSMNMNMDSSKGLEGFRNMSKKKKKKKKMKKKKKDRECVMCLNEEMSVVFVPCGHQVLCKACNVKHEERMNECPSCRTPIQKRINARFAKS >cds-PLY70483.1 pep primary_assembly:Lsat_Salinas_v7:1:72020203:72023380:-1 gene:gene-LSAT_1X61900 transcript:rna-gnl|WGS:NBSK|LSAT_1X61900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPGKALEFYQEANDWFCNAGLPSDIVIIIDKVNFHLHKFPLSSRCGKIDKLIKETQNTDIITLEDIPGGTNAFLIAAKFCYGVRVELTPRNIVLVYCLAEYLEMFDEYGDDNLLARADTYFHKHVVKNWKDCMVALQSCDTFTTQADNLQIISKCLNAISMMACTDPSLFGWPMMMYGRLQSPGGSILWNGINTGARIRSSESDWWFEDVSYLSVPLFVRLMKMMEARGIPPEKLTGAIMYYCRKYLHGLGRWKSGQQHTAKARSIASLSMKPAIVDQRVLLESIVKLLPEKKGKSFCRFLLGLLRVALILGVNDKCQDSLEKKIGMQLELATLDALLIPTYSNSDTLYNSDCVEKIINHFLNSEKTATPFSPPSIASEVTPSSSSLPLKKVSKLVDNYMAEVASDVNLKPEKIRSLAEALPESSRSLNDGLYRALDLYFKAHPWLHEKEKEDLCNIINYQKLSIDACAHASQNDRLPLRVHLQVLFFEQMQLKAALSASSNLVLDNENQNQNQPPLMASQIVQRDGWVTIVRENQVMKVSMERLRSRVGELEQEFNRMKEEMKRVSRTTTTHNNTFLESNWFVSRTFGKCKLNPRSSNVQEDVVESTGPATPRGSVDQPRVSHHSKHR >cds-PLY96841.1 pep primary_assembly:Lsat_Salinas_v7:2:108634705:108639880:1 gene:gene-LSAT_2X49580 transcript:rna-gnl|WGS:NBSK|LSAT_2X49580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAASRSLASIDCSTRFTAGRHSISSIVRVKRCNNVTRVVGTEPKPKAVDPLRSIIVDGSSINNTSTGIRAKLVSKDFAPKWDIPNLDIPTSEPELNLPITLREHFHVNFLGLS >cds-PLY71173.1 pep primary_assembly:Lsat_Salinas_v7:2:132802106:132809457:-1 gene:gene-LSAT_2X62960 transcript:rna-gnl|WGS:NBSK|LSAT_2X62960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPFKVLQLLILTLLTSSIEAQLTKPRCDTACGDVQITYPFGIGRECSANEWYTINCNSSTPYLSALNNVEVLVLDINLATVTVNISLISVDCQNPVQYSHLILNTSYGNSPFLFSASNNILIVNGCGSAAIMENGTVVGGCSTTCGTTTNTVIVTDSDTNNCFGIGCCQTTIPHDLHSFTLNLTGMEKQDRDGTCSGSAFLVAADYAREFSSQFNDNFAPISLSWNETFDENSIRCNETCGDVSIPYPFGIERSCSESDWFNVDCNSSTPYLSAFNNLEVLGISLENETLTVNVPMFSNCENLVYNNSLNLNGSPFRFSGSYNILVVEGCGSAAIMYNGSVVSGCSTTCGNDIVIEKNNCFGIGCCQTMIPDYLQSFTYDVTGLKRQTGNKSCGSAFMVDMKSFLEGRMSQQFVPVTLGWWLDPGLLIAACDWCRRYGGFCQQNYTEGGGWSRRCIYRERRNTESHTGVIEGVSISMGSLLLMVIVYVLYTINRKTKAKMKTKRYFKRNGGILLKQQQATDIGLVDKTILFTSNELSKATDNFNENRILGRGGQGTVYKGMLSDGRIVAIKKSKVVDESQLEQFINEVVILSQVNHRNVVKLLGCCLETEVPLLVSEFISNGTLYDFIQDETNEFSISLNMRLQIATEVAGALSYLHSSTSTPIYHRDIKTTNILLDEKYRAKVSDFGTSRFVSLEQTHLTTLVKGTFGYLDPEYFQSSQFTEKSDVYSFGVVLLELLTREKPISLTKFGEHRSLVAYFMEAVEEGRVLSILDAMVVKEGSMSELLAIANLALRCLNLNGKNRPTMKEVSIELEGMRLSHVPFTIQTSFGHISKFLATMAPEMCCVMEINFEAGEEKLTGGVGGGRHWSGGPGEGKQVAGGGVRLKKNHVPLLP >cds-PLY85590.1 pep primary_assembly:Lsat_Salinas_v7:2:119658588:119659316:1 gene:gene-LSAT_2X55181 transcript:rna-gnl|WGS:NBSK|LSAT_2X55181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTVKRKLSLNNTAVSVGCGSACRRINLSKIFHRKPNKHKHSRYYSDVDRHHHHHSSSSVSASTSWSTTTNTTTATLSPNASDTATHDSNVVQGFGWLSGNSLAVEKDSNDPYVDFRESMLQMIREKEIYGKDDLRELLNCFLQLNSPYYHGIIVRAFTEIWNSLSV >cds-PLY93883.1 pep primary_assembly:Lsat_Salinas_v7:4:270496416:270501078:-1 gene:gene-LSAT_4X139401 transcript:rna-gnl|WGS:NBSK|LSAT_4X139401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVRARAPGKIILAGEHAVVHGSAAVAASIGLYTSVTLRFHSPSDNDDNTLTLHLMDVGLNFSWPIGRIKEVLPDSTNTIASSPTTCSPETIKLIATLVEEHEIPETKIGIASGVIAFLWLYTSIHGNKAATVSVSSELPLGSGLGSSAAFCVSLCGAFLGLSDSVKVDFGQHGWAAFGEKEQQLVNKWAFEGEKIIHGKPSGIDNTVSTFGNLIKFKSGDLTRIKSNMILKMLITNTKVGRNTKALVAGVNERKNRHPDAMTSVFTAVDFISNEFASILQSSSDDHLQKEQKVEELMQMNQGLLQCMGVSHASIEAVLRTTLNYKLTSKLTGAGGGGCVLTLLPSLLSSTVIDKVIEELEECGFQCLIAEIGGNGLEICFDGLS >cds-PLY78119.1 pep primary_assembly:Lsat_Salinas_v7:2:61627801:61631754:1 gene:gene-LSAT_2X27580 transcript:rna-gnl|WGS:NBSK|LSAT_2X27580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADALVTVAAEAILKKLASIAVNEVALAWGYKEKLYTLERTLKMIRAKLQDAEIQKGQKHGVMEWLKQLKDVVGEADDVLDEFHYEMLRREVKNRGRMAIKVPSLPSLKKLLFRSEMGHRIKNINEKLSQINKQANELGLQNEQPGPVVVPDRPYRETDPNLGEFKIVGREDEEERIIHLLTESRKEEKLTIVPIVGMGGMGKTTLAKSVYNNPKIQQHFDVKAWLCVSVKVDINTLLAKIYESVAGEKPMSETMVNLVRDLEKKLGAKRYMLVLDDVWDEERLYWEDFRSVMINVKSQIGSGILVTTRKLDIGTKGMTMDSCPLKGLSDDYCWYIFKERAFLVGQSPQPELEKLGCDIVKKCRGLPLLLNVIGVTLRSGWPIKNSKVWDLEDERERVQKSLELSFDNLPSSMAKQCFAYCSIFEKDTRMEREELVRLWMALGLVQADEENDKEMEDVGNDIFQILVNNSLFQDVERDEYYGHITNCSMHDLVHDLSLSLSKHESLCLVDATNADIACIPQVKHLAFYQEQEQNMGDELKTKVSTFIERNPVARTLHSMFIKVRVETKFSLQQLKCIRILTLKGHRIEKLDDSVGGLVHLRYLDLSNTDISVLPKSIGKLYHLQTLKLPFGFEQFPEAMRNLIGLRYFKCSKNIPANIIGQLTSLRNLPSFRVLRRKGHGIEELRHLNNLGGKLRIGGLENVRSKEDAVTADLSRKKNLYDIQFEWSWNCGGANGNGDEDANRNYKDVLEGLQPPGDVKILTIKNFSSDNFPEWVMKMAINVHGKETPLDKLVKIRLDRCRSCLSLPTLEDLPHLRDLELEHMDSLTCLRSSDVTGSSRPLSPSLRSLRLKYMERLEKWIDGATNCSKMISPVLVKLVIVHCPKIILLDECHPHPLVSLKIRDCNGLVSIKSIQGLTSLASFSIQSCPSLLGIANLSGDNYLASVTKMAIDVEAKWTPLDKLVKMTLYNCRNCLSFPTLEHLPHLRDLELEHMDSLTCLRSSAVTGSTKPLCPSLRSLRLIHMERLEKWIDGAPNSSKMISPVLEKLEITYCPKVFLLDEYHPHPLVSLIIQNCYGLEYIKSIQGLTSLVSLDIFSCPSLLEITNLPKQCHSLKTLQITDCNKLTYLPLKMFDCYSFLNELRVGPFSKELDSFPSLQGIEKLRNHLHSLNLKGWDDWESIPEEIQHLTSLTKLTLVRFGIPKVPMWLTNMSSIRYLSFYDCNRLNKETVRRGAPREATVVELNNVKC >cds-PLY65236.1 pep primary_assembly:Lsat_Salinas_v7:8:21054865:21056487:1 gene:gene-LSAT_8X38201 transcript:rna-gnl|WGS:NBSK|LSAT_8X38201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNNHFLSMDSSLFDPAVDLNLPPDINLPLSAEPSHPPPVSIDSCDMLEPGLGSQHYQSETHINVTKSGKKTAKRLDSMWGAWFFFNFYFKPVLNEKSKNKNMDNNGHDIDKSQLKLDVFLVQHDMENMYMWAFKERPENALGKMQLRSYMNGHSRQGEKPFPFCADKGFVRSHRMQRKQYRGLSNPQCVHGIEVVRSPNLMVLEEEERRRWMELTGRDLNFSITLEACDFSSWRNLPSTDFEIERALIPKDTANHHHQQQEPKRLLSSTGTGTATGDTITSVPDLLAGCNGKRRKSNSPRGNHDEESSDSVLDVHQVDPHWTSEISGVLRSAYGPVTAAKTIYEDEEGFLIVVSLPCVDLQRVKVTWRNTISQGVVKICCVSTGCRPVLERQNRTFKMSDPAPEHCPPGEFVREILLPTLIPEDAKLEAYRDETGTMLEIMVPKHRVGPEEHEVHVCLRSSPSVLMLT >cds-PLY73895.1 pep primary_assembly:Lsat_Salinas_v7:3:38928889:38931445:1 gene:gene-LSAT_3X30141 transcript:rna-gnl|WGS:NBSK|LSAT_3X30141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLNILYLLLLLFATTAHHHLRAAALPLSTNSRWIVDDGNGGERVKLSCVNWVSHLDAVVAEGLSKQPVDMISKKILEMGFNCVRLTYPLFLFTNDTLGSVTVRQSLRKLGLIESIAGFQANNPSIIDLSLIKAFQEVVSSLDRNNVMMILDNQISKPGWCCSDFDGNGFFGDQYFDPDVWLKGLTKVATIFNNSTNVVAMSLRNELRGPKQNITIWYRYMQKGAEAVHAANPNLLVIMSGLSYDKDLSFLQTQPVTLTFSQKLVFEVHWYGFSNSEEWENGNPNEVCGRVVDSITRKAGFLLDSGYPLFVSEWGIDQRGTNDNDNRYLNCFLAWAANHDLDWALWTLAGSYYFRQGVVGMEEFYGVLNWDWCGPRNSSFLEKISAVQSPFQGPGLSNTRPHKIIFHPSTGLCVQRRSFFKPLILGPCSEAEHWDYTAREILTIKGTYYCLQADGIGKNAKLGIICTDQSSKWEPISASKLHLSSKINNGTIVCLDVDSENNIVTNNCKCLTNGNTCDPASQWFTIINATSGSSAAKGYFPISSILQSFGANLLV >cds-PLY82967.1 pep primary_assembly:Lsat_Salinas_v7:1:17896506:17897381:1 gene:gene-LSAT_1X15520 transcript:rna-gnl|WGS:NBSK|LSAT_1X15520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPDLGSDSDVVEGNALDFGINEANLRLEDFQLEDDNERGETNPNEDFEWEEVDGRIDDREVLNMMFESEPDDDTSDLPRTHPDSHESEEEQPHQWEVLLNVHNPDLEPGTYDDESNYTEYEMFIDPSSFGRPPASMTVVKNLLSVVITDEDFEKNNIRCAVCKDEIGVGVMAKQLPCGHRYHGDCILPWLCIRNTCPVCRHELLTDDPEYERRKAERGVGDQ >cds-PLY75700.1 pep primary_assembly:Lsat_Salinas_v7:8:195569197:195571926:-1 gene:gene-LSAT_8X125881 transcript:rna-gnl|WGS:NBSK|LSAT_8X125881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSTSLTPASQLSGAKSAAASPPLFSGLRRSSKLESASLSTTQSFFQSVNSHIRLSSASTRPCTGIVAMAGTGKFFVGGNWKCNGTKDSIKQLVSDLNSATLEPDVDVVVGPPFVYIDQVKSSLSDRIEIAAQNSWIGKGGAFTGEISAEQLKDIGCKWVILGHSERRHVIGENDEFIGKKAAYALGQNLGVIACIGELLEEREAGKTFDVCFKQLKAYADAVSSWDNIVIAYEPVWAIGTGKVASPEQAQEVHVAVRDWLAKNVSPEVASKTRIIYGGSVNAGNSAELAKKEDIDGFLVGGASLKGSDFATIINSVTAKKVAA >cds-PLY67918.1 pep primary_assembly:Lsat_Salinas_v7:5:298492956:298496086:-1 gene:gene-LSAT_5X158740 transcript:rna-gnl|WGS:NBSK|LSAT_5X158740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKSSGATRKADTKLAVKKTAAKGKAAKDPNKPKRPASAFFVFMEDFRKQFKEDHPDNKSVAAILIWISLVYNYQVGKAGGAKWKSMSDSEKAPFQAKADKRKKEYEKNLDTYNKKLAGGGNDDDDEDSDKSKSEVNDEADEDESDDELFDHQTKGGMTLKPFLLQPQTTFVKFLQDNPRSVRSSAALALGKLSALSTRVDPPVRGLLSNLLASDGGVCEAILVALKGVVKHAGKSVSGPVKTRRFSSLHSLAFIASVPPLGFTTYVKKKRAGRDGKIDGLSLSDPKAYKNDHPQLIQICTSTFRESSKYEESSYLRILLDSRDAWLGPWIPGRNV >cds-PLY76674.1 pep primary_assembly:Lsat_Salinas_v7:4:117655100:117658481:-1 gene:gene-LSAT_4X73600 transcript:rna-gnl|WGS:NBSK|LSAT_4X73600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMMSSDERAVAVIMVGGPTKGTRFRPLSLNIPKPLFPLAGQAMVHHPISACKKIPNLAQIYLIGFYEEREFALYVSSISNELKVPIKYLKEDKPHGSAGGLYNFRDLILEDNPSHIFLLNCDVCCTFPLPEMLEAHKKYGGMGTILVIKVSPESADQFGELVADPNSNELLHYTEKPETFVSDRINCGVYVFTPEIFTAIQGVSTQRKDRANLRRQSSFEALQSATRSLPANFVRLDQDILSPLAGKKQLYIYETRDFWEQIKTPGMSLKCSGLYLSLFRYTSPHLLTEGDGSKSATILGDVYIHPSAKVHPTAKIGPNVSISANARIGAGVRLINCIVLDDVEIKENAVVIHSIVGWKCCIGRWSRVQAEGSPNAKLGVTILGEAVTVEDEVVVINCIVLPNKTLNVSVQQEIIL >cds-PLY88787.1 pep primary_assembly:Lsat_Salinas_v7:4:93132803:93134507:-1 gene:gene-LSAT_4X61161 transcript:rna-gnl|WGS:NBSK|LSAT_4X61161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLIGGKPTGFCKDGCSAIVDSGTSMIAGPMNAITEINLAIGAMGIINDQCQKAIGNVGNLVFDMFSAMFKEPGKLCSRITICVPGDKATDGSDIRSVVDMSDGLTGPPQVPICKACEIVVDFWHKVLTNNLSRGAVLKLGTQLCAVTGLAGESTVDCARLPFMPTISFTIGGKEFELSPNEYITKIGEGASAQCVSTFVPLDNPPDVGPLWILGDAFMRRYHTIFDHGNLRIGFAEAA >cds-PLY62818.1 pep primary_assembly:Lsat_Salinas_v7:4:30505783:30507095:-1 gene:gene-LSAT_4X16820 transcript:rna-gnl|WGS:NBSK|LSAT_4X16820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIRDASRTSILSKKWRHCWKGMPKLVFDESLINVSSDIEEVKTYKLVITISHVLLLHRGPISELCICITDAFIKNEFDLIILHLSWSKNIKKFIFKVYRYGEYYKLPCSFLSLQGLEHLDLRCCEIEVPSMFNGFSMLKSLKFYEVNINATMLQRFLISCPLLEEFTWIGQYVTDFRGENKFTFADLCKCLPSVQVVNISGVYIEYFLAGVMSQKLPTSLVYLRVLVLEVCFHEQDQISSTLCVINSSPNLEKIKLKMVGLYVEQTLTALLDPQDYSGLKLDHLKELEITSFHNYAPEMEFLKLVIAKAHVLEKARIELCTFVSVDKENKMLRDLLNLPFPRASPTARFIIENEAN >cds-PLY84667.1 pep primary_assembly:Lsat_Salinas_v7:2:151541420:151542160:-1 gene:gene-LSAT_2X78000 transcript:rna-gnl|WGS:NBSK|LSAT_2X78000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQYGGEQYAHKEGHHTDEHAHNPLQSTGAVGHDTIDATKINSIGTGAAHGHAHEQVKHNDAGAGGVLHRSGSGSSSSSEDDGEGGRRKKKGVVEKIKEKLPGGDEQKTSSATTTVGTGVGVGYQGEEGHEKKGLMDKIKEKLPGSHQ >cds-PLY94766.1 pep primary_assembly:Lsat_Salinas_v7:2:180321071:180321256:1 gene:gene-LSAT_2X102340 transcript:rna-gnl|WGS:NBSK|LSAT_2X102340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTLMAQQDCIWLIMYNEGTPKCSKTHAFCPWSILNTQLYIFKFKTQSNIQETTQIGQQHF >cds-PLY87282.1 pep primary_assembly:Lsat_Salinas_v7:4:331078424:331080332:1 gene:gene-LSAT_4X164300 transcript:rna-gnl|WGS:NBSK|LSAT_4X164300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQSSSSHSPSPAGSTHHHQRSITRSSSSYSARSEILGFRYSKEEACFDFPDATTSFIDYTSEIPDDCLAVVFQFLSAGDRKRCSLVSRRWLLVEGQSRNRLAIDANSGLVPFIPSIFSRFDSVTKLSLRCDRRSVSIDDNGLIMISLRCLNLTRLKLRGCREITDVGMAALAENCKGLKKFSCGSCMFGAKGMNALLDKCSSLEELSVKRLRGINDGVTAEPIGPGAAAKSLKTVCLKELYNGQFFGPLISGAKNLKTLKLLRCLGDWDSLMEMIAVPENSLVEVHLERLQVSDIGLSALSNCSKLEILHIVKTPDCTNVGVISIASHCKYLRKLHIDGWKTNRIGNEALIAIAKNSANLQELVLIGVNPSSISLEAIATNCQKLERLALCGSETIADTEISCIASKCVALKKLCIKGCPVSDEGIEAFAWGCPNLVKIKVKKCRNVTYEVGDWLRARRGSLVVNLDVCAVEAEAMDASASDNGVQEDMEITHVAVAQPHPLATSNSVRGSIFKTRFGLFGARGIVTSTFRRFSNGNTNTSSNGCS >cds-PLY88116.1 pep primary_assembly:Lsat_Salinas_v7:9:8155352:8156081:-1 gene:gene-LSAT_9X6841 transcript:rna-gnl|WGS:NBSK|LSAT_9X6841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRFTPTNVKDFGKNSVTTLYVNHAAILQVLGAICFSRVDIIYLLCIPSRLQKGHINLYPSKI >cds-PLY75486.1 pep primary_assembly:Lsat_Salinas_v7:7:75506023:75506767:-1 gene:gene-LSAT_7X54461 transcript:rna-gnl|WGS:NBSK|LSAT_7X54461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSNVNRWRAVSSFIGRSGSRRRLSFGERLGLTAIVSSSDDEEQEHDNHCDSGSCSHGKVLEKTRSFHIQRTMSFPEEDDVDKRAEMFIENFYRQLRYERQVSLQLRYRRDTSFGSSDSNSP >cds-PLY91440.1 pep primary_assembly:Lsat_Salinas_v7:7:133465945:133467634:1 gene:gene-LSAT_7X80281 transcript:rna-gnl|WGS:NBSK|LSAT_7X80281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNFMVHLLASWHNFSHPQGALAQPLSCNFFLAHDQLPLAPSEPTTSVSISPFYASSQALAQHLCRVMAQNLGQALVQLRPPSRVCSHGELVVNMENLKSSWSTYSLHRVLAADIEKLMNMEHLKKAISTIMISDGTSKTYVEHQDIGGSSRILMAHQKHCWIMHNPVDQQDVDGST >cds-PLY79964.1 pep primary_assembly:Lsat_Salinas_v7:9:45982764:45984913:-1 gene:gene-LSAT_9X42481 transcript:rna-gnl|WGS:NBSK|LSAT_9X42481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVGVAVRTLLSVLGCFMVAVLIYTIATDGLPFRTELLTRWMAALLIDFYIHIAIIGAWVVYKESSWIIASVWVILLICFGSVTTCGYIVLQFYKLTPEESSKDPIYFVLVTHQKRDDMEHKKGLSVVIARVIFGVLGCLMLGTLLYTLIVDGSPFRAELYTPWIVATLIDFYINVVALSVWIAYKESSWISALLWIVFLVCCGSITTCVYIVKQLFYLSPDQPISLILFKNNHRDLKAIDPPLIVGVNE >cds-PLY96870.1 pep primary_assembly:Lsat_Salinas_v7:2:110475939:110478480:1 gene:gene-LSAT_2X51761 transcript:rna-gnl|WGS:NBSK|LSAT_2X51761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQTSVLLIGILLVIVSIANADSSAQVLVKKVKGKKVCDKGWECKGWSEYCCNLTISDYFDTYQFEELFAKRNSPVAHAAGFWDYKSFITASALYQPHGFGTTGNKTTQMKEIAAFLGHVGSQTSCGYGVATGGPTAWGLCYNKEMSPPHEYCDDFYKYTYPCAPGAGYYGRGALPIYWQYNYGKIGEALNVDLLNHPEYIEQNATLAFQAAIHTWVTPMKKGQPSAHEAFVGTWKPTKNDTLAKRFPGFGTTMNILYGDRTCGKGDVDDMNTVVSHYLYYLDLMGVGREEGGPHEVLTCAEQKPFNPSASTQASSR >cds-PLY66395.1 pep primary_assembly:Lsat_Salinas_v7:4:119707460:119709968:-1 gene:gene-LSAT_4X75100 transcript:rna-gnl|WGS:NBSK|LSAT_4X75100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPNNSINQIDNHIDDDGDQNSFPCHCHQIITYSRKRKHSQSQVITLIDSTQVNPLSESSILGLVLFAHTFSYDIQNLTESLLLEILARLPLKSIFSAHALPPSYRSLMTTDGSRILDLYVDDGKRFIWQVRGYDYCSKRLWIPSTAIKTVGGRLIASTLVQERSHLSNRSMDDHNRGSGQMMDGTQINIDVTALGAIIALGLMYLKTELQAILSRLCIPQTYFELQYVRPHFIMLHVISSNLIMWSRVHPSEDWIQGQIPKVVLNGIKGFIHQKIASTSHQETSDYFSDESRRIHCTKCDLMHIWKLKSC >cds-PLY72077.1 pep primary_assembly:Lsat_Salinas_v7:9:197030796:197042200:1 gene:gene-LSAT_9X121780 transcript:rna-gnl|WGS:NBSK|LSAT_9X121780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEELVQLSDSMRQAAALLNDEDVDENSSSSSRRGSTFLNVVALGNTGAGKSAVLNSLIGHPALPTGEGGATRAPICIDLKRDENLSNKSIVLQIDSKSQPVSASALRHSLQDRLSKISSKSRDEIYLKLKTSTAPPLKLIDLPGVEKGNLDDSLSEYAQHNDAILLVVIPAAQAPEVASAKALRIAKEYDGECTRTIGVISKVDQASADPKVLAAAQALLLGQGPRSAADIPWVALIGQSVSIASAQSGSVGSDNSLETAWRAESESLKSILTGAPQSKLGRIALVETLAHQIRSRMKIRLPNLLTGLQSKSQIVQDELVRLGESMVSSSEGTRALALELCREFEDKFLQHIMTGEGSGWKVVASFEGNFPNRIKQLPLDKHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKIVLELAKEPSRLCVDEVHRVLVDIVSASANATPGLGRYPPFKREVIAIATTALEGFKNDAKKMVVALVDMERVFVPPQHFIRLVQRRMDRQRREEEIKNRSSKKAVDAEQSLLNRASSPQTGGNLKSMKDGKQDKDAQEGPALKTAGPEGEITAGYLLKKSAKSNGWSRKWFVLNEKTGKLGYTKKQEERNFRGVITLEECNIEEMEEEEPPAPSKKDKKSKVVEEKAPSLAFKITSKVAYKTVLKAHSAVVLKAENVAEKVEWLNKLRVVVGAKGGQVIMKADGPPIRHSQSEGSLDSMVRKPADPEEELRWMAQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNKLYSSISSQTTPRIEELLQEDGNVKRKREKIQKQSSLLSKLTRQLSIHDNRAAAASNMSNGSPAESPRTSGPSTGDDWRSAFDSAANGPTDLSRFGSNGHSRRNSDPSQNGDVDSGSNSGSRRTPNRLPPAPPGSGYRF >cds-PLY75409.1 pep primary_assembly:Lsat_Salinas_v7:6:177967297:177970715:1 gene:gene-LSAT_6X108781 transcript:rna-gnl|WGS:NBSK|LSAT_6X108781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVTSTNIIQNHDFSNGLHSWYTNSCDGVVVEKQSTRYAVIANRKETWQGLEQDITTRISPGLTYTLIAHVGVSAEHPHLHLHLHGHADVIATLKLEYHGSETKYMQITRTSVSKERWEKLEGTFVLPEKPDRVVLYLEGPAPGVNILIKSLVVTCATSHGIVEGCNVVEDENIILNPNFEDGVNNWSGRGCTIARHDSMGKIVPKFGKFFASTTQRTQNWNGIQQDISGRVKRKLAYSVIATVRIFGNNVTSADVRATLWVQTPDSREQYIGIATAQATDKEWMQLEGKFLLNGSASKVVVYLEGPPPGVDILLNGMVVKHAEKIPPSPPPYIENVDYGVNIITNSDLREGTNGWFPLGNCALGVTTGSPHVLPPTARDTLGPHEPLSGHGIHATNRTQTWMGPAQMITDKIKLFVTYQVSAWVRLGHGSSGSHNVNVALGVDNQWVNGGQVEVNDDRWHEISGSFRIEKEFGKIMVYVQGPAPGISFMLAGFQIFPVDRKARFKQLKQQTDKIRKQDVTLKFSNLDESNMQGKMVIIKQIQNSFPIGSCISRSNIDNEDFVSFFLKHFNWAVFGNELKWYWTESQQGNFNYRDADDLLEFCNRNNIPVRGHCIFWEVEDTVQNWVKNLSKSDLAIAVNNRLTGLLNRYKGKFKHYDVNNEMLHGSFYRDRLGNEIRPNMFKIANKLDPSSVLFVNDYHVEDGCDTRSSPEKYIDQIFDLQEHGAPVGGIGVQGHIDSPVGSIVGSNLDKLGLTGLPIWFTELDVSSVNEHVRADDLEVMMWEAFGNPGVEGIVFWGFWELFMSRENSHLVNAEGEVNEAGKRFIEVKKEWLSHAHGFIDEENEFRFRGFEGTYEVEIVGICEKIVKTFVVEKSGSEVVVVSIGL >cds-PLY86903.1 pep primary_assembly:Lsat_Salinas_v7:5:266292491:266295437:-1 gene:gene-LSAT_5X137600 transcript:rna-gnl|WGS:NBSK|LSAT_5X137600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISNLTVFFCIVWVATLLYGEKFAFWVPSYWSCSWPSPPMDNPGDYVKIAVVTDPQLMDRTSLHLAPKSLTLEVVQFYTDIYMRRAILGSIAPFKPDMILFLGDYFDGGPVLSDEEWQESLNRFRHIFDLKNLERATNNQVYFLSGNHDIGYAAYHSRMPQVINRYEKVFGSRNYNFTAGKVEFVAVDAQTLDGHPQQNQTSAAWKFVTSVSRETHSPPRVLLTHIPLFRQDSTSCGSQRSSPIINQRISRATDDHEIVYQNYLTEETSKKLLDYVKPVLVLSGHDHDQCSVTHVAEHGPVLEETLGTISWQQGNLYPSFMLLTASKVTVSNEWDYVSTHLCFLPTQLFIYIWYIVLFVVTLVVILLWPTNGLNIQLHIGELMSDMLGIFKSSKEKDEDENCEYEMVWDAEGSMHLIKKASKIMPTRSNERVERGNATMRAVGKKQILQEINVTMPQDVSGQLGSTDGKVGPFKTKSSNVRVVVRRFMRVFRVISVVAAVNVPIYIMLLFSDWIDK >cds-PLY83423.1 pep primary_assembly:Lsat_Salinas_v7:5:330266581:330267652:1 gene:gene-LSAT_5X186760 transcript:rna-gnl|WGS:NBSK|LSAT_5X186760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKKITCLNLFENIDLATELKLGLPGTDDQPEMKMSFSGNKRSSSEMGSSTCTDENESRFSPPTKEQVVGWPPVRSYRKNVLQGNYVKVSMDGAPYLRKIDLKMYKSYGELMKGLQEMFKCIIGLYSEREGYNGSEHAPTYEDKDGDWMLVGDVPWEMFISSCKRLRIMKGSEARGLGDSL >cds-PLY83328.1 pep primary_assembly:Lsat_Salinas_v7:1:63927936:63930421:1 gene:gene-LSAT_1X53480 transcript:rna-gnl|WGS:NBSK|LSAT_1X53480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSSGGVIVSKGMQNPFTLKVGKVFTGFGVGCGAGIGVGRPINLGAIPVLNQVMGAARGATDIFSGVGRHANNSLNKVGAKNIKVGIGCGVGFGHGFGVGLGIKPWVLQQIQTSLVQTATKLMMKFGMTPNLSSVTGGMFPQSLQLQSGSKTKTITTTMTQENVSSKPPLTSSYANHTEKVINNFLKNPLLEGEAKNQVGPLHSKEEVIELVLKQQLALEKLKEENEKLREILVEDLKVSPDKFKVKVNGYYSGTNTYTCNDCLECRRRQRRDRRK >cds-PLY71253.1 pep primary_assembly:Lsat_Salinas_v7:1:154037768:154041807:-1 gene:gene-LSAT_1X106980 transcript:rna-gnl|WGS:NBSK|LSAT_1X106980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKPDPIMREKVCSNTNSNKGMVKLRKGLWSPEEDEKLMNYMLRNGQGCWTDIARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEQLIVHLHSILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKMNNNVCRSSPNNSIDETSSDPSRDVMGAGMFMTMHDHDLMAMCMDSSLTSTTTTSSSSMHNNSMIMKGHDQFGQLPSLLQTHINRDTNGDSNLFNVSPCLGEVGMGGDGGGYGDCGILEVPYMMGMENENSNLGSGAMDGDVNANATNYMFEKKSTNFNHHFSGEIDGKVKVEEVVGFENHWSGESLKMGEWDLEGLFANIPSLPFLDF >cds-PLY90180.1 pep primary_assembly:Lsat_Salinas_v7:7:14526848:14528592:1 gene:gene-LSAT_7X11940 transcript:rna-gnl|WGS:NBSK|LSAT_7X11940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRQVASRLLTRPTTTYSAAASATTRRPFATEVVADTTSGDEAFVEAWKKVVPNIDPPKTPSQYMHPRPPTPSTLPTKLTVNFVLPYASELSKKEVDMVIVPATTGQMGILPGHVPTIAELKPGLLSVHEGNEIKKYFISSGFAFIHPNSYADILAVEAVPLDRVDPAQVQKGLNEFTQKLSSATTDLEKAEAQIGVDVHSALNFALTG >cds-PLY61868.1 pep primary_assembly:Lsat_Salinas_v7:6:59282810:59284533:1 gene:gene-LSAT_6X44561 transcript:rna-gnl|WGS:NBSK|LSAT_6X44561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSVMFYTDYMAKPQFKENFWGDWRKILGKNHTIQNLENYDFKPIYEWHQAEKEKKKLMTTGMFVDLRCSPSCTRAGVDGTVSICPLDCFEYKGTLEGFGRIWRGTNAGLALAIPTVGIYLPCYDIFRNWFEEFAAENAPSMTPYAPLLAGSLSRSLACTTCYPIELARTRMQTFTDFNTGKKALGVWKMLLDVKTNGNLDIKLLH >cds-PLY94402.1 pep primary_assembly:Lsat_Salinas_v7:6:10378949:10379569:1 gene:gene-LSAT_6X7300 transcript:rna-gnl|WGS:NBSK|LSAT_6X7300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKEAYRKHLAETFNMKRTRILAFKNKPPTPTYAIPNNCSTSFQQSKLVKACRYIPHVINIDPFTKHISFQVINLVNTGSQVCALLWNKNERQLLSSHGFTKNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAGDEPLRFWNVFGSPEVAAKAAPKAATEPFAHLNRIR >cds-PLY82416.1 pep primary_assembly:Lsat_Salinas_v7:2:182979746:182980027:1 gene:gene-LSAT_2X104181 transcript:rna-gnl|WGS:NBSK|LSAT_2X104181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERNEGGGELGFVEEDVNVRFCCGDRSDEGFLLRPSSYCHSHSSSTNTGGSSEKDDDDRCGSGGFLRSRWNAKVATKFRAFKSNDVAEPAGLR >cds-PLY67199.1 pep primary_assembly:Lsat_Salinas_v7:6:136088437:136088736:-1 gene:gene-LSAT_6X82001 transcript:rna-gnl|WGS:NBSK|LSAT_6X82001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPITNEQLGGEGHDSNNITTPETLMIVSMNTKRGWKSLSIPTCLRAKRAQRMEKEETRKHTCEVTKLNGKHNQGTASARVARVGNCPKKKTRKGGSLQL >cds-PLY67407.1 pep primary_assembly:Lsat_Salinas_v7:4:212112164:212114568:-1 gene:gene-LSAT_4X119500 transcript:rna-gnl|WGS:NBSK|LSAT_4X119500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKDSYEKSNHPNHGLQIIKHPSYPSYGKRLISWFDIRVFYVRISNFMIDGYTPHYLTLNHIPLNPDTVLEVNGRRCSLQSEGSSCRLKKNRVDKKFEEATFVSTDSIRLSGGVKFEVFDGEDLILFGTLEMLNESKDNDNGKWSMSCESMICCGNGFLKGGDSYSLPPMIEVYVAGSFSGTPIILTKTLQISLKKKQHRKGMLDSIPEYESAESESRKDVVPGLDLQIDGYGNYKGGNENHENYEMSPYWNQMEYLEGEDGALSWFNAGVRVGVGIGLGVCLGVGIGVGLLVRTYQSTARNFRRRL >cds-PLY91182.1 pep primary_assembly:Lsat_Salinas_v7:8:186687742:186690007:-1 gene:gene-LSAT_8X120260 transcript:rna-gnl|WGS:NBSK|LSAT_8X120260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKLTSSNMELDLDRPNIEDYLASDSIQEPLGKLRLHDLLDISPTLTEAVGAIVDDSFTRCFKSNPPEPWNWNVYLFPLWCLGVVVRYGILFPVRVLILTIGWIIFLSCYIPVHVLLKGHDKIRNKLERALVELICSFFVASWTGVVKYHGPRPCARPKQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGLLQSTILESLGCIWFNRSESKDREIVARKLREHVEGADNNPLLIFPEGTCVNNHYSVMFKKGAFELGSTVCPIAIKYNKIFVDAFWNSRKQSFTTHLLQLMTSWAVVCDVWYLEPQNMKPGETAIEFAERVRDIISVRAGIKKVPWDGYLKYSRPSPKHRERKQQSFAESVLRRLEEK >cds-PLY71313.1 pep primary_assembly:Lsat_Salinas_v7:4:210612681:210614348:1 gene:gene-LSAT_4X117501 transcript:rna-gnl|WGS:NBSK|LSAT_4X117501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENTTVAEVLMTKEDGKVGSWLWCKTDDTVYDAVKHMAQNNIGSLVVLKPGDEQMIAGIVTERDYLQKVIVKDRSSKYTRVGEIMTEQNKLVTVTSDTNIYQAMRLMSENQIRHVPVIDGRIVGMISIVDVVRAVVDQQSNEVNKLNEFIKGDYY >cds-PLY96071.1 pep primary_assembly:Lsat_Salinas_v7:3:102409496:102410935:-1 gene:gene-LSAT_3X74880 transcript:rna-gnl|WGS:NBSK|LSAT_3X74880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHSITMIIVFISIVALTNASNLYPQFYDHSCPQAKDIVRSVVTKAVAKEARMAASLLRLHFHDCFVKGCDGSILLDNSGSIISEKGSVPNRNSARGFEVVDQIKAALEKACPQTVSCADALALAARDSTVLAGGPSWEVPLGRRDSLGASLSGSNQNIPAPNNTFQTILTKFKLKGLDIVDFVTLSGSHTIGNARCTSFRQRLYNNSGNGQPDLSLDQSYAAKLRQNCPRSGGDQNLFFLDPVSPTKFDNSYYKNLIASKGLLSSDEILFTQNQQTMQYVKQYAANQELFFQQFAKSMVKMGNITPLTGKSGEVRKICRKVNS >cds-PLY79587.1 pep primary_assembly:Lsat_Salinas_v7:2:166382985:166387501:1 gene:gene-LSAT_2X87921 transcript:rna-gnl|WGS:NBSK|LSAT_2X87921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSFPGVRIHVTSSTGIDRTTLFAQPSVSLNSFSKPRTTTLRSLKLRSRSNDVLLLARTGDRFGGKSSRSFVVRCDASSNGRITQQEFTEMAWQAIVSSPEVAKENKHQIVETEHLMKALLEQKNGLARRIFSKAGVDNTRLLEATDKYIQRQPKVLGESAGSMLGRDLEGLMQRARDYKKEYGDSFVSVEHLVLGFVQDNRFGKQLFKDFQISLKTLKNAIESIRGRQTVIDQDPEGKYESLEKYGKDLTAMARAGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRKLISLDMGALIAGAKYRGEFEDRLKAVLKEVTESDGQIVLFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTVSILRGLRERYELHHGVRISDSALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEINRSVLKLEMERLSLTSDTDKASKDRLSRLEAELALLKERQAELNQQWEHEKGVMTNMQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNSLLRQLETAEKELVEYMSSGKSMLREEVTGDDIAEIVSKWTGIPLSKLKQSEREKLLHLEEELHNRVVGQDPAVTAVAEAIQRSRAGLSDPHRPIASFMFMGPTGVGKTELAKALASYLFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTETVRRRPYAVILFDEIEKAHADVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILDTDDDSMPKERAYETIKQRVMDAARSIFRPEFMNRVDEYIVFQPLDRSQINRIVRLQLERVQKRIADRKLKINVSEAAIELLGSLGYDPNYGARPVKRVIQQHVENELAKGILRGEFKDEDRISVDTEVTAFSNGQLPQQKLVFKRVEMSSPGDAPKDEQAFSQAL >cds-PLY99689.1 pep primary_assembly:Lsat_Salinas_v7:9:56953450:56955578:-1 gene:gene-LSAT_9X48481 transcript:rna-gnl|WGS:NBSK|LSAT_9X48481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILISSKQSSLFIIFISVLAYSTLSHEFSILGYAPDDLASIHKVINLFESWVAKHSKLYESLDEKLHRFEIFMDNLKHIDDTNKKVNNYWLGLNEFADMTHEEFKNKFLGLAPELKQTESNEEFMYKDFVDMPKSVDWRKKGAVSPVKNQGQCGSCWAFSTVAAVEGINQIVTGNLTVLSEQELIDCDTSFNNGCNGGLMDYAFTYIMRNGGLHKEEEYPYIMSEGTCDDKKDVSEKVTISGYHDVPRNNEDSFLKALANQPISVAIEASGRDFQFYSGGVFDGHCGTELDHGVAAVGYGTTKGLDYVIVRNSWGPKWGEKGYIRMKRKTGKSEGMCGLYMMASYPTKTKN >cds-PLY81719.1 pep primary_assembly:Lsat_Salinas_v7:3:31903695:31909208:1 gene:gene-LSAT_3X21560 transcript:rna-gnl|WGS:NBSK|LSAT_3X21560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALNTDELVGKPNSAIEFDTDALFRYCSIHVEGFPVSSSKFSISQFGHGQSNPTYLIELQSGALLKRYVLRKKPPGKLLQSAHAVEREFQVLHALGTHTLVPVPKVFCLCTDSSVIGTPFYIMEFLEGRIFLDPMLPGIAPNRRRALYHATAKALASLHSADVDAIGLGDYGRRNNYCKRQVERWANQYIASTGEGKSERNPKMLQLIGWLRENIPFEDSSGSTTGLVHGDFRIDNLVFHPIEDRVIGILDWELSTLGNQMCDVAYSCLFYIGDISHEKVKHNGGFEMTDAPQGVPSLEEYLADYCSASGKAWPLAGWKFYIAFSFFRGASILAGVHSRYIMGNASGGKRAQDAGEKANDLIQIAWSYIQRESVLSQNPPSVTRGRDYVSAIANNKKYEELEEGSGGGGGFVPNKKIKDLREKLIKFIEEHVYPMEQEFSKLAQSSMRWTVHPQEEKLKELAKQQGLWNLFIPVDSAARARKVLCEGRNDDVIGGFPNQLGAGLSNLEYGYLCEIMGRSVWAPQVFNCGAPDTGNMEVLLRYGNKDQLQEWLIPLLNGSIRSGFAMTEPKVASSDATNIECSIKREGDTYVINGRKWWTSGAMDPRCKLLILMGKTDFNAPIHKQQSMILVDINTPGVKIIRPLTVFGFDDAPHGHAEISFENVRVPAKNILLGEGCGFEIAQGRLGPGRLHHCMRLIGAAERGMQLMVQRALQRKTFGKYIAQHGSFVSDLAKCRIELERTRLLVFEAADQLDRHGNKKARGTLAMAKVAAPNMALKVLDMAMQVHGAAGVSGDTVLSLLWAQARTLRIADGPDEVHLGTIGKLELKRAKL >cds-PLY62505.1 pep primary_assembly:Lsat_Salinas_v7:1:82544198:82546481:1 gene:gene-LSAT_1X71640 transcript:rna-gnl|WGS:NBSK|LSAT_1X71640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNGMIEIQCSVCHSKLVSTKAVSRAYDRHRSKISKKHRALNVLLVVGDCMLVGLQPILVYISKVDGKFKFSPISVNFLTEIAKVIFALVMLLIQARNQKIGEKPLLSFSTFVQSARNNMLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAILLKIIMKRQFSIIQCEALALLLIGISINQMQALPEGSSRMDVPLEMGAYIYTFIFVTVPSLASVFNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILGTVVIKGPESFDILHGHSKATMLLIINNAGQGILSSFFFKYADTILKKYSSTVATIFTGFASAALFGHTLTINFMLGISIVFISMHQVCGFRWNWNSRFHSFQKHSTNFCFFFYIQFFAPISKVKEEETRVMELEPVKSDNNRSKDSKFINMTAGANEEASHRVDSDARQPLLPT >cds-PLY62253.1 pep primary_assembly:Lsat_Salinas_v7:5:163495930:163496500:-1 gene:gene-LSAT_5X72060 transcript:rna-gnl|WGS:NBSK|LSAT_5X72060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTPCELVLPYGELDQKCAKGLVFPYGNGLIHTLPLRENHLKVMIDDIDSRYENLPLRVMTKEVANLQGAVGTVIQWPRIAIILAKEQRAKKQIPTTSLEPTIARAGTNKNIPNQTSSKARPIEYLRDCLKTNQVVNIVSDSGILEVGTYDFSVTCEEYF >cds-PLY77779.1 pep primary_assembly:Lsat_Salinas_v7:2:168884113:168885524:-1 gene:gene-LSAT_2X92760 transcript:rna-gnl|WGS:NBSK|LSAT_2X92760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFSASPSISCFTAIKPNKNPTSLLPTSISASTFSCSLSNRLRVARVCFSIPNSYPNSSVSKTNLSNLKKIRSVAEDTVIPEQQEDTISNQGEVEATVSVPVSPSDILTMFFQAEGTMSEAAIPSVTSALQETEGISNLKVQVLEGIASVELKKKTTVQATGVASNLVEIIQNSGFKLQALNLSFEDDYAN >cds-PLY69097.1 pep primary_assembly:Lsat_Salinas_v7:5:276480253:276483292:1 gene:gene-LSAT_5X145181 transcript:rna-gnl|WGS:NBSK|LSAT_5X145181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQPNTGLFVGLNKGHVVTKKELAPRPSDRKGVPFQHFAEKIGGYLLNRRS >cds-PLY78375.1 pep primary_assembly:Lsat_Salinas_v7:9:9595466:9596428:1 gene:gene-LSAT_9X7480 transcript:rna-gnl|WGS:NBSK|LSAT_9X7480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSKATRALIVASVPVAGAKGKCEDEVRGARPEEGVRWEETNSSSSSSGGVWQRSSSGRKGRCDGCCCGSHTVDSGERSKKSVAVWLCCVHCEEEGMETRLMPLGLHRERKGRGRGAEEGDEFRRV >cds-PLY94178.1 pep primary_assembly:Lsat_Salinas_v7:5:32701542:32704892:-1 gene:gene-LSAT_5X15861 transcript:rna-gnl|WGS:NBSK|LSAT_5X15861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVVQSTSFPSVSSENKIHSKRSGNAKRPVKMMCSLQSPPMRVRTFSGLPGVNALDNMVNRSHDFHSKVKVAVATSIRRGKGKAPRIVPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARAEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPNNIRTQVIRMVGESAEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDECIGATTLDEYRKHIEKDPALERRFQPVKVPEPIVDETIQILKGLREQYEIHHKLRYTDEALVAAAHLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPEEARELEKELRQITKEKNEAVRGQDFEKAGELRDREMDLKTQISALVDKNKEMSKVETEAGEEGPTVTEADIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFERLKGKDIELQVTERFRDRVVEEGYNPSYGARPLRRAIMRLLEDSMAEKMLARDIKEGDSVIVDVDSDGNVTVLNGSSGAPLEALPEPISV >cds-PLY67806.1 pep primary_assembly:Lsat_Salinas_v7:9:164419307:164419606:-1 gene:gene-LSAT_9X101920 transcript:rna-gnl|WGS:NBSK|LSAT_9X101920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNEEVNSVLLALIAYLREIADIIEAAQTKLQEGFTMEDSSHTTISTHADHPNSKILKNILQDATVEDQSMEDNISFMLRSIHKRIGKYKRTMKDSDTL >cds-PLY99305.1 pep primary_assembly:Lsat_Salinas_v7:7:179715307:179715559:-1 gene:gene-LSAT_7X106060 transcript:rna-gnl|WGS:NBSK|LSAT_7X106060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIPYSDEWDQNEKDHSISLGGAVKDMESSSLHLLVLCGAKLDVNVMQRISGPEQLLM >cds-PLY71994.1 pep primary_assembly:Lsat_Salinas_v7:8:148915103:148916771:1 gene:gene-LSAT_8X100320 transcript:rna-gnl|WGS:NBSK|LSAT_8X100320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKGKGATKRDALKPVDDKKVGKKKAVGKGKAKGGKAEKDPNKPKRPPSAFFVFLEEFRVTFKKENPNIKAVSAVGKAGGEKWKSMSASEKAPFEAKAAKRKSEYEKQMNAYNNKQDDDADEESDKSKSEVDEESGQENADVDDDEDDDEDDD >cds-PLY72132.1 pep primary_assembly:Lsat_Salinas_v7:7:57096714:57099668:-1 gene:gene-LSAT_7X41381 transcript:rna-gnl|WGS:NBSK|LSAT_7X41381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLKTSLHHLLLPLCLIFSVVTAGPNANLGPKRPGKGGKGKGGXXXXXXGRGPAIPVGPVPPIPTAGNDFQTNFKGSWAIDNPNAGVAAMQFQLMSNNKAVWFDTTSLGPSARELGPPGNCPKSPEMNFKPDCYAHAIAYDVETGQSRTIYMDGEPWCSSGNLWPNGDLVATGGTKGGSRSVRSLSLKDPKANFVEKKNILADKRWYSSNQILEDGSAVVVGGRNSFSYELIPPHLLEFAPKKFNLKFLQETTVPRKPGPGMYIENNLYPFLFLLPDGNVWLFANDRAITFQPRTGKIVRQFPKLEGGARNYPPSGMSALFPLIVGPREIHAEVVVCGGNAQEAYASVDSKHTNNKNRVFMPALRDCHRLKVMNPTSTWEKEQDMPSGRCMGDLLHLPTGDLLMINGATKGVAGWENAIDPNFTPVLYTPNKPMGQRFKEMAPTTIARMYHSVAALVPDGKVLVAGSNPHAKYLYKVPYPTELRVEKFSPHYLDPALAIHRPVVSPEASDKVLKYGKEFKISVKFNGGKANPQDVKVTMLYPPFTTHGFSMNQRLLVLPLKTVANNLIMAVAPPSGKIAPPGYYILFVNFRGIPAKGIWVHID >cds-PLY99451.1 pep primary_assembly:Lsat_Salinas_v7:6:20312735:20317893:-1 gene:gene-LSAT_6X15481 transcript:rna-gnl|WGS:NBSK|LSAT_6X15481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFALHQLGKVLISTETWSKCAFCQIVSRDRYKIIKEVGNGTFGVVWRALNKHNGEVVAIKKMKRKYYSWEECINLREVKSLRKMNHPNIVKLKEVIRENDILYFVFEYMECSLYQLMKDRVKPFSETEIRNWCFNVFQGLVYMHQNGYFHRDLKPENLLVSKGVIKIADFGLAREITSQPPYTEYVSTRWYRAPEVLLQSPTYGSAVDLWAMGAIMAELFTLRPLFPGSSEADEIYKICSVIGSPTQSTWREGLELASTINYQFPEVGGVNLSALIPSASKEAVNLISALCSWDPCKRPTAVEALQHPFFQSCYYIPPSLRHKPASTARMSPSVGVNGKGGGMEQKYGYSGHLSSVKPAVHVTSSAKVHASLNTGVHHKVEVNNNNNNNNNNNNYEGPGGVKKEKTYKNSASAAARQPKYRPPPGKYNTTTTVAGGGGGGVSETGDKLGHMTMVGSTRVGPFPIPRQHQQQHAKGWVRNGRSDLFPGRSYDRGYCSKVAG >cds-PLY81507.1 pep primary_assembly:Lsat_Salinas_v7:8:157825319:157825714:-1 gene:gene-LSAT_8X105640 transcript:rna-gnl|WGS:NBSK|LSAT_8X105640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVVQNYGTHWGILGSDDEDGAEQQGDQPTQQLRPRRRNVRGRGKRGKSVYPPAPMVGSHIGDDMTGYFDQLSLSVNWIGVTIDNMVRHFNVEQPPHLGYHYRIFPRMSEYRGQGGDGAGTSRARDEEEDD >cds-PLY79271.1 pep primary_assembly:Lsat_Salinas_v7:9:5729173:5732316:1 gene:gene-LSAT_9X861 transcript:rna-gnl|WGS:NBSK|LSAT_9X861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDGMIVQSGKYDELVDSGLDFSALVSAHETSMQLVQMESATEPETLTKSLSHNKSKSSVEENKENPKALERSLSSSIIGTSKLIEDEERETGRVSLHVYKVYATEAFGWTGVVLILLLTVLWQATQMGSDYWLAYETSEDRAASFSPSRFIGVYAIIACVAFVMIFSRTLFSMFLGLETAQKFFKQILHSILHAPMSFFDTTPSGRILSRASSDQTNIDILLPFMMNLSLALYTSVISVIIITCQYAWPTVFLLVPLGWLNFWYRGYYLATSREITRLDSITKAPVIHHFSESISGVMTIRCFGKQDSFVQENVERVDGNLRMDFHNNGSNGWLGFRMEFLGSLFLCVSTVFMIILPSNVIQPEDVGLSLSYGLSLNGLLFWALYTSCFVENRMVSVERIKQFTNIPSEAEWVKKDGPPPPNWPTHGSLELRDLQVRYRPNTPLVLKGITLKIEGGQKIGVVGRTGGGKSTLIQVLFRLVEPSGGSIIIDGIDVSTLGLHDLRSRFGIIPQEPILFEGTVRSNIDPIGQHSDEEIWRSLERCQLNDVVAAKPGKLDSAVVDNGDNWSVGQRQLLCLGRVLLKHSRLLFMDEATASVDSQTDAVIQKVIREDFSDCTIVSIAHRIPTVMDCDQVLVIDAGYAKEFDKPLRLIERPSLFGALVQEYANRSSGL >cds-PLY63784.1 pep primary_assembly:Lsat_Salinas_v7:6:24412922:24414819:1 gene:gene-LSAT_6X19480 transcript:rna-gnl|WGS:NBSK|LSAT_6X19480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTNSWTRFSTSNSSLRRYQSRSEETDDEPRPEYLCPFCAEDFDIVGLCCHIDEEHTVQAKNGVCPICAKKVGTGLVSHITMQHGSLLKVQRKRRLRRVGSNSTFSILKKELREGNLQSVLGGSSFLIPSSSMNTEPDPLLSSFIYNNTPDDDVSKDLSHSSTAAVSIVEGSNNDFLTRSEKQKGGIVSGEDKEEKIRRSEFVQGLVLSTFFNVDL >cds-PLY85229.1 pep primary_assembly:Lsat_Salinas_v7:1:148767569:148771732:1 gene:gene-LSAT_1X105621 transcript:rna-gnl|WGS:NBSK|LSAT_1X105621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGALDLASGLGGKIEKDDVLSAVDKYEKYHASFGGLDEERKANYSDMVNKYYDLATSFYEYGWGESFHFAPRWKGESLRESIKRHEHFLALQLGLKPGQNVLDVGCGIGGPLREIARFSSTSVTGLNNNEYQITRGKTLNRVAGVEQTCSFEKADFMKMPFPENSFDAVYAIEATCHAPDAVGCYKEIYRVLKPGQSFAAYEWCMTDAFDPNNRDHLKIKVIWEKDLAKDSPLPWYLPLDTSHFSLTSFRLTAIGRFFTKNMVIALEYVGLAPKGSQRVQSFLEKAAEGLVAGGKKEIFTPMYFFLARKPQ >cds-PLY84430.1 pep primary_assembly:Lsat_Salinas_v7:4:209890908:209897749:1 gene:gene-LSAT_4X117700 transcript:rna-gnl|WGS:NBSK|LSAT_4X117700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESLSRPFHRRKLSNANSFSGKNAYDGVFSGHRPKFDGVPAVHVDEYREIFSSGQAASSIPVLDLSTLQESSDGSNLELGSTKPDYSKIFGGFRDEDIAVSYEELVARDKARARLSSSQSSQDLDDDLSNQSFDALKQFNMSYNKINPRSKDGLDGKTTTHVTQLHVVPGFTCFIDESASQLKKETKKQKSSVSNKVHSPEKQTSQTAVESKSEYHQDESLSDDKKIDLKSHSFKLSSPKMEIEKQKASIVNKVHSLEKKTAVESKGKDLQDDKKDNSKVSSLPIASAFNTKAASQTKMETEKKKSSETDNNVHPINFIKGDFPEKKAVESKSRNHQDESLSDDKKTDLKSQSSPKKEIEKQKASIPNEVHSLEKQTSETIIESKGKDHQDDKKVNLKSHNSKVSPSLTASATNGEPLLQSKKETDKKISSETDDDFLKKQTSQSAVESLSDDRFSKKFEADINLHSSSSSPPATSATNVNTWTWKSDTPGAFSSTCFDEELDVNSVAGASAAALRKAIEKAQESIRIAKEAVGRKKEGLKSFSSKSFKDSLKVKAARVENVSTGEEQKEKDNWIKEIFKARMANVSASQVSSNHKHGDTVVFSESTDDAKKVINEIHEKILETEKNSEIPMRSSHELKDDKIVYDSNEKAVEHATRSSEEIENESLKKDNQRPNGFFVLENIESKENKDEVGPSNSNKLPEETNNLALYQKVEEEEKKTSHDYDENGYEKRFSEALGLLENTKQEVVKHNEEVVIAEIHESNSDDEASEKVVEEEKEVKEESDTESHIEEEEEEEEEDNGEKFYDVCDVEIIENSSSDYDDAEESESIHSFHGVNRIEIDKEEACKIDQSDNNVEESSQEVDDVSNSSPQATLEDTEAIITEEKEIFETISDKQTQTASINNEDDDDDEVSHDMEEEEPELNDEKSESCSDRIHGMEIEVKECKETEETIKKEKEEEENNLQEESDSERPEVIFQMETGTSEEAKDMNDEAEARKEVEKEEEKKVEEVTNEERERERNRLVVERAIREARERSFNEARERAAVERATAEVRQRAMADVQEKAAMKASEIASKLKAERAAVERATAEARKRALEKAMSQKKVSESKTEVTDVRKTSSAKALAEKEKRDQLAQKEQAERSRIADNLDADIKRWSSGKEGNLRALLSTLQYILGPESGWQSVSLTEIITTSAVKKAYRKATLCVHPDKLQQRGASIQQKYICEKVFDLLKAAWNRFNSEER >cds-PLY94911.1 pep primary_assembly:Lsat_Salinas_v7:4:113730991:113735975:-1 gene:gene-LSAT_4X71680 transcript:rna-gnl|WGS:NBSK|LSAT_4X71680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFEAVDHNHNHQGVETKNVLVGIRFDECGRELLDWAMVKVADAGDRVIAINVCHNSDSVSKYKSLLDDYLADYEGLCDQKQVDLTGQIVRGNSIRKVLVKEAKFYSAAAVIVGVNKVKAFGCWLSIAKYCAKKLPLATEVLALHNGKVVFKRFSNGQLSGSMRDPRPSFYLIGNSNLKDTQSEFCYSEASEMGRHSSEEIKSLKDEELDPFELRKKALSSVSVVIEDFAHQRPGWPLLRANTVLTPSAMEARKMSVVKWVMNLPNRSTPGTPRTPSSNSEISPKSMASDSRSESSLFTNTSNESGTPIDKSHELPEILNLLLKTNPSRCQWFGFDLLRASTSNFSSENLIGKGGCHRVYKGRFPDGKMVAVKIRRSSREAWKDYILEIDIMTSLNHENITPLLGVCVEEDNLISVYDLVPRGNLEDNIHGATKEKSILSWEIRLNIAIKVAEALNYLHKECPRPVIHRDIKSSNILLSEEFEPQLSDFGLAIWGPTSLPFLSHSDVVGTFGYLAPEYFMYGKVSEKIDVYSFGVVLLELLTRKRPISSDPIKGEDSLVMWAKPKLEKGDLASILDVDLDKEVNKNQIVRMALAATLCLTRSARRRPTMNEVLNILRGEHDLDKKSTQNDLELLCTNEDHDDDDDDDEVYPESNAESHLSLAFLDVDDKSTSFGSVDVNQHTRHTLEGYLRGRWSPSSSMD >cds-PLY72232.1 pep primary_assembly:Lsat_Salinas_v7:7:53971345:53971686:-1 gene:gene-LSAT_7X38401 transcript:rna-gnl|WGS:NBSK|LSAT_7X38401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSLVSVGAARAAQVVFGCFSFDFGSICEGEENIWPDGARQAETTAPVRVFVVVLDRRRGRCKGTKKQAVTTIYQQKSMGHDRSSSSGNASFSFTFNLTVNQWGLMMGVWL >cds-PLY72406.1 pep primary_assembly:Lsat_Salinas_v7:3:188026075:188027792:-1 gene:gene-LSAT_3X112061 transcript:rna-gnl|WGS:NBSK|LSAT_3X112061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVYAFVHIFLCDSNRIQGLEFNGLELPDSFGEFVTCRVIFFNLERVYIMVSRFVQARLSRGNDHGVKAQVALIEGNKLAPLDCSGFLDPFVVLTCNGKTRTSSVKLQTLDPQSNEILEFDVAEEPPSLLDVEVFDFDGPFGQPASLGHAEIRFLRHMSEELFPFFCVFSFCKQKLMLEERTEKLKS >cds-PLY82772.1 pep primary_assembly:Lsat_Salinas_v7:2:146686832:146687050:-1 gene:gene-LSAT_2X72901 transcript:rna-gnl|WGS:NBSK|LSAT_2X72901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRCETSDPLNIKFLKLGNNYEIINIVEAERDVVVVSVMLNEQKTKTREKRDDFGMNAWRAATLAICFVVEQ >cds-PLY64264.1 pep primary_assembly:Lsat_Salinas_v7:7:3718047:3719009:1 gene:gene-LSAT_7X2420 transcript:rna-gnl|WGS:NBSK|LSAT_7X2420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLSSRSRGGAPVKANSKETGPKLEENLNIFKSDKFDADGFVNSKCNSLNEKVWCHIFNLGILRNQFSSVGCWCLGNQQRESEGGNGGGRDMNKLGDGNQFFTSSLLF >cds-PLY72972.1 pep primary_assembly:Lsat_Salinas_v7:9:123439262:123440597:-1 gene:gene-LSAT_9X82660 transcript:rna-gnl|WGS:NBSK|LSAT_9X82660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTVNSSSPAPCSTRSWSISDDSLRRFVFFASESCIQELLSASDSNRAGNGNDGWKVLAFEDGVEISKRRSGSGSFHAFRSRWLLKSVSTEQFITVANAIDAAKQWDSDLVEARYIKDLDENLSIIRLRFGESAKPVFRNREFIVYERRETMDDGTLVVAVASLPKEIAAGLQPKQNNSIRGLLLQSGWVVEKLDDDSCMVTYVVQMDPAGWLPKFFVNRFNTKLVMIIEDLWKQAQACSAIPSITDPS >cds-PLY63108.1 pep primary_assembly:Lsat_Salinas_v7:8:73034642:73038249:-1 gene:gene-LSAT_8X53300 transcript:rna-gnl|WGS:NBSK|LSAT_8X53300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPITTSTSLFSQSSLFTLALKPQLYSPKTLIQSTHRVYHTGVSTSPCLLNRALRFHHVHHRNKEALKRGLSSVCFYKNKDSINDKGSGLEWPILQRWDVPWEWYTVSLTSLACGLGFVLTGLVEAAALPYLGLQIGELSLDEKAEILFFDQAFTTAVILATLYSVTNRSGQKDLYSYDWRNPFDLQKGWLLWAGIGLVGALITISLTGVAMAFFSGEPPQRETDALVRLLPLIGSSSVSTICLLGITGVLAPVLEENVFRGFLMVSLTKWVPTPISVLISAAVFSAAHLTPGEFPQLFILGTALGFSYAQTRNLLTPITIHALWNSGVIVLLTILQIQGYDIKELLQVS >cds-PLY92398.1 pep primary_assembly:Lsat_Salinas_v7:2:155640198:155640908:1 gene:gene-LSAT_2X80601 transcript:rna-gnl|WGS:NBSK|LSAT_2X80601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSDAVVSTFDAFVAQTRLCVFIFGLRSDVSFGLRFDVGDRVPVSFGLRSDVSFGLRFDVGDKVPVSFGLRSDVSFGLRFDVGDKVPVSFGLRSDVSSGLWSDVGDKVPVSQLRTSVRWRGQGPVLPQAKGRARDDGIAHTTALALAWD >cds-PLY80770.1 pep primary_assembly:Lsat_Salinas_v7:8:138956103:138960613:-1 gene:gene-LSAT_8X105020 transcript:rna-gnl|WGS:NBSK|LSAT_8X105020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGVVHIAKAIAKTFPDVSRINFDVPRVVKGFVGSKNLSYIGGDTFETIPKIDACKTSILSKENGGKIFIKDMIVKDVDEPDKILLETQLYFDMLMMVTVTG >cds-PLY69861.1 pep primary_assembly:Lsat_Salinas_v7:6:3819936:3823227:1 gene:gene-LSAT_6X3581 transcript:rna-gnl|WGS:NBSK|LSAT_6X3581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQPDLSLQISLPNTKPTSTTWAASTTKQDHHDLDLGNFWKSTLNSQQHHTRPEPSSGLNLPHPTHSTNPDINHLHHLLSHQNINRTNLTDQNHHHLGFRSELGFLRPIKGVPVYYHNTNLPKITVLSHNQHQPFLDSFCTGSTTAPTSNTPSSLIHPNNIAQSRFLSTRISSKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKTTDKAPASSGQSDYLEHGLSGDTSDDILLEIQNLKRTNSSAERKGRSMVHPEEDNQCGLWSNSSRLAVNFSFSAPEPLIIKKNIKRDNKSRKHFNGHEFLEYVHVIIFILCFGNLDSVW >cds-PLY81892.1 pep primary_assembly:Lsat_Salinas_v7:8:119866355:119866873:1 gene:gene-LSAT_8X83461 transcript:rna-gnl|WGS:NBSK|LSAT_8X83461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKVVVLAFIRAIIAAVRGQAPASPTIAPPSIITMPTASPTVALPMKSPVSAPLMVSLPTPVSSPPAAVSVNSRPAAVPVSSPPTIEYPLVPEPVISPAPEISSTPEASPPARARQRRRRMFHRYRLPGHFLLVPSGNDSSYPTFSFLILLLVVSDLFLLFNLTNNSFSG >cds-PLY94107.1 pep primary_assembly:Lsat_Salinas_v7:8:29363803:29366314:1 gene:gene-LSAT_8X24520 transcript:rna-gnl|WGS:NBSK|LSAT_8X24520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISSEAILQVFCGATPRLFYPNPCSNLLAYSHGSITKCKQRSRSNAIRFFRCSNVHTRRQFPTTPSIRHETFQNRRSFHHLSCKCQTSESVSGFTAEDANGTWVVDNHKQFNTIQDTNHPPNLSDSKDNHKLKKEKDDSTSDTIEDEAWDLLRDSIVNYCGSPIGTIAAKDPTSSSVLNYDQVFIRDFIPSGIAFLLKGEYDIVRSFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLEGDDTATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKSSGDLSLQERIDVQTGIKMILKLCLADGFDMFPTLLVADGPCMIDRRMGIHGHPLEIQSLFYSALLCAREMLAQEEGSTDLIIALNNRLVALSFHIREYYWIDMKKLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWMPNRGGYLIGNLQPAHMDFRFFSLGNFWSVVSSLVTVDQSHAILDLIEAKWADLVADMPFKICYPALEGQEWQIITGSDPKNTPWSYHNGGSWPTLLWQVLYKCTNRKLSDVEVQQKPMTISN >cds-PLY66119.1 pep primary_assembly:Lsat_Salinas_v7:7:31483766:31484859:-1 gene:gene-LSAT_7X23120 transcript:rna-gnl|WGS:NBSK|LSAT_7X23120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYDDSFTDSGNEGSNFGDDKEETKSSVRVHDSYDDNNGEHTPNISTFPILVNDDEDDCDRNVDERETFFRRKRYRGSFASATLTVVLSGGEGNSRGSVMQMSRSLDPGLFEKISKFEKISVGVGMVSEMELNAVKGELVSIQGKKVVLENKVAGSQQLEEKANFLKDILASLELEKVGLVYKIAMLEHGIMKLKGDVSASSLKKMDLQESMTGLEG >cds-PLY68339.1 pep primary_assembly:Lsat_Salinas_v7:4:157765209:157771149:-1 gene:gene-LSAT_4X94561 transcript:rna-gnl|WGS:NBSK|LSAT_4X94561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSHDEKRIFPVDAEGNNASSVLNSCSSTIKIDSLCIKLGFVKEKGDAEKCSHFSIRGYVSGMRERGSSNFLPFAEALPPMDVPNFKYWLCQSCVHNCGNAKTSHETPVVSVCDQSMIRSCARSFPKQDCGVTVLPFGEGTSGLKSVDNTKDDDDENVLPAFGVDKSQPSQEIPVDNAVPKEPVKISDTNVASEASAGLLCRKESNDRRDDEYQQQDSVVIETITGVVGTPIRSSQQNDQSNGHTRRKARKVRLLKELLCGNNEIQQKEKENSNTELDPEPCNPLPTSSFIKRKVPHDQDQIPVDITTPVNAFKKTKTSKGNAVAVAVAKTTIVDQHFKDQEATESTPNDNRTQKNSNFDKVSSDPVTAWRSIFSDMTKTDNHVTTTATGACKPTSDISKDRGSGPHSNFMAPPHPEKKLNFSMNMSKNPLKSKSFGEELYSRRANVDDCSRPKDLKAHPEKKLNFSIDMSKDPLKSKSFGEELYSRRANVDDCSRPKDGKSEAELGLNLSLNHDPQTLINRPLTNDNHRKDNLFFGDLKSRIHNWIPYDSKSKKGSVHDVSKGHATKQVQQPYTYGSWSGHQKLDFSDPHKRNNGVKGYPDVMRPYNRQRKGPMVMLGRSDETEVVELMAKNQYERNLCEARSSSSNNTFIPNVSGLHNINIHKYMTSSSSSSSSSHQDNLHPSTSEKPNMGPTTMRNQASGFFNQQLFDFNEFDGNWRHNNSRYNCIPIPKKKKKTPPLVSYQLIKPSLTFGYTKCSEKDNEKGIMDLDLNVVAPNVIEEQNSFQSLNPTSSKQHHPKKIHSLDSSYPNETIPAMQLLSLMDAGKSNHQVNNTDERKLPKPLSPCYTHCSSSNMINGKTIPIPNVQFPKPRYLQSSSFPCGFQTGQNVKLTSSQSRGMSSASVFRPQESYVFPVPCHVSEDRSEGVALRDRIEPIGIEICTINKNPADFSTPGPENLYMISVEDLVFRGEKGKSTTENANGPKRQKRS >cds-PLY71915.1 pep primary_assembly:Lsat_Salinas_v7:3:23946411:23946851:-1 gene:gene-LSAT_3X17841 transcript:rna-gnl|WGS:NBSK|LSAT_3X17841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQNKFDDVDFARMDKNEFVGFIQRFANEVCINVYFCMPDVVFPDGLRLIATDIDYMDFIEVGYASGSVINVYMDHLVVNVHQWILEVQGEICSSLDKLSDRIEVGEEVQGGMDMDDGIEIEDLLGHSWQGVTEYFQGTGEELQNG >cds-PLY62287.1 pep primary_assembly:Lsat_Salinas_v7:5:162002482:162013878:-1 gene:gene-LSAT_5X70881 transcript:rna-gnl|WGS:NBSK|LSAT_5X70881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSTVSSSSSYFTGKSDEEIEEMLDRMLTRLALCDDPQLENLLLKLLPVTISSLSRPSSAVRTKVMEILSHVNKRVKHQQQIGLPLEELWELYMEANAAPMVRNFCIVYIEMAFDRVSKEKKENIAPTMIANISKLPPQHQDIVFRIVIKVIGECHSSKISDEIAAKYKLISGSQDKEMFVEFCLYTLLYQPSSISGGSPPGLSVSQSNRVAGKTPLNHDMLLIRKLGLLNVIDVMELPAELVYPLYVAACVDRHEPVIKRGEELLKKKTSGVQLENSKLINRLFLLFNGNVGAEHIAQDLRVSPGNPALRVRLMSVFCRSITAANSFPSTLQCIFGCIYGNGTTSRLKQLGMEFTVWVFKHAEIEQLKLMGPVILSGVLKSLDGYTGSESDTIARETKTFAFQAIGLLAKRLPQLFRDKIDMAVRLFNALKLESPLIRLIVQEATNSLAVAYKDAPKTVLIDLESLLLENSQVEQGEVRFCALRWATTLFDSQHCPSRFICMLGAADTKLDIREMALEGLYLGKDQSRSTSETLNHKYPKLSDMLDYIVKQQPQLLESSEIREERLLFPSQTYIAAIRFLLQCFEADVDQKIATETSVGNMCLLLEHAMAYEGSVELHATASKALIEVASHFPEVLASRYAVKVPWLKLLLAHVDLDTRECAARLLGIASCALPMAAASDLIHELISSIKGSVKSRFEIQHGILCALGYVSANCLLRTPTITDSLLQNTLKCLVDVVNSESSTLASVAMQGLGHIGLSAPLPKLVHDTHTDDVLTFLREKLSKILSGDDTKSIQRIVLSLGHMCVKESSSSIINEALDLIFSLARSKVEDILFAAGEALSFLWGGVPVTTDMILKTNYSSLSMTSNYLMADIPSAIIPTSIGLEGNEECHVFARDTITKKLFDSLLYSTKKEERCSGTVWLVSLTMYCGQHPSIQQLLPDIQEAFSHLIGEQNELTQELASQGLSIVYELGDESMKKNLVSALVGTLTGTGKRKRAVKLVEDTEVFQEGSIGGTLSGGKLSTYKELCNLANEMGQPDLIYKFMDLANHQASLNSKRGAAFGFSKIAKLAGDALQPYLRQLVPRLVRYQYDPDKNVQDAMAHIWKSLVADSKKTIDEYLDLIIEDMLVQCGSRLWRSREASCLALADLIQGRKFNQVGKHLKNIWTAAFRAMDDIKETVRKSGERLCRAVTSLTLRLCDISLTEISDAKNTMDIVLPLLLTDGIMSKVDDIRKSSITIVTKLAKGAGIAIRPHLSELVCCMLESLSSLEDQGLNYVEMHAANAGIQTEKLENLRISIAKSSPLWETLDLCIDVVDDQSLEQLVPRLSQLARSGVGLNTRVGVASFISLLVQKVGGSIKPFTSTLLRLLFQVVKEEKSGASKRAFANSCAFVIKYAAPSQVHKLIEETAALYNGDRNSQIACALLLKSYASTAGDILSGYYATVVPVIFLSRFEDDKNVSSLYEELWEENMTSERLTLQLYVSEIVTLITQGIASSSWASKRKAAKAIVKLCEVLDESLFSYHQVLLTSLMNEIPGRIWEGKEDLLEALSSLCTSCHTAISAADPSCESAILNVVTSACSKKSKKFRDAGFRCLEKVLKAFKNPDFFGVVFPLMFEMCNSAFNSQSKQVSSPNDTEKAEAHEKEESSVPHEKIIDCVTSCVLLARLSDILKWQKDLVHVYLNSLAPAIPWIVKVSVFTSIKELCWRINEGLKDSDKSSQQLDRSALAIELFYSLSPKVIDCISIVKIAQVHIAASECLHELTKLYRDLPEACIAEIPFKTELLHQWEIEKNEQAKSYMKNCIDIIDTIQHKIL >cds-PLY61962.1 pep primary_assembly:Lsat_Salinas_v7:5:158922545:158927132:1 gene:gene-LSAT_5X69140 transcript:rna-gnl|WGS:NBSK|LSAT_5X69140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGHGPPAPRRNSSMYRRNNPSTTIKKSLSTQSENGTTSTGATNGYPLKSPSPTPSGERTVKKLRLSKALTIPEGTTVSEACRRMAARRVDAVLLTDSNALLSGIVTDKDIATRVLAEELRPDQTIISKVMTRNPTFVSSDSLAIDALQKMVQGKFRHLPVVENGEVIALLDITKCLYDAISRMEKAAEQGSAIAAAVEGVERQWGNNFTAPSAFIETLRDRMFKPSLSTIISENSKVAIVLGSDPVSVAAKRMQELQVNSVIIMNGNSIQGILTSKDLLMRVVAHNLPPELTFVEKVMTPNPEYATVDTSILEALHIMHDGKFLHLPVVDKDGSVVACVDVLQITHAAISMAESNSGADVANTVMQKFWDSALNLDPPDDYDDSHSEMSMSVVMQSDPVEGGRAYPSLGLGNSFAFKFEDLRGRVHRFTFGTENLAELVSAVAQRMGGSLDQNPPQLLYDDDEGDRVLLTTDSDLAGAVNHARSAGQKVLRLHLDNLEFGQMKRESSQLDMVVEEQQTQISKSNHLQTGILASAAVIAGIACVVYLKRANQ >cds-PLY98405.1 pep primary_assembly:Lsat_Salinas_v7:5:315590283:315592056:1 gene:gene-LSAT_5X172781 transcript:rna-gnl|WGS:NBSK|LSAT_5X172781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEKGGTVRRAPFGLSLSINTHTLSKSSKNEISPCHYLILQKRNPHSSSSLSLVHQEGVDFNDSSLDDLIRWGNSNNSFIVVDSLAFSQHLLPAYFKHNNFSSFICQLNTYGFRKVDPDRWEFVNEWFVIDVYEENE >cds-PLY77533.1 pep primary_assembly:Lsat_Salinas_v7:2:165014224:165016600:1 gene:gene-LSAT_2X86161 transcript:rna-gnl|WGS:NBSK|LSAT_2X86161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLRCATNETHLVKTVCAVVLKGCSWDLLLKPRIGSVISSISVNQILQNLSQHGSSSFISWNFFKWIELNPDYKHSLQSSWTMIHILTKHRHFKSAHQLLDKIALRDFLSSTSVLNALSTTCDDQDVNSHVLSWLIIFYANSKMTQDAIQVFEHMRVHGLKPHLPACTVLLNSLVKERLTDTVWKSYKKMIKIGILPNLHIYNVLIHACCKSSDIEKAEELLSEMEIKSVSPDLFTYNTLISLYCKKGMQYEALCIQDRMDRSNIHPDIITYNSLIYGYCKQGRMREALRMFKEMKDTNPNHVTYTTLIDGYCRVNSFDQALTLREEMEAKGLSPGTVTYNTILRKLCEDGRIKDANKLLNEMSEKKVVPDNITCNTLINAYCKIGDMKSALKVRGTMLNAGLKPDSFTYKALVHGFCKIKEIENAKEFVFCMLNDGLSPNNCTYSWLVDLYCNLSNEEMVLKLPDEFHQKGIIVDISVYRALIRRLCKRERVDYAQRLCDIMQDKGILGDSVVFTSLAYAYLKAGDIDNGLKIFDEMYKKRLMITHKIHKSFAASYADDKGILGSFWNLAVERGLISRSTLKQIHKDQDYA >cds-PLY88348.1 pep primary_assembly:Lsat_Salinas_v7:2:9260296:9264095:1 gene:gene-LSAT_2X4420 transcript:rna-gnl|WGS:NBSK|LSAT_2X4420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPENVCLLRLFKRLHEYESISVNGQMLTIDPTAFATSDNQGTIVDTGTTLTYLLAEAFHPFVNSVSLNFAGGASMILKPENYLVHGDHVDGGTRWCIGFQRVQNGVSIFGDLVLKDKIFVYDLSKKRTGWTDYDCSSDVNVSITSSKDEFRMRES >cds-PLY96757.1 pep primary_assembly:Lsat_Salinas_v7:2:170638329:170639345:-1 gene:gene-LSAT_2X93441 transcript:rna-gnl|WGS:NBSK|LSAT_2X93441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKLRSKRFQTSLSKLRGGCGGGGGGGSGDNKECGATIAREIKWELRPGGMLVQKREIAGQNVEKGVIMVRVATCSQWHDISIQATSTFGEMKRLLSMVTGMAPKEQRVLFKGKEREDVEHLHMVGVRDKDKVVVLEDPAMKERKLLHGSLPTTNDIDASNHAISV >cds-PLY66566.1 pep primary_assembly:Lsat_Salinas_v7:6:166057572:166058981:1 gene:gene-LSAT_6X101400 transcript:rna-gnl|WGS:NBSK|LSAT_6X101400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVRQKAVATLPTLMRALRKESPSLPQRLPSLRRAFSLYDQINLIDNVPEDQLRFQGYTDSGFTVNGVQYEGSLLCVGNLMLSWSPKKMSDITTNSLSIFQVVRPIPEILIIGTGRYIQPVDPEIRKFIRSTGMKLEAVDSRNASSTYNILNEEGRIVAAALLPYGVSD >cds-PLY91438.1 pep primary_assembly:Lsat_Salinas_v7:7:134148102:134148341:1 gene:gene-LSAT_7X80061 transcript:rna-gnl|WGS:NBSK|LSAT_7X80061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKHEENSQRYMLEGAKLIAGAAIGIGNVLSSSIHSVARNPSLDKQSFGYAILGFALTEVIASFSPMMAFVISFIFR >cds-PLY93303.1 pep primary_assembly:Lsat_Salinas_v7:4:303770031:303774150:-1 gene:gene-LSAT_4X153060 transcript:rna-gnl|WGS:NBSK|LSAT_4X153060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPSPNSKASVSAPTKEKKRKMLGSSVEEENEIKVDNSSSKPKKRNSNPGVRVIGGRVYDSENGTTCHQCRQKTYAVFASCKNKSKTKPCPLKYCNTCLLNRYGEKVEDVALMDEWSCPKCRDACNCSICRKKRGHEPTGMLAVMAKAGGFSSVSNMLDVKGAENVSNYKRVKETIASPRKKNNSEEGVMVTSPKTKGKENLFDGKTDANADPSLPITSAVKEKPKKSNQKKPKPEGSKEVVLENGHSDAIKPKKKKQSKLSPEGSIEIVLEIGNNDAVEPKKMSQKRLKPEESKEMIVENGNSDAIKPKKITQKKMKLEKSKERIVENENIDAVESKETTVENGNKDAMKPKKTKQKKLKVEGSNEMIVENGNIDSIKPKKITQKKLKLEKSNGENPILKVDGVQKKQKGIKKSTEEKKVVNENGEVLVITCDQNTDQKNKVDKNALNLTKPIVDPIIPLPTGSELVNVAGVDLPKEDVGNALQFLEFCSTFGKVLDVKKGQAEAVLRDLIKVRSTRRGKCSVAIQFHIQLLSVIQLESESESESESPVLKLTHANDSWLKGLKTCIPKSYLSEKKIDSLDKKSGGYDTLDSSTKLRVLTFLCDEVLGTEKIRNWMDEQNGKFVERRKEARSKLSDAREKEKSLKQKMQDDIAKAIIQREGAPLTLMEHDEIVSKIKKEAAEAHAEMLACKQLVPIDNERPDAVRIEPTFRGNDGHFFWRLKGCSDKSGILLQDIGDGDLSVETVDKWTEYDGEQMDLVEKHINSLRLRIVKGYKNYAMKLV >cds-PLY85961.1 pep primary_assembly:Lsat_Salinas_v7:3:200873528:200876669:-1 gene:gene-LSAT_3X119441 transcript:rna-gnl|WGS:NBSK|LSAT_3X119441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYFVDSNENVSFIHELGMQGMQFISTIFQLSENFCTHTRVLNLAQSVVNLLCHFFPLFVDALKPETSRINGDLAMLIENETNDNDIKTIFGNNGVGYQHFSGFGAEQVVLVRDEHLKDKKVCLSATFKSQLLVQIRNYKMPLKSPPNWHIIDKTSNQSFKKKTEMENLITELVFIPAPGVGHIMSTIEIAKLLVTRDHHLSITVLLINPPPTFGSCSAVTTYIQSLAKTKMDRISFIDLPQDKTPPVSKVPMAALTNCINNHCKYVRKIVANKMSQPGSARVAGFVVDMFCTGMIDVANEFNIPTYVFFTSNAGFLRFKLYIQTLYDYENQDIVVLSNSDSTIHVPSFAKPVPTKVFPGVFQTLEGLDFVLGSARRLRKAKAIIVNTFLELETHAIKSFSEDCTIPMVYPVGPILNLEGCSLKAAGNDEIITWLDSQPPSSVVFLCFGSTGSFDEFQVKEIANGLERSGYRFLWSLRRPPKDKRTRAPSDYEDLGTVLPEGFLQRTAGIGKVTGWVPQVAVLAHSAVGGFVSHCGWNSLLESLWFGVPSAAWPIDAEQQINAFEMVVELGLALEIKLDHKDFCNQKANKVVVTAEEIENGVRRLMNDKKVRTDVKEMREKSIAAVAEGGSSYASVGRLILDFKRNLL >cds-PLY94066.1 pep primary_assembly:Lsat_Salinas_v7:4:170979201:170983957:-1 gene:gene-LSAT_4X100601 transcript:rna-gnl|WGS:NBSK|LSAT_4X100601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHTKNSIHTSNFSNSLFFRSPISDPTPIEIFNNFELLYDPSNHSFDRSFLEKKQHLYKKVFPNFYTLGWYSTGTDAEESDMHIHKALMDIYESPVYVLLNPLINHAQKDLPVNIYENGEKNTLYLVMFLFWNEYYAWGLHRIAKAVSFLNNDCNLVHGNVCLESEVATPTLDLKLHAFDALSEFDGNNELSTGPMLVCLLNYIAFL >cds-PLY64313.1 pep primary_assembly:Lsat_Salinas_v7:4:27027521:27028868:-1 gene:gene-LSAT_4X19860 transcript:rna-gnl|WGS:NBSK|LSAT_4X19860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTSCTDDQSTTTETISTVAPTVVTPRQPPVETSLCRVGSGASVVLDPEGGVEAQSRKLPSSRFKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEDEAAMAYDVAVQRFRGRDAVTNLKPLEADTKEASLEASFLSRHSKAEIVDMLRKHTYNDELEQSKRNCSIVKPISGAGLSCSSGSGSVIPREHLFQKTVTPSDVGKLNRLVIPKQHAEKHFPVQRGSTSKGVLLHFEDNDSKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLNAGDSVSFQRSTGPEKQLYIDWKAKTGLDNTNTQPIQPVKLVKPVQMLRLFGVNIPSIINCNGKRSHDTEMDLLGFEDCKKQRLIDAL >cds-PLY84933.1 pep primary_assembly:Lsat_Salinas_v7:6:14961258:14966427:-1 gene:gene-LSAT_6X10961 transcript:rna-gnl|WGS:NBSK|LSAT_6X10961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEKSPENRSPVPLLYRRHSSGDIMNNLASVSSSLLPAFGTVVGGDSPPLRDYVIAPYDRRYRQHLARNLQGLTLKKTRKFEIWRRFVACIKWWQAFLVVLVIYSAWSSPFELAFKKVATGSLLYVDLVVDFFFVVDIFLTFFVAYLDKSTYLLVDDHQKIATRYVTHMMFPMDVASTLPFQAIYRLFTGKLHRGEVFGFLNLLRLWRLRRVSELFSRLEKDTRFSYFGTRTLKLICVTLFAVHSAACFYYWIATHHKQSDDTWIGSIIHNFEDRSIWLGYTYSMYWSIVTLTTVGYGDLHAVNTGEKVFNIFYMLFNIGLTSYLIGNMTNLIVHSAVRTFAMRDAINEILRYASKNRLPEGLKEQMLAHMQLKFKTAELQQEEVLDDLPKAIRSSISQHLFRKTVEKTYLFKGISDDLSRQLVTDLKAEYFPPKVEVILQNEIPTDFYIVVSGAMEVLTHKNGMEQFLIKLGPMDMFGEIGVLFNIPQPFTIRSKKLSQVVRISHHRFKKLVEPLDDDGKTIMSNFTQYLKDLKKEMQDEMPFLSELLLDLNIEQTKSVNQSENREASNYGRDDGEGTPTSVLSNTFPLRVVIHGHHPHEKTIDGKRTGKLVHLPDSIEDLLKLAEKKFGKRGTTVLMADASQVEDLNALRENDHLFIS >cds-PLY77595.1 pep primary_assembly:Lsat_Salinas_v7:2:162743443:162743703:1 gene:gene-LSAT_2X84901 transcript:rna-gnl|WGS:NBSK|LSAT_2X84901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEDFGMIRRRTEKLKKSRLDSETEAYMGRVQFVLKNAHGLCLTKKGLLTLLNTTRVSLAYFDTGRVGPDEHCTLEKTQGWHANFH >cds-PLY65454.1 pep primary_assembly:Lsat_Salinas_v7:9:184196576:184198634:-1 gene:gene-LSAT_9X113520 transcript:rna-gnl|WGS:NBSK|LSAT_9X113520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRARRQLLSKTNAAATSPEEARVIPTRTTPPQPNIGGGLRKKVVGFRQWLLLDSTGQAQVVEAGKYAVMRRTGLPARDLRILDPILSYPSTVLGRERAIVINLEHIKAIITAHEVLLVNSKDPSVAPFVEELQRRIQRHHLATVSQEGKSDETNWTNLYDSGEQQSKTVTSESTTSQEKIEEVKTEGIPSLDSRDGLKHLCFEFIALEACLEAACSSLDNEAKTLEREAHPALDKLTTKISTLNLERVRQIKSRLVAISGRVQKVRDELEQLLDDDGDMAEMYLTHKLELQLENASETSVNEQDLADEQVLDSEMHDRDPCDQGLESIDEDDFQRMNSQQERFFGSNVYGRDSRGTRMTSMARLGMNKHRDVEELEMLLEAYFVEIDGTLNKLSTLREYVEDTEDYINIMLDDKQNHLLQMGVMLSTANLVASAFVVVAGIFGMNINIDLFNGDSPAEKDIGMRKFLWTVGGGTTGSIFLYVMAISWYKNQRLLE >cds-PLY77867.1 pep primary_assembly:Lsat_Salinas_v7:1:21388349:21398723:1 gene:gene-LSAT_1X17740 transcript:rna-gnl|WGS:NBSK|LSAT_1X17740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGTTTNRIQGCIKHGPWGGSQGEYWLYMPEEGYIKKITIVHAGFIDRIRFQSDCHTQTGETQTSIFGGSGGKKTDTICIDYPNEYLTSISGTIDNSGGSFSPPMLMSLSFHTNQIRYGPYGNSDKGTPFSYDGKDGMIIGFYGRFGQYINAIGIYVIPKSLSPSLSPNSAPKNNSNSMHELCCEMSGAGMPREAGPWGAAGGKPWDDGVFSHVKQIRVYLGESLKVDLDGEKECLTGISGFYGPVVEFNGLEGITSITIHTNKKIVWARKWRRGGTRSNEGCITLGPWGGSQGEDWVIMPEAGGFLKKITIVHAGVIDRIRFQSDCQTGKTETSIFGGDGGNKTDMILIDYPDEYLTNISGTIGQFGGSTVVMSLCFRTNQIRYGPYGNSDKGIPFSYDGKDGMIVGFYGRAGKYIDSIGIYVIPKSLALYPKSSHGLCCKMSALGMASGAGPWGAAGGRPWDDGVFSHVKQIRVYLGESLKVICGVQFKYVKKDGKSVLSQMHGGTRGDKTELVDFDDEKEYLTGISGFYRPVEVNGITSISFHTNKKIYGPYGQERGKGSVDYTSKSSPGKVVGFHGRNGDFLIAIGVHMEYF >cds-PLY70844.1 pep primary_assembly:Lsat_Salinas_v7:8:116702805:116704049:1 gene:gene-LSAT_8X81501 transcript:rna-gnl|WGS:NBSK|LSAT_8X81501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGERGGFGRGFGGRGGRGGDRGRGRRRGPRRDAEEEKWVPVTKLGRLVKDGKISKLEEVYLHSLPIKEHQIIDQILPSLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRLVPAPRGAGIVAARVPKKVLQMAGIDDVFTSSRGSTKTLGNFVKATFDCLLKTYGFLTPDFWRETRFSRSPFQEYTDLLAKPTTKAITYVEDVAAEIAA >cds-PLY85137.1 pep primary_assembly:Lsat_Salinas_v7:9:147527919:147528632:1 gene:gene-LSAT_0X7220 transcript:rna-gnl|WGS:NBSK|LSAT_0X7220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAMKHSDQNAPGDHPEMFLRESGKKFTDKYGDRSGILMWGYDADKKMWVVKRKSSQIEYYEKQTDFMSKKKVDLAELIHAPFNNPTNDSVAWDFKRFLKDQAKNKFERMKTASSFTKKAKHVIDPHTNKTMVNVMSPPTKQAKRIPFPRRLPEGTLDSMQFRVYDESTASVVIKLKKSQYHIVDPKDLLKFRERDIHTLSHFQLIIENELFKAPRNAFTGMVATIIERKLWAGAFD >cds-PLY91682.1 pep primary_assembly:Lsat_Salinas_v7:8:10259600:10261796:1 gene:gene-LSAT_8X7420 transcript:rna-gnl|WGS:NBSK|LSAT_8X7420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGFSSIFSDNNDKAPASSSLQGLGDLPENCLAMVLEHFDPPEICNLALVNRVFYQASCADLLWESKLPENYGILIKKLLMLHEDDNSFRCLRKKDIYSRLCYPIRISNGTKEVWNEKKRGGICMLVSWKGMKITGIHDRRYWTHVSTLQSRFHTIAYLKQIWWLEVEGDIDFDFPPGNYSLYFRLYLGKVSPRQIQHGSFNNDQVHGWAINPVCFRFWVSNGEHAMSKHFLNEQGKWICYHVGDFLVDHDCNYESTKIKFSMTQIDCTHQKGGLSLDSVLVCPRELETCHLV >cds-PLY85698.1 pep primary_assembly:Lsat_Salinas_v7:7:158147054:158150595:-1 gene:gene-LSAT_7X93200 transcript:rna-gnl|WGS:NBSK|LSAT_7X93200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETLSPSRTPGHKQRASVLSPDRPLFLGSNDDKLERAQARAARAAAIRRKPVAINQANDANPADPCLGQEQIMELFQNCIKLASENKINQKNTWELNLIDHLCDIIKVEEENDVETNFQKASCTLEAGVRIYSMRVDSVHSEAYKVLGGISRVALEAEQDTVEDGKTENMPGECTSKKEKEIKLSPLSTLESSFEALNVKKFDVAFAVDPLYHQTTAQFDEGGSKGLLLNNLGVYGGCRMIFDSLEVPSKCMLSSNENKIDTIDISYTKDCIEQMASNFTKKLEISPSLKEIVNMFDEDNKRPVDTFSSSQNSIEPDHEAFEGDFNGGETENDNSGTWDFINDNQTSLNDDDTYDGDDGHFEQPDHLQENEAYVTTHDDEKSSTVDNFLFLSLGLTGKHNAWAGPEHWKYRKTKGPEEVVKENGSPLMAKSKRNKKQEPDIDFTKALESDSDLDSIFAPPKNPKTLLLPANRESVSTMLPEDCHYQPEDLVKLFLLPNVMCLGKRGRRYSDEEGEGNNNNNETFASWDNDCGQFDDGNVYNSDIEDSTTLVSQPRQVNKIEVEYDRTSKQVDVQALKEILWSSIQETHVSPQKETKELSFKEMLSAFPTDVKKQAAASIDAISPHLCFICLLHLANEHGLSIHGCDLLDDLTIYVPSI >cds-PLY80175.1 pep primary_assembly:Lsat_Salinas_v7:4:186622081:186623304:1 gene:gene-LSAT_4X107660 transcript:rna-gnl|WGS:NBSK|LSAT_4X107660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVASCGVPVLAESTGEGLHYKRMLRCSSHHRSSPKDGVRSDRYKQRGTCETRDRDRDKDRSREDRNGKGRDKDRERERDRGGDRDRERDRVRVKREHVRKPEKEREDRDQEKEKEHERPHRSGSKIRKTWE >cds-PLY63896.1 pep primary_assembly:Lsat_Salinas_v7:1:126482271:126483500:1 gene:gene-LSAT_1X95860 transcript:rna-gnl|WGS:NBSK|LSAT_1X95860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIPDVSSLSLTSEHDEQEKDNFAILLDRYKEKFSQFPKEKGWMGQDVYMYQGFWYTSENAFSIETMMAVQESFQAHSTDIYVITQPKCGTTWIKALVFAIVNRTKYKNIKPSDHPLNISNPHKCVPFLETEIITKGPANPPRLLASHIPYNALPKSIIDSSCRIVYLCRNPKDVLVSWFHFANKLKDKSRTPMTIGEMLDVYAKGFMPYGPYWDHVIEYYKASSEDPTKVLFLTYEDMKIDTASKVKRLAEFLGHPFTEEEVANGVVEEIVRLCSFENLKEVNKNGDLLTGIPNASFFREGKVGGWSNYLTKEMSQILDDITTEKFQGLDISF >cds-PLY99549.1 pep primary_assembly:Lsat_Salinas_v7:4:71279399:71279966:-1 gene:gene-LSAT_4X47840 transcript:rna-gnl|WGS:NBSK|LSAT_4X47840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVISTSLLTADNTPMVVPNTVFSSQAIVNKSYAGWHELVSNIYLQVDKNEEIHKMGEGDLLSAKQDILLQLVKIIEKHGLKLDDHMGKLNTF >cds-PLY90124.1 pep primary_assembly:Lsat_Salinas_v7:7:14468644:14469341:-1 gene:gene-LSAT_7X11841 transcript:rna-gnl|WGS:NBSK|LSAT_7X11841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPPSFLSSFFLLFLLLSLFFLSINGQNLIYDTCKTSSQQDPNVKLQFCTSSLQAAPASHCADLNGLGMMSIRLTRYNLTDTRCYIKQLLKNNTKKLDPYVKSCLDDCFELYSDAIPSIKQAMKSYNSKRYFDANVQISSVMDAATTCEDGFKEKKGVISPLTKKNDATFQLSAVALSIMNILQSDSK >cds-PLY67391.1 pep primary_assembly:Lsat_Salinas_v7:4:212922897:212923058:-1 gene:gene-LSAT_4X118661 transcript:rna-gnl|WGS:NBSK|LSAT_4X118661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGATKVCRSVSQVDVFDGNSREGVYGTLVDGNSRYGYGSTLEYGNVDGEYGG >cds-PLY86179.1 pep primary_assembly:Lsat_Salinas_v7:9:134444642:134448546:1 gene:gene-LSAT_9X86220 transcript:rna-gnl|WGS:NBSK|LSAT_9X86220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNMSDPNKIMKLLMHDRDCGRKAKELKMEQEKQIKGQVNVEPDRDAMTLVFGMEKGGFLKGVGTRVTYNRYFNVPCSKGLSNEEIKDLKVALQNGKLELQKKDIELKALSTKVNEQDQTLKLVLAHLNAKGVDFANLSHTIPIRCSHNIRIKGISLLVVPFTYHQKDNSFMEFLYKMIAIKFPLMNW >cds-PLY95109.1 pep primary_assembly:Lsat_Salinas_v7:1:94790275:94791360:-1 gene:gene-LSAT_1X78380 transcript:rna-gnl|WGS:NBSK|LSAT_1X78380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRSPCCEKDHTNKGAWTKEEDERLVSYINAHGEGSWRSLPKSAGLNRCGKSCRLRWINYLRPDLKRGNFTPQEDQLIVSLHAVLGNKWSMIASRLPGRTDNEIKNYWNTHIKRKLVVNNHQTTTNVVKTIEEAPPTPPEYSTKIIDTSTSGGGGGGGGVSSSSTTSGLSSEESIPVTNITHPDINLELSIGLPMVSDRKPVVVVQPPVSAFSLYKERIGDGCGGTVRNSDEHLLYVKEHSIGFSWFW >cds-PLY78980.1 pep primary_assembly:Lsat_Salinas_v7:5:35866416:35871272:1 gene:gene-LSAT_5X16121 transcript:rna-gnl|WGS:NBSK|LSAT_5X16121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIGNLYFSFTNSSLFMLLTLSLVLLLIHFVTKKGGGNLVPNAWQSLVELIYDFVLNLVNEQIGGLSGNVKQKFFPCILVTFTFLLFCNLQGMIPYSFTVTSHFLITLGLSFSIFIGITIVGFQRNGLHFLSFLLPAGVPLPLAPFLVLLELISYCFRALSLGIRLFANMMAGDLGPLFIVLALTGLELGGGNLVPNAWQSLVELIYDFVLNLVNEQIGGLSGNVKQKFFPCILGMIPYSFTVTSHFLITLGLSFSIFIGITIVGFQRNGLHFLSFLLPAGVPLPLAPFLVLLELISYCFRALSLGIRLFANMMAGHSLVKILSGFAWTMLWDLGPLFIVLALTGLELGVAILQAYRGGEAALPNCRGEGTRTSPESTKRFRARLTKEVRWYTIFLRLFELLLMTYAFCYHFQLFDPL >cds-PLY62166.1 pep primary_assembly:Lsat_Salinas_v7:2:153756775:153758552:1 gene:gene-LSAT_2X77001 transcript:rna-gnl|WGS:NBSK|LSAT_2X77001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRLFGSLLASSKASKHPLLVLERSLAAYLATNKQYAYEPRFFSAQPQSAIPQEFKFSQTHAGDKSVQENNKSGIHVMSIQHVKPDKPTPHELRLYKLSALDQINIPSYVPFIFFYPNNVNGNTNINIDNLVIERSKLLRDSLLETLTRFYPFAGKYMDDNNIVCTDEGVHYVETRVDGDLSSFVAKPDYTLLQGLLPSPLNCKEPTLGQYLSLIQVNFFSCGGVAISMYNSHKLIDGRTYSTFLNAWASAAKYDDPQKMVYPNFVSSSLFHPNTNVASSASCPLSFLAVRPAMLKRGKCSTKRFLFDSSGIQTLKEKAAASTSVSPTRVLAVTSLIWKCATAASRSLHGERPSILQFAMNIRGRFAPPVPENAIGNICWTGVTRCDKLKDSLRLETMIENIKAGIAKVDSGFLEKFKGEQGSDYIVDEMIQLGGQLSTYDADFYSSSSMCNYGFNEVDFGWGKPVWSCYGNFRDDIPLYANVIILMDTRNGDGVEAWVTLGQEEMEILENDPDLLSSSYMLLSSLVLFKLD >cds-PLY79101.1 pep primary_assembly:Lsat_Salinas_v7:3:7170083:7170841:-1 gene:gene-LSAT_3X4820 transcript:rna-gnl|WGS:NBSK|LSAT_3X4820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFREGEIKEGSPKLLNKLALYRYAYHQTSFLFSNPLSDIRGDSVSCFKSTILLNIIIRLAFSSLILNIFRYAYHQTSNPLWHQIDGYLVMVSDI >cds-PLY63598.1 pep primary_assembly:Lsat_Salinas_v7:8:189651858:189654431:1 gene:gene-LSAT_8X121601 transcript:rna-gnl|WGS:NBSK|LSAT_8X121601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQDVDMKEQQVPASPSSSLESMSHRVLQHFKKRVVELIEGGAYTGKVRWILRAVSITIVLRWRLKVSVIYPFLNFALTTGSEAHSRLISFFPKDDDHEMEVDTASSATQGTVNHSHEMEIYCYFLVLIFLIDQKKYTEAKACSSAGIASLKNSNRRTVDVLASRLYFYYSLSHELSNSLSEIRGNLLVLHRVARLHHDELGQERLLNLILHNYLHYNLYDQAEKFRSKAPPFEGHSNRQESLLQAARKAPVERSLGFRVQCNKWAIIVRLLLGEIPERTLFKQKGMKNALRPYFDLTNAVRIGDLELLKTVAEKFSTTFTSDRTNNLIVRLRHNVIRNRLRHISIAYSRISLADVATKLRLNPLIADVESIVSKAIRDGAIDAMLDHANGWMVSKETGDIYSTNEPQIAFNSRIAFCLNMHNLAVRSLRFPPNYHKEQQVDSDSDSDTSDEEYDDEY >cds-PLY80479.1 pep primary_assembly:Lsat_Salinas_v7:2:136037867:136040556:1 gene:gene-LSAT_2X65180 transcript:rna-gnl|WGS:NBSK|LSAT_2X65180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANTPFLLETRFNFNPLLQQTFRLSPLRSFPTKLSSGNNHSFKLNYTPYKGIKYSSKLSIKCLMSAKPEGETGIGGNTYPDDNDLKAVAISSGININEVLCRSMQYVLTALQFPVITGVLLGLLFLYDHQFAFAASGGRMGCSSFSSSSTKSSISSSSSSSTTSKSSYPSSSSSSSSSSYKSSKSSSSSTPKSSSYSSSSSTSSTKPSSSSSSSSSWSSSSTKPETSSSSWSSKNSSSWSSSYESPKSSSSYENPKSSSSYEIPKSWYSYEIPKSSPSSSSKRPNKSSSSSPNPVKDGVEPAVVVDQAVAVEPAVGVQPAVRVDPIIAGAMIFLAVGFVAAILPRPLLAQKTSVLKLQVGLSGNIRSVQKDLNRIAEKADTSCPEGLSYILQETTRVLQRYPDYCISGYSSVDAKRSIVDVETLFNQLCIDERSKFDEETLVNVNNIRKQSATTQRPNDFNAEYIVITIVVAAGGMHKLPPINSSAKLKEALQNLATIPSSSVKAVEVLWTPQDENDTLTKQEYLEDYSLLRPL >cds-PLY91086.1 pep primary_assembly:Lsat_Salinas_v7:9:124883377:124890704:1 gene:gene-LSAT_9X81561 transcript:rna-gnl|WGS:NBSK|LSAT_9X81561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGASIVQLLLIIFIKFSFVAAQKNDYAYLQALTNGWKNTPPNWDDKPDPCSGWDGITCTNGRVTSIILLSMSLIGTLTGDIVQFSELQILNLSYNKRLTGRLPTAIGKLKKLTNLILIDCGFSGRLPDTLGSLENLISMSLNSNNFTGAIPPSIGNIKNLYWLDLSDNRLSGSIPVSSDTTPGLDMLIHAGHFHLGGNMLTGNLPAQLFSSNMNLIHLLFENNTLDGSIPSTLGLVQTLEVVRLDRNRFTGHVPSNINNLAGVNLMYLSNNQLTGPMPDLTGLKRLNYLDLSNNSFDKWSIPSWFSDINSLTKLKMSNTNLEGVLPAALFSLPELQIVDLSDNTLNGTLDILSTHSKQLQQVDLQNNQIVEFTQRSQSTIELILVGNPVCMESGVTEKFCSLPENTTPSDSRQSNKCVPMKCSHSLQIMSPNCRCAFPYTGNLFFRASRLSEHGISTDYDSLRDSMISSFQMSQLPVDSVAFANPSKTLDDYLVINLHVFPSNGDETFDRNGINGIGWSLSNQTFKPPPDFGTYVFKGDDYEYFLASVSGKKSSHTGLVVGAAVGGCVLVALLIFAGMYALRQKGRAERATHESSPFALWDPTTSGGVPQVKGAKAFSFEALVKCTNNFSETNTIGTGGYGMVYRGSLPNGQLIAIKRAKQDSTQGALEFKTEIELLSRVHHKNLVSLVGFCFDQGEQMLVYEYIVNGTVKDSLSGRSGMRLDWTRRLRIALGAAKGLQYMHDLADPPIIHRDVKTNNILLDERLVAKVADFGLSKSLGDANRTHVTTQVKGTMGYMDPEYYMTQQLTEKSDVYSFGVVLLELITARNPIERGKHIVREVRQAMDKSKELYNLHEVLDPTIGLSSQLKGLERFVDLALSCVEETGSERPTMSDIVKEIEAIMELMGLNPHAESAANSSGYDDRGRGSEHLYTNDNLFAYSGHHFSMKFDPK >cds-PLY82369.1 pep primary_assembly:Lsat_Salinas_v7:3:116668592:116668987:-1 gene:gene-LSAT_3X82541 transcript:rna-gnl|WGS:NBSK|LSAT_3X82541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRWADRSMFTLRLGGVSRSSNLNELGRRLGIYTTEETMSPHFCAYLDSCITTPPQEYSHMQFWVHARETYVSRKAKESTFRSPIYRLIYRLVDATIYHCQECDNVPSGDLFYTWYLTQTKACLYLLFALAL >cds-PLY94992.1 pep primary_assembly:Lsat_Salinas_v7:5:5217745:5220107:1 gene:gene-LSAT_5X2441 transcript:rna-gnl|WGS:NBSK|LSAT_5X2441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGGSRFSDQMFGASSILSILLNGPISPIHHRPSTLLCVTHRHSLSCPLPPLSTVPHHLLLPYRANSLFPIKSSQQFYTQSTLQEKNPVPADAYLVSPSHPWPEWSLLLESISMSGAFDVNRNIKEEFVPNESLSMEFLDAACSCLRFAHDRPEILGCLPKDDIQVLIAEGTPFLFKSSLETERKMRSFLQGDESNEATSVDLMKYILSYASNPIIYPERNIREATESSARNLLREMAKFNSSGAALSLSTVEKFNEIGPTMKRGEWLCSKCNFFNHGRNVVCLKCECSRSGEPIGQMKTSGQDAKKEVPNGTKSGYAPFVPLPADMFAKKPDEEKKPASNIKSQIKDTVEEEKSEKWFSKVAELHDATDLPSVISDEDFPEIMPLRKGENRFVVSKKKDRSLTSSKYKQESMEKGSNTNFVPFVPFPPDYFSKKDDSKEVSESTILTDEAPDMNLVGESPRNQENEEVRNRWNGKSLEGSAVKETDPLDMSEEAKAERWFRRVAQIKDISELSQIPDEDFPEIMPMRKGVNRFVVSKRKTPLERRLTNPPPRLHKDNNGR >cds-PLY91514.1 pep primary_assembly:Lsat_Salinas_v7:7:142540407:142543745:1 gene:gene-LSAT_7X85260 transcript:rna-gnl|WGS:NBSK|LSAT_7X85260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPPVTGMELNTPPSDGISNLRFSHHSDHLLVSSWDKRVRLYDASANVLRGEFLHGGAVLDCCFHDDTSGFSASADNTVTRLVFDHEREDLLGRHDAPVRCIEYSYATGQVITGSWDKTLKCWDPRGGGAQERALVGTYGQPERVYSISLVGNRVVVATAGRHVNVYDLRNMSQPEQRRESSLKYQTRCVQCYPNGTGYALSSVEGRVAMEFFELTETGQAKKYAFKCHRKSEAGRDIVYPVNAIAFHPVYGTFATGGCDGFVNVWDGNNKKRLYQYSKYPTSVAALSFNRDGRLLAVASSYTYEEGDKPHEPDAIFVRNVNEVEVKPKPKVYPNPPAS >cds-PLY66344.1 pep primary_assembly:Lsat_Salinas_v7:5:290337944:290339853:1 gene:gene-LSAT_5X156500 transcript:rna-gnl|WGS:NBSK|LSAT_5X156500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit delta [Source:Projected from Arabidopsis thaliana (AT3G18190) UniProtKB/Swiss-Prot;Acc:Q9LV21] MATMAAQAPRASKTESYVDNKRKDDIRMANIKSARSVADAVRTSLGPKGMDKMISTASGEVIITNDGATILNKMEVLQPAAKMLVELSKSQDVVAGDGTTTVVVIAGALLKQCQQLLHSGIHPTVISDSLHKASMKAVDVLTAMAVPVELSDRESLVKSASTSLNSKVVSQYSTLLAPLAVDSVLSVVDPAKPDLVDLRDVKIVKKLGGTVDDTELVKGLVFDKKVSHAAGGPTRVENAKIAVIQFQISPPKTDIEQSIVVSDYTQMDRILKEERNYILSMIKKIKSAGCNVLLIQKSILRDAVTDLSLHYLAKAKILVIKDVERDDIEFITKTLNCLPIANIEHFRAEKLGYADMVEEASLGDGKIVKITGIKDMGRTTTVLVRGSNQLVLDEAERSLHDALCVVRCLVNKKFLIAGGGAPEIELSRQLGAWSKVLQGMESYCVRSFAEALEVVPYTLAENAGLNPITIVTELRNRHAQGEINAGINVRKGQITNILEENVVQPLLVSTSAITLATECVRMILKIDDIVTVR >cds-PLY97770.1 pep primary_assembly:Lsat_Salinas_v7:4:375656640:375658737:-1 gene:gene-LSAT_4X185060 transcript:rna-gnl|WGS:NBSK|LSAT_4X185060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENMQSYWQLGDELRGQSKVSEDQLWYAAASRLAEQTRSKGERRNNLDLSKDSTRPRENVVFQEENKFESLNLNMLNLNLNLEMNKNPLRYNTNNNMYQKGNNRIMENNMTSSKYPGNSHHNKDINNNNDNNNANAAAAADKRFKTLPAAETLPRDEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEATTFGGSNIDPSAWEDKKCKGESRFPAQVRIRIRKVCKALEEDAFRPVLHHYDGPKFRLELSIPETLDLLDLCEQNGV >cds-PLY63586.1 pep primary_assembly:Lsat_Salinas_v7:MU045890.1:728861:730854:1 gene:gene-LSAT_0X13340 transcript:rna-gnl|WGS:NBSK|LSAT_0X13340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVVSPSFSCYSSDSLTSIAVAKVIREQQANDFEFLSVLSDQDVSTEENDSTGRTVFPVFNRDLLVKDEEDREAKAKENELHVFSSITGSLQKLFIEEREESSLYSSWEEEESESLHSKTCCMWRLKVNGGSPSCMSKCKKSSSAGSRTKRWSIRYFFLRRSNSEGKEPMVFLTPTKVNSTKQKRNSGEVLKVGGRLKVQTPFMSFYMVLKRVIPSERGGTGVPTVVLILCYCDLDNGGHRLKKFLTLVWGLETLI >cds-PLY74284.1 pep primary_assembly:Lsat_Salinas_v7:8:164821610:164822929:1 gene:gene-LSAT_8X109341 transcript:rna-gnl|WGS:NBSK|LSAT_8X109341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDQAQTQVPTPSSPRSQCLERNEPVRSRWTPKPEQILILESIFNSGMVNPPKEETVKIRKLLEKFGSVGDANVFYWFQNRRSRSRRRQRQIQASLVSSGSLEQHQQQQLPMLTRCVSSGGGTGGGAIEYQDTAYTTPTPGFHIQQPSFFSLAVSSSSSSSPSSSSSSRLGGSDEIYSLSGQSASFHEQNTSMPSSFQHLDTSKVHYDFGRVASNRCLGVMITVFINGVPTEVESGPVNMKAMFGEDNLMLVHSSGVALPLDEFGVLVPGLQHGESYFLVSKLC >cds-PLY79965.1 pep primary_assembly:Lsat_Salinas_v7:9:45063957:45065248:1 gene:gene-LSAT_9X40780 transcript:rna-gnl|WGS:NBSK|LSAT_9X40780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPPVLRSPFVVRAVEIDSNLTKEENIKSNWLFSLCGNPTDDLFHSINGQRGERYMFESLCPGEFLFSGTIDCFVEVLNYDERARNLDTPSRVFFKTAVLDPAYMHSEACKYDDVYQNFKENVFHCLGESKERRNLKGIDLVFFPACANSHYFVFVFDFKNCKAVILNNILYRSSEKPYPHLTQNLVVLAQWTCGFKMESVEQILQLRNLRRRYSMKILLSEVNLMKNEFEKLLVDYQKLSANDSRVMYHEGIINIAARLAAFGP >cds-PLY63489.1 pep primary_assembly:Lsat_Salinas_v7:9:168623963:168625767:1 gene:gene-LSAT_9X104380 transcript:rna-gnl|WGS:NBSK|LSAT_9X104380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVTDSDPSDQDTEEFIEIDPSGRFGRYSDLLGAGAVKKVYRGFDQKEGKDVAWNQVKLRNFSDPVVVKRLFSEVKLLKTLNNENLIVLYGFWRNTEHNTLNFITEACASGNLRDYRKKHKRVSLKALKKWSKQILKGLDYLHTHDPCVIHRDLNCSNIFINGNIGKVKIGDLGLAAVVGKSHVAHSLLGTPEYMAPELYEEDYNELVDIYSFGMCLLEMATMEIPYTECDSIAKIYKKVTSGVMPEAFNKVNDSELKGFIERCIGQPRVRPSASDLLKDPFLLEVEDEETDRSA >cds-PLY65435.1 pep primary_assembly:Lsat_Salinas_v7:9:184436313:184439372:-1 gene:gene-LSAT_9X113380 transcript:rna-gnl|WGS:NBSK|LSAT_9X113380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQALSWSPRFAVAVNRSCRKASSFQAFSSDSSNQSRGGLPRFFSDGSAVRVQGDEFWHMTKVLRLNTDDRIELFNGKGGLVKGCIESIDRTGADVVALENPKLVSTNTTQWHVFAAFGTLKGGRSDWLIEKCTELGASSVTPLLTERSPSISDNRVDRLQRVILAAAKQCQRLHEMTLNPPLKVRDLLPIVTAAEATPVLNVLALSKQEPVGSIIVGPEGDFTETEVKLILEAGATAVGLGPHRLRVETATIALLATTMLWSDTWRSTI >cds-PLY66510.1 pep primary_assembly:Lsat_Salinas_v7:4:340587245:340592375:-1 gene:gene-LSAT_4X167020 transcript:rna-gnl|WGS:NBSK|LSAT_4X167020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSCSSKPSTGSKFSGHYDIDIPVKDNNEKEVEETTTTTTSKKTTKDEIEVGKKSPFFPFYSPSPAHNWFSKKSSPAKSPAPNASSNSTPRRFFRRPFPPPSPAKHIKSVLARRHGSVKPNEAAIPEGNESEGVSGLDKSFGFSKHFGSKYELGEEVGRGHFGYTCKAKFKKGELKGQEVAVKVIPKSKMTTAIAIEDVRREVKILRALTGHNNLVQFYDAYEDHEKVYVVMELCEGGELLDRILSRGGKYTEDDAKSVLIQILNVVAFCHLQGVVHRDLKPENFLFTSKDENSPLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARSESGIFRAVLKADPSFDEAPWPTLSSEAKDFVKRLLNKDPRKRMTAAQGLSHPWIRNTTNEVKVPLDISILRLMKAYMRSSALRKAALRALSKTLTVDELFYLKEQFSLLEPSKNGSISMENIKAALMKHATDAMKESRVHDFLASLSALQYRRMDFEEFCAAAINIYQLEALERWEQHARCAYELFEKDGNRAIMIEELASELGLSPSVPVHAVLHDWIRHTDGKLSFLGFVKLLHGVSSRTLANKPQ >cds-PLY92371.1 pep primary_assembly:Lsat_Salinas_v7:3:124042431:124043276:-1 gene:gene-LSAT_3X86321 transcript:rna-gnl|WGS:NBSK|LSAT_3X86321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRESSRYLLVSDPAMLTLSDPPETTVWVLPSNLAQADQIHLWRRRRAQMIESPTLPNPDVPSSSYQPFPDPDLDFHQPQQVELHKHYEQPDPNPQQTELHEHCEQHDPNRQQAELHEHCG >cds-PLY89165.1 pep primary_assembly:Lsat_Salinas_v7:3:23028361:23029104:-1 gene:gene-LSAT_3X17360 transcript:rna-gnl|WGS:NBSK|LSAT_3X17360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVLSCSINTLNGLYDISGVEVGQHFYWKTGGFHVHNQVLITSSVVIAILLASVTLAVRNPQTISTSSQNFFEYVLEFIRDVSKTQIGEEYGPWVPFIGTMFLFIFVSNWSGALLPWKIIQLPHGELAAPTNDINTTVALVLLRSMAYFYAGLSKKGLGYFADELVVVVLVSLVPSVVPIPVMFLGLFTSGIQALIFATLVAAYIGEYIEGHH >cds-PLY65993.1 pep primary_assembly:Lsat_Salinas_v7:4:138131970:138133139:1 gene:gene-LSAT_4X86780 transcript:rna-gnl|WGS:NBSK|LSAT_4X86780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACTAGMIHGCVSSSTSSSSSSWGKLKNSNKKKAARGSGGADQRFRVRCVSTSPLTSDPYKTLSIRPGASESEVKKAFRQLALKYHPDVCRGSNCGVQFHQINEAYDMVMSNLRDETSVAEMEYYGSSDAGIDEPMRGMEDPEWDMWEEWMGWEGAGIRDYSSHINPYI >cds-PLY87812.1 pep primary_assembly:Lsat_Salinas_v7:4:292801133:292802941:-1 gene:gene-LSAT_4X152421 transcript:rna-gnl|WGS:NBSK|LSAT_4X152421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIYEHNQQKGVMLVSVHAIGSRNPMTRLARRLVLKGLHVTLAINDLALKNHSSIVGGVHLEYFSDGLPEDHDRLNGDIDIFMSSLRRFGPGNLSALIGSCGRKFSCVITMPFLPWAADVAAELGLPCAMVWIQACTVYQIFNCFYNRINEFPTENNLENMVVNLPGVPSLRAEDLPSFVLPANTMSTFDSILKEVFCNIHKYKWVLGNSFMELEKDVIKAVNDAGLPFWPVGPIVPAICLGEEDTIDGDLKGLTLFKSPGESNCLEWLDKHPPASVVYISFGTLLFLSRKQIESIATGLKSSKRPFLWVVKLPENQETQKVEILEEIKEQGLIMSWSPQTAVLSHPSVGCFISHCGWNSLIETITAGVPMIACPQWTDQPTNAKLVTDVWRIGVKLKKNVEGLFDGEELERCVEEVLTGSGSEEFRKNAAELKRAACEAVADGGSSDKNIELFVDGVSSSCS >cds-PLY69923.1 pep primary_assembly:Lsat_Salinas_v7:4:68313272:68313805:1 gene:gene-LSAT_4X47661 transcript:rna-gnl|WGS:NBSK|LSAT_4X47661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICVASIRIHGTQLPEMPFIGTRHIYRRQRMCRRFLSAIESVPSSLHIEKLIIHAIAEHMHRWIDVFGFKPLEETHRQEMRSINMLVFPGTDMLQKPLIPEKGSSLHKIRMELELESNIPKPDRSE >cds-PLY76376.1 pep primary_assembly:Lsat_Salinas_v7:6:170474185:170475627:-1 gene:gene-LSAT_6X103061 transcript:rna-gnl|WGS:NBSK|LSAT_6X103061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFITIDIASDRFNINSQLEHLQAKYVGTGHADMNRFEWAVNIQRDSYASYVGHYPMLGYFAIAENESIGRERYNFMQKMLLPCGLPPEREDE >cds-PLY64696.1 pep primary_assembly:Lsat_Salinas_v7:7:137800456:137802352:1 gene:gene-LSAT_7X82580 transcript:rna-gnl|WGS:NBSK|LSAT_7X82580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLSMSVVVTFLFLFILVKLFKRYSSGKVGSKLPSGPWKLPFIGNMLSMISSQLPHQVLRNLARKHGPLMHLQLGEISYLVVSSPQIAKEILVKHDLSFASRPELQVSKTVLYNSSDIAFSPYGNHWRQMRKICASELLTAKKVQSFSSIREEEVGALMNSILSSAGSPVDLSKHFFTFMNTVTSRAAFGRIYKDQDLLIECVQELAVLAGAFDIVDLFPSYKFLHVFTSIGSKLKTLHRNLDMTLNRILDDHKNSEDTDGCKTGMEDEDFLDILFRLKNCGELEFPFTTDHIKALVVDVFSAGTETSSTTMEWVMSELVRNPRVMKKAQNEVRAVLNGKKEVHEADIQELKYLKLVIKETMRLHPSLPLLLPRECRESCEIDGYVIPLKTKVIVNAWALARDPEYWHDAECFLPERFEDNCYDFKGSKMEYLPFGAGRRICPGILFGVANVELLLASLLYHFNWKLPDGMNIRDLDMKEKFGASVGRKTSLQLIAAPYDLNCGDS >cds-PLY93619.1 pep primary_assembly:Lsat_Salinas_v7:2:174022824:174025427:1 gene:gene-LSAT_2X96380 transcript:rna-gnl|WGS:NBSK|LSAT_2X96380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGQSPSQKVVLVHDASGGVRMNAVRWILDGFELKDGDMFVFLSVLHQIHHPMGYKIRVDSSMFGGANQKAIDEEVARKKKEHEDNLELVQLSTLYEMQKINFKIELVTGPIPKNAAVEAIKKFDATWIILDRRMKRDRKYFLEKLSCGISRMKHNDDIIKIRGPKLSMAQSPRMPLSYGDMLPVDHKISTKQPQNDEDLFSIEFDSSHASSASTRTSISDGLLSIGNNNDDKVQLSTLLEAFGEEQEQEKSPQTETLLIVQVDQKKCTTCNSTRPTSLWKTRNFLYSELIDATNRFSSETLIYRGENEAVFYGTLKDTKLNVIVKEQKDVRKYKSEMQALEKTRNENVIMLLGTCLEKNVRLLVFEFACNGSLDQHLSHQSARPLTWGERIKIAIGASKGLCHLHENNIIHGDVRPKNIFLTHDFEPLIAGFGLARMEKEPQNDHFIIGTFGYVAPEYTERGKATRKTDVFAFGVVLLELITGRSPTDTRLKGQNFLNWAIPLVTERKYSELIDPGIAYHNDQFMSIVQVAANCLCDDPHIRLPMDEVAFTLDYIKGDEIQRSDEIEEVNNGNVETVTGYGQGQLGTPYKKVNFYFGGMTPVPKQAKHFYQVGQL >cds-PLY67778.1 pep primary_assembly:Lsat_Salinas_v7:9:165636682:165638356:-1 gene:gene-LSAT_9X103120 transcript:rna-gnl|WGS:NBSK|LSAT_9X103120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSDRKTRWSRWIDCYNSSQKILLVGDGDFSFSACLARAFGTAKNMVATSYLDQDSLFKKHWTSIPHLQELERLGCLLLVGVDVYNMDTSPFFENTKFDIIIFNFPHAGHYDYLSESSPILIQMHRELVGAYFRSASKMLSEGGEVHIRHRDDPPYDRWDIVSLAVEAGLELKEKVLFDKSKYPGYHNKRGGDVQTNKTFPIGDAFTFKFVETHDLPKVDSKNDDMGCVLDMKSVLHDDRFVRMFDGLCLKDDVDDDDDEEEEGYAEDYEYVDDETDEDDDNNDYDDDDDEEEEGYVEDYEYVDDETDEKDDDDDDDDDDDDDDDDDDDDEEDNNDEEEYFCDYY >cds-PLY77226.1 pep primary_assembly:Lsat_Salinas_v7:4:177340125:177341407:-1 gene:gene-LSAT_4X104060 transcript:rna-gnl|WGS:NBSK|LSAT_4X104060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTQSKLPVIDLTRKNINPASSSWITKCDEVIRGLEEYGCFIAMYDGVSQELHDSIFLASQDLFDLPIETKVLNTSDTPSHGYVGKEPIVPLYEGLGIEDATTTKGAERFTKLMWPYGNESFSKSALMFSKAVAELDQIVMGMVAKSYGIEQHYKSLFGSTTYLLRFIKYLCPQGNGQNLGLVPHTDKSFMSILHQKQVKGLEIKTKDGQWIEVDPSPSSFIVMAGDACMAWTNGRIEAPCHRVMMQGNEERFSLGLFTFIRDLNIEIPQELVDEDNPLQFEAFDNYKYIHYYYTDEGKRSKCPIKSYCGI >cds-PLY84166.1 pep primary_assembly:Lsat_Salinas_v7:4:369696539:369697853:-1 gene:gene-LSAT_4X181380 transcript:rna-gnl|WGS:NBSK|LSAT_4X181380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKIGRPRKCDVAGSNPHISVPTQSSQQASQQGSQPLVTSTVPTQPSQQGILHASSSNTGMKMRKLGLRGPSFRVGDIASVGTRSSEVTVPDVRRKKLAVRRPNRKSTTKFQDEVNNKVRNEVAQAPALNDVPLVNEMIEEEEIEVSVKVPEPIFKEVHLVNNQVPVVNDIPNNVAQLPVVNDVPLVNEVSQDLKQSLDEVGDEIDQILGSRNASDASDVPLVNEGGVEPEFTEGHASDVLPDKVKISVEEIANLLEVGYSMAGIESMGWLEIELDDTPPVEMDLNKDEPDVDEGEADFVNDVLNDGCVIEGEGEGVNHGNEAVGDVLNNEVADDGNVADDEGHLIVPKTRKRKPSERITKLKLKKAVFDKDGGGPTCSNPVNLE >cds-PLY93323.1 pep primary_assembly:Lsat_Salinas_v7:9:61327626:61327958:1 gene:gene-LSAT_9X53080 transcript:rna-gnl|WGS:NBSK|LSAT_9X53080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSCITDVNGLLLDIIETCDSMITITVKKHLSENLRPIFAMLHKIGGVPESSSIPQQRREGVTQSKKEDPKPSVKPTVKSKTELKGRENIFSEEPIIDNNEEEEPNENEL >cds-PLY90934.1 pep primary_assembly:Lsat_Salinas_v7:9:172110946:172112502:-1 gene:gene-LSAT_9X105960 transcript:rna-gnl|WGS:NBSK|LSAT_9X105960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANFPLIDMENLNGGERGATMELIKDACENWGFFELLNHGISHELLDKVEKMTKEHYKKCMEQRFKDMVAAKALEGLKAEVTDIDWESTFFLRHLPTSNILEIPDLEEEYRNLMKDFAGKLEKLAEELLDLLCENLGLEKGYLKKAFHGSKGPNFGTKVSNYPPCPTPELIKGLRAHTDAGGIILLFQDDKVSGLQLLKDGEWIDVPPMRHSIVINLGDQIEVITNGKYKSVMHRVIAQTDGTRMSIASFYNPGNDAVIFPAPALLEETAEKEQSYPKFVFDDYMKLYAGLKFQAKEPRFEAMKAVEANVSLGPVATA >cds-PLY69858.1 pep primary_assembly:Lsat_Salinas_v7:6:4172106:4175096:-1 gene:gene-LSAT_6X3180 transcript:rna-gnl|WGS:NBSK|LSAT_6X3180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRGMPFEVAAFQALTGSNNKFKEGSFGSNNSNEPISVLDTRRSPSPSTSTSTLSSSFGGGGNGCTTTTAPSLGVPDPDINNHHHLQQKWPDSVPQEMCMSGSLANISGEGGRKDEWSELQPIPAEFELQQRRFGVGLEDWESLLSESAASPAQDQSLRWISGDFDDTSLSLQQLLQSNQIDQNAPPATTVSETPAPPNFHPQNPLFFPFSNPDQNPPPHLTPPPQIQFHHHQGLVKAPVFHQGLQKSPVFHQNVQKTPASDLGLQKPSVNQGFQKVAISNPGHELQLKKPLMVPGTKQGPPAHQQHHHPQQQQQNQLICDQLFTAAELILSGNFTHAQGILARLNHQFSSAPAPHKPLQRAAFYFKEAMGSLISNSNPNKITQPFNGVFKMGAYKLFSEVSPIIQFINFTSNQTILEALGDAKNVHIIDFDIGFGAQWASFIQELPSKNNNGGGGPCSLKITAFASPSTHHPIELGLMHENLSHFAHEIGISFELEVVNFDSFDPRSFSVSGNEAIAVNFPIWSASTHLSAIPSILHFVKQLSPRIVVSLDRGCERTDLPFPHYLLQGLQYYEVLLDSIDGGNVVSEISNKIERFLFQPQIESMVLGKLQIPEPMPHWRSLFTAGGYSPVLLSNFAETQADCVVKRMQIQGFHIEKKQASLVLCWQNRELMTASAWKC >cds-PLY71934.1 pep primary_assembly:Lsat_Salinas_v7:3:24949998:24951276:1 gene:gene-LSAT_3X18520 transcript:rna-gnl|WGS:NBSK|LSAT_3X18520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDVDSPTTWVPYMNNQDCSQKICNLYCPQWCNYVVLPSPPPPVGFSDNDTDGANLSPLVIVIIGVFGSVCLLISYYVIISRLCIVNNDSSEPLRRRGVQNQETLELEMVEDDYNIEDHFNIGPWHDPDKGLDDGMINSIKVCRYKKGDGLVSCTDCSVCLGEFQEDENLRLLPKCSHAFHVYCIDTWLTSHSNCPLCRANVNCFDSTISYVLSPPPPPPPPPPPPPPPLSLPPPPPPPPPPPPPPQSEVIVSRRVQRDIAEGDAVIEIREEDGQREVRRSVSMGYLYQTRIAMADILFVNQQDEMELEICQFGEGVGSSKHVLKPEQCRFGYEDGSSKRVLNENSIYLNCGDVNHDKMKRSFSSGRHLFIRGWKGKTLRVSGLPT >cds-PLY65159.1 pep primary_assembly:Lsat_Salinas_v7:5:249003564:249005473:1 gene:gene-LSAT_5X124140 transcript:rna-gnl|WGS:NBSK|LSAT_5X124140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSTGISFDSDRITSDHRHHHQLEFMDSTRHNRSSSSHSPPPTTIHFPVNYLDPDPYKSDDKRINEVDFFNDTKHYPSKSIDFDLNCKEEITKPAIDLDFNVNTRLNLLTGNTSSDQSVIDDGISPSYEDKRANHELVSAQAKLKRMNGENQRLREALNQVIYNYNALENHLAMMIHQKQRGENPNGEGSRRQVMDLALTHPAKAETDKNLQLSTSEERNNDEQSMRPILNNQNTGNIDQSSEAPIRKARVSVRARSEASVIADGCQWRKYGQKIAKGNPCPRAYYRCTMAAGCLVRKQVQRCAQDRSILITTYEGNHNHPLPPAAMAMASTTSSAAGMLLSGSMPSSDGYLGRNLLPFSSSMATISASAPFPTITLDLTQTQNPLQFQEPFSNPNHLPHIFGQSLYNHSKFSGLQMSENMEASSRPGQLLPPAALTDTVTALTADPNFTAALAAAIXXXXXXXXXXXXGTTMRKTSIPPPSTTMVMATIKSAIQVFKEIINNNP >cds-PLY72465.1 pep primary_assembly:Lsat_Salinas_v7:2:139881940:139882903:1 gene:gene-LSAT_2X68260 transcript:rna-gnl|WGS:NBSK|LSAT_2X68260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPEMVTHNGGCHCRNVRWRVQSPATVVAWQCNCSDCAMRGNTHFIVPSERFELLGDSDKFLTTYTFGTHTAKHMFCKVCGITSFYRPRSNPDGIAITYKCVDPGTLIHVEIKLFDGLNWENSHKSTGISSCSKE >cds-PLY81542.1 pep primary_assembly:Lsat_Salinas_v7:2:125501976:125511917:-1 gene:gene-LSAT_2X58000 transcript:rna-gnl|WGS:NBSK|LSAT_2X58000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKPSKKPFNKTSDDRLKTFQKPSKKPFKPLQKSNGAMSKDAQPIHLEDDVPDFPRGGHSSLSRAEVDKVRAEVDKEFGTETIVLKKKKKEKARNSSQSNEDELGSLFGDSHGGKLPRFANKITFKNVTPGMKLWGVISEVNEKDLEVSLPGGLRGLVRASEAIDPQLHDEMEVDTEDEVLSSIYSVGQLVSCIVLKLDNDNKKEKEKGKHKIWLSLRLSLLHSNFTLDILQEGMVISAYVKSIEDHGYMLHFGVTSFTGFMQHSSKDTKVVVGKLVQGVVKSIDRTRKVVNLSSDADEVTKDLKGIPFNLLVPGMMVNARVLSTLENGILLSFLTFFTGTADVFHLGKTLPDSKWKDEYPQNKKVNARILFIDPSSRAVGLTLNPHLINNNAPPSLVKIGDIFDDSKVIRVDRGSGLLLEIPSTPVPTPAYVNVSDLSDKEVKKWEKSFKEGSLVRVRVFGFRLLEGLATGVLKTSAFEGTVFTHSDVRPGMIVKAKIVIIDSFGAIVQFASGVKALCPLRHMSEFEIVKPRKKFQVGAELVFRVLGIKSKRITVTHKKTLVKSKLPILSSYTDATEGLWTHGWITKIESHGCFVRFYNGVQGFVPRAELGLDPGSDVGSMYHVEQVVKCRVTSSVPSSRRINLSFLVTPTPGRVSEDDTVKLGSIVSGSVERVTPHAIIVNVDVKGYIKGMISPEHLADNHGLASMMKSVLKPGYKFEKLLVLDVENKNLILTAKYSLVNATQQLPADVSQVYPHSVVHGYICNIIDTGCFVRFIGRLTGFAPKNKAVDDQRFDLSEVFYVGQSVRSNILEVKSETSRITLSLKQSLCSSTDASFIKEYFLLEEKIAELHYSDSKSNSLKWVKEFPIGNVIEGTVHEAKESGVIISFQKYNDVFGFITHHQFGGSNVDIGSTVKATILDVSKMDRLVDLSLKPELVNRSMETSSSKTPKKMRKRSAYKDLEMNQTVNAVVEIVKENYLASVLSIPDAKFALGYASLNDYNTQAFQQKQFVSGQRVIASVMSLPDSSTAERLLLLLKSNNEVVDTSSTKRAKKKSSYDVGSTVQAEVTEIKPLELKLKFGSSLHGRIHITEANDDNILEDPFSNFKIGQTLTTKIVSKTKGENSNNIRWDLSIRPSVLSDESYVSKPQDFSYSTGENVTGFVYKVDKDWVWISVTRDIRAQLYILDTSSDPSELQDFQKRFHVGKRVSGYILNTNKEKRLLRVISHPVVANAASDESFTSHICEGEVVGGRVSKILPGVSGLIVQIDPHLSGKVHFTELQDPWVPNPLSGYHEGQFVKCKVVEIGHSGTGTVHVDLSLRSFVNPQSNRYEKIEDLHPNMTIEGYVKNVTPKGCFIMLSRKLDAKILISNLSDDFVSKPEQEFPIGKLVSGRVVSLEPLSKRIEVTLRSTSGTKSQNSDIGDFSSLNVGEIISGRVKRIESFGLFIAIDQSKLVGLCHVSELPEGHSEDIETKYKIREKVKAKILKVDEERQRISLGMKASYFDNQTQEIHNSDADSDSDSESDNPIPTETPPESLIPFSNGKHPALAEVESRASVLPLEVTLDEEADESPMEDEQAQIPEPLDDKKPEKNENKSKRTKKKETDEREREIRAAEERLLQKDVPRTADDYEKLIRTSPNSSFIWIKYMAFFLSLNEVEKARSMAERALRTINIREESEKLNVWVAYFNLENEYGSPPEEAVVKIFQRALQYCDAKKVHYALLGMYERTEQHKLADELLEKMMKKFKHSCKVWLRKIQRVLKQNEDLVHSVVKRALICLPKHKHIKFITQTAISEFKSGVPDRGRSMFEGMLREYPKRTDLWSVYLDQEIRIGDVDVIRALFERTICLELPVKKMKFLFKKYLEFEKSHGDEERVEYVKAEALKFIEKTRG >cds-PLY79929.1 pep primary_assembly:Lsat_Salinas_v7:3:109194649:109196992:1 gene:gene-LSAT_3X79740 transcript:rna-gnl|WGS:NBSK|LSAT_3X79740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQAILSKEYKMKVPYKLKQGQSRIFHKLPSGLNMEVIYQKCLQDDKSKTEKSWNPPPLVFVHGSFHAAWCWAEHWLPFFSQNGFDSYALSLLGQGESDAPEGSVAGSLQTHAADIADFIQKQTESSPPVLIGHSFGGLIVQYYIANEYSELAGAVLVCSVPPTGNSGLVWRYLFSKPVAAFKVTMSLAAKAFQTSLPLCKETFFSKGMEDHRVLRYQELMRESSRMPLFDLRKLNASLPVASSEFTRLLVMGAADDFIVDEKGLEETGSFYCVEPVCVEGVAHDMMLDSSWERGAQLILSWIKSL >cds-PLY92304.1 pep primary_assembly:Lsat_Salinas_v7:2:209440423:209442871:1 gene:gene-LSAT_2X129860 transcript:rna-gnl|WGS:NBSK|LSAT_2X129860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTSFFLTCTTTIFLLTGFLYVAPLLILAVIGILTSLMVVLLAVVFVPYFTQLMSTDHRPPVVGPVSNQLLHFQGLYDYMTLIAKKYPTYRFITPTHSRVYTADPANIEYILKTNFANYTKGEYNIGIMRDLFGNGIFAVDGVKWRHQRKLASFQFSTKVLQEFSTVSFKSNAAKLAKKISLLAAAEETMDLQDLLMRSTLDSMFKVGFGFDLDTLSGSNEASNRFMEAFDESNGLVYWRFVDLLWKVKRYLNIGSEAALKEKIKIIDNFVYELIRNKREHMKNEERDKEDILSRFLMESENDPENMNDEYLRDISLSFVIAGKDTSANTLTWFFYMLCKHPWIQEKVVDEVKMAIEADNHTSIDEFGVKFTELALDKMHYLHAALSETLRLYPAVPLNGKCAEKDDVLPDGFKIKKGDGVGYMAYPMGRMTYIWGEDAEEFRPERWIKNGVFQPQSPFKLIAFQAGPRICLGKEFAYRQMKILAAFLVYFFKFKLVDESKEATYQTMFTLHMDKGLHLYAFPRL >cds-PLY86253.1 pep primary_assembly:Lsat_Salinas_v7:8:55922072:55923192:1 gene:gene-LSAT_8X40781 transcript:rna-gnl|WGS:NBSK|LSAT_8X40781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLPGEVLSDIFIRLSAKQLAQMRCVCKDWNALLFESSFVKSHLHRSTHINQKILMFFGVRADCTSFTASPFSSPAIELDNFFKFPVNLESQPARCFGNVVGSVKGLICFKYESGDDYIVCIQNHSLSAFLTLPPCSMGSSSESRNTIFRFGYDPKTDDYKVVKLTELFDPRRIAPVEVYSLRKERFKEIPFPDSLRTCCFGDRLNVVGVLGGKVCVMSRVRDTDCEVWVMDEYSWVKRHVFSGFSGGDKIFPYGFTSNNQFLFRSMNELNRYGLYDPVIAKTKNFKIHGRSCGWKVVEYVDSLVWIAPANKLNN >cds-PLY99637.1 pep primary_assembly:Lsat_Salinas_v7:6:81452962:81456356:1 gene:gene-LSAT_6X58021 transcript:rna-gnl|WGS:NBSK|LSAT_6X58021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVIPKVKLGSQGLVVSQQGLGCMGMSGNYGLPKPEADMIKLIHHAIDSGVTFLDTSDVYGPHTNEILVGKALKEGGLREKVQIATKFAIRHVDGKMDVCGDPAYVRSACEASLKRLDIDCIDLYYVHRIDIRVPIEVTMGELKKLVEEGKVKYVGLSEASASTIRRAHAVHPLTAVQNEWSIWSRDLEEDIVPTCRELGIGIVPYSPIGRGFLAVGPKLAENLTDGDFRKSHPRFQNAEQNKSVFERVNEIATRKGCTPAQLALAWVHHQGSDVVPIPGTTKIENFNQNIGALSVKLTPEEMAELELIASSDMVKGERHAFMQLTWINSETPPLSSWKAIHISRLSNPRQPPMSSSLELSIRPFRVTDADDFFSLARDDRVTQYLRWNTMKDREEALKYLKEVVIPHPWRRSICFDDKSIGYISVKPESGVDRHRAHISYAIGVDFWGRGITTAAMKMAIPMVFQEMSYVVRIEALVKDENKASQNVLGKLGFKKEGYLRKYGFNKGEIRDMIMYSLLSADLSDQIC >cds-PLY99596.1 pep primary_assembly:Lsat_Salinas_v7:5:242105832:242107432:-1 gene:gene-LSAT_5X119421 transcript:rna-gnl|WGS:NBSK|LSAT_5X119421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIPDGGVDDITKLSYLHEPGVLHNLAIIYQRDKIYVKGSPTSVSFLSPHVFAITEIAFKEMVNGGKSNSILVSGESGAGLVDVHSVKQSSSDVMKVGNKFL >cds-PLY94961.1 pep primary_assembly:Lsat_Salinas_v7:4:110949912:110956348:1 gene:gene-LSAT_4X71481 transcript:rna-gnl|WGS:NBSK|LSAT_4X71481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDNKDHARSNLPTKSSVDSPPTSGPSSLIHSPSLVRSPLLQSPDQQSPDHPEAPKPKTGPKISFISIPPPSHSPFMSQTPYVPGTPDRPMTMPPSGARTPMAYIRSRNLTPRFLTPLGSPLRKALRITKLDPTDAWLPITESRNGNAYYAAFHTLCSGIGIQALILPVAFTILGWAWGVILLTLAFIWQLYTLYLLVNLHEDHETGVRYSRYMQLANATFGERLGKILALFPTIYLSAGTCIALIIIGGSTSKIFFQVVCASTDCKAQTLTVVEWYLVFTSGAVLLSQLPNLNSIAGISLIGAITAVGYCTTIWLVSVTKGRLPNVSYNPIRIGSEVSKIFDVLNALGIISFAFRGHNLILEIQATMPSSEKHPSTVPMWKGVKVSYTIIAMCLFPLAIGGYWAYGHLIPPSGMLPALFVYHSQDLAKSVQALTCVLVIINALSSFQIYGMPTFDELESIYVTRFKKPCSWWLRVIIRTSFGFTCFFVAVAIPFLASLAGLVGGIALPVTLVYPCFMWIKVKKPKFYSFQWWLNWGLGILGTCLSGLLIAAGVYVIIDNGIKFNFFKPE >cds-PLY79822.1 pep primary_assembly:Lsat_Salinas_v7:8:15007276:15008822:1 gene:gene-LSAT_8X11100 transcript:rna-gnl|WGS:NBSK|LSAT_8X11100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGSVNGGSLHSKFQTLPPAKIFNSQKRSTLHRRPPSPPIFISTNLSDVNPIHLQDLYSSCNHSCHRFPNISPDGRVEPVDVDKLRIALSHSSVVVSVFARPETVTSLPENLNTGGDWYRRMIPLTPFSGKLVGFGRAVSDNGLTASIYDVMVIPSLQGRGIGRMILQRIIRLLTNKGIYDIAALCSDQEMGFFKACGFGDDILGSTTMMYTRSGNHIMVKSAGRKVLMVPPLRKP >cds-PLY66778.1 pep primary_assembly:Lsat_Salinas_v7:3:65752834:65754464:-1 gene:gene-LSAT_3X51220 transcript:rna-gnl|WGS:NBSK|LSAT_3X51220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLCFQLLWVILIGLIFALVIQSLAATLGVCTGKHLSEVCKAEYPIFVKYCLWLLAEIAVIAADIPEEKYEKAMCEKSLGDVEIWEHCVGGRKKGRVYGDTLRVWLIIP >cds-PLY85276.1 pep primary_assembly:Lsat_Salinas_v7:3:71324019:71324312:-1 gene:gene-LSAT_3X54880 transcript:rna-gnl|WGS:NBSK|LSAT_3X54880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWLTEGCWRWDNHRSGGNDGCEVATAGTKSEEGKRVAPLLEGDNEDLRHTLPIGHNNGVYTSISTFVDNNSGGCWFRWLKAASKGGWVEVSGFKGGR >cds-PLY90825.1 pep primary_assembly:Lsat_Salinas_v7:6:155790083:155791470:1 gene:gene-LSAT_6X93780 transcript:rna-gnl|WGS:NBSK|LSAT_6X93780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESFWSFFDPQPQSSSPKIPPCQISPVVKTYLKKVYFSLCCSLIASAFGAFLHLLLNIGGILTFFATLVCFYRQLTTPKTRFSQLMTFSLLHGVTLGPLIGLVIDVHPGILVSAFMATAIIFACFSGVAMLARRRVFIYLGGFLSSCFSILVWVVFVALIFDENVALFNIECLICFLVVFWAVGYIVVDTEEIIERAHLGDLDYVTDALLLSLKAIEEDEKKKKKEKKD >cds-PLY72059.1 pep primary_assembly:Lsat_Salinas_v7:2:204509577:204514453:1 gene:gene-LSAT_2X125401 transcript:rna-gnl|WGS:NBSK|LSAT_2X125401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIMYSCSVPSFSSISVSNFTYRRPNRIICSAGAPVAERKAVNTTEPLLLNAVRGEDVERPPVWLMRQAGRYMKAENVDLVVEISLQPWKVFKPDGVILFSDILTPLPGMNIPFDIVKGKGPIIFDPISTAAQVDQVREFSPEEWVPYVGEALTILRKEVNNEAAVLGFVGAPFTLASYVVEGGSSKNFSKIKTLAFSQPKVLHALLEKFTTSMAKYIKYQADNGAQAVQIFDSWATELSPTDFEEFSLPYLKAIVDSVKETHPDLPLILYASGSGGLLERLALTGVDVVSLDWTVDMAEGRKRLGSEIAVQGNVDPGVLFGSKEFISKRIIDTVKKAGNKKHILNLGHGIKVGTPEENVAHFFEVAKGLSY >cds-PLY81824.1 pep primary_assembly:Lsat_Salinas_v7:3:32372413:32373932:-1 gene:gene-LSAT_3X24181 transcript:rna-gnl|WGS:NBSK|LSAT_3X24181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTEDERKALRGSKFAPLPSPLPTVSRSQPRLAHPGGPMKTNKAAALAKFLERKSLEPSGLSSINPKLVELAVKNAKETVRASNASNSERRIQHVNSFGDYEDMIEEDQEMEVKPIKLKKKKNKKKKNKKQKVN >cds-PLY76325.1 pep primary_assembly:Lsat_Salinas_v7:5:296429403:296431516:-1 gene:gene-LSAT_5X158120 transcript:rna-gnl|WGS:NBSK|LSAT_5X158120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLALSSPLFSTPYGWPLADNFSKVCGDPDSTQSFLEFPSSDQIQLDFTPENSSSFGGAVNRGKGDGMNVVKKLNHNASERDRRKKVNNLYESLRSVLPMSNDRKKKVSIPGIVSRAVKYIPELQKEVETLLHKKEKLWSYSSSTENIYIKKQSAKDAIIDEKSSIVSSVSVLSEKEAVIQLISSTKSSVKNKDIVFLSKVLEKLEEEEDGFILLNATTFKCVGEGMLLNTIHFQVQGNNKIDAQKMKEKLRSFQQQ >cds-PLY90331.1 pep primary_assembly:Lsat_Salinas_v7:2:199287340:199288760:1 gene:gene-LSAT_2X120380 transcript:rna-gnl|WGS:NBSK|LSAT_2X120380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAIKSPPSSKLFTLGLVSAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMSSCALLSYIAIAWMKIVPLQTIRSRVQFVKISALSLIFCASVVSGNISLRYLPVSFNQAIGATTPFFTAVFAYLMTLKSEDWLTFITLIPVVTGVVIASGGEPSFNLFGFIMCVGATAARALKTVVQGILLTSEGEKLNSMNLLLYMAPIAVILLLPATMYMEENVVGITIALARQDFGIIWLLIFNSSLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMAGYTLTVLGVILYGEVKKRSSK >cds-PLY64468.1 pep primary_assembly:Lsat_Salinas_v7:3:14872210:14873979:1 gene:gene-LSAT_3X10240 transcript:rna-gnl|WGS:NBSK|LSAT_3X10240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQNWWRSAYNHWVFKLGILMLLVGFGFRFHLSQSSSVIPNVSDNNFGTPFVTKNEKPIPSDFAESPKVLNRSYPKDDDESEKCDIFHGEWIPNSGEAPYTNNTCRWIESDQNCMSNGRPDTGYLHWRWSPKACELPPFDAKKFLEMMRGKTWGFVGDSITRNHLQSFICLLSQVEDAVEFFHDKDYKNRKWHFPSYNLTVSVIWSPFLAKAETFEDINHIPSSEIQLHVDILDKTWTQQFDTWDYVMFSSGKWFVRTAIYYENNTILGCHGCEGKNYTDLGFNFAYQKVINNLFDFIMNSNKQSTIIFRTSTPDHFENGPWSNGGTCDRRVPAKEGEFELGILNRILREVELPEFAKAKASEKGKKLKLLDVMPLSLVRPDGHPGPYRYFYPFAKDKKAKVQYDCLHWCLPGPIDQWNDLLMKLVVDD >cds-PLY69687.1 pep primary_assembly:Lsat_Salinas_v7:5:212298700:212298939:1 gene:gene-LSAT_5X99121 transcript:rna-gnl|WGS:NBSK|LSAT_5X99121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQGQSSPYTIESDVDDVHQLVNEAFPNDSSNVSPQTVTPVESHTEETSNLDILVNTSNMDTNITTIETPLTSSSYVDE >cds-PLY77372.1 pep primary_assembly:Lsat_Salinas_v7:1:208340720:208342676:-1 gene:gene-LSAT_1X123221 transcript:rna-gnl|WGS:NBSK|LSAT_1X123221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPTATTILDFTSPLDLRRTYINSFSSSSVLSAHRHLLLPLSSTLFDSFPSTIFKFLLTLAEKKASNHHENSIYGCSPILHLSLLLTEEDIQLYKPESYTTFPQRYVIKSKWWGVAHNNEPVFAYIGAEGPIDGDINIPGFLPENAPRFRDLIVCIKHQFNGKSIPVWSMAESLKHWQIMQKYMNMSTKTYIHIILLSSLLEALMVEVKEKVGRISPQMCEYFLKPF >cds-PLY72201.1 pep primary_assembly:Lsat_Salinas_v7:7:57100213:57103603:-1 gene:gene-LSAT_7X41401 transcript:rna-gnl|WGS:NBSK|LSAT_7X41401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMSLKELDYISSSEAEMEKITHKQDLNLKATELRLGLPGSESPERNTINGGGTAALKILVSGAKRVFSDTIKTSSGTWGFSDNGGSEVDFVRNSAFFSSSSSSPRGENKNPSSVKDAVVSSSSKNYLHDKHSQISASNGQDSVAASKGQVVGWPPIRSFRKNCMVVKNTKNEEDTGSQCVYVKVSMDGAPYLRKVDLKIYKSYLDLSSALEKMFCSFTLGLRESPMDLLNGPEYVLTYEDKDGDLMLVGDVPWDMFTGSCKRMRIMKSSDATGLAPRAMEKGKVRN >cds-PLY80549.1 pep primary_assembly:Lsat_Salinas_v7:6:13068781:13070410:-1 gene:gene-LSAT_6X9661 transcript:rna-gnl|WGS:NBSK|LSAT_6X9661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEIITWLLFFFIHIALLVVVVYQLMCLADLEFDYINPYDSASRINFVILPEFITHGVLCLIYLFTGHWVMVLLGLPYLYYNIRLYTRKQHLVDVTEIFNHLSWEKKQRLFKLGYLIFLLFITLFWMIYNALEDDEM >cds-PLY98507.1 pep primary_assembly:Lsat_Salinas_v7:7:126118997:126119661:1 gene:gene-LSAT_7X75761 transcript:rna-gnl|WGS:NBSK|LSAT_7X75761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDELGPERSDHVSFHQAPPYSSSEDWWKTYETSISLGFLATAVFISMFIIMAIIEHLYKLNASFDLNRYTSHQSNDPRPMQKLVDPQPHVQERNAMDLSILMPGEKYPTYIAHPTPLPCTREGVYWPSHCVHDFSHP >cds-PLY66850.1 pep primary_assembly:Lsat_Salinas_v7:7:17848482:17851175:1 gene:gene-LSAT_7X14441 transcript:rna-gnl|WGS:NBSK|LSAT_7X14441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSRETLLTAVNNKINIKSNYWIIDALESRGFSWIFFDQSSLWKAGLSWSIFFLLAICVPILSHLVFQCSTCDYNHRRPFDLIVQSSLSVFSAISFVSLSSFSRKYGLRRFLFLDKMSDVSDKVRHGYSDQLHKAMKFYCAFVVPCFLADAIYKIWWFISGAHQIPFISNIYLSHTIACVLLLGSWLYRTSLFFLVCMLFKLTCSLQIFRLDDFAKVFERQGDVGLILMEHLAIRRTLRIISHRFRGFVLSTLILVTASQFASLLVLTRTGSLVNVSTAGELALCSATLVSGLLICLRYAAKITHKAQSVTSLAAKWHTCATVDSFDDIDPIDETLSTNITSERQNYNFNPQSHSDSEEGDEDELDNTKLVPVYRHTVSFQKRQALVTYFENNKAGITVFGFILDRSYLHTIFALEMTLTLWLLNKTIGFT >cds-PLY86173.1 pep primary_assembly:Lsat_Salinas_v7:3:242157144:242159115:-1 gene:gene-LSAT_3X135520 transcript:rna-gnl|WGS:NBSK|LSAT_3X135520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGKKRREKNYKEAHGVGKNRLPPPPVRSSLDVIPSKLRQLMSYTSGSGKLTVDVEEHKKGGNRRGGSDGGNLIENKVGANEKPDFVVSDSKIKDNDEGTEKKKKKRKRKQVDDLRFEAELGAVGSKRKERKKKLLEERKKKKKKTNEGEINFPGREEIKFGDVVKAPPKLVNVPKKFGSSNASQERIRLRAIEAYRDQKKWASRPGVHQPTIDITQPSL >cds-PLY89040.1 pep primary_assembly:Lsat_Salinas_v7:9:28202191:28203591:-1 gene:gene-LSAT_9X24701 transcript:rna-gnl|WGS:NBSK|LSAT_9X24701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g59600 [Source:Projected from Arabidopsis thaliana (AT5G59600) UniProtKB/Swiss-Prot;Acc:Q9FGR2] MGVFCEMQREGLEPNNFVIPSVLKACGHLLDRQTGEKLHAVVVKQEFESDPFVISALIDMYSKCGKINKARKVFDVMVEIDLVAMNTMVAGYVQHRLVNEALILVEKTQSIGVIPNLVTWNTLIAGFSQANDDSNVAKLFQLMKESGIPPDVVSWTSVISGFVQNLRNQEAFDLFKKMLATGMHPTSATISSLLPACANLADSVHGKEIHAYSIVMGIEKDIFVSSALIDMYSKCGFIYESKTLFHNMPERNTITWNSMIFGFANHGDCDEAIRLFNQMVDQKVKLDHLTFTGVLTACSQCGMIELGKKLFLIMQEKFKIEPRLEHYACMVHLFGQEGKLKEAYELIQEMGIEADVYVWGALLGACKLHGDVGLAEVAAKRVAELEPESAGSSLVLSNMYADAGSWGYAARVKRMMKKMKMKKVPGSSWIGGV >cds-PLY66768.1 pep primary_assembly:Lsat_Salinas_v7:8:71990454:71991515:-1 gene:gene-LSAT_8X52620 transcript:rna-gnl|WGS:NBSK|LSAT_8X52620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSNHDSPFIMLATLFSVLVLSSSQDFDFFYLVQEWPGSHCDTEQGCCYPTTGKPASDFGIHGLWPNYNDGSYPSNCNSSNSFDASKISDLISRMQLEWPTLSCPSKDGLKFWGHEWKKHGTCAESILDQHAYFETTLKLKNKINLLHALEGAGIQPNGQMYSLESITSAIQVASGYTPRIQCNNDTSGNSQLHEISLCVDSMAADFIECPVLPNGRSCGSSVEFPSF >cds-PLY64273.1 pep primary_assembly:Lsat_Salinas_v7:7:2286193:2286749:1 gene:gene-LSAT_7X1120 transcript:rna-gnl|WGS:NBSK|LSAT_7X1120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLSIDIRDDPLEYVQEAKAIIDRKTTIFTFSILDLLLKFFRIKVMSCHAASWISRKIITHTTLCFSNLAGPVEEIGFYGHAMEFLATSSYDQPHILMINFQSYVDKMTIVL >cds-PLY78434.1 pep primary_assembly:Lsat_Salinas_v7:2:167117723:167118610:1 gene:gene-LSAT_2X88261 transcript:rna-gnl|WGS:NBSK|LSAT_2X88261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPQPPPTTATVRARGSETERPTWLQSNRTGNQPAPHINNGVLNRHQPPPPLAICYGKCLKNYAATNGGQILDGCGEFMLSPNTTSLECAACGCHRSFHRIEADHLHQTMPQVIECQCNHQHQHHQQHHHHPPTPPSSRLAAITKSSSTPDSQSPSPISSSYYPAPPHMFLTLNPGLPPPEPANINRPSILTHAVASGSNPKGKKRFRTKFSEYQKEKMQEFAERIGWKMLKTEEEMIVGFCKHIGITKSVFKVWMHNHKTINGNPGNRRNNDQNHRDHIGTAIGANGSSSSS >cds-PLY91591.1 pep primary_assembly:Lsat_Salinas_v7:7:70217963:70219271:-1 gene:gene-LSAT_7X49541 transcript:rna-gnl|WGS:NBSK|LSAT_7X49541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATDKSPQPSLSLPLRTRIALSAISTFTDAACRKNGTVNRRIITLVDFKSQATSKSTNGVSSHDVVVDETRNLWFRVYVPTEHAGEDLPVMVFMHGGGFVFLSPDALPYDAVCRRFARKVPVVVVSVNYRLAPEHRYPAQHDDCFDVLKFIDDEENRSKCLPENANLLRCFLAGDSAGGNLAHHVAQRACEYNFRRLKVIGVVAIQPFFGGEERTESETRLAGTPLVSVKRTDWMWNAFLPEGEGFNRDHPIINVSGPQAVDVSEINLPPVMVVVAGFDVLRDWQKRYYEWLKKSGKEVYLFEHPNMCHAFYIFPELPESGQLIDQVKDFIHKVSSNVATL >cds-PLY87721.1 pep primary_assembly:Lsat_Salinas_v7:1:5401620:5404444:-1 gene:gene-LSAT_1X4200 transcript:rna-gnl|WGS:NBSK|LSAT_1X4200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATMFHQAIGTLEPRGHIGNSALHQECGSIPMRLMARSLKFGTGPLKREHYGSRKRSCGSIQSSSSSSYQTSECNPLNGNTSKKPSEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPIDMIYTSSLIRAQMTAMLAMTQHRRKKVPIVMHDENEQAKAWSQIFSEETKKQCIPVVTAWQLNERMYGELQGLNKQETADKYGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFTQQIEPQLRAGKNVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIAKEEKYIRRGSPAAPTEAGVYAYTKNLAKYRQKLDEMLH >cds-PLY62183.1 pep primary_assembly:Lsat_Salinas_v7:6:31469269:31470473:1 gene:gene-LSAT_6X24341 transcript:rna-gnl|WGS:NBSK|LSAT_6X24341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin TIP4-1 [Source:Projected from Arabidopsis thaliana (AT2G25810) UniProtKB/Swiss-Prot;Acc:O82316] MAKIAIGSIQEVTKPDCIQALVVEFIATFLFIFAGVGAAMTTEKLVGNEVVGLFFVAMAHALVVGVMISAGFRISGGHLNPAVTLGLCVGGHITVVRSVLYWIDQLLASVAACALLSYLTGGLTTPVHTLAVGMDSLQGVIMEIVLTFSLLFTVYATLVDPKKGFLDGLGPLLVGLVVGANIMAAGAFSGASMNPARSFGPALVSGDWTDHWVYWVGPLIGGGLAGFMYENFFIVRTHVPIATDEF >cds-PLY84368.1 pep primary_assembly:Lsat_Salinas_v7:4:215008033:215008477:-1 gene:gene-LSAT_4X121961 transcript:rna-gnl|WGS:NBSK|LSAT_4X121961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTIERFTHASSVMEIITLEEGEVIGIITLEDVFEELLQEEIVDVTDEYVDLHKRYNKFIEELIMHKNVIRNVANRLTRTWKKVCGHISEDDMIPVELWKPNSDSSSQSP >cds-PLY75686.1 pep primary_assembly:Lsat_Salinas_v7:3:164461605:164470296:-1 gene:gene-LSAT_3X101980 transcript:rna-gnl|WGS:NBSK|LSAT_3X101980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGETDDIMEAVKMEAVDLETIPIEEVYENLRCSKDGLSSEDAEKRLEIFGYNKLEEKKESKFLKFLGFMWNPLSWVMEVAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRNGKWNEEDAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKHPGEGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMIIEIIVMYPIQHRKYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEIFAKGVDADMVVLMAARASRVENQDAIDAAIVGMLADPKEARAGIKEIHFLPFNPTDKRTALTYLDDENKMHRVSKGAPEQILNLAHNKSNIEKRVHTVIDKFAERGLRSLAVAYQEVPEGKKESPGGPWEFIGLMPLFDPPRHDSADTIRRALNLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNRDESIVALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLSEIFATGIILGSYLAMMTVIFFWAAYETNFFPRVFGVSSLQKGARDDFRKLASAIYLQVSTISQALIFVTRSRSWSFYERPGALLMGAFLIAQLIATLIAVYANWSFAAIEGIGWGWAGVIWLYNIVFYIPLDFIKFFIRYALSGRAWDLVIDQRVAFTRKRNFGKEDRELKWAQAQRTLHGLDPPETQYVERNNHNELNQMAEDAIRRAEMTRLRELLTLKGHVESVVKLKNIDIDTIQQSYTV >cds-PLY98828.1 pep primary_assembly:Lsat_Salinas_v7:7:22406956:22407690:1 gene:gene-LSAT_7X16941 transcript:rna-gnl|WGS:NBSK|LSAT_7X16941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRRKASESPFAIYCWFLQVLIVLSMVAVVIWLITKPRNPKFTITAIQIPGSGNRSFTKYDTLRNNSVDLDLQITNPNSGITICYLVIDVKLYNGGVLCGSKSLVSFCQRQRKTVTEEVLIDADREFRAGNAGGGARLRVRVETMVKYHSLLSWKTKIHHLGFEGFVRIGKEGNLTEHVYLHNIPLKH >cds-PLY80508.1 pep primary_assembly:Lsat_Salinas_v7:2:136373574:136377151:-1 gene:gene-LSAT_2X67640 transcript:rna-gnl|WGS:NBSK|LSAT_2X67640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLLFLWVVASSSAAASQDELRALFEFKKGISEDPLRKVFDSWNISSSDPASVCPQFYGISCDENGLVSAIVLDHLDLAGELKFFTLYSLKMLHNLSLSGNRLTGRLVPALGSMYSLQYLDLSGNQFYGPIPARINDLYGLNYLNLSNNNFTGGFPTGIQNLQQLMSLDLHSNSLWGDIGVLFSELRNVQYVDLSDNAFYGSLSNVANTSSAVNTLQHVNLSRNRLSGGFFSGDSLVLFRNLHVLDLGDNELNGKLPSFGSLPNLQVLRLSNTQLFGPIPEELLESLIPISELDLSRNGFSGSIPKINSSSLTTLNLSSNELSGSLPSSLGNCEIVDFSNNLLSDDISIIENWESPLTILDLSSNKLVGNLPNLTSSFNKLTLLNVGNNSLKGSLPSVQLSSPSLVLLDVSMNEFDGPIPPGLFTSMALTYLDLSNNGFTGEIPLRGSQEKSLISLSTYPPLEHLDLSDNTLTGALSPDIGNFRQLKFLNLGNDGLSGELPNELNKLTELEFLDLSGNQFQGKIPDDLSQNLKFLNVSGNNLSGGIPGNLKNFSDSSFFPGNPSLTSPEGGLRPPSPGGLPSSSPNVQKAHSSKSSIKIAIIVASVVAVLMIAFVLLAYYRAQIGDFRVKTAFNGQTGRDIKHGISTRPTTSLSFSNAHLLTSNTRSGSGQPETGSDAVDVVVPPPYPAAAAASASMIPNLIDTDPDPAPSGRKSSDSPVSSSPRFVEAIEHAVSLDVYSPDRFAGQLIFFDPKSNLSFTAEDLSRAPAEILGRSSHGTLYKATLGGGHMLTVKWLRVGLTKDKKEFAKEIKKIGTMIHPNIVRLVAYYWGPREQERLTLANYIEGDSLALHLYETTPRRYSLLSFTQRLKVAVEVARGLSYLHGRGTPHGNLKPTNIILEGPQYEARLTDFGLHRLMTPAGIAEQILNLGALGYRAPELASSARPVPSLKADVYAFGVILMELLTRRSAGDIISGQSGAVDLTDWVRLCDQEGRGMDCIDRDIAGGEQQSKAMDELLEVSLRCILPLTERPTMRQVLEDLCAISV >cds-PLY78094.1 pep primary_assembly:Lsat_Salinas_v7:1:6685502:6686047:-1 gene:gene-LSAT_1X4620 transcript:rna-gnl|WGS:NBSK|LSAT_1X4620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKSVSTPVDLSYSPTSIMEAIGVLMTYPMSSYLEQELDKRFNLFRLWNLPQKNDFFKENFGSIRDVVGNANAGADRELIDSLPALGIVSNFSVGLEKVDLGHCKQKGIRVTNTPDVLTDDVADLAIGLMLATLREICECDRYVRAGLWKKGDLSNPSISCNLKNLFATSRDNLILRSLRP >cds-PLY66534.1 pep primary_assembly:Lsat_Salinas_v7:4:340290867:340292217:1 gene:gene-LSAT_4X167321 transcript:rna-gnl|WGS:NBSK|LSAT_4X167321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLPDQTCQRFTLSEIQSATQNFDEALVIGRGGFGKVYKCPKIGSIREVAVKRLHSMSLQGANEFEAEVQVLSKLRHGNLLSLIGYCNEEKEMVLVYEFMPNGTLEDHLSSPDLSLSWIQLLKICVGAARGLDYLHMGTSTQHGVIHRDVKTSNILLDANFAAKISDFGLAKVGLIDRTHVSTAVKGTFGYMDPCYFYTGKLTTKSDVYAFGVVLFEVLSGRKAVDSTLDEDHWGLAGWVQHKIKEGKLNRIIDPRLIGQVSRKCLKEFAKVARYCLHTKPKQRLTMAEVVVKLESILSQETEIANSIVGEEGFIYKLRSLFTGKVHTGIRWTTDQTCRTFTYAELASATNDFMEEVLSPTLNEAIYKGYAPTENGVGLAMFVRRIEILLYQVLPICEIMMWDLL >cds-PLY77954.1 pep primary_assembly:Lsat_Salinas_v7:1:21435891:21437560:-1 gene:gene-LSAT_1X17821 transcript:rna-gnl|WGS:NBSK|LSAT_1X17821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDTIIFEECIALGPWGGGTQLVVKDWIFMPDGFIKKITIGYGRVIDYITFQSDTQSSTIGTAGKIGCQNCDMICIQYPNEYLTSISGAIGIHEGSQVVMSVCFHTNRRQYGPFGSAGVTGFSYDGNRGMIVGFHGRFGKFIDSIGIYVMPKSLALYRNCKSDDKSGHKLLITGLMPRDVGPWGASGGKLWDDGVFNHVKRVCVHLGKSYDVIYGVQFEYVKKDGKSFSSPVHGGGTGAEKTEQVDLDGVKEYLTGISGFYGPVEGFSGSKGITSIAFHTNKKMYGPYGKERGEAGYAYFTSTASPGKIVGFHGRKSDFLIAIGVHMEYF >cds-PLY69616.1 pep primary_assembly:Lsat_Salinas_v7:5:313547734:313547928:-1 gene:gene-LSAT_5X172520 transcript:rna-gnl|WGS:NBSK|LSAT_5X172520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMFMRSKSIGENEKKGKVFLADEDEDDENTISDVRIEDDGNEDDTVRSANPKSLEKSFASVEQS >cds-PLY77282.1 pep primary_assembly:Lsat_Salinas_v7:4:164705743:164706981:1 gene:gene-LSAT_4X99241 transcript:rna-gnl|WGS:NBSK|LSAT_4X99241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATGRFFIEVGLPKQKSHGDGVLFPMVLTPLSKTKLNVEKKLCDFLLAIKAEKPLLESLVKKRGVILFRGFPVITLSDFNDVVEAFDFPPKLYIGGRGLRSNVIGRIMTVDSRPPEIKIPFHHEMSYLSDFPSKLFFFCEEEPGSGGETPIVLSHIVYEKMKEKHPEFVAKLEEHGLTYTKLMSDEDHPSLYAGRGWKSTYMTNDKNAAEERAEKQGTKLEWIGNTAKSITGPMPAIRFDKENQRKTWFNSMVVGYNDPRDPQHCDVNISTKLANGEPLSDSVMQDCLRIMEEECVAIPWKKGDVMLVNNLMVLHSRRPLVTPPRQILVSLCK >cds-PLY87971.1 pep primary_assembly:Lsat_Salinas_v7:6:171226791:171228640:-1 gene:gene-LSAT_6X104280 transcript:rna-gnl|WGS:NBSK|LSAT_6X104280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVATRVSPMVYGDEDDCEDMALGLLLGIGRAYRRKRTSSLNILTSKTGPRDYYKGKNCKPTGFHTRKGGYVVVQEKLPNYVVPDLTDFKLKPYVSQCANTTDAAASST >cds-PLY71254.1 pep primary_assembly:Lsat_Salinas_v7:1:154631432:154632553:-1 gene:gene-LSAT_1X107340 transcript:rna-gnl|WGS:NBSK|LSAT_1X107340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVATIDWNDSKFEKDELYEDINAPQWIDFSANHPHPSTHDDDAWFCRPECNHPKSADDFFTKTISNPSKISMKNQDNIKHDSNTTVKKRGTQLNKISRSNAKRVQDCENQNPNLLTPTDHHVISRSGKKKKADNSSSKREETPRKLKTTFSARNLFAGNNILNQITEFCNELKRLGSKKKEKGDEHREKEIVQKKCEVGVLQEKQK >cds-PLY90794.1 pep primary_assembly:Lsat_Salinas_v7:2:107116441:107117766:-1 gene:gene-LSAT_2X46080 transcript:rna-gnl|WGS:NBSK|LSAT_2X46080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRRHVLLVSRHDYGHLNPTIELAKNFRLTGAQVTVATNVSGFHKLKSLPSLDGFSMASFSDGHDDDINAAKIPGYVHDLRRVGSESLACLIRTFSESGNQVTLLVYTLFLPWVAMVAREVNMPSAILLIQSATCFSIYNHFCNRRDGIVVVNKDIETSISLQLPGVPLLKWCDFPTFVLPTSPYFSDMVSVCQDHLKFLEEDPNPRVLVNTMNGLEADSIQSIANAVVVGPLVSSSFTGDQGLYFQWLDSKPENSVIYVSFGSTAVLSRGQKEELLRGLMESCRPFLLVLRDDGEEEDEEIKELKEKIGDDGLVVGWCSQTEVLRHGAVGCFVTHCGWNSTLESMVAGVAVVACPQFADQTTNAKMVEEVWGNGVRAVVDEKMVVSREEIKRCLEVVMGGGDTAEEIKKCVEKWKKVAMESVKDGGSSKINLKLFLESIS >cds-PLY85598.1 pep primary_assembly:Lsat_Salinas_v7:2:119618893:119619308:-1 gene:gene-LSAT_2X55240 transcript:rna-gnl|WGS:NBSK|LSAT_2X55240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICSSGPSTSNRNQVRSKIVDTKTTFGPKSNFMTSETLSKPNNRSIDTKAKPSIMMRTRSETLAKQNHMFAFPSFVPRQQKNPIIDSLQFRGRHSEIQRKFNPILSQF >cds-PLY73849.1 pep primary_assembly:Lsat_Salinas_v7:7:195550677:195554646:-1 gene:gene-LSAT_7X114681 transcript:rna-gnl|WGS:NBSK|LSAT_7X114681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISLKGGHRRIPTPDVASFNTIAGVRGDNRDEGPMLRQESPECKNGNKVDDSREKLADLYKKLQIMGSDATEAQASKILARLGFTKAMQIHATRSFSGDLNPTEGEVRRSQKLIIERYSQHFVELLTTGETPVQYLLRLHPEQDGFTKQEAVRAKLGKFGLPSHNYPTQIAKLPGGQKARVVFTSISMSKPHIFLLDEPTNRLDMQGIDALADALDELSGGVVLVSHDSRLISRVCDDKENSEIWVVDNGIVDKFEGSFEEYKEELQKENRAEVDNRLM >cds-PLY76830.1 pep primary_assembly:Lsat_Salinas_v7:3:5974969:5975611:-1 gene:gene-LSAT_3X3540 transcript:rna-gnl|WGS:NBSK|LSAT_3X3540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEEMLNELKTEDLKCRLFATLLRIHIMKFDVKPSFRDVALVFFPIFDDAKYYLLIFDLRSNFYYILDHV >cds-PLY88458.1 pep primary_assembly:Lsat_Salinas_v7:8:86790677:86790886:1 gene:gene-LSAT_8X60380 transcript:rna-gnl|WGS:NBSK|LSAT_8X60380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGVGMVVDVAAVEEQGRGGDHSSSNACCNYAALEWPISDQNRPTSALVGPNAPPVDFGSLGIWMCA >cds-PLY66172.1 pep primary_assembly:Lsat_Salinas_v7:4:34379645:34385648:-1 gene:gene-LSAT_4X22540 transcript:rna-gnl|WGS:NBSK|LSAT_4X22540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSLAVVLQAALSPNPAERKAAEESLNKYQYTPQHLVRLLQIIVDGNCDLAVRQVASIHFKNFIAENWSPHDPDEQSKILPSDKDLVRQNILVFVEQVPTLLRAQLGECLKTIIHADYPEQWPSLLQWVTLNLQGQQVFGALFVLRILSRKYEFKSDEERTPVHHVVEETFPHLLNIFSRLVQIGNPSIEVADLIKLICKIYWSSIYLEIPKKLFDPNVFNAWMILFLNILERPVPLEGQPADPDLRKSWGWWKVKKWTVHILNRLYTRFGDLKLQNPENKAFAQHFQKNYAGKILECHLNLLNAVRVGAYLPDRVTNLILQYLSNSISKAVTYNLLQARLDVVLFEIIFPLMCFNDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKENLQKFILFIVEIFKRYEEAPVEIKPYRQKDGALLAIGTLCDKLKQTEPYKSELEHMLVQHVFPEFSSPVGHIRAKAAWVAGQYAHINFSDPNNFRKALQSVVAGMRDPELPVRVDSVFALRSFVEACKDLGEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYAVGLCQNLAAAFWKCMNTAEADDEADDPGALAAVGCLRAISTILESVSRLPHLFAHVEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPTISMDMWSLWPLLMEALAEWAIDFFPNILVPLDNYISRSTVHYLTCKDPDYQQSLWVMLSNVMNDKNLEDNDIEPAPKLIAVVLQNCRGQVDHWVQPYINITVERLRRAERPYLKCLLMQVIADALYYNPSLTLNILHKLGATEIFNLWFQMLQQTKKNGVRVNFKRENDKKICCLGLTSMLSLPSDQLPGEALERVFKATLDLLVAYKDQLAEAEKEEAEEDDDDMNDGLQSDDDEADGSDREMGFDDEDGDEADSLRLQKLAAQAKAFRSTDDYDDDSDDDFSDDEDLQSPIDDVDPFVYFVDTMKILQVSDPTRFQNLSQTLDFHYQALANGVAQHADQRRIAIEKEKLEKATSAAASASAS >cds-PLY91221.1 pep primary_assembly:Lsat_Salinas_v7:3:74879554:74879766:1 gene:gene-LSAT_3X57860 transcript:rna-gnl|WGS:NBSK|LSAT_3X57860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIEREEGNDLTEFMSPQQSNLERVTYEGVSKVNGTEDAIEDENPKDNEDENVPDVKGKSMFNEDIPWKK >cds-PLY83708.1 pep primary_assembly:Lsat_Salinas_v7:4:43696250:43698280:1 gene:gene-LSAT_4X30200 transcript:rna-gnl|WGS:NBSK|LSAT_4X30200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSICTPNSLLSYTRLKPNSNTLHPPLPKRPSTLSFTPRASLSVPKEAILKSFHERKALKIISGLQNFNKDNVASVVIAADKGGATHVDIACDPELVKLVTSLTSLPICVSSVDPSAFLAAVEAGASMVEIGNYDSFYDAGIVFSPDQILNLTVETRRILPFVTLSVTVPHTLSLPDQAKLAEQLQQEGVDIIQTEGGKCSNPSKPGVLGLIEKANPTLAAAYTISRAVKIPVMCASGLSAVTAPMAITAGASGVGVGSAINKLNDVVAMIAEVRSIADSLGLSMNTGSELEKRALRV >cds-PLY74704.1 pep primary_assembly:Lsat_Salinas_v7:5:25725294:25725769:1 gene:gene-LSAT_5X13581 transcript:rna-gnl|WGS:NBSK|LSAT_5X13581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRSGSISNNNSNNKRSSSSEQKPPSPKRQKADNGGASEKSTPQEPLQQPLVDNSKEFSSPTALDPPENAAASYQRKDGSAEGEAVSAGKPEAAPAASVVTPIAQGKLFFRCLPNLLHANY >cds-PLY79779.1 pep primary_assembly:Lsat_Salinas_v7:1:180091179:180091988:1 gene:gene-LSAT_1X116841 transcript:rna-gnl|WGS:NBSK|LSAT_1X116841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGIANNWKIYNGVTHWLGLLDSPPSDFRTYINHYGEMAEATYDAYIKTPVSKNAGNCRFSRKNLFDRCGILRGRPLNQYKVTKYIYATSAVPVPGAFVTSSSTQAWSKKSNWIAFIAVATDEGKKVLGRRDIMIVWRGTVNPSDMVHDAELVKVSAKKIFGEMHLDNPKVHMGWYSIYTTSDPNTRYNQTSARDQALAEVKKLVDEYREEETSLTITGQHGXYWAQHGCSSRDTKRY >cds-PLY87833.1 pep primary_assembly:Lsat_Salinas_v7:2:65838992:65845804:1 gene:gene-LSAT_2X29841 transcript:rna-gnl|WGS:NBSK|LSAT_2X29841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTKDAATILDVRSVVEAISTDDDNAPVYQVESLCMRCHENGTTRFLLTLIPHFRKILLSAFECSHCGERNNEVQFAGEIQPRGSRYCVEFKSGDQKMLNRQVVKSETATIKIPQLEFEIPPEAQRGSLSTVEGILLRASEELQALQEERKKVDPETAEAIDKFTLRLKECATGNLSFTFILDDPAGNSFVENPFAPSPDPSLRIEFYDRSHEQQATLGYLFDPSLSAEVNNQTSLNNIPSEGTVEPHGSVGAVAGRRAIAQGNTVEFAEALFRYTAPEEVMTFPSTCGACAVSCETRMYLTKIPYFQEVIVMASTCDGCGYRNSELKPGGAIPPKGKKISVTVKNIVDLSRDVIKSDTASVEIPEIDLELTSGTLGGIVTTIEGLITKISEALERVHGFTFGDSLEEGRKDKWQDFRARLIKLLSLEEPWTLIMDDALSNSFIAPASDDIKDDHQLTIEEYERSWEQNEELGLNDMDTSSADAAYNATS >cds-PLY75167.1 pep primary_assembly:Lsat_Salinas_v7:6:107669398:107673761:-1 gene:gene-LSAT_6X67760 transcript:rna-gnl|WGS:NBSK|LSAT_6X67760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVPADHQYHHRDIKPLLPPHTMPHHHHDPPIEVNELPPAKFKPSLKAAADSDETEYSTSFADTASTNDNNSSALSDTEVESKLHSDTNGFSSSFDEFGGAFRVRRKKLTSHWRNFIRPVMWRCKWAELKIKQFESQASQYAKTIAAYDQTKHLANQSIPEGFTSRSMPFTCQRHHSKLMKRRKRVRVEDTTDAKFYMSKHILFSYHESRKSDTDGASITEDFDDPVLTGQKATSQEELGFEVGNKWSFLEDKDKDKDNEMEYILGKIDIAQTRVHKLKSQLDLLISENVNHLGPTFSTCNNGGGGGGGSCENGVSNSSYGEAAFHIPDIIESTVGLPSSVDVTHHQSHVADSCENIVDNMVVHSNQGDEAEKHNLRNCQLVVVVKQEGEKSEEEEEEGEGEDEQDEEEEEEDEEEDDEEEENTHPGIGIGEEQSSMITSLGTGFQIPKNKRKRGERKPGTANWSKQCPGEPDTSY >cds-PLY86498.1 pep primary_assembly:Lsat_Salinas_v7:8:134572166:134575076:1 gene:gene-LSAT_8X91220 transcript:rna-gnl|WGS:NBSK|LSAT_8X91220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGGVELHQELKNLCVNSDWKYAAFWKLNHGDRMILTCEDVYCNTDDDSYADNLVELAIVQMSYSVYSIGEGIIGQVAATGKHVWKSGHQLVNSPNSLDGHFDEWKTQFTSGIRTFAVMAVAPFGVIQLGSLKTMAEDLKLMNHIKEIFSHQQTSLMVSTSTDSSPCVTELSTNSGHVNNHNRSRTMNNRWNMSDFICNDQNQNLFMPITSETETEESLKFPAGCELYEALGPAFCNSFNWDTVTTTTETLTVDKMPEETSSSKISGSQHLLEAVVAKGRSTSGSGCYSIDMEASCRKVVGPHEQVVKVGKKRARPRPRDRQMIQDRIKELRQLVPNGSKCSIDSLLEQTIKHMLFMQCVTKHTHKINKSADFKLVGKEKQGSSWAMEVGNDLKLCPIIVENIGVDGQMLVKMMCDECVDFLEMTEAIRSLGLTILKGVTDVYGDKTWMCFVVEGDTNRNIHRVDILWSLLQIWNMKTST >cds-PLY91494.1 pep primary_assembly:Lsat_Salinas_v7:7:141040168:141040828:-1 gene:gene-LSAT_7X84401 transcript:rna-gnl|WGS:NBSK|LSAT_7X84401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWQNSLGHCVLKAEYPKGKKELAVSLFQTIVLMLFLDAQKLSFQDIKDATSIEDKKPRRALQSLACGKVCVLQKIPKGREVDDNDSFVFNDVFTTPLYCIKVNAIQLKETVEENASTTERGFHSMYR >cds-PLY65181.1 pep primary_assembly:Lsat_Salinas_v7:7:60243889:60244696:1 gene:gene-LSAT_7X45121 transcript:rna-gnl|WGS:NBSK|LSAT_7X45121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILRSSFTSWMNRLLDCMGLCCFCCSKSRPVIAVDEPSKGLRIQGRTVTKPSLSDEFWSTTTCDLDHGAAIQSQKTTSSISISTSTHSLSHFGGTGSNIIEPEFINHGKFLTFLD >cds-PLY97413.1 pep primary_assembly:Lsat_Salinas_v7:4:16537221:16540556:1 gene:gene-LSAT_4X10601 transcript:rna-gnl|WGS:NBSK|LSAT_4X10601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVSGLLTSVSINFALCSIFFILYSVLRKQPGNYKVYAPRLLAEGRAERPSRFKIFRLLPTAGWIWNAWQPSEDDLLAYSGLDAVVFMRIIIFSLKVFSIAGFIGIFVLIPVNCSGNQLQNIDLINISNNSLEIFSISNVNNGSDSLWIHVGAVYLLTIIVCYLLFTEYRYIASKRIDYFCSLEPQPNQFTILVSNIPVPKGSTVGQSVEKFFTENHPNTYLSHVVVHRKSKMWTVTNAAKKIYRRIMNSIKSANEPQFMHYGHLEANVKKDHSREEVRAAFVSFKSRYGAAVAVHMLQANNPTQWLTEPAPEPQDVYWPFFSSTFMGRWISRLVVIVGCMLLTIVFLIPVFIVQGLTNLAQLETYFPFLQGILTMSIVSQVITGYLPNLILQVSLKIVPPIMKLLSSAQGYISISEIERSATHKVIWFTVWNVFFANVLSASAFSLIFIFLEFKDIPSKLAVSVPAQASFFIAYVMTLGWTSTSSELFRVVPFIASLITKPFVKNPDDFTVPSFPYHQDIPKILFFGLLGFTYFFLAPLIIPFLLGYFSLAYIIYRNQLLNVYAPKYESGGKFWPVVHDSTIFSLVLMQFIAFGIFTLKKLPHSTSATLPLPILTLLFNEYCRKRFLPIFMAYSTEIWPMTMSS >cds-PLY98511.1 pep primary_assembly:Lsat_Salinas_v7:7:126530849:126532314:1 gene:gene-LSAT_7X75601 transcript:rna-gnl|WGS:NBSK|LSAT_7X75601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESPFKPEILKGKVALLTGGASGIGFEISTQFGKHGASIAIMGRRKAIVDAAVSSLRSLGIPAAGFAGDVRNQEDAKRVVESTIEHFGRLDILVNAAAGNFLVSPEDLSPNGFRTVMDIDSVGTFTMCREALKYLKKGGAGRKESDKGGLILNISATLHYTASWYQIHVSAAKAAVDAITRNLALEWGTDYDIRVNGIAPGPINDTPGMRKLGPEEINSMRSSDVMPLFKLGEKWDIAVAALYLASDAGKYVNGTTLVVDGGLWLSRPRHLPKEEVKMLSRVVEKRARQAPTGVPSSKL >cds-PLY78495.1 pep primary_assembly:Lsat_Salinas_v7:4:124059447:124059769:1 gene:gene-LSAT_4X79021 transcript:rna-gnl|WGS:NBSK|LSAT_4X79021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDTHGSRVKRTKTTKGENMKKKTAEVASGSRTIMEGGDTQGTRESGTTQVTRERGDVVLPHAKRRKKSERIIKKIW >cds-PLY70035.1 pep primary_assembly:Lsat_Salinas_v7:5:201494600:201496364:-1 gene:gene-LSAT_5X90700 transcript:rna-gnl|WGS:NBSK|LSAT_5X90700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFLPSLFILFLVIILLSVLVFRYKPQNLKTLVHRNLVLLGMIPAVLTNLHQLHDLATIYLKRSGGTFMFKGPWFTNMDMLVTTDPLDIHHILSKNFPNYPKGQNFRKMFDILGDGIFNADGHMWEIQRKTTMSLLRQPNFQSVFEAIVWNKVESGLLPVLESICEVGKEADLQDIFQRFTFDTICRLLLDYDPKSLSVDFPSLPCEKSMTDIQEGIFYRHFMPPFLWKLQQLLRIGNEKKLSEACNVLDHFLYKCIARLQNESSNIENEHGEENVGLGTSLIREFKDQTGSCGDHNKFLRDTLGTLVGAGKDTTSTTLSWFFYLVAKNPIVEDKIREEIHRFMEVKVDDRKWNSKELSKLVYLHGALCEALRLYPPIPFNHKIPLQPDILPSGHKVSKKTKIILYHYGMGRMKKIWGQDCMEFKPERWILEGGGMKYEPYYKFPAFNGGPRTCLGKDMSFTQLKIVASTIIYSYHIELVEGHPVFPSASIVLQMKHGLKVKLTKISEVDISSKHS >cds-PLY93533.1 pep primary_assembly:Lsat_Salinas_v7:4:278000105:278000867:1 gene:gene-LSAT_4X143520 transcript:rna-gnl|WGS:NBSK|LSAT_4X143520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:IQD20 [Source:Projected from Arabidopsis thaliana (AT3G51380) UniProtKB/TrEMBL;Acc:A0A384L5J3] MAKPRRSWFGVVRRKFLRSSSRPSETIIVLHTNNTTFSQEQPPPPPPSPPPSTPVTIDDNVCDTPLVKEMAAATKIQTCFRRHLARRAYKALRSLVKLQAVVRGAYVRRQSRIALECMHALARLQVVVRARQLQLITSN >cds-PLY72815.1 pep primary_assembly:Lsat_Salinas_v7:6:22036837:22037938:1 gene:gene-LSAT_6X17261 transcript:rna-gnl|WGS:NBSK|LSAT_6X17261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWSIRAFHQGCRVLMAAANSSATATATATAAAPKRGRPAGILKVVPVSQPLGEFLGVSEVSRTDAVKKVWGYIKSNNLQNPSNKKEILCDAKLKTIFNGKDQVGFLEIAKLLSQHFVKSS >cds-PLY82914.1 pep primary_assembly:Lsat_Salinas_v7:MU041480.1:278857:284271:1 gene:gene-LSAT_0X12221 transcript:rna-gnl|WGS:NBSK|LSAT_0X12221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSDNSRQSLIPNFLYSSSSTTSLLMGKSINHSNPSLFPSPSPPKEQQNFMIASPSEPFGKIEMYSPRFYAACTVGGILSCGLTHMTVTPLDLVKCNMQIDPVKYKSISSGFGVLLKEQGVRGFFKGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADVALCPMEAVKVRVQTQPGFARGLGDGLPKFVRSDGVLGLYKGLVPLWGRQIPYTMMKFASFETIVEMFYKYAIPRPKNECSKSLQLGVSFAGGYVAGVLCAIVSHPADNLVSFLNNAKGASVGDAVKKLGVLGLFTRGLPLRIVMIGTLTGAQWGLYDAFKVFVGLPTTGGAAPPAAIEAAKE >cds-PLY74543.1 pep primary_assembly:Lsat_Salinas_v7:7:41306154:41307231:-1 gene:gene-LSAT_7X31860 transcript:rna-gnl|WGS:NBSK|LSAT_7X31860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIRRIYNSTNRQVTFSKRRNGLLKKAKELAILCDVEFVAITEFLQENLEKGVVNNVEVDVLQLRRLNVELHLQKRILCCRISSMESQLVSLAKDSENEFIQKIKSEASILRQINEDLCKQVSQLNEVDELVYLRWVNSCLKNELQKSTFFTSDISSSPASVEWRNPYVSSDETSEHGSTQKRLSSIKKWKNESQVSKPMNYKLNLGWLEGRRHSVSGTNCCQEEPVVNKRSQSDGFIISSMEMEEVD >cds-PLY96296.1 pep primary_assembly:Lsat_Salinas_v7:6:157527681:157528814:-1 gene:gene-LSAT_6X95321 transcript:rna-gnl|WGS:NBSK|LSAT_6X95321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKSMIVLVALLAAASQAEAQIPGLPGLLGIISINGTIFCSTNGNIIPNAATPTPPFSNALVQVTCGGNVIASAITNGLGGFNIVLNPLSFLLTSILSSCNVVVASPLSSCNSSLPSTGFLQAPLQLIGNTVRGLLSVVTLIPSLFQLINV >cds-PLY76812.1 pep primary_assembly:Lsat_Salinas_v7:MU042712.1:1702226:1705751:-1 gene:gene-LSAT_0X3061 transcript:rna-gnl|WGS:NBSK|LSAT_0X3061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFNCFKTSYVDTKLTTAFDHNLWSNALFIPETTSSDVIDHRNQSNVMINHMAYQVDEDDDIIGKPWLLEGGNVLVESPEVVGVGCDTKIEMKAKNPKIAFQTRSQVDILDDGYKWRKYGQKVVKNNKFARNYYKCNYKGCNVKKQVQRLSKDEGVVVTTYEGFHAHTIPMPPQDINHISS >cds-PLY91007.1 pep primary_assembly:Lsat_Salinas_v7:7:179152910:179154760:1 gene:gene-LSAT_7X106400 transcript:rna-gnl|WGS:NBSK|LSAT_7X106400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTTIFPQIFATFTDYKPQRQAFYTTKSRQSTNSFTRRYVCCFHQQNSRLGFESRVKLSLSKHQFDENLSKKKRVVLVRSNNLKFNSSGGGGGGGGRDDGSTARVLGNLALAIGLTYLSFTGQLGWVLDAIVSVWLLVILVPIVGLGVLIWWAGKDMVQSNCPNCGNEFQVFESTLTEESQVCPYCSQPFSVVGNEFVRNPVKYPNESTSFGEAFGDFSSRSKKGKASSRPVIDIEAEVKDID >cds-PLY64521.1 pep primary_assembly:Lsat_Salinas_v7:6:33623366:33625028:-1 gene:gene-LSAT_6X25780 transcript:rna-gnl|WGS:NBSK|LSAT_6X25780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFICSYLLGLLANEGYLIISVPYNVTFDHSKAATEVYDKFHLCLDSILTSGLPNDDVSSAQLVDLPLYSVGHSNVALLQVLSGSYFSERIPKANAIISYNNRPATEAVPYFEQLGPLVSQLMPVVEASPVSSMAKGATGVIRGPGRGVVKSDTTYVGSGSGSNNVASITTSMEVNNSCAVPFRTDASALGSYTPPAATVSGRRRFSEAPVHGHGHVQSEMSGKEQQQQAHSGYRDRAVERRSLYGSSSFADDVGVGDPNGDSGMKRESLVIQKETALAHIYRGSNAVTQTLLNFVRLFRKAHEENYKHAKLDKKKAQKEVEMERAKGINLTKRKAC >cds-PLY61978.1 pep primary_assembly:Lsat_Salinas_v7:5:160873997:160875965:-1 gene:gene-LSAT_5X71661 transcript:rna-gnl|WGS:NBSK|LSAT_5X71661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Extradiol ring-cleavage dioxygenase [Source:Projected from Arabidopsis thaliana (AT4G15093) UniProtKB/Swiss-Prot;Acc:Q949R4] MMTTMNGMKIQDTFYISHGSPTLSIDETMPARHFLQSFQQKVYPATAPRPSSILVISGHWETTYPTVNVVDGPSDTIYDFYGFPKKMYQYPAPGAPKLANRVKELLMSSGFKRVDQEKKRGLDHGAWVPLMLMYPEADIPVCQLSVQTNQDATYHYNMGKALAPLKDEGVLIVGSGATTHNLKMLRNTPTVQPWAHEFDMWLKEALIEGRYEDVNEYKEKAPHATVAHPWPDHFYPLHVAIGAGGADSKAELIHHSWGLSSLSYASYKFTSSV >cds-PLY84611.1 pep primary_assembly:Lsat_Salinas_v7:1:29890986:29892828:-1 gene:gene-LSAT_1X25581 transcript:rna-gnl|WGS:NBSK|LSAT_1X25581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDAVPIIGQKQPHVVFVPFPAQSHIKCMLKLARILHHNGLHVTFINTHSNQKRLVKSNGILGLDKAPGFQFKTVPDGLSSATDDGVEHTQTMAELWTYLGANFLGSFLDVVSGLEIPVTCIICDGFMTYTNTIHAAEKLNIPIILFWTMAASGFMGFYQVKVLAEKGILPLKDEIYLTNGYLDMEIDWIPGMEGIRLKELPEIKLFTKHDNPAFKFLLETAQLAHKVSHMIIHTFEELEASLIKELKSIFPNVYSVGPLELLLNQITEKETNKSLCNGYSLWKEEPECVQWLQSKEPNSVVYVNFGSIAVMSLQDLLEFGWGLVNSKHEFLWIIRTDLVDGKPVVLPQELEDAMKGKGFVASWCSQEEVLNHSSVGGFLTHGGWGSIIESLSAGVPMICWPVSGDQQTNCRQMCKEWGVGMEISRNVKRDEVEKLVKELMEGMEGKRMRKKALEWKKVAEKATGSNGSSWIDAEKLANQIVKLSTKFPTV >cds-PLY68617.1 pep primary_assembly:Lsat_Salinas_v7:2:72926833:72927933:-1 gene:gene-LSAT_2X32520 transcript:rna-gnl|WGS:NBSK|LSAT_2X32520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSLPPFYLSAPTTPGGCSPQNLRFYSTRSSPLHQVGDRKTIDDEFDFGCSRRLDDGWSPSISTTAFADELFCNGKVLPLKLPPRLQRSVPTSPTAARSRTRSPFPHRCKWNDDFDPFMIALEKVSEEPGRRTSVHRRSRSYSPFRARNISRTIESDQKELTISMIKNQSQMDRIIEPVNPLKNTSESTTCTESKMGKMKSILLRYASRKKEINRKREIVTLWKVSYFKNWRKKEAMESKMGIKYKLLQTISDRIGIRH >cds-PLY69713.1 pep primary_assembly:Lsat_Salinas_v7:2:40878754:40886518:1 gene:gene-LSAT_2X19760 transcript:rna-gnl|WGS:NBSK|LSAT_2X19760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKRTETSGGEGSQPQEAVSGQGSGAQRPPQQQQQQQQQGGGGGGGGYQGRGQGGYQGRGQGGYQGGGYQGGGGQGGGYQGGQPQGGRGWVQRGGPPPQRGGPPPPQQYYGGPPDQPQQGQQQQRPPRGTAPPQRRGGGGGSGPSYAGGPSRPSVPELYQATQAPQQPVATLQPIPHVKPAEVHHGESSSTSPTDQVVDESVTQFQQLTVQQEEPMQIAPASSKSMRFPMRPGKGSTGIRCIVKANHFFAELPDKDLHQYDVTITPEVTSRGVNRAVLGELVKLYRDSHLGKRLPAYDGRKSLYTAGPLPFVSKEFKITLVDEDDGTGAARRERDFKVVIKLASRADLHHLDMFLQGRQADAPQEALQVLDIVLRELPTNRYTPVGRSFYSPDLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVSARPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELNFPVDDRGTMKSVVEYFRETYGFSIQHIQWPCLQVGNTQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPQEREKDILKTVSHNAYGQDPYAKEFGIKISQNLASVEARILPPPRLKYHDTGRERDCLPQVGQWNMMNKKMVNGGTVASWICINFARNVQDNIAKAFCQELAQMCNTSGMAFNPEPVLPAFTGRPDQVERVLKARFHDAMTKLQPHKKELDLLVVILPDNNGSLYGDLKRICETDLGIVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLADALSRRIPNVSDVPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLFKEWQDPNRGKVSGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHHDRNSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFSADDLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMISGAAGGRGGMGGGGGRSTRAPGANAAVRPLPALKENVKRVMFYC >cds-PLY74452.1 pep primary_assembly:Lsat_Salinas_v7:7:34091171:34091512:1 gene:gene-LSAT_7X25421 transcript:rna-gnl|WGS:NBSK|LSAT_7X25421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPGEKEMNTLLRNEASSLFFFKAPIRSRSPLLTGSRLISLPLATQMFQFAKFEKSKERRLATELGYGFPIGDPWITDGISPWPFASESVLPSQCPGIHPMHSFRSCTHGAVH >cds-PLY74329.1 pep primary_assembly:Lsat_Salinas_v7:6:453579:455764:-1 gene:gene-LSAT_6X1520 transcript:rna-gnl|WGS:NBSK|LSAT_6X1520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYNFNTDRSKERLLSRKTLFEESDHNKDGDDDASILDRITKSWEDLQEFLVKVYEMGRSDPRQIIFAAKSGFALAFVSVLIFFKEPLDYISQYCIWAILTVIVVFEFSIGATLSKGFNRTLGTFSAAVLALGFAQVSVWAGEWHEIVVIISIFIAGSVSTYIKLYPSMKPYEYGFRVFMLTFSIVLVSGTSHFFRTAVSRLLLVIVGAGICFIVNICIYPVWSGEELHKLVVKNFRGVATSLEECVRSYLQNVEYDRIPSKILVYQATDDPLYTGYRAAVQSTSQEDALLGFAIWEPPHGRYKMLRYPWGQFVKVGGALRHCAFMVMAMHGCILSEIQAAAELRMMFRNEIQRVGSEGAKVLRELGNKLEKLEPLSPNFDLLEKVHEAAEELQMLIDEKSYHLVSVAARQRHKELEDLDQEETEEETSTEAQHAPLLKHSHTFKNIDRHITNMSMNLPSFANWGSCDEEALKQQLQWPSRLSVLGDTVLNEREVRTYESASALSLANFTSSLIEFVARLQNLLNSFQELSDKARFSNPKNPLDQKEEGDDVGFWTPFGNCMGFNT >cds-PLY85379.1 pep primary_assembly:Lsat_Salinas_v7:5:244837016:244839455:-1 gene:gene-LSAT_5X121541 transcript:rna-gnl|WGS:NBSK|LSAT_5X121541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPVKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANENMTVMLIGNKCDLAHRRAVSTEEGEQFANEHGLVFMEASAKTAQNVEEAFINTAGTIYKKIQDGIFDVSNEAIGCGGNPGPSGGRDGSASQVGGCCS >cds-PLY73556.1 pep primary_assembly:Lsat_Salinas_v7:5:58401034:58401192:1 gene:gene-LSAT_5X27421 transcript:rna-gnl|WGS:NBSK|LSAT_5X27421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIHLHINLPCLTHTRNGERERGTVASSRAPHYRIGKCRPDTVLAVYMAV >cds-PLY94935.1 pep primary_assembly:Lsat_Salinas_v7:4:109360121:109363171:1 gene:gene-LSAT_4X70780 transcript:rna-gnl|WGS:NBSK|LSAT_4X70780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLFKTSSKRLTNLASLTTCNPLKHLLIPSSTPPSPANRKESPSQSQTSHPKFGLLRSFHGSRWASIRASDMSTQAAGFAVAADHAYDGDRGTSSDGLEISKLGINQEIVSALAKKGITKLFPIQRAVLEPAMQGRDMIGRARTGTGKTLAFGIPIMDKIIKHNDKYGRGRNPLAIVLAPTRELARQVEKEFYESAPNLDTLCVYGGSPIQRQMSTLDRGVDVIVGTPGRVIDLIKRGALNLSEVKFAVLDEADQMLNVGFADDVETILDYLPKERQTMMFSATMPSWIIKLTHKYLKTPLTIDLVGDSDQKLADGITLYSISSEMRERPSLIGPLITEHANGGKCIVFTQTKRDADRLSYALQKSFKCEALHGDISQNQRERTLSGFRDGRFNVLVATDVAARGLDVPNVDLIIHYELPNSSEIFVHRSGRTGRAGKKGSAILMYSSQQWRDVKGYEREVGCKFSELPPIAVDPASRIEMGGGFGSSGGRFGDSGFGGRSGGFGSYGGSSPRGGGGGGFGRPSYGGSGGGGFRGPSSGGRSGGFGEDSSRSGGAGRRSGFGDFGSDRSGGVGLGQVDLVVSGLVVVVVVGLVVLEKIKISNLFVR >cds-PLY81497.1 pep primary_assembly:Lsat_Salinas_v7:8:156951805:156957172:1 gene:gene-LSAT_8X104940 transcript:rna-gnl|WGS:NBSK|LSAT_8X104940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDYGYSSTRNLGKTQLGGVIFGAKKTTIKECISKQLFGLPHQHFVYVKKIDPGLPLFLFNYTDRTLLGIFEAASSGQMNIDPYAWNSDGSQRTPYPSQVQIRVKLQCKPLTEIQFKPIIMDNYFAQNLFWFELDHAQTNRLLSLLSSQAFSGPCTTLIPHGTTKPKTFLPLENERVPYMPLEVTGNLNSSKSLPNNIKDIKTFNIANEKEAICMKLKELALKRKNSEKHENVTSVVNDMLPKVAARPSSADRKDESSVVVVKDMSVENIGSLSKDHPVIAQLIQKVEDLMVCKIAQNDKIVHLEKKIAYMEQNLAEAEMEIKNLKDLCHIVETSVDSQEFYEIKGDNGMNLDNSVDNSILLVGGYDGVSWLSSLDCFTPSQIMTRPLEPMNGERCYAAVTKLDGDIFVFGGGTSGQWFDTG >cds-PLY84417.1 pep primary_assembly:Lsat_Salinas_v7:4:289549501:289550794:-1 gene:gene-LSAT_4X147061 transcript:rna-gnl|WGS:NBSK|LSAT_4X147061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEKHLQQSSLPLLVASIICLQSLISTQSRLVISPSETDASTDSILTSDSICIKVPTDDIRVGDFVLVLPGDTIPIYGKVFVGRSIADESMLTGESIRILRKKGFLSLLEQ >cds-PLY96659.1 pep primary_assembly:Lsat_Salinas_v7:7:46851135:46854617:-1 gene:gene-LSAT_7X36181 transcript:rna-gnl|WGS:NBSK|LSAT_7X36181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSNATKLVSWLARRSLSHNHLNESLVNGGGYRKFGSSSQIRISNAPNKKNAVNPRYWSTHSTVNVNPGSKRSIHGTAFMAAKDYYDTLGVSKNATSSEIKKAYYGLAKKWHPDANKDDPQAETRFQEISKAYEVLKDEDKRGDYDQLGHETYEASVNGGGGGPDASQWGGGNPFQDLGDIFGFGPFARNFSGKDVKVSVELSFMEAVQGCTKNIVFNTELPCDSCGGTGIPPGTKPETCRRCKGAGMTMSQTGPFRIQITCPQCGGSGKYVKNLCKSCNGQRVVSGQKSVKLNIMPGVDTNEEIKMSRSGGADPDGNQPGDLYVMIKVREDSTFRREGAHIHVNAPLNITQAVLGGTIQVPTLTGDVVLKVRAGTQPGQKVVLKGKGIKTRTSYSYGDQYVHFNVSIPTSLTERQRELMEEFAKVENGEEDEKQVAAVGQ >cds-PLY64305.1 pep primary_assembly:Lsat_Salinas_v7:5:83434967:83443398:-1 gene:gene-LSAT_5X37921 transcript:rna-gnl|WGS:NBSK|LSAT_5X37921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRNQNKANNDKHDNSNGLIPNSFRFISSYIKTVSSNVRSAGASVSGSVSGDSSDELRKDQVLWCCFDRLELNPSTIKNVLLLGYSNGFQVLDVDDGCNFSELVSTRDDPVTFLQIQPTPKEATTSDAHEGFKMSHPLLLVVASEEARVSGISHNERDESQMDNSMYSPRAVRFYSLRSHNYVHVLRFRSTVYMVRCSPRIVAVGLTSQIYCFDAVTLENKFSVLTYPISQLGGQGVSGINIGYGPMAVGPRWLAYSSNNPLLSNTGRLSPQNLSPSPGVSPSTSPGSGSLMARYAMESSKQFASGLINLGDMSYRTFSKYCHDLLPDATRAVPHSTENANAGMVVIKDFVSRAVVSQFRAHTSPISALCFDPSGTLLVTASVHGNNINIFRILPSSSHNESGNRNFDWNSAHVHLYKLHRGMTSAIYCFDAVTLENKFSVLTYPISQLGGQGVSGINIGYGPMAVGPRWLAYSSNNPLLSNTGRLSPQNLSPSPGVSPSTSPGSGSLMARYAMESSKQFASGLINLGDMSYRTFSKYCHDLLPDATRAVPHSTENANAGMVVIKDFVSRAVVSQFRAHTSPISALCFDPSGTLLVTASVHGNNINIFRILPSSSHNESGNRNFDWNSAHVHLYKLHRGMTSAVIQDICFSNYSQWIAVVSSRGTCHIFLLSPFGGETGIQLQNSNKTKLSPFVSQPWWSTSSFTKDQSSPPPPPITLSVVSRIKNVNFGWINTAGKAAVPPGVIAACFHSSVRRNHPEPSVSKADVLEHLLVYTPSGYVIQYELLPSLGREQGESSGSPQDEELRVKVEPVQWWDVCRRTDWPEKEEFIGIGGGGGGGRHGLVEAAMANSDDEDGGVVEKDLSKLKERSRWYLSHAEVQMRSGRVPVWQKSKIYFYAMAPQSHDDGHGGEVDIETIPVHEIEVREKDLLPVFDSSCVVHPGWTDNR >cds-PLY82945.1 pep primary_assembly:Lsat_Salinas_v7:1:19867454:19869643:1 gene:gene-LSAT_1X17201 transcript:rna-gnl|WGS:NBSK|LSAT_1X17201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTISRFPAMLSATSSTPSSWWSITHQTPSTIAVKVAPSSTLQYRRRFALFLCSESHPTSTVDSTPLSLQEPSSKQATLKSGLYLVGTPIGNLEDITLRALRVLRSADVILAEDTRHSGKLLQHYSIKTPLLSYHKFNETQREQSILKRLIDGEIVALISDAGTPGISDPGTELAKLCVEANISVIPIPGPCAFVTALSASGLSTIEFTFVGFLPKNAGPRRERLTVSAKELATQIFYVPPHKLSQFLEDTSSLFGESRRCVMAREITKMHEEFWRGTLGEAKLAFMDRQPKGEITFLIEGKTNCEVETPSEAQLEKELEELMSNGHSLSTAVKVVAAGSAMKRKAIYSLALRKFGKQHDSVENVG >cds-PLY63100.1 pep primary_assembly:Lsat_Salinas_v7:8:75997469:75998763:-1 gene:gene-LSAT_8X54760 transcript:rna-gnl|WGS:NBSK|LSAT_8X54760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVGGGAAGETFHKVNFACCASHSFNSNINGSTSLQVYIPFHHSHPDSLKGLPLSSFVSRTNSVFKTKNEKGSVVVMDYNVLESITNNFGESEILGVGGFGCVYKARLDDNLHVVVKRLDGINQDAIKEFQTEVDLLSKIHHPNIITLLGYWVHDETKLLVYELMHNGSLETQFQIKFESQNWDRHLNQIHAKGILGKIPGTSLVHETSHVTILIPMKIVKHSGSGNDEVMNEGSNDDVKMGSDSGTDLKMNQGVSFLDLKWDNEPMIVDNDSKSKSS >cds-PLY86505.1 pep primary_assembly:Lsat_Salinas_v7:8:134624324:134626139:1 gene:gene-LSAT_8X91320 transcript:rna-gnl|WGS:NBSK|LSAT_8X91320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSKTEINLRRLLAAAPQQQHQAKLIHYVGTLREQLEQLAAEKTSEGLPRVSKATVSDYSEKIEAIAAKLASQVLNTIESPELPTADTSVSENTTKTEAESISTGTSQGLRRRIVPTSSVEERGQNTPIDATDSAPIKLDAGAQAHISKHRKLQEDLTDEMVILARQLKESTLMMNQSIKNTEKILDSTEEAVEHSLASTGRANTKAMAIYSEASKTSCFTILLMLLMTCIFFMVVFLIKIT >cds-PLY96769.1 pep primary_assembly:Lsat_Salinas_v7:2:171568463:171569172:1 gene:gene-LSAT_2X93180 transcript:rna-gnl|WGS:NBSK|LSAT_2X93180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVFVAVVLFILLTPGLLIQIPGNNKMVEFGNFKTSGVSILVHSVIYFALICIFLLAVGIHMYLG >cds-PLY85988.1 pep primary_assembly:Lsat_Salinas_v7:3:132767824:132769742:1 gene:gene-LSAT_3X89981 transcript:rna-gnl|WGS:NBSK|LSAT_3X89981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKSKTSNSKNYSENLGVNTRGPRLTTFIGPEDVPIDSTSKEANRKWVWGRGQTKGPITQVFKSHDSIVWEVKSKATERNWKKRKEEEIICKICGEFGHFTQIGLISGGSLAFVFFLGFGQLSSLFIIDSQVLNIARSDTLVRNLLYNYFYIQGPFSNFAFIKVLNTARSGTSDNYLFVDMAGGNP >cds-PLY86508.1 pep primary_assembly:Lsat_Salinas_v7:8:134761857:134763842:1 gene:gene-LSAT_8X91500 transcript:rna-gnl|WGS:NBSK|LSAT_8X91500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTPPSPLPPSSSSSSSLPLSIEPENLTLTLIPGLPDDLSALILSFLPFSHHARLRSTCKLWKQFLSSKTLLSFRRNHLHFFNLSHLICIFPEDPTISSPYVFDPRNLAWCHLPPMPCNPHVYGLCNFTSISHESHLYVLGGSLFDTRSFPLDRPSPSSSAFRFDFATQNWESVSPMLLPRGSFACAAIPNSDRIIVAGGGSRHTMFGAAGSRMSSVEMYDIGRNEWVALDGLPRFRAGCVGFMVGDEFWVMGGYGESRTVLGVFPVDEYYRDAVVMKFNKGEIGKWREVGDMWDEGERRRLGRIAVIEDINGGSPSVFMLDKADIFRYDMDCNRWKKETSIPKKLSDESSVGFVALNGELHVMGSVIVSDSTENRKSRQNKRSASLFLQIYHPQKMIWRTLITKPPFQQTLDFKTAVMCTIRL >cds-PLY80706.1 pep primary_assembly:Lsat_Salinas_v7:5:219216647:219220610:-1 gene:gene-LSAT_5X103641 transcript:rna-gnl|WGS:NBSK|LSAT_5X103641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVAKFHIVPANFHHRTTQSPLSQLGFCRSSVSWDSIPSFSRRRVFVQPCKSFRTEDRKNGGAKDNKSTKKIANSDKNIRKNLLGAIKSFIFSKDNSVEKLEENLSKIALHFGRYIVTMMSTGVILLIGFNLSGGDGQMNDLIWYSWVGGIIIGTMIGSNMVLDEVSRAGPRNVVITGSTRGLGKALAREFLLSGDRVVIASRSEESVNMTIKELEENLQEGVASAASSCRKKLANAKVVGTSCDVCDPNDVRNLANFAVNELGSIDIWINNAGTNKGFRPLLEFTDQDIQQIVSTNLVGSILCTREAMHVMSNQHKAGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLQSSLFKESKKSKVGVHTASPGMVLTDLLLSGSTIQNKQMFNIICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWVRRGRWFDDKGRALYAAEADRLRNWAESRTRFSFTDAMEMYTENTWVSVFSLSIVCAFIILSSTTGNAAPGT >cds-PLY86450.1 pep primary_assembly:Lsat_Salinas_v7:8:4166112:4167727:1 gene:gene-LSAT_8X3080 transcript:rna-gnl|WGS:NBSK|LSAT_8X3080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKAMALAQQQQRQQMQKQVLIQQQQQQHHHHQQQFLLLQQIQQQQQAISRFPSNIDSHLRSPLHPIKNQQHPQNPNPNHLQNPNASVLQPNRSQGLPHTSPAHQSELHMAYQDAWRVCHPDFKRPFSSLEDACERLLPYHVVADYEAEEDDRILDSDSTGQALSRSQQWDQNIANKVSEFTATFEKQVLAFNIISRKRAMGEFRSEERLLVEQMLLQEERRALFEARAEMETRGREAHAASLRMAVIAQAEQARAEMMARAPIRANALGMGAHEMDQEVNHDEMMNGWGENIHRDEREPSEDFLNDEERENGDIGMQSDWREGGEFDLNIR >cds-PLY90462.1 pep primary_assembly:Lsat_Salinas_v7:9:91654543:91655024:1 gene:gene-LSAT_9X70521 transcript:rna-gnl|WGS:NBSK|LSAT_9X70521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLHSAMASASRSTLMSGMRTTASQTTIISITLAPKHVPISPFLSSTRTLPRAASRIVGALGMVESMMPLHSAIASARLRSSLAVDSACWPTLVDEGDEWWRIVGDDGNYGCVVPFNIGSNG >cds-PLY69884.1 pep primary_assembly:Lsat_Salinas_v7:4:70266618:70269128:-1 gene:gene-LSAT_4X48300 transcript:rna-gnl|WGS:NBSK|LSAT_4X48300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAAIFSSLRRSRSPTLEACLTPVDLENDVVALLNHLTAVSSDLISSFSGKRPPFQKKNSQSLLRKIELFVVLLDSVRDSTSDFPSTVILCFKELYLLLNRSKILLDYCAQSSKLWLLLQNHSVSGHFHDLNREFSTLLDVIPLYKLNNLSNDVIEQLALLQKQSRNAKLFIDKHDDALRLKLFKMLNEIETGRIPSVQEFHEFFVKKLGILDATSCRAELEFLEEHMLNHEDDIEPSASVLSGFVAIIRYCRFLLFGFEEDEVEITRGQRLQNSKKRALISKEIADTFITIPKDFCCPISLELMADPVIISSGQTYDRVSISRWIEDGHSSCPKSGQLLLHKKLVPNKALRNLMTQWCIAHRILYSPPENSDLAAESFPAAPASRAAVEANKATTRLLIQELRDGSVSGKAMAAREIRFLAKTGGENRAFIAESGAIPYLRILLFSQSVVAQENAVTAMLNLSIHDNNKSLIIEEDGCLRSIVHVLRFGHTVESRENAAATLFSLSAIHDYKKKIAGENGAIEALSALLSKGTPRGKKDAVTALFNLSTHNEICTEMIGFGAVRALIEALGCEEVAEEAAGALALIVRQPAGAAVVGEEDLAVIGLIKMMRCGSPRAKENAVAALLELCRSGGVQAAERILKTPAMVGLLQGLLFMGTKRARRKAASLARVFQRCHNASLHYGGLGVGYAFAGDSATGNPDLGFSGETVIVSMSMSVSVS >cds-PLY76585.1 pep primary_assembly:Lsat_Salinas_v7:5:221624499:221628835:-1 gene:gene-LSAT_5X104340 transcript:rna-gnl|WGS:NBSK|LSAT_5X104340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLKPGYMNIRWPRVLTPTYLSQIIQNQKNPLKALQIFNQAKNKYPNYHHNGPVYATMINKLIITDRILDMKQVINQMKHDSCECQDSVFSDAIKAYAKSRMINEAISLFKNLHHFNCVNWTQSFNTILQIMVKESKFESAHHLFLENFSKWEVKSRTRSLTWLIDVLCENKRSDLALQVFQEMNHQYCYPTRDTYQILMTGLCEDGRINEAIHLLYSMFWRISKKGSGEDVLVYKILLDTLCSYGHVEEAADILNKVLRKGLKAPKKKRMPLDFNQCRNGRDIEKAKSLMSDALIKGVIPSSESYNTMTVDLYSKGDLNLAEKVVQEMEDNGFTPGVLVYEAKVMALCRANKVDEAEKVIAKCVPSVNLYNSLIKGLCNEKKSVEALGYFKKMCRQLGCVPNKETYCILVDGLCHDGSYIEASEVLEKMLVKSYWPNSDTFSMLIKGLCLIGRSYEAIIWLEEMITQEKIPDVSTWSSLVASVIYDTVVFSEILES >cds-PLY82385.1 pep primary_assembly:Lsat_Salinas_v7:4:337219673:337219918:1 gene:gene-LSAT_4X166141 transcript:rna-gnl|WGS:NBSK|LSAT_4X166141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELTHHFPPLALVFSPMPSEIKRHLDLPSVFNISLHFDHRSTPSTNAPPPIMTSEIKRKPFIHDVFNVNVFLHRPSRLHSK >cds-PLY74343.1 pep primary_assembly:Lsat_Salinas_v7:MU043248.1:127630:131440:-1 gene:gene-LSAT_0X25080 transcript:rna-gnl|WGS:NBSK|LSAT_0X25080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKIPFCKINYSATTLIELPFMATTLMALVLRWLGYIQPSHLPTSELMKFILFSNISIAGMNVSLMWNLIPGNKAEYESLKLLQMTKLKAVLDQETWVEVDVPNEFQGIVDSLFSLESLGVGDSYDKSYNEQAIDGIMDTIGQVNNVKEKNEHGKSGTHLISFRCVGYHMVNCGLILLMMLSEYIDMNVVLPALSYEVYFFCCYGPQSHRRWHVSSKWKGSMTLQGHYEYVNCCCYNADDQLVESVLSKVMEEFENHITSQVELDFRVVFDQELGLIFKLRGMMIGWIHDSVSELL >cds-PLY69971.1 pep primary_assembly:Lsat_Salinas_v7:5:118748232:118749437:1 gene:gene-LSAT_5X52120 transcript:rna-gnl|WGS:NBSK|LSAT_5X52120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPEGNFVSANLTGISNIAKPGCQTKCGNLTVKYPFGIGEGCYLDDGFELTCNSTHYDPPELFIGSSSITIHNISDSEMRIFNTIAYACYDEAGVPDISDGCIQLKESYRTFSQKNKFTVIGCDDFVLMNGKLNEIDFVSGCLGLCSIESVVPNGNCSGIGCCQASIPKGLRYIISSFKTFGNHTSVMSLNPCSFAFLAEEGSFDFGGVNDLKDRKFNTRTNPIVPIVVDWVVGGEGSCSQATACKGNSSCNDVDTGGYRCSCKEGYEGNPYLDQGCQDINECEDKTNFPCYGFCTNTPGSYNCTCLHGYEGTDGKSADGCRHVAKDSKFSEVVISLGIYTFT >cds-PLY75017.1 pep primary_assembly:Lsat_Salinas_v7:1:111829137:111830560:1 gene:gene-LSAT_1X90561 transcript:rna-gnl|WGS:NBSK|LSAT_1X90561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRRLVRCPGCQKVLRESPDVPVYKCGGCGAVLQAKKRINNTFDTEVKQINDLKIPFHVIKKSTLDFNERRFIGKGGYGRVYWGLLSWAGHVNELVAVKRLDVTGFQGNKEFHTEVTMLSQYQHKNIVRLIGFCDENKEMILVYEYESNGSLDKYLRDTAKSGGPSWPQLLKICIGVASALDYLHNHVAEKHRIIHRDIKSANVLLDENWNAKLADFGLSRIGLANQKNTFVITNLAGTHGYCDPQYEKTGFLTKESDVYSFGVVLFEVLCGRLACDFNYNDERRFLHHLARTCYKNGDLEKIIDHRIRKDINPNTLLNFSGIAYQCLQKTREKRPSIVEVLFQLKEARKIDMCHRLEDEILEDELLSTLLSFMVSLPYPWR >cds-PLY68596.1 pep primary_assembly:Lsat_Salinas_v7:5:102587:103160:-1 gene:gene-LSAT_5X620 transcript:rna-gnl|WGS:NBSK|LSAT_5X620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSVFCSLPFITTTSDGLKHIDTTLTRAKFKELCSDLLDRLKTPVETALKDANLSLKYLDEVVLVGGSTSIPVVQELVKKMTSKEPNVTVNLDEVVALGASVQAGVLSGNVSNIVLLDVTPLSNEY >cds-PLY84543.1 pep primary_assembly:Lsat_Salinas_v7:1:32151985:32154247:-1 gene:gene-LSAT_1X27560 transcript:rna-gnl|WGS:NBSK|LSAT_1X27560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSLLQFLDRGALLDHTASSLAPVADAVAALSCEALKADTSTAFSFTDSGDGCSDKDVTYVSSVMKVLLNGSKLCGNLQCDQVDNIPIVHGRLRSLYKSVHSSTRIAVNSSTPFANGNGSVSEDLTGLFSSLARALKNLGKSSWHRAHICLKGFENHNLYPTLVDSFNVGCPGLDRLNNSIKAAAKFELEDKYVESLHEIYILSKAVRKILSWEATISFISLEGSMKGEEGIDEKPNKKKKVMGKGTTLLMQFIKDNLLSVSVANNVNDNSCSTLPEKVAQCFLSHFESLLPKIKQVVESNESNESRRLPKLAKGTRDFAKEQTVVREKAFAIIGNVFKRQGAMALDTPVFELRETLTGKYGEDSKLICDLADQGGEICSLRYDLTVSFARYVAMNGLTSFRRYQIAKVYRRDNPSKARHREFYQCDFDIAGDETIATDFEVVRILVELLDELNIGDYEIYQVIIIGYILFSWQYYRLYLSMGGSRKIRGVQNLETVRPHQLLEQMVCTAFRAAADTLNQTRFGGLKNMTIKIDQLYFTIASALKPLQANKLPGDMEIIQDVKRLCVVFEHVEKMLTLGTCRV >cds-PLY95161.1 pep primary_assembly:Lsat_Salinas_v7:1:94836758:94836946:1 gene:gene-LSAT_1X78341 transcript:rna-gnl|WGS:NBSK|LSAT_1X78341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSGYRQRRSESNDRPVILPAAGSQLALVAKRLHAGDWRGHGRRLNVFSGSRMTPAVKA >cds-PLY69959.1 pep primary_assembly:Lsat_Salinas_v7:4:67902124:67902949:-1 gene:gene-LSAT_4X46180 transcript:rna-gnl|WGS:NBSK|LSAT_4X46180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAGEFPKCMASKYILYSSTMCACIFFSYFPTLISGNYKCYVIVSRIKVVVRVQDESGSSSFLSFGHHDQGLQNIPVEVKTLLNRKFVFKVQISMFNLEKNYLAYIVHKLIDDESILAKEKFDLVHGDNLEVVDLEAVTLSSSVDKRLIDIVATTDSLERSFKSLYSSYYPEDP >cds-PLY80810.1 pep primary_assembly:Lsat_Salinas_v7:5:240229411:240231177:1 gene:gene-LSAT_5X118600 transcript:rna-gnl|WGS:NBSK|LSAT_5X118600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLVFLCLVLSSLITGANWSPSPPPHHRQFVVEFEEKPDGNTEVSISLHDDSGMVIEKTKNMVSAKPHDVSSNLEEQVKEVIDKSVGDPKVKLSEKVKDVVGNAVRKAVGLFTIGESTKGALKDLVVKMKQWDVIDSPKRIGEDIESNASLKVEEAVEKVKETVKIVKETSWNDLLTKPTRKMTVLIDKIQSVISWCHLLGFSTAYGMGVWVTFFSSCVLGKCLPKREYRMIINKLYMVYFRAMANCVGAALIGYLVSRGRNVFFLSNKMAIFQGFNLLSAFLMNLTNLMFLEPRATKRKKIKEDSGTKIVVSEKLKKLNTYSSTLNVSTMVVLTWHLAYIGQLVQAPHP >cds-PLY73967.1 pep primary_assembly:Lsat_Salinas_v7:5:106663630:106664064:1 gene:gene-LSAT_5X46021 transcript:rna-gnl|WGS:NBSK|LSAT_5X46021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQMKTVIVPSSSQLEMTARVEAEANPQKHIVVFDIPDVDATTDKPISETGDQSETNDYEWFLDLGFKPQAIVPVVPLNVVYPCSKFEGEVTQEKVPQGTKSETNSFSSGAHDAEAGSSSNVADDPSARPPKKKSKLIFDLNELA >cds-PLY81784.1 pep primary_assembly:Lsat_Salinas_v7:3:34474858:34476114:-1 gene:gene-LSAT_3X25541 transcript:rna-gnl|WGS:NBSK|LSAT_3X25541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGRWTEVRHKKKSAIIKDNDEITYFVSNVPKDVRREEIWSTFQSYGAVSDVFYPGKWEEYLSWVRPWDQNQCLTFERVAWIRIVGLPIQYWGKKNLEDIAGTFGKTIAPYDDISNRVDLSHEKIGILTETGSRINEEIVVSIGGHTIKIEITEFDEEWFPFQFDNVKNPFETEKTSSKEEDGEDDDDGISDTWVQGDDYDLEEGEIKNLNEQANDLKQDKRYLEGVNKTMETEPENTCQLPGT >cds-PLY83795.1 pep primary_assembly:Lsat_Salinas_v7:3:50053283:50054214:1 gene:gene-LSAT_3X38381 transcript:rna-gnl|WGS:NBSK|LSAT_3X38381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGKISGEVEIKCHRHLVFELFKHKPHDTSVVDPERIEACHLVSGEWGVPGAVVLWHYYHDGKKETAKEIIEEIDDELHKIVFKVIEGDILEVYNSLSFIFTTKDVGDKKFVIWTIEFEKANASIPDPTSYLDLVCGIVGNMDSHFLK >cds-PLY69527.1 pep primary_assembly:Lsat_Salinas_v7:5:29528057:29529676:-1 gene:gene-LSAT_5X14080 transcript:rna-gnl|WGS:NBSK|LSAT_5X14080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCVKQKLRKGLWSPEEDDKLLKYITRFGVGCWSSVPKHAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEELILNLHQVLGNRWAQIAAQLPGRTDNEIKNFWNSCLKKKLIKQGIDPNTHKPITDNEDVKDKKIEFFDKKSDFFPNSSSSMPMTAEFEQSFHINNGGLMSSMGTSSIRDTFLTKSVCDPLFLVEFQSGIDPISYNSNLLAQYQTTYETSLPNLANFDHRSTATATATDFSDHSGSSTTGNSSNMNTTRSLSAGFEQMTFEGEMFQLNGVNVKSEEGCVGQWQHHMHMHDVQVNNASDFNIYQMGSYPDVFHQI >cds-PLY86532.1 pep primary_assembly:Lsat_Salinas_v7:2:207984877:207987730:1 gene:gene-LSAT_2X129281 transcript:rna-gnl|WGS:NBSK|LSAT_2X129281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSILEPESSRNTKPPGIRFVECTKKSNISFKSHQAIVLIVTFLAYASYHASRKTTSIIKSALDPQTPDESLQSAAFLHRSNALQWVLGSGWAPFNGTNGTGLLGDLDLAFLFVYAVGMFFSGHIGDRMNLRIFLTIGMLGTGLFTSLFGVGYWANIHFFYYYLIVQMLAGLFQSTGWPSVVSVVGNWFGKSKRGLIMGIWNAHTSVGNIAGSLIAAYFLKYGWGWSMVVPGLMIAFVGVLVFLFLPVDPESVGVNQDEDESGSPKKQGLSQHLLSSKPDNEKGKPVGFLEAWKIPGVAPFAFCLFFAKLVAYTFLYWLPFYISHTAINGEYLSNEAAGNLSTLFDVGGVVGGILAGHISDRLGARAITAASFMYCAIPALYLYRSYGHMSMSINIILMLVTGMFVNGPYALITTAVSADLGTHKSLRGNSKALATVTAIIDGTGSIGAAIGPVLTGYISTRSWSAVFTMLMAAAFVAGLFLTKLVVAEVTAKCQESRGGSSGGAASSGAVEEV >cds-PLY82024.1 pep primary_assembly:Lsat_Salinas_v7:9:154535618:154539609:1 gene:gene-LSAT_9X97921 transcript:rna-gnl|WGS:NBSK|LSAT_9X97921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSNGFQTTPTKTTLNDKNSSSVSRKHQRSHQKTTESSSSFKARFEAYNRLQAAAVAFGEKLPIPEIVAIGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMVHDPTALDPRCRFQEEDSEEYGTPIMSASAIADTIKSRTDTLLKKTKTAVSPKPIVMRAEYAHCPNLTIIDTPGFVLKAKKGEPESTPEEILSMVKSLATPPHRILVFLQQSSVEWCSSLWLDSIREIDPTFRRTVIVVSKFDNRLREFTERWEVDSYLSASGYLGENTRPFFVALPKDKSTVSNEEFRHQISQVDLDVLHHLQNSVKGGFDEEKYKSQIGFSCLKDYLESELQKRYKEAAPTTLALLQQRCSEVTTELNSMDSKIQATSDVAHLRRSSMLFASSICNHLGSLIDGAADPDPEQWGKTTEEERLESGIGSWPGVSTAIKPPNGSLRLYGGAAFERVMHEFRCATYSIECPPVSREKVANIILAHAGRGGGRGIVEAAAEIARAAARSWLAPMLDTACERLAFVLRNLFDLAIERNHLSHANYTKKIVDMEGYIGFHTALRHSYNSFIQNLSKECKQLVRHHLDSVTSSYSQICYETDPITPYRYQHSTLFLELSQHKTKISRKENIPNKDMTPGKLVDPREAYMTIPETPSPDQPSDDNFVIKKENEIYKRPSRIHGNNNNLKSGFSYSEICLSASQHFARIREVLIERSVTSALNSGFLTPCRERLMVALGLDLFAVNDEKFMDMFVAPGAIDVLQNERESLQKRQKILHTCLSEFKSIARSL >cds-PLY75364.1 pep primary_assembly:Lsat_Salinas_v7:6:180108507:180110465:1 gene:gene-LSAT_6X110200 transcript:rna-gnl|WGS:NBSK|LSAT_6X110200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSALVAMVGKNCFAIASDRRLGVQLQTIATDFQRIFKIHDKLFLGLSGLGSDAQTLHQRLVFRHKLYQLREERDMKPETFASLVSAVLYEKRFGPYFCQPVIAGLGDDDKPFICTMDSIGAKELAKDFVVAGTASESLYGACESMFKPDMEHEELFETISQALLSSVDRDCLSGWGGHVYLVTPTEVTERILKGRMD >cds-PLY76337.1 pep primary_assembly:Lsat_Salinas_v7:5:224766753:224769878:-1 gene:gene-LSAT_5X109261 transcript:rna-gnl|WGS:NBSK|LSAT_5X109261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQVNEKDAAVKPTTVKEEKKTLSESSTSDAQSGSIPTMPTMPGAGFPANPFDFSSMAGLLNDPSIKELAEQIAKDPSFNQMAEQLQQTFHAPDEGVPQFDTQQYYSTMQQVMQNPQFMTMAERLGNAMMQDPSMSQMLESLSNPAQKDQLEERMARIKEDPSLKPILEEIESGGPTAMMRYWNDQDVLKKLGEAMGLAVTEDATTAGNPVAGADEAEEDANEDESIVHQTASVGDVEGLKKALESGADKDEEDSEGRTALHFACGYGEVKCAQILVEAGAKVDALDKNKNTALHYAAGYGRKECVSLLLDNGAAVTLQNMDGKTPIDVAKLNNQSDVLKLLEKDAFL >cds-PLY72783.1 pep primary_assembly:Lsat_Salinas_v7:4:374299435:374301069:-1 gene:gene-LSAT_4X184841 transcript:rna-gnl|WGS:NBSK|LSAT_4X184841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSATRSISTGIRDCPRLIRFTLKAPTNVEVEFANGSHYNLPAEFLRVFSPAVDSKIRSIGGEKVIYGRRYVGLMSAEPVGNYGVRLLFDDLHKTGIYTWDYFYHLGSNKFTLMRSYINTLRKYGLTRDPRAKK >cds-PLY68434.1 pep primary_assembly:Lsat_Salinas_v7:2:60527730:60529873:1 gene:gene-LSAT_2X27920 transcript:rna-gnl|WGS:NBSK|LSAT_2X27920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTEF18, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G60400) UniProtKB/Swiss-Prot;Acc:Q9M219] MLLRRTIPSAMSYATSRHHSSAAKNLKSLSKIPYKYRAKATQQAQEALTDYLHAVRTIPYTFAENISKYSAVSLSNVMSKVKFSTSDFSKSLQRFLRYHPVNEFELFFESIGIDVNDLDGILPARKFFLSEDLNAFNAACTLYSFGIPWNKLGMLYKEQKAIFDKDPCELKEIWKRFLDYGFTSSSLIGILLVFPHLLKGGTEIDSLFHHLKRVIIDFDLINDVEGNVDEWIDICRKIQLFFHFDSSKPDLFEMIGRSKHILVEYSEEILAEKIEYFSRFNVTNNEILSLLLSQSEIFGYNLKIPVFCVSGLLTHFGMDEEHLNSIIKKYPYVFGRNKLSNLPEVMRSLNLNQWFFNKIKDGGHHILTSYSIPIPIPNSNQDFDKDFLESLTKIQSSRVPNHTLSKLEFLHAIGYGENGLTIKVLKHVHGTSSQLTERFNCLIHNGIEFSNLVKIISLSPKILNQQAEILEKKVRFFREEIGSSLDYLDVFPAYLCFDLENRIKPRYRFHMWLMETGLCERNYSLASIIATSELSFIARVYRIHPAAPKKYLEIFMNQHCDSFQDT >cds-PLY87996.1 pep primary_assembly:Lsat_Salinas_v7:6:171086786:171089149:1 gene:gene-LSAT_6X104461 transcript:rna-gnl|WGS:NBSK|LSAT_6X104461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRGITTGNLLKLLHPFTILIVILSRTKAESEVALSLPGCPDKCGNITIPYPFGTIEGCYLSKKYHVNCSTLSVSETKFKLLHISFDGYMRGLLPIGYRCYNTSHKITIGQEPKIKLSRFRVSSTENLLTVVGCDARANMKTAKGEGYYTGCLSMTGCDGLTRGSCLGMGCSQVPVPPYLTRFRIHAQSNTRGENVGNWSYNNCTYGFLVEKGGYIFRKKDVDNLKKRAFPVVLEWSVDYITCEEAQKNMSTYACQENSVCLDTTTESNRTYQGYRCQCSKGYQGNPYLPNGCQDVDECQGAQHDCKYDCSNVNGSYSCSCPLGQQGDGRKDGNGCSYTQGIKSLGNSVYWGISMGTSASFLLTFIIYWGLRQRQIMKSRERFFKKNGGLILQKVLFESKQLSSHMAKIFAARDLEKATNNFQKTNIIGQGGYGTVYKGTLSDKTMVAIKKAKSIDESQIEQFINEVIILSEISHPNVVKLLGCCLETQTPLLIYEFVTNKTIFHHLHEQDFISSMTFERRLNIATQTAEALAHIYSTTQIIHRDIKSLNILLTDDYTAKVSDFGISRFIPMDETHIQTLVHGTLGYIDPEYFRSGILTEKSDVYSFGMLLVELLTGRKVFSRDRTESDLGLAAYFISSLERGHLLQVLDDKVKKDGVNEHIRYFARLAKDCLELEGKKRPNMVRVKEELNELRQSYLKSSIMSKKIKHDDLYEILYFD >cds-PLY77937.1 pep primary_assembly:Lsat_Salinas_v7:1:24620964:24623565:1 gene:gene-LSAT_1X20400 transcript:rna-gnl|WGS:NBSK|LSAT_1X20400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVLVTGASGFLGGRLCHALLRRGHSVRAFVRRTSDLSSLPTLSDGVPLELVYGDVTDYPSLLAACSGCHVVFHAAAIVESWLPDLSKFISVNVGGLKNVLRAYKETDTIEKIIYTSSFFALGSTDGYTADETRMHSAKFFCSEYEKSKTIADNIGLEAAKEGVPIVAVCPGIIYGPGKITAGNVVARLMVERFNGRMPGYIGDGKERFSFTYVEDVVNGHILALDKGQPGQRYLLTGENASFTQVFDIVATITNTKRPSLRIPLFLMLVYAWLLVLFSKITGQPPLISPPAVCVMRHQWAYSCEKAKRELGYKPRSLKEGLEEILPWLKKLGLIKY >cds-PLY97952.1 pep primary_assembly:Lsat_Salinas_v7:3:18759405:18759626:-1 gene:gene-LSAT_3X14521 transcript:rna-gnl|WGS:NBSK|LSAT_3X14521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEVFGYVFENLNKEGESIPVPGPLVGVGDDVITGAGTEALCFFVLVFFFLGTKAVTIKVEAVTEAGGDEIED >cds-PLY63571.1 pep primary_assembly:Lsat_Salinas_v7:9:151833959:151835799:-1 gene:gene-LSAT_9X96041 transcript:rna-gnl|WGS:NBSK|LSAT_9X96041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTDSSTSSQQPHLPPGFRFHPTDEELVVHYLKKKAASAPLPVAIIAEVDLYKFDPWELPAKAAFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLTAGGTQKVGVKKALVFYGGKPPKGVKTNWIMHEYRLTDGKSVCKPPGCDPVKNKGSLRLDDWVLCRIYKKSNMQRPVDSDGGGGGSDLTMNGMMVSPPASRSFNHPGIMKPTGGYTGYNTMMENHEHTNVLYENVMLNTNSVDADDNNHHHHNITTSNLLQTRRLTLPGLFWNEEGNTGNSSSSTAYTKMFLTSENNQDGSPMAAQPTGTTEENNGSMGSLLSQIPQTVSQMHQQTMLVAPGESIFRQQFQLPDMNNWYS >cds-PLY73276.1 pep primary_assembly:Lsat_Salinas_v7:8:206078355:206079507:1 gene:gene-LSAT_8X129521 transcript:rna-gnl|WGS:NBSK|LSAT_8X129521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSPKETTEVPRYLGVWFTKDPKTVIWVANRDVPLLDHSGFLTLSKEDGNAKVLDKSQSVHFTTSIATATHRVSLEASLPLYTALELLQGLSDSQPPPTFFMY >cds-PLY66323.1 pep primary_assembly:Lsat_Salinas_v7:5:290214135:290217131:1 gene:gene-LSAT_5X156300 transcript:rna-gnl|WGS:NBSK|LSAT_5X156300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLLLAAAILFVSTVFYLLPSIYQRLRFNLPPGPRPLPIVGNLYDVKPVKFRCYAEWARTYGPIFSVYLDSKLNVIVNSSELAKEVLKENDQQLADRHRNRATMSFSRGGKDLIWADYGPHYVKVRKVCNLELFSPKRLEALRPIREDEVTAMVESIFRHSTDLDKRGKALPLRGYLGTVAFNNITRLTFGKRFVTPEGGMDDQGQEFKGIVSNGIKIGGKVFMAENIPWLRWFFAGENEILAKHEQRRDRLTKAIMAEHDIARKKTGGTQEHFIDALHTLQQKYDLSDDTIIGLLWDMITAGMDTTSISVEWAMAELVKNPRVQQKAQEELDRVIGTDRIMSETDFSKLPYLQSIAKEALRLHPPTPLMLPHKANANVKLGGYDVPKGAIVHVNVWAIARDPAIWKDPEEFRPERFFEEDVDVKGHDYRLLPFGAGRRVCPGAQLAINLVTSMLGHLLHHFEWTPPAGMKPEEVDLTENPGMVTYMKHPIEAVATPRLPNNLYKRVPVSV >cds-PLY74666.1 pep primary_assembly:Lsat_Salinas_v7:5:176779095:176792741:1 gene:gene-LSAT_5X78680 transcript:rna-gnl|WGS:NBSK|LSAT_5X78680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein arginine N-methyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT3G12270) UniProtKB/Swiss-Prot;Acc:Q0WVD6] MVYKKVMDPVKQAAKESKSRRLTNIERSSYFARREAAKVLKSVLQGDANRRAVGSIKTLVYSPSIRNKKATFALVCQTLKYLPIIKDVLEIANVLNSKWKRQVELMYIITYDILFGQDSSLTGDPEKYLILRKSQLQSALAKILLKKGAKRIEDLMSQYKIPDVKKPRYVRVNTLKLDVETAVSELSKDNMVEKDDMIPDLLVLPPATDLHNHPLVTNGSVFMQGKASSMVAVALAPKPGWEVIDACAAPGNKTVHLAAVMKGEGKIIACELNKDRVKRLEHTTNLAGATNVEVLHENFLNLNPEDELYSKVRAILLDPSCSGSGTVADRLDYLLPSHSHTSGDGNGGDVGRLLKLAAFQEKALIHALSFPGVERVVYSTCSVHQIENEDVIKSVLPFAASLGFHLATPFPQWARRGLPVVEGSQHLLRTDPVEDKEGFFIALFTRSPESPQKVERGSLDNLKHEAVGKCDCHKKFLRVLPFTRISRLYLHRNLVMHGRFKIMVAPLYNTVDSPMGDNEEQLPGIKDMDVDDKKQIWDDWNADEEYDEDDDVELLCLFCDSKYISSDSLFEHCFSSHSFDFGSIRTTMNLDFYGCFKLLNYIRSQVGQNRCWSCGTTCQSRSELQDHLHEPSLGSSNLPWDNDMYLKPYMEEDHLLYDFDKDEEVDDDSMISSKEDMLENLKISIEENGASSSEVKSNDKKSNNAIDHEIVIVNKNYFGSYGSFGIHREMISDKVRTDAYRQAIVDNPSLIKGAVVLDVGCGTGILSLFAAQAGASIVNAVEASDKMASVASQIAKDNNRNGVVKVVNGMVEDLIESKQIEPKSVDVLVSEWMGYCLLYESMLNSVLIARDHWLKPGGAMLPDTATMFVAGFGKGATSMPFWENVYGFDMSSIGKELVEDAAHIPIVDVVDGNNLVTNTALLKTFDLVTMKHDEVDFTASVQLQQKGQSTVSKCYGIVLWFDTSFTNRFCKEAPTVLSTSPYTPSTHWSQTLLTFCKPISLSSSSSLVDMAHNSSLPAGTDANPAVSINSRISIVRGLEHRSIDISMEVTAVGFDGRKRKLPVQMFNMR >cds-PLY85852.1 pep primary_assembly:Lsat_Salinas_v7:4:120309835:120311955:-1 gene:gene-LSAT_4X75681 transcript:rna-gnl|WGS:NBSK|LSAT_4X75681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSPSKAKLPILGFFHHFRVLKSGIVIVVVVLLLLILIGYYYLIRNPRFPTYFTVVIDCGSSGTRVNIYEWMWMSNRNPELPILLHSFPDNSTKNHDRKHGCAYHCMQTEPGLDQFVGNSSGVRASLEPLIRRAEKWVPYERHIATPIFVLATAGLRRLGENMASRVLDDVEGVVRSHKFNYRKDWIRVLSGREEAYYGWIALNYHMGVFKNSSSLPTLGLLDLGGSSLQVATEIKEPTAGVFRSNIGSFEIFADSLPDFGLNEAFDRTVVMLSHSHSRKGDLGIYEIGHPCLGDGFMQNYTCHGCMENKISSLHLFGEPNWEKCKELARAAAINSKLNREKMVSLIGGSHSVARFHALSGFFAVYNLLNLNAEEANVSNIWEKGEKLCSRSLTGLTLTNMGINQNQKYADFLCFRVPYMVSVIENVVCVGDKDIIFGPGDVSWTLGAALVEGKDLWGIDTSKAKSISIFSYFRFKRLIFSPYFVFFILVILLFVVYRSQIKLPMLGRKPRQHYSFGPKRRPV >cds-PLY75255.1 pep primary_assembly:Lsat_Salinas_v7:7:65636834:65643076:-1 gene:gene-LSAT_7X48220 transcript:rna-gnl|WGS:NBSK|LSAT_7X48220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSASSSTIASPATNNSRYDVFISFRGEDTRFSFTDHLYEALVGAGIRTFRDDDSINSAADLKPEIERAITASRASIVVLSKNYANSTWCLDELVLIMERRKTFYHIVIPVFYHVDPSHVREQENSFSLKVNTDVQGFQIKVERWKAALTEVANLEGKVLTGPETKFIADIVSTIGHKLRLKLVSTPPHLTGMDTRAEAINFWVKNKQDAEVLTICGIAGSGKTTLAKYIYESNLLKFESSSFLEDIGKICEQPYGLCALQEQLLADILEEKKKELDVTWYKSQIENVLQKKRVLIVLDDIDKKEQLEALLGSEKINTESKIIITSRLPTIQTWFMSTYLKCKEHKLELLNEHESLELLSWYAFGSKIPMEGFKELASKAAEYCSGNPLALKLLGSLFVNSKDPRKRNNIDFWISTLNLLERDPDYRIQGILRMSYEYLPFLTYRELFLHIACFFIGEDEDYVVKILEPDYCATAGIVTLIKRCLLTVSPSKKLMMHGLFIDMARRMVLDESPLNPAKRSRIWCNDDSYTLLRQGKGSETIEGLALDMRLVREEKDTMPEAFEADSLAKMDNLKLLQLNYVELSGSYDDFPEDLRWLCWHGFQLGTIPSDFFMGNLVAIDMSYSRLQLFEPPMVIKPLKILNFKDSHSLLKICNISRLPNLETLILWNCYSLVHVCDTIEDLKSLSLLNMTGCEHLLKGSNLKFPHSLERIWLKNCNIDFELNAYSILSFQIHSMLQYLHLGNNLFEFLPDYNHLKSLRVLELSFCSRLKRIECLPNTLEELFTTCCELLENISFQSHRFTLREFDYEGCINLLEVEGLLKLVPIFRIDERDLGHMKWLKDYQDLEVSLIGDYQLTSGRSHHIQMLYEFGITSTFLPNITNPNITHDYISQSSSLSFTIPPSPKTLKGLNITFKYTITEEKHQHNQPIFAKITNTTKGLDLIYNPMVFGKPKFNEVAIWLSYWSMGNLLDIGDKVNVCFIVENGLEVHECGAKLVYANEDDDMENNMEWEESLLGDLSRFKLSTDTYYLCRRDFFKSMEVDGPTPSWFRDSVGYKIDYTEIQGWRKTGRSQKP >cds-PLY74203.1 pep primary_assembly:Lsat_Salinas_v7:9:24706861:24707670:1 gene:gene-LSAT_9X22221 transcript:rna-gnl|WGS:NBSK|LSAT_9X22221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVELKKFRQNQVKNLRDSFAVNKINSMILKMKGSVVFEVFSCDSEFESFSESPSQDDKQQPPWNHQQPPSLINQLNEDEGSDYVFEGFYCDSDSEFEGFSCDSECDAFFEPPSLYGNEQPPWNNQQPPPQLDQLFEKLSEPPSQCDKQQPPWNHRQPPVQLDEVNGKWVFCRQKPDNSEACLLVVPLLKKNPNFDEFGKWVSEVEWFFELFQVPEDDKVELAALRLGEAFAWSELMQNISMEFNKQPIQLTQEWTLMKDMLKARFFSP >cds-PLY67799.1 pep primary_assembly:Lsat_Salinas_v7:9:167882219:167884522:-1 gene:gene-LSAT_9X104140 transcript:rna-gnl|WGS:NBSK|LSAT_9X104140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVAKPVDTSIEGEINISGLTTYWHGMQTQHPMNFSVIEKKSKITYDELTTNKCPADGVELILFGCCTPLLVEEISPDICYISIGGFPFAFWTTIVHLLQNNEDLVANFLGQPNVDFQHYVGYVTVNENNGRALFYRFYEAWTLPDEKPLVIWLNGACKQLGSVGVRSQYVVPGIACWSWLFPDYSQYVTRIIGAGRYIVEGVP >cds-PLY74886.1 pep primary_assembly:Lsat_Salinas_v7:2:155109011:155110101:-1 gene:gene-LSAT_2X79341 transcript:rna-gnl|WGS:NBSK|LSAT_2X79341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIRLNLETIMEEDERREAAIASAACLNPKFKPSSAVAQARLSKFQELHKRRLQIKEKSKVKKKSQGKASRPNRLEEKDSHVDEFAASKIPCKTAEDTSSSTSTSLDVSSMSKPQIPSKKPYKLHWGLDTKERWERKSNM >cds-PLY80811.1 pep primary_assembly:Lsat_Salinas_v7:5:240182715:240185539:1 gene:gene-LSAT_5X118520 transcript:rna-gnl|WGS:NBSK|LSAT_5X118520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCNLLLLFFLFFRSTFQQQHQSLPLNSTAERAALLQLRASLGLRAIEWPIKPDPCLTWIGLLCQGGHVVGINISGFKRTPLGSRNPKFSVDALANFTKLISFNASGFSLPGSIPNWLGLHLQTLKFLDLSFCEISGAIPSSIGNLSNLSELYLSSNTLNGTIPSTLSLLSQLSVLDLSRNSLTGSIPTQLSNLASLIVLDLSSNSLSGTVPSEFGNLKNLKRMMIRNNNFTGDLPDALCSLPALTFLDASDNNFMGSLPNRSLNPNVTMAVFNLSHNMFYGILTSILRRVSYIDLCYNFLQGRIPYYARDIASLDKNCFRNWSSQRTLKQCAAFYSMTGLPFHNFGLPNGTLPHNPIHDHKTNHKKFISAVILGCIGLIIPFVIFMIPMILCWKRRKMNQIGNGLGGVLVNSFGLNLSGIGEVIAFEKILVATSEFNDANLIKSGHCCDIFKGILENGVNIIVKRFDVHLGKKSCMVELDFFSKVSHPRLVPLLGHCLEKEKEKFIVYKYMPKGDLSSSLYMKNSMDNDRLKSLDWITRLKIAIGAAEILSYLHHECVPPLVHRDIQASSILLDDKYEVRLGSLSKACIQEHNIHSNRITRFLPLHKTSEEGVRATCAYDVYCFGKVLMELVTGKIGISASSDSITKNLLKNMIPYVSVYNKELVTNIMDPTLMVDEDLLKEVWVMAVIAKSCLHPKPSRRPVMRFVLKALENPLKIVVEEQKRLGRVRVDSCRRSVNGNASWRFRSGDVAGGGEGGRGSGSRRGRCLSIRRHSNSKDVFPEPLDVEDEEIVNEEWDGNGNGMNFSSFFDNGNSWDLVD >cds-PLY86602.1 pep primary_assembly:Lsat_Salinas_v7:1:132566098:132566507:1 gene:gene-LSAT_1X97181 transcript:rna-gnl|WGS:NBSK|LSAT_1X97181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSRERQHYLSRMRAVGVGVDDGRLLILGMVMLGLLSKFLSHSPLYRIEKHFLIPRILWIAGVFIVPCMEVDA >cds-PLY73572.1 pep primary_assembly:Lsat_Salinas_v7:4:320546382:320547606:-1 gene:gene-LSAT_4X159701 transcript:rna-gnl|WGS:NBSK|LSAT_4X159701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP24 [Source:Projected from Arabidopsis thaliana (AT1G08710) UniProtKB/Swiss-Prot;Acc:Q9CAZ0] MASRRLNHLSKEDSIWSKLLSSDFPTLSSSSSSSSTSTPPKSIYQTRFEKDKAKKLLAEKRAVLRLESQIHEHTRKVHEIEHQLGDENEKIKSAIDELKNLQKVKEASSALKVWQPEIVHGRHRQIVEHCSVPVDSRINVLDMEIKLCRQQMIGFLKARREEKGRLEMVKEKLLKVKYRSFECLEGSLKSNVDDESRKCRKILKKVKRVE >cds-PLY80557.1 pep primary_assembly:Lsat_Salinas_v7:6:13969687:13973647:1 gene:gene-LSAT_6X12240 transcript:rna-gnl|WGS:NBSK|LSAT_6X12240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKEAQNERDDMLVDDPEPQDEQIDDIPDEFNPNYLKVYYGRLFPHADMFKWLSYGNDGKHPACDQSYIGRREFSFTLDNDIYLRFQSFNCASELENGIKDKCPFKIDIGPVYSVDPSKRLAYAQSGDNIFTPVERELVFDIDISDYDDARYCCSGADVCLDCWPLMTIAIKVIDTSLRDDFGFNNILWVYSGRRGVHCWVCDGKARRLNNEQRGAIADYFRVYKGNTNSKSKVSLVGSALHPFLVRSYSDVLRDFFEKKLLCSQQLLSDERYEKILEMIPDESITSELRGKWQDNRRSSSGKDVNVVRWEQLKQLLQSGKQKATGIRRCVEEIVFSFTYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCVPIDPDVCDEFDPTNVPTLSKLLREINTVGLQVEGDKVWERTSMGKSIRYFRGSFLQPLMKSCKEEIEESYSAKVQQSKNSLTW >cds-PLY73597.1 pep primary_assembly:Lsat_Salinas_v7:6:128776895:128777869:1 gene:gene-LSAT_6X76040 transcript:rna-gnl|WGS:NBSK|LSAT_6X76040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVSLIHDTLTTIDKKHSHRLGFANSLIRRHNFAISSPDQQQRLEICAQMLPIDAVAPPPVKPSTIPKTGARKRSRIRKRIKVDSFGADDGGDGGGFFGGGDGPFGGGGGSGGGGNFHGFNWDESSSSSSDPAFDFVYEALTWFVLSNCLHFALKRVVRMVADGVADPAERRLR >cds-PLY88795.1 pep primary_assembly:Lsat_Salinas_v7:4:92425517:92425891:-1 gene:gene-LSAT_4X60781 transcript:rna-gnl|WGS:NBSK|LSAT_4X60781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHDVEKSTSVLPIELPFSSTVILVVNKLREVLEKVGGGDSELELPQVAIVGSQSSGNSSVLEALVGHDFLPRGSDICTRRPLLLQFVWSNEEVGEFGEFWHLPGRKFFDFTQIRAKIQVFGFR >cds-PLY77017.1 pep primary_assembly:Lsat_Salinas_v7:6:176959413:176961222:1 gene:gene-LSAT_6X106621 transcript:rna-gnl|WGS:NBSK|LSAT_6X106621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGAGKIKQYTNVLDKPLSKGKQEVSLGAFAYLFSELVQYNQTQVDNIGELEKRLEDAGYAVGTRVLELLCNREKGNRRETRLLGILSFIHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGSFNCGAFVAGVVRGVLDNAGFPAVVTAHFVPVDGQQRPRTTILIKFAEEVIYLFIYMYM >cds-PLY87581.1 pep primary_assembly:Lsat_Salinas_v7:8:111563599:111565106:1 gene:gene-LSAT_8X77621 transcript:rna-gnl|WGS:NBSK|LSAT_8X77621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLMVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEPWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >cds-PLY72720.1 pep primary_assembly:Lsat_Salinas_v7:6:28588358:28592922:-1 gene:gene-LSAT_6X22140 transcript:rna-gnl|WGS:NBSK|LSAT_6X22140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFSPPSPPLFNLTQPFSFPPNLLPDEASPEWMNKADNAWQLVAATLVGMQSVPGLIILYGGAVKKKWAVNSAFMALYAFAMVLVCWVCWGYRLSFGDKLVPVWGKAYIALEQKYLLEQAYLGMFPNATMVFFQFVFAAITLILIAGAVLGRMNFYAWMLFVPLWLTFSYTFGAFTIWSPEGWLSKMGIIDYAGGYVIHVSSGVAGYTAAYWVGPRLTADRESFRPNNMILMLAGAGLLWMGWTGFNGGAPYVASMDASLAVLNTHVCAATSLLTWLILDIIFFKKPSIFGAVQGMITGLVCITPGAGLVQGYAAIVMGIFSGSIPWFTMMVLQKKIKLLQKVDDTMAMLHTHAIAGILGGILTGLFSEPHLCKLFYGSTSKYMGFFHGLHLGTSQSIRLGFRQMRIQLLGILFVVVLNIVMTSLVCLFVRLIVPLRLSYEDMEVGDEAVHGEEAYAIWEKGEMVGKYSSYYNDIETPSKSGMISVE >cds-PLY64763.1 pep primary_assembly:Lsat_Salinas_v7:7:192625586:192627112:-1 gene:gene-LSAT_7X114601 transcript:rna-gnl|WGS:NBSK|LSAT_7X114601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRAGTPSKTMAEAALFGTDGSGSKPDIRYRGVRKKPWGRFAAEIRDPWKKTRVWLGTFDSAEDAARAYDAAARNLRGPKAKTNFPLTTSPYYHQQIPNDHHPFLDHHSMLYQHPNHHSDYPIVVTQRPTCSSLSSTLESFSGPRPPVKSDFVLPRRHHPRSPPVFPDDCHSDCDSSSSVVVDGIGEGCDAGEIACSSFTKPFLSIDLNMPPPFDDFELAEDDLHCTALRL >cds-PLY99463.1 pep primary_assembly:Lsat_Salinas_v7:5:333087601:333089951:1 gene:gene-LSAT_5X186120 transcript:rna-gnl|WGS:NBSK|LSAT_5X186120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFEPQITRILQNTRPDRQTVLFSATFPRQVEVLARKVLNKPVEIQVGGRSVVNKDISQLVEVRPENERFLRLLELLGEWYEKGKILIFVHSQEKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSIAARGLDVKELELVINYDVPNHYEDYVHRVGRTGRAGRKGCAVTFLSGEDERYAPDLVKALELSNQVVPDDLKALADAFMAKVNQGLVQAHGTGYGGSGFKFNEEEDEVRKAAKKAQAKEYGFEEDKSDSEDDDDGVRKAGGDISQQAVLAQAAALAAASQQPQTTGVSLPGVLGVGVAGGNDGAARAAALAAAMNLQHNLARIQADSLPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGQYVQPGRIPGPGERKLYLFIEGGTEQSVKRAKAELKRVLEDITTQALSLPTSQPGRYSVV >cds-PLY71357.1 pep primary_assembly:Lsat_Salinas_v7:4:345879356:345881842:-1 gene:gene-LSAT_4X170480 transcript:rna-gnl|WGS:NBSK|LSAT_4X170480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKICCIGAGYVGGPTMAVIALKCPNIQVAVVDISVPRITAWNSDNLPIYEPGLDDVVKQCRGKNLFFSTDVEKHVCEADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIQDLFHPDRVLIGGRETPGGQKAIQTLKSVYAHWVPEENIITTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVYQVAYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKSRFVNRVVASMFNTVSNKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKACVSIYDPQVTEDQIQRDLTLNKFDWDHPIHLQPMSPTAVKQVAVVWDAYEATKGAHAICILTEWDEFKSLDYQRIYDNMQKPAFVFDGRNVVDLEKLREIGFIVYSIGKPLDAWLKDMPAIA >cds-PLY97517.1 pep primary_assembly:Lsat_Salinas_v7:5:78573400:78574582:-1 gene:gene-LSAT_5X36701 transcript:rna-gnl|WGS:NBSK|LSAT_5X36701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLLQILFLLPSIIIIFPTTTAAAAATAYSHLSPSTTEEQLPPYNLSTLLYTLGFHDLSVAATSPANLTTVFAPTDESLRSCRYCSTSLLLLEHSVTGLYPYHLLRSLTFGTKIETLGSTPTTPLCLTLTKSTPQNPSNEPTLFVGGVEITRPDLFNDGNVIIHGIQGYLAHLSPFSCQIERMTSLSFPSQRTSASPSTISVMREFLKDAMTSLRMNDYTVLALLLQENFDQLMQLNSMTIFAVDDGGVFGDGHTYVSNFRFHIVPNQRLTASELLNLPVDSVLQTMEPGERLRVTMAGGGGPMSPMRINNVKITVTNIVFNQGIVVHGIGAPFPRVHLTTMGFMADRTDPVLLGPTANDY >cds-PLY94730.1 pep primary_assembly:Lsat_Salinas_v7:8:50468854:50475159:-1 gene:gene-LSAT_8X37500 transcript:rna-gnl|WGS:NBSK|LSAT_8X37500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYFSKVFIATIVTVTIIGMYVNGEKGEYEVVEGEAPMAKTEQEALYSAIQGFVGSWWNGSDLYPDPCGWTPIQGVSCDIYGELWYVTDLNIGSLHDNSLSCASHPEFRPDLFKLTHLKSLAFFDCFHEPPIVIPSENWTKFSGSLESLEFRSNPGLTGPIPLAFSKLHKLQSLVVIGNGFSGGLPANIGNLIHLKRLVLSENGFRGEIGDNYGYLSELLILDLSRNSLSGSIPLTFGGLTSLLKLDLSQNQLEGKIPDEVSSLKNLTLLDLSSNKISGGLTRSIQEMGSLKELILSRNPIGSDLMGIKWQNLKRLMVLDLSNTQLTGGIPESISKMKRLRFLGLNDNYLSGNLTPKLAKLHDLSSIYVYGNNLSGKLEFDGGFYGKMGRRFGAWNNSNLCLPINLLPTTSLRPFGVKACEEEVKSIEFLVQSILLVVETILKQLDEDLRGTVLKCSYPGLIFNKKCIKGLISSKILKKQQVLVNQVTGLFLWQDDFMNLIIMFMVGLEYIRNPLLRAKMVEVFNFWMPQSGSSSATSILFRGTNSLFSIL >cds-PLY62241.1 pep primary_assembly:Lsat_Salinas_v7:5:163855864:163856444:1 gene:gene-LSAT_5X71820 transcript:rna-gnl|WGS:NBSK|LSAT_5X71820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQEGGTGAKGGKKKSATFVIDCGKLVKDKIMEIASLEKFLQERIKFGGKAGNLSDSITISREKNKIFVTLDSKFSKRAGHQDFVMVVNKIWFRLTGIGGLFRCKTIEEYNIVASLIGGFGCALSHDYFVYDCF >cds-PLY65981.1 pep primary_assembly:Lsat_Salinas_v7:4:137146206:137149426:-1 gene:gene-LSAT_4X85261 transcript:rna-gnl|WGS:NBSK|LSAT_4X85261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDDLMRVHVVLDYFDVEKLHNLQNGTTQMTTLFQTTGRALGEQGFLKATVSKTENVVISSATSGVPVGAILVNSMFAEPFNISVLHISTEIVPLGMNFPVVSDSNSSSRVDSPAPTPKTSKPRVYTPRCGHKVAPSHSRYSAPSPNPHGESPPEGASPAPSGGSANAPAFAESPLLAPKLVPSHSRSPTPTLSPNPPPIVESPPKGAPSANAPASTKSPPKGAPAPSPLGSSTNVPTSIKSPPEGALGAPSSGGSANTPVSAKSPPESETSLVAPSGSSASTPKSPPNSIEAPAISPPKIADTPAESLSQPADTDQPSGIGTSSSSIQKHSRINLADMKDQLTRKLGPERSKQYFDYLKRFLSLKLNKIEFDKLCLRTIGRDNLSLHNQLIQSLLKNASTRKPPPPPPPPPPPPPKVHHENGSVTVTAPLGIPCGARKASSNNRKYVTVFDTGGLMDTIRLKERMDQITATQGLQGVSMDCASVLNKGLDAYLKGLIGCFGNFRTPVKQHFGSLGRSEEHEEKRRICLLDFRVAMEVNPRQLGEDWPFLLEKICNCTCTHAFQE >cds-PLY95471.1 pep primary_assembly:Lsat_Salinas_v7:9:160868830:160870447:-1 gene:gene-LSAT_9X100420 transcript:rna-gnl|WGS:NBSK|LSAT_9X100420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNVCEAAEATVLCCADEAALCWACDEKVHAANKLASKHQRVPLSTSTSQMPKCDICQEAVGYFFCLEDRALLCRKCDIAIHKVNTLVSSHQRFLLTGVKVGVEGPEIGASSSTGKSSYSNGDKAPEAEKSQSMIRKTVDPIMPPVESARVDDFVPNNKMSFTGGGVTTENIQQWQFDDFLALTDFNQNFNYLDNSSNKADSGKLSESDGSPILRAMEVEPDGEDGLGRVPDASWAVPQISSPPTASGLSWQKARPRQHQQRQMDSAAAFVPDVCYLPMPSFYGCQQTDSGTLKRRRQF >cds-PLY68271.1 pep primary_assembly:Lsat_Salinas_v7:1:28013645:28014353:-1 gene:gene-LSAT_1X22740 transcript:rna-gnl|WGS:NBSK|LSAT_1X22740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCGENCVLRPCLEWIQSPDAQGHATLFISKFFGRSDLINFITDVPSGNQRTALFQSLLYEAVGRTVNPVNGAMGFLTTGKWHLLEAAVETVLSGGSPIPIGDETSIAEVDESSEVFKARGEWAMAIIGNQTDAGNSNVVPPANVVIVDDNNRSFSIIPTSEIAMDLGVSSHRCDGEEPKLLNLFP >cds-PLY76663.1 pep primary_assembly:Lsat_Salinas_v7:4:117720711:117725471:-1 gene:gene-LSAT_4X73520 transcript:rna-gnl|WGS:NBSK|LSAT_4X73520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAMTAYIAVVLTTIILRFTVTHSSTLGVEYVSRLLQVQDRERAPSSVQISAARAVLDRLIPSHSPSFDFQIITKEHCGGVSCFSISNHPSSNVQGAPEILIRGVTGVELLSGVHWYLKNLCGAHISWDKTGGSQLSSVPKPGSLPRMQDDGLLIQRPVPWNYYQNAVTSSYTFAWWDWKRWEKEIDWMALQGINMPLAFTGQEAIWQKVFQKFNISSSDLDDFFGGPAFLAWSRMANLHGWGGPLPQSWLDQQLVMQKKILDRMYELGMTPVLPAFSGNVPAALKNVYPSAKITRLGNWFTVDSNPKWCCTYLLDATDPLFIEIGKAFISQQVKEYGQSSHIYNCDTFDENTPPTDDPNYISSLAAAIFKGMQSGDDEAVWLMQGWLFAYDPYWRPPQMQALLHAVPIGKMIVLDLFAEVKPIWITSDQFYGVPYIWCMLHNFAGNVEMYGVLDSLGSGPVDARISNNSTMVGVGMSMEGIEQNPVVYDLMSEMAFQHKKINVKTWLDSYSRRRYGKSVPSIQEAWNILYHTLYNCTDGAYDKNRDVIVAFPDVDPSFLSRKKILNKKNHLKDTDEAFDKPHLWYSTSEVIHALQLFIEGGNELSESNTFRYDLVDLTRQALAKYANDLFVDVIEAYESKYSDGVVFLSEKFLELVDDMDMLLGCHEGFLLGPWLESSKQLAINKEQEKQYEWNARTQITMWFDNTEEEASLLHDYGNKYWSGLLRDYYGPRAAIYFKYLKESLAKGDGFNLKSWRKEWIKLTNEWQDGKYVYPIKSEGDALNTSRWLFDKYLRDSAIISDY >cds-PLY81613.1 pep primary_assembly:Lsat_Salinas_v7:1:50490652:50499853:1 gene:gene-LSAT_1X43621 transcript:rna-gnl|WGS:NBSK|LSAT_1X43621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFLQTIYVILSCIFFFCNIFLAFSADAENDTDRLALLSLKAHITSDPYKIMPSWNDSSHICNWTGIICGLRHQRVVTINLWSGGFSGPVSPEVGNMSFLRELLLHNNSFTGNIPQEIGRLSRLRRLGLSNNSLSGEIPANISQCRNLIELDLSGNSFIGVIPNEFESLTKLLYINLHSNDLTGEIPKFIGNFTSLMFISGLGNNFHGSIPDTLGQLSNLWFFGFAMNNLSGILPPSFFNLSSLTNIDLPDNQIGGNLPSDIAQKFPGLIFLNLPINKFSGNIPISLSNASNLEVLALNENAFTGSVPNFDRLQRLTHFAVNINQLGNGKSDNLNFVSSLANCTNLRDLGFGANNLGGVLPKSLFNFTLLTDLTVGGNLISGDIPSEIGQLVNMRRLFLFSNQFTGRIPESIGKLKNVGIISLSRNLLSGYIPSSFGNLTLLSRLYLQRNKLEGPIPSSLSNCRGLQLLDLSENNLSGNIPKGIFSLSSLTISLGLSDNHFVGSLPLEVGNLRNLVSFDVSNNMMSGVIPANLGACTSLVVLSIAGNTIQGEIPESFRSLRGLEILDLSRNNLTGKIPEFLGDFVFFKSLNLSFNGFVGKVPEIGAFKNLSIVSIDGNTKLCGGFQGFQLPKCSSEGSSRKKRIPLSLIIVVPILTVISVTLIVIFCLVYKSRYNKKVAEETSSDNENFPQVSYRRLHKATDGFSSANLIGSGKFSSVYKAILYEKNVAYAVAVKVLKLEVHGADKSFFAECEALRSIRHRNLVKIVTSCSALDFQGNDFKALIYSYMVNGSLEDWLHQNTLVIDPANEQSYRCLNFLQRLNILIDIASALDYIHCQCGSPMVHCDLKPSNVLLDADLVAHLGDFGLSRFLQHTRHDSSSRTSSLGLKGTIGYVAPEHGMGSQMSIYGDIYSFGILILESFTVQRPTHNMFSSSLNLHDYVKMAIPHQVMEITDPLLLETKQEKNITKDYTQDCKKYGIMEECLTSVYRVGITCSMELPRDRLHINKVIEQLQYTKETFLHRIGEPIRK >cds-PLY74161.1 pep primary_assembly:Lsat_Salinas_v7:9:13475913:13476918:1 gene:gene-LSAT_9X11660 transcript:rna-gnl|WGS:NBSK|LSAT_9X11660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSINSKHAHALLLLICINLAFFFFTVRSQSNPNDISIGINNEGLFVYVFSVAVVRVDIPASNVQTTSLQNLAGTDHRDSAASSTSSCSGVIPDIRACQSQGVKVFLSLDGSYSVSQGAQQFSDYIWNTFLGGQSNSRPFGDVVFDGINFDMEAGSGGQFWADVATSLKVHSSSSQLQKKLYLSAAVPCLFPADDAHLGGAGLFDYVWVRFYNNRQCEYGANAVALLAAWNRWTTQLVNSTHIFLGLPAAPGAARSGYIPPDVLTSTVLPFIKTSPKYAGVMLWDTFYDQQTGYSAAIKNSV >cds-PLY88642.1 pep primary_assembly:Lsat_Salinas_v7:5:140001361:140004572:1 gene:gene-LSAT_5X62121 transcript:rna-gnl|WGS:NBSK|LSAT_5X62121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRLIHSRLSLSRLSNTWGSIGRGRYLSTESNKIDEPLKVEDAETINPPPAAEKVLVLGGNGFVGSHICKEALDRGLSVASLSRSGKPSIKDQWANKVSWHQGDLLSGDSWKEALNEVTSVISCVGGFGSNTFMYKINGTANINAVRAAAEKGIKRFVYISASDFGVINYLLQGYYEGKRATETELLTKFPYGHVILRPGFIYGTRRVGNMKLPLGVIGSPLEMVLQYAKSLNQIPVIGPLLTPPVDVKAVAKVAIRGAIDPVFPPGIVDVYGIKRYSQQKAF >cds-PLY98967.1 pep primary_assembly:Lsat_Salinas_v7:7:50808856:50811570:-1 gene:gene-LSAT_7X36961 transcript:rna-gnl|WGS:NBSK|LSAT_7X36961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPQPVRVLYCGVCGLPAEYCEFGPDFEKCKPWLIQNAPQLYPDLIQESNSNAADRVSDQLQSSSITDVTGPSGSSVPKQEPVKRLPGGKIKKKDKQEVIIEKVTRNKRKSITTIKGLELFGVKLSEASKKLGKKFATGASVVKGPTEKDQIDVQGDIAYDIVEFITDTWHDVPESAIYFIEDGKKVPAV >cds-PLY84392.1 pep primary_assembly:Lsat_Salinas_v7:8:77670466:77671122:-1 gene:gene-LSAT_8X55741 transcript:rna-gnl|WGS:NBSK|LSAT_8X55741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYNHESNERPSKSCCEKIHEAIFGRSKRNDVQNPSMSSNDYNPTVKPHVSSTLPQSVPCEIETSKHLMHFSSDNADNNGDSGQKTFSEDKYSSYVEGTKMKMKMGGPSDVGGGGETSVANGDSFNDTVSSYIGRTKLKLIARPSVSAVGMNS >cds-PLY63497.1 pep primary_assembly:Lsat_Salinas_v7:9:137685156:137688176:1 gene:gene-LSAT_9X88341 transcript:rna-gnl|WGS:NBSK|LSAT_9X88341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGACYSTTGNTRRHKKQDEGDEDDDGWWKSKRGSKINRTSADFKFVFGGSVEERLLHHVPGRLFGNGSCSIASLHTQQGKKGTNQDAMIVWEKFNSNSDAVFCGVFDGHGPYGHMVAKKVRDSLPVLLSTQWMDTNTNITNTNQISDNENMNTNESIPEEELFEEYWCEQSDVEEKETIPEKYLPLKKSILKSFKLIDKELKNHPSIDCFCSGTTAVTMIKQGQDLVIGNVGDSRAVLATRDEHNSLVPVQLTVDLKPNLPREAARIHQFKGRVFALQDEPDVSRVWLPNSDSPGLAMARAFGDFCLKDFGLISVPDIFYHRITERDEFVILATDGVWDVLSNKEAVEIVGAAPSRSTAARALVDCATRSWKLKYPTSKTDDCAAVCLFLHQNPKTVAKKTDTDEAEVETVVDCSEIVVVDNEKVVEKSVVGRSQRSLAECISTSEDEEWSALEGVTRVNSLLSIPRFLSIDKRSASWRKSGSKI >cds-PLY64368.1 pep primary_assembly:Lsat_Salinas_v7:4:25593966:25594417:1 gene:gene-LSAT_4X18300 transcript:rna-gnl|WGS:NBSK|LSAT_4X18300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVSPLSPVAGYLTASLLCSPDNNQTLLSNPQYMEKIVSQNPQLRTMFDSNTQLREMMQNLEVLRQLTSPRMMQQLLPQLNQQRSTL >cds-PLY93361.1 pep primary_assembly:Lsat_Salinas_v7:9:63753890:63755064:1 gene:gene-LSAT_0X29881 transcript:rna-gnl|WGS:NBSK|LSAT_0X29881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAKRAYNDHRWTNERHLHFLKSLEASFVRTMLEKRDNRVFLPMDRYLPDSCESTLDSKTTTTTKRRKRHFPTDYLDEVKRLRLHPSAMLQDDQVVPQIKHIKTEDDDNKWTNSP >cds-PLY67758.1 pep primary_assembly:Lsat_Salinas_v7:9:165441246:165444198:1 gene:gene-LSAT_9X103381 transcript:rna-gnl|WGS:NBSK|LSAT_9X103381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLVNLKGLTICEIGELYAKLMVEVEIKRNKLTNGGGKNMIWRWKVVGALIAVLLATSLTPWLHSRRFSFLRSPCRCSEDSHKYTGIVEDCCCDYETVDSVNEAVLHPLLQELVTTPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPENEFPEPFKRPPLLYGLAKDDLICQEGKPEAAVDRTLDTKVFRGWPEVDNPWTNDDETDNNEMTYVNLQLNPERYTGYTGPSARRIWDAIYSENCPKYSSGESCQEKKVLYKLISGLHSSISIHIAADYLLDETTNQWGANLELMHDRVLKHPERVQNLYFTFLFVLRAVTKAAPYLEEAEYDSGNHLEDLKAHSLIRQLIHNPKLQAACPLPFDEAKLWQGQSGPELKQQIQKQFRNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSVDSEKHPNQHLQLQRNEVIALMNLLNRLSESLKSVTDMGSSAAMDGLLLQNPNVKPKTSGFIQRIQRAHW >cds-PLY83411.1 pep primary_assembly:Lsat_Salinas_v7:4:374444139:374445520:-1 gene:gene-LSAT_4X183721 transcript:rna-gnl|WGS:NBSK|LSAT_4X183721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCQIMIQPLQIHGDIIRVYSKVSDLIMYQLEEGAIKEVARYDERMRSACIVDYIYLGATGDCRESESHIEDYMNRLRQERGHWYDCFTARTSVPLHGDASNKYEKGCKRIGAFSHEQWRNNPKKHIESEGFLDGDLLKSFLGLDIKKMIEISKMMSFPVEELTKRVEDLQRLY >cds-PLY98102.1 pep primary_assembly:Lsat_Salinas_v7:3:247428510:247432041:1 gene:gene-LSAT_3X136520 transcript:rna-gnl|WGS:NBSK|LSAT_3X136520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELLIAADSTPPLAVLATAEVAGVSLTVNPTLTVGSPPILVLTNRLKLRGTNIDKWLEYAPILSRGSEFECACKYVDGYLLHRTFLVGHSLSLADITIWSYLAVXESLLKSKKYQNLGRWYTMISTQHAAVASASSKKKELSCSTNVKGDDDDSNRPEADLPYAEMGKVLLRFAPEPSGYLHIGHAKAVLLNQYFAQKYKGKLFLRFDDTNPAKESNEFVDNILIDIATLGINYEKITYTSDYFPILMEMAEKLIKEGKAYVDDTPKEQMRYEREKKIESKCRNQSVDENLKLWNEMVLGTEKGVECCLRGKLDITDNNGSMRDPTYYRCNPIPHHRIGSKYKIYPTYDFACPFVDSIEGITHALRSSEYHDRNPQYSRIQEDMGVRKVHLYEFSRLNMVYTVLSKRKLLWFVENKKVDGWDDARFPTVQGIVRRGLQIQALIHFILDQGASKNLNLMEWDKLWNINKKMIDPVCPRHTAILEENRVLLTLLDGPHKPFVRVIPKHKKYAAAGDKATAFTKKIWIEQADAKAISPNDEITLMDWGNAIVREINKDKNGNVTDLTGVLHLEGSFKTTKLKLTWLPDTNELVPLTLVEFGYLITKKKLETEEDIVPVANKDTKKEVGGVGDSNMRRLKRGDILQLERKGYFRCDVPFITPSNPIVLFAIPDGRQTATK >cds-PLY96187.1 pep primary_assembly:Lsat_Salinas_v7:8:101954983:101955671:1 gene:gene-LSAT_8X69640 transcript:rna-gnl|WGS:NBSK|LSAT_8X69640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIISFNVDPICPILAGRHPPRSLLAKTSTDTGELPKFGGIPNLNRLSFKKTASRSLSKSLNGTDPSNSLNRRSKNFKTGNDKTTVGKAPTKRLLLKSSSKRSLSFLNVFGTVPQNRLELMWKTARSVRRPSSGGRSTEHAAVGTNGWASPVGGEVGRVGKDGGFPCLKSNVCSPETWILENKIVHIICVIVIVIGGGGDVEEGG >cds-PLY99179.1 pep primary_assembly:Lsat_Salinas_v7:6:129686047:129687324:1 gene:gene-LSAT_6X78920 transcript:rna-gnl|WGS:NBSK|LSAT_6X78920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLHHPPRFFFILSIITLAFSWFVKPSEAVYHGYVPSPWTLAHATFYGDESASETMGGACGYGNLITNGYGTDTAALSTTIFSEGYACGQCYQIRCVQSPWCYKGYTTVTATNLCPPNWSQDSNNGGWCNPPRTHFDMAKPAFMKIAQWKAGIVPVMYRRVPCNAIRKGGIRFSFQGNGYWLLVYVMNVAGAGDIKQMWVKGTKTGWMSMSHNWGASYQAFATLKGQALSFRLTSYTTKQTITAYNVAPANWNLGLTYRANVNFH >cds-PLY73442.1 pep primary_assembly:Lsat_Salinas_v7:4:179466865:179467362:-1 gene:gene-LSAT_4X106021 transcript:rna-gnl|WGS:NBSK|LSAT_4X106021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L16, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG00790) UniProtKB/Swiss-Prot;Acc:P56793] MSWIRRNSHVEFCSRDGIQNNLSTISPKEPDYALEHAWVTSRKIEAGRRAMTRNACRGGKIWVRIFLDKPITVRPAKTRMGLGKGSHEYWVVVVKPDRILYEMGGVIENIVRKVISIATSKMLIRAQFIISREKEIGIG >cds-PLY89264.1 pep primary_assembly:Lsat_Salinas_v7:5:310904981:310906401:1 gene:gene-LSAT_5X169821 transcript:rna-gnl|WGS:NBSK|LSAT_5X169821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTIEVDGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRPTFGNLQRWLRELREHADGNIVLMLAGNKSDLNHLRSVAEEDGQCLAEKEGLSFLETSALESYNIEKAFHTLLTDVYRIVSKKALAAKEAAMGLPGNGTTIDVGDALGNTKRGCCSS >cds-PLY93994.1 pep primary_assembly:Lsat_Salinas_v7:4:274470506:274472460:1 gene:gene-LSAT_4X140740 transcript:rna-gnl|WGS:NBSK|LSAT_4X140740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTQTARHLLDHINTEDHIHKDVLFSGATGDGFLVTLISAKDFRFAKDLLGHYKTLDTDAVLMAIAQNFPSEVNKLKRYRGIDKLLRRADGFCTTFCCKIIDRCSPWVFKLIIIPIMFVTYFILVLVMFPLMLVWLLIIKGVETHEAVKLLWDVSKLIKEKNHFSSYHHYYTNPILEATRQNAYELVEQIVYHFPNAIWSTNEDGHNIIQYAVINRSENVYNLLYQMSEHRNIYRTIRDSHGNNLLHLAARLAPNNKLNLISGAALQIQRELQWFKEVEKFICPLSIIQKNYFNETPQMVFTREHKDMVIEGEKWMKSTAESYTITAALIITIVFAAAITVPGGNDQNKGIPIFTNRTAFTIFAISDAISLFAAVTSLLMFLSILTARFAEHDFLFKLPTKLIIGLATLFISTTAMIVAFAATLYLVFGQSNLRILIPIVVLTCLPITSFVTLQFPLVIELMSATYGRSIFGKQKDDIFY >cds-PLY92300.1 pep primary_assembly:Lsat_Salinas_v7:2:211036458:211040006:1 gene:gene-LSAT_2X133101 transcript:rna-gnl|WGS:NBSK|LSAT_2X133101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHSSSSSGIFFEEDEHSQGLPNSHLSPYSYRNSNLVPQSMYSNMLPVSGDVSMGASSGLTMGASSLVTDANSGLSGGSSNPCIQRSASINNESYMRLPASPLSFSSNNVTNNASTATSLPNPRLGQVPFTETSMFPGSLYSDHVAKKPRLDIKHDDILQQQYLRQLLQKQELVQSQNSNAQLQALYLQSLPPGQRAHLLQQQQQQLHLRQALQQPASAVNRSYDGGVCSRRLMQYLYHQRQRPADISYWRKFVGEYYSPRAKKRWCLALHNNMGHHPSGVFSPANLDAWQCGICGSKSGRGFEATFEVLARLNEIKFSSGVIDELWFLDLPRECRFPSGIMMLEYGKAVQESVYEQSRVVREGRLKVNQLLEVAQKWQSTIAESGSGGVLQQDLQANSNMIVAAGRQLARSLELPSVNDLGFTKTYVRCLQTAEVVNSMMDLVDITRDTKIGPIEALKSFPRMQKVQGMGMGQVSSIQGFQADRRMNNNNFQMGRGSTPPPALEYQNMLMKQRLINSNSQHEIDASTCFNNSIHNRIHVGYQQFPGLQVQQNEHHPQGGGGSKPSVSGQSAGEASRSNSYKAGSHSDSCADGEKAAAAAAADMPEFVDSMFINTNECEDGGSYGWKA >cds-PLY69560.1 pep primary_assembly:Lsat_Salinas_v7:8:83543191:83544688:-1 gene:gene-LSAT_8X58821 transcript:rna-gnl|WGS:NBSK|LSAT_8X58821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGALSDGVLKKIILSYTYVAIWISLSFTVIVYNKYILDRKMYDWPYPISLTMIHMGFCSSLAYILVSVLKVVEPVQMTRDIYLKSVVPIGLLYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVLLKKDGFKGDTMTNMLSISFGVAIAAYGEAKFNSWGVMLQLGAVCFEATRLVLIQILLTSKGITFNPITSLYYVAPCCFAFLSIPWIIVEFPKLRDTSSFHFDYFIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLLGYGIAFLGVAYYNHAKLQALKAKEVEKKATQVDDESGKLLEEKSAEKSSDN >cds-PLY89377.1 pep primary_assembly:Lsat_Salinas_v7:4:121851538:121852951:1 gene:gene-LSAT_4X76300 transcript:rna-gnl|WGS:NBSK|LSAT_4X76300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINVLFIATLFASQANSKTEETASGVATGMILSLRESLQSCKDNLATCQLELEAAKSEIQKWHSAFQNESYITTKPKNVVSYLQNLKSSKELLRDQVKKAKKKEVAFIVTIAKREQEISNLKSAVRDLRSQLKPPSMQARRLLLDPTIHEEFTRLKNLVEEKDKKVEELEDNIGDVNFTPQSKMGKMLMAKCRTLQEEN >cds-PLY69590.1 pep primary_assembly:Lsat_Salinas_v7:1:12957968:12964995:-1 gene:gene-LSAT_1X11080 transcript:rna-gnl|WGS:NBSK|LSAT_1X11080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSTNGSSSFWTQANALFRKNLTFQRRNIRTNLRLILFPLVLCLLLVLLQTIVNTELDKPSNKCGCTCIDQNGDGQCERVCGIQYSDLDQVATCSIPSPPEWPPLLQVPDSQFRAVRTDFLSFGDLPNDSCRSTGSCPITVLMTGNNQSLGESLARNIFPISSNFNSSNGLANVVLGSASETQIFNFLEPAFFSSLPLYHVQSQCRANSTFSISIPLASTIMEKDIRCVQGQHLWRNSSTDINNELYAGYRKGNSEEKINEILAGYDFLNSNANNYNVTVWYNSTYKNDTGNGPIGTVRVPRSINLVSNAFLQLLLGPSTQMLFDFIKETPKPETEIRLDFSSLLGPLFFTWVILQLFPIVLTALVYEKQQNLRIMMKMHGLGDGPYWMISYAYFLAISLVYMFCFVAFGSVVGLKFFTLNDYSIQFVFYTIYVNLQISLAFLVAALFSNVKTAAVVGYITVFATGLLGGFFFQFFLQDTSFPRAWIVVMELYPGFSLYAGLYEFSQYAFNGNYMGTDGMRWGDLSGSNNEMSHVLIIMIVEWVVVFFLAYYIDQVVTAGSGARKSPLFFLEKFKKKPLSSFRKPSLQRQGSKRERVEQLVVEQDRSHDIVCDNLKKVYPGRDGNPEKIAVRGLSLALSTGECFGMLGPNGAGKTSFINMMIGLVKPSSGTAYVRGLDIRSDMDGIYANMGVCPQHDLLWESLTGREHLLFYGRLKNLKGTALTQAVEESLRSVNLFNGGVADKQSGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRNNLWNVVKRAKQNRAIILTTHSMEEAEHLCDRLGIFVDGSLQCVGNPKELKGRYGGSYVFTMTTSSNHEADVENLVKGLSPDAKKIYEISGTQKFELPKEGIKIADVFRAVENAKSRFDVQAWGLADTTLEDVFIKVAREAQPFDVLS >cds-PLY99885.1 pep primary_assembly:Lsat_Salinas_v7:4:46453533:46456378:-1 gene:gene-LSAT_4X31741 transcript:rna-gnl|WGS:NBSK|LSAT_4X31741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGKRRTRVGKYEIGKTLGEGSFAKVKFARNLVTGDAVAIKILDRERILKHKMVEQMKREISTMKLIKHPNVLNLYEVMASKTKIYIVLEYVDGGELFDKIAKHGRLQEDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSYGVLKVSDFGLSQQVENGMLHTACGTPNYVAPEVLTVKGYNGAASDIWSCGVILFVLMAGYLPFDEANLIELYRRIEKADYRCPPWFSSGGKKLLTRILDPNPKTRITISEILENDWFKKGYKPPNFEQEEDVSLDDVDAVFNESKEHLVTEKKEKPESMNAFELISRSQGFSLENMFEKQKGLVKRETSFASKCPANEIMSKIEETAKPMGFNVHKRNYKMKLQGDKTGRKGHLAVATEVFEVAPSLHMVELRKTGGDTLEFHSVRFKPKIHKSLQDMTDQVVLCLT >cds-PLY88842.1 pep primary_assembly:Lsat_Salinas_v7:3:193073669:193083490:-1 gene:gene-LSAT_3X115420 transcript:rna-gnl|WGS:NBSK|LSAT_3X115420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G59410) UniProtKB/TrEMBL;Acc:F4J8A5] MGHSSKKKKRGGTGRRRAPTNDHTSIDGVGGELLSEELTALLAIFQEDCDVVSESPPRIKIKLRPYSQDSGYEDLDVSALLSIRFLPGYPYKCPKLLIIPEKGLSKSDADNLLSLLHDQANLNAREGRVMVYNLVEAAQEFLSEVVPMEQPHVPCVTTDRPSKGPFVYGFIDLFMGSGESWPWGLSIEESNNKNTSLELHHALEDPHNTSLEKVKVNQNMKTGVVEDGDMDKVISPTSRLDDLEEESKSTNSFTSSSEELVDNVSIGEDYPLEENTEEETDYGEIKSDHSESVSSASTDHHQISHTVERDLILAHLLRLACAPKGPLADALTDVTSELVNLGIVSERVADLATESSSHFDRSFNQAFGHRMVTSKISHFWRTVSDSRGQQHTSPTLSSRYLNDFEELQPLGHGGFGHVVLCKNKLDGRQYAVKKIRLKDKSQPLDDRILREVATLSRLQHQHVVRYYQAWFETGVGGLYNNDATLGSRTAAASSSFSFIDQSSTDVVGVTQDNKVGTYLYIQMEYCPRTLRQMFESYSHFDKKLAWHLFRQIVEGLAHIHSQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLKLEQLEQDVDPAETTGVSVDGTGQIGTYFYTAPEIEQRWPKINEKADMYSLGIVFLEIWHPFSTGMERHIVLSDLKKKGELPLDWITEFPEQASLLRRMMSSIPSDRPSATELLQHAFPPRMESELLDNFLRTMHNSEDTSIYDKVVDAIFSEETLSTKNHAEIPKLGGNDTSSIQHTDLDTEIRDLVWEVSAAVFKLHCAKRLEIIPMRLLGDSLQFNRNTVKLLTSGGDMVELCHELRLPFINWVVLNQKSSFKRFEICYVYRRSIGHSPPNRYLQGDFDVIGGASSLTEAEVIKAAMDIITRFFDPESCDIHLNHGDLLEAIWSWIGIKSEHRQKVAELLSLLGSLRPQSSERKTKWVVIRRQLRQELNISEGVVNKLQTVGLRFCGIADQALPRLRGALPAVQIHLHARHLMNFLSFLTIYGFGELIKMFSSILLCHLPRLITKIHFSRFI >cds-PLY63325.1 pep primary_assembly:Lsat_Salinas_v7:9:134258828:134262060:1 gene:gene-LSAT_9X86381 transcript:rna-gnl|WGS:NBSK|LSAT_9X86381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSFEGVLFNFVFNRSTWMMGSDVIDPAPTKRNANPQYSGMMISQSRLQRAVMEGRLWEVETIVRGERKAIGEAINNDDETVLHILVRTDADKDILKRKSSDGSTALHIAAITGNKIAADLLIKKMQWMMTVEDSYDHIPFVTAFLHMKLDTSVFLVKAIHKCLQNYKWRPEDLLMAFNLLVVVISSRKYDLALDLLNAFPNFTAKDTFELLMTLARDFPSGVALTDVRCMILKNTCCLLTPVQFCSSGALAEPVKDALSGIIRILIVPSIRCIEKKVKEYEKAKEVLKKVFEKIDKLGNIDTQQFLYKEPLLEAARGNAYEVVDEILSRSPQAIYWKDKNGFNIIHLAVIHRSEKIYNLIYDNYVNVDRRSLYKEQLDSSDNNILHLVGRLAPSNKLKSRRGAALQLQRELQWSQELKKLADPAFISEKNMYGETPGMVFTREHESMVKEGETWMKNTAESCSITAALITTIVFAAAITVPGGSHQETGVPLFKKNAAFIVFAASDSIALCASTMSLLMFLSILTTRFAEQDFLFDLPNQLILGLCALLISTTAMMVAFGATLFLVFSHQKAWMLGPICGLLCIPITSFAILQFPLIADLILSTHSTIFAKPYSSRRGQLNRKGLWLFPDKLSEYILGFIMRLQPHLGVFTARFFRVLGQTKTKLLVTVFFKQKPKPSH >cds-PLY74935.1 pep primary_assembly:Lsat_Salinas_v7:9:14591290:14595964:-1 gene:gene-LSAT_9X12700 transcript:rna-gnl|WGS:NBSK|LSAT_9X12700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVAQPTVEPSPEPVQNQDKPSSSSSSAGEVYVESEKKVHGEISGAEIDNCRDDAAADAGGPVSNGAANSSGSDGKSLPSSHKLPSEIMSSHKLELPSSQLRLRKLQSELSTSDKLDSPSSQHKLEKSKTEKPTRSNILVEEASQIFDEKISVQQKLKLLNRIATVKDDGTVEFEIPADVEPSDYGPGDGRVHDACNEDPLDSTEPRYFPPLQIVMLIVGTRGDVQPFVAIGKRLQEYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAEYMVKNKGFLPSGPSEIPVQRSQMKDIIFSLLPACKEPDLDTRIPFKAEAIIANPPAYGHTHVAEALKIPIHIFFTMPWTPTSEFPHPLSRVKQSAGYRLSYQIVDSLIWLGIRDMINDVRKKKLKLRPVTYLSGSHGSESDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYEPPQELVTWLKAGPKPIYIGFGSLPVQEPEKMTQIIVKALEDTGQRGIINKGWGGLGNLTEPKDFIYSLDNIPHDWLFLQCASVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHARGVGPPPIPVDQFTLPKLIDAIKFMLDPKVKERAVELSKAMEKEDGVDGAVKAFLKHLPANKNKNKPDTDMDPPPAPSHLCSISRCFGCS >cds-PLY62875.1 pep primary_assembly:Lsat_Salinas_v7:9:131510461:131512484:1 gene:gene-LSAT_9X85480 transcript:rna-gnl|WGS:NBSK|LSAT_9X85480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLYFMNDLSFNIPGAANTVTNFMGSTFLLSIVGAFISDTYISRFHTCLIFGVIEIVAFMIVTIQAHDTSLHPKPCGLKESCMEGGIGVMFYTSLALLALGAGGVRGALTPFGADQFDTTNPKGLKAQGTYFNWLVLSTTLGAAVGVMGFVWVSTNHGWWWGFFLATISSFLGFTLFLMGKPFYLIQVPKNSPILTIIRVVIVAMKNRKLKLPEVTEELYESGRNEALMGKNLSRTGEFTWLDKAAIIPNDSKPSELAPWEICTVTQAEEVKILIRMLPIILSTVVMNTCLAQLQTFSQAQGNFMNKKLGSVDFPAGSIPVIPLVFMSALLPIYEYFFVPFAKKFTKHPQGITQLQRVGVGLVLSAISMGVAGIVEVKRRNQSRINPLEPISLFWLSFQYGIFGIADMFSFVGLLEFFYKEAPVGMRSLATSFTWISMSLGYFLSTVLVNIVNSVTKRVSPSKRGWIHGIILDNNNLNLFYWLLAVLSLINFAIYLLSAIKYKYKKEDDELLKTEMVSTTTSIGMVSASEDDIPKSTTQIEFAHAEATVEPKQT >cds-PLY77503.1 pep primary_assembly:Lsat_Salinas_v7:4:49966130:49971639:1 gene:gene-LSAT_4X34281 transcript:rna-gnl|WGS:NBSK|LSAT_4X34281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLVVVVGNGSGGGGDNGGGGGGGGGVGRRRRQQWIKNMEKRFDSEIVIQEFESLTKDAKRVQIETLKKILTENGEAEYLKKWNLDGRFDPQSYSSCVPVVTHQDLDPYIQKIADGVSYPVLTGKPITTITLSSGTTQGKPKFVPYNDELTETTMQIYRTSFAFRNRAFPIENGKALSLIFGSKQFTTKGGLLAGTATTNVYRSPQFKKTMQAMQTPSCSPDEVIFGSDFHQSLYCHLLCGLIFHEEIQIISSTFAHSIVHSFRTFELVWEELCSDIRTGVLSARITDPSVRTAMSKVLTANPDLADKIYKKCQELTNWNGLIPEMFPNCKYIYGIMTGSMEPYLKKLRHYAGKVRLLSSDYGSSEGWIGANVNPNLPPEMTTYAVLPNIGYFEFLPLTEIDPVGQPKLVGLTDVQIGEEYEVVVTNFAGLYRYRLGDVVKVIGFHNSTPELQFVCRRNLMLTINIDKNTEKDLQLAVEAAAKILTAEKLEVVDFTSHVDLASDPGHYVIFWEVSGEASDAVLKECCNCLDKSFVDAGYVSSRKVKAIGPLELRVLRRGTFQKILDHYVGLGSALNQFKTPRCVGPANQTVLQILCNNVVKSYISSSFG >cds-PLY88835.1 pep primary_assembly:Lsat_Salinas_v7:3:232199394:232199651:1 gene:gene-LSAT_3X131341 transcript:rna-gnl|WGS:NBSK|LSAT_3X131341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEVVGGERMGRDLAEIVDLISRSENRMADLSVIAGGRKLRHGGIVGGGTSGEAVVDGGGCGGVHQRWLMEVRGYLFCFGRIMKK >cds-PLY65481.1 pep primary_assembly:Lsat_Salinas_v7:8:32650746:32651979:1 gene:gene-LSAT_8X25740 transcript:rna-gnl|WGS:NBSK|LSAT_8X25740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQDQPERPQEVQRQQKEPNQPIKYGDVFQVSGKLADKPVAPQDAAMMQAAETTVFGQTQKGGTAAAMQAAATINERAGLVSHDDLTVTGDQGVAVTATEVPGARVVTETIAGEVVRRYVKATPILQQEGGVGDLHQQGFITIGEALEAASRTTGNKPVERSDAAAIQAAEVRATGSNLVIPGGLAAQAQSAATLNASTREEDKVRLSEVLTDATVKLPADKVATREDAEGVLSAERRNNPNLTAHPTGVAAAIAAAANLNETVV >cds-PLY82431.1 pep primary_assembly:Lsat_Salinas_v7:2:183518102:183519199:-1 gene:gene-LSAT_2X105921 transcript:rna-gnl|WGS:NBSK|LSAT_2X105921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRQPQLPPRCPISKTNFPIYDPSNQPRLQKTVSESFVFEEHPDWLDDLLGDCEPGLKLKSHRRASSDSGTVLDGLVEFEKDDKIGTSSSSSSSLESGCIYGPNSPRSKDKVSSIQETAIVSALSEYASHAPLQLDSVVQLQDSTDELNMEAKPFKRHSAQRSRVRKLLYIAELERTVEKFQNIVSELGIRVDSLVKQHVYLSVENKQLKHKLSRVQQEKFLMERQYQSMRNEIEGLKRYANSSKIKAHFRSNSAGDSEKLHTWHMIDMGKLQIN >cds-PLY85805.1 pep primary_assembly:Lsat_Salinas_v7:8:174380505:174380906:-1 gene:gene-LSAT_8X114101 transcript:rna-gnl|WGS:NBSK|LSAT_8X114101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSYTTVFRRHHKSRGFRVNLKRFSVQGLRTKLFNFFKILVRSWKSYSSSLSYTKSRSKRCSKVRDCSSPRSSVTTGNVDQSYVCRLRSLGRSNSFYAEAIADCLEFIKNSSVSLDDKYSTHYMLDISRGC >cds-PLY62559.1 pep primary_assembly:Lsat_Salinas_v7:9:76239605:76240459:-1 gene:gene-LSAT_9X60980 transcript:rna-gnl|WGS:NBSK|LSAT_9X60980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNLRMLCFDDDCDDLDIRYVGGLWVMFQFKHKDACKNFMNSKAMDHWITEKRPWDRNFVPSERFVWVDVEVYSLRVWSKETFKKILAKWGSIVHLDDELGEDVYKKGIKEAPEWTPSFPCESLKHRVDNVEDHVQFQEDGVFNDFHEKKKGSYDPFGIYDTLERMKNEDSIHNYSQENDHCGNVDEESYSRNSNIFSSKKAWSVAAPLFHHDSYSRVPDLCPFSVGIPTELPSEIQLLNVSTIP >cds-PLY64456.1 pep primary_assembly:Lsat_Salinas_v7:3:15309528:15313543:-1 gene:gene-LSAT_3X10761 transcript:rna-gnl|WGS:NBSK|LSAT_3X10761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIISTINHQVSRPMTTDKFLLQSSWLNMNMVVPFPPFSISIFLSQTSPPSRAADITGPPDLRPQPFASYLHHYTVVLNGIEYWLVILQETDMAMHCIKSLRNVGVANMGLGLGLGLGSCRTFAVGGKAKKGKSGGASDAPKQSSLSKEVKSTTVVGANILKEGTDPKILADSEYPEWLWHLLDKKPALSELRRKNIETLPYEDLKRFVKLDNRSRIKENNTTRAKN >cds-PLY84825.1 pep primary_assembly:Lsat_Salinas_v7:4:144739267:144741669:1 gene:gene-LSAT_4X90280 transcript:rna-gnl|WGS:NBSK|LSAT_4X90280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLVSVADSDGGKQPGSRKIPFSDVVITGTRNNFWGRKWRTIDIQVALWVTFVHVLALFAPFTFTWDAFSVAFIGYLLTGLVGITLSYHRLLAHHSLKLPKWLEYTCVYFGVLAAQRDPIFWVSMHRYHHQFVDSNKDTHSPINGFWFSHMGWLFDSGYILEKYKERKNVEDLKKQPFYMFIRKTYMWHIIGCGAVLYAWGGFPYFVWGLGVRTIWVYHLTFLVNSACHIWGNQVWNTGDLSRNNWWVAVLTFGEGWHNNHHAFENSARHGLEWWQLDVSWYIIRFLEIIGLATNVKVPSEAQKLKKSIFTSDNTFK >cds-PLY67139.1 pep primary_assembly:Lsat_Salinas_v7:5:291448466:291451405:1 gene:gene-LSAT_5X155321 transcript:rna-gnl|WGS:NBSK|LSAT_5X155321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGSNSKSDISFAGTFASSAFAACFAEICTIPLDTAKVRLQLQKKGIAGDGIGMGLPKYRGMLGTVGTIAKEEGLASLWKGIVPGLHRQCLYGGLRIGLYEPIKNLYVGENFVGDVPLTTKILAGLTTGGLAIAVANPTDLVKVRLQAEGKLAAGVPRRYSGALNAYSTIIKQEGVRALWTGIGPNVARNAIINAAELASYDQVKQSILKIPGFTDNVLTHLLSGLGAGFFAVCIGSPVDVVKSRMMGDPSYTSTIDCFVKTLKNDGPLAFYKGFIPNFGRLGSWNVIMFLTLEQAKKFVKSMESP >cds-PLY72484.1 pep primary_assembly:Lsat_Salinas_v7:2:138787070:138788148:-1 gene:gene-LSAT_2X66360 transcript:rna-gnl|WGS:NBSK|LSAT_2X66360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSKQQEPEERNWVDVPYDVMANILHKVDAFDILENAQKVCTSWRKICKDPMMWRVIDFSVNHARVDQLPPLREMCEHAVDRSQGQFVDITIVGFTDNELLQYVADRSSQLRRLEISYCFEVTYISWSDALKKLPVLEELSIYVTHISKEAIESAGHYCPMLKTLKLNNNPLRSRELSRFPNERAIAIGKNLPELRHLELIGDKMTNVGLEVILNGCRHLESLDLRACSYIDLSVCSYIDGEGEPIWRRLNV >cds-PLY77043.1 pep primary_assembly:Lsat_Salinas_v7:8:151312178:151313820:-1 gene:gene-LSAT_8X102081 transcript:rna-gnl|WGS:NBSK|LSAT_8X102081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGPRRPQFVLFGSSIVQFSFGEEGWGAILADIYARKADIVLRGYSGWNSRQAVEVLDQVFPKDEAIQPSLVIVYFGGNDSVLPTQDGLSSHVPLDEYVENMRKIAIHLKSLSEKTRIIFLTAPPVNESQILQFFGTKGRKNELCKKYADACVKLCKEMNIKCINLCTTLKQRDDWLTTCFTDGIHLTPIGSKIVAKEILKVIMEAEWKPSLDWESLPVEFA >cds-PLY82381.1 pep primary_assembly:Lsat_Salinas_v7:4:336479092:336479463:1 gene:gene-LSAT_4X165941 transcript:rna-gnl|WGS:NBSK|LSAT_4X165941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSVNIHQWIFEVQDKVCSSLDELFGVNEVHEEVQGGMDMDGGIDMDHLQCDMEDLQGSREDFQGEQDDDIDMEVDIEPDECILMKKTKDDEFLSKLCPKEQVTPNSPPRKSYVIIYMRMR >cds-PLY82162.1 pep primary_assembly:Lsat_Salinas_v7:1:14208197:14209688:-1 gene:gene-LSAT_1X12481 transcript:rna-gnl|WGS:NBSK|LSAT_1X12481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALNNGLRSCASKLFVSAESSLAKSVQRAGIHSTGAKRMGGHAHGHDEPAYLHSKHMYNLDRMKNQKLTMTLGVFTAFSIGVGVPIFAVVFQQNKAKSG >cds-PLY67336.1 pep primary_assembly:Lsat_Salinas_v7:4:18956465:18961497:-1 gene:gene-LSAT_4X13360 transcript:rna-gnl|WGS:NBSK|LSAT_4X13360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATFKVKRVESSPIEGQKPGTSGLRKKVKVFTQPHYLHNFVQSTFNALSAEKIKGSTLVVSGDGRYYSKDAIQIIIKMAAANGARRIWVGQNGLLSTPAVSAVVRERVGADGSKANGAFILTASHNPGGPNEDFGIKYNMENGGPAPEGVTDKIFENTKTIKEYFIAEGLPDVDISAIGVSSFSGPDGQFDVDVFDAASDYVKLMKSIFDFQAIQKLIACPQFSFCYDALHGVAGAYASRIFVEELGAKESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLGKTPDSNPPEFGAAADGDADRNMVLGKRFFVTPSDSVAIIAANAVQSIPYFSSGLKGVARSMPTSAALDVVAKSLNLKFFEVPTGWKFFGNLMDAGLCSVCGEESFGTGSDHIREKDGIWAVLAWMSILAYKNKDNLTSGKLVTVEDIVKQHWAKFGRHYYTRYDYENVDAGAAKELMAHLVKLQSSLGEVNKLIKGVRSDVANVASADEFEYKDPVDGSVSKNQGIRYLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDSSKTGRDSQEALSPLVEVALKLSKMQEFTGRDAPTVIT >cds-PLY87269.1 pep primary_assembly:Lsat_Salinas_v7:1:51119435:51121130:1 gene:gene-LSAT_1X42721 transcript:rna-gnl|WGS:NBSK|LSAT_1X42721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRRATEIELDTMEGFASREKLHHHLNDQELDFNGHAVMSALIAPERSVKFHGSLVAQLLLKDENLPMNDFVSDWSSTLLTTVSQASKADDISLTRVALSAFLLSLERCPGAQRVVMEKGLHLMRETAKRTMNHKSVQESLAKGLESLCSGDMRLSLEEGQKWSCILLPWVFRETSSDAIRSSAITILSRICEDYGPSSIPISQGWLAIMLSDILKSRKLSLKGSAQPRDKVKTQIDQANVLSGTQSVNQLASAVVNLAVNGDSFALEDFLTLEPFINTYKNLKKGNIPKVNALDSALATLKAIKAMTEICSDDLFSQKKIIDYGIIPLLRRFLLSDDYEKLSAIEAYDAQDESYTMVWIKLASSGLCMGGLVVKQMLHQASAENRGNLVKNSVGVVCLI >cds-PLY79370.1 pep primary_assembly:Lsat_Salinas_v7:9:53879405:53880674:1 gene:gene-LSAT_9X49980 transcript:rna-gnl|WGS:NBSK|LSAT_9X49980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPSSLSKPNPNSLSDFIFSAFSLFVFYSSSSPKPTTNLNTHRRFFKFPIMPLTTTKTPAINHNHHHFASPQSLSEWLRPRLPSDSFAAWGTRPGTKNVHNLWLELSEGETSLADSTPPVRTVEVVVVRVRDHQNRILIESHQQLSNGDVRNRSRPLSEKMKPGETVEAAVVRAVKEELGSIIRVSCSDVNDDDIVKIIPNSYSSKVEERLSVSYPGLPACYVLHTVDAFVDGLPDCEFCTEEEEEYHNLDEKQEAERAISCKKHYWKWVDSYTLSS >cds-PLY82484.1 pep primary_assembly:Lsat_Salinas_v7:2:187197825:187199225:1 gene:gene-LSAT_2X108781 transcript:rna-gnl|WGS:NBSK|LSAT_2X108781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEVTAPTAGGDTAVVGAGGGAIKXPPPPQPPQPEINANRYVFAAATPYPAQYPNPNPNTPQPHPPYYQYPSGPYYPPPPPPAAALPPPYDHHHRVPMDPAAQAWVGGRYPCGPVMNTPTPYVDHQKAVTIRNDVNLKKETLKIEPDQENPGKFLVVFTYDATVAGSITLYFFAKEGEDCNMSPTKEDLLPPITVSFQQGLGQKFRQESGTGIDLSLFEEAELLKVSETGVYPLAIKAEANPIPSVSENGNSTNPGTTNSQITQAVFEKEKGEYQVKVTKQILWVNGMRYELQEIYGIGNSVDGADFDGNDPGKECVICLSEPRDTTVLPCRHMCMCSGCAKVLRFQTNRCPICRQPVERLLEIKVSNGGED >cds-PLY70194.1 pep primary_assembly:Lsat_Salinas_v7:9:486380:492100:1 gene:gene-LSAT_9X5121 transcript:rna-gnl|WGS:NBSK|LSAT_9X5121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SCC3 [Source:Projected from Arabidopsis thaliana (AT2G47980) UniProtKB/TrEMBL;Acc:A0A178VN21] MEDEPDVPEPLVRRSKRNRVQTRTFDGLVSDIRDIVESEEESADEFVEPRRKPTRTRTVEAAPSTTASKAFDQNLIEVVKSNGKFIPQVVKSWVERYEKDPKPAMVELLTMLFEACGATYHIQGEFLDETNVDDVVVALVNLAAQGRVEDYQNSKKKEFRSFKENLVSFWDNLVSECQNGPLFDQVLFDKCMDYVIALSCTPPRVYRHVASMVGLQMVTSFIGAAKILAAHRQTTQRQLTAEKKKNSEGPHIDSLNKRLSEMHEKITMIEEMMRKIFTGLFVHRYRDIDPEIRMSCIQSLGTWIISYPSLFLQDLYLKYLGWTLNDKNAGVRKASVLALQNLYDVDDNVPSLGLFTERFYKRMLDLADDLDLSVAVCAISLVKQLLRHQLVPDDDLGSLYDLLIDDPPEIRHAIGALVYDHVVAQKFNNSQSRSSGDEGDSSHVHLLRMLQILREFSADQMLSTYVIDDIWEFMDAMKDWKRIISMLLDENPSIELTDDDATNLTRLFCASVKKAVGERIVPVIDHRKQSYTKAQREMIDSNRKDITVAMMKNYAPLMRKYMTDKTKVPSLVEIIVHMNLELYSLKRQEQGFKTVLDLIIETFFKHGDKDALRSCVKSINFCTTGSRGELQDFAQNKLKKLEEELIVKLKAAIKEVADGDDEYSLLVNMKRLYELQLLRPVPIESLYDDIAMVLKNFRHIDDEVVSFLLLNMYIHVAWCLCSIMNSKVVSEESLSSLVSKRNILFNELDYFLQNPPEAQGKGTSRNLIASRVCTILAESWCLFRKPLFEATHLESLGYCPDISTIRRFWKLCEQQFDVSDETEDEEANKEYVEETNRDSIMIAASKLVATEAVPKEYLQEHLAPDIISHYVMHGPGVAEVVKSLIISLRKKNEDVSDIFLGALKQAYGRYLSTADNESLSAQRFKECKDLSARLSGFFVGAAARMKYRGVILKIMHNGINLAFMDRPWRFPFLMAAIINFASRLAKSDNLAIIKDLEDRTRGENMDQDPSGWAPFKAFMASLHEKCLRNEDEKEVSTVKRGRGRPRKKPPLMEGKKLFADNTSSEDEDSIDEEENQMDEDDEVPMIHSIRASAKLRALRLANKGHDLPASASKTYLLPLTRERKHQVEGLNVVY >cds-PLY91278.1 pep primary_assembly:Lsat_Salinas_v7:3:77150525:77151086:1 gene:gene-LSAT_3X59880 transcript:rna-gnl|WGS:NBSK|LSAT_3X59880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHSFNTSTPNDDDIYAELTRQILLLTDEDDDVQVKKKGGRRLHQRPVGDWWSVMPGNYFFSWSKSGEVEVPGWMERLWAANGGGGTGVFIPRGGVHRSRKRHNKPRKNLESSIAS >cds-PLY80410.1 pep primary_assembly:Lsat_Salinas_v7:8:171903361:171905336:-1 gene:gene-LSAT_8X112440 transcript:rna-gnl|WGS:NBSK|LSAT_8X112440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSRAFSQLSTNSRATQLKSIRNSLAYSFSLSNRFLSTNKGNEGDIDWGSASTWSSGLTKEHFDGEVVGHKVGGNDGSGGGGGGGGGGVLGSSQLVPRSWNNDDDDEMEKIRKMAAEASRKDGEFASKWKERMRETSLLMKQVIEPGARGSYLKDSEKAEMYRLHKENPEVYTAEKLAKDYRIMRQRVHAILWLKEDEEKMEKKLGHPLDDSVEQLLDNFPEFFDWHDREFHVATLPYKPDFKVMPEGWDGSIKDPDEVLYEISMKEDEILYQEFLEKFNFNKMKIEGKVKVHKYSRRRPTEGWEITVEKMGPRGKRGDGGGWKFKSLADGSTRPLNDYEKMFVKREKPRRRRKILHPK >cds-PLY98539.1 pep primary_assembly:Lsat_Salinas_v7:1:38896052:38897123:-1 gene:gene-LSAT_1X33401 transcript:rna-gnl|WGS:NBSK|LSAT_1X33401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSFYNNWSGHDAAYSSIFRPPSPSPELYTFHEDYTFYDTGFSPLFDPNYSNNLEVIPSLGSCNYSDVLPPVIPTGNVLLPSPELLQVSSFTYQDPYYNVPNSGAFDHAHLSQFCTEYRMVPEIPSELPPLPEIYQGGGCAASLPPWYDSRYGNRIDQDEESCNVQVKKQNVGNGRRSLSAQSMAARIRRRKISEKTQELGKLIPGGHKMNTAEMFQAAFKYIKFLQAQAGVLKLMNSIPETEELLRNGEMQGLVTCTLMQEKLYTEEKCIVSKHFAETPSK >cds-PLY80578.1 pep primary_assembly:Lsat_Salinas_v7:6:14229069:14231440:-1 gene:gene-LSAT_6X12421 transcript:rna-gnl|WGS:NBSK|LSAT_6X12421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSYTFYNCSLQNSGFMYPGVPFPCLSSGNYSVFAASIDSRSVPSICKVMKTIMVPIRSNSDIREGLELVWFTPSCGSCERKGDVCGWRSDDGHIICVSSSSSSSRGITRIAKYGLFIGIGVPSLICIIGLVCYASFKIQGYNNTHNRSIDFSSITVIPQLASRTGLDRHTIESYPKTVLGESYRLSNDDATCAICLSGYKPKESLRTIPECNHYFHSECIDKWLMLNATCPMCRNTSEGSALVTVRHWIQLGRQHHQG >cds-PLY69636.1 pep primary_assembly:Lsat_Salinas_v7:5:110766311:110770336:-1 gene:gene-LSAT_5X47620 transcript:rna-gnl|WGS:NBSK|LSAT_5X47620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 4 [Source:Projected from Arabidopsis thaliana (AT4G15475) UniProtKB/Swiss-Prot;Acc:Q9C5D2] MRGHDWINSMLPDELILEIFRNLDSKSSRDACSLVCRRWLTLERFSRDTIRIGASGSPDALVDLLARRFPNVTNVYIDERLSVSLPVDFGRRRNPSHSALSWLRLHFVSERSEPGGSESDSYCISDAGLTAVADGFMKLEKLSLIWCSNATSAGLRSVAEKCRFLKSLDLQGCYVGDQGLVAIGKCCRQLEILNLRFCEGLTDTGLVELALGCGNTLKWLGVAACAKITDISLEAVGSHCSSLETLSLDSEFVHNKGVLAVAKGCSLLKNLSLQCINVTDEALTAVGVFCLSLESLALYSFQRFTDKSLCAIGKGCKKLKSLMLSDCYFLSDKGLEAVAAGCLELAHLEVNGCHNIGTYGLESIGRSCMRLTELALLYCQKVGDEALSEVGKGCKYLEALHLVDCSIIGNEAIYSIAMGCRNLKRLHIRRCYEVGSKGIIAVGENCKYLTDLSIRFCDRVGDEALVAIGKGCPSLRHLNVSGCHQIGDNGIIAIARGCPQLSYLDVSVLQNLRDMALAEVGEGCPLLKDIVLSHCRQITDVGLSHLVKRCKLLESCHMVYCPGITAAGVATVISTCTNMKKVLIEKAKVSDRTQRRAGSVISYLCVDL >cds-PLY84549.1 pep primary_assembly:Lsat_Salinas_v7:1:29479956:29484777:-1 gene:gene-LSAT_1X24881 transcript:rna-gnl|WGS:NBSK|LSAT_1X24881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGLWRWRKFQNVVVTTTAAARVSSSQTSKRGFVNISAGVDCPHIRRRSLIGAQARYKWEHGGGGDDNNSRTSTMIRKIKAEANCPRCCKQMDLVFSDNRHLIPSPSIGFTPGDEWGDPPEPSSVPDEKGAHQAVSLCPNCKSTYQFQPYQMSPLQGRFVEIGRFRNGNGKDKKHVNGEEDYGNKLRASFWDTLRSYGGPGGGENGKRGGGEKSSGWGGSNLGKNLPTPKEIFQGLDKFVIGQHRAKKVLSVAVYNHYKRIYHASLKKGSGVEKGRGRMEDDDDNVDLEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILHKLLTAAEFNVQAAQQGMVYIDEVDKITKKAESLNVSRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGENIQINTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRNAKLTNASVTSSLLESVESSDLIAYGLIPEFIGRFPILLSLSALTEDQLVQVLMEPKNALGKQYKKLFQMNNVKLHFSEKAVRLIAEKAMIKNTGARGLRAILETLLTDSMYEIPDVGTGNDRIEAVVIDEESVGLVDKPGCGGKILRREGALDEYLAKTNSKQQVEGEGIDEGVSSKAMSMSM >cds-PLY97392.1 pep primary_assembly:Lsat_Salinas_v7:4:14770157:14771784:1 gene:gene-LSAT_4X9861 transcript:rna-gnl|WGS:NBSK|LSAT_4X9861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMEEKRDDDQKTEEMMLPGFRFHPTDEELVGFYLKRKIQEKPIPLQLIKPLDIYKHDPWDLPKLAMTGEKEWYFYCRRDRKYRNSARPNRVTGGGFWKATGTDRPIYSSDGSRCAGLKKSLVFYKGRAAKGFKTDWMMHEFRLPSAADHTTPTNRFLEKPIPPNELWAICRIFKKASSNGQRPFSHSWASPVLPEHPSLFSHFQQLDHKPFKIDPIPPTLTTNKNNAPPTFIPSDQDTKNPFSILEPNYNTTINPSSLLFNMPPSIFGEFSKQGLDYETNENKQGFSNDCFLFTDLPTDIQEKTQYDDHNQEHIVDMMFKSSDDVVYFEDQWDFTNPNNFIYE >cds-PLY74726.1 pep primary_assembly:Lsat_Salinas_v7:5:24983671:24983886:-1 gene:gene-LSAT_5X11701 transcript:rna-gnl|WGS:NBSK|LSAT_5X11701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDWAPILVGLLLFILLSPGLIFQIPGNTRTVEFGNFGTNGKAVIVHTFLFFGAFTILILATGMHIYTGRS >cds-PLY65517.1 pep primary_assembly:Lsat_Salinas_v7:3:478536:483636:-1 gene:gene-LSAT_3X800 transcript:rna-gnl|WGS:NBSK|LSAT_3X800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKQPLLRSSRQITSVLLFLFVFSFACIFFRPVFCSSLSVEVHALLDFKKQLKDPLNYLDSWKITESPCQFYGVSCDNQTGRVVEISLNYKSLSGKISPALGALESLKSLVIPSNYIHGELPAALVNCNDLRVLNVTANNITGVLPDLSNLQKLEILDVSDNYFTGDFPTWVGSMTELSALSLNDNNFEEGGIPENIGNLKNLRLLNLGGIQLIGVIPKSIFDLKELETLDLSSNKISGNFPVEITKLLNLRKIELFGNQFTGVIPREFAELNLLQEFDVSMNQMHGELPTEIGNMKNLTVFQCFMNHFSGELPPGFGDMQHLKGFSIYRNNFTGKFPVNFAKLAPLVNIDISENKFSGEFPKLLCGSGKLEKLLALGNDFSGEFPVDYAECKSLVRLRVNQNQLSGKIPDGVWGLPSLDLVDLSDNNFSGEISASVGFSTSLTQLLLYNNDFSGTIPPEIGKLTRLEKLDLSNNKFSGQIPSEIKNVKQLSYLHLETNFFSGSIPAELGQCENLIDLNLAWNFLTGKIPDSLAHISSLNALNVSRNLLTGVIPDNLNRLRFSSIDFSFNHLSGRVPSDLLNMAGDDAFAGNKALCVDENSNSNSAKPRPNFGLDICDEKHQPREINKSKLLMFCMILFGLIVLLGGLMYASYKNYKIHREKLDAKNRLDDEKGSGNPKWKLENFHQIEFDADELCDLDEENLIGVGGTGKVYRVESKKSGLTVAVKQIWKGNKVQVMTAEIGILGKIRHRNILKLYASLIKGGSNFLVFEHMVNGNLYEALGRVGKNLVWVQRYKIAFGAAKGIAYLHHDCTPAIIHRDIKSSNILLDKDFEPKIADFGVARVADQELLGSDSNCFVGTHGYIAPELAYTLKVTEKSDVYSFGVVLLELVTGRRAIEEEYGEGKDIVYWVLSSLNDHENILKLVDTRLICGGETEEVADKNDDIMQVLRIGLLCTTKLPNLRPSMREVVKMLADAEPNALRSIADDHREKFGKVFFS >cds-PLY90956.1 pep primary_assembly:Lsat_Salinas_v7:9:171054453:171057050:-1 gene:gene-LSAT_9X105061 transcript:rna-gnl|WGS:NBSK|LSAT_9X105061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid-A-disaccharide synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G04560) UniProtKB/Swiss-Prot;Acc:F4IF99] MLLKPIHNSNRRTTSYFLSSLGKYFSTSRQSAVDLASKDGEMRIFLVAGEASGDAIGSRLIAALTKLSPFPIHIAGVGGSMMAKHGLKSVFPMEDIAVMGIWELLPHLNKFQVRLKQTFEAAISFNPHVIVTIDSKGFSFRLLKQLRARYGQKGPLHFHYVAPSFWAWKGGEARLKGLSEFIDHVLCILPFEEEVCISNGLPATFVGHPILEDSLDLNSVATEKGWKVQGNADMFRGKYGISSGSRILSLLPGSRLQEVTRMLPIYSKTMNMLKDSIHDLMIVIHVAPNKHVEDHIKRTTNEWPTPIVLVPGGSPHTKYDAFSASSVALCTSGTAAMELQLARLPCVIAYRAHFLTEWAICYKAKIPYISLPNILLNSPVIPEALFRHCSPTKLACLLTEVMCNEGVREEQVVAAERVMELLRPPQGGSLSFGNTASTIAARTVLYYQKEKLYGCVY >cds-PLY87069.1 pep primary_assembly:Lsat_Salinas_v7:5:262054405:262056227:1 gene:gene-LSAT_5X133961 transcript:rna-gnl|WGS:NBSK|LSAT_5X133961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTPEGEEITTVFRVMKTCYEMLKDRGYEVEDSEINMTRKEFIDKHNGTIRREELAFTKSKPNNTEPIYVFFPNELKIGVKVIKAYMFLMRDENIHRAIIVVRHGMTPSAKACQAEIAGMYQMDVFQEGELLVNIRYHYLVPEHIPLTKEEKKELLDRYTVKEAQLPRILHTDPIAKYYGLRRGQVVKILRPIETGTSEKDKDDFDSNKKDRKQEICYVTYRMVA >cds-PLY93428.1 pep primary_assembly:Lsat_Salinas_v7:9:58571925:58573719:-1 gene:gene-LSAT_9X51881 transcript:rna-gnl|WGS:NBSK|LSAT_9X51881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:QWRF7 [Source:Projected from Arabidopsis thaliana (AT4G25190) UniProtKB/TrEMBL;Acc:A0A178V0Y0] MDQRPRSSSRLHHPSPAGKQSLPRLIRCKSENPAISPPPTTTLSNRSNTVSKSRSTSTSKTRNYKEMEVNSFMINLPKPMKINTGVTNPAVKKKKSSDADSNGGDGTFTRFLPRKKPTSPSAWALSPGRAQPILTAVVPPKTPSTGGRSSGEGGGGGGGGGRISGVLKYFKQKKVASSEEADRHCSKLMNNRLLQWRFANARAQAAMSTVKSVAEKKTFNAWLKILAIRNSTMVKRMEVEKLERDIRLYHIMNSQLFLLEKWPKLEAKNSEAVGRMVRKLSVASVNMPLVDDSKGEILMVRDALDNATRLLEDIELTIPKLNCQVENSCYLLTELSIIAKEEKESLAELQRWMGVVMTLKIQEKERSLRAHLIQVK >cds-PLY89413.1 pep primary_assembly:Lsat_Salinas_v7:4:63157716:63158102:-1 gene:gene-LSAT_4X42880 transcript:rna-gnl|WGS:NBSK|LSAT_4X42880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVYVGQKDGDFKRVLVPVIYINHPLFGQLLREAEEEYGHDHPGGITIPCRFSDFENVNTRIAAACGFRKMMTWKRRS >cds-PLY76821.1 pep primary_assembly:Lsat_Salinas_v7:3:2829480:2829997:-1 gene:gene-LSAT_3X1361 transcript:rna-gnl|WGS:NBSK|LSAT_3X1361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSGCGTCGSTTPKPKPKPSKTYCPKDTLKLGVCANLLGGLVGVQVGSPSVKPCCTLIGGLADLDAAVCLCTAIKANVLGIKLNVPVSLSLLLNACGKKVPSGFKCA >cds-PLY98749.1 pep primary_assembly:Lsat_Salinas_v7:1:8695794:8698173:1 gene:gene-LSAT_1X8900 transcript:rna-gnl|WGS:NBSK|LSAT_1X8900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFFSLDGPQAGQSVPHVHIHIVPREVGDFENNDDIYDAINESDKNLKKKLHLDKERKDRSSKIIVSATQIEARSLAARTSLILVKGLHLFPVPKPDSKHIITFANQSDYISFRHHVYRQSGGPKSIDLKEVGPRFELKLYQLGRNFMQHTPKTRSTFVFVRMRT >cds-PLY86146.1 pep primary_assembly:Lsat_Salinas_v7:6:156438099:156438866:1 gene:gene-LSAT_6X94280 transcript:rna-gnl|WGS:NBSK|LSAT_6X94280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRQLILQQVRGQSPGLLPLLVSLWFHVLFHSLMGVLFTLPPRYYFTIATAPVGSLNQATAYESPAHSSTGTRSEPRAPPTAWCSILLLRLAARRLYCSPTTPFSRFRLLPLRSPLLRGSLLLSFLLATKMFQFARLYLAYPWIQQQFEMLTYSGISGSMLIFNSQKHFVAYYALPRLWVPRYPP >cds-PLY96645.1 pep primary_assembly:Lsat_Salinas_v7:7:44439038:44439751:-1 gene:gene-LSAT_7X30621 transcript:rna-gnl|WGS:NBSK|LSAT_7X30621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMKKGEVALLSIAPEYAFGFIGFKQELAVLPPDATGTYEIELVSFLRSKESRDMNTTKEKIITFGKYKKEVEEYRAMLKLFIYYTLKVAIKCRKLSSFGQPSMKEVVLIEFNEREGTSCVDSKVKQRKQWLVPWQQCPIKKAKVPFKQKVNFLSPGLRTSLFFKTVVLIENVLWVLYKHTGKHGVLNCNIYYYLFCSLSRIISKSSINRVVRYGVFLVALSIDFSLARRFLLRELL >cds-PLY95427.1 pep primary_assembly:Lsat_Salinas_v7:9:190603778:190604416:1 gene:gene-LSAT_9X117680 transcript:rna-gnl|WGS:NBSK|LSAT_9X117680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANTFVGFEERAVDALREGKCIPNGPTPPIYFIGPLIVGGNHVDPSENECLKWLNSQPSKSVVFLCSGSQGVLKKEQLKEIAIGLEKSEQRFLWVVRDPPPDDKKTDSNSGGGKEVGLDAILPDGFKGRIGDKGMVVKNWAPQPAILSHESVGGFVSHCGWNSVLEAVVAGVPLVAWPLYAEQKMNRVYLVERIDTRETEILIQVRLRGKNI >cds-PLY69641.1 pep primary_assembly:Lsat_Salinas_v7:5:111915633:111917418:1 gene:gene-LSAT_5X49400 transcript:rna-gnl|WGS:NBSK|LSAT_5X49400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDIGLVGKSLLSFMISCDADMYSTGFIEPLPMIDFVTELLNMDISIKKEPRGIKVEAIDHRNMRHKYPIFGLTSQAAWKIK >cds-PLY98103.1 pep primary_assembly:Lsat_Salinas_v7:3:247534020:247536810:1 gene:gene-LSAT_3X136600 transcript:rna-gnl|WGS:NBSK|LSAT_3X136600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKTGLKRGPWTQEEDELLIEYIKKNGHGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIKRGPFSPEEEKLVIQLHAILGNRWAAIAAQIPGRTDNEIKNLWNTHLRKRLLSIGIDPQTHEPTAISGPLKRPPASPSTRHMAQWESARLEAEARLSMESSLLLSPSITNKRINNTANADYFLRIWHSEVGNSFRSMNKVVCSSPASQASVSGTTMETVHKPELESELKFEGKSGHFYTGITHSSSSDEVEDSSDTALQLFFDFPGQNDMSFLEAHFN >cds-PLY91201.1 pep primary_assembly:Lsat_Salinas_v7:3:77826532:77828043:1 gene:gene-LSAT_3X57341 transcript:rna-gnl|WGS:NBSK|LSAT_3X57341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKENRNVSRIPATSDTARVFMGQVPSPVPPKANWDTGPSCGPSGYSESISSLILVGSSTIPAKMDNPAALEASRLTTATTPACAPVRPATLPTPAAVLIIPRPRIRSLEPFARTCGYLNLIRLPLPDLIQINFPLSSPSDHILQRFAAGPAHKLPDIIPQYLMISGLLHFLIRVILRNKKGPLPKLGGDLRHSLSSLHQILKLPCQLLHLNNR >cds-PLY84840.1 pep primary_assembly:Lsat_Salinas_v7:1:59868139:59874964:-1 gene:gene-LSAT_1X52780 transcript:rna-gnl|WGS:NBSK|LSAT_1X52780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPPPTPSTTTPPPASLYVGDLHPQIDNLQLSDAFSEYKSITSVRVCKDSSTARSLCYGYVNFLSPQDARHAIESKNNTMLYGKMIRVTWSHRDPDVRRSGIGNVFVKNLNESVDNVQLQEMFKSFGNILSCKVVTHEDGKSKGYGFVQFDSVECANVAIEKLNGTSLGGKQIYVGKFVKKSDRILPTPDAKYTNLYIKNLDEDISEDILDQTFSKFGKIVSLVISRDDNGASRGFGFVNFENPDDARKAVEDVNGMNLGSKALYVARAQKKAEREQILRRQFEDMRKEQIAKYQGSNVYVKNIDDDVTENELQEHFSQCGTITSAKLMCDDKGLSKGFGFVCFSTPDEATKAVNTLHGYMFHRKPLYVAIAQRKEERQAQLQIHYAQRMAGLVGPSSMIPSGYSPYYYTAPSGIISQVAPQPGIMYQPMAIRPGFRANAFAPPTRPTFQPSPYPTFIPNSVRPHRQNRGRMNGHMQQQPPPPQGGHTLPYVPHLQQPNPNHQTSKESSSNQQRTGQVKYAPNGRSSRDMNKGITISSSSSPSNSGEGAEMLSSMLAAASPEIQKQMLGERLYPLVNQHKPDLAAKITGMLLEMDNSELLLLLESPESLAAKVEEAVQVLKLTKTQVSTTTASQETISHPSILSAGVAVN >cds-PLY72466.1 pep primary_assembly:Lsat_Salinas_v7:2:139836807:139841783:-1 gene:gene-LSAT_2X68221 transcript:rna-gnl|WGS:NBSK|LSAT_2X68221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSEIGRRTTVEEREDEKRRKTAAATEDDEVGDKEYEMVDVRDHGRFGIRRRSVFTLLAWDSGELDSTHRGFNFNRDTVIDGIKDLCIHPQNRWYRTWEKFILLWAIYSSFFTPMEFGFFRGLPNHFYLLDIFGQTAFFIDIVLLFFVPYRDTRTHKLIYNRNLIALRYLKSHFIFDLLACMPWDNIYTAFGKKEEVRYLLLIRLVRARKVLDFFSKLEKDIRVKYLFSRVLKLIAVELYCTHTAACIFYYLATTLPPNEEEYTWIGSLKLGDYSYSNFRHIDLWTRYITSLYFAIVTMATVGYGDIHAVNLREMIFVMVYVSFDMVLGAYLIGNMTALIVKGSNTERYRDRMADLLKYMDRNKLGKDIRNQIKDHMRLQYDSNYTDSAVIQDLPTSIRAKISATLYKSYIEKVSLFKECSLEFINHIVTRVHEEFFLPGEVIMEQGIVVDQLYFVCHGNLEEVVIHEDGSEEIVSILKPHDSFGDVSIICNIAQLYTVRVLDLSRLLRIDKQSFSNILDIYFHDGRKILNNLLEGKQGDNHMKDMVTDIKIHIGMQEAELALRVNSSAYSGDLSHLKSLIRAGADPDRKDYDGRTPLHLAASKGHENITLFLIQQGVEVNVFDNFGNTPLFEAIKNGHDKIASLLVKHGASFKIEDAGSFLCSSVSRGDIDFIRRVLSNGVDPNSKDYDFRTPLHVATSQGSYVIAKMLVEAGANVLSKDRWGNTPVDEARLSGNKILMKLLEERKSFQMSEFPSCSQETRDKMSRKKCTVYAFQPWEIKDERKYGVVLWVPDTIDELMKTAAEHLKLELSTGFCIVTEDVGKILDVNMITDGQKIYLITIDT >cds-PLY87100.1 pep primary_assembly:Lsat_Salinas_v7:5:260930465:260930806:1 gene:gene-LSAT_5X134741 transcript:rna-gnl|WGS:NBSK|LSAT_5X134741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLAGRDINLLERLHRKRLAGSVMVIEEATIPNYPSQSESSMDSTANPSSVYIIVLVVPPLTKNIVKTEHDNRKPPCLFGQSCVKRSFLQKEIRSREYSFLGRGNESSRQAD >cds-PLY84595.1 pep primary_assembly:Lsat_Salinas_v7:1:29794716:29797256:-1 gene:gene-LSAT_1X25441 transcript:rna-gnl|WGS:NBSK|LSAT_1X25441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSLLALFSLAFFLFLNFPSISSLPTVSISHINSNQTLICALTKSPTQQRTRLNCTTTSSPDGFQLPINEGNRYPFVGIVGGAGFLCALSSPFSNPSTTPTSFLVCWRFLNNGSTVYKRVYLGPSLQDIDSGDSHVCGIVSATNQLLCWQWDQFNDDSNVNRSQFRSSVTVGENYVCGFSEFGEIRCVGSSSNTFNITNSSPVGNYSVISAGYNRVCAVNSTGGLDCWGDAMISKPNGVFKSVSMGDNRFCAIRDNGTVICWGGNGFSLPENLRQVSFEALQANRGIFCGILTSNYSLYCWGNESLNSNSIIFTDVIPGLCTTTCNCGTIPNYGSFCSRTLMICKPCVYNQDPPESVPPPVPPPLPPPRKSGWSTKMVAFLVVGIIGCSSILAVLIFLIFRFCKSNEGSRVHDSGPMEDIQIASQLQTSNRILVKKLSHLISTGNANHLEEFTLQTIINATDNFSDENRIGIGSFGSVYRAILPNGQKVAVKRAESTSSSSLPGGTKKRQEDTDNAFVNELEFLSRVNHKNLVQLLGFCEENNELVLVYEFMEKGSLHEHLHEFFSSDIMSWPARIKLALDAARGVEYLHVYAEPPIIHRDIKSSNILLDGDWTGKVSDFGLSLMGPPDDGSHLSLRAAGTVGYMDPEYYKFEQLNAKSDVYSFGVVLLELLSGLRAIHKNEAGERRNVVDVVVPYIVHEEMHRILDHKVPPPTPFEIEAVKYVGYLAVDCVTLEGRDRPCMSEVVSCLERALTACLTVPSFSHSSNSSSA >cds-PLY67124.1 pep primary_assembly:Lsat_Salinas_v7:5:291108141:291113204:1 gene:gene-LSAT_5X154501 transcript:rna-gnl|WGS:NBSK|LSAT_5X154501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTTPLRRFLQSLCNNSCWNYAVFWRLQQQSQMLLMWEDGYFDTMKVQDSMEDLFAETMFRNLEEETSSHNTYNGTSGGDAVETAVAYMSTFQYALGEGVVGDVAYTGNSHWIYANTPVPEHPDEWLFQFAAGVKTILLVPVSPHGVLQLGSLEHLPEDAQMSNYIKNEFFTHQDFMSYSDHTFSTNQQFPSSFMMQSFNEFPSFMENNKSSDEVNWSNHNGPIITDAGPLLSFPKECELHKALGPAFMGPADDSFQNLSISKSKSKSKSKTNGMVFYNENVESVLGNMNPCIQTSGESSLSNSFGQFSSLTKRKNINENENGGFEGESSVFINDHLVPGVFSRVTSHNDSCSPSAISYEGVGDVVKEEEEQKSRGAKPSIVNKRRGKPGSKQKARPRDRQLIQDRLKDLRELVPDGAKCSIDGLLDRTVKHMLFLKSVGDRASKLRQCVQPEGEGSIQTNDITSEEKGGKNGASWAFELGGDLKVCPIIVEDLQYPGHMIIEMVCDESSRFLEIAEVIHGLNLTILNGVTQRRSDNTWARYIAPRGFHRLDIFWPLMKLLQQQQHSSISSKI >cds-PLY89466.1 pep primary_assembly:Lsat_Salinas_v7:8:30933495:30934839:-1 gene:gene-LSAT_8X22301 transcript:rna-gnl|WGS:NBSK|LSAT_8X22301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPGEILSEIFIRLLAKQLAQMRCVSKSWNALLSQPSFIKFHLHRSIHNNDKTILVFMDEVFSFDRKPFTAHSTRSPHLELTKFIKLPINLQKRYYRVIGSINGLICFSDASDVNIWNPSLNAMLNIPPLSILSHCYSDSNICQIRFGFDPKTDDYKVVKFMGVHRQPSSSRSNGYFIQDWQQVVIYSMRKGSWYLITQRIPSCVIRIHSQAAVCVDGHDGHLHWLCYIDEEMKAQTIVAFDLGVETFYEIPLPDSKLHHHGHYWFSVLGVLDGKLCAMSRAKGERCEVWVMEEYRVAESWVKHHVFSQFSGIIPIGFTSHSEFVFYGYPCGLALFDPISAKVKSIGTPTTHVGMIKILEYVDSLVWIAPVKREIMCFNISDHFAKLKRGFNTMVNGLCQVLNFSVQPYFLFKENV >cds-PLY77555.1 pep primary_assembly:Lsat_Salinas_v7:2:164694467:164696675:-1 gene:gene-LSAT_2X86560 transcript:rna-gnl|WGS:NBSK|LSAT_2X86560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEQDPQKMKKIAAAAYDYENDPKWADYWSNILIPPHMASRSDVITHYKRKFYQRYIDPDFVVEPMTIQNTSQPTRSSPPPPSSSTRERRPSQNSGSTTQATGASTMNSTPLRWDRQTIQFSVNAWVIAVAVLAMLPFIPASLSNRAYRLSFMGTLCSSLYSLYSLYGKPRAWNLQAVQVWLQSVIATKDFIYFIYCLTFVSSNLQLKFALLPILCRALEHSAKFLRHNFSQAPLYRKYLEDACVWAESNTTTLSILSSQAEIGIGFLLIISLLSWQRNIIQTFMYWQLLKLMYHAPVTAGYHQSVWAKVGKTATPLIHKYAPFLYTPLSTIQKWWFRQ >cds-PLY66300.1 pep primary_assembly:Lsat_Salinas_v7:8:218046632:218048730:-1 gene:gene-LSAT_8X135021 transcript:rna-gnl|WGS:NBSK|LSAT_8X135021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSGKLEVLLVSAKGLDDTDFFTKMDPYAIITCRTQEQKSNVAAGQGSSPEWNETFVFSVSGEVLELVIKIMDSDVISEDDFVGEAKIPLGTLFVEGNIPPTPYNVVVNDEFCGEIKVGLQFIPEDTNEYHGEEENFGGWKESSY >cds-PLY63872.1 pep primary_assembly:Lsat_Salinas_v7:1:125951010:125951965:-1 gene:gene-LSAT_1X95440 transcript:rna-gnl|WGS:NBSK|LSAT_1X95440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPPSPDSINFGEFVNLSDLHSIFCDYGHKIAVNQIEMQTLKDQVGKDFIVCHVDHISLHHKLEDHERKLKAIALVMGGVMVAMLGMMMVGGQSPYKAWIRCFGNCGVRFKNSHMKIVCGCGSPAIIRTPKTVNNPGRPFYACPNQDHDQVSFLGRMK >cds-PLY89109.1 pep primary_assembly:Lsat_Salinas_v7:4:145915435:145916126:-1 gene:gene-LSAT_4X88940 transcript:rna-gnl|WGS:NBSK|LSAT_4X88940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRFRVKISIIMPEWESECMSYKDLKKQLNLMDPERRHEGFQQLLKNELERMNDFFVRTEEEYIIRFQVLKDMIADEYSSEDTAQMTSDLLQFHNKLVLLLHYNVLNCDGFLKIIKKHRKKTGREFNLSFMQGDNQQLFFIANSLGLLLGECKEILEQLVRR >cds-PLY78580.1 pep primary_assembly:Lsat_Salinas_v7:1:106060959:106062379:-1 gene:gene-LSAT_1X83201 transcript:rna-gnl|WGS:NBSK|LSAT_1X83201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDRWMGGESWSGARHHATTFTRQRATQVSLDLNHVVDGWAVNHGLKFDTMQQLLHVKGKPKYVWISTVNFVPSKRIIWFDVEGLPLKAWSKDAFQKILARWCTIVHIDDDLREYIYKNGVKEAPGWTPFPPGGFKSGVNASDGIDHPKTPIAAEVTEVCCSEADPSETTPAIVFAAMAALIAVVLHTAVL >cds-PLY82616.1 pep primary_assembly:Lsat_Salinas_v7:8:176826516:176827547:-1 gene:gene-LSAT_8X114600 transcript:rna-gnl|WGS:NBSK|LSAT_8X114600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLYWKARGEVPVLSVDSPGMKKCPFDLKLYLSGDFNVSDGAFHDDGSSYLLLGFLSDVNNKVFLTKLLELLGVLFKYIGMSRWNLQLALMVVFPLFLMYMKKELDRASHETFCTQAMFSPIMGKDLSLYEGVLWLRVTYMSLKINLKDDKGMMDIEEKVLSNSSKYRDIIEHRLCMITNREALLESQCSLLEDKNEQSVQENDMFHA >cds-PLY95960.1 pep primary_assembly:Lsat_Salinas_v7:9:43033383:43034183:-1 gene:gene-LSAT_9X38780 transcript:rna-gnl|WGS:NBSK|LSAT_9X38780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTPAQESSSETRRQENDGITQDDIRVVRSLLRMFPMWGTLIVVPLTSAVGSTFYIEQFSYLRGNDKIPAQMFDMIQDLSGFAILILYSRLTCVRQNEKLKIGVGMLCSIISCVFAWILEFYRLKEVREVGDDENTSTSFLWLVSQFCILGFMEGLTEEGSLRVFKSQIDELIKSYREEYMEVVMCLGKLVNIFLILILDSQLRWFGDDVNNTHLDRYYLLLVCLCSVNFVIYCCIAKCFYEGTEPDPHSAKDNLQLRESTHATNG >cds-PLY78439.1 pep primary_assembly:Lsat_Salinas_v7:2:166965712:166966151:-1 gene:gene-LSAT_2X88400 transcript:rna-gnl|WGS:NBSK|LSAT_2X88400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLSFLKVFSTTTLLFLFFQNGSGRELILRSSKGVDHFAITAASHPFHESREMMELDYEDAGPNTNTKSGFIPIPEAPAPQV >cds-PLY84373.1 pep primary_assembly:Lsat_Salinas_v7:2:83990277:83998663:1 gene:gene-LSAT_2X38920 transcript:rna-gnl|WGS:NBSK|LSAT_2X38920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPHISETSSDFKTRFGYQEHCRPSESMQPPIRGTPDLLKSVMKETPLNSSAVSIFRDRGDYSSIAVNLNDSFEFREDPAFWKDHNVQVIIRVRPLSDSEIAVQGPSRCVKQGSSQTITWTGPPEARFTFDHVADEHVSQEMMFKVAGVPMVENCMGGYNSCMFAYGQTGSGKTHTMLGDIDGGSRRHSVNSGMTPRVFEYLFTRIQKEKEARREEKIQYTCKCSFLEIYNEQILDLLDPSSTNLQIREDTKKGVYVDNLKEIEVTSARDVIQQLIQGAANRKVASTNMNRASSRSHSVFTCIIESKWDSQGVTHHRFARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVNVSNGKSLHVPYRDSKLTFLLQDSLGGNSKTTIIANISPSSCNSLETLSTLKFAQRAKYIKNHATINEDASGDVLAMRMQIQQLKKEVSHLQSVINGGTGTGTGNHENDGWAVGSPGGFRWEGFQGSFSPVTAVKRMSQKKEYEVALVGAFRREKDKDLTLQAVTAESQAAMKLAKQREDEIQGLKMRLRFREAAVKRLEGVVSGKISAETHLLKEKEEHLKELEVLRSQVDRNQEVTRFAMENLRLKEEIRRLKSFYEEGEQESMKEQIMILEDKLLEALDWKLMHESEIPQVKEKSFDIGIDMMMDSQRDGDFFSLKDPVTPRHTEKTEFEFPLVTTPTPTVAVSDQRELQTMVDAIAAASQREAEAHETAIILSKENDGLRTKIKMLIDDNNKLIELYERAVADSQQNITKAEEAKNDDYVHLAQKELELNLKREKENLEHQLIEMHEENDKLMGLYEKAMQERDELKRIISSTEPQSRDGDIGNEEHAFGSQVCEGDEIKLDEDFALSCGRNLAEVAVQQEEHTNSQCSSPRTSEFLDLDEPSTSPMEEVRMVEDFKFVDEPKVEEDRFVNLREKLDKAHETLSKSSESVGLFRSLERGIIEIDRLSRDIQSLESMVEIKQKDYASYNLIISDLKEQETALERKLTALRFSMANFSSSLGYFEQREAQTRARSHASSLNLKLKKKEFAGLEATKDETERLHLKIKQSEAELKNNIATLKLKIEEENKKREKDTVLLAIDNVKVENTNWQIGGKATELLKSEEEKTKLQLQMNHDREKLETVRKESERLSGKLRDLDREIQAAEMEIQKCTKSMEEMETKLGTVVEEREIVLEMKETGKMEFENLLIEFVEFLFKRKLKEEEMMILREEMEMEMKREQELQGERRSSLQKMSGMLVEETNGMLSEKLAQDLETFCSSFMELRSVLEC >cds-PLY70098.1 pep primary_assembly:Lsat_Salinas_v7:4:204483931:204496338:-1 gene:gene-LSAT_4X114800 transcript:rna-gnl|WGS:NBSK|LSAT_4X114800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVALETEKKEEDVKGGELLFCGTTYWGTADRRHVPPISNLVSPTRLRPLVGVDIRFVASGCASSHCVALDIHGRCYTWGRNDKGQLGHGDKIIREKPTLVTGLSKFKAVKAGSGLGHTVVQTEDGLSFSFGWNKHGQLGTGSTKNEFELSPVRCLITDVKDVSCGADFTVWLSSIEGASILTAGHPQYGQLGHGTDNEYNMKESSVKLAYEAQPVPKAIASLSEETIIKVACGSNHTVALDSKGYVYTWGNGGYGRLGHREQKDEFTPRRVDVFTKHNTLPPTAVISAGSVSSACTAGAGQMYMWGKIKVTGDNWMYPKPLMDLSGWNIRCMDSGNMHYFVGADTSCISWGNAQSGELGYGPNQQKSSAIPKKVDDLEDMHVLSVACGSAHSLVVVDRSSVGKRLDKLDVYDGKDPSEVTEEPSSKTGTAKKPKKASAAKTSEKSKKKTKSEEPPESEVEEENGNESEDGSDEKANGNGGKKKQKGGKTSGKGRGGGRPSATTKGGATPQKSNKRKTKSEEPPESEVEEENGDEKGGRKKQKGPSAATKGGATPTPTPTPAKRGRGRPKKC >cds-PLY87190.1 pep primary_assembly:Lsat_Salinas_v7:8:291039214:291042291:1 gene:gene-LSAT_8X161261 transcript:rna-gnl|WGS:NBSK|LSAT_8X161261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKRHYEFKSLFRVVLLLLGVLCFFILGVLYKTTTTSSSSSSSPQQDKKHAKMVVQGDDHVHSKFDMNKRRVPNGPDPIHNRRAGNSGRPPGQA >cds-PLY76974.1 pep primary_assembly:Lsat_Salinas_v7:6:66631247:66631639:-1 gene:gene-LSAT_6X48260 transcript:rna-gnl|WGS:NBSK|LSAT_6X48260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKRDTSISDDSFVCSYTSLVARRNDGKNKGEAGGSRDGSWWKGAALVAPSSIVSSFSGNISKKWRQRETDRRNTTGKGCLAVAVRPEGRETRKRRVASLFLLLKDYPPPVTKLINCYLVPLVLPHQQNL >cds-PLY97319.1 pep primary_assembly:Lsat_Salinas_v7:3:137290039:137290670:1 gene:gene-LSAT_3X91360 transcript:rna-gnl|WGS:NBSK|LSAT_3X91360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVVKVTMNNEKKIRKALKIAVSLSGVESASFVGSDKTQIAVTGEDVDSVELTTLLRKGVGYTELLSVGPVEEKKPAAEKETNPTVASLNFTVNPYQYYYGSYGMPYYAY >cds-PLY99091.1 pep primary_assembly:Lsat_Salinas_v7:8:109087680:109091035:-1 gene:gene-LSAT_8X155141 transcript:rna-gnl|WGS:NBSK|LSAT_8X155141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEYGRPFIILREQESKSRLRGLDAQKANISAGTAVARILRTSLGPKGMDKMLQSPDGDITITNDGATILEQMDVDNQIGKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAERLLERGIHPIRVAEGYEIASKIAVDHLQQISHKFEFNPTNIEPLVQTCMTTLSSKIVNRCKRSLAEIAVKAVMAVADLERKDVNLDLIKIEGKVGGKLEDTELIYGILVDKDMSHPQMPKHIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLREQERKYFDDMVQQCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTAEKLGKAGLVREKSFGTTKDRMLYIEHCANSRAVTIFIRGGNKMMIEETKRSIHDALCVARNLIRNNSIVYGGGAPEISCSIAVEAAADKYPGVEQYAVRAFADALDSVPMALAENSGLQPIETLSAVKSQQIKEKNACCGIDCNDAGTNDMREQNVFETLIGKQQQLLLATQVVKMILKIDDVISPSEY >cds-PLY67157.1 pep primary_assembly:Lsat_Salinas_v7:8:205298079:205299023:1 gene:gene-LSAT_8X129700 transcript:rna-gnl|WGS:NBSK|LSAT_8X129700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFFFPEIGSCFSGSPAVETIAAAEVPSHRRRMRQRNSTKHWTPALTAIAEDGVVVREVRRQSGQSTAGFRSEKKQLIKSKLAGKSRSDSYGSDYRKLDHGMAIPAFSPTPFLF >cds-PLY74824.1 pep primary_assembly:Lsat_Salinas_v7:5:114026080:114031738:1 gene:gene-LSAT_5X50020 transcript:rna-gnl|WGS:NBSK|LSAT_5X50020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVIIKSQHLKIPFRDIEIATRNFTTIIGSGGYGDVYKGELLLSSKLTPVAVKRLKKIGHSGQGLKEFLTEIQLLSRYKHPNLVSLLGYCEEGNEKILIYEYAVYGSLDRYLSMANPIFPLPWKQRIKICIDAARGLDYLHNHVAENHRVIHRDIKSGNILLDHNFKAMISDLGLSKIGRANENESYLITNGSGTYGYCDPVYIDTGILTKESDIYSFGVVLFEVLCGRPGFINVSDERRFLAPLAQSYCEKGNLNDIIDHDLKNQIDSNSLNRFAGIAYLCLQNDRNQRPSMGLVIRKLEKALELQELAESSELAESSKPQVMEKINPNNNNDIICHCDDKHDEASGVPLIVAKAKSSTINVCGMGVQYETSSDCDVYKEEKIKNPTSPGGRGCLFPFLTRIRCGDFDFRFSF >cds-PLY66662.1 pep primary_assembly:Lsat_Salinas_v7:1:52097614:52098333:1 gene:gene-LSAT_1X45660 transcript:rna-gnl|WGS:NBSK|LSAT_1X45660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSSVAKWEGKVSTTVTKASADEIWSLFIDFFNFHNWFPNMSTCYGVHGVNGEVGGVRYCAGFSLPTEDGSAEQNCSWSKERLVAVDRNKMSMSYEMVDCNVGFKSYLSTLKVVGGGDEGCEIEWLFAVDPVEGLTYDYLLQKYQDGLDQTAKKMEDSFVQKKVVQ >cds-PLY97410.1 pep primary_assembly:Lsat_Salinas_v7:4:14719165:14720750:1 gene:gene-LSAT_4X9921 transcript:rna-gnl|WGS:NBSK|LSAT_4X9921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIASEKRVSLFGNNVDRIDASFSSYMNENPTVLKLTLPSNQKKKVEDGEIGIFGAEKYFKGAMDEQLPRTPHSINPPNHHPKSKIVKDDEEPTKPKTGSTTPSVRSESSWNSRTGLLVNNAKFTGGFTDDKTNTKKEDSFVFPVLNAFPPAKTSTPPEVEEGDITHVKTRRNSSEAFGSPVLEKGKKSFSLERKLTMLNWDGVTPRAENIGENNDAGSDASSDLFEIESFSTNGNNSFLARQVSNGRSSSATLPNGYAPSEASIAWSVVTASVTGFSIISDYEDTTRTNSKTHMERVIKGTGILSGCTNHKSVRVAGERMVVSGGDKAAVTGGTKGRLDSVGTIMKFQTESKPTRASHHMYIQQQ >cds-PLY77862.1 pep primary_assembly:Lsat_Salinas_v7:1:22680167:22681220:1 gene:gene-LSAT_1X19240 transcript:rna-gnl|WGS:NBSK|LSAT_1X19240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPTLDVGDRIMAEKVSYIFRKPEVSDIVIFKAPPILQEFGYSFGDVFIKRIVAKAGDWVEVRGGKLLVNGVAQDEEFILEPLKYEMKPMLVPEGCVFVMGDNRNNSYDSHDWGPLPVKNIVGRSVFRYWPPTKISDTIYQAKRGVAHS >cds-PLY62592.1 pep primary_assembly:Lsat_Salinas_v7:9:74912291:74912737:-1 gene:gene-LSAT_9X62381 transcript:rna-gnl|WGS:NBSK|LSAT_9X62381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKNVAVMKDALVRILTPRHSIDILRNVHVSKEQRKPYVVVFVGVNRVGKSINLAKV >cds-PLY69452.1 pep primary_assembly:Lsat_Salinas_v7:6:121859700:121861530:1 gene:gene-LSAT_6X73261 transcript:rna-gnl|WGS:NBSK|LSAT_6X73261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEADLQLLPQSSTTTATNHEEVRKPTPRQPKRFIKNQIPDSIINDPALNAAIALLPSNYSFEIHKSVWRIRSTGAKRVALQFPEGLLMYSLVISDIIAAFADVERCFVLGDVTYGACCVDDLSAAALDADLLIHYGHSCLVPVDNTVIPCLYVFVDIKIDVERLINTVKLNFDPSIATKQLILAGTIQFATAIRSAKLELENAGFKVLIPQSKPLSAGEVLGCTAPTIPMSQFKNESDVVLVFVADGRFHLEAFMIANPKIKAFRYDPYIGSLFLEEYDHKGMKECRMNAILKAREAKNWGIVLGTLGRQGNPRILDRLEKKMREKGFSWTIVLMSELSPARISLFGDSVDAWIQIACPRLSIDWGDAFVKPLLTSFEAEIALGDYPGWWERKAVVIDGSNSNCCEKNEACCGNNNGSDYPMDYYSQDGGEWNSCYQKKPTRPLRRNSVLK >cds-PLY93407.1 pep primary_assembly:Lsat_Salinas_v7:9:58765345:58770180:-1 gene:gene-LSAT_9X52061 transcript:rna-gnl|WGS:NBSK|LSAT_9X52061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIDLGSFSDEKFDAKKWINNACQSRHPQDPLDKHLVDLEMKLQMVSEEIAASLEEQSSAAILRVPRATRDVIRLRDDALSLRQSVGSILLKLKKAEGSSAESIATLAKVDTVKRRMEAAYETLQDAAGLTQLSSTVEDVFASKDLPRAAETLANMRHCLTAVGEVAEFANIRKQLEVLEDRLDSMVQPRLTDAITNRKDKVAQEMREILIRIGRYKSLESHYTKVHLKPIRQLWEDYEMKQQHTKTRTERNQVESISTSHDYQSPPTVSFPSWLPSFYDKLLLYLEEEWKWSMRAFPEDYKTLVPKLLIEAMVAVGASFVSRINLATGDVVPETRALAKGVLDILSGDMPKGVKIQTKHLDTLIDLHNMTGSFARNIQHLFGESDLNVLRDTLKAIYLPYESYKQRYGQMERVTLSSEIAGIDLRGAVTRGVGAQGIELSETVRRMEESVPQVIVLLEASVDRCISFTGGSEADELILALDDVMLQFISTLQDILKTLRIVCGVDVVVGVAPKETGIEKGRKFEMSSEDEWSYVQGALQILTVADCLSNRSSVFEASLRATLARFNTNLSSAVFGSSIDPNSSHETVDGGGDLSMAGRAALDMAALRLFDAPEKARKLFNLLEQSKDPRFHALPIASQRVSAFVDTVNELVYDVLISKVRKQLNGVSNLPIWSSVEEQTTFHLPSFSAYAQSYITTVGEYLLTLPQQLEPLAETISNNDANAEEAQFFATEWMFKVAEGAAGLFMEQLRGIQYITERGAQQLSVDIEYLSNVLSALSMPIPPILATFHTCLSTPRDQLKDVMKNDLESLDVPTANLVCKMRRVSLE >cds-PLY94451.1 pep primary_assembly:Lsat_Salinas_v7:3:213924837:213928063:1 gene:gene-LSAT_3X123600 transcript:rna-gnl|WGS:NBSK|LSAT_3X123600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYIEATAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINMCDPFSFVPDLTHYLYTNNMLWNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDNNNNPEHFLTTNLYHDSRVVGSTVRNVIPCLLLLLTAEDNVMRNLSIIGLPHKESVVACYDEVESLAKGILLNGAPGTGKTLLANAIAGEAGVPFFYGQVPSLRKCK >cds-PLY66357.1 pep primary_assembly:Lsat_Salinas_v7:5:288550502:288553521:1 gene:gene-LSAT_5X153501 transcript:rna-gnl|WGS:NBSK|LSAT_5X153501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSKGGMLPITRAFLSSYYDKHQFPPISDDVSRLSQQLHTCSDHLRKISLPLPEGERLLLKEVEMQPPHKIDENMWKNREQIEEIIFLLERSHWPKSLQDQSTPEDVELGHLLKKLKDKCEGILKVLESFQSKSSENVFNTVMTYMPQDFRGALIRQQRERSERNKKAQVDAIVTSGGSIRDKYALLWHQQMERKRQLAQLGSAAGVYKTVVKYLVGVPQVLLDFVKQINDDQGPMEEQRYRYGPPMYALTNLVLNIRLFISLSWLRFQDIKLEKHQISMLEEAINVYTSELERFLSFIGEVFANSPFFVTAEEAGAIEATKNDDYRETSVLAGTTFEVSLDVESVNSYIAWDFSIAQGRISMNIGFSIEYTNSSGQKTLILPYRRYESDQGNFCTIMGGKYTLIWDNSFSTFFKKALRYKVDCIAPVVESTDEMCN >cds-PLY84573.1 pep primary_assembly:Lsat_Salinas_v7:1:28988824:28991527:-1 gene:gene-LSAT_1X24541 transcript:rna-gnl|WGS:NBSK|LSAT_1X24541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLQYMKTLRSHMNDVEDQAAKVSAEEQTQITTIQTLKNEVDIAKSETKLLKEDSDLLMKGKEHICSQILERRNKIALLENDSSTLSQTLELIQQERCNLSTKLVEKRAFYGMTEEEINTNLKEQQVNTRIDHRDTKSSGNYDFTTLVTSGNLNDAYNNTMTKVDAAKAKFDKLTQMRSELASEHHKVKESLEKLKGRVENFKGISHMVKCGCGEEYKVEVDICL >cds-PLY94095.1 pep primary_assembly:Lsat_Salinas_v7:8:9934000:9934618:1 gene:gene-LSAT_8X8600 transcript:rna-gnl|WGS:NBSK|LSAT_8X8600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPAMSMVEVRVPNLDCHGCASKLKRALFKLKGVEEIEIEMEMQKVVVKGYGLEERKVLKAIKRTGKAAEPWPYPGYSSHFASFYKYPTQIANQYYYNRNEAAGHNLHTFFHTPSVYSVAVASDEAVASLFSDDNPHACTIM >cds-PLY97042.1 pep primary_assembly:Lsat_Salinas_v7:4:351328390:351332106:-1 gene:gene-LSAT_4X173500 transcript:rna-gnl|WGS:NBSK|LSAT_4X173500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLTVSVSFAATCFNGSQFRPLIPLLEAMPKELGASLHDMLNLITGFGFRSGFILVDLPLDVEVNLRDNDFRGECVTEDNLRDGNFRGQ >cds-PLY97089.1 pep primary_assembly:Lsat_Salinas_v7:4:74361997:74371013:-1 gene:gene-LSAT_4X49960 transcript:rna-gnl|WGS:NBSK|LSAT_4X49960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARPSSSFTLFFIILQLLWTCSLYANGCYTSIIGFGDSLFDTGNLKQLNPQLGFFYPPYGETFFHKPTGRASNGRLIIDFIAESLKLPFVPPFFDDNGSQKMELGQGVNYAVIGATALDSSILEAIGVHNKLTNVSSRVQLEWFKQSICANVSDCSHLIGDSLILMGETGMNEYINALEDGKSIDEVETYVPFVVEAIISAVNELIELGAKTILVPGGLPMGCFPMILKLDYGYDKTKYDNITGCLFQFNKIAEYHNELLMKELNKIRELYPEANLLYADIYNAAMQFYRSPKKYGFTNEVSKACYDFTWVLRYNDSVSWWDLSPTLCDNPDTYISWDGTHLTEAAYKIISKSLLQGPYTVPQFYSSCLLKEMDVLSSFM >cds-PLY99836.1 pep primary_assembly:Lsat_Salinas_v7:4:45133631:45140257:-1 gene:gene-LSAT_4X32061 transcript:rna-gnl|WGS:NBSK|LSAT_4X32061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFILQSRMLPFSHSKSIVKNLPGFSDELPFTLETGYVGVGKDEEVQLFYYFVESERNPEKDPLLLFLTGGPGTSGLLPFLFQLGPLKFRYANARRSKVNLDVNPYSWTKTANIIFIDQPAGAGFSYAKTWESSRSSDSLVITRVYDFIRRWLMDHPKFLSNPLYITGSSYMGIIIPNVVLKIYNGNEESIQPRLNIKGFLIVNPLTDKFINFNTRVEFAYRVGLIEDELYKPAKKNCGGKYVYVDPNNTLCLNSLQPVNECLSRINVNNILDPLCDAQAPKSICPESIYSYSNIWANTKEVRQALHIREGTVDKWQYRNTSIHALLGKNDTIVYSYNIFSSVASHKQLTTKNCYALIINGDHDMTFPYMGTKQWINSLNLKTETTWKPWFVSSQVAGYQKTYSKHKYSLKYATIKGAGHSVALYKPEESMVLIETWLASHSNSTK >cds-PLY78682.1 pep primary_assembly:Lsat_Salinas_v7:9:47390445:47395497:1 gene:gene-LSAT_9X44441 transcript:rna-gnl|WGS:NBSK|LSAT_9X44441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDQDDKDPNMETKSLTSSDSQREINVPYIHKIGVPPKQNVLKEFKSTLKETFFSDDPLRPFKHQTKSKKFVLGLQALFPILDWGRSYNIKKFRGDLISGLTIASLCIPQDIGYSKLANLSPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLMGTLLRQEFDPENQPNEYLRLVFTATFFAGITQATLGVLRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGFLGIPSKHFTKETDIISVMKSVFGSMHHSWNWQTIVIASSFLAFLLLTKYIGKKNKKLFWVPAIAPLISVILSTFFVYITHANKEGVAIVGHIDKRINPPSLDKIYFSGENLLKGFKIGIVAGMIALTEAVAIGRTFASMKDYQVDGNKEMVALGTMNIIGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSIVVLLTVKFLTPLFEYTPNAILSSIIISAVIGLIDYDAAILIWKIDKLDFVACMGAFLGVVFKSVEIGLLIAVIISFAKILLQVTRPRTAILGKIPMTSVYRNIGQYPEAIKVPGVLIVRVDSAIYFSNSNYTKERILRWLTEEEDNLKANYEPRIQFLIVEMSPVTDIDTSGIHAFEELHRSLQKRDIQLVLANPGQLVLDKLYAAGFPEVIGENKIFLTVADAVHTCAPKIAHDV >cds-PLY96459.1 pep primary_assembly:Lsat_Salinas_v7:5:108954732:108955640:-1 gene:gene-LSAT_5X47200 transcript:rna-gnl|WGS:NBSK|LSAT_5X47200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEELKDVGSVARVFFDTKFLVDETLDVSVAYDRTQTLFDSMLKLHIKSLPKQEKLNDVGLKKLLGNYLKTKNYQKSTAFNKIKGRVMKFTWIVEKEGLDCGVYLMRHMESYMGESEGRWDCGFTGKKQSDVLALNNLRIKYMAKLMKSEYNKYKSMLERDEEAYDRLDPLEKMAMMNEVKETREKRRRGRR >cds-PLY63844.1 pep primary_assembly:Lsat_Salinas_v7:7:102234911:102235168:-1 gene:gene-LSAT_7X67861 transcript:rna-gnl|WGS:NBSK|LSAT_7X67861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMDVANRLSAIAAEMGQLQNEIEERRRVLNLFFRSVRTLDPTWKEARICAARECIEDLEGRQQALRAEQQALMVQAVTHGHRGD >cds-PLY77825.1 pep primary_assembly:Lsat_Salinas_v7:8:13592046:13593327:-1 gene:gene-LSAT_8X9920 transcript:rna-gnl|WGS:NBSK|LSAT_8X9920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEINGNLIRFNGGEDLTCADILDAPGEVIGKSKYGTLYKANLATNNSIVCLRFLRPTCTEKVQDLMPMVQLMGSIQHPNLVPLCGFYSGRRGEKLLVHPFYEMGNLAQFIKDGKDECHKWTAICRISMGIARGLDYLHTGFQKPIIHGNLKSKNILLGQNHQPFVSDFGLHTFLKQDAAQEMLEEADIEGYKAPELMQMEETNEATDIYNFGVILLELLTGKEAVNKKGNPNQDSYLATSLRIAILDNRISDLYHPDILVDEDNGDGSLMNEERVLGLFTMAMDCCSPSPSLRPDIKQICKKLEEI >cds-PLY63482.1 pep primary_assembly:Lsat_Salinas_v7:9:168301597:168304262:-1 gene:gene-LSAT_9X104521 transcript:rna-gnl|WGS:NBSK|LSAT_9X104521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFSESTKIVYDRIQNLEPEKVSKIIGYLLLQDHGEEEMIRLAYGPDNLIHSLINKAKTHLNLPSNSTSPTPISNSMTDLPLKFSPFSPNPQSLTLYPSSFPEDYHFQNQLHFLQLDNQIDPSNCSIYHSAPTFCPRISQRSPEFPIKICHYFMKGCCRHGNNCRYSHSIPTSETFSIFTPEDDNVFSPGSLKKLEIELTELLKSRKGYPVSIAALPLLYYEKFGKTLQAEGYLTESQRHGKAGYSLTKLLARLKRISLIDRPHGQHAVILADEIAKYTDYRDRNEQGGIVAGSRQIYLTFPAESTFTEQDVSNYFKKFGPVHDVRIPCQQKRMFGFVTFVFGETVKEILNKGNPHFVCGARVLVKPYREKSRDDRKIGEKFRHNMYYGPHSFEAESELQLMHRICDNNSSSLKKQMIFEEQQEHELESERLRFSEMQLSEGPTNRLTCFGYSFEELQLSEGHKGHMNLSSMERFGHLLDVFNNGSVGDEKIRHINTKCNDQEK >cds-PLY70632.1 pep primary_assembly:Lsat_Salinas_v7:4:227138519:227140577:1 gene:gene-LSAT_4X123961 transcript:rna-gnl|WGS:NBSK|LSAT_4X123961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSKEAPSSPLQRPTYGNLITILSIDGGGVRGIIPSVILSFLESELQKLDGKNARLADYFDVISGTSTGGLVTAMLTAPNEDKRPIFAAKDITDFYLEHCPKIFPHESNPFGHAEKVIKALSGPKYDGVYLHELVQEILGETRLHETLTNVVIPTFDIKRLQPTIFSSYQLKRNPSLDAMLSDICIGTSAAPTYLPSHAFRTQDSEGNIIGEFNLIDGGVAANNPTLVAISEVTQEITGGSIDFFPIKPTEYGRFLVLSLGTGSPKYEEKYDANTSSNWGVFGWLSSSGSTPLIDVFTQSSSDMVDYHISTVFQALQSGESYLRIQDDTLSGDMALMDLTTRKNLEDLVQVGKELLKKPVSTVNLGTGIYEPFHCATNEQALVRFAKMLSDEKITRERKLPSINNGQKMKNGSFSKGKPVHLNAVSQSLPDLQQLKSG >cds-PLY81849.1 pep primary_assembly:Lsat_Salinas_v7:3:30421539:30422334:-1 gene:gene-LSAT_3X22960 transcript:rna-gnl|WGS:NBSK|LSAT_3X22960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFQRLWVDGRRKGVEASGGGAVAPIGMKETQTLTSASHFIYWMCLSISFFLILQFLLLVPLFFRCFCCDLIEARTILSCILELQSLSGVIDM >cds-PLY89149.1 pep primary_assembly:Lsat_Salinas_v7:3:19988578:19990561:1 gene:gene-LSAT_3X13041 transcript:rna-gnl|WGS:NBSK|LSAT_3X13041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSNGKWYPVLVMVAVHFVFATINVLLKKVIVDGLNHLVFITYRLSVATIFLAPVAFFFERKNRPKLTYSVVFFLFVNAILGASLTQYLFLLGLQYTSATFTCAFLNMVPVITFIISLPFGLERVNIKSNAGRAKVIGTVVCLGGGMLLTLYKGTPLFNHHSHLQHQVTTDSIDHTQSISKLSSLLTTRKARWASGSLALVAGTVLWSSWFLVQSNIGKKYPLQYSSTAIMMFFGAIQSFALSLSVDRDLSSWILKGKLEVFSILYAGIVGSGFCFVGMSWCVKKRGPVFSSAFSPLVQIIAAVFDIPFLHEPLHLGSLVGSAIVVAGLYILLWGKNKEMQMSGVEKGDPENEMVKVQDPDPESHPTAVTCGSKDPPTVEC >cds-PLY76849.1 pep primary_assembly:Lsat_Salinas_v7:3:3716702:3717382:1 gene:gene-LSAT_3X2000 transcript:rna-gnl|WGS:NBSK|LSAT_3X2000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVLVALFASPSSPSFSVNLFHNQTLNLTRANGNSPTNFAIHFDLKLKNENKAIGLHYPDQINITFSYFPNVSTLAILADYKLDSFYQGNGKTKRVRDMVETNGFPTVLEGTNMIVFRVDLVGSFRYKKVGTKRHKVELGCLVGVDSTTSNKMQKGFIGMVKPGLDSKLKRKPPPDPP >cds-PLY64933.1 pep primary_assembly:Lsat_Salinas_v7:8:133179299:133179631:-1 gene:gene-LSAT_8X92461 transcript:rna-gnl|WGS:NBSK|LSAT_8X92461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQRASTSGTKKPKGPKLPKKTYLPDSDSDEDAFGFSFLDFSEEIFKAPSTLCDDQFLNLLCDENILRRSIDGMVDDGDIPGVQQKEHAHLDGDNEDVGVEYRVHDPNVD >cds-PLY80440.1 pep primary_assembly:Lsat_Salinas_v7:3:178603147:178604510:1 gene:gene-LSAT_3X107381 transcript:rna-gnl|WGS:NBSK|LSAT_3X107381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREISGLPLVEGRIEGSIRHASPYQPTVGIVSPVRSLVAIPSSSPVGVQAGIGSVPTRKSWNLRVVLSSDVEIESDDTGLHPRKARRTVSVARLLGGIRSILSGQFFVLRQREVAVVPRSPEASPSPSAGSPLVIPGSDSLFGGSASSPGGVVARGNFQWVLEKGVVHVIDEVIESAEVANGVPGVREACEALGFEKGKRLGGCSISVGEPDDPDPGRVARRAKEVDAALSSSSETDFAGLFRLGKLDHDSFCQFCSRSNPRGSSSES >cds-PLY61786.1 pep primary_assembly:Lsat_Salinas_v7:8:76557545:76570405:1 gene:gene-LSAT_8X55161 transcript:rna-gnl|WGS:NBSK|LSAT_8X55161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKSMEKAKEEGADLVELCIDSISPFRLSEVELLLKQRTLPAIVSFRLNSQKNSYKGDSKNKCLQVLRLAIELDVEFVEIDFELAFDVIDELMQKRENSKIIVSSHVKSGVRCKEKLGNLLVCLQSTGADIIKVVTEVAYITDVAPVFHVLTHSHVPVIVRAVGDRGLISQLLGPKYGAFMVYGSLGDKSIPGLPPLLSIKNVYKLDNVDTDTKVFGVVSNPVGHSKGPLLHNPAFRYCNYNGIYVPLLVDNIKEFLRVFSCNDFAGFSIGLPHKESAVACCDEVDSLAKSIGAVNTIVRRPTDGKLIGYNTDCDACITAIEDALRERQVSNGDVANVSPIGGRLFVLVGAGGAGRALAFGAKSKGARVVIFNRNFERAESLARAVSGEALPIEQLDAYCPENGMILANCSAIGMEPDVHLTPVSKENLRSYDLVFDAVYTPRNTRLLQEAVEVGVTVVSGVEMFIRQALGQFRLFTNGLATEDFMRKSDTDDVAYEWLLDMDFMAQTAIPLSIVYPDVSSKGEIHQGTYNDIESDDDQLNPRKRKASLPRGANEAEVGISSVAGSSSTPLPSKKSKLTVDLEELAKNWKMTIEEVK >cds-PLY97883.1 pep primary_assembly:Lsat_Salinas_v7:4:90915757:90916400:1 gene:gene-LSAT_4X59601 transcript:rna-gnl|WGS:NBSK|LSAT_4X59601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLYGKQYAYAKMEMEDPEEIKSRKAQFLIYKSLEKADDSIRKSRRPSWLKVRMFRLKIKFGKKMKKLKKSILVVSRFGAARVGILQQWKRMFSVRQAVLKIPTIIQ >cds-PLY90374.1 pep primary_assembly:Lsat_Salinas_v7:7:187086195:187086619:1 gene:gene-LSAT_7X111681 transcript:rna-gnl|WGS:NBSK|LSAT_7X111681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFEDHLRLQSKLFSFSLVQGGSGSSLPSMVRVGNSTNQPMLHFLLHLQTQVIATTAAGVAAYGLPPEVSKAEVENAAQHSVALVDCNYGGNAC >cds-PLY86918.1 pep primary_assembly:Lsat_Salinas_v7:5:260526148:260526840:1 gene:gene-LSAT_5X130480 transcript:rna-gnl|WGS:NBSK|LSAT_5X130480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKPFFLFFLLIICLLSSTPESHRPPPFLGKSPRLLKTQNILVQPKYTYETRYFDQTLDHFSFNDLPKFQQHYLINSDHWVGAGPNRLGPIFLYCGNEGDIEWFAANTDFVWELAPRFGAMVIFPEKEAYKNASTLVYLTAEQALADYALLITNLKRNLSAEASPVILFGGSYGGSKIFSNNFNKN >cds-PLY80755.1 pep primary_assembly:Lsat_Salinas_v7:8:137875714:137878148:-1 gene:gene-LSAT_8X95360 transcript:rna-gnl|WGS:NBSK|LSAT_8X95360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVKKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKVDVDGGNSRVVSEKKARAWCASKGNIPYFETSAKEGFNVDAAFECIAKNALKNEPEEEIYLPDTIDVGSGQQQRSSSGCEC >cds-PLY83888.1 pep primary_assembly:Lsat_Salinas_v7:3:49846982:49847961:1 gene:gene-LSAT_3X38541 transcript:rna-gnl|WGS:NBSK|LSAT_3X38541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAITGTITREVEIKCHRHQVFELFKHRPHDTSVIDPETIEACHLISGQWGVPGAVVLWHYYHVGKKETAKEIIEEVDDELHKIVFKVIEGDILEVYNSLSFILTTKEVGDKKFVILSIEFEKANASIPDPTSYLDLVCGLVANVGAHFLKYP >cds-PLY72885.1 pep primary_assembly:Lsat_Salinas_v7:4:273473803:273479488:1 gene:gene-LSAT_4X140660 transcript:rna-gnl|WGS:NBSK|LSAT_4X140660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transglutaminase [Source:Projected from Arabidopsis thaliana (AT5G49570) UniProtKB/TrEMBL;Acc:A5PHD1] MVARKFLIHHQDSNFDVDYDTEDGFEVFKFQLFSLTAVPPDEQKILGGDDDRVISDDSDLIAVSDKLRLVSIKDENPQLGSEIVKSDEELARVLQAEEEALMFQQFGVSQDSRQFEQRIRPYVDQVLLYEDTRRQEAARKTVPVEKLEEKALVALAKEGNFKPSKSELDHAFLLQLLFWFKQTFKWVNSPPCENCGNETINQGMGAPNSSETAYGASRVELYRCRSCSRITRFPRYNDPIKLLETKKGRCGEWANCFSLYCRSFGYETRLILDFTDHVWTECYSMVLGRWMHLDPCEGIYDTPLLYEKGWNKKLNYTIAISRDGVYDVTKRYTRKWHEVLSRRNLITESALPYVLKNITRECRKNMKISISTLDERDQNEAKELEKNLHSQENDTISLPGRLSGDKEWRILRSELGSTSLSSSSCPIRKITDDHVSKIYDAFSPFISRLVELSSKHKAIEGVHFVRGVLIDLKKSPFKRRRVVIDSNEFFIRELLPSFEMMLDALSLKSNVEENGRKVEICLRDDPVRTSIALPVVFHAIDDVVFNIKKCDEFIKSSVSWPLVKVNRICCGSVLASGEELPFGIATSAFDGTRVSKWEEPNGAKGCWLIYKAKDAEVYELCSYELMSANDAPERDPRDWVIEGSDDGGASWRILDEQTAQMFDNRFQRKAYKVKLQGFFANVFRLRFLAVRDGRTNSRFQIGSIDLFASGNVK >cds-PLY75318.1 pep primary_assembly:Lsat_Salinas_v7:5:52849537:52852434:-1 gene:gene-LSAT_5X25840 transcript:rna-gnl|WGS:NBSK|LSAT_5X25840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated (FHA) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G54350) UniProtKB/TrEMBL;Acc:F4JCV6] MGALPADFAWTSEDDFKLKTAAQSGVPLESIATGAVKFSKQFTIQELHDRWHALLYDPVISAEASARMLEFEFFALSKLSIFNDLDNLEENISVPRKRKPEGVRKRYYAMRKRTRIHPLNPLDPNFPDATFNTICIENEEQPPPTYFVAQNNEADTDNHADMDLDVNNQQTVNQCFIEEDNYIEESDEFKEIYDLLEDEGPEFPSINPQTLNLPHPNSSEFHFKEQSMATTSNFHVKIENEMCGDINANNYLMEISNTLFDLGEDDLPPLDADGNVIDKSYIDGLSSLLLDSPKRDTNCDSIVEESKSLEGILGIMLPSTTSVVNCKSHTSVIICTLNTEDPDIPSNDDVFLLPFSSPYAMQTDMPHSSPHQNSNSFRDSGPNWKNNFRVPKPIPQKDTKYQKSQFDTPIIGSQLRTEILDHRIKQDLVNNDGQSQTLVHPIAIKSEQELHHGSDIFTNCVKLNPIQEEETLHEIESKEVDNPIVLQLPIDDDMSSVSDDEDIPSFSDVEAMILDEKLCEDEKSLYLNGSWSYRNLQTLKYKNPNFQRKFIRLEQAANGYMKRDMSSSGAFALLQGWQFIYHVKKPEILLGRATEDVIVDVDLGREGSDCRISRRQAIIQLDREGFFHIKNLGKYSIFVNSDELSTNQSTSLTSSCLIEIRGMPFLFETNEESIKSYVESMKNKSDVED >cds-PLY72876.1 pep primary_assembly:Lsat_Salinas_v7:5:168463810:168465789:-1 gene:gene-LSAT_5X75300 transcript:rna-gnl|WGS:NBSK|LSAT_5X75300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGFDMESLVDATAGAIGSLASTTILYPLDTCKTKYQAELRTPNLRKYRNLSDVLWEAIRKRQVLSLYQGLGTKNLQSFISSFIYFYGYSFFRKLHMERSGFKSVGTKANLIIAAVAGACTVAITMPLDTAASRMQTSDFGKSKGLIKTLSEGTLGEAFDGLGISILLTLNPAIQYTAFDQLKERLLEGKLGNPQSLSALSAFLLGAASKCVATCLTYPAIRCKVMIQAAESSEDREEESEMESRKTVSGALHAIWNKEGFLGFFKGLRAQILKTVLSSALLLMIKEKITKSTWVLFLAIKRFLVLSMSRLKSS >cds-PLY98885.1 pep primary_assembly:Lsat_Salinas_v7:5:20677432:20684349:-1 gene:gene-LSAT_5X10340 transcript:rna-gnl|WGS:NBSK|LSAT_5X10340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTAAREHVNEIRRTKFSIGGDPNPLTEDLHQAVKNLSAELYAKDVHFLMELIQNAEDNEYPEGVDPSLEFVITSKDITNTGAPATLLVFNNEKGFSDKNIESICSVGRSTKKGLRKRGYIGEKGIGFKSVFLITAQPYIFSNGYQIRFNEKPCEHCNVGYIVPEWVEEGPTISAIQSVYGSSKTLPTTTLVLPLKPEKVKPVKDQLSSVHPEVLLFLAKIRRLSVREDNEDPRLNTVTAISISSEKNFFTSKSLDAVSYTVHLTADVEDTECGYHMWKQRFPVKPENKVDARMEVEEWTITLAFPNGTRLKRGSSLPGIYSFLPTETVTNFPFIMQADFLLASSRENILWDNKWNKGILDCVPLAFLNAFTSLVKSTENAPVSSLPFMFRFLPVYESSHPKLNLVRDAIKAKLMNETIVPCESYTTQKMFRKPNEVGRLKPEFWSILKEARSQSVNFSNISSHGAYLLASSFDTSEYNVILDFLEIKPVSDEWYAKCIGSSDLVKGVTEDVYIQLLLFVAENWGSCFYKTNMKNTPLIKYIGKDGKDDVYSLASGINKLLAADSDYISWLLNCNAEFRCSIDKFFLPKITQEAIRSCPRKATLVKWLREQAKVKFVSVCEYAELVSHSLGYDRKLAVTYAHFLYNSLVKEYLWQHEVQNLCSGMPIVDNYGNITKTRSGVLVPAKGSRWVELIGSNPWRQHNYVELGEDYTRGACYFGMVTSGQELVSFLQKYVGASDVPYLSPPNAAIPTLSSPLTKRNTFLLLEWLRNLRASGVGLPVRFLSSIKNGSWLKITLSGSPGYRSPSESFMLKSSIGNLLQNGSVLVDIPLVDEKFYGEEIKNFKDELERIGVRFQDTDACEFIGERLMRLAASSQLTRDNVFSILKFIQYLGENYISTRALIESIRKERWLRTSRGDMTPINCVLFSQEWNAASQISDIPFLDRDYYGSEILNYKKELDLLGVKVKFNDSYQLVLDYLKPSYSLASLSSETLSLILNCIQNLRSSDKLVEAFKNNRCLKTNLGYRCPSDCFLLNPESEWGCLLEVFGSFPILDQQFYGRSICSNANTLKKFGVMVDFEDACKEFTRIFKQQASVSYINKENALSFLNCYGKLKKQQVKFPTDLKDCIRKEKWLRTRLGDYRPPKECILFGAEWEPISTISLLPFIDDNFYGNEIHNYHTDLKGLGVITDFKDGAKFVANGLFLPQDCSSLTPANVYALLDSVKRLKEIGTDLPDKFLDKVSSRNWLKTHFGYRQPDECLLFDSFHDSFLKCNDGPFIDEGFYGSRIVSYKHELKALGVPNDINKECQLLGSYLECHSNFETISRIYIFLSTYKWEPVDEDSKRIWIPRGADHGEWAVPQDCVLHDKNNLFGEQLKVLEKFEYDSKILDFFSNTLNVKVHPSIDDYCKLWKAWESSGSQIVTHKECCAFWEFVVRNWNPRTEDTFKNNLSKLPVLDPNSNGRIFLYDKRDVFIGDDLFLTDLFTKTFSRPIFVWFPQPSQKTLTRAKLVDIYTKLGVRILSESAQKNISDIDHAGFEPVNLKEKINKKGLFKLILAFLADPNLKIEPDKRHEAVSRVLAIEAFETPEKMSVRYSLTFSCGEVVDVEPRRMIRWDKQLSKLYMQKMERSSGHKNVIEYASHFAEEIAEGVLWDNEELVPDLCELIRLGFLLEFDEEAVEFLMKIKNLQIFLEDQDYLTSTFSS >cds-PLY61872.1 pep primary_assembly:Lsat_Salinas_v7:6:57371543:57373065:-1 gene:gene-LSAT_6X42160 transcript:rna-gnl|WGS:NBSK|LSAT_6X42160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKLKQLTSSRSAVALSSRLLFTQEDKMFLPANIPTRFTSNRFLDFYQLGNKDAIEKERARLKDEMNRGYFADMAELKQHGGKIAMANKIIIPAMEALKFPQLEVNYSNGKRFKLPITNFGTINESMKTDTPKATLMCLSFRATSQAMTDSWSFPFVEAFRDSEKVQLYEISFIESWFLSLAPIKTLLLRMLKKPKRHESDGLLKREIAYAFGDHYYFRKELKILNLLTGYIFLVDKFGRIRWQGFGLATEDELSSLLSCTSLLLKEE >cds-PLY83285.1 pep primary_assembly:Lsat_Salinas_v7:8:192422942:192425894:-1 gene:gene-LSAT_8X123740 transcript:rna-gnl|WGS:NBSK|LSAT_8X123740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYEKDEESESESEMGREISNLLRPRFLIIFLIGSVLVFLAFSSFSEQEEETVEEVHEITHRVFLDVDIDKQRLGRIVIGLYGEVVPKTVENFRALCTGELGKGNNGKTLHYKGIPFHRIIPGFMIQGGDIVSGDGRGNQSIYGGTFRDENFKIKHSHPGMVAMVNSGPDSNGSQFFITTVKAYWLDGEHVVFGKVIEGMDNVYAIEGGAGTYSGKPRKKVVISDSGEIPKSEWNRETGITTNES >cds-PLY93877.1 pep primary_assembly:Lsat_Salinas_v7:4:270259656:270261923:1 gene:gene-LSAT_4X138841 transcript:rna-gnl|WGS:NBSK|LSAT_4X138841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering locus K homology domain [Source:Projected from Arabidopsis thaliana (AT3G04610) UniProtKB/Swiss-Prot;Acc:Q9SR13] MAEVDKSTSANGIQENPHLEETQEHVDAQVTKGGENRWPGWPGESVFRILVPAQKVGSIIGRKGEFIKKMCEETGARIKILDAPPGKTTERAVMISGKEEPDASLPPAVDGLLRVHKRTVDGLDSESFPVPVPPGFIKVSTRLLVPAVQAGSLIGKQGATVKSIQEASSCIVRVLEDLPSFALQDDRIVEVVGKPGGIHKAVELIASHLRKFLVDRSVISLFEVQMQAPKPQMEQQIPPPESWGPPPHFMAPPRQIDTYYSPPEIQPHQGISAYGREAHVAMQATTTAPSVITQVTQQMQIPLSYADAVIGTAGSNISYIRRASSATISVQETKGVPGEMTVEVNGTASQVQTAQQLIQNFMADAAATTAAPPPQPPPQIQTSTSEQGYNPYAAHATMYASPPTTSYGGQTGGYGSIYGANYGY >cds-PLY72966.1 pep primary_assembly:Lsat_Salinas_v7:8:135555075:135557008:-1 gene:gene-LSAT_8X94221 transcript:rna-gnl|WGS:NBSK|LSAT_8X94221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVFRDSGHELEETNMNWNWTSRDIGKSIVRHLRRRQRVLGEDLDGLDMNDLTILEQQM >cds-PLY87076.1 pep primary_assembly:Lsat_Salinas_v7:5:261631728:261633593:-1 gene:gene-LSAT_5X134320 transcript:rna-gnl|WGS:NBSK|LSAT_5X134320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDFGKDHTSSTSIEPNSLFQGNDVKKLSAEMEFKLKMAHQKRLAIKHFMTTTTSSASKNHVPKKEKMTERNKIQTLDVERQRCLPRSFSFDSKDSRMGVDPHDDMKTPLKPYDPIKNYLSPRPRYLKFNPDRHREISARQKNVSRVRRSASYDDTGMRFPTEKLESSVSSEEDSVEEETGIIAPEHEHEEEKEKEGVFDEFEEGSGWNSKVFAQYLIVIIVLILTTVAIICMNSPNLSPAREAIRGFMNLDYCSVFRRTVGFDFSNVGKLGKREVDADVDVDVNHDDIWKKDVGDDDNMHSNQLEAYMIEEMEEIQQNCMIDEYHDEKIWSNNDTGQFQDSNEKKEDISYEVTSEEIYSDFDRGETSEELEALEMMVEEDVRKEDGNSNNNGVDENILIKLSRFDLYFAAFIGVCVLILLATLSIIYYSKKSEISSFVKYKTTHVGSLIDSDAVSSSEAKSSYMEFSTDSPSYGSFTVEKKIVKKKKSKKPEVMLSPVRRSSRIHNRSITSP >cds-PLY68194.1 pep primary_assembly:Lsat_Salinas_v7:8:118860245:118862268:1 gene:gene-LSAT_8X82540 transcript:rna-gnl|WGS:NBSK|LSAT_8X82540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission 1 protein A [Source:Projected from Arabidopsis thaliana (AT3G57090) UniProtKB/Swiss-Prot;Acc:Q9M1J1] MNNFFESVSAFFSGGDQLPWCSRDIIAGCEKEVAEAPNDASEDTKSEGIMRLSWALVHSRQPDDVQRGIAMLEASIGNTNSPLQRREKLYLLAVGYYRSGDFSRSRQLLEQCLEIAPDWRQALSLKKAIEDRITKDGVIGIGITATAVGLIAGGIAAALVRRN >cds-PLY77980.1 pep primary_assembly:Lsat_Salinas_v7:1:22666635:22669289:-1 gene:gene-LSAT_1X19221 transcript:rna-gnl|WGS:NBSK|LSAT_1X19221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEERPETELISIPATPRDSTPEILTPSGQRSPRPHSKEGGKSSTAWTPTSFISPRFLSPIGTPMKKVLVNMKGYLEEVGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGVGFQALLLPVAFSFLGWSWGIIALTIAYIWQLYTLWILVQLHEAVPGKRYNRYVELAEAAFGNRLGAWLSLFPTAYLSAGTATALIIVGGETMKLFFEIVCGPLCSSNPLTTVEWYLVFTSLCIVLSQLPNLNSIAGLSLVGAVTAIIYSTMVWVLSVSQPRPPNISYEPLALPTFTSSIFSVFNALGIIAFAFRGHNLVLEIQSTMPSTFKHPAHVPMWKGAKVAYFFIAMCLFPLAIGGFWAYGNLMPSGGILNALFGFHEHDISRSLLATTFLLVVFSCLSSFQIYSMPVFDNFEASYTHRTNRPCSIWVRSGFRVVYGFINFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKKPTKYSFNWYFNWSLGWLGVAFSVAFTIGGIWSIVDNGLKLKFFKPS >cds-PLY83811.1 pep primary_assembly:Lsat_Salinas_v7:3:51068112:51068579:-1 gene:gene-LSAT_3X40920 transcript:rna-gnl|WGS:NBSK|LSAT_3X40920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRILEKLLTAVFLYLFIFTAPSTAHIVSLNLQSNNRQMIPLSDFEFTNAGYVSFVFTSIAVISTSSPTNASHIGFFLQSHDYDFYLHSLETRNQDIFEFQQNTTICPLDFKSNSSVLFTFQNLSHGPQFSFNKSFHVTYSGINSLFSSTATTNPS >cds-PLY69141.1 pep primary_assembly:Lsat_Salinas_v7:5:287167781:287169502:-1 gene:gene-LSAT_5X152141 transcript:rna-gnl|WGS:NBSK|LSAT_5X152141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSSTEEDEPDDRPQFAGGKVTLVTTKDVWDRKLSEAKTQGKIVVANFSASWCGPCKSVAPLYIELSEKHPSLMFLTVDDFSTQWDIKATPTFFFLREGKQFDKLVGANKEELQTKISSMVVSEAPGGQK >cds-PLY74757.1 pep primary_assembly:Lsat_Salinas_v7:6:121531906:121535235:-1 gene:gene-LSAT_6X73941 transcript:rna-gnl|WGS:NBSK|LSAT_6X73941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKISAESCPASDLLVNNSDNGQTKGIESKCDTRVNSKLGNHDCLNPINDILDTMKDTLEKSAHHEELCKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNGLLEKKACNIFGSCSQLYLEQGPKVIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGSLETHRSWEPSDKADLHFVYKDVEGVSTQWDDNQRKLGNPNPLPSNPVPSLLQKRKIPNLKPNLGSITRQKNSKI >cds-PLY93562.1 pep primary_assembly:Lsat_Salinas_v7:2:173576970:173577469:-1 gene:gene-LSAT_2X95660 transcript:rna-gnl|WGS:NBSK|LSAT_2X95660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNEQEMVEEPPFRPREKLIEKQKMYQSIQKHTYLKGPMDKITSVAIPLALAGSSLYLIGRGIYNMSHGIGRKD >cds-PLY97241.1 pep primary_assembly:Lsat_Salinas_v7:1:43788679:43789263:1 gene:gene-LSAT_1X37961 transcript:rna-gnl|WGS:NBSK|LSAT_1X37961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNIWNSHPKLYGPGSRTCRVCGNSHGLIRKYGLMCCRQCFHSNAKEIGFIKVSFESVTGFNI >cds-PLY96148.1 pep primary_assembly:Lsat_Salinas_v7:3:101813244:101815667:-1 gene:gene-LSAT_3X75340 transcript:rna-gnl|WGS:NBSK|LSAT_3X75340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKKIENNTNRQVTYSKRRNGIFKKAHELTVLCDAKVSLIMFSNTGKFHEYISPSTTTKKMYDLYQTTLGFDLWSSHYERMKETMKKLKDTNTNLRREIRQRVLGEDLDGLDMNDLTILEQQMQDSLTIVRERKYHVIKTQTDTCRKRVKNLEQRNGNLRLHYDTIHQLDKKYGMVENEGCYESAVAYSDGVSNLYSFCAHPNNNISISNGSGYDPHDHRLP >cds-PLY90567.1 pep primary_assembly:Lsat_Salinas_v7:6:51586163:51587514:1 gene:gene-LSAT_6X37560 transcript:rna-gnl|WGS:NBSK|LSAT_6X37560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPITSPEDITPVSTSVVEPYNAVLSTHSLIEHTDIVVQLDNEAIYGICKRALDMEKPTYRNLNRLISQTISSLTTSLRFPGSMNVDISEFQTNLVPFPRIHFMLSSYAPVVSSMKAYHELISVPEITNAVFDPSNMMAKCDPRRGKYMACCLMYSGDIAPKDVNTAVGAIKTKKTIRFVDWCPTGFKCGINNQAPSVVPDGDLAKVKRAVSMISNNTAVSEVFSMINHKFDVMFAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVLQDGMEEDEDQGY >cds-PLY82127.1 pep primary_assembly:Lsat_Salinas_v7:1:13770159:13771561:1 gene:gene-LSAT_1X11461 transcript:rna-gnl|WGS:NBSK|LSAT_1X11461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYLVDKLFCDESLGQEEITRLALWGNYSIPKDNPYMEDNELLPEIWALGLRNPWRCSFDSERSSYFMCGDIGQNYFEEVDLITKHGNYGWRAYEGPGVFAPLQSPGGNTSANSINPIFPVMGYSHSDINKNEGSASITGGYFYRSMTDPCMYGSYLYGDLYATAMWAGIETPSDSGNFTSTKIPFTCASDSPLPCSIVPGSSVPALGYIFSFGQDNNKDVYLLTSSGVYRIVAPSRCNYECAIEKTTTGGPNQSPSSSPSMANMLKGSYTNLGSLLVTIFGLVYLVFIY >cds-PLY82667.1 pep primary_assembly:Lsat_Salinas_v7:MU041508.1:56168:56830:1 gene:gene-LSAT_0X34361 transcript:rna-gnl|WGS:NBSK|LSAT_0X34361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEILAKWGCVVHLYDNLGEDVYKSQVCILTSCLGIISEVVKVSIDGIIFPVRIKEASGWTPSFYCDFMKSVDGEDEGHGQFDEKGSNGSLNENDEVSKDPFGIYDTLEKLEKAEMKNKKSNFLSPRKSNDRKDAKSVSEQCNQFDGPISPAMSTVPTSVPMHPTFFDRQLQLQNMLPMQIRQHVAPFLMLRRRRANLILIRLKKLLRVNSVRLKLPFLIL >cds-PLY79273.1 pep primary_assembly:Lsat_Salinas_v7:9:5577771:5578145:-1 gene:gene-LSAT_9X1000 transcript:rna-gnl|WGS:NBSK|LSAT_9X1000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVTLQRQKKCVGTPRVAAHGLDVVFNHLGIRHGVSSVLPHQLRQLGLRWRFKGKAAGGSRILRFTASLAAWSNTALDYSGAPPAICDLDSGVADDNRWRSDPGMQRRLGELSYRGGGYRSVLD >cds-PLY73894.1 pep primary_assembly:Lsat_Salinas_v7:3:38832476:38835740:-1 gene:gene-LSAT_3X30300 transcript:rna-gnl|WGS:NBSK|LSAT_3X30300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHSSSIGGRATPSPRKRDDVTSPLSSDNHPLHDDDDGGRDRYPRDRFRSLFSNHFQLLDESARFYSNNFKILLLLISVIVFAGIFSVYSVISRLNAPYLCKKDGITLHCPRVKEPPSLWENPLSTTTSWKPCAERCIGAISDLPEANETNGYIFIHAEGGLNQQRIAICNAVAVAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFIDYLKDDVQIVRDIPSWFTDKSELFTSIRRTVKNIPKYAPAQFYIDNVLPRIKEKKIMALKPFVDRLGYDNVPQEINRLRCRVNYHALKFLPEIDDMAEQLVARMRNRTGSPNPFMALHLRFEKGMVGLSFCDFVGTRAEKALMGLYRLKEWPRRFKDGSHLWPLALQKRKEGRCPLEPGEVAVMLRAMGYPKETQIYVASGQVYGGQNRMAPLRNMFPNLVTKEELTTKDELDGFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGARRYMGHRQKSIKPDKGLLSKSLGDPYMGWATFVEDVVMTHQTRTGLPEATFPNYDIWENPLTPCMCKA >cds-PLY89795.1 pep primary_assembly:Lsat_Salinas_v7:1:7531836:7535729:-1 gene:gene-LSAT_1X6021 transcript:rna-gnl|WGS:NBSK|LSAT_1X6021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEARSLKKAVVPSRLIEYPSPANLQSNRLSLHVSDDGASCWIYVASGCHIYRILVSLGDSLVDKGKDSLLIPEQTQVVESAMVNRCPHRSEIQTIVLNETESSDCLILGSVDSYGHLIVSRLGTDGKDVERLTFSVSPQDFGVGEGGWAGLCFSPSQWSTTAVAHSFGKTIDVFDQDLHLRTFRTLWYPTAVDFLQTGNETSVLAVTEGCQLSIWDLRVNEKGGCVQRICGSVGDILYTVCNSSTGNIAVGGSDRTMTVYDPRRWAAVARWVNCSKYEITGISFSSVDPNYVYIQGVDYEVFCGEWKENKKAFSFRGDSNWLGFSKCRSKDVLGGWCDSGSIFIADVVEGKES >cds-PLY88828.1 pep primary_assembly:Lsat_Salinas_v7:8:307953550:307954774:-1 gene:gene-LSAT_8X167581 transcript:rna-gnl|WGS:NBSK|LSAT_8X167581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLPQFVPFQLDVQVRQFVHFKNRSLELLSLGSGNFINEDGFRSAVYMIDIGQNDLLVALYAANLSYAPVAAKIPSFIAEIKLAVQTLYQYGGRKFWIHNTGPLGCAPKELALHAHNATDLDKIGCFRVHNDLAKLFNKGLHKMCKELRSLLKDAVIVYVDVYTIKYNLFAEPSKYGFVEPFKACCGYGGPPNNYNVKATCGQPGYSICNNVTSAIVWDGVHYTEAANSVVAATILSRSSLKLEQLT >cds-PLY84646.1 pep primary_assembly:Lsat_Salinas_v7:9:102230164:102232637:1 gene:gene-LSAT_9X74261 transcript:rna-gnl|WGS:NBSK|LSAT_9X74261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPNESSNSNPTQQPVNESSDSNPTQPPVKPDDSHSGDNAPNPKQDDLPPSNLPSSDNFASVAIVPEKQPEVPPAPEQPSQTAASTPSQGPSAISGGSAITAPEASNPYVTAAPVRESSTKNSMDSVRVLFRRWAKKAADATKKGQEYAGDMWQHLKTGPSVTDAAVGRIAQGTKVLAEGGYEKIFRTTFQTIPEERLLKSYACYLSTSAGPVIGVLYLSTAKFAFCSDNPLPYKVGEEKKWSYYKVVIPLLQLKTVRPSRSKTNAAEKYIQVISVDNHEFWFMGFVNYDSAVKHLEGALQPHEYPLAIGSSN >cds-PLY68294.1 pep primary_assembly:Lsat_Salinas_v7:1:27503611:27504622:1 gene:gene-LSAT_1X23621 transcript:rna-gnl|WGS:NBSK|LSAT_1X23621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRMISGKRYFGDDTPEVEEEGKKIREILEESFLLSDAANVGDYLPILSCLGGKGLEKKLLALKEKRDVFFQGLIEQLRKSRDKKKKTMIEVLLSRQESDPNYYTDEMIRGLFMVLLSGGTDTSARTMEWAMSLLLNNQQILQKA >cds-PLY66380.1 pep primary_assembly:Lsat_Salinas_v7:4:118963820:118965311:1 gene:gene-LSAT_4X74840 transcript:rna-gnl|WGS:NBSK|LSAT_4X74840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHYFTKNCNFEYKFTIFCLTSVHFVLAGNIISGILFLSPIPTFLRIIKAKSVQAYKPDPYVATLLNCSVWMFYGLPIVHPDSLLIITINGAGFIIEAIFITIFFTYSTWGGRKKLLMVLIFEVVFVAGVVVVTLMCFHTYESRSMVVGLICIVFNILMYASPLTVMRMVIKTKSVKYMPFPLALASFANSIVWCGYALLKFDPYILVPNALGSISSIIQLVLYATYYSTTNWDDDDEIQMSGSSKA >cds-PLY89138.1 pep primary_assembly:Lsat_Salinas_v7:3:20502201:20504866:-1 gene:gene-LSAT_3X15201 transcript:rna-gnl|WGS:NBSK|LSAT_3X15201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKEHGGSPKYNQLEARKNNLTWILALSALCIFFYVLGAWQSSISPTTHTEFLNRVGCENASKEAPDSSSSSSSPSSSSAFLDFESHHQLVMEDTQEIQKFPACSMSFSEYTPCQDRDRGRKFDRDMLKYRERHCPSKDELLHCLIPAPPKYKLPFKWPQSRDYAWFNNIPHKELSIEKDLQNWIKVEGDRFKFPGGGTMFPRGADAYIDDISELIPLTNGTIRTAIDTGCGVASWGAYLLKRDIIAMSFAPRDTHEAQVWFALERGVPAVLGIMGSQRLPYPARAFDMAHCSRCLIPWSKYDGLYLIEVDRILRPGGYWILSGPPIRWKQYWRGWERSKEDLKDEQDGIEDVAKRLCWKKVIEKDDLAVWQKPINHIDCIKSRKSHHKPHMCKSGNPDEAWYKQLQGCITPMPEVTSWDEVSGGALEKWPERASVVPPRISNNWVTGITAEKFQEDNKLWEERVSHYKSIVGALSQGRYRNIMDMNAYIGGFAAAMMKYPVWVMNVVPVNSQPDTLGVVYERGFIGVYHDWCEAFSTYPRTYDFIHAGGVFSIYQDRCDITDILLEMDRILRPEGTVVFRDEADVIEKIEKIAQRMRWNTKNVDQESGQFAMEKILVAFKSYWTGEDKDEQHA >cds-PLY91851.1 pep primary_assembly:Lsat_Salinas_v7:8:203268941:203270467:1 gene:gene-LSAT_8X129480 transcript:rna-gnl|WGS:NBSK|LSAT_8X129480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKVATMRGTLMGFVAIAMLLELAMAVDHTVGAPSGGWDVSTDMQTWATSQTFVVGDNLVFQYGPSHDVLEVSKADYDSCSSSSPISTAITSPTTIPLTTTVSRYFICGRSNHCSQGMKVEVTTVAGAPTPPQTTPPSTPTTPSNAPPSDSTPPPQTINPPAPSSAITVKMTVGSLLGFGFFVMMVLSL >cds-PLY71304.1 pep primary_assembly:Lsat_Salinas_v7:8:102867275:102867743:1 gene:gene-LSAT_8X71681 transcript:rna-gnl|WGS:NBSK|LSAT_8X71681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASPSRSINLLHVENLRDDEPYPPCDCQDAISVERTAWTDDNVARSQLKVQIFMWKDKEMEEGYYKEQLRKMKFELKRKEEFSEVSKVQKKLVKLQQAIEADKQVFETQ >cds-PLY76961.1 pep primary_assembly:Lsat_Salinas_v7:6:63120350:63122520:-1 gene:gene-LSAT_6X46760 transcript:rna-gnl|WGS:NBSK|LSAT_6X46760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSSDGFSSSPSSLSAFIQDPITNPNPNSTTSAKRKRNLPGTPDPDAEVVALSPTSLMATNRFLCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNKLEVVKKKVYICPEKTCVHHDPARALGDLTGVKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRKDSFITHRAFCDALTEENSRMASFPMMSSTANLNFRNELMMLNGGGGGGGVGMRFPGMYGGGMLESNLDANGAKPRLPIWLDQHGNEPHLENPSTSSFLGSSSSNNNNGGMLPSEMVQWLNGSQEAVVAAYSGLQLKEEGENKGEMQLNALYNYDTSSTPPPPTTAHMSATALLQKAAQMGSTRSSNPGDSNGFGLMSTSLSNFNSMKHDNNDELMMMMTTTGTKQSKGNVTNESHDGDLTRDFLGVGRNERRSFNLHQELFKFTSSIDDSSI >cds-PLY99806.1 pep primary_assembly:Lsat_Salinas_v7:MU037944.1:195400:202516:-1 gene:gene-LSAT_0X20981 transcript:rna-gnl|WGS:NBSK|LSAT_0X20981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVPHSEFAATEGPQPMEVAPAEAANTVDAPAVDDPPSARFTWTIENFSRLTGKKLYSEVFFVGGYKWRVLIFPKGNNVDHLSMYLDVADSTSLPYGWSRYAQFSLAVVNQIHNKFTMRKDTQHQFNGRESDWGFTSFMPLSDLYDPSRGYILNDTCIVEADVAVRRVVDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPQADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWLKFDDERVTKEDMKRALDEQYGGEEELPQANPGFNNSPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDVAEHLRIRLKKEQEEKEQKKKEKAEAHLYTVIKVARDENLHEQIGKNIFFDLVDHEKVRSFRIQKQISFALFKEEVAKEWGIPVQCQRFWLWAKRQNHTYRPNRPLTPLEEAQSVGHLREVSNKANNAELKLFLEVELGQDLRPVPPPAKTKEEILLFFKLYDPLKEELRYVGRLFVKSSGKPIEMLGKLNELAGFAPDEEIELFEEIKFEPNVMCEHIDKKLTFRASQLEDGDIICFQKPLKDGTVKNRYQDVPSFLEYVHNRQVVRFRSLEKPKEDEFSLELSKLNNYDDVVERVAGHLKLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSDMLAHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVITDLKTKVELSHPDAELRLLEVFYHKIYKIFPLNEKIENINDQYWTLRAEEIPEEEKELGPQDRLIHVYHFMKDASQNQVQVQNFGEPFFLVIREGETLAEVKVRIQKKLQVPDEEFSKWKFAFLSLGRPTYLLDSDVVSSRFQRRDVHSAWEQYLGLEHSDNASKRSYAANQNRHTFEKPVRIYN >cds-PLY63344.1 pep primary_assembly:Lsat_Salinas_v7:9:133628708:133629028:1 gene:gene-LSAT_9X86741 transcript:rna-gnl|WGS:NBSK|LSAT_9X86741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLRMRKIAGSGTLSKRFKLFGNKQLHIEANNNGEGEKKMDGSLTHHDSYRDLDKLDFMSAAKILFTTPPKHKKFGK >cds-PLY65187.1 pep primary_assembly:Lsat_Salinas_v7:7:59672573:59672737:1 gene:gene-LSAT_7X45701 transcript:rna-gnl|WGS:NBSK|LSAT_7X45701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGWNYSVVQERGKERGFYRCKEIESQARWRQMDAVEGVCWKWEKLEHKSLVGR >cds-PLY77806.1 pep primary_assembly:Lsat_Salinas_v7:3:35275456:35278819:1 gene:gene-LSAT_3X25981 transcript:rna-gnl|WGS:NBSK|LSAT_3X25981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRGVDENTKGPMFPRLHVNDTEKGGPRAPPRNKMALYEQLSIPSQRLSGGGLLPVKPTSTANYPLPPSSSSQVGVSGRGSFFPLHQTPLPHVADKINIHRYSDLNNQLVQQEQRKKQEDDDFRVPIFVQQSGINPDCSGNHQNKDNEGLSPLSSAFSGRFTNIQRNNSQDLPKSALNQSSKQVQLKETNGSSSFDHKNALNNSIRLQSNGNSREADTDNSVSVETIRAVGYGNSLLLPIRDVQQEVLRSPDDLINGDAVSETSMVDSVSGADISPDDVVGVIGQKHFWKARRAIVNQQRLFAVQLFELHRLIKVQKLIAGLPHPMVEDDTFIGKPQKVSPIPIDYVLKSSTHIIPKVKDYHEKSNEDTREFSAENGFEKTHTQASLSSVQNNSGPGPIFSGYHPLPPSDPRTGPWGLTHQHHPPGHQWLIPVMSPSEGLIYKPYNPGAPPPMFGHNMVNHGVPQQPHYQWPTGFVPPPAHGYFPPYGMTTINTSGSGGEDMNMNMNNPQPRYKSVDACNNNSEVQVSNASSFSSDRVEKRDVLPLFPMCSPAVEGEGSRVIRVVPRNAQLANESVARIFQSIQDERNRQDPV >cds-PLY64021.1 pep primary_assembly:Lsat_Salinas_v7:4:295628113:295630482:-1 gene:gene-LSAT_4X152940 transcript:rna-gnl|WGS:NBSK|LSAT_4X152940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAYAAFLRAGIKSRSVQATNTSLLQGGFISTNTLSSSSHFNPSFSRFDCRQVSQQPQSNGKRLFLVDTLALVRRLEGEGVPSKQAEAITSAIIEVLNDSLENVAQSFVSKGEMERIEMIQDGNLGKFKSRVQNSQENHFSLLQRETEKLQSDIEKMRSELKYEIDKVTAGQRLDLNLERGRIRDELSNQNQETSNLTNKLDNEIHSLRAHLEAAKYDVIKYCIGTLVSISAVGLAVLRILM >cds-PLY90142.1 pep primary_assembly:Lsat_Salinas_v7:7:12714115:12715827:-1 gene:gene-LSAT_7X11081 transcript:rna-gnl|WGS:NBSK|LSAT_7X11081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNCERASPSTIMFNGDGGAGGGLEELISQSIQKRDIFDQHSHSFNDATIATPVINSHDLFHPHHRMLNNPNFYDPPPSAVDCVSPPSPFPPPLHSLDTNQAGFFLMPKLEHYGCNIDFSNSLNLIGLNLAGHTYFPVGEDDIMNQLGRRSRSLEAGLMNSPRCQIEGCNADLSLAKHYHRRHKVCEFHSKASIVLAAGLTQRYCQQCSRFHILEEFDEGKRSCRKRLADHNRRRRKSSQNESTMLINDQP >cds-PLY70771.1 pep primary_assembly:Lsat_Salinas_v7:3:135819934:135821493:-1 gene:gene-LSAT_3X90641 transcript:rna-gnl|WGS:NBSK|LSAT_3X90641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSSAHAPLFVTDVHFEVDRSKAGLLTIYGPFTFLKVHGEGHMVPMDQPKATLSMLTR >cds-PLY87589.1 pep primary_assembly:Lsat_Salinas_v7:8:111307313:111318917:1 gene:gene-LSAT_8X77981 transcript:rna-gnl|WGS:NBSK|LSAT_8X77981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDSFHNHVPCPTSSEPSNSKLTLVASCSKSLSHKSLKQIPVFNNGRIRKSHVNDMALFLLKVGALETVRRLSKHRCPFIWSSLQTLQVFCYPPLKWLQRWKLFGNLIKGMQMLSRPLLVLSIATTFSNHSEQNNLDSDDIEIVDSDGSDYHGVQDSHPELPPTQSIRVDDEVPSSTNWLIDLYKELDNQGLHLPERINEDELHRFHYAANGDFSSLVTSVKKSIQWRKTYKILSQEELEAWANMVFWHGTDVKNRPCLIVRLVACIHLPPSERPRFSQAIISQVEHGMLHLVNAENPEVTVLVDCEGLSLRFPMQLLRSCSITLQENYPNRLGCLFIIRLPPVARVIAQTFIQVLKPATRQKLKIIGRMYKNALEEYLRTFPSYLGGECGCCRCVKVGNSHLEINEFNEEGLNVERVRSEGGFENNYYEFGDVNEGCERVLRSAVVGILMVWALIALIAGILDPETRPGLQIYVYQSSVSSQRIFRLLTMASSASNGEHKSTKPPPTPSPLRNSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLRKWIGHPRFELIRHDVTEALLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRDEPLTVQSPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMIELAETVKELINPGIEIKMVENTPDDPRQRKPDITNAKKLLGWEPKIKLRDGLPLMEADFRLRLGVAKKIYLLRNTLHSQCDMNFYELENAIV >cds-PLY99275.1 pep primary_assembly:Lsat_Salinas_v7:5:300809698:300810184:1 gene:gene-LSAT_5X162741 transcript:rna-gnl|WGS:NBSK|LSAT_5X162741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSNIMKKASVVALVAISAAATVSAQATAPAPSPDAGAAFSVQVSGVMIGTSLLLSLVAFFRN >cds-PLY76897.1 pep primary_assembly:Lsat_Salinas_v7:6:29656395:29657634:1 gene:gene-LSAT_6X22860 transcript:rna-gnl|WGS:NBSK|LSAT_6X22860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKVLEKQEEEDESNYSEVYARLQLEISELEKMIQINDAVERLNSAKMELSSKLREIISLKRQRDDIPTQAELIQYERRFSELNVHIQGKLRQTRKYYATYNALLEIKELMLKETSLLNSMSSQLHDALNSPAGRVTLTSSIDGISKSIKQKLRNVEVTLEAEKKACEGLKKKHAAANLEKRRCYSLLKEFQEECTRNERLRNQTSSV >cds-PLY83579.1 pep primary_assembly:Lsat_Salinas_v7:5:18211634:18215640:-1 gene:gene-LSAT_5X9141 transcript:rna-gnl|WGS:NBSK|LSAT_5X9141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKYPIGAEHYVLYEEIGQGGNAYVYRAKCLDNNEVVAIKVLDFEQGNCDLNNVSRESQIMMLVDHPNVLKSHCSFVNDHNLWVVMPFMAGGSCLHMLKAFHPEGFEEVVIATILRETLKALEYLHHHGHIHRDVKAGNILISDQGAIKLGDFGVSACLFDSGDRQRVRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGFDYERDNKFSKSFKQMTASCLVKDPSKRPSAKKLLKHYFFKQARSNDFIVRKLLEGLPTIGDRLQALKQKEEDMLAQKEIPDGQKEEMSQNEYKRGISGWNFDLEDVKAQASLLQDEDSVAEKYQLGSMNSFPGTGLNERKLQHQLSSLSEASESAEIDPSALAPLSIKCEKSEDELSVGSNSGQMVSANSSPRADNSSEFDRIPSHSHQRAASWDSEKLQGQFSRVPSCNGAASGDEHDDKAKGHRVQQRGRFKVTSESIDFDKAAAAPPPIMQKSHSMQIIPQNPIGHQNNLPMPALQFILQTTTLQRVCKRLHIESNEGKLPCRF >cds-PLY83344.1 pep primary_assembly:Lsat_Salinas_v7:1:63202081:63204421:1 gene:gene-LSAT_1X54241 transcript:rna-gnl|WGS:NBSK|LSAT_1X54241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTRELAVPNSGAGGVLYNKPVRFKRPGTTIPASFTTFFSFAVTNLNPGSIGGGLAFVISPGDEDTGDAGGYMGIPTGAIAIEFDTLMDVEFKDINGNHLGVDLNSMISADVADLDSINIDLRSGDQVNSWVEYNGTTQQLNISISYSNVKPSTPVLSVPMDLNKYVNEFMFIGFTGSTQGSTEVHSVEWWTFNSSFDDAPPHPPPPTADFTNPAADSVKIPPPSIAPTESNSTQTHHSTQKKSKCKNQLCKEGAGAVVGVVTAGAFVLAVCTLLLIWVYSKKFKNTKKPQPFASEFIKAPKEFSYKELKLATKGFDATRVIGHGAFGTVYRGVLSDSGENIAVKRCSHTGGQGMAEFLSELSIIGTLRHRNLVRLQGWCHEKGEILLVYDLMPNGSLDKALFESRMTLPWVHRSKILMGVASALAYLHQECENQVIHRDVKTSNIMLDEGFNARLGDFGLARRTEHDKSPDATVAAGTMGYLAPEYLLTGRASEKTDVFSFGAVVLEVASGRRPIERETTAVGKTRENSNLVEWVWGLHREERLLSAADPRLSGEFDEAQMNKVLLIGLVCSHPDPAVRPTMRNVVQMLVGEAEVPVVARAKPSLSFSTSHLLLNLQDSVSDLNELVAISTSSSEHSFNGGGLDLV >cds-PLY95263.1 pep primary_assembly:Lsat_Salinas_v7:8:136366741:136367813:-1 gene:gene-LSAT_8X93660 transcript:rna-gnl|WGS:NBSK|LSAT_8X93660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAGSRETIQPQIWLQDERELKRQRRKQSNRESARRSRLRKQSFQIPVSILGIFFKNMNILEGILRWESLMCSVKNIIANGSKEVSLAAVGCLQMGLT >cds-PLY84695.1 pep primary_assembly:Lsat_Salinas_v7:2:150933006:150938164:-1 gene:gene-LSAT_2X78600 transcript:rna-gnl|WGS:NBSK|LSAT_2X78600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGETGGSGGGGTRSGDGGSRHGEKIFVSVRLRPLNGREIVTNDVSDWECVAENTIVYKNGNLSVPERSMYPSAYTFDRVFGCDCSTRQVYQDAAKEVVLSVVGGINASIFAYGQTSSGKTFTMTGITEYTLADIYDYVQKHPDRDFHLKFSAMEIYNESVKDLLSSDGYPLRLLDDPERGTIVENLTDESVRDWDHMMELLSICEAQRQIGETSLNETSSRSHQIIRLTIESTPSNYLGRDSASTLVSTVNFVDLAGSERASQSLTAGTRLKEGCHINRSLLTLGTVIRKLSKGRSGHIPFRDSKLTRILQSSLGGNSRTAIICTMSPARSHVEQSRNTLLFASCAKEVSTNAQVNTVISDKALVKHLQRELDRLESELRTPGSNRFTSDTTTKLLKEKDHQLEKMQKQIDELTAERDDARSHVQDLLRVVESNGSSLTRLGSDEYPHLRVQVTPEVETYMMPETSIVVDPRHSFDGSIRTLGSSRYSPRRSESSFEENYIRVTEFEPDHTPIRPMIRIAQSETESCLDWDEVDDKSNATPQVLCKVVRCIEREDSIQNVDSYCSSPDVKIRIPNHETFHDDQSEIESHEVISSKPEYMSPNSNADRITQSPLNEDIEMESPLNEGNEEKEEKEGEAILKEDGELQSYPRDNDDYQEEFMQRFKLPKSRSWNEGNTNTNTNTPPNCYEHGFPMKNRTFNHGVESEKGSVSSKRTINEEEKKIGDEDDLSFRSATKGPDETQHHEKVEDTQSTSSKRSKSVKSIGLDPIEDCFRNGSWSSEFKRLQKEIIQLWHACNVSLVHRTYFFLLFNGEPNDSIYMEVEHRRLAFLKDMFTHVNLAVDDGRTITRSSAEKSLRREREMLTKQMQKKLTEQERESLFLRWGIALNTKYRRIQLANKLWTRIDDMDHVADSAMVVAKLVGLLTDPGHAPKAMFGLNFAPQSHSQSSKKSFSFKRSLIPLL >cds-PLY94493.1 pep primary_assembly:Lsat_Salinas_v7:2:155726955:155729255:-1 gene:gene-LSAT_2X81141 transcript:rna-gnl|WGS:NBSK|LSAT_2X81141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRLPPEDIDISQARALLTADLISDDDRSIAADSWSIKSDYGSTLDDDQRHADASEALAAARFCAASDYSSDKEEPDAETITSMLGYQSYWDATYADELTNFREHGDAGEVWFGADVMEMVASWTKGLCVDISQRHLQNHHNDDDSESISQEDKDLAGWSVLDVGTGNGMLLHELAKQGFSDLTGIDYSEGAINLARSLANRDGFISIKLLVDDVLETKLEKKFELVMDKGTLDAIGLHQDGPIKRIMYWESMSRLVAPGGLLVITSCNNTKEELVQEVENFNQRKIGEVEEEEEEEGKGGLFWYLDHIRSYPTFMFGGSVGSRVATVAFCVR >cds-PLY72420.1 pep primary_assembly:Lsat_Salinas_v7:3:190805495:190806256:1 gene:gene-LSAT_3X113441 transcript:rna-gnl|WGS:NBSK|LSAT_3X113441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILIYPFYSHDQDEWLNVRKGLRKRSIPLVPSDCHKVKVGDLLLCYRANKDHALYSDAQLPCVERQLHDTDTCTCTFVVQFDYDNVEVNKINVI >cds-PLY71945.1 pep primary_assembly:Lsat_Salinas_v7:3:25662750:25665182:1 gene:gene-LSAT_3X19100 transcript:rna-gnl|WGS:NBSK|LSAT_3X19100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEARSYSNAAASAPDGGTAAPPPAPPPMSSVNAPPPFLVKTYDMVDDPATDNVVSWSATNNSFVVWDPPEFAKDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTIIRRKSTSGHHQPPPQPHQQTTSVSACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQSTDNQMQSMVQRLQGMEQRQQQMMSFLAKAVNSPGFLAHFVQQQNESTKLITEGNKKRRYKEEDGGISDNSSDGRIVKYQPMMNDAAQAMLKQIMKLDTASPRLGPFTSGVTLQEVNPPPASGQPYLPSVTGVLSAPLQANSNVIATNQSPLAEGQQLPELPELPELPELSQLQDMVPELYPETQNLVDEKLQLEIGGFSPDVDVEWDNNLLTEMEKYLTAYDPSWEQYIEGSPEVDTADDMDAGPGPGDDVMNGVDSKSSESSECSRSQVQQLTTQMGLLSSNTRKS >cds-PLY95846.1 pep primary_assembly:Lsat_Salinas_v7:5:65439915:65441220:1 gene:gene-LSAT_5X31100 transcript:rna-gnl|WGS:NBSK|LSAT_5X31100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDGSSLTKQRSVESVSSSVFDKLTDELLIETLIRLPIKPANLCKCVSIRFRSLISTSYFVRCYLNHHQINNSFALYYQSKPTFHNMIRPSLMGIDIAFGFPIFESPGFSLSFLASSDSEQQNQETFQYLASNNGLVLCCAAMRRPIVYFVCNPLTKQWISLPPPPSNVKTVYTGFICNPQYSCNDDRKTSFKVVRIEVVKCESPRQLSGTLKLEIFCSILGKWAEEYFMSSSNLDHELFYGWNYRCPSAVVCDGLLHWDTLSNCGIFTYDPYNGECRTIELPREIRKPLWALKYCLGESAGCLRYANFSWDDTNYRVWELKNGGESEWLLLHEVHLDEMKSTVETINKDCMGLLSPHPLDQDVVFFWCRSSCRIVEYNMRNKILKLPCFLRDMKIISLLSPMFFPFVLPCWPTTVPLIKQHDLS >cds-PLY62853.1 pep primary_assembly:Lsat_Salinas_v7:4:28893245:28893852:1 gene:gene-LSAT_4X17220 transcript:rna-gnl|WGS:NBSK|LSAT_4X17220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSFKATRLSWKLFFLVLFFTILLVGPSSATSRGKTIMQPEHHRKKYDVGSKNPSLLLSMLPKGKPVPPSGPSKRHNSVVNSTPNN >cds-PLY89130.1 pep primary_assembly:Lsat_Salinas_v7:3:21323860:21326100:-1 gene:gene-LSAT_3X15861 transcript:rna-gnl|WGS:NBSK|LSAT_3X15861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRSSTFLNLKDIKTLKTLDVDFVTTICFAQIKPTTRRLRTRNSIQDAQLSREKMISPFEGMYDAQKEKFHALSSSHQMQNDSKVPVYVMLPLDTVTLGGHLNKPKAMNASLMALKNAGVEGVMVDVWWGLVEKDGSLKYNWEGYVELVNMVQKHGLKLQAVMSFHQCGGNVGDSCSIPLPPWVLEEISRNPDLVYTDRSGRRNPEYLSLGCDSLPVLRGRTPIQVYSDFMRSFKQRFKNYLGNVIVEIQVGMGPCGELRYPSYPESNGTWKFPGIGEFQCYDKYMRASLEAAADANGKKDWGNSGPHDSGQYNQFPEDTGFFRREGTWDTDYGRFFMEWYSGKLLEHGDKILGSADRIFRGTGAKLSGKVAGIHWHYKTRSHAAELTAGYYNTRHSDGYLPIAKMLAKYDVVFNFTCMEMRDNEQPQHANCSPEGLVRQVKLAVKAAGIGLAGENALERYDGGAYAQVLTTSRSDSGNGLCAFTFLRLNKRLFEAENWRQLVNFVRSMSEGGRVRQPESDSSTTDLYVRFVDNKLKSLKEDKEAVLL >cds-PLY85719.1 pep primary_assembly:Lsat_Salinas_v7:1:48202506:48203177:1 gene:gene-LSAT_1X45141 transcript:rna-gnl|WGS:NBSK|LSAT_1X45141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTFSLCSLGFFFCFIMCSSYVHGKTWCVAQTQAPEPKLQEVLDYLCGRINCADIQPGGSCFDPDTVRNHASYAIDMNFRTNDECDGSYAAIAVTDPSYGACVYP >cds-PLY65914.1 pep primary_assembly:Lsat_Salinas_v7:4:141540181:141542000:-1 gene:gene-LSAT_4X88200 transcript:rna-gnl|WGS:NBSK|LSAT_4X88200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGYAPVPKESFVELQLQDNQNYGSQNPTFSEKNTYPEFIHDEDGEGFVTHRIDYLETGVLDDDIDIDYDTSHLTEKSSNSGVYGAVFNLTTTVIGAGIMALPATMKVLGLVVGVILIFVMGILSEISVELLVRFTVQCKALSYGEVVEQALGKPAKILSEICIILNNAGVLVVYLIIMGDVMSGSQNHVGVFDQWLGEGFWDHRKLLILIVLILFLTPLCVLDRIDSLSLTSAASVALAVVFVVVAFGVAFIKLVRGEIEPPRLTPDFGSKKAILDLLVVIPIMSNAFVCHFNLQPIYNELEGRSPQKMNRIGRITTVLCILVYCSTAISGYLLFGIDTESDVLTNFDKPLGKEFSNAINYIVRVGYILHLVLVFPVIHFSLRQTVDALAFEGSAPLHESRKRCLGLTFTLLALIFLGSTSIPSIWTAFKFTGATTAVSLGYTFPALIALKLGGQGQGLTNRERVFSCLMLCFGIMVSVVGVVTNIYSMQSDSD >cds-PLY65747.1 pep primary_assembly:Lsat_Salinas_v7:5:274848337:274851193:1 gene:gene-LSAT_5X145700 transcript:rna-gnl|WGS:NBSK|LSAT_5X145700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine acetyltransferase 5 [Source:Projected from Arabidopsis thaliana (AT5G56760) UniProtKB/Swiss-Prot;Acc:Q42538] MPVGESRHPSQSDAADEAQWVWAEIKAEARRDAESEPALASYLYSTILSHSSLDRSLSFHLGNKLCSSTLLSTLLYDLFLNAFSGDPDLRSAAVADLRAARSRDPACVSFSHCLLNYKGFLACQAQRVSHKLWTQSRKPLALALQSRISDVFAIDIHPGAKLGKGILFDHATGVVVGETAVIGDNVSMLHHVTLGGTGKAGGDRHPKIGDGVLIGAGATILGNVKIGAGAKIGAGSVVLIEVPPRATAVGNPARVVEGKEASKLDECPGMSMDHTSFISDWSDYTI >cds-PLY81306.1 pep primary_assembly:Lsat_Salinas_v7:MU041721.1:79:1818:1 gene:gene-LSAT_0X45880 transcript:rna-gnl|WGS:NBSK|LSAT_0X45880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRARTERDELSKDLSAGVTKPLCFLIVFVIGIVIGLASSSHVDRYFTSQPYNLNRGRESIPPNSPSTSSITQTTYDQKCTTFTDIVSNCVNDECLSMKSFLSQKNLSHSMTDEELFWRASLVPEKAHYPFDRMPKLAFMFLTRGPLPFIPLWERFFKGQDVRKYSIYVHTSPEFDLGVSNSSVFYNRQIPSQAVEWGTVSLVDAERRLLSNALLDFSNERFILLSESCIPIYNFQKIYKYLTKSIYSYLDSYDDPSRYGRGRYNTYMKPEIRIRDWRKGSQWFEMHRALAIKIISDTKYYDLFKKYCTDDCYPDEHYMPTFVHMLYGELNSDRTVTYVDWSVGGPHPVIFEGGDITKGVLESLRKSGKNCVYNKGTTDVCYLFARKFDPSALEPLLEISSEVLEY >cds-PLY93319.1 pep primary_assembly:Lsat_Salinas_v7:1:136033732:136035496:1 gene:gene-LSAT_1X98861 transcript:rna-gnl|WGS:NBSK|LSAT_1X98861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCKAESAITVHTPSKKSEKPGDFLQGNEDLEQPINKKIQHFDYSDLEEATNNFSEKKLLGRGSHGLVYKALLRNGRLVAVKKPSHHNHRHSAISTLPENEVENEIDILSKIHSPRLVNLVGFTNTPHQNRLLVVEFMCNGTLYDTLHISQQPPNWGRRIRLALQTAKAIDTLHSSVPPVIHRDIKSANILIDRNFNARLGDFGLALRCHVDDYRLLSTPPAGTMGYLDPGYVTPDNLSTKTDVFSFGILLLEIISGRKAIDVSHSPPSIVDWAIPLIRRGKLLTVFDPRIPPPKDPSVRKQLAVIAAKCVRSCRERRPSMNEIVDSLSFLTKLVPLRSWNGLSNPCMMVDTVRPVGHVSSRPKGAAAAAVCGAADDGKPLSNPRRVYSDLGFRNNLMDLMARKVENENDQDLTTKRKVHRSSRFKNEGSSFRSVLGGNTIQRHHSVG >cds-PLY97862.1 pep primary_assembly:Lsat_Salinas_v7:2:216280199:216280855:-1 gene:gene-LSAT_2X136440 transcript:rna-gnl|WGS:NBSK|LSAT_2X136440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNVGSSGPVLPSRPAVGVISSVRPLNFVPSCFYMGAQARRVTTPVRKRRSVCVVPSSDVKTEFDDLGLRPRKVRMTISMSRILGSIRDVLGNKFSASMEKNNVVTPDSATSPPLSFATASCLILALNPQLGVYWVHPVVSIQSKKPLADDGIGTAPSSSCSKAYAPGWASNVRSLLSDDNNAQEWNVYAHSPTMMRFHTGKSRVQVVDDLRYAAA >cds-PLY90376.1 pep primary_assembly:Lsat_Salinas_v7:7:186858318:186871554:1 gene:gene-LSAT_7X111841 transcript:rna-gnl|WGS:NBSK|LSAT_7X111841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRLWLCFCLMITAARTRAQNTTDPAEARVINAMFSEWGIPESSATEMGWNISGELCSGAALNSTAFNSRAYNPGIRCDCNFTDSTCHITGLRVGGLDAVGPIPEGLWTLTYLTHLNLSKNCLTGPLLPSIGNLTRMQVMVFRINALSGQVPRELGQLTDLRYLSFGTNNFTGSLPSELGNLRNLQWLYMDSAGVGGPIPSTFANLQNLVIVWASDNPFTGRIPDFIGNWSQLQALWIEGNSFEGSIPPSFSRLTSLQRLGISGLSNGTLDFISGLRSLTELKLRNNRISGSIPNDIGELASLTQLDLSFNNLSGEIPRGLFSLRRMSLLFLGNNSLTGTLPDVKSTTLRIIDVSHNGLSGTLPSWVDDSDLQLNIVVNNFPVDSFEGRGLPSGVICLQRDFSCNSGSPIYGSIGINCGGPRVRSSGGIVHEQEDALLGPATYSLTTDRRWGVSNVGLSEYPRYKSVTNRDFTNTSDSQLFQTARLSAGSLRYYGLGLENGNYTVNLRFAELEIQDGPTWRSLGRRVFDIYIQGIRVFEDFDIKREAGGASFSPVSKEVTVQVSNNYLEINFLWSGKGTWDVPIDGSFGPLISAITAPPNFVNPSVNNNQNAGLIVGILVPISVVSILILLALYILRQRRKRRDTYGNHDEEFLGIDPKPCTFGYGELRDATDDFSPANKLGEGGFGPVYKGKLADGRLIAVKQLSIASQHGKRQFVAEIATVSAVQHRNLVKLYGCCIDGEKRLLVYEYHENNSLDQALFGSKRLSLKWSTRFDICLGIARGLAYLHEESRIRIIHRDVKSSNVLLDSELTPKISDFGLAKLYDDKKTHMSTRVAGTVGYLAPEYALWGHLTEKADVFGFGVVALEIISGRPNSDSSLEDEKKYLLNWAWKLHEANREVELVHEELSEFDETEVKRMIRVALLSTQTSTQRPSMSRVVAMLSGDIEVTGVITRPKYLTNFEFDDSTTFMSASPITIVSPHDSSLPMLHNIMGEGM >cds-PLY65154.1 pep primary_assembly:Lsat_Salinas_v7:5:249652204:249657285:1 gene:gene-LSAT_5X124001 transcript:rna-gnl|WGS:NBSK|LSAT_5X124001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLKNDIINDGIRNMRRGVRGKQEYNELKKGHWRPAEDAILAKYVMKNGESNWNDLQRKGLLMRCGQSCRLRWVNHLRSNIKKGAFTLEEKSRILPGRTDNEIKNYWYARFKRRVRNGLPVYPPGIHQHIKRREFFHLQPKKPPQSQKQLYISSSSSSSSFLENLDFNPSISSLSSSYVEHPSFNCIPTSTSFLHQTNMAASSLSSLVQANPDYNHFDFMSLMDPMSYPFLLNSSYHLDFMPYSNLDNYKNDGLTFTMPPPQNLSLSSSPYMPLFDKTRQITSLGMNPISQMDEAFSSKNFELYIPSIRSSVPSITPTYSSTNMNNVNCDTVSVPNGSYMTSMDASSSRFSLIDMGLKSQAKFFKDLNMMNNDSCSWLEIPPNRPPKELEEFLDDSYTKPPNPSTGIIFESIDNLQSEVLCSYVSLPNGNDMTFMDDFNSGFLPNDMEVKSKNNDFEGVNMMINDNWCNWSWLDFPPIGPPNHLNQDNNDAINPMEQSQDWSPGYIPSFN >cds-PLY62696.1 pep primary_assembly:Lsat_Salinas_v7:6:55547420:55548731:1 gene:gene-LSAT_6X41721 transcript:rna-gnl|WGS:NBSK|LSAT_6X41721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLDRQQQSQIMMPMKNSGLVGYNRSPMIGDDEEELSKSALLAFRVKEEEIKKKKMEVREKVHAQLGRVEEETKKLSEIREELEGLEDPRRKEVTSVRKKIDLVNKELKPLGLSCHKKEKEYREALDAFNEKTKEKAQLVARLMELVTESERVRMKKLEELSNNIESLGQLR >cds-PLY79510.1 pep primary_assembly:Lsat_Salinas_v7:1:34765525:34769330:1 gene:gene-LSAT_1X32281 transcript:rna-gnl|WGS:NBSK|LSAT_1X32281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRTESPVYTRQWSGGSSSTGSSSPAMSPAHPQSRLGQPSGYSTIKRTQNVAAKAAAQRLAQVMASQTVDDDDEDDDDLGFRFAAPTSFGNNSANNNGSGSALSGVSFGKPNRSPSPALGRNFMEHTPTTRSTSAGRPSVSVRSGQVVPPSRPSLRHPGPIPPPIEPPTGTRLSQNRFTKDIGRVDKDMGGQHEASALRDELDMLQEEHDVIIDKLRAVEEKREEAEARARELEKQVASLGEGVSLEAKLLSRKEAAIRQREAALKAAQQSRDGRDVEVSNLRAELEHLKEETAGAMDQLREAESEAKALRTMTQRMVLTHEEMEEVVLKRCWLSRYWGLAVQHGICADIAGSKHEHWSSFAPLPFEVVISAGQKAREDSWHGDDDSDRRKLVRDINDLTGEGNIESMLSVEMGLREMASLKVEDAVALSLAQQRRPNLVRQSIPDPKSPGDSKFMEGFELSLEEAEDVSFKEAWLTYFWRRAKVHGVEEDIAEDRLHFWISRSATSPTSHDAVDVERGLTELRKLGIEQQLWEASRREIDQSSSLPVQNSGEEEEDATL >cds-PLY81127.1 pep primary_assembly:Lsat_Salinas_v7:9:69016039:69020600:-1 gene:gene-LSAT_9X55160 transcript:rna-gnl|WGS:NBSK|LSAT_9X55160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVAKLLGTSSADAMKAEEGSDSLDTFIKQAVGKEPSFSFSRTGDSPVQWIQLLHALDQQDLPGWPLLAPMKIQMQKCEKCAREFCSPVNYRRHIRVHRRSLKFHKESQKYRDLLGAFWDKLSFDEAKEIMSFKDVNLEEVPGSSIIRNIIANLRKPIFLSLPQIYVKAGSMLVDIIQGRPSTSRLPVSSQELFSILNDASETTFLSAGTAESLQKFVFDGEAGKIGLEIKNLIAATSFLFEQKLVKAWLADKDAEALRCQKLLVEEEEAAQRRQAEILERKKQRKLRQKEQRAKDQSNEVKPDLFETTTPSTETSSSPTCAEVDSFSPDDDDDDDDVIVQFSNNVEEEEEEEEDLNVVDPTEHQKVHGNDLHQMVARWQVPKSQKGGRNGFYGSKREQTHNKHREQRANVVNTSKIWTKKPKPENGGVEVVKSRVQNDATSQSQSQSQSNCSQLMIGSISVTVRSPGQGQENGNTEVKKNNVQAGRERSTVKIWRPRHESRGVSGDSKVKGENGQSQTPPTCQSNDDSDGGNEPEAKLFSLDAAKAFLAQRWKEAISGEHSKLILSLSSSREEPPGENSESSSNNNMNNNNTSINNTNNVKVKVKGKFVMKGEKGGGGVKTKYIPKQKGGVN >cds-PLY69644.1 pep primary_assembly:Lsat_Salinas_v7:5:112203211:112204507:-1 gene:gene-LSAT_5X49701 transcript:rna-gnl|WGS:NBSK|LSAT_5X49701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSYNLPAPSASSGDFRSLKPDFPDEGQPLAAGEYANEAPVEMYNELSVPPPQHTDLRQRTGSIYGLPGATRNSDWDETSGRSGSLSGFVRQRNSSYGIVHPSTDEKAPGRTYFDQQDPTDNNESSTSILGLSGEHTVKQLRLSNALKVRETTTIYEVCRLMTARSTDAVLLTNSYELLSGILTGKDIVRRVVAAEIDYVNTPVSKVMTKDPQYVLSETLVVEALKKMLQGKFGHLPVVEDGEVIGLLDMAATVEGLNLNKL >cds-PLY94219.1 pep primary_assembly:Lsat_Salinas_v7:3:169408276:169408590:1 gene:gene-LSAT_3X104001 transcript:rna-gnl|WGS:NBSK|LSAT_3X104001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTETGRGVVVQKQISVVDIPEDDTTSDDQPIPNIGDQSKIDDYEGFLDLGFMPQAVVPLNDVFPNSYFEGEIPQEALQGTNCDIDSNNDKLNPQKGRFLLMGSS >cds-PLY80272.1 pep primary_assembly:Lsat_Salinas_v7:4:225603929:225608047:-1 gene:gene-LSAT_4X124360 transcript:rna-gnl|WGS:NBSK|LSAT_4X124360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHFSTSTSNNSSKNANFASTSPCSSSSSPCGSSMNGGLFSPQLKKRLTRQRKLRHLTMEDFCAPEEDDASIRSKSLPVSPTSKSKSPYKLQQHWSLSAVPQPLPLPNDELRFPAEANSRGDGRSDRIPTNNNSRGISISSKTSTYHRRKCYPEGINGEKDGGDIRLDVPARSAPTTASTSPTLSPKRFSTVDIFDSAFAFPREFHFSPPSTRRSQLHSPPLQSPYRNPSVPLLNIKSPPETSMARTESNNANVYPLPRPPAPPRHVSSRQSDGQSIKGQWQKGKLLGRGTYGSVYEAINRETGSLCAMKEVDIIPDDTKSSECIRQLEQEIRVLRTLEHPNIVQYLGSEIIEDRFCIYLEYVHPGAINKYVKEHCGALTESVVRNFTRHILSGLAYLHSKKTVHRDIKGANLLVDASGVVKLADFGLAKHLSAHSNDLSLKGSPHWMAPEVLQAVLRKDANMEDTCTMDIWSLGCTLIEMVTGKPPWNEFNAGQAMFNMLRRSPPIPESLSSEGKDFLRRCLQRNPENRSSAALLLEHPFVCNSFDPNFALCKQAFSRLRLNEISFNPKESHARHKDIMQPSHRRARKHPQENSPATRQSPRSTLEVLPTVSFHDHWGTSQIPNGGHRTYSLPTTPDRGSS >cds-PLY67182.1 pep primary_assembly:Lsat_Salinas_v7:6:162400430:162401417:1 gene:gene-LSAT_6X99600 transcript:rna-gnl|WGS:NBSK|LSAT_6X99600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTNYLSAISMTMIIFFASYVSTDPDMLQDVCAADFTNDDLIKLNGFLCKKHASSDDFFFSGLSYPRSTDNTFGATPTLITVLNISGLNTLGMAVSRIDFAPGGLNPPHLHPRASEIFFVLEGELEVAFITTDNKLYYKPVKGGELFVLPKGLIHFQINRGNESAVAIAAFNSQFPGIQRVPNALFGSYPDVPNDVLAKTFGIGINQVKKIKSWLDS >cds-PLY75145.1 pep primary_assembly:Lsat_Salinas_v7:4:61620781:61625763:-1 gene:gene-LSAT_4X41841 transcript:rna-gnl|WGS:NBSK|LSAT_4X41841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSLTEEEETFNSSSSVKFGTSEALEHVQQLTDVGAMTRLLHECIAYQRGLDLQLENILSLRPDLDKHLSFLQKSADVLEIVKAESDHMLSNVDSTCVLADQVSGKVRELDLAQSRVNETLHRIDAIVERSNCIDGVQKALENEDFESAANYVQTFLQIDAKYKDSGSDQREQLFASKKQLESIVRRRLSAAVDQRDHQTVLRFIRLYSPLSLEEEGLQVYVSYLKKVISLRSKLEFEQLVELMGQNQSQVNFVACLTNLFKDIVLAIEENEDILRSLCGEDGIVYAICELQEECDSRGSLILKKYMEFRKLSKLTSEINSYKNDLLSAGEEGPDPREIELYLEEILSLTQLGEDYTEYMVSKIKGLSQVDPELTPRATKAFRSGNFSKVIQDITSYYVILEGFFMVENVRKAIKIDEHVMDSLTTSMVDDVFYVLQSCCRRSISTSNINSVIAVLSSAVSLLGGEYNDALQQKMREPNLGGKLFLGGVGVQKTGIDISTALNNMDVSSEYALKLRHEIEEQCAEVFPTPGDRERIKSCLSELGEMSNGFKKTLNSSLEHLVGTVTHRIRPVLDTVATVSYELSESEYAENEVNDPWVQRLLHTVETNTSWLQPLMTANNYDSFVHLVIDFIVKRLELIMMQKRFSQLGGLQLDRDVRALVSHFSGMTQRTVRDKFARLTQMATILNLEKVSEILDFWGENSGPMTWRLTPAEVRRVLGMRVDFKPEAIAALKL >cds-PLY71815.1 pep primary_assembly:Lsat_Salinas_v7:3:59480774:59481151:1 gene:gene-LSAT_3X46540 transcript:rna-gnl|WGS:NBSK|LSAT_3X46540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKTHHNRVYFVEYRSPNPYSFLSSYQFLTELVIAKELQEGDGEMNLAEWAWRYFSKVNSMVEALDLEIKQANSFMEEITLVFKHAHLHKLIRKLMLPP >cds-PLY93016.1 pep primary_assembly:Lsat_Salinas_v7:4:193342839:193344494:1 gene:gene-LSAT_4X110981 transcript:rna-gnl|WGS:NBSK|LSAT_4X110981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSFIHWLPFLLILADARRPHIPKTFNVMSYGARPDRITDNSRAFLRAWKDACEHDSGGRVWIPRGEFKLDSVVFVGPCKGPVDFIIRGLLEASSDPSKFFVDHWISFKYVDQLVVRGGGYLLGNGRSAWRFNDCATNSRCKPLPVTMRFDFVSNSKVNHIHSIDSKNAHFNLFACHNMNMSHIRILAPATSPNTDGIHIGSSTKIKITNSLISTGDDCISMIAGSKDIMVSEVHCGPGHGFSIGSLGGSHNEEHVNGIYIQNSTLRGTQNGLRIKTWAPSPPSLASDFTFEDIIMENVNNPIFIDQQYCPMPPCNGQAQSNVQIRNVTFRKVHGTSSSKIAVKIQCSKHVPCEGVNLVNINLEYRGPEGPVSSSCLNVKGKSYGRQLPAGCL >cds-PLY81794.1 pep primary_assembly:Lsat_Salinas_v7:3:34488028:34491469:-1 gene:gene-LSAT_3X25621 transcript:rna-gnl|WGS:NBSK|LSAT_3X25621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMLKELEHLKISLDAIKLATNSFHDDYFVGVGGFGKVYKGEIITTTTTTDTDTDGGLAKVVAFKRLDRRHGQGEHEFLMEIMMLSRYRHKNLVSLLGFCDEEEEKILVYEYEFNGSLEKYLSSNTLTWAQRLKICIGAARGLEYLHNPLGTQQRVLHRDVKSANVLLDQFWEAKIADFGLSKMGPANQEFTFLVSNAVGTFGYCDPLYMETNILTKESDVYSFGVVLFEVLCGRLCIGKPDEEPRLLTEMAKRSYEQGAIEDIIFNGLREQMEPDSLKTFSSVAYRCLKREREERPTMAQVLEELELALEYQASIESFQSGKPMEYEEIIQMADREHPLVYTNKGELKLLLSSGILVDWGRRWFSLSKNEHNCEMISASEFSFKDPKIIEWVPDQNSRFSEVAKIERAEDMNIEVDIETIFLTPGITYAAYLVFKNFDEDNSDDSDHNSDHDSDDSHTVKSEMLFEPLYVGLQYKFKDAGEFSVSYLAEQIDNGWMLIELCQFISHKKAAKLEVSFDSIHVRDSILIEGIQFLPVELAIEEEPVTVDNSNTMIDTNWEQKLPRDYQYIIKTAKDHVPYNITNKEIYMLFINGILTNRGRMFFSLNKDGIKCCMVAARVVLEDNEDNEDTSFRWISLHESIFKKAAECKSGSELNINCRINSHMMSPNTNYATYLVYKLPEVSDGKFECPLQVKDSDGYSSEDEKVQFVFLSSPKIPLIMMAKSDQDHNQRPNKNPLHRPKIEGTPRQRKDGWMEAQIWDFTTDATTRTININVELSLCDNEEELIGLIVQGIELRPK >cds-PLY79592.1 pep primary_assembly:Lsat_Salinas_v7:2:165844644:165848261:1 gene:gene-LSAT_2X89461 transcript:rna-gnl|WGS:NBSK|LSAT_2X89461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVSIQRNTRFIKVSHHFALFSFKHHCSSTPFNPEPLSNPHKSNKSQIDACAAYLSGFTKSVLDKCSDLLIRKTQPSTANASSLGELLLSVSYLNPRLTRKFWRKSGLEPQDVLEVLLGFESNIGKLGIDVKKVESLFGVFKWASSSSNQSRRFKHLGQSFKIMVGLLVRVGLFKDAESLLLVMDKEGILLDNHETFSALIEWHVNMDELEKSTHIYDRMRRLNLSPSLSCYHTLLNYLVNRSQTQLLFRVYSDLLEMGISEKDTYENVIQALCRDGKVQESRNLIKNAFVYGIKPTSVLLDAIASGYCKKKDYYDLFSLFTEMDCIPDVMLGNKILHSMCQNYGVQEAFIYLKELEHLGFIPDAITFGILIGWSCQESSLKDAFIYLSNVLSRGLKPHIYSYNAIISGVFKKGLWNHAKDIVLEMDDEGITPDMSTFKVLLGGYCKARKFDEVKMMIEKMVHNGLIELSPLQDPISKAFILLGINPMDVRVRRDNDVAFSKTEFYDNMGNGLYLEGDVVNLDQVMTRVLDDSMIPDYNHLNTIDELVHWGQELSSAAFLTLLKKSNASNSSFKTITTFLKNIPNLHELDGETLNLLVQACIKRGFVHNARNLFDEMLKRNLQIEKKTYSALVKGLCKKGNSNDLHDILKLVHSKNWLPFLNDYKTLICSLCKNNMLVEALSLFEHAMLDYPHEVQELFYTFLETLCGIGFTKAAYTLFEELLSRGYDMDQVAYTHLLQGLCKEKRFSEAFVMCNTMLTKNTTLDLDVDFYNVLLHGYCVAKDLGKVKEVVCWILKKNITIYISSYSKLVSLMCNEGQFRFRFRFQLWMKDVMVKQSCSHITVYNILIFHLFASGNSECVDILLDEIQEKGLEFNGVTYNFLVYGFSKCKNISRALYYLSEMMSKELKPSNRCLRGVISLLSINGKLKNILKLSQEMEARGYVHCSYVQNEVVESLLKMNNLQEAVNFLDRLIVKDLVPNNINYDNLIKNMCRHGRKDKALDLLDTMLKKGNIPDSRTYDCLIQDLCVSHMIEEALDMHTEMLNMKLIPSIETHEVVTEKLCKLGRTLEAQKVIDDVICVGEVPSKVMFGLLVSRYHFERNFTKASEVLQKMQRFGYKPDFESHWSLISTLSRFSGKDKEDDNRNFLSRLLFDSGFHPKSK >cds-PLY85967.1 pep primary_assembly:Lsat_Salinas_v7:3:201171575:201173056:1 gene:gene-LSAT_3X119861 transcript:rna-gnl|WGS:NBSK|LSAT_3X119861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANSIAELIFIPAPGVGHIMSTIEIAKLLVTRDQQISITVLVIIPPPTFGSGSGSPITTYTQSLAKNTMDRISFIELPQDKTPPVSKAPIAAFADYINSHCKYVRKIVADKMSQPDPGSGRVAGLVVDMFCTGMIDVANEFNVPTYVFFTSNAAFLGFKLYIQTLSDDKNQDVLELSNSDSMIPVPSFIKPVPTKLFPGICQTREGLEFLLGSARKQREAKAIIVNTFLELEKHAIDSLSEDSTIPMVYPVGPILNLEAGAGKKLGNDDIITWLDTQPPSSVVFLCFGSMGSFDEVQVKEIANGLERSGHRFLWSLRRPPSDQTTRSPSDYEDPGTVLPEGFQERTAGIGKVTGWVPQVAVLSHGAVVGFVSHCGWNSLLESLWFGVPSAAWPIYAEQQINAFEMVVELGLGVEIKLDYKNEFSNPETDKVVVTADEIESGIRRLMEDKKVRKEVKEMREKSRVAMAEGGSSYASVGRLIVDFKRNVLGHTEL >cds-PLY62487.1 pep primary_assembly:Lsat_Salinas_v7:1:84564355:84566093:1 gene:gene-LSAT_1X70741 transcript:rna-gnl|WGS:NBSK|LSAT_1X70741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIPKNDLIQPSSTVSVCSDWDLDFTDEELQSIDAAIESAASSSSSKKSVANCDGDRPRTRRRLPDSLFTRSALSFNSSSVGPSSSISLLPCPRNRFSNPSDSSNRDNIKMRYPAMAFKGHIVYSRTFPEVEKAADELLKFVELKKKDGGRAIIGFDVEWRPSFRKGVKQGKAAVLQICADAASCHVMHVIHSGFPESLKSLLGDSKSVKVGVGIAGDAHKVFNDHNVSVDGLEDLSYLANQKLGREPKSWSLSSLTEALTCKEVPKPSKIRLGNWEANPLSKEQLNYAATDAFVSWHLFEVLNRLPDVDTPTNEVVEEAIAPS >cds-PLY66832.1 pep primary_assembly:Lsat_Salinas_v7:7:17019133:17019498:1 gene:gene-LSAT_7X13721 transcript:rna-gnl|WGS:NBSK|LSAT_7X13721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLLDSPTIDCAQQRIKWKIEDFNDDDLSSSDLVSIRMRKDDPNPDSPSSTATLNFFPDQITTHHPYISHRASSGSIYYFPNFTQFFVRMISRGKTLVLHGNPEDKIMSIHEKSNPPLESP >cds-PLY75160.1 pep primary_assembly:Lsat_Salinas_v7:1:184009703:184009942:-1 gene:gene-LSAT_1X118900 transcript:rna-gnl|WGS:NBSK|LSAT_1X118900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARSAPKCEKTVQALLCRNLNVKSATLPNATSSRRIRLQDDLVTCFHFSVSERFVPGSTLKASIVELIREDVIYNILKL >cds-PLY75393.1 pep primary_assembly:Lsat_Salinas_v7:6:180208463:180209784:1 gene:gene-LSAT_6X110360 transcript:rna-gnl|WGS:NBSK|LSAT_6X110360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGNGHSNPSDLDVKKSETRSSKRAPTSKPPFTLADIKKAVPPHCFERSLIRSFSYIVYDLTLVWILYYLATTYIPQLPHPLPYLAWPVYWFVQGCVFIGIWVIGHECGHHAFSDHVWVDDCIGFVIHSCLLTPYFSWKISHRRHHSNTGSFDRDEVYVPKTKSKLSSSAFYLDNPIGRTLTLAVKLSLGWYIYLSINAAGRPYDKFASHYDPRSPIFSDNERVLILITDIGLVSFSWLLYKVATFAGFANVFCVYGGALMVMNAFLVTITYLQHTHPSLPRYDNSEWNWMNGALSTMDRDYGVLNKVFHNVTDTHVVHHLFSYIPHYHAMEATKAIRPIVGEFYQKDSTPFFMALWRESKNCLFIEPDEGDEKNKGIYWYRSQY >cds-PLY81301.1 pep primary_assembly:Lsat_Salinas_v7:7:115432610:115436836:-1 gene:gene-LSAT_7X71401 transcript:rna-gnl|WGS:NBSK|LSAT_7X71401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSTKQVAENNRGLNGRFFTLRSRLYNALALGLRNDEGGRRWCCTDVETQRHVVRSIDAFLDCISSDTSQHPLVKESLADIIPALGTTLIQKNEAVMKLASKVFVRMISVVPSTILESLVLDVVHPVSSSLSSCHESVVISCATALNLILSSLSSKKEKEVWKILEETETIDNLIHHIRSSGSKPAEFFLETISLLSKILWRFPSSRFGVWNNTALMEVVASLMHEPILSVKASVLQLYSTIALCSNGAEKILNSGNSNSLLQMMVECMNGSNPNYLQLAGFTLAECFSVSEKGRLKMVESYCEPLVKAIVNGLSLHSHSGKLTKEQMSLIKVSCCVSKIICWPGKHHMYFWKLGIESVLVKLLLDDLHIKKLSQFFLSSEDFSTMARDCLSANFLLVIKPHVWDILGGLAAHCAEDFNANMLQNEFYLNILITCACLGFVDSLRSTRQSCRNAVHEPVSRAVLLMIYSPSKYISSMAKSILSGMLKPNAKEDIKYLLNTLNASSSSLSSGVNIVSDNLQVINLISLACYSGLPQYRRYVIKNQGIKILLSFIQKLSSSNHVHGEGLNNNTSKSLHYCDHNMKICCYGCEEDWEGEESFLVFGLLGLAELVHHVGSVKGNNVDWFELTESEMISEVEKICINSCASGSRCYGAYLLSYFGVYGFPSKLGNRIGNVLSGDEDEHKHTNLRLILANQEHVNVHGVIVSVGCPSLLPSEGKTIGRKEIRLSSHVNHQALLKLLEYVYSGHLKAEDEVVKRLKTLAKHCNLQPLLQLLCRNRPKWRTHAPSFDLTPALGPNGSHFSDIVLEAKGNTYEGWMCETCSVSHPHLHAHKAILCASCEHMRALLCSGMQESHSESIKVDLGWEALVRLVNWFYSGKLRPKPKYGCLWHNLNEKEKLDEVIPYVELYCLSDSWLLEELHKECSRVIGSCLDSVKMAIKIIQIGADCFQWDLVELAAKFIAPSYHHLRNSGELLQLDEQLVDIVRVASVRLTQRVHHL >cds-PLY97441.1 pep primary_assembly:Lsat_Salinas_v7:4:15164929:15167143:-1 gene:gene-LSAT_4X11240 transcript:rna-gnl|WGS:NBSK|LSAT_4X11240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEKIEEFREFKQANPESKKGHKYTVPGFMLPFKIWILETFPEATKFYIRTPTELPRMRAWRSKTPLNWVQCCRIMNVSVGEFGPTPMHVEQSSDVGEHHTKEITPIIRPQRKRGVPWYQRTPFTVFQSTPKVKKITKAKKKKVVKSPEKPNEDIVNEESNDVSNHLLLDSVEAARTLSFSKEWNSISSNLNTKHRLHMLTLDVEFWSRLLEVTDAGWLISSRIAIWSALLMERRSANARWTIFPQELNLQNGKTYFLRNLANGVGGHPKWKDVDMVLFPINVPHAYWFLAVLHLDILKVHIYDSARSMNYFTMYLTGGEFKSFGDSIIEELDAIDYWKDFPDGHKDNAVVEFIDIVDAPQQEYIINRGDCGVFVSMYMEMIASGVLVKSDKPCRDVRFLYRNWMTNIIWDTK >cds-PLY99569.1 pep primary_assembly:Lsat_Salinas_v7:7:189898939:189899226:-1 gene:gene-LSAT_7X112860 transcript:rna-gnl|WGS:NBSK|LSAT_7X112860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVACAKLGDIKLTRQQFEITLDRDVIAWNAMKAGYVRFGEPLNGFELFNAMEMKGLKVNVLSACTRLSALDAGQRAYRDIKYKNYKSTQLLVVH >cds-PLY96031.1 pep primary_assembly:Lsat_Salinas_v7:3:194575685:194579139:-1 gene:gene-LSAT_3X115940 transcript:rna-gnl|WGS:NBSK|LSAT_3X115940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTAGDMEDGFPATRLFNQGYSYTYDDVIFLPHYIDFPTDAVQLNTKLSRNINLSVPCVSSPMDTVTEASMAVSMAALGGIGIIHSNNTASEQSSLIRSAKSHRIPFASSDIPFLSPDDSISSGSIFDSSPCVFITTNGSKSDKKLLGVVDKLTWEGLADKEARISSYMQKNVVTLPNTYKFEDVAGYLATKEMDFVPLVSGEGEVIDVVSKWDVERIKGFPKSGLPSVGENGEFLVGASIGTRESDKQRLEHLVKAGANVIVIDSSQGNSIYQIEMIKYAKKMFPDLDVIGGNVVTMNQAQNLIQAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSSVAAKSGIPVIADGGISNSGHIVKALTLGASTVMMGSFLAGSTEAPGAYTNQGGQRVKKYRGMGSLEAMTKGSDARYLGDTAKMKIAQGVVGAVADKGSVLKFIPYTMQAVKQGFQDLGASSLQSAHDLLRSGVLRLEVRTGAAQVEGGVHGLVSYEKKSF >cds-PLY78445.1 pep primary_assembly:Lsat_Salinas_v7:2:167821763:167822625:1 gene:gene-LSAT_2X88581 transcript:rna-gnl|WGS:NBSK|LSAT_2X88581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPNFHATVAGIAWASISFGCNVKLPKVVENSITILSDGGLGMAMFSLGLFMASQASIVACGTRLALLAMFLKFIVGPAIMAAPSIAIGLKSVPFKIAVIQAALPQGIVPFVFAKEYNVHPDILSTGIIVGLLVALPIAVVYYLLLGS >cds-PLY75230.1 pep primary_assembly:Lsat_Salinas_v7:MU043036.1:950470:956976:-1 gene:gene-LSAT_0X12340 transcript:rna-gnl|WGS:NBSK|LSAT_0X12340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:Projected from Arabidopsis thaliana (AT5G14880) UniProtKB/TrEMBL;Acc:A0A178UBD9] MTLAYQSLGVVYGDLSTSPLYVYKSAFAEDIQHSESNEEIFGVLSFVFWTLTLIPLMKYVFIVLRADDNGEGGTFALYTLLCRHARVSTLPNGQLADEELYEYKKDEITSGSRDIGLSLKSTLEKHKILQKALLVLALLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYVEVPITCIILVLLFCLQHYGTHRVGFLFAPIVVTWLLCISTIGVYNIFHWNPQVFQALSPYYMYKFLKKTKKGGWMSLGGILLCITGSEAMYADLGHFSQLSIKMAFTFVVYPSLILAYMGQAAYLSKHHILETDYRIGFYVSVPEKIRWPVLGIAILAAVVGSQAIITGTFSIIKQCSALGCFPRVKIIHTSPTNKHGQIYIPEINWTLMLLCLAVTIGFRDTKHISNAAGLAVITVMLVTTCLMSLVMVLCWRKSIFLALAFMFFFGSIEILYFSASLIKFREGAWVPVALSIIFLVIMFVWHYGTIKKYEFDVQNKVSINWLLSLGPTLGIMRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVVVFLCVKSVPVPHVRPEERFLVGRIGPKEYRLYRCIARYGYRDVDMDDVGFENDLICCIAEFVRTERSGQTSAGNNSVTGTSDNLLSEDSDNDRKMAVVGVASANSEGSIRMCEEETGFSPEIAVVVAAPPPVPRKRVRFVLPASPQMDTGVREELRELMEAREAGLAFIRGHCYVKAKRGSSLMKRFVINFGYDFLRRNSRGPGNALSFPQASTLEVGMVYQV >cds-PLY93980.1 pep primary_assembly:Lsat_Salinas_v7:8:234333570:234337937:-1 gene:gene-LSAT_8X142361 transcript:rna-gnl|WGS:NBSK|LSAT_8X142361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSRSYTNLLELASGNFPVMGREKERRKLTRVMTVPGSITELEDDQASSVASDNHSSLSMDRMIIVANQLPLKAKRRPDNKGWSFTWDDDSLLFRLKDGFPDDMEVLYVGSLNVDVDPIEQDDVAQVLLDRFGCVPTFLPPNLIEKFYDGFCKKQLWPLFHYMLPFSADHGGRFDRSNWEAYVAANKLFSQKVIEVINPEDDFVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMFGLEYQSKRGYIGLDYYGRTVGIKIMPVGIHMGQIESVMKLADKEWRVSELKQQFQGKTVLLGVDDLDVFKGINLKLLAMEQMLKLHPSWQGRAVLVQIANPSRGKSGIDFDEIQLEIQESCKRINDQFGKPGYQPIIYIDTPLSVGERVAYYSIAECVVVTAVRDGMNLTPYEYIVCRQGVSGSDPDPDSGGLKKSMLVVSEFIGCSPSLSGAIRVNPWNVESTSEAMNEAISMSDSEKQLRHEKHYRYVSTHDVGYWSRSFLQDMERTCADHFRKRCWGIGLGFGFRVVSLDPNFRKLSIDDIVTAYIKSKKRAILLDYDGTVMPQNSIIKTPSRQVISILERLSGDANNTVFIVSGRGRESLSKALAPCKKLGIAAEHGYFMRRSQDVEWETCGQSTDFGWMQMAEPVMKLYTESTDGSSIETKESALVWQYRDADPGFGFAQAKEMLDHLESVLANEPVAVKSGQYIVEVKPQEASKGMVAEKIFTSMAQNGNQADFVLCIGDDRSDEDMFEIIGNAISKNMLSVNTTVFACTVGQKPSKAKYYLDDTSEVILMLENLAEATDTPVTSEDDESE >cds-PLY92669.1 pep primary_assembly:Lsat_Salinas_v7:2:160530934:160533036:-1 gene:gene-LSAT_2X84641 transcript:rna-gnl|WGS:NBSK|LSAT_2X84641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQFLWAPASSTTAAVLLSNFMLFVWAAVDDSSTVILRPPHDGSNRHTMFLPLFPSPSSSSFFGDGKPRRHLHTSDAPSPNARMALHDDLLFDGYFTTSLWIGSPPQKFALIVDTGSTVTYVPCSTCQRCGNHQDPKFNPYLSSTYQPVKCNAGCSSCANDTLQQCLYERKYAELSTSSGVLGEDVISFGNLGQVLPQRSIFGCENMETGDLFTQHADGIMGLGRGDPSIVDQLVDKGVIRDSFSLCYGGMDTGGGAMVLGGISPPSGMVYAYSDPARSPYYNIKLRGLHVAGKRLDLSPSVFDGRLGTILDSGTTYAFLPEAAFLAFKDAIMKELHNAKQINGPDPGYNDICFSGAKSDDGSQLLRTFPTVDMVFGKGQKLSLTPENYLFPHSMVEGAYCLGIFQNGKDATTLLGGILFRNTLVMYDREHNTIGFWKTNCSDLWGRLDASTGDMSPASSASSNNSTRKIF >cds-PLY79632.1 pep primary_assembly:Lsat_Salinas_v7:2:166282602:166282835:1 gene:gene-LSAT_2X88021 transcript:rna-gnl|WGS:NBSK|LSAT_2X88021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHASFIRPGGVAQDLPLGLCRDIDSSTQQFASRIDELEEMSTGNRIWKQRLVDIGTVTAQQAKDWGFSGVMLRGRAT >cds-PLY63004.1 pep primary_assembly:Lsat_Salinas_v7:8:184496925:184498256:1 gene:gene-LSAT_8X120620 transcript:rna-gnl|WGS:NBSK|LSAT_8X120620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKTVVEKFVEELKEALDADIQDRNMKEREMQSYIEEREREVAEREAAWKAELSRREAEIVRQEVKLKMERENLEKEKSVLMGTASNQDNLDGALEITVSGEKYRCLRFSKAKK >cds-PLY72518.1 pep primary_assembly:Lsat_Salinas_v7:2:139800827:139801345:1 gene:gene-LSAT_2X68180 transcript:rna-gnl|WGS:NBSK|LSAT_2X68180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLVKHEVEALVDSINSVQANLFQVILSRFWIPNLKTITGYTEVKLIAVASAKLLCESPSMLDPAAEELCGKLLDGVVTLLSLPEEGKVEDEQEVPDFGEATGYQATIVHLHNVGKKEGDKSRIQNTSQWLYSWFSLLNSVGDSHWLLLDTFLLLIKLHFSSYVIPTIFL >cds-PLY89833.1 pep primary_assembly:Lsat_Salinas_v7:4:323079874:323080344:-1 gene:gene-LSAT_0X27901 transcript:rna-gnl|WGS:NBSK|LSAT_0X27901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPWLALPFEDKREQSLSRLFKVDGIPLLAALGPTGKTVTIEARGLIMAHGADAFPFTDERMAEIEAKFADMAKGWPDKVKNRLHEEHELVLTRSRGYTCDGCDGEGKVWGYNCEECNFDIHPECVFKASGNGKEVVEEKGNPEGWVCDGDVCYKAS >cds-PLY83229.1 pep primary_assembly:Lsat_Salinas_v7:9:122849619:122850546:-1 gene:gene-LSAT_9X82161 transcript:rna-gnl|WGS:NBSK|LSAT_9X82161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQNTNTKLKEFICSKCYCDDQKLIHNILYRSEHQRLCTSCVLFTCKEFFCPTCFGVHGLITPGTLIECQRCNSKSHPLCFSSNPSHPGAPPMCASCVNPDKLIFNPKGLRIKGFDRVMKLIDDKAVVLLLTAAKVGGLLMCGVSEEVKYNSRMLVAKTVIKRKRAILGVIRAMRDHDLKNKDRNVDSLLDFSNSEDEYGDDTSSDNGRMKRLSIEGEVSEAVPIARDYP >cds-PLY80017.1 pep primary_assembly:Lsat_Salinas_v7:9:45633164:45633983:-1 gene:gene-LSAT_9X42121 transcript:rna-gnl|WGS:NBSK|LSAT_9X42121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSRTDATDNPDLQLASTKSHLVHGCTSFICFGRAATGLESSPSHLKLDPSLHPQPQPQPQPQDDLKHSPDSEKVTKTCTSDLDLDNFENKNGDALKSSLKRPKTSVIASVDVNGGECKNECGDTETRKVQWTDVFGGELFEIREFEPSEHDGSDDESNWNEGTCTCMIM >cds-PLY71575.1 pep primary_assembly:Lsat_Salinas_v7:3:53463910:53464910:-1 gene:gene-LSAT_3X39020 transcript:rna-gnl|WGS:NBSK|LSAT_3X39020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKAPCFDKDGIKKGAWSEEEDSKLRLYIERHGHRNWRELPKLAGLSRCGKSCRLRWMNYLRPNLRRGKFTKEEDDVIFDLHKKLGSKWSVMAAQLPGRSDNEIKNHWHTHLKNHVRKDEIMSTNEHVGNSESGNPKGCPAKISDLEAQEEVGVLLKVLSSGMSSSSTSEQSQHWLSDSSYAVPSNVTPHYIDSVKSFWTEPFLLDNDEFLSSSDNMFSPMFF >cds-PLY99307.1 pep primary_assembly:Lsat_Salinas_v7:7:179831374:179833182:-1 gene:gene-LSAT_7X105940 transcript:rna-gnl|WGS:NBSK|LSAT_7X105940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6-f complex iron-sulfur subunit, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G03280) UniProtKB/Swiss-Prot;Acc:Q9ZR03] MAALTIPPANSQCCSSKNPVFSPTKGLMAKSARFETAVPEKGMKVTCQAAASIGADGVSVMERRKLMSLLLFGAIGLPAAGMLAPYTTRPSSATWEGGINARDEIGNNIIASEWLNTHAPGDKTLTQGLKGDPTYLIVEKNKSIATYGINAVCTHLGCIVPWNTVEKKFMCPCHGSQYDNYGKLIKGPAPMSLELARVEIDDDKVVFVRWTETDFRTGDDDDDAPWWS >cds-PLY99331.1 pep primary_assembly:Lsat_Salinas_v7:1:69753608:69756112:-1 gene:gene-LSAT_1X59620 transcript:rna-gnl|WGS:NBSK|LSAT_1X59620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPVLRRELENLDKDAASRKSAMKALKSYVKDLDSKAIPIFLAQVSETKETGTTSGEYTISLYEVLARVHGTKIVPQIDNIMSTIIKTLTSTASSFALHQACAKVVPAIARYGMDPTTNDNKKREIIRSLFKPLSDSLLSSHENLSSGSALCLKALVDSDNWRFSTSEMVNEVCQRVAAALEKGKMVNSHMGLVMALAKHNGLIVEAYARLLIQSGIGILNMGVKEGNSQKRLSAIQMVNFLMRSLDYKCVMSELPFVIEEMEKRQNDQMAYVKGAAFEAIQTAKRILIQKGSKQNQSPPQSQIMDSFGDYNSISYSPFSISFASCDVDSDRSVNRKLWSNVDVSLKDGLFSSGMSTPRSVIENSENSELSETQGDYEDGFSGFMQRNPTPPRSPQRTRSYVNVDNMDLFTTPRKLLRSLQHPDDDCSGNQSRRFRSPSSSKFNQSHTSNFDQNESLTDENEQIYGTLESVSSTEDIDIPPNGDNHLQQQSQVLLPEAKTKSQRLSAVVISSGLVILLIAVICFFWKQDQDKVYNLVPT >cds-PLY74887.1 pep primary_assembly:Lsat_Salinas_v7:2:155136546:155139194:1 gene:gene-LSAT_2X79380 transcript:rna-gnl|WGS:NBSK|LSAT_2X79380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDYLGLFVKETNLYNQIVLGALLPEKLWTPLPHFVQGWLRNYIAATLIYFISGVLWCFYIYHIKRNVFVPKDAIPSRKAMLLQIYVAMKAMPWYCVLPTISEYMVENGRTRCFSRISDVGWASYIWNFGLYFLIVEFGIYWMHRELHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPIDGILQALPHVIALFIVPTHFTTHIVLLFLEAIWTANIHDCIHGKIWPVMGAGYHTIHHTTYRHNYGHYTVWMDSMFGTLRDPLEEEEEAKNI >cds-PLY81551.1 pep primary_assembly:Lsat_Salinas_v7:2:125462496:125469057:-1 gene:gene-LSAT_2X58060 transcript:rna-gnl|WGS:NBSK|LSAT_2X58060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEEESSSASRNNSRSPLPRGGPHYLAKCVLKGSVVLQVVYGHIRSPSSKDIVFGKETSLELVVIDDYGVLQSICEQPVFGTIKDVAVLPWNKSFHRASPQVQGKDLLLVTSDSGKLSVLTFSNEMHRFYPLTQIQLSSSPGNLRHHVGRMLTVDSNGCFIATSAYEDRLALFSVSSSSDADIIDKKIFFPSDTEMNTSTSIGVPGIHGTIWSMCFISKDLSQSQPSKEHNPVLAILLNRKGSLLNEVLLLEWNTRDKFVHVLSQYAELGPLAHDIVEVPHSYGFAFLFRVSDVLLMDFRDAHNPLCVYRTSLNFLPLVIDEHNFVDESYRTNDVDEEGNICNVAASALLELKDIIKEDDPMNIDDDSGYSSKSTSNRVISWTWEPNVENPRMIFCVDTGELFVIELTSDSNGQKVKVNLSDCLYKGSPFKELLWVEGDFLTALAEMGDGMVLKFEEGKLHYKSLVQNISPILDMSLVDYHDEKHEQMFACCGITPEGSLRVIRNGISLEKLLKTAPVYQGITGTWTIKMKSTDSFHSFLVLSFVEETRVLSVGVSFTDVTDSVGFQPDVCTLACGVIDDGVLVQIHRNAVHLSLPTTSAHPDGIPSTSPNFTSWSPDNMNISLGAIGGKFVIVATSNPCYLFVLGVRHVTLHQYEVYQIQNVRLEYELSCISIPQKPIEIGIGIGIGNGNGNTFVIGTHRPSVEVLSFKPDEGIKAVAIGSISLVNSIGTTISGSVPQDVRIVQVDRVYILSGLRNGMLLRFEWPSESTNSPMRSSMMAKDEYTPVSLQLISIRRIGITPAFLVPLNDLPDADIIALSDRPWLLQTARHSLSYTSISFQASTHATPVCSSECPNGILFVSDNSLHLLEMVHSKRLNVQKFHLGGTPRKVLYHSESKLLLVLRTDLSDDSCSSDICCVDPLSGLISSSFKLEPGETGKCMELLKAGSEQVLVVGTSLSTGPAIMPTGEAESTKGRLIVLCLEHKQNSDSGSMTFYSKRSSPFCDYGGGEQLSSSSLCSSPDDIDNNSCDGNGIKLEETEAWNLRLAYATNMRGIVLALCPYLDCYFLASAGSSFYVCSFQNDSSLRVKRLAGGKTRFMIMTLTTHFTTIAVGDCRDGIIFYAYYEDAKKVEQLYSDPVQRLVADCLLMNIDTAVVSDRKGSIAVLSCSHHSSENASPECNLKVCSSFYMGEVAMSIRKGSFSYKLLADDEMRGDCNIASSIMDSSSHSSIVASTLLGSIIIFVPISREEYELLEEVQSRIAVHALTAPILGNNHNEYRSRQSPAGVAKILDGDMLSQFLELTNTQQEDVLAALPPSHTHNNIFTKPLFSTSINVNKVVRLLERVHNALN >cds-PLY78565.1 pep primary_assembly:Lsat_Salinas_v7:1:107842062:107843985:1 gene:gene-LSAT_1X84760 transcript:rna-gnl|WGS:NBSK|LSAT_1X84760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHVDIWGRLLNERRPNNARWTIMPSSFFGAFEIFQWAGSATGNPFDPLDGCKSWLEVDRVYFPICISPHHWVLGELWMDTLSLNLYDNFRLFGFVKIIDFVWFEELMVRILVEIEYWNHMEIKETIHKNCSVKMTEISEEMKRILAKFNWPSTSNNKPHLESNSHNVSTNPPPHVDHPILGEKMDEEVKKVLLQREADVDKAFEDYRFQ >cds-PLY90767.1 pep primary_assembly:Lsat_Salinas_v7:3:36357828:36360391:-1 gene:gene-LSAT_3X28001 transcript:rna-gnl|WGS:NBSK|LSAT_3X28001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQASKLREQVAKQQQAVLSRLGKDAEIFDDDELHCHQKLQDLCNSTRVTKHFQKDIVRGVEGFISISRKQKHIAKRLAEDCHKFGTESTTADFPLAKAALELGTSQRSIEDKRDTMLEILNNQVCEPLRASIKGAPLEDARHLARSCDRLRQEVETQAVEVLRRQTKFRDPNTESVVKLKNMETKLSEVRASMVTLQKEAISAMLSVEEHQQKITMQKLLMMVDAERDYHRHVLAILEELHTEMILAKHMQESSRSANEKDTIVPSDGAPQHEHEKHLSENQDYDYFIGKVVHPFDAQADGELSLSVDDFVIVRQVSPSGWSEGECNGKTGWFPSAYLERQENPKTI >cds-PLY85862.1 pep primary_assembly:Lsat_Salinas_v7:9:128467997:128471856:1 gene:gene-LSAT_9X81401 transcript:rna-gnl|WGS:NBSK|LSAT_9X81401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGRDPWGGPLEIHNADSATDDDRSRNLNDFDRAALSRPLDETQQSWLLGPGEQKKKKYVDLGCIIVSRKIFVWTVGLILAAGFIAGFVTLIVKTVPRHHKHPPPPDNYTIALQKALMFFNAQKSGKLPKHNNVSWRGNSCLNDGKADKSGAVLKDLVGGYYDAGDAIKFHFPKAFAMTMLSWSVIEYSAKYEAAGELNHVKDIIKWGTDYFLKTFNSSADTISQIVAQVGKGDTSAGPDDPNDHSCWMRPEDIDYERPVTECSSCSDLAAEMAAALASASIVFKDNKAYSKKLVHGAATLWKFARDQRGSYSAGGADAATFYNSSMYWDEFVWGGTWMYYATGNQSYLYLASHPKLAKHAGAFWGGPDYGVFSWDNKLTGAQVLLTRLRLFLSPGYPYEETLQTFHNQTSIVMCSYLPYFSSFNRTKGGLIQLNHGRPQPLQYVVNAAFLATLFSDYLDAADTPGWYCGPNFYSTDVLRKFAEKQINYILGDNPRKMSYLVGYGTHYPKQVHHRGASIPKNRVKYSCTGGIKWEKSKKANPNTIIGAMVAGPDRHDGFHDVRSNYNYTEPTIAGNAGLVAALVALSGDRTTKKIDKNTIFSAVPPMFPTPPPPPAPWKP >cds-PLY88972.1 pep primary_assembly:Lsat_Salinas_v7:8:128682380:128684750:1 gene:gene-LSAT_8X89320 transcript:rna-gnl|WGS:NBSK|LSAT_8X89320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDATRIKTGFHPNTSRSNFQLKDLVANGQLAQAHQLFDQMPHRNTYSFYTLISGYVNSGNLARARALFDDMPVRTDVSWTILIGGYSKHHQPVGAFKLYAEMCRWGTPPDDVTFTTLFSCCNETLMTKAITQVHSHVIKLGFNYTLKVCNSLLDAYCKTGTLELANRVFTEMLTRDSVTFNAMITGYSNEGLNNQAINLFIEMQNSGMIPSEYTFAAVICATMGLNDVPLGLQFHTLVIKSNFIWNIFVSNSFLDFYSKHDSIDNAKQLFDEMTSLDCVSYNVIITGFAWAGRLKESLNLFHELQLSSFDRKQFPFATMLSLAANETNLKMGRQIHAQILVTEANSDIQVSNALVDMYARCDRFEEANVIFSSLLNKNSVPWTAIISAYVQKGFYNEALDIFKQMRESHVYGDQATFASTLRASSNLTSLSLGKQLHSCMITSGCISNVFCGSSLLDMYAKCGYIKDAIQVFDEMPLRNIVSWNTMLTAYAQIGDGEATIRTFNELVNSGVKPDSVSFLEVLTGCSHSGLVDEGLAYFKSMTQIVVKREHYASIVDLLCRCGLFHEAEKIMDEMPFDPDEIMLTSVLRACRVYKNQDFAKRMADALFNMEVLRDAGAYITMSSIYAEAGQWEDVSKVKRAMKNRGVKKLPAYSWVEVNHEVHVFSANDRIHPRIGEIWEKIDVLGRKMEEEGYKADTSVIVQNVNEDVKLESLKYHSERLAIAFALISTPEGSRIVVMKNLRACVDCHSAIKVISKIVGRDIVVRDSSRFHHFRDGYCSCGDYW >cds-PLY96563.1 pep primary_assembly:Lsat_Salinas_v7:4:368518267:368520328:1 gene:gene-LSAT_4X180900 transcript:rna-gnl|WGS:NBSK|LSAT_4X180900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 40 [Source:Projected from Arabidopsis thaliana (AT5G40140) UniProtKB/Swiss-Prot;Acc:Q9FL17] MGSSKNRWRFTLLRSPTNHRKPSPPPEFICPISGELMADPVIVSSGQTFERNCVLACISLSFKPTTFLATVDFTTIIPNLALKSAIINWCRTNSFLPIPQPIDIRSALKIVQTLMKDSPDFPLIRLSSCLSSTSEDSVVAAAADSCSTTPLPLLTTRPFCYSSSSSSEIDNSIEEDEEIFCKLRSGLTSNQEEAVDSLRKITRMKLESRIHLCTPRLLSALRHLIVSKYSSVQVNSVAALVNLSLENANKVKIVRSGIVPPLIDVLRGGFPEAQDHAAGALFSLALDDQNKTAIGVLGALPPLLHALRSGSDRSRHDSALALYHLSLVQSNRAKLVKLGSVQLFLNMVKSGHMTGRVMLVLGNLATSIEGRAAMLDGGAVECLLRLLSQAEFDSESTRECCLGALYGLSQGGLRFKGLAKEAGAEELLIKEEETGSERCKEKAKKILEVMRQKYEEEETVDWEALLNSDELTRSRYELVYGKG >cds-PLY79220.1 pep primary_assembly:Lsat_Salinas_v7:5:300001967:300005441:-1 gene:gene-LSAT_5X160321 transcript:rna-gnl|WGS:NBSK|LSAT_5X160321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKASQRRVQNGRKRVAKHGIKRLQKLMNNINNAETALKLVFSEIPHVEAVILVLGGTKLQMEMVNDRFVADLSRTDQISHSCLVHELQWFPVLKMHINDIVGSFLFEGLSTFTNHDWTLSRKGEETGVDGIVVEVGEDDWAGVVDEVDTLPKNGGRWCTGGYRGR >cds-PLY77014.1 pep primary_assembly:Lsat_Salinas_v7:6:64749607:64751735:1 gene:gene-LSAT_6X47921 transcript:rna-gnl|WGS:NBSK|LSAT_6X47921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGGISVVGRSVVDSHTSPCLCLDSFTSKTHMNQTKSEHKFRPGSLELRSSFIHSKYLAKTPSCKKQRKAKGLVIVNELGGQYEDSFRDVQAQLFNLFTYKAVRTVMNQLYEMNPTEYRWFYDFVVLNKPSDGKRFLRVLQKEKHELAERVMVTRLHLYGKWIKKVDHGEMYKNLSDQNLELMRERLMETVIWPSDDANWDQY >cds-PLY94299.1 pep primary_assembly:Lsat_Salinas_v7:7:164952275:164956555:1 gene:gene-LSAT_7X96441 transcript:rna-gnl|WGS:NBSK|LSAT_7X96441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAADGSDKIKPRDVCIVGVARTPLGGFLGSLSSLPATKLGSIAIQCALKRANIDPSLVEEVFFGNVLGANLGQGPARQAALGAGIPNTVVSTSVNKVCASGMKATMFAAQSIQLGQNDIVVAGGMESMSNVPKYIAEARKGSKFGHDTLVDGILKDGLWDVYTDAKMGTCAELCADTHEITREQQDDYAIQSFERAIAARDNGAFSWEIAPVEVPGPRGRPSTIVDKDDDLAKFDAAKLRKLRPAFKENGGSVTAGNSSGINDGAAALVLVSGEKALQLGLHVIAKVSGYADAEQAPELFTTTPALAIPKAISRAGLDASQIDFYEINEAFAVVALANQKLLGLDSAKVNVHGGGVSLGHPLGCSGARILVTLLGVLKQKGGKYGAAGVCNGGGGASAFVVELL >cds-PLY97794.1 pep primary_assembly:Lsat_Salinas_v7:7:120570150:120572117:1 gene:gene-LSAT_7X73440 transcript:rna-gnl|WGS:NBSK|LSAT_7X73440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNPLISPFATVSSGDNHLFAAIDLGTNSFKLRIVRADPTTGRFLTLQRLKEPVVLVSGGNNNTAISSSAQARAIESLRKFQNIIHSLNVPPAHLRLVATSAIRESSNQSEFLQLIHETLGLQIDVVSGYEEARLTYLGVLQFHPIYNHTVLTIDIGGGSTEFVIGFKGDIKFGISLKLGHVTLTQRFVRNNATDAMREHIRAVVKESGLIENVLQQKIDIAVGSSGSIRMIEKAIFLGYSNDLVNEIGLLEGYRRDWKFTREELRVLVDKLCEEESEVEGGKVYNRKGFFKTRSAFIVAAVILLEEIFELLEIKEMEVSGYALGEGVIAEKLAEFFDGFDLNANARWRSILRLASRFNNKKRMTSAASCASIAQGIFRGLRKWSEIDDQQKQVVLLDDKDLEYLEAACLLHNIGLITGKKGYHKRSYHIIMNGEHLHGYNTEEVKLIALLAKHHRKKFLKPDHDSLSEFTREMKQKLRVLCTIIRLSAALKQFQSLSFQDVELSHSHEGFKLVNNHQ >cds-PLY92031.1 pep primary_assembly:Lsat_Salinas_v7:8:238443459:238446055:-1 gene:gene-LSAT_8X143701 transcript:rna-gnl|WGS:NBSK|LSAT_8X143701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLHFISNSSFFYKDSCAKSRGFNICKPLYSSQSTSIATTTLDKSLVRRSANYKLSLWSFDHIQSLSTKYKGNDYTSRAHTLIDAVKSMICKVSHPFRTLELIDDLQRLGIAYHFVDEINYLLEMIYHNYYETQDMWDIMDLNHKALGFRIMRQHGYHVPQDIFCNFSDTTRNLKPHLYQDMMCTLNLYEASYHSFENESVLDDIRDFTSNYLKENLEQITENLSSMVTHALELPLHWRVPRVEAKWFIVEYENRSGMNPTLLELAKLDFNIGQAMHLEDLQHSSRWWRDTSWDKNLSFARDRLVENFLWTVGVNYLPHFSVERKTLLKVNAIITTIDDVYDVYATLDELELFTDIVDRWDINLVGKLPHYMKICFIGFYNSVNEMTYETLTKTGFMILPYLKKEWADLCKAYLVEARWYHSGHTPTLEDYLDNACVSIAAPVILTHLSFLTSITSKEEILQGIKRAENIVRYSSLILRLVDDLGTSSDEIARGDNPKSIQCYMHETGATETEARRYIQKLITETWKKLNKERAGANSQFLREFNDGATNLARMAQFMYGDGDRHGRPELTRPHVVSLLFNPIQ >cds-PLY81255.1 pep primary_assembly:Lsat_Salinas_v7:4:307941303:307944574:1 gene:gene-LSAT_4X156141 transcript:rna-gnl|WGS:NBSK|LSAT_4X156141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRQRKKTVALESKRSYALYFFSRLGSARAVNRRYIHDCVPLKIRIQASADFVSASANF >cds-PLY86106.1 pep primary_assembly:Lsat_Salinas_v7:7:139739736:139740220:1 gene:gene-LSAT_7X82921 transcript:rna-gnl|WGS:NBSK|LSAT_7X82921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTWPRVNYKNLNKMKKGMISSPAASQIHPYAASRPAVDPPSSAPTVSVPDAVLNVATIQPTATAEEPAEATDSQSALLAPTVRVHSLNPGTLQDET >cds-PLY89446.1 pep primary_assembly:Lsat_Salinas_v7:8:30839391:30841912:-1 gene:gene-LSAT_8X22181 transcript:rna-gnl|WGS:NBSK|LSAT_8X22181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWNQIKFLLKRKNKDRRRPSQAKVTLTLETASPQHPETIEKLPSDVLSNIFIRLLAKEIAQLRCVCKSWNALLSESSFIKSHLHHSIHHKDEILLFFRHAFSFDRRPFTAHTSHSPDLELTNFIKLPTSPQSKDTRGDIIGSVNGLICFKYGSYDYAIHIWNPSLSMVLTLPPYSLPKDEWMVNHFRFGYDPKTDDYKVVKLTKLSGAISMLSQVEVYSMRKGLWQLITQRFPSNIKWVSDEEVVCVDGHEGHVHWLGYTDMEQKLQTILAFDLGAETFREIPLPDSILHLHEECLNAMGVLGGKLCVMSCVFEAGKCEVWVMEEYGVTESWVKHHGFSQFSADIVPYGFTLRGEFLFQVDEDANDDCLVLYDPIAAKAKNFKKMGRITISKVVEYVDSLVWVVPEEREISSCSISQF >cds-PLY76116.1 pep primary_assembly:Lsat_Salinas_v7:9:30573917:30578156:-1 gene:gene-LSAT_9X27880 transcript:rna-gnl|WGS:NBSK|LSAT_9X27880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSQIGTIPDVSLDTKSRRRPPSIDDGANFFDDQHQGPVSATSSVVRGLKTFAELVPLILSLPASVLSFTSQDKLKLLLTGQGDHCTGIYIYHTSFIGKTHASFHLWIKDASIVNQELKFDTKEEVHTLDAFPAGFDIGKYIFAFEVLTAVATSFHALQPLKVPAFRLFF >cds-PLY76245.1 pep primary_assembly:Lsat_Salinas_v7:4:52606527:52608478:-1 gene:gene-LSAT_4X34781 transcript:rna-gnl|WGS:NBSK|LSAT_4X34781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSPETEHPVKALGYAARDTSGVLSPINFSRRKTGDEDVRFKVLYCGVCHTDLHFVKNEWNNTKYPVIPGHEIVGVVTEVGSKVKKVKVGDHVGVGCIVGCCHSCNQCGANQEQYCSKMVHTYNDSSVVTYGGYSNHMVANEHFIVIWPKDYPLDGGAPLLCAGITVYSPIRYYGLDKPGMHVGVVGLGGLGHVAVKFLKALGVKVTVISTSPKKKEEAINTFGADSFLVSRDQAQMQSGVGSMDGIIDTVSADHPLVPLISLLKPNGKLVLVGAPTKPYELPAFPLLFGRKMVGGSFIGGIKETQEMIEFAAKHNITAAIERIPIEYINTAMERLQKSDVRYRFVIDIGNTLKAA >cds-PLY81010.1 pep primary_assembly:Lsat_Salinas_v7:9:174900183:174900656:1 gene:gene-LSAT_9X106221 transcript:rna-gnl|WGS:NBSK|LSAT_9X106221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSYSFSSSLNRSSKKSTANDPKPCDCGFPACILTSKTPKNPGRHFMVCNEGKCKYWKWLDVEPVEMPLMEVVEGMKVELVALKTEVEKVKEDMEQMKKEKYCDAIAMKEKIYKFTIGFLFLIVYTMK >cds-PLY98217.1 pep primary_assembly:Lsat_Salinas_v7:7:170482163:170484380:-1 gene:gene-LSAT_7X100640 transcript:rna-gnl|WGS:NBSK|LSAT_7X100640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 8, vacuolar [Source:Projected from Arabidopsis thaliana (AT1G17120) UniProtKB/Swiss-Prot;Acc:Q9SHH0] MVIPNKSLPDSQSDQDNPRINLLAPLNPHHSSAITTADTMDQQPHPPRSYWRFSKQDFYPEPSFQNLSAYKSAFSQTLHRLRDRCLGRSTDETELIELKKQSENEMRKCLTWVDLIWLGFGSVVGSGIFTITGLEARDDAGPSIVLSYALSGLSALFSVFCYTEFAVEIPIAGGSFSFLRVELGDFIAFIAAGNILLEAVAGAAGLARSFSSYFASMFSSNPDILRIRIDSFAEGFNLLDPIAVIILFIANMVAMRGTKLTSSSNMILSMISSLIIVFIIIVGFTHAKSSNLTPFFPYGPEGVFRAAAVVYWSYTGFDMVANMAEETRKPATDIPLGLVGSMSMISVVYCLMALALTMMVNYTEIDRDAAYSVAFEKIGMKWAKYIVSICALKGMLTSMLIGSMGQARYTTQIARAHMIPPWFALVHPKTGTPVYATLLVTTISCIVAFFSSLDVLSSVLSFSTLFIFMLMAVALLVRRYYVKETCSSRDLMKFMVWGVPLVPWLPSMSILMNVFLIGSLGGIAFKRFFICSGVMLVYYFFVGVHATYDLAHQDSQESMVEKGKEDATKDGL >cds-PLY78229.1 pep primary_assembly:Lsat_Salinas_v7:6:7521745:7522583:1 gene:gene-LSAT_6X5080 transcript:rna-gnl|WGS:NBSK|LSAT_6X5080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYTDLFLPKVLLHILTLLGFIRKLISFIFRFVGLGEFLEPDFSTDPTRNEPVTQFHSVSAVLIRELLPVVKFSELVDPPESCAVCLYEFDAGDEIRRLTNCRHIFHRCCLDRWMDHDQKTCPLCRTPFIPDDLQDSFNERLWAASGIADYYGDSSLVSGS >cds-PLY76108.1 pep primary_assembly:Lsat_Salinas_v7:9:31921769:31925502:1 gene:gene-LSAT_9X27161 transcript:rna-gnl|WGS:NBSK|LSAT_9X27161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVGINSYVTPTVNPNHRNSSFSSPILRTQLPVGRTLSSYSSSNIKSPSFSHSRRNPLHSFIKCSVSEAEAPETASEKKVALVRRNDIRNIAIVAHVDHGKTTLVDAMLKQAKVFRDNQVVEERIMDSNDLERERGITILSKNTSITYKDTKMNIIDTPGHSDFGGEVERVLNMVEGIILVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPSARPDFVINSTFELFIELNASDEQCDFQAVYASGIKGMAGLSPDNLADDLGPLFETIIRCIPGPKIKKDGSLQMLVTSTEYDEHKGRIAIGRLHAGVLTRGMDVRICTTEDSCRFGKVSELFVFEKFYRAPAERVEAGDICAVCGVGDVQIGETIADKNDGKPLPAIRVEEPTVKMAFSINTSPFVGREGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFLVSGRGTLHLTILIENMRRENFEFMVGPPKVINKKVDDKVLEPYEIATVEVPEVHMGSVVELLGKRKGQMVDMQGLGSEGTTLLKYKIPTRGLLGLRNAILTASRGTAILNTIFDSYGPWAGDMSTRDLGSLVAFEDGTTTSYALASSQERGQLFVKPGAEVYKGQIVGIHQRPGDLSLNVCKKKAATNVRSNKEVSVVLDTPMDYSLDDCIEYIQEDELVEVTPKSVRMSKNPKINRKGR >cds-PLY64661.1 pep primary_assembly:Lsat_Salinas_v7:7:136169880:136174882:-1 gene:gene-LSAT_7X81460 transcript:rna-gnl|WGS:NBSK|LSAT_7X81460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSIAEMEKPHAICIPYPAQGHINPMMKLAKLLHCKGFHISFVNTHYNHKRLLRSRGPSSLDGLPDFHFYSIPDGLPPSDVEATQSIPALCESVPKHSLEPFCELISRLKGGEESGVPPPSCIVSDGCMSFTLKAAQRFGLKDVLFWTPSTCGVLAYTHYRDLVERDMSEVLNGYLEKSLDWIPGMNNIKLKDFPSFIRTTDINDTMLNYLITEAATIPRGSAVVLNTFDALEQDSVNPLITLNPRTFTIGPLHLMQQHIENDQVKHIGSNLWKEDESCISWLDTKDPGSVVYVNFGSITVMTKEQLIEFGWGLANSKKDFLWITRPDIVGGNEAMMPQEFVDETKERGMVTSWCPQEQVLKHLAIGAFLTHSGWNSTIESISSGVPVICWPFFAEQQTNCRYSCVEWEIGMEIDSSVKREEVEAQVREMMDGKKGKMMKCKALEWKKKAEEAIVIGGSSYLNFDKLVTEVLLRK >cds-PLY88742.1 pep primary_assembly:Lsat_Salinas_v7:4:170044824:170049594:1 gene:gene-LSAT_4X99881 transcript:rna-gnl|WGS:NBSK|LSAT_4X99881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASHCISASSLFPSTSLSRRLQSRRIRRLPNANSSITCHICNSEPEEESKNKITALNLLQISVTLTIISASLPQPVLAAKVSQKKRPPKKTNTLTPEEWKTWSQGLPVVANRIPYTDILNLKRDGKLKHIIKPPGRGLKERPVVVLAVLEDSKVVRLVVPSIDSDQKFWESWDEMEIDSICVQAYTPPVKMPDVPPPFLGFLAKVPVWFFSLVKPKPVSKKVLELRKAREELKKSRSNEMLSRKKEIAQMEKAMRAQKKMEEKRKKMEEQKLRSEQSMIEAEMTYSRMSNMWRDLARDSNVTLVIGLLFFVLFYKTVVLSYKKQKKDYEDRLKIEKAEAEEKRKMKELERGMAGLEPGEDDDDEEGKEGEENPYMKMAAQFMKSGARVRRAQNTKLSQYLERGVDVKFTDVAGLGKIRLELEEIVKFFTHGEIYRRRGVKIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEARECAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVSLDGFEGRGNVITIASTNRPDILDPALVRPGRFDRKIFIPKPGLIGRVEILKVHARKKPMAEDVDYAAVATMTDGMVGAELANIVEVAAINMMRDARTEITTDDLLQAAQIEERGMLDKKERSLEIWKQVAINEAAMAVVAINFPDLKNIEFINIAPRAGRELGYVRVKMDHIKFKEGLLSRQSLLDHITVQLAPRAADEIWHGEDQLSTIWAETSDNARSAARTLVLGGLSDKYYGVSNFWVADRIDEIDTEALRILNMCYGRAKEILEGNRKLMDAVVDTLVEKKSLNKQELIDLVELHGCIRPAPPSVVDLRAIKRAHMQSMLNQKEEEASKATI >cds-PLY68785.1 pep primary_assembly:Lsat_Salinas_v7:8:65809224:65810990:-1 gene:gene-LSAT_8X46460 transcript:rna-gnl|WGS:NBSK|LSAT_8X46460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFGRVRLKGWQQAAVALGSAVGALVDPRRADLIAALGETTGKPAFQRVLQRMKSNPQGREILLDRPRVISKNVGHAWDLPENTFGAAYAKFMGSRNFSPDDRPPVRFMETDELAYVAMRAREVHDFWHTLFGLPTNLIGESALKVIEFEQMLLPMCFLSVIGGTARFSDKQRVLFYRHYFPWAVRAGMKATDLMCVYYEKHFDEDLEDVRRRWGIIPAPTV >cds-PLY62920.1 pep primary_assembly:Lsat_Salinas_v7:3:145218020:145223079:-1 gene:gene-LSAT_3X94600 transcript:rna-gnl|WGS:NBSK|LSAT_3X94600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPRSYSILIHPPFTTCYQLSAFLRTPSSELGFTLAIISTFLTPASQLFGPKSPAATPPLFFWLYCSSKLDSTSLFITQSPFQNINSHIRLSFPSKRTYTLIVAMDGCDRMFFSEIMKEDFDIMGCISSRVRHLGQLNCKTRMLRQLLHLFQCFKNDQQALVLEGQMLIKYVIMNAIALRKILKKYDKVHNSVSGVNFRSKLQAEHLEILQSPWLTELSHRRSGCHFRTTRRRHSQFVREVHLNCTSAPLYGGSDDLLSDAPSFDLPVTSDLTGRVEEKRRWSEGIHLAVEAKEGLPIQEKEFLKMFQMPVIEVPTNMANIRHDLPIQAFANARGKWEYVHAEVESMFRVGRPVLVGTTRYYYFNEIHSSLVILCVENSEYLSALLRASKIPHNVLNARPKVCCLLFVGFINENR >cds-PLY99511.1 pep primary_assembly:Lsat_Salinas_v7:1:113989522:113992416:-1 gene:gene-LSAT_1X88321 transcript:rna-gnl|WGS:NBSK|LSAT_1X88321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGLNLQKYLIPLEEINRATDNFSQQRFIGSGGFGAVYKGQLSDGWKNRTVAIKRLGKDSHQGEPEFRNELEMISKFHHENIICFIGYCDEAGEMIIVYEYAVNGSLDYHLQDPYKMCCITWIQRLMICIGAARGLNYLHSGLGQHNRVIHRDVKSSNILLDENLVAKVCDFGLSKLGPRNQPDTQLYTRVAGTQFYLDPTYHESRILRKESDVYSFGVVLFEILSGMLVYHERSTRDDERQFLMTLVRRYYKKEPHNIIDPHISDQIDSSSFHMFQEIAYQCISFNLKERPTMDKVVERIEEALITQIQSVLEKLNTTGPENKSADDIRLLARIMEDNRIAIRIYTHQLIIPNSETQEQAISAILNLSIYEENKGNIISCGAVPGIVLVLKVGSMEARENAAATISSVCVTDENRAIIGAEGAIPPLILLLSKGTQNGKKVAIIALFNLCIYQDNKEMVVRAGVVPILIVLLTEPQGVLKEEALSILAILSSHIEGWLAIGKEEVLPVLVEVIGNGSPNNKENAAAVLVELCSGSQNYLVEAQEHGIMEKLMDLVQNGTDKGKRKARQLLEMIEDHQKSNDDAYVEITLDVRDDPVSVLSVKTADRADMQDPELNLLAKLLENRSRVNQNMLTRIRQVSKDWKQLAYRRQF >cds-PLY77617.1 pep primary_assembly:Lsat_Salinas_v7:2:164523876:164524729:1 gene:gene-LSAT_2X86801 transcript:rna-gnl|WGS:NBSK|LSAT_2X86801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRPGPRRLPHQPPILDCRTSSVFSLPYLSCILRVDAVAHTAMVEEEACPTFTDLLNAISHDFCPL >cds-PLY72688.1 pep primary_assembly:Lsat_Salinas_v7:6:28480587:28483882:-1 gene:gene-LSAT_6X22260 transcript:rna-gnl|WGS:NBSK|LSAT_6X22260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYLAWLQRFNGLIAFFFFFSGSRNLRRNALEFGRTFVVMPKGTHKATIVWLHGIGEKGSSWTQILETLPLPNIKWICPSAPTRLVTLFGGYPCTAWFNMESMSEDACDDLEGLDASATHVANLLISEPDDVKLGVAGFSMGAAVALYSATCRALGQYGNGNRYPINLSAAVALSGWLPCSRNLRNRVGLSQEALRRASSLPILLCHGKVDDVVEYKLGEKSAQTMYSAGFQSVTFRTYNALGHYTIMEEINDVCNWLIACLGA >cds-PLY67763.1 pep primary_assembly:Lsat_Salinas_v7:9:167957312:167958111:1 gene:gene-LSAT_9X104061 transcript:rna-gnl|WGS:NBSK|LSAT_9X104061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQIHDNEDIQRETKRQKQCFPINDHVDLLIEVLQRLDGRSLGAAACVCRQWCSIVRNDSLWENLCFRHVSPPSVGVRSVVLALGGYRRMYMVCVRPVASRLKRRRFFSGESEVVRRVWNRHEVELSLSLFCVEYYERLLGGGGGVVSGESPAKSLKFLCKAVNVSF >cds-PLY81859.1 pep primary_assembly:Lsat_Salinas_v7:9:181726651:181729048:1 gene:gene-LSAT_9X112141 transcript:rna-gnl|WGS:NBSK|LSAT_9X112141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLFSHLETSKVSSRIGKTSSINLSPSQKIIREFKKCEVKKYCSPPSYPDTSKDDSTEWQVLYTTQLTQKAKKFHDGILKVLISGLRGRQAILYDETRTQLDSRFLKKEETITAGESMKFDGHIVDIIELRDHKPLKDTNVDGRNCYKQNIMPSKNHNEHLLAAAGGIVRIVDSECSRKTSREQEKKNFSMELWKTAFMDACERICPVRAVGHDCGCLPVLSRLVMEECKVRLDVQVDITKLQNYREVVKPDITVYQFSKEPGKHLEECKLYVDAPKKNFDPNSVSSITIPFPLSGFKYNPIQLECMIPFHDTVGCKWTKSNLESFTIDEFHNVDGKVEFFL >cds-PLY74494.1 pep primary_assembly:Lsat_Salinas_v7:7:34790564:34796480:-1 gene:gene-LSAT_7X26661 transcript:rna-gnl|WGS:NBSK|LSAT_7X26661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESKASFILFLTFIFVPFLQFAESIVPQQEVEAVVSILAAMNATSWRFNGDNCNLDTISEVPKLSQEANASIGCNCNIENDTNCHVVRITHKFYSLDGVLSPELANLPFLRSFDVAYNFLQGTIPPEWGLTQLEEISLLGNRLTGEIPPELGNITTLTRLDLEANKLSGTIPPDLGRLINLQSLILSSNQLTGRLPIALGQLGNLTNLEIVASGLMGPIPSNISFLENLNDLRISDISGPTQRFPPLENATGLIRLILRNCNLSGELPDYIWQVRELELLDTSFNNLVGRISNNILGRSIRLVFLTGNMLSGDIPDALLVNGAAIDLSYNNFTWQGPNQPTCRQNTNIYLNLFRSSSTGNPIQDVLPCNQDTKCPTYACSVHVNCGGNDVTVRESNGRSVFYKGDADVDGGAAKLYESDKNWGFSSTGDFMDDNIFQNTRYVDSLQGNTSLSTLYTTARFSPLTLTYFGYCLENGEYLVNLHFAEIIFTNDSTYRSLGRRIFDIYIQGRRVRRNFNIEDEAGGVEMPVVVPFNVSVTDNILEIRFYWAGKGTTRFPTRGVYGPLVSAIDVNPYFKTCSMGGKKTKKDVYIGVGIGVPCLVLLILVILWWRKSFKGRRTNEKDFEGMEFNTISFSFKQLKSATDNFNPSNKIGEGGFGAVYKGTLSDGTVIAVKQLSAQSRQGNREFLNEIGVISCLQHPNLVKLHGCCIEGDQLLLVYEYLENNSLANALFDLGKNRLMLDWATRFKICIGIARGLAFLHEESRIKIVHRDIKATNILLDKDLNPKISDFGLARLNEDEKTHVSTRVAGTIGYMAPEYALWGYLSDKADVYSFGVLALEIVSGKNNNSYVPTNDCICLLDWACRLETSKHYEELFDERLESRINREEAETMVKVALLCTNGSPSMRPTMTEVVSMLDGKTCVPEIIPESNGYSEDLRFKAMRDFRRERQGQHSYNSGQTQNSNTFQTDTNDSFTQS >cds-PLY83498.1 pep primary_assembly:Lsat_Salinas_v7:8:116025525:116026779:-1 gene:gene-LSAT_8X80540 transcript:rna-gnl|WGS:NBSK|LSAT_8X80540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILPAALAQTNFTYNRMHTKITSIKSEPESTSASEHHVFVRRKRSRNPELVTKTEPQDQKFTSILPDIEEFAYGKPSINLPLTKSKPKTQVKPVNPPSNWEKVLEQIRKMRSLNDAPVDSMGCEKAGTSLPPKERRFAVLISSLLSSQTKDHVTHGAIQRLQDSNLLKPETIEKADETTLKNMIYPVGFYTRKAINMKKIAKICLLKYNGDIPNTLEGLLSLPGIGPKMGHLVMNVGWDNVQGICVDTHVHRICNRLGWVMGTKTPEETREALQMWLPKEEWVPINPLLVGFGQTVCTPLRPSCSLCSVNELCPSAFRAATTPKKKMPGKTKREEL >cds-PLY66908.1 pep primary_assembly:Lsat_Salinas_v7:7:19541480:19542774:-1 gene:gene-LSAT_7X15681 transcript:rna-gnl|WGS:NBSK|LSAT_7X15681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLGVITTDACVDTDIWRKMVQVAVKRQLQAYYNWAIAISDRAKLHVSTKEAEELWKQATKNYEIAVKLNWNNRQYGLAEDTSRTGVPVVGNEIPFNELYSQSAIYIAWDGEGATCVIEVVIYGRDPNWGRIACAAGYAGICFD >cds-PLY96360.1 pep primary_assembly:Lsat_Salinas_v7:4:356483619:356484832:1 gene:gene-LSAT_4X175920 transcript:rna-gnl|WGS:NBSK|LSAT_4X175920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQVGVDVPAQSFKMFLVDRIFVRIAAKDHIMAGHSTFLTELLETASMLVSLCVGFVSAMKLDMLCSHQQHGFLMLCLKWLRSSHKSLRQCMVKGKGQSNLISKFAVVIWLQRGSLAVTSPLPGSVGSLLHSFKKPPNQIALVGEVVPLGDKRYNFISKTEVVYLSFFMPP >cds-PLY65512.1 pep primary_assembly:Lsat_Salinas_v7:3:1760601:1762628:-1 gene:gene-LSAT_3X721 transcript:rna-gnl|WGS:NBSK|LSAT_3X721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCPKLASFTLRELLEEEEEERTKEKRCRAKIQGLNQSSLSRGDTGAATDSFQSHTHSSQFTRYFRKQREELKMMASHTPQFQFLSWELIHFLRYSVPKDTSKGEIECTPHHHVLPLPLGSNVPKTNRQTISLKD >cds-PLY70455.1 pep primary_assembly:Lsat_Salinas_v7:1:74189442:74190388:1 gene:gene-LSAT_1X63180 transcript:rna-gnl|WGS:NBSK|LSAT_1X63180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELSLHTIIPVASLSTRSGCKFKDHNTFHRSSYILFPTKPKETLIPNGLPETAASVAVAATVVGAAATLLARRTKSVEAANATPTRPCEDCGGSGICSECKGEGFVVKRMSDSSAEKARLNAKNMATRYTAGLPKKWSYCTKCLSARSCKSCDGSGKLSF >cds-PLY73841.1 pep primary_assembly:Lsat_Salinas_v7:7:69584114:69587529:-1 gene:gene-LSAT_7X50101 transcript:rna-gnl|WGS:NBSK|LSAT_7X50101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIGTDSEKMNLTVKESVMVKPSKQTPNQRLWNSNLDLIVGRIHLLTIYFYRPNGSSDFFDSVVLKQALSDVLCSFFPMAGRLGTDGDGRVEINCNGEGVLFVEAEADCKIDDFGEITPSPELRRLAPTVDYSGDISSYPLVITQVTRFKCGGVSLGCGVHHTLSDGFSSLHFINTWADIARGLPVAIPPFNDRSLLRARDPPTPMFDHVEYHPPPSLITPPENHKSPASTTILRLTLDQINDLKSKGKGDGSVYHSTFVILAAHLWRCACKARGLSHDQPTKLYVATDGRSRLNPPLPPGYLGNVVFTATPMANSGEFKSESLADTARRIHSKLSRMDDHYMRSAIDYLELQSDLSTLIRGPTYFASPNLNVNSWTRLPLYESDFGWGKPIFMGPASILYEGTIYIIPNPNDDRALKLAVCLDSEHMSLFKKYLYDF >cds-PLY77075.1 pep primary_assembly:Lsat_Salinas_v7:3:220519129:220519467:1 gene:gene-LSAT_3X131680 transcript:rna-gnl|WGS:NBSK|LSAT_3X131680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITIRYPFILTLILLSEIEHSRQSISTISSEITSSNEESEIDGHLLQQQQMS >cds-PLY79328.1 pep primary_assembly:Lsat_Salinas_v7:9:53472778:53477913:-1 gene:gene-LSAT_0X17841 transcript:rna-gnl|WGS:NBSK|LSAT_0X17841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVISSGFRYTTLPESYVRPVNDRPNLSQVSDCNDVPVIDIGCGDRQLISQQIGDACRRYGFFQVINHGVPDEIVEKMQQVGREFFLLPVEEKMKLYSEDPSKTMRLSTSFNVQKEQIHNWRDYLRLHCYPLDQYSPEWPSNPSYFKEYVGNYCTAVRNLGMRILESISESLGLQKEEIKTILGDQGQHMAINHYPVCPEPELTYGLPGHTDPNALTILLQDTLVSGLQVLKDGKWLAVKPHPNAFVINIGDQLEAVSNGEYKSVWHRAVVNSDNPRMSIASFLCPCNDTVIRAPKEIIKEGSKPVFKEFTYAEYYAKFWTRNLDQEHCLEFFKN >cds-PLY82392.1 pep primary_assembly:Lsat_Salinas_v7:4:336736699:336737136:1 gene:gene-LSAT_4X166580 transcript:rna-gnl|WGS:NBSK|LSAT_4X166580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENPTINFDDENSAIVSALWYVISGGNAASTSSGVMGNSRSQPSPVEHELCGECRLPIPEHCLGCQMFIGCSGEETGKRTKKIYRGVRLRPSRKWAAEIMVPGTKERKWLGTFETAEEAARAYDVANIQYRGKSAKTNFPVEESD >cds-PLY89528.1 pep primary_assembly:Lsat_Salinas_v7:4:158295917:158300864:-1 gene:gene-LSAT_4X95281 transcript:rna-gnl|WGS:NBSK|LSAT_4X95281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKIYLVLVVLLGLWGCFCTASVSYDHKAIAINGERRILISGSIHYPRSTPEMWPDLIQKAKEGGLDVIQTYVFWNGHEPQPGKYYFEDRYDLVKFIKLIHEAGLYAHLRIGPYACAEWNFGGFPVWLKYVPGISFRTDNAPFKAAMEKFTTYIVNLMKSEKLYENQGGPIILSQIENEYGPMEYEYGAPARSYSKWAAQMAVGLDTGVPWVMCKQDDAPDPIINTCNGFYCDYFTPNRNFKPKMWTEAWTGWFTEFGGAVPYRPAEDLAFSVAKFIQTGGSFINYYMYHGGTNFGRTAGGPFVATSYDYDAPLDEFGLKREAKWGHLKDLHRAIKLCEPAIVNGDSSAISLGNYQKAYVYKTKSGDCAAFLANDDKSVYAKVNFHNQHYNLPPWSVSILPDCKNTVYNTARVGAQTTLMKMTREPIGFAWQSYNDETEYYDDNTFGTIGLLEQLNVTRDSSDYLWYMTDVKIDSDEGFLRNGKQPTFTALSAGHALHVFINGQLSGTVYGSLENPKITFSNVVNLRAGINKISLLSIAVGLPNIGPHFETWNAGVLGPVMISGLNEGKRDLSWQKWSYKIGLKGEILSLHSLGGSSSVEWVQGSLVAQKQPLAWYKTNFDAPDGDEPLALDMNSMGKGQVWVNGQSIGRYWPAYKAIGSCSTCNYAGYFDEKKCLSNCGEASQRWYHVPRSWLKPRGNLLVVFEELGGIPYGISLVKREIYSVCADIYEWQPSLKNYQMQVSGNATKPLRPKAHLSCNPGQKISSIKFASFGTPEGGCGSFQEGGCHAHDSYDTFNKLCIGQESCAVAVTPENFGGDPCPSVMKKLSVEAVCS >cds-PLY81696.1 pep primary_assembly:Lsat_Salinas_v7:3:31392413:31392698:1 gene:gene-LSAT_3X21820 transcript:rna-gnl|WGS:NBSK|LSAT_3X21820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHITFLKGDVNVLKKYSIEAMPTFMFLKNGEIMDNVVGVKKDELHACILKHSEASCFCIRLM >cds-PLY86261.1 pep primary_assembly:Lsat_Salinas_v7:8:58816290:58818689:1 gene:gene-LSAT_8X42780 transcript:rna-gnl|WGS:NBSK|LSAT_8X42780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFVFLVDRLLTESTLEAAIESRNPSYPLSPAIDTMIDCSSHMDHPETPFTPRKMVECRICQDEDFDSNMETPCSCCGSLKYAHRKCVQRWCNEKGDTICEICHQQFKPGYTAPPPVFRLGVIPANIRGHWQIARRDMNDETRIITVVSSDHNLLDQEYDEYADSTARSILCFRSVAIIFMIVLILRNTLPIFANGGANYSLPVLLLLLIRTSGIILPIYLILRAMSALVHRRRHLVSNGSSSSFSSDDEEAGATSMQGGGVD >cds-PLY86846.1 pep primary_assembly:Lsat_Salinas_v7:1:16689164:16689622:-1 gene:gene-LSAT_1X14560 transcript:rna-gnl|WGS:NBSK|LSAT_1X14560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPDDRTAHHLQSISCITDEQTHSKSLCSCNLKRNPRSDGYIKASTSASTGLSGIPSSSGSAHSLLSSQSRTTISCHSSGTQASNSQSNPDWFPRHLHQDNMNPLVGFEGSSVKFAIDGMFKGSKCMNSEDLICSSSHHSLRGWNIKSEAHK >cds-PLY89993.1 pep primary_assembly:Lsat_Salinas_v7:3:84317478:84318225:1 gene:gene-LSAT_3X64601 transcript:rna-gnl|WGS:NBSK|LSAT_3X64601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYHLKHITSICGFIFLFMHVIVTHGELTNDLALGFTRLPFNSSYYINHKPYNLPLEERYSFINEVHKLWVFSTDEPLSRGSPTLPRSELFINGYKYSTGVWQFEAHVFVPHGTTGVSVMQVFGSDPPHATTFMLRVYDGNLYYYRKSIIFHNIYNKWFRLNVIHHVEGNNVKVYINGVLRFKGNGRGGTTHYFKCGVYAQDRASFYMESRLKNIKIFKKCN >cds-PLY71802.1 pep primary_assembly:Lsat_Salinas_v7:3:58327366:58328654:-1 gene:gene-LSAT_3X45400 transcript:rna-gnl|WGS:NBSK|LSAT_3X45400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLITNNEYGRIFLFCKGVDEYQCPFAVSVVYQDEPMEVIKDIIINIKNKTYITLSNLVSTLLCLEGDVMELRTTLVSGPILYSLYKNIVFCLTLFYFEIYTKFGGVVLYDGWYMLMFNLLLTFLHVISLGVLGQDVSFHSCLEVFLQNNQISNLCIVGILSLLRSLLHVQFPGIYQQGQKGISFSWRQILGWMGNGILTSLLIFTLSIQILSNSAFRHGEVANISHLGVFMYTMIVWTVNCQIALIIIQFTTWIQHMLIWGSVLCWYMFLLLYGALPPVYSRREFKLLVEAVSPAPMYWIATLLVVVVSLLPYITYMVIQRLFCPTDDQLIGEMNYITNDGPAVQELLEIIPEQDPRE >cds-PLY70605.1 pep primary_assembly:Lsat_Salinas_v7:1:88500251:88502038:1 gene:gene-LSAT_1X75561 transcript:rna-gnl|WGS:NBSK|LSAT_1X75561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSLVNQAKFSKTEEEKGVNGNDVVATTSKKKNKTHEKKKDETIVKETGHSGYVKVNMDGLPIGRKVDLNAHDCYETLAQALEVMFLKASTSSRKEKHQGKQRSRLLDGSSEFVLTYEDKEGDWMLVGDVPWRMFLGTVKRLRIMKTSEASGLELRR >cds-PLY89620.1 pep primary_assembly:Lsat_Salinas_v7:9:39802346:39804039:-1 gene:gene-LSAT_9X37420 transcript:rna-gnl|WGS:NBSK|LSAT_9X37420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLLLSPSWRPHSSFHSDLDHYDPKIEVYAGEHHDFSSLIASESENSSKISSANFPTLSSDYDLMHNPSSVNMKGFGDVYGWLCVDDQQMEEIPTKRSIGGDHVLSPNQSDQSSATSSVCVASENQSPMEQTDDSMESESQEGIHNLLMAYADAMGKGQGELATVIVKCISEKTNTIGSPLERLALNLFQPEENQGEAYLKQESIRNFNPAFRAFYDIFPYGRFAHFTSSSVILQAVPTYVDSVHIIDFDIGEGTQWPPVIEAMARAKRSLTITSIKLEEHVSGFEETKRQLLNYARTFCLNLMVEEMELSQMVKGIGGRNSGNKFLAFNCMVGLPHMGRTRRTTQVLEFIKIAKGILVKNKGIITFGDGEESERMGNSPDYASFFNKHLAHYKALYESMEWGFPSYLNEARIAMETLFLAPYVSSFSWFQKWKEQRENMVFQEGFGLKGERMSMESRNEAREMVREGQTPYKIRVEGDDHNEMVLEWREIPLVRVSAWRQIK >cds-PLY74016.1 pep primary_assembly:Lsat_Salinas_v7:1:32614502:32614741:1 gene:gene-LSAT_1X29481 transcript:rna-gnl|WGS:NBSK|LSAT_1X29481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSEISLSPFLLLLLAAAIYLGAHEVLNRHYKLFSSANLLLIFLLFVITHPDSFLFVAYTGFLICCTICLYFFIVDELT >cds-PLY83084.1 pep primary_assembly:Lsat_Salinas_v7:8:234988971:234992327:-1 gene:gene-LSAT_8X142060 transcript:rna-gnl|WGS:NBSK|LSAT_8X142060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAAVDTISAYQPIKDGSTIVSDDETFELGFFSPGESKNRYLGIWYKKISPLTVVWVANREKPIIDTSGMFELTTEGTLQILSGGNTIIWSSDLIVSTNNINPVAQLVDNGNLVVWENSTKENLIWQSFDFPGNTLLPGMKFGKDLTTGRESYLSSWKSPDDPSIGLYKLWLDPNGYPQLFMKKGQVDHARVGPWNGLGFRGRPIENTGPIFLIEFTVNEKEMYYTYTLKTSVVFRMIMMHDGIIMQSNWIERTQEWAAYGNIVVDTCGLYGRCGPYARCTVENPICSCIEGFEPRVLKEWNEGDMSNGCKRKKPLNCGTKDVFHKISGVKFPDTRHSSYNLSMSREECEKACRRNCSCTAYADLDIRNEGSGCLLWFDDLMDIRKYDDHQELYIKMATSDLQGKSSDNKKKAVLIIVLSVSSAAMLVSAVAFACRKKMKMPHKKGRGNRGHAFDKDKVHMENFDDLPFFSLYRIAKATNNFNIDNKIGEGGFGPVYKGVLEDGKVVAVKRLSETSQQGQEEFQNEVICIAKLQHRNLVKLLGYCIHGNEKILIYEYMDNKSLDSFLFDETRSSMLDWPQRFNIIHGMARGILYLHQDSRLQIIHRDLKAGNILLDNQMNPKISDFGLARKFVGQDATAKTKNVVGTHGYISPEYAVHGRFSTKSDVFSFGVLVLEIVSGKKNREFSHEAHSDNLLGHAWRLYKEGKSVELMSVSLSNSCVVSEIQRSIHVGLLCVQHHPEDRPTMLSVVLMLISDGVLPPPKQPAFFTEESNSLLNSVSLLDDEYMITLLYPR >cds-PLY62491.1 pep primary_assembly:Lsat_Salinas_v7:1:84740354:84740794:-1 gene:gene-LSAT_1X70540 transcript:rna-gnl|WGS:NBSK|LSAT_1X70540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCKSDLRNRNKEIIKNKSQTICVVKTDLPIVECNLMMQLGSLMMDQVGMKIEKAMESDDTLQDLLICGANLTFLDFSDVTFYEMEVKGQKPVYVNCDIDNIDDKCVVLALPAPKGCSAGMIVRVPLPNNKMIELKSILKEDWCIV >cds-PLY98652.1 pep primary_assembly:Lsat_Salinas_v7:1:38882871:38884097:-1 gene:gene-LSAT_1X33361 transcript:rna-gnl|WGS:NBSK|LSAT_1X33361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSFATNCHWPTFQQLHQDTAVFPPSPELLSFHDNFTFSDTCINNPFSSLESNHHFSSFNNGVTTTKLSFHSPVRYPTLSSSYLPPITTQQHRLPKPLPDLTQLSSFTHGQYSPYPQNLNVWPMEQLQPELPPLPEIYHYGGSAVDPVMDTFQVKESNGVHVTTGNRNVGGRLSAQSMAARIRRRRISEKTLELGKLVPGGHRMNTAEMFQAAFKYVKFLQAQVGVLQHIGSSQEQSEELHALVTSRSVQEKLYHAEKCIVTRTLGESLAVDHQGINDK >cds-PLY88455.1 pep primary_assembly:Lsat_Salinas_v7:8:88164366:88167147:1 gene:gene-LSAT_8X62801 transcript:rna-gnl|WGS:NBSK|LSAT_8X62801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSWQIGVYIWVLLITCICIFMDSKVQNGNVFIDGERGIAETDTDFICATMDWWPPEKCDYGSCSWGYASLLNVDLNNTIFQNAIKAFSPLKIRLGGSLQDELVYETEDQTETCIPFSKNTSALFNFNPGCLPLSRWDELNTFFNETGAVIIFGLNALNGRKLQADSSTIGAWDSTNAESLIRYTVKKNYTIYAWELGNELSGSGVGAKVTASQYAIDTITLKNIVEEIYDGIEPKPLIISPGGFFDAKWFKDFINKTTEILDVVTHHIYNLGPGVDQHLVEKILNPSVLDNEIDTFKQLENILEASGSLASAWVGEAGGAYNSGHNLVTNAFVFSFWYLDQLGMSAVYNTKTYCRQSLIGGNYGLLNTTTFVPNPDYYSALLWHRLMGTTVLATDFSGSKKIRSYAHCAKQSDGITLLLINLDKTTTFNVNLSIKMSIEIQMVRGGSRTREEYHLTGKDGNLHSQTMVLNGKDLILNTEGEIPLLEPLYVSSLKPIVVAPYSIVFVHIPYLTLDACSNLVMEL >cds-PLY87613.1 pep primary_assembly:Lsat_Salinas_v7:8:111479421:111479867:-1 gene:gene-LSAT_8X77740 transcript:rna-gnl|WGS:NBSK|LSAT_8X77740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEEKKTPAAEKAPAEKKPKAGKKLPKEAGAAAGDKKRKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >cds-PLY80731.1 pep primary_assembly:Lsat_Salinas_v7:3:85004063:85010093:-1 gene:gene-LSAT_3X65941 transcript:rna-gnl|WGS:NBSK|LSAT_3X65941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKCVGFLLSRSHEEQPWQPAMSLSSSVELIPHQVCVFDNAKILKFTTKTPVKVYLEVTNSTDIKNNDDSLPNAIFPMISHEEIRAMWDMASAQVLVKQLRVLLKECRANTNSDTNNAHMHEHGKSQVGKHCAWALQLNLREMMPLIQHTEIVAALETCLMMPWIRQKYIQRSETPPIIAWLEEMCAVFERIERLITQLSASKRVVLQPVFLSLCEDATILTNNMLGWIRTVN >cds-PLY62140.1 pep primary_assembly:Lsat_Salinas_v7:1:211542308:211548196:1 gene:gene-LSAT_1X129581 transcript:rna-gnl|WGS:NBSK|LSAT_1X129581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKKGLAILLRSKMRPLSPASKKVNQVQLAPLDVNKVATQNASSKTPRDFGQVRESMHSVISMNRKEILDTALSEFSEGYFSLSQENRHKLLLILAREYDLNRSQVRELMKQYVGLEIPKGDGIDEHGHEVEGHLSAFYRIERNLRHALNPMYSVLFERLNTHPGGLKFLSTVRADILSIIADENIASIRALDSYLKEKLITWLSPANLELHQITWDDPASLLEKIVAYEAVHPISNLIDLKRRLGIGRRCFGYIHPAIPGEPLIFIEVALMKNIASTIQKLLALQEVLWHDPPTPEAEASTALFYSISSTQPGLAGINLGKFLIKRVIHLVKKDMPNISNFATLSPIPGFMQWLLSKLASSERSVPIFSENILQPHEERTLLDAYADSTEGRNGMEVLLHLLTSTNHEWTQSDGLQPILLRLCARYLLHEKKRGKALDSVANFHLQNGAMIGRLNWMADRSEKGLTQSGGIMVNYIYSLEHIEDYAQAYFSTGHIQVSHDVLHYIEVIINRLYARNSNVLLFFFLVKALYF >cds-PLY94662.1 pep primary_assembly:Lsat_Salinas_v7:1:41619389:41620159:-1 gene:gene-LSAT_1X37061 transcript:rna-gnl|WGS:NBSK|LSAT_1X37061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQKLPVMAKKVWSLIRVMFSMLKKGISNTKFFAYFNLVIKRGKIAGKALHNLLFHRHHNWASATSHNHLHHLPSGEHEFMSCNNTPPNPVSLFSTLKNQNKYLSVPSTHVSPCVDDIDNICVDSELLKALDMLTTTASPAMLGTRKSHMVKQLRITDSPFPVSNDDEDAEVDEAAEKFIMRFYNGLRRQN >cds-PLY80308.1 pep primary_assembly:Lsat_Salinas_v7:3:207225411:207226165:1 gene:gene-LSAT_3X122140 transcript:rna-gnl|WGS:NBSK|LSAT_3X122140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADESVKAKRQPSRLQRRAPASIQVTPIGHWNVAIPLLSPLVLSPEVKTNCVENMKEECRRVVNSDNNKIASEAEKTPIVYKKWQHPAAPFYYESAPPLLQSICTGIVDRS >cds-PLY97260.1 pep primary_assembly:Lsat_Salinas_v7:1:44554605:44555337:-1 gene:gene-LSAT_1X39061 transcript:rna-gnl|WGS:NBSK|LSAT_1X39061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSEETQLPTSFCVICMDTKAPSEMFSNAKVCSHLFCSDYIRQHVSMKIKENIAKVKCPEPKCKGLIGPEICRSIVPNEVLERWEDALCESLILGSQKFYCPFKDCSAMLVDDGGEAMTSSECPNCNRLFCAQCKVAWHSGMDCIEYKSLKEYEINPKYLMLMELAKNKNWKRCPDCNFYVEKRSGYEIIRCRCGNLFCYRCGKKHFGSCDSGSMYSPWFFGRRI >cds-PLY79629.1 pep primary_assembly:Lsat_Salinas_v7:2:166162143:166164100:1 gene:gene-LSAT_2X88141 transcript:rna-gnl|WGS:NBSK|LSAT_2X88141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVPAPFRAPAWVSTRRLLEQKLSDLHKCNDLRQLKQVHALIYKSSLDQDPFVIPKLITAFSLCRQIPCAIKAFNQVHEPNVHLYNTMIRAHVHNSQPAQAFEIFFLMQNSGVFPDNFTYPFLLKACSGQNDFHLVKMIHSHIQKFGFSSDIYVPNALIDSYSRCGLVGVGAAKKVFSVMEDKDTVSWNTMIGGLVKLNRLSEARQLFDEMPERDMVSWNTILDGYAKAGQLNDAFELFEKMPERNVVSWSTMLTGYSKAGDMDMTRMLFDKMPVKNMVSWTIIISGYAQKGLAKEAADLYVQMEEAGYRPDDGAIISILAACAESGLIWLGKRVHQSIKRNRHHCSTLIENALVDMYAKCGSLNRALSIFNGMSKKDLVSWNAMIHGLAMHGHGNEALELFSKMKQEGFTPDKVTFVGVLCACTHGGYIDEGIQYFYTMERDYGVPPEIEHYGCVIDLLGRGGRLQEAYRLVCTMPVEPNVIIWGALLGACRLYNAVELAQEVLEHLVKLEPENAGNYSMLSNIYAATGQWGSVADVRLKMKNIGNEKQSGASLLELEDGVHEFTVKNTLHPASDKIYQMVDGLSDHMKKVGYFPDAFY >cds-PLY87026.1 pep primary_assembly:Lsat_Salinas_v7:5:260706547:260707911:-1 gene:gene-LSAT_5X130801 transcript:rna-gnl|WGS:NBSK|LSAT_5X130801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAIGVLMTYPMSSYLEQELDKRFNLFRLWNLPQKNDFFKENSGSIRAVVGNANAGADRELIDSLPALEIVSNFSVGLDKVDLGHCKQKGIRVTNTPDVLTEDVADLAIGLMLATLRGICECDRYVRAGLWKKGDFKLTTKFSGKKVGIIGLGRIGTAIAKRAEAFNCPISYYSRSQKPETNYIYFPSVVELASHCDILVVACALTAETRHIINRQVIDALGPKGFLINIGRGPHIDEHELVSALVERRIAGAGLDVFEKEPHVPEELFGLDNVVLLPHVASGTVETRNAMADLVVGNLEAHFSKKPLLTPVV >cds-PLY80045.1 pep primary_assembly:Lsat_Salinas_v7:4:348073865:348075673:-1 gene:gene-LSAT_4X171580 transcript:rna-gnl|WGS:NBSK|LSAT_4X171580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKPHALLIPYAAQGHVIPMMELMQRLVNQGVKVTFLNTDFTHKLVTNAFSTDENLNDLASFVSLPDGVEAEEDRKDVCKLAEAIFQLMPSKLEELINKLNINEGEKVTCIVADTCMGWAFEVADKMRIKSAAFWTASAAGLALLWSIPKFLEDGIIDNKGILMKKQMVQLSPTMPAINSENFMWARIGDMKTQETAFHFAIKTNEFVKLADCVICNSAYELETSTFTSFPDILPIGPLLASNRVAKQIGHFWKEDSTCLTWLDQHPIGSVIYVAFGSFTVFDSRQFDELAVGLEMTNMPFLWVVRPDMFEDMKNDGFDDRVSERGKIVGWAPQQKVLNHPSVGCFVSHCGWNSVLEGVTNGLPFLCWPYFSDQFINQMYISDVWKTGLEFEKDESGIVSSEEIKNKIEQVLENKEFKVRAIDLKEKVAVAVGAEGSHSDKNFSNFIDWIQDTNN >cds-PLY70185.1 pep primary_assembly:Lsat_Salinas_v7:9:1735376:1739810:-1 gene:gene-LSAT_9X3760 transcript:rna-gnl|WGS:NBSK|LSAT_9X3760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MVSLLHRSVSISFHTPSHLPLFTHFNHHHHHRTPSLLNLHHRHPATSLITCIEKNGSFISSNNDQQPQRTLFPGGYKRPEIKIPNVVLQLNPEDVLDDGKLVLDAVDQAVSGLVGVVVLNCGDGSGRNLYDAACLLKSVIRDRAYFLIAERVDIATAVNASGVVVSDQGLPAIVARNTIMDSKSDSVFLPLIGRKVQSLDAAMNASSFEGADFLIYDNNGDESIEEPSVSIISQTKIPTFFTVNPDNKDKLSNEMSYLLQSGASGLVVSLEGLKLLGNDALNKIYSMQASDKRTEALRNGLVMESENGVLGEKGFTGFVNLEDREVELIESERSMLLEAIDLIQRASPLMEEVSLLRDAVSHLSEPFLLVIVGEFNSGKSTFINALLGRKYLKDGVVPTTNEITFLRYSELDSNEQQRCERHPDGQYICYLPAPILEHMMIVDTPGTNVILQRQQRLTEEFVPRADLLLFLISADRPLTESEVSFLRYTQQWKKKVVFVLNKSDIYQTPIELEEAIGFIKENTQKLLSTEVTLFPVSARSALKRKLSTVSEGNVDKDSYWETTSFYELEKFLYSFLDVSTSTGTERIKLKLETPIAIAEQLLSASQKLVERECLQAKKDLVSINELISSVKDYASKMETESISWKKQSSSLIDKTQARVVQLIMSTLRLSNLDIVVSYVFRGGNTAPMPAATTIRNDIIGPALLESQKLLGEYGEWLRSNNAREVKFYEESFEKRWASFMKSGNRYLFETRKLVESKNEFSIQQLDDFSAAAASKLFEQEIREVFLGTFGGIGTASLLASLLTSVLPTTLEDLLALGLCSAGGYIAIANFPFRRQKVVEKVKRTADNLARKIEEAMERDLLETSESLESYVKLVGKPYQDSAQTRLDELVMIQQELTKMEERIKGLQIDVQNLHVPL >cds-PLY65992.1 pep primary_assembly:Lsat_Salinas_v7:4:136422232:136424826:1 gene:gene-LSAT_4X84321 transcript:rna-gnl|WGS:NBSK|LSAT_4X84321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:GUT1 [Source:Projected from Arabidopsis thaliana (AT5G61840) UniProtKB/TrEMBL;Acc:A0A178UAL2] MTNRSWGFILLVLSAIVLNLDAFELRKGQKTERISGSAGDVLEDDPVGRLKVFVYELPSKYNKKILQKDPRCLNHMFAAEIYMHRFLLSSPVRTLNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFIVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQRNHVCLKEGSITVPPYAPPQKMQSHLIPPSIPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTEHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEDIGVYVDEKDVPNLDTILTSIPTEVILRKQRLLANPSMKQAMLFPHPAQSGDAFHQILNGLARKLPHDKSVYLRKGEKVLNWTAGPLADLKPW >cds-PLY76557.1 pep primary_assembly:Lsat_Salinas_v7:8:91106782:91107051:1 gene:gene-LSAT_8X63680 transcript:rna-gnl|WGS:NBSK|LSAT_8X63680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDANEKEQRSKFFQENVHYIESSNNVKNKGYKLAVNEFTDLTNQELTSTRNRFKAHECNSPSTSAFRYANVIEVAIIKGLEKERICNTH >cds-PLY75285.1 pep primary_assembly:Lsat_Salinas_v7:3:156448003:156451801:1 gene:gene-LSAT_3X99781 transcript:rna-gnl|WGS:NBSK|LSAT_3X99781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGHGTSSVDMFLRNYKLGKTLGIGSFGKVKIAEHALTRHKVAIKILNRRKIKNMDMEEKVRREIKILRLFMHPHIIRLYEVIETPSDIYVVMEYVKSGELFDYIVEKGRLQEDEGRNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRMLVVDPMKRITIPEIRMHPWFQAHLPRYLAVPPPDSMQQAKKTDEDILLEVVKMGFDRDTLIESLRNRVQNEGTVAYYLLLDNRFRNSSGYLGAEFQKPLDGFNRMSSNEAPTSPIMAQRPSAYMDYQGMNIRNQVERKWALGLQSRAHPREIMTEVLKALQELNVCWKKIGHYNMKCRWVPGVPGHHQGMVNDSISMQSNHNYFGDELTIVENDGALTSPNVVKFEVQLYKTREDKYLLDLQRIQGPQFLFLDLCAAFLAQLRVL >cds-PLY65006.1 pep primary_assembly:Lsat_Salinas_v7:8:89510447:89512313:1 gene:gene-LSAT_8X61940 transcript:rna-gnl|WGS:NBSK|LSAT_8X61940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSPAHLGKPETWTTSQDIIYEISLLNHYLLILPLTFLLQPENLLLDSQGNLKISDFGLSALPAEGVSILRTTCGTPNYVAPKRIGIEEIRNNEWFKKNYVPARVVEYEDVNLDDVNAVFDDSEEEGGGDEQQTDEDACPLSLNAFDMIILSQGLNLSSMFDRGQMRVEGLSANKKSHFSVILEFYKNFCNNLEDIIWKPPNEQQQHGKSKITKTKSKHR >cds-PLY61920.1 pep primary_assembly:Lsat_Salinas_v7:4:219645613:219645909:1 gene:gene-LSAT_4X120960 transcript:rna-gnl|WGS:NBSK|LSAT_4X120960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVCCDPEWVSSKCDSHWAKMATHMREMAEEREREKRFVITGEGRCTTAPTSSLTLVCTGNKQPSTGLRSLGGSAGQKNSVTGGGVSMTSGAEGGREV >cds-PLY96637.1 pep primary_assembly:Lsat_Salinas_v7:7:45637072:45638303:1 gene:gene-LSAT_7X34041 transcript:rna-gnl|WGS:NBSK|LSAT_7X34041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEQYTDPNRRGGGSISDKSISRKDWDISSKENVLNVNYLESTSSKKELLGMLTESSAISDLILPIT >cds-PLY86302.1 pep primary_assembly:Lsat_Salinas_v7:8:54865346:54865699:1 gene:gene-LSAT_8X40621 transcript:rna-gnl|WGS:NBSK|LSAT_8X40621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKALYEFAPNANIFKGPHAPESPIGIQANNAAFSDVIPKQFVYSGIKEFVNFSRSSLFDMPSATSQSHSTLNKYVSYTSPTMLILVLKPLPEPLEMVNLGLLLIWNLFELLFAFLD >cds-PLY79378.1 pep primary_assembly:Lsat_Salinas_v7:9:2364308:2365799:1 gene:gene-LSAT_9X201 transcript:rna-gnl|WGS:NBSK|LSAT_9X201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAVNLDIIPTASNSKKRAYITFLAGNGDYIKGVVGLAKGLRKVNSAYPLVVVVLPDVPEEHRRILESQGCIVIEIEPVYPPESQTQFAMAYYVINYSKLRIWKFVEYGKMIYLDGDIQVFDNIDHLFDLPDGHFYAVMDCFCEKTWSHSLQYQIGYCQQSPEKVQWPADMGPKPSLFFNAGMFVFEPSITTYDDLLKTLSVTPPTPFAEQDFLNMYFKDIYKPIPLVYNLVLAMLWRHPENVDVDEVKVVHYCAAGSKPWRYTGEEENMQREDIKMFVKKWWDIYNDKSLDCNKKSILAASSNNTNNGEMVLSPALISDPKVPVCAPSAA >cds-PLY84288.1 pep primary_assembly:Lsat_Salinas_v7:1:45339710:45341526:-1 gene:gene-LSAT_1X39500 transcript:rna-gnl|WGS:NBSK|LSAT_1X39500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLPQLVESFILFSNTHLKFLAFGTLLLITGAAVTPYFQYGYSTGDTWTPNRVKPVPSKSSGDPPVLAYWIYGSSGDGERILRLLKATYHPRNQYLLLLDASSSSDERSDLALSIQSDPLFSEFDNVNVVGRSYGVNPMGGSGLAALLHASALLLKISSSWDWFITLGPSDYPLITQDDILHAFTFLPRDLNFVHFANTSVSDVNERVKRVVVDPNVYERKNSPIFYATGSRNAPNMFKIVAGSPWVILSRSFIEFCVEGWDNFPRKLLMYMSNVESPLELYFQTVICNSPGFQNMTIDNDLRYVMSKNETLNKMGEMAIVARSFKKEDGDGLMQEIDTNILKRCGNGVVRGKWCFDQKTNVSMTINKTATEGREDWRWGDINSVEESPRGVKLGQTLSRLAGEGTRRFDGCRDNI >cds-PLY89516.1 pep primary_assembly:Lsat_Salinas_v7:4:149445025:149445648:-1 gene:gene-LSAT_4X92401 transcript:rna-gnl|WGS:NBSK|LSAT_4X92401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDELTGAVIVSYMMVLFNKMKYGPPERHHGICFVNPTLISPSMRKGQWFCTLHKVDPTKGLN >cds-PLY82436.1 pep primary_assembly:Lsat_Salinas_v7:2:187088393:187090815:-1 gene:gene-LSAT_2X108880 transcript:rna-gnl|WGS:NBSK|LSAT_2X108880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFPCSQCFCSTSDDKEVYKNEKGGESPSNFRLFSYHDLKIASDGFSSKNKVGEGGFGSVYKGRLRDGTIVAIKVLSVELESMRGEREFISEIAALSDVHHENLVNLHGCCVEGAKRCLVYDYMENNSLSHRFLGGEQNRNSFSWSKRKNVSLGVAKALAYLHEEKNPYIVHRDIKASNVLLDHNFNPKVADFGLSRLFQDDATHISTRVAGTLGYLSPEYAISGRLTRKSDVYSFGVLLLEIMTGRPVVDFDMEHGEQFLVDKVWGMYNGERLMDVVDNVLLKEDDEGLIEEAVRFLKVGLLCVQETTKLRPRMSGVIKMLSEENSVDGVKIFQPGFVADLMDVKINQKKSTMSF >cds-PLY64337.1 pep primary_assembly:Lsat_Salinas_v7:4:26663811:26670050:1 gene:gene-LSAT_4X20101 transcript:rna-gnl|WGS:NBSK|LSAT_4X20101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMAVQCNPLPQLLHRLNKTTQFTPLISNTRLLSTRKNPAFITSDTPKLSNSRGSNSVVSALVSEEKVAESSFSGIDAFQLTYLEGNSWLWEVGGVKILVDPILVGNLDFGIPFLYDASKKYLKNFKLTDLPEIDCILITQSLDDHCHLKTLIPLSQKLPNLKVIATPNAKTLLDPLFTNVIYLEPGQDSKIETNNGSHVKIRATAGPVLGPPWQRPENGYIVTSPQGQLSLYYEPHCVYDKEIIGKEKADIVITPVIKQLLPSFTLVSGQEDAVCLAKLLHSKFVVPMKNGDLDSKGVLASLVSSEGTIESFKGLLLKELPDVKVLEPVPGVPLNISAS >cds-PLY88547.1 pep primary_assembly:Lsat_Salinas_v7:7:7685289:7687213:1 gene:gene-LSAT_7X7060 transcript:rna-gnl|WGS:NBSK|LSAT_7X7060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKGTVCVTGGAGYLASWMIKTLLEDGYSVNATWRSDPGSMEDVSGIMALPGASKRLKLFNADLSKPATFKAPIKGCTGVFHVAHPIDVHGKESEHVLTERAMQGSLGILQACIDSKTVKKLIYTSSASTVVFNEKRLNNEILDEESWSDVDSIRANDKQFGVSYFVSKTMTEKATLEFADRKGFNVVTVLPTNIHGPFVGASCPRAVRGSMALIFGDIGKPRLLVKTPFVHIDDVARAHIHLLEYPKAKGRYICSKVAVTIDELYKILSERYPEYKMSNINSLRAAEKINMADVSSRKLLGTGFRFKYGLEEMFDDAIDCCKRNNIL >cds-PLY99928.1 pep primary_assembly:Lsat_Salinas_v7:7:16359528:16362569:1 gene:gene-LSAT_7X14080 transcript:rna-gnl|WGS:NBSK|LSAT_7X14080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 29 [Source:Projected from Arabidopsis thaliana (AT4G16650) UniProtKB/Swiss-Prot;Acc:Q8LPF8] MGKRQRRRISWAVVCGVMLFTVGLISLFTGHVASNLEWYSQKLVKHRLWYSKVGGFRHHGPINIWKSEFSNLYYGCSERGPHYKPPVAELLSNGYLLIATSGGLNQQRIGITDAVVVARILNATLVVPEFDHHSFWKDDSDFSNIFDVDWFISFLAKDVVVVKRVPDKYMRSLEKPPYTMRVPRKSEPQYYLHEVLPVLLRRHVVQLTKFDYRLAVDLNKELQRLRCRVNYHAFRFTKPLQDVGDHLVMKMRKMTNRYIAVHLRFEPDMLAFSGCYYGGGDKERYELGEVRKRWSSLGEVNPDGERKQGKCPLTPHEVGLMLSALGFKNDTYIYVASGEIYGGEETLKPLRQLFPNLYTKEMLLMASQQEHLLQPFLPFSSRLAAIDYIVCDESDVFVTNNNGNMAKILAGRRRYMGHKRTIRPNAKKLSALFLQREKMSWGSFSSKVKSAQRGFMGDPDEMKPGRGDFHEYPSTCICKTFNYHHHDNNTKQDMLKLNHEREYEFEGVISSNTTTTHRDDDADASLEEKEDDDFLAGD >cds-PLY97554.1 pep primary_assembly:Lsat_Salinas_v7:5:236917299:236919488:1 gene:gene-LSAT_5X115681 transcript:rna-gnl|WGS:NBSK|LSAT_5X115681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERAHKRLESKYTELTRKKLANLTVENSQLTKDSVKKEDLIETSEYHVLKRELKIRTLNADVAKQETAILEAERQRLRLLVKKRVPGHGKTKSVDKSMSLMIKKLCEVEEENRILKESLCDKENEIRILKEVGRNPEGKILELENKIVSLELELERVNESKRTTEEKYEDLRLMNVDLDYQLSSSKFEIKEAFRKLSVLEMELEDKIQQYEGLETTCLELQLQLATVSCKDEVSEDLHSVSGYEGVEELTNNKKLRQHSSMLPTIKEILTTTETKDLHYNTCNNFCGLKNVAPQALTIVPRMKRSKGTELLWKLLLRRKKRGNKKKLHRFATYHI >cds-PLY81557.1 pep primary_assembly:Lsat_Salinas_v7:2:126139343:126140770:-1 gene:gene-LSAT_2X58701 transcript:rna-gnl|WGS:NBSK|LSAT_2X58701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLEILLVLTITTIATLPLASGRGGGGWSNAHATFYGGADASGTMGGACGFGNLYSQGYGTNTAALSTALFNNGLACGACFEIKCVNDKRWCLPGSIIVTATNFCPPNSALPNNNGGWCNPPQQHFDLAQPIFLKIGQYKAGIIPVQYRRVACKKRGGMRFTINGHSYFNLVLLTNVGGAGDVVAVSIKGSRSNTWQPMSRNWGQNWQSGANLDGQALSFKVTTSDGRTVVSTNVAPAGWSFGQTYAGKQFKSVGL >cds-PLY62282.1 pep primary_assembly:Lsat_Salinas_v7:5:162691413:162699123:1 gene:gene-LSAT_5X71460 transcript:rna-gnl|WGS:NBSK|LSAT_5X71460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTYVKAVDIYCVKIRTIEKGKILNERFGTSLEGSDDGMMVVEAGRDQRCMWVSLAECVESSVSTSSFDRKPERGIDKRMVWRNMSSEDSKHICGRGRLVVCTMEKPDDLKFEVGQLAESKTFDEGFRGAWFRCKIKDINVKKNQILPEYFDFPEEVIKWTKIYELPHYGRKSKQIKKQLMLRPPYPKMYQKNEMPPVNSITEVCVVIDGEWKVGDLIDWCKDDCYWSARIIKILSDDEVQIELPMPPAGQGGIYNAFCKDLRPSLNWSPLEGWTFPTLRGQDSCRAQLIFPSQQGMDIESREEEVASPQNASSTSRISVISLAAPIEEEEEEEALQSQEVKINGDDVDKVSSSDSISTMRVEENKTDDDDVWDDVDHNMIDLNIMHEETLEASILDLEELANRIKWLKSILDNSRSNSGSWKFEGGS >cds-PLY65298.1 pep primary_assembly:Lsat_Salinas_v7:8:104565037:104565978:-1 gene:gene-LSAT_8X70761 transcript:rna-gnl|WGS:NBSK|LSAT_8X70761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILEHNYRDVKEFLMFMKTSTNFDMWFMVFRLRRYRWEQISYVTWFASGCHCQLRRQYWCQEPVKNMNFDYFSEQFFSIIGKGFMKLKWVVAIADNGGSMEAICAACKDSVAMLFMFDLTRRFTLNRCK >cds-PLY90758.1 pep primary_assembly:Lsat_Salinas_v7:3:35666555:35667998:1 gene:gene-LSAT_3X27121 transcript:rna-gnl|WGS:NBSK|LSAT_3X27121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMREGCVFLSLVVLLTLCGVKVAAVGLPSESAPLIRHYYKVHNTCANVEPFVRQQVKAFMEKDKTIAPKVVKLLYADCMVNGCDASILLDGPNTEKTSPKNRGLAAFAFIDIVKKVIEQRCPRAVSCADILNIVARDAIYFSGGPSYPVFLGRRDGLKSDAAWVDLPSPSISWESALAYFTSKGLNVQDMATLLGGHMMGRTRCSSILDRLYDFNKTGKADPTMEPTTLSYLQKQCPKKVKLGQPNPLINLNPENPTHKFTNSYYKRALANKAVLGVDQQLRYGGDTYELTDQYANSLADFKGEFAFSMSRMGGLKVLTGSSGQIRKDCRVVNK >cds-PLY71214.1 pep primary_assembly:Lsat_Salinas_v7:3:120847237:120847431:-1 gene:gene-LSAT_3X85180 transcript:rna-gnl|WGS:NBSK|LSAT_3X85180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAYMREFSSTFSQVVPPSTKPFYLLHHNYQKPFSVSSESEVQPLDSTLNPVVNLIEGKETTHK >cds-PLY70659.1 pep primary_assembly:Lsat_Salinas_v7:5:72320607:72320870:-1 gene:gene-LSAT_5X33921 transcript:rna-gnl|WGS:NBSK|LSAT_5X33921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQQRKKVQKESTSESNSFTVHVTTMLTVPSSVFGGSKGAPMFPEAATYFVITGKGNVGGAIWWLGNACRQDSRRNRAPGLSDATGG >cds-PLY65827.1 pep primary_assembly:Lsat_Salinas_v7:5:136500255:136501888:-1 gene:gene-LSAT_5X60300 transcript:rna-gnl|WGS:NBSK|LSAT_5X60300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLSLPLPSTSLRTMTLTVVATDGNAQPNPVTVSVPKQGKFEDLVNDLRKKCSLGNHQTLMVAEARDAGTRAKQYTFEVRLLRTMDNRAFDDSKVASASAMKCSARIWEVLTGKKESDDATEVDPATWPIMISRVC >cds-PLY90014.1 pep primary_assembly:Lsat_Salinas_v7:5:120555876:120556452:-1 gene:gene-LSAT_5X52340 transcript:rna-gnl|WGS:NBSK|LSAT_5X52340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVENPGADALQGATRGKVLVHLPTNQVVTSYEVLEQMLTSMGWERYYNDPDLLQFHKRTTVHLISLPKDFNKLKSMHMYDIVIKNRNVFEVRDT >cds-PLY96129.1 pep primary_assembly:Lsat_Salinas_v7:3:96472540:96474054:1 gene:gene-LSAT_3X72241 transcript:rna-gnl|WGS:NBSK|LSAT_3X72241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCWTQVRRKKTPANRRSRSDETSFFVSNIPTGATKDEFRRIFNVFGKLTDIYFGGRKGKNGKNFGFIRYNGVEDKRTLEAKLNGTVCTSCKLEINIARHERAPPETNNRKIHPPTPAKIKVDGGFVGNRSYAEVAGGRIGTEIPLNQAPIQLHVDDRVMRLASGNCLIGEVKTLDHLGHLPALMSIFSDVGVKVKYAGGMKAIIAFDSESLATSFLNIEDNWKGIFNYFKPVGDVDYDFERVASIRIVGLPIRLWCEENFSAIVRRFGKIIIPFDHIEDRLDLSVVKVGILTGAKKKINEEIRVEAEGKIFFLGLVEYEDEPWFPFRFDNEVQPCEPETDYVTSEEELHDEVDDPSGNDEDGISDTWIGDIEEGEIVPEESVDGAGDGVCSDDHGTIKISPVQKSLVASVSQQSHVCPSTGEAETTHGKQKEVSMVDVLKETRDGKINESGQVVGNGNESQGPIVDHSVLGLHKPIPFPQTLRNLAALAHSHLRRLTHQLIPK >cds-PLY91010.1 pep primary_assembly:Lsat_Salinas_v7:7:179282611:179282967:1 gene:gene-LSAT_7X106520 transcript:rna-gnl|WGS:NBSK|LSAT_7X106520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLAPKKFENSTLFIDSIPVAEFQSRQLAVHPPGALTISRELLDTSIVVEAISRISCWGEDKTKLGKRVGRSYGSVMEDVVTGYKMHNMEWKSVYYVIKRDAFRGTAPINLTYRLH >cds-PLY78329.1 pep primary_assembly:Lsat_Salinas_v7:2:181278497:181279297:1 gene:gene-LSAT_2X102941 transcript:rna-gnl|WGS:NBSK|LSAT_2X102941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSPFAGQAVKTAPSGSELLGNGRVSMRKTTSAKKVAPSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFSKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWATQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVANNAWSYATNFVPGK >cds-PLY98369.1 pep primary_assembly:Lsat_Salinas_v7:5:316343551:316347006:-1 gene:gene-LSAT_5X173421 transcript:rna-gnl|WGS:NBSK|LSAT_5X173421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENNSAGQLVFDKLVEIDASKESWNIHVKVVLIWKQTYKTNPKMVGSLDMILINQQENIVSVHDDNLEAVDLEALISSSSARKHPIGIVATTYSLE >cds-PLY69775.1 pep primary_assembly:Lsat_Salinas_v7:5:232155208:232155522:-1 gene:gene-LSAT_5X117120 transcript:rna-gnl|WGS:NBSK|LSAT_5X117120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKKEIGTLCWVSWSQLKSTSLDNVIQDGRGGDGLPVTTKGWWRYVAGGCSGILLLLYFGFCSSEFHKKGYGEATTGGWRLCGWFIERRTTVEWWRFCGSGWQP >cds-PLY74925.1 pep primary_assembly:Lsat_Salinas_v7:3:131219822:131220085:1 gene:gene-LSAT_3X88620 transcript:rna-gnl|WGS:NBSK|LSAT_3X88620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAACVAANVERGEQAALVLSSSSGSGPSESGAIAWSTDVMYAAIRDFTKTDFMSYLRLGELGLVNLCQICSNEEDVVLNDDAEGGE >cds-PLY83276.1 pep primary_assembly:Lsat_Salinas_v7:8:191493736:191498534:-1 gene:gene-LSAT_8X124201 transcript:rna-gnl|WGS:NBSK|LSAT_8X124201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELRHYAPGVPIILVGTKLDLRDDKQFLSDHPGAVPITTAQGEGLKKLIGAPAYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKKKKRRGQKSCSILLWKDDDFKRVENEITFE >cds-PLY76135.1 pep primary_assembly:Lsat_Salinas_v7:9:31012190:31013325:-1 gene:gene-LSAT_9X28281 transcript:rna-gnl|WGS:NBSK|LSAT_9X28281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RABA4D [Source:Projected from Arabidopsis thaliana (AT3G12160) UniProtKB/TrEMBL;Acc:A0A178V6M2] MGDLNAKADYVFKVVLIGDSAVGKSQLLARFAKNEFNVDSKATIGVEFQTKSLLIDGKMVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMTRWLEELRGHADKNIVIMLIGNKCDLETLRAVPVEDAKEFAEREKLYFMETSARESTNVETAFLTALTEIYGIVSKKTLSADGDSDKSASLKGTAILVDQDANSGAKGGGGCCG >cds-PLY63039.1 pep primary_assembly:Lsat_Salinas_v7:8:73582260:73584643:-1 gene:gene-LSAT_8X52820 transcript:rna-gnl|WGS:NBSK|LSAT_8X52820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANEMEELIGFLSAPSPQVQKVAVDIVRDLTGSEDGLQSLASYSKTVLPSLCRLLGEKKEVSEAATQALVNLSQNSDLASKMIEIGIIKDTMDILYKQGCEITGLLVMLLVNLTQLDSGVESLLQLGDDKVQGLNVMKLVRSFCTSSNEKKDDPFGHVGSILVNISKNKDGRSLLLDSKRGLLKQIIRQFDSTSILRKKGVSGTIRNCCFEADNQIQNLLLISEFLWPALLLPVAGNKVYNEQDTSKMPLELGSALSIEREPVTDTEIRVQALEAIYLIILQGAGRRAFWSVNGPRILQLGYEEEEDPKVMRAYEQIGSLLVEGNDTEEGSMQTSA >cds-PLY99643.1 pep primary_assembly:Lsat_Salinas_v7:6:83076719:83081195:-1 gene:gene-LSAT_6X58961 transcript:rna-gnl|WGS:NBSK|LSAT_6X58961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRARSVSGKMRLGHKGEIWGWGEQGLQIMMSKQAEEDDINRIVCDLKEEVRKDILAALYVPMEHTKLLKLIDTIQRLGIAYYFDEEIKQALQHIYVKYGDNWSGGSSSVWFRLMRQQGFYVSCDIFSNYKEKNGAFKEWLTNDIHEMLELYEATYMRVKGEVLLDEALLFTKTHLETLAKDPVRCNSTLSIHIQETLKHPIQRRLPRLEALHYIPFYQKQASCNESLLQLSKFGFNLLQSLHKKELSEVSKWWKGFDIPNKVPYTRDRLVELYFCAIGVYPEPQYSCARIFLTKLFAMSTMIDDTYDAYGIFEELEIFTEAVQRWSITCLDVLPNYMKPIYQGLIDVYKEMEEIMANEGNVYRVNYAKEFTKEFIKSYMTEAKWVNEGYIPTMEENMSYRLTSCGYSMLTAASFVGMGDIVSDESFKWVLTDPPIVKAACVIFRLKNDIASHKQEQERVHVASLVESYMKQYDVTEEYVHDLLYKQVEDAWKDISLETLICKDVPMPLLTRVINLARVINVLYENKNHFTNVGEELIEIIKSLFVHDMSM >cds-PLY64795.1 pep primary_assembly:Lsat_Salinas_v7:2:101066773:101067198:-1 gene:gene-LSAT_2X43901 transcript:rna-gnl|WGS:NBSK|LSAT_2X43901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTENRQGIPLITGRFDSLEQLDEFSRSFLEASMTIDRTYPIFTVRWLTVSCTYXLLILLPVFATGSFIALLYLPYSLRVGYSSALV >cds-PLY95760.1 pep primary_assembly:Lsat_Salinas_v7:3:27060538:27063395:1 gene:gene-LSAT_3X20800 transcript:rna-gnl|WGS:NBSK|LSAT_3X20800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYENDPYRDEDGEPLMDYDDGFQSDHGDDNNNQHQNLLDDNLDDELEDNDWQSNRQERSPTPAHNESDSKSKPRKRLIKKSTAEETLPDFAIDDDVEDEMASFVRDDSDSGGGKRKKFSSGGGSSSKKREKKLSASKFSDRGGRSNEKGGSKFKVNSKRGGRSGGDDAEVKEMWDTIAGGDSEDDKEGPRMLDDDNFIDDTGVDPADRYASDHGGYSPSRAPQAEEGEEDDEIKDMFKMGKKKKKTEKSAAEIALLVENVMAELEVVAEEDAELNRQSKPAINKLRKLPLLTEVLSKKQLQLEFLDHGVLTLLKNWLEPLPDGSLPNINIRAEILKILTEFPIDLDQYDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKDLVDKWSRPIFNKSTRFEDMRNYEDERMPPMRRPTMKKPMNKASGMHSRDDDLDLAEYSQEPKSGNSSSRLTSRPEAMPLDFIIRPQSKIDPDEIRARAKQVVQDQRRLKMNKRLQQLKAPKKKQLQATKLSVEGRGMVKYL >cds-PLY97204.1 pep primary_assembly:Lsat_Salinas_v7:5:99443667:99447001:1 gene:gene-LSAT_5X48761 transcript:rna-gnl|WGS:NBSK|LSAT_5X48761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like 10 [Source:Projected from Arabidopsis thaliana (AT4G33000) UniProtKB/TrEMBL;Acc:M5BEI3] MSAPNDSSRWSSLTIGEKLCAAFVPFLSIAEILLLAISGCFDFQSDNRSTTEKLRFDHHQLSRLASESNFSVNEVEALFELFKSLSCSIIDDGLIHKEELRLALFNTPQGENLFLDRVFDLFDEKRNGVIEFEEFVHALSIFHPYAPIEDKINFAFRLYDLRQTGYIEREEVKQMLIAILTESEVKLSDDLLEAIIDKTFVDADADGDGKICKEEWKEFALRNPGLLKNMTLPYLVDITTAFPSFVFHTMVEDTN >cds-PLY82726.1 pep primary_assembly:Lsat_Salinas_v7:2:147941641:147943602:1 gene:gene-LSAT_2X73701 transcript:rna-gnl|WGS:NBSK|LSAT_2X73701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSSASEEDEDMDCYRKGGYHAVRVGDSFAGGRFIAQRKLGWGQFSTVWLAYDTQTSKFVALKLQKSAPEFVQAAVHEIEVLSTITENDPQNEKCVVQLVDHFKHRGPNGQHLCMVLEFLGDSILHLIRYNRYKGLNLNIVKEICKCILTGLDYLHRELRILHTDLKPENILLLSTVNPSKDPIRSKATPILERPEGSLTGGAAVNAIEKKLKQRARRAVAKIAAERRGAIGATPAVKPERCLDGIDFRCKIVDLGNACWADKPIAEEIQTRQYRAPEVILQSGYSYPSDMWSFACTAFELATGEMMFAPKPGQGFSEDEDHLALMMELLGKMPRKVSVSGTKSKDYFDRHGDLKRIRRLKHSSISRLLIEKFKFKENDAREFAEFLNPILDFAPENRPTAEQCLQHPWLRQTATKTEA >cds-PLY62435.1 pep primary_assembly:Lsat_Salinas_v7:4:226361194:226362340:1 gene:gene-LSAT_4X124961 transcript:rna-gnl|WGS:NBSK|LSAT_4X124961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVILPLSPTKDFDFNSSAYPSAPSTPRLLGDCYFSAPNSPTRVTELHREFDELLVSDGAQRYNSLATVPFAWEERPGVPKSFINVFDSDFAFDVSGELYRDSSATAEDLFDGGVIKSMDPPPPVREIKNREIKTSRGRERSSSGLVSSRSRRTRSLPPVRGLEQPPVRPTTDSTSTTLSASGSGKGSKKWSFKDLFLFRSASDGRAMDRDPLKKYSATFRKHDEDLRNSSIRSDRSGSGSGSTRRGRVSAHELHYTVNRAVSNDMKKKTFLPYKQGILGRLAFNPTVHALSNGFGVSNRD >cds-PLY99429.1 pep primary_assembly:Lsat_Salinas_v7:4:103435921:103440095:-1 gene:gene-LSAT_4X66861 transcript:rna-gnl|WGS:NBSK|LSAT_4X66861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFLTDYADVMVGSRTLIGGIFRRLGVKRFESNKYLDYTLTPIQKERLQKLQERLNIPFDEESLEHQKALIDLWYLAYPDVKLQGLTSEQWKDMGWQGVNPSTDFRLLFKQSGERATWEYPFAAAGINISYMLIQMLDLYSVKPKCLPGANFLRLLEDTEEAFDILYCVTFALMDAQWLAMRASYMEFKEILQITRAQLERELSLEDIYRIQDLPAYNILDS >cds-PLY69850.1 pep primary_assembly:Lsat_Salinas_v7:6:3063475:3064156:1 gene:gene-LSAT_6X4201 transcript:rna-gnl|WGS:NBSK|LSAT_6X4201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKQSKFRKFSDLRYQKWNSDLNFKVNGCLPFAPKYQATTVIGKKMIIVGGESRNGLLDDVQVLNFENLSWTTTSSRLYLSPFTLALKIPTCNGRCLVPWGKNSLLIGGRTSLPSVWDFDAKTEAWSLMEAKGSCPHDRNK >cds-PLY97890.1 pep primary_assembly:Lsat_Salinas_v7:4:90328110:90328565:1 gene:gene-LSAT_4X60241 transcript:rna-gnl|WGS:NBSK|LSAT_4X60241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFNNPDFSTFFLDKCDSVHQRTMGEIYVEGFITIIRQAFGLEFLASEYVSIDNPPNFLVDRDTLIRMRMLHSRGDETYSWLNNNKDVVYILPSWVGDSFILGDPHNWLPPEQLTLASVLTDSKDDEEEEDEDDDNEMPEPDDHFNFYP >cds-PLY63205.1 pep primary_assembly:Lsat_Salinas_v7:6:89026632:89029307:-1 gene:gene-LSAT_6X61160 transcript:rna-gnl|WGS:NBSK|LSAT_6X61160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPKKKSVKEKNTNHEEKVKIIHWEDFQQELARLSSLSSALNEAKEKKSLIQEKLNSHLQLEAESLSRSNKLDQMRENLEARKLVMGNMSMRSKVVEEKSKKQEEQLNSQIRSLLMAGTSLSVASRSLQEANNSLNGERGYVRLQNLQKLLRGRQQFMVSQIALLYPVKVVSGHTCEQELESFSRSSKSGNPTGSKPMDAGSLTISGLHLTVLPFKKLSFFTDKKEVQRSATALGYVAHAVSLIAFYLEIPLRYPLRLGGSRTYICDYAPSVEPTSSDFTSISLSSSTSKPMEFPLFLEGQDTTRSAYAVFLLNKDLEQLLNCIGIESLGPRHVLTNMKELLNNILSPEYINS >cds-PLY63756.1 pep primary_assembly:Lsat_Salinas_v7:6:25348600:25348794:-1 gene:gene-LSAT_6X19640 transcript:rna-gnl|WGS:NBSK|LSAT_6X19640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIVIGSGDGGGGSGGRGGGGGDKGRDGGGKVVVETVVKVMVVAVLVGAIGVGDNDGGGGSGGG >cds-PLY70380.1 pep primary_assembly:Lsat_Salinas_v7:4:101091725:101093725:-1 gene:gene-LSAT_4X64540 transcript:rna-gnl|WGS:NBSK|LSAT_4X64540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTAFSMANASLQVNGKGFSEFAGLRSSSASLPFGRKGSDDFVSMVAFQTTLVGGSKTPKGVTEAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIAINDTGGVKQASHLLKYDSTLGIFGAEVKPSGDNAISVDGKIIKVVSSRNPSDLPWAELGIDLVIEGTGVFVDRDGAGKHLQAGAKKVLITAPGKGDIPTYVVGVNAELYSHSDTIISNASCTTNCLAPFVKVIDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPSLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNAAFREAADNELAGILAVCDEPLVSVDFRCSDVSSTVDSSLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWE >cds-PLY62847.1 pep primary_assembly:Lsat_Salinas_v7:4:31843210:31844046:-1 gene:gene-LSAT_4X21321 transcript:rna-gnl|WGS:NBSK|LSAT_4X21321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFQSTNPTITYDSTSSKYHVNYDRKTIVTTVTDKAAVAEEWVGEIVMLYANHPSMVVGLDVEWRPHHISYMSNKSATLQLCIDTKCLILQLFYMDEIPLSLKSFLLNPKFTFVGIEVEGDISKLKNEYGLDCAKSADIREEAKKKFPGRFRRPGLKDMAMEVAGIHMKKPKHVCMSNWEARVLNENQVEYGCIDAYASYKIAYKLFFSD >cds-PLY94531.1 pep primary_assembly:Lsat_Salinas_v7:2:158307956:158309991:-1 gene:gene-LSAT_2X82541 transcript:rna-gnl|WGS:NBSK|LSAT_2X82541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVFQSKRDGVNGQVLDLDTAVKDGVLGGGGGVGFGFGGGFAEKLDLNKMIEELDLPEVPSVFICPISLEPMEDPVTLCTGQTYERSNILKWFSLGHFTCPTTMQELWDDSVTPNKTLHQLIHTWFSQKYLQMKKKSEDVQGSASDILDTLKKVKGQARVQSLKELRRVVTNHATTRKTVVEKGGVTLLSSLLGPYTSHAIGSEVVSILVNLSLDSSSKLNLMQPAKISLVIDMLNEGSIETKINCTKLIKTLMEEDDFQVEIVSSHSLLVALMRLVRDKRHPSGNLPGLSLLKSISSHKQVRFLIVSIGAIPQLVELLPGLNPESLELALFILDSVSTIKEGKLALSSCSNTIPNMVRVLMRVSESCTQLALSILWSICKLSPEEYSSIAVDVGLAAKLLLVIQSGCDPLLKQKSAELLKLCSLNYTDSIFISKCKLTRTIR >cds-PLY86393.1 pep primary_assembly:Lsat_Salinas_v7:8:283930786:283934255:1 gene:gene-LSAT_8X160361 transcript:rna-gnl|WGS:NBSK|LSAT_8X160361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILQRSIPIFSFCKLTSLSSPKFLFLPFSLSSSTSCSPHTSRFHHRPRGRIRTFVTAVSVNEKESDTFFADDTVSWSSLGVVDRLSQALSRIGLNRPSLVQAVSLPPILHGNDVVVAAETGSGKTHGYLVPLFNKLCTTTNDSLDTDQQLNRPHMSLVLCPNVMLCEQVVRMANCICNDNGEPLLRVAAVCGRQGWPVNEPNIIVSTPAALLNFLHAIDPERRRRANFIRDVKHVVFDEADMLLCGSFQNQVIRLINMFRFDEKLLSRAKNSSPEKPLDIESESNMLFELENHEDMEANSIHEVDEEEEDKDVGNSLKETEPIVKKRDWKRYREIYERSKQYIFVAATLPENGKRTAGGELKRLFPEATWVSGHYLHRHNPRLEQKWIEVTVDTQVDVLIDAINHKSKTLNSNNELSRTMVFANTVEAVEAVAQVLHGKGIECYCYHSESSLEERTRNLVDFKEKGGVFVCTDAAARGTDIPNVSHVIQAEFATSAVDFLHRVGRTARAGQPGLVTSMYNESNRDLVSAVCQAENLCEPVEKAFSRKRSFRKKLKKQGRIESNTVHIQDRLAV >cds-PLY65310.1 pep primary_assembly:Lsat_Salinas_v7:8:105468597:105471758:1 gene:gene-LSAT_8X71180 transcript:rna-gnl|WGS:NBSK|LSAT_8X71180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELDLGRAKDSNGFFFPVTSSRDAKWWYSAFHNVTAMVGAGVLNLHYALSQLGWYHFSFNPSLLCLSNLTSLSLKRNTNITAKGLSVLSGLVNLSKLDLERCSAKGLSACGHMGHVGLVEQGFYYMYELMKQKGVKPGLDHYMCIINMLIKAGQLNEALSFIVSTPSKWDVFAWTALLSACRLSRVLCDVDSAKEFILCDYNRDADSYTSPWSNKYHPPLEEGLYPSPELRTLEIEANEVFSVYRDQYYEGGTSSMYLWEDDEKEGFLACFLIKKVGPEVEGMADYCLISTLMLSMTTDHENSGTFSLSGSIRRQG >cds-PLY88228.1 pep primary_assembly:Lsat_Salinas_v7:8:149705451:149706783:1 gene:gene-LSAT_8X100680 transcript:rna-gnl|WGS:NBSK|LSAT_8X100680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVESSKVAGFIRVGKWGRQSGDPQNVWSFELEKDHKLVKITVDHGDVIYSLMFTTKYKDALHNSDKFGGWNGGDTVSEVLFDEDEEIVEVGGSVGSKSGYQVISSLYFKTNKTTHGPFGHATEDVFSLPWHKGSLVGFYGLAGYYIDAIGVYLKAYEEIIQVGTWGKNEPGTPQNVWSFQLEKKHHLEKITIDHGDLIYSLMFTTQCGDSTHTTPMFGGWNGGEKVSEVIFEADEEITGISGTSALSRGSVPDLPVISSISFITNKKTHGPFGNIRGTPFPVPWDVGSFVGFYGLAGYYLDCIGVYLKA >cds-PLY70053.1 pep primary_assembly:Lsat_Salinas_v7:5:201862841:201864020:-1 gene:gene-LSAT_5X90520 transcript:rna-gnl|WGS:NBSK|LSAT_5X90520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISPPYPPSYMVNSSTLLIPITRLIIVVIISNEINPNAKSYYLFDGYAHPIGGRYYSSKKISQNVELTDPIVSRFNVPCIVKDVVDPLMDEMLAKFVVDSHFKYQPKGANLDDKSINNSQEDIDASVMMMDLEILPQDMLKKYIMFAKLHVFPKLYDVDLDKLPKVYAELRRESSL >cds-PLY63719.1 pep primary_assembly:Lsat_Salinas_v7:9:85155857:85156132:-1 gene:gene-LSAT_9X66641 transcript:rna-gnl|WGS:NBSK|LSAT_9X66641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMGSSSSSTREGKKHAGSRKGLGGRSVVETDDPVFSASSFLPQYLTQQWDCGNRGNTSMTTGGCNVTVVTSKGWYGRYGLVVITKGRFRC >cds-PLY91839.1 pep primary_assembly:Lsat_Salinas_v7:6:32623076:32624014:1 gene:gene-LSAT_6X26421 transcript:rna-gnl|WGS:NBSK|LSAT_6X26421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSQIRNYRPWTSNEETKLVEALVNMKNAVGFKADNGFKYGYLQHLEQALKQSLPNSCLLGKPHIESKIKTMKKDWQCVYDTVNGSNTSGFDYDPEKHCVTAEDPVWEAYLQVHKEATRWKHKTFPYYEDLCIVFGKDRAQGNRARDFMEMEQEVNLEEETQDSDDNFLDSYEVSRTTVMQHDETSPSVRSKKRKNRSGGGFNKAVGLITENLKEISKDLSEGIKFDMKINELSEKIPLTILKMNSISQLEKFKALTKIRSDPINVQNFWEIEEGDREAWVKYIFEG >cds-PLY95624.1 pep primary_assembly:Lsat_Salinas_v7:4:124408324:124409706:-1 gene:gene-LSAT_4X78121 transcript:rna-gnl|WGS:NBSK|LSAT_4X78121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPRLEDIPEVIHNIQSRLPVKEVARTCILSKSWLNAWSTIPILGFREAVTSLTKEQETEHLKVIDHTLIRYLYDNIPIQSIHLKINIENQDSASLAEKWTRPVASKSSLHELSLTFVVASASFTLPDNILSGINLTTLRVSSLFSEAHSVYMMSIDHPVINCVSLRVLNLQHVHISQEVLDDIFSTCSSLVKIKLLHCKGFNTIKVKNLSYLYTLRIISDEGNTTFLKINHVPNLTKCSFDLRFQSPPANPLPFNAHSLSLGSNLTQLYLRGIIIDDACLDMIKSKFPFLKILTLNMKRWRLGRFDLTCASMEILSLRSCQYMRIDIQLIEVNAPKLHVFLFEGKSMPRFVFFPATDNSRIRQIVLALSLSNPLQVSFFVKMRKAFKLAMKCDIKIKIKNISVINLPFDINVVNLRKMVGFPAKNVQQLSFFMIGDEGLWERSQILMRFLRFAILKK >cds-PLY74268.1 pep primary_assembly:Lsat_Salinas_v7:1:77232818:77233685:1 gene:gene-LSAT_1X65340 transcript:rna-gnl|WGS:NBSK|LSAT_1X65340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKITCLLHAFVITTFFILAVYSSDPPEFPPSPSPAPELGSDDSYPVPSYSSPSPYDSPLATPPSDLSPSPSVDESSPSSFSPSPPPSPSPSPSEASDMAADLKSQEPKEPSSEGMSGGKKAGVAFGVIAAACFVGFGGIVYRKRQQNIRRAQYSYAARMDFI >cds-PLY72444.1 pep primary_assembly:Lsat_Salinas_v7:2:139560506:139561081:1 gene:gene-LSAT_2X65641 transcript:rna-gnl|WGS:NBSK|LSAT_2X65641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNNLCSQIKQYGLSKNTNEAMVIIKAYRTLRDRGPYPADQVIKDIEGSFAFIVYDSKSGTIFITLGSDGGVKLYWGIAADEYHGNTKMEGIKHFTGRRRAFVQTESGCVLGMELDQSDNAYTVKRRLQIALSLLIEKGSLTFGDMVLKIVIR >cds-PLY93736.1 pep primary_assembly:Lsat_Salinas_v7:6:143014040:143016995:1 gene:gene-LSAT_6X85380 transcript:rna-gnl|WGS:NBSK|LSAT_6X85380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSDMFEFEECLFPDPFSPLIDSSSIDILKAFQENTYSFNPPSSTATHENLDTPFNEIDQIIPTIQSSSPPSHQLESLSLSQMGNSVISLDSCPLEVKTEKSQLPFHGYFINNDSFLPHSYGGGDNVMKMMQRSCSSISFNKRPNGFVFQPKLDNLIESSNLHSQVLTSPDHGFSSSHMRRVCSTGDLQSLRPNQRSEGLSSSPLATEGSLMEEANFKVGRYSPEERKERILKYKAKRTQRNFNKTIKYACRKTLADNRPRIRGRFARNDEPEETPKPSVFHRYQNEDEFWMDGWQEEDEEGTSRGHFFNTYMPTTQSHQFSYFAN >cds-PLY73738.1 pep primary_assembly:Lsat_Salinas_v7:8:168996293:168996995:1 gene:gene-LSAT_8X109961 transcript:rna-gnl|WGS:NBSK|LSAT_8X109961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTEPSAIRSYPKLGYCLPPETLFTIVKTKASQMKLQAPKKFLWKDDEMEEGYYKDQLHKMRYELRRKEDYSEVVKAQKKVVQLEQARDELQDLREAEKEVFEIELMESNKKNEIMNTYMGYAKCLIIVLFITIIRIWLKCA >cds-PLY66983.1 pep primary_assembly:Lsat_Salinas_v7:6:140830628:140832071:-1 gene:gene-LSAT_6X85941 transcript:rna-gnl|WGS:NBSK|LSAT_6X85941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPEKRTVHKTTVTMVTNLLPEKCSRRKSPVVNSSTVGRRVVRMSVTDAYATDSSGDEEAELIRRRRVRKFINEVTIEAGSRDGDTVNKKVNKTGDKLRKKAAPAEKRLKVGSGKKFRGVRQRPWGKWAAEIRDPMRRVRLWLGTFDTAEEAAMVYDNAAIQLRGPDALTNFTVPPLPEKKPSPVSVSSGYNETTSPKSVLCFPSTSTDESATESTHNSTFNTTSHEASDITGVPDTFSDFRPFDDHFSTSDYYDFQSMFDPTTLQVSTLFQDADPVDMFFGSGKDFCIGSSSSPEDDYQHEYSDILGSDPLVVL >cds-PLY91231.1 pep primary_assembly:Lsat_Salinas_v7:3:74799121:74800204:-1 gene:gene-LSAT_3X57821 transcript:rna-gnl|WGS:NBSK|LSAT_3X57821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMIKVSNIMGIDPKPFDPKTFVEEGEFVADESGHNKQIRLENNIVRYRAVRNPDGIKSYESNARFIKWSDGSLQLQIRNEVLDISVQDAQHDQAHLFLRHEKDKSVMVWLVFFSDLNCQTLLQIQKEDGTGKEYPLGAALEAIKNTLIQGNTKLLLDFCVVLHQELGFILKLIDMIIGWIHEGFQSFL >cds-PLY64178.1 pep primary_assembly:Lsat_Salinas_v7:8:125451542:125452776:1 gene:gene-LSAT_8X87621 transcript:rna-gnl|WGS:NBSK|LSAT_8X87621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLTLEFGGGLELLCDSVKIHSIDVDLPAEEKKLTMKHLLAWVRSNLIKERPEMFMKGDTVRPGVLVLVNDCDWELSGQLDTTLENKDVVVFISTLHGG >cds-PLY86634.1 pep primary_assembly:Lsat_Salinas_v7:1:3983982:3986563:1 gene:gene-LSAT_1X3240 transcript:rna-gnl|WGS:NBSK|LSAT_1X3240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLISFLSTVPTLLPIAPLPSYSSSRCPIHSGTISCKIDDAGSHEINGVRRRDVLNCFGAAISMELVASCSPISSPFIETANAADLIQRRQRSDFQSSIKMTLYKAIKANPELISPLLTLALNDSMTYDKPTKTGGPNGSIRFSSEIERPENKGLSAALSMVEEAKKEIDSYSKGGPISYSDLIQLAAQSAVKSTFLASAIRKCGGNEEKGNLLYSAYGSNGQWGLFDRNFGRSDAQEPDPEGRVPNWATASVQEMKDKFIAIGLGPRQLAVMSAFLGPDQLATEAKLATDPDVVKWVEKYQRSRETVSETDYEVDLITALTKMSGLGQNINYEAYSYAVPKIDFKKLKL >cds-PLY80580.1 pep primary_assembly:Lsat_Salinas_v7:6:12233630:12235998:1 gene:gene-LSAT_6X8160 transcript:rna-gnl|WGS:NBSK|LSAT_6X8160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENRPIIILLFSITAIFNLSPVAAKETNTYIVQLSSPSAHTFAQSEDLESWYHTFLPTIAADTDEEPKMVHAYHNVLTGFAVKLTVDQVKEMEEKDGVISVRPQSVLSLHTTHTPKFLGLHQNLGFWRGSNYGKGIIVGVLDTGIIPTHPSFNDTGIDPPPAKWKGKCEVAGCNNKLIGVRNFVSGGSGSALDKEGHGTHTSSTAAGNFVEGATALGNDNGTAVGMAPLAHVAMYKVCDESGCADTDMLAAMDAAIDEGVDVLSLSIGGPSRPFYTDSIAIGGFAAIQRGIFFSCSAGNSGPFNSTVSNEAPWILTVGASTVDRKVQATVKLGNGVLLNGESLFQPKDFPQTLLPLVYPGMNGDISKAFCAPGSLNNTEVKGKVVMCVRGGGVGRIAKGQTVKDAGGAAMILRNLQADGDSTVVDAHVLPASYVGYKHGVKILEYMNSTSSPVAGIVFHGTLIGDKSAPQVASFSSRGPSLATPGILKPDIIGPGVSILAAWPVSIDNTTATPFNVVSGTSMSCPHLSGIAALLKSAHPDWSPAAIKSAIMTTADLVNLNNQPIEDERELPASLFAVGSGHVNPSKASDPGLIYDIQPDDYIPYLCGLGYTSEEVMTIVQKQVACVNGQGIPSAQLNYPSFAITLSSNVSKSYTRTVTNVGDATSSYTVKLCTPPGVAIAVSPSTLAFSTVNQKLSYQVTFIAIGTLPKIRFGEGAIIWNSPNHSVRSPVSIKYV >cds-PLY73511.1 pep primary_assembly:Lsat_Salinas_v7:4:22069038:22069935:1 gene:gene-LSAT_4X15300 transcript:rna-gnl|WGS:NBSK|LSAT_4X15300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCKWGSSTVAASGELKRVPYDAQTSNLSNSQKWNQDSALIWSPLIFHLRYGWFGKGEERNNINNGIGIGVSVGMGLSTLEWVDSLTNIIVCDMLSEVSHNIVGPTPCHQQISYNCDSFDVAIAAYINKNHHAKNNGFHSTNIQSSIYDAEETCDAFSFSKNTEKLLMIPSSSLNQKLREPAEPKECEFDENHIPVPKDLTSLTDMYWLESSGHND >cds-PLY74008.1 pep primary_assembly:Lsat_Salinas_v7:1:32630775:32632064:1 gene:gene-LSAT_1X29421 transcript:rna-gnl|WGS:NBSK|LSAT_1X29421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALTNFILTVVGVSAVVLLMRSDVKQSASIFKRNVRQIRHWLEEESASAAKEIEKAKPKELPKKDIPKDD >cds-PLY70173.1 pep primary_assembly:Lsat_Salinas_v7:9:1798511:1800028:-1 gene:gene-LSAT_9X3660 transcript:rna-gnl|WGS:NBSK|LSAT_9X3660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDASRTSSEAALTLENDTIGSKVCNFLGLKQKYGNPKVVSLVSSCLEKWVEQNEQTTKKDIDITVFHGSRAPTLTIQQYMDRIFKYSRCSPSCFIVAHIYIDRFIQSQNIILTSLNVHRLLITSILVATKFVDEAFFNNAYYAKVGGVTTAELNRLEMKFLFGIDFRLYVDISTFGRYCSALMNVAPGEEELQVQRPLHVINGACGLIKDNWSKNSNDSSYHTTIGIHIE >cds-PLY68665.1 pep primary_assembly:Lsat_Salinas_v7:5:166889828:166890814:1 gene:gene-LSAT_5X74560 transcript:rna-gnl|WGS:NBSK|LSAT_5X74560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKTRSMTRNESKKRFKTCDDDDDDPAPWSDLNHELLFTVMMQLGVVDFPAFSGVCKSWRSFALSNKNKFMQSRPPISISIFSESNDENFNYYLDDINTEGRSFNSILPQSGGRTCVGFTCGHLILFGSKTHDFWLLDPVTKHGVYFPNIPSSVSENPAKIRGFLVFSRSKSEWVFVMTDGSTNIWYSISGEGEWNHVSSTSPIVDLRAFNGKMYAMDNARHLCELTINPEPKLLILETKNFPNFPKHQQFYKSRENLCVMGYFPEGYYYEYHKLDFEEMKWVLFKTTSNTTGASSIRNNMKPSGAVKHGKSKFFIWNWKHMVYPKID >cds-PLY62957.1 pep primary_assembly:Lsat_Salinas_v7:2:212571844:212574132:-1 gene:gene-LSAT_2X131840 transcript:rna-gnl|WGS:NBSK|LSAT_2X131840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSVVNTYPLSSYTFGTKEPKMEKDTSVADRLARMKLNYMKEGMRTSVEGILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENANSTGLQPDWQIGECVAVWWRPNFETIMYPYCPPHITKPKECKKLFLVHLSEREYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMVHA >cds-PLY88736.1 pep primary_assembly:Lsat_Salinas_v7:7:193689108:193690073:-1 gene:gene-LSAT_7X114500 transcript:rna-gnl|WGS:NBSK|LSAT_7X114500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPDLFKATVAGVPFVNVVTTMLGPTIPLTSAEWEGWGDPQKEEFYFYMKSYSPVDNVSANDVIDKDGEGFTMVTKKAGNAGTATVKGYGTGDIHPNVSGSKGSNWNGGNNKRGNYNSVNKGNKGWTNRSHSGSWNRGSVSHWNHQKKQEFVAANNKPFIVDKQGHNGKESVDSRKKEEKVEKGKDFKGSQIINLSLLVALRI >cds-PLY69852.1 pep primary_assembly:Lsat_Salinas_v7:6:2765036:2767006:1 gene:gene-LSAT_6X380 transcript:rna-gnl|WGS:NBSK|LSAT_6X380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEKCSLLLKVRKPSIPFVFNTNASLNPQIQQNPKTELREADVLKRLNHEKDITLALEYFKFLSNSKTFRHTSLTYQTIIEKLGDECDVDGVQYLLQQMKLEGIGCSEDLFISLISSYRRAGAADQALKTFYRIQEFGCKPTVKIYNHLLDALLNENKFHMINPIYSNMKRDGMEPDKYTYNILLKALCKNNRIDGAHKVLVEMSKKGCSPDEVSYTTIVSSMCYLGKVKEAMELVQTIMGDFPMVSVYNALMKGFCKEGDLNQAFQLIEDMVIHGVFPNEITFTTIINTLSDLGEVKFSLAIMAKMFLRQCNPNVFTFTCLIKGFCMKGKMEDAYEVYNKMVQEGISPNVVTYNTLIHGFCSIGNMQKAVSCFLEMENQSFLPNVTTYGALINGYAKCRDFVGASETWNKMITQCCHPNVVVYTSMVDVLSRNFMFEEAYNLINNMNCAPNAATFNAFIKGLCANGKVDLAMNLFFKMGNMADLTTFNELLMGFSKVNDFRAMFDLVFEMEERGVGVNLVTYNTIINMFCCNDRIDDGLKVMAKMVVKGVKPDKITFNIMINGCCKNRRVDLVSQLLEAMKKHGLRADLVTYTSLVYGMCESNGVVEAQEWVVKMVEDGVYPDKGIWSVLVRCLFSKIGYQSAIHLVDRILIT >cds-PLY87045.1 pep primary_assembly:Lsat_Salinas_v7:5:256981385:256981869:-1 gene:gene-LSAT_5X132141 transcript:rna-gnl|WGS:NBSK|LSAT_5X132141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKANLKMKRSTKSLLSHNNINTKNLQIGVQERDPMASLNKREVVLPLAKVVVPVTKAVEENNKPPKAADIDQSAEEFIMKFRNQLKIQRMESIDNYNKMLARGT >cds-PLY72951.1 pep primary_assembly:Lsat_Salinas_v7:5:9751100:9754155:1 gene:gene-LSAT_5X5161 transcript:rna-gnl|WGS:NBSK|LSAT_5X5161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTKPLKPTKPPVTPSPPSSRSSSLSAHLAMVELRQRILTSLSRLSDRDTHQIAVEDLETIIQTLSPDGISMILNSLYDATNDTTTNKPAVKKEAIRLLSFLCATHTESAASHLTKIIAHIVKRLKDSDSGVKDSCRESIGHLSFLYLKGERGDNNMGSVVSLFVKPLFEAMNEQNKAVQAGAASCMAKMVEMACDPPVLTFQKLCSRICKFLNSPNFLANSALLPVVSRLSQVGAISPQALEPLLQSIHDCLSSSDWSTRKAAADTLIVLALHSSNLIKEKPTSTITILEAIRFDKIKPVRDSITEALQLWNQIAESCEDQKTPGHGEDSQVQSKLSRKELPKLDAKSIETPAKNGETKSQNVAEKTTGTKKKPPPLSDKELNPEFFQRLETRVSGEVEVVLPRRLLKSSNTQNEEEPDINAGSKSKSEEEPVNLQKQGGDPCSRKRELESKGNLLGIQRQLLQLERQQAYIMNMLQDFKGGSRDGMVTLENRVWGLERVVEDMARSLSSASMYSQRGSSSNHIHMVGKYNHNGFSEYPNMGSSMRGRGYNMQIGLRKGVDRDNRLPKGAGPGPSARGVWQASKDEATLEAIRGVAPDHDHEKKKNAVLTAWRNAMDGVCEGDMDVAFGEVLSTGDDLLLVKLMDRTGPVLDQLSADVASEVMHAVAQFLLEPTLFDICLSWIQQMLDTMVENGNDVIGIRMEVKREILVNLNEASSTIDPPQDWEGLMPNQLLLQLASAWNISMQHLNK >cds-PLY65245.1 pep primary_assembly:Lsat_Salinas_v7:3:218631555:218632451:1 gene:gene-LSAT_3X127401 transcript:rna-gnl|WGS:NBSK|LSAT_3X127401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTDQEILKNLEKSGLDELDWSLNLNEFELSLIREIIPDGDIATVLEHHQSKSPENPTNFPSMDATATGGKDYRPPPSSLPEKRYRGVMPRRSGKFSAEIHSRNLENKGKRLWLGTYDTAEEAAMAYDRAAFKERGSDAFLNFPDLIGNLNVSPEKDTNKKLYRCGRRVHRKRQQTTT >cds-PLY83951.1 pep primary_assembly:Lsat_Salinas_v7:8:36548946:36555906:-1 gene:gene-LSAT_8X29200 transcript:rna-gnl|WGS:NBSK|LSAT_8X29200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTVLSSGLGHLFMTVFVFNFANYMVVPAITDVSMAALCPGEDECSLAIYLTGVQQAITGMGSLVMMPLIGNLSDHYGRKVMLTLPMLLSTLPLVILAYSRDRNFFYAYYALKTLTSMICEGGVLCLANAYLADNVPLSRRATAFGILSGISSCSFVFGNLSTRFLPSAASVFQVSAAVAMASVVYMRIFLPESSMEAALIAVSLKEETVNDCLLEKGCTNNRPPSRTTPSLHDSIALLRSSWTFSQAAIVAFFSSLGELGLYSALLFYLKAEFHWDKDQFADLLIINGIAGIISQMVLMPLLARVISEEKILSIGLIFNCVHIFLYSVAWSSWVVYVAAMFQILAVFAGPSLRSIVSKQVGPTEQGKAQGCITGLCSFASIVSPLIFSPLTALFLSDNAPFEFPGFSLVCASFAVMIAFIQSVMIRAPPQPVPDSKLDDSASVEA >cds-PLY96301.1 pep primary_assembly:Lsat_Salinas_v7:8:156647610:156647825:-1 gene:gene-LSAT_8X104721 transcript:rna-gnl|WGS:NBSK|LSAT_8X104721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPHQSSSPSTASSTSFNTSTLISPSSAAYDVIRNADLKTPTSNTDPRSWFKLSHICVDVITTSRFHFHQI >cds-PLY96512.1 pep primary_assembly:Lsat_Salinas_v7:5:336595817:336601202:-1 gene:gene-LSAT_5X189721 transcript:rna-gnl|WGS:NBSK|LSAT_5X189721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNRKPRIPLRLHQYERSSSGSKNVAMKSAREDEPAIDLVSAVEQFHKLSCSDLSQLIRDSGNGPIRYVKRNGSLFEIYIESLARNLPSYLILKLLLFKRDEQHLKYLLSGVRLLHGLHDIAYCHSKLRQLLFDDSSSSECVLDFIFSIVVFLSNFKQKLNPPSNNEVLYHSTLLASTLYLFKACISSQWHDITSVLLAHPKVDVFTGVVFPSVRVVINFLQVKLSAQHTDTYNADEVYSFCMHCEASLQFLHSLCQLKLFRECIVKNKDLRKEGGVLWLVQDIMKLPQCKDSHLMVVVSRLKSKILSIMLQLCEVESISFLDLAASTTEGLDLAKSTMSEVLELLNIMFCGGVNGVSAYNPRGILQLNAMRLVEIVSDDSNFRSYIVSNFTEVLTRVFLVPHEEFLCSWCSSDSRAAEGDITLNYDSYSASGQVLGVRSMHTSHASKPVFITNSPASQTSYPFAHQKTSLLVKIVANLTCFVPDLCKEEKSLFLNKFFQCLQKERSNLPDGVAHGGGAKTAAANLNSLLVHAGSLTISRYLNEDDVKRLRLFVMQLEELIRRQESNIHQVKEVHNRRIPQRSTRDGCKRNKGRGVDESESERDATNIEIIGSYLSNLQLKNRTNNVEEESFRSLQLKKRKRNIMNDVQISMIENALCDEPNMQRRTASVQLWADKLSRHGSEITYSQLKNWLNNRKAKVARAAAKNTTTPVDVDGGSVTDHVSDSPESQSPGADEPSSYEGDPQPQTQQKDVTGGSCFRVQNGQHVVLFDGKGEEIGKGIIHLAKGIWFDTNLQKSGLCVVDVTYLKVDENTRLPHPCHATGNSYADAQMTFGRKRILWHSTKLLVIHHLHN >cds-PLY95167.1 pep primary_assembly:Lsat_Salinas_v7:1:170671018:170679615:-1 gene:gene-LSAT_1X113340 transcript:rna-gnl|WGS:NBSK|LSAT_1X113340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYTSDNKSNDVQDHPSIESCPSVKNILLLDSEGGRIAVKYYSDDWPTNNAKEAFEKSIFTKTQKTNARTEAEIAMLENNVIVYKFAQDLHFFVTGGDDENELILSTVLQGFFDAVGLLLRGTVDKKEALENLDLIMLCLDEIIDGGIILETDANVIAGKVASHSVDSGAPLSEQTISQALATAREHLTRSLLRHLIMNTELKIAKLLNLLFIKYLSLQTLCIAAE >cds-PLY66191.1 pep primary_assembly:Lsat_Salinas_v7:6:164612310:164612858:-1 gene:gene-LSAT_6X100200 transcript:rna-gnl|WGS:NBSK|LSAT_6X100200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDADQLQAEGAPPVVDETEERREEHQGCSLPPLIARYERGEGELVRLERCEQQQCRLGFQRCLLDQRRCGMRGSISEKEGFRWEEEEHQEQLKRECLAVVFDRKKKKRVRWGCHLSMVETSEWMGTLRQPPLLLLVFLFFVLKEPDEGKGCLSLMFNRGRGNNERLLPRGFAGNCSISGGAS >cds-PLY67159.1 pep primary_assembly:Lsat_Salinas_v7:8:205600549:205600869:1 gene:gene-LSAT_8X129781 transcript:rna-gnl|WGS:NBSK|LSAT_8X129781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIPKLDPNLTNWTGSRRGDRGGGTGSGRRGNRGGGRGSGGRGKRGRGNTKFGERTSNLDEENVVTSTVESDNEEARDVESPEIDDEIRMDTKKVLDFPPSYPFWT >cds-PLY88923.1 pep primary_assembly:Lsat_Salinas_v7:8:128547398:128549233:-1 gene:gene-LSAT_8X89141 transcript:rna-gnl|WGS:NBSK|LSAT_8X89141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHAVQCDSYGGGAAGLKHVEVPIPKPSKGELLLKLEATSLNPVDWKIQKGMLRPLLPLKFPWIPATDVAGEVLDVGPGVKNFKIGDKVVSMLNTFKGGGLSDPSPATNILITAASGGVGQYAVQLAKLGNVHVTATCGARNIDLVKSLGADEVLDYKTPEGAILKSPSDKKYDVVIHCATPIPWSTFEPNLGPKGKVIDITPGMSAIWTSFVKKVTCSKKQLVPILLYPKANNLEYLVGLVKEGKVKTVIDSKHPLKKAEEAWAKSIDGHATGKIIVEP >cds-PLY92936.1 pep primary_assembly:Lsat_Salinas_v7:3:113464638:113465971:1 gene:gene-LSAT_3X83040 transcript:rna-gnl|WGS:NBSK|LSAT_3X83040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANSNKRDEPLTAPPVDRWFDLTLGSSFQDNPSSKFCTLRYEFKPASIDKNQPGKLHKTKDNKVAVEFQNNLPGKPKVSFEGSSEDYKDNDAVLFFDGTSFRLERLHRSVKRLRHVRQPGESAAPPEASSPPLGKGSKSQSSNKNLLPPSVEVERIEIGNFKGYDGKPREEKVVEDPFNPSNSLYTSPDPKNDDDLDEQLDILNDDEEEIDINIETETEPKRQQQAFTGIDINIPHQNESDDEIAEVDVSDDEGDEGLNAAEALRAQVNAEERKEGSSSESGSSSSGSGSGSSSSSSSDSDSSDGDGDGDSVNSI >cds-PLY89244.1 pep primary_assembly:Lsat_Salinas_v7:5:310623764:310625402:-1 gene:gene-LSAT_5X168261 transcript:rna-gnl|WGS:NBSK|LSAT_5X168261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEHGGRRWQLVLISSPLQGHMTPMLQLGSYLQSKGFSIIFAHSELNPPDPSNHPDFIFLPLPDNLSGTGIFSGINQFLKALNENCRPHLEKHLIQMINTQKETSEKESIVIIYDSLMFFAGNLAGDLGLPSIILRSSCAAFLPSYWIIPQLHQEGRFPVQDSFLQEMIPEFHPFRYKDLPFIGLPIQEVLDLIAMINPKIPPSAFICNTLECLEQSTLTQIRHHYQVPIFTIGPLHKTSPTPSTSFLEEDTSCIPWLDKQSPKSVIYVSLGSLATIDAKIATEMAWGIANSNQPFIWVVRPGSVHGCDWIEFLPEDMVSEMKVRGMIVKWAPQKDVLAHSAVGGFWSHCGWNSTLESVCEGVPMLCQPFSIDQMMNARYLSYVWKMGLEMVVEREEIESAIRRVLMSKEGEEMRRRAMEIEEQVRVAVTHGGSSRNSMNDLVEFILSL >cds-PLY86901.1 pep primary_assembly:Lsat_Salinas_v7:5:266479642:266482348:1 gene:gene-LSAT_5X137421 transcript:rna-gnl|WGS:NBSK|LSAT_5X137421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVTIRSYCPALSSSSLRPNSLFDSPIKSPYPPHVKTSYLKLSSGQFDAGRGVKHLLVSGGTVRKRRASTAASSSMHVSPWDDKPYQLLPGGEISYYDERDVVSFLDPPKQLIPLDPSSYNPATYLWKKIDDIPEERRHHLLALLNPRLISRAWEVAGTRYDNPKLAKKSSSNLFGDEAGVKLLEFWHCRTNGGPLAVAWINYFKKALFCCKDGQIFGRVVDATVLNGLSRSFAPLYFKVKEVTEVMATEQPCDVAYEFGSGDFDFQEYPQGFPKPGKHPWPFNDEVVIYVRNVGPGVMVGQVWQEGQDLKQVPKKLCSEILMVKDYDAQLDKSW >cds-PLY97172.1 pep primary_assembly:Lsat_Salinas_v7:2:206111467:206114966:-1 gene:gene-LSAT_2X126500 transcript:rna-gnl|WGS:NBSK|LSAT_2X126500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDVMVGEYQNHFVGIHNFIQSQANTKWRSQELHEIETGLYQSFKLYLHQWHSHFHPSLCNFLLMSLMIEVDIYIMKKLQFVAVATIIFLLHNLHETTADISSDAQSLLQFASSVPHVRQLNWNSTIPICKSWVGITCNNEGTKVIAIHLPGHGLFGPIPPNTIGKLDSLRILSLRSNFLNGSLPTDIISIPSLQFLYLENNNFSGEIPLSVSPQITNLDLSFNSFTGNIPETLKNLTRLTSLNLQFNSFSGSVPDLNITRLRLLNVSHNQLNGSIPSSLQTFPLSSFSGNELLCGPPLNPCPVPPPSMALRPKKHTKKLTTGAILAIAIGSFLLILLLGILLFCFLKKKDRDSVGELTIKAVPPGKNEKSDDFGSGVQAGEKNKLVFFEGSNYNFDLEDLLRASAEVLGKGSYGTAYKAILDEGTIVVVKRVREVGVAKKEFDQHMEFVGRVGRHPNIVPLCAYYYSKDEKLLVYEYMVTGSLSSLLHGNRGIGRTPLDWETRVKISLGAAKGISHIHSEGGAKFTHGNIKSSNILLTTDFDGCVSDLGLAPLINVLPTKPRCIGYCAPEVIETRKFTHKSDVYSFGVLLLELLTGKSPLPSGHEEVVDLPRWVRSVVREEWTAEVFDEELMRYPHVEEEMVQMLQIGLACVTRVPDNRPSMEEVVKMIVDLRSSDSSEHRGSSEDNRSKGSNVQTP >cds-PLY64841.1 pep primary_assembly:Lsat_Salinas_v7:2:31247485:31257420:1 gene:gene-LSAT_2X16061 transcript:rna-gnl|WGS:NBSK|LSAT_2X16061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGGYVNGELGQLRATMQTIEIACSSIQINVNPTAAEATILSLCQSARPYQACQFIIENSQMANARFQAATAIRDAAIREWSFLSSEEKKSLISFCLSYVMKHASSPEGYVLTKISSVAAQLMKRGWLELTAAEKDGFFYEINMAIAGNHGLEVQFIGLNFLESLVSEFSPSTSTAMSLPREFHEQCRKSLDHDYLKNFYCWALGAAQSVTTEITNSDASVSEARVCTTALRFMLQVLNWDFSSSGRVAKNSIDVYSFVAKEDSNSTYTLVQPGISWRDILVTSGHTGWLLGLYSVLREKFSSKAYWIDCPLAVSARKLIVQLCSLTGTVFPSDAGVTQGKHLLQLVSGIILWIHPPDVIAKAIECGRSESELLDGCRGLLSIAAVTNPVSFDNLLKQIRPFGTLSLLSGLMCEVVKDLMTKDLEDEPWSWVARDILLDTWTTLLTDTNNSGVKSLLPPEGINAAANLFALIVESELKAASASEEKEDYFQPSVVAMDERLSSYALIARAAIDVTIPFLTQLFSERFARLHQGSGINDPTTTMEELYSLLLITGHVLADEGEGETPLIPMAIETRFPEYVETDKHPVVVLSWSIIKFAEQSLDPNIRAAFFSPRLMEAIIWFLSRWSSTYLMTTGEMQSYRNSIDEVTLLLMQRSREALLSFSAEHTQGKPLLNIIVRISLTTLISYPGETDLQALTCNQLLGGLVRRKNICAHLVTLEAWRDLSHCFANDRVIFSLNATHQRSLAQTLVLSASGIKSSVEANQYIRDLTNHMTAYLSDISGKKDIKTISQQPDVILAVTCLLERLRGASSASEPRTQKALYEMGFSVMQSILKFIEVYKDESAVVYLLLKLVVDWVEGQIIYLEPHETAVVIDFCMHLLQLYSSHNIGKISVSVSSSLLNEANAEKYRDLRALLQLLQKLCTKDMVDFSSAANEEQQTSISQVIYVGVHIVSPLITTELLKYPKLCYDHFALLSHMLEVYPEMIPKLNHEAFSHISGTLIYGLHQQDEEVVGMCLRSLRALAVYHYKERGVGRDGLGPHATTYKDNDGNFQDGILSKFLRSLLQLILFEDYSTDLVGAAADALFPLILCDHDLYQRLCNELIERQPNPIFKTRMASALHSLTTSDDLSPSADRSNMRKFRKNLNRFLIDVRGFLRVI >cds-PLY92897.1 pep primary_assembly:Lsat_Salinas_v7:3:181097835:181098470:1 gene:gene-LSAT_3X108200 transcript:rna-gnl|WGS:NBSK|LSAT_3X108200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPVHIWLPEAHVEAPTAGSVILAGILLKLGTYGFLRFSIPMFPEATLCFTPFIYTLSTIAIIYTSLTTLRQIDLKKIIAYSSVAHMNLVTIGMFSLNIQGIGGSILLMLSHGLVSAALFLCVGVLYDRHKTQLVRYYGGLVSTMPNFSTIFFFFTLANMSLPGTSSFIGEFLILVGAFQRNSLVATLAALGMILGAVYSLWLYNRVVSGN >cds-PLY97178.1 pep primary_assembly:Lsat_Salinas_v7:2:205665857:205667088:-1 gene:gene-LSAT_2X126920 transcript:rna-gnl|WGS:NBSK|LSAT_2X126920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDESKEKVVEILIRGRNYAKMLQNLLRRKVDNDGSVSVDNIMMEIMKSFVDSLLVLNSFHTGKFCRVPVSPHMGLACSLDWVPKFCSEETGKDLTLGVRKRRGSYKRRTIDSRVKTSCTIEDGYSWRKYGQKEILNSKFPRCYFRCTHKHVLGCKALKQVQKLEDETNMLHITYFGYHTCSPSNTFSHHGGVLDRKDSKIYHNLLDNPLTITNVQTNPSLEKDPSSPKDTESLTTLVWKEIMINDLECFKNYGILSDIPFANVFLS >cds-PLY75796.1 pep primary_assembly:Lsat_Salinas_v7:3:68618827:68620090:1 gene:gene-LSAT_3X51801 transcript:rna-gnl|WGS:NBSK|LSAT_3X51801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPAHTLNLCGVLSEAKRIVNAHSRHFLALSVIFLLPLSFSLIIFPTLQLSLTRSYVVSTKFFVVDPPDLRQNLTIHLLYSVFVYIFFLGAIATITYSTYHGFYGRPVKFFPAMKSLIFSFFPLVSTTIAAQLILSLVSLTFLLLFLVIIKMGENLGFVIDYDSNYFMTSFVLMGAALAFSLIYFQVNWSLACVVVVAESKWGFEPLWRSSYLVKGMRSVSLSLLLLFGILIGFWVWMNSNDVMHFDAVDGWKSWPFGLQVVIGTSLLTVLLLQNTAANTVLYMYCKALHGELAIEIVEEFAREYVSLPFDDGKIPHVVSVVPA >cds-PLY89278.1 pep primary_assembly:Lsat_Salinas_v7:2:52255662:52256002:1 gene:gene-LSAT_2X23081 transcript:rna-gnl|WGS:NBSK|LSAT_2X23081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCLNIPNGGMVGHTVDVEATVVACKAAKEAIKMIFDVLDQVGGIFVVTVDHGNAEDMVKMNKKGEHDVDKEGNVQILTSHTLPVS >cds-PLY84098.1 pep primary_assembly:Lsat_Salinas_v7:6:186229291:186229743:-1 gene:gene-LSAT_6X113121 transcript:rna-gnl|WGS:NBSK|LSAT_6X113121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFESESDSDFESESDVEANVPEPDVEAEVDVLEVYVVPKVEVDVPLVQDKLVGGIQDDIQVDANIEVDVPEVDLVPEVEVDANIEDEIQANIEVEHEIEVQDNVEQEIQHNAENQVRKRTRKTSERITKIRIRKNIRRKEGSSIDHPLEI >cds-PLY66181.1 pep primary_assembly:Lsat_Salinas_v7:4:34622943:34629983:1 gene:gene-LSAT_4X24320 transcript:rna-gnl|WGS:NBSK|LSAT_4X24320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGEVTWPRLVASKLLRKTLGSNNFVADFPGNTDSFLNLPTLDLENIPSPKIIFTDHDDTQKFKVFVSTWNVGGVPPTEDLNIDDLLDTCNTPCDIYVLGFQEVVPLRASNVLGSDKKKISMKWNSLIRRSLNKKSHNVSYNDDSLVDKQWKSFRGRKGNKSIIESGMIQQEFRCLISKQMVGILISVWVRSDLHPFFRNPNVSCVGCGIMGCLGNKGSVSVRFQFHETSFCFVCSHLASGGREGDEKNRNSDAAEILSRTSFPTAMGPSLDLPKKILDHDRVVLLGDLNYRISLPERETRLLVNRKDWNTLLENDQLRMELMDGQAFEAWHEGTINFAPTYKYHPTSGEYYGCGHLGTKSKKKRAPAWCDRIIWTGEGLKQLLYTRSETPLSDHRPVKAMFSAEVKVSRLRYQSFCLSERFGRRTRASLEFHSDDEYSSHSGRLSFHIKNKMTP >cds-PLY64453.1 pep primary_assembly:Lsat_Salinas_v7:3:15673112:15674059:-1 gene:gene-LSAT_3X11301 transcript:rna-gnl|WGS:NBSK|LSAT_3X11301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSFKGFDASLAPIHVMTSSGQVLEFFSPKLVSEVVKGFPRHGIFKKDQLLSPLDHCEQLIGGQFYYLFPLAPEERNLPPAPDERDRRNEENALIESEPVRMSTSAVALQLVTKKLSDGSGFEVLPPPRKGVWKVKLVINTKQLEEILSEEVNTEALIEQMRAAVGSTKVVPRWSKGYWGVKLKPILCNVLNKTVVDDGFLSPKSVTPLLQ >cds-PLY93060.1 pep primary_assembly:Lsat_Salinas_v7:9:25416961:25420852:1 gene:gene-LSAT_9X22861 transcript:rna-gnl|WGS:NBSK|LSAT_9X22861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRQLLHLFQCFKNDQQALVLEGQMLIKYVIMNAIALRKILKKYDKVHNSVSGVNFRSKLQAEHLEILQSPWLTELSHRRSGCHFRTTRRRHSQSVREVHLNCTSAPLYGGSDDLLSDAPSFDLPVTSDLTGRVEEKRRWSEGIHLAVEAKEGLPIQEKEFLKMFQMPVIEVPTNMANIRHDLPIQAFAIARGKWEYVRVEVESMFRVGRPVLVGPTSVENSEYLSALLRASKIPHNVLNARPKVCCLLFVGFINENR >cds-PLY73904.1 pep primary_assembly:Lsat_Salinas_v7:3:38116691:38119209:1 gene:gene-LSAT_3X28800 transcript:rna-gnl|WGS:NBSK|LSAT_3X28800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRFSIAALQLIELFTSSLVHLLFGLYIFSSAVAGDLSLALNDLFFKSNVEPSIRDEQFIGSPAADNDLPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDEKVLVPDLGSLTSIYDRARELFYYLKGGQVDYGEEHSKAYGHSQFGRTYEQGHYPIWDEDHPIHFVGHSAGAQVIRALQQMLADKAFRGHENTSESWVLSVTSLSGAFNGTTRAYLDGMQQDDGKSMKSVCLLQLLRIGVILYDWFDIPFLKYYYCFGFDHFNMSWKKSGIWGLIDCLVGNSGPFTSGDWILPDLTIQGAMRLNSRLNTFPSTYYFSYATKRTKKFMGFTVPSNVQGVHPLLFIRVLQMSQWKHPPDVPPPFKGYRDEDWWDNDGALNTISMTHPRIPVEHPSRFVVEDSECQPLQTGIWYYKIVEGDHILFIVNRERAGVQFDVIYDSIFERCRKHAFRKVPTMPDHANSGN >cds-PLY64505.1 pep primary_assembly:Lsat_Salinas_v7:8:124464107:124469258:1 gene:gene-LSAT_8X85621 transcript:rna-gnl|WGS:NBSK|LSAT_8X85621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 3 [Source:Projected from Arabidopsis thaliana (AT4G35740) UniProtKB/Swiss-Prot;Acc:Q9FT72] MKKALLPAKTISKEKQISGKEALTKLLRWHFGHLEYRGKQLEAIEAVLSGRDCFCLMPTGGGKSICYQIPALAKRGIVLVVSPLIALMENQVMALKEKGVAAEYLSSTQTSQVRNKIHEELESGNPSMRLLYVTPELIATTGFMLKLTKLHSRGLLNLIAVDEAHCISTWGHDFRPSYRKLASLRKRLPDVPLLALTATAVPKVQVDVIESLNMENPLVLKSSFNRPNIYYEVRFKDLLTDPYDDLTDLIKSCGDVCGIVYCLERTMCDDLASHLSKNGISCAAYHAGLNNKLRTSVLDDWISAKTQVVVATVAFGMGIDRKDVRIVCHYNIPKSMVSFYQESGRAGRDQQPSRSILYYGIDDRKKMQFILNNADNKKSQNGSPKKSVDEFNSMVEYCETSGCRRKKILDSFGEQVSTSVCKKTCDACKDPNLVMKNLEELKTMCSLRNRIGSSQIFMNSSSKSFGEVEFSEYWSRDDEAIPSEDDDISDDDDDGIDDVEGLTQTPLSSKSRLIEKMELLQRAEEKYYQNKSPKKLDKNVISDTTRESCKQRLINALKQTQQRLDNFLIDPETSSTFLENECYKKYGKTGKSFYLSQVASTVRWLSTANTTDLTSRLATTGQSPTSKKSTEVEPSCISNHAMKMNKKDDDANVTSGHPSSPSTNTYNDIKLPPIPSFSEFVNKKTNIDSNPSPLKRNRFH >cds-PLY83860.1 pep primary_assembly:Lsat_Salinas_v7:3:51446703:51448608:-1 gene:gene-LSAT_3X40560 transcript:rna-gnl|WGS:NBSK|LSAT_3X40560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPLVGGSPETSMSPETVTTALETETNDRILTFIRPTDTGNVKANSTSGPSSSNSSAADLIACSEDLLTEIFLRLPVISLIQLTSVSKQWNLFIKSSSFCLLRNPNPDPPSGLFFEGWDARYKYHYVPLDFGNLVNRPPFTTLRFDSGSIKILQSCNGLLLCANDFQKYYVYNPTTNRFTTLPQLNNFNPDGICCMTLAFDSSKSSHYKVVCNYMYRVMMIEIYSSESGKWKISNESTDDLVDLDRGVYGHNAIHWMSFPNRLVYLKLDSEQLHYIDIDTPDTTVYGTILDEFLAESREGMLLVVRCCRFRRLNVYEIKKDYSEWSIKYHVDLEEVIRVYPSMLTRLGLHFMVQSLVLGGREEDSFIVLELPGKLIQYKFVVNTISQLRDFTTESRRCVHGGFFPKTIFQLSDFTRENRRFINGSCFHFIPSLAGV >cds-PLY71938.1 pep primary_assembly:Lsat_Salinas_v7:3:26938868:26942289:1 gene:gene-LSAT_3X19420 transcript:rna-gnl|WGS:NBSK|LSAT_3X19420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPPEKPTGAGLLEASIPIRNGPELVACAGEFSNFTTVTTVKLGEVAGAACGSSAAAVARMLTVGLGAAEEFDCEAAGELEARHSTGEGFVWSLAQFRLVEGSQYIVAFGHQKNIVVILGLDGSFYRCQFDPKAGGEMTQLEYHNFVKPDDSF >cds-PLY96258.1 pep primary_assembly:Lsat_Salinas_v7:6:157630367:157630992:1 gene:gene-LSAT_6X95400 transcript:rna-gnl|WGS:NBSK|LSAT_6X95400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKILKENAGLLTNFEVVDFLRSRGAAKDPTKVLAPLKPSEFKVYDNLEKSVGSSQTRESMVEFVTKCKPYTLSNPEMTSVINIRPTCEVEIDPLIEDLESRLGENVGELVDLIKQVFPPSPEE >cds-PLY84575.1 pep primary_assembly:Lsat_Salinas_v7:1:32186774:32187949:1 gene:gene-LSAT_1X27461 transcript:rna-gnl|WGS:NBSK|LSAT_1X27461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQDPIGIPACFSAGDKTEDSATVTKTGQSVFMSVYKTNIADQCRLITVTWCKNLLLHGLSVSVDGPETEYTCKVELKPWNFWRKQGSKSFVVDGKPLDIFWDLKAAKFHGETEPISEYYVAVVSGEEVVLVLGDMRKDAYRKTGCRPALIDPILVSRREHVFGKKKFHTRVKFDEKGGFHEISIECKNRNNGNGDDDSEMEIKIDGDLMVHVKHLQWKFRGNESILFKKVKIEVYWDVHDWLFSPGLRHALFIIKPSSPATSPPPPPPTSPSPAGICGSVEGFNPICSYEFCLFLYAWKVDSYKVF >cds-PLY88520.1 pep primary_assembly:Lsat_Salinas_v7:2:154466375:154467799:-1 gene:gene-LSAT_2X79081 transcript:rna-gnl|WGS:NBSK|LSAT_2X79081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPKTFHTTILCSPDIGHLIPALLFGHRLVTHHNLQVTIPAVTTTTPTAQSQLLTPFTDDIHLLVIQIPAADISSVVSPDAKVVTKICAMIRETIPTIRTIISSMDPCPYVFIADIFSTDSWVIVEEFGMPKYVFITSNAWFTGLFIYSPVLDKKVVDGMLINTWENLEPQSLHALRNNEILRSMVKNKPVYTVGPITKIYQPVGLKSEVIEWLDEQPERSVIYVFFGSGGTLSSEQITELAWGLALSQQRFVWVVRPPAGHIKDGSFFESGHSGELNGQADYLPEGFLNRTKKMGFVMHSWAPQVEILNHALIGGFLTHCGWNSTLESIGSGVTMIAWVLYAEQRMNATMLTEELKVAVRPEVLPTKKFVRREEVEKMVRCLIEGEEGKAIRKKVKKLKEGVEEAMSVNGSSYISTCKFVEDCWS >cds-PLY83695.1 pep primary_assembly:Lsat_Salinas_v7:4:41767603:41770105:-1 gene:gene-LSAT_4X27940 transcript:rna-gnl|WGS:NBSK|LSAT_4X27940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G46390) UniProtKB/Swiss-Prot;Acc:F4KHG6] MRVFLCNSSSSSLFPPPPTPTARRPQKPLLATPQNPSFIRSLTGAALSFNLLFFSPLSPLPPPSIASDFTSSGSQLECREEDQRIEEERRLERAPELVTNEEIVKEAWQIVNDSFLDTDRNRWSPEAWLQKKEDIIGTSLQTRSKAHDVIRRMLSTLGDPYTRFLSPSEFSKMARYDMSGIGVNLREIPDENGEVKLKVLGLILDGPAHAAGVRQGDELLSVNGVNLKGKSAFEALSILQGPSDTSVNIMVKHGNCGPIQSVDVQRQLVAKTPVFYRLEQMDNGKTSVGYMRLKEFNALARKDLVTGEILTAMKRLQGMGASFFILDLRDNLGGLVQEGIEIAKLFLNEGETVIYTAGRETLNVKSIVAETEPLITTPVIVLVNKNTASASEIVATALHDNCRAILVGEKTYGKGLIQSVFELHDGSGVVVTVGKYVTPNHLDINGNGIDPDYKKLPAWNEVVERLSKCQKQQSKDT >cds-PLY91309.1 pep primary_assembly:Lsat_Salinas_v7:5:250778641:250778946:1 gene:gene-LSAT_5X125660 transcript:rna-gnl|WGS:NBSK|LSAT_5X125660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTYAIVLIVCASVTAIAILLCFLCKFSHRKTKTKLPVPPIRAARDLEIGKTTTTTTKKDAGVVILAGVAAAVIVSASGGGGCGSGGGCGGGGCGGGGCGG >cds-PLY74576.1 pep primary_assembly:Lsat_Salinas_v7:7:38124804:38126220:-1 gene:gene-LSAT_7X28101 transcript:rna-gnl|WGS:NBSK|LSAT_7X28101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRNCNLELRLVPPSPYLFSDHHHHGQEKTRFLLLSLLFFRRNSEELGTVGEDSKERQKLTIFYDGKVSVCDVTELQARTIIKVASEQMEEKWRRTQNFTTSLEPSSPLISPPVCSPGGLSMKRSLQRFLQKRKHRIQATSPYH >cds-PLY95489.1 pep primary_assembly:Lsat_Salinas_v7:4:77797836:77798159:-1 gene:gene-LSAT_4X53780 transcript:rna-gnl|WGS:NBSK|LSAT_4X53780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDQIHFSTFTKLSYTVPEPEDLLICGSLESYDKVYDRTTPKNERRLERFKNKNFFEVTTTDDPVIRKLANEDTATVFASRDRFYYLHSHVRAKVGLLMGYRNPMRW >cds-PLY77740.1 pep primary_assembly:Lsat_Salinas_v7:9:18431668:18433548:1 gene:gene-LSAT_9X13560 transcript:rna-gnl|WGS:NBSK|LSAT_9X13560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPNDSPYSGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKTKYEATARSWTQKYAMG >cds-PLY80174.1 pep primary_assembly:Lsat_Salinas_v7:4:186680620:186681348:-1 gene:gene-LSAT_4X107701 transcript:rna-gnl|WGS:NBSK|LSAT_4X107701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVQVEKSLIRKGKKKEGLAYGNMKIPIEIHFSKSWKIKNDPEEGSDDVISSDDVLSKGGKSMEILMIFPVILIMKRRV >cds-PLY86534.1 pep primary_assembly:Lsat_Salinas_v7:2:208510105:208512312:-1 gene:gene-LSAT_2X128920 transcript:rna-gnl|WGS:NBSK|LSAT_2X128920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARISKLFFPFILYVLFVCTSISWCLQEDGESDSDINYWCDTTPHPQQCKYFLSSGTHHTPRHRKDFRMLVLEVALQKAQEAQTCSNDLRNKCKSKRKKAVWLDCNKLVNQTILQLNQTLISFKHNQSSDFDAQTWLSAALTNLETCFSGSQDFNLTNFVSPLKSHNLTEMISNSLAINQFFLKQKSATNDDRELDNDFPTWVTPGDRKLLQTGSLRTKANLVVSQARGSKFRTIQSALKYAAGYNRRNRRYIIYIKRGVYKENIEIGNNLNNIMFLGDGARYTIITGSRSVGGGFTTYSSATVGVDGTGFIARGITFRNTAGPAKSQAVALRSSSDLSVYYACSFEGYQDTLFVLAQRQFYKTCYIYGTIDFIFGNAAVVFQNCMILARRPLKGQANIITAQGRGDPFQNTGISIHNSRVMAAPDLKPVVRTVKTYLGRPWQEYSRTVYMKTFLDSHIDPVGWSPWDNTNFAQSTLVYGEYQCFGPGSSTRNRVKWRGYSVITSASVASQYTVERLIAGRSWLPATDVPFTPGL >cds-PLY84303.1 pep primary_assembly:Lsat_Salinas_v7:5:188799291:188800013:1 gene:gene-LSAT_5X85761 transcript:rna-gnl|WGS:NBSK|LSAT_5X85761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLKQIVDSSMVLYTTQSGSNKRVKLNWCPVQSGSTECGYYMLRFMKEIVEEGIEVLVKDNIGDGKVEYTAADIDEIHEEWSEFVTGFIYR >cds-PLY86574.1 pep primary_assembly:Lsat_Salinas_v7:1:9051387:9052001:1 gene:gene-LSAT_1X8260 transcript:rna-gnl|WGS:NBSK|LSAT_1X8260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKGMEGLKKFTKVTLSMDQVLLLVPLNDEVVEERIADDDVILIKGTKTTGDVVAGGGAVEAALFVYLEYLATTLGVPQTAGDYRIC >cds-PLY79348.1 pep primary_assembly:Lsat_Salinas_v7:9:54323975:54324541:1 gene:gene-LSAT_9X50460 transcript:rna-gnl|WGS:NBSK|LSAT_9X50460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSASRNQLQVTHVQSQEGVKVCDCVVPAEEQTCWKITNPGRRFWNCQNSMTRLRKCSFFEWKDEEQADAYYKNLLYSLKQKLDAKDELSEMNKLRRRIVEVEFLLLQE >cds-PLY74841.1 pep primary_assembly:Lsat_Salinas_v7:8:105860411:105860641:1 gene:gene-LSAT_8X72020 transcript:rna-gnl|WGS:NBSK|LSAT_8X72020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTTIDRLPILTMSRDRSRGRGNSVCSSDDVTLSLPTSSIERRVARSLLGEGVSGKSPSSTCVVVDGASAASIRRR >cds-PLY99022.1 pep primary_assembly:Lsat_Salinas_v7:8:245996905:245998922:-1 gene:gene-LSAT_8X146240 transcript:rna-gnl|WGS:NBSK|LSAT_8X146240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNISKLKYTCVGNPLQIRIVCKWKPQYCRYETWYLGVDKYAESIQILGQRTNQDYIESVLHISNCYIIAEYSCPQLDKYQKVLESNFYIDVGLVSVIRPLLDTVTIPTNWFRFVSKEQLLELREQPPYYPDFIGVLTKVRDCKKQNGEPFVLLIVTDQSGNELATNMWKECTHVPEKFSRDQLIPPPAMTVVAVTNIKLLQVQMVKTSVTDNIHFIIVDMEGSTTLTRLAVPSTPSLEVISHSELHQQHQMSYELCHMTLQVILYLQSNKNAIANNHRHLHSLIRPQ >cds-PLY66912.1 pep primary_assembly:Lsat_Salinas_v7:7:20137689:20141517:-1 gene:gene-LSAT_7X16420 transcript:rna-gnl|WGS:NBSK|LSAT_7X16420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g22010 [Source:Projected from Arabidopsis thaliana (AT4G22010) UniProtKB/TrEMBL;Acc:O65449] MVRKSNLVCVFLLFLAINGINAEDPYRFFTWKVTYGDIYPMGVKQQGIMINGQFPGPQINCVTNDNLIISVYNYLNEPFLLSWNGLQHRRNSWQDGTYGTTCPIPPGKNFTYMLQAKDQIGSFFYFPSLALHKAAGGYGGIKIYSRPRIPVPFPFPAGDHTVLAGDWFKRGHQRLRHILDGGRSLPSPDGLLINGRGWNGYKFEVEGGKTYRFRISNVGLTTSINFRIQGHIMRLVEVEGSHTVQNAYNSLDLHLGQSASVLVTANQAVRDYYVVVSSRFTSRVLTTTAILHYKNSKIGVSGPPPGGPTTQIAWSLMQARTIRWNLTASGPRPNPQGSYHYGMIKPSRTIMLSNSAPWINGKQRYAVNGVSFVAGDTPLKLADYFKIGGIYNLGSIPDKPRTGNAYLATSVMHADFRSFAEIVFQNWEDTVQSWHIDGYSFFVVGMDGGQWTPASRTRYNLRDTVARSTVQVYPRAWTAIYIALDNVGMWNIRSENWARQYLGQQFYLRVYSPAHSWRDELPIPKNALLCGRARGRRTRPLRG >cds-PLY74176.1 pep primary_assembly:Lsat_Salinas_v7:9:11239429:11242507:1 gene:gene-LSAT_9X9201 transcript:rna-gnl|WGS:NBSK|LSAT_9X9201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSYFMAYQLLPSSYYLRSSTFSAVAYSLEGDRIQLLSLGNPCLTTMEHAPAKSMGYFGDVANDNAPLSGSTRPIRLRGFDPTETPHRHYNDWIRSLTDLVSKPISYARVLPISSVNASFGAGPLLKMQKAYTIECWTTLLAGFPRGQGDL >cds-PLY72106.1 pep primary_assembly:Lsat_Salinas_v7:7:59369321:59370635:1 gene:gene-LSAT_7X42641 transcript:rna-gnl|WGS:NBSK|LSAT_7X42641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSQLVRTILDPTKNWFAAVHKKTITERLRKYGLRYDDLFDPMECLDIKEAMRRLPREIIDARNQRILRAMDLSMKHEYLPKDLQAQQTPFRSYLTDMLALVKRENAEREALGALPLQQRTLP >cds-PLY79552.1 pep primary_assembly:Lsat_Salinas_v7:8:118081480:118084007:1 gene:gene-LSAT_8X82201 transcript:rna-gnl|WGS:NBSK|LSAT_8X82201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQAFLILISLLFLLLSSSNRFVLLAVKPEIHHDQCKAWLVQSIPTDMPQLSLVPGVLSTADVFRWLAGNSSDKLDIMAQYWQLIAQPNDPRSGDYGYSEESMKNFGSGDGSSVYKSIEDAADRDVNVRLLQHSGVYPDYTEEPSALASGRPNVQNVTLLIKDWFESGVLHAKVWISDSTDVYIGSANNDWKSLTQVKEVGIYLVNCPEIAKKVEIFYNNLWKLGSLNSSDYTRKIWDQQWQISRTVPCWSHFIPSKQRCRSPLPPYVEVPHTSGYPLLTDPSTFKTSIQTPGCNYSNSKPQSSYLSFAPPELSFGKYQADEQAWIETIKSVKDGETVRISTMDWLGQSEYSKQTVYWSSLSTAISEVIFSKHAKVKILVAYWSHFIANTDQYLKSLLYSNNLCSSSPDNKCAGKIEIKYYMVPGYNSTGAAVINGTSTGNKYPGFGRVNHGKYAVSDTRAHIGTSNLMWDYFYATVGVSFGTYNPGIVGQLQKIFDADWDSPYAVPVQPLQDGHAFSS >cds-PLY99848.1 pep primary_assembly:Lsat_Salinas_v7:4:47008053:47009908:-1 gene:gene-LSAT_4X32381 transcript:rna-gnl|WGS:NBSK|LSAT_4X32381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH14 [Source:Projected from Arabidopsis thaliana (AT4G00870) UniProtKB/Swiss-Prot;Acc:O23090] MEDLIVSPSSSSSIVSFPNTNTPPPSDTIQQKLQTLLQNQPQPWAYAIFWQTFNDDSNGCVSLSWGDGHFQSNNDVPNTTLPSSGSSATFLSDSDPDCRKSVLKEIQALLGPDNRDDAEWFYVISLTRSFIPGDGSVPGTSFGSSSMIWLTGADQLQSFNCERAKEARIHGLETLVCIPTPNGVVEMGSYHVIEETWSLAHQARSLFGGGSSSASCSPPNTLPNFFHHKHHETTSTTNPMKLDNLNEEHHNIISFADMVLMAGGLQEEEGMNMIDFESATADHQMSKNLGRSCMNKNTIPTTTTNTYVETGSEHSDSDCQLVLATSERRMQKKKGKKTGGRDPPVNHVEAERQRREKLNQRFYALRSVVPNVSRMDKASLLADAVCYINELKGKVENLESQLHPRSNSQGKTKRVKVEMADTVDNHLQSSSTSSLYQARVSTKPTIKVNSKTSGFREVEVKIVGEDAMIRVQSGNADLPAAKLMDALREMKAQIQHASMSCVNEIMLQDVVVKIPGAIDEDELKTDLIRRLDR >cds-PLY96633.1 pep primary_assembly:Lsat_Salinas_v7:7:45451046:45452863:1 gene:gene-LSAT_7X33101 transcript:rna-gnl|WGS:NBSK|LSAT_7X33101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIDMTIVLIAFSFIISVYFIFIRKPKGSKALNLPPGPPTLPIIGNLHQIGLELPHRAFRNLSKKYGPIMSLQLGQISMIVVSSPKLAEEVLKTNDLALASRPYALLADILLYGGIDIAFGRYSDYWRQMKKIVTMELLSVKKVQSFMGFRAEEIDRFTEVVQSSVGKPVHIRQRVMYMNNTVVCKCLFGNNCRQQDVLIELVEQVVALSSGFYIADLFPKLSFLSVISGMKSTLNHIHETLDKIFNEIFEDRRIKRQTTGPTEDDLVDVLFNIKERGGLRFPVTDNNIKAIFLNMLIGGTDTSVVTIEWAMTELMKNPDVMKKAQAEVREVFKGKKTVLESELNGLVYLKHIIKETLRLHITIPLLLPRECMEQCQVGGYDIPKKMKVIVNGLACGTDPEYWDDPETFKPERFEKTSYDFFGTSPEYIPFGGGRRICPGIAFGLVSIELTLARLLFHFNWELPNGMTPKDIDMTESHGVTAIKKSSLEVIPTVFIPFS >cds-PLY90843.1 pep primary_assembly:Lsat_Salinas_v7:6:155157195:155157521:-1 gene:gene-LSAT_6X92881 transcript:rna-gnl|WGS:NBSK|LSAT_6X92881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANGSDQHVSLDQQFYEDLVKIVVPMIFAGHIISLSIVGLAIFLILIPLFMILIPLFIIMIPVLFPASFIFWICSYLTGEHPIGADQLEEVRKKIVKAAVGFTYNWI >cds-PLY89973.1 pep primary_assembly:Lsat_Salinas_v7:8:207874097:207875931:1 gene:gene-LSAT_8X130941 transcript:rna-gnl|WGS:NBSK|LSAT_8X130941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRRATEIELDTMEGFASREKLHHHLNDQEPTLMMFKLDEKLKLIESRLESKVPMAPKQPNTGLFVGLNKGHVVTKKELAPRPSDRKGVSNKS >cds-PLY95447.1 pep primary_assembly:Lsat_Salinas_v7:2:417056:423287:1 gene:gene-LSAT_2X1180 transcript:rna-gnl|WGS:NBSK|LSAT_2X1180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGERGFDQTATWSIAVVCAIIVIVSIGLEHVLHSVHHLFKKRKKAGLLEALDKIKNELMVLGFISLLLVFCQNYIASICISKKLTKDFLPCKKEDYAKEDGEGGYDEEAKRRLLWYEQRRLGGGAPVECKEGYEEIISVAGLHQLHIFIFFLAVFHVIYSAFTMIFGRAKTRKWKLWEKEILEELVPHDADPSKYKLTKELSFVKHHTGSFTSTPAMFYLVCFFRQFFTSVRRSDYLAMRHAFFSVHLSPGSHFNFQKYIKRSLEDDFKVIVGISPILWATAVLFLFANVDGARAMTYLSLFPVIILLAVGTKLQAIVTQMAVEIQERQSVTQGIPVVELSDRHFWFSQPRLILYLIQLTLFQNSFELTHFFWIWYEFGLDSCFYENPFLQYGRVVIGVLVQGLCSYSILPLYALVTQMGSTMKRSIFDDHTSKHLMNWHEHIKKKDKGHGKSKSTSEPPIDAQVKVKPSDIGKSTTPRQSANIVASVDIPDDKT >cds-PLY96529.1 pep primary_assembly:Lsat_Salinas_v7:5:336038003:336038834:1 gene:gene-LSAT_5X190141 transcript:rna-gnl|WGS:NBSK|LSAT_5X190141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSRLAVSKLNRILMPTPKSKYQTIHPRLFSSSSSSGKNMEDAMTQGVDTEGETGDAKPDNHDDNIIPTREDHRYMRPKTVPPNLSASKLETPGVNTPFDPHVQQKRTKAELSFGCAGLDGSPWPNENDHKGTERKEQEQEKDDKHYFEHHKASPLSEIEIADSRKPLTRVIHGTGTAGGYFGDEQVMTWTPEQLDTAEQSLLRASQIFRESAARGIPELPHSRRLRQLRGEDI >cds-PLY98024.1 pep primary_assembly:Lsat_Salinas_v7:8:43216463:43230527:-1 gene:gene-LSAT_8X32661 transcript:rna-gnl|WGS:NBSK|LSAT_8X32661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEDIMRNFEVRIPMLLEDGIQMLVYAGEYDFICNCGDLESLLEIKSSIDPSNSLQWRGNDFCKWEGVRECFRGRVSKLVLENLNLSGTFDSRIINQLDQIRVLSLKQNSISGHIPNLSNLTNLKSLYLSYNNFSGEFPASLTTLHRLKIIVISGNHLSGDIPHSLLGLQRLYILYLDDNMLTGKIPPFNQTTLKYLNLSNNQLSGEIPLTRTLSRFNSTSFIGNNIDLLCSDPFGIPCGVVSPTPSFTPADQTPPTTGASYHHRRVIKIITIITGSIGGLSLLCVLIILLALSLKKENKTKMGTFVGGKGVESAEAVGGGGTSGDGGSSWDEEGGGMGSLVFCGGGDKPEMNYKLEDLLKASAETLGRGTVGSTYKAVMETGFIVTVKRLKDATCPSLEEFRRHVEVIGRLRHKNLVPLRAYFHAKEERLLVYDYFPNGSLYSLLHGSKTSSGGKGKPLHWTSCLKIADDLATGLLHIHQNPGLTHGNIKSSNVLLSSDFESCFTDYGLVSFKNPNSTHESNPNNSLLHRAPECSNQKTPSQQSDVYSFGVLLLELLTGNPPSQALISDNGSDIPKWVNTIREEQTESSGETVSSGNEKLAALLNIAMGCVSVVPDNRPAMKDVLKMIRETRAEAGHVACNSSDHSPGRWSDTVQSLPRDNNHNHLNLSI >cds-PLY70958.1 pep primary_assembly:Lsat_Salinas_v7:5:137740852:137749972:1 gene:gene-LSAT_5X59360 transcript:rna-gnl|WGS:NBSK|LSAT_5X59360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DDB1- and CUL4-associated factor homolog 1 [Source:Projected from Arabidopsis thaliana (AT4G31160) UniProtKB/Swiss-Prot;Acc:Q9M086] MEAAADDFQTEGAAAPPPPPPPEPIPPVEEDDEDEEYEEEEEEEEDDEEETNNEDEILIAKAQGLIDKITASPDNPKPICLHALASILETQEARYMEETGHSSLNNGRASYNIGRLGNLLRDNDEFFELISVKFLSETRYPISVQAAALRLLFSCSLTWMYPHVFEETVLEHIKQWVMDGSTRSSSEDHKGKNGSSVKQSSDSEMLKTYSTGLLAVCLAGGGQVVEDVLTSGLSAKLMRYLRIRVLGEASTSQKESNFLLDNKNSSAAAIRSRRHASETSLEPSRIPEEGITDDRWTEPDGLEEDNETHDGKTRVNDRSNPGKNRGLLRSRGKGRVNEGGVENEHALNSPGSGIRLGGQGQGQGQGRNFRDKSLVKSVELKRVADSKKLSGRVGGDLMIVERDDSDDCFQDCKVGSKDIFEIVKMAVRAAEAEARTANASLEAIKAAGDAAAELVKTAALEEFKKSNNEDAAVLSASQAASTVVDAANATEVCRNHNTGVSESANSKDPEPETNEETEEFFIPDAESLAKLREKFCIQCLEILGEYIEVLGPVLHEKGVDVCLALLQRNSDLKEPSQIAVLLPDVLKLICALAAHRKFAALFVDRGGIQKLLALPRTPLTFSGLSSCLFAIGSLQGIMERVCALPSEVIHQLISLALQLQECPQDQARKNSALFFASAFVFRAVIDAFDNQDGLQKLLNLLGEAASVRSGATSASVGPSATNPLRTPPEVLTSSEKQIAYHTTVALRQYFRAHLLLQVDSIRPNKNLKSAPRNIPSSRAAYKPLDISNEAIDAVFRQIQKDRKLGPAFVRANWPAVEKFVNCNGHTTMLELCQAPPVERYLHDLLQYALGILHIVTLVPNSRRMVVNATLSNDRLGIAVILDAANGAGFVDPEIIQPALNVLVNLVCPPPSISMKPTMPGGQGQMSSTQPSSSNPPSTSEPAVNLNGESTGGQATPGACSGLVGDRRISLGAKQGGPSSGLAAQMEQGYRQAREVVRANNGIKVLLQLLQPRIITPSTALDCLRALACRVLLGLARDDTIAHILTKLQVGKKLSELIRDSGSQMPSGEQGRWQTELSQVAIELMAIVTNSGRASTLAATDAATPTLRRIERAAIAAATPITYHSRELLLLIHEHLQASGLSTTAAQLLKEAQLTPLQSLAAPSSLAYQTSGQETASLQIQWPSGRSSSGFLTKEKSKPQDDLNHSSFSSKRRPLVLLSPTTAKTTNSSKKPPIPPTSEPPATSEVDNSDCILKTPIILPLKRKLTELRDFGPSSSSKRLNTSDLGFRSPVGPTPTTNRKNTLLGDTPFSSRTPGTVVSDNLDDNNAHSHGIADTQANPERLTLDSIVVNYLKHQHRQCPAPITTLPPLSLLHPHVCPESKRSLDAPSNITARLNTREFRNMYGGIHGSRRDRQFVYSRFRPWRTCRDDTGVLLTCITFLGDSSQIAAGSHSGELKVFDSNTNNMLESSPGHQFPLTMVKSFISGDTQLLLSSSSHDVRLWDAPSVSAGPKYSFDGIKAATFSHCGTMFAALSSELSRREILLYDVQTCKSDLKLTDPSSNASSKGHAYSQVHFSPSDIMLLWNGVLWDRRVPGPVHRFDQFTDYGGGGFHPAGNEVIINSEVWDLRNFRLLRSVPSLDQTVITFNASGDVIYAILRRNLEEVTSAFQTRRVKHPLFSAFRTIDAVNYSDVATCPVDRCVLDFATEPTDSFVGLVTMDDQDEMYSSARVYEIGRRKPTDDDSDPDDAESEEEDEDDDDEDIDDDALLANDEDGDMSNDEDSLSDLDDDEDGDDDDDDDDDGDFVMDGVDFGGGGIFEILSEDGEEGDDEDDDEMIESLSSGDEDFIGGF >cds-PLY78596.1 pep primary_assembly:Lsat_Salinas_v7:1:105451092:105451283:-1 gene:gene-LSAT_0X5000 transcript:rna-gnl|WGS:NBSK|LSAT_0X5000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKEVPTENEVVSIYMKNPKPGTRFMSPSMQATLESIVAPKRTGGKRKGKAVEEIVYDKPSKR >cds-PLY84608.1 pep primary_assembly:Lsat_Salinas_v7:1:31285453:31288912:-1 gene:gene-LSAT_1X27161 transcript:rna-gnl|WGS:NBSK|LSAT_1X27161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARMLHAAFTGSGSVLFSQRPNHSSFYRYSHISLPFHSESSIDVYSTGRLSRSLCCSHSSWKPWGRISTRLPVIKRESSDTFEVKTTSVPDSADESDAAAVAKSKMAETLVLGLLFGVWYLFNIYFNIYNKQVLEVFPNPVTLTAVQLAIGTTIIFLTWALNLHKWPNISRTQLVAILPLAVMHTLGNFSTNMSLGKVSVSFTHTIKAMEPFFTVILSTMFLGEIPTAWVMSSLVPIVGGVILASLTEVSFNWPGFWSAMASNLANQSRNVLSKKVMVQKEEPLDNITLFSIITIMSFFLFTPVALLVEGVKFTPSYLQSAGLNLKHVYIRSLLASICFHAYQQVAYMILQRVSPVTHSVGNCVKRVVVIVSSIFFFHTPVSLINSIGTGIALAGVFLYSQVKRIKAKTT >cds-PLY72753.1 pep primary_assembly:Lsat_Salinas_v7:4:373229603:373230394:-1 gene:gene-LSAT_4X184221 transcript:rna-gnl|WGS:NBSK|LSAT_4X184221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >cds-PLY82664.1 pep primary_assembly:Lsat_Salinas_v7:9:154156296:154157546:1 gene:gene-LSAT_9X98100 transcript:rna-gnl|WGS:NBSK|LSAT_9X98100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGFCSICLIYSVVALSCGTLMMFYSHEVFAFSHGNETAIKLLGSTPHDQLLIKTSDSFSGLLLFAVGILLFMVAFVKDRDFQSFFAKGCVLLHIAMAVWRIYFERKLEELGRDWLRLVLGDFVLALSWVLFLVYSWREKYD >cds-PLY83081.1 pep primary_assembly:Lsat_Salinas_v7:8:235059342:235062405:-1 gene:gene-LSAT_8X142020 transcript:rna-gnl|WGS:NBSK|LSAT_8X142020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQEHQIRYRKPRNHTDPIQPTRPKFTISSLLSTVTPATDDSPISDTTTKKKYFTSTRFRGLGCTAPAQVSVPTMIRTSANWEGKKVRKKKPKKNKNCISSSNEPSGDGVGLVLSSTNNSPPPAPVPAIASSSSCLVVPDVWCGPGIGLSTDAASVDCVVSRRVVSGRGKVDGEKINLRERGGRRMVHPEELPFFDTDSGIPHHRFDVFGARHHRHVRHRSPEGLAEIFMLQGNLLMGGRFDHDRYRDWRLDVDSMSYEELLELGDKIGYVSTGLREDEIGRCVRKTKPPVVSSSHVPNEMQWKCTICQEEYEGEDEIGKLECGHFYHVYCIKQWLGQKKTCPICKVAVQSRQ >cds-PLY74254.1 pep primary_assembly:Lsat_Salinas_v7:1:78768556:78769289:-1 gene:gene-LSAT_1X66321 transcript:rna-gnl|WGS:NBSK|LSAT_1X66321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQKREKEETELKVPDTLTTLCTPPPVAAVPSKISGSYEHRSDPKSGGSTDVIVEVRETCATSQEPSSTAVDNRTKRRQLNRCSGCRKKVGLMGFRCRCGEMFCSEHRYTDRHDCSYDYKAAGRKAIARENPMVRAVKILKV >cds-PLY61956.1 pep primary_assembly:Lsat_Salinas_v7:5:161231257:161232678:1 gene:gene-LSAT_5X70460 transcript:rna-gnl|WGS:NBSK|LSAT_5X70460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIELPANGTDLCDLPLRYNNKGITIGSPPRPPPQVHENPMISKSKDQSSTDMRTRIKKRNRRNKQQNIAELEPSCWNRICPQDVVDAGIHLNPAKKKHSVWFTLTPSCDQNIKNTLQLLVEPYIQIIMEENCNPDVSIFMKYIVLQLKHVCQQEVDIFLNGKLLAPEMKLLDVVKQWMAIVDSEWKITKIGSSAENFCVKLTYARRE >cds-PLY81140.1 pep primary_assembly:Lsat_Salinas_v7:9:67840193:67844154:-1 gene:gene-LSAT_9X56840 transcript:rna-gnl|WGS:NBSK|LSAT_9X56840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSATEHRRLPRHNHCVNNISHFIKSTATNISSIFIPKTPNLINSVSSSPNILLPFPLSLPSISLSDSSPTDLDSFSSSTSPSASSSSARSMSSESTSSGFPSTVRISNLNSTGNGGGPAFVGQVFSMCDLSGTGLMAVSTQFDIPFISKRTPQWLKKMFQAVIKSERNGPVFQFFIDLGDAVSYVKRLSIPSGVVGACRLDLAYEHFKEKPHLFQFIPNERQVKEANKLLKNRRKKKKFEGVPVFTAQNLDIAIATSDGIKWYTPYFFNKSMLDDILEDSVDQHFHSLIQTRHLQRRRDIIDDSMGSDLLEDNTDNVWEPPEVQEVLDEIGAPSIPLSVITKAAEIQLLYTVDKVILGNRWLRKATGIQPKFPYVVDSFEKRSAASVQRASMLPHDGIPDSDSDSDNKQLQLKTGFHSPFGDWFTNPWLKPLQDHDHNLSDKSVKEEAHPNPFLPKITMVGVATGEAGPMSKATLKKTMDDLTKELENTDDGNNGFSESKYDNERDPLFVANVGDYYSGLSKASSARWVRPRSARS >cds-PLY90117.1 pep primary_assembly:Lsat_Salinas_v7:7:12943388:12943894:1 gene:gene-LSAT_7X11440 transcript:rna-gnl|WGS:NBSK|LSAT_7X11440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTRNSVHHRPKFLHLEAFVADQRISSCDEDDFDNFEMIKFDIWNTNDDYHINTQIPISKSMVTSRKQPIKPPRSNQRTLPNTGSLPVNVPDWSKILRDAYKQSDSDHVDDDDCRGGCDDHWLPPHEYLSRIRSASLSVHEGVGRTLKGRDLSRLRNAIWKQTGFEQD >cds-PLY71374.1 pep primary_assembly:Lsat_Salinas_v7:8:270434774:270438384:-1 gene:gene-LSAT_8X156881 transcript:rna-gnl|WGS:NBSK|LSAT_8X156881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIMHMGGLLHFNAPLKSVRVTYEKKENPNLKELLGFLQTFFKYLQHADREKELLEQLAKEAAMEEERRKEEESHIKLEEEQEIDKLQGGGGGSYSGGGYREDFDREDNKVGEVVVSPPFVFLTSVKSELRPEIQVAAQNCWVKKGGAFTGEVSAEMLANLGVPWVILGHSERRALLNETNEFVGDKVAYALSQGLKVIACVGETLEQREAGTTMEVVAAQTKAIAGKEEKKAQAERDKMLQMQVLHSSFQLLV >cds-PLY87445.1 pep primary_assembly:Lsat_Salinas_v7:2:135819151:135821118:-1 gene:gene-LSAT_2X64361 transcript:rna-gnl|WGS:NBSK|LSAT_2X64361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLTRGMEVKARAPGKIILSGEHAVVYGSAAVAAAIDLYTYVSITFPPPSHSSEILSLQLRDVGLEFSWPTKRIRETLSQTIDSPASATTSCSSETMKLITTLVEEHSIPESKIEIAAGVVAFLWLYTSIQGDKPARIVVSSELPLASGLGSSAAYCVSLSGALLASSDSVNLDFSSEDWLSLGEKEQKLANEWAFEGEKIIHGKPSGIDNTVSTMGNLIKLESGALTSIKSNMPLKMLITDTRVSRNTKALITSVAERRDRHPDTMTSVFTAVDYISNELASTIQLSSSNDLAVVEKEEKVEELMEMNQGLLQCMGVSHASIETVISTTHKYKLSSKLTGAGGGGCVLTLLPALTAELQQCGFRCFIAGIGGKGLEIRFGGRL >cds-PLY71809.1 pep primary_assembly:Lsat_Salinas_v7:3:60162770:60164439:1 gene:gene-LSAT_3X47561 transcript:rna-gnl|WGS:NBSK|LSAT_3X47561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLDTALKLLGVSEGASFDDILRAKNSVLAACKDDQESIAQVEAAYDMLLMQSLSQRRAGKVVNSSIRYADVKPVINTPQMGSMPQWVQGAMKNPLVSVETPSARDLGIEAGVYGALAVLTYVNGANSPVGSLSGADVPGLILATSFGASLYFMTKRNVKLGKATVITIGGLVAGAVVGSVVENWLQVDIVPFLGIHSPATVVSEFVLISQFLVSLYLS >cds-PLY88631.1 pep primary_assembly:Lsat_Salinas_v7:5:140606469:140606711:1 gene:gene-LSAT_5X61801 transcript:rna-gnl|WGS:NBSK|LSAT_5X61801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAWKADLNISDEVLLLRDGNGDFAKAIRCELDLSDKPIGLEVRSRRYVMLVEDGVVKLLNLEKGGAFTSSVSDDMLKAL >cds-PLY95711.1 pep primary_assembly:Lsat_Salinas_v7:2:115836072:115845978:1 gene:gene-LSAT_2X52861 transcript:rna-gnl|WGS:NBSK|LSAT_2X52861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYQTLFFVLSSLFPLIIYALTSWRRRNSRLPPGPKGFPIIGNLLEFGDKPHQSLAILSKRYGPLMSLKLGSNTSIVISSPDITKEFFNTHDVAFLNRSAPKAIQLGDFHKYSIVWMEAGDQWRKLRRMTKEYMFSVQQLDASEVLRREKVQELVNHVNQCCIEEKPLNVGACAFTTSLNILSNFMFSIDLAEYGPKSTQQFQDLVLQAMQAGAKPGLPDLFPILHSLDPLELIWSENVYAKKMLAIFDKIINDRLRTRSDGVSTKSNDVLDLLLDQHSSFTQNDMRHLFLTLFMAGTDTTSSTLEWAMSELIRNPEKMKIARLEVDKLMQNNNNGNIQESDISQLTYLQAVIKETMRLHPPAPFLIPRQALHDVAIHGFVVPKNAQILCNVWAMGRDPNIWSDPEMFMPERFLDVKIDYKGQDFELIPFGAGRRMCPGLNLANRMLHIILASLIHKFDWKVVGNTRPQDIDMGEKYGITLQKAEPLMLSAVETSIVGFVNAYFCKTSIADATPPTLTRPALTPPTLHHHADRVGSQQRRHYSSDTNSTVLVAKIIPTSLGVDTLAFIDMLLSRVRLIKMEDVQTRKRVQRGVASFKSKILPGKYRIKFNKNNIPKGGMSTKFMSWYGMMVPHRFPTDIATHKLDEKYYKDLRLEAKSQWNIESDEHEKYMRKSAVKLLLSMNPPQNDLNEPRPRPRPRPRPRPRPEPQFAPEPKTESESEPEPEPSSIENPEVYTSLNKIQQQSPKIQHVAFQVVEMFGDTHAINILPPKGMYCHRIRLSIPYNEVLELFLRDWLDFSVIDLFAM >cds-PLY72523.1 pep primary_assembly:Lsat_Salinas_v7:2:143584272:143585074:1 gene:gene-LSAT_0X22240 transcript:rna-gnl|WGS:NBSK|LSAT_0X22240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQMRFLLIHYCTYFSNVTISENLHIARYGCLGQIHNPCQVFDGLREKNIVSWNSMVACYFQNNQPNKARNLFDQMPERSTVSWNGLISGYVKNRMVKEAREVFDKMPHRNVISWTAMIRGYIQEGYVQNSHVDVARKLFEVMPHKNKVSWTAMLNGYTQCGRMNEAMELFNAMPYKSVVSCNAMILGFSQNGDVDKARNIFDQTIE >cds-PLY62538.1 pep primary_assembly:Lsat_Salinas_v7:4:355256603:355257670:-1 gene:gene-LSAT_4X175001 transcript:rna-gnl|WGS:NBSK|LSAT_4X175001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKNDTSTLCDICRFSPTTIFCRADLAYLCATCDAVIHSANPLAGRHHRVPVIPISGLIDEHQTSDARSITGVGADHGGFLSQESKDAIDNEDEENEAASWLQFGNENQNGEMNGCLFNGDDYLELVEYNSCQDSLFSDDHNIRQYTYGGGDGDSIVPVQSGETKKHLHGFQHPKLELAMEYEASNGGYGYTCVQIPAPIDRKARVLRYMEKKKRRKFEKTIRYESRKAYAEIRPRIKGRFAKRTNVGVKVEQIFSTNLATEGGHCIVPSFTM >cds-PLY84484.1 pep primary_assembly:Lsat_Salinas_v7:7:127971114:127971555:1 gene:gene-LSAT_7X76361 transcript:rna-gnl|WGS:NBSK|LSAT_7X76361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDVPRGNTILCSHVNKIAMNEVVEKKNTIILGSMLSNNQRVYVANEMDIMESFYIRYVNWTLNREESPPRQQSPPPIVASPPRRKKYKSETSSTETATNASTSQQPRV >cds-PLY88759.1 pep primary_assembly:Lsat_Salinas_v7:4:168020355:168029971:-1 gene:gene-LSAT_4X99521 transcript:rna-gnl|WGS:NBSK|LSAT_4X99521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSYTNEDENQRQRTSILHKEIDVRKLDPNDKQQFIDRIFKVAEEDIEKFLRKFRNRIDKVGITLPTVEVRFEHLTIEADCHIGDRALPSLANATRNLIESGLGCLGIGLAEKTKLTILKDASGIIKPSRMALLLGPPSSGKTTLLLALAGKLDNSLKVKGEITYNGHKLTEFVPRKTSAYISQNDVHVGEMTVKETLDFSARCQGVGSRYELLTELARREKEAGIFPEAEVDLFMKATSMEGVESSLITDYTLRILGLDVCRDTIVGDEMIRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLTDATVLMSLLQPAPETFDLFDDIILLSEGQIVYQGPREHVVEFFETCGFKCPERKGTADFLQEVTSRKDQEQYWVDRNKPYKYISVTEFTKRFQRFHVGLRLENELSVPFDKSRSHRAALVYKKYLVSKMDLLKASWDKEWLLIQRNSFVYVFKTVQIIIVAFIGSTVFLRTRMHSRNEQDGAVYIGALLFSMLINMFNGFAELSLTIQRLPVFYKQRDLLFHPPWAFTLPTFLLRVPISLVETIVWMVVSYYTIGFAPEASRFFKQFLLIFLVQQMAAGIFRVIAGLCRTMIIANTGGALTLLLVFLLGGFILPKGQIPDWWGWGYWVSPITYAYNAIAVNEMFSNRWMNKFASDNVTRLGLQILKNFDVPRQSNWYWIGTAALVGFTILLNVLFTLALMYLDAPGKRQAIISKETAAEMEANQEENTEIPRLRVNPSKRDTLPQSLSAADGNNTREVAMQRMSDPSHAHGIKRDQDLYIETATGVSPKKGMVLPFTPLAMSFDSVNYYVDMPAEMKEQGVTEDRLQLLRGVTGAFRPGILTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIKISGYPKNQQTFARISGYCEQTDIHTPQITIRESLIYSAFLRLPKEVSNEEKMTFVDQVMELVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYGGPLGRNSQKIVEYFEAIPGVPKIAEKYNPATWMLEVSSIAAEVRLGMDFAEHYRNSALHERNKGLVQELSIPPSGSKDLYFATQYSQSTWGQFKSCLWKQWWSYWRSPDYNLVRYFFTLACALMVGTIFWKIGKKRDSSSDLTTIIGAMYAAVLFVGINNCSTVQPIVATERTVFYRETAAGMYSALPYAMAQVFVEIPYVFVQTTYYTLIVYAMVSFEWTAAKFFWFFFINFFSFLYFTYYGMMTVSITPNHQVAAIFAAAFYSLFNLFSGFFIPRPRIPKWWIWYYWICPLAWTVYGAIVSQYGDVEATINVPGMSFDPRIKDYVKDNYGYDPNFMAPVAIVLVAFAAFFAFMYAYCLKTLNFQMR >cds-PLY89658.1 pep primary_assembly:Lsat_Salinas_v7:3:184504235:184504666:-1 gene:gene-LSAT_3X110781 transcript:rna-gnl|WGS:NBSK|LSAT_3X110781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTYAGLQLFMNNLKELIDCKDNPLIINSPSILYERPQFQQLYEELCPVIQFFFINQDEDEKVRNLKKRFKQAAEEVQDTMDLFLSNVHFRNKGRFTRFSVVKRSLDHEEVIKSIKVEFAMITNNKKLSGIASRSGCCSGNFSK >cds-PLY80338.1 pep primary_assembly:Lsat_Salinas_v7:7:156421574:156423651:-1 gene:gene-LSAT_7X92461 transcript:rna-gnl|WGS:NBSK|LSAT_7X92461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase QRT1 [Source:Projected from Arabidopsis thaliana (AT5G55590) UniProtKB/Swiss-Prot;Acc:Q9FM79] MGFNGFGILVGFSFLIYGVNQVKSSYITWDDIKIEEYYHYKEIESLSFGDGNGSKVIVVDQNGKGHALTVQAAIDMVPFNNSIRVKIYILPGTYREKVIVPPSKPYISFIGDENKASETILSWNDKASDKHKDGSELGTYRTASVDVQSDYFCASGITIQNTVVAVAGGYKQQAVALRLAGDKAMLYRVRILGTQDTLLDSNGSHYFYQCYIQGSIDFIFGESRSLYRECNLHSVADKYGAIAAHHRKSEEEDTGFSFLNCSITGSRGGKIYLGRAWGNYSRAVYSYCDIDNIIDPSGWSDWNHPWRQRTAVFGEYECRGKGADRKNRVSWSKSLAHVEAMPFLDTNFIGGNEWLRL >cds-PLY97197.1 pep primary_assembly:Lsat_Salinas_v7:4:241580273:241582410:-1 gene:gene-LSAT_4X129760 transcript:rna-gnl|WGS:NBSK|LSAT_4X129760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICCEKELQSSVEHSGGQRVRLDLQKLDRFSLFPSQSDLYTEKDGYVVRNPARTDPANPSIELAPEFKKVGDFLKRFKSIPSIIESDSLKVSGDVWFGSSVVLKGKVVIAAKSGDKLEIPDKAVIQNKEVHGAGDI >cds-PLY69453.1 pep primary_assembly:Lsat_Salinas_v7:6:122630336:122631475:-1 gene:gene-LSAT_6X72860 transcript:rna-gnl|WGS:NBSK|LSAT_6X72860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTVRHQCRQSIVAPYPPIDAPLIPTRTPSVVSCIFGRLTPPTPSTSPSHNITPTHLQDLRMLRSIIKKPTHIPSSWRSRYVEKLHLELDHKLRLREALETRSKELDKKMLDINPKLQEYT >cds-PLY76595.1 pep primary_assembly:Lsat_Salinas_v7:5:222302380:222307709:1 gene:gene-LSAT_5X103920 transcript:rna-gnl|WGS:NBSK|LSAT_5X103920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKALRSSSLQQARFTTGASYLQSSSRHGTKLTSSFNGVLRSVLADKNPKLFQRIFFCSDSSDGSGSVPESALEETESKSAAAIVPTAIKKPDDFLTVLALPLPHRPLFPGFYMPIYVKDPKLLAALVESRKRQAPYAGAFLVKDDPGSEATGSDAEKNIYALKGKDLLNRLHEVGTLAQITSIQGEQVVLIGHRRLRITEMVSEDPLTVQVDHIKDLPYSKDDDVIKATSFEVISTLRDVLKTSSLWRDHAQTYTQHMGDFNYPRLADFGAAISGSNKVQCQQVLEELDVYKRLRLSLELLKKEMEIHKIQESIAKAIEEKISTEQRRYLLNEQYKAIKKELGLETDDKTALTDKFRDRIEPNKDKIPPHVLQVIEEEMKKLQLLEASSSEFNVTRNYLDWLTALPWGNYSDENFNVVQAQQILDEDHYGLTDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHAGDPASAMLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVETIPNPLLDRMEIIPIAGYITDEKMHIARDYLEKTTRDACGIKPQQVEVTEAALLSLIENYCREAGVRNLQKQIEKIYRKIALQLVRQGAQNEPESSETSSDKQIEGATESNKSNDEPISDTTTEEAEVVNINDSATLTDEASALKDREATITIDKVWVNEENLADYVGKPVFHAERMYDQTPLGVVMGLAWTAMGGSTLYIETTTIEQGDGKAALNLTGQLGDVMKESAQIAHTVARAILAGKDKENNFFANSKLHLHVPAGATPKDGPSAGCTMITSMLSLAMNKNVKRDLAMTGEVTLTGRVLPIGGVKEKTIAARRSGVKTIIFPLANKRDFDELAPNVKEGLDVHFVDDYNQIYDLAFDHTQEK >cds-PLY78556.1 pep primary_assembly:Lsat_Salinas_v7:1:108058346:108067683:1 gene:gene-LSAT_1X85201 transcript:rna-gnl|WGS:NBSK|LSAT_1X85201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWSSRGLENLNSMSSDRSLMNKNKTTIGIQTQASDSSTRHNPQTSSSFPNENDNTEYSSEEQEHLARVKAQKEEIQYLREQITFSSVKERQLLNEKYTLEKKFSELRLALDEKQNEAIEAAANELARRKGVLDENLKLAHDLKVAEDERYIFMSSLVGLLAEYGILPRFTNAAAISDSVKHLHDQMQQKIRASQGQQRLSPSPYDNSTGGGHLEPFYDNSKYTPERDHRERNSLIINNGQMNRSLDNDNRQRFATNPLSQDVDHVYDSNKMNNRYEGVATDVSYFQPPPINDGGDSYLQEDGPGIEGFQIIGEAKPGGKLLGCGFPVRGTSLCMFQWVRHLPDGTREYIEGATNPEYVVTADDVDKLIAVECIPMDDHGRQGEIVRLFANEQNKIMCDPEMQEEIDKYMAAGQASFNILLLMDSSENWEQTTFSLRRSNYQVKINRTQEIFIQGKYTNDVSIKIPSGLTTQFVLTYSDGSSHPFNTFHDVRMRDTLVLTMRMFQSKALDERRNARA >cds-PLY68495.1 pep primary_assembly:Lsat_Salinas_v7:2:214703548:214706069:1 gene:gene-LSAT_2X136280 transcript:rna-gnl|WGS:NBSK|LSAT_2X136280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C 57 [Source:Projected from Arabidopsis thaliana (AT4G27800) UniProtKB/Swiss-Prot;Acc:P49599] MALWSPRLQRFLLNNNVSKIDLKKTNSCNSNNHIIMRKWSREGSPRCCSAIAIDAPSSLSDGLGSGIRWGSAKLQGAREEMEDDAVIVGSSPHNELDGFFFAAVFDGHAGFSSVKFLREELYKECVKALQGGLLLSKKDTIGIEKALQEAFQNADAKLLNWLEMNGEDDESGATATTMFLGNNMLFISHVGDSCAVLSQSGKAQELTNSHRPYGRNKVSLQEIKRIREAGGWISDGRICGDISVSRAFGDMRFKTKKKEMLEKGVAEGRWSEKFVSRIRFFGDLVIATPDIYQVTLGPEAEFVLLATDGLWDYIKSSDAVNFVRDQLREHGDVQAASEALAQMALDQYSQDNVTIVIADLGRTDWENLPIQKQNFVYEMIQAFATIGIVSFGIWMSSTASF >cds-PLY76053.1 pep primary_assembly:Lsat_Salinas_v7:5:318321339:318321959:-1 gene:gene-LSAT_5X175480 transcript:rna-gnl|WGS:NBSK|LSAT_5X175480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDPAMELLPPLSSPTISSISSSDLDTESTGSFFHDRSTSLGTLMGVATTFPTITFRVPSQRRDTTPTVTLGSTPSNGNGNAVGFGGRRRRKSNAVDLVSERRRKRLRRRRWWWLCSGEDLKPSSLGEFLEVERRFGEEALFDGEAVMNTDEDNRDRDNEGMLFADGRVLPPPQPPSSDVDEGPTSVCSLCRFSVSLAGICSGGAG >cds-PLY62059.1 pep primary_assembly:Lsat_Salinas_v7:2:55731132:55733369:1 gene:gene-LSAT_2X25361 transcript:rna-gnl|WGS:NBSK|LSAT_2X25361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METRINDIVSSLGTATNDVRMIGIGGIGGGGKTTLARAVFSKISFQFEGKSLIENISEVANTSLSDLKSLQNQVLSDVLNSRGIHIRNEYEGKMMLWTIMRGRKVLLVLDDVDHINQLEALAGDPNWFKSGSIIIITTREKQVLVAHGVKLIHNVNLLSDTEAIYLFSKYTFGRDIPEGYEELSRQVVCYVVGLPLTIKVLGSFLCGKSKLEWVDALDRLKAIPLEETRKKWELNYISLDDDYKELFLDVATMLKGWPKDSGTESTRYIQFGWGDLDPEIVMKGLRKTKELRFLDVALQLSLYWNREINRPIPSFTNALGLLCYNFVFNKLNPYFPDALRYLCWNDYPFSSLPKIFQANNLVVVQMLDSKIVKLWEGGERKVLNKLIFIDLSYSTLRTFELGLTPNLETFTLLGCSHLVELHMSIECLKLKSLKLSSTSKLRTLDLRLTPNLEHLDFNGDSVELYMPNECLKLRSLKLSGSNLRRLDLRLTPNLENLDLNKRYNLVELQAHVGCLKNVVYLDLSGCLGFKSFLFHIKDNTFGRMNESLDVRSLAELHFILESCPFHLDNHLPKFEFTCFHKEDLPLLARNPEMLISIGPCAYIKLETFSRSIC >cds-PLY72105.1 pep primary_assembly:Lsat_Salinas_v7:7:52845763:52855287:-1 gene:gene-LSAT_7X38140 transcript:rna-gnl|WGS:NBSK|LSAT_7X38140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENYKDESSLSRFFFDTTIVTKDILNELKSEDMKYRLFATLLRIYAKKFDVKPSFRDVALKKLFCNYLTSQHHPMAKTLTFNAGRVMNISWLVENAGTECGIYLMRHMESYMGENEGRWECGLTGKMPADVSATMKLRTKYMARLLTAEFNKFKTMIVKDFEAFVSLIFWNKICF >cds-PLY89470.1 pep primary_assembly:Lsat_Salinas_v7:8:92096823:92099609:1 gene:gene-LSAT_8X64701 transcript:rna-gnl|WGS:NBSK|LSAT_8X64701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIWNWGFLLFVFVSVSSVALSVSDPITQQKLDQVSNLPGQSFNVDFAHYAGYVTVNEESGRALFYWLTEAVEDPASKPLVLWLNGGPGCSSIAYGMAEEVGPFHVNKDGKSVYLNPYSWNTVANLLFLDSPAGVGYSYSNTTSDILNNGDKRTAADSLQFLLNWLERFPQFKGRDFYIAGESYAGHYVPQLSQAIVRYNKENSKSPINLKGYMVGNALTDDYSDHVGLFQFMWAAGLISDQTYKKSNELCDKESFIHPSRECDQIVDIAYEEMGNIDAYSIFTPPCTATGVTKRLLRRWHKVGHIGQSYDPCTEQHSTIYFNLPEVQNALHVFHSNTSRKWETCNNAVNLNWKDSPISVLDVHQELISSGLRVWIFSGDTDAVIPVTSTRYSIDALKLPTVSPWRAWYDDGQVGGWTQGYEGLTFVTVRGAGHEVPLHRPKLALVLIKNFLAGTSMASFDQVIESKTSASEQVSGF >cds-PLY86607.1 pep primary_assembly:Lsat_Salinas_v7:1:132515527:132515700:-1 gene:gene-LSAT_1X97300 transcript:rna-gnl|WGS:NBSK|LSAT_1X97300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFLHLSFSLNHPVDNATIGVSSEWYWLSHADSLPPSPPSSKLFKVSVACLLAGEHV >cds-PLY91345.1 pep primary_assembly:Lsat_Salinas_v7:8:47351123:47351781:-1 gene:gene-LSAT_8X35201 transcript:rna-gnl|WGS:NBSK|LSAT_8X35201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMNFVLQKSDLMFKKESNINGTKMTTTISCRVKELPISNKKNLYQVLSLESQNVSFHDLKKAYRAKALQLHPDVSPSSIKEECTKQFVELREAYEVLSDPNSRRMYDLSLVESMGCGLEDHAYSGKGVQYSRMVWEMQLKGLKHRSDQRRNVQFV >cds-PLY95636.1 pep primary_assembly:Lsat_Salinas_v7:7:101723789:101727338:1 gene:gene-LSAT_7X67921 transcript:rna-gnl|WGS:NBSK|LSAT_7X67921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFMRVSQISGYRHEILGVVTEVGSKVENFEVGDNGRVGCLVGPCGSCDDGANDLESYCPKQILTYASPYHDGTKTYGGYSDRIVADERFVLRWPENLLLDTGAPLLCVGITTYSPLCVGITTYNPLRYFRLDKPGMKVGVVGPGGLGHVAVKMVKGFGTKVIVFSTTPTKEQEALQGLKADCFIVSKDQDQMRWKWRTKEFSGGYRLEAEVGRGNHKVQLAVVIGSRAMKSQVTGGKYRI >cds-PLY95510.1 pep primary_assembly:Lsat_Salinas_v7:4:142407950:142409431:-1 gene:gene-LSAT_4X88401 transcript:rna-gnl|WGS:NBSK|LSAT_4X88401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSASSTLTQYDIEDVQEHCNHLFSQQEIVSLYQRFCQLDRTAKGFISADEFLSVPEFAVNPLSQRLLKMVDGLNFKDFVIFLSAFNPKASMLQKIELIFKVYDSDYNGKVTFNDIMEVLRDLSGSFMSDKQREEVLIKVMQEAGYSKDSSLLVDDFVKILDHPGLKMEVEVPVD >cds-PLY92085.1 pep primary_assembly:Lsat_Salinas_v7:2:28555348:28555719:1 gene:gene-LSAT_2X13081 transcript:rna-gnl|WGS:NBSK|LSAT_2X13081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAGDTELEMRGRCNAGQKVLASLIIRLALVETFCLNCGILALDEPTTNLGVPNAESLVVALVRDVPNANRDDYRLGQGVSSLAFGF >cds-PLY99665.1 pep primary_assembly:Lsat_Salinas_v7:9:57121695:57126952:-1 gene:gene-LSAT_9X48641 transcript:rna-gnl|WGS:NBSK|LSAT_9X48641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TMT1 [Source:Projected from Arabidopsis thaliana (AT1G20840) UniProtKB/TrEMBL;Acc:A0A178W059] MVSLVATMMRDEGMSALYSTSLTIKRDFLIPKLKVFELHLFELFDKMNGAALVAIIVTIGNLLQGWDNATIAGAVVYIKKELTLGSTIEGLVVAMSLIGATLITTCSGPVSDLFGRRPMLILSAFFYFISGLIMLWSPNVYVLLLARLIDGFGVGLAVTLTPIYISETAPSEIRGLLNTLPQFTGSGGMFFAYCMVFGLSLMASTSWRLMLGVLSIPSLLYFVLAILYLPESPRWLVSKGKMGEAKKVLQRLRGREDVSGEMALLVEGLAVGGDTSIEEYMIGLDNEDTQDQDPMAHKDSIKLYGSQAGLSWIAKPVTGQSTMGLVSRAGSMVNSSIPLMDPLVTLFGSVHENQPESGSMRSMLFPNFGSMFSTTAGPQVKDSDQWDEASSQNEGEGYQTDGGDDSDDNLHSPLISRQTTSMVGPHGGSNTGETTSGMGIGGGWQLAWKWSEREGEDGTKEGGFKRIYLHQEAAARGGSRRGSLVSLPGSGGNVAVEGEYVQASALVSQPALYSKELMAQPPVGPAMIHPSESASKTPLWSALVDPGVSRALLVGFSGINGVMYYTPQILEQAGVSVLLSSLGLGSDSASFLISAFTTLLMLPCIAIAMRFMDIAGRRTLLLTTIPVLIASLIILVLGNTIKMGSVIHAVISTVCVVVYFCCFVMAYGPVPNILCSEIFPTRVRGICIAICALVFWICDIIVTYSLPVMLTSIGLAGIFGIYAIVCVISWVFVFLKVPETKGMPLEVITEFFAVGARQAAAAKSE >cds-PLY63180.1 pep primary_assembly:Lsat_Salinas_v7:4:312509446:312514297:-1 gene:gene-LSAT_4X158221 transcript:rna-gnl|WGS:NBSK|LSAT_4X158221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-D-xylosidase 6 [Source:Projected from Arabidopsis thaliana (AT5G10560) UniProtKB/Swiss-Prot;Acc:Q9LXA8] MYNVGQAGLTFWAPTINIFRDPRWGRGQETPGEDPMVVSAYSVEYVRGFQGEKTTEGRNRYQDTKRKGRRRVLSGADDNHGGDGGGDELMVSACCKHFSAYDLEFWGNYARYNFNAIVTEQDMQDTYEPPFRSCIQKAKASCLMCSYNAVNGIPACADKKLLQKARTEWGFKGYITSDCDAVATIYEYQNYTKSPEDAVAIALKAGTDINCGTYMLIHTKSAVEKGKVKEEEIDKALLNLFKVQLRLGLYDGDLIKGKYGKFGPQDVCTSNHKNLALEAAKQGIVLLKNDNNFLPLKKNLVSSLAVIGPMANATSKLGGGYIGVPCSPKSIIDGFKKYIKKTSHSSGCVDVACASNSKFPEAISISKEAEFVIIVAGIDLSQETEDHDRFSLLLPGYQSALITTIAAISKKPIVLVLTGGGPLDVSFAQGDPRIATIIWVGYPGEGGGAALAQIVFGDHNPGGKLPVTWYPQSFTSVAMNDMHMRPNLSHNYPGRTYRFYTGPTVYKFGHGLSYSNYTYNILSPPTKSILHVFNSQKTNILQQTITGSSNYLYVDKIQQHCDSLRFPIQISITNHGPFDGSTVVMLFAQVPKGFEQGAPLKQLVEFERVHTLSYLDTNLRILVDPCKHLSIVDEFGKMILPLGDHTLLLDDLHHIVSIAM >cds-PLY67714.1 pep primary_assembly:Lsat_Salinas_v7:4:2154112:2158025:1 gene:gene-LSAT_4X2120 transcript:rna-gnl|WGS:NBSK|LSAT_4X2120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MICRORCHIDIA 5 [Source:Projected from Arabidopsis thaliana (AT5G13130) UniProtKB/Swiss-Prot;Acc:F4K2G3] MEVKMIPESTAVGDGMRTVSTNMGTIDVLDSNRGFHCYHGADVMDENNGRPSKKPKIEEQVVEYALPVGFLDPINPDERSLVSVPQTQSILEYQNSNLKAIVPSMSKQFWKAGDYEVSVHNRESVAHPAAGMDHVRVHPRFLHSNATSHKWALGAFAELLDNSLDEVRTGATYVNVDVLNNEKDIGSKMLLIEDNGGGMTPDKLRGCMSLGYSVKSKLANTIGQYGNGFKTSTMRLGADVLVFTRCPGQDGRSPTQSIGMLSYTFLMETGKEDIVVPMIDFEKRGDDWGMMVRFTPEDWKRNMETLVQWSPYSTEEALLQQFDFINIQGTRIIIYNIWEDEEGQLELDFDTDPHDIQIRGVNRDPKKIEMAKQYPNSRHFLTYRHSLRNYAAILYLRIPEGFRIILRGEDVIHHNIVDDMMLTQMVTYKPTQPCPEWTTTRNDQNMVAVVTIGFVKDAKDHIDVQGFNVYHKNRLIKPFWRVWNAAGSDGRGVIGVLEADFVEPAHDKQGFERTTVLSRLENRLVVIQKKYWSTNCQEIGYAKRCKSSSDTKCRPSMEDKPDVQSDARAPKLRPIRKVEASTSEESEFPEIITSRSPKIKCKESKATMNGDDTIAKLKEENLQLKKRLETSEGVMLNDLLLDLQSEKDKTISLENQIVKLQEKIQVLDQEQINLIDIFSEERNRREALEETLRNKLKEANDTIEELQRNIKVLKSNGVIIC >cds-PLY67084.1 pep primary_assembly:Lsat_Salinas_v7:5:282225054:282228441:1 gene:gene-LSAT_5X148721 transcript:rna-gnl|WGS:NBSK|LSAT_5X148721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Intermediate cleaving peptidase 55, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G09300) UniProtKB/Swiss-Prot;Acc:F4HZG9] MQHLHRKLTNNRPSFKLVNQILLRQFYSTDKCFTFDAGQPIPSTHPQLLKEGEITPGISCDEYVSRRKRILELLPEQSVAVVAAAPVKMMTDVVPYTFRQDSDYLYITGCQQPGGIAVLSHDFGLCMFMPEPTPQDITWQGQIAGVDAAIEFFKADQSYPINKLHKVLPNMIKNCSKLYHNVKTAVPTYTELEASKKAAYNGGLHDLSIYTHEARWVKSSAELNLMRQSASIGCQALLQTMLQSKISPYENILSAKVEYECRIRGAQRMAFNPVVGGGPNGSVIHYSRNDQNVKDGELVLMDIGCELHGYVSDLTRTWPPCGKFSDVHRELYDLVVETNKECIQLCKPGMSIQRIHNYSVEKLCRGLKGMGILKNDRLQSYHQVNPTSIGHYLGMDVHDCSKIGYERTLKPGVVITIEPGIYIPSNFDCAQRYQGIGIRIEDEVLITESGYEVLTGSLPKEINHIETLLNNHSYGENLDISAFGF >cds-PLY71499.1 pep primary_assembly:Lsat_Salinas_v7:2:122483779:122486125:1 gene:gene-LSAT_2X56381 transcript:rna-gnl|WGS:NBSK|LSAT_2X56381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Small RNA-binding protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G06210) UniProtKB/Swiss-Prot;Acc:Q9FFZ6] MVAGGRIPRTILSNLLSRATTGSLSSSTSSSSSFGPPSILISRRGIASKLYVAGLSFYTTEKALSDVFSQFGQVVEATVMMDKVSSRSKGFGFVTFASELEAEKAINEMDQKPLHGRVIHVELAKPRRPYSSAPIARGPPEPPTDVQ >cds-PLY76251.1 pep primary_assembly:Lsat_Salinas_v7:5:29827875:29828315:1 gene:gene-LSAT_5X15661 transcript:rna-gnl|WGS:NBSK|LSAT_5X15661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARIQEDDPYNQALVVYGEIEVGLDDNPKENPEVGLEMGQKVGPGVGPKDYVVTDYEYDDSDHESDEGKDVQETPSKPHPSEPLSGPHTIQESDTDYIHSLEKEIANLKRQLFATEARAVRDEQREEVITEEVNKLAEILIRQLDD >cds-PLY95686.1 pep primary_assembly:Lsat_Salinas_v7:2:113846339:113846608:-1 gene:gene-LSAT_2X52740 transcript:rna-gnl|WGS:NBSK|LSAT_2X52740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLELRFCQISGNSLTHTIPSTLGQLSNLLVLDLSRNSLTGLIPSSFRSLSNLSSLDMSLNYLSGIIP >cds-PLY68508.1 pep primary_assembly:Lsat_Salinas_v7:2:214353634:214354935:1 gene:gene-LSAT_2X135980 transcript:rna-gnl|WGS:NBSK|LSAT_2X135980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFLMAKTPEQRAAIDLDTHYTQIPYLDEWDQNEKDHSISLGGAVKDMEYSSLYSLVLCGAKPDVDVMQRISDLEQLLM >cds-PLY74722.1 pep primary_assembly:Lsat_Salinas_v7:5:26068203:26069510:-1 gene:gene-LSAT_5X13260 transcript:rna-gnl|WGS:NBSK|LSAT_5X13260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPALAFSNTLCFKSAYNVQVIAYPDESEDSLIGRFRREVFRANIVQEAKRRRFFETNQEKRKRKIRDAARRRARRRSQPKAKKEEIPGKKAVNDEGDDNWGPIDGKIPYCP >cds-PLY95914.1 pep primary_assembly:Lsat_Salinas_v7:6:106427048:106429095:-1 gene:gene-LSAT_6X67180 transcript:rna-gnl|WGS:NBSK|LSAT_6X67180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFPSPTPPATGGVPTSDKLVKLPKNLLAKASTIRNTQQVLEQMPLVISSLDAHMDHGLQSVPHLETVTRLLSNIENSQLKPLTLTDAPLLREVNAAVYRVK >cds-PLY94901.1 pep primary_assembly:Lsat_Salinas_v7:4:107980093:107982336:-1 gene:gene-LSAT_4X68621 transcript:rna-gnl|WGS:NBSK|LSAT_4X68621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANKEGQKQVDEGKIPLLTPYKMSKFELSHRVVLAPLTRQRSYGNVPQPHAILYYSQRTTKGGLLISESTGISDTAQGYAEAPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHCGRVSNTDLQPNGQAPISSTDKKLFPQPRSNGIGVARFSSPRKLTTEEIPLVVNDFRLAARNAIEAGFDGVEISGAHGYLIEQFMKDQLNDRTDQYGGSLQNRCRFALEIVEAIVNEIGPDRVGIRLSPFAEFMDASESNPEALGLYMAESLNKYGINYCHMVEPRWKAEGKTIESQHSLVPMRKAFKGTFISAGGYEMEDGNTAVAENRTDLVAYGRLFLANPDLPKRFELKAPLNKYNRETFYTSDPVIGYTDYPFLETKV >cds-PLY64471.1 pep primary_assembly:Lsat_Salinas_v7:3:14391167:14394442:1 gene:gene-LSAT_3X9980 transcript:rna-gnl|WGS:NBSK|LSAT_3X9980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSFDMALASLEKYEQLAFDEVVTKLDTLGEDSYKDNTLIMQLLHDNLTLWTSDMQSLNWNDEPIEEQEELNHLHQLLMAALPDSGCKKLKTLTQNIQLEVATVNLVVGEKPSDVYSEIATRSEKCQSEEEATLGRGKRVMLVLETRLKTSYFGMARSFGGNETQAKVNTQRVVDT >cds-PLY98698.1 pep primary_assembly:Lsat_Salinas_v7:4:264173717:264175598:1 gene:gene-LSAT_4X136660 transcript:rna-gnl|WGS:NBSK|LSAT_4X136660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRLTKCPANYVPLTPLTFIKRAAIVYTNRTSVIYAGVRFTWRQTYQRCCRLASSLRSLNIVKNDVISVLAPNVPALYEMHFAVPMAGAVLNAINTRLDAKNIATILHHSEAKVFFVDYQYVPLASEALRLLVADLEHTSAANYGMPLVIVIDDIHKPMGVRLGKLEYEQLIHHGDPRYFGEEVENEWDSIALNYTSGTTSAPKGVVYSHRGAFLSTMSLIQGWEMSTEPVYMWSLPMFHCNGWTFTWGVAARGGTNVCIRNTSAGEMYQAISQHKVTHMCCAPIVFNILLEAKPNERREITSKVNILTGGAPPPPSLLEKMENLGFNVMHAYGLTEATGPALVCEWQTKWNHLSQDHQAKLKSRQGVGILTLSDFDVKIKETMESVPSDGKTMGEIVLRGSSIMKGYLKDEKETEKAFHKGWFFTGDVGVIHPDGYLEIKDRSKDVIISGGENISSVELESVLFKHPSILEAAVVAMPHPRWGESPCAFLVLKTTTENVTEDDILKHCRKNMPKFMVPKKVEFLKELPKTGTGKIQKVELRKIAASFVISTKTNKSSINKVHRDQTWYHQDEEKVLAMSRL >cds-PLY87358.1 pep primary_assembly:Lsat_Salinas_v7:1:97289602:97290109:-1 gene:gene-LSAT_1X79081 transcript:rna-gnl|WGS:NBSK|LSAT_1X79081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGFEKGAINRPDATNRPELLDFALMRPVRFSRKVVVGLVGANIENIANEAVMLATRRGGDFMTKKDVLEAVERATTNICNNDTNGEAKSPYLFAQMALESMYA >cds-PLY80375.1 pep primary_assembly:Lsat_Salinas_v7:MU041980.1:188692:192307:-1 gene:gene-LSAT_0X22001 transcript:rna-gnl|WGS:NBSK|LSAT_0X22001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVARQGLKAMAAHQICLQVWLFVSLIIDALATSGQVLIASSVSKGDYRSVKDITYFMLTVMLLPPLFTNILSFVEDNRKVVPLLMKAIGWKRGSIQALETLKCVAVAKGLSPIRDRDHQLEVWIIDVEIGEKTIDRKKLCFSTSLIPFLNSVASINASILFN >cds-PLY73287.1 pep primary_assembly:Lsat_Salinas_v7:5:132909435:132913508:-1 gene:gene-LSAT_5X57360 transcript:rna-gnl|WGS:NBSK|LSAT_5X57360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDLFLFRYKVIKEVGNGSFGVVWRALNKQNGEVVAIKKMKRKYYSWEECINLREVKSLRKMNHPNIVKLKEVIRENDILYFVFEYMECSLYQLMKDRLKLFSETDIRNWCFQVFQGLAYMHQRGFFHRDLKPENFRVSKDVIKIADFGLAREIVSQPPYTEYVSTRWYRAPEVLLQSPTYGSAVDMWAMGAIMAELFTLRPLFPGSSEADEIYKICKVIGSPTESSWAEGLELATTVNYQFPELAGVNLSALVPSASKDGVNLISSLCSWDPCKRPTAMEALQHPFFQNCYYVPPSLRPKSTIAKTPPSAGLTTKRAVEQKCAKKYPLPNSKPNITPSAKMHSSLNSGVQRRLELNRQDGVNEKTNKISSSTKQQPKYQPPIKNNINNPRVGGVYMGKGRVGETGEKFGNMTIGSGRGKPSPPAMKAGMANGSRSDLFSGRSQGYSSKVAG >cds-PLY66989.1 pep primary_assembly:Lsat_Salinas_v7:6:140561362:140562786:-1 gene:gene-LSAT_6X85741 transcript:rna-gnl|WGS:NBSK|LSAT_6X85741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPRLRSPFKSSKLKILSMLITKVTALLNHPLSINQVKQLHALVLINGLNHLEPLVITQIICTPSDHSEATIHYLRSLLHQSKHENVIARTSAIQFFYQRGEFQEALTQYVHIQRSGIFPTTFAVASALKACTRIGNWIAGIRIHAQVYGYGFCGDVHVGTALVGLYSKLDDMETANKVFDEMSQRNSVSGLINGYLESGNLSMAEQLFSKMGRKDIASWDSMVSWYTRTGDMEKAISVFAPMPVKTSSSWISMINGYIDSKQVEFARNFYDVMPEQSTISCIKMIEAYSKNRDVESAREVFNEIDEKNQLLYNAMITCYSQNNQPKHALQLFDEMLQPNVNIQPDNTTLGIVISICSQLGEFRFGSWIDETLMKQMNIKMDDHLGNALIEFYAKFGRVDKAYNLFRGLKKKDVGVYTTMILACGRYGWKYDAIKLFEEMLENNICPNLVTFSGLLTALNHYSGLGPNGPSQTK >cds-PLY65383.1 pep primary_assembly:Lsat_Salinas_v7:6:40888849:40892856:-1 gene:gene-LSAT_6X30100 transcript:rna-gnl|WGS:NBSK|LSAT_6X30100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWQVLLGAAIAGSGYFAKQLLHKNDDKPTNYSPLNLDLPIENAESETSILEEDASSIFRFSSTSHGSKNPRKKLGRGGGIKGARGNHERKSGFEKKIGDGLVDDQRKNGKKFTVCLKKRRIGRNASAKCDSFDVKDKSSFGWGVGVGIMYMMSAGKAEIDKLNTAVDETAKVVQELKNEIFKRKSSRNSEIKRTLNQKLVDIKWDQSVLEKSPMENNDIESYNFPATDDAISMITEEPHQEVTEMDQLEAELESELLKLHISKSQDHDLESNQSGGVIPYELDQKLCHLLIEQQESQIVDLESELHFTNSKLLEKESELQALKDCVKRLTEFSLTCPSDEEREGQIDEAHNKLINGNGRSMVGIKRAIDFD >cds-PLY63242.1 pep primary_assembly:Lsat_Salinas_v7:9:169255306:169256572:-1 gene:gene-LSAT_9X104401 transcript:rna-gnl|WGS:NBSK|LSAT_9X104401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKVIHFLFCILISNSILSLAQEDCSSYNFRNNEIYATCVSLPVQNSNLHWNYHPTNGTVDVAYRHTGVSTSTWVAWALNLNGSGMLGAQALVALPNSNGSVQGYTSAVTSYGTGLQQSPLNFVVPAIRAERLNGDVLIHATLVLPGGRTSFNQVWQSGPVSNGAPGAHPLGSDNRNSLGTVDFITGQTGAGAPVGGSLLHRRNTHGVLNAVSWGILMPMGAMVARYVKVFKVANPAWFYIHIACQATAYGVGVAGWGTGLKLGSDSEGIKYTSHRNIGITLFVLGTLQVFALLLRPKPDNKYRKYWNIYHGGVGYTVITLAIINVFKGLDILDPEKKWKHAYIGVLISLGAIAVILEAFTWFIVLNRKKEEKQVNGAHGANGYGRSHEQAA >cds-PLY97022.1 pep primary_assembly:Lsat_Salinas_v7:2:35382513:35384289:1 gene:gene-LSAT_2X16561 transcript:rna-gnl|WGS:NBSK|LSAT_2X16561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCAPNSPPSPSESLIEGEKEERTKKRKCRAKIQGQSQSSLSRGDTGAAADSFQSHTLSSQFTRYFRK >cds-PLY62799.1 pep primary_assembly:Lsat_Salinas_v7:4:29456528:29462506:-1 gene:gene-LSAT_4X20480 transcript:rna-gnl|WGS:NBSK|LSAT_4X20480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter PHO1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G68740) UniProtKB/Swiss-Prot;Acc:Q93ZF5] MVKFTKQFEGQLVPEWKDAFVDYWQLKKDIKKLNCDSTAPSSKSKHKSSLSNTFFSAIHKLSLFGNQCRTHEVIHVHSKLQSTNSKGVLYQTELLEKFADTEAASEFFALLDLQLNKVNEFYRRKEKEFLDRGECLEKQLNILIDLKNAIKDQHKNKATSSHHDSKDEDSISGSISCDEESSRGIIEHDLQEEEKIAEEHDVNGVECEDSPRSEEDGKVTRSIQEEEQSLSGYIINSQGKKLKIRIPLTNPTRTFSYLLWEDSINQSSRKHNAHGKKLHVNKTKVHHAEKMIRGALIELYKGLGYLQTYRNLNMLAFAKILKKFDKVTNKQVLPIYLKVVESSYFNSSNKIMKLADEVEDIFVKQFAEDDRRKAMKYLKPTQRKESHAVTFFIGLFTGCFIALFVGYVIMARTTGTYTPQTNTIYMETVYPLLSMFSLLFLHFFLYGCNIFMWRKTRINYSFIFELSPTRELKYRDIFLICTMSMAVVVGVLFVHLSLMDKGYSYSQVELIPGLLLLVFMVLLVCPINILYKSSRFRLLSVLRNIILSPLYKVVMLDFFMADQLCSQVPMLRNLEYVSCYYITGSYKTQDYGYCVQTKNYRDLAYAVSFLPYYWRAMQCARRWLDEGDTGHLINLGKYVSAMLAAGVKLAYEKEKSMAWLCMVVIMSSIATVYQLYWDFVKDWGLLQMNSKNPWLRNELILRRKFIYFFSMGLNLVLRLAWLQTVLHYKFGSIDYRLTGLFLAALEVIRRGQWNFYRLENEHLNNAGKFRAVKTVPLPFHEVDEEG >cds-PLY92421.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:640051:641045:1 gene:gene-LSAT_0X10801 transcript:rna-gnl|WGS:NBSK|LSAT_0X10801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAIAYLDALRNALKDVMEPFIPTIPMAVYFGADALINSCELKTFVVQNAPRVVIGGQPNELYTLVMIDPDVPNPNAPHLSQLVSWIVTNIPGGASCAQGTEIVPYVGPNPQIGVHRYILFLYQQQARLDDIDAIESRFHFNVEGFANMHNMGKPVGLSYFNVRRQANGRNANA >cds-PLY77020.1 pep primary_assembly:Lsat_Salinas_v7:6:175353136:175353581:1 gene:gene-LSAT_6X107801 transcript:rna-gnl|WGS:NBSK|LSAT_6X107801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCKAKRNLTRSCCLVLIRLEKVCKGKLKSRKITFQNNQVVWHYMATIFYTSGHNQQMESFITYCNFTKTIGWHRLLLSFACNL >cds-PLY82355.1 pep primary_assembly:Lsat_Salinas_v7:5:312638358:312639117:1 gene:gene-LSAT_5X170741 transcript:rna-gnl|WGS:NBSK|LSAT_5X170741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKCSCGSNCSCGSGCNCNSCGVETSTTATIIVAGVAPKMTFAEGTETSFVAESGNGCKCGSSCSCDPCNC >cds-PLY93575.1 pep primary_assembly:Lsat_Salinas_v7:2:175418931:175422606:-1 gene:gene-LSAT_2X99561 transcript:rna-gnl|WGS:NBSK|LSAT_2X99561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVAKLLYIVVEDDFGGNEEKEGNEELTFRYTRHVLQSTLQLMGCKARHAFKISQRVFEIMRTECLGDNLAAMGVINTGKDFLKVRPGKENSRVTDSRSEKEGESSNTVSKNDDNIRSIPFESYKKRTTVIVKRKRFIDVVCDALAEYKYVGPNQRADLVLACRIRERKESVTVLLCGTSGCGKSTLSALLASRLGITTVVSTDSIRHMMRSFVDEKQNPLLWSSTYHAGEHLDPVAVSEAKAKRKAKKQGSSTSSPQSQFKTTGPSDASTSLPPLPKEGGSTTIDLISPKQMAIEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHMERFAVRAKYMTLDPAKNKYVKYIRNIRTIQEYLCNRADKHLVPKVNNTNVDKSVAAIHATVFSCLRRREAGEQLYDSTTNTVFVIDEEYRNQCVANSLSSKGMFQLIQRQGSSRNLMALLNDDGSVAKAWPVCSVDADGKPVLGHQTDESNGIGIGNGNGIGIGIPMYGPLQIGKAEPVNLQFGNFGISAWPSDLGCTSHASSVDESRGELTDNGSRYHSSCCSSPKASDGPSKELKEEQSVFGSDEEVDDQLDVDSDEDLSDDAKEHMHEEMEGSVDEESTKSDEEYDDLAMQDIQENGYFTDDELKQHNAVNNNHYGDKYSQNLDRFLRAKSEPNPYSGHPNPSYAIKEKRLLYSGSFKVKRRSHSISCFGKDGPLSPTLSRITATLSPDHP >cds-PLY70038.1 pep primary_assembly:Lsat_Salinas_v7:5:201777716:201778234:1 gene:gene-LSAT_5X90561 transcript:rna-gnl|WGS:NBSK|LSAT_5X90561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLGKNLWGEELVILAEASMEPTVIYVKQVLDIISKASNKGIKGIAHIPGGGFIDTICRVFGIGLGALVYNDSCSVPPVFKWIQKAGGIEDGEMKRTFNMGIRMVLVVSKEVSERVVKEEGEMVYRVGEVFSGNKQFDIITLE >cds-PLY63690.1 pep primary_assembly:Lsat_Salinas_v7:9:86044580:86048935:1 gene:gene-LSAT_9X67680 transcript:rna-gnl|WGS:NBSK|LSAT_9X67680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKLPWQLFEQLELEVDEGSSMEDETKTKPSFKGVSGAYSESAAEKAYPNCETVPCEQFDIAFQAVENWIADRAVLPIENSLGGSIHRNYDLLLRHRLHIVGEVQLSVRHCLLANHDVKIEDLKRVLSHPQALAQCEHTLTRLKVVREAVDDTAGAAQFVAQHKLRDTGAVASVGAARIYDLNVLAQDIQDDSDNITRFLMLARDPIIPGTDRPFKTSIVFSLDEGPGMLYKALAVFSMRDINLTKMESRPQRKRPLVNNDGLKHFDYLFYCDFEASMADYNAQNALKHLKEFATFLRVLGSYPMDINLV >cds-PLY94447.1 pep primary_assembly:Lsat_Salinas_v7:6:9810105:9811934:-1 gene:gene-LSAT_6X7001 transcript:rna-gnl|WGS:NBSK|LSAT_6X7001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 47, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G12770) UniProtKB/Swiss-Prot;Acc:Q8W4E1] MAAIVATRFLFLVGDTLTLKKLPKFSRPASFHKSAYILSPANAPLTLKSLGFKNDTETTTTATKFKKDNIRQTVSTPELIQGQLKEVKTNRVKVKPKAVATENPLVIESAPFSAKSFSELGLPPLLIEALNREGFEVPTDVQSASIPTILNNHDVVIQSYTGSGKTLAYVLPILSEVGPLGNNHNNKEAKRKPDVEAVIVAPSRELGMQIVREIEKLLGPENKRFVQQLVGGANRSRQEESLKKNKPLIVVGTPGRIAEISASGKLHTHGCRYLILDEIDQLLSFNFREDMHRIIEHVGKKANSDYDSDTSNSNSVLVDSGTGIKKRVERQTIMVSATVPFAVIRAARSWGRDPILAQADKVGPLESVSRPPXXXXXXXXXXXAASNAVSGSLPPSLKHYYCVSRLQHKVDTLRRCVHALDAKTVIVFMNHTRQLKDAVFKLQARGLVAEELHGDLGKLTRSTILKKFKKGEIRVLVTNELSARGLDVADCDLVVNVDLPTDSVHYAHRAGRTGRLGRKGSVVTICEESEVFVVRKLQKQLGVTIQSCEFAEGKLVIEEEK >cds-PLY69899.1 pep primary_assembly:Lsat_Salinas_v7:4:70045428:70048716:-1 gene:gene-LSAT_4X49401 transcript:rna-gnl|WGS:NBSK|LSAT_4X49401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAGTRLPTWKERENNKRRERRRRAIAAKIFAGLRVYGNYKLPKHCDNNEVLKALCNEAGWIVEEDGTTYRKGCKPAERMETTSAMDSPCSSYQPSPNASSYNFSPTSSSIPSSISSLYNSNSTPDPNSLIPWLKNLSSGPTLTKFPHHLYIPGGSISAPVTPPISSPTCRTPRMDHDQTVPPPWPFSSTPHSPGIQTPPDSGWLSGVQTPQEGPSSPTFSLVANHHPFGRIWTLGQSGTCSPAVNGAADVPMSDVEFAFGSNMKLLGLVKPWEGERIHEECVSDDLELTLGNPNTR >cds-PLY90531.1 pep primary_assembly:Lsat_Salinas_v7:2:41910231:41910971:1 gene:gene-LSAT_2X19020 transcript:rna-gnl|WGS:NBSK|LSAT_2X19020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNQVLVVFLSLIAFGFAITSNANTYTVGDNSGWDISTNLDTWEQDKKFIVGDVLVFQYASTDSVCEVGKESFQTCNTTNVIKCFSDGNTSIPLTSPGERYFFCGNRLYCYSGMKLDVLVERNQSIVAEAPLTGVPEAESGGSKNNNPSTVVPSTAMFVRVGSESVCLGIFGLLGILIWIF >cds-PLY67567.1 pep primary_assembly:Lsat_Salinas_v7:3:54843544:54847903:1 gene:gene-LSAT_3X42840 transcript:rna-gnl|WGS:NBSK|LSAT_3X42840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSATRARTHPHHDMYMQEFCRLHEDNRHLHHDYSELHDSVCEINTEMVQFREEFYTFRDNQQTHNQHVDSLVTNVHRFADQRVSEIESLIESLRSGAPTAVPPPDLQPPEPQTVVCGSNADHP >cds-PLY99493.1 pep primary_assembly:Lsat_Salinas_v7:4:58123674:58124551:1 gene:gene-LSAT_4X38760 transcript:rna-gnl|WGS:NBSK|LSAT_4X38760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSESTLSRSQKGDDGITTVCERLESVDPVLEKLNSLQIATSILTSEPSKSSLTDVLVNRPSTSSNTVIVDPKVLMELYTTYQEWQEQQAHTSNKRQEEVENKIEIEDALAIKFLQTYKFYQ >cds-PLY66141.1 pep primary_assembly:Lsat_Salinas_v7:7:31640986:31641858:1 gene:gene-LSAT_7X22961 transcript:rna-gnl|WGS:NBSK|LSAT_7X22961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTKKDSCRWNFAFVRFGGIADERRFEESLKGIRFKNITLIINKAKFDRKDPKSTVLKTKVCHSSPPHKPYCHHGGFRDNRTFAGVIAGNGGSKYPVHQISINTEVMMKQWKNNELSLIGKAHSAKHLNVIPSTVDLGDDDFVKVTYLGGLNMGLRFKCTEDVNRFLNDRSLWGEWFAWVDNVVNVKIEFKRIIWVKIVGLPFDLWDESNINNITSQFGEVVIPFDFFATFRDFSMAKVCIMTKSLKKVNKEIAIPGGDRMVKIGIIEMEESWSPFKKQVLEDNWLDDLP >cds-PLY64552.1 pep primary_assembly:Lsat_Salinas_v7:6:34498153:34498574:-1 gene:gene-LSAT_6X25220 transcript:rna-gnl|WGS:NBSK|LSAT_6X25220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVKESRSSSKNDHRDCGGDGGGGGGVNEDGRTLGDKCRYIRKKQRAKFYIVRRCIAMLVCWHDPDK >cds-PLY63014.1 pep primary_assembly:Lsat_Salinas_v7:8:183914212:183914828:-1 gene:gene-LSAT_8X119440 transcript:rna-gnl|WGS:NBSK|LSAT_8X119440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGISDKSLQMVGDNYQHLHLLDITSFKDEAYKNLSLFSNLRFLDLCVVLFRIYLMKAISLKPNATIFVSSI >cds-PLY95858.1 pep primary_assembly:Lsat_Salinas_v7:5:64507139:64510244:1 gene:gene-LSAT_5X31280 transcript:rna-gnl|WGS:NBSK|LSAT_5X31280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAWLFFFLNVICWVFLFLPQTCVSSVRYIGKVNPGFKGSQMQFIDNSGLFLLSNSSNFGFGFNPNADITSFTIGIIHITTSKVIWSANRRAPVGNSDNFVFGDDGNAYIQTNGKVIWSTNTTGKGVAAMKLLDSGNLVLVRNDGVFVWQSFSNPTNTLMPNQDFNSGSKLVSNPKNNLTFSLEIKNGDMFLSSGFRNPQSYWAMSKDKRRIINKDGGNLESAVINGNSWRFFDENKVLLWQFVFADGDDSNATWAAVLEDNGFISFYNLPGKITANHNIPDDMCSTPQSCSSYLVCHAGKTCQCPSGLNEISCKPSSLSCAKKDEATLINAGDNLSYSALGYTSPSSKTNLDGCKSSCLGNCSCLAMFFDNKSGNCYLFDQIGSFEDAKNGESFESYVKISRNLNGKKKKQSIVVVIAIVIATIFVILTLVFIWIYWNKKRNNIPIEKGNESSEEDNFFESISGMPVRFSYTYLQQATDNFSIKLGQGGFGSVYEGVIKDGTKIAVKQLEGIGQGKKEFRAEVSIIGGIHHHHLVRLKGFCAEGAHRLLVYEYMGNGSLDRWIFKKKKTEFLLDWDTRYNIAVGTAKGLAYLHEDCDVKIVHCDIKPENVLLDANFRAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSFGMVLLEIIGGRKNYDSSVISEKSHFPSYAFKMMEEGKIQEIFDQDMKVDEKDERVKIAIQVALWCIQDDMNLRPPMTKVVQMLEGLCVVPTPPMASQTCSRFYSGIFKSISEEGTSSGPSDCNSEAYLSAVRLSGPR >cds-PLY68094.1 pep primary_assembly:Lsat_Salinas_v7:8:34196196:34198642:1 gene:gene-LSAT_8X27180 transcript:rna-gnl|WGS:NBSK|LSAT_8X27180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLETASSSSGPSVPQQPNLPRSDLLADESREDYLEICCGFQTASDKGDWKAAEAILEQKPELIRYAFTHNFDTPLHIAAMANSTKSMEKFVEKLVNLMDVEDLELHNKNYQTALSIAASTGNIKIAKIMLKKNKSLLEIPNSEGMMPLHVAAMYTNTNMVRYLYDKSKKMTTDSWRLENRGWVLQTCVEANHFDVALQIVNDHPELISNKRLLGDLLLCLARNTNALKGNKPNIISRIVKSIFAVSHAECESDHDALKLLRILKEKMVRMRENDFNEILKEPPFEIEKGQQKKTTYPSRLLFVALEHGNTRFILELIRLYPLHPELILMVDDKGRSIFHIAVKYRKADIYNLLYQIGSMKESIICMKDVKGNNLLHFSAKLGKLKEFVYMPGAPIQMQQELLWFKETSKYGETPHDLFNMKQHHLAIKCEKWAKENTKRDLVVATILAFASAFTLGYYNRYQNIHLPMFNQRPTHNLIVIASFTSLQSSSTLALISLNYTRPHFLESLPKKRMLVLAAMFLSFVTLMVAVNAFLFLFYSERQKWIPIMFSGFAGIIILLCGVLLYLALGSGYLLKPKKRSMS >cds-PLY68827.1 pep primary_assembly:Lsat_Salinas_v7:3:62497126:62498840:1 gene:gene-LSAT_3X48980 transcript:rna-gnl|WGS:NBSK|LSAT_3X48980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEYVGMVAAQIAQVLLIIVSKYAIADGMSNYSFIFYSNALASLILLPLSLIFHRSGNRPPLTIIVICGFFIIGVLGFFAQVFGYSGITLSSATLATTLLNLTPGFTFLLAILFRMEAFDLSLTTQAKFIGTVVSIAGAIIITLYKGPSILSSSLKSEISQHLLVKPSDWVLGGIFIAITSILSSMCFIGQATVLKKYPAEVIVMFSYCIVYTILSALASFIVGDDLNSYSLRPKKRLLFVLYSGIFGSAFQFTVQACLLGSVVVVIGFYSVMWGKAKEKIVVFHNEEAPLLQNVEENITSPSP >cds-PLY88529.1 pep primary_assembly:Lsat_Salinas_v7:7:134217485:134218387:1 gene:gene-LSAT_7X80021 transcript:rna-gnl|WGS:NBSK|LSAT_7X80021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQCSMRFVVKPAPSYVDRHNCILTREVLSAQHISNIPTLLKLDSNTNGRIYYTGGLKTMIKFINPSHANTFLADDSNWNRWFRWLKKGYRDNMDCEILTWINIFGVPVRFQSDENYSKIVGAFGKAIDTYSNWDSLDVSTGHVCILTKSLRMINEEIEIVYGNTSYRVGVVEFDRDWSPFDAIRGDHHWFKQFGGIHVDSDDDTCLMNSSDSEFEVGNDDAVSATWENPNQVGEVPKEGEIVEEPELDGEVLRSPIATKAASSVKENCTDAMMDEVESSPCINSAHSPKANEYLVDP >cds-PLY67998.1 pep primary_assembly:Lsat_Salinas_v7:1:195875123:195876555:-1 gene:gene-LSAT_1X125581 transcript:rna-gnl|WGS:NBSK|LSAT_1X125581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICLPSVDKEYHLWGHEKIPAVGVDSNLHEEADLVVEHGKPVNDHNTPDLNFPDTVVPGMPEFDRKLGAPAKRMAPLVTLQKKVYMSILRRELPKLLALSSGTCSHQSLENIIRDEGTKWSFPVQIEKEDTIFLVLKEEDGTLEI >cds-PLY64301.1 pep primary_assembly:Lsat_Salinas_v7:5:83912250:83916437:1 gene:gene-LSAT_5X39240 transcript:rna-gnl|WGS:NBSK|LSAT_5X39240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSRSEEEDGPSTLDSSLLTTTDVSRKRRSNQSSSPATAVVVFSTLVAVCGSFVYGSAVGFSSPAQMGIMDDLGMSLEQYSVFGSILTIGAMVGAVMSGKIADQFGRKMTMGISQVFCLFGWLAILYSEASWLLDAGRLSIGYGVGVISYVVPVYIAEITPKNLRGAFTDVNQLMISIGISVMWLLGILIHWRTLALIGGIPCVLQVVGLFFIPESPRWLAKIGLWKECENALQKLRGENAEISEEAAEIRDYTETLDQISESRVFDLFQPEYAKSLIIGVGLMVLQQFGGVNAIAFYANSIFISAGFSSTIGSIALVLVQIPFTILGVLLMDVSGRRPLLMVSGGGTCLGCLLLGISFFLQDHLEENKGLSPILALVGVLVFKGSYSLGMGGIPWVIMSEIFPMNIKSSAGSLVTLVNWFGSWVVSYSFNFLMEYSTEGTFFMFSSICCVTVLFVAKLVPETKGRTLEEIQASMNTSIET >cds-PLY90819.1 pep primary_assembly:Lsat_Salinas_v7:2:107018613:107019941:-1 gene:gene-LSAT_2X46120 transcript:rna-gnl|WGS:NBSK|LSAT_2X46120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHPHVLLVSQHAYGHLNPTIELAKNLRRAGARVTIATTVSGFNNKLKSLSFFHDISCVSFSDGHDDDINPDKIPGYFQDLERVGSESLACVIQTLSESGKQVTLLVYTLFIPWAAVVARELNVPSAILVIQSATCFSIYNHFCNSRDGIAVVNKDIETSISLKLPGLPLLKWNDFPTYLLPTDPTFSEMTSLCQEHLKFLEEEPNPRVLVNTMDDLESDSIKSIKNAVIVGPLVPSSFSDNHGSYFRWLDSKPENSVIYVSFGSKAVLSKAQKEEILHGLIESSRPFLLVLRDNCEDEDEEIKELKEKIGDDGLVVGWCSQMEVLRHGAVGCFVTHCGWNSTLESMVAGVAVVACPQFSDQPTNAKMVEEVWGNGVRAVVDEKMVVRREEMKRCLEAVMGGGERAEEIKKSVEKWKKVAMESLKDGGSSQINLKVFLESIL >cds-PLY74349.1 pep primary_assembly:Lsat_Salinas_v7:5:325098814:325099490:1 gene:gene-LSAT_5X179160 transcript:rna-gnl|WGS:NBSK|LSAT_5X179160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLCSITFAASGFVVKSNISYTFETLSIKSTMVMFPSKNTRSSRLVVKATKEATTPVAASATYIDEDKNPTGVGSVVTVDQDLNARYALVVRFNKLNYINVSTNNYRLDEIEEAKSSYFVQSSLICYVDQ >cds-PLY78560.1 pep primary_assembly:Lsat_Salinas_v7:1:106660390:106663352:1 gene:gene-LSAT_1X84960 transcript:rna-gnl|WGS:NBSK|LSAT_1X84960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFNSINSTINNGGLLNSGVWAPPQPIQRMQTYTRVPGVEALVVRVVSSVDKKLEVKQRFLEIFQEENYPVEFGYKSKVITTDACVDTDIWRKMLQVVVKRQLQAYYNWAIAISDRAKLHGRTKEAEKLWKQATNNYEIVVKLNWNSRQALNNWGLALQELSAIVPAREKQTIVRSAISKKTHQELGDILHMSAASNYLKEAGDAHGSVKIQISIVEQFGRKGTEEEYEDKGDYEQKLH >cds-PLY72842.1 pep primary_assembly:Lsat_Salinas_v7:6:22263949:22269593:-1 gene:gene-LSAT_6X17060 transcript:rna-gnl|WGS:NBSK|LSAT_6X17060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDLGSSRMMLPFLTDLSNHLISMVLFLFDLTAVSFGTIYKGYIAENVWVGLKSLPVAVKVLNKEGLQGHKEWLTEVNFLGQLIHPNLVKLIGYCCEDDHKLLVYAETDREAGGNKGVFDKQIRLKILSPNVLDITLVDLPGITKVPVGDQPFDIEARIRTMIMSYIKTPNCLILAVTPANSDLANSDTLQIARN >cds-PLY97971.1 pep primary_assembly:Lsat_Salinas_v7:3:18400888:18403518:1 gene:gene-LSAT_3X14120 transcript:rna-gnl|WGS:NBSK|LSAT_3X14120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKKNDGDGVEEGGSGRLQPWTKQITVRGIVASCIIGSIYSIIAMKLNLTTGMTPNMNVSAALLAFVFMRTWTKMLQKSGISSVPFTRHENTMIQTCSVACYSIAIGGGYGSYLLGLNKKTYEMAGGVNSPGTYKEPGVGWMMGYSFLVCFIGLFVLIPLRKVLIVDYKLVFPSGMATAVLINGFHTQGDDMAKKQVKGFAKYFSASFLWGFFQWFFTGKEEDCGFVQFPTFGLKAWKNTFYFDFSMTYVGTGMICPHIVNLSLLIGAVVSWGIMWPLIGKNKGDWYPDGLPESSMKSLNGYKAFISIALILGDGLYNFVKILYITSMSVHGRFKNKTLNPVSEKKVSEIELKQNEVFLRENIPMSIGAIGYITLAIIAVIAIPYMFPEVKWYYVIISYIFAPSLAFCNAYGAGLTDINMAYNYGKIGLFMMAAMAGKEDGVVAGMAGCGLVKSVVSVSCILMHDLKTGQLTLTSPRTMLVSQAIGTAIGCMVSPLSFFLFYKAFDIGNPDGEYKAPYAIIYRNLAILGVQGFSALPKHCLDLCYGFFAFAVLINMIKDMLPKKIGKWMPLPICMAVPFLVGGYFAIDMCIGSLIVFMWHKVNAKKADSMVPAVASGLICGEGMWSLPASVLALAKIRPPICMKFFSS >cds-PLY64687.1 pep primary_assembly:Lsat_Salinas_v7:7:137807115:137808581:-1 gene:gene-LSAT_7X82641 transcript:rna-gnl|WGS:NBSK|LSAT_7X82641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLYKTSTPSTRNGAVDSKVKSNPRNNLIYGQHHCGKGRNARGIITAGHRGGGHKRLYRKIDFRRNEKDIYGRIVTIEYDPNRNAYICLIHYRDGEKRYILHPRGAIIGDTIVSGTEVPIKMGNALPLSAISPCTSRKSYALEKACTVWEGILIDKKEESTSTDMSLGTTIHNIEITLGKAAGAATKLIAKEGKSATLKLPSKEPSVGVNQKSLGRVGSKRYLGKRPVVRGVVMNPVDHPHSGGEGRAPIGRKQPTTSWVFLHLEKEVEKRINIVII >cds-PLY64097.1 pep primary_assembly:Lsat_Salinas_v7:5:231023672:231026626:-1 gene:gene-LSAT_5X109701 transcript:rna-gnl|WGS:NBSK|LSAT_5X109701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGLTLGLMSLSLVDLEVLAKSGTPKDRIYAEKILPVVKKQHLLLCTLLICNAAAMEALPIFLDSLITAWGAILISVTLILLFGEIIPQSVCTRHGLAIGATVSPFLRVLVWICFPVAYPISKLLDYLLGHEHVALFRRAELKTLVNFHGNEAGKGGELTHDETTIIAGALELTEKTASDAMTPISDTFSIDINAKLDRELMNIILEKGHSRIPVYYEQPTNIIGLILVKNLLTIHTDEEVPVKSVTIRRIPKVAESLPLYDILNEFQKGHSHMAVVVRQCIKTPQEPGMKTPLSEKGVKEVRVDVDGDHKTPLEKSLQSKRSFKQWKSFPSRGSNSFKGNFSSKKWNKEMYSDILDIDGKPLPVPDEEAVGVITMEDVIEELLQEEIFDETDHHFEES >cds-PLY77302.1 pep primary_assembly:Lsat_Salinas_v7:3:212288297:212291142:1 gene:gene-LSAT_3X124300 transcript:rna-gnl|WGS:NBSK|LSAT_3X124300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHLPKHPPPLTRLSSQPVPQPSDNLATSTSLSSTPTSITLLQADHLRSSSFLDEDQLSQNGVGKKHPHDIQLLQTDALIKEVQRVFCSGHQDPLEIEEAKKLLKEHEQALVDAIARLVDISDGETGGTTMKHFDATLGSGNLRETMRLPHYEDINQWLAVNTVDFFNQGVSLHLSNTARDMDGVYGIAATAYILQLATFFYLSTIL >cds-PLY73091.1 pep primary_assembly:Lsat_Salinas_v7:9:3981439:3985009:-1 gene:gene-LSAT_9X2021 transcript:rna-gnl|WGS:NBSK|LSAT_9X2021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDEATATAKVNKANGGGAVHGINNSTGGMSLVNSWNGRPYSQRYFDILEKRKTLPVWHQKEEFLKVLKENQTLILVGETGSGKTTQIPQFVLEAVEVESADRRKKYMVGCTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSAKTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFFGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPPGDILVFLTGEEEIEDACRKITKEVGNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPPPLTEGGPAGRKIVISTNIAETSLTIDGIVYVIDPGFAKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTEKSFNNDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTKLGEIMSEFPLDPQMGKMLVVSPEFNCSNEILSISAMLSVPNCFVRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDQSWCYENFVNQRALKSADNVRQQLARIMARFNLKLCSTDFNSRDYYINIRKAMLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTSRNFIRTVTDVRGEWIVDIAPHYYDLDNFPQCEAKRVLEKLYKKREKDKEESRSRR >cds-PLY97332.1 pep primary_assembly:Lsat_Salinas_v7:4:286053402:286053614:-1 gene:gene-LSAT_4X145561 transcript:rna-gnl|WGS:NBSK|LSAT_4X145561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALICDELAIDMVQFQERVKRQLDGLNIEVDNIKTGQIVLLNTVGDPRNHLYSLQAGYLKLFVKKHDVNK >cds-PLY84557.1 pep primary_assembly:Lsat_Salinas_v7:1:31304933:31331146:-1 gene:gene-LSAT_1X27241 transcript:rna-gnl|WGS:NBSK|LSAT_1X27241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHGAVTCSGSVSLSGRRNHSSFYRYDHISLPFHSESSIAFYSTGRLSPSLCCSHSSWKPGGWILSRSPVIKRESSDVFEVKATSVPDDADESDAAAVAKSKMAETLVLGLLFGVWYLFNIYFNIYNKQVLEVFPNPVTLTAVQLAVGTTIIFLTWALNLHKWPNISRTQLVAILPLAVMHTLGNFSTNMSLGKVSVSFTHTIKAMEPFFTVILSTMFLGEIPTAWVMSSLVPIVGGVILASLTEVSFNWPGFWSAMASNLANQSRNVLSKKVMVQKEEPLDNITLFSIITIMSFFLFTPVALLVEGVKFTPSYLQSAGLNLKHVYIRSLLASICFHAYQQVAYMILQRVSPVTHSVGNCVKRVVVIVSSIFFFRTPVSFINSIGKQSNKIDVGFCLAVLHKNMAEVDLTMAFKLTPSLLEQLGKALNELQSHSDTTSNTVSFTEISDHFRDLESKMLKKYTELESKEKSFKQEESNSRQSLAAKVAAVAEKEQDMFDRIQLLKDAAVAAIAEARANHLPPPVDNTADDVTDDMDNTKVIIPNSPEETNDDGGGGDSVTFYDELTRLCDQMDAKGLVSLFMENRKNISVLREELSHVLKSTKEPGRLVLDTLEGFYADDTTTAAAAASGGGSQGMRQSCIAIMESLSAMLAGGEMGADVLLGLEIKQEAKAMANEWRGKLVINDNDDDNDGKSLEVEGFLQLVATFRVASEFDEDELCKFVFAVCERREAPSLCRALGLAHKMPGVIEELISSGKLISAVHFVHGFELADRFPTVPLLKTYLKDLRRSSQGKRGNWGNPESGLNEGNTKELAALQNVVYCVQKYELETEYPLEAIYRRLEQLERAKVDRKRSSRDPPTINRFRDSPTKHHHQNKKQRSKSGGFGGGGGGGGYGHHRHHNGRHAPPPPAYMDRSLYAAQPGERYPQVDYSYPTAAPPPTQAAYSQQVYEQSAYYYPPPDAAVAGAGAAAYGVYTSSGAPAAYQPAPYQPFMAAGDFTLICDLDVLKDSFTMKLRIIRLWTLEDYYKKDEIFTIQLILMDEQGKKIQGYVPNAYIYKFRKVLKEGKAFFIKNPNLGKMDEGKFQLTDQMQKLTFTRETTVAPCLEFSGSINGLNQDGPHENSSSTFSYMKSNRSSDKDDFVLNHELKTIADIFEPIEIKKYIIVATIKGILQHTSALLGMFMIPVRVQDHTGSMTLTMFEQDAKKLLKISAKDLVAKTAKGVNSQSFEHGTIDCESQDNIFIKDAISQTDDNVTPMNVFKSTATSPKKN >cds-PLY72623.1 pep primary_assembly:Lsat_Salinas_v7:6:48401106:48401435:-1 gene:gene-LSAT_6X35961 transcript:rna-gnl|WGS:NBSK|LSAT_6X35961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTDGGNGLKLDLKLNLSPQRTQWPVTESPNRSSPITVSPTNSCVSLELAQDEMELRYSSSPETTSMMLAGCPRCLMYVMLAQDFPKCPKCKSTVLLDVVLDKPIKK >cds-PLY63519.1 pep primary_assembly:Lsat_Salinas_v7:9:25007713:25008960:-1 gene:gene-LSAT_0X37740 transcript:rna-gnl|WGS:NBSK|LSAT_0X37740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAQEDQDLNGLPNVSSSRYRNSDVHDASNQDGNPGYGEDSQEDYIEETPACHVIPSDESHQEDEPLEQQP >cds-PLY69628.1 pep primary_assembly:Lsat_Salinas_v7:5:111929834:111930992:-1 gene:gene-LSAT_5X49441 transcript:rna-gnl|WGS:NBSK|LSAT_5X49441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERLNDFNFVGRLLVPRGNSLKRVETSIVFLLFIRGQGSIKDPKKFKKKQGYDKIDYVAG >cds-PLY85522.1 pep primary_assembly:Lsat_Salinas_v7:2:195703795:195705765:-1 gene:gene-LSAT_2X116501 transcript:rna-gnl|WGS:NBSK|LSAT_2X116501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMSWEDVVLIERSKTSCDPSVVTVNCPDKAGLGCDLLRIVLEFGLYVTRGDFSTDGRWCYVVLWVVPRPNSVRVDWESLKNRLLSCCPSCLPTFYLNHLSDSDSDSSKSPPLYLLKVFSLDRKGLIHDVTKVLCDLDLAIQRVKVTTTPDGKVLDLFFITDGMELLHTKIRREETCEHLSAVLGGCCITCELEVAGAEYDVQQVHSCISETVAQELFGGYEVDVDRPQSEASITVDNLLSPTHTLLQIRCLDQKGLVYDIFKISKDCNIRIVHGRIWSSDKGYRSLDLFIQKEDGKKIVDKDSQALLCCRLKEEMLNPLRVMITNRGPDTELVVANPVELSGKGRPRVFYDATLALKTLGICVFSAEIGRHSSSRRQWEVYRFLLDESRGSSNRAKRDDVVEKVRRTLMGW >cds-PLY99544.1 pep primary_assembly:Lsat_Salinas_v7:4:71043331:71044186:1 gene:gene-LSAT_4X48041 transcript:rna-gnl|WGS:NBSK|LSAT_4X48041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCIVLGVLKSNACYSTFLGVAAVFAAKGFLDNIMYGFAVLKDKPFSNGDYIEVGYLKCQVIEMGLITTSLITDTNRPLAVPTSWFYGQVIMNESRQSTIVPYSMLCGEVFVSKPMPPWHAMVSKIYVEKDELEKVRKITDEIPNMMRSNPNVYLEEQQPYCEVSLGNYFELTIGCYLKQAVCIYVYYFLKHFKPLTSHMISLSYQNN >cds-PLY66146.1 pep primary_assembly:Lsat_Salinas_v7:7:30702185:30706544:1 gene:gene-LSAT_7X23681 transcript:rna-gnl|WGS:NBSK|LSAT_7X23681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQFWKPGTAKPRLLDDEEGGVLFYTAPSSSSSSFGYASLESQRQRLPVFKYRTSILYLVETHSTTIIVGETGSGKTTQIPQYLKEAGWADGGRIIACTQPRRLAVQSVAARLAEEMGVKLGEEVGYTIRFEDVTNSELTRIKFLTDGVLLREMMDDPLLSKYSVIMVDEAHERSLSTDILLGLLKKIQRRRPELRLIIASATIEAKSMAEFFHNRRPQLEGEDNRLQTEPAILSVEGRGYNVQIFYIEEPVSDYLQATVSTVMSIHDKEPMGDILVFLTGQDDIDTAVQMITEQAQNSGSKSSFGMIVLPLYSGLTRSDQDLVFSPSPRGKRKVVISTNIAETSLTLEGIVYVVDSGFSKQRFYNPITDIENLVVAPISKASARQRAGRAGRVRPGKCYRLYMEDYFVNEMSSHGIPEMQRSNLVSTVIQLKALGIDNILGFDWPASPSAEAMVRSLEVLYSLGVLDDDAKLTSPVGFQVAELPLDPMVSKMIIASDKLECSEEIITIAAILSVQSIWISVKGQRELDEAKLRFAASEGDHVTFLNVYRGFLQSNKSSKWCHKNFINYHAMKKVMEVREQLRRVAQRLGLALKSCQNDMQVLRKAVTAGFFANACRLEAYSHNGTYKTLRGSQEVYIHPSSVLFRLR >cds-PLY67566.1 pep primary_assembly:Lsat_Salinas_v7:3:54205577:54205924:1 gene:gene-LSAT_3X41781 transcript:rna-gnl|WGS:NBSK|LSAT_3X41781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMCTYEVANSQPKDNNEKGDEFQDVVDAILQGIIQGNNDKGVEDVEPKLTKTFDEVGDAMDGILKGTDEKSHYENEGNPEPEFTEGNTSNVLPEMVKLDLESVADLLGARLAWLK >cds-PLY80399.1 pep primary_assembly:Lsat_Salinas_v7:3:80996376:80998483:1 gene:gene-LSAT_3X63261 transcript:rna-gnl|WGS:NBSK|LSAT_3X63261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSISLTRFSWWYWSNGKEKESVISSDSMKLSSLNKKDAKLSSSSSSSSSKKTKKKWQSREERRKVIDKEYDVVLVPSDGVCLSASEESSYDSDWSIGWMEPHAPDFFQSDDDDDSDAVADNSFAVLVPCYRNDCKAFKTEEVAQPQHHNSSNQFLNGFPAEGNKYMEQWLASLQNF >cds-PLY70495.1 pep primary_assembly:Lsat_Salinas_v7:1:74305813:74310547:1 gene:gene-LSAT_1X63240 transcript:rna-gnl|WGS:NBSK|LSAT_1X63240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGGGEGRWLEEAMNNMNEEILFLTVSQYPNIQQLRQDIWGFLSRSKFNVQQQKFTWSQILLAQDLVVSASLDQTVRVWDIGALKKKTVSPADENLRLSQIIADPKDSLHGVGETSILLLGLVRSMVGLIFNKSLSILLQVLPNDMLDFLDAPVPFAHKTDEVQSKLANVIFADANKNPIGPLKCWRGGLCLSKSIGDRDVGEFIIPVPHVKQVKLSSAVGGL >cds-PLY89695.1 pep primary_assembly:Lsat_Salinas_v7:1:132114284:132115522:1 gene:gene-LSAT_1X96940 transcript:rna-gnl|WGS:NBSK|LSAT_1X96940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEANGFDVMEQLEDGNHTDHHFHVVSSPNEIQKLVEEADKKYQLRTLAISRIKKDITQRKADKYQINLKLMWFSKQEYYIRRRTQEIMDLESRLVKAEIHLPKNVGPRRTGFSRLPQEYETTPFRPDRKRCQKMLIKKEGVYTYYLEQVHDELLSSKKSHGITPSSTKEVNNLVFVVDKFLNIISYRSIYTLCINLMISCIHNSKMESMAQRIQHGNKNRADEMRIYNHMRKVKETGEMYTAPEPNNHNSYPRERNSKRDIDSQRFIQHKINILLDEIEEMKMDLKERKPRFARLTAELKHVRKSITCLKKELKRLYTKRSKAYYKQDYELGVHFNNTQKNLHK >cds-PLY80684.1 pep primary_assembly:Lsat_Salinas_v7:5:179838412:179841321:1 gene:gene-LSAT_5X81540 transcript:rna-gnl|WGS:NBSK|LSAT_5X81540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIDLLGPNLQELLDRCGGTFSLKTVLMLANQLVYITYFGLAKLYMDPETDEHISYREHKSFVGTHRYASLYTHCGVEQSRRVDVESLGYVLVYFLKGSLPWQGISVGSNEEELNHKLMIKKFNTKPEELCESCPPEFAAWVKCMRLTLFSEMPSYIYLMAITQRLFKSKGYKFDYVYDWSISPKDNIKLGAPAEKPIRILATQDTHDTVEAFSRTRISDDVPSSKDVQPDPENENPNPWQIVGGRRRQRHHESSGGGHGVAMFRKSKNHNFI >cds-PLY88378.1 pep primary_assembly:Lsat_Salinas_v7:5:61565555:61565977:-1 gene:gene-LSAT_5X29101 transcript:rna-gnl|WGS:NBSK|LSAT_5X29101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVDETIIGLHTTRSSSGEMASPLFSDINEPSDNMAAIERVFFYMEENRRLLKSAAKGVASETKEGIIFQQGDQGEMPNNVGMYTSAVAIFADQGGNKNLYNEKIAGELKHR >cds-PLY86686.1 pep primary_assembly:Lsat_Salinas_v7:4:319033773:319035778:-1 gene:gene-LSAT_4X159580 transcript:rna-gnl|WGS:NBSK|LSAT_4X159580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFSLQFKVGTFPCCCATYQCFVGVSSPVVATNAGSTDWLGHGQGQGSKVGSLSRIGSRTMWISLIASSCGSVLGTSQPSCRPWEMGDLLRRLSTFQPANWFGKPKVWSTESCFTYHLLIRSHLLA >cds-PLY90664.1 pep primary_assembly:Lsat_Salinas_v7:6:52260035:52261721:-1 gene:gene-LSAT_6X38441 transcript:rna-gnl|WGS:NBSK|LSAT_6X38441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALITPLSSPPLSLYQKPSSSFSPLTTSPLFFPKPHKHLRHRIQNSKYGNFLDLIPENKSDPIKFDINWLDPSQKPRLDLIVIGAGPAGLCLAERVSRYGIRVCCVDPDPLSMWPNNYGSWVDELSSLGLEDCFDKTWPMSSVHIDDHKTKYLDRPYGRINRKTLKMKLLSGCLSNGVKFHKAKAWKVNHQEFESSIVCDDGNELKASLIVDASGFASSFVEYDKPRNHGYQIAHGILAEVEEHPFDLDKMLLMDWRDSHLGNEPNLRVSNSRFPTFLYAMPFDSNLVFLEETSLVSRPVLSYKEVKTRMVARLRHMGIRVKRVIESEKCLIPMGGPLPKIPQSVMGIGGTAGLAHPSTGYMVARTLALAPILAESIVECLGSTRMIRGQPLYHRVWNGLWPIERRLTREFYTFGMETLLKLDLEGTRSFFDAFFDLNPEYWHGFLSSRLSIMELAMLSLSLFGHSSNSSKFDIVTKCPAPLVKMMGNVVLDSI >cds-PLY73209.1 pep primary_assembly:Lsat_Salinas_v7:7:112566913:112570020:1 gene:gene-LSAT_7X70041 transcript:rna-gnl|WGS:NBSK|LSAT_7X70041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLVLFWAEIYHQATSLPADKLRPAYFIVNGVIYFLQGKATAAAANEVEVVQKADHMVPAAVVACCRKGAYHTNQAAVVADHILDFEGCGAGFLYPSEGIHRRWDAGYRISSTAATWDQAAFVLSVPRRKPADETHADETHETLCTSAFPNTHNIARLFHGQLVFFVGMCLNFDATKFEVLFLVGNLYVLLILGDKDLVVCFMYYVHQVKLMEKRNMKPLDSNLAALSARCSKDLELNLAKSFLSEMGQCTTAYPYN >cds-PLY88707.1 pep primary_assembly:Lsat_Salinas_v7:5:68751106:68752023:1 gene:gene-LSAT_5X32041 transcript:rna-gnl|WGS:NBSK|LSAT_5X32041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFMEEFAHVRIPFEDILQATNNFADEHFTWRDDYGKTYKGVLLQPEGVVTVAFTRLHIKASRQASQEFCKEIMRLSRYRHENIISLVGFCDEGNEMIVVTEFATHGSLDRYLSSDNLTWLKRLQICLDAARGLNYLHNPPQSQLRVLHGDLKSTNIILDENWHAKLTNFGISMLRLRNEHHRVSTISNVAHSLCYLAPQYIESGILTKESDIYSFGIILMEVLCGRLAYEEGKEFLRPMACRHYEEGKLTEIIHPIIRKQMSPDSLNVFSAIAYQCLKSNRHERPPIVEVVRKLEVALELQQVS >cds-PLY91825.1 pep primary_assembly:Lsat_Salinas_v7:9:113679510:113683286:-1 gene:gene-LSAT_0X42180 transcript:rna-gnl|WGS:NBSK|LSAT_0X42180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPNWLMKKAHRVTPVVVKMENPNNWSMVELKVPSKEDFLNDAVLSNKRRAHNKNARQLTWVLLLKAHRAAGCITSIASTTFSLTYAVRRRVTSGRTDTENDTGIPVRTSTRFYTCIKACLLLSILLLAFEIAAYYKGWQFGPPDLHLQYLYTLTNPFAFKGFFDSIYSKWVLIRVEFLAPPLQILANSCVYMFLVQSLDRFVLCLGCFLIRVMKMKPVAKQTLTDLESGEGDGFFPMVLVQIPMCNEKEVYQQSIGAVCNLNWPKSKILIQVLDDSDDPTAQLLIKDEVHKWKRDGANIVYRHRVIRDGYKAGNLKSAMNCSYARDYEFVAIFDADFQPSPDFLTRTVSHFKDNEELGLVQARWSFVNKDENLLTRLQYINLTFHFEVEQQVNGFFLNFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLHGWKMIFLNDVQCQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIKSKISIWKKTNLIFLFFLLRKLILPFYSFTLFCIVLPMTMFIPEATLPSLIICYVPVTMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLISLIEKDRKVNPRGGISEPDLEELQKKMKEEEMKAYRKRKHNRVYTKELMLAFLLLTAAARSLLAAQGIHFYFLLFQGIAFFLVGLDLIGEQVE >cds-PLY63193.1 pep primary_assembly:Lsat_Salinas_v7:6:86602709:86603572:-1 gene:gene-LSAT_6X60300 transcript:rna-gnl|WGS:NBSK|LSAT_6X60300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENHNLFPQVSSYFHQRLEGSQTFSDSNLSNTQYNPATHGASDGSNCSILSFLTPDFGGFIEATIPSSSLFTNISRFIQNLEVVANLQPTDWLKISQKSTNDHYWLNTRAQPMKYTKRHILNTAFESTPICSPRKLFRGVRQRQWGKWVAEIRLPRNRTRVWLGTFEKAEDAAFAYDTAAYILRGDCAFLNFPNLKKQLKANSINGDTATLLKAKLQAMSREMVDTKGNDDLAPPLPEAGLPASVVVVGGPEVKVVVPPDAGEGIQLSRMPSLDMDSIWDALLVSDL >cds-PLY63181.1 pep primary_assembly:Lsat_Salinas_v7:4:312327109:312334658:-1 gene:gene-LSAT_4X156320 transcript:rna-gnl|WGS:NBSK|LSAT_4X156320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEGLNYHNSRLVYFCVIICFFIAIQSTCSQQDFLSIRCCSDSKFTDPKNNITWISDNKWFSDNQQCQDIVTPLQNITTYDHARFFGINYGTKWCYNLPTKKGRGYLIRGTFVTSPTNTFFDVLIGTTPIGRVGSSDEAEVEVIVRANNNYIDFCLLKKQGNPYISKIELRPLDLDYEEPSNVLKVLHRVDVGNTRGEIRYPQDPYDRIWKPDSDSNQNGNSTSLNGDKIVQNRTKTSPPIEVLKTGRTHLERLEFMHNLHSSYEKYILHLYFLELDGNVKIGQRVFDVYINGEKRQQIDVISSGSNYKDTFMYFTANGFLNLTLKKASNGSQWGPICNAYEVFQVRPVLQATDQKDVDVMLKIKKELLVENEDNEVLESWTGDPCLPHSWNGVKCIQSNGTTVVTKLNLSNGKFRGPLAQGITMLTHLTELNVSSNHFTNSIPTFPLSSILASMDLRNNEFIGRIPESFASLPNLTQLYYGCNKDFTKDLPTSDNSSKLDTDNGTCTGKESSHPPHTVFIVAISGGALLVTGVFACLICFYKKRKKANKKSNGKTHAMTKNAIYAMPSSNEDSSLKTISIECYTLEQIESAIQHYKTLIGEGGFGSVYRGTLQDGKEVAVKVRSATSTQGTREFDNELTLLSAIRHENLVPLLGYCCENDQQILVYPFMSNGSLQDRLYGDASKRRALDWPTRLSIALGSARGLTYLHTFSGRCVIHRDVKSSNILLDDSMCPKVADFGFSKYAPQEGDSGASLEVRGTAGYLDPEYYSTQHLSAKSDVFSYGVVLLEMITGREPLNIHRPRNEWSLVEWAKPYIRNSRIEEIVDPSIKGGYHTEAMWRVVEVALACIEPFSAYRPSMIDIVRELEDALIIENNASEYMRSLDSFGGSNRFSIERPITILPLPTPTPSELSSLQSQPIPPQPR >cds-PLY98712.1 pep primary_assembly:Lsat_Salinas_v7:8:9120598:9122464:-1 gene:gene-LSAT_8X6940 transcript:rna-gnl|WGS:NBSK|LSAT_8X6940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKTTRWIRSLFRPKKTPSSSNATGSASLTQNKPADGVNNSSEYNSYPESHDANKHAIAVAAATAAVAEAALAAAHAAAEVVRLTAGGGRNRTYYDVEPRRVAAAVRIQSTFRAYLARRALRALKGLVKLQALVRGRIVRKQSAEMLRRMQAMARVQARACASRSSLSASPHSSTRSSNSHNHPKFTSAKGSFIQERFKGLNWLENWMDDGSWSSKQCRPDDERSDKILEVDTWKPRVNPSPSNKSTNSTPTKPWNPRSGTREVLPLGSMILREAEKNVSTAENTPRACSPGSRPGSSYRQSPFTEYSRSVYGDYPSYPNYMANTQSSRAKLRSHSAPKQRNLGSSRLVWDYSDTVSERGFRS >cds-PLY86343.1 pep primary_assembly:Lsat_Salinas_v7:8:28328664:28331280:-1 gene:gene-LSAT_8X22921 transcript:rna-gnl|WGS:NBSK|LSAT_8X22921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SAMT1 [Source:Projected from Arabidopsis thaliana (AT4G39460) UniProtKB/TrEMBL;Acc:A0A178UZZ4] MSSPDVSNGKSQRLQLIPKKSFASISSGEDKPVDFLRTLFEGFIAGGTAGVVVETALYPIDTIKTRLQAARGGGKIVLQGLYSGLAGNLVGVLPASALFVGVYEPAKKKLLKMLPENLSAVAHLTAGAIGGVAASLIRVPTEVVKQRLQTGQFSSAPDAVRLIVSKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLAARRDLHDPENAAIGAFAGAITGAITTPLDVIKTRLMIQGSANQYKGIFDCVQTILKEEGPPALLKGIGPRVLWIGIGGSIFFGVLERTKKILAERQLLQQSNDQSSDTPKQD >cds-PLY99704.1 pep primary_assembly:Lsat_Salinas_v7:9:56066968:56071032:-1 gene:gene-LSAT_9X47581 transcript:rna-gnl|WGS:NBSK|LSAT_9X47581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKSFKATRSNLSISSDATDSQPSKPPLPPQVTFARRTSSGRYVNYSRDDLDSELGSQDFMNYTVHLPPTPDNQPMDAISQKVEEQYVSSSLFTGGFNAVTRAHLMDKVIDSEINHPQMAGAKGSSCSVPGCDAKVMSDERGADILPCECDFKICRDCYLDAIKTGDGICPGCKEQYKTTDLDELVDNGARPLPLPAPSGMSKNERRLSLMKSTKSVLMRSQTGEFDHNRWLFETSGTYGYGNAIWPKEGVMENGKDNDHAESLELMNKPWRPLTRKLRIPAAIISPYRLLIVVRMVVLVLFLAWRINHPNNDAIWLWGMSVVCELWFALSWVLDQLPKINPVNRATDLAVLKEKFEVPTLNNPTGKSDLPGIDIFVSTADPEKEPPLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANMWVPFCRKHNIEPRNPDSYFGLKKDPYKNKVKSDFVKDRRRVKREFDEFKVRINGLPDSIRRRSDAYHAREEIKAMKQQRQKRDDEAIEIIKVQKATWMADGTHWPGTWLNPAPEHSKGDHSGIIQVMLKPPSDEPLQGTEDDAGMLDFTDVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRSKERHPSFCSCCCSGRKKAKFSTLEENRALRMGDSDEEDMNLSLAPKKFGNSTLLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASSRMKILQRVAYLNVAMYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLVYLLTITLCIVMLAVLEVKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKSGADDEDDEFADLYTVKWTSLMIPPITIMMVNLIAIAVGFSRTIYSTIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPQGQNQIGGSFQFP >cds-PLY74357.1 pep primary_assembly:Lsat_Salinas_v7:2:15149439:15150969:1 gene:gene-LSAT_2X7701 transcript:rna-gnl|WGS:NBSK|LSAT_2X7701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKHATPVKKAATPVNEDVTPVKEDATPPKEEIGSNKKEKQPCDMEGGNDVEEGNDVEEVLIGIEKKIKKEKQNVTVQRRWTRAQMKTRIRIENSSILKMTAMMADGQVTKVDSIRVQSENDLFGYDSYTYLTWDDFEAVLTMDELTGAVIVSYMMVLFNKLKYGSPERDHGICFVNPAVISPSTRKGKSKNIDDASRGLADRLSKRKGNDIIFMPYNPGRHWVLGVLDMKSDTCYYLDSLSSGNFNMQLKQIVDSAMVLYTTQSGSNKRVKLNWCPVQPGSTECGYYMLRFMKEIVEEGIEVLVKDNIGDGKAEYTTADIDEIREEWSTFVTGFIYR >cds-PLY72937.1 pep primary_assembly:Lsat_Salinas_v7:1:91073499:91075851:1 gene:gene-LSAT_1X76041 transcript:rna-gnl|WGS:NBSK|LSAT_1X76041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSSVCNEVPSFAFNPSFINPTKNQSFLELRTPTKFSFPYSIKQRKPMKISKTTKFMNPRTDVADPVFYRDTIKKIESEKQDGNLIGFKQMLNLCGFGYWVQGFRCFPWLALNFHMANSLNMNPSTLQLVQIFGTLPMVAKPLYGILSDAIFIGGSHRLPYISIGVMLQVLSWGSMAFMPTEALPILMTFVLLSNLGGSITEVAKDALVAEYGQKNKINGLQSYAFMALAAGGVLGNCLGGYFLLKTHQPKAMFLIFASLLSLQLAFSLTTKEKSLGLPHPSNHHESILLGIKKQFSNLILAIRDEGIFVSLSWVVGSIAIVPILSGSLFCYQTQILNLDPFIIGMSKVIGQLLLLAVTVLYDRFLKAVSMRKLIGFVQVLYAISLLLDLVLVKQINLKFGIPNEIFVACLSGMAEIIAQFKILPFQVLFARFAPKGCEGSLMSFLASALCLSSICSGFLGVGMASILGITSVDYSNLHVGILIQFMAALVPLFWIGNLPNLESLDEKEKKMGLSKRRRKYRRVGRVVYNMVVVYRRERESEAQR >cds-PLY94775.1 pep primary_assembly:Lsat_Salinas_v7:2:180720337:180721992:1 gene:gene-LSAT_2X103720 transcript:rna-gnl|WGS:NBSK|LSAT_2X103720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPSKLNTAIVLFITISFHLTSSAYPLSNTITTTCTNVHHHNSSLSKCMYVQTHADCHPKGYINYLKFFYCTLSRFPQLGFLLLLLWLLILFYVLSNTASDYFCPAVENLSEFLNLSPAIAGTTLLPLGNGSPDVFASIISFTASGDGGDIGLNSILGGAIFVSTVVVGILSLLISYRRKVVIVDKPNFIRDVVFLLFSLSNLLVIILIGKISFWASILFASTYIIYISLVSYMHFISKKKQKIPNDHHHHQGPGLPLLASVNAQEKRKPSADKVVPHRKGVIILLLYVAALPLYLPRKLTIPLITQDKWSKPYAVISVALAPVTLALILSTQQGLIVTKANMVTWSIALAIGLALGTCTFALTSSAMAPQKCLFIWHASGFLMSVIWTYITAEELVSLLESLGTIIGMNPSVLGLTILAWGNSLGDLTSNVAMTMHDGPDGAQIAIAGCYAGPVFNIFVGLGFSFVIACWSDYPVPYMVPVNPHLCETVGFMICGLLWALVVLTKREMRLDRTLAVGLLAIYFCFLFVKVARVVGLIDVSVSNPLKTL >cds-PLY71096.1 pep primary_assembly:Lsat_Salinas_v7:1:145655138:145665646:1 gene:gene-LSAT_1X103161 transcript:rna-gnl|WGS:NBSK|LSAT_1X103161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 5 [Source:Projected from Arabidopsis thaliana (AT5G15920) UniProtKB/Swiss-Prot;Acc:Q9LFS8] MGEPRAKRPKISRGADDYLPGNIVEIEIRNFMTFNHLVCKPGSRLNLVIGPNGSGKSSLVCAIALGLGGEPQLLGRAGTIGAFVKRGEESGYTKITLRGDTPNEKIIITRKIDSRNKSEWLFNGKTVSKKEVLEVIQKFNIQVNNLTQFLPQDRVCEFAKLSPVRLLEETEKAVGDPQLPVLHRALVATSAEVQRSEQVVEKNKETLNQLKALNAEQERDVERVRQRDELLAKAESMKKKLPWLKYDMKKAEYLEAKELEKDAKKKLDTAAKMLNEFKEPIEKQKQEKKGYDLKCKKDRDLLDKIETSRKQMMEKEHQLGAKVSGKYSDMEELEKQEQSRQERIAKAEKELADAELQLQNLPPFEPPKDKIEKLGAEILELEASAREKRNQKREKEKLLDRNKALQRQSAERLKEMENIKNKRLQALKSSGHEKIFDAYAWVQEHRHEFRKEVNISNPLHAAFLENHVPYYIWKAFITQDSADRDYLFKNLRLFDVAVINHVADERRNPEELHISQQACEYVMSSMGVYSRLDQVFDAPYAVKEVLIGQANLENSYIGSKKSDENADMAHGFGITDLWTPENHYRWSKSRYGGHVSASVESVRDSRLLLSNTDGDELNILRAKKNELDETISSLEASCRSFQSEIKELEDAAAQLQKQRENLVNEAQLEKRKHRDLENRVNQKRLKLQSMGKEEDMAVALAKLVEDAENLNVQRFKCALEMKNLLIQATEIRKSYAEKFMASIEIEMKIKEMEASIKQQEKLALQASLHFEQCKEAVEVHRQLLATAKKEAEKVAVITRALEQEFLQMPSTIEELNAAIQDITSQANSILFLNHNILEEYERRQKKIEELSTKLESDEKEMTTRLNELDSLKGRWLPTLRNLVAQINETFSKNFQEMAVAGEVLLDEHGNDFDNYGVLIKVKFRQTGQLQVLSAHHQSGGERSVSTILYLVSLQDLTHCPFRVVDEINQGMDPINERKMFQQLVRAASQPNTPQCFLLTPKLLSDLEYGEACTILNVMNGPWIDQPSEVWKFGGSWGTIMGLLGESRS >cds-PLY64957.1 pep primary_assembly:Lsat_Salinas_v7:8:160058403:160059977:1 gene:gene-LSAT_8X106761 transcript:rna-gnl|WGS:NBSK|LSAT_8X106761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSIWFLLLLLLLPISIYADCSCEDSGNVDDNNKIQSLKLKLVAIASILVAGAFGVCVPLLGKKFESLRPESTVFLGVKFFASGVILATGFVHVLADANESLENPCLGDKSWGDFPLANFVAMVAAVVVMMVETAATSLFNRHSSKNGAEEHIGDEEKQEGHVHVHTHTSDGHAHAHIKDSNSSEGLLRHRIISQVLEMGILIHSVIIGISLGVSVSPKTIKPLIVAISFHQMFEGMGLGSCITEAKFKIRTIATMSIFFSVTTPIGIALGFGISNTYDENSHTALIVQGVLNAASAGILIYMALVDLLAMDFLKLKVQTSPKLQILAFMSLLFGLGCMSLLAIWA >cds-PLY87124.1 pep primary_assembly:Lsat_Salinas_v7:5:265614209:265618619:-1 gene:gene-LSAT_5X136700 transcript:rna-gnl|WGS:NBSK|LSAT_5X136700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSENDQEDWIREVPKVSNAYLQKFRLYETRSNFYMIGRSKDRSTWRVLKIDRSEPTELNITEDPTTYTEIECCELLKRIHDGNNPTGGLRFVTTCYGIVGFIKFLGPYYMLLITKRRKIGVICGHAVYAITKSEILPIPNSSVQPSVAYSKNENRYKKLLCSVDLTKDFYFSYSYRVMHSFQKNLSTHEKGQFLNETMFVWNEFLTSTIHNQLKNNLWTVALVYGYFKQVKLSIAEKDFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGCPVQISSVVQNRGSIPLFWSQETSRLNIKPDIILSKKDQKYNATRLHFENLVKRYGNPIIILNLIKTKEKRPRESLLRVEFANAIELINKDLPEENRLKFLHWDLNKYSRNKSTNVLALLGKVATYALNLTGLFYCQVIPNSISQDLTKSENFSVKPPQYQTGVLRTNCIDCLDRTNVAQFAYGWAALGRQLHTMGYIDTTVIELDSNLGEDLMKVYEKMGDTLALQYGGSAAHNKIFCQRRGQWKAATQSQEFFRTLQRYYSNAYMDGEKQDAINVFLGHYQPQIGKPALWELDSDQHYDVGSRGSNFFVEKTRMIKRSLSVGNMMFDGNVLGAIGMTQKNEEEEMQSESLHEISCESDSTYPKETPNSDHVFYNRDSFNCSNFLDVDWLSSSGNSCEDETYERSTLVGSPMGGQSSENVVNEMCTFASDSEICDNVKGVKGGFSDRFAEWVTHGDIMFP >cds-PLY83975.1 pep primary_assembly:Lsat_Salinas_v7:8:36851913:36857010:-1 gene:gene-LSAT_8X29361 transcript:rna-gnl|WGS:NBSK|LSAT_8X29361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium-dependent protein kinase 29 [Source:Projected from Arabidopsis thaliana (AT1G76040) TAIR;Acc:AT1G76040] MGICWSFLKPHRSHDIPISSPSPPHHHLPPADDHHNHFIPDQERTYYPIPSKFDPQKTIKNHEEGSILGKEYVDLKKVYYIGKELGRGQFGITYLCTEKASGMKYACKSISKKKLVTKKDMDDVRREIMMLQHLTGQPNIVEFRGAYEDESSVHLVMELCSGGELFDRITKKGSYSEKEAAKIGRQIVNVVHVCHFMGVMHRDLKPENFLMVSQDEDSPLKATDFGLSVFIEEGTIYKEIVGSAYYVAPEVLRRRYGKEADVWSAGVILYILLSGVPPFWGETEKVIFEEILEGKLDLQSPPWPSISDGAKDLITKMLTRNPKKRISAHTALEHPWLKENGDASEQPIDSAVLIRMKQFRAMNKLKKLALKVIAENLESTEEIKGLKQMFNNMDTDGSGTITYEELKTGLSKLGSRLAEAEIQQLMEAADVDKNGTIDYLEFVAATMHRHKLDREENLYKTFQFFDKDNSGYITRDELKHAMTEYGMGDEATIDEVLDDVDTDKDGKINYDEFVTMMRKGTVETGENSKIKELGL >cds-PLY92963.1 pep primary_assembly:Lsat_Salinas_v7:5:48310085:48312584:-1 gene:gene-LSAT_5X23541 transcript:rna-gnl|WGS:NBSK|LSAT_5X23541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSVTPTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKVIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGNDINALEQHIKNLLSPSTPFFFNTLYDPYREGADFVRGYPFSLREGVSTAVSHGLWLNIPDYDAPTQLVKPRERNTRFVDAVMTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCIKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEELIPFFQAATLPKECTTVQSCYKELSKQVKAKLGKIDDYFNKLADAMLTWIEAWDELNPSTSQKLSNGK >cds-PLY75676.1 pep primary_assembly:Lsat_Salinas_v7:1:99515373:99515759:-1 gene:gene-LSAT_1X81260 transcript:rna-gnl|WGS:NBSK|LSAT_1X81260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKPPTQYDVFVKTHGTAESKKIYFEGNHENLEYCLQTAKEAQDTYLQGLVNKFGEDLVDRKDDVDVWEESQLRRKGKKKGAIYGIGASDIHFLVLGTPSS >cds-PLY89273.1 pep primary_assembly:Lsat_Salinas_v7:8:296148151:296157463:-1 gene:gene-LSAT_8X164400 transcript:rna-gnl|WGS:NBSK|LSAT_8X164400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLLVYDPPLIRRWIVREHGVIFSLRTYEDKINETFKVDFAGYGMECSDFMKGCGTKLASYGYAVFGIDYQGHGRSMGARCYIKKFDHIVTDCSNYFKTISGQEDYKNKKRFLYGESMGGAVALLVHRKDSSFWHGAILVAPMCKISEKVKPHPMVISMLTRVEDVIPRWKIVPTKDVIDAAFKDPVKREEIRSNKLIYQEKPRLKTALEMLRTSMGLEDSLNEVTLPFFVLHGEADTVTDPEVSRALYEQASSKDKTIKLYPGMWHGLTSGEPDHNINVVFADIIAWLDKRCDDDIDHDYITEKQFHDIVVADKVNDVVEVEISESKPRRSRRHGHGSYLCGWKGRRMHHHSAM >cds-PLY81645.1 pep primary_assembly:Lsat_Salinas_v7:1:111513051:111515632:-1 gene:gene-LSAT_1X89581 transcript:rna-gnl|WGS:NBSK|LSAT_1X89581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWINLENYLIPLYEIRRATENFSEERYIGGGGFGAVYKGQLSERWQCRTAAIKRLGRDSYQGEREFHNELEMISRFHHENIISFIGYCDEGVEMIIVYEYAMMGSLDHHLQDPNKMRCITWVQRLKICIGAARGLDYLHSGLGEYNRVIHRDVKSANILLDENMVAKICDFGLSKFGPRNQPDTQLYTKVAGTQFYLDPAYHESRILRKESDVYSFGVVLFEIMSGMLVYRERRIGDEQQFLMNMVRRYHQNNSDKVIDPHIRDQIKSSSFMTYKEIAYQCISFNLMERPTLCTVITKIEEALINQLNMEDTDVTRWNMFSFRTETTPFFIKCGANSHLELVIKADDRVQEPKSGEMVRVIPRPSVLKNMSLEMIKDVFAKLPEAISLLAFARTADGIRVRYSTLYRKLAMSVPELWKLIDELDKSSEFQVVYPHKTSSNREAVNSFFFSTSSHAPKNRQLP >cds-PLY69610.1 pep primary_assembly:Lsat_Salinas_v7:5:313601047:313602913:1 gene:gene-LSAT_5X172501 transcript:rna-gnl|WGS:NBSK|LSAT_5X172501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKWETDILSNSSSWMMEDSLKSRIWTPLENKLFENALAKFDKDTPDRWQRVAEMVPGKTVVDVMRQYKELEDDVSSIEAGLYPKYGYNNNTCPFTLEWGNNHGLNTSRSPPYGGGGKRSPVDVIAAGRPVEQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNYVVTRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNVNENQNSLPKNKRTSPEQCKFQWSHPGGGGGDAAMAFDQTNGSMFMSPRYKGNGGLHEFYGGSQSMVFQMQPAMHYPHG >cds-PLY85746.1 pep primary_assembly:Lsat_Salinas_v7:1:47653560:47658153:-1 gene:gene-LSAT_1X41100 transcript:rna-gnl|WGS:NBSK|LSAT_1X41100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGSKASSGFHPQQVQPQNPSTSSTPRVDSMSKAIAQYAVDARLHAVYEESGESGKSFDYSHSIKTATDSIAEQQMTAYLSKIQRGGHIQPFGCMIAIDNSSFRVIAFSENARERLGLAPQSVPSLEKTEILTIGTDVKTLFTPSSAILLERAFRAREITLLNPVWVHSKNSGKPFYAILHRIDVGIVIDLEPARTEDPALSIAGAVQSQKLAVRAISNLQALPGGDIKLLCDTVVQNVRELTGYDRVMVYKFHEDEHGEVVAECKRPDLDPYLGLHYPATDIPQASRFLFRQNRVRMIVDCHANPVPVIQDDCLMQPLCLVGSTLRAPHGCHAQYMANMGSIASLALAVIINGNEDSGGGRGTMGLWGLVVCHHTSARCIPFPLRYACEFLMQAFGLQLNLELQLASQMLEKRILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALFYQGKYYAAGITPTESQIKDIVEWLLACHTDSTGLSTDSLADAGYPQAASLGDVVCGMAVAYITSKDFLFWFRSHTAKEMKWGGAKHHPEDKDDGQRMHPRSSFNAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFKEERDSNSKAVVKIQSEEMGLQGMDELSSVAKEMVRLIETATAPIFAVDVEGRINGWNAKIAELTGLSVSEAMGKSLVQDLIFKESQEIVIRLLHHALQGEEDKNVEIKLRTFNLSEEENAIFVVVNACCSKDYTDNIVGVCFVGQDVTRQKVVMDKFVQIQGDYRAIVHSPNPLIPPIFASDENTCCSEWNTAMEKLTGWGRDDVIGKMLVGEIFGSCCRLKGPDSLTKFMIILHNAISGQDSDKYPFSFFDRRGKFVQALLSANKRVNLSGGDATGAFCFLQIASPELQQALKIQRQQENKCFARMKELAYICHEIKSPLSGIRFANLLLEATDLSEDQKQLLETSAACEKQMLKIIKDVDMENIQEGHLEVEKREFVVGSVIDAVVSQVMLILRDRGVQLIRDIPEEVKTLTVCGDQTRVQQVLTNFLLNMVQHSPSPNGWVEIQVRPSLKQVFDGITNAHIEFRMVCPGNGLPAELVQDMFQSSQWSTEEGLGLSMCRKILKLMNGEVQYIRESERCYFHIVIELPLPRGT >cds-PLY87451.1 pep primary_assembly:Lsat_Salinas_v7:2:135513311:135513661:-1 gene:gene-LSAT_2X64041 transcript:rna-gnl|WGS:NBSK|LSAT_2X64041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTYGGGVVAVAQLVMAVIWNNDGGGRRPLRWSSDAMFLLHSTVFNEGSVEKVTKKLMGGFSSEKVSWRVIFKATAGVGCPGGLLMPCSCFLRLYSMKGVWRRSLRSLWVVFLVRK >cds-PLY88855.1 pep primary_assembly:Lsat_Salinas_v7:1:204955545:204958201:-1 gene:gene-LSAT_1X122401 transcript:rna-gnl|WGS:NBSK|LSAT_1X122401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSDNHNAMMTRGSIDEQNQLTLSFQGQGYVFDSVSPEKVQAVLLLLGGRETSSSMTYMSLTQYQQSNRDKETNSFGMPHKESAVVCCDEVDCLAKVLPPTIQNSISELDVHGDDVNQSNEKDEDASEAGNELVGVVSDENLLELLELAMSSNTTETVKRTRELMELGVYPMVLMSQMAALIMDIICWNISIDYENLKPPVMDSLDVLLAKKKRPKSDP >cds-PLY85975.1 pep primary_assembly:Lsat_Salinas_v7:3:201337780:201339246:1 gene:gene-LSAT_3X119721 transcript:rna-gnl|WGS:NBSK|LSAT_3X119721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTIAELVFIPAPGVGHIMSTIEIAKLLVSRAHHLSITVLVIKPLPNPTFGSGSAITTYVDSLSKSTMDRISFIQLHQDETPPVMDSKAPVASTQAFINSHCKYVRDVVADMINKPGSGRVAGFVVDMFCTAMIDVANEFNVPTYVFFTSNAGFLGFMFYIQTLCDDHKQDILELSNSSDAMISIPSFVKPVPTKVFPATIKSREGLEIFLWSARKLRQAKAIMVNTFMELETHAIKSLMEDSTIPPVYAVGPILNLEAAAGNLSENDVIRWLDSQPPSSVVFLCFGSMGSFEEVQVKEIAHALERSGYHFVWSLRRPPSDQTNRAPTDYEDPATILPEGFLERTAGIGKVTGWVPQVALLAHHAVRGFVSHCGWNSLLESLWFGVPSATWPIYAEQQINAFEMVVELGLAVEIKLDYKKDMFNHEADEVIVMAEEIERGIRRLMEDNDVRTKVKEMSEKSRVAVIKGGSSYASVDYIIQDFVRNIF >cds-PLY88525.1 pep primary_assembly:Lsat_Salinas_v7:8:295586315:295586650:-1 gene:gene-LSAT_8X163481 transcript:rna-gnl|WGS:NBSK|LSAT_8X163481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGATAITVDVRAGDAAPTVSLLFPPPEGSFLLLRSSVAIPKDYGVVGRFSLRCKHHCSVVFLPQLSHCCRRYAPPSGGCIRKSEQGCCGVLLLDWETKGTTATTKKWWVSS >cds-PLY76182.1 pep primary_assembly:Lsat_Salinas_v7:4:56385246:56393121:-1 gene:gene-LSAT_4X38961 transcript:rna-gnl|WGS:NBSK|LSAT_4X38961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFAEAESRLINSILVPALDKIIKNSSWRKHSKLANESKSALEHITSAEKAHRLSHTSEDNQSDVDRSSQSSVPGVLHDSGTNELTLVESILILSPIINACSSGNVKIAEPALDCIQKLIAHGYLRGEADPTGGPDAKLLAKLIESVCKCHEFGEDGVELLVLKTILSAVTSVSLRIHGDSLLQIVRTSYDIYLGSKSVVNQTTAKASLVQMLVIVFRRMEADSASVPVQPIVVAELMQPMEKGGDGDGTMTVFVQGFISKVIQGMDGVLNPGTPVVSNAGGGVHDGAFETKTSTVESTNPADLLDSTDKDMLDAKYWEISMYKTALEGRNRELADGEGDRDDDVDVQIGNKLRRDAFLVFRALCKLSMKTPPKDALADPQLMRGKIVALELLKILLENAGDVFRTSERFLGAIKQYLCLSLLKNSASTLVIVFQLSCSIFISLLSRFRAGLKAEIGVFFPMIVLRVLENVAQPNFQQKVIVLRFLERLCVVDSQILVDIFVNYDCDVNSSNIFERMVNGLLKTAQGVPPGVATTLLPPQDAAMKLEAMKCLVAVLKSMGNWMNKQLRIELVENTSEILNPPIENGISNEKESVDISDSHSETSSEVSDASTIEQRRAYKLEIQEGISLFNQNPKKGIEFLINVNKVGDSPEEIAEFLKNASGLNKSLIGDYLGERENLPLKVMHAYVDSFDFHGMEFDEAIRVFLHGFRLPGEAQKIDRIMEKFAEHYCKCNPKAFSSADTAYALAYSVIMLNTDAHNDIVKNKMSADDFIRNNRRINNGKDLPEEYLRALFERIAKDEIKMKEDDFALQQGQSVNSNGVLGLDGILNIVVRKNVEENQTNEDLMRHMQEQFKEKASKSESVYYAATDVFIVTFMIEVCWAPMLAAFSVPLDQSDDEVVINQCLEGFRYAIHATSAMSMKTHRDAFLTSLAKFTSLHSPADIKQKNIEAIKAIVTIADEDGNHLQDAWEQILTCVSRFEHLHLLGHGAPPDSTFFAYNNQKNESEKLKQPKTNILPVLKKRGAGRIQQTSTATRRGSYDSGINLVSKLQMLEQVGEMNHIFIRSQKLNSQGIVDFVKALCKVSMDELRSASDPRVFGLTKIVEVAHYNMDRIRLIWTSIWNVLSDFFVTIGCSENLSIAIFAMDSLRQLAMKFLEREELANYNFQNEFMKPFVIVMRKSGAVEIRELIIRCVSQMIQSRVSNVKSGWKSMFMVFTTAAYDDQKNIVHLAFEMIEKIVRDYFPYITETETTTFTDCVNCLIAFTTSRFDKDISLNAIGFLRLCASKLAKGDIGSSLKKNKEKEVSEKTSLYSPQRKNRRSDNGDLADKKNHLYFWFPLLSGLSELSFDPRPEIRKSALEALFDTLRNHGHHFSLPLWERVFDSVLFPIFDYVRHAIDPSSNETPSQQGIDGYPGDLDQDSWLYETCTLALQLVVDLFVNFYNTVNPLLNKVLSLLVSFIKRPHQSLAGIGIAAFVRLMSNSGDLFSEDKWVQVVLALKEAANVTLPDFLFLLNGNGLNGSNKDVSERRNDGGFGESSMRDEDLENLRRERLHNAVSDAKCRAAVQLLLIQAIIEIYNMYRPQLSTNNTLLLFEAVHKVANHAHNINTNTTLRSKLQDLGSITQMQDPPLLRLEIESYQTSLTFLQNLAIDQPPLYHESKAESQLVDLCQEVLKFYIKIARLTESTTTGAHWFIPLGSGRKRELAARAPLVVTTLQGICSLGDLSFEKNLSLFFPLVSSLISCEHGSSEVQVALSEMLTSSVGPVLLRLC >cds-PLY96950.1 pep primary_assembly:Lsat_Salinas_v7:4:173857756:173858391:-1 gene:gene-LSAT_4X102100 transcript:rna-gnl|WGS:NBSK|LSAT_4X102100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGKVTKDENDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFAVGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWTFVALHDAFGLIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVSGTALL >cds-PLY85705.1 pep primary_assembly:Lsat_Salinas_v7:9:201815674:201819717:-1 gene:gene-LSAT_9X124480 transcript:rna-gnl|WGS:NBSK|LSAT_9X124480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTYSFGEEGPTIMQLQKWESSRLLANLAQFREAFLSPIRELILLLSYHHEGLLLPLVKGADNQEEIVVESIKHAKEAIALDVKDGNSWWNISDRAMIKYIDFLLATTSGKAVAERFPGKLAAPFEKTKLLYAYALAAMVESAILSEELMQGFDQETATVVVARLTSYKMEMEESFDATRWIDRNLIRLCSKFGDYRKDDPSSFSLNSSFSLFPQFMFNLRRSQFVQVFNDSPDETSYFRMMLNRESITNATVMIQPSLISYSFNSLPSPALLDVASILADRILLLDSYFSVVVFHGMTIAQWRNNGYQHQPEHQAFAQLLQAPHDDA >cds-PLY89664.1 pep primary_assembly:Lsat_Salinas_v7:3:184821257:184823224:-1 gene:gene-LSAT_3X111041 transcript:rna-gnl|WGS:NBSK|LSAT_3X111041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIVSSFVVSHLLYKLKGTAHSSLISFRNPSSFIELFTGFSPPKTILAPFDSTYAFNHQTVFNHSRSMSEKLTNPDDVHKLFDEMTQRKPLPSVVKFTQLLQAVTNMKHFSSSIELFKQMNAIHIPIDVYTINVVIKCCCQMHHSSEGFVVLGYGFKRAILPDVCTFSTLLNGLILEDRIFEAEKLFKKLIKEKLCEPNAIMYNTMIKGLCKFGINDTAIALLKLMDEKDCKPNVVTYNTIIDSLCKDKMVDDALKLYKEMVFQKGILPDVVTYTSLIHGLCNLCHWEEVDKMLKEMEQQRISPDVHTFNILVDALCKEGNVEDAKGVFNLMIQKGKDPDIVTYNSLIDGYCLRGEMSKAREVVDLMEFRGVVPDIITYNSLVNGYCKKLKIEEAMHLFNEITKKGMKPDVITYSTMLHGLFRVGRCKDAHEVYNDMRAHNLIPDECTYRIILEGLCNNNQVEKALSLFYLIGDNNLNSNIIVYNIVIDGAIKSGKFDIARNLFNELIVKGLTPDIWTYNTMIGGFCREGVMGEAKDLFIEMEKRGCSPNDVTYRILLQGFLKNQQHDNIEMLLLEMEGKGFSLDASTVSMLLDHIKARSLDASLLKLIGKLVPKEEPDIPCFTV >cds-PLY77752.1 pep primary_assembly:Lsat_Salinas_v7:2:169336745:169339072:-1 gene:gene-LSAT_2X92220 transcript:rna-gnl|WGS:NBSK|LSAT_2X92220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIAMKRAAATAARAISTANSGSILTRHLHASSGKKKIVGVFYKAHEYAEMNPNFVGCAENALGIRNWLESQGHEYIVTDDKEGPDCELEKHIPDLHVLITTPFHPAYVTAERIKKAKNLQLLLTAGIGSDHVDLKAAAAAGLTVAEVTGSNTVSVAEDELMRILILVRNFLPGHHQVISGDWNVAGIAHRAYDLEGKTVGTVGCGRIGRLLLQRLKPFGCNLLYHDRIQMDSELENQIGATFEEDLDKMLPKCDIIVINTPLTEKTKGMFDKERIGKLKKGVLIVNNARGAIMDTQAVVDGCNSGHIGGYSGDVWYPQPAPKDHPWRYMPNQAMTPHISGTTIDAQLRYAAGVKDMLENYFKGEEFPPQHYIVKEGELASQYR >cds-PLY99814.1 pep primary_assembly:Lsat_Salinas_v7:MU037944.1:527450:528662:1 gene:gene-LSAT_3X53161 transcript:rna-gnl|WGS:NBSK|LSAT_3X53161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METNSKDSQEPKKDQHKETARDIDPLKKVSDDILPHILNLYGSCALPRDFEIYAPDASFEDPLMCAHGVKQIKSAFYSLSKVFSESRIVEYNIKENILPHGRREIVIDNKQYYKFMGKDIDMISLIKLYVEDGKVIRHEDWWNKKPLWNRDTSNVPLVGRIIEMARRGSMLATHAMMKFGKDPTS >cds-PLY71372.1 pep primary_assembly:Lsat_Salinas_v7:4:229943868:229945648:-1 gene:gene-LSAT_4X125900 transcript:rna-gnl|WGS:NBSK|LSAT_4X125900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLKCFPNALRIRIYLVLSSFFLIISAAFLLLSPSALDSSVINPCTSHKCLHMVETSYKSKAMLDDVKLSNQSYQHVQKSKRPNFMNDMEKGMRIGMLNMDREDLSQWSVFGELIPISFKKVSNNLKWEDLFPRYLNEEEEKDIQSRPKMPMHDFNKLKYMDMVVVKIPCKHPKEGWQRDVFRLQLHLVAAELVVKRGRGRTKVVVESKCKPMMEVFRCDDLVKEEGEWWYYKPDVNRLKHKISLPFGTCDTDLPLSEKGIINQVHELNPESKLTKREAYATVLHSSEAYVCGAITLAQSLLKTRTNRHLILLIDTSISVSKRRALAAAGWTIRIIERISNPRSRNDTYNRYNYTKLRLWQLTDYHKIIFIDCDMIVLRNLDHLFSFPQMSAASNSESRFNSGIMVIEPSNCTFMHFMQSINEITSYNGGDQGFLNEIFVYWHRFPKKVNFFKQFVSNSNEEETVNNQLFAADPPKLYTIHYFGRKPWLCYRDYDCNWDVPYFRMYASDVANRRWWNVHDAMDDSLQKECELADWRKEELKQDREAAKRAGFADNHWLINISDPRSFD >cds-PLY96428.1 pep primary_assembly:Lsat_Salinas_v7:4:344160687:344164550:-1 gene:gene-LSAT_4X169761 transcript:rna-gnl|WGS:NBSK|LSAT_4X169761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTSHNGNDDEDNDGVFSRWRSKAGGVRRRRHCRMGAAMIFAAEEMSDVFEGYERQYCELSAGLSKKCTSASLLDGEQKKQKISEITAGLEQAEALIRKMDLEARSLQPNVKAVLLAKLREYKSDLNNLKSEVKRLASNNLNQAARDELLESGMADASSVSADQKARLLMSTERLNKTSGRVRDSRRTMLETEELGVSILQDLNQQRQSLLHAHGTLHGVDDNIGKSKKIMTNMSRRMSRNKYIIGSIVAVLVIAIILILYFKLRK >cds-PLY73084.1 pep primary_assembly:Lsat_Salinas_v7:6:92933244:92933751:1 gene:gene-LSAT_6X61961 transcript:rna-gnl|WGS:NBSK|LSAT_6X61961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLEVSRKELEQVIILQLLDSPSSICVGCLIRSLSGAEKKEDANVSNGMIPLKAVNEYRRLERKPPVTAAILAANTLIYLRPAFLHQILPKIEDVLFNAHLIVKNKDLKRLFLSAFYHLGETHLAYNMISLLWKGRKC >cds-PLY85640.1 pep primary_assembly:Lsat_Salinas_v7:3:88629503:88632644:-1 gene:gene-LSAT_3X67400 transcript:rna-gnl|WGS:NBSK|LSAT_3X67400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELVAYYLKRKINGHEIELEVIPEVDLYKCEPWDLPGKSLLPSKDLEWYFFSPRDRKYPNGSRTNRATKGGYWKATGKDRKVNSQSRAMGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECETASGLQDAYSLCRVFKKSLIPPKTTVPGDHYATAASDLSSCIDLYSEGGRGGEDMETCNYQTPPLASSSSTNILHASHNQNHINDVKWTQYLSEDAFTFTNPSFSNSSCLNLPYPASKVDIAMECARLQHRLSLPPLQVQDFQHEDVTNYIDLKNIPQNGQTAGSQLDFLQEILSVAQVSQDLINQDTWGGSYAGNDNDFSFLPNNSNQIQGMGSFRSMGDDQITRSIEIGDVEEQLKTDRMVENLRWVGMSNKELETAFLDDYKSIPVESISSFQRDEYEVQVSGENTRHSNIDDHFSLGFLSQDQNNLSDNFLDATGDLEDISTTPTFEMYQKTEVSHGLLVSTRQVSNTFFHKIVPSQTVKVQLNPGMITHETQHRKTLALEKFNTLVGSNPLKVSTKEITSPVVNLVSLLLICCIYLGDESLEDDDGDGKNLEMKDKWSISSVVLEKVIWPCVTLAIAFSTIWMHHNYMPIFS >cds-PLY90352.1 pep primary_assembly:Lsat_Salinas_v7:2:197552284:197552955:-1 gene:gene-LSAT_2X118321 transcript:rna-gnl|WGS:NBSK|LSAT_2X118321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGISMKIDLHFAGIFTRYPSITYSNGVEQRFDDVDFAGIGNSKFVEFLERFVVERCLNVYFCMSDIVVPDGLRIIATNMDYMELIEVSANEVHEEVQSRMDMDDGIDMPDLHGGRDDIQGAREDLQGEQDDDIHMEVDTEPDECIPMNKTINDEFVSKLCPKEQVTPPQTVHLVKSYMIIYMRMR >cds-PLY89549.1 pep primary_assembly:Lsat_Salinas_v7:4:159474227:159478417:-1 gene:gene-LSAT_4X95921 transcript:rna-gnl|WGS:NBSK|LSAT_4X95921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVKWQKELYPAVEIDTTQPPYVFKCQLYDLTGVPPERQKIMMKGGLLKDDSDWSKLGVKEGQKLMMMGTADEIVKAPEKGPVFMEDLPEEELAVAVGHSAGLFNLGNTCYMNSTMQCLHSVPELKSALIEYPQSGRSNDLDQSSHLLTVATRDLFSELDKNVKPVAPMQFWMVLRKKYPQFGQQHNGSFMQQDAEECWTQILYTLSQALRSPGSSQSIDTVKGLFGIDLVSRVHCAESGEESLETESIYSLKCHISHEVNHLHEGLKHGLKSELEKASPSLGRSAVYLKDSRINGLPKYLTIQFVRFFWKRESNQKAKILRKVDYPLELDIFDLCSDELRKKLEAPRQMLREEDGRKAGLKLKEKTPPASADNDVKMSDAQGQSNESGESSKAASGEDKKKQLTGVYDLVAVLTHKGRSADSGHYVAWVKQENGKWIQFDDDNPIPQREEDITKLSGGGDWHMAYICMYKARTIDI >cds-PLY85049.1 pep primary_assembly:Lsat_Salinas_v7:7:6388037:6388495:-1 gene:gene-LSAT_7X5761 transcript:rna-gnl|WGS:NBSK|LSAT_7X5761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFXNQNEIN >cds-PLY82791.1 pep primary_assembly:Lsat_Salinas_v7:2:146290536:146291358:1 gene:gene-LSAT_2X72380 transcript:rna-gnl|WGS:NBSK|LSAT_2X72380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSRRLLFSFFITVFAISAAARPCKTIFFITSSSQSHDPTVTNSHRLTFFVTQIRQFHRPVDSSSSDDVLTSHSQTYYSSSYASSVKTSIRDRTMDIMSIVGALLFGVGCGALTAATMYLIWSIFFSRWFDFSGDSDEEEDEEFHYHDEEPHIRPDEIACVDIPGASKPVPPSAEEVDAMKSTNR >cds-PLY87206.1 pep primary_assembly:Lsat_Salinas_v7:4:308923161:308924942:-1 gene:gene-LSAT_4X154980 transcript:rna-gnl|WGS:NBSK|LSAT_4X154980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGYANLVLAINQRMMRREVEDVAELMHRIQSRLPVEEAARTCVLSKSWLHAWSTIPTLRFYVLNTRKRKTKSMKLVEVERTLIRYLRDSIPIQLFELVIDIENQELASHAEKWIHSVSTKTCLKKIFLSIFPSSVCALFTLPDEILLGENLTSLRVLASRGTVSVRMTTSHHPVIKCVSLRELHLDGVCISEETLNLILSSCSLLVKIELSNIYSDSCEGFKTIKVINLPFLYALSIGLDGWQSTSLEIRDVPNLGVFSYDLFHSARLWDPHPLPFNSNAHSISLGRNVTHLMLGGVIADNAGLDMIKSGFPFLMCLTLYLTSWMLGSFHFTCASIKRLSLQSCPKSLIDVQVHAPKLLFFDFHGDMLPSLLFPDSSLWYIKLSLSLNLPVDADFFLKMREALTLSQKCDLRVTTRNNSKLPFNIEDLRTRLLFPPATNVQKFEFQTVEDECLSDRSPFFDAFFEICHPKHVYAKRDMMDEYSNHFCSLMLSEMTTGTTFWSHHLKDVQIRRHKKWETLTDCPRSFLHGNMHFKLYWC >cds-PLY72929.1 pep primary_assembly:Lsat_Salinas_v7:1:91026948:91030019:-1 gene:gene-LSAT_1X76140 transcript:rna-gnl|WGS:NBSK|LSAT_1X76140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G17740) UniProtKB/Swiss-Prot;Acc:O23614] MEVICSSSSVSVFVSPRNNLCFAPKVLPWNSLLVSSVKPQICNSISCVSLNSSNRLGAFNPNKQQNGSFFWLIRSFNKRFAFQHVVLFRRNGRLLTKLRNHTINLRKLVKSSEKLKDLFPVLFVRIVLGMMIFMAITIPVSKSPSWALTEENLLFLEAWRTIDRAYVDKSFNGQSWFRYRENALRNEPMNNREQTYAAIKKMLATLDDPFTRFLEPEKFKSLRSGTQGALTGVGLSIGYPTGNDGALSGLMVISASPGGPASRASIAPGDLILAIDDFSTETMDIYDAAERLQGAEGSEVQLKIQSGPEIKQLSLTRENISLNPVRSRVCETPGMGKGTSKIGYIKLTSFNQNASAAVKEAIETLRRDNVDAFVLDLRNNSGGLFPEGIEIARIWLNKGVIVYICDSRGVRDIYDTDGTNAIAASEPLAVLVNKGTASASEILAGALKDNKRAVLLGEPTFGKGKIQSVFELSDGSGLAVTVARYETPDHIDINKVGITPDHPLPASFPKDDDGFCGCIGDPASGCFLNKVGLFSR >cds-PLY87818.1 pep primary_assembly:Lsat_Salinas_v7:5:128934080:128943700:-1 gene:gene-LSAT_5X56740 transcript:rna-gnl|WGS:NBSK|LSAT_5X56740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACFRPPYWLTLLTILISLTWSPAIADQKDPARLIKFKSSLSNVGELSNWNDTVPPCNGLKENWKGVICDKDGNVFGLLLENMGLSGTIDTDTLAEITTIRTLSFTNNSFEGSIPNLEKMVPLRGVFFSYNKFSGEIGGDAFSGMSELRKVEMGNNGFRGKIPISLTQLPILVDLQLQNNAFEGEIPDFEQKDLSDLIVNFANNKLDGSIPNGLSNQDPKSFAVEKGSLKKVLLKTNPQQRRVVDDRWFAEQEPTELLVIEKEVSSSERREPMDNQEKVTGPYDVRVADAKRLDQLPLDRR >cds-PLY90047.1 pep primary_assembly:Lsat_Salinas_v7:8:82030066:82032589:-1 gene:gene-LSAT_8X57241 transcript:rna-gnl|WGS:NBSK|LSAT_8X57241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIDIDHMSYEELLALGEQIGNAGSGLSEDFISGYLKTRMERSEREIRESKLQELINKHFAASSVPKGIHCLSLRLTDEYSSNAHARRQLPSPEFLPVLSDNSYYHFILSTDNIFAAIVVVTSTVQSSLTPEKIVFHVITDKKTYAGMHSWFALNPISPAIIEVKGVHQFDWLTRDNVPVLEAVENHNGIRNYYHGNHISDTNVGDTVTPRSFAS >cds-PLY69385.1 pep primary_assembly:Lsat_Salinas_v7:8:258840057:258840374:1 gene:gene-LSAT_8X151380 transcript:rna-gnl|WGS:NBSK|LSAT_8X151380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIIPSSNFLFYSLALLIITLSSIPNSTSASLEEANVLLKWKASLQITNNSLLSSWLPLPKNSCASVPCTSWFGVVCNTDESIHTLNLTGSGLKGTLHKFAFSLL >cds-PLY73947.1 pep primary_assembly:Lsat_Salinas_v7:5:36448923:36451378:1 gene:gene-LSAT_5X17960 transcript:rna-gnl|WGS:NBSK|LSAT_5X17960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVTKENCRNELRTAIRQLTDRCLYSASKWAAEQLVGIEQDPAKYPPSHTRLQRDCSSIRRRFRSTDETISTPIAGTSYVSTPVPEEESEAADSDFYLLAKTYFNCREYRRAAHVLSDQTGKKALFLRCYALYLAGEKRKEEETIELEGPLGKSDAVNSELVSIERDLSTVRKNNTIDSFGLYLYGLVLKEKGDQNLARTVLTESVNSYPWNWSAWLELQSLCTTIDTLNTLKLNNHWMKDFFLASCYHELRMHNESLSRYESLQSTFGFSNYIKAQIAKAQYSLREFEQVEAIFEELLRIDPYRVDDMDMYSNVLYAKECFSGLSYLARQVFMTDKYRPESCCIIGNYYSLKGLHEKSVMYFRRALKLNKNYLSAWTLMGHEYVEMKNTPAAVDAYRRAVDINPCDYRAWYGLGQAYEMMGMPYYALHYFKKSVFLQPSDSRLWIAMAQCYETDQLRMLEEAIKCYRRAANCNDREAIALHRLAKLHSELGESEEAAFYYKKDLERMEDEEREGPNMVEALLFLANYCRAQKRFEEAEVYCTRLLDYNGPEKETAKSLLQAIKYAQSGFPSMDVEHFPS >cds-PLY98237.1 pep primary_assembly:Lsat_Salinas_v7:7:169281914:169282571:1 gene:gene-LSAT_7X101121 transcript:rna-gnl|WGS:NBSK|LSAT_7X101121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIIEMRVHVDCPGCEDKIRKALQRLKGVENVDIDMGLQKVTVTGYAEEKKVLKTVRKTGRRAEIWNVPYNPDLRSHNYNVNQYAQQQPQNGGSGHGPGPSATFYTRQPSSASSYNYYKHGYDNHEGYNPVQSSGLIGHQTGAAFSDENTNAACNIM >cds-PLY88687.1 pep primary_assembly:Lsat_Salinas_v7:5:67784371:67786176:1 gene:gene-LSAT_5X31800 transcript:rna-gnl|WGS:NBSK|LSAT_5X31800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKLLMEALGFIKQDVRRLNFIGFRVVLDVVYSHLHGSGPVGDNSVLDKIVPGYYSRRNADGFIENNTCMNNTASEHFMVDRLIIDDVLNWAVNYKMRAKSVLKFFSRENDGVDCSRIFICIEFLENFKYGEGWDFGEVANNGRGINASQFNLAGTGIGRLISIWASSSTWVSHRIIFTSLVDVYSVKKSSSDVVKVGNKFL >cds-PLY86769.1 pep primary_assembly:Lsat_Salinas_v7:4:296503316:296506314:1 gene:gene-LSAT_4X147280 transcript:rna-gnl|WGS:NBSK|LSAT_4X147280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALGSTLYPVWVRNVQNVVRDHMSDSCDYDFNIDEKLVGMESRVKEVVSSLGTGFDDVLMIGIKGMGGGGKTTLARAVFDQISSHFDVFDQISSQFEGKSFVENFREVSNASLSGLKSLQKQVLRDVLNDKDIKLEALAGEPNWLKPGSRIIITTRDEQVLVAHWVKFIHDVSLLSDKEAICLFGRYAFGRDNPIEGHKELSIQVVHYASGLLLTLRVLSSFLCGKNELEWTDALERLKTIPLMETLKKLELSYISLEEDYKEIFLDIGCMLKAVLHLIVESRESCPLHPNNSLPKFEFSCFYEEDRPLLTRNLEKLISLSKCACTNHEMFSGSICGLQRLRKLKLEGNIRDVLKDLDQLECLEELFLLSTTINHLPDSI >cds-PLY73075.1 pep primary_assembly:Lsat_Salinas_v7:2:29582222:29591957:-1 gene:gene-LSAT_2X12320 transcript:rna-gnl|WGS:NBSK|LSAT_2X12320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKETKVGKRHACRSRLIGTTKKQRQPKKEKENEKEVDECLVFAWQLNDSILATCEVTDDGSTSSATTVWRYPMVVRRQRSSKWEGRLEVSHGGCEKELVLGFFQCNRRVYSSTMVGKVDAAKGGAKSLQSPASNQASSKPDLSSMSTTFSFKTLKLKTKQQELLIRVTILGLVYILAFITRLFSVLRYESMIHEFDPYFNYRTTLYLTEKGFYEFWNWFDSESWYPLGRIIGGTLYPGLMVTAAILYWTLKFLRFAVHIREICVLTAPFFASNTTIVAYFFGKELWDSGAGLVAAALIAICPGYISRSVAGSYDNEGVAIFALLLTFYLFVKAVNTGSLAWSLASAFGYFYMVSAWGGYVFIINLIPLYVLVLLITGRYSMRLYVAYNCMYIVGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLIQVFYFLLYVKNMLNDTKLFQALLRIGVTSAVAVGAIALGVGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLTDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATIKNLTHAIRGKTSQTVSSKGTGSTKGLSKQQASLDQSIPFLKNGATALLLGAFYLLSRYAIHCTWVTSEAYSSPSIVLAARGAHGQRIIFDDYREAYFWIRQNTPSDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMRSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLCYYRFGELTTEYGKPPGYDRARGVEIGNKDVKLEYLEEAFTTSNWIVRIYKVKPPTNRW >cds-PLY76383.1 pep primary_assembly:Lsat_Salinas_v7:8:84796973:84805227:1 gene:gene-LSAT_8X62540 transcript:rna-gnl|WGS:NBSK|LSAT_8X62540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFKDRKTLPVSKSGNDDDRRNVSPPMTRTGVYAAVSYMACAVLLIMFNKAALSSYKFPCANVITLFQMICSSILLYALRCRKIISFRSDSEISSTKNIPGIFIPSNTLIRTLPLAISYLLYMLVSMESVRGVSVPMYTTLRRTTVAFTMIVEYVLARQKYSIYVIGRDNHTWCLCSRSSRFII >cds-PLY63895.1 pep primary_assembly:Lsat_Salinas_v7:1:125868145:125868408:1 gene:gene-LSAT_1X95481 transcript:rna-gnl|WGS:NBSK|LSAT_1X95481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDSPIKLIFEEIGNLGGSVKTSDVERTTNQGDHSQQSTPKQTVVVPPRVSQIESLHEEVRTLGITVNIFDMDENVNMGNGVSNTQA >cds-PLY93156.1 pep primary_assembly:Lsat_Salinas_v7:9:3363473:3366121:1 gene:gene-LSAT_9X4741 transcript:rna-gnl|WGS:NBSK|LSAT_9X4741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTPPRLADIAPAQSQSGAGTLPTGLTQPPLSPHSSNCCGPETVLKRDMEGCHCVYPIKLDILLLNVSSNPNWNLFLQQFATQLGLRVSQIELINFYLLSLSRLNISMDITPHTGISFNSTEASEINSSLSTHKVHLDPKLVGGYQLLNLTYFKPLAPAPAPHIATSPTKSPPSLPSTPTSANNSSSGRGKHLSLMLLVGIAAGILSVAVISVLILCSCASRRRKPEASPKETAAAAANKPREGGSFPHPTSTRFLAYEELKEATNNFEDSSILGEGGFGRVFKGVLSDGTQVAIKRLSSGGQQGDKEFLVEVEMLSRLHHRNLVKLVGYHSNRDSSENLLCYELVPNGSLEAWLHGPLGLNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRATYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVEMSQPSGQENLVSWARPILRDKERLEEVADPKLGGKYPIEDLARVCTIAAACVAPEANQRPTMGEVVQSLKMVQRVTEYQDVGPAATATATQKQSSTTFESDGTSSIFSSGPYSGLSAFDHDNISRTALFSEDLHEGR >cds-PLY96694.1 pep primary_assembly:Lsat_Salinas_v7:8:136116764:136118372:-1 gene:gene-LSAT_8X94481 transcript:rna-gnl|WGS:NBSK|LSAT_8X94481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFKLPPNLIPHDSSPEWMSKGDNAWQMTAATMVGLQSVPGLVILYGSIVKKKWAVNSCFMALFAFAAVLVCWVGWGYQMSFGSEKFLPFLGRPSVASLRASYLVERAFSGKLPNATMIFFQFVFAAITLILIAGALLGRMNFYAWMLFVPVWLTCSYTVGAYSIWCPDGWLAKKGIIDYSGGYVIHLSSGVAGFTAAYWVGPRESKDRERFPPNNILLMLLGAGLLWMGWTGFNGGDPYMASRDASLAVLNTHVCAATSLLTWLMLDIVFFEKPSVIGATQGMITGLVCITPAAGVVQGWAAIIMGILSGSVPWFTMMVLHKKVSLLKQIDDTMAVFHTHAVAGSLGGILTGIFAEPRLNRLFFDITDGWQHYVGLVYGLKMGRVRAGFNQMWIQLLGILFVVGWNVVVTSLICVGIRAFMPLRLSDEELEIGDEEVHGEGAYALWGDGEKFEISKRNSSQSHGFNEVSAKEGSNFR >cds-PLY63890.1 pep primary_assembly:Lsat_Salinas_v7:1:125938949:125939345:-1 gene:gene-LSAT_1X95460 transcript:rna-gnl|WGS:NBSK|LSAT_1X95460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPNFLGCAENALGIRNWLESQGHEYIVTDDKEGLDCGCVEVVGFVTSDELAS >cds-PLY92622.1 pep primary_assembly:Lsat_Salinas_v7:2:161197782:161198310:-1 gene:gene-LSAT_2X85381 transcript:rna-gnl|WGS:NBSK|LSAT_2X85381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWRCGSLSRSLISTARSSTIRRSTTTPLPRLRPPPQPSPHTHSNRLRPGPTSSIPGFGTIGGVIGCTQSLLPLHSVVAAARLTSHVAVEARACCELSQGNAFVLLQFVLCLQLILEINMDAYYVINHDRLAIYDIGSSNSHRTYF >cds-PLY98137.1 pep primary_assembly:Lsat_Salinas_v7:1:139569858:139574122:-1 gene:gene-LSAT_1X101181 transcript:rna-gnl|WGS:NBSK|LSAT_1X101181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSELSEGYSSSSSTHGHIYEVFLSFRGIDTRHNFIANLHKALMDAKITTFLDDEEIEIGEDLKPELESAIKASRASIIVLSKNYATSTWCLDELVLILEQRRTSNHVVIPIFYHVEPTHVRKQQSSFGDAMDKHRQKMECETKADKRSKWAQKIEQWSKSLTEVADLKGKDVNDRQEMEFIEEIVNDIYRILRVHLRSPLPLLIGMESSITFITSWLKDASSHTTDILTILGMGGIGKTSLAKFVYGLDCRDFKTSSYIGNISSRCNEKFSGLLDLQKQLCDDISKTSPIPVDDVSIYTSKIENVVARKKDMRNLLGLALDMRRLEKAEKLSASFELKTDVLSNMDSLILLQLNFLQLSGSYANFPEDLNWLCMHGSPLKSIPLDLPMENLVSLDMSYSNIIESFDICYSYPQQVQKKQKLDGSCLKDKRLLGALKILNLSFCEQLRSLGGFDQLPALEKLIVTNCINLVEVCESIEQCFELVLIDFSYCKKLRELPRNMSMLKKVKMLLLKGCNLGESQINTRDMDSSEKLKTTNLGINTITTYSVVQHAIPSDLKFLTVSLPRSLVSLALQNNKLSTESFPIDFSSLFMLEELYLDDNPIVSLPSCVRSLPRIKILSMQNCEKLVSLENPPHTLRELMLVSDYRPALRKVLFNHNMSPLKFYIEWRMFAPSSFEIEGVVKIQPMTSVEKMLLRCLGWIELNFLKERHLRTDSVSRGIEESEIQV >cds-PLY79570.1 pep primary_assembly:Lsat_Salinas_v7:8:118085167:118087344:-1 gene:gene-LSAT_8X82180 transcript:rna-gnl|WGS:NBSK|LSAT_8X82180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPTEIGSSKMFEGFNKRYKHQSPTLGCSMNFHIYFPPSTSPDQRFPVLYWLSGLTCNDENFIIKSGAQRVASTEGIALIVPDTSPRGLNVEGEADSYDFGVGAGFYLNATVEKWKNWQMYDYIVKELPQLLSDNFPQLDTSRASISGHSMGGHGALTIYLKNLDKYKSVSAFAPIVNPINCPWGQKAFTNYLGDDKTAWEEYDATCLIKKFNDVAATIMIDQGDDDKFLHENQLLPNKFDEACRNVKAPLLLRMQPGYDHSYYFIASFIDDHIRHHAQALNP >cds-PLY92552.1 pep primary_assembly:Lsat_Salinas_v7:7:160578732:160583263:-1 gene:gene-LSAT_7X94580 transcript:rna-gnl|WGS:NBSK|LSAT_7X94580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 33 [Source:Projected from Arabidopsis thaliana (AT5G35670) UniProtKB/TrEMBL;Acc:Q8L8M9] MGITGELVRSVFSKNRSFGTTHDTNVMRTNSVERKRWSSVRSYLCGDEFNSVLAEEDSASRRFSSSVFLEDYSGSARTSKATVFSSRIDDGSEATVTQPISENSNESLHDYKKTNLPFEHDAAVVIQSAFRSFLARNRNGKERVESVNVCDLEVAVATGSPGRASIATSVEVQTGNSVVEVNEGCNSFAHRMSNQKGVKVQVSKLKEDWDDSTVSSNISKMRIQNRLEASTRRERALAYAFSQQLRICSKKKQNVRSGNDSETNMSWSWLERWMATRQHDTSFGDLSKQFEELNVNQKLMVKKKVISDLAGEEKESCGSNEVSIHSDNVSLSSKTAEKSYYKPTRNRLKATRQKSSTGYKEYSKMNRPGGVREIRCEDQQ >cds-PLY84204.1 pep primary_assembly:Lsat_Salinas_v7:7:78509309:78510041:1 gene:gene-LSAT_7X56220 transcript:rna-gnl|WGS:NBSK|LSAT_7X56220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYSIKLDKRLPFVGHINGAKLLEIQRLEISLGGFGRQFRDYHDDVDMADETGGEEQQMLSFKRDFGDEEKSTMEVALNDGLEKFPHSVVLHEWIKKMNELFKEVHEGASNKKVHEPECFNELNKNNIGDGGEGNSSPVGGLILTEVNIEKEVNYTTPVDTNSLTMTQFHRLPGVNEEMIKLLDETEL >cds-PLY68711.1 pep primary_assembly:Lsat_Salinas_v7:5:279364753:279367402:1 gene:gene-LSAT_5X147380 transcript:rna-gnl|WGS:NBSK|LSAT_5X147380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVNKEQHEDMPDYNMEYEQDDGTQFYESDTEDGENNDIDYSSHLDSKEEMQSKVPTRSPRKMGLTRLPKLKTEYVNSGGRKKCVKFDEFDKFTGKNNAVFGRSCLREGGIKCSLLEESKTRSEGHTLGRDYSCVTKDSQSAKDVKVRSSDGTRGIEEKVISKEEMPPRSIMWCKGCESKGVFKAEDVKLMADKLMEQEKQIKEGQVNVEPGTNALTLVFGKENGGFLKGVGTGVTYNRYFNDPRNKGSSKEEIKDIKVALHNGKLELEKKDVELKALSTKVNEQDQTLKIVLAHLNAKGADLQNLSHTVGISSDKIVESSETTHISLKTKQPSEPVTPVIAKPTKKQVQTNSTTATPDTQLISMKSATANTKPTRKAFDSKIATINPDIPTVSPNKPLHQPIKCTLSHPYKRNIVARGIIHLLSERQFIHGVPLQDDCYKVSIDEVVPEIISHQEHQHQNSKKRKRTYISSDALLKKTRSNTNKKNV >cds-PLY73975.1 pep primary_assembly:Lsat_Salinas_v7:8:62526686:62527662:1 gene:gene-LSAT_8X44280 transcript:rna-gnl|WGS:NBSK|LSAT_8X44280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR3 [Source:Projected from Arabidopsis thaliana (AT2G01590) UniProtKB/TrEMBL;Acc:A0A178VVT4] MIGLTSIAINRPLILSSLSDKTNPDTKPKVRRINSATPLPPPSIPAGKQEKKPSVAEIERAIGAGIFRDRDINSESEQEKTFFDSILSNSIGRTEGDVEKKLRETGEWIIDKTEGPSRSTGKNILKVVFLWILPLWIISFLVASGVVKLPIISPFLDDLLM >cds-PLY67240.1 pep primary_assembly:Lsat_Salinas_v7:6:136683461:136686386:1 gene:gene-LSAT_6X82260 transcript:rna-gnl|WGS:NBSK|LSAT_6X82260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELFYLLVFGGLGVIVATLELSKNNKDRTNTSSAFNSFKNNYLLVYSLMMAGDWLQGPYVYYLYTTYGFGKRDIGHLFIAGFGSSMLFGTIVGSLADKHGRKRASITYCITYILSCITKHSPQYRILMIGRILGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLVAILAGLFGNLLVGSLALGPVAPFDAASCFLAIGMAIILSSWTENYGDPSESKDLLTQFRGAALAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEDIPHGFIFATFMLASMLGSSFASRLMARASIKVESYMQVVFIVSSASLMLPVITSVLVAPSSEKGGSISFAGCIQLIGFCVFEACVGLFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVDSFPISVMFGMCAVFLFIASMLQRRLLGVVERSCMFFFCSSITPFLLLIYKKGYSEG >cds-PLY98658.1 pep primary_assembly:Lsat_Salinas_v7:5:303783146:303783853:1 gene:gene-LSAT_5X163640 transcript:rna-gnl|WGS:NBSK|LSAT_5X163640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKDCLYVHGVKMLSEKLLLNGGTITHLEDDLGEDIYKNWICILTSCQDTIADVIKVRIDDRIFMIRIKEAPGWILTITSEFPKTTSTNREIHGPFEEDDEINPMKDNDDISEDPFGIYDTMKNLEKDERNLDVNGYKDGEIKNNTLEGHNGNFSSQNPNSNNFDELYDANVSCVPPPITAPDVAKACVVMKIPAPLAFPATVVDVVDSTFVAAGHVNSSASVHSTSSHTGGQKT >cds-PLY85414.1 pep primary_assembly:Lsat_Salinas_v7:1:205263776:205264791:1 gene:gene-LSAT_1X128440 transcript:rna-gnl|WGS:NBSK|LSAT_1X128440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDKFTNDIMEEWEMDDDMAFVDDGRKKAAMGGKRGSGSGGPTQLVCQVANCTTDMTRSKTYHRRHKVCEVHAKAPVVVIGGLQQRFCQQCSRFHDLTEFDDAKRSCRRQLAGHNERRRKSSYESYGESSG >cds-PLY78709.1 pep primary_assembly:Lsat_Salinas_v7:9:48937999:48939505:1 gene:gene-LSAT_9X41400 transcript:rna-gnl|WGS:NBSK|LSAT_9X41400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP12 [Source:Projected from Arabidopsis thaliana (AT5G64350) UniProtKB/Swiss-Prot;Acc:Q8LGG0] MGVEKEVVRPGNGPKPSPGQNVTVHCTGFGKNGDLSQKFWSTKDPGQEPFTFKIGQGQVIKGWDEGVLGMQLGEVARLRCSPDYAYGAGGFPAWGIQPNSTLVFEIEVLRAQ >cds-PLY63525.1 pep primary_assembly:Lsat_Salinas_v7:9:24966510:24967083:1 gene:gene-LSAT_0X37821 transcript:rna-gnl|WGS:NBSK|LSAT_0X37821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDIESMSNTLKGLDTSAHTIEERFKRYFLLPKPKPEPVEQKKSGDGPNKVEIWRKVLLPSFPEKFDDLQGFCKWIDSVENSFGCFPLSGVDKAKLVFNTLPQEGEAFRWWQGIQNLSMQVDKRPFTWDEMKLLFMAEFVSPEFLVPNKKSKTSL >cds-PLY81180.1 pep primary_assembly:Lsat_Salinas_v7:4:257641463:257642807:-1 gene:gene-LSAT_4X133900 transcript:rna-gnl|WGS:NBSK|LSAT_4X133900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSFHGTLQGIELPSFVDGDLSSGVEAGYTKVEAKYPTFLFKQQLTTYVEKIYGTIRDNLKKEISLSLRMCIQAPRISRASLVKGSSHTVSNSAQQTLIAHWQGIMKSLGSFLNVLKTNNVPPVLVRKVFSQIFSFLCNSLLLRRECCSFSNGEYVKAILAELDHWCFNATDEVILE >cds-PLY93701.1 pep primary_assembly:Lsat_Salinas_v7:2:201593364:201594954:-1 gene:gene-LSAT_2X122701 transcript:rna-gnl|WGS:NBSK|LSAT_2X122701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEEHGPIFTINLGVHQTLVVSDGAIAKECFTTNDKAFASRPKAEAVKLMAYDYAMFGFTPYGEYWRQIRKMVTLEVLSQRRVEMLGHIRASELRASITDLYDVSVKSSDVLRVDMGQWFGNFVVNIMVRIISGKRFSPKDEEGVRFQSIVKKFFELMGAFVASDFIPYLRCFDVGGYIKAMKKTAGDLDDIFDGWLKEHKMNSNSSLQHEGNQDFIDVLISILQGASKEAFPGFDHDTIIKSTCQQLLVAGVDTTSLTLTWALALLLNNPKALETAQNEIDEHVGRDRLVEESDLKNLVYLDAIIKETFRLYPAGPLSVPHESLEDCIVDGYNIPKGTRLLVNLYKMQRDPKIWSEPLEFRPERFLTSHKGIDVKGNHYELLPFGSGRRMCPGVSFALQAVSLALASLIQQFVLKKPSNQPVDMTESLGLTIGKATPLEVILAPRLSSNMYQHHVGS >cds-PLY64432.1 pep primary_assembly:Lsat_Salinas_v7:4:249223960:249225628:-1 gene:gene-LSAT_4X132041 transcript:rna-gnl|WGS:NBSK|LSAT_4X132041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKQLLLENPLMDLCTTYPRNASSEDDDELGSQKLTRAQRKRLQRKKLKEAASHRRQIIGPELPPTGDDQIDDEVNNVHEQSEGVRRNVTEGLERGNNPHGNHLVSVSLDGIARVFEIDEFH >cds-PLY91704.1 pep primary_assembly:Lsat_Salinas_v7:7:26610767:26616509:-1 gene:gene-LSAT_7X19080 transcript:rna-gnl|WGS:NBSK|LSAT_7X19080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTYGRRTSSNSNSNSRSSNSLTFDDVDGFDDSLSQDSPQELDNSNGDIFSFAFPSQESIKNPWSFDSDPYNFDSSQDSRKLAVLPPRSKKPKTEANEKRKDKGKKAKKPLMMLTETTTLMETQEFGEMMEHDDEVNFALDGLRRGQPVRIRRASLLSLLMVCGTIQQRRLLRTHGTAKTIIDAVLGLNFDDTPSNLAAAALFFVLTSDGQDEYLLDSPSSIRFLLKLLKPVTAHATKSKVTTLGSKLVALSKDASNFNDNKGEESSSSSILNKVQEVLVNCKELKPREGSDNDAKRAELNPKWIALLTMEKACLSTISLEETTGTVRKSGGNFKEKLREYGGLDAVIDIIRECHGVMEKWLERYSESQNILNLESPMLLLKCLKIMENATFLSNDNQKHLLDIEEDLGYQHSFTKLILSVIKILSGVSLLKNSSSNSDAKNDLGVSNGTDHSSDLPSVADDKDDSNEIIHISSSIENCSMDLPSQKISTRSQKNQKPDKKQSGSTSTSSGKKSKPIMIESEDSQDPFGFGGEDPFAFFEDEDKPSKWDIMSGKKNVSQSQKSGSAVEGDEDLMVVSQQESSNVERDDSPQVSCSPLDDEEKFNLLSDCLLTAVKVLMNLTNDNSVGCQQIAGCGGLETLCGLIAGHFPSFGSFLPTLSDPRDKSILVEVDDDDDDDEEEEEKNKRLTDQELDFLVAILGLLVNLVEKDGHNRSRLAAASISMPGLNGQENEITDVIPLICSIFLANQGAGEAAEEGRQSNLNVEDPVLEGEKEAEKMIVEAYSALLLAFLSTESKSIRNAIAECLPNRKLAILVPVLERFVEFHMSLNMISEETHSTVLEVIESCRML >cds-PLY70112.1 pep primary_assembly:Lsat_Salinas_v7:3:10667259:10668809:-1 gene:gene-LSAT_3X6400 transcript:rna-gnl|WGS:NBSK|LSAT_3X6400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPFSQSKPLSNGVSKNPHDFTRTNVVTIDVGGQLFQTTVQTLTLAGSNTLFSNLFNSSEQNSSIPFIDRDPELFSILLSLLRTGNLPSKAKTFEIQDIVFEAKFYGVEHLLVQSQSNPSQFEPFDLEKSMILPLSGRDSPSAIATTPYGSVHVSHGSKITSFDWSLQRKSTTLTQFTAIDSLLALSSNVVAAGATDFSGLQIIDLEMGHVRQSINWENVTKSGSTVQAIGTSPELLFTSFESSRRNSNSILVYDLNDSFKVVSEIGHNEIFGADLDSAIPSTKLNWIPSLKLLMASGSHSGPSGVSGNIKFWDIRSGNLVSEIKEKVDCFSDITVSDALSAVFKIGTNSGEVSYIDFRSILSDNSWNCLGDSRKVMNVKKEGYGCKIESHGNQVFCSKEGELELWSEVLMGSLRNGKNGKEERVFKKNMLGRSKDLGQNRITNLGFGGNKMFVTRKDQQFVEVWQSSVRRF >cds-PLY72371.1 pep primary_assembly:Lsat_Salinas_v7:5:6566120:6569012:1 gene:gene-LSAT_5X4000 transcript:rna-gnl|WGS:NBSK|LSAT_5X4000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLFLSIKVHFQSVFINKAFCYFDGVHHVFENINFDGMSYNDFVDFLERFTQEKCEKLYYCQPDLQIPEGLTLIPNELQYQEFIDIAYQCGVQVLVYMDHFGTTVHVTKANENENEDNCSVKSNMSIEGEEGIDLTDFMSPQQSNMEGVTYEGVSQENGNNEVTEGDKKDDEDDNVPDVKGKPMFNEDISWKKQLPILGKGEGDTGSGFGFKRGECECGSTSGVTKKNGKKVGTLKLRKKSERILKKKLAKKVAGKNGEGDTSSKPMDLD >cds-PLY77754.1 pep primary_assembly:Lsat_Salinas_v7:2:169765170:169766010:-1 gene:gene-LSAT_2X91740 transcript:rna-gnl|WGS:NBSK|LSAT_2X91740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLSTITLRSFSYPSYAATTQAASPAAFSPKQTLEFPLRTPKLSHRSTFLRPVAAVAEGKVVELGDEISNLTLADAQKLVEYLQDKLGVTAASFAPAAVVAAPGAGAEAPAAVEEKTEFDVVIDEVPSNARIATIKAVRALTSLALKEAKELIEGLPKKFKEGISRDEAEEAKKQLEEAGAKISIV >cds-PLY77599.1 pep primary_assembly:Lsat_Salinas_v7:2:162706422:162710458:1 gene:gene-LSAT_2X84981 transcript:rna-gnl|WGS:NBSK|LSAT_2X84981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKPKVNGGVKDGKQNRRMPAIHGPTNPMVTPLLTDLYQFTMAYAYWKAGKHKDRAVFDLYFRRNPFGGEYTVFAGLEECIRFIANFKLSKEDIAFIRECLSPTCEDGFFEYLGGIDCSDVEVYAIAEGSVVFPKVPLMRIEGPVAVVQLLETPFVNLINFASLVTTNAARHRFVAGKNKLLLEFGLRRAQGPDGGVGASRYCYMGGFDATSNCAAGKLFGIPLRGTHSHAFVSSFTGPDEITEKSLKSQDGSKVCKDFVSLTQTWLSKLKRLSSSEGVFGETNQSELAAFVSYALAFPNNFLALVDTYDVMRSGVPNFCAVALALNDMGYRAKGIRLDSGDLAYLSCETRKFFQTIEKEFQIPDFGNTGITASNDLNEETLDALNKQGHEVDSFGIGTNLVTCFAQPALGCVFKLVEINNQPRIKLSEDVSKVSIPCKKRSYRLYGKEGYALVDIMSGENEPPPKAGQRILCRHPFNESKRAYVVPQRVEDLIKCYWPGSAEKEREELPTLVEIRDHCMKQLEQMRTDHMRRLNPTPYKVSVSTKLYEFIHFLWLNEAPVGELQ >cds-PLY72114.1 pep primary_assembly:Lsat_Salinas_v7:7:59027041:59027493:1 gene:gene-LSAT_7X42801 transcript:rna-gnl|WGS:NBSK|LSAT_7X42801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFTNDDDDEINCMSIGKVCFRNSVMSNIQKALCVVIEGKEYHVYVKKVAPLELDVAGEGGFVEVDVKLRGSFEGGNGVIPTIIQKMMMRLMNITVMGKMKWVVKRIILSVGKGSVDEEPVHAYSIETLLEREILRATFSRMTNFMSVRR >cds-PLY74496.1 pep primary_assembly:Lsat_Salinas_v7:7:40814632:40815502:-1 gene:gene-LSAT_7X32280 transcript:rna-gnl|WGS:NBSK|LSAT_7X32280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKFKDKRPPQLLATSTPQQHSYDDAVLEGVAANVKLLLKLIQDHKEACKTQRNDGRRMLRVAGMMTILDMVRTRIQNCQSFGTKRSDGLGPFGLSPAQSPKEKQRSTDPLTVDEQEKLKREHSASLAARKSLEIMCSGLGKEKEIMMGELAKKAHELSEMEEHINDLKAQNETLLAKVSQCAEMHKHDEKKQLLESLDGYRLMKRKVSEVHEENMAMQATMEEMGTKVSASLDKIRNYRQHLNNDSEEIVDIEEGILELEHMCKCFEKNSSKTAHS >cds-PLY68184.1 pep primary_assembly:Lsat_Salinas_v7:8:118921474:118924391:-1 gene:gene-LSAT_8X82641 transcript:rna-gnl|WGS:NBSK|LSAT_8X82641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57050) UniProtKB/Swiss-Prot;Acc:P53780] MAAPSASSSLRSSPLFTAPPSELINHRGVASFGCTSGVVSNRCSNSLGEKLMLRCSREDRMDVSASAVVEGLAECFDDSMLETDIREPSVSTILMNFENKFDPYGAMSTPLYQTATFKQPSATENGPYDYTRSGNPTRDVLESLLAKLDKADRAFCFTSGMAALAAVTHLVKNGEEIVAGEDIYGGADRLLSQVVPRTGTTVKRVDTTNLDEVAAAIGPKTKLVWLESPTNPRIQISDIRKIAEIAHANDALVLVDNSIMSPVLSQPLELGADIVMHSATKFIAGHSDVMAGVLAVKGERLAKELYFLQNAEGSGLAPFDCWICLRGIKTMALRIEKQQDNAQKIAEFLSSNPHVKKVNYAGLPSHPGHSLHYSQAKGAGSVLSFLTGSLALSKHIVETTKYFGITVSFGSVKSLISMPCFMSHASIPAEVREARGLMEDLIRISVGIEDVNDLIADLDHAIRTGPI >cds-PLY76716.1 pep primary_assembly:Lsat_Salinas_v7:3:139928373:139931688:1 gene:gene-LSAT_3X92441 transcript:rna-gnl|WGS:NBSK|LSAT_3X92441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTGDEEKHFVLVHGACHGAWCWFKLKPLLEAAGHRVSAFDLSASGTNTKVIQDVATLADYSLPLLEFMATIPPEKKVVLVGHSLGGMNLALAMEKFPEKISIAVFLSAFMPDTGHTPSYVLDQYNESTPPEAWLDTQFLPYNNENESETSMFFGPEFLSLKLYQRCSNEDLELGKILVRPGSLFLKDLAATEQFTKGKYGSVKRAYIICDEDKAIKEEFQRWMIEINPAIMVKKLNCVDHMPMLCDPKQLSVCLLDIAHEYA >cds-PLY90431.1 pep primary_assembly:Lsat_Salinas_v7:8:213612581:213612920:1 gene:gene-LSAT_8X133440 transcript:rna-gnl|WGS:NBSK|LSAT_8X133440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIESGGRSVTVIRSGTNDGKPSGVVKIVDFGEVIEDKKNNVVEPVKGKKKKTVK >cds-PLY99316.1 pep primary_assembly:Lsat_Salinas_v7:7:179752171:179753487:1 gene:gene-LSAT_7X106021 transcript:rna-gnl|WGS:NBSK|LSAT_7X106021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKRCLFFIILATIVVSCMITQVKAYTSSYCNHESRCPGQYISCPSECPESNSNDPNTKVCRIDCYSPKCKAQCKHNKPECDTPGSACYDPRFIGGDSIVFYFHGKVNEHFSLVSDSNLQINGRFIGHQPTGRSRPFTWIQALGLLFNSHSFSLEATKSATWDGRIDHLKFSYDGEDVSLALGGLSSWKSPEGEIEVERTSEVNSVMVNIPGVVEILVNVVPVTAEDDKIHGYNVPSDDCFAHLEVQFKFTGLSDGVEGVLGRTYQPDFKNPAKPGVAMAVVGGEDKYRTTSLLSSDCANCIYDSSNVAEKENNMIKEHETLDCSAKGLFRGNGIVCKK >cds-PLY73554.1 pep primary_assembly:Lsat_Salinas_v7:5:58644440:58648548:-1 gene:gene-LSAT_5X27920 transcript:rna-gnl|WGS:NBSK|LSAT_5X27920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSTKQLVVDLSSHALRKNAFLELSKIPTNTIGDNSTNDEDNWAHRELEPKSPTNNSNMAVAHDRFPLALPNSISPTRMPIFTQFVHRPDPTPINQHIHSTNPNSDDRQPPGEIDISQREFADYINKKRKLNGCDTQIHTQVPICHRRLEGDFDLNVVVTNHNSNFSCEASSATSNESISLPLSVGLSDF >cds-PLY74871.1 pep primary_assembly:Lsat_Salinas_v7:8:105812683:105813553:-1 gene:gene-LSAT_8X71981 transcript:rna-gnl|WGS:NBSK|LSAT_8X71981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRKPPPLPPPPPSSSPSPSRSPGVSLQPSSPRKNPSKSTKMFRRVRSVFRSFPIITPAACKFPVSLHNHHHDNHHIPGGTRMTGTLFGYRKTRINLAIQENPRCLPVLLLELSMPTGKLLQEMGLGLVRIAMECEKRSGKTKLVDEPIWTMYCNGRKMGYGVKREPTDDDLYVMQLLHAISMGAGVLPTAGDNNTSDNATAVTATAPSTDGELTYMRAHFERVIGSKDSETYYMMNPDGSSGPELSIFFVRV >cds-PLY72797.1 pep primary_assembly:Lsat_Salinas_v7:6:21913770:21916209:-1 gene:gene-LSAT_6X16560 transcript:rna-gnl|WGS:NBSK|LSAT_6X16560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIASGGGAGGEAWKAHAAMALVQLFNGGYHVITKVALNGGVNEIVFCVYRDILAISILAPIAYFREKRTRPAMTRQLLMYFFFLGLTGIFGNQLLFLLGLGYTNPTYAAAIQPSIPVFTFILAAMMGTETVNVLKSEGQAKVGGTLVCVSGAILMAIFKGPALLGFANTAPEIIPGGQPELSGWMFSSLATLGIDNWHIGVLCLIANCMCMATFLAVQAPVLAMYPANLSVTAYSYFFGTLFMVVTAVSFNSESMNWHLTRSEVLAVIYAGIIASALNYGLITWSNKIMGPALVALYNPLQPAASAFLSKVFLGDPIYLGSILGGSLIIVGLYVVTWATYREKQKQQAASVGRSSEPLIDQIFGGPSPSLLKSTD >cds-PLY94409.1 pep primary_assembly:Lsat_Salinas_v7:6:8882104:8883548:-1 gene:gene-LSAT_6X5661 transcript:rna-gnl|WGS:NBSK|LSAT_6X5661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANKFSERQPIGTSAQTDKDYKEPPPAPFFEPGELSSWSFYRAGIAEFIATFLFLYISVLTVMGVVKSPTKCGTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLLLARKLSLTRAVFYMVMQCLGAICGAGVVKGFQGDAQYTTLGGGANVVAHGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKSHAWDDHWIFWVGPFIGAALAAVYHQIVIRAIPFKSRS >cds-PLY90193.1 pep primary_assembly:Lsat_Salinas_v7:2:15113312:15118347:-1 gene:gene-LSAT_2X7381 transcript:rna-gnl|WGS:NBSK|LSAT_2X7381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYKPNIPVISLLILSFAAVLLRSQSIYPSRYDGFVYKKRAASTDTVLIEAFYDPVCPDSRDSWAPLKQAVDHYGPTVVSLIVHTFPLPYHDNAFITSRVLHIVNDLNSSATYPLLEAFFKYQNQFYNAKTNNISRAAALDKVIGFASRTLGNSIQSAIRSGFSDTKTSTKTRVSFKYGCMRGVYGTPFFFVNGFLVPVTDDDMIDYNGWRKIIDPLTTKQGKNEYPLTIF >cds-PLY67330.1 pep primary_assembly:Lsat_Salinas_v7:4:20239135:20243195:-1 gene:gene-LSAT_4X13480 transcript:rna-gnl|WGS:NBSK|LSAT_4X13480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHAKNRLPSSGHNTPSPPASPLRSPRLRHPRSGKTAARFGLGVNRSITQRVAWIVLTFLLRRQGVFLFAPLLYISGMLFYMGTVSFDVVPDVIKSRRAPGSVYRSPELYAKLRHEMDADNSSSDAMSTIWKHAKGGDWRPCINKFSGGLPESNGYIYVEANGGLNQQRTSICNAVAVAGYLNATLVIPNFHFHSIWRDPSKFSDIYDEEFFVKTLENDVRIVDTVPGYLMERFDRNLTNVFNFRIKAWAPVQYYRDTVLPRLLEEKFIRISPFANRLSFDAPPEVQRLRCLANYEALRFSSPLLTMGQTLVSRMKERSKINGGKYISVHLRFEEDMVAFSCCVYDGGSKESEDMVAARERGWKGKFTKPGRVIRPGANRVNGKCPLTPLEVGLMLRGMGFDKSTSIFLASGRIYDSERHMAPLLEMFPLLQTKEMLASSEELAPFKNYSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLLGHRRYLFNGHSKTIRPDKRKLAVYFDNPKIGWRTFKRHMLSMRAHSDSKGIELKRPNDSIYSFPCPNCMCHANKTEDSRTVVVSAS >cds-PLY88270.1 pep primary_assembly:Lsat_Salinas_v7:8:152796994:152803778:1 gene:gene-LSAT_8X102781 transcript:rna-gnl|WGS:NBSK|LSAT_8X102781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYEPGISPSDFMLPGKRSAGGEVVVDESLIKRTKIDSLISSAATTTAAATTSTGTSSTAVTMGGGNNPNGTTNGKMPIGGDSNQTDIDEDLHSRQLAVYGRETMRRLFASNILVSGMQGLGAEVAKNLILAGVKSVTLHDEGNVELWDLSGSFIFTENDVGKNRALASIQKMQELNNAVAISTLTTELTTDQLSQFQAVVFTDISLSKAIEFDNFCHKHNPPIAFIKSEVRGLFGSVFCDFGPKFTVSDVDGEDPHTGIIASISNDNPALITSVDDERLEFQDGDLVVFSEVDGMSELNDGKPRKVINAKPYSFSIEEDTTNYGAYKKGGIVTQLKQPKVLKFKPLEEAIKDPGEFLLSDFSKFDRPPFLHLLFRGLDKFVSDFGRYPGAGSEEDARKMINLVNQMNEELKDGKIDEIDEKIVRSFAFGACAVLNPMAAMFGGIVGQEVVKACSGKFHPLLQFFYFDSLESLPVEPLDPNDLKPLNTRYDAQISVFGSKLQKKLEEAKVFIVGSGALGCEFLKNLALMGVSCGQSGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAATAATLINPNLKIEALQNRASPDTENVFNDTFWENLSVVVNALDNVTARLYIDQRCLYFQKPLLESGTLGAKCNTQMVVPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEANAYLGNPGEYTAGMEKAGDAQARDNLERVLECLEKERCESFVDCITWARLKFEDYFANRVKQLTFTFPEDAVNSSGSLFWSAPKRFPRPLDFSVNDQSHLNFVIAGSILRAESYGIPIPDWVKSPTKCAEAVSKVIVPDFEAKKDVKIVTDEKATSMSTASIDDSAVITDLIKKLKACHQKLPEGFRMNPIQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATATAMATGFVCLELYKVLSGNHKVESYRNTFANLALPLFSMAEPVPPKVIKHQDLTWTVWDRWILRDNPTLRELLQWLKNKGLNAYSISYGSCLLFNSMFPRHKDRMDKKMVNLAKEVAKADLPSYRKHFDVVVACEDDEDNDVDIPQISIYFR >cds-PLY92491.1 pep primary_assembly:Lsat_Salinas_v7:2:152471673:152475916:-1 gene:gene-LSAT_2X76601 transcript:rna-gnl|WGS:NBSK|LSAT_2X76601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:U1SNRNP [Source:Projected from Arabidopsis thaliana (AT3G50670) UniProtKB/TrEMBL;Acc:A0A178VDW4] MGDYNDAFMRNNNAAVQARTKQQNRANLMQMKLIGQSHPTGLTNNLLKLFEPRPPLEYKPPPEKRKCPPYTGMAQFVSHFAEPTDPEYAPPVEKGETPGQRRARIHQLRLEEGARKAAEELEKYDPNGDPNISGDPYKTLFVARLNYETTESRIKREFEAYGPIKRVGFVEYPKKGLKVIAFYSVRLIADKETNKPRGYAFIEYMHTRDMKAAYKQADGRKLDNRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGGEEVNQKNSGREQQQSGGASRSVEPRATEERGDREREKSRERERVVKERSRERERERSHDKPREREHRDDRHRDRDRDRDRTGRDRDRHRDRDRDRGDRERDRSSRHREKDREKDYEVGDVEALDRDRGRSRDKDYEYDRSERHERDKHGGGDNVRGKSYDDRDRGDMEEWYEHGEHGNSKRVDADRDMGGVGGGRYDYYDEERYEHQDHDRYDQMEDDVYEPGKVEPRDKDREREYRRSDRSLSRDYEY >cds-PLY93382.1 pep primary_assembly:Lsat_Salinas_v7:9:57610595:57612149:1 gene:gene-LSAT_9X50640 transcript:rna-gnl|WGS:NBSK|LSAT_9X50640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDLESFNNVKQWLSEIDRYASENVNKLLVGNKCDLVESRAVSFDTAKEFADGIGIPFMETSAKDATNVEQAFMAMSADIKNRMASQPGSNNMRPPSVQLKGQPVGQKGGCCSG >cds-PLY64806.1 pep primary_assembly:Lsat_Salinas_v7:2:101901827:101905671:1 gene:gene-LSAT_2X46381 transcript:rna-gnl|WGS:NBSK|LSAT_2X46381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLTFLSGFYHGAIKIPLIKSSCPTKVLTFIFASTTISDSRQCLTPTKCSTKCRPYGFDFNFDFYLDQHQGLISVAVVEAYKMLPNLDLLVSSRVDMKKSTNQWHQPFCKK >cds-PLY88099.1 pep primary_assembly:Lsat_Salinas_v7:6:180744201:180744422:1 gene:gene-LSAT_6X109320 transcript:rna-gnl|WGS:NBSK|LSAT_6X109320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTQLSGVDCRALSSTQLITAARIERVNEAEDTTSESRFSSFSITRGSSLRSRMIRNLGYKLASGPSKRGPGH >cds-PLY77349.1 pep primary_assembly:Lsat_Salinas_v7:2:96720387:96722507:1 gene:gene-LSAT_2X42360 transcript:rna-gnl|WGS:NBSK|LSAT_2X42360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTIANCSNSSSSIIYSENEDFLHYFDFNNIADLQKVHQSFGSNNHDINWLPHTCTILTSSLCGLLFFESYDPDEYVSKILFVIKPTTSDAKWIPFPTSEYTATKFALVVISSNPLHFKVIRLSYTKPSDMPKEKLDYDYYNIELFSSTTWQWREFQNIRLPSSVYPVSDEAVTSGGAVYFLLYNDTILRFDIYSEEHILIFAPSTINELKLYASRQIKFHGKLAYLCISGDSLWAIWIFIHNRWVKVDVSTYNESAHEWWDYRNNTQYFFKGNTIEYVVPAHHSQQVCSIRSDFDTVTMPSR >cds-PLY71928.1 pep primary_assembly:Lsat_Salinas_v7:3:25235498:25235716:-1 gene:gene-LSAT_3X18681 transcript:rna-gnl|WGS:NBSK|LSAT_3X18681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLQEEGKGLHRGTPEHLSDVSISMVTHYFDESFLWVEEDYANTYSKFHLSDSFVEDKKFSEEFAMIYLIHA >cds-PLY62544.1 pep primary_assembly:Lsat_Salinas_v7:4:355718060:355720016:1 gene:gene-LSAT_4X175400 transcript:rna-gnl|WGS:NBSK|LSAT_4X175400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKKDVSFKLLRSAVHRVNAASPSRCHQAQRATTNATTTIVSQAPQHLTAAVAGKDGDNIFNFDDTKTLFASVPTGKLLHSAATLNVAAVEPMVDLSLWVMNSKLMDVPLFKKVVMGTIKYTAYDHFVAGGDTVETGKTVRRLWNSGVRGMLDYGVEHAVDNESCDKAAQHLIKTAESTQSLPPSSVGFVAVKVTALCPVYLLKRLSDLLRWEHKNSSFKLPWKQQTLPIFSETSPFYHTLQQPAPLNGEEEDDLELAQKRLMRVCEKAFEGNVRIVIDAEDTAIQPAIDYLTYWAAIKYNESQRPMVYGTIQAFMKDAGERITMTKIAADKMNLPAGFKIVRGAYMSSERELANSLGVESPIHDTIENTHECYNRCAAFMLDQISKRPGGLILATHNLNSASLAAKKAREYAIGKDSGKLEFASLYGMADAMTFALRNAGFPVSKYLPFGPVDQIMPYLLRRAEENKGMLSSSALDRKLMMKELKRRMKAYFTEKETQYKARANSMAN >cds-PLY85639.1 pep primary_assembly:Lsat_Salinas_v7:3:88779882:88784332:-1 gene:gene-LSAT_3X67481 transcript:rna-gnl|WGS:NBSK|LSAT_3X67481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGERFLSVTPSDRALEQAIVALKKGAYILKYGRRGKPKLCPFRLSTDERTLMWFCGNEEKKLQLSSVTSIVRGHGTRKLQPERECHSFSLIYMNNQAQCSLDLICKDKMQADSWFLGLKALISRCEDFRHPENQRVAQSCINSPSSFIRRKYNLGISKETTKMSQVRSVCASPVPSMISDPCFSDGLSLSSDSFYSRSSLSSTQNFPEGLAPYSPCMKTEEPKKNPKTITRFGAPVVLPREQSDPQRTKLNDVLIWGEGVENGVLGGGGDNMVNTVNGHRNQSQIDALLPKVLDSVSMLDVEKISLAGKHGVLVTKQGEVFCWGEGQSGRLGHSLSCPKEVESLHSLGSRVKSVSCSEYQTSALTFSGELYTWGDNTSGQGQSSRWLPRRISGVLDGITISKVACGEWHTAIVSTSGQLFTFGDGTFGVLGHGNYQSLTEPKQVDSLAGLRVKSVACGPWHTAAVVALITGPPKSSSPAGKMFTWGDVDKGRLGHGDHNSKLKPTCVVSLIDHDFVQVSCGRMLTVGLTSTGAVFTIGSSVHGQLGNPMARENSITLVQGKLKFEFVREIATGSYHVAVLTSKGSVYTWGKGANGQLGLGDTEDRSSPTLVEALRNRQVESITCGSGSTAAVCVHEPITCGLEQSGCRGCSTEFGFMKKRHNCYNCGLLFCSVCTSNKSKNACLAPNENKSFRVCDSCFKGLERSSLNSGQIVKIEDLTPRPLLIKTFSEETDDQYTGTPYKTGLDLNSCSSLMNQTPRWGQVSSPASFRKHCKEESSSSVDSRIPGRVNKNPQSVEKTTKRNGAKEVIKALTSRLHLMSPRAFMRKPTKAHVDTPQTSVTSVPCDIEVKDLIDPCESARVPTMHNDACVLGGDLRPSDEPVVTPIVSGQVNKVKQKHEWMEQYQPGVYITFIMLTTGQKGIKRVRFRYFSLVSDTTVRLALALGSAFLLRK >cds-PLY77221.1 pep primary_assembly:Lsat_Salinas_v7:3:245726788:245727407:-1 gene:gene-LSAT_3X136181 transcript:rna-gnl|WGS:NBSK|LSAT_3X136181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKPKNNSLVLELTIISAESLSLTKTRPVKKNVFVIIKTDSNNSQTTTMDTENGSYPSWNQKFLTEMSMHAKFFTLEVHCNNFSGDRVVGSARVPVSDFSNGYLPSDYLHFLSYRLRDRYGERNGIINLSVKVKSSSNIANNSISSIPTTYSLKTNGWNYGATMGQNVTHGVAIGVPIRNRY >cds-PLY63524.1 pep primary_assembly:Lsat_Salinas_v7:9:25019511:25020229:1 gene:gene-LSAT_9X22461 transcript:rna-gnl|WGS:NBSK|LSAT_9X22461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEEKKAVAEKAPAEKKPKAGKKLPKEAGAAAGDKKKKRSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >cds-PLY85368.1 pep primary_assembly:Lsat_Salinas_v7:5:243322637:243323218:1 gene:gene-LSAT_5X120221 transcript:rna-gnl|WGS:NBSK|LSAT_5X120221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKISMIFNIFLVLLVITGMELLMAQEFCTTTHFLPICEKYACIHVCLKLYGHHSFGECKNPVMCRCYHQCNIIGKDGIRQSNENSPLPSPHIKNRIV >cds-PLY79203.1 pep primary_assembly:Lsat_Salinas_v7:5:125902332:125918697:1 gene:gene-LSAT_5X54661 transcript:rna-gnl|WGS:NBSK|LSAT_5X54661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVPKMFEHLRIPLEAIRSATNDFAKDYCIGEGGLGKVYKGELILFKGPTKVALKRLDRIALQRNREFWMEIMMLSQYRHENIVSFLGFCDEKDEKILVYEYAFNNSLDLHLDSKDLTWVQRLSICIGAARGLEYLHDPAGTHQRILHRDVKSANILLDENWSASIADLGLSRFHQANISISILYNNPVGTMGYCDPSYMETGMLTKKSDVYSFGVVLFEVLCGRLCIQNNDRRGESLIHLVKKHYKQNKLNKIIWVNIKDEINPDSLTAFAAIAYQCLRSNPEKRPSMNDVVKNLEDARTYQIYTEGTKISLRDIKLATDNFATNKCIGEGGFAKVYIGELVQSEGYIMTVAIKRLNPTNEAGNRGFENERNLSRYRNGNIVNLLGYCNDDNEEILVYEYAAKRSLDFYINSNDLRWVRRLKICIGAASGLVYLHNPEDYQKSVWHLDIKSGNILLDENWNAKVADFGLSKFIVAKQENTSPISGAVGTPGYCDPVYIETGSPAKESDIYSFGVVLFELLCGRLNTPNKYEHRSLAELIRNCYEKNDLSGIIFGNIKDEISPSSLREFVTIAYQCLKRDREERPSMKKILTALETALERQVLPLHLTPPPNPSSKSRNEYQRSLKPLIWETTRATLGTLWDVSLEHGNQSWDPEIDITELESLFCKYKDRAHLGSEKPKPENCCPVHSDRANSCKIMLQDIKLPIADITNAILALDSSALSADQVYDLTELCPTNEEMEMITNHTREKETLGECEQFFLECARIPRMDSKLQVFAFAITFTRRVKNFRDTLNIIKDATKEIMESTKLAKIMRIILKLGNKLNAGIAQGSAKGFKLGSLKRLDCTWATNKNVTLLHFLCKVVAEQMPELLYFYKDLIHLQDAYWIQLKDLYEEKCAIINSFEKVKQEFDASVSDGSVSAKFRKALRTFLYSADAELPSLIALFDEVDRYAESLVIYFGEDPNHYSWGQVITYLVYFIEMFKKAHNHNNMKATARKKKLETSTKEE >cds-PLY71040.1 pep primary_assembly:Lsat_Salinas_v7:3:160997000:160997467:-1 gene:gene-LSAT_3X101461 transcript:rna-gnl|WGS:NBSK|LSAT_3X101461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGVAGVLGAALLCAIHGATVENTLLEDGDWAITFRAFNPTQAEETYSMVTANRFWAQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPRGNAL >cds-PLY91382.1 pep primary_assembly:Lsat_Salinas_v7:8:47913650:47914722:1 gene:gene-LSAT_8X35700 transcript:rna-gnl|WGS:NBSK|LSAT_8X35700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAGSRETIQPQIWLQDERELKRQRRKQSNRESARRSRLRKQSFQIPVSILGIFFKNMNILEGILRWESLMCSVKNIIANGSKEVSLAAVGCLQMGLT >cds-PLY75809.1 pep primary_assembly:Lsat_Salinas_v7:3:67995078:68000454:1 gene:gene-LSAT_3X52301 transcript:rna-gnl|WGS:NBSK|LSAT_3X52301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPYGSQKEAYKNAYTLAYLTAEQALVDYVLLITDLKRNLPAEASPVILFGGSYGGMKKKRIRRDRSKNRLNWLAVIAEEKEEETKLRTEIVSLILE >cds-PLY80481.1 pep primary_assembly:Lsat_Salinas_v7:2:136412435:136417205:-1 gene:gene-LSAT_2X67600 transcript:rna-gnl|WGS:NBSK|LSAT_2X67600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACTIVITY OF BC1 COMPLEX KINASE 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G64940) UniProtKB/Swiss-Prot;Acc:Q93Y08] MAASCFSASTSPELGFLRPDTCANKLRFSISRRNALRKFNRSSTTVSIRGRIRAVGRDEVVVVEKEDGKIDLGGNGSYKYVNGKSSNGSVVGENGSLMKYVNGNGNGSANGGVAMKIGEKVGEKRDEKKKKTIEEIGQEDAWFRKKNGAGKVEVSVAPGGRWNRFKTYSTIQRTCEIWGFVLTFVFKAWFNNQKFAYRGGMTEQKKAQRRKTLAKWLKESILRLGPTFIKIGQQFSTRVDILAQEYVDQLSELQDQVPPFPSETAVSIIEEELGSPVNEVFDYFDFEPIAAASLGQVHRARLKGQELVVKVQRPGLKDLFDIDLKNLRVIAENLQKLDPKSDGAKRDWVAIYDECANVLYQEIDYTKEAANAELFASNFKDLDYVKVPSIYWEYTTPQVLTMEYVPGIKINRIQALDQLGVDRQKLSRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLEVFYGVYEKDPNKVLQAMVQMGVLVPTGDMTAVRRTALFFLNSFEERLVAQRKERELATQELGFKQQLSKEEKIEKKKQRLAAIGEDLLAIAADQPFRFPATFTFVLIAFSVLDGIGKGLDPRFDITEIAKPYALELLRFREAGVEVVIKDFKNRWERQSQAFYNLFRQADRVEKLAQVIERLEQGDLKLRVRALESERSFQRVAAVQKTIINAVAAGSLVNLATILHMNSIRGPATISYIFCAIFGFQVLFGLLKVKKLDQREKLITGTA >cds-PLY85331.1 pep primary_assembly:Lsat_Salinas_v7:8:277756683:277758378:1 gene:gene-LSAT_8X158260 transcript:rna-gnl|WGS:NBSK|LSAT_8X158260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATPFSLSSSIDHHHHHHHHSLRAHRTLRHQPPHSKTHIINPIFTHPSPKIFPKSTHLILTCSSHSSSSPTLQENPLPTGKFLSNHDLEKLKFLENFKIFHKLPSGSMLLIRVMQEQEMDMTVELLAESFAESMVLPKAYVKLLGFLVKQYLVERRALMPHAVTLIAFHRRDTDEEKGEIEDIQLAGTVEVSFDKLGANLSPPSPTPPKNAPYICNMTVKKSLRRQGIGWHLLKASEELISQMSLVREVYLHCRMIDSAPFHMYSKAGYTIFKTDSILILLTLQRRKHLMCKQLPPPSNIVSETNMSYDDDKNLAQMDA >cds-PLY93436.1 pep primary_assembly:Lsat_Salinas_v7:9:58206756:58208211:-1 gene:gene-LSAT_9X51341 transcript:rna-gnl|WGS:NBSK|LSAT_9X51341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNTRAKLQKIHNTTKLCFSEAAMADSDHLATQSGALIGSNDDLLIQILLRLPVTSVLRFRSVSKHWQSLLSHQHFTLLYNKVSISPGLFVSNLYIPFDVQNQSPPPFRNLDFYPDICGIRIVQSCNGLLLCCSKRGHERVRKYYVFNPTTKQFALIPSVPGGMDVRKTIRFMGLAFHQTGCVHYKVVCIHVVKPDGLFKIQIYSSDTGKWKISDQSFYAPYYTPLRYGVFLNQTIYWAPSCVNPLYFKLDTQELKSLPLPSVEVYGDGGMPLYFGESRGHLHLVERADWGETHLHLNVYELLNDHSGWFLKYAVELDELLNAYPEMINSYQDPSSPRYYEFELFDVVRGEEEDETFMVIKIPGKIIRYNVVYKSFKQIFDLSHLLDISYGRIGHSDVHRYIENLASF >cds-PLY95911.1 pep primary_assembly:Lsat_Salinas_v7:6:105844403:105855869:-1 gene:gene-LSAT_6X68181 transcript:rna-gnl|WGS:NBSK|LSAT_6X68181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSQPNNLYETASQPDTGNDDAYTFLEFNTQGEEDFNYPEFQELSQPNAVRSSPSSSVWPTPSDSISVDTSAAVDHRSDSNASPGSETSGKGGGGRGNNNVNQASAVDALAAGMSGLNFEETGDDESYEYGKGDFMEHACKYCGVQNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARHISAQQINKVEELWKTNPDATLEDLEKPGVDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNLTIRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQSVGHVIKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEFQMVRNALPRRFGAPGLPELNASQVFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQSTEPECLIPLVLGAKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVMLSVKPIRLQVQYRMHPSLSEFPSNSFYEGTLQNGVTINERQSPGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFFGGGPGISANDNFGSAAAAASGNPGGDGRNSRSRGVTGPYMGGGGAGNGSHKGSLHPAAYPMPRVPLPPYHGGPQPYAIPTRGGGVHGPVGAVPHVPQPGSRGFTAGRGNAGGPIGSHLSHQHQQQQQPIGGSNFNNFPSLENPTSQSSVAGGPLSQPGYVSNMTQGPAQTFRDGFSVGGMSQDFLGDDFKSQGSHVAYNVAEFSTQASQGGYTVDYGNPATQGGFPGSYLNQNSQAGYSRFGTGNDFMSQDYMGMGGHGSQGLFTQVGFTDPSQDENHFGVAPTNSLQTQNMMNPLYSQPFGHYNSQPVNMQQPPPPPQGQGQGHYNG >cds-PLY62893.1 pep primary_assembly:Lsat_Salinas_v7:4:326818312:326820845:-1 gene:gene-LSAT_4X162920 transcript:rna-gnl|WGS:NBSK|LSAT_4X162920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTFTFQLFSFLLLSTTATAQPYKATEILLLNCGSSSSAITSGRRWDGDENSKFVPSNIGTTSFSSQPSVQHPSVDQIPYATARIFNSFSFTYMFPVSQGPKFLRLYFYPATYYGLKADESFFSVSSNGYSLLTNFSASLTSSFLSKPSFVKEYIIYVKDTQILNVTFTPSPNSFAFINGIEIVSMPEKLYFKNKKIRFVGTYLGPVIDDYTGLENMYRLNVGGAQISGNDDTGMYRSWDPDGSYLFHSLMMGLTPVSQIPITYTTDTPNYTAPKLIYQTQRSMGNHSDQYIRTWRLPVDSGFWYMLRLHFCNLIPKYTIKGQMIFKLFINNRTAEDEVDVFFWTPGTGYPVFKDYVVFMNGIDGYGSKRDLWLSMLPNDQSQEYRDAYLNGLEIFKLNMTGNLSSPNPISSFTTPPEKPTSPIKLKKKTAPYVVIIGGVGGGIVWFSVLVLIVLWWRSGAVDKSWWGATSTESNSTNLPSDRCRCFTLKEVKLATDEFNENCVIGNGGFGKVYKGYIDNAKTIVAIKRLNQSSSQGVHEFRTEIAMLSKLRYLHLVTLIGYCDDNGEMVLVYEYMTHGTLREHLYNTNNPPLSWKTRLHICIGAAKGLHYLHTSGKRMIIHRDVKSTNILLDEKWVAKVSDFGLSKLGSKDPSQTHVSTLVKGSIGYIDPEYCKTKHLTDKSDVYSFGVVLFEVLCARPVILQHLSGDQVSLASWGKSCYRRGSLNEIIDPKLNGEIAHRSLMKFGEVANGCLHEEGSERPTMEDVVWGLEFALKLQEAADEAMPEN >cds-PLY93279.1 pep primary_assembly:Lsat_Salinas_v7:4:302936818:302938219:-1 gene:gene-LSAT_4X153581 transcript:rna-gnl|WGS:NBSK|LSAT_4X153581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWQPEEDALLRAYVNQYGPREWNLISQRMSKPLDRDPKSCLERWKNYLKPGIKKGSLTPQEQTLVISLQAKYGNKWKKIASEVPGRTAKRLGKWWEVFKEKQIKQQIQNNKKSGSSTSNPPPPPPSVAVVSGCCGSPEKAIQGTYDHILETFAEKYVQPYLKPAPVVMPNLNSPILSLGSGSNPTPDPNVTAPMLPPWMNNNNTTSCLTSSSSSKSTTPSPSVSLTLSPSEPVVLDPVHSDHPLNTRFFPVQQVGTLVQCCKEVEEAKQNWVQHKKEATWRLSRLEQQLEAEKSRKRREKMEEIEAKIRCLREEETAALGRMESEYREQLNALQRDAEGKEVKLMESWSNKQMKLSKLVEQINGGLIQHQHMISGTNHHHGLS >cds-PLY94367.1 pep primary_assembly:Lsat_Salinas_v7:6:9078696:9081645:-1 gene:gene-LSAT_6X6520 transcript:rna-gnl|WGS:NBSK|LSAT_6X6520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQDLELDFEKYCVVDASPKTVLPSPHHHHSNLEKRKVRKKPKPKFRNEVSSSLSQNKEFTEITFNRYRSASCRTAPSIRLQVDEEAPRRGSVYQSSIESSKMNRLSEGRKKIEVSRKSDIPFSSEIIVDSLCGSDEDTNNNSVNSVNKENLSISIPLHKSLSSRLSIPQSPTKSETDSSSSSKTRFSPFRKMFDPFTKSKSHKIEEPNEATSSRKTTLRKSLLNDFSNTDEGKKKDSCSSILSSSSSFSSSAAHLSGILKVRNKNGMPYFEFSVKNPHDVWVAKTWNMGDGFNWVYTFHMAQNRRSSNVSGHGSSKDHHRNGSKEFLMMAQMQVSCYLCTELINPGAFNNSMLTEFVLYDLTRPKETQIDQERVNNINSSNSNPWPVKDLHPDLETAAIVLQFPLKHKEGDMRNHDVVETSTPTRVNVVIPSGNHGLPLPGGEGEARGGASRLLDRWRMGGGCDCGGWDMGCPLVVFGNHHVKTDVEIFFKGSKEKMPGLTMKLTEEGQYVVDFHAQLSSLQAFSICVGMLHGTEASIVACGGDVKRKILKCDSLRVFIEEEVKHLMEAVAEGQKGKSSKNVDEIPPTFVVNPPFSPMSRA >cds-PLY62420.1 pep primary_assembly:Lsat_Salinas_v7:5:36043744:36044127:1 gene:gene-LSAT_5X16461 transcript:rna-gnl|WGS:NBSK|LSAT_5X16461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNKTECTGFLARTTIKPETKARLDKTESIIVRRPSLKWNFHAGARTSMKVDLLQPARLFVFHFLLPSPSFLALSYLRNLKSLSLLQLVPVRLFGMGQAAHIHEEKNREGVILLKLGKFTTGNWEGL >cds-PLY62361.1 pep primary_assembly:Lsat_Salinas_v7:8:113315025:113316725:-1 gene:gene-LSAT_8X77080 transcript:rna-gnl|WGS:NBSK|LSAT_8X77080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSIVKSEEEWRAVLSPEQFRILRNKGTEPKGTGEYDKFFVDGTYTCAGCGTPLYKSTTKFNSGCGWPAFYEGLPGAIMRTPDPDGRRIEITCTACGGHLGHVFKGEGFKTPTDERHCVNSISIKFASES >cds-PLY62632.1 pep primary_assembly:Lsat_Salinas_v7:3:37258744:37259145:1 gene:gene-LSAT_3X27700 transcript:rna-gnl|WGS:NBSK|LSAT_3X27700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSVHCNLAYLSLLAMLIISEMSSSMGVETKSCRYSSKTYKGLCFYSRNCDIICKAEGAPGGGHCQILTLRCFCNVCDPD >cds-PLY97439.1 pep primary_assembly:Lsat_Salinas_v7:4:16866152:16867188:1 gene:gene-LSAT_4X10281 transcript:rna-gnl|WGS:NBSK|LSAT_4X10281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMITLQNIMPVDLAIKRELEYRKKMEISRNQHQNNLKPLVASQVRGLEGQRMVDRKRKVQPSNARFICVVCDMAFASVFHLKMHGETYAHRSNLCMARNGGENVSNPFLCEVCDILCSSGKVMKLHAAGVKHKGYLQEFEDARRARFYGNFGSYQ >cds-PLY95073.1 pep primary_assembly:Lsat_Salinas_v7:5:227555610:227558297:1 gene:gene-LSAT_5X107300 transcript:rna-gnl|WGS:NBSK|LSAT_5X107300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAQPSRKRPLSDNDDGGDADEVNRKPPQKKVRFPKGKKVKPGDEVIAAINVPQVAEDEPTELKDTQLVAKARSKRRNQDKIGLNNDETGDLLDVVAAAEMHYKDNETLEDDGVQLEPFNLEQERKEGFFDKTGNYVEYVTNDIKDAWLDSIDAEPNLAKIHSMDTDDVVEAADLSSQDIGNIKRRIADVLQPGETVLRALRRLKGSSNRKEKMSAETKVVFDQLTEDAMKLMEDGDYNVYDEKQEVFQREAEGFEKLARARGEGTSSSSGNKQPNSSGMSDSGLNGIPSADNTTNNEDDSFDMFAEDDDKSTVDPSAGIGSTENDYVFDESSGYYYSSSLGYYYDPSSGLYCSAASGQWCSYNQETGAYEELPSGEGNGNGIAT >cds-PLY70613.1 pep primary_assembly:Lsat_Salinas_v7:1:88008656:88010912:-1 gene:gene-LSAT_1X75900 transcript:rna-gnl|WGS:NBSK|LSAT_1X75900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTELQLKQDGEAYAYLLNVLAPKHCGPTTLNTKGLVSSFFELFIIEYSGSAWDELKHIRQAIAFLVIHQKPKKTLEEISHDLCLVMTSMGHKASPQMDLQYMLVVLSVMLVTYLNVLVENFLLEALLGRLQKIGSLHTVVSDKEYGIITNCLVMTLGEQPNLPPPFRDINSDNSDTIRLLADKVKMTSQVFL >cds-PLY96409.1 pep primary_assembly:Lsat_Salinas_v7:2:88399444:88399725:1 gene:gene-LSAT_2X37740 transcript:rna-gnl|WGS:NBSK|LSAT_2X37740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIEPAVLATHDGVGNAPDSSSDYSALTIHINSNNISSNRCDDDNDEEEDVCRICRNSRDIGNPLRYLCACIGSIKFVHQEFLLQWLNHSNAR >cds-PLY67795.1 pep primary_assembly:Lsat_Salinas_v7:9:165691039:165691946:1 gene:gene-LSAT_9X103061 transcript:rna-gnl|WGS:NBSK|LSAT_9X103061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGIPVPRYALVNRDKPYQDLEYFVEEEDFVEVHGQRFWKPFVEKHVYGDDHSIMIYYPSAAGGVAWNCIGTKLASGSVNILYWVWHIEPHGHSKVKDLELKGHTNSVDQLCWDPKHADLIATASGDKNVCLWDVCSGKCSQQAELSGENINITYKPDGTHVAIGNRVHSPFLFFTYQNFL >cds-PLY94014.1 pep primary_assembly:Lsat_Salinas_v7:8:99987658:99987960:-1 gene:gene-LSAT_8X69880 transcript:rna-gnl|WGS:NBSK|LSAT_8X69880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPISTPILTTSTTNPITSTIPNASVNVSDTGATTSMFTTPVSSSLSPIRNDDPKMIFAEDSDGDDLGGFTYSPFQFRTDSEDEASISIGKLKAIHEKLD >cds-PLY97397.1 pep primary_assembly:Lsat_Salinas_v7:4:15772811:15774151:-1 gene:gene-LSAT_4X11020 transcript:rna-gnl|WGS:NBSK|LSAT_4X11020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILGFLVVGFLSIASSVNGDGGWVNAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCFEIKCVNDHQWCLPGSIKVTATNFCPPNSDGGWCNPPNQHFDLSQPIFQHIAQYKAGIVPVAYRRIPCVRRGGIRFQINGHSYFNLVLITNVGGAGDVHSVAIKGSKTGWQQMSRNWGQNWQSNNYLNGQSLSFKVTTSDGKTVVSNNVVPAGWSFGQTFAGAQFR >cds-PLY63580.1 pep primary_assembly:Lsat_Salinas_v7:MU045895.1:17614:17973:-1 gene:gene-LSAT_0X42121 transcript:rna-gnl|WGS:NBSK|LSAT_0X42121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRNIISLALVVIVVLTLLISKQCVNAQTNCQDVNTKLINCEPFMLGYLSKPAPQCCASAHDLVLAAYASRDTLRATCWCLKTAFQSFQVDFSYAEQISKFCNLKANVPLYPSVSCDML >cds-PLY61848.1 pep primary_assembly:Lsat_Salinas_v7:6:60811678:60813543:1 gene:gene-LSAT_6X43581 transcript:rna-gnl|WGS:NBSK|LSAT_6X43581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGVTGREPVLAFSVASPMVPTDTTAKFDLPVDSEHKAKVFKLFSLANPHMRTFHLSWLSFFTTFVSTFAAAPLVPIIRDNINLTKSDIGNAGVASVSGSIFSRLVMGAVCDMLGPRYGCAFLIMLSAPTVFCMALVEDASGYIAVRFMIGFSLATFVSCQYWMSTMFNGKIIGLVNGTAAGWGNMGGGATQLLMPVLFEIIKKAGATPFTAWRIAFFIPGWMNVIMGILVLTLGQDLPDGNLGALQKKGDVAKDKFSKVLWYAVTNYRTWIFVLLYGYSMGVELSIDNVIAEYFYDRFDLKLHLAGIVAATFGMANILARPFGGWTSDFMAKRFGMRGRLWNLWLLQTAGGIFCVCLGLVNSLPLAITFMILFSIGAQAACGATFGIIPFISRRSLGIISGMTGAGGNFGSGLTQLVFFANASFSTAKGLSYMGIMIICCTLPVSLVHFPQWGSMFFPASNDQVKGSEENYYVSEWTEEEKQKGMHQGSIKFAENSRSERGGRVASFPSPPNSTPNHV >cds-PLY62617.1 pep primary_assembly:Lsat_Salinas_v7:8:108063420:108065462:-1 gene:gene-LSAT_8X73101 transcript:rna-gnl|WGS:NBSK|LSAT_8X73101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKLFCTEDEDHFNNTHPGCMSGVFPVLDYQNWHSNVKKILPHRKQAKQQRTNGGILHDQDSFETSQLSSGKRSFIQTDKSNRKHNSHTRSIKDRLKSLVSEDTLKNEHKKRGRMLQRTYSIHHLETDEWVHYANSEEFSEKKPDKNDTNKEIKDYVDILENSRILLDGLQVSLTKAKLTKSGSFPSMLGSRNLRPSKLKHKLNEFYSMPKNKKDTDNWARKLDLSKLESLMIELNDQQDGENMEGDLNNGSVMSIKRICSLNERHPQLFENGDTKEAILCSFRSLKLTNHISNSDFQLTDFCSLPIEEESGQKTQDIKSNSSSQEENHHLEFHISQGLKPLCVSEEGIKSVNTHDQNDEYFNYVREVLEQSGFIKNGFEQTWYSSNQLLNPSVFQGIESQYQCDPESFEEQVIKLSHDLHRCELVDETLLKLYERSFSYYPKALSYSCHLSPAPSGKLVLEEVWKSVSRLLKLNPNKDQSFEYIVWWDLNVGGDWMNLQMESECIALELEDMIFNELLGEVF >cds-PLY62174.1 pep primary_assembly:Lsat_Salinas_v7:2:153666923:153668766:1 gene:gene-LSAT_2X77061 transcript:rna-gnl|WGS:NBSK|LSAT_2X77061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRLFGSLLASSKVSKHPLLVLERSLAAYLVTNKQYSYEPRFFSAQPLSTIPQEFKFSQTHAGDKSVQENRKNGIRVMSIQHVKPDKPTPQELRLYKLSALDQINIPSYVPFIFFYPNNVNGNANINIDNLMVERSKLLRDSLSETLTRFYPFAGKYMDDNNIVCTDEGVHYVETQVDGDLSSFVAKPDYNLLQGLLPSPLNSTEPTRGQYLVMIQVNFFSCGGVAISMYNSHKLIDGRTYSTFLNAWPSAAKGDDPQKMVYPNFVSSSLFLPNTKAASNASCPLSFLAVRPLMLKSGKCSTKRFRFDSSTLQALKAKAAETVSSTRVVAVTSLIWKCATAAARKLNGERPSILQFALNIRGRFTPPIPENAIGNICWTGVANCELKDRLSLETMIGHVKAGIAKVDSSYLEKFKGEHGSDYIVDGMKRLGGQMSSYDADYYSSSSMCNSGLYEADFGWGRPVWSCYGNFNDNIPLYANIIILMDTRKGDGVEAWVTLGQEEMDILENDPDLLLYASVEPSPLQA >cds-PLY80006.1 pep primary_assembly:Lsat_Salinas_v7:9:45292884:45294943:1 gene:gene-LSAT_9X41100 transcript:rna-gnl|WGS:NBSK|LSAT_9X41100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRLSQKSGKQDGGAAGGGRGGGLGLAAVAYISIDYLRHLSPSWHELLQPLLWIVLALIAITRVPFYKHWSAELRSALVFIAALVFMLCTLLYEMISVRSVTAVLGLTWHRNTPPLPDTGQWILLGLNEKLPQVIVDILRARIVGLHHYLMLFVMLAFSVLFGSIEAPGLGIGARYMFTMAIGRFLRAISFASTILPSPRPWCAARRFDVPHHPHHWAQKFYVPYASDPNAINNVIHQDMVYDNGVVGDDEYRPDWGFMSFLADFLRPIPSGEAAWINLLKKAGGGCNDLLYSGHMLVAVLTAMAWTEAYGGFSSVFIWMLVAHSAQREVRERHHYTVDCIVAIYVGILLWKMTGFLWPLKNKSRELRLKKLEKIEGKLYQAAKDSDLDQIRDLLKQVELTSQSKNNQVGKERARAMQFFAGGTIVFALTVVVLAFVLTSDG >cds-PLY66707.1 pep primary_assembly:Lsat_Salinas_v7:6:79895699:79898049:1 gene:gene-LSAT_6X57241 transcript:rna-gnl|WGS:NBSK|LSAT_6X57241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSLFAQCLPGLVPDRVSQSLSTTSDREMHLPSPAVEILPSKMEHPYKYAAESIDLQGVNIFKGKVSVSDMIGSELIQSKADGSFKSWDSSFDLVKVLKHEIRDAQLSFRGKRVLELGCGYGLPGIFACLKGASVVHFQDLNAETIRCTTIPNVLANLQQARDRQSRQPESSLTPSRQTISPTVHFYAGEWEELGTVLSIVEPEGLELPKPTNLSFSEEDFMDACSSQDGSIIGLGHETSSRRSRKLSGSRVWERASETDHREEGGYDVILMTDIPYSATSLKKLYSLIKKCLKPPYGVLFVATKKHFVGSNSAARQLRNLVEEEGVFGTHIIKDLTDREVWKFFLK >cds-PLY97865.1 pep primary_assembly:Lsat_Salinas_v7:2:216834953:216838427:-1 gene:gene-LSAT_2X135460 transcript:rna-gnl|WGS:NBSK|LSAT_2X135460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein BIN4 [Source:Projected from Arabidopsis thaliana (AT5G24630) UniProtKB/Swiss-Prot;Acc:Q9FLU1] MSTSSREYSPDWLRNAQAPTTSILMLSSSSESLPGGSDDDTDDRLPISSVTKKTSKVKSPSKNLSTKRKGLGENEGNKGKGGKSPEKVRTYEPKPKTPVWTLPSDFEYELSEHDPKEEEEEEELKTRLKMEEDMMETQGKQENDGDVKVLERQTTEKQTGSYISSSRLPLVLADKVQRSKALVECEGESIDLSGDLGSVGRVVVSDCPSGNQDVLLDLKGTIYKMTILPSRTFCVVSFGQSEAKIEAIMDDFIQLKPQSNVYEAETMVEGTLEGFSFDSEDEAANRQTGDENKGADAADPQTKTNGKGKGKAEKTSSVSGAAKRKAKTTAAKKPPKKATRKAPAAKKSKAKK >cds-PLY86044.1 pep primary_assembly:Lsat_Salinas_v7:8:296537839:296538907:-1 gene:gene-LSAT_8X164340 transcript:rna-gnl|WGS:NBSK|LSAT_8X164340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIHANKLIYQGKPKLKTSLELIRTSMDLEDHLSEVTLPFLVLHGDADTVTDPKVSQALYEQASSKDKTIKIYPGMWHALTTGEPDENIAIVFADIITWLDTRYDDNHINENQLDDNVVITDDIKLENHASSSVHDGNQ >cds-PLY92688.1 pep primary_assembly:Lsat_Salinas_v7:3:117266086:117266424:-1 gene:gene-LSAT_3X81800 transcript:rna-gnl|WGS:NBSK|LSAT_3X81800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICILIVARRESRVHLLMILIEYIDLEVFQGQGEIFVTGLDQKEIGQGEKKVFLHGGMLMEGNMCRKAQRVVVIMLEKVKQLQKKLVMQGSDREGEMQSHHHGQKILEVSKF >cds-PLY95268.1 pep primary_assembly:Lsat_Salinas_v7:8:136500118:136502395:1 gene:gene-LSAT_8X93601 transcript:rna-gnl|WGS:NBSK|LSAT_8X93601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKTSILVYIALFALLSGFGFCFSGDGSGVSGGLSSGKLTDGEVIFIRQRQLLSYLDEFGDRGENVSVDPTLVFENPRLRNAYIALQAWKQAILSDPQNLTVNWVGSSVCNYTGVFCAPALDNSSERTVAGIDLNHGDIAGYLPEELGLLKDLALFHINSNRFCGTVPKKFRQLKLLYELDLSNNRFAGKFPYVVLKLPVLKFLDLRFNEFEGSVPKELFDKDLDAIFINHNRFVFELPDNFGNSPVSVIVLANNRFHGCLPASIGNMSDTLNEIIMMHNGLRSCVPEEIGLLKEVTVFDVSFNQLMGPLPESLSGLVSVEQLNVANNYLTGSIPKSICSLPRLENFTYSDNFFTGEPPECLRLEEFDDRRNCLPARPAQRSKKQCDMFASKKIHCSAFRLYSPPPPPPSPPAPVYCPPPPPTYCVRSPPPPPPPTASPPPPPLFSPPPPVPYYYNSPPPPHSPPPYYYNSPPPPHSPPPPPPHSPPPPPPSYIYSSPPPPPPVAHSPPPPVHSPPPPVHSPPPPPPSPPPPPPCIELPPPPCIEPPPPPSPTPSPPPPPHKSPPPPAPVYSSPPPPVHHPSPPPPPIIYNSPPPPAPAYEGPLPPVIGVSYASPPPPPFY >cds-PLY78433.1 pep primary_assembly:Lsat_Salinas_v7:2:167674294:167677396:-1 gene:gene-LSAT_2X88840 transcript:rna-gnl|WGS:NBSK|LSAT_2X88840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKTVFFGKKSSKSNLSKDATSEIKVSITGKTPSNNFGGDSMIISSPVRPVIDSSDHTELEKSSSANLITDTPENLTSHMDLTAITGEELIKLEQAATKAQAAFRGYLARRAFWALKGIIRLQALVRGHLVRRQAVATLKCMRAIVEFQALARGRKVRLGQPLQKHPSREFLNKKPSELLQTSIKQEKLSTNVFATKLVASSNTTMPLSFQYEPSEPNSVKNWLERWSKSHFWDPLPQPKKSTESKPKRKQTKLQSEETETGKPKRSVRKVPDNNLSNSSENEKSRRTVRKFSNHQSEAVQEQSINELEKVKRNLRKISLSTSEKSETETEKTEIPLQSPIHETKSKTNGSYVESVVKDPEAVMVIENKAVDDVEVIQIEQPPPPIPMEPLSSMEANNEEPANVEVVNGKEENNGVSVNGKESQKTRRRKSLPAKQEYNHESVSQTTPTLPSYMAATESAKAKLRAQAAAEAAENGGRRQSLPSSGGKPSLPSPRIQKPVVNGKGGSKPSKSQISPRDEKVMQAGWKR >cds-PLY62886.1 pep primary_assembly:Lsat_Salinas_v7:9:131074196:131077398:1 gene:gene-LSAT_9X85240 transcript:rna-gnl|WGS:NBSK|LSAT_9X85240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECFTHNKTPLFFLVLLATLTNVALGQGTRVGFYRTSCPRVEAIVQSAVDAANRANPGVPPGLVRMFFHDCFVNGCDASILITGPGSERTAPPNSLLRGFEIIDAAKTELERVCPGVVSCADILAIAARDSVLLAGGIARWPVPLGRRDGLVSRAADTANLPAFNDAVNVQIRKFSEKGLNTQDLVTLSGAHTIGTAACALFSYRLYNFNNTNGPDPSIDTTFLPTLRNLCPNGGDGTRRVALDTGSENRFDNSYFSNLRNRRGVLESDQLLWSDPTTQRLVQRFLGVRGLLGLTFNVEFARSMVRMGNIEVKTGTQGEIRRKEIKRYQELLLMNLRELKGGAPNDVGGGSIAGGGGGRLVMKALTECCRSEMQWEGERRCRVK >cds-PLY80667.1 pep primary_assembly:Lsat_Salinas_v7:5:245661784:245663072:1 gene:gene-LSAT_5X122361 transcript:rna-gnl|WGS:NBSK|LSAT_5X122361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPYSNPSDAGILCVILVKTAMSISFMKEILRSILNLVGIHFPGWEDVSDQNFTESPECRGTPSTSYMEEFRSRTPTLRYDSLLSSPLTKQECSVCLIEFKPDAEINHLSCGHVFHKSCLEKWLKYWNTTCPLCRNHMMAPLESEENTCPM >cds-PLY97827.1 pep primary_assembly:Lsat_Salinas_v7:5:197053145:197054269:1 gene:gene-LSAT_5X88001 transcript:rna-gnl|WGS:NBSK|LSAT_5X88001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDYLCEELIVNILKRLPPKSLLRFRSLCKKLYSYICSPDFIHIHTFQSQQKLLFRHGSSYKNEEPDFYTFHGEDELPLCLERGYIGMTAVEFPFRRDFCIIGSCNGILCVFDYLEAKCISLWNPSIRRKLTLPDCPHRSFSRVHTGFGFDPITNDYKIVSIHNRSSFVYAMKTGTWCAVASPTPLFHEVLSNACFVNGALHWVVEVEPYFSQSNGIVDICYILTFDLSTHVFGTIALLDTSWETRELTTIQGSLAVISYCMDYREDDDESWIWMRRVDSWSLVFKLKTNQVQGRIYRVLQLTNNGDLLFNIFFEGFHVCKPNIETRSRLVDFSAVSCIDDIVVCVESLQLLDMGTACEVKEKQNLVLDGLEFF >cds-PLY90356.1 pep primary_assembly:Lsat_Salinas_v7:2:199253081:199256381:-1 gene:gene-LSAT_2X120321 transcript:rna-gnl|WGS:NBSK|LSAT_2X120321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIMVIVMFTKYIRMITYGDHVANDEDPIVIRRQILDETNLENVQAPSIWRNPKSDEHYGCIDRLGGETRNRSAPTNGYILVHANGGLNQMKMGISDMVAIAKLMDATLVLPTVDHKSFWTDPSDFKDLFDVKKFTTTLQDDIDIVDSLPPHVAGITPHQKDPISWSMACEILSLLRRQKVVKFLHTDSRLANNGIPDSIQKLRCRTMYEALKSTKEINELARKLVNRLKQNNEHYLALHLRFEKDMLAFTGCTHGLSEKEAGELKALRYGVKHWKVKNIKSMKKRQQGNCPLTPRESAIFLQAMGFPSSTKIYIVAGEIYGEHGLEDFKEKYPNVSTHSTLATEDELKPFKDMHNQLAALDYTLALASDVFVYTYDGNMAKAIRGHRMFKGFEKTIYPDKRNFVKVLDQLDEGRLSWEDFSLQVKKLHANRTSSPQRRVAGVKPKREENFYANPFPGCICDTRYID >cds-PLY98664.1 pep primary_assembly:Lsat_Salinas_v7:5:303962347:303962613:-1 gene:gene-LSAT_5X164341 transcript:rna-gnl|WGS:NBSK|LSAT_5X164341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAVTPPVAASNANPYDGLGDSDHFNASKMVGFLGGFRRMSGDHASEDSLSDPSSFSNQFHNSFGASSYFLSGSFTNYGQVDEFQKNG >cds-PLY93975.1 pep primary_assembly:Lsat_Salinas_v7:8:233988036:233990892:-1 gene:gene-LSAT_8X141821 transcript:rna-gnl|WGS:NBSK|LSAT_8X141821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTTKTDENNPSVTEVDHESDEAAAMERVFSNTEVPSWRNQITFRAIITSFILSIVFNFIVCKLNLTTGIIPSLNVAAGLLGFFILKTWTTLLNKFGLLKHPFTRQENTVIQTCVVASSGIAFSSGTASYLLGMSSMVASQLETGNTPINVKKLSPGWMIGYLFVVSFVGLFSIVPLRRMMIMKLKLTYPSGTATAYLINSFHTPKGAKLAKRQVSVLFKSFSFSFVWAFFQWFFAAGDDCGFASFPTFGLKAYAQRFYFDFSSTYVGVGMICPYMVNISLLIGAIMSWGIMWPLIEAKKGIWYSADLSASSLHGIQGYRVFLAVATMLGDGLFHVVYMAIMMLFTIIKTGSKKVESLPQDENRSQLTFDEQKRNEYFTKDQISTFTALGGYITLAIISIFIIPNFIFPQIKWYHMLVAYIIAPILAFCNAYGCGLTDWSLASNYGKLAILIFSGWAGMGHGGIIAGLAACGVMMNIVSTASDLMQDFKTGYLTLSSPRSMFFSQVIGTAMGCVMSPLVFWFFYRAYSVGDPDGSYPAPYGALYRGIAVLGVEGLGALPHNCLKLSIWFFVGAILVNLLTVVLKRYEKRFGVYRFIPSPMCMAIPFYLGAYFAIDMCIGSLILFVWEMKNKKAAKELAPAVASGMICGDSLWGIPAAVLAMAGVKAPICMKFLSASVNKRVDGFLGS >cds-PLY86271.1 pep primary_assembly:Lsat_Salinas_v7:8:56824788:56828441:1 gene:gene-LSAT_8X42541 transcript:rna-gnl|WGS:NBSK|LSAT_8X42541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator protein 2 [Source:Projected from Arabidopsis thaliana (AT1G49540) UniProtKB/TrEMBL;Acc:F4I1S8] MVQNAAVGVERVFIGGGCNRIVNNVSWGACGLVSFGSQNAVSIFSPQTAKILTTLPGHKASVNCTHWLPSNKFAFKAINWEKHYLLSGDAEGVIILWEFSLSENKWRNVSQLLQSHKKGVTCITAILTSQTEAIFASTSSDCVLNIWKIVLPSFGGECTITCLDSLSTGLKPMVTLSLAELPGNPTHLILAMGGLDNKIHLYCSDSTQKFVRTCELKGHTDWIRSLDFSLPVETNNLLLVSSSQDKGIRIWKLSLCNLDKKKAENSLAYYIKGPVFVSGSFSYQVSLESLLIGHEDWVYSVEWQPPSLSCYQPQSILSASMDKTMMIWQPERTTGIWVNVVTVGELSHSALGFYGGHWSPNGDSILAHGYGGSFHLWKNVGDDIDNWKPQKVPSGHFAAVMDVVWGRGGDYLMSVSHDQTSRIFASWLNEANTWHEIARPQVHGHDINCLTIIKGKGNYKFVSGADEKVARVFEAPLSFLKTLSHATSCLHDFEDLHVDDVQALGANMSALGLSQKPIYAQASSERTTDGIGNEGFDTMETIPDAIPSVLTEPPIEEQLAWHTLWPESHKLYGHGNEIFSLCCDHQGKLVASSCKAQSASVAEIWLWEVGSWKAVGRLQSHTLTVTRMEFSHDDKYLLAVSRDRHLSLFSIDRTGGMDGISYKLVTRQEAHKRIVWACSWNPSPFTHQFATGSRDKTVKIWEVNKSSSTIQLLITLPTFKTSVTSLSWTTPNPVDNNGIIAVGMESGLIELWNLCFTKNQKDSSLVIQFDPFMCHVSSVNRLAWRDVEKKDDCESMELASCGADHCVRIFKVTLV >cds-PLY82755.1 pep primary_assembly:Lsat_Salinas_v7:2:146951951:146954038:-1 gene:gene-LSAT_2X73101 transcript:rna-gnl|WGS:NBSK|LSAT_2X73101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVLDLFIVALIPVLKTLLITALGLLLAIDRVNILGDAARHHLNNVVFYVFIPALVGGSLADTIRPSSIVSLWFMPVNILLTFIIGSALGWMLVKITRTPQHLHGLVIGSCAAGNLGNLLLIIIPAVCEESNSPFGDKQTCSTNGKAFVSLSMAIGSVYIWSYVYNIIRASYGNGSGKDIGKASTISIDCSGKTLDMFMESYNESLLQSRGSSFEDCEAPDEESAEVEEQNSGFKDDEGIKVTMLTKIKKHVDMFIDKINLKMWLTPSTIATIVGLLIGVISPIRKLMVGDNAPLRVIDSSASLLGQATVPAMTLIVGANLFKGLKKSGIGLWLIIGILVVRYVVLPLVGIGVVKAAHHVGFVGSDSLYQFVLLIQYSLPPAMAISTITQLFEVGESECSVIMLWTYIVATFALTWWSAFFMWLVS >cds-PLY76548.1 pep primary_assembly:Lsat_Salinas_v7:8:91115441:91116342:1 gene:gene-LSAT_8X63740 transcript:rna-gnl|WGS:NBSK|LSAT_8X63740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGCALLCEIIFALLLPPLGVCLRYGCCTAEFFVCLLLTLLGYLPGIIYALYAIVLVNPDRDDYRDRYYTLA >cds-PLY91498.1 pep primary_assembly:Lsat_Salinas_v7:7:141000809:141008013:1 gene:gene-LSAT_0X40681 transcript:rna-gnl|WGS:NBSK|LSAT_0X40681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKLSFTLVCFFTLAILHTINAQNSQQDYLDTHNAARAEVGVANIVWNATVAAYAQNYANQRKADCNLVNSGGPYGENLAKGSGTFSGTAAVNLWVAQKAYYDYATNTCAGGHVCGHYTQVVWSNSNQLGCARVQCTNNSWWSKTPNNAQNSQQDYLDTHNAARAEVGVANIIWNATVAAYAKNYANQRKADCNLVNSGGPYGENLAKELIFIVTFIPLKHGVTQLWSKKAIVGQLSSGFWGSCGVRMGSMVAQVVAEHYGVQHTIVTRVVVVVDQWVRSVRDA >cds-PLY92751.1 pep primary_assembly:Lsat_Salinas_v7:8:67042249:67043768:-1 gene:gene-LSAT_8X47521 transcript:rna-gnl|WGS:NBSK|LSAT_8X47521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDDHDELRFVCKLCDKRYPSGKSLGGHMRSHVNSPASAAAVAANSAESDDKFEPMKKLSTLIINGNGIGNGNGNGNGNENGNSSIYGLRENPKKSWRAVGSSSSTLSFPNEKVCKQCGKGFPSLKALCGHMAFHSGKDRNSKDYDYSWTSENLDHDDKSISDSHSDTEENELQDPICVTRSKSKRYKNIVVKPSSFLTNSNNSNYNYGSSSVSEIDEIEQEEVAMSLMMLSKDSANWAGVNSVVESSDNNSVVLETKLNSENRWNGIKKVESDITVEELLRNGDQHKKRSKGVNGLNCRVYSYEEKLEIRRNLFKEFGYNDNFKKRIKEDDDSYKPEESQKKRSKYECMNCNKIFSSFQGLGGHRPCHKKNNSEAQYHNHKAKFDKKMRPKKNKGHECPICFRMFKSGQALGGHKRSHFINGGSGERFDHIAVMEDEGPTYTDMIDLNLPAPEED >cds-PLY81455.1 pep primary_assembly:Lsat_Salinas_v7:5:334516228:334518165:-1 gene:gene-LSAT_5X184081 transcript:rna-gnl|WGS:NBSK|LSAT_5X184081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFLWEKSSSWRWIVRQTRDSKPFFFAFATVCGVVPGAIGYCVMQLTNSSNEQLESELRRNARPDTLMMGKVNKERLGEYLGELQRKEDTNDRYVAALKGETLTRKPYVRIQPTTTTTTPHV >cds-PLY78138.1 pep primary_assembly:Lsat_Salinas_v7:2:62722466:62728283:-1 gene:gene-LSAT_2X28220 transcript:rna-gnl|WGS:NBSK|LSAT_2X28220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLVTIAAEGILKKILSIAAGELAIAWGYEEKLIGLHRTLDLIRAKLLDAEGKKETRAVMVWLKQLKDVVGEADDVLDEVHYEMLRRQINKEDQVERKVPCLPSLKRFSFRNEIGHKIEKINKTLLLIDTRANSLGLQGEHSAAPVPDRLYWRETVPHPEEFKIVGRVEDEEHIIHLLTESRKEEKLTIVPITGMGGMGKTTLAKSVYNNPKIQQRFDVKAWLCVSVKVDINTLLAKIYECFSGRKSMSDLKVNLIKSLEEELATKRYLLVLDDVWVEERQYWEDFRSCMIKVNSRNGSGILVTTRKLDIGTTGMKVDSCLLKGLSDDHCWDIFRERAFVAGTMPSRELEEIGREIVNKCGGLPLLLNLIGGMLANYNDKEKWLAIRNSKVWDLEDETERVQKSLELSFDNLPNSMAKRCFAYCSIFKKDKVMKREELVLLWMALGLVQAHEERNKEMEDVGNDIFQILNNNSLFQDVERDEYGKTTRCSMHDLVHDLSLSLSNHESLNLMDATNDDIACMPQVRHLAFYQEKNNDDEFEGNVSLMFIERNLVARNLHTLFIKCGVKNKFPFQRLKCVRILKLKGCRIWMIDDSIGELVHLRYLDLSNTRIHVLPKSIGKLYHLQTLKLLDCLHLEFPEEMRNLISLRHYESHQSLPANFVGHLTSLRTLMPYFRVLRNEGHGIEELSRLKHLRGKLCIFNLENVRIKEDAVKADLCSKKSLYEIEFSWSENHESASRNDREVLEGLQLPGDVKILQIQNFSSDSFPEWVMKMGIYVDGKWMLLNKLVQIKLIGCRSCLSLPMLENLPHLRDLVLEDMDSLTCLKNSNVTGSTKPLSPSLISLRLERMKKLEKWIDGAPNSSKMISPVLQILNINGCPKITLIDECHPHPLVSLKIRDCTGLVSIKSIHGLTSLESLSIEKCPNLLGIAPFFGDNYPTSVTKMAMDIEGKWIPFDKLISITLIGCTSCLSLPALEHLPHLRDLELWLMDKLTCLRSSDVTGSTKPLSPSLRSLQLRGMKRLEKWIDGAPNSSTMISPVLERLDIDLCPEIIVLDECHPHPLVSLKISHCTGLVSIKNIQRLTSLVSLSIENCPSLLEIDDLSNQCHSLKTVKTDICHKLQSFRNRRFVQPVSNSSFSLPMEIIEELPLPETELDSS >cds-PLY83705.1 pep primary_assembly:Lsat_Salinas_v7:4:44077081:44079144:1 gene:gene-LSAT_4X30460 transcript:rna-gnl|WGS:NBSK|LSAT_4X30460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGDEGEVRDEICNIDDDKSKTISKKKIKPNEEANMGDGETRIILHPSNLCKSEEYLEDNVQGIEQASTTPDGDKKGSSRSARRKKAKRQWKRELTKISQKPDTDTHLEGTNDHPKGHLSSACGRLVISSDGVWDTLSTESALECSRGLAPESAAAQIVKVWK >cds-PLY64158.1 pep primary_assembly:Lsat_Salinas_v7:1:2229812:2236118:1 gene:gene-LSAT_1X1721 transcript:rna-gnl|WGS:NBSK|LSAT_1X1721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMPNPLFNTSDSKSNMVESKTNNSATTTTKNNNANLKHNNSVITNNNIETNNNSAVSNNKIDVNTNSDSKTSVDALAQGYGGGGTLSPHEDAQMSESKHSLNGNKNVASQRGCEVNLTLGGIDINSSGSVVVKVDKKLLTVLFPDGRDGRAFTLKAETIDDLNEWKDALEEALANAPSASPKVGHNGTLKNENIDIVNGSSEQSNDQPLTTSLVLGRPILLALEDIDGTPSFLEKALRYVEDHGLKVEGILRQAADVEDVERRIQEYEHGKVEFTANEDAHVIGDCIKYVLRELPSSPVPASCCNALLDAYRTDRATRVNAIRTAICDTFPEPNRRLLQRILIMMETVADNKTVNRMSVSAVAACMAPLLLRPLLDGECNLDGQFSMGGGDGSAQLLQAAAAANHAQAIIITLLEEYDNIFGEGDMLSDLYSDSEELGSESEELSEDEIYEDDDEDDGEYSSASSNTDKDFIHASTSRQSGDDRDNPQSHNKVNKKASNINKDAQVERLEATKGELKPEVEAEVAKGNSVLKDDLLNKKRAFEVHRLQLEQDVARLEEQVRKELELRKKLETGIKISQQALFSHEKVESDVTSLHKKVSNLEDQYSKPEKLITSINFSLILQNYLHISNLIQQTIARYIQTPFTSILSYLIFLYNTLTIHIFMFVCSNIHTLAKITVTNNTSTPFLQDYLLVNTLIQQKK >cds-PLY94873.1 pep primary_assembly:Lsat_Salinas_v7:2:179809577:179812702:1 gene:gene-LSAT_2X101780 transcript:rna-gnl|WGS:NBSK|LSAT_2X101780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNRCGAPRCLKHGFFGSIWHSLWWSRSPNYIQYEKRRARNQRHHKHNKVTKPVQNTPPHVVIISNEDHKPVKQQSKPTQKNETSNTLNPHHRRRQSSAGLLVDKVLKKSTGNLRDRYSVGSELGHGQFGTTSMCTSKKNGKQFACKSISKRKLVTDDDVEDVRREVEIMHHLSGHPNVVSIEGAYEDGYEVHLVMELCSGGELFDRISKKGHYSERKAADLLKTIASVIEACHSLGVIHRDLKPENFLFVDEDEDSSLKAIDFGLSVFFKPGEIFTDVVGSPYYVAPEVLQRHYGPEIDIWSAGVILYVLLSGVPPFWGETQDDVFLEILQGELDFSFDPWPSISTSAKDLIKKMLVRDRCWRLTAHEILCHPWVSVDGVAPDKPLDPAVLTGLTQFSAMNKLKKMALRVIASKLSEEEIGGLKQMFKMMDVDNSGYITFDELKDGLKRCGADLDESDIHDLIQSADIDNNGTIDYDEFVAATLHFTKVDREDRLFAAFAYFDKDGSGYITHDELQQACKEFGVADIHLEEIMKEVDQNNDGRIDYGEFVAMMHKGTTNLGKNRSRNNFIIGFKEPLAVF >cds-PLY76090.1 pep primary_assembly:Lsat_Salinas_v7:9:31705752:31708684:-1 gene:gene-LSAT_9X27520 transcript:rna-gnl|WGS:NBSK|LSAT_9X27520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAAVIVPLGVFFFVSGLIVNLIQAILFVVVRPFSKNTYRRINRMVAELLWLELVWIVDWWAGVKVQLYTDPETLEMMGKEHALVIANHKSDIDWLIGWVFAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFSEYLFLERSWAKDESTLKFGLERLKDYPLPFWLALFVEGTRFTQAKLLAAQEYASSNGLPVPRNVLIPRTKGFVTSVSHMRSFVPAIYDMTVAIPKTSTPPTMLRLFKGQPSVVHVKVKRYLMKDLPETDEAVAQWCKDLFVAKDELLDKHKSNDSFPDSELHNIGRPVKSLMVAVCWASLLVFGTLKFLQWSNLFSSWKGFLVTGVCLGVVTVLMQIMIQFSQAERSNPSKVAPSRTTNGELDKKK >cds-PLY72680.1 pep primary_assembly:Lsat_Salinas_v7:6:28205893:28206697:-1 gene:gene-LSAT_6X22520 transcript:rna-gnl|WGS:NBSK|LSAT_6X22520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATISSSFLQTLNQPSPISFKPNQISKNRAVSFKIQAAKIPAGVEMPKSQPTFKAPFLGFTKTAEIWNSRACMIGLIGTFIVELILNKGVLEMIGVEIGKGLDIPL >cds-PLY90938.1 pep primary_assembly:Lsat_Salinas_v7:9:171833555:171836072:1 gene:gene-LSAT_9X106021 transcript:rna-gnl|WGS:NBSK|LSAT_9X106021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPISGLREKHKQELDNMTLIAQPFKTLKLFIVAVLQYITRSLVYLLTHVVWLMLFVTLTVAARLLFLSVDGPHGKHVEELLEYARFGLWWVALGVASSIGLASISGDKMDVTEELDASSSENNGVASNLNHMKHWFLSHAQYLKHSSFTKFES >cds-PLY90304.1 pep primary_assembly:Lsat_Salinas_v7:2:198691139:198691891:-1 gene:gene-LSAT_2X119821 transcript:rna-gnl|WGS:NBSK|LSAT_2X119821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRSCKSLNFLEESHSHQCAAVQGSGWVWLAVDIELKRLVVETTSNQDPLVTKGPSLVPLLGIDYKNVRPDYLKNIWKVINWKYASEVYEKECP >cds-PLY72328.1 pep primary_assembly:Lsat_Salinas_v7:4:60708398:60708970:-1 gene:gene-LSAT_4X42000 transcript:rna-gnl|WGS:NBSK|LSAT_4X42000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSEMQQAMRGRKLYGKYYGVGWTGCPGERLCDDLDACCQIHDEYVEKRGMTNVKCHEKFKRCIKKVQKSGKAEFSRDCPVDITVPTIQ >cds-PLY95820.1 pep primary_assembly:Lsat_Salinas_v7:7:177081122:177084120:-1 gene:gene-LSAT_7X104201 transcript:rna-gnl|WGS:NBSK|LSAT_7X104201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNTGEDAEASPMMMEKKKKKKSKNMTNNHPEEIDNALPKEKPHKHKNKKRKSESNEDGGDKAIQEDNGDLQKKRKKDSSKSALIKENNQSQDDDPKPKKEKKKKKKKKKSKANEDGYNGNLEEDNSDLQKKHKKKKRKRDSETQTNDDPKPKKEKKKNRKSKSNEDGDKGNLEQQNEDPKKPKKKKTKKVTFSGEVEIFPSSSNTTTAKRQQKTKPADNDNLVRGKRFTPEEDEIVKQAVENYIISNNLGDDGLKMILNCKSHKGMKRCWQEIGNCIPYRPHTAIYHRAHILFEQSEHRQWTPEEIKFLKESYKKHGNKWKMIAEELGKHRFHVKDTWRRIVKLENLKIGKWSQDEYQNLYDMVNLDLQMKITGQEKKSKHGMLRDNIPWTSISEKLTTRSDSTCCRKWYEQLTSSLVAEKKWSDADDYWLVGKLYEIDAACVEDVEWDELLEHRSGEICRKRWDQMVVCIGNHKSKTFGEQVEILAKRYRPELAETRQIWDDKPLVP >cds-PLY68792.1 pep primary_assembly:Lsat_Salinas_v7:MU044503.1:127491:129654:-1 gene:gene-LSAT_0X17700 transcript:rna-gnl|WGS:NBSK|LSAT_0X17700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEVKVVGPELLGTSLAFPSLEKLHIFDMKGWEAWSTNNNGVLVDTAFPCLQELLIWNCPNLVTVSVEALPSLRVLRITECGHEVLGSLVRVASSITTLVIDKISGLNDQVWGGVIEHLGAVEDIHITRCNEIRYLWKSEAEASKVLVNLSRLHVGSCSNMVSLGEKEEDNCRSNLTSLTSLEVWKCKSLEHCSCPNSLKSLDIRNCNKLLEKELAGARKKPLINSNILMLESVCIINWPNLKSITDLSSFNHLRNLQIENCPNMESFPDHELPELNVLTHLTILNCQSMDASFPIGLWPPKLLVLVIGGLKKPISKWGPQNFPTSLVYLLLVGGGSEDVSNISQLSHLLPSSLTSLYIEEFEKVESVSKGLQHLTSLQHLLIRNCPKTRDLPEMLLHSLLTLTIDGCPNLKERSSKRGSYWPLVSRIPCIRIY >cds-PLY88147.1 pep primary_assembly:Lsat_Salinas_v7:5:221417097:221418386:1 gene:gene-LSAT_5X101681 transcript:rna-gnl|WGS:NBSK|LSAT_5X101681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGLEVKKMLSLKSLRFLHQGVSRYNVELYLSFLRLEGQATDFKIQYNSLVDVFVLPKFNQPHTFVVVTLDPPICKGQTMYPYIVMQFETDYMVESSLMMNEDLYSTKYKDILESSYKKEKLEASKEMMGMKQKVEHVAHLSLSLIIIILVRLECKARMGMNGGKEGMECKARIGMNGRMGRHVWWKGRNGDVRHQVRLFIGVLVYWCIRCAYVAY >cds-PLY85803.1 pep primary_assembly:Lsat_Salinas_v7:7:70321675:70324573:-1 gene:gene-LSAT_7X49601 transcript:rna-gnl|WGS:NBSK|LSAT_7X49601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSGNSFAKEMTIRKRIGNIFNKRVEDFPSLREYNDYLEEVEDMIVNLVEGIDVPVIEAKIAYYQKENAEQIMNAQARKAEEYAAALAASKGQPAQTDVDMATGASSQFGVSTSDGHYVPAVAGGTIPQPRPTQPLPVGSGDDLHAYHMDDEEMMRLKAERGGKAGGWSIELSRKRALEEAFGSIWI >cds-PLY80356.1 pep primary_assembly:Lsat_Salinas_v7:7:154787746:154789366:1 gene:gene-LSAT_7X91800 transcript:rna-gnl|WGS:NBSK|LSAT_7X91800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G59840) UniProtKB/Swiss-Prot;Acc:Q6NQK9] MEAGNLLRAVPLNSHTPSGLLLRSSPQFSRVSANLQGGYKGPRPKRELIADWVSKNDDIVRSIPIYVGGTSLFGVLFNRTISGIAPVADASSSQSRADLLAIGLAVTNILAGLVWLSIRPKSISVVPPRGVECERIHSSIPDLVSSELKWAWESLSGVTCSKSVVIVYDGICVAQIGYASNENEGEAMVVDAHKLMQGSIYQGLMKSGSQSYLANLSLYPGKSELPFLPSNTQAVILQPLGDKGIAIIGGMDQFNRREAGCHAF >cds-PLY78232.1 pep primary_assembly:Lsat_Salinas_v7:6:7612108:7618064:1 gene:gene-LSAT_6X5180 transcript:rna-gnl|WGS:NBSK|LSAT_6X5180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDLWFIATSLIFTEADLVFLLPRFSTLRDLISLDVHHFETLCSDSQHLQAVNRLPWVFKALQTSLSSKANNEGPHLMIHLCTCTGGSTSTNTSSKRRSGTLSCKNCGGRSSINGKSSSSCLLSTVLELTSLINSDFTWTKVSKGCRSSSRRPRRSNTINLTKDSKVLEMPVSESEKLGVSVLGCHFSEKAEHIPIKKRRFLFRPSSPPRNPSLESPKAYNHELKLNPNAACLPESVTGMSERGSNDDKSVKKELVKTKNEPGEDDDFSGISILAAAACSNSLGAEADRSEESGIGIAASVTKSPEALLTTIELKENISKSAKEESDPHISTKDQSAPDKFPLEKSSANESTLDNSSSHSPSKSAIPTSRDVRFNWDLNTVMDDAWEEPYVSEHEVSAHNKVTFFEDKKDDCTKDNSGDHKSRSEGESGIPSKDISNLNIPIEMKSLAHENELKLGKPLSCELDNAQHSVVVESVIPVTKTLTLENPTPDIFSKWATRGGQTSATEAVDTKLSMDCSVPPGFDHYLNLNASKENMVAESAIPVTTQPAMTNTCKPEYEKHDLSLTPAASMENGDLSNKVDESMVKMELTSNDASKGTELPLQTASIPSIHDSEAPLENGIRYDNSQTDNRAGSGYDSQYEDGELRESSINAWKGEENEYVIDNRGDDLNIGIMDSHETNTSPCGQEASPGIKLTETDLTSSVVLPEKLHNTDEVLSGSEPNETVSNQANSERQEIVQNLNQSDEWKMNVSGLDHENQRISLNNFTKTRNFTSRKFSYGEQKDGFDPEDMEMKGEGSRFYRKESITRIGGPSTHDVFLSRGRFRVQGCSSKSGDGLASRPERESGVVRSFGRGGSGSGRYSPHNRGSGRGSGVWNRSPDRNQPFIRTMLEDSGSLDNITNEGSMDQNDSSNRPNTSSYMTRRPFRSRSPMNREANDFRARLGLRPTGDTGHERFNMGRSRGRGRVGQVRYGTRVDGEGPGPGPGPRRYHGPGSDECDEFLPDYPNPFPRRRRCFSPVERRGNVNGNGNHYSDSRSPSRPRTRSPVSNSGFRRRSRSPTFRMRRPRSPNYRRGGFEPEYNSGPRSSNSSPPPPNSRWVNYKERPVVFDRRSPPPQVVERESVRERERERERFGFYERKPKQNEFYRSGQPGRFDPSEAGRGRPRYVGNEGDRPDNGYRRGGFVRQQRYNMDGHVKRFQYDDEDGFGRGFDARDKHALEVHARGNIKSNGTGTDGRFKDFPRRSREDREVVVGEFKRRSRERKDSDTKEEKDQSNLDSMIMMTNEVVVKEGD >cds-PLY98534.1 pep primary_assembly:Lsat_Salinas_v7:1:36878449:36881253:1 gene:gene-LSAT_1X32161 transcript:rna-gnl|WGS:NBSK|LSAT_1X32161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVNISVVSCICEILRIMAPDPPYNDNQLKDFFELTTMTFEKLSSSSGGCYTRMTKVLKIFRKAKFPLLMLDLKIDKLVVQLFIQFLTVADFNPPVVVLEMEMIMSMIMKEGDAPMAELVELLVMSVRNSNQIDLPVCWQLGEKVVKNCVAQLKSHVPDFIRKMGIPLQDYSKMVAQICNGIHFEDEKKTLLISNDPIMSSKSKTGVKGKRKRKCTSSKKKASPLEVSSSESCIICVKGYKVKSNIAPILESIFMKHGDIAAECLFKTASVRESFLEVICEIVTQLQNNDEKTIISKMEEIERQVSEAEAANIHVSWLRSYLEAFRRRNESMEIKAKTWMLKKAAEMEVRERRAELMAAQQRFEKCMKVLDLVEKNLNHNILDSKTEL >cds-PLY80497.1 pep primary_assembly:Lsat_Salinas_v7:2:136923516:136925380:-1 gene:gene-LSAT_2X67140 transcript:rna-gnl|WGS:NBSK|LSAT_2X67140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGMPGLWADDNSEAADHYTTKIGGVPDWPFLHSIIKPDLLKCDSCGEKLCLVAQIYAPISSTTMTTEERVIYIFSCIATACETKRWRALRVQRSSTDKDSNPSSHDSVASPSATKLQDDPWAFNDEEEDDDDEIDLDAICKALNEATTLASASKTKKQNTLSDSIENPSPISLSRSTDDKTPVLPCFYIYPKEENYLEDAAALNKSESKHKIEEKKDDDNDEKESWIGESYEYDRTLHADKTYLKFKKRLDVYPEHCFRYSYGGKPLLASSEAGDPGRCLLCGEIRHYEMQLMPPLLYFLQEASKNQSLENWDWTSVIVYTCSKGCAPSLKQECNGWIVAEEVLVIQTE >cds-PLY78325.1 pep primary_assembly:Lsat_Salinas_v7:2:181409382:181411508:-1 gene:gene-LSAT_2X102720 transcript:rna-gnl|WGS:NBSK|LSAT_2X102720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAGVISSRPKSWLVGSPLAHYALAARHRHIPSDASFPIKLLLSATDCLDRRRFPYHSTATGLNVSASAISASAWHAIIPAGNGRGKHNLRPAGEGSWNVAWDVRPARWLHRPYTAWLLFGICACLAAPPLEFNETTSEPVIADDIKINGNCITTTSENSINYRITGVPADGRCLFRAIAHLVCLRNGEEAPDENRQRELADELRAQVVDELLKRQKEIEWFIEGDFEAYVKTIEKPHVWGGEPELLMASHVLKTTISVFMLERSTGNLLKIATYGKEYEEEEEKKSLIKVLFHGYGHYDILEDI >cds-PLY99053.1 pep primary_assembly:Lsat_Salinas_v7:6:151750063:151751112:-1 gene:gene-LSAT_6X90820 transcript:rna-gnl|WGS:NBSK|LSAT_6X90820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLFLSLFQGVFPARTVPPTSPPELTVKGLSGNDTWKSFSKFMNAGKGANFSGMSELKKYFHRFGYIDVIDNFTDTFDETLEAAVLNYQKKLGLPITGKLDSDTVTQIMSPRCGVSDRDIPNRKHKFHVAKHYAFFAGEPRWRKPAKSETMTLTYAFSDTHMIDYISYSDIQDVFQRSFSRWASTIPVSFNEVDDYRKADIKIAFYQGDHGDGVSFDGVLGVLAHAFSPENGRLHLDKAETWAVDFKSSKSNVAVDLESVATHEIGHILGLAHSSVQEAIMYPSLGPRTKKVDLKIDDVKGIQELYGSNPDFRYTPSMESDISYVTRTRIGNWIKWVISLVLFVGFLLW >cds-PLY66903.1 pep primary_assembly:Lsat_Salinas_v7:7:16927312:16927834:-1 gene:gene-LSAT_7X13760 transcript:rna-gnl|WGS:NBSK|LSAT_7X13760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQNFKSLLMVKLAFFVAITLYGVSASRPLTTSDTVNGVGAWDLEKHYLEELGQYAVAAHNLGSTHQLTFQKVITWDPLTHDHSHKLTIAATDHGVTHTYEAVVAYKPLLQFKKLISFKLC >cds-PLY62045.1 pep primary_assembly:Lsat_Salinas_v7:5:331260468:331261376:1 gene:gene-LSAT_5X185041 transcript:rna-gnl|WGS:NBSK|LSAT_5X185041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGTRRRRQTTLLPDQGSNKRGNGEAILESAQELQDDVLSNGYGTHHSMRGWPAELTFKGVVGTVLR >cds-PLY65342.1 pep primary_assembly:Lsat_Salinas_v7:6:39523249:39524744:1 gene:gene-LSAT_6X30901 transcript:rna-gnl|WGS:NBSK|LSAT_6X30901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSASTLQFLSLASSKTLSSLSKTPSPASLCLFPSSLKPLPKLFSIPTSSKLFEASPSSRIFRSVAVSSGLELDEELSSDGDDEQQQNFSPDLKLFVGNLPFSVDSAALAGLFEGAGNVEMVEVIYDKVSGRSRGFGFVTMSSVEEVERAVQKFNGYELEGRQIRVNSGPPPKREESSFGSPREGGRFGGGGGGSRSFDNTNRVYVGNLAWSVDNLALETLFREQGNVVEAKVVYDRESGRSRGFGFVTYSSADEVNSAIDSLNGVDLDGRNIRVSVAEAPQRRQF >cds-PLY74607.1 pep primary_assembly:Lsat_Salinas_v7:7:40768321:40772442:1 gene:gene-LSAT_7X32301 transcript:rna-gnl|WGS:NBSK|LSAT_7X32301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BREAST CANCER SUSCEPTIBILITY 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G21070) UniProtKB/Swiss-Prot;Acc:Q8RXD4] MEATSHLERMGEELKCPICLSLLKYAVSLTCNHIFCNLCIDKSMKSDSTCPVCKTPYRRREIRPAPHMDTLVNIYKSMEVSSGVNIFVTQTEPQTSGPEDQIENATASRSKTTSKALGNTPTTQNQRKNKGKSLKGSLKKPKRCTSDPVRPSFPIKKRIQVPQHHPQETPTRPANPEGGKPELIKDRTQTNVAGLEDTDHLHENEERIFSPFFWLRNEEDNEETVEPTPLDLFNVSQSNAPCFSDIKDSLEDHSGHGTSKNDVNLDSEMFEWTQRPCSPELCASPVKKQEKESDGHDMVPEKETDNMEVVLPNLSSLQKVDHEVKVKGSKKRAKKTKESIQKKRVKRDTDKKEITTQQPIKRAKKSKNQDSSNRNNTITETNKTIISQFPIKPSLVNCKIKACSSTKQTDKDKVSKKLKTSKKVTFSTNEGDKIKPTDDIPRTSENVTTKKSGTLTEICCAFCHSKEESDITGCMTHYLKGKPITLDHEGAPNAIHAHINCTEWAPNVYFEDDIAVNLEAEFSRSKRIKCSCCGIKGASLGCYEKNCHRSFHFPCAKLTPECRWDKDNFVMLCPLHASHKLPNETCGSQLKQKNKSVPKRQLTNTRLDTHGLFTKVVLCCSALTNIEKETIVEFEKLSGVTILKTWDSRVTHVIASVDENGACRRTLKYLMGIIEGKWILTIEWIKACLEVKKPVDEQLYEINLDVYGFTGGPKLGRFRLLNKEPKLFNGLKFYFTGDFVASYKGYLHDLIIAAGGTVLHRKPVNLNDEKQFLIYSIEIPDKIKLKERNLVLSQRKSDAEALARSSGGVAVSNFWILNSIAASKLQDFD >cds-PLY93570.1 pep primary_assembly:Lsat_Salinas_v7:2:175580027:175582684:-1 gene:gene-LSAT_2X99661 transcript:rna-gnl|WGS:NBSK|LSAT_2X99661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASANAISTASIISSYKQQQGRLRNGNGGVSQFRIAPKMKQSHFRRFSVRAQAYGLAYDESGRAGIQAGLNILADAVALSLGPKGRDVVIVKDGGAPKIVSDGFSIGSSIELPNVNENAGASLIREVASKTKESAGDGTTTASVIAREIIQLGIASVTSGANAVSVKKGIDKTVAALVQELQKKATPVKGHDDIKAIASLSVGNDDIGTTIADAMDKVGLDGELSIESSSSLETTVDVEEGMVIDRGYISIQFVTDLEKLTVEFENARVLVTDKNISSNKDITPLLEKRNQLGGPLLIIAEDVTGEALATLVVNKLRGDLKVAAIKAPGVGERRKALLQDIAIMTGAKYLSTESGLLIENLSVEQLGRAKKITVSKNSTTISADSASKDKIESRISEIKKELSETDSVYDSEELAKRIANLSRKVAIIKVGTAIADQKTLFEDAKNATFAAIKEGIVPGGGAAFVHLSTLVPGIKETLDNADERLGADIIQKAIVAPASLIAQNAGIDGEMAVEKVKEGEWEMGYNAVSDKYENLVEAGVVDPAKVARSAIENSALVAGRVLINHGTLIENIMAMGDVAVV >cds-PLY88546.1 pep primary_assembly:Lsat_Salinas_v7:7:7707891:7710203:1 gene:gene-LSAT_7X7100 transcript:rna-gnl|WGS:NBSK|LSAT_7X7100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKGCVCVTGGTGYLASWMIKRLIEEGYLVNTTVRSNSGSMKDVSYLKALPGASKRLKIFESDLSKPETFEAPIRGCIGVFHVAHPIDFVGKEPEEVITERAIKGSLGILQACIDSKTVKKLVYTSSACAVVLNGKNTNDVLDEDCWSDFEYIRTCHKEFGASYFISKTMTEKATLEFAEKKEFDVVTVIPTYIHGPFVGPHCPNSVRVSMAMIFGETDNYKLLRKTAFVHVDDLARAHIHLFECPNAKGRYICSKIEVTIEELYKLLSTKYPKYNISNIEFLIDAQKMEFPSVSSKKLLGTGFEFKHVPWIWYMDLKGNKLVMENNKWIATVANIPAFHPVEVTINLLSTPSPCSRKLVPIWVFYPVCSWHY >cds-PLY81263.1 pep primary_assembly:Lsat_Salinas_v7:4:306027901:306035227:-1 gene:gene-LSAT_4X155800 transcript:rna-gnl|WGS:NBSK|LSAT_4X155800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRIVAGNEQRETKGRGARSEVRSEGSVLGPQKGRFGKPSEGRVRLVIRRGNGEYHLDLIVGYGAVPVIVPRVTGVNMLLESFEPIHGVLLCEGEDIDPSLYESETTILSPEELDEIRLLHSSDTTIDKEKDIIELSLAKLCLERNIPYLGICRGSQILNVACGGTLYQDIEKEIAKNSPQVKKVSHIDYENYDGHRHLVKIVKNTPLHQWFHDSLEDDKMEIKVNSYHHQGVKRLAQRFKPMAFAPDGLIEGFYDPDSYNPEEGKFIMGLQFHPERMRRLASNASNEFDYPGCPAAYKEFVKAVLAYQKKLNNMLKVENSLKLDKDLEQRRKVIVHSFSLARNIYTTGNNFHPSEESDLKPGAEFLESNTALSLQQEKRLKQMGATVRNSSSYMEKMKLNEEREKLARVMMGKMTVEQLSDLSLFYHMMEKISSEVLDKKLQDSSSLDRSS >cds-PLY92650.1 pep primary_assembly:Lsat_Salinas_v7:2:160316010:160318437:1 gene:gene-LSAT_2X83960 transcript:rna-gnl|WGS:NBSK|LSAT_2X83960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKSEMSILLQKTDDAFSTASFTGATTVMLRVAIRVVITLDFRKPLNAMNIDVLAMPKNGIGLFPDVCFAYIEAKSL >cds-PLY99703.1 pep primary_assembly:Lsat_Salinas_v7:9:56857119:56858938:-1 gene:gene-LSAT_9X48361 transcript:rna-gnl|WGS:NBSK|LSAT_9X48361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76450) UniProtKB/Swiss-Prot;Acc:Q9S720] MALLSSLHSQSLRPHITPSSAVFSHLTRFKKSHDQNTVFCKTNQQDQDLRVSIIVLGSFETSLTIEEQSLKVQRRDLLLHTVFGALSIPAMVPFAYAEEVVPEGFQIYSDDVNKFKITIPQDWQIGGGEGNGFKSVTAFYPSEASNSNVSVVITGLGADFTKLESFGKVDAFAENLVSGLDRSWQKPPGVSAKLIDSKATKGMYYIEYTLTNPGESARHLISVLGIANNGWYNRLYTLTGQYIDDESEKYRSKIEKAVASFKLV >cds-PLY68395.1 pep primary_assembly:Lsat_Salinas_v7:8:23180355:23184865:1 gene:gene-LSAT_8X18261 transcript:rna-gnl|WGS:NBSK|LSAT_8X18261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLYNLTLQQATGIVCAINGSFSGGNKSQEIVVARGKVLELLRPDENGKIQTILSVDVFGAIRSLAQFRLTGAQKDYIVVGSDSGRIVILEYNKEKNVFDKIHQETFGKSGSRRIVPGQYLAIDPKGRAVMIGACEKQKLVYVLNRDTAARLTISSPLEAHKSHTITYSIAGVDCGFDNPIFAAIELDYSEADQDSTGQAANEAQKHLTFYELDLGLNHVSRKWSEQVDNGANMLVTVPGGGDGPSGVLVCAENFVIYKNQGHPDVRAVIPRRADLPAERGVLIVSATMHKQKSMFFFLLQTEYGDVFKVTLDHDNERVTELKIKYFDTIPVSSSMCVMKLGFLFAASEFGNHALYQFQAIGADPDVESSSATLMETDEGFQPVFFKPRGLKNLVRIDQVESLMPIMDMKVVNLFEEETPQIFTLCGRGPRSSLRILRPGLAISEMAVSQLPGVPSAVWTVKKNVNDEFDAYIVVSFANATLVLSIGETVEEVSDSGFLDTTPSLAVSLIGDDSLMQVHPSGIRHIREDGRINEWRTPGKRTIVKVGSNRLQVVIALSGGEIIYFEVDMTGQLMEVEKNEMSGDVACLDIAPVPEGRQRSRFLAVGSYDKTIRILSLDPDDCMQVLSLQSVSSPPESLLFLEVQASIGGEDGADHPASLFLNAGLQSGVLFRTVVDMVTGQLSDARSRFLGLRAPKLFSILVRGRRAMLCLSSRPWLGYVHQGHFLLTPLSYETLEYAASFSSDQCAEGVVAVAGDALRVFTIERLGETFNETSIPLRYTPRKFVFHPKKKLLVTIESDQGAFPAELRESAKKECFEAAGQGQNGKMESENGNDDEDKDDPLSDEQYGYPKAEADKWVSCIRVLDPKSTETTCLLELQDDEAAFSLCTVNFHDKEYGTLLAVGTAKGLQFWPKKSLVAGYIHIYRFVKDGRSLELLHKTQVDGVPLALCQFQGRLLAGIGSILRLYDLGKRRLLRKCENKLFPNTINSIHTYRDRIYVGDIQESFHYCKYRRDENQLYVFADDSVPRWLTAAYHVDFDTMAGADKFGNIYFVRLPQDVSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVVTCLQKASLIPGGVECVMYGTVMGSLGALLAFSSRDDVDFFSHLEMHMRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPTLPLDIQRKIADELDRTPGEIMKKLEEVRNKIV >cds-PLY78652.1 pep primary_assembly:Lsat_Salinas_v7:9:51902284:51902748:-1 gene:gene-LSAT_9X46961 transcript:rna-gnl|WGS:NBSK|LSAT_9X46961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKFGKKGFSQIWAYQMKCLRENYNKKRILIPFKHFKKSLMLPSMLCLFPGFVLYDSTSMWFEGKKIREKRQGELQERMKAFVKI >cds-PLY63294.1 pep primary_assembly:Lsat_Salinas_v7:3:90439504:90449739:-1 gene:gene-LSAT_3X69681 transcript:rna-gnl|WGS:NBSK|LSAT_3X69681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nijmegen breakage syndrome 1 protein [Source:Projected from Arabidopsis thaliana (AT3G02680) UniProtKB/Swiss-Prot;Acc:Q0H8D7] MVWGLFPADPLPGEETYYFFSKGTYKVGRKGCDIIVNKDKGVSRVHAEIIIDAMISIDNIKKKSSKIRIKDCSKYGTFITKPLGSQKEKVHEFPNKETTLDDEDLVSFGTGSATYRFSFISIVFFLCGFDSSRLKQLKEKISSIGASMSNTWSPKCTHVVLDDNASVNGVLIDAIMSKKHFVSYKWIESLADKRIDTKIPICNSDPHTLILQGVSVKIANIESRENCLNGYTFLLESSEKYKVKEKLQPLLETFGAKVIPVEEFIPQSQGVEEDENNHVVHVISVEEGFECTHIITSLPKVSEINLICATLSGHLDPLVFVSPPEHVTSSCSTDETIVADSEPEIEVISVSTSCKSQKLESFEDEKGKISFVHNVESVQHEVETIVHDPIDPIKADEYINIATNDTKDDEITPVNGISDVIFSQDLIIRDTNLPSSLPSPTKNQVLDFKRFKKMETQSGNSFYNLVPFSKNPYKGSEYENEGVAESVKEEKKRKQSEAIADDLFNNAKTSILHQNNTSSSPPSVRSSSLHPRFADDAAPRRPPLETPPPPPCGTRLRPPSPTIGYAEMLKSDLSLKLLSTPTVCEKSCEFDGY >cds-PLY64374.1 pep primary_assembly:Lsat_Salinas_v7:4:24593092:24593397:1 gene:gene-LSAT_4X17580 transcript:rna-gnl|WGS:NBSK|LSAT_4X17580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFSGRRRRSTMYSSKVLLLVFFSVLQIWVLSSDCCKVRAIRILPSSLSKDPDDVKRLELYKKFFNGRFTKIINSTSTISKAKGFQENKRKVPSCPDALHN >cds-PLY63863.1 pep primary_assembly:Lsat_Salinas_v7:1:125068110:125076841:1 gene:gene-LSAT_1X93600 transcript:rna-gnl|WGS:NBSK|LSAT_1X93600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVILSKLPKGSSSSSSLHGYSSSARVHTPSTHGHNSLTSNHRYDVFLSFRGVDTRHSFTDHLHKALIHANINTFLDDEDIETGEDLKPELESAIKASQASIIVLSKNYASSTWCLDELVLILEQRMTSNHIVIPIFYHVEPTHVRKQQSSFGVAMDKYKQTMEAETNANKRSQWAQKMDQWNKALTQVADLKGNDINGRLETVFIEEIVKDIHRRLHVHLRSVRPQLIGMNYHINFVTSWLKDGSSHTADILTIYGIGGIGKTSLAKHVYGLYSHEFHTGSYIEDITRKCDGKFNGLLDLQEQLCYDISKTSSIKVHDVSVYTAKIENALACKFLMISVPLFSWMHYLEAKAFILEAKLFCIATTFVFPCIHVKPTKAGYEEMSDKLVKYCEGHPLALEILEQSLHNRDVAYWEGCLEGLRNEITSPINNVLKMSFDSLPSKNDKELFKHIACFFVGLDKDVTETILEACDINTRSGITNLIDRCLLSIGRNNELKMHQLVQEMGRFEVRQESLDKPWKRSRFWCHKESFKVLKQKKSKENLLGLTIDMCMLEKEKLGVSYELKIDALSNMDNLMLLQLNYVRMDGSYKNFPEEIRWLCMHGFRLKSIPLDLPMMNLVALDMSYSNIESFVDCYNNPQRLEKRQNFDGLFLKEKSLLGSLKILNLSFCKQLRSLGDFDQLPALERLTVRNCISLLEICESIEQCVELVFIDLSYCNKLEKLPRNIGMLKNVKTMLLDRFSPGGSPIQNWARLTKLT >cds-PLY93128.1 pep primary_assembly:Lsat_Salinas_v7:3:55412623:55415048:1 gene:gene-LSAT_3X43240 transcript:rna-gnl|WGS:NBSK|LSAT_3X43240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVSHLFLKTQFNSRFINLIFISSSIGLILYLLFSSFSLLPSTRILLLGGDRFSSSTSLDHIVFGIASNAKSWPKRKEYVKMWWKPDKMRGCVFLDVPFDNKIAPSESDASLLPPVCISDDTSRFRYTWRGGLRSAIRVARVVSETVALNHSNVRWFVFGDDDTVFFPENLVKTLSKYDHDLRYFIGSNSESFVQNTFFSFSMAFGGAGFAISYPLAKTLAKVLDSCLERYPHLYGSDGRISSCLAELGVGITREAGFHQMDMTGNPYGILAAHPMAPLVSLHHTDYMDPMFPNMTSRDAMRHLYKAAELDPHRIIQQTVCYDRWFSWTISVSWGYAVEVFGKHVLLPDVLRIPATFQPWKKGNVLHTLFGFDMRELNKDPCRRPVVFHMNNIFQNGDKTTSIYRLTKQENCTADLGSPRRIEMIKVHAQKLALNSKQLQAPRRQCCDVLPTSRQETLEIGIRECHEDELIRMP >cds-PLY92903.1 pep primary_assembly:Lsat_Salinas_v7:3:181077350:181083802:-1 gene:gene-LSAT_3X108141 transcript:rna-gnl|WGS:NBSK|LSAT_3X108141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKARSSSLNPYATSYIPLSRRGPTTTHETKGYESTYVSPANTTQNHGASVESPDSLKLKNHSGFESYGSSSHSAELAGKQAMDIDHDMNLAYLQMTFPGVSDESLSSVYMANRGDMEATVDMLNQLEMQSDDFSENLPDSLDIGDVSEAGSSSSEGGGGGGSQKMKKVAVGFMLTYQFNEPPLQLSVSSSKIGFPSGSRGLTAYTFIPISKYNTKHEFLVSETPVAIK >cds-PLY72378.1 pep primary_assembly:Lsat_Salinas_v7:5:6542044:6543498:1 gene:gene-LSAT_5X3940 transcript:rna-gnl|WGS:NBSK|LSAT_5X3940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSALAISLNDPAIGMGGLRHRIPVSPGLRCSSSVGSTIRRSSIPCYSRRSKPFACLAVSTDASIKEAVKTDKAPAALGPYSQAIKANNTVFVSGVLGLIPESGKFVSDTVEEQTEQVLKNMGEILKASGANYSSVVKTTIMLADLKDFKKVNEIYAKYFPAPAPARSTYQVAALPLDARIEIECIAVV >cds-PLY81580.1 pep primary_assembly:Lsat_Salinas_v7:1:133501249:133506464:1 gene:gene-LSAT_1X100380 transcript:rna-gnl|WGS:NBSK|LSAT_1X100380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:KOB1 [Source:Projected from Arabidopsis thaliana (AT3G08550) UniProtKB/TrEMBL;Acc:A0A178V9W7] MAGPKTSQTTSSNHHSFASKLILLLTLLPITLAAFAFALQWRGGGVVDVDDPISNWSPQHNSHIFPGMDSSPLATAVDHHSSSDCLSLGQSSSPAFPYYNDWKFQFQSDLKPKICITTSTSAGLEQILPWMFYHKVLGVGTFFLFVEGKAASPSVSKVLESIPGVKVIYRTKDLEEKQANSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMARNAGMDWILHLDTDELMHPAGAGEYSLRKLLRDIPRDVDMVVFPNYESSVERDDIKDPFIEISMFKRNYDHLPKDTYFGMYKESTRGNPNYFLTYGNGKSVARVQDHLRPNGAHRWHNYMKTPKEIKLEEAAVLHYTYAKFSDLTSRRDRCGCKPTKDDVKRCFMLEFDRAAFIVASTATEEEKLNWYREHVVWTDKTINLKLLRKGILTRIYAPSVIIEGLKESGVFTSIIAKAPTTLSRDKFLASIESSNSSRDSGAHSQPPRKIGRVTEHNSQAVVRKMLEAAQFHEAAVPPLSPPGPTTQNNHIVEAR >cds-PLY78505.1 pep primary_assembly:Lsat_Salinas_v7:4:124173162:124176417:1 gene:gene-LSAT_4X78821 transcript:rna-gnl|WGS:NBSK|LSAT_4X78821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGTEGTQQPHLVLANKLFLLTHSDVDDIEKVRLRDEVMSTVVADDMAPLYETLAASSVLELDQKVLDSMRAKIAEELKKLDEKIADAEENLGESEVREAHLAKSLFYIRIGDKEKALEQLKVTEGKTVAVGQRMDLVFYTLQMGFFYMDFDLISRSIDKAKNLFEEGGDWERKNRLKVYEGLYCMSTRNFKKAADLFLDSISTFTTYELFPYDTFIFYTVLASIISLDRVSLKQKVVDAPEILTVIGKIPFLSEFMNSLYECQYKSFFSAFAGITEHIKLDRYLHPHFRFYMREIRTVVYSQFLESYKSVTIEAMAKAFGVTVDFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >cds-PLY66263.1 pep primary_assembly:Lsat_Salinas_v7:3:47972882:47973773:1 gene:gene-LSAT_3X37760 transcript:rna-gnl|WGS:NBSK|LSAT_3X37760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKPERKVTEKIRRNQMKFLYSRLFSLIPPHLISKGGDQVSDRVDRTIEYIQSLKSSLEMSQIRKEQLSSTKKRSHESTNSNKYKSIDIQIHEMSPDLDVVLITGLTTQSDFYDVVRLLDQYSSEVALANFSSSGHSTFHIRHKKIETEEMSQRLMILLQGYSNVKELENDQGFSNELELGNDYASSCDQLESNLNIWDFDFQSNVWGWELEGLPMSITS >cds-PLY63649.1 pep primary_assembly:Lsat_Salinas_v7:4:131377629:131379417:1 gene:gene-LSAT_4X82500 transcript:rna-gnl|WGS:NBSK|LSAT_4X82500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLIHKLLNVVLPILTIFMLLFLYPLVLLFRFFNYFIKFAFPEELAGKVALITGASSGIGEYLAYEYAKRGARLALVARRKEQLEVVAERARELGSPEVIVISADVSKVDDCKRFVDETIHHFGTLNHLVNNAGVCYIQEDQDQRCSLELDYVSLMDINFWGSVYATQFAVPHLRKSKGKIVVISSCAGWFALPKLGIYCASKAAMIRYFETLRVEFGSDIGITIVTPGVVKSEITSDKWLSQANLYWAPMVSTEDCVKTVLDSIRRGDEYLTVPRWMGIFFMWKTLCPEMLKWITRFLFITCSKYYQENKKSCLSDKGHIE >cds-PLY62729.1 pep primary_assembly:Lsat_Salinas_v7:6:56513207:56514436:1 gene:gene-LSAT_6X41140 transcript:rna-gnl|WGS:NBSK|LSAT_6X41140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTKKSSPKEQGKNKKGRLSEKSVSFHGRVPEDMVGKLIRPRTVPNLITGRGAITTEAITPPKLTKLLLNVTVQRSLGPVQVLISPESTVGDLIAAALRQYSKEGRRVIFPSLDPSGFDLHYSQFSLESLSRDEKLNELGSRNFFLCPKQPATTAGSSGGHGEVGVSYVGMTTTSPPSSSTCSTEAEKVTKGGAVWLRFMEFML >cds-PLY76192.1 pep primary_assembly:Lsat_Salinas_v7:4:53223311:53226832:-1 gene:gene-LSAT_4X36501 transcript:rna-gnl|WGS:NBSK|LSAT_4X36501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEWNSRRRTTQTSINCVIFSEVIEFQTYFIRYISVDMKQVNVCCELSTTVVLAICFEVECDRSSDYIILKNMLFRVLIVALHSVKELNRYLAAVAMPKSRKDKLVAQAIRKLKMRAKCLKFR >cds-PLY75423.1 pep primary_assembly:Lsat_Salinas_v7:7:75671798:75672329:-1 gene:gene-LSAT_7X54561 transcript:rna-gnl|WGS:NBSK|LSAT_7X54561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY63711.1 pep primary_assembly:Lsat_Salinas_v7:9:86059263:86062354:-1 gene:gene-LSAT_9X67701 transcript:rna-gnl|WGS:NBSK|LSAT_9X67701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDQVNDEIEANFQITREIESEIVKCSEFERALASSESELMKTDGLIFYPDMSTATHPACGHRSPAAADKFRPPISLQIIVPVKRKLKPGGKRSSELRHSPTSPLTVTVAILRCHLRRIASGKSSPSSPFRSDDPLSSTQPRTKRPNERVSFQFMYL >cds-PLY78699.1 pep primary_assembly:Lsat_Salinas_v7:9:50148928:50151728:-1 gene:gene-LSAT_9X45140 transcript:rna-gnl|WGS:NBSK|LSAT_9X45140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNALLLPPPLSTTNRFLRHRNLYQPLPQSLSSSTFHISHSHLRASPSFSSSPFLSEDDTITQDAVVSLYPHRRYDFSPLLQFLSTYNSDSETSDSNSPTSLDQTELRLAESYRVVPGPLWHSLLKSLTSSSTSSFEIAYALVTWLQKHNLCFSYELLYSILIHALGRSEKLYEAFLLSQKQTMTPITYNALIGACARNDELEKAINLMNRMRREGYQSDFVNYSLIIQSLVRSNKIDSVLLEKLYAEMISDAIELDGQLLNDIVLGFAKSGDVDRAMYFLGVIQGKGMNPRTSTVVSLILQLGNLGRAEEAEAIFEEIKEGGVIPRTRAYNAVLKGYVKNGSLKDAESIVSEMEKNGVSPDDHTYSLLIDAYGNVGRWESARIVLKEMESNNVKPNSLVFSRILASYRDRGEWQKTFKVLKEMQKCGVKPDRQFYNVMIDTFGKYNILDHVMATVERMKVDGIEPDTVTMNTLIDCYCKSGDHKKAENLFDEMQQRGCLPCTTTYNIMINSFGQQEKWVDVKHLLGKMQSEGLLPNVVTYTTLIDVYGKSGRYTDAIECLEVMKSAGLKPSLTMYNALINAYAQRGLSNQAANTFRIMTSDGLKPSNLALNALINAFGEDRRDVEAFAVLQYMKENGVKPDVVTYTTLMKALIRVEKFSEVPRVYEEMVSYGCTPDGKARATLRSALRYMRKTLES >cds-PLY63491.1 pep primary_assembly:Lsat_Salinas_v7:9:168222926:168223811:1 gene:gene-LSAT_9X104740 transcript:rna-gnl|WGS:NBSK|LSAT_9X104740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTAIQQSAFAGQQALKPQNELVRKTGSFNGGRFTMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSKNGVTFGEAVWFKAGSQIFSEGGLDYLGNPNLIHAQSILAIWASQVVLMGLIEGYRVGGGPLGEGLDKIYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHLADPVANNAWAYATNFVPGK >cds-PLY71413.1 pep primary_assembly:Lsat_Salinas_v7:4:239692890:239693099:1 gene:gene-LSAT_4X128440 transcript:rna-gnl|WGS:NBSK|LSAT_4X128440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLTAAWAATDIGKMIWHKRKEEKRLEWPRPCQNMSVGVSTERWLFVGGAVFIGMAIVVTWWFSGVIR >cds-PLY76047.1 pep primary_assembly:Lsat_Salinas_v7:5:319153508:319158281:-1 gene:gene-LSAT_5X174841 transcript:rna-gnl|WGS:NBSK|LSAT_5X174841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDLAMEEDRPFSPTFAAPLSNPDPNSIRAETWVLAEDPVREVLNCIHPTLDSDEKRKDVIEYVQKLIRCNLRLEVFPYGSVPLKTYLPDGDIDLTVLSTPNLDENLPREVLRVLQEEEQNGNTEFILKDTQFIDAEVKLVKCLVQDIVIDISFNQLGGLCTLCFLEQVDRLVGKDHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNASLNSPTEVLYRFLDYYSKFDWDEYCISLDGPVSKSSMPDFSAETPENQGTDVLLTGEFRKNCMDMFIVPSKGLETDQRAFTLKHLNIIDPLKENNNLGRSVHRGNYYRIRSAFRFGAKKLGRILESNSNMNIGDEIKKFFTNTLQRHKPKYGPGFTYGGVKGLGNLSISSLSEAAYYEDDMYSRFSNGDFDDKDYPIPNLAENKVSDLELWMERSESQIVDGDTLCLCFDKNGSVENGSDNLSIGETELLNPFSDLTGDYDAHIRNLLYGQGCHGYALSTSMVRATPTPSPPTSPYEKKNHPWDPPRSVMPFQPNTNGVVMGHPAPQYLSYQPNAALRGTGLYIPVMNSSNSSTKEKRSPTRGGRGGGRSRGPPPSNGQVPRGRGGETKMVVEPNNNNQIRSPRQGGRVDQVNGGIVNQPRKLEFGSFRSIPETPQTPKKLDANATKQGRIGENSFHLKNEDEFPPLSS >cds-PLY97108.1 pep primary_assembly:Lsat_Salinas_v7:4:75068949:75071388:1 gene:gene-LSAT_4X50480 transcript:rna-gnl|WGS:NBSK|LSAT_4X50480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLGTSSAAALLSSPSISSSSSSPKASIRALSFNPGHTQGRRFYGGIGLPSKKGRSHFSISNVATEISPAQEQAQKLSKENQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEITVVAADPFNSDPEDPESMGMEVREKLIKGEQLPTLKVKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDKNPKEFRETYKADQEKLQEQITAARSCLSEVVIDHELRVKISKVCAELNVDGLRGDIVTNRAAKALAALKGRDTVTSEDIAVVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFS >cds-PLY81033.1 pep primary_assembly:Lsat_Salinas_v7:9:175947261:175951618:1 gene:gene-LSAT_9X108380 transcript:rna-gnl|WGS:NBSK|LSAT_9X108380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYCCSTPKNSTHLPKLSGGDGGVRYCDDGFLRGEKIRGSINDTVWANHLRKKLSFQKRNSKTTKPGVAFSVLTSDNGKETMVETLSAPIFERRRVNPKNVAAIILGGGAGTQLFPLTSKTATPAVPVGGCYRLIDIPMSNCINSTINKIFVLTQFNSASLNRHIARTYFGNGVNFGDGFVEVLAATQTPGEAGMNWFQGTADAVRQFTWVFEDAKNKDIEDILILSGDHLYRMDYLNLLQNHIDRDADITVSCVPVGESRAADFGLLKFDNKGQVIQFAEKPKDDELKAMRIDTTLLGLSPEDAKESPYIASMGVYVFKRDILLKLLRWRYPTSNDFGSEILPAAVNEHNVQAYLFRDYWEDIGTIKSFYDANLALTDEVPKFQFYDPKTPFFTSPRFLPPSKIEKSKLKNAIISHGCFLRECNIEHSIVGERSRIDSGVELKDTLMLGADYYQTESEIASLLASGKVPIGVGSNTKIRNCIIDKNAKIGSDVKIMNKDGVQEGDRASEGFYIRSGITIILEKATINDGVVI >cds-PLY82627.1 pep primary_assembly:Lsat_Salinas_v7:3:104128197:104128520:-1 gene:gene-LSAT_3X76121 transcript:rna-gnl|WGS:NBSK|LSAT_3X76121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLPCQLKNQDHAAPTSVMNSTTKSPKPINTNNNQNTLGIQLVNSWSKSKFSVKVNPPD >cds-PLY73490.1 pep primary_assembly:Lsat_Salinas_v7:2:99590347:99591774:1 gene:gene-LSAT_2X43780 transcript:rna-gnl|WGS:NBSK|LSAT_2X43780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNIEEFHKAQRAEGPATILAIATATPPNCVLQSTYPDSYFSVTKSEHMTELKEKFRRMCDKSMVKTRYMYFTDEMLQEKPNICAHMAPSLDERQDISIVEIPKLGAEAATHAIKEWGQPKSKITHVVFCSINGVDMPGADYQLIKLLRLNPSVKRVMLYHQGCFAGGTVLRLAKDLAENNKGARVLVVCSEIVAGTYRGPGEAHLDGLVAMALFGDGAAAVIVGADPIPDVEKPLYEIVSGFQTILPQSEAAIEGHLREVGIIIQLLKDVPGLIAKHIEKSLVEAFQPLGIEDWNSLFWIAHPGGPAILNQLEDKLSLKPEKLRASRQVLSKYGNMSSACVLFILDEMRRSSATDGAKTTGEGLEWGVLFGFGPGLTVDTVVLHSVSI >cds-PLY91629.1 pep primary_assembly:Lsat_Salinas_v7:8:10211573:10213151:-1 gene:gene-LSAT_8X7401 transcript:rna-gnl|WGS:NBSK|LSAT_8X7401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFPMVIILLLLLLPPLLALIIAVRITTKAVDVQQGNQKLPPGPYSWPIIGNLPHMLKGPHIYLTQLARSYGPLCSVKLGTHLFVIGSSPMAATEIMRAHDKLPTYRWVPKAGQDGLQDYSLIWATQCTDHWKLLRSLCRTELFSAKGLDLQSSLREKNVDKMVGFLKSKQGSLVNVRELVFASTVNILGNICFSKDFIDLDDDHKERRGLKRALYRLMKLGTTPNIADFYPRFEDPQGLKRKTSEAMNEAFGAWEHIIKERRATREAQKDTDSSDEQDFLDTMLGSGFSDLQINQLTVELFSGGTHSTASTIEWALAELIKNKEAMFALREELKREIGFTNYIKESQVSHLPYLHACVKETLRLHPPAPLLHPQAILEGCEIMKYTVPKNSQLIINVWAMGRDPNLWEDPLTFKPERFCDSNVDFKGQDFKFLPFGVGKRMCPGYPYAIKQIHLMLASLVQNFDWFLPNNIEDLSKLDMSENFGIISQRKKPLMVIPKCKC >cds-PLY72409.1 pep primary_assembly:Lsat_Salinas_v7:3:188541432:188543132:1 gene:gene-LSAT_3X112500 transcript:rna-gnl|WGS:NBSK|LSAT_3X112500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPPNNIFESEEEFEISPTCSPITLDLTLGFNDMPTPGTETGPTNTPPRVFSCNYCKRKFYSSQALGGHQNAHKRERTMAKRAMRMGMFSERYASLASLPLHGSTFQNLGIETHASLLQGVQAMRGGARFDQSYVGIPVCMADNEVEPFWPGSFRQIDGIGDSSNNVSKHSEITPPPDLTLKL >cds-PLY67820.1 pep primary_assembly:Lsat_Salinas_v7:8:187843031:187843581:-1 gene:gene-LSAT_8X121760 transcript:rna-gnl|WGS:NBSK|LSAT_8X121760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTARKLVKMARKWQKEASRSYCNEKITNKGHFVVYTADHNRFVIPLQYLNTTLFKELLRMSEDEFGLPTDGPITLLCDSTLMSYLINVFERGLSIELEKALLVSTLE >cds-PLY90530.1 pep primary_assembly:Lsat_Salinas_v7:2:43041368:43042383:-1 gene:gene-LSAT_2X20381 transcript:rna-gnl|WGS:NBSK|LSAT_2X20381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPTQKALRNCVKCGGKNGALSSDDMAGQITLENNGKVLDFFPGEVSTDRITAIHEAYRDMASALYEANGIDYTNPEELELLVATLMDLNAMDGKWSVSLLTMCSTSPGAKRRKALANALLVAPSMWTLGNVGMGALQVTSFIFMRKGYLRHLSDGG >cds-PLY98450.1 pep primary_assembly:Lsat_Salinas_v7:1:10108130:10113693:-1 gene:gene-LSAT_1X8340 transcript:rna-gnl|WGS:NBSK|LSAT_1X8340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGICSRRSTQDNIENGSFPHVNGLNYGSGMVYQSQGPQQANEESIPSGETMDNKRTLREPFSFPEMNAAPYAMSDADDGIPRLSRALSHKSRSTKSNMTAATKVSEVSSLLGKAGTAGLGKAVEVLDTLGSSMTSLHVSSGFVSGAVTKGNKITILSFEVANTIVKGANLMQSLSKDNIKHLKEVVLPSEGVQRLISKDMDELLTIAAADKREELKIFSGEVVRFGNRCKDPQWHNLDRYFEKLGSELIPQKQLKEEAETIMEHLKNLVQYTAELYHELHALDRFEQDFRRKQQEEENPSAPPRGDSLAILRTELKSQKKHVRSLQKKSLWSKILEEVMEQLVDIVHFLHMEIHTAFGTADTQKPLISNRQKLGAAGLALHYANIITQIDTLVTRSSSVPPSTRDALYQGLPPTIKSAIRSKIHSFHPKEELTIPEIKAEMEKTLQWIVPFATNTTKAHHGFGWVGEWANTGSEVNRKPSYPTDSLRIETLHHADKQKTEECILELVVWLHHLVCQSKAINGTNTMRSPVKSPIRSPNQKGIQLSTTQTQPSSSSSSSFPPALTSEDREMLRDVSKRKLTPGISKSQEFDIRSSSNRLSKHHRLTKSSSHSPTREEVKRDPFPIKRPSSVPVINFHLDRIKAMDVIDDIPTV >cds-PLY98337.1 pep primary_assembly:Lsat_Salinas_v7:7:170588937:170589275:-1 gene:gene-LSAT_7X100620 transcript:rna-gnl|WGS:NBSK|LSAT_7X100620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGLVDDSNDRFLGNLSFRLPTCREVEDTNEISRIHMKTDAKEGNRRLFVPLPSSTAKKMKGKDPPMLVFVGLRKRNTTTVSGVFWGDVVRKEDEGSLLDGESNDLKKSICS >cds-PLY76892.1 pep primary_assembly:Lsat_Salinas_v7:3:3639781:3640400:1 gene:gene-LSAT_3X1900 transcript:rna-gnl|WGS:NBSK|LSAT_3X1900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSKAFTNSVNMLVNSNGQIVRSEVVDALKMRTYLSIIGGTRTNYKGKSHDLDDIKFHQCVLLARFENDRTISFVLPDGAFDLMTYRLNT >cds-PLY77884.1 pep primary_assembly:Lsat_Salinas_v7:1:25498254:25499988:-1 gene:gene-LSAT_1X21581 transcript:rna-gnl|WGS:NBSK|LSAT_1X21581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCDNITAAVMGMSPEQKQAILRMGFGSILQVNITSYPGQLSYYLLDVYDADSKRLVLQNSVIEITEQTVHDMMGLPFGGEDINELPLCDKGNQILEEWRGQYSGDKFNGEEYLRRIQATTKDNLMFRLNFLTLFVNNFIESMLMGTNQIKVVRKLVLVEDFSKLNWCKYMLDCLGSRKKLWKRDDKSSYYSGPITLLILVYVYNMKYSIKIDKRLPFIGHINGAKLLEVQKLEISLGGFGRQFRDEHDDVDMGDETGAEEQQMLSFKRDFGDEEAYAAVIEHSYGVIVTEKSTMEVALKDGLLKFPHSVVLNEWMEKMNELFKGVFEGAGNKKVHEPACFNEVNMNDVGDGGEGNSSPVRGLILTEVNTEKEDNYTTPVDTTSLTMTQFHRLPGVNEEMIKLLDETELQVYKKKKRMSVISGDNLVGRNIGEAVDNAGGYDDNDKREKRIPKKAKVFHSPYIERIVKVGEKLSKDETWICNSVFASIRDDGSVFIYMFIYVSPIIFL >cds-PLY91352.1 pep primary_assembly:Lsat_Salinas_v7:8:45902475:45904229:-1 gene:gene-LSAT_8X35801 transcript:rna-gnl|WGS:NBSK|LSAT_8X35801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPPNETIFLDADESDISVSKDSSTTSSTLTNHSSVVLDLTLGFNNNEIPKSTGLESGSPTTVSVPAKPRVFSCKYCRRKFYSSQALGGHQNAHKREKMLANRAIKMGLMSSRFTSLASLPLHGFTSRSLGIEAHGSLHQHVVPPQMPIFHAVRGGTRFDQSYCGIPVFVEEDEAELVWPGSFREIDGTPLDSSTLPDLTLKL >cds-PLY81664.1 pep primary_assembly:Lsat_Salinas_v7:2:25117995:25120632:-1 gene:gene-LSAT_2X14501 transcript:rna-gnl|WGS:NBSK|LSAT_2X14501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLHGLYIFQPKCNSHPLLQKAGIYKFTFSIVKVEAPREVHKRPLAKKLPNYNVTVGRCFQPIYVSIFDTYSNQIPFLKVYGLEADIWSAGVILYNLLCEIPPFLGESQNEIFEEVLRGKLDFNFIRFTTYLMLAWYMNAMLDQSNASMVYECYVIGLNGGSSYCSVFIPNPTFFGWRKGSQELSEQGFIGSLKCWRGGLCLSRSIGDRDVGEFIIPVPHVKQVKLSSAGGRLVISSDGVWDALSTESALECSHGLAPESAAAQVE >cds-PLY72716.1 pep primary_assembly:Lsat_Salinas_v7:6:28255609:28256132:1 gene:gene-LSAT_6X22401 transcript:rna-gnl|WGS:NBSK|LSAT_6X22401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTGVVILMLISFFVLVSAYGEPAPNYRNANPPVSHNPPKMVAPPHPTSHMGTRNRLACNASINGYCEGSRDKLYNVGNRPCTNYCQGRRG >cds-PLY90052.1 pep primary_assembly:Lsat_Salinas_v7:8:82078194:82079852:1 gene:gene-LSAT_8X57280 transcript:rna-gnl|WGS:NBSK|LSAT_8X57280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDRKANIIAVTSIIFLIVVIIVARVVLDLKRSFYLIVGAAVAGILAVIAFYFIRRHLHHRRTMMETRLASEGKELRIEYSFLRKVAGLPTKFRYKELEEITDNWKSLIGRGASAFVFKGITKDGTAVAVKRIQHEEERGEKEFRSEIAAIASVQHVNLVRLFGYCIHNNLRFLVYEFIPNGSLANWIFSRPRLTTRGNDIGGGCLSWGLRSAVALDVAKALAYLHHDCRSRVLHLDVKPENILLDENHRAIVSDFGLSKLMTRDQSRVLTTLRGTKGYLAPEWLLELGVTEKSDVFSYGMVLLELIGGRRNVTVIDTGGAGDRSKRKFQYFPKIVTDKLKSGRLMEVVDQRLMDLGGIDEKEVRKMVHVALWCIQEKVRRRPTMLEVVKWLEGRVAVEEPPETQMIVVDLLSIDDEDDEGEGQNGNKRKKPKIIARAASQLNGCLTTSTNSLQSKSFSYSMSIISPR >cds-PLY88850.1 pep primary_assembly:Lsat_Salinas_v7:3:192914552:192916849:1 gene:gene-LSAT_3X115581 transcript:rna-gnl|WGS:NBSK|LSAT_3X115581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKDHKRVHYLTDSHEQECHKEKECYFESPGVEILETSNRKSTRLQMKNKLSSCSQAIFKSHKNTSKYTMKSSSKTILDGNTPISDIKINEKNGVFLTDTASMKSSTVVPSFKYHIGAKDGIQLIVDLNLKRSDWLRSMEKTVCVCQNHLKPKFESFRQEVECLGGKSSSTDKNTLSDASFNSYPQNKFSIKSMSNEIGKSLPSKHHWSKFDQSSEYLENVTFSSEKDSSCSKRGNIYLENEERINSTQGIEVLGEENVSKRKKVYHKSGQIHGQSHERILRSTKLFGGEILESGGLVIRRSFRLHSKAVT >cds-PLY83211.1 pep primary_assembly:Lsat_Salinas_v7:1:49807635:49807901:1 gene:gene-LSAT_1X44340 transcript:rna-gnl|WGS:NBSK|LSAT_1X44340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLANNSLSAQEWIVDCDECDIDEVDPKTVDEALATDVSQAPRDCPRTMELLDEDFESKSESEEQVLEEEEYESDGVQIMEVCGED >cds-PLY77143.1 pep primary_assembly:Lsat_Salinas_v7:7:183850013:183855146:-1 gene:gene-LSAT_7X108660 transcript:rna-gnl|WGS:NBSK|LSAT_7X108660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 32 [Source:Projected from Arabidopsis thaliana (AT5G54910) UniProtKB/Swiss-Prot;Acc:Q9FFT9] MKKPKSKQLRIQSRTSEVQEIELLEKWIEFGKPESGSNPLSLPPLPAKSPIGRIDESTYSQYAGCTKFQQLPLSKNTKDGLRQSGYKNMTNIQRASLPHSLSGRDILGAAKTGSGKTLAFIIPVLEKLYKARWGIEDGVGSIIMSPTRELADQIFGVLKSVGKHHGFSAGLLIGGNEYDEEKDRVNRMNILICTPGRLLKHMDTTPNFDCSQLQVLVLDEADRILDAGFKKEVNAIISQLPKHRQTLLFSATQTKSVKDLARLSLKDPEYVAVDEEAIAATPSRLQQKVMLVPLDQKLDMLWSFIKAHLNSRILVFLSSCKQVRFVYEAFKKLRPGIPLKCLHGRMKQIKRTFILQQFVEQRSVLFSTDVSSRGLDFNKGVDWVVQVDCPDDVAGYIHRVGRTARYDSAGRSVLFLLPSEMKMLDRLQEKKIPVQVDKANTKRLQSVSGLLAALLAKYPDLQPLAQRAFKTYVKSIYKQKDKEVFDVTKLPIDDYSASLGLPMTPQLRYLDRKSIDKKKLPEESTLLPEVPVQKDLIKISSQKESNNLLEESEEDEETLDLLQRKETENDEDLKAIENMLPTTRVLKKKKLKINVHRPVGSRVVFDEEGNTLPPLARLAAMNADSALLDKDKVLKRYAEMKLDMISRDKEDKILDRQRRKEKRLKEKEKHKRARDNDDDVDEDDDGFSGSDKDTHNLKKSKVYFDSDGDDDDVARKDEVASKTDAISLHEQEELALKLLSSMHSS >cds-PLY69621.1 pep primary_assembly:Lsat_Salinas_v7:4:330414899:330417678:1 gene:gene-LSAT_4X163620 transcript:rna-gnl|WGS:NBSK|LSAT_4X163620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICALSPDDVLLQYFCSSIFFSNSTLEPSSIRFPPKLTPSHSKSEDYSSFNISSHYHLQIQIQSIQLSSIGKSSNDPGLINFDHQKDEGVMWLEFKASTTFVTIEAPKEFYFLFPTQRNKATTANKSPKPTSLRAKWS >cds-PLY62925.1 pep primary_assembly:Lsat_Salinas_v7:3:144820727:144823218:-1 gene:gene-LSAT_3X94760 transcript:rna-gnl|WGS:NBSK|LSAT_3X94760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTNGSSSKLIRSFVHRVKSSPTTTLTTPRFRSAQRTTINTTATTIFPTPPPTPPTTTIVPDHSRDAIFDFEDTKGLFSSVTTGKLLRSAANLNLAAVEPVVDMGVWVMKSRLMQVGLFREIVLGVIKHTSYEHFVAGADTEETGRTVKKLWESGLRGMLDYGLEHAIDNESCDINAQEFIKTVESTQSLPPSSVSFVVVKITAICPISLLKRVSDLLRWEYKNSSSTNLPWKLKTLPIFSESSPFYHTLEKPSPLTPEEEHDLELAHQRLVKICNKSIESKVPVVIDAEDTSIQPGIDYFTYSAAVMYNNGEKPLIFGTIQAYLKDAGERLYATKKAADQMGLPVGFKLVRGAYMSSESQLANSLNVESPIHNSIIDTHNCYNDCASFMLDEVSNGPGGLILATHNLESGKLAAQKARNLGIGKDSEKLEFASLYGMAEAMTFGLRNAGFGVSKYLPFGPVDQIMPYLLRRAEENKGLLSSSNLDRQLMMKELKRRMKGYVGQGFEESERSFKSDAGSIVKLN >cds-PLY95953.1 pep primary_assembly:Lsat_Salinas_v7:9:40874942:40877359:1 gene:gene-LSAT_9X36560 transcript:rna-gnl|WGS:NBSK|LSAT_9X36560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVKGNNTPAIGIDLGTTYSCVAVWKHDHIEIIPNEQGNRITPSCVAFVDGERLIGDGAKNQVAMNPANTIFDAKRLIGRRFSDSKVQDNIKLWPFKVIQGSADTPKIVVSYRGEEKEFLAEEISSMILGKMKETAESYLEKPVKNAVVTVPAYFNDLQRQATKDAGTIAGLNVIRMINEPTAAAIAYGLTNKSDITRKINVLVFDLGGGTFDVSILTITEGGTIEVKAVAGDTHLGGEDFDNRMVDHCAQEFKRRWNKDLTGNKKALGRLRCACEKAKRILSCNTQTSIDVDCLHEGIDFSMKFTRAKFEELNMLFFNKCIETLEACLSDAKMPKSCVNEVILVGGSTRIPKVQCMLQDFFDKKKLHKSVNPDEAVAYGAAVLAGKLSGNSHESCQNLLLMDVAPLSVGQEIKEGVFSVVIPRNTPIPTKKSQIYITTKDNQSSTIIKVYQGERARATDNHLMGKYTISLPQAPRGVTRFRDYFEIDVNGILTVTSEIISTGEIQKLTIPTKIGSLTEEQIEEMVKDAEKYKQEDQEFKKKADALNALEDCVYNMKKKIKNMAHGKSLREMENAITDITKLLEQKQAVSIDEIQRMKKHLESLSKHNDMGSTWKKLHYTRNFFKKFLPSQKISP >cds-PLY99721.1 pep primary_assembly:Lsat_Salinas_v7:9:56311143:56314246:-1 gene:gene-LSAT_9X47921 transcript:rna-gnl|WGS:NBSK|LSAT_9X47921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling, Chilling toleranc [Source: Projected from Oryza sativa (Os04g0600800)] MGWGRAIYEGVVVVGSLSLLAWAGLWFLNRRLYKEYEEKRALVQIIFSVVFAFSCNLFELVLFEIIPILSKEARWINWKVDLFCLITLLVFMLPYYHCYLMLCKSGVRKRRAATGAVLFLFAFLYAFWRLGIHFPMPSPDKGFFTIPQLVSRIGVIGVTVMAVLSGFGAVNLPYSYLSLFIREIEEIEIKALERQLMQSIETSIGKKKKIILSQMEMERVQGQEESYKAGSFFKRIVGTVVRSVQDDQKEQDIRGMESEVQALEELSKQLFLEIYELRQAKEAAAYSRTWKGHLQNLLGYACSVYCVYKMIKSLQSVVFKEAGSVDPVTRTISISLQFFDIGIDAALLSQYISLLFIGMLVVISVRGFLNNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFVSSILLIRKSLANEYRVIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQAEKHPID >cds-PLY80572.1 pep primary_assembly:Lsat_Salinas_v7:6:13343628:13345308:-1 gene:gene-LSAT_6X9821 transcript:rna-gnl|WGS:NBSK|LSAT_6X9821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFAMLGAQTYVSQRMKKYSQDVFQTSLLGEKMAVFCGAHGHKFLFTNETKLLTSWWPESMKKALLFPEFVNNPVKEVTSLQRNFIRDILKPEALKRYISEMDCMARSHLENDWAPYREVSIFPLSKKYTFALACKLFMSIEDEKHVEKLADQFTLITNGMFSMPINFPGTAYNGAIKGGKLVRDELMNIIAERKKKIKKNEDINLRGDLLSQMLVMKDENGEFMTDMEISNSIIGLLVASYETTSSMVTFVLKYLAEIPEVYEKVFKEQMSIVKSKAPGELLSWEDIEKMKYSWNMAREALRLIPPAQGSFREVVTDFTYAGYTIPKGWKTFWMVHTTHKNPKYFPDPERFDPSRFEGSGPAPYTFVPFGGGPRMCPGKEYARLEVLVFMHNVVTRFRLEKLMPNEKIIFHSSPTPSNGLPVRLIPHTESVRLSNTLSM >cds-PLY80180.1 pep primary_assembly:Lsat_Salinas_v7:8:190587402:190589132:-1 gene:gene-LSAT_8X124401 transcript:rna-gnl|WGS:NBSK|LSAT_8X124401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIQARLYSDNLAFPFIGDDGGGGNFSQDLMENACGLNDFYLFNLQQQQQYQQYQQSYQPPTKNQDSCFESGFHKPVSMHSQSVAAHIQNQNQEIERFISLQNERLRLALQAYRKQQLLTILKKYESKSEVLLKQKDEEIRRATTRRIELEEFLRRTDIERQKWQMTAMETESMVMNLSNKIEQLRENAKIEVEDEGSCCHEENNMENKNTMICKNCFNEDSCVVMIPCRHLCCCRSCDAFLHSCPVCKMVKKASIQLSVFESNL >cds-PLY91349.1 pep primary_assembly:Lsat_Salinas_v7:8:45467106:45472274:1 gene:gene-LSAT_8X34780 transcript:rna-gnl|WGS:NBSK|LSAT_8X34780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLGSQTPDILGDRQYGQDVRTQNITACQSVSNIVKTSLGPVGLDKMLVDDIGDVTLTNDGATILKMLDVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRANDLVKNKIHPTSIISGYRLAMKKACEYVDEKLAVKVEKLGKDSLINCAKTSMSSKLLSSDSDFFANLVVEAVQSVKMTNSSGKIRYPIKGINILKAHGKSAKESYLLKGYALNTGRASQGMPMKVSHAKIACLDFNLQKTKMQLGVQVLVTDPRELEKIRLREADVTKERIQKLLKAGANVVLTTKGIDDMALKYFVEAGAIAVRRVRKEDLRHVAKATGATVVSTFADMEGEETYDSSFLGHADEVVEEHIADDDVIMIKGTKTTSAVTLILRGANDFMLDEMDRALHDALCIVKRTLESNTVVAGGGAVEAALSVFLEYFATTLGSREQLAIAEFAEALLIIPKILAVNAAKDATDLVAQLRACHNTAQTNADRKHLSNMGLDLIKGTTRNNMEAGVIEPAMSKIKILQFATEAAITILRIDDMIRLAKDESEDGQN >cds-PLY98414.1 pep primary_assembly:Lsat_Salinas_v7:7:89435805:89436736:1 gene:gene-LSAT_7X61401 transcript:rna-gnl|WGS:NBSK|LSAT_7X61401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDYRSRSRSYTYSDEGDMQIERYYGGNGPKRSNLEHNPPHNFRSYSVSYAPPPQTNMDLVVARTTRDFEFKKGKSTAKSWSFNDPEFLRKKRVAGYKVYSVEGKVKGSFRKSFRWLKDKYTHVVYGWW >cds-PLY74584.1 pep primary_assembly:Lsat_Salinas_v7:7:40618383:40619439:-1 gene:gene-LSAT_7X32500 transcript:rna-gnl|WGS:NBSK|LSAT_7X32500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEELTVNIFHRLCTSEILNRARKVCTPWRRICKDPALLIAIDMIKPVDDWSRDYTIETLTKQAVHLSCGELIDFSIEGFGTDDLHDHVLLRSNKLNRLCLTNCYNMTSSGLSQAVKKVPQLEKLHLTYISTKAEDIEVIGWNCPRLKSFILAKEYFSEPFIESNKDALAIANSMPELRHLQLSNSNITNDGLQAILDGCHYLESLDVRMCYNLDLHGNLGGLCMERIKDFKHDSTENSRIHPQIHDYDYLDDLDFPRRSYVDDYSEGDSLEDLIRQLEYEGIVRYRRFR >cds-PLY96068.1 pep primary_assembly:Lsat_Salinas_v7:8:21453946:21459679:1 gene:gene-LSAT_8X16581 transcript:rna-gnl|WGS:NBSK|LSAT_8X16581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMTRTGPSSQEVAMHVGSCNLKADLDLEKFDSMLHIMIEFLKSHPIHEPLTKSIEFPLSIIHKAYSTAVYNSEEEYIEFNINKDKTTKLYKVEFLEAIGLPETKEKKTLYEPTNEELFDIFDQMGYLPPKLESSSNFRKGKLPGLWHFLFHIILRCLSGRVAGIDMLSRQLLVLICGMYTGNEVDFGTTIWNDFTSYVFPKKKAIPCARFWALALQKVYNKLKIPIPKEEEMFTPRVLSRHTIPNQSAFGKVTRLPEAMLQYIEKNSKVLIRHIKETDPPEAECPTQSSQHTSSPTKQKKKALKVKKTTGKRKGETIPSPQAKRQSTKSHKVKDVEKRSIVGSQSSTSSSSQEDDVSQKYWNITHTQPPSSQKEDVGVEKSHEQPPGQEPNGVAEVRTSESESVRQTQDDSMRETRDEQERQSERAENEGNTQKTKEELMKLKAEEEGRRIRKELMKRVVKKSKRKEDELKAEKKREQEEREKNKALINNDEIIQGLEKNASEEEQIATKQLQLVLSELREAEEKKEEERKESVGMLLEEQQKREEEERVPTTDKLIELAIHCEESLEPFTKTGIESAQDMEKDFVFTADDGLIQDSDSNSFMETLKPSKSAIFLATSETESQEVPKGETNTLPTTTVRRTKLKKKKSKYVSRAEFNSLNQKLSQVLELVNKIPPSKDKFVSKEKFMEMQKAVTSLAQRVPNLETREKMLRESVVKSTADALKKMEQKRTDDTFKYLDRMDDMLKMVKEIQQSYDDLTNTVGHQHGDEIVRLNEQLCDYRNKNIILQAVLVKVIQSAQQLLRPRNVRFDEILFAIQKIQNSVDVLPKTLSNEELSKQVPQSFQKVFDLIENLKGSKSVDEAGEDENVVITETSPSPKIDEVSRRQPPPKTTIPPPSPPTSFVMPPTQLALMFPETHKASIKGKGKRPLEVPEVSRNQMKTFRVSEEEKKQQDLENELLAKQKYEVAAAHFFQANSSKEEQHALSPLVYVIRQLKDDELMPKQTTAPPASDEYQWDIPMSPNARYYSVFQPLHPHLSRETQIPIEMNRLEEFFKAHAQPPKDVWSLRRIIRVVGYKKRSFQKEEYFGFEVVRSDNKKYFFSEADFPNLNPNDLYVIAKHFQTKLLTHQPSRFPFLQIQRFLRSLTYDLGSIDAERFDSFVDNPPEEMNQELEGIENRHRGPTEDPELGIIFSNEKGSLKLFFRLKQKHRCKTEFLEKMINLTLRSNASAALKVKIIEELEWWVAVRKWIKRATDLVKNKI >cds-PLY99457.1 pep primary_assembly:Lsat_Salinas_v7:6:20432012:20432879:-1 gene:gene-LSAT_6X15701 transcript:rna-gnl|WGS:NBSK|LSAT_6X15701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSEDEQSKVSCNKGRFMKGDLVEVCSDEDGFQGAWFAATVIEQVSSGNLMIQYKSLRNDEDTDFLTEVVDSNHIRPHPGQEAVDHYRELEEVDALYNDGWWVGVISKVIGKQKYEVYFRGTDEEMVFKQSDLRRHQEWISGKWVSSNLVDIFKK >cds-PLY72561.1 pep primary_assembly:Lsat_Salinas_v7:2:142272367:142274012:1 gene:gene-LSAT_2X70140 transcript:rna-gnl|WGS:NBSK|LSAT_2X70140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTTDSDGSKQQLHVVMFPWLAFGHIIPFLELSKSIAQKGHKVSFLSTTRNIQRLPNLPSHLSPLLNLVPLPLPRLPQLPQDAEATMDVRTEDIQYLKKAFDGLKPEVTRFLKAGSPDWIIYDFAPYWLPEIAAGLGISRAFFSIFNAWFIAFLGGSPEDMINGSDDRTSVEDFLTPPKWVPFPSKICYRRHEADWMVDSSSPNASGVSDVYRSGMIFKGSNCVFMRYCFEFEPQWLTLLEELHHLPVIPVGLMPPTTSADVGDEKDDTWQTIKNWLDGHQKGHVVYVALGSEVMLSKTDVSELALGLELSGLPFIWAFRKPAGSTESDSVELPDGFLERIRNRGMVWTSWVPQLQIMSHDSVGGFLTHCGWSSIVEGLMLGHPLIMLPFLVDQGLNARVLVDKKVGIEVPRNEHHGSFTKNSVADSLSWVVVEDEGKVYKENAMALSRIFGDMKLQQKYTNEFVEYLEKHRYNP >cds-PLY63737.1 pep primary_assembly:Lsat_Salinas_v7:9:85984309:85985665:-1 gene:gene-LSAT_9X68061 transcript:rna-gnl|WGS:NBSK|LSAT_9X68061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPSNGHPSFSPAAINYSSYYQMATNIHGQPLSYSYLGYGQWNSLNQFQGAPVTPGLDHAGLYSSGGQPPSLGVVSQTFVSQLSGSNLTYSTYAQAVSVGAVGQTIVSQAYSNNQIYNYLQPPSTGAPMEPFVSQFSGSDQRFSSHGQTPSSGAIWPTVSNYQPYSYVQPPVGDVRQTFVSDQLSGSDQTYSTYGQASSVGAVCQTVGSQASGNDEIYSNNVQPSSVEAVNNQTFVSQPSGSEKIDSNEQPPKRNSVGRRAKKDYKDVSEKLDSDLFGKNSETHQSASSIVKDVQSTGKEVSSTGDEALAMWLGLNANGHANDETDLFSFPNLEEYKSIARRYRHRRLKEE >cds-PLY78328.1 pep primary_assembly:Lsat_Salinas_v7:2:181240132:181243294:1 gene:gene-LSAT_2X103021 transcript:rna-gnl|WGS:NBSK|LSAT_2X103021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC12-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G52190) UniProtKB/Swiss-Prot;Acc:Q8GYE0] MEGGVAAEQGTVTCASWIRRPEEAHLVALGKSKHGNFPASFQIFTFDRLTTSLSSSPSATFEFEDGCDPVSLAVHPSGDDIICSTTKGGCRVFELHDQEKNLKLLAKELKPLQNVGPQKCLAFSTDGSRFAAGGVDGHLRIYEWPSMRVIVDQPKAHQGFNDMDFSLDSEFLASTSTDGSARIWNANDGVPVNSLTRTSDEKIELCRFSKDGTKPFLFCTVQKGNRSITVVWDISTWDKIGFKRLLRKPACVMSISLDGKYLAQGSKDGDVCVVSVKKMEVCHWSKRLHLGYPVSSLDFCPSERVVLSTSNEWGAMVTKLNVPADWKEWQLYMLLIGLFLASAVLFYIFFENSDLFWNLPMAKDQPARPKLGSYVGDHQSDDKNMWGPVDM >cds-PLY84872.1 pep primary_assembly:Lsat_Salinas_v7:7:111023670:111025184:1 gene:gene-LSAT_7X70881 transcript:rna-gnl|WGS:NBSK|LSAT_7X70881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGRSPCCDKSKVKKGPWSPAEDVRLVSFIQKHGHSNWRSLPKQAGLLRCGKSCRLRWINYLRPDVKRGSFTPQEEHSIIRLHATFGNKWSKIASQLPGRTDNEIKNVWNTHLKKRSVLMSKNETLLSTPSTSPASNISISIDQPHINNQEISSNHLDIDPKVIAREGAGGGALLVEVIDQPSTPSSTASCNTHDEVNLKIPSESELEFWNMLEDIQLQPQPIAEHHGAHIPNGSIDVEYWMRLLEEELGLLDTTPATQQIHQNDLTETTKTKLNEEQAVFGYNTEMWCKINDEISTLWPTSPQNFGF >cds-PLY68925.1 pep primary_assembly:Lsat_Salinas_v7:2:194159055:194163031:-1 gene:gene-LSAT_2X115441 transcript:rna-gnl|WGS:NBSK|LSAT_2X115441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSVGLSTSIPATKGSAELNHHKRKDLKEVRNGAAFDGQRLSEEDDSRINDDVNGSRRIELLISHDAVQRHHQQPQGSLVQWERFLPTRSLKVLLVEDDDSTRHVVSALLRNCSYEVTAVANGVEAWKVLIDLNKQIDLVLTEVVMPYLSGVGLLSKIMNHAPRKNIPVIMMSSDDSMGIVFNCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSGSESESGIRAPKPIKVRSDDVSDDGDGSDDDDDDDDNDDDDDDDDDDRSIGLTAKDGSDNGSGTQSSWSKRAVEVESTKYSWDQLPTSTPPDSTCAQVIRTRAETSNNYHPKPVATKDHAGEDDKIDTVLMGKDLGIGVSRNSGKFIESNSKEESEQRTKCMTFAKANDVPALELSLKRPRDLEDTDTTSTHRRNVLKQSDLSAFSRYNNNTTSETAKPLKIQSNSNGAPNQRSNGSSTHNNDMGSSTNNAFAKPEPLPDDKSTPPPGDGDTTNFQVRHHHHHYHHHHHHVHKTQPQQKANQEDDDGSSRNKAVAPVEGDAANYGSASGSNNKSNGENGGSSGQKGGGYGGGGEGGDNGVVAEKGKIGNGSGSGSGSGSGVDKDRLAQREAALNKFRQKRKDRCFEKKVRYHSRKKLAEQRPRVRGQFVRQEVNGKDAGS >cds-PLY63353.1 pep primary_assembly:Lsat_Salinas_v7:7:147320752:147329652:-1 gene:gene-LSAT_7X87221 transcript:rna-gnl|WGS:NBSK|LSAT_7X87221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFELLENMNAQVGLTSPWWIGVDVCHLNLHKTFCIPHGGGGPEMGPIGVKKHLAPYLPSHPVVATRGIPAPEQSKPLGTVSAAPWGSTLILPISYTHIAMMGSQGLTDAYNIAILNANYMAKRLEIHYPILFRGVSGTVAHEFIVDLRPLKKSAGIEPKDVAKCLIDYRFYGLTMSCSIPGTLMIEPTESERXLMIEPTESERKAELDRFCDDLISIRQEIAEIEKGTVDINNNVIMGAPHPPQLLMADKWTKPYSREYATYPAPWLRAAKFWPTTFPNNINKFNIDTSLTLPLQIRFFHKMASRLALVTGGEKLISGVLEAERPTISGMLVQDPSKVNDVKLHDMSLLWLQTYRNCQTCIGGNALRCTMCRGTGKVLYQVKNYTLRSGEKATAEAIADAIAENRAKLVHLPATMDLNVPLPSKDCDSCDGSGVIKCPECKDKLQVRISADDVLSDPAQRMVYDEIHGYALTAINPFFDDSSPKDHVFVDEFSCIGCKNCANVCGQKLKDHVLLVRVCSKSPDQPKTYEFQDDLAS >cds-PLY74693.1 pep primary_assembly:Lsat_Salinas_v7:5:25471953:25472138:1 gene:gene-LSAT_5X12421 transcript:rna-gnl|WGS:NBSK|LSAT_5X12421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQVFGGFTFAGFGDVRVAGFHHLYSYRFQALWLQSSGGVVHMMANGGYDFREEKASMGS >cds-PLY76005.1 pep primary_assembly:Lsat_Salinas_v7:5:320112419:320114754:-1 gene:gene-LSAT_5X177020 transcript:rna-gnl|WGS:NBSK|LSAT_5X177020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNQNSSSFQSNQTTSSSPDFNLSLSERAFAAAGAAFLSAVIVNPLDVAKTRLQAQAAGVPYQNLHSTCRFEPNTMLHDTKCFPQYKGTVDVFQKVIHEEGFSRLWRGTNASLALAVPTVGIYMPCYDILRNYMEAYTSQNAPSVTPYVPLVAGSVARSLACVTCYPVELARTRMQAFKDGQHGTKLPGVWKTLVGVVSADTNTNMKNLNSSFRSFRFLWTGLGAQLSRDVPFSAVCWATLEPVRRRILGMWGGHETCGVGVVVGANFAAGFVAGVVAGASTCPLDVAKTRRQIEKDRVRALRMTTRQTLLEIWRDGGMKGLFTGVGPRVGRTGPSVGIVVSFYEVVKYALHTYTTQD >cds-PLY78348.1 pep primary_assembly:Lsat_Salinas_v7:1:199414802:199417101:-1 gene:gene-LSAT_0X25841 transcript:rna-gnl|WGS:NBSK|LSAT_0X25841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRMMVEIPIPEKWEQLWYTCDLRGFIILSLSLQTFMILVAPLRKRTKSNWIIMSLWSAYLLADWAANFAVALISNSQGNPNCSLGKKQVRLAVENEDLLAFWSPFLLLHLGGPDTITAFALEDNELWLRHLLGLIFQCLATVYVFVQSLPKNRLWIPTMLMFLTGIIKYSERTYSLYRASADRFKDSIGTAADPGPNYEQVMDGFFSKKMDKLPTRIEIVSDPDRGATSARKAKKGSLTELELVQYAYQFFETFKGIIVDMIFSRRERNQSSAFFQNRNAEDAFKLVEIELNFMYEVLFTKIPLVFSLTGAITRFFSLATICSTIVLFIFENKTNFKRVDVMITYGLLFGALVLDFVALLMLLLSDWIIISLRKSPEIELKNKTLKTRLVSAFFRLRFAGTLGYTKDHSQSHTCKYSEIKFLRRRWSESISTYNVIYYCLHPRPGIHQFLYQNFGLSGLLDEIKYVKSKSFTPKLKEFIFNELKVKSELADDLETAKEICSARGNWTILMENGWGSLLQYIIDVDYDQSLILWHIATELCYNKAPIPANNDHREISKLLSDYMLYLLIMQPNMISSVAGIGHIRFRDTGAEAMRLFDEIGCGVQNLNQFVACSGILSVRTEVPPVTIKGDRSKSVLFDSCNLAKELMHIEERDMNKDKWFIISKVWVELLCYGACNSRANTLAAQVSKGGELITIVWLLMFHLGLSDQFQTNRQPIAKLIVGK >cds-PLY93307.1 pep primary_assembly:Lsat_Salinas_v7:4:301335083:301338807:-1 gene:gene-LSAT_4X150921 transcript:rna-gnl|WGS:NBSK|LSAT_4X150921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNLQRQNLFVFLLLIIITTNVFAECTCEREEDEGKKSEALKYKLIALASILIFGAIGVCIPFIGKIVPALSPEKDGFFIIKAFAAGVILATGFIHILPDAFETLTSPCLKEHPWGEFPFTGFVAMVATILTLLFETSSAAYQVRSHTQARIKNHGDEEINQGHSGQVAAHTHASHGHAHGSMIQVEDGSSGLSQVDRYRIVSKVLELGIIVHSVIIGLSMGASESPKTIKPLVIALTFHQFFEGIGLGGCIFQAELKSLSVIIMGALFSLTTPIGIVIGIIITNSYDENSSTALIVEGVLNSASAGILIYMALVDLLSPDFMNPRLQKNKMLLIGSNVSLLLGAGLMSLLAKWA >cds-PLY65440.1 pep primary_assembly:Lsat_Salinas_v7:9:184634188:184637042:1 gene:gene-LSAT_9X113201 transcript:rna-gnl|WGS:NBSK|LSAT_9X113201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPRSPLMTPKTSQVVLLLAGKGAYSEHDDLPQMNELADIARCAGNTPLDDDRSLSYLLTCLDDLRVVIDRRKFDALTVETFGARIEKFIREKYLHMCEMVDDEKVDIASTVIDEDAPLEDDVVRSLRTNPIHSGNKDRTSIDDFEIIKPISHGAFGRVLKKADIIRKNAVESILAERDILIPVLNPFVITNTNFFQTTEDLEFNWVIEGDGCKLDSRTLSLPTLEFNWVIEGDFGS >cds-PLY98827.1 pep primary_assembly:Lsat_Salinas_v7:7:25217834:25220707:-1 gene:gene-LSAT_7X19601 transcript:rna-gnl|WGS:NBSK|LSAT_7X19601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPALVLTIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVTAGTSGNRSMVISPTTQSTGTPAPGATQASTPIQAWVQGAIAKISNTSDGAPNSNSNSNTTPNPINGPPSFMPISINTGTFPRTPAVRLIGDFHFLHRLCQLLLFCFFFRRIQLPRFIGDAAAQRNTDSSAAVHKPQPGKVEEVSSVPTKATSALGRTEELPVAIARAGQQVGSGAKGPEEGPANRSRYGSGNAGQGYTFDEVEVLFLILMDLCKRTSGLAHPLPVSQVGSANIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPAEEWHRRNTYGGTWSDPDDFGVVDDSSSRMSITTSTSSSDSSNETTATHVHASGETLNNIDHEAMAVDPALVLTIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVTAGTSGNRSMVISPTTQSTGTPAPGATQGAQNGSASSTASTPIQAWVQGAIAKISNTSDGAPNSNSNSNTTPNPINGPPSFMPISINTGTFPRTPAVRLIGDFHFLHRLCQLLLFCFFFRRIQLPRFIGDAAAQRNTDSSAAVHKPQPGKVEEVSSVPTKATSALGRTEELPVAIARAGQ >cds-PLY80300.1 pep primary_assembly:Lsat_Salinas_v7:3:207024586:207025526:1 gene:gene-LSAT_3X122121 transcript:rna-gnl|WGS:NBSK|LSAT_3X122121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADREVTEDTITVWKKQLDDEDNIRTKAVQQVIMQTTRADSLFKVNIFVLMCNTLGQSMSMGTCDLSMLSKVTKDLYLSDIDWCVYVFDCLKETKSAWNPNSKKGFYVGPIILLLLLYVESVRCDSVKIVRGRPAICFWNVDKLRERERVECRTISLGMGELQEPFQGNDAGGVKINDRRCKGNQGEEIFSGSGESVEVVYYLFT >cds-PLY84758.1 pep primary_assembly:Lsat_Salinas_v7:5:228760173:228764156:-1 gene:gene-LSAT_5X108501 transcript:rna-gnl|WGS:NBSK|LSAT_5X108501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVHSNGIRPEPNETGIEIGECESCVAKKTGSLAYLTWEELGVAVSSSEWSARKNERKSLLVGVSGYAKPGEIVAVMGPSGCGKSTLLDSLAGRLASNTMYCGRVLINGRKQRLNYGTMAYMTQEQVLTWTLTVKEAVYYSAELQLPKLMPKFEKRERADRTMREMGLQDCANTRIGGWGFKGLSGGQKRRVSICLELLTRPKLLLLDEPTSGLDSAASYYVMKQIAKLTRQYHMTVLAAIHQPSSQVFGLFSNLCLLSLGKTIYFGPTSAANQFFAANGFPCPDLQSPADHYLITINIDFDEDIVSGEIPSEQVINALAESYKSSEIYMEVQSEIATICGEKGDIIQREGSLQVNVITQCLVLSQRSFINMYRDLGYYWLRLTIYIGLGFALGTLFFQIGSGFGSINARVSMIMYVSSFLTILAIGGFPSLVEEIKVFQWERLNGHYGVGSFVISHAISSMPYLLVISLIPGAISYSIMGLQREPRLFIYFALVLFVSMLLVESLMMIVAAIVPNFLMGIITGAGIQGLMILGAGFFRLPNELPHVFWKYPMYYISFHRYALQGLYKNEFEGLKFPEYSGGPPTIDGGMILKSVLHIEMRYSKWIDLGILFGMVVAYRITLFCTIKIIERVTPILKDSPLSSAFEN >cds-PLY99183.1 pep primary_assembly:Lsat_Salinas_v7:6:129811410:129817075:1 gene:gene-LSAT_6X79020 transcript:rna-gnl|WGS:NBSK|LSAT_6X79020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILSYIMQPCCISLAITPANSDLASSDALQMAGIADPDVHRTIGVITKLDIMDRGNDAFNFFLGKTIPLKLGYVGVVNRCQEVNVWTHTTTVTPELKHHEFIKELKQLHKVQDDKELFGMLVLAYGAIQADALTVDRLASLEKYNETVVATCTSVLATEVERINSIKADLLTGTIVEKLIEANLRDRNHLKELIYMGVNETTSLEENNEKIHMMRLLCYLSSNQFLQQDKEQRSVTPDTRIRCVAKNLVFFCLTTHVSHWRKLKVSSLAKAIHVIAKMEEQNGRANWSSVKENRDGFILEKMPSPLGVLLVIFASRHEALVQVAGGLASETPHMISAVVKGITPWTYEFTNLVSTAFTLPSFFLLFQRKNREIIKVKLLLEMLVKKCGIDVVKEVMSEEHMKLLTNIRKVC >cds-PLY98919.1 pep primary_assembly:Lsat_Salinas_v7:7:49019316:49022233:1 gene:gene-LSAT_7X35321 transcript:rna-gnl|WGS:NBSK|LSAT_7X35321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDGKVKKNSIGQPKEIFASCDFSSLGLHPALCDQLRDRMGYQAPTLVQAQAIPVILSGRHVLVNAATGTGKTVTYLAPIIHQLQKCDPRIERSDGTFALVLVPTHELCMQVYENLQKLLHRFHWIVPGYIMGGENRSKEKARLRKGISILVATPGRLLDHLKHTASFVHKNLRWIIFDEADRILELGFGKEIEEILDILGSKGKDTEVVRQNLLLSATLNEKVNHLANISLEDPVMIGLDEKKIQPSNKQTTSLISDAIERLENSGKVSVASNEEYNLPAQLNQRYVKVPCGSRLVVLLSILKNLFTGEPSQKIVVFFSTCDAVDFHHALLSQFHGLSNSQTETENKHLFVQCNILRLHGNMKHEDRVKTFNSFKTEKSALLLSTDVSARGLDFPKVRCIIQYDSPGEATEYVHRVGRTARLGEKGDSLLFLQPIEVDYLQELQKHGVMLTEYPLLKLLDGFPLHGLNLKHHHHVKKFVSVEMHPWVVSLQRSLESFIFKEGKMKKLGQNAFCSWVRAYSAHRGELKSIFMVKKLHLGHVARSFGLKEQPSLVGRSVQKKHTEKRKREEKTKTQKRGGLDLKKKRKTLTDYD >cds-PLY94003.1 pep primary_assembly:Lsat_Salinas_v7:3:179581882:179583588:-1 gene:gene-LSAT_3X107740 transcript:rna-gnl|WGS:NBSK|LSAT_3X107740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIMSIFNLIFPFLLVLLYSQSSATCSSITNRFTRCLNHRADPSFPINGQLYTSRNSSFPSVFQAYIRNLRFNESTTPKPIVIITALHPSHIQAAIVCAKTHRLLMKTRSGGHDYEGLSYVTNSNQPFFVVDMFKLCSVNISIEDETAWVQTGATLGEVYYRIAEKSNTHAFPAGICPTVGVGGHFSGGGYGNLMRKYGLAVDNIVDAQLIDVNGKLLNRKSMGEELFWAITGGGGVSFGVILAYKIKLVRVPPVVTVFNIQRTSQQNLSTIAHRWIQVADKLDNDVFVRMTFDVINNRNGKKIIRATFPTLYLGKSAALVKLMNKDFPQLGLKKSDCIEMSWIESVLFYRDFSIGTPTTALLSRTGEKLNPLKIKSDYVKEPISKQGFEFIFKKMKELENQLLDFNPYGGRMSEISEFAKPFPHRSGNIAKIQYEVNWEELGVEAAKRYVNFTRMMYDYMTPFVSKNPRAAFLNYRDLDIGVNSHGKNAYAEGMIYGHKYFKETNYNRLTMVKTSVDPNNFFRNEQSIPTLSS >cds-PLY63142.1 pep primary_assembly:Lsat_Salinas_v7:4:66628732:66629097:-1 gene:gene-LSAT_4X43700 transcript:rna-gnl|WGS:NBSK|LSAT_4X43700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGATRIEMLMVVVVVEGPVLGEGHSSNSGYCGCVALEPPIADRTHPTSTHAGPNALPEDYGSPKIPKCFQQHLYKSLLPPVVSSCNGGLSVQIHPCKGCVQEKEWGKMSWRHLQLHLEQED >cds-PLY74998.1 pep primary_assembly:Lsat_Salinas_v7:5:87527162:87530307:1 gene:gene-LSAT_5X40160 transcript:rna-gnl|WGS:NBSK|LSAT_5X40160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNVFPADNECGNGVDGSNPHASSSPTVSDEKSPSPAVSGHCSGKSAADMREEDDFYQKLNKINESSGLSLLFNFRNTSIDLYEFYKIVIDRGGYHHVMKEGKWVEVAYFATRKEKSRLTPTQLQNLYAAILYPFEQTYFYRSPVKLNKKPSIIMPTTQVCTFVEKKPTRIEEKKPTRVKKIRKDPRAPLGSRNCYQMFLKMECDRLKSIHGGTPSAKLRDSIVEAWMNLSNEDKLPYIEASKKDKERYLKEMEAYEEQMKKEMVVYDEHKRNQQ >cds-PLY73071.1 pep primary_assembly:Lsat_Salinas_v7:2:30186311:30189884:-1 gene:gene-LSAT_2X12080 transcript:rna-gnl|WGS:NBSK|LSAT_2X12080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNKHGSLENMERERLIPSSLEQTYGVHEDDGEVKACGCFCLGSIRKKFKGLWSNLRAVVVNSWEVGRSDPRKVVFSAKLGLALALISFLIFWKEPLPDISEHSVWAILTVVVVFEFSIGATFSKGLNRGLGTLSAGGLALAMAEFAHFCERFGAAWDEAIIIISIFTVGSLATFAKLYPTLKAYEYGFRVFTLTYCYIMASGYRTNEFMGTAVDRFLLIALGAGVGLLVNVGIYPIWAGEDLHNLVVKNFFNVANSLEGIINQYLNCVEYKRIPSKILNYQAYDDRLYTGYRSAVESTSQEDTLMGFAIWEPPHGRYKMYKYPWRNFVKVSGALRHCSFMVMALHGCILSEIQAPADRRRVFQDELQRVSTAGAKVLRELGNKVKKMEKLGSLNILDEVLKAAEDLQTKVDRKSYLLVDANSWEIGKPAIEFQDIQDISKTDDNDPFKYHGYHSFSEARLDLGTSRSPETTNLPFAGTNGFHKSLTREFSFKPERMVIQDEGDTYKSASVLSLVTFTSLLIEFVARLGNLVVAFEELSETAKFKAPPAEGRVEEEEVCGFWGRFWRFVKPRDRNDNLLA >cds-PLY67547.1 pep primary_assembly:Lsat_Salinas_v7:3:54858737:54858940:1 gene:gene-LSAT_3X42880 transcript:rna-gnl|WGS:NBSK|LSAT_3X42880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSERLFGERCISNLPITDLNDLVKFADQRVSEIESLIKSLKSGAPAAVPPPDSQPSSIRPHNKNKRP >cds-PLY77644.1 pep primary_assembly:Lsat_Salinas_v7:5:173515269:173515568:1 gene:gene-LSAT_5X76580 transcript:rna-gnl|WGS:NBSK|LSAT_5X76580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIGVFPSKGAIGSWKASKAPKKKKQAKKPPTMLEDVMKAILPLQSRIFKHTKKQEKKSHDSPVKQSVPEPEIETTEQTHVDYSHTHFSQKGIKKIRKP >cds-PLY98320.1 pep primary_assembly:Lsat_Salinas_v7:7:171468959:171470854:1 gene:gene-LSAT_7X101860 transcript:rna-gnl|WGS:NBSK|LSAT_7X101860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNVCEMAEATVLCCADEAALCWSCDEKIHAANKLASKHQRVLLSNSNSQMPKCDICQETVGYFFCLEDRALLCRKCDVAIHTVNTFVSSHQRFLLTGVKVGQEATEHGAPLTSRKSTITKTTLPLSPTDEYNNLPPVQTAETDYFTPTPSLPPVVGSSPDGFQEWQLDDYLRVLTDINCIDNVPSKVNDGNCSPILKRMEAELDEGLGQVPDASWAVPQMWPKNNDHHHHHHPHHVPDVCYTHVPDVNLYRSY >cds-PLY67716.1 pep primary_assembly:Lsat_Salinas_v7:4:2134010:2134990:-1 gene:gene-LSAT_4X2081 transcript:rna-gnl|WGS:NBSK|LSAT_4X2081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSKSKSKRKIGLLTKTWERCKSFGGSRDGCEGKSSSRIKRAFFKKRKSWSRLDSKIEETLNMAPTGCFFIYVGPQRQRFVIKTKHANHPLFKTLLEEAEFEYGYKSEGPLKLPCDVDDFLKVLLEMEECDQYIFNHQGCTFGSKGYRYASNHHLTSCKIIAINNF >cds-PLY70226.1 pep primary_assembly:Lsat_Salinas_v7:9:1257972:1277326:-1 gene:gene-LSAT_9X4280 transcript:rna-gnl|WGS:NBSK|LSAT_9X4280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTLTLALAAGAGLSRRSIVTDSNDIEFGDPWWFVYAGVSCILVLFAGIMSGLTLGLMSLGVVELEILHRSGTNKEKKQAAAILPVVQKQHQLLVTLLLCNAAAMEALPIYLDKIFHPAVAVLLSVTFVLIFGEVIPQAISTRYGLAVGANFVGLVRVLMIICYPIAYPVGKVLDALIGHNDALFRRAQLKALVSIHGQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDCDTLLIYMYLISCREAIGKILAKGHSRVPVYSGNPRNIIGLLLVKSLLTVRAETETPVSAVSIRKIPRQVVPADMPLYDILNQFQKGGSHMAAVVRVKSKDPPPPPPPATDKLVAKNGNKYSQLTKPLLSELKEESDFVVDIDKTLKSTMQHAAKSITCLTDDVEEGEVIGIITLEDVFEELLQEEIVDETDVYIDVHKRIRVAAAAAASVVARAPSTRRLAAQRPTINLNVLLFSLVIEGVAKVAGESELFVDTNYKSRKLVASASHSQNDRSSTSSSKIIGNPKMGATSSVYDNSSVHDFTVKDSSGKDVDLSIYDGKVLLIVNVASKCALTNSNYTQLTELYRKYKDKGFVILAFPCNQFLKQEPGPIEATVQFVCERFSAEYPIFGKVKVNGTEAAPLFKYLKANGGGGYMGTTIKWNFTKFLISKKGKVIRRVGPRTEPSSLEDDIQKALEENPNENSV >cds-PLY95301.1 pep primary_assembly:Lsat_Salinas_v7:4:298916719:298918658:1 gene:gene-LSAT_4X151680 transcript:rna-gnl|WGS:NBSK|LSAT_4X151680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDFFPVVRQNHIYLIVINLKKPAFEVIDNGADDVDFDDKCGAVFKPHICSKDLVCSMETIFLSNGGSVLGVSYNLYENLATNTKNKNLGKWGT >cds-PLY89442.1 pep primary_assembly:Lsat_Salinas_v7:8:30967290:30968076:1 gene:gene-LSAT_8X22360 transcript:rna-gnl|WGS:NBSK|LSAT_8X22360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTYTIRNGDSYMPLLTLQIYNTSLMLEKVIGVSKRRDVYRAWKRYLGLEHSDNTHPKGLMLQIRTDRLMINH >cds-PLY75410.1 pep primary_assembly:Lsat_Salinas_v7:3:234766078:234772422:1 gene:gene-LSAT_3X130581 transcript:rna-gnl|WGS:NBSK|LSAT_3X130581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAKPLNFLPFKPWWPSFKLPSVRALSFRDRAPQNISTTIQSQQVSISSQDEKTSAYWDYQFLFMSQRSETDNPINLRVVEGSIPSDFPQGTYYLTGPGILKDDHGSSVHPFDGHGYLRAFTFDGAKGEVTFMAKYVKTTAQVKEHDPDSGKWEFTHRGTFSTLKNRRKFGDTTVMKNVANTNVLKWNDRLFCLWEGGPPHEIQSVSLDTIGEFDLVNDSQRSPMPSTTVRDAVDGGDIILDFATCLLKPILYGVFKMPAKRLLAHYKIDASRNRLLMLACNAEDLLLPRSTFTFYEFDSNFKIKQKQEFRIPDHLMVHDWAFTDSYYVLFGNRVKLDIFGAMSAIGGYTPMVSALLVNHSKSTSPIYLLPRFPEHDRGRDWKVPIEAPLQMWMLHIGNAFEERDIKGNKRIQIQASGCSYKWFNFQKMFGYDWQSGEVDPWGMNEDKGENKLSPRLIKVSIELDETGNSEKCFVNNLNDQWQQATDFPVINQEFGGNNNTYMYAAATSGVRQDLPYFPFDTVVKFNTMKNSMQTWYVGTRRFIGEPMFVSKGSDEDDGYLLVVEYAVSEQKSYLVILDAKRIGETNAMVARLEVPKYLNFPLGFHGVWASNNSKT >cds-PLY74840.1 pep primary_assembly:Lsat_Salinas_v7:8:106757381:106759248:1 gene:gene-LSAT_8X72921 transcript:rna-gnl|WGS:NBSK|LSAT_8X72921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVRITDFDVSGGDDDDRVSEWEAGLPNVDDLPSLSQLLISAEMASAFSITPGPHRSMIDVDRASRNTISSLQGQSQQNQVNKHTDFKSFSDDREEEMVVEGEETVDLTPDGSDSRKLRRVDSGGASGGAGEEADSALRADDSSTKSLKRIRLVWTPQLHKRFVEVVAHLGVKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYVKRMHGSSNEGPSSSDPLFASATVPKSFHDSGGNGGVGNRNGHSHVPIPMPYPQQMVPLAYPPPQMVPNRSGGGGSAYHQGFSPHSHPYNMMMQPRDWSGNNFGSVSPFHQSRTPNDN >cds-PLY96134.1 pep primary_assembly:Lsat_Salinas_v7:3:100226103:100227918:-1 gene:gene-LSAT_3X73880 transcript:rna-gnl|WGS:NBSK|LSAT_3X73880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKYAHRMGRGGYTTLRRKLANTKTTRKAVESKTATINHDIPTVSPNKPIHQVPEIISHQNTTKRKPTYISSNSLLKKTRSNTNKMNV >cds-PLY66417.1 pep primary_assembly:Lsat_Salinas_v7:9:38458653:38460198:-1 gene:gene-LSAT_9X33780 transcript:rna-gnl|WGS:NBSK|LSAT_9X33780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLDTAGDGLTEELTGGAAASDGPILPPPMEMQLEEGFALREWRRKNAIRLEEKEIQEKESMKEIIAAADKYKAEFHRNWKARCNNNTTINREKEKLYLESREKFHAEAGKNYFTAIAEMIPKEVPKLEKRGKKANEKPTSIVVIQGPKPGKPTDLSRMRQILVSLKYNPPTHMKLEKDTKTTLVPRTTHVAMTST >cds-PLY63381.1 pep primary_assembly:Lsat_Salinas_v7:7:146302000:146305213:-1 gene:gene-LSAT_7X86820 transcript:rna-gnl|WGS:NBSK|LSAT_7X86820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase beta chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G34030) UniProtKB/Swiss-Prot;Acc:Q9LDD8] MLGFVVRSAKSSSVSSPYRWRSSISSTQTMYSSNRGYGTQPQGHNVLPDGIDRTSDSFIRNSKEMESVISQLHSRINQVTQGGGAAAIKRHKSRNKLLPRERIDRLLDSSSSFLELSQLAGHELYEECLPSGGIITGIGPIHGKLCMFIANDATVKGGTYYPITVKKHLRAQEIAAKCRLPCIYLVDSGGAFLPKQADVFPDKDNFGRVFYNQALMSSEGIPQIALVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEEVSSEDLGGADVHCKISGVSDYLAQDELHALCIGRNIVKNLHMAGNEGVNSNLQGMISDYKEPLYDVKELQSIAPTDLKQSFDIRSLIARIVDGSEFDEFKQLYGTTLVTGFGRILGQPVGIIGNNGVLFCESALKGAHFIELCSQRNIPLVFLQNITGFMVGSKSEANGIAKAGAKMVMAVSCAKVPKITIVVGGSFGAGNYAMCGRAYSPDFMFFWPNARIGVMGGAQAAGVMSEIEKSKKKKEGIQWSKEDEEKSKAKFVEAYDKESSAYYSTARLWDDGVIDPADTRKILGLCISASNNRTPEPTTFGVFRM >cds-PLY63603.1 pep primary_assembly:Lsat_Salinas_v7:8:189616041:189624284:-1 gene:gene-LSAT_8X121640 transcript:rna-gnl|WGS:NBSK|LSAT_8X121640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVTNTVVGKIVDLLFSAAKREIDYIRNYTKNIDKLKSETQKLKDMRDRIQQRIDAAKENGQALLAGVQNWMEKADIEISKVEEFLEQEANAKKTCFNLRPCVNLGTLHHYSKMSINKTPFLLQHQEDGQTYESCVSIRTPTPRFIDLYQAKNLDDIDTHKLVLREIIKAIKDESVQIVGIYGLGGVGKTTLAKEVAAEVKNLFADTVFITVSQTVVVKEIKKNVEVAAKRIINGEKVLIILDDIWETLVLPDVGIPCGPSHRNCKILLTSRRMDVCEAMNADRNICVNTLTKEEAWVLFRRMVGDEKLANDSSLEKIAREVTEECGGLPLIIQAVGNALKNKKFNIWEAALDRLRKHAPLEIAPEIRKAFTHLKLSYDLLDSKEAKSIFLLCSLFREDGIIDMLSLAEYGVGLQIFNNLDSFNDAEKRVRMAVDTLTSSSLLLSEGNEVKMHDVVRDVALLITSSYEGEEKEKFLVEAGKCMTEWQPRNRTSESYTKISLMSNIIRKLPDHQLHFPLLDTFLIRHNLLSIIPDEFFGGMKEVKVLDMSWNPIKSLPQSLKLLTKLITLDLSTNRHLTEICIVGELKDLEILRVRGTGIKVIPKEIGQLTNLRLLDAGDCFHLSDVTAGVISKLPRLEELYIGTRHRDPSRFGLMEISNLKWFRALHLSMNSDGCHLFPEGTYFKTLQEFFFQFIFGRINTRVKRLFLRIDPEPSKSYLKHRLHIAHSNFPFTMPIKKLFQVSDGILLKRIKDLDNIIPDLYGESTIDELKSIVLDGCDNVSCLVKTTMQPFVASNDLMLGQTKTTKEKYFSKVEEIFMKELKNLKILFDCSFQYISLRNLQAIEISGCDSLLTVFPLSVAQGLSNLRRIQILRCDSLMVVISGGDEQTADNDIEFPRLTHISLKNLPKIKSFNSGDSTVKYSSLEFIEVEDCPSMKRWGYGVHDMPHGKFCHEVNFNLFR >cds-PLY79437.1 pep primary_assembly:Lsat_Salinas_v7:3:78691736:78695776:-1 gene:gene-LSAT_3X60720 transcript:rna-gnl|WGS:NBSK|LSAT_3X60720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNFLFFSLVLLIITLSPIPNSASASASLKEANVLLKWKTSLQIPNNSLLSSWIPLPMNSSASIPCTSWLGVVCNADWRIQRLNLSSSDLKGTLHQFPFSLLQNLTHLDLGINNFFGPIPPEISLLSKLVYLDLSENKFSGVIPPEIGNLHQLTILYLSSNNIYGSIPSSLGDLTSLTALYLHKNQLSGPIPVELGNLRSLTGLAMYSNQLSGYIPSSLGDLTSLDVLYLHENQLSGPIPVELGNLRSLTGLAMYSNQLSGSIPSSLGELTSLNILYLYNNQLSGPIPIELGNLKSLANLSLRDNQLSGSIPSSLGELTSLKILYLYKNQLSGLIPVELGNLRSLIGLGMYYNQLSGSIPSSLGELTSLNILYLYNNQLSGPIPIELGNLKSLANLSLNDNQLSGSIPSSLGDLTFLTDLYLGQNQLSGSIPIELGNLKSLTDLEVSYNQLSGSIPSTLGHLTSLNVLRLNQNQLSGPIPAELGNLKFLTVLDMSHNHLSGSIPSSLANLSNLQILYLYENRLSGPIPTELGNLKSLVDLEVSYNQLSGSIPSTLSQLTSLNYLYLHHNQLSGPIPTELGKLNSLLYLELSVNRLSGSIPSSLANLSNLQDLDLRVNKLSGSIPQGLGSLDLTRLQLNGNQLSGHLPEDLCYGGKLQNFTVHDNQLTGPIPRGLRNCASLIRADFSHNQFIGDISNSFGIYPSLYSLSISHNKFHGQLSQNWSKCKNLTSLVMAYNNISGSIPPEFGNSTQLQKLILSSNHLTSEIPKEFGKMKRMLKLYLSDNQLSGIIPPEFGMYELLEELDLSRNRLNGSIPKSIGHWEHIHNLNLSNNKLSEKIPSEIGKLLQLNELDLSQNLLTEEIPSEVQSLQSLQKLDLSHNRLSGSIPEAFTSLPHGIDINLSYNELSGPVPQSPNFVNASIQGNPGLCGNVTGVKLCASQLMKKKKDLFHHQLILVIMLPLIGAILLGSFMCGLIAYRKQKSHSPQKPLNKESGDYFTITSFDGKVVYDDVLKATNDFDEAYCIGTGGYGIVYKAELQPNIFVAVKKLHSSSENVDHNGFLNEIRALTNIRHRNIVKLYGYCSHARHSFLIYEYLEKGSLGSFLRSDVLVQELDWLKRVNIVKAVANGLAYMHHDCTPPIIHRDISIANILLDSDYEAHISDFGTSKLLKLDSSNWTAIAGTYGYIAPELAYTMVATEKCDVYSFGIVALEVIMGKHPGELPTLSADYLVLSNVGDSRIPLPSPQVEKQVNLVLNLARACLNSNPKERPTMRQVSNQFMKDLLLIDISGSLILCTSNV >cds-PLY64054.1 pep primary_assembly:Lsat_Salinas_v7:8:94879634:94880621:1 gene:gene-LSAT_8X66061 transcript:rna-gnl|WGS:NBSK|LSAT_8X66061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKGSANSGSSAPQAMAGFAYVGPISTMKTTVGEGSYENKARVSFSDKQTGSYVRATATEKASAGDFQWQNGKSGTRSEYKESSTVRVGDKSGYTEVYNEQRVRNVSFNNNNGSSKSVITYDNGDGGKYGGYGYGYGDGYDSD >cds-PLY70222.1 pep primary_assembly:Lsat_Salinas_v7:9:1971156:1972671:1 gene:gene-LSAT_9X3461 transcript:rna-gnl|WGS:NBSK|LSAT_9X3461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g48140/F11L15.4 [Source:Projected from Arabidopsis thaliana (AT2G48140) UniProtKB/TrEMBL;Acc:Q94AX3] MERVTCLLAITLALSVITVNSQISTPCSVSMLATFSPCVNFITGSSANGGSPTAGCCSAVESLMTTSSECMCLIVTGNVPVTLPSAINQALAITLPRVCNSKSVPLQCKSTGVPLPPAGPALFVPPPPPRALPPAADSPDIPPGPSGSKTRSVTSSLAPSPSDTTSDDLDARDLPGAPPASAPAARKGQTVGSGIRPVLTPAASSSNPPLMVSQPVLLLTVAAIAVTNFREVFIFRFIL >cds-PLY94354.1 pep primary_assembly:Lsat_Salinas_v7:5:170753260:170758032:-1 gene:gene-LSAT_5X75160 transcript:rna-gnl|WGS:NBSK|LSAT_5X75160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53450) UniProtKB/Swiss-Prot;Acc:Q9LV04] MTLQGGHYLSGLMETSKCYTNSFPSTLIRPSCLPRMWTSFDLFYKQKSQIKIRCSLSREKEALSPLEFEDSDSLEGEEFSHVIKFKFSDFKISDRVSIGLGGRGDEVVFEAIINDTDSPLHNTRVVLRRLTSAQAQRRGKRAMQVLKKLCRRKMMYHSYSMQVHGYVFSPETTTEDEGSFTLVHGYHGGLSLRHWLRQTDWLPTLEATLALDEESAVRRAGDDTVGGPAVSRQLRVTRILMRDLLIGVNYLHSHGLAHTELRLENVHISPVDRHIKVGILGNAADFFENNMDRQQMMIAFDMRCLGFIMAKMVLRELMDPVIFTKFKAFLNKGNDPSCLREFLLPTLNMNSSHGNIGLQICYRCLDALRHPFLCGPRWRVNPSMDVIRWSLGSTAVRITEEYIYGHQQRIRLAHFLELMEMLNPSKPKSWRGLLPGRWRLVYCSGRHIGLTTREPPSRALIGDVNLTITPPSSKLFSFTSDITFTVLTGKDWPHHKVGVAGKLQITSDFRLTAGKRLYLKQENGTENLPSVIKKLSGNKWRKALRHKELPSSLAVAKLVSVSGDIEVTMTLNDPLSKDIRVGELVVNEVRMQIPPEMFDLSKIVCGTYVDSRMMILRSVNGSALLFSRIGS >cds-PLY64488.1 pep primary_assembly:Lsat_Salinas_v7:3:15811671:15816668:1 gene:gene-LSAT_3X11820 transcript:rna-gnl|WGS:NBSK|LSAT_3X11820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIAAACSTGLIFRSKDSENNKASMLQHNGFRASKSRAIKCMATQTVSPPKRETDPKKRIVITGMGVVSVFGNDVNTFYDKLLEGESGITLIDKFDASTFTVRFAGQIRNFSSVGYIDGKNDRRLDDCWRYCLVAGRKALDDANLGQQILETMDRTRIGVVVGSGMGGMTTFSNGVEALIQKGFKKITPFFIPYSITNMGSALLAIDRGLMGPNYSISTACATANYCFYAAANHIRRGEADIMVAGGTEAAVNPTGVGGFIACRALSQRNDKPHKASRPWDQDRDGFVIGEGAGVLIMESLEHASKRGANIIAEYLGGAITCDAHHMTDPRKDGLGISSCITKCLQDAGVSPQEVNYVNCHATSTLAGDLAEVNAIKKIFKCTSEMKMNGTKSMIGHGLGAAGGLEAIACIKAINTGWLHPTINQDNLEPQVDIDTVPNVKKQHEVNVAISNSFGFGGHNSVVAFAPFKH >cds-PLY79546.1 pep primary_assembly:Lsat_Salinas_v7:1:33419039:33419799:-1 gene:gene-LSAT_1X29260 transcript:rna-gnl|WGS:NBSK|LSAT_1X29260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNHSPLEMLQEPSIDTDRLSYEIFSILESNFLFGYDDRELWQPKKVSPEVSVAAADYEGVQSIKNQRGKIYVLSIDGGEMRSILAGVTPIESQIKDIVDWLSSCHRDSTGLSTDSLADSGYPRAVLLGDLVCGMAVGYITPNDIMFWFRSNTTKEMKWSGAKHHLEDKDDGQRMHPRSSFNACLEVVKCYTLVPRVSELW >cds-PLY95870.1 pep primary_assembly:Lsat_Salinas_v7:5:317575818:317577325:-1 gene:gene-LSAT_5X174200 transcript:rna-gnl|WGS:NBSK|LSAT_5X174200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSSGKGISASAKPYKRTPPSWLKISPQDVQDSICKFAKKGLTPSQIGVILRDSHGIAQVNSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >cds-PLY76066.1 pep primary_assembly:Lsat_Salinas_v7:9:30078502:30080177:-1 gene:gene-LSAT_9X26661 transcript:rna-gnl|WGS:NBSK|LSAT_9X26661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMLVGLIFAGLPCFKAQSPAGAPMMLPGAPMAMAPGPDCMTALFNVSDCLSFVQIGSNLTTPDKACCPEVAGLLESNPICLCQLVGGSTAKDYGIDINRALMLPDACKLEVPSINACPSASPVSAPTPSSSSEAPGSTAGGPGPAGEEGLSPTASGNGGSNGASSSAIHDLSTLICLAAAIFIAYYF >cds-PLY91776.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1404129:1406301:1 gene:gene-LSAT_0X641 transcript:rna-gnl|WGS:NBSK|LSAT_0X641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGYMLNRLLILLLAYAYPAYECFKNVEKNKPDLELLRFWCQYWILIAAMTVWDPFGDSIIGWLPMYSEAKLVFCVYLWYPKTKGTKYVYDTFLKPYITKHEAEIDRTLSELKTRAGDSASLYLSRVMSYAQTRAFDILQIVMSQSIQRPPQAAAAAPAPAAQAGPAAASAESQDAAGARNRLKKTGGAGAAR >cds-PLY92319.1 pep primary_assembly:Lsat_Salinas_v7:9:178491405:178491785:-1 gene:gene-LSAT_9X109920 transcript:rna-gnl|WGS:NBSK|LSAT_9X109920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLHDRVFCKAYNWVGLDRRMFVVKMVVLTGGWMTWVGFECVGYSTQLVGSRNLQEGVACSLETTMSRKPVFGLALLGLAVTLRESLVLPAVFGLSAMGGILIAFRSFELVVASLEGSLFALSPLD >cds-PLY77645.1 pep primary_assembly:Lsat_Salinas_v7:5:175150334:175152570:-1 gene:gene-LSAT_5X77901 transcript:rna-gnl|WGS:NBSK|LSAT_5X77901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPAETQVIVTVAAGVAAYGLPPEVSKVEAENATQLSVALVATTSFLVSPLFKGVLVLLFHLCFMLVLVSMADTKGQISATVMEWHTAAVAAEPYDSVSCAFVSYGNCVVDLAEGWKYRSRLWHGVLFLQKHPTLVVVAVGGTPGILLWIKIQMVTSINK >cds-PLY80512.1 pep primary_assembly:Lsat_Salinas_v7:2:137705325:137706548:1 gene:gene-LSAT_2X66601 transcript:rna-gnl|WGS:NBSK|LSAT_2X66601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNRLQGQLPIPPQTTVVYDVSNNNLTREIPPLLCEVKSLRVLDLSSNNMRGTLPLCLGSLSNSLFILNLRSNNFYGIMMNGFTHGSQLKSIDLSENGFTGQLPRSLTNCTDLEVLSLGDNSFDDVFPIWLGTLAKLQVLVLRSNKLYGPIQDSTNVSSQFSKLRIIDLSNNNFSGLLHEEYFQTWNAMKSVYNGESSVLESLMSLNSFTSQRPYSMTLIHKGVRTQFERILDIFTAIDLSSNNFEGDIPLSIQDLRGLESLNLSNNHFQGRVFPSLGYLENLESLDLSRNKLSGEIPQQLVQLGFLAIFSVSFNNLDGRIPQGKQFNTFENSSYEGNPRLCGEPLSNDCRNWNVSRSETTSDESESLFPSEGIDWVFVFCGVGSGLVIGSFLSTRYIDRFTKRKIV >cds-PLY82926.1 pep primary_assembly:Lsat_Salinas_v7:1:17583677:17586552:1 gene:gene-LSAT_1X14841 transcript:rna-gnl|WGS:NBSK|LSAT_1X14841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVTLLNGPLHADYEGDKRFNQKPPNKRRVFIQTENGCVVGMELERNDNAHTLKRRMQLTLNHPTDQTSLTFGDKVLNHDLSAIKHDSALFLTKNLIHRSSSTPCLLQTPRSLQQKDQSGVIEIIGLHTHSTHFTKTNQLVEEIITALKNEIDPISVNGGLGGAYIFRNIKGQSIAIVKPTDEEPFAPNNPKGFTGKLLGQPGFKQSVRVGETGFREVAAYLLDHDHFAGVPLTSLVKITHSIFNLNDSVNGSKVQNKKRVNLNVNVSKIASLQQFIPHDFDASDHGASSFSISDVHRIGILDVRILNTDRHAGNLLVRKVNDGNFGEVKLIPIDHGLCLPECLEDPYFEWIHWPQSSIPFSDSELEYIQSLDAVRDSEMLRTELPMIREACLRVLTLCTVFLKEAAAFGLCLAEIGEMMSREFRCNDQEPSELEVICLEAMREVVDNDSKNKSFSCMQWGLRKKGGGNGRARGAEVVGVRSSAEELVGTPASFVKVGEMEEGEWWDFLDKFRELVGPALATRKVSRVSVRNNLRVGGSCQF >cds-PLY71263.1 pep primary_assembly:Lsat_Salinas_v7:5:182072783:182074954:-1 gene:gene-LSAT_5X81161 transcript:rna-gnl|WGS:NBSK|LSAT_5X81161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWNWEIEMPKSLPISSHENDDHHYSFSGLVEEGAVISGEALIGLKLGRASSCSPVVKRTRGSYQSSHLARCQVEGCNLDLASAKDYHRRHRICSDHSKSPKVVVAGMERRFCQQCSRLHELSEFDEKKRSCRRRLSAHNARRRRPQSEDTSFNSTNRRAHMSFLVNKGSTPSPNSTPQSSSNFIGRGLDVIHSNGTLSDRSSFHGVMHRNVNHEGLESNSNMIYTMEAQHAFSLQTTTSWGFNGPGEPSSFDQFIHGNNIDLTQNEMPLELQNSCNDQIPPHNFDYFSSD >cds-PLY64296.1 pep primary_assembly:Lsat_Salinas_v7:5:83840634:83842702:1 gene:gene-LSAT_5X39200 transcript:rna-gnl|WGS:NBSK|LSAT_5X39200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVRESHPDVNLCHFKSSWKVLSIAELNAATNNFSEENVIGKGGYADVYKGCLCDGKLVAIKRLNKGTMEEQIIGFLSEIGTIAHVDHPNTAKLIGYGVEGGTHLVMELSPHGSLGSLLRSGPKEKLDWNARYKIIHGTANGLLYLHEDCQRRIIHRDIKADNILLTENFEPQICDFGLATWLPKEWSHHNVSKFEGTFGYFAPEYFMHGIVDEKIDVFSFGVLVLEIITGRQALDDSQRSLVLWAKPLMENNLIKELVDPCLGDDYNPQELERAIIAASLCIELTPVLRPRMSQASLFESF >cds-PLY76458.1 pep primary_assembly:Lsat_Salinas_v7:5:199514281:199515524:1 gene:gene-LSAT_5X90221 transcript:rna-gnl|WGS:NBSK|LSAT_5X90221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFRAPGFSVEMQHNQLVYPTPSASACSSHTNFFDQAMEGGSVDMREVIQRELEKEMIREKIIAEEVERFNGLEAEVRRELMMGREMMAKKSGNGFPSSFMAGLQHGESNDITTSLLKVGMGNLNLYGVTPPESKLKPPPPSFPAAGRSSELKSCSSKKMEWRCSICKISVSSERGLLEHLAGQKHNVKAASIVIKNSPKIDQSLNNKMEWRCPICEVSAPCERGLQDHLAGKKHQANVTALRSDNTEKAMKFVENSSEFVPNDSKEDKKTPPPSLPALAGSSEPLKKKMEWRCPICKVSSSSEIDLQKHLAGKKHKAKVAIMRKGKTF >cds-PLY85288.1 pep primary_assembly:Lsat_Salinas_v7:3:72033817:72035842:-1 gene:gene-LSAT_3X54000 transcript:rna-gnl|WGS:NBSK|LSAT_3X54000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSTNALIFNLHLNTNNCFSCVNSRTNPSTSLQISQRKTPLSISSKRHRKNSKVVCFAIEDVREMQKRLGIGGTGTVEVADDIKEDELANNDPNTESALYNFLYPDKELLPEDKEMTIFDHLEELRERIFVSVLAVGAAILGCFVYSKDLIMILEAPVRTQGVRFLQLAPGEFFFTTIKVSGYCGLLLGSPVILYEIIAFVLPGLTRSERKFLAPIVLGSSILFYTGIVFSYIVLTPAALNFFVNYAEGVVESLWSIDQYFEFILVLMFSTGLSFQVPVIQLLLGQVGLVTGNQMLSVWRYVVVGAVIAAAIVTPSTDPLTQMLLAGPLLGLYFGGAWTVKLIGK >cds-PLY83637.1 pep primary_assembly:Lsat_Salinas_v7:4:43700697:43702610:-1 gene:gene-LSAT_4X30241 transcript:rna-gnl|WGS:NBSK|LSAT_4X30241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPSLILNFKYLQLLIFLFASKAFAIRKDTSFLETQFCRTTVQGRYLITDHTGYVCDALSLDPKSHCCRLKRDQYSCQGCNLVSQCCNSYEYCVSCCLNPQRTQIDQATRVKIAKPVTSGTYSTLFDYCAGRCRHNSESVVHENAYLSEFHHCFAPPSNNSVGITDSQIEVRLLGINVIVGKQGESCDSVCKTIGQSCVGSKFALINQCEIMQKYMKCRGSCLASIGADQPAEVVEDAPRDLNPGACLYTRRPSLLSCDGSHQYTRRLCPCA >cds-PLY78304.1 pep primary_assembly:Lsat_Salinas_v7:2:181652629:181653111:1 gene:gene-LSAT_2X102481 transcript:rna-gnl|WGS:NBSK|LSAT_2X102481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIVESVGKVITPCEVDQSMVNLSFGKVSILTESLSTVSKDLHVEVNGKITRFRIDEVDTDWVPIKYSAKGSTSDEEDDNEEEDDSEDYTTDTVPMDNNNDGELEDGEFIIAGVDALNGSVVNNANSYDKIRSPEVMSSPTNVEIHEYDLEERKEMFKEA >cds-PLY97119.1 pep primary_assembly:Lsat_Salinas_v7:4:73176812:73177544:-1 gene:gene-LSAT_4X49600 transcript:rna-gnl|WGS:NBSK|LSAT_4X49600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVDMDCHGCERKVRKALQNLDGVDNIDIDMDLQKVTVTGWVDQEKVLRKVRKTGKKAELWTIPYNTEAIGFTQQYGDMYTQHSDRSSNYYQDVEQPDISTLNYYGSGYYGNGQVTYQQLPYSSSDIGERASIAFSDENVNACSIM >cds-PLY78173.1 pep primary_assembly:Lsat_Salinas_v7:5:123798686:123801199:1 gene:gene-LSAT_5X54160 transcript:rna-gnl|WGS:NBSK|LSAT_5X54160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDLKRFEHLKIQLQAIKSSTNNFAEDHCIGRGGFGKVYRGELGHLEGHTVVALKCLDRAFGQGNREFWNEILMLSLYKHENIVSLLGFCDDDDKKILVYEYASKRGLDLYLNSHDLTWVRRLKICIGAARGLSYLHDSESAGTQQRVLHRDIKSSNILLDENWNAMIADFGLSKFCPANLQYSLIFSNLVGTFGYWDPLYVETGLLTKESDVYSFGVVLFEVLCGRLCTDNYDKSQSFTELVRKHYKQNNLNEIIFGDIKDEINTNSLKVFSTIAYQCLKRDRDKRPSINEILITLETALEYQNDPCLLTVSSHPKRMPIKNKFKHLEIQLEAIKLATNDFAEHNCIGVGGFGKVYKGQLIHLERHTTFAFKRFGSTLGQGDPEFWKEIIMLSLYKHENIVSLLGFCDERGEKILVYEYASKRSLDLYLNKDLTWVTRLKICIGVARGLVYLHNPPRTHQKVIHRDIKSSNILLDENWNAKITDFGLSEFGPTSQQYPFVVLNVLGTYGYCDPLYEKTGFLTEESDVYSFGVVLFEVLCGRLSMHNVNDKHQALPFLVQKCYEQNNLDEIIYGSIRDQINPSSLKAFKTIAYQCLNKEREERPLMKEIVRALETALRYQGL >cds-PLY69662.1 pep primary_assembly:Lsat_Salinas_v7:5:211305234:211308186:1 gene:gene-LSAT_5X96080 transcript:rna-gnl|WGS:NBSK|LSAT_5X96080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGALSESVLKKIILSYSYVAIWIFLSFTVIVYNKYILDRNMYNWPYPISLTMIHMGFCSSLAYILVSVLKVVEPVQMTLDVYLKSVVPIGFLYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKEGFKGQTMSNMLSISFGVAIAAYGEAKYNSWGVTLQLGAVAFEATRLVLIQILLTSKGITFNPITSLYYVAPCCLVFLSVPWIIVELPKLRDTSSFHFDYFIFGTNSICAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYLIAFMGVAYYNHAKLQALKAKEAEKKTTQFDLDDESGKLLDQKDEKSARKSDSDK >cds-PLY79868.1 pep primary_assembly:Lsat_Salinas_v7:8:15106973:15109395:-1 gene:gene-LSAT_8X11281 transcript:rna-gnl|WGS:NBSK|LSAT_8X11281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLWTLVNANAIAIATKFIESLKVVVAFMTIASSPMSLAVSTLQEGFPESEFLPVEPLMDAPYNSPSGNSNPDIDESEIDLLSVSWNQDYGCFAAGTNHGFRIYNCDPFKETFRRDLKSGGFGIIEMLFRSNILALVGGKANTQYPPNKVIIWDDHQSRCIGEFSFRSEVRAVKLRRDRIVVVLEHKIYVYNFMDLKLLHQIETLANPRGLCCLSHQVNTSVLACPGLRRGQVRVEHFGLNMTKLINAHDSRIACLTMTMDGLLVATASTKGTLVRIFNAMDGTRLQEVRRGVDRADIYGIALSPNVQWLAVSSDKGTVHIFSLRVRVVGEDPSSVQSMTSAAAALSHQYSSSSLDALISPTSAGANPGSSLSFMKGVLPKYFSSEWSFARFHLPECTHYMSAFGSQNTVIIVGMDGSFYRCIFDPVNGGEMVQHEYVRFLKTQLKSR >cds-PLY77033.1 pep primary_assembly:Lsat_Salinas_v7:8:151314936:151316953:-1 gene:gene-LSAT_8X102101 transcript:rna-gnl|WGS:NBSK|LSAT_8X102101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGPRRPQFVLFGSSIVQGSFGKQGWGAILADIYARKADIFVRGYAGWNSRKAVEVLDQIFPKDEAIQPSLVIVYFGGNDSVLPHPNGLSSHVPLSEYVENMRNIAIHLKSLSEKTRIIFLTAPPVNEAQILQVLGVEDRKNEQCKKYADACVKLSHEMKIKAIDLCTAFKQQDDWLTTCFTDGIHLSPDGSKIVAKEILKVIMEADWKPSLDWESLPVEFA >cds-PLY97161.1 pep primary_assembly:Lsat_Salinas_v7:2:205916483:205919793:1 gene:gene-LSAT_2X126721 transcript:rna-gnl|WGS:NBSK|LSAT_2X126721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVSVFRGFLHGRTVPLFPHQSQLPVTSILIGSKKLEYRSNGLRLYARYSESQSARTQDLFTSRLQESMEKLPKLVEDIVQTSINTGPRGAVRLAQGIQAVIGVGSEWVAELSQSRNSPSGIPSQLQLGLLSPLYLRKLFERLGATYIKLGQFIASAPTLFPEEYVQEFQYCFDRAPVVPFEEIKSILREELAVPIDSIYDYIDPTPLASASIAQVHAARLKGSQADVVIKVLKPGIEDVLVADLNFIYVVARIVEFLNPDFSRASLVAIVNDIRASMLEEVDFKKEAANIESFRSYLESMGLTRQATAPRVYPECSTKRVLTMERLYGVPLTDLDSISSLVSSPETSLITALNVWFGSLLGCESFHADVHAGNLWLLRDGRIGFLDFGIVGRISPKTWGAMEVFLGSIATEEYESMASALIEMGATNTDVDSRAFATDLKKIFSSIQDLDTEIVVATATRTNTNATAISANLVVDERQMNALFLDVVRVSESYGLRFPREFALLMKQLLYFDRYTRLLAPNMNMLQDQRISIASNQTTTNRNIY >cds-PLY62507.1 pep primary_assembly:Lsat_Salinas_v7:1:83282097:83283200:1 gene:gene-LSAT_1X69760 transcript:rna-gnl|WGS:NBSK|LSAT_1X69760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQLPEERVSIERLPEEMLAEILIRSEVRDLIRYKSVLNSWKSLISRADFIKAHLEHSYRRDSENDKIVKRRIVMSVMLYGNKRSNADNIYFDSRKRHILGSSNGLVCITPSRAEYLLINPETREVNKLKKPQIPDAGPLTYGFGYNSSKDDYKVVLGFGKGSSHTCFLIFSSKSNLWEVIGEVDYTCISRVGVLHRGALHWVAYHGSPDDKQQVILSLDLSKDKFKEIPQPDDMRYKNDVASEGTMRLGTMDGCLCVFDGMCTVKIWVMNEYNVRQSWELVWRGHKTKTEVVHHLKDIKYYRPKKRFLCHKESVVQTPDFIWAPRYIPSLVSPRVCSNLVSPHVCSTPDKKRQETSITNSRKVGCT >cds-PLY79406.1 pep primary_assembly:Lsat_Salinas_v7:3:80361046:80362516:-1 gene:gene-LSAT_3X58841 transcript:rna-gnl|WGS:NBSK|LSAT_3X58841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKERGKSVESDQEYNNFCSDYNFYYSSSSGVPCKKHPSSSPVGICAYCLKDRLMKLVCSDCGEQRLSSCSCSDVSSYRNSSCTVDVGSIGRISFLIENEKGGSGDEQKTLFSHMKQTKKRETEDVILLKRSNSCVVEVKKSNGFWRIGKLFKKKKREKDGFDEKSEIWVTDCAMDVSRSRSLCSFRGGNFDHEGGSVSDMAYSSAKISDFNESEPRKSGFRGGFMDFESGFSAKESEFSRIHDDSGFIDLKLDLSDKSKTEHSVFKNPSDGSGGGGGCGGGGGVSSSCRITVNDRGIKKGSKGHSKVWKWIFKQHSGKKDLNHILES >cds-PLY88942.1 pep primary_assembly:Lsat_Salinas_v7:8:129191272:129192787:1 gene:gene-LSAT_8X88680 transcript:rna-gnl|WGS:NBSK|LSAT_8X88680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIVYWFMVVIWMFSFVLPSLLDKCPSTCSVRCSATHHRSQCMDVCVDCCGKGLCAPSGTLGNKDECPCYRDLKTKYGEPKCP >cds-PLY95730.1 pep primary_assembly:Lsat_Salinas_v7:2:114205389:114207998:-1 gene:gene-LSAT_2X52540 transcript:rna-gnl|WGS:NBSK|LSAT_2X52540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFSCPYTAFTDLETISNSISSKKDEVNSIDGSIISEFSTQETELDYAKMKEMCNGLSGFDNVIDEFTKTSIFDPGSPEHEAAIKLQKVYKSFRTRRKLADCAVQIEQSLWKLLDFAELKRSSISFFNLDKQETVYSRWSRARTRAAKVGKGLSKNSKAQKLALQHWLEAIDPRHRYGHNLHFYYGKWLLSQSKEPFFYWLDIGEGKEINLVDKCPRSKLQQQCIKYLGPMERKAYEVEMEDGKLLYKQTGEFVDTTGEPKGAKWIFVLSTSKILYVGIKKKGSFQHSSFLAGGATLAAGRIVAEKGTLKAIWPHSGHYRPTQENFQDFVSFLHENNIDTTNVKMDSDEDKDKEFHVNHINSVHVRTHSSEEEGEEEEPKNDDHIIKQIQKDEITLEIPNIDETIIPLNNQETILDGYEAAEDSFNLQEIKTPLAHDHQLSDDEEDEEETIIQRINSNQNSNSLQLGRQLSCKWSTGAGPRIGYLRDYPSELQSHALEEANLSPKSAPCSLRYTNTMLCTSPFSFENRSLLRRNKRPYRTQSTPLDIGCIDF >cds-PLY73593.1 pep primary_assembly:Lsat_Salinas_v7:6:128007533:128009765:-1 gene:gene-LSAT_6X77701 transcript:rna-gnl|WGS:NBSK|LSAT_6X77701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKLHQNNLGSIVLDHQPTTAGGGGGNFKFWSSCRRRIIATMRCGGGGYRHRETVMSPVIEKPKEESKRSECRSDVIKSYNNCKSKKSDKLSELLRMSELWEEEEEAVKKKVEVLEELKRVVKGLQCGGDIELLSGAKDVRRLAKEDSDARTTLALLGAIPPLVAMLDSNDLDSQIAALYALLNLGIGNDANKAAIVKAGAVHKMLDLVKTPNQDSPNADLSAAIVANFLGLTALDSNKPIIGLSGAISFLIKTLKNSTKNINPQVIQDCLRALYNLSILPSNISPMIEIDDFIPFLLTTLGDIETSDRILSILSNIVSTPEGRKALSSVQDSFHTLVDVLNWMDSPNCQEKSTYILMVMAHKSYRDRQAMIESGVTSSLLELTLLGSTLAQKRASRILETLRMDKGKQVSETFVGTTTGANLSAPLYGSVDLVKSRPTDPMNPEMMSDENKAVKQLVQQSLHSNMRRIVKRANLPQDFVPSERFRSLTSISTSKSLPF >cds-PLY88277.1 pep primary_assembly:Lsat_Salinas_v7:2:3351014:3354052:1 gene:gene-LSAT_2X2221 transcript:rna-gnl|WGS:NBSK|LSAT_2X2221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MULTIPLE CHLOROPLAST DIVISION SITE 1 [Source:Projected from Arabidopsis thaliana (AT1G20830) UniProtKB/Swiss-Prot;Acc:Q8GWA7] MASFWTLQLHPTSIPHQAFEVRPQLGFTKRYRILKGKNKRKDFLLKASSSEDNQIKDETIISKTKNNATRVLQNQVSRLQETIVSLPPIIFSIKRSPHSKFALGFCIAATVLIVAVRAYVARKPKYQRQGSVADLVRRGQLNSDRRGISKTPMYDDPFNNPLVKISKNNSSVEMCGKVYRLAPVTLTREEQNIHQKRRSRAYQWKRPTIFLKEGDPVPADVDPDTVRWIPANHPFATTISDITEDLAQNNVYQKHGVPFRIQAEHEALQKKLEALQGDQKFNNLVIDPGTARNFERPFKSNMKLDDQQQGEHNSANGQTGLRPESQPNSASEEKQTQ >cds-PLY69982.1 pep primary_assembly:Lsat_Salinas_v7:8:63741764:63742848:-1 gene:gene-LSAT_8X45220 transcript:rna-gnl|WGS:NBSK|LSAT_8X45220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPSLPLEYSLTSPPPSAPPPLYHQQAPPPQQPPPQPHATGVPAQYVTPPPLNVNWSSSLCACCSDVPNCCLTCLCPCITFGQIAEIIDKGNTSCGEHGALYALIHALTCCGCMYSCTYRTKMRSQHGLRENPCPDCLVHFFCEPCALCQEYRELKHRGFDISIGWEGNMERQNDVHMPPVAPGGMYR >cds-PLY76317.1 pep primary_assembly:Lsat_Salinas_v7:5:296864427:296865646:1 gene:gene-LSAT_5X159581 transcript:rna-gnl|WGS:NBSK|LSAT_5X159581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQYFLILSLLLLSTFTTLSHGGNSTTGPPNTIPEECRKATGGPCHSKTRSLKLKVIAIAAILVASMMGVTLPILSRAIPALQPDKKLFVLVKAFASGVILATGYMHVLPDSFDCLTSECLPEYPWRKFPFTTFIAMLSAVLTLMVDSYAMSCYKKYHEKTKEKLEVKISGDHFHGDGSSGIDSPASQLRRYRVVAQVLELGIVVHSVVIGLSMGASDNLCTIRPLVAALCFHQFFEGMGLGGCILQAEYEMKMKAMMVFFFSVTTPFGIALGIGLSNVYRENSPAALMVVGILDAVSAGLLNYMALVDLLAADFMGKKLQEDMKLQAISFVAVFLGAGGMSVMAIWA >cds-PLY97486.1 pep primary_assembly:Lsat_Salinas_v7:1:194512138:194512646:-1 gene:gene-LSAT_1X126960 transcript:rna-gnl|WGS:NBSK|LSAT_1X126960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVITPYAKFKFQFVAVTPDYPVPVETKYHPSAVDSLRIIQRLIGQTKNQNLMEFLEHEFVNIPKAQAERLIGKMGPDVTSETQVNSLTLPQIACMHQLFQHTKFDDPNGNV >cds-PLY71754.1 pep primary_assembly:Lsat_Salinas_v7:3:46264267:46264533:1 gene:gene-LSAT_3X34881 transcript:rna-gnl|WGS:NBSK|LSAT_3X34881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGTKDELGPPRSLSRRTTRISAMDEPDDADVIVDSELVPSSLASIAPILRVANEIEKDNDRVAYLCMFPVTLFFSSFPKMPIFS >cds-PLY74193.1 pep primary_assembly:Lsat_Salinas_v7:9:24127252:24128927:-1 gene:gene-LSAT_9X21641 transcript:rna-gnl|WGS:NBSK|LSAT_9X21641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYVLTIVFCFPLFYLFCILLNFLEKRRHQNCYILDYQLYKPSDDRKLSTEFSGDIIKRNTNLGLNEYKFLLKAIVSSGIGEETYAPKMMFEGREENPTHDDAIDEMEEIFINSIGKLLERTGVQPDSIDVLVVNVSMLTCMPSLSARIVNRYKMRHDVKTYNLSGMGCSASLISINLVQSIFKSKRNQLAMVVTSECLTPNWYSGNDRSMILSNCLFRSGGCAMILTNKPSLVHRSMFKLKVLVRTHHGSKDEAYGCCLQTEDAQGRVGFHLGKTLPKTATRAFVDNLKEIAPKILPLRELLRFAALSFARKTLQNVFGKSFYATRPMINFKTGIDHFCLHTGGKAVIDAVAQSLNLSQFDIEPARMTLHRFGNTSASSLWYVLGYMETKKRLKKGDKLFMISFGAGFKCNSCLWEVVRDLEGEGNVWKDCNIESYPPLTLENPFMAKYGWLHDVDDISTLNIQE >cds-PLY95386.1 pep primary_assembly:Lsat_Salinas_v7:9:188959091:188961042:-1 gene:gene-LSAT_9X115340 transcript:rna-gnl|WGS:NBSK|LSAT_9X115340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSIFVGLIRNYSRQMRTNGSLRFLSSSPLSSTASKLEIVYDESPISAAEDESDCGSGGVDDLKSRILRLRLPKRSATNVIEKWINEGHRITSYDLRHISKELRKSHRYKHALELSEWMVSHSEYELSDSDYAIRIDLMTKVFSIDAAERYFEGLPPNAKTTESYTALLHSYAASKQTTKAEELYEKMKESGLKLTPITYNELMTLYMSVGEVEKVFSIIKELKTQNVSPDIYTYNLWISSCASCLKIDEVRTILDEMSSSHEDGKSEIWVRYVNLVKIYLSSGHLVNSDSNSVVESEKRNKIITQREWITYDFLIVLHCALGNKDTLDQIWRSLRMTNQKMIGRNYGCMLSAYLMLGCLKEVGEVIHQWKTSATTEFDVSVCERVFKGFKEVGLIEKAETFHKLLSE >cds-PLY88618.1 pep primary_assembly:Lsat_Salinas_v7:5:139702119:139702451:1 gene:gene-LSAT_5X61001 transcript:rna-gnl|WGS:NBSK|LSAT_5X61001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSMEPTPPPSPDTINSNNFISSIDGLNLSDLHMVFCECGEKIAEQKGEVERIKEEMGRDYLHSRVDVLNMQQRFEKVEKQIKVIALLVEGLVVVMLLLMIFIIHLIISK >cds-PLY97734.1 pep primary_assembly:Lsat_Salinas_v7:1:20812175:20816603:-1 gene:gene-LSAT_1X18841 transcript:rna-gnl|WGS:NBSK|LSAT_1X18841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFRDENEDGRADRDLRKPFLHTGSWYRMGSRQSSMMTSSQMIRDRSVSVLACVLIVALGPIQFGFTGGYSSPTQTAITRDLHLSVSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLCISFAQDTSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNMRGGLGSVNQLSVTIGIMLSYLLGLFVNWRILAILGTLPCLILIPGLFFIPESPRWLAKMGMTDDFESSLQVLRGFDTDITVEVNEIKRSVASSNRRATIRFSDLKQRRYWFPLMIGIGLLVLQQLSGINGVLFYSSNIFQTAGISSSDAATFGLGAIQVIATAVSTWLVDKTGRRLLLIVSSAGMTISLIVVAASFFIKDYVEDNSSVYAAMGILSVVGVVGMVIAFSLGMGPIPWIIMSEILPVNIKGLAGSVATLSNWFIAWVITLTAPLLLAWSGGGTFTLYMLMCAATVVFSALLVPETKGKTLEEIQFSLR >cds-PLY66630.1 pep primary_assembly:Lsat_Salinas_v7:3:64776507:64779585:1 gene:gene-LSAT_3X49700 transcript:rna-gnl|WGS:NBSK|LSAT_3X49700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASYLPHPSTSLTISHKKSGFRHSNLQTTLLFIENNQINSISKPYLTYKTSSHLNFRLNPCCTATKSSTSSSTTNVFLEKLEKDDNLPVVEDSPVKFLFWALLWASFSVALYAFSPADAKAQEAHAAVQSIKASSFGLKFANFLRGSGWPDEAVVFALATLPVIELRGAIPVGYWLQLKPVLLTVLSVLGNMVPVPLIILYLKPLATFLAGTSKPAAQFLDLLFKKAKQKAGPVEEFQWLGLMLFVAVPFPGTGAWTGAIIASILDIPFWSAISANFCGVVLASLLVNMLVNIGLKYAIVACIFMFIASGFMWSALRVLKQRLGSSSSSC >cds-PLY78030.1 pep primary_assembly:Lsat_Salinas_v7:9:43905298:43906677:-1 gene:gene-LSAT_9X40320 transcript:rna-gnl|WGS:NBSK|LSAT_9X40320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSSSPKGIVIPVPVLVLSAAGAAIFLFFLLSSLSSSPTSCSCPTTTASTAVVQASRQQPQERISASEDDIDWVKTQIEVNGLHMQDNVLRKGINPRTRAQQLQDLIQYKGISHYEQEEEAKNHTAFPCPGELLVEQHHSNYGEPWAGGRDVFEFLAESSHLIPESRVLEIGCGTLRVGLHFIRYLNPEHFHCLERDELSLMAAFRYELPAQGLLYKRPLILKGEDMEFVRFGSGFMYDLVYASAVFLHMPDKLVWVGLERLVGRLKPLDGRLFVSHNVKFCSRLGGDECSKRLKSLGLEYMGKHTHDSLLFNHYEIWFEFRRFTM >cds-PLY83827.1 pep primary_assembly:Lsat_Salinas_v7:3:48327572:48330522:1 gene:gene-LSAT_3X37581 transcript:rna-gnl|WGS:NBSK|LSAT_3X37581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKAAFQFGVKMQDGRKTRKQNKDQKITNELHKINKILAKKKMNKGGDANDDDSGGDESPHPGKKLKGDQDSQGPVIVYDNGVTCLMIASRQSCCKSCANVCSDMFMTQEDFGRARACNQQGSTDLVQQAIDSW >cds-PLY76710.1 pep primary_assembly:Lsat_Salinas_v7:3:141647944:141651645:-1 gene:gene-LSAT_3X93700 transcript:rna-gnl|WGS:NBSK|LSAT_3X93700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFEECSTSSSMAEMRRMNCVHKRSKSFPDTNKFEEDSLEPSERVKLDKGRLKNSIPKPAMEIIKEIAVLEYEVSHLERYLLSLYQKAFDQKNSYSSSLRNNKTSKPPLITPRGKYVETCRVDISLQTNSWQDVNQCFKDEEQDRLLDSGVQHCHSSVTRTCPPEIFGKSLRACYSQPHSMTEYVQTNSSNIISLAEHLGTRISDHVLETPNKLSEDMVKCMSTIYCKLAESPLTNHGLSSPTSSSSSMNGFSPKHHPDMMWGPGFRTDSSSFEVQLDNPFHVQGLNEFSGPYSTMVEVRCLYKDNQKLGEITHLLQHFRSLVSRLEEVNPKKLNHEEKLAFWINIHNALVMHAILAYGIPQNNMKRVFLLLKAAYNVGGHIVSADVIQSTILGCRMSRPGQVRVYTPKRVCQELETAKEEFIMATFSLGKDQRILLPKILHSFGKDSGLCEAGIIEMIQVYLQDNFKKSVKKHQLSKSRKKIEWVPHDFAFRYLISKELVNSAINGA >cds-PLY85651.1 pep primary_assembly:Lsat_Salinas_v7:6:85826100:85826516:1 gene:gene-LSAT_6X60001 transcript:rna-gnl|WGS:NBSK|LSAT_6X60001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPYYNPNHNHVSNPSFTSDSNPSSSPNSNPTPNLSNANPNQNPSTNLNPPWATCPRDTLKLGVCANLLRGLISVEVGSPPVKPCCSLIQGLIDLEAALCLCTAIKANVLGINLDVPLSLSLLLNACGNQVPSGFQCA >cds-PLY70842.1 pep primary_assembly:Lsat_Salinas_v7:8:116931975:116934949:1 gene:gene-LSAT_8X81281 transcript:rna-gnl|WGS:NBSK|LSAT_8X81281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MACNGTGCESGCYKDSTGDEVEKSSTKKLNGDVNGDGNHHRHQTTCLKCKAAEATIFTGGEGGSRFCADCFRSNLYGKFKLAVTSHAMISPTDKVLVAFSGGPSSRVALQFVSEMQLKSQKNFDATAIRDRSLPVFGVGVAFIDETELHPITCTDFNKAIEDIKEIVSNLSPTKKELHIVPIRNIYSTKTSDGSETLKNLINTVSDATGKEDLLSHLRMLSLQKIAIENGYTKLVLGSCTSRIACHVLAATVKGQGYSLAADIQYADARWEIPVVLPLRDCLIQELNILCSLDSLKIVEEFKDSRGGINGLVSSFVKLLQEENPSRECTIVRTAGKLTPFPFNKIPETNTDSNDVHLASQRRHKKFNLKPIESLPPDSFCPICNSPIRDLQSVTSFTNSQTTPELFGAACCSSCQYQILPEELSSMEEFYSFLPQSIIERARNGNQKWIREKIQDCLILDDEDEV >cds-PLY67501.1 pep primary_assembly:Lsat_Salinas_v7:6:70061721:70067213:-1 gene:gene-LSAT_6X50761 transcript:rna-gnl|WGS:NBSK|LSAT_6X50761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKKDRKPKKVSGKDEQKEDNTEEVPIKSVENANAHKSQLQRLSEKDPEFYEYLKEHDKELLEFDDEEDGETDMDDDDNTQEGQDEEDETQSSTKKDQESSTKAVTSEMVDSWIKTIHEERRIGAIRSIMRAFRSACHCGDDDSESKLSSMSFPVFNKILLFVLSEMDGILRTILKLPLSGGKKEMIVELMNTRAWKNYNHLVKAYLGNALHVLNQMTDTDMIAFTLRRLKYSSLFLAAFPSLLRKYIKVVLHFWGTGGGALPIVSLLFLRDICIRLGSDCIDECFKGIYKAYVLNCHFVNPSKLQQVQFLGNCVNELYRVDLPSAYQHAFLFIRQLSVILHEALSTKRKEIFRKVYEWKYMNCLQLWTGAICAYGSEADFKPLAYPLTQIISGVVRLVPTARYLPLRLRCIRMLNQIANATNTFIPVAVLLSDMLDMKELNKPPTGGVGKAVDLRTVLRVSKATIKTRSFQEACVFSVIEELAEHLAQWSYSPSFFELSFIPAVRMRSFCKSTKVERFRREMRQFIREIEANSEFTNKKRMSISYLPNDPSASSFMEEEKKKGVSPLSKYVAILRQKAQQRNDSLVESSIIVGEKASIFGKKKRKDDDDDDEDDDDDDDDDDVNVDGAAVFSSTWLPSGDRKAKNLEEDEKDKKEKKRQKQKVVGKKGATDEDVVEDFVLSSDEEEEELSDDENPVETEDVKAKAKPQHKKASGKSSKRKGNFRTKNRSKKRNKAN >cds-PLY69655.1 pep primary_assembly:Lsat_Salinas_v7:5:213771241:213771462:1 gene:gene-LSAT_5X97421 transcript:rna-gnl|WGS:NBSK|LSAT_5X97421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEMSSVTKSIRGWWVRSHADWEIDHDDGYDYAPAARLEGDGDDDDGDYDYAPAASEGDGDDDDGDYDYAPAA >cds-PLY68527.1 pep primary_assembly:Lsat_Salinas_v7:4:21049336:21052858:-1 gene:gene-LSAT_4X14560 transcript:rna-gnl|WGS:NBSK|LSAT_4X14560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDLIRKKNAMGVDQRRGSGMFGVPVLDKINMVVVGFYHGSWEWRRELLQWGIPTKIGDKVLGSSLGGMNLIGASLVGTSTVARNLDGGETGGGFGWFDVVEGDGLRLFPWGGLIRDYECKEGSLNRSVGG >cds-PLY92386.1 pep primary_assembly:Lsat_Salinas_v7:3:123268986:123270413:-1 gene:gene-LSAT_3X85960 transcript:rna-gnl|WGS:NBSK|LSAT_3X85960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIHSYKTSTPSTCNEVVDSKLKSNPRNNLIYGQHHCGKGRNARGIITAWHRGGCHKRLYCKIDFRRNEKDIYGRIVTIEYNSNRNEYICLIHYKDGEKIYILHPRGAIIGDTIVSGITVPIKMGNALPLSAISPPTSIKSYALEEACTVWEGVLIDKKEESTSTDRPLRIAIHNIEITLGNGGQLPREAGAVAKLIAKEGKSATLRLSYGDVYLISKNCSATVGQVENVGSWIEHWLGNRPIVRGVVMNSLNHQHGGGEGRAPIGRKQPTTHLGLSRT >cds-PLY72873.1 pep primary_assembly:Lsat_Salinas_v7:5:169115061:169124312:1 gene:gene-LSAT_5X73601 transcript:rna-gnl|WGS:NBSK|LSAT_5X73601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKPKPPKALKCEDGVDLISNMPEAILVLILSRLKSTKTAIRSSILSRRWRNLWTAVPSVDIQDGGKLKKSKFKEFVYWVLASKTVDLDSFRLCCDGYYNMSTVSRWIHMAVTRNVKQLDLTVCTKENDEAFELPHCLVTCSSLEILKLNLGYCYLSLPKFKGFPALRVLALSDVDFLQDANFVKDFLASCHLLEDLTLSGCVLSKLGLLCISCPKLKKLNIYSEDRRCCDIKISCPKLVVLNLEGDIACNLFFERLDSLKQAMIDATFEGNSVPVLFHGNSHVKPFWKNLYFLCKCIDGACDLALPNLKTAVLQRAMGAFSVDELIQIHKYCPKLENLKLIITKVDDALIATGRAPFIEGLGLESVNVQTQCGFIPVDERMRVPHLYCIGDANGKMMLAHAASAQGISGYGNPQLECSAADERSKLLAYEVIGLEEKPGDAKSVTLVKIGGMQVMESVHAIANNPVTDSNVKTVMESVRARGFGNSKASTKLSYQNLFLLVFLYKQ >cds-PLY62975.1 pep primary_assembly:Lsat_Salinas_v7:1:210511111:210513448:-1 gene:gene-LSAT_1X128621 transcript:rna-gnl|WGS:NBSK|LSAT_1X128621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIISQLQEQVNTIAAIAFNTFGTLQRDAPPVRLSPNYPEPPAPAAGASAATATAAPPTATNTVAANPTTEESPSNNIAEQPKLLSAELVKAAKQFDALVAALPLSEGGEEAQLTRIEQLQAENDLVGQELQKQLEAAEKELKQVQELFNEAADNCLNLKKPD >cds-PLY98047.1 pep primary_assembly:Lsat_Salinas_v7:2:19539762:19541123:-1 gene:gene-LSAT_2X10461 transcript:rna-gnl|WGS:NBSK|LSAT_2X10461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDEIPFHIQELIIKRLPVVSLLRFRSVSKAWKSLIDSSDFVAAHSVTQPQHLLLWYGNPDDDTSEKYVSFIDDDTFPQQRFVHTLPPSVKLLKQPNIIGSSLGLLCLHGLYGDLNTEMVVLYNPSTRKSIYVSVPTNVNPYCEPNLGFRVCPVTYDPKIVEITLFHKPRFHCEAKVYTVSSGKWRNITSNLPNKPFHVFWPQVVVDRFIYWCAFDPLTMDKGLPNHNVIMSFDITNESFEVVELPDCLRLHPPIQLCISNLRESLVMLEYDSFVKGACGVWMMENGVKKSFRKLFTVEAPYWSRTLLTLGFRKSGQPIMEVENRHDHTFGQSEIVAYEPNSECINDLGIYGATGTFCMNSYMETLVLLDRSDCNTKAEVDGFSVDN >cds-PLY99436.1 pep primary_assembly:Lsat_Salinas_v7:6:20238218:20241615:1 gene:gene-LSAT_6X15360 transcript:rna-gnl|WGS:NBSK|LSAT_6X15360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWKKCYLDMILVPLSFMITIGYHLWLWQKVRTKPLSTIIGTNNYGRRLWVSTIMKDNEKKNILAVQTLRNTIMGATLMATTSILLCSGLAAVISSTYSVKKPISDALYGAHGEFMVALKYVTLLLVFLASFMCHSLSIRFINQVNFLINCPPESTVTCEYVSELLEKGFTLNAMGNRIFYSALPLMLWIFGPVLVFVCSITMVPMLYNLDFVFGKSKEKMDEIPSEV >cds-PLY77711.1 pep primary_assembly:Lsat_Salinas_v7:9:18414222:18414431:-1 gene:gene-LSAT_9X13521 transcript:rna-gnl|WGS:NBSK|LSAT_9X13521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDNGVLPSRFRDGRFQSSRGESIGSRRRGGIKAMRSKILTGDEGWREIWAVDSDLRAAALGLLDGKGE >cds-PLY77785.1 pep primary_assembly:Lsat_Salinas_v7:2:168824706:168827643:1 gene:gene-LSAT_2X92861 transcript:rna-gnl|WGS:NBSK|LSAT_2X92861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSFQSLNKPCVLLIVIAGVERFVFKGVASNLVIYLIDVMNMSSSSAARTVNSWCGFTSMLPLLVAPLVDSYWNRYSTILASSFLYLVGLLALTSTALNKSNSSSSSSSSSLFWSLYLISLGQGGYNPSLQAFGADQIDKEDELPTKTDTDKSPAKKSMFFKWWYFGICSGSLIGVSIMPNIQDTVGWGLGFAMPTMAMAVSIVMFSCGSRFYSYSHDRSNDVRSREKVVQAIKCSVSKFVHSKTEEKKPGLVDLELEEKPLCLKGENETVYLVDERSNNSNHLVKIVKVVVSLLPIWTTLLMFAVIFQQPATFFIKQGMAMKRNIGDSYKIPPATLQSAITISIILLMPFYDTIFIPLTKLILRNENGITTMQRIAIGMFLSVIAMIFAATVEMKRLQTSTESELETLSIFWLLPQYILLGISDIFTVVGMQEFFYSAVPEGMKTMGIALYTSVFGVGSFLSALLVYLVEYFTSSEGGKGNWFSDDMREARLDKYYWLLAVTSALSLVIFVLLCNLQKTKS >cds-PLY75174.1 pep primary_assembly:Lsat_Salinas_v7:2:45702527:45703018:-1 gene:gene-LSAT_2X20721 transcript:rna-gnl|WGS:NBSK|LSAT_2X20721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILSLCESILSYNDTLATCQSELEATKLEVQKWFASCCSNAGTLGVSACSSTRHA >cds-PLY66257.1 pep primary_assembly:Lsat_Salinas_v7:3:48019214:48019806:1 gene:gene-LSAT_3X37800 transcript:rna-gnl|WGS:NBSK|LSAT_3X37800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKVGRNLSEQHDTWNILAKAKNEGRLFANIGWPRDPDINKQVKRLHLLLKMKDSAANAPKNLEARRRFRKVKVVLMYTKCSLKCLN >cds-PLY83953.1 pep primary_assembly:Lsat_Salinas_v7:8:37518863:37520926:-1 gene:gene-LSAT_8X30141 transcript:rna-gnl|WGS:NBSK|LSAT_8X30141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNCAGGNQIVEPISRLSKVCGQTWLCGLYSRRREFHDNRDDTGPLLAAFVLSSQNFLNLKGEERGCLEKGGVEKFLEELSKIEFPGPKPVHEACCKFAIPAPEAAPKAATSVEETSSKEKEIVVEEEKNEEVVVAAEVEKTPKTTPVKVEEAAPTEPPKACGVEGLVECDIDDL >cds-PLY66030.1 pep primary_assembly:Lsat_Salinas_v7:1:123784877:123785596:1 gene:gene-LSAT_1X94880 transcript:rna-gnl|WGS:NBSK|LSAT_1X94880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPPKTYQELTSNKHFKFADAFFAGIPHQKLSFREEFLGARANPPKKTNNTQNGHLHPLPISGLNPRPKSSTKFGSQYASIDNPPSRSTFYEHQRSSSGAGTSSDQPITGTNSLSVGGFNSHNSSSGKNPYTALAETSDNTQQSSEKPVSWGSLFTHRRSSSS >cds-PLY87899.1 pep primary_assembly:Lsat_Salinas_v7:MU040310.1:19549:20838:-1 gene:gene-LSAT_0X30420 transcript:rna-gnl|WGS:NBSK|LSAT_0X30420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKNGFSVTGHKQTLTLDWKSIVKKCDDFSGPVNGFVFADFNSIIEQKCPRDSFFDVIGQIVSFRPLETRNRNPSRHYIKMTISNLQYVHLNVTIFGSQAHQMSQYLKSNTTVTCVVIVMQLVKLNVWDGIGQAQSHFDELVKPKVYTVVKLTEDVSIVSELESKLELMSVQSVSLNELPLESDDVIQNVQKDVISQTDESFTPSTADKSTATSPMKIYGDLKRNLHDVYDVDGGGDLSSTKSKRLSMGDGNPLLVPKVEK >cds-PLY62984.1 pep primary_assembly:Lsat_Salinas_v7:3:119091377:119091967:-1 gene:gene-LSAT_3X84360 transcript:rna-gnl|WGS:NBSK|LSAT_3X84360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARLPNDHPVLIDLVDSKDIYDVLLQLARDLYFLESLLIALADLEDDPSEAEEEDDPEEVEDPEDECILQDDQEEIDDDSPVEDKEGLNDEEPSHPTLPSSTHSPFYQPYRFHGKSPLLMRTPRMHVHPVYHLETFTYVSRQTRPISGQKRKPTFSHELAWLTNLINKRKDTDDPPWFEIGKYSHALPHVPLRDDP >cds-PLY92726.1 pep primary_assembly:Lsat_Salinas_v7:7:4964572:4964838:1 gene:gene-LSAT_7X4901 transcript:rna-gnl|WGS:NBSK|LSAT_7X4901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDPIDSSKQEIGDGDLKDPKGWRKQENGDDDIKDSKGLSRQGTAGDVNMKDSDQDFPKETGDDHKGGISTGHDWKDSSGNDGGPSAS >cds-PLY73326.1 pep primary_assembly:Lsat_Salinas_v7:8:260635822:260636127:1 gene:gene-LSAT_8X152400 transcript:rna-gnl|WGS:NBSK|LSAT_8X152400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQRCYSLDVSEKDEESTDWSHKVVLKGESKNLASPTGVSSIECVESMVLEQNHRPLRTYMALQKFN >cds-PLY61909.1 pep primary_assembly:Lsat_Salinas_v7:4:221383579:221385435:1 gene:gene-LSAT_4X121121 transcript:rna-gnl|WGS:NBSK|LSAT_4X121121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADKYGPIFTIKLGVHNVLVVSNAEMAKECFTTNDKVFASRPKSMAVEHMGYNYAILALAPYGDYWRQVRKILTLEVLSQRRVEMLGPLRASEVKASMGDIYNAWVKNKESGSSDMVKVDMKQWFQNLILNVVVRVVSGKRFSPDDKEGVRFQKVIRKFFVLLGTFVVSDFIPYLKPLDLGGYEKKMKMTGEEMYGIVTGWLEDHKRVRAEEKHAQQHERSQVFMDVLISVLEGASPEEFRGFDHDTIIKATCLTVLAAGLDTTSATLTWALCLLLNNPRVLKIAQDELDEHVGRKRAVEESDLKNLVYLDAIVKETLRLYPPGPLNLPHESMEDCIIGGYKIPKGTRLLTNLWKIQHDPSKWSDPEEFQPERFLTSHKHVDVRGNNYELIPFGSGRRVCPAIPFALRSLHITLATLIQQFELKKPSNEPIDMSESAGVTISKAIPLEVLLAPRLSLDMYPVAA >cds-PLY64609.1 pep primary_assembly:Lsat_Salinas_v7:6:35783890:35785608:1 gene:gene-LSAT_6X28400 transcript:rna-gnl|WGS:NBSK|LSAT_6X28400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGRRNGMMDEDDEEDGILILEEDALPESDFEDTPPHLRDLAAAAKHGNVDALRQALDNLDGSIDEPVEDGDTALHLTCLYGHLSCVQLLLERGASVEAKDEDGGIPLHDACAGGYLEIVQLLIGKADSPDCLKRMLESVDVEGDTPLHHAARGEHEEIVGLLLSIGASPTKTNVYGKKPGELAEVDTGAWNVLHSAMAT >cds-PLY69913.1 pep primary_assembly:Lsat_Salinas_v7:4:67805200:67807710:-1 gene:gene-LSAT_4X46300 transcript:rna-gnl|WGS:NBSK|LSAT_4X46300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g40850/MHK7_8 [Source:Projected from Arabidopsis thaliana (AT5G40850) UniProtKB/TrEMBL;Acc:Q42606] MALVSKLPSSSSSSSLTQFRKPLNPSLKPANSIHFTRTSCSASPFTEKHSVERYQRDKWVFKNQLDQNPPENHSNFSTRDYEIALQLPELKKLLEVLREKREKGGDDGSGKGGPGNVFLVGTGPGDPELLTLKALKVIQSADLLLYDRLVSNDVLDLVRPDARLLYVGKTAGYHSRTQEEIHELLLSFAEVGANVVRLKGGDPLVFGRGGEEMDFLQQQGIQVKVIPGITAASGIAAELGVPLTHRGVANSVRFLTGHSRKGGADPLFVAENAADPDSTLVVYMGLSTLPSLILKLIHHGLPPDTPAAAVERGTTPQQRIVLAELKDLGDKIASEQLVSPTLIFIGRVVALSPLWPQSQASSSSSSKKDSHLMGHV >cds-PLY97223.1 pep primary_assembly:Lsat_Salinas_v7:4:95019099:95022369:1 gene:gene-LSAT_4X62881 transcript:rna-gnl|WGS:NBSK|LSAT_4X62881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSLITQFPSNSVLVKSYPKLSSRFSSYLVNSTSFPVKNSAKSKLLFAGGVRKKFNFTLRAAAGENWEPESNVSSFFEEEEKPVPDKEPTEIDLLKKQLVGSFYGTSRGLTATSETRAEVVELITQLEAKNPTPAPTEALPLLNGKWILAYTSFIGLYPFLSRGTLPLVKVEEISQTIDSENFTVQNSVLFSGLGSTTAITTNAKFEVRSPKRVQIKFDEGIIGTPQLTDSIELPENVEFLGQKLDLSPFKGLLTSVQDTASNVAKTISSQPPLKFSISQTNAESWLLTTYLDEELRVSRGDGGSVFVLIKEGSPLLLD >cds-PLY81373.1 pep primary_assembly:Lsat_Salinas_v7:4:36847426:36848831:1 gene:gene-LSAT_4X23921 transcript:rna-gnl|WGS:NBSK|LSAT_4X23921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase [ubiquinone] iron-sulfur subunit 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G65165) UniProtKB/Swiss-Prot;Acc:Q9FJP9] MGLKSTGRKWLTEGYKKVVGGLVNRKQQRFGVLEGHPVGQDHAQAAAEYHQTLKDNIKTLKKEFKVYRWNPDHPSQKPFLQSYFLDLTTCGPMVLDALQKIKSEDDSTLSYRRSCREGICGSCAMNIDGTNTVACLKPIDTDTSKATYITPLPHMYVIKDLVVDLTNFYHQYKSIEPWLKTKKPPPDGREYRQTPAQRKKLDGLYECILCACCSTSCPSYWWNPEEFDGPAALLHAHRWISDSRDDYTEERLQALTENDKRLYRCRIIKNCTATCPKSLNPALAIRQMAAMKSGNRFSASIAETKI >cds-PLY84458.1 pep primary_assembly:Lsat_Salinas_v7:7:128847267:128849335:1 gene:gene-LSAT_7X78981 transcript:rna-gnl|WGS:NBSK|LSAT_7X78981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHVQDSSPFSSSGGNFIVGSSTCETEMEESGTHSASTTGNLLVSDKEGNSLTVRDGPPTDDCCPICFGSFSAPCRGPCGHWYCGGCIMEYWNHVAAFQPCKCPMCSSPITKLTPEATLSQQQDAGIRDVLKNVKQYNCLFAGGASGFILQVFQLPLFVKRLLQAMMDPDRPVAYLSRLRLVAVFLGALYTLSPFDFLPRWRYLDAIDLFDCSAIVLSFSLYFVGLYTRRRRLRHLRQLAQVPRFDIDM >cds-PLY82696.1 pep primary_assembly:Lsat_Salinas_v7:MU041497.1:182837:183226:-1 gene:gene-LSAT_0X24960 transcript:rna-gnl|WGS:NBSK|LSAT_0X24960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTSTAGHGTAGPSGVRPSDAGPRAPSPQQVIGIPVRQPVMTPEESWRLQSIAAGLHHSLDVIAGHREVLNEVLTGMEILFQHSMETADTACTARRVACRAMTAYYVLVGLLLIVVLMLLVGIAISGWF >cds-PLY95726.1 pep primary_assembly:Lsat_Salinas_v7:2:116509548:116510024:-1 gene:gene-LSAT_2X53940 transcript:rna-gnl|WGS:NBSK|LSAT_2X53940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSYSFSSSLNRSSKKSTAHDTKTCDCGFPARILTSKTPKNPGRHFMVCNEGKCKYWKWLDVEPVEMPLMEVVEGMKVELIALKTEVEKVKEDMEQMRKEKYSDAIAMKEKIYKFTIGFLFLIIVYMMK >cds-PLY90302.1 pep primary_assembly:Lsat_Salinas_v7:2:198248373:198248939:1 gene:gene-LSAT_2X119161 transcript:rna-gnl|WGS:NBSK|LSAT_2X119161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQVSFSEKTLKGLTKLRESGAIILGKASLSEWAFFRSSTAPSGWNARTKQAINPYVATHDPCGSSTGSAIAVATSMVTVSLGTETDGSILCPSCANSVVGI >cds-PLY88993.1 pep primary_assembly:Lsat_Salinas_v7:8:242014876:242015387:-1 gene:gene-LSAT_8X144401 transcript:rna-gnl|WGS:NBSK|LSAT_8X144401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSNTHTRGYKEWALRNHWFEDLRCDCDEPATFSISKTVDNPWRKFRGCPNYQDSKKMRIFLWLDPPLPNTYYKETMWKFHMDLKEANNNKAFAMEVLKLSEEVKNDKEVQLDILNLLKVELLMMVMLLVVVIVMGFMVHNVMVKAL >cds-PLY76381.1 pep primary_assembly:Lsat_Salinas_v7:8:85526292:85527689:-1 gene:gene-LSAT_8X60841 transcript:rna-gnl|WGS:NBSK|LSAT_8X60841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPMKQERRETPIHNQDLPPYFNGYDALLQFMESFGLWWLSFKKFERCTFSNILDYLGTSHDVDLPAEYLSNKSRLLQQFL >cds-PLY92134.1 pep primary_assembly:Lsat_Salinas_v7:3:143102454:143106734:1 gene:gene-LSAT_3X93281 transcript:rna-gnl|WGS:NBSK|LSAT_3X93281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLILLDDDDNDSHIEEDEELAKALEESLNVDISPPQNDYGNVIPPLSYSYSGGYRICAGCNVEIGHGRFLSCMGGVWHPECFRCHACNLPISDYEFSMSENRPFHRSCYKEHHHPRCDVCKNFIPTNGNGLIEYRAHPFWAQKYCPSHEHDRTPRCCSCERMETRDTKYLLLDDGRKLCLECLDSAIMDTHECQPLYLEIQDFYEGLNMKIEQQVPLLLVERQALNEAMEGEKNGHHHMPETRGLCLSEEQTISTITKRPRVGAGRLIDMFTEPYKLIRRCEVTAILILYSLPRLLTGSILAHEMMHAWLRLKGFSNIPPNVEEGICQVLAHMWLDSEIMAGSGSSNIASSSTSSSSSSATVATSSKKGKRSDFEKQLGEFFKHQIETDTSAAYGDGFREGNKSVLKYGLRSTLDHIRLTGRFPC >cds-PLY68851.1 pep primary_assembly:Lsat_Salinas_v7:3:62953063:62953518:1 gene:gene-LSAT_3X49461 transcript:rna-gnl|WGS:NBSK|LSAT_3X49461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDATDVNPWGKQVQPSKKLVSYTSSGKFSKKVSQKFHKTKVAAASGAKKIKAGASAGVNWLKIKYHAHKLSKRNKSV >cds-PLY83831.1 pep primary_assembly:Lsat_Salinas_v7:3:49389467:49390375:1 gene:gene-LSAT_3X36921 transcript:rna-gnl|WGS:NBSK|LSAT_3X36921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTITGKISREVEIKCDCHLVYDLYKNNPHDSSVADPEKVEACHLVSGQWGVPGSVIHWDFYHDGRKETCKEIVEEVDDELHKIVFKVIEGNILEVYNSFSFILKTQDVGDKKLVIWTIEFEKANASIPDPTSYLDLLCGIAGNMDAHFLKQS >cds-PLY97384.1 pep primary_assembly:Lsat_Salinas_v7:4:16432528:16434230:-1 gene:gene-LSAT_4X10720 transcript:rna-gnl|WGS:NBSK|LSAT_4X10720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILQQKKVLEDKINDAVKKYPDNQLVKEWKNKVNDLFTEVSASEEPEQSQWWYDNEAEIERTLILATTNKQFDNSPIAKCSIQMSQEYADFANRSGTKSFKNTPPSKMEMPIPLSVVPFNNDEHWVSRRGYRPRMKSEYLKSPYVIRAVDIIKGVPRQEKRVAEWIFSLQGEPNDIVFHTLDGFSAQRFHMESFFPTCELFGHVIDCWSQVLNLDESKRAPESPLRVYCKTYVTLFLPIIRSFHIFLFVINLQQPEFVIVDNSKVDDPDGERYGQLPQIIKEYIVDYLKSQNHPKAEMFSHVMPHRLEMPWRTINNNIDCGVFTMRHMETYMGGSMNEFKAGFKNESSAQDDQLVKLRTKYLYKILTHEYNVQKDYVLQKVDEFHKIPSKQRSQMLAIAKEEIHRRLDDLS >cds-PLY89088.1 pep primary_assembly:Lsat_Salinas_v7:9:28377568:28378978:-1 gene:gene-LSAT_9X24221 transcript:rna-gnl|WGS:NBSK|LSAT_9X24221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVDGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKSQTFDNIQRWLRELRDHADSNIVIMMAGNKSDLNHLRAVAEQDGQSLAEKEGLSFLETSALEAHNVEKAFQTVLTDIYHIVSKKALAAQEASGPTALPGQGTTINVADSSGNNTKRGCCST >cds-PLY70069.1 pep primary_assembly:Lsat_Salinas_v7:8:114134456:114135059:1 gene:gene-LSAT_8X76301 transcript:rna-gnl|WGS:NBSK|LSAT_8X76301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLCFCGKFAVVKCSWTSKNPGRRFYACPQKDSACRFIGWVDPPMCERSKVIIPGLLRNINRMQAWCTALKIMLLASWVKERLM >cds-PLY83707.1 pep primary_assembly:Lsat_Salinas_v7:4:40172153:40175126:1 gene:gene-LSAT_4X26121 transcript:rna-gnl|WGS:NBSK|LSAT_4X26121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGATTHQQQQQHQAPQLAPPSSSSSSAAPTTSPEEDEFLKRNTDCVYFLASPLTCKKGSECEYRHSDIARVNPRDCWYWLNGNCLNPKCAFRHPPLDGLLGAADVSTPMAVAPPPSVPTLTPHPAPYPTPKQGVACIFFQKGFCLKGHLCPFLHGPPNSVNNKPAQPGSANPVTEPPKMSIQDQKFTPPETAQKPVEFKGRQPPPPARNGGNKRERVVLPPVMGETPPTNPNPSVNHGYYVSENERVLNVKDADEYSRDPSPGFDVLVDNQVEDSEYYRENDEFVRSRGQDYDVDHDHRDFDHYNEREGQFAWDESRESSGRMLVGSAHQERRPYPRSDSPDHLDLRHRISKQRRVSENTLDRRPRRDSHRDDHHHHNHNRRPEQGGALSSRLRGRIKIPGRSVSPSNEKNSSRVEREIDMGRRHQSRYSPGRPLSLNSRVRDRIKDNNGDNDTKFAGPKRLSELKSGRTSEALEDGEILGKRKYPKVEDNLSFEGPKPLSEILKRKRGTDSDNRISSVIIEEKNQKEREIVKEEEEHIVLNDFEAEKQTEEDGKLYENDEVNESGMVDEDALLDEELEGYDDHGDGDYEYDQIDGEEDYNLDEGEFVDEEYEEKELVENN >cds-PLY99474.1 pep primary_assembly:Lsat_Salinas_v7:5:333308273:333311266:1 gene:gene-LSAT_5X186400 transcript:rna-gnl|WGS:NBSK|LSAT_5X186400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDENCKKTKVSWPKTLKRWFSVKSKAEDFHADDFISGGGDEEWRNNFNEREACTIKKNKRTEKSSKKNTNRRSRSKIELDATLVRDVDNYRIFVATWNVAGKSPPSGLNLEDWLHTSPPADIYVLGFQEVVPLNAGNVLGTEDNGPAKKWLALIRKTLNSLPGTSGGFHTPSPIPDPVVELDSDFEGSRQKASSFFQRRSFQSLSRSMRMTESEMSIPPPQFERRYSVCDRAMFGNRPGDYEPYFRWGGGSSDEDNGPDDSPNDTHYSQIPYSGSFSMEEKDKLIGNSRYCLVASKQMVGIYLTVWVKSDLRDDVRNMKVSCVGRGLMGYLGNKGSISISMSLHETSFCFICTHLTSGQKEGDELRRNSDVIEILKKTRFPRVQRKGDENSPQTILDHDRIIWLGDLNYRIALSYRAAKALVEMRNWRALLENDQLRIEQRRGRAFQGWNEGKIYFPPTYKYSNNSDRYAGDDMHPKEKRRTPAWCDRILWYGRGLHQMSYVRGESRFSDHRPVYSIFLAEVESINRSRIKKTTNGSSRIEVEELLPYSRRYGDLNFY >cds-PLY97816.1 pep primary_assembly:Lsat_Salinas_v7:9:2202735:2205198:-1 gene:gene-LSAT_9X5581 transcript:rna-gnl|WGS:NBSK|LSAT_9X5581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTICNLLLISFFIALYTSSVGAATPAVCQYTPYPSICQASLPVSNSSATVYDYGRFSIRKSISAATKFSNLIDKYLSSSASLTTGAIRALEDCKYLAGVNVEFLSSTFQTVNTTQSVLSDIKSEDTQTMLSAILTNTETCIDGLQANAGSWSSKNGIVAPIKNDNKLYSVSLALFNKGWGSKNKRFGFSSKKNSGFKNGGLPPFKMSEKSKAVLETVGRRKLLQSTGGAGNQLVISNIVVVSQDGTGNFTNITDAINFAPNNSLSTAGYFLIYLRAGVYEEYVNIPKNKKYLMMIGAGINQTVITGNHNVVDGWTTFNSATFIVVAPNFVAVNMTIRNTAGAVKHQAVALRNGADLSTFYSCSFEGYQDTLYTHSLRQFYRECDIYGTVDFIFGNAAAVFQNCNLYPRLPMSGQFNAITAQGRTDPGQNTGNSIQKCIIRAADDLASSNSSTLTYLGRPWKEYSRTVYMQSFMDSLITPAGWSIWSGDFALNTSYYAEFNNSGPGSDTSRRVTWPGFHIINATDAVNFTVSAFISGDGFLPQTGVPYDGGL >cds-PLY89044.1 pep primary_assembly:Lsat_Salinas_v7:9:28123187:28125492:-1 gene:gene-LSAT_9X24581 transcript:rna-gnl|WGS:NBSK|LSAT_9X24581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALPSTFLPAFVFLTFCLCLYPHLASAKHGSTGVTRHYKFNIRMHNVTRLCQSKSIITVNRKFPGPRIIAREGDRLVIKVVNHVSNNITIHWHGIRQLRSGWADGPSYITQCPIQTGQSYVYNFTIIGQTGTLWYHAHVSWIRSTLYGPIVILPRRNTSYPFVKPYKEVPIIFGEWWNNDTEAVINQALQTGAGPNNSDAYTINGLPGPLYNNCSSPKETFRLKVKPGKTYLLRIINAALNDELFFKIANHTFTVVDADASYVKPFETDTIFITPGQTSNVLFKTKNLTSNAQFMMAARPYSTAAVGTFDNTTVAGVLEYISDTMSSSSNITSIKGLPLPTLPAINATAYVANWTNKFRSLGNSQFPVNVPQTVQNRYFFAVGLGSDPCPKNQTCQGPNGTKFAASINNISFTSPTIALLQARYFGKSNGVFTTDFPSTPLSPFNYSGTPPNNTMVSHGTKVVVLPYNTTVELVMQGTNIFGAENHPLHLHGFNFYVVGQGTGNYNSTTDPANFNLVDPVERNTVGVPSAGWVAVRFRADNPGVWFMHCHIEIHLSWGLRMAWAVMDGKLPNQKLPPPPSDLPKC >cds-PLY84041.1 pep primary_assembly:Lsat_Salinas_v7:6:192536984:192537321:-1 gene:gene-LSAT_6X118201 transcript:rna-gnl|WGS:NBSK|LSAT_6X118201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCRTRFGPKSDQGMTTSSAGSMTPRSPLMTPKTSQIDLLLAGKGAYSEHDDLPQMNELADIARCAGNTPLDDDRSLSYLLTXKSHDRPEKV >cds-PLY87174.1 pep primary_assembly:Lsat_Salinas_v7:5:259020687:259021534:1 gene:gene-LSAT_5X129280 transcript:rna-gnl|WGS:NBSK|LSAT_5X129280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRRFNGNPFTRTNTVLNRRIVNPPTFPNTLTTAVLRKSIGSSMFQDGKENHKDIVRSPTRSYEKSFMEPTILAASKFTPSPRKKVLDEKNEVVRTSIQFLEKDFIMKSEATPLDQPSMTEEESNEMVTLEQNEVVLETPHVRKVTFVLIDKATDVTDDFDLARTRPFCCSPQTSSIITPFHANPLPPYDPKKNFLSPRPQFLRYKPNPRIELLLNKLDENYGYGEDDFIGLEDGFNLSDTLTEA >cds-PLY84261.1 pep primary_assembly:Lsat_Salinas_v7:7:79291773:79294173:1 gene:gene-LSAT_7X55681 transcript:rna-gnl|WGS:NBSK|LSAT_7X55681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDHSFFNNMMLNLRSTCKYYTGYPKDLGPSRVLHFTSEREFVHLLHQGHRVVVAFTIKSNYTKHLDKVLEEAAAEFYPEIKFMRVECPKYIGFCMTRQKKDYPFIEMFHSPEQANQTRGVDPNVTKYSVKVLPYNYDVSAYGFREFFKRHNIQSSSHK >cds-PLY67078.1 pep primary_assembly:Lsat_Salinas_v7:5:283732970:283736450:-1 gene:gene-LSAT_5X150660 transcript:rna-gnl|WGS:NBSK|LSAT_5X150660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSRETEPEPWLLENGTVKRLTRESRNGLGHNRTAHNLSSSSLRKKSDLSLISTLPSSSLRNFLGNLQEVILGTKLSLLFLAVPLAVAAHYFRLSQAWIFSLSLLGLIPLAERVSFLTEQISFYTGATVGGLLNATCGNATELIISIFALIQHKVDIVKYSLLGSILSNLLLVLGSSLLFGGITNLSRDQKFDRKQSDVNISLLLLSLLCNAIPLLYKHAVSPVDLVTVTKATLNLSRATSIIMLLAYFAYLSFQLWTHNHIFEPQEDEAKDDKVIGLWSGIFWLIGMTAVIALLSEYLVDTIEAASNSWGMPLSFTSIILLPIVGNAAEHAGAVIFALKNKLDITLGVALGSATQIAVFVVPLSVIVAWIIGVNMDLDFSLLQTGSLSLAILVTAFTLQDGTSHYLKGVVLLLCYIVIGASFFITDFPNEDMDNNMGVGLESSKR >cds-PLY83217.1 pep primary_assembly:Lsat_Salinas_v7:1:49561382:49562541:1 gene:gene-LSAT_1X44060 transcript:rna-gnl|WGS:NBSK|LSAT_1X44060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKIGLKKGRWTTEEDEMLTKYIQANGHGSWRSLPKKAGLLRCGKSCRLRWINYLRGDLKRGNYTQEEETTIIKLHTSLGNRWSVIASHLPGRTDNDIKNYWHSHLSRKTYAFFRTKHDSTKTSVNMQENMVSRTKQRLGRVSRCMAKKYNQRSVVNNSALPIPMRIRGKPDEQKMGKDSTSDNGNNKESQMSSNEIKMKENDHEKGFRDDEPMDMSFLIEGDLVDSFGILRDHDEDHIETRSTEFDVFVNSLLNACDVDDKEDENLTSNSLEGMGCCFGMDSVSDGEMGFGFEWFNLYDNSDDDGMVIWPWN >cds-PLY93078.1 pep primary_assembly:Lsat_Salinas_v7:9:25472339:25474833:-1 gene:gene-LSAT_9X22840 transcript:rna-gnl|WGS:NBSK|LSAT_9X22840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGEKKTGSGNEPQDMDQDQGHHGSSVDVESALALLANDINKIMRCIGPMVNKLEDLDKRVELMETGLKEYQEERVDLHPTREKPPPFNPDIRFPTFPEKFDCDVFRKWVKEVELYFEYYHVPGYQQFELVVASLPQEGEVFQWWQDIKELCTRTRLPLLIPIGWNEMKRLVMHKFLRPMLNKTSTVSL >cds-PLY99859.1 pep primary_assembly:Lsat_Salinas_v7:4:46198025:46201566:1 gene:gene-LSAT_4X31500 transcript:rna-gnl|WGS:NBSK|LSAT_4X31500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVACPITCRRICNCKLGFPSELRSEKGQIEFLEAAARVDAIFKNPSLIYGKPKSVQVLVPKVVVASPPPPPLPPPIQAIVTPNASAIVDGGGVGDAAEELLSAQTKRAAMQKKAAAASVAAEDFARRFESGDMAEGVAKDLAADEQGLSNAKVMCRLCFSGENEGSERARKMLPCKTCNKKYHRSCVKSWAQNRDLFHWSSWACPSCRTCEVCRRTGDPNKLMFCKRCDGAHHCYCQQPPHKNVSSGPYLCPKHTKCHSCASTVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCHCDGISDEKYLQFQVDNNLQYRCATCRGECYQVRDLEDAVQELWRRRDKADRELTASLRAAAGLPTQEEIFSIQPYSDDEDNKPSSKNEFGRSLKFSLKGVVDKSPKKNKESLKKSGNKKSVKKKGLHGPVIEGHSDAQSTGFITSDNNKDDEFDGVCSINHTGVKSERNVNRVDTMNENGKLSNNTKGPKLVIHLGSRNKSISNSPRSDASNLHKDQELLTSNGVSDHHHHHHHHDQTKGLKLRGKEGNTIKIRKLNPEVSVGGKKNTERGRAPHTSELKPLLRLKFKNPYSENQTSWGASGEDDKSCVKGQRSKRKRPSSYGKEDEDEDDMMMDDDIMDANWIIQKLGKDAIGKKVEVHQPLNNTWHKGVVIEVFEGTSVVSVTLDEGKKMSNVDLEKQGIRFVSQKQRR >cds-PLY67713.1 pep primary_assembly:Lsat_Salinas_v7:4:3326142:3326345:-1 gene:gene-LSAT_4X1241 transcript:rna-gnl|WGS:NBSK|LSAT_4X1241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSRTLGRNLTAEGWESFQFRFGVVSEHGVQIHLPDASLYNLLRVNSGSQSPCSRQVCASGSMGFQ >cds-PLY87209.1 pep primary_assembly:Lsat_Salinas_v7:4:308396991:308409094:1 gene:gene-LSAT_4X155261 transcript:rna-gnl|WGS:NBSK|LSAT_4X155261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKASSSTASTDYNDFQSREKTFTQALKALEPNNASHMIALCGMGGVGKTTMMQRLKKVVKQNRMFSYMVEAVIGEKTDPIAIQQAVADYLRIELKESTKPARADKLREWFKANSGEGKNKFLVILDDVWQSVDLEDIGLSPFPNQGVDFKVLLTSRDEHVCTVMGVGSNSILNVGLLIEAEAQSLFQQFVETSEPELHKIGEDIVRKCCGLPIAIKTMACTLRNKRKDAWKDALSRIEHYDLRNVAPKVFETSYHNLHDKETKSVFLMCGLFPEDFNIPTEELMRYGWGLKIFDRVYTFIKARNRINTCIERLVQTNLLIESDDVGCVKMHDLVRAFVLGMYSEVEHASVVNHGNMPRWTENDTTDSCKAISLTCESMSGNIPGDFKFPNLTILKLMHGDKSLRFPQDFYEGMEKLQVISYDKMKYPMLPLSPQCSTNLRVLHLHECSLKMFDCSCIGNMANVEVLSFANSGIEMLPSTIGNLKKLRLLDLTDCHGLHITHGVFNNLVKLEELYMGFSDRPDQTRGNISMTDVSYNELAECSKGLSALEFQFFENNAQPNNMSFGKLKRFKISMGCTLYGGSDYFKKTYPVQNTLKLVTNKGELLDSRMNELFVETEMLCLSVDDMNDLGDVCVKSSRSPQPSVFKILRVFVVSKCVELRYLFTIGVAKDLSNLEHLEVDSCNNMEQLICIENAGKETITLLKLKILSLSGLPKLSGLCQNVNKLELPQLIELKLKGIPGFTCIYPQNKLETSSLLKEEVVIPKLETLQIDEMENLKEIWHYKVSNGERVKLRKIEVSNCDKLVNLFPHNPMSLLHHLEELEVKKCGSIESLFNIDLDCVDAIGEEDNMRSLRNIKVKNSWKLREVWCIKGENNSCPLVSGFQAVESISIESCKRFRNVFTPTTTNFNMGALLEISIDDCGEYMENEKSEKSSQEQEQTDILSEEVKLQAVTDTISNVVFTSCLIHSFYNNLRKLNLEKYGGVEVVFEIESSTSRELVTTYHKQQQQQQPIFPNLEELYLYYMDNMSHVWKCNNWNKFLQQSESPFHNLTTIHMSDCKSIKYLFSPLMAELLSNLKRINIDECDGIEEIVSKRDDVDEEMTTSTHSSTILFPHLDSLTLFRLDNLKCIGGGGAFLDRFKFSQAGVVCWSLCQYSREIEIRSCHALSSVIPCYASGQMQKLRVLKIERCKGVKEVFETQGISSNKNNKSGCDEGNDEIPRVNSIIMLPNLMILEISKCGSLEHIFTFSALESLRQLEELMILDCGSMKVIVKEEHASSSSSSKEAVVFPRLKSIKLFNLPELEGFFLGMNEFRWPSLAYVVIKNCPQMTVFAPGGSTAPMLKHIHTALGKHSLGESGLNFHNVAHRQTPFPSLHGAISCPVTTEGMRWSFHNLIELDVGCNRDVKKIIPSSEMLQLQKLEKIHVRYCHVLEEVFETALESATTTTTVFNLPNLRHVELKVVSALRYIWKSNRWTVFDFPNLTRVDIRGCERLEHVFTSSMVGSLLQLQELHIWDCYHMEEIIVKDTNVDVEADEESDGKTNEIVLPCLKSLTLDWLPCLKGFSLGKEDFSFPLLDTLEINNCPEITTFTKGNSATPRLKEIETSFGSFFVAETDINSFIKIKQKVK >cds-PLY95951.1 pep primary_assembly:Lsat_Salinas_v7:9:40569369:40576812:1 gene:gene-LSAT_9X36200 transcript:rna-gnl|WGS:NBSK|LSAT_9X36200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSRYLRGNAMETLMFFLLFVMSNMVLSTKLSNDHLSRHSGGGQLVELSSSYCLSWRLATETNNLHGWRTVPIQCLRHVEAYMIRGQYDSDVKLIVDEIRNYIDQLVLSDDGMDAWILDVDETCLSNLYYYEGKRFGGDPYDPHAFKEWALIGICPAIPSILGLFRKLVETGFKVFLISGRDETAFGQVTVQNLHLQGFFGFQRLILRSEAYKGQSGVVYKSEIRRKLMEEGYRIWGNVGDQWSDLHGEFVGNRTFKLPNPMYYVP >cds-PLY73290.1 pep primary_assembly:Lsat_Salinas_v7:5:132704839:132706266:1 gene:gene-LSAT_5X57501 transcript:rna-gnl|WGS:NBSK|LSAT_5X57501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATFRNRSTYMARAAGHDISPDNKDYDIMQTFVPNGMQSQRWKDLCREWNTDAWLKRSASGKSNRNTADSGGKIARHTGGSISYDEHRIRFETYSRTLLEKYGDDLVDHPIDDAELWAKTQREISGASRCSYIYGVGSSDINSLFNRKSSIGAGCSSSYCGSQQEVKELRNQLENVERGRVLMQQKQEVMEQQLAQLMRRFGNPPEDRC >cds-PLY76683.1 pep primary_assembly:Lsat_Salinas_v7:8:216476527:216480161:1 gene:gene-LSAT_8X134801 transcript:rna-gnl|WGS:NBSK|LSAT_8X134801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLRVKVGGLEDVVLSEPVSPTGQYFNSSVLSISILCVLEFENPFDDSTSLALVNDVFLPINPRFSSIMVEDKQGGKQWKRVEVNAEDHIRIPCFPEGLSTESYDHCFNDYLSKMAKDPLPQTKPLWEIHIIKYPTSNASGNVVFKLHHSLGDGYSLMCALLSCLQRADNPSLPLTLPIFRNSLKPEKVPKSIISRVPQVLSCAVNTVMDFGWSVLKSSFLEDRRSPIHSGNKGVEFNPINITTITFSLDQIKQIKSCLHVTINDVICGIIFLGTRLYMDVTSEEAKNESSTALVLLNTRYINGFKSLNEMCQNQESKSLWGNKFAFLHISLPQLHQYDESLKPLKFVQEIQSIIKRKRNSAAVYLTGMLLESMRKYRGPEAAAQYVHNTIRNPSMAVTNMIGPVEKMALSNQPVKGLYFMVVNSPQSLVVTIMSYMDQLRVTIGAETGFIDPVKFRTCTEKAFSMIFDAAMKSK >cds-PLY98313.1 pep primary_assembly:Lsat_Salinas_v7:7:167399235:167401679:-1 gene:gene-LSAT_7X99681 transcript:rna-gnl|WGS:NBSK|LSAT_7X99681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRNRTHFDTKPPVEKRNESASEMADQSSNSPAREGMVTYCYLLLYIALSSGQIFFNKWVLSSKEINFPYPLGLTLLHMVFSSVLCFVLTKVLKILKVEDGMTLEIYTSSVIPIGAMFAMTLWLGNTAYLYISVAFAQMLKAVMPVAVFILGVAAGLEVMNTRMLMIMCLISFGVLVASYGEISINWVGVVYQMGGVVGEALRLIFMEILVKRKGLKLNPISMMYYVSPCRQNLDSLCSALCLLVPWVFLEKPKMDAQGTWTFHPLVLTLNSLCTFGLNLSVFLVIQHTSALTIRVAGVVKDWVVVLLSALLFADTKLTIINIFGYAIAIGGVAAYNNFKLKKEASRVNENPKPSLGQ >cds-PLY98432.1 pep primary_assembly:Lsat_Salinas_v7:7:89619422:89624927:-1 gene:gene-LSAT_7X62441 transcript:rna-gnl|WGS:NBSK|LSAT_7X62441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 16 [Source:Projected from Arabidopsis thaliana (AT5G19010) UniProtKB/Swiss-Prot;Acc:Q8W4J2] MQSDQRRKGSAEVDFFTEYGEGSRYKIEEVIGKGSYGVVCSAYDTHLGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDTWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSPEAIARIRNEKARRYLSSMRKKKPIPFSQKFPNADPLALRLLERMLAFEPKDRPTAEEALCDPYFKNLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYREILEYHPNMLKEFLDGSEPTGFMYPSAVDQFKKQFAHLEEHYGKGTSAAPLERQQSSSLPRACVLYPKETVEVTNGLSKCSIKEVGKQYITSAAMPMNRLPLQVPQTIQGGGSAARPGKVVGSVMRYNGGPPPAAAAGAGDSLEQRRAGRNNPVAAPTQFPLPASSYPRRSNNSAACKNDKVETAIEVSANGLQARPPYVPRKLAAAQGGGPGSQWY >cds-PLY69817.1 pep primary_assembly:Lsat_Salinas_v7:6:2767328:2768098:-1 gene:gene-LSAT_6X401 transcript:rna-gnl|WGS:NBSK|LSAT_6X401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPINTIPCKCQATNTCGISSFSSSPMAIHKPSSVVFLVFLCLSICAAARVLLSVEEGYSHGGYEGGSLSGGGGGGGGSGGGGGGGAAYGGGGYGSGSGAGEGGGAGGGGGGGAGAGVAGGHGGGYGGGEGAGSGGGYGGAGGAGGGGGGGHGGGGGGGGGAGAGGAAGGGGYGSGGGAGAGGGAGGSHGAGGAGGGGGYGGGGGGGGGAAAGHEGGYGAGSGSGGGEGGGHGGYIP >cds-PLY98364.1 pep primary_assembly:Lsat_Salinas_v7:5:315328492:315331670:-1 gene:gene-LSAT_5X172941 transcript:rna-gnl|WGS:NBSK|LSAT_5X172941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYWLKNLIRLSSPVKHHSTAKFTPTLFSLQHLHHFATAGDAVAPPVSGLGPTKKTEKPRVVVLGSGWAGCRLMKGIDTSIYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPIGRIQPAISTEPGSYFFLANCKGLDSKNHEVHCQTITDGLRTLEPWDFKISYDKLIIASGAEASTFGINGVKEHAIFLREVHHAQEIRRKLLLNLMISDVPGVSDEEKRRLLHCVVVGGGPTGVEFSGELSDFIMKDVHQRYSHVKDYINVTLIESGVRLVRGTVKDVQPKKIVLSDGTDVPYGLLVWSTGVGPSSFVQKMDLPKAPGGRIGIDDWLRVPSMPDVYAIGDCSGYLESTGKPTLPALAQVAEREGKYLAELLNKIGKAGGGHANGVGDIELGAPFVYKHLGSMATVGSYKALVDLRQSKEAKGLSIAGFASWFIWRSAYLTRVVSWRNRFYVAINWLTTFVFGRDISRI >cds-PLY79028.1 pep primary_assembly:Lsat_Salinas_v7:3:8048529:8053198:1 gene:gene-LSAT_3X5961 transcript:rna-gnl|WGS:NBSK|LSAT_3X5961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKCNLNPLYVIRRDIDLSSIDWSDYIVDCLVRTKKVYNPEKESSFFYGVAAYLMLLDVDTFKFDHLQVTRKRPIIFYWTSEKIRFLKDILQESGGFGCGHVNEAYVEEEFQESEYNKEESGGDEVESDGEEDLCDEDEEDFDVNKVSDVEVYKSKISYMYQMMEDLKKDLVVKIDEGVLKFPQSKGDYDEDDEQGSGSGCNKEEDMNLNSVVENVTKSVGLIDSQEGVSFSQFICDPVVESFFKTLDQGTDGCLNQKLVEDDVNLNLTGIDDGTVNLGEDDHKNKVISDRTVDKIIVAKKDGECEDVEDCSNKNKDGDDADFDDKYGAVFKPLKKSFLKYLKEISHVKANEMADKSITPVRLTMSWRTVYKKVDCGVFAMRHMESYFGEKCFKWKCGLPKEGGSQEKILEKLRMKYAAAILTSEINTKRDDVLKAAYEYQKVDQKIRGKHAYDAQWNIERRSLLDVFEVEECCSFKEYFFLMNNISLHQICSKDLLGSMEIIFISNGGRLVGVSYNLYENLARNMKNKNLGKWGT >cds-PLY79700.1 pep primary_assembly:Lsat_Salinas_v7:5:253435612:253464737:1 gene:gene-LSAT_5X128881 transcript:rna-gnl|WGS:NBSK|LSAT_5X128881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMHNSASYQVSLVAFSMLWFLLQASVITTNFSIGVILDQTSRPGKEAKVAIEIAIQDFNIKTSQTSVLYLQNSRNKPLHAAIAAKHLIDEDNVKAILGGHTWEEASAIAEVISEADQNVPVFLSLSTTPQVTHQWPFFFQAVPTQSTQMNAVAAILQSWGIRQVTLIYEASQLSSTSSIISHLSQAFRQTGSELNHILPLTSASCLLEEELEVLKKQQVKVFVIHTSLELGIRLFQAAKKMEMTGDGYLWIATNGITDSFHSINSSLISSMKGMIGVKSYFPENIPEFTDFSKRFRRKFSSDYPEEEEDEPGIFAVQGYNAVKLLEEISPENFDHKILPPQSIVEIVKVVGKGYHSVYWTEGSGFSETMDDDINGATTYTNSMDSVGQALWPVQPWYANKRRRKLAGNPEIRMRVGVPGRSLFKQFVNVEYNPKKNETVISGFVIAVFDEIMREMKLPYDYFPFNGSYDELMRQIPAEKFDAIAGDVTIVARRHEYVDFTQPYTESGLEMIVPIRSKLSNQPWLFMKPFTAQMWWLIAAITLYNGFIIWLIEKPHCKHLQGSIITQIGIVIWLAFTTLFTLRGDRLHSNLSRMAGVVWLFVALIITQSYTASFASMLTAQRLEPTITSVEMLRNMNATVGYCNGSFINYYLKDVLGFKSFKVNSYNSTHRYAEALNSGEIAAIFLEAPVAKVFLAQYCKSFVRTGETFKVGGFGFAFPKGFSWLSDANKALMNVSESGKLKELEDTFLTSEKCVDDESFRNGDESLSPLSFSILFVLTGGTSTVALVVYVVMSIRQFKESNPGVTNIFELIYVFMKDQWYQWRHSSRVVADAESPTGLQMGPVNTS >cds-PLY83182.1 pep primary_assembly:Lsat_Salinas_v7:2:74074223:74077928:1 gene:gene-LSAT_2X33400 transcript:rna-gnl|WGS:NBSK|LSAT_2X33400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSALLEVLTCTIPNETSSVVGFLIFTIDIVYGRSLIGENTSLGYGEDMTSCVTKTGVTYGGHDTIHGSAEMEYDYKFTNGGEVELNDELMLDRRMLQQTQGTSFGTYNYGLPTAQSYINPPLNMGPYNSQGNNNPSTYNMPTFSNPPSTMGGYTNNGYSNPSTYNMPTYSNPLSTVSSYNGRVNSNPSNTMGGNTNPGYGYQIPDMDDNEGGGGGGGGGGGGDGGGGGGGGGGDGGGGGGGGGGK >cds-PLY75067.1 pep primary_assembly:Lsat_Salinas_v7:9:21543619:21548481:1 gene:gene-LSAT_9X19581 transcript:rna-gnl|WGS:NBSK|LSAT_9X19581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSTRASESTKTSQEIPARRLYMDKTHEKTSIAERLIERRKSLKLIDESATTTPKAKEKKVTKIKEGKRKTNSGDNRAAIKKQKTVKEQKTVKDILKELPSINTRSTPGLMTDAVSSLTSEQKDWVKRMGFKAFLKINIKSIPLKLCHFVLKHYDEGTNSILIKGKRIKITKEKIHKVFGLPKTGKSLFDLDKVSEDHQVFDGWMKELEDGKANATNYKKIIQKSEQVDMNFKLGFIALFVNTFAESIPMGTNNLVPVRALVEVDDISKIDWCAYLLYCVKNSKGRWHPDDPKCYYKGPMLLLLLIYCDEIECKLQKIERKTPLVTMWTADKLKERQSFEIEAGGFGVGNLIEQSSNLELEKNENQDTRIEEYKDNFDKMFNKVSSIKEDMYGIVFDCISKFRDVDITNELKEKFIKLFSDPIFSSADNQNNENKKKGSHERVESQNGDERLESQNGDTGENYISSYKSPYMDKAVNLFDRIDLQNVLLIQVLIRCAQEKNKMEVLFETNTGEIMHRQDFESMRPEHVIHHRVIDSWAAVLNYEEQKSKSKPYRLFFNTKIMSSELLDETKSFDERFLTFETRVDKFLSNVKANVDFNDLKLVVFPIHNGDQMYAVVFNLTYPQVHIIDSIQTKSLEKTYGMTPTSLKLYFIRYLEKTTFIINNIEGLRSTTVKMMKIDWNTKELTTENGALLMRHMEKYCGEKQGKWNVEMEKGSDVQAVQFVKLRALYAVKIATHEINNHKERVIKEAIEFGKFDHATRKKMLEEGIQRMDELEMGNRI >cds-PLY84210.1 pep primary_assembly:Lsat_Salinas_v7:7:77458003:77463124:-1 gene:gene-LSAT_7X54021 transcript:rna-gnl|WGS:NBSK|LSAT_7X54021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRRRTSSSTTSSSSSSSSSTNTSSPCSDTMQQLDAQLLFGIMLAALSNHELGATSEGTLVIQKALDHLLLSLLSKSPNSVMQSQQTLHIPLISLLPVLLNSKCSVVACSGLETVGAASLFSIEMNEQIASDEEIVKGLITAVTSSRRSVAMAACNALLDLLTTSVGRCKLLEFSAIDNLILRFLQVPKSSSPPISLISEEVGNKTCFKIGFMEDEYPILLLDLAITLINDCTLEQLVKIPRELLKEFIKYLSRIWAKVHKHTLLDSNQESEKFLYSSSIKPNNLAETLFRLSMEEGPFATSSKFLDVKRSIFHLGQMNFESFIINHWEESPLHITESSNASSPDNNIFNSFLQLFTSKDSIPSFLASLLQNLVSTPPISSDELDIITFLKESREEIGCPIIYQQDIRVLKTLDSKIENHFLQGSSNLQDIASVSNAFNDGYTFALRGMEFHFQDFASISEGLAFLFGQPSTGVNMYLTPPNSQGLARHRDDHCVLVCQIQGVKKWKVFPNFGTQLPRLYSSLDDCIDMMYGCKEYLLREGDILYIPRGFPHEACTIVDDVKANEDVEYSLHLTLAIEIEPPFEWEGFIHVALHHWCQNYKISNHKSFKHSSLNLDDVAMHLMHIAIKSIGDIDPTFRKACLVGGISYQSVTQIWLKTHQQLLFNHLISKINSNSNFKDIASNVLGKHEDILENFKWLEHLDKKMPSIEMEDIFRLLIHEKEKVEAVFMMIKSEFCNKVVLLFDDVVCCYNELLEKYRRTRKQYINGMLALNLNCSC >cds-PLY64716.1 pep primary_assembly:Lsat_Salinas_v7:7:139097445:139102299:1 gene:gene-LSAT_7X82761 transcript:rna-gnl|WGS:NBSK|LSAT_7X82761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASISPPTFRSSAGSSVTGPHKFTYTTPKFTTTSRLNHRSSYSTNLFSHSLSRVSDPLSVCRCVSNRDIGDNNDNDKDNRRWDSVFQENVRNAIKWFEDYMTGYRKYQMKDDELKKDDSGVGVVKIEGEVVVDGDGDTEWDWERWQKHFTDVDEQERIVSTLKSHLNRAIAKEDYDDAARIKVAIAAAATNDTVGRVMSYMRKAIEEERYMDAAFVRDYASAGLVGWWAGLSDDSKDPYGRIIRISAEHGRYLARSYSPRQLATAADGAPLFEIFLTTNEHGEYRYQAVYLKRNEVPQKFPVVSSKSSGFISTMNPSDTMGEKDDLFVKDIEDTDDDDADMAEESAFENILRDMIPGAKDLKVKVLNVTTPGKIDRDLISKVVEQMEEEEEEEDDEESELEDIDGGDEVKNGISEEQDNLDEGKSQIAVKIVVGGLVQKISSNTPRKDLIRVPAKLEKRTRSSFSFSLEKEKQQVSSGNSQSLKKKDVKIHGNRSTDSIMLDLAKSIGKGKIPMKVLKDVGQLINLTLTRAKNRQPLSGSTTFNRIELPTNKDPLNALYVGSHGLYTSEVIQLRRKFGQWKEEGSMKELSNLEFYEYVEAVKLTGDAYVPAGQVAFRAKVGTKYQLPHKGIIPEEFGIARYRGQGRLADPGFQNPRWVDGELVILDGKYIKGGPVVGFVYWAPEYHFLVFFNQLRLQN >cds-PLY63006.1 pep primary_assembly:Lsat_Salinas_v7:8:184560408:184563409:-1 gene:gene-LSAT_8X120701 transcript:rna-gnl|WGS:NBSK|LSAT_8X120701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYRRIKDQDKDVNPATEDLESLRGKAILDGPAPYDKTKWKMKSMVTLALTFLTSSQAILIVWSKRAGRYEYSVTTANFLVEALKCALSLLALGRIWRTEGVTEDNRLSTTVDEVSVYPIPAALYLVKNLLQYYIFAYVDAPGYQILKNLNIISTGVLYRIILKKKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPFVGWIMAIIMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYVFGMAFNVVAIIIQDFDAVVNKGFFHGYSLITVLMIINHALSGIAVSMVMKYADNIVKVYSTSVAMLVTAVVSVFLFNFHLSLAFFLGSTVVSVSIYLHSIGKLQR >cds-PLY93100.1 pep primary_assembly:Lsat_Salinas_v7:9:119354504:119364267:1 gene:gene-LSAT_9X80061 transcript:rna-gnl|WGS:NBSK|LSAT_9X80061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEVGRSKQSGTRVKKKSSSGCLVIKKKVADTVGGVSGFSDSSSRNLSRSSKVKKRRRVVESDSESSDYSSETTHKLRKRSGVYKEFETEKRASSGLDVFEFDEYDGFEDVRTRKDANGGKHRWNSYRQSGDQMESGNGGSRPTHEARTISPLEMDDDDDDFDLPLSVLSKKYRSSSDQPIRLQGENGVLNVMVNHNKQMSRSCDKKEAKARVHHKKKLDKNGDHRDAGDGHSSSSEDTRKSKEKGGMKSPTPMVLKSSKEVTESDTVTQSKSNSRVETVKKLKNVKKVKEKKNMVKHGSGTEKQILREKIKNMLLGAGWTIDYRPRKNRNYLDAIYTNPDGTAYWSIIKAYEVLQKEEEDNAEDSDNFTPLPVEILGKLARQTQKKTERELKTKRKDEGNSRNAKRAMEDTDSDYEDEMNSHGRRKSRCTLLVRDTNKVLENDGISPYSGKRTILAWLIDSGVVDVSEHVEYMNARRTRILQKGRITKDGIHCGCCSKVVTVVKFQQHSGSKLCNPFPNMFLESGKSLMQCQIDAWNKLGELEQKGFYIVDVDGDDPNDDTCSVCGDGGDLICCDGCPSTFHQSCLDIQMLPEGDWLCPNCSCKYCEMAAGNCTKDGGIGITDASLHTCCLCYKKYHESCRPGIDVKPHEPNSLDLSFCGHKCHEVYIPLRKLIGVKHELDSGFSWSLIHRSDSDSLTDGERMECNSMLAVAMSLMDECFLPFTDKRSGINLIRNVVFNCGSNLSRLNYSGFYTAILEREEEVVCAASIRFHGTQLAEMPFIGTRHIYRRQGMCRRLLSAIESALSALQVDKLIIPAVAEHMNTWTDVFGFRALEESHKQELKSMNMLVFPRTDMLQKPLLKQTIPQGKKSLVLEVDGFSALSKKPELISANVAELIDKNKNGTRDSGFQESGVPMDDTSKETLPINPPVKDESPCEPELQLQGKESDSSSKVNEIDVQNQNQNGNGTNPLPDPSHNDIPCESLSHKLQHSGNESASKIDGIAVQNGNGTNPKEGSCGSERNRKEKERVIPDLSNNTADADSALSKNVVELGITDSSIQEVGVFLDLDATASKEIHPISSPVKDEPQHQLLVKESDSNSKTSIETNSHVHHPIQPSNKESFSSMDVQTQGNNTIELDDHSPNVAEFTLSDKTAIIDSGSQESVVSLDDGCSLDTGKVNSPVKDEPEPQQPGKELDSIHSHSDSKVHEVDVQNGNRTNPKEGSCGSEMNRKENEKERGTLGAVSNSEATNINTNDWSGVHYSVISTPSMEVDDCSATSFSIKQEIDDKTAITDSGSQECGVLFDDTDTKTTDSGSHDNIVSSEIPDKITAAPSSEPELQLPGMESVSILSHSHSESKANEIATHNDSETDPKADEGSGLKLISSTVEELSDDTTITNSGSPIKDDEDDTLVSVSELVKTDDAHFDCNVEEHTYTYTQIPPVKITKDSAGEPTLQLPGKDSDEVAVQNGNPLPTQLHDDDAILSVSNKESFSFANNSYDMVKNGSKKSGVPLDDGCSLHASIIYTPGKGSQLQLPGKESDEIDVQNGNPRVHEQVPAASFSEPKLQLPGKESYSNSNDALNGHGDGHEPKLQQPGKESHSHSDSKVDEIDVPNGHGTNSLPLPGDVHAPPIPSDIPCQFHQLLLAAPTSNKHPGNDSDSHSDSKVGHGNPEKEIVKDTSQFFQGKEDSYESQMNRKENERGEFLEHFLIPKPETI >cds-PLY67951.1 pep primary_assembly:Lsat_Salinas_v7:3:217081207:217084524:1 gene:gene-LSAT_3X125361 transcript:rna-gnl|WGS:NBSK|LSAT_3X125361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP16 [Source:Projected from Arabidopsis thaliana (AT1G06110) UniProtKB/Swiss-Prot;Acc:Q9LND7] MATKQPTGLEIIGGLAIHVIVSKLGPSDAAAVACVNKRFKDWASDESLWSSFCAQELKLSSPTDPLGNPCPSFKAAYGAWREAYGMYPWSLVKRVKDIWDRIRTWLTKNFPEVLPTLRKGASEDEINKLEKCLKVKLPLPTRVLYRFCDGQEQCNPSSRGSTTTLQNLCGLIGGYSFYEYLVNVFLLPLDLIIKETNDIVRHLGFSKHKYIVVATSSTYTEKIFFLDCEEGQLYVGTKNLLVSREIMPCVPNGLITSVHDSKSCFQQDGMLLWLEEHVHRLETGYIKVREEGNIRSISLFPEQLPLCSSATTGGVQVRASAVFVPEFSDLVQEKEKYMFAYSIRMSLKPEGCFIHGMSFNSCQLYWRHWIIKANDHVVADINGEAVIGQFPLLRPGKKEFVYESCTMSASSPGSIEGSFTFVPGRLADPKGSTFDVEVAKFPLLLPEYIF >cds-PLY81434.1 pep primary_assembly:Lsat_Salinas_v7:5:334701746:334702409:1 gene:gene-LSAT_5X187900 transcript:rna-gnl|WGS:NBSK|LSAT_5X187900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKGVMTEVYNVGDSDGWTTPVNTSYSKWASSKKFHVGDTLWFHYDPASHNVVQVNQMGYRLCNISSNARVGVKTYETGNDSFRIKGPGHYYFICSFPGHCKAGQKLDVRVLKKYPMTTPTPTPKTIAMAPTSPANALYPYPITSLLFGTIVVSIICAM >cds-PLY61993.1 pep primary_assembly:Lsat_Salinas_v7:5:89080753:89084346:1 gene:gene-LSAT_5X42480 transcript:rna-gnl|WGS:NBSK|LSAT_5X42480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >cds-PLY78028.1 pep primary_assembly:Lsat_Salinas_v7:9:44323036:44325466:1 gene:gene-LSAT_9X39801 transcript:rna-gnl|WGS:NBSK|LSAT_9X39801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQGISRASTFNEEILHSPNNRDTTTTTSSAAPSSSLAAQAIRASAAHRDSSLSSAYANSTSHSSSKDRSKGSATYDYTSMGSTNEPGGFWGVLARKAKSILDDDNDSTKRFDPPISSVKPETVSTSNQVEHRYESFENSRKLDNPRLRKGLDKLTSSLNQIGDSIGNAFEEGRTIVDKKTHGIIQETRKLQNRRKGVNDDQNQNQNQNWNRNQVPGMESTQQQQQQPSNQDFQIKASRDVAIATAAKAKLLLRELKTVKADLAFAKERSSQLEEENKMLREALEKGDHPADDDMAQKARLANENSVYARENRFLREIVEYHQLTMQDVVYLDEGIEEVTPVIITSTPGGGGGGGGISSRRLTASPPSTPSPRLKRTFSEPQLDTSS >cds-PLY98241.1 pep primary_assembly:Lsat_Salinas_v7:7:173907331:173910681:-1 gene:gene-LSAT_7X103000 transcript:rna-gnl|WGS:NBSK|LSAT_7X103000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHKSLLLLTISSLLIFTVVGRVITTTSTSDLVSDGVHDGYGGVLRLNPFVSAEEPEACEQSYGFLPCTNTALGNLFLILVYGYLMYLAATYLSAGSELLLEILGPGLVGGLLLPILGALPDAMLILVSGLSGSVEVAQDQVSVGMGLLAGSTVMLITVIWGTCIIVGKCDIENSVAVDNTDTKGFSLLGSGVSTDIWTSYSGMIMAVSVIPFIVVQFPQIMHSDSGRHLSVLLGLIVSVSLLIAYCVYQVMQPKLQKRRLAFAKHKHVRSRILKYLKMRALGRLLTDQGEPNKEVLEKLFKSIDVNGNEKLSHTELRALVVGMRLHEINLKEEDAVDRVMKDFDTSQNNEIDFDEFIDGIGKWLEEAKTTKINTPLAGPDTLKYIHDYYEETKKQHDLLGDDAGEDEEEGVDDPRGTTIKAVLFLILGTIIAASFADPLVGAVDGFSAATSIPSFFISFIALPLATNSSEAVSAIIFATRKKKRSASLTFSELYGAVTMNNILCLSVFLALVYVRGLTWDFSSEVLVILIVCIVMGVFGSLRTTFPLWTSFIAFALYPFSLVLVYVLDYVFGWS >cds-PLY87692.1 pep primary_assembly:Lsat_Salinas_v7:6:46514020:46515800:1 gene:gene-LSAT_6X34460 transcript:rna-gnl|WGS:NBSK|LSAT_6X34460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARSFFLLYSLSRRRVSDSLRSLFFIPTALALTTSLFILFYISSTSNIFTSHLHHRNSRLHFLQNPIGVSLKTHANQPQSSLDFDSPVSDLGHTADHAGAAKPSPSSNGRGVEDENVFHDRDIFKEDYIKMNTTFKIFVYPHQRSDPFANVLLPVDFEPEGNYASESFFKKSLNNSHFITKDPSIADLFFLPFSIARLRHDPRIGIDGIQDFIKEYVFNISHKYPYWNRTGGADHFYVSCHSIGRVAINKADEVKRNAIQVVCSSSYFQSAYVPHKDASLPQIWPRIEDDPRNITSSQRKKLAFFAGSINSPVREKLLKTWQNNTQIYVHSGRLNTSYEASLLDSKFCFHVKGYAVNTARIGDALYHGCVPVIIANHYDLPFADILNWKSFSIIIATLDIPSLQRILNGISNDEYAKLQSNVLDVRKHFRWHSVPIDYDAFYMVMYELWLRRSSIRVPLS >cds-PLY98186.1 pep primary_assembly:Lsat_Salinas_v7:8:143908778:143917909:1 gene:gene-LSAT_8X97461 transcript:rna-gnl|WGS:NBSK|LSAT_8X97461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMVEQKKISLSLLILFCCLNHELGAHVLPSESKNIKINGDMSIDMGIILDMESWIGMSIHRCITMAISDFYALNHSYKTRIVVHTRDSKGDLVNAMSAVDELLKNVKVQAIIGPETHLQSKLLSVFANKAKVPIFSFAGSSSMDYHYMFQIKEDESSMAKSIAALVETHKWRNVIFVHEDNDDGREILPYLAESFQDKNIQISYRSAISASATLDDIANELKKFMTFHTAIIIVHMSPSLASKLFLKAKSLQMVSEEYGWVLTEKTVDLFRSTNFEVIESLQGAIGFRSYVPPSSRLYNLTSRWHNFFYKKYPTLVTKEVPVSAIWAYDTIWALALSVEKVGVPHNGPLLLHEVLKIRFKGISGEFDLSEGKVRSNGYEIMNAIDYGERRVGYWTHSQGIRSNIHLYSSLGTEAVIWHGGSTTTPKRRMLSTIDNKRLKIGVSKIRNFKNFIDVGFDAEKNVTTAVGFSVDVFKTCIGLLPYEVPYVFISFENATYDDLVQKVYAEEIDAVVGDSTILANRSEYVDFTATYTDLGVGTLVRIKKQDLWFFLKPLDIGLWLAAMASLILTGFVVWAIEREKLSSNLSRFVMFIWLLILLILITSYTATLTSLLTVEQFELASKGGIVGFHGGSFMGGVTVRNMHFEGHRKRAYYSYEDYAYALSEKGEADAIVDEIPYIKMFLSKYPGDYALVSSESITSGFAFIFQKGSPLVEDVSRVIARIRLDKTLESVENRWFGNRLSVLSRNSTMPQALKLDRFGGLFIISGITCTLALMMSILHQLHGKMEVYSIISVLVGRNLMATIRHLLYRNVVQT >cds-PLY72064.1 pep primary_assembly:Lsat_Salinas_v7:2:205177771:205181564:1 gene:gene-LSAT_2X126320 transcript:rna-gnl|WGS:NBSK|LSAT_2X126320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGSESVALERKLKIVSALCKSRSLDPAKFLDDVKNDLKSLSLNIVKAALENNVDFNKWVTFAENFPSDPEACLKGLSQLNEYLTEKSVLVGGGSTPSEADIIVFSTVHPYVISLAVSDKNKLPHLMRWIDYIQNKHDLKELFEWILVEKAPFDPPLAKVTAKVEGESSTKKAESSTKTAESNTKASSSKAEAQKKSDGSKKQTETATEKKKLPEKAADEKEKEKEKEKELSVSLLKIQVGLIRKASKHPSADSLLVEEIDVGESKCRQVVSGLAKFCTPEELTNRLVALITNVKPGKLRDVVSEGLVLCASNADHTVVEPLVVPQGAKIGECVTFSGHEGKPEDVLNPKKKQLDKITPNLFTDEKGVATFKGAPFMTSAGPCTSSIPKATIK >cds-PLY92846.1 pep primary_assembly:Lsat_Salinas_v7:5:328294789:328296727:-1 gene:gene-LSAT_5X182380 transcript:rna-gnl|WGS:NBSK|LSAT_5X182380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTRGKIGSEGGLIGGWFSLGCLGLPAVFLLCIFFFFFLGFFDSSLFSQQVPLLSSLILLLHLFGYTTNECKTEIHDLSDDTDYAAASKQGSSSMTRSDSSKRSSSSDPEDAQIVYLKDNVTIHPTQYAKERITGHLKLIKQAGVRQALITGIPSLSISLNWKKGESQENEFKDAASVCLPVINAAIKDVENGTFPKSSSLHIIVPSSPLQKQANRNPSAARFMSNQPGMGLQLAQLGRGASAAGAARRLNSQKKSLEVFESVGVSGKADPNKTVK >cds-PLY79496.1 pep primary_assembly:Lsat_Salinas_v7:5:183938132:183938653:-1 gene:gene-LSAT_5X81880 transcript:rna-gnl|WGS:NBSK|LSAT_5X81880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGKLTREKTRKTISLQTNESDIHSIDSEHKEADLKELTEGSPAKPEEDLIRVARGDSWRHKSSWTQLISSSSQSSFSISEIVPDLSFETHEPQAGAPIKDPTRTGVVAKATGRKEISSQRKRSLIIGNLESEETCPFMRTEASMKEWKKAKASLSSSLNKKKRSLTNSHY >cds-PLY98157.1 pep primary_assembly:Lsat_Salinas_v7:1:139730862:139731083:-1 gene:gene-LSAT_1X101281 transcript:rna-gnl|WGS:NBSK|LSAT_1X101281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQLPSSIAATTSTVVPPPSSAANGCYCFLFSCAMFRPRPTAACATLMFLRLSLIAPRTAIFVFHVGVSALLL >cds-PLY78975.1 pep primary_assembly:Lsat_Salinas_v7:6:165587881:165588258:1 gene:gene-LSAT_6X100061 transcript:rna-gnl|WGS:NBSK|LSAT_6X100061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARPLPNHVLTGAPQVSLAIGSVFFFCVLTLFMCASHSKKWVHRLKACYSYDFEEPVIQLNNESMNHVFQEDEEEEEEEEEESVWQKNILMGGKCQLPDFSGVIIYDSEGNVVPPKPRLLALTWK >cds-PLY95495.1 pep primary_assembly:Lsat_Salinas_v7:6:14658296:14659092:-1 gene:gene-LSAT_6X10520 transcript:rna-gnl|WGS:NBSK|LSAT_6X10520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSKVAVHCAIAAVLGIIAAATGFAGEATRVKVSEVFMVLDSCVYPNSPALALGIVSAVFTIITRIYISVWFGGSGCCRSDPNSTAITKLLFVLSWVASVIAMILLLTAAALNNRQVGQIDSYGYITCYVVKPGIFAAGAILALLSAVFGICAYLTISSVTQAATGLTGPLPVGAGVDLEKFPQQYTPQQQYPPRQQYPPQK >cds-PLY94116.1 pep primary_assembly:Lsat_Salinas_v7:8:29686875:29693115:-1 gene:gene-LSAT_8X24001 transcript:rna-gnl|WGS:NBSK|LSAT_8X24001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDPEDLRSELLSLRRLYGLLVNDQQRVSENLDKNARQLLLNLLNASAKKAFEAHAKLDKNARQLLLNLLNASAKKAFEAHAKMVGTQYRPSYYNLWSTTQFPSLQNLDLRTSQGIFNEPISQEFSSGEPDVKPKRCRVCQRPKVKQLTKELSQVQDNQRQNHKRSWEDQNNPTTYEVEVGVGGFPCSSTVDFPKSLTLYNQNDEDDQISRQASAKIQQIESCILSLQPASNRVNLLENDSRGQFSQIGNYSVGRSDPWHGELTLQPQPRVVNMVLPISQTSENVSPSEMFNQENHHDYLPEKTYNNLYHASGVSSSLTKIPDKNLALQMVKKETINQWLTPPVKSTHHGRHNSQHQETEITRRKKPDHYRGKHAVVDRKRSVSPVTSDSPVSSSNYSSSSRSQSESQQDYATCSDMEGSYKGHEESESESESESEMYSVSSPDQSPSMSSSDEDESSGSKSTPRSKSKRKVPTKGYKRGNKKDSKISSDESSGSKSTSRSKSTPKRMVPTKGYKRGKQKEPKISTDESSGSKSTSRSKSKSKSMVPTKWGKRGNQKENEPKISSDESSGSKSTSRSKSKSKSMVLTKWYKRGNQKEKEPKISSDESSGSKSTSMSKSKSKSMVPIKWYKRGKQKEKEPTISSDASSGSKSTTRSKSKSKSKSKSMVPTKWYKKGNQKEKEPKISSDESSGSKSTSRSKLKMVVAAKGNKRGNHEEPKIGKVGRLKQLKEKLGFIFHHHHHHHHHHHHHDVEDDHKKSPSDHNTGKDHGDVDSHKKSSQKHAEKGVDHKKKDEAHGEKVVDHDHGKKKEEVHGGKVVDHDHGKKKEEVHGVDHDHGKKKEEVHGGKVVDHDHGKKKEEVHGGKVVDHEHDHGKKKEEVHGEKVVDKNHKQLVVHKAAEKNQKGQLKALVGGFLKHTTHDSKKPKKGKSEKKIVPKGVSGKSSSGGGGKMGKKMSWMKMLQQQRKIKRIEKLRSKIKISRRGSKK >cds-PLY83196.1 pep primary_assembly:Lsat_Salinas_v7:4:288434016:288434315:1 gene:gene-LSAT_4X146741 transcript:rna-gnl|WGS:NBSK|LSAT_4X146741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGDFPESNIFHYNQYFDEDLAEIPLLRRDTSRLRLIHNTPFDPLYSDGGAGTGIGGGGAGIGGGAGEDGGFVRRVKWWRKFLAWCKKLRNKHRRRSRR >cds-PLY82648.1 pep primary_assembly:Lsat_Salinas_v7:5:84829153:84829347:-1 gene:gene-LSAT_5X38361 transcript:rna-gnl|WGS:NBSK|LSAT_5X38361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLKWLQFTEDEADALIRWSVLNTLVILHHHSEARLALVEAMTRGRSIGNCIDVIETTLNYQDV >cds-PLY76902.1 pep primary_assembly:Lsat_Salinas_v7:6:29903412:29907419:-1 gene:gene-LSAT_6X22920 transcript:rna-gnl|WGS:NBSK|LSAT_6X22920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDGAVDDNGGFAVDQLVTPWNLSISSGHNLLRDPHHNKGLAFTERERDAHYLHGLLPPTVFTQELQEKKVMHLIRQYEVPLQRYIAMIDLQERNERLFYKLLVDHVEELLPVVYTPTVGEACQKFGSIFRRPQGLYISLKDKGQVLEVLRNWPQRFIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNEKLLNDEFYIGLKQHRARGEEYAELLEEFMTAVKRHYGEKILVQFEDFANHNAFELLHKYRNTHLVFNDDIQGTASVVLAGLLSGLKLLGGTLSDHTFLFLGAGEAGTGIAELIALQISKQTGVNVEEARKKIWLVDSKGLLVSSRKETLPHFKLPWAHEHEPVNSLLDAVKEIKPTVLIGTSGVGRQFTQEVVETMSSNNEKPLIMALSNPTSQAECTAEEAYTWSQGRAIFASGSPFDPVTYEDKVFVPGQANNAYIFPGFGLGLIMCGATRVHDDLLLAASEGLASQVTDENFAKGIIYPPYSCIRTISAHIAASVAAKAYELDLASVLPRPNDLVKFAESCMYSPTYPNYR >cds-PLY86025.1 pep primary_assembly:Lsat_Salinas_v7:3:73968413:73968803:1 gene:gene-LSAT_3X61361 transcript:rna-gnl|WGS:NBSK|LSAT_3X61361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKQILVHFDDAYVRYLRLYEALGKMIAPPKSNIPIITPKELPEVDGFIFGFLSRFEMMAAQFKSTS >cds-PLY89914.1 pep primary_assembly:Lsat_Salinas_v7:8:68584120:68585338:-1 gene:gene-LSAT_8X48801 transcript:rna-gnl|WGS:NBSK|LSAT_8X48801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSGVLILGFLSIVAQSIRFEIESGHTKCISEDIKSNSMTVGHYSVVNPNEGQPLPDSHKFTIRVTSVYGNSYHYADHVQSGQFAFQAIEGGDYMACFFAIDHHPTIKIPIEFDWRSGLAAKDWSNVAKKGSVDAMELELKKLADFVTSIHEEMFYLREREHDMQELNNKTNSRMALLSFLSLFVCLSVAGLQVWHLKSFFEKKKLI >cds-PLY61933.1 pep primary_assembly:Lsat_Salinas_v7:5:158469057:158472744:-1 gene:gene-LSAT_5X69101 transcript:rna-gnl|WGS:NBSK|LSAT_5X69101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein [Source:Projected from Arabidopsis thaliana (AT5G63840) TAIR;Acc:AT5G63840] MKSIVVLHHLLCLFLLFSHLKSVSSWKKEEFRNCDQTPFCKRARSRKPQHCKLIATDVVVDNGDLTAKLITPNNFQQNLDENSSEIASKPLILSLSVYQNGILRLKIDEDPSQNPPKKRFEVPDVVLPEFESKKLWLQRHTKEVINGDSADSFVVYLFDGYEAVLRSDPFEVFVREQGSGNRVLSFNSHGLFDFEQLRVKKEGDNWEERFRSHTDSRPYGPQSISFDMSFYGADFVYGIPEHATTLSLKPTNGPGVEQSEPYRLFNLDVFEYITDSPFGLYGSIPFMLSHGKSHGTSGFFWLNAAEMQIDVLAPGWDAESSISLPSDQKRIDTLWMSEAGIIDSFFFIGPKPKDVAKQYASVTGTSAMPQLFATGYHQCRWNYRDEEDVKNVDSKFDEHDIPYDVLWLDIEHTNGKRYFTWDKVLFPNPEEMQKDLASRGRKMVTIVDPHIKRDEGYHIHKEASKNGYYVKDATGKDYDGWCWPGSSSYIDMVNPEIRSWWADKFAYTEYSGSTPSLYIWNDMNEPSVFNGPEVTMPRDALHYGNIEHRELHNAYGYYFHMATSDGLLKREGGKDRPFVLSRAFFPGTQRYGTVWTGDNTAEWEQLRVSVPMILTLGITGITFSGADVGGFFGNPDTELLVRWYQVAAYLPFFRGHAHHDTKRREPWLFGDRNTELMREAIRVRYTLLPYFYTLFREANVSGVPVVRPLWMEFPNDEATFNNDEAFMVGNALLVQGIYTENAKDASVYLPGDETWYDLRSGTVYKGGKTQKVEASGAIPVFQRSGTIIPRKDRFRRSTVQMENDPYTLVIALDSSGEAEGELYIDDGKSYDFKNGAYIHRRFLFSNGKLTSVNLATSASVGPMFLSDCTIERIILLGQTSKPKSARIEPANRVTEVELGPIQTRVGVRPSVLTIRKPNVKVSEDWSIQLL >cds-PLY91869.1 pep primary_assembly:Lsat_Salinas_v7:8:201062213:201063354:1 gene:gene-LSAT_8X128821 transcript:rna-gnl|WGS:NBSK|LSAT_8X128821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELHHSKEKLMNHSVSSTMESSRIDVDLDGESEAVEQNKVPVVGDKRTSNAWRNYTDVRDPKMDKIIKAQCKRCSRILTVTTKNEISRNMEDTRGGSLVSCCL >cds-PLY92032.1 pep primary_assembly:Lsat_Salinas_v7:8:237759174:237761156:1 gene:gene-LSAT_8X143560 transcript:rna-gnl|WGS:NBSK|LSAT_8X143560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRNSMNPTLVELAKLDFNMVQAIHLEELKHSSSATIVEILQGIEGAENIVRYSSLILRLADDLGTSSDEIARGNNPKSIQCYMHETGATEEEARKYIELLIIKTWKKLNKAREGAKSRFSWEFHNGATNLARIEQYMYSNRDGYGRPDLTKSQVISPFFNPTLGIE >cds-PLY90161.1 pep primary_assembly:Lsat_Salinas_v7:7:12772142:12772477:-1 gene:gene-LSAT_7X11101 transcript:rna-gnl|WGS:NBSK|LSAT_7X11101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKISTVSPPEATQSQPLQQQDRVAIVIGVSCGISKAISLHLASLGAKLVVNYTSNSSKADLVVSEINSKFQSESPQAVSFKVDVSNLIQVKALFDAAKSIGRKRRLERE >cds-PLY80656.1 pep primary_assembly:Lsat_Salinas_v7:5:246249719:246249925:-1 gene:gene-LSAT_5X121900 transcript:rna-gnl|WGS:NBSK|LSAT_5X121900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPECHQYSRSKEAPHEDEKRKDDVYQKSESSTSDIGSSDENISDPFSMLELGDNFGITKSKVDKVTQ >cds-PLY77492.1 pep primary_assembly:Lsat_Salinas_v7:4:48889069:48890907:1 gene:gene-LSAT_4X33741 transcript:rna-gnl|WGS:NBSK|LSAT_4X33741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFDHFECVSTSDELNEDEIPYHHHRHQHQHRQNSYQNLQNSSSKAHYVNVVPSKINHTPSVYELLECPVCTNSMYPPIHQCHNGHTLCSTCKTLVNNRCPTCRQELGDIRCLALEKVAESLELPCKYSSLGCHGIFPYYSKLKHEALCNFRPYSCPYAGSECSVVGEIKYLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVDNATWMLTVFNCFGQYFCLHFEAFQLSMAPVYMAFVRFMGDENDARNYSYSLEVGGNGRKLVWEGTPRSIRDGHRKVRDSHDGLIIQRSMALFFSGGDRKELKLRVTGRIWKEPLNPDGDTCIPNLCS >cds-PLY66835.1 pep primary_assembly:Lsat_Salinas_v7:7:19571187:19581264:-1 gene:gene-LSAT_7X15721 transcript:rna-gnl|WGS:NBSK|LSAT_7X15721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINDDLTSIENMLSLLIQEDDRLEQENLRQTISIPSTSPASPLLALNVNHNPSRLWGTLLAYIVIQMLGTDYARILRAIYAFPQVI >cds-PLY97995.1 pep primary_assembly:Lsat_Salinas_v7:4:192561961:192562328:1 gene:gene-LSAT_4X110241 transcript:rna-gnl|WGS:NBSK|LSAT_4X110241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSYSFVNRKTLHTTTVIDCRSSSLCCLDRYLHILFPLTASLILLLLGVSSDSGLWDCTPQIQKVSPGNSLHVCDVRERRYERDEEDKFSKSHP >cds-PLY78657.1 pep primary_assembly:Lsat_Salinas_v7:9:50717518:50722199:1 gene:gene-LSAT_9X46621 transcript:rna-gnl|WGS:NBSK|LSAT_9X46621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSLFDEPLHYPSFRRDESVVDTYHGVPVPDPYRWLEDPDSEEVKEFVKKQVDLTESVLKKCETREKLHDKLTKFYDYPKFGAPFREADKYFYFHNSGLQPQKVLYMQDSLEGEAEVLLDPNGLSEDGTISLNTYAVSEDAKYLAYALSSSGSDWVTIKVMQIKDKKVEPDTLSWVKFSGISWTNDNKGFFYSRYPAPKEGENLDAGTETNSNLDHQLYYHYLGTDQSEDILCWENPDNPQYTIGASVTEDGKYVLLYINEGCDPVNKLYYCDISTLSNGIKGCKDKKSLPFIKLIDNFEAMYSAIANDDTVFTFLTNKDAPKYKMVRVDLKEPNTWSEIIPQGETDVLESAVAVNKNQMIVTYLSDCKHILQLRDLESGTLLHDLPISIGSVDDVSARRKDSLFFIAFTSFLTPGVIYQCDLESGIPDLMIFREIVVPGFDQTEFHVTQVFAPSKDGAKIPMFIVAKKGIDLDGSHPCLLYGYGGFNISLTPYFSVSRIVLMRHLGLVFCVANIRGGGEYGEEWHKDGSLSNKQNCFDDFISSAQYLVSSGYTNPKKLAIEGGSNGGLLVGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSDYGCSDKEEEFQWLIKYSPLHNVKRPWEQSSDNSRQYPPTLLLTADHDDRVVPLHTLKLLATMQYVLCTSLENSPQTNPILGRIDCKAGHGSGRPTKKVIDEWADSYGFMAKVVGATWID >cds-PLY94826.1 pep primary_assembly:Lsat_Salinas_v7:2:177045734:177045901:-1 gene:gene-LSAT_2X98400 transcript:rna-gnl|WGS:NBSK|LSAT_2X98400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQFARLSLACPWIQQQFERLTYSGISGSMLIFNSPKHFVAYYALPRLWVPRYPP >cds-PLY62709.1 pep primary_assembly:Lsat_Salinas_v7:6:55285817:55286962:-1 gene:gene-LSAT_6X39820 transcript:rna-gnl|WGS:NBSK|LSAT_6X39820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMYYKRTLKLQAFFDMANEQEMLECYKAISFPSEDEKKSQSSLNARLEAIAQQYKMLIGLHSKKVDNILATSSPLTGLQNELMKHSRALLLLSCDFGTAWNSRKEIALNKQNIGIYLVSE >cds-PLY98269.1 pep primary_assembly:Lsat_Salinas_v7:7:170471283:170479656:1 gene:gene-LSAT_7X100661 transcript:rna-gnl|WGS:NBSK|LSAT_7X100661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYIITLASISNAYPLFAQNGYENPREVTGRIVCANFHLANKPVDIEVPQTVLPDTVFDVVVRIPYDMQLKQVLANETKEQAMSTQWSFCDSWEIARRCKGKKHRDSTDPRGDHGGRGRCLRRTSPASNDEVQIIGGTAEGLGLQRQNRASTGDTNRPSSSLSSAVASSAVSPPCDDSVFPLKTIQACLGKDSSAVEKI >cds-PLY69265.1 pep primary_assembly:Lsat_Salinas_v7:1:157098368:157100046:-1 gene:gene-LSAT_1X108300 transcript:rna-gnl|WGS:NBSK|LSAT_1X108300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPGLYLELGELVKKQTKVEDAPRDAVAGGFAPIHPESLDALPEELHDEVLSSRQGPVASQPPATIEPQNDGDIDPKFLAALSPNIWADVLAQQQAQGAHRAQELEGQPVEMDIVSIIATFHSELREEVLLTSSDAILANLTHDLVTEENMLRERFARRYNRTLFGMFPRSRRGESSTRGEGGGSSMDRSGGIITRRSSGSKRVETDGAPLVDQEDLKAMIWLLRVVQVCCMPNTMVQCLVIILLVLL >cds-PLY81491.1 pep primary_assembly:Lsat_Salinas_v7:8:159010736:159010945:1 gene:gene-LSAT_8X106140 transcript:rna-gnl|WGS:NBSK|LSAT_8X106140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHNNKSVYGIVPESYGEAPGYTGLVERDGTSDGSSTNDVNIDPRAQPGVSMVGNRFRIANQIYNHVETD >cds-PLY84317.1 pep primary_assembly:Lsat_Salinas_v7:5:188838528:188840098:1 gene:gene-LSAT_5X85721 transcript:rna-gnl|WGS:NBSK|LSAT_5X85721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKQILSLVLLSFFVATSYAQLRQNFYQTSCPNVESIVRSAVTKKFQQTFVTAPGTLRLFFHDCFVRGCDASVFLSNPNAERDHHDDLSLAGDGFDTVIKAKAAVDNNPSCRNKVSCADILALATRDVVALTGGPSYKVELGRRDGRISTKKSVQHKLPHPEFTLDQLNTMFASHGLSQTDMIALSGAHTLGFSHCGQFSKRIYTKSGIDRTLNTKYALQLRQMCPVNVDPRIAINMDPTTPRTFDNVYYQNLQQGKGLFISDQILYTDNRSRPTVNLFASNNNAFNQAFVAAMTKLGRVGVLTGNQGEIRRDCSRVN >cds-PLY98484.1 pep primary_assembly:Lsat_Salinas_v7:5:209514363:209517350:-1 gene:gene-LSAT_5X95081 transcript:rna-gnl|WGS:NBSK|LSAT_5X95081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIFLSNPSTFLPSSSSSSSASHKQATRGTSVCKPVSKLLNCPPQISKRSLSISLTSLFLLSLTGNPNGANAAILEPDDDLELMERIKKDRKKRIEKQTVLNSTKDREYLQDVIYKLSKVGQAIENNDLPSAGSVLGQTPDADWLKMANEALSKLSSSPEEMSEVDAFNSSLTSLYSSVTKNDIEASKSAFVDSASAFEKWTTLTGLVGQLKGL >cds-PLY71571.1 pep primary_assembly:Lsat_Salinas_v7:3:53746311:53749016:-1 gene:gene-LSAT_3X41281 transcript:rna-gnl|WGS:NBSK|LSAT_3X41281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFTKNNSLLARFLPLLCFCFSLTINLSSEANIISSSQSLSGDHTIISQGGKFELGFFKAGNSSKYYIGIWYYKKLHFNLHTIVWVANRETPISDRFRSELKIIDGNLVLLNESKFQIWSTNVTTTTTTLNSATAVILDNGNLVLRDTGSNSIEPFWQSFDHPTHTWLPGAKLAYDNKENKSRLLTSWKSYEDPAVGLFSLELHSPTKEYVSKWNGSQQYWTSGSWNGKIFDLVPEMSYAYIYNFSYHMNENESSFTYSLYNPAIISRLVLDVSGQLQQQVWSESTAEWAMFWSKPKEVCDIYGLCGAFGTCRQTEFPLCNCLTAFEPRSESDWNQSDFSGGCVRKTDLQCGRIAEKPDFLMITVKKLPPHNSVAFGSAGECGTTCLNNCSCNAYAFVDNQCLVWHGDLLNLLEDNGRGKTMYVKVASKDLPHHKKSNLVTMGAVVVCMAGVVLVWGVSLILMYRRKRIWVGKTTMEGSLVAFAYKDLQIATKNFSNKLGGGGFGSVFKGVLHDSSTVAVKKLEGISQGEKQFRSEVSTIGNIQHVNLVRLRGFCAEGKKKLLVYDYMENGSLNTHIHYGKQALNWKTRYQIALGIARGLVYLHEKCRDCIIHCDIKPENILLDAEFCPKIADFGLAKLVGRDFSRVLTTIRGTRGYLAPEWLSGVAVTAKADVYSYGMMLFELVYGKRNVVPCEDSKSTFFPSLVADVLVGGGDILRLLDNRLNREASVEEVTKVCKIACWCIQYEEESRPSMSMVEQILEGVLDVNMPPIPQCFTSFVDKENRVVFFMDSSSNGSSQVHNNSS >cds-PLY67117.1 pep primary_assembly:Lsat_Salinas_v7:5:290937764:290939980:1 gene:gene-LSAT_5X154661 transcript:rna-gnl|WGS:NBSK|LSAT_5X154661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNGIRFFELFNKTKIPSVGLGTWQSEPGVVGDAVTAAIKMGYRHIDCAQIYGNEREIGLVLKKLFQDDVVKREDLWITSKLWCSDHGPEDVPVALDRTLNDLQLDYIDLYLIHWPVRLKKGSVGFKPENLAPVDIPNTWKAMEKLYDSGKAKAIGVSNFSTKKLGDLLDVARVPPAVNQVECHPSWKQTKLRDFCKSKGVHLSGYSPLGSPGTSFIKSDVLKQPILISVAEKLHKTPAQVALRWGLQMGHSILPKSTSESRIKENFEIFDWSIPDDLFTKISSDIEQARLLRGTSFVDETHGHYKTLEELWDGEI >cds-PLY99735.1 pep primary_assembly:Lsat_Salinas_v7:9:55308230:55311643:1 gene:gene-LSAT_0X9801 transcript:rna-gnl|WGS:NBSK|LSAT_0X9801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFLHLILKFPGVKGCPHAYGDVFEESRSYLVYVNCLGGSTYSVLAIYDCMSWIKPKVWTVAFGVVASEGALLLLAGGEKGMRYAMSNARIMIHQPQSGCGGHVEDVRRQVNEAVQSRHKIDMMYAAFTGQPPEKVQQYTERGRFLSVSEALEFGLIDGILETEY >cds-PLY98819.1 pep primary_assembly:Lsat_Salinas_v7:7:22819419:22821557:-1 gene:gene-LSAT_7X18201 transcript:rna-gnl|WGS:NBSK|LSAT_7X18201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSRNQNKPSDPRSPVNNNQAIDDAFIDKLREIDIGWMMASTTASGCSQSLASRNNQKDVTVAPSETQVSEWEIVESTKEEEVDVLLNDKFKGNKFDSKGKHEWMREYIKQLKVCIKWFQENLEDLVKEKDHLRTLLDSSERKRVETGKSKVTSIYKKQLHLNSLFYLFTEAAMKLKEEDLNSSIIKLENNISTLKKSLANEESQKLNAIDFHNKEKEARMALEKNQDSLKEKLLKAEQNASIANEKVKTQENMYKRLQNELTSAKDSRDDAVKQKEIAVKEVAILRDELTQLREDRERHLSQLHELGSEIVRYRYREKERERTGHTAAAECSILRLKSIAMEKTCSSQREQISLLQHQLDAANKKIKELKGKNIMYQ >cds-PLY85994.1 pep primary_assembly:Lsat_Salinas_v7:3:132441297:132443105:1 gene:gene-LSAT_3X90141 transcript:rna-gnl|WGS:NBSK|LSAT_3X90141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLTEERKAWRKNHPHGFVAKPETLPDGSVNLMIWQCTIPGKTGTDWEGGYYPLTLHFTEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDSPNPADPAQTDGYHLFIQDTVEYKRRVRQQAKQYPPLV >cds-PLY74797.1 pep primary_assembly:Lsat_Salinas_v7:6:120002557:120003264:1 gene:gene-LSAT_6X72441 transcript:rna-gnl|WGS:NBSK|LSAT_6X72441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGLSWILTYIQLCLYGITYYLTVLLLSHNRPTIYLDNFNVVSNSLTNNATIYLDLRIKNENSLIGIYYEDPLNLTITYLPPTKSASSNVTIGQCAIQGFYQGSEEVKQIQLSVVVQDLFSTTEQRRRLGETHVSLYGSAKVIDFIVDLEANIKFMLFENTKSHLMIRSGVEVNDNTGTSVLKTVEMKYASGSNKWGVVKWLMTVPLSLLFHFVFYCACWLAFQLFSFVFLCPSN >cds-PLY85324.1 pep primary_assembly:Lsat_Salinas_v7:1:185921102:185924431:-1 gene:gene-LSAT_1X119380 transcript:rna-gnl|WGS:NBSK|LSAT_1X119380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEGIRSSLQQKAYGFSNGSINNGLGMMPNNSPMMNASGTSESYVTLSHYGNMNVHQQLMSQGDGYGSSTTDSSRTGNFYVPTTSNTSMMNNQSSCIRNDKWDTLTALYYHIVKPLTNTTKEQHMDDPCSLVSPYDFLKTFHPFLVLTMSDILNSSSVVEIGSDPVYSIERLCKENDAVILAVGATKPRDLHVPGRELSSVHFAMEFLHANTKSLLDSNLEDGNYMRGTKRSALYSFIF >cds-PLY62437.1 pep primary_assembly:Lsat_Salinas_v7:3:196119599:196120265:1 gene:gene-LSAT_3X116801 transcript:rna-gnl|WGS:NBSK|LSAT_3X116801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPNNEWLQIYQNNLTGTSQPSTLQWSGHVTNATTVTTTTTTASSVSNDTEGRVTRPTNNGRRSSRTSRRTPTTLLNTDITNFRSMVQQFTGRDGSTAFMDAPATITAPLQPSSSYGANSSSFHYYGMESRISPPDVGGYNVEVQQPPPQQYYTMAVGNRGAGGDIHHGFVQRVQDHNEVANFSGENNDGGKDNTCMF >cds-PLY65576.1 pep primary_assembly:Lsat_Salinas_v7:5:338858612:338864498:1 gene:gene-LSAT_5X190740 transcript:rna-gnl|WGS:NBSK|LSAT_5X190740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENIQHKSIKVNGITMHVAEIGEGPAVLFVHGFPELWYTWRHQMLYISSKGYRAIAPDLRGFGDSEAPPSSTSYTAFHIVGDLVCLLDSLELDKVFLVGHDWGAIISWYLCLFRPDRIKALVNMSVVYNPRNPLVKPVQFMRQTFGDDFYICRFQEIGWEEEFAKVDTKKLLASFYFKRNPSPPMMPKDYAKLFKPDQTTVPCWFTEEDLDYFASKYHATGFAGPFNYYRCFDLNWELCAAWTGSKIIVPVKFIVGDIDITYNFPGIKEYIHGGGFKEWVTGLEQVVVMEGVGHFINQEKPQEINNHIYDFITKF >cds-PLY87396.1 pep primary_assembly:Lsat_Salinas_v7:4:12874964:12875756:-1 gene:gene-LSAT_4X9420 transcript:rna-gnl|WGS:NBSK|LSAT_4X9420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAQISTKQRSDDGKVISVHSLDNWNTQFQSSRTSNKLMVIDFSAAWCGPCKFIEPAVHDLAVEFSDVEFIKIDVDELPDVAKDFEVQAMPTFVLVKKGKERERIVGVKKDELQRMIEKHRF >cds-PLY67267.1 pep primary_assembly:Lsat_Salinas_v7:5:135048732:135050335:1 gene:gene-LSAT_5X58501 transcript:rna-gnl|WGS:NBSK|LSAT_5X58501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMGHGGDGGDEPPHPFGGDFGVHQIDAVPPRRRGMAVNKKMHRLYEANGRQPLKIIFDRNTFVPIGDVYECFIREVGSYIWHDIALDKNTWKEVPEADRNGMFTYLSYIDNLREMQNALVAEVVLQTQHIADSGGDPNSIDWIALFEKVLGARRGHVRDIRPKPSVAVTSAPTQWQWQWQWQSQTPQPTQDVDVNAFLQNFAFVTALGDIIRSFSKQVDNATNNDEENDDGDND >cds-PLY86785.1 pep primary_assembly:Lsat_Salinas_v7:5:16309909:16310412:1 gene:gene-LSAT_5X7981 transcript:rna-gnl|WGS:NBSK|LSAT_5X7981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFMKVLSIDTELSRLHHVAVAENDLTLLFHCNYPIRSQISFIELSSDGGGNDDENDEDDDVDKIVRKIFTPFCSSMLEFNIMGSCNGLLCLSDSLYGEPIYVFNLFSRDYLELLKFKQFLEQEVMFVFRFHPITNEYIVVKIVYYRNGQGKRRVIQNNMNYPKSEV >cds-PLY85622.1 pep primary_assembly:Lsat_Salinas_v7:8:163129650:163129883:1 gene:gene-LSAT_8X107661 transcript:rna-gnl|WGS:NBSK|LSAT_8X107661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCDQPRPRNLGALLHSLEAAPTETMSNLGALPFILRSGRPPERPRSPGIDSLSSTSHIPSRIPSFLLPFGLVEPRRR >cds-PLY91681.1 pep primary_assembly:Lsat_Salinas_v7:8:10346886:10348992:-1 gene:gene-LSAT_8X7461 transcript:rna-gnl|WGS:NBSK|LSAT_8X7461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MAALRIQIHPTNHKTSRYLKTYPPSISCSMQQPPQNNIKVVINGAAKEIGMAAVLAVTKARGMEVAGAVDSHLVGEDIGLVCGMEEALEIPIISDLTMVLGSISQLKATSVVVDFTEPSTVYDNVKQATAFGMNSVVYVPRIKPETIAALSAFCEKASMGCLVAPTLSIGSILLQQAAISASFHYNNVEIVESKANAGVFPSQDSAQIANNLSNLGQLYNRDDLDTNAPARGQRLGEDGVRVHSLVLPGLVSSTTVYFSGPGEMYSIKHDITNVQCLMPGLILAIRQVVRLKNLVYGLEKFL >cds-PLY96037.1 pep primary_assembly:Lsat_Salinas_v7:MU038517.1:604764:608920:1 gene:gene-LSAT_0X32720 transcript:rna-gnl|WGS:NBSK|LSAT_0X32720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METKESSCARNTQREINKRAFESIDSLLRADSTSTLKRRRLTTIDHMESQFATEKLAAAKQNYGRDIRVFETTTSSHTSNEPINEEEPDEFFEFTAEDYYRILATKKEDKVMKTKKLREAEEAARRSKITKAVIRVRFQDNHTLEATFHPSETMQNLVDLLIKVVARPDLPFHIFTTPPKKLIKDMSQDFYSAGFVPGAIVYFSYDQPKGDGDADVAFLKEEVMALKGLELVAEPEKPTVDQLTTAPVVAAAPPSGQERKPAADKKMVKPKWLKMAPQVRSLFCRCTSSLAVRLRPSLSVADDLQPPTPFAPFKMNFIIDMIDNENFSIISQAAVVTNVMNIDNDVELNEILEDGIGDEDDEEASFDNNVEVPSTFTNMEGTNLTIHDNWTITQSTSKN >cds-PLY84337.1 pep primary_assembly:Lsat_Salinas_v7:5:189415768:189416791:1 gene:gene-LSAT_5X85581 transcript:rna-gnl|WGS:NBSK|LSAT_5X85581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANGSNSKFGTQLVKNDSEPSQQGAMGMLYVYDVTNESSFNNNVNKILVGNKADMDENKRVVPTAKGQALADEYGIKFFEINDLKKEFNIDHTRERFGIEFNNKYFCEELHSYDNISHFHHSLN >cds-PLY63850.1 pep primary_assembly:Lsat_Salinas_v7:2:84243813:84249574:1 gene:gene-LSAT_2X34761 transcript:rna-gnl|WGS:NBSK|LSAT_2X34761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRHHRNTSSIFPTLIVIYGMFFFIQSLTASAAGSLTSCDDISVPVMIKIWVNGVEGQKLEGQSATFSAKIAETADGVAKQSVVVPNPSNCCSNLSSQMSCSEKSGLDINIPVVMISKLGGDALNKSLSGGGKVEVVVYAPKSPLIDPAAAFLWLIAVGTIVCASLWSDITKINHTNEPYNQLSPKVEEEEENEIIEMSTMSAVVFVITASTFLVLLYLFMSSWFIWLLIVFFCIGAVEGMHSCIVALLKSKCRSCGQKAVNVPFFGTTTVMSLLVLLFCMAFAIFWVWTRKESYSWVGQDILGICLIIAVLQLAQLPNIKVATVLLCCAFAYDIFWVFISPIFFHDSVMISVAAGDSSSGETIPMVLRFPRMFDPWGGYSILGFGDILFPGLLLSFTVRFDKAKKKTWHDGYFLWLAIGYACGLLLTYLGLYLMNGHGQPALLYLVPCTLGTCIILGLVRGELKELWNYNNSANEYKQTPGGEEQA >cds-PLY90681.1 pep primary_assembly:Lsat_Salinas_v7:6:51786668:51787699:1 gene:gene-LSAT_6X37940 transcript:rna-gnl|WGS:NBSK|LSAT_6X37940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTKKVAMGRNSSKALASPKVSKTQKKVPEDFNNQENKASQMITSSARKKTRGICLDNDSYIAINNMSVDSEGCETTKSFSNGTIFSLAFHISRNTEGEIVDGGCGLSSEVSAIYQ >cds-PLY96140.1 pep primary_assembly:Lsat_Salinas_v7:3:96442196:96443072:1 gene:gene-LSAT_0X42440 transcript:rna-gnl|WGS:NBSK|LSAT_0X42440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAAASIQLKEMEEVSISKQPTEKKKVFPDWNNLMKPGNEERDHWVPDEASTKCTTCKTYFGAFVRRHHCRNCGDIFCDKCTQGRIALTTEENAQQVRVCDQCMAEVLLGLIGMKILQKNLRRRWKRRLELQNQNPM >cds-PLY80536.1 pep primary_assembly:Lsat_Salinas_v7:3:200420803:200424558:-1 gene:gene-LSAT_0X19880 transcript:rna-gnl|WGS:NBSK|LSAT_0X19880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGIISALYSLFCDKLASEAFKQFARFKKIDADDVEKLKISLIKIKDLLNDASQKEIRQEAVKEWLNSLQHLAYDIDDLLDELSTEAMHREFTEESGTSSKVRKLLPSARMHDKFGNITIKLQELFEEKYKLGLSVIGESPKHRNRRLETSLVDASSIIGRKDEKDALIHKLYEPCDRNFSIVPIVGMGGVGKTTLARLLYDEMQGKDHFELMAWVCVSDEFDIFNISNIIFQSIGGGNQEFKDLNLLQVALREKISNKRFLLVLDDVWSESYTDWEILAGPFLAGAPGSKVIMTTRKMSLLTQLGNNEPYHLPILSDESALSLFCQHALGDKNFDSHPILKPYGECIVRKCNGLPLALKALGRLLRTKIDEEDWKEVLNSEIWSLEKGDGIVPALRLSYHDLSPCLKQLFAYCSLFPKDFEFDKEKLIMLWMAEGFLQSTTNKTMERLGLEYFNALWSRSFFQPAPNDRSLFVMHDLMSDLATSVAGEFFSRVDIGAEKEYRDESFEKYRHLSFVREQYMVSARFEPLKGAKSLRTLLAVSVGVIKSWQRFYLSNKVLKNLLQEFPLLRVLSLSHLGISEVPEFIGSLKHLRYLNLSRTDIKLLPENVCNLYNLQTLILFGCESLTKLPNSFLKLKNLRHLDIRNTPSLKKMPLGIGELKGLQTLSKIIIGGENGFAITELKNLQNLHGKISIWGLGNVQNEKEARGSQLSQKRLTELELDWGYGLLQKRLSMLEFAVSFGDSELNVFRKQTHDNEVLTDLKPQADSLKKLEIVSYAGREFPNWVGDPSFLGLTHVSIYGCEECTSLPELGQLPSLKDLYIGKMSKVKVVGWELLGTGVAFPSLEILTFDSMPTWEVWSTNNNGVVDAAVFPCLKKLLILFCPNLVEVSLEKLPLLRVMTVKGCGHGVLTSLVHVASSFTKLILHDISGLTHEVWGGVMKYLGEVEEVTIKCCSEIRYLWESEAEAGKLLANLRRLEVRYCSNLVSLGEKEEDNCGSNLTYLTWLWVSGCASLQHCSCPDSLDSLTILTCDSITSVSFPTGGGQNLKAVFIQNCKKLKSMNELKYFIHLTEFGIKDCPSLESFPFPDQELPNLASLTHLQIQNCTSMDASFPGGLWPPKLCHLTIGGLKKPMSEWGPQSFPTSLGYLHLYGGPYEEVTDFSRLSGLFPSSLTSLCIERFEKLESVSTGLQHLASLQHLSIVKCPKMMDLREKLLPSLLSLEIIESPNLKTKINIGGSYWPVVSLIPCLWG >cds-PLY87134.1 pep primary_assembly:Lsat_Salinas_v7:5:265527247:265527704:-1 gene:gene-LSAT_5X136780 transcript:rna-gnl|WGS:NBSK|LSAT_5X136780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYDVGIHDSDDDTYGMADFLSEMATMTNQNKPNDNMKTSFEEKKDLFKEMFQSDIESFGSSSETKSFTSINKRISSEMSSSIVEDYSNFKICVQGFCLGVS >cds-PLY82577.1 pep primary_assembly:Lsat_Salinas_v7:2:183516468:183518302:1 gene:gene-LSAT_2X105900 transcript:rna-gnl|WGS:NBSK|LSAT_2X105900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTASSSDSPLVAQRLLGKVALVTGGATGIGESIVRLFHKHGAKVCVVDIKDDLGQHLCETLGPTTRFIHADVAIEYDLSRAVDFSVSNFGTLDIMVNNAGISGPPCPDIREFPISTFEQIFNVNTKGTFIGMKHAARIMIPLKKGSIVNISSVASVTGGLGPHAYTASKHAVVGLTKGAAAELGKHGIRVNCVSPYGVLTDLALAHLYEDERTDDAKAGFRAFIGKNANLQGVELERDDVANAVLFLASDDARYISGDNLFVDGGFAATNHSLRVFR >cds-PLY87662.1 pep primary_assembly:Lsat_Salinas_v7:1:169166285:169167849:-1 gene:gene-LSAT_1X113000 transcript:rna-gnl|WGS:NBSK|LSAT_1X113000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSGPKKRKAAKKKKFKDSNNSNSSSTHSHHGESNGGELNSPKAQDHHHNNQLPFLEVEKHEEDLSHNGSLKVRIFEKAANEEEGKIGIIDKRSKIGCVNESETLQYSNKQPLIAMQTTSWKGCCGILDLLSSSDR >cds-PLY89309.1 pep primary_assembly:Lsat_Salinas_v7:2:49727954:49728692:-1 gene:gene-LSAT_2X21921 transcript:rna-gnl|WGS:NBSK|LSAT_2X21921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMMQNPNQKFNRKDGCDRGIKEGNESISGSFGEHPLLAPCLKGIGKFSHLIDLDFMADLMNYHRKLAGGTSDSNGEGITDSSPPSCLSVSERLRCCIVAFKVMRSNLDALNVDLQDFFIQLYRVILEYRPGRDQGEVLAKAMKIMLCDDKQHDMQRVAAFIKRLASFSLCFGAAESMAGNPQYFLGLYFG >cds-PLY63020.1 pep primary_assembly:Lsat_Salinas_v7:8:184533157:184534706:-1 gene:gene-LSAT_8X120661 transcript:rna-gnl|WGS:NBSK|LSAT_8X120661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLIAQPFKTLKLFIVDVLQYITRSLVYLLTHVVWLMLFVTLTVAVGLLFLSVDGPHGKHVEELLEYARFGLWWVALGVASSIGLGVQVPLSNILPQVQVEAILWGLGTALGELPPYFISRAASISGDKMDVTEELDASSSENNGVASNLNHMKRWFLSHAQYLKHSSFTKFES >cds-PLY88052.1 pep primary_assembly:Lsat_Salinas_v7:6:180623426:180625882:-1 gene:gene-LSAT_6X109261 transcript:rna-gnl|WGS:NBSK|LSAT_6X109261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFIIPLLITLYSSLFTSSSQANALSKGLSISVDHEHDNLVSLNGLFTAGFYKVGINAYCFSIWFTEPHAKHENPTVVWMANRDIPVNGKHSKLSLHDDGNLVLEDADHSIVWSTETKSTNGSLNLKLEDTGNLVLHQLNGVPGMLWQSFDYPTDTLLHDQLFTKDSQLVSSRSTSNFSSGFFRLYFENNNILSLLYNGPEITSVYWPPPYMKTWEAGRSTFNSSRIAKLDSEGKFNSSDDFGFFVSDFGIRRNRIMKLDSDGNIRVYSLVDQKGRKKWEVQWQAFSSPCKIHGVCGPNSLCTYSQEHGRKCECVHGYKKKNQSDWAYGCEPKFKPCEQGEKDYIELHHVEFYGYDMRFLQNRTLEACKQDCLNDCNCKGFQFKFDTNFYNCYLKNLLYNGYQLGFEHSIYIKLPQNLVSSFQQALVNEIESNSSCPTHIIMPIPRSYERKHENGSLKVLRWFGMVIGVLEILLILIFIYISHKDSATSAKSYYPIASGFKRFTYAELKTATSNFKEEIGRGGAGVVYKGKLSDDRIAAIKVLKEVNHQGEVVFQAEISTIGRLNHMNLIETLGYCIEGKHRLVVYEYMENGSLATSLNSNKLNWGKKFDIAIGTAKGLAYLHEECLEWVLHCDVKPHNILLDADYNPKLADFGLSKLFDRGGNETSSFSRIRGTRGYMAPEWVFNLPITSKVDVYSYGVVILEMITGKSPVLQMVQLGGDSGRDQTLVEWVREKIREHIGSQNGTWIGEIVDSRTSGEYDKGMLINLVKVALQCAEEDRDARPSMSQVVNILLHPGSHDSHDMNEASVSSIYNKVSP >cds-PLY94080.1 pep primary_assembly:Lsat_Salinas_v7:4:171384403:171385698:1 gene:gene-LSAT_4X101200 transcript:rna-gnl|WGS:NBSK|LSAT_4X101200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKLSVIDFSLKSLNSTPSSWITTCSHVMRALEEHGVFIAMYDGVPQELDDMIFHASRDLFDLPTEVKVLNTSDAPYHAYIGQIPAMPMYESLGIENATTKEAVEIFTKLMWPSGNKSFCTSASMFSKAVAELDQIVLRMVAKSYGIEEHYESLLESTNHVLRFMKYLCPKENEENPLVLRPHTDKSLMTILHQEQVKGLQIQTKDGHWIDVDPAPSSFIVMAGDACMAWTNGRIEAPCHRVILQGNQERFSLGLFSFIRDMKIEIPQKLIDEDHPQRFKDFDHYKYLHYYHYTDEGKRSTCPIKSYCGI >cds-PLY93717.1 pep primary_assembly:Lsat_Salinas_v7:2:199996731:199996973:-1 gene:gene-LSAT_2X122381 transcript:rna-gnl|WGS:NBSK|LSAT_2X122381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQVKTLERNFELGNKFEPERKMQLARALGLQPRQIAIWFQNRRVRWKTKQLEKDYDALKRQFQAVKAENDSHQSQNHKL >cds-PLY87077.1 pep primary_assembly:Lsat_Salinas_v7:5:265424440:265428532:1 gene:gene-LSAT_5X137001 transcript:rna-gnl|WGS:NBSK|LSAT_5X137001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHQHQRLKQQQQQALMQQALLQQQSLYHPGLLAPPQIEPIPSGNLPPGFDPNTCRSVYVGNIHMQVTEPLLQEVFASTGPVEGCKLIRKEKSSYGFIHYFDRRSAALAIVSLNGRHLFGQPIKVNWAYASGQREDTSGHYNIFVGDLSPEVTDAMLFACFSVYASCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLTGKWLGSRQIRCNWATKGAGTSEEKQGSDSKSVVELTNGSSEDGKEPVNSEAPENNPQYTTVYVGNLAPEVTQLELHRHFHSLGAGIIEEVRIQPDKGFGFVRYNTHGEAALAIQMGNTQSILYGKQIKCSWGSKPTPPGTSSNPLPPPVAAPMLSAADLLAYERQLAISKMGMGGLMHPSQHHFKQGGMSVGAAGASQAIYDGGFQSVAAAQQLMYYQ >cds-PLY97132.1 pep primary_assembly:Lsat_Salinas_v7:4:75946949:75948656:1 gene:gene-LSAT_4X51320 transcript:rna-gnl|WGS:NBSK|LSAT_4X51320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSNRHWPSMFKSKPTYTAHQDHHGSNHVVTSAPHRSSPHTYGCDERTPEPKPRWNPKPEQIQILESIFNSGMINPPRDEIKKIRARLQEYGQVGDANVFYWFQNRKSRSKNKTRRLQKSQSRQAQPVSPTATKAATTSSSSYSDNSSSNSTEFLLHSYLGGGGGAGSHHQHHGHFFQESFFFPVQQASLSPTTASCTQDFFFPDVSTVINQEYRPRNDNGHTVLSSTSMSFTDLMMNPLYGIPNKHSKSKEDEEEDIIKTLTHSTPSPPPTASTCITAPPPPPAVPTSLVPPAPTSTIIPLTINDVEGEGGGMGKLIVFINDVPFEVAIGPFNVKEAFGSDVVLIDSSGHTVVTNDWGVTTQSLQHGAFYYLVRLHTNDHAGVRY >cds-PLY63613.1 pep primary_assembly:Lsat_Salinas_v7:4:131521260:131521439:-1 gene:gene-LSAT_4X81881 transcript:rna-gnl|WGS:NBSK|LSAT_4X81881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGWVTAAKVVELGVVRCLGRACECVSPFARLRTKEDHHHHHHEVVTNIATSAAVFS >cds-PLY77401.1 pep primary_assembly:Lsat_Salinas_v7:9:200887833:200889420:1 gene:gene-LSAT_9X124840 transcript:rna-gnl|WGS:NBSK|LSAT_9X124840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPAAAAGSRSDNEMKDDCCQPSLKDLQHKGNLIPEGHKVLIFSQSCIMLDIIQGFSEFVVMAADTEDFEMISHIPFLTKYKHDTTKDCIMLKIIRVLPPAVPSRQVKVNSSTWEASGETLNNIDHEAMVVDPALVLTIQPSLRGGNRQKIQEKIVKDKIKPPGFLSSEAHSLLKGVML >cds-PLY81988.1 pep primary_assembly:Lsat_Salinas_v7:8:263769429:263770191:1 gene:gene-LSAT_8X152800 transcript:rna-gnl|WGS:NBSK|LSAT_8X152800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSVSRSFSSIFTRPNVALNNQISYLQQWRGIRVKILNNNLERGLTYMQRIMQSSGIERMIKNEQLYHIKNSEKRILARKNLQRRLKSQDLARKLKSILVRKVRYDDAVLIVLSLCITCFRYLADCTFVKYMLLVNIEGPGDFDFGIYIAARLLIYMFTSLDLFNLLFLFNGGNRLTHLHESIQTPCSIELSANHFMNIRMKLESMIFKDILTC >cds-PLY66443.1 pep primary_assembly:Lsat_Salinas_v7:5:320854156:320857438:-1 gene:gene-LSAT_5X176380 transcript:rna-gnl|WGS:NBSK|LSAT_5X176380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRCTKSGIEATDTAVHNRRTLHQPFFPQDSPGVPPSSSSSDVPFTPNPTTTNPSPPDGQPFFPSIPSPPPPPSESTTSASFPANISSLNLPTSPKSKPVSSKLIATAVTLVIAAAIVIAIVVYLRIKKRRGYDQDSRSFSDEKTRRSDSSTRVVVSCNVNNGGSGGSDNSSNRIPKLTRPSQTSAEFLYLGTLVNSHGGIDSTKSSARDNPGDGDSNLRKLDSPELRPLPPLLSVGGNGGRTQSNLRNDNFENADAESSRDEELEEFYSPRDSIGTGSGSRAAFAAVPVDTYDSRRIIGSGSSSSCSSSSSGSPARSVSLSISPPVSLSPIRSRPKSPDLDAIQTAPPPTRLAPPPPPPPPPPQPLVIPPPDIRLSKDSLESSPRLSDSSSEQNSPPPARIPPPPPPPPPPKHWENPSPRTPTPPVRPPVLITPARPIPLIHDQPLVSPIELLPENQENLETPKPKLKPLHWDKVRASSDREMVWDQLKSSSFKLNEEMIESLFIVKTPNSSNSNETSTTKRPILPSPSGQETRVLDPKKSQNIAILLRALNVTIEEVCDALLEGNADTLGTELLESLLKMAPTKEEERKLKEYKDDTPMKLGPAEKFLKAVLDVPFAFKRVDAMLYISNFDSEVEYLKRSFQTLEVACEELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGKTTLLHFVVQEIIKSEGARLSNTETNPDDTKSRKLGLQIVAGISSELSNVKKAASMDSEVLNSDVMKLSKGISDIIQVVRLNDTTTPLETRFSGSMNGFLKMAEEEIIRIQAQESVALSLVKEITEYFHGNSAKEEAHPFRIFMVVRDFLNVLDRVCKEVGSINERIVISSAYKFPIPVNPNLNLPPVFGFHGRRQCSSSDDESNSSFQRS >cds-PLY82063.1 pep primary_assembly:Lsat_Salinas_v7:8:53744443:53744715:1 gene:gene-LSAT_8X39860 transcript:rna-gnl|WGS:NBSK|LSAT_8X39860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDTKVPEVDVSPETSKVISGSVSIAAQLRDKKIKKFKAKALQELLSGIHQDIYEKLLDEDKSSPSTSGMHSRNHSRGLTRFLQIGRHSH >cds-PLY83570.1 pep primary_assembly:Lsat_Salinas_v7:1:64699281:64702588:-1 gene:gene-LSAT_1X55940 transcript:rna-gnl|WGS:NBSK|LSAT_1X55940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3G53570 protein [Source:Projected from Arabidopsis thaliana (AT3G53570) UniProtKB/TrEMBL;Acc:B9DH08] METQRILEFPHKNMDKRPRKRPRLAWDMPAPVPPPPKVLPALYCRQDFINAAVPSFSYSSIYYKGIPHNGSPPWRPDDKEGHYIFAVGENITPRYRILSKMGEGTFGQVLECLDNEKKEPVAIKIVRSINKYREAAMIEIDVLQKLARHDVGGSRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVRDFARQLLESVAFMHDLQLIHTDLKPENILLVSSEYVKVPDYKFLSRSGKDGSYFKNLPKTSAIKLIDFGSTTYEHQDHSYVVSTRHYRAPEVILGLGWNYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMIMRADRRSEKYFRRGARLDWPEGATSRESMRAVWKLPRLQNLVMQHVDHSAGALIDLLQGLLRFDPSERLKAREALRHPFFTRDIRRYGYSL >cds-PLY70734.1 pep primary_assembly:Lsat_Salinas_v7:8:168208927:168210040:-1 gene:gene-LSAT_8X110521 transcript:rna-gnl|WGS:NBSK|LSAT_8X110521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQQTSNEASVIGHQFVAPYPIDIIVESNSKGKFVVTDTENKLMLKVKPCDSTFHHQRLLLSADDKPILMLRDKILSSHTRWNAFWGKSTSNSDLAFSTKTPSIIQFRKSLHVFLADKTSSKGVCDFKIKGSWSKKNCTIYMGDSSTIIAQMHKMQKSKKVKSAKGKFMVTISKNADYAFVIALIAIVHAMKSTEDEYAEQIIASVGQVVVATV >cds-PLY83365.1 pep primary_assembly:Lsat_Salinas_v7:5:94989390:94989542:1 gene:gene-LSAT_5X42981 transcript:rna-gnl|WGS:NBSK|LSAT_5X42981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVMEDLLRQYLNDKTNMNEVLDALSKEEVSDDKMFAKYEICVVKSNTRD >cds-PLY72769.1 pep primary_assembly:Lsat_Salinas_v7:4:373167995:373170553:-1 gene:gene-LSAT_4X184201 transcript:rna-gnl|WGS:NBSK|LSAT_4X184201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANNIIKEGDRSPRIILHEPTGSTVEVLLYGAQVISWKNERREELLFMSNKAVCKPPKPVRGGIPICFPQFANFGSLEQHGFARNRLWLLDEDPSPLTPANNQSCADLLLKSTEDDLKTWPYRFELRLRIAVSAGKLTLIPRVRNVDNKAFSFTLALRNYFSVSDVSEVRVEGLETLDYFDNLMKRERYTEQADAITFDGEIDRVYLSTPTKIAIIDHEKKRTIVLRKEGMVDAVLWNPWDKKAKAIPDLGDEDYKTMLCLDAAAVENPINLKPFEEWKGRQELSIVSSSYFSGQLDPQKVLNGLR >cds-PLY68298.1 pep primary_assembly:Lsat_Salinas_v7:1:27947670:27949916:1 gene:gene-LSAT_1X22841 transcript:rna-gnl|WGS:NBSK|LSAT_1X22841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCTNPTCFFCSMKEPNILIRRAGIKKCFKEMLTINEQERVLILSSLWNIAMTQPEDPEFPSLGIFKCMVTLLDKSINDRAWLLDGQNVYIPYYAAHIVGSYTMNRFEFAEKAADSGIIPPLLDLMRGKMSWLEQRVAVRALGHLASYDGTFEALAVYEEEVVKLTMGLACSCDEVVYNEFVAVNDANERVKYHKNLITRGVGGLEMENRKAEEWASQIQCWSLHLLNCFAIRGRSIDVICNKEFLKELSSMWGGLVNHTSPAGVGLIRILCYTPVGRTRVSESEEVIESICNLSRSSDDWQYMAIDCLLLLLHDLNTRYKVLEIASVYLFDLIELRELGERSKIGQKITRALLTDFKNGKSRIKNREVERILKETWIFKVDKNKKERLMSDEKLEEKRVLVSLIKQQANHSFWLGDIDTAVVKYTEGVKLCPLKLKKERIVLHSNRAQCYLLLNNPDAAISDATRALSISNPVNSHAKSLWRRSQAYYMKGLSKESLMDCLMFINFVTADKKKHAKIPYYAVHMIKKLMDSTWFFASAKSKLSNNGSSSIDPGRNKEELTSDERLMSGKTYVSNLLSLLCRYQKSNGFAKKEEILIFDGQMS >cds-PLY74987.1 pep primary_assembly:Lsat_Salinas_v7:5:86393264:86394275:-1 gene:gene-LSAT_5X39760 transcript:rna-gnl|WGS:NBSK|LSAT_5X39760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSNSTHQEKKITRSNLVEQLREYQIRTKHDWASVSLFTPSSNLYTSRVDVVVFVIWELVILAFFVFSGVSLYFRQLKLALVLGSVTLLLLLCMKVTKHVKLAHKKKRRMLLPLSM >cds-PLY72740.1 pep primary_assembly:Lsat_Salinas_v7:4:373610064:373611516:-1 gene:gene-LSAT_4X184541 transcript:rna-gnl|WGS:NBSK|LSAT_4X184541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYDYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVAIDGRPVKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLAGWLEDARQHANPNMTIMLVGNKSDLSHRRAVSKEEGEQFAKENGLLFLEASARTAQNVEEAFLKTAEKILQKIQEGVFDVSNESSGIKVGYGRPQGTGARDGTVAQSGGCCG >cds-PLY85938.1 pep primary_assembly:Lsat_Salinas_v7:2:189428289:189430446:-1 gene:gene-LSAT_2X111280 transcript:rna-gnl|WGS:NBSK|LSAT_2X111280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMKKFGFSPKRSSSSFIAHYPSPSRFALPTPTMSFPDSVMLQTLEVAEPIIVKWDPETSTYAKVTSLFYDNRREALEFIKIVNKLHKAMHSLVAENSTSELLIRAQHLMQIAMKRLEKEFYQILSLNRAQLDPESVSTRSSRTSTRSSLSDFADESDDEIRVAGELISEVEDTAEGAMADLKLIAECMISSGYGKECVNIYKVIRKSIVDEGIYKLGVEKLKAAHVHKMDWEVLDLKIKNWLAAVKIAIKTLFNGERILCDHVFASSDSIREASYTEITKEGALILFGFPENVAKNSKKSPERIFRTLDMYTAIASRWPEIVSVFSFPSTSSVVNQALNSLIKLAESVRLDLTEFETLLNKESLKTTVAGAGVHRFTMETMNYLSLLGDYSVLSDILMDSPQTEKPLMPQTFFDESSSDSSPSPSVSARLAWLIFILVCKLDGKAKHYKDVSQAYIFLANNLQHILTKVRSSNLRYLLGDEWITKRESEVKKFAANYERLAWSHVFDAIPKNASAMTREEARDGFRKINAVFGEAHHKQLAIVIPDGKLRDEIKVSVARKLLPAYREFYNAQRVEMEKDRRFAAAVKYAPEDIGNALSDLFFGSSSVSSSASSSSSSKSRTSISQ >cds-PLY96348.1 pep primary_assembly:Lsat_Salinas_v7:1:28229401:28231876:1 gene:gene-LSAT_1X23200 transcript:rna-gnl|WGS:NBSK|LSAT_1X23200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MRG2 [Source:Projected from Arabidopsis thaliana (AT1G02740) UniProtKB/Swiss-Prot;Acc:Q4V3E2] MRSSNSGSATDDDESATISDEYDATMETDTDVVSKDGAVESLSTPFRQGEKVLAYHNLRIYEAKVLEVDSKEKRYYIHYLGWKQKWDEWVGIDRLMNINNENLQKQKALENELKPKNPNMTKGMKRKTMSKLIDIHIPSSLKKHLVNYSEYITHMGKLVKLPCSPNVDDILKLYLEHRSNKDGRESDTAGEVVSGLRCYFDKALPAMLLYKTESQQYQQATANQISPSKIYGAEHLLRLFVKLPEILFHANIEEETLRELQHNLQDFLKFLQKKQSLFFLSSYETPDGSCTIE >cds-PLY95565.1 pep primary_assembly:Lsat_Salinas_v7:6:174449768:174450241:1 gene:gene-LSAT_6X106240 transcript:rna-gnl|WGS:NBSK|LSAT_6X106240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKKKDGDPVDNDYDEDGPLSDREGRVEKYIGVKVKVSFTGQGDTQSMKQLSGGQKTVVALALIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMVQRLADMANTQFITTTFRSELVKVVDKIYGVTYKNCVSRVNVVTMEEALDFIEHDQSHNAE >cds-PLY64969.1 pep primary_assembly:Lsat_Salinas_v7:8:161412144:161413878:-1 gene:gene-LSAT_8X107640 transcript:rna-gnl|WGS:NBSK|LSAT_8X107640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQTMDVHEDQDLDGLPNVPSSRYRNSDVHDANIEDGNPANWIGKDFDDQDYGEDSEEDYIEETPA >cds-PLY91122.1 pep primary_assembly:Lsat_Salinas_v7:3:83312986:83313933:-1 gene:gene-LSAT_3X64401 transcript:rna-gnl|WGS:NBSK|LSAT_3X64401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTYSLLHLLFSTLVSIYMFRFQPEAAPNDGNIRQSEDGYLVEVVVEGNQLGVVPYSIKDSQDGEMCAIDAINNNIVQITPPLSECKLVHMLVFLNDSSPKNTSLYLARVDIEAILFEINYDVPTDISMTTLQSKNERYFDGNDKDHAEKSWRSTPIDVRTLKNLKYMSNRKGFV >cds-PLY80099.1 pep primary_assembly:Lsat_Salinas_v7:5:147281019:147281321:-1 gene:gene-LSAT_5X64520 transcript:rna-gnl|WGS:NBSK|LSAT_5X64520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTVTPPSLPKISKSGRDDNLAEQESNIPDADATNNDQPIPNFGDQSKADEYEGFLELRFMAQVVVYLDLYFVGEIPQGTNNDINSDDEHLNPRKRKASF >cds-PLY71590.1 pep primary_assembly:Lsat_Salinas_v7:1:116026269:116027795:1 gene:gene-LSAT_1X88060 transcript:rna-gnl|WGS:NBSK|LSAT_1X88060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTIQADEISNIIRERIEQYNREVKIVNTGTVLQVGDGIARIHGLDEVMAGELVEFEEGTIGIALNLESTNVGVVLMGDGLLIQEGSSVKATGRIAQIPVSEAYLGRVINALAKPIDGRGEISSSEYRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQQGKNVICVYVAIGQKASSVAQVVTNFQERGAMEYTIVVAETADSPATLQYLAPYTGAALAEYFMYRERHTSIIYDDPSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSSLLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFASDLDKATQNQLARGQRLRELLKQSQSAPLGVEEQVLTIYTGTNGYLDSLEIGQVRKFLVELRTYLKTNKPQFQEIISSTKTFTEEAEAILKEAIKEQRERFILQEQAA >cds-PLY68275.1 pep primary_assembly:Lsat_Salinas_v7:1:27559921:27560235:-1 gene:gene-LSAT_1X23540 transcript:rna-gnl|WGS:NBSK|LSAT_1X23540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLNFLPLVLVLVLITFCFLAHGIEAHETGPDDNKMEGSNPEGPGHKDTDNKMSGPSPKGPGHADTEDKKETNGGYRKEKLGYAAFSLIILTVSKCMQVLEF >cds-PLY77615.1 pep primary_assembly:Lsat_Salinas_v7:2:163957204:163959938:1 gene:gene-LSAT_2X86880 transcript:rna-gnl|WGS:NBSK|LSAT_2X86880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQAIIRPFYLGLLAWLCVFFVKAEDPYRFFTFEVTYGQISPLGVSQRGILVNGKFPGPTIDCITNDNVIVNVINKLDEPFLLTWNGIKQRKTSWQDGVLGTNCPIPPNSNWTYQMQMKDQIGTYSYFPSTKMHRAVGGFGAINIRARAVIFVPYLKPVEEFTLLISDWWKSDHKTLQQTLDSGKTLPMADALLINGHVQSTSFTTQKGQRYMFRVSNVALTTSINFRIQNHTLTLVETEGSHTLQESYESLDIHVGQSASFLVNLNAPLKDYFIVASTRFTKPVLTATSTLHYDGSTTKASLPLPWGPTYEIHWSMKQARTIRWNLTANAARPNPQGSYHYGTIPVTRTVVLANSAENINGKLRYAVNQVSYANPETPLKIADFYNIPGVFHLSSIKDSPPSTPPVIGASVMGFTLHDFVEIVFQNNEGTIQSWHLDGSDFWAVGFGSGQWNATLRKRFYNLNDATTRHTMQVYPNSWSAILVSMDNKGMWNLRSAIWPRRYLGQELYTKVWNDEKSSRTEYDIPLNALRCGKAPLN >cds-PLY65560.1 pep primary_assembly:Lsat_Salinas_v7:5:311910877:311914752:1 gene:gene-LSAT_5X170921 transcript:rna-gnl|WGS:NBSK|LSAT_5X170921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-J18 [Source:Projected from Arabidopsis thaliana (AT2G01905) UniProtKB/Swiss-Prot;Acc:Q9C5X2] MTDTSLHVAYNYVSGLHTCRPDRATWDLIAMVENGKHEGPATYSVTFVWNGHEGENVELIRGFAGNWKEPVKAIHKGGPQYEPEVRLAQGKFEQESTGHWLLQPVRESNLQLFSLIAIWISSKIDDSLPLSVKKLKSLADKIIQEQHYTTRDFAEAEVIFMKVLDYEIGTSDIVFRFLEDLVIQLKEVATVGEHLDFEVCMDIMDLLYEKEETSTLYNSPHSLAASILACCLVCDHSS >cds-PLY80734.1 pep primary_assembly:Lsat_Salinas_v7:3:85384276:85386054:1 gene:gene-LSAT_3X66280 transcript:rna-gnl|WGS:NBSK|LSAT_3X66280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQSNAVEQLYFICHGELEEIGICEDGSEETVSNLKLHSSFGEFNSSDAHMKQLETDIILHIGKHEAELALRVNSSAFYGDLYQLKSLIRAGADLNKKDYDGRTSLHLAASKGYEDITLFLIQDKVEVKYNFGNTPLLEATKNGHDKVASILVKEGGSLKIDDAGWFLCTSVARGDIDYIRRILSNGVDPNSKDYDFRTPLHVAASQGSYIIVKLLVEAGASVLSKDRWGNTPLDEGRMSGNEMLIKLLEEAKDAQLSELPEGSQEIRDKMHPRKCTVYAFHPWEEKDKSTCGVVLWIPRTTEQLIRTAAEQLKLESYDTCCIVTEDAEKILDVDMILDGQKLYLISEE >cds-PLY66270.1 pep primary_assembly:Lsat_Salinas_v7:4:63625908:63627317:1 gene:gene-LSAT_4X44741 transcript:rna-gnl|WGS:NBSK|LSAT_4X44741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILIRLSLFFLFCFHRNNGLRHLLFHPATLTFPPLSATTGESKMTILAKILTVVLLHFFLFIAPSTAEIISLNLRSNNRHKILISEFKFSNDGYISFVISSVTATSTSSRPDPSRFGFILQSPKVRNRFEFQQNTICPLDFKLNTLLFTFQDLSHDPQTSFNKTYTITNPGMNSLFFVNCNYESVVTMDGRVALYNTNDGTTKNYLSGELRQLPFLYIFSAFIYVCFLGFWILVCFKNRRSFHRVHLLMGVLLVMNLVVLISAATDLYYVKFTGTPHGSDVLYHMCKFVRTVLLFTLIVLIGNGYCFWKPFLEVKEKLVLMIVILLQVWVNVYAIFEWKAGPYNNEEDVVDSLSIDFICCFVIFVPIWFSVIWFNKDNHETDGNDVMNRVRLFLFGFAVFAYVFFTRFLMVAFLIPSNHGVQEISLLVLCMVVFYIFRPSDEDCDGKTVEIAAWKSDIPSLCYAVVASV >cds-PLY75682.1 pep primary_assembly:Lsat_Salinas_v7:1:100025180:100027105:-1 gene:gene-LSAT_1X80860 transcript:rna-gnl|WGS:NBSK|LSAT_1X80860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEVQPNEKGAKSQLLEGDLILWTVGNKPLLPRLEQNRWPFELPVTGKGQAETDETLQAKGHLHIFVVGDSSTLRESKGKVAFFAGWNIWAAINNRPLLPFRFQNLGEMMFLGRNDAAITPSFIGGLTLEGPIGHTARKLAYLSGYLLMNIVLKLESVGWQNLQLTPLQLCKVISPKSLRFSN >cds-PLY92473.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:461111:462790:-1 gene:gene-LSAT_0X11020 transcript:rna-gnl|WGS:NBSK|LSAT_0X11020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHLFSSNPTLPVNISKHPPLSISCLLFYSPSKSMMDTPLLSTAFSMLLSSNVSTESFTVTTVLLLRLPTATPLETTSSKTTTFGDETRRLPL >cds-PLY69985.1 pep primary_assembly:Lsat_Salinas_v7:8:63700051:63701087:-1 gene:gene-LSAT_8X45320 transcript:rna-gnl|WGS:NBSK|LSAT_8X45320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSQKSTPSSVPSPVYGQPPPGPSYVAGVSASSLVSQTSWSSGLCDCCLDIPNCCITCWCPCVTFGQIAEIVDNGKTSCGFHGTLYALINVLSGCGCMYACFNRTKMRRQYGLPEVPSNDCCVHFCCGPCALCQEYRELQHQGFDLSIGWEGNIHRSTMTNIGVQIPPTALGGMSR >cds-PLY86938.1 pep primary_assembly:Lsat_Salinas_v7:5:256996081:256998422:-1 gene:gene-LSAT_5X132201 transcript:rna-gnl|WGS:NBSK|LSAT_5X132201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSETGFLYASAHKFVSLIIYSVFLFSNFANARVFDSLLHQIQLPQFGAFSKIFESKIHLNVKDYGAKGDGIEDDTQAFADVWNKACSSKVESRIIIPDESSYLLRPINLAGSCHSKITLMIFGSIVAPSNPDVWNGNDTHKWIYFHGVDHLTVEGGGTINGMGQEWWASSCKINPKNPCRHAPTAITFHKCNNLVVKNLMIRNGQQMQMAFTNCDRVSVSHVSLFTPSWSPNTDGIHISSSTNVEVKDSVIRTGDDCISIVSNSSKIDVRRIFCGPGHGISIGSLGKSGTCDQVYDVSVRGAILSNTENGVRIKTWQGGSGFVKNVKFDDIWMQNVSNPIIIDEYYCDSNTPCPNKTCGINVENISYVNIRGTSATKEAIRFACSDVAPCEGLFLEDVYLVSAFDDVTTTSFCWEATGTTSGTIYPPLCYYSNSATFIKHIVSYIPNLQPIESIML >cds-PLY73350.1 pep primary_assembly:Lsat_Salinas_v7:7:62977330:62981212:-1 gene:gene-LSAT_7X45820 transcript:rna-gnl|WGS:NBSK|LSAT_7X45820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQEHKEEGNKLFQKKDYEGAISIYQKALKLLPKNHITVSYLQTNIASCYMQMGITEFPRAIHECNLALEVTPKYSKALLKRARCYEALDRLDLALKDVNLVLNMEPKNIMAIEIAHRVKSLIEPKNLIVNEEEKSEKLEHMDKKVDKIEVKETKDKLVVVDEKDSKNLMVSEEKSEKLEHMDEKEDKIEEKETKDKLVVVDEKDSKTLMVSEEKSEKLEHMDEKEVSEEKSEMLEQMDENEDKIEEKETEDKLVVDEKISKFSEENNKPKRSIKLVYGDDIRWAKIPINCDVLELREIIFVRFPLSRAVLIKYQDQEGDMVTITTNEELRWAESLSDQTSVKLHIIEVNPEQDPFFDHFRRQEKKKKLLNSKSCIDDWILEFSEVFKNYVGFNSDSYLDLHEIGMKLYMEAMEDALTSEESQELFNKAADKFQEMAALALFNCGNVHMSKARKRVFEESKKDLYEWAQNEYSKAGEMYEKAIKVKPDFYEGFLALGHEEFEKAKIRWYYEIEKNDDIQKWDSNEVIELYNKAEENMEKGMGMWEEGDKKEGGNMRSLINVLWGTMLYERSSMEYKLGLDFWHECLEIAVEKFEVAGVSHTDIAVMIKNHCSNVDAPQGLGFDIDEIVQAWHEMYEVKRWQSGVPSFRLEPLLRRRVSKLFYAFEHAQ >cds-PLY69604.1 pep primary_assembly:Lsat_Salinas_v7:5:313682180:313686599:1 gene:gene-LSAT_5X172481 transcript:rna-gnl|WGS:NBSK|LSAT_5X172481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNSRTRLTSLLVSNRKPNINXVSSVHCRPTTGDLITLTNPPPSIIFAIKIICVRHFLKGERLLVQEAESQPPQKLDENMWKNREQIEEIIFLLQSSHWPKSENLRSYDLVFDAVYTPRNTRLLQEAVEVGVIVVSGVEMFIRQALGQFRLFTYGLGIHLLSALSFYAISMLN >cds-PLY82527.1 pep primary_assembly:Lsat_Salinas_v7:2:183537031:183539720:1 gene:gene-LSAT_2X105960 transcript:rna-gnl|WGS:NBSK|LSAT_2X105960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEILGVPKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFQSFFGGGNPFGGGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVICSKCKGKGSKSGASMKCAGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCTQCKGEKVVQEKKVLEVHVEKGMQNSQKITFPGEADEAPDTVTGDIVFVLQQKEHPKFKRKGDDLFVEHTLSLTEALCGFQFILTHLDSRQLLIKSEPGEVVKPDQFKAINDEGMPMYQRPFMKGKLYIHFTVEFPESLSPEQCKALEGVLPPKPSMQMTDMELDECEETTLHDVNIEEEMRRKQQQQAQEAYDEDEDMHGGAQRVQCAQQ >cds-PLY61836.1 pep primary_assembly:Lsat_Salinas_v7:6:58712122:58718041:-1 gene:gene-LSAT_6X45020 transcript:rna-gnl|WGS:NBSK|LSAT_6X45020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIDVIGLVVAIGEMGRDNDDIKKHRLNIQIQDAKYKIPIRVQDNTGTLTLTMFERDGTYLLKKSAHDLFKKTLGFSTQLYPGEINALKDDNITPYTVDKNSATSPMKGFNIPTVLKRNLEEVFDLELNEHLSSSKTPKISPEGRINQIVKVQLTLQLL >cds-PLY93047.1 pep primary_assembly:Lsat_Salinas_v7:9:25970161:25971027:1 gene:gene-LSAT_9X23120 transcript:rna-gnl|WGS:NBSK|LSAT_9X23120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGTGISHIRTVRSKLSVKSMSIIVRKYDIDAKFHPRPPEPGDVITNAPKDFVGMCRVFLKSGLRLPAFNFLETILDYYDLSPGEHAIPERSDENFVKWSDPEEFMFDMEGINPHWNILGKNPVEFSGEREITLLDRLLRKWLCSSTVVTEEIGIPYSPSKSESCMDSTVNPSQSKVIMLVIPTSSSKVTKME >cds-PLY96976.1 pep primary_assembly:Lsat_Salinas_v7:2:33650387:33652920:1 gene:gene-LSAT_2X15461 transcript:rna-gnl|WGS:NBSK|LSAT_2X15461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLPICSAAELTPLLGLAANATAAADYICTRFTATSDKFTNTTYAIDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLSYYIFGFAFAFGGPSNGFIGKHYFGLKSIPSAVYDYSFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPIVSHWVWSSDGWASATRTSGSLLFGSGAIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFDRSGRSVALRGHSASLVVLGTFLLWFGWYGFNPGSFLTISKSYGTSNSYYGQWSAVGRTAVTTTLAGCTAALTTLFGKRLLVGHWNVTDVCNGLLGGFAAITSGCAVVEPWAAVVCGFVAAWVLIGCNVLAEKFKYDDPLEAAQLHGGCGSWGLLFTGLFAKKQYVHEVYATGRPYGLLMGGGGKLLAAQIIQILVIIGWVSVTMAPLFYALKKLNLLRVSTEDEMQGMDMTRHGGFAYAYHDEDASVHTPVGFMMRKVEPASASPSPIHNTTSMVV >cds-PLY69502.1 pep primary_assembly:Lsat_Salinas_v7:6:44829170:44833693:1 gene:gene-LSAT_6X32121 transcript:rna-gnl|WGS:NBSK|LSAT_6X32121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKTTAEDLQKVSADLRSSIWKQMSDAGIKYIPSNTFSHYDQVLDTTAMLGAVPPRYNWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPEVKFSYASHKAVNEYKEAKALGVDTVPVFVGPVSYLLLSKPARGVEKTFDFLSLLDKILPIYKEVIAELKAAGASWIQFDEATLVKDLEPYQLEAFTKAYSDLESTCSGLNVIVATYFADIPADAFKTLTTLPGVTGYTFDLVRGEKTLELIKSSFPSGKYLFAGVVDGRNIWANDLAGSLSVLESLEGIVGKDKLVVSTSSSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALVGQKDEAFFAANAAALASRKCSPRVNNEAVQKAAVALRGNDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTIELRRVRREYKAKKISEEEYVKAIKEEIFKVVQLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSTMAQEMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNVGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSMEEIHDRINKMLAVLETNILWVNPDCGLKTRKYGEVKPALEAMVTAAKKLRAELAK >cds-PLY91057.1 pep primary_assembly:Lsat_Salinas_v7:2:54592230:54592702:1 gene:gene-LSAT_2X25021 transcript:rna-gnl|WGS:NBSK|LSAT_2X25021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELHLQSLTTIMKSIYTISGSSSLSSSLHVSSKQSVQSISSDHMLLNVDSTCILTDHVFGKVRELDLAQSRVNETLSFSLELLKLTLLTICEGREGAELSDGDVLLFPEIVKYR >cds-PLY98773.1 pep primary_assembly:Lsat_Salinas_v7:7:24950554:24951205:1 gene:gene-LSAT_7X20720 transcript:rna-gnl|WGS:NBSK|LSAT_7X20720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICKILKNLLLFTFFIVQLHLLIQLGCPFYRGFRCGGKGTSGGTHWPGPSTAWLHRSLGTSTPNLKRKEGINERLKVLQNLVPNGTKVDISTMFEEVVHYVKFLQLQIKLLSSDDMFV >cds-PLY68027.1 pep primary_assembly:Lsat_Salinas_v7:5:292849785:292851599:1 gene:gene-LSAT_5X153960 transcript:rna-gnl|WGS:NBSK|LSAT_5X153960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEFLLCQEAMFDRKNGILEDANDFLLCDEVWDMSPVIEHTRQYHKPKETNTLVHHITKKECERSFANCLRKEMKYMPGSGYVNLLECNPFVATCRFKAIQWLIHSHRRFNFCVGTVLNAVNYVDRFIYINKCHGWNYLMMELLSVASLSIAIKFGETSPPSLNEIQEGLEYSFEAKLIQRMELRILESLGWELNSITPHSYVELIVWELNSYFKNHLVLDELSSRLNDLLLASSLDYKFLKYRPCVIVMSGLRCVLEDFLQLTYQDCLSHITNFIPPDQTENLQTCCKMIQETLVRCCKSEANGNPSSPDTVLIKEQVAIFEEQIDLSLIDGSNLQKKKNLVKRKREEDDGCFVKHKKYVD >cds-PLY76622.1 pep primary_assembly:Lsat_Salinas_v7:5:223700954:223703590:1 gene:gene-LSAT_5X105721 transcript:rna-gnl|WGS:NBSK|LSAT_5X105721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKYELVKDIGSGNFGVARLMRNKVTKELVAMKYIERGHKIDENVAREIINHRSLRHPNIIRFREVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVHYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKTADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTIQRIMAVQYKIPDYVHISQDCRHLLSRIFVANASRRITLKEIKSHPWFVKNLPRELTEAAQTAYYRKENPTFSPQSVEEIMKIVEEARSPPPVSRSLGGYGWGDDDEDDDDKEEEGEAEDEGEDEYDKRVKEAHESGEIGPI >cds-PLY86547.1 pep primary_assembly:Lsat_Salinas_v7:4:297026609:297027123:1 gene:gene-LSAT_4X148960 transcript:rna-gnl|WGS:NBSK|LSAT_4X148960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METDKNMKKITKNNNHDNRLLITVNVVGSSGPLRFVVKKNDKVSTVIDSSLKLYARGGRLPVLGSDVKNFMFYALIEGSALNPSEEIGSYQGRNFVLCKKKNNQVNEARSRMITREHSGRWKACLLCLAQ >cds-PLY69619.1 pep primary_assembly:Lsat_Salinas_v7:4:330470652:330471433:1 gene:gene-LSAT_4X163640 transcript:rna-gnl|WGS:NBSK|LSAT_4X163640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNSINKVPGLGVILVGKRKASLTFVCIKKKACEQVEIASVVTELPEDVQKAKCGWFSSCEHGNLAMRGREPLFIPCASLGHHATISVVHSFTKNPEEITCEADILVSDVGVPNLIRSHWLKPGVVVIDMGSMLV >cds-PLY98708.1 pep primary_assembly:Lsat_Salinas_v7:8:8858485:8859327:1 gene:gene-LSAT_8X7181 transcript:rna-gnl|WGS:NBSK|LSAT_8X7181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRMIEPLVVGRVIGEVVDTFTPSVKMSVTYNLNKTVSNGHELMPNLITSNPRVNIGGEDMRSAYTLIMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREIVSYETPKPVIGIHRFVFLLFKQKARKSVTPPASRDHFNTRTFCQEHGLGLPVAAVYFNAQRENAARRR >cds-PLY74546.1 pep primary_assembly:Lsat_Salinas_v7:7:40249999:40251569:-1 gene:gene-LSAT_7X29201 transcript:rna-gnl|WGS:NBSK|LSAT_7X29201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAALNPVNHCHQLLSAAKHNHACRLVITASFNEVAINDGDKRSNKSTRLAKSISNLLNLYIEKPSLKNFLHRHDWNVVAQAEAKLELPTMSPKEDISSIWRDIHGCSDWENLLDPLHPFVRRELIKYGEFSQATYDAFDFDSFSEYCGSCLFNRRKFFEKLGLTKHGYDVRKYIYAMSQFEIPRWLEKSYVTDTWSKDSNWMGYIAVSDDLESKRIGRRDIVVAWRGTVLPSEWYEDMQRDLEPLGHGEAKVERGFLSIYKSKRMSTRYNKTSASEQVIEEIKRLTKFYKSTGEQVSLTITGHSLGGALALLNAYDAAMTFPTLPISVISFGAPRVGNIAFRDELHHRGVKALRITIKQDLVPRMPGIVFNETLQKFDDLTGTLDWVYHHVGAELKLDARASPFLKRGSNFIGVHHPETYLHLVDGFVSSNSSFRSDAKRDLALVNKYCDMLVNELRIPAYWYQLSNKGLVSNEFGRWIRPKRENEDIPSPIEEEENYDL >cds-PLY85899.1 pep primary_assembly:Lsat_Salinas_v7:2:189802355:189803521:-1 gene:gene-LSAT_2X110560 transcript:rna-gnl|WGS:NBSK|LSAT_2X110560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKGDKKTAEKKPSTADKKPLKAEKKLPKEGVSSAGIEKKKKKSKKSVETYKIYLFKVLKQVHPDIGISGKAMGIMNSFISDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGKLINLHWCYLVSHQTKINSQSPEKYLGKSATLSSPDDNVISVDPSGCSSISFPPEETGSGSRSEVESQMGSGCI >cds-PLY88883.1 pep primary_assembly:Lsat_Salinas_v7:4:252876408:252877028:1 gene:gene-LSAT_4X133341 transcript:rna-gnl|WGS:NBSK|LSAT_4X133341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDDVSSSNCSSRSAEKRYKGIRCRRWGKWVSEIRVPGTQERLWLGTYTTPEGAAVAHDVASYCLKGATSLHKLNFPSMLPPTARTDLSPRSVQKAASDAGMAMDAQLISSREMAPRNGGFNQEGQALSISVDDYL >cds-PLY96014.1 pep primary_assembly:Lsat_Salinas_v7:1:12866184:12868205:1 gene:gene-LSAT_1X10461 transcript:rna-gnl|WGS:NBSK|LSAT_1X10461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSTNVLPHETPNLREHYTIGNKLGHGQFGTTYLCTEKSTGIDYACKSIPKRKLLCRDDYDDVWREIQIMHHLSESPCVVRIKCTYEDNVFVHLVMELCTGGELFDRIVNKGWYSERQAAKFMKTVVEVVVACRSLGVIHRDLKPENFLFDSHEENAQLKAIDFGLSIFYKPGEYLCDMVGSAYYVAPEVLHKRYGPEIDVWSAGVIFYILLSGVPPFWAETDSGIFKKILTGQLDFESEPWPQITETAKDLIKTMLDRNPEQRITAHQVLHHPWIMDDQVAPDNPLDSTVVSRLKQFSAMNKLKKMALRVIAGRLSEEEIGGLKQLFKTIDTDHSGTITFKELKEGLAGIGNNVMESELMELMNAVDTDNSGTIDYTEFLTATLHLNKMQREENLLAAFSFFDKDNSGYITQDELQQACRDFGLQDVPLEEMIKEIDQDHDGRIDYGEFAAMMRKADGGIGSRTTRGTLKFDLAEALGDSHNNT >cds-PLY75496.1 pep primary_assembly:Lsat_Salinas_v7:9:34474271:34475357:-1 gene:gene-LSAT_9X32021 transcript:rna-gnl|WGS:NBSK|LSAT_9X32021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSGGNSNWQQSVPSWEKRFVTSIGAMSWKKFLEAKAYAHLYENIMKWNDSAGEEAFQTAKHNFHAQIHGLPCDIKPHNPNLYIDQIDWNVKTNHDLVLDLNSDSVAPNSDSGSNREPVVIFGDALPDPYKNYSPAGWGDESKTRDFQNKMPEDCNYGVVYDDYVNSWDVDLEAINPQLFSSENDNKAHGEQGWNNDNNGCQGWNNNIHYRNVNNGGGRHRSWRFNGNNDRSWRNNGNRRKPVVQAHGNQWVHRVHP >cds-PLY71133.1 pep primary_assembly:Lsat_Salinas_v7:9:82935802:82938588:1 gene:gene-LSAT_9X65581 transcript:rna-gnl|WGS:NBSK|LSAT_9X65581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISKNPSLHLHHLLFLLLLAPAVNSIGVNYGTLGDNLPPPATVAHFLKSDTIIDRIRIFDVNPDMIKAFAGTGILVSVTVPNGDIPSLTDPWNARRWVNANIKPFYPATKIHYICVGTEVLHWGPQSIVDNLVPAMRVLHSALVKAGITEIKISSPHSLSILFSSIPPSNASFRHGWDVGNLAPMLRFHRETKSAFMVNPYTYYGYSPANPNFYLFKPNKGLFDKVTGKRYTNQFDLLMDAVYVSMKKLGYPDVDIIVAETGWPSGGDPQNTHANPWNAAAYNGGVIKKVDSGVGTPLMPGRKFETYIFSLFNENLKGPSLDEKNFGLFRPDFTQVYDIGIRHESQSKTPSPKPSTPTPRAPTSSQGKSWCVPKPDATDVALQSNIDYICSNGIDCSPTQPGGACFMPNTIRAHASFLMNSYYQAKGRHDFDCDFANTGVIASSDPSKFINT >cds-PLY87244.1 pep primary_assembly:Lsat_Salinas_v7:1:50833280:50839272:1 gene:gene-LSAT_1X43760 transcript:rna-gnl|WGS:NBSK|LSAT_1X43760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNHGGKDVMDSGSSEGNTSEATVEIKIKTLDSQTYTLRVDKRVPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHLVARQPINPSLASFSDHTASDPASNSRHSMGNQHQHGHGPSVVVGTFNISDQGDGGIPDFSRIVSAVLGSFGIANAGSGGEAVDLQQHISERLSRIPGLNEIRSSNGQQPPEDGSGRGAATFTLPSDVSMESLQLPVIPDSLSTLSQYLRRLRQEFSDNVRLQGNNNRGESNGTRTPPHVGVGVGVGQGGLPTPAALGEVTQSARQLLIEEAGECLMQLTRQLGDQNNVSDPVTRLRMQSNALRSGALLQNLGAFLLELGRTTMTLRLGHSPSDAVVNAGPAVFISTSGPNPIMVQPLPFQPGTSFGTTTHPPPPPPVVVTGSASGTSSFGSALRPRNIDIRIRTGSVMRETNGGGADGGVTNQENGLRQSRGSEVRVVPIRISVPPSESSRSSMGVLLPVLARAQNVVDSGNGNNGYGGENIDSTTTTTEVPSGLDQLLRNLFPGEHHNHGVGNSFIFQGQGQGEGGANVVESVQTAQEEAASASTVATDEGTFLSNLLHQIMPIVSQHLNNAMEDTAAQPSSTVEENQDRGGASSRQSDDPPSSKRQKTE >cds-PLY93042.1 pep primary_assembly:Lsat_Salinas_v7:9:26592403:26594727:1 gene:gene-LSAT_9X23360 transcript:rna-gnl|WGS:NBSK|LSAT_9X23360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKMLWTDGDQFDNNHPGCISGIFHALHHQYWHSNVKKIIPHNTNHKANRRYTRISSDNEDPFEFVKLLDPETSHILVDKSNKKTSSTQKKSLKARIKALVSEEQNQEAVSSPRLQRTYSVHHSESNEWVHPIILFPENQDIVSNPSNVKNKDSRDILEMFEVDKELFTNILQETSQLSDTKPKLTKSGSFPSGRNLKPTTLKDKLNEFYKKSKSKKSDSSNYSNNDFNNHGVLIKRASSLNESRDRYAWLFDFNVTNEGVLRPSRSLKLTNISDNFSNAQEPSFVSRNPASFPLGLNSTQDCECLKEDVMDKSDNLSEEEIQSDFHISEENLEKETEKSSKRKKQYKDDDDYSYVKGILERSGFIKNGFEQTWCSSNQLLNPFLFQEIESEYVHDPDQFEEELSELSHRLLIFELVDDVLVTMYERSLTYYPKSLSSLCRIHPAPSGQVLVDEVWKRVSRLVELKPDMSESLDYIVSRDLGSDDGWMNLQLDSECVALDLEDLILDEILEELLCECS >cds-PLY97682.1 pep primary_assembly:Lsat_Salinas_v7:8:5778782:5781734:1 gene:gene-LSAT_8X5661 transcript:rna-gnl|WGS:NBSK|LSAT_8X5661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMENVNENANAVKRLPPWTAHITCRGVVASTVIGVVYSVIVTKLNLTTGLVPNLNVSAALLAFVFIKTWTKLIHKAGFVTTPFTRQENTIIQTCAVACYSIAVGGGFGSYLLGLNKRTYEEAGVDVDGNSPGSYKEPGVGWMTGFLFVTSFVGLLALVPLRKIMIIDYKLTYPSGTATAVLINGFHTPKGDKMAKKQVVGFTKFFSMSFMWACFQWFYSGSGTCGFTFFPTFGLKAFKNSFYFDFSMTYIGAGMICSHLVNLSLLAGAVLSYGIMWPLIGDRKGSWFPPNLPQSSMKGLNGYKVFISIALILGDGLYNFLKIALFTARTIYLKSRKNPRTNPDDVNQPQDDSELNEVFLRESIPFWVAATGYLIFSVVSIIVIPLMFPELKWYYVLVAYIIAPSLGFCNAYGAGLTDMNMAYNYGKVALFVLSAMSGKNNGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTLTSPRSMLASQAIGTAIGCVVAPLTFFLFYKAFDIGNPNGEYKAPYAIVYRNMAILGVEGFSALPNHCLQLCYLFFGFAMVANLLRDVFPEKMGKWIPLPMAMAVPFLVGGYFAIDMCVGSLIVFVWRKVNKQKANLMVPAVASGLICGDGLWILPSSVLALAGIKPPICMNFLPTKPN >cds-PLY77257.1 pep primary_assembly:Lsat_Salinas_v7:3:57360755:57363359:-1 gene:gene-LSAT_3X44640 transcript:rna-gnl|WGS:NBSK|LSAT_3X44640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSDAVSLSNHHRGSTAFDLVDRMPFLYVRVVKAKRAEKDSDDSTMYYAKLAIGTHSINIKAQGTSKDWDQVFAFDKEGLNSTSLEVSVFDQKKKVVEEGKEEILESCLGTVTFDLPEVPKRVPPDSPLAPQWYTLDGSPENSPATDIMLAVWLGTQADEAFQEAWQSDSGGLIPETRAKVYLSPKLWYLRLTVIQTQDLQLGSGPEPKIKNPELFVKGQLGPQLFKTNRTTVGSSSTSSNPTWNEDLVFVAAEPFEPFLVITVEDASNGQTVGHAKVQVASIDKRTDDQSTLGSRWFNLVGDESRPYAGRIHVGVCLEGGYHVLDEAAHVTSDVRPTAKQLSKPPIGLLEVGIRGATNLLPVKTKDGTRGTTDSYVVAKYGPKWVRTRTILDRFNPRWNEQYTWDVYDPCTVLTIGVFDNGRYQKGEGDDKPSKDVRLGKLRVRLSTLDTNRVYVGSYSLIVLLPGGAKKMGEIEIAVRFSCSSWISLLQAYSTPMLPRMHYVRPLGPMQQDMLRHNAMKIVIARLARSEPALGQEVVQFMLDSDTHTWSMRRSKSNWFRIVGCLSKAATLARWLESIRTWVHPPTTVLVHVLLMAIVLCPHLILPTVCLYAFLIISLRYRYRTRVPVTMDPRLSQVDSVGPEELDEEFDGFPSLKSADQIRIRYDRLRALAGRAQTLLGDVAAQGERLEALCSWRDPRATGIFVVVCLLASMVFYVVPFKAFLLGWGFFYMRHPRFRGDMPSLPVNFFRRLPPLSDQIL >cds-PLY80718.1 pep primary_assembly:Lsat_Salinas_v7:3:84830057:84834769:-1 gene:gene-LSAT_3X63981 transcript:rna-gnl|WGS:NBSK|LSAT_3X63981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAVTGLLFFLLCLLSNLRLSFSASVELAFESVPDLEKSMYMVIDGYPCIRLLNLSGEIGCANPGLDKVVAPIVRFKNGIELVKSSSVLVPLSDFDSLLSRVSSDSNFARNLAGVLVESGPQNQTNLTASSPDKKFPQAEFSPYQNNKFEWNPTGSGIMWGLYEFPVFLLSESSTRTLQEISLENENKETHATKVTEFDLVMQTTKAGTHDSESCLREGTCLPLGGYSVLSAFPTTNVSSSKPILLTVASMDSASFFRDKSLGAQSPLSGLISLLAAVDALSHIDDLDTLAKQLVFVVFTGEAWGYLGSRRFLLELDNGINNTLIETVIEVGSVGKGYDQGVKTFYAHTTGVSSATNDTLNALQLAQDSLGTKSIKFSKASESNPGIPPSSFMSFSKQNPHTSGVVLEDFDTSFTNKFYHSHLDDQSNINSSAIVAAASLVARTLYILANNNKTLNDSSLNTIKVNTSLVDQLLGCLLNCEPGLSCELVKNYITPFSICPSHYVGVMQGEPSSQPFLGYVNDVSRFLWNFMADKTSTPLENATPCSENCSGTGGVCIKQEIDGKGICVISKTRYVPAYSTRLKYESALGTWTILPPNSSDPMGEADPVWAESNWNVIGLRVYSVQGGGYDRAVFWSGVAVTVFSYFLIKIIKAFIAKALKQD >cds-PLY69935.1 pep primary_assembly:Lsat_Salinas_v7:4:67598010:67599547:-1 gene:gene-LSAT_4X46420 transcript:rna-gnl|WGS:NBSK|LSAT_4X46420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMHDIPEGADVSCSGCNSSATNTVYACWHCNFFLHEQCFHASRSRKHPSHPLHPLALVPYPTYPSNSFYCNSCKIIGTGFSYSCPDCDFDLHVQCAYSISGATNFHQPHQVSTTTTTTTPHPHNLFHQNQEIVPPAHFHVPNSSMVPNFAAVSIPIPTHIPTPIPVHAQYATTVTHNSYMPQNASPFPFPTSAQNPPFPLPTSAQNPIISAQNAYTSQNFTSAPTSAQNSTIPQYTAFASVPNSAPFEQSSPRVEAGQNRKKIQGTKHFTHPHDLVLVNLKHGKKEIACSGCQETLVGIGYSCVEENCHFQLHESCFHLEREILHESHPAHPLALLSTSPYSKENRTFTCDACFREGTGFFYHCSTCEHNLHVKCATLKDTVKRSDHVHALKLFYECPLMGDEYTFYCDVCNLVVPLGHWTYYCQECDFGTHLDCVDREEGDETSGDPVS >cds-PLY81606.1 pep primary_assembly:Lsat_Salinas_v7:1:50719564:50720318:-1 gene:gene-LSAT_1X43360 transcript:rna-gnl|WGS:NBSK|LSAT_1X43360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNSAAQSQSSLSMSNPNLDSHSHSHSNSNSNSTSGTNPPEFRNRGLLLWNQTRQQWIGDKGSSGQQRKKKGKEPAISWNATYDNLLGTNKPFPKAIPLPEMVNFLVDVWEEEGLYD >cds-PLY64943.1 pep primary_assembly:Lsat_Salinas_v7:6:57104471:57106307:-1 gene:gene-LSAT_6X42860 transcript:rna-gnl|WGS:NBSK|LSAT_6X42860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIIEASFWKVDVIFILAMGFLILSSMKGNSQPLVPALFIFGDSVVDVGNNNHLETVVKSNFPPYGRDFINHHPTGRFCNGKLASDFTGENLGFTTYPPPILSKEANGKNLLLGANFASGSSGYYETTAKLYNTIPLSKQLGYYKEYHKKLVDFAGKSNATSIITGSIYLVSSGSSDFVQNYYVNPLLYKVYTPYQFSDILIEAYSHFIKELYKLGARKIGVSTLPPIGCLPASITIFGEDSNECVTKMNSVAEYFNKKLNATSLILKAKLSGLNLVVLDIYKPLYDLVQKPSDYGFFEARKACCGTGLVETSFLCNEKSPGTCANATEYVFWDGFHPSEAANKILSDDLIVAGISLVS >cds-PLY73623.1 pep primary_assembly:Lsat_Salinas_v7:5:203656080:203656313:-1 gene:gene-LSAT_5X92420 transcript:rna-gnl|WGS:NBSK|LSAT_5X92420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVKGGPENLRCNFRGIRQGTWGKWVAEIREPNRGSRLWLGTFGSAVEAALAYDEAARVMYGPCARLNLPNCRTILF >cds-PLY94616.1 pep primary_assembly:Lsat_Salinas_v7:8:144552160:144555926:1 gene:gene-LSAT_8X98080 transcript:rna-gnl|WGS:NBSK|LSAT_8X98080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Fimbrin-2 [Source:Projected from Arabidopsis thaliana (AT5G48460) UniProtKB/Swiss-Prot;Acc:O50064] MAGSYFGVLVSDPWLQNQFTQVELRSLKSHFLTMRRESGSLTLGDLPSKMSKLKNVGENLTEEERTAFLHDSYQNLGDEVDFELFLRVYLNLQAHATSRMGKGAKNSSAFLKSPTSTLLHTISESEKASYVGHINNYLGEDNFLKKFLPIDPSTNDLFEVAKDGVLICKLINVAVPGTIDERAINTKTVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLVLGVISQIIKIQLLADLDLKKTPQLVELVGDNQDVEELMSLPPEKILLRWMNFHLKKTEYKKTVTNFSSDVKDGEAYSYLLNVLAPEHSNPSTLVVKDPLKRANLVLEHADRMGCKRYLTAKDIVEGSPNLNLAFVAHIFQHRNGLSTPEKPVSFLEISPDEAQITREESAFRFWINSLGVASYINNVFEDVRNGWVLLEALDKVSPGIVNWKIANKPPIKMPFRKVENCNQVVKIGKQLKFSLVNVAGNDIVQGNKKLILAYLWQLMRFNILQLLKYLRSYSLGKEFTDADILDWANTKVRSTGSQSCMKSFKSLSDGIFFLELLSAVQPRVVNWRLVTKGQTEEEKQMNATYIISIARKIGCSIFLLPEDIMEVNQKMILTLTASIMYWFFKQPMDEQRPCGSSDSENGNQLETSSNSTTYDTESESSTD >cds-PLY68941.1 pep primary_assembly:Lsat_Salinas_v7:9:194883396:194885071:-1 gene:gene-LSAT_9X121020 transcript:rna-gnl|WGS:NBSK|LSAT_9X121020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMTGCSAHDGHDHLVKLLLIGDSGVGKSSLVSRFTRGKFRPDPKPTSTNNIVARHVCVDGKVFKVQIVDIPGINSSYSDISTEVLSTNGALIVYDVMSLSTFENAEKWYKELQIANGEIEVMLIGNKSDLVNDFVSTTCTDAGKELAERESLGFIETSAKDNKNVEKAFVEVVTLIFEKLIENDTMDAINAHAFPEMSSEESLPKGWEVWVDGKFCVYDHIHHNYITFQGKIVDALIDVINVVTYKSMNLTTFVLIHVFKDVDGKRTRFHEKKTRWGFNRLLSLASFTDARNGFFFLDSCVFGVEVFDVPKFAANDQCLSMIKPPAVVNTHTWTIEKFSEISENLLYSDYFKIGKVHWKIKLYPKGQGEGKGTNLSIFLQVHNSELLPDGWTAYAK >cds-PLY65986.1 pep primary_assembly:Lsat_Salinas_v7:4:136991634:136992440:1 gene:gene-LSAT_4X85341 transcript:rna-gnl|WGS:NBSK|LSAT_4X85341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNLNLLFTIAIPLLFHFYISPANSDNLQDMCPTDTSSHRTMFINGFPCKNPSNITTSDFKNLQLSHQGSTDTFLRSSVTLVTASEFPGLNTLGLSTGRTDLEVDGLVMPHTHPRSSEMMFVVKGVVIVGFIDTDSKLFQSVLREGDVFVFPKGLLHYCMNSGFEDAMFYSVFNAQNPGVVDISNAMFGDKASEMMKMAMAKLVSLTKVEDVRVDDGYKNFYDEL >cds-PLY75217.1 pep primary_assembly:Lsat_Salinas_v7:2:203305133:203305488:-1 gene:gene-LSAT_2X123700 transcript:rna-gnl|WGS:NBSK|LSAT_2X123700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIDDIDKTMDEINEQIENMKQIQEALSTPIGATSHFDELEAELEELEGVELEEQLLQPSTTAPAGRQSTHRPSCHNTRTQARKINLFIAG >cds-PLY74685.1 pep primary_assembly:Lsat_Salinas_v7:5:176876922:176878452:1 gene:gene-LSAT_5X78760 transcript:rna-gnl|WGS:NBSK|LSAT_5X78760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGHTNFVKEILNRKPQLAMEPDSQRRLPLHIASTKGHVELVRALLSASTEACLACDCDGNNPLHLAAIKGRSDVVKELVQARPHAARAIVQQETILHLCVNHNQPEILKFLIETTGDDEFVSFKDSQGNNILHLAVVYRQTETINFLLLSTTIQVNEENSSGETPMDILGQGPKDLKYQQILQSLTRAGAVEAKIGNLFRQDPQSSRNEIGVDGLDDYNKRQASPFYLKETSKNSDDWLDKKRNTLMVVASLIATMAFQAGSNPPGGVWQEGSREDPNIKAGYAIMATIHPLEYQIFLVCNTVGFVSSLSIILLLISGLPFLKHRFFMWILMVIMWIAATSMSATYSIFIWLLSPKAESNTFRNVVICIVLVWIGLMTLLVVGHIIRLIAIAMRILRRLLFPKKRPILRPTIRNQDGM >cds-PLY82368.1 pep primary_assembly:Lsat_Salinas_v7:3:116474224:116477757:-1 gene:gene-LSAT_3X82461 transcript:rna-gnl|WGS:NBSK|LSAT_3X82461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNHYNGGTPDMVIDISKPMNFTGGLEFMNLTYTVMKKQKSEEGKWVKQEADLLNKITGYARKGCITAVMGPSGAGKSTLLDGLAGRIASGSLKGKVSMDGMEMNPSLIKRTSAYIMQEDRLFPMLTVYETLMFAADFRLGSIPKAEKRQRVEELIEQLGLSTARNTYIGDEGRRGVSGGERRRVSIGVDIIHGPSLLFLDEPTSGLDSTSAQSVVEKVHNIARAGSTVILTIHQPSHRILLLLDHLIILARGQLMYQGPPKDVGLHLGRLGRKLPKGENPIEFLIDVIQKYDQSEYGVDVLAEFVLTGMKPPQLSDDEMSFSTILASPTPPPRRKNNQPEKQNSSGKRLHLQTVGRSDQEKDFDHSVRSPWNNSKSWSQSGIMQAVGLTPTRQRSFQRTPHPVSASPGYYTYSSDIVAGTPTPHSSDYTVNEDDYLTPTSGPNVARYNHLGPKFANSFFEETWVLIRRNFINIRRTPELFLSRLMVLAIMGFMMATLFVNPHKSMQGITNRLSFFIFTISLFFFSSNDAVPAFIQERFIFVRETSHNAYRASSYTISGVITYLPFLLLQAAVYASITWFALKLEGPFVYYLAILYVSLLTTNSFVVFVSSVVPNYILGYAAVIAFTALFFLFCGYFVNSHDIPKCWRWMNKVSTMTYPYEGLLMNEYQREEVFGQTLIGTNVTGIDILQSLHIYHERDPKWDKIYMMLGWAVFYRILFYIILRFASKNQRT >cds-PLY83088.1 pep primary_assembly:Lsat_Salinas_v7:5:88654693:88660351:1 gene:gene-LSAT_5X41441 transcript:rna-gnl|WGS:NBSK|LSAT_5X41441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFKVESPNVKYAEDEIHSLYNYDTTELIHEEKNGAYQWVVKPKTVKYEFKTQTHVPKLGVMLVGWGGNNGSTLTAGVIANREGISWATKDKVQQANYFGSLTQASTIRVGSFNGEEIYAPFKSLLPMVNPDDIVFGGWDISSMNLADSMARARVLDIDLQKQLRPYMESMVPLPGIFDPDFIAANQGSRADNVIKGNKNEQIQKIIKDIREFKEANKVDKVVVLWTANTERYSNVVVGLNDTVENLFKSIDKNESEISPSTLYAIACILENVPFINGSPQNTFVPGVIDLAISKNTLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNAILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGTNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAETEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALAKQRAMLENIMRACVGLAPENNMILEYK >cds-PLY64482.1 pep primary_assembly:Lsat_Salinas_v7:3:15291476:15292320:1 gene:gene-LSAT_3X10720 transcript:rna-gnl|WGS:NBSK|LSAT_3X10720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNYMETCIQRKPTEEEEEEAQEKQKEEQESYEKTSDTLSKESSKMRVKLVLTKDELQWLLLQLKKNEGRKLEEMLGEIEQSRVTGESVTKWKPRLESIMESPEVHHHMERSSSP >cds-PLY91329.1 pep primary_assembly:Lsat_Salinas_v7:4:247313252:247317295:-1 gene:gene-LSAT_4X131440 transcript:rna-gnl|WGS:NBSK|LSAT_4X131460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSSFHDFPFGFYYVASYVYSISEETHNKVSRHCLISYSDGSLGTMVDLAGKDGIVSESSACGMSINTPILDIGEVQEEMIIR >cds-PLY91328.1 pep primary_assembly:Lsat_Salinas_v7:4:247317406:247318642:-1 gene:gene-LSAT_4X131440 transcript:rna-gnl|WGS:NBSK|LSAT_4X131440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMPSLELICSMSQLTICSYLPKISPYESSRSLQHHHDNAFLLPPGMDWSLVPLIWKFLYRKAETLFGLMIYAKDD >cds-PLY99745.1 pep primary_assembly:Lsat_Salinas_v7:2:131259293:131260539:-1 gene:gene-LSAT_2X62240 transcript:rna-gnl|WGS:NBSK|LSAT_2X62240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKATDCFNENRILGRGGQGTVYKGMLVDGRIVAVKRAKLVDESQLEQFINEVVILSKVNHRNVVKLIGCCLETEVPLLVSEFISNGTLYKHIHYESDEYSMSLNTRLQIATEVASAVAYLHSATSIPIYHRDIKSTNILLDDKYRAKVSDFGTSRIVSMDQTHLTTLVKGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTGEKPISLTRFGEDRNLAMYFKSAMEEKRVMSIFDATMIKEGTRDELLLVANLAMRCLNNNGKNRPTMKEVAMKLETIRTLHVPSMVQTNMGQLVYREELAMQTYGESSSTFMSFDDNNASQ >cds-PLY91074.1 pep primary_assembly:Lsat_Salinas_v7:9:124529409:124533442:1 gene:gene-LSAT_9X81781 transcript:rna-gnl|WGS:NBSK|LSAT_9X81781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILTLLPFTKHVDETSNLRCNSPLSKICVLRSSSSRVPESSSLIVIEFAIGIYASVGNEFLKINPIGYVPALVDGDIVLADSLAIILYLEEKYPNHPLLPHDLAKKAINYQAANIVSSSIQPLLNVSVMNLIEENVGQEAKISWIKKQAGKGFTALEKLLKDHAGKYATGDEISLADVFLAPQIIGLSQRFNFDMSEFPLLSRLNEAYKHVAAIQDAMPEKQPDFPIN >cds-PLY92690.1 pep primary_assembly:Lsat_Salinas_v7:3:117307262:117307504:-1 gene:gene-LSAT_3X81740 transcript:rna-gnl|WGS:NBSK|LSAT_3X81740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQGYYKEHLRKMKVELKRKEEFSEVSNVQKKLVKLQQAIEADKQVFETELMELMKQNKMPKCEIFVMVIVIITMWLKWP >cds-PLY67746.1 pep primary_assembly:Lsat_Salinas_v7:9:166695629:166696982:-1 gene:gene-LSAT_9X103641 transcript:rna-gnl|WGS:NBSK|LSAT_9X103641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTPSAISLSFLLLLSISAAVHGHNITRILAKHPEFSTFNHYLTITHLANEINRRQTITVCAVDNAAMSSLLSKGLSIQTIKNVLSLHVFADYFGSKKLHQVTKGSTSTATMYQATGEAPGTAGYVHITDIKGGKVRFTPEDNPSQTDTTYVKSILEMPYNISVIQISAILQSPEAEAPTAAPDLNLTSLLQREGCQAFYDLLTTSGAIGTFLSSADGGVTVFCPGDDAIAAFAPKYKNLTASEKTSLLLYHGVPVYNSMGMLRSSNGLMNTLATEGAKKKYDFTVQNDGNDVTLKTKVVKATISGTVVDEEPIAIYTIDKVLLPRELFKGTVEEAEEPAPAPKAAKKKKKPAKKGDTEEEADAPGPDSSDDYSDDDAADQTASGGGRLVASAVMAFCFSWLAFV >cds-PLY74768.1 pep primary_assembly:Lsat_Salinas_v7:6:121155776:121158773:1 gene:gene-LSAT_6X73500 transcript:rna-gnl|WGS:NBSK|LSAT_6X73500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPQILGFLFFFYNGALGATFTFVNDCGFSVWPGITPWPYINSTGFELKKGNSRSLQPPDNWVGRIWGRTGCGFNESGNWSCATGDCGTGQVECKGNSYTPPVTTVDINIGDPKGLNFYYVSLEDGYNLPILVETTGGSGSGLRSCAKTGCVDDLNQWCPTELTLSGGGACQTACQAFGSPEYCCTISISSTGTTCKPTTYAQLFKSACPRSYNSVFDDLNTIYRCNGADYSIRFCPASDSFSTIKHGSQLNSTDQLVSIGGNFTLGFFNEDYSYLGIWYTNDVESRKVWVANPNTPIKSTSGAHALSIDVNTGDLIIIAGGRTLMSITNVQMGPNPNVTAILEDNGNFRLIDETDKRVLWESFDHPTNVLLPGMKLGYDITTGKNWTLTSWVSNDIPSSGAFTMSWEPIEETSERLMIRRRGKPYWTSGNLNNQVFQYMVALNAPSSKSRYHLTSVYNNETRYFSYDGNISATPMWILTEKGQITDIDNSFWTPEFCYGYDSSNGCVESSLPQCRRVSDNFSKMNGDFANDMTRSAIDEDTNLSISDCFVKCWKDCSCVGFSSSIINGTGCVIWTGSNNFLVNPRDNSTSKYVINHNPIRSVNKTKKSKNSVWILNGIAILLIFLCFGFFFYIKKIKDSRKEYERRKRDEYFVDLTTSESFKDVHQVETNGRKGNYLLLFSFSAIMAATDDFSVENKLGQGGFGPVYKGQLSDGRKIAIKRLSRTSCQGLVEFKNELVLIAKLQHTNLVRVLGCCIHGEEKMLIYEYMPNKSLDFFLFDENKKGQLDWPKRFDIIEGIAQGLLYLHKYSRMRVIHRDLKASNILLDETMNPKISDFGMARMFKHNETEATTKRVVGT >cds-PLY84514.1 pep primary_assembly:Lsat_Salinas_v7:1:31639114:31643439:1 gene:gene-LSAT_1X27961 transcript:rna-gnl|WGS:NBSK|LSAT_1X27961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMYSVQTPHMSVRSFSGLRGTNALDNLVKRGQDFHSKVAAATSVRKAKPSRIVPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPNNIRTQASFVIRMVGESAEAVGAGVGGGSSNNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILKGLRERYEIHHKLRYTDEALVAAAQLSYQYISDRFLPDKAIDLVDEAGSRVRLRHAQLPEEARELEKELRQITKEKNEAVRGQDFEKAGELRDREMDLKTQISALVDKNKEMSKAETEAGEEGPIVTEVDIQHIVSSWTGIPVDKVSTDESERLLKMEETLHKRIIGQDEAVVAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFERLKVKEIELQVTERFRDRVVEEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDVDSDGNVTVLNGGTGAAPPPEALPEAIGV >cds-PLY69063.1 pep primary_assembly:Lsat_Salinas_v7:3:206887187:206888833:-1 gene:gene-LSAT_3X121401 transcript:rna-gnl|WGS:NBSK|LSAT_3X121401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSFVGRVLFVSVFVLSAWQEFNDFGVDGGSATKAITPKFNLLSKHFTTHTGLQVPDFEIKILVAGAIAFKAVGSILFIFGSTIGATLLIVHQLIVTPMLYDFYNYDIEKKEFLQLFIKFTQSLALLGGLLFFVGMKNKNSIPKKTSATKKSHKTKTV >cds-PLY83408.1 pep primary_assembly:Lsat_Salinas_v7:8:1202673:1203797:-1 gene:gene-LSAT_8X1101 transcript:rna-gnl|WGS:NBSK|LSAT_8X1101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVRERRQSKLGLRLQEISERRPRFPLPLPPTTTSQQSTTTTVAELETVQVLGHGNGGTVYKVVHKKTSNVFALKVVHADSDPMMRRQIFREMEILRRTDSPFVVHCHEIFEKPDGDIAILMEYMDAGTLDSLLKNGGSGTFTEKSLADIARQILNGLNYLHAHKIIHRDIKPANLLVNKNMEVKIADFGVSKIMCRTLDACNSYVGTCAYMSPERFDPDTHGANYNGYSGDIWSLGLTMFELYMGHFPFLPAGQKPDWATLMCAICFGEPPSLPEGVSDEFRSFIECCLQKDSSKRWTASQLLLHPFCKQPEN >cds-PLY80740.1 pep primary_assembly:Lsat_Salinas_v7:3:84851415:84862633:-1 gene:gene-LSAT_3X64001 transcript:rna-gnl|WGS:NBSK|LSAT_3X64001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAREVSSSNLLFLSLALLIITLFLIPNFTSAYLEEANALLKWKASLEIPKNSFLSSWIPLPLNSSASAPCTSWFGVVCNADGSIQKLNLTSSRLKGTLHQFSFSLLHNLTHFDLSLNNFYGPIPPEIQLLSKVVYLDFSENKFSGVIPPEMGNLHQLTILYLYSNYISGSIPSSLSDLTSLNVLHLHQNHLSGPIPIELGNLKSLIDLKVSHNQLSGSIPSSLGDLTSLNLLYLHQNQLSGPIPIELGNLKSLIRFKVNDNQLTGSIPSSLGNLTSLNVLYLYLNQLSGPIPIELGNLKSLTDLGVSNNQLSGSIPSSLANLSNIQWLILSVNNLSGPIPIEIGNLKSLTHLSVMGNQLSGFIPSSFGDLTSLNLLYMSHNKLAGPIPSELGKLKSLTDFKVNNNQISGSIPPEFGNLTQLQRLKLSSNHLVGEIPKEFGKMKSMLELYLAGNQLSGVIPFELGFCELLEVLDLSKNRLNGSIPRNIGQWAQIHYLNLSNNKLSEKIPSEIGPVPLFPNIVNASLESNPDLCGNVKGMKLCPSRIMKKKNGPFHHKLILVIMLPLIGSVLLGVLTYGLIANLQQKKKSPQKPSDEESGDYFSITSFDGKVVYADILKATNDFNEAYRIGTGGYGTVYKAELQPNNVVAVKKLHPSSENVDHNGFLNEVRALTNIRHRNIVKLYGYCSHVRHSFLIYEYLENGSLESILRSDVLAKELDWLKRVNIVKGIANDLAYMHHDCSPPIIHRDISIANILLDSDYEAHISDFGTSKLLKLDSSNWTAIAGTFGYIAPELAYTMVANEKCDVYSFGVIALEVIMGKHPGDLITSLPTLSDDDDYLVPTNVGDSRIPPPSSQVEKQVKLVLNLSRACLNSNSHERPTMQQVSNRLMKDLL >cds-PLY92043.1 pep primary_assembly:Lsat_Salinas_v7:5:324688112:324691363:-1 gene:gene-LSAT_5X179460 transcript:rna-gnl|WGS:NBSK|LSAT_5X179460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRYMRKANLTGDVVAVMDVSQSSLGVRTRAKTLALLKLRATKSTATPPESPAAEQDSELSYLQLRSRRLEKPPFQQLTCCRQQNPNPNLLTISSGVSGSVGSGSLDTQIKAGEETEESCHFGENNIDFDGRERSTRESTPCSLIKDIYDIYTPGSSTRSMNLEASRTSQNSMPLAQEIEEFFTRHDQEQQRRFADKYNFDIVNEKPLGGRYEWVQVQSQ >cds-PLY97769.1 pep primary_assembly:Lsat_Salinas_v7:4:374996822:374999161:1 gene:gene-LSAT_4X185601 transcript:rna-gnl|WGS:NBSK|LSAT_4X185601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEYSTIFVHVEKLAIFLSPFCNSNTTDNKVDNRSERERAIDEWLPVTSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSQLGWGPGVSVLVISWVVTLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLYIVVPQQLIVEVGVNIVYMVTGGTSLKKFHDLVCNDKCKDIRLSYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSIIAWSASLAKGVQPNVQYGYKSSTTAGKVFSFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVVVAYIVVAICYFPVAIIGYWVFGNEVSDNILISLEKPKWLIAMANLFVVIHVIGSYQIYAMPVFDMIETVLVKNLNFTPSFTLRFITRNLYVALTMFIGICFPFFGGLLGFFGGFAFAPTTYFLPCIMWLTIYKPNKWSLSWIANWVCIILGVALMIVSPIGGLRQIIIEAKDYEFFS >cds-PLY95141.1 pep primary_assembly:Lsat_Salinas_v7:1:96130582:96130794:1 gene:gene-LSAT_1X80021 transcript:rna-gnl|WGS:NBSK|LSAT_1X80021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKTDSGKLIPPPSTLESHNQFNDNKLRGVCIPSPSSTCRDTKAAGCYCCIGPAVDICIPGLDLCQAICS >cds-PLY88896.1 pep primary_assembly:Lsat_Salinas_v7:3:223177218:223180150:1 gene:gene-LSAT_3X129201 transcript:rna-gnl|WGS:NBSK|LSAT_3X129201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKQHVQETLPGWRDMYLNYKDLKKLVRLISSSLEYGKSEAQFVYLLNNEIEKFNVFFMEQEEDFIIRHKELQQRIKRANESEPSSEGEYEEQMAKIRKDMVDFHGEMVLLVNYSNINYTGMAKILKKYDKQTGGLLRLPFIQKVLEQPFFTTELISKLVKECETTMDELFPTGVVADEMKEAAIMMVGEGIFRNTVAALITMKEIRKGSSTQSHFSLPALNLPDTELIRSPTLAYRSPSFAQRSPTPDFRRSTSPVSGFHINSVVPDSLEDHSRTLNQSLSLNRIFISLPPLRYVFTATGEHVLRSQATPKSVPPITLSQIMKAITTRSSTVITLVDALNLRVDHIDRELAAIKTMLSLSIVASNLQSTRPLALNHL >cds-PLY72932.1 pep primary_assembly:Lsat_Salinas_v7:1:91075985:91077823:-1 gene:gene-LSAT_1X76060 transcript:rna-gnl|WGS:NBSK|LSAT_1X76060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFTRPSDFPAPRHLLRLLQLSINNQSLKLTQQTHARVCYLGLHQHPVVVTNLINAYSSSKNPLQSQKLFDSIELKDVCLWNTLINGFAKNNLHHECFSIFNTMCRSVNSSPDGFTFSTLAKTSGAIGDIPAGEWVHGKSIKIGFLSDHVLTNSLMSMYIKCHRVKESHKLFDEMPQRTVSSWNIMLSGYINSKNSFPSYQVWGLVKYMLTEGLKPNEFTLSNLLPLCGSRSGKFDHGRELHCYAIRNEINLDIDSSVHLNCCLIDMYSRCGEINLARLIFNQMKLKNVFTWTSMMSGYLQNGDPDEVMFLFCEMQRGLIKPNEISLLIVLQASNLLEGLLGVMQIHGFSFKNGFTNHTPLCNSLIDMYSKNGDLIDARLVFEHDCFSKDIITWGCMISGYGLHNKGHEAVDLYDKMVKNGIKPDAITIVGVLSACSRSGLVDKGVDVYDKWVNIYGFDPMVEMCSCVVDLLGRSGRVNEALTFIKMMSLEPGPSVWGALVSGFEVHMDYDTRVLGYESLIKIEPENPSNYVSLSNLYASCRKWELVGDVRRVMKDRSLKKLPGCSWIIVNSQTHSFFVADKSHLESHKIYEILNELILVIRDPDLEKFGEM >cds-PLY76804.1 pep primary_assembly:Lsat_Salinas_v7:MU042712.1:196199:198610:1 gene:gene-LSAT_0X20741 transcript:rna-gnl|WGS:NBSK|LSAT_0X20741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVTRRRSVLHFLITLVVLAGAGKGQLVENFYAGTCPMVEAIVRQTVVTKVGQTFTTIPATLRLFFHDCFVEGCDASVMISSPNGDAEKDAEDNLSLAGDGFDTVIKAKQAVESVCPGVVSCADVLAIAARDVVAQAGGPLFNVELGRRDGLISQASRVVGNLPDPTFNLIQLNTMFARNNLTELDMIALSGAHTLGFSHCNRFANRLYSFSPASTVDPSLNPTYAQQLMAACPQNVDPNIAIDMDPETPRTFDNVYYQNLIAGKGLFTSDEVLFTDASSQPTVNDFANSPGDFNAAFGTAMRKLGRTGVKIGIQGEIRRDCTSFN >cds-PLY84472.1 pep primary_assembly:Lsat_Salinas_v7:7:127800723:127801492:1 gene:gene-LSAT_7X76561 transcript:rna-gnl|WGS:NBSK|LSAT_7X76561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDFTCFTNHQDRLPLFGSICEVFSKTGIIDDTLMFLKAMTVKKVCITKHAIDDAMEKLLARG >cds-PLY83590.1 pep primary_assembly:Lsat_Salinas_v7:5:17775866:17777706:1 gene:gene-LSAT_5X8100 transcript:rna-gnl|WGS:NBSK|LSAT_5X8100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRTPTKKGPSNSTSSSNSSAIDQFRSGGRPATKEFEFIDQLYHSYAKNSSGIIEPSNHVNFYSYAFQYCLTEEKQKTIDIESICQLLELVLGSVFPPQVDSFVQYLRIQSDYKVINMDQWMGFYRFCNEISFPDFGNYDAELAWPLILDNFVEWMRLK >cds-PLY68369.1 pep primary_assembly:Lsat_Salinas_v7:7:83261338:83263267:-1 gene:gene-LSAT_0X25741 transcript:rna-gnl|WGS:NBSK|LSAT_0X25741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYALMSFLSLVFNKSSIYFNSIREVYEAWVIYNFLSLCLAWNVSCILPTEFLAVLIGYFSMPDWNSNAKESSGIDNSKDTDSFPFTYKFENTDSDILLKEIPLECSVPLESS >cds-PLY85239.1 pep primary_assembly:Lsat_Salinas_v7:4:234626399:234629375:-1 gene:gene-LSAT_4X127040 transcript:rna-gnl|WGS:NBSK|LSAT_4X127040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPNFHLFVVLRFFAGLYVCLNRGDVTPNAQKATVSDGAIAKCLTRVMLSGLILRDTFSHPPCPLVQPSIQDAADEPLYIPDFGKNFCPPIYPLGDQQPKQDDRVPLMSLHCLNSCPSFPYQVDTARLAVEMLGFTLVILKKLHQSLSCLLGEEPITRMPVKQVEAQVWAIADFRRQGFLGFKEFITAMQLISIAQVGHTLSSDLLNSDVDYENLKPPVMDGLDVLLAKKKRLKSDHEPNGKPGGQSEGPLLDEFQKE >cds-PLY65610.1 pep primary_assembly:Lsat_Salinas_v7:8:39633868:39636865:1 gene:gene-LSAT_8X31000 transcript:rna-gnl|WGS:NBSK|LSAT_8X31000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEASAVLCVLGVAAILGLYIWRISNWLWFKPKKIEKFLRDQGLKGSSYTFVFGDLKEMVQMRRDAKSKPMNLTHDIAPRVLPFIHKSISTYGKSCFTWMGTKPLVHISEPTMIREILANYYQFQKPRGGNPLTKLLARGLIDAEADQWIKHRKIINPAFHVEKLKHMVPAFYVSCSEMIDKWGKLVTKESSYEVDVWPHLQTFTSDVISRTAFGSSFEEGRKIFEFQREQAELIIKAAQSVYIPGSRFLPTKDNKRMKEIDREVKASIKSIIDKRVVAMKAGETINDDLLGILLDSNYKEIKQQGSSNFGLSIEEVIEECKLFYFAGQETTGNMLVWTMILLGQHTDWQTRAREEVLHVFGDKRPDIDGLSHLKVINMIFNEVLRLYPPAVMLRRLIHEDTKLGKLTLLAGTLIQLNTLFMHHDKDMWGDDANEFKPERFSEGVSKVTKGQATYLPFGGGPRICIGQNFAMLEAKMALAMILQGFSFELSPSYSHAPHTIITLQPQFGAHLTLHKL >cds-PLY71695.1 pep primary_assembly:Lsat_Salinas_v7:3:47618303:47620837:-1 gene:gene-LSAT_3X35880 transcript:rna-gnl|WGS:NBSK|LSAT_3X35880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYFATKKVVVELITTPEKKSPQLQSTKDTPLAMAVVGSCLGVYYMITNIVRKMPCRIYVTLKELNLGMMENEWNVLFHWNGGFLNECNSFHFLEFMKRNNFVSPKVAYETTTALQVQLDEF >cds-PLY72029.1 pep primary_assembly:Lsat_Salinas_v7:8:114847227:114849082:-1 gene:gene-LSAT_8X79440 transcript:rna-gnl|WGS:NBSK|LSAT_8X79440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g13770, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13770) UniProtKB/Swiss-Prot;Acc:Q9LIC3] MSRLGLQINFQEYDTLLNECVRQKSLRGGQRVHAHMIKTQYKPPVYLGTRLLVLYNKCDYLSDARQVFDEMPDRNVVSWTGLMSAYSKRGYTSEALNLLVRMLQSGTEPNEHTFSTALTCCTGVYGLEHGRQIHNLTIKNNFESHLYVGSSLLDMYAKAGEIHEARLVFEDLPERDVVCCTAIISGYAQLGLDEDALKLFHRFQKEGMASNYVTYASVLTAVSGLAAYETGRQIHSHVLRSKLPFYVILENSLIDMYTKCGQLSYARRVFDKMSERSVISWNAMLVGYSKHGMGREVAKLFELMRKENQVKPDKVTFLAILSGCSHGEMENKGLQVFDEMLTEKDGVVPDIEHYGCVVDLLGRSGKVEKAFEFIKNMPLEPNAAILGSLLGACLVHSNVDIGEIVGNRLLEIEPENPGNYVILSNLFASKGRWDDVRMIRNLMSLKAVAKDPGKSWIEIDQTLHTFHSGDQSHPKMEVVYGKMKELLVKLKENGYFPDLSRVLYDVDDEQKEKIVLGHSEKLALAFGLIFSPKGKAIRIMKNLRVCVDCHNFAKVVSRVCEREVFMRDKNRFHHIVNGVCSCGDYW >cds-PLY71189.1 pep primary_assembly:Lsat_Salinas_v7:2:133850159:133850377:1 gene:gene-LSAT_2X61660 transcript:rna-gnl|WGS:NBSK|LSAT_2X61660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAASCCGTSSKAGGGQNDTEKKKKEDAEVVAMGALSFTGGPRRHRQLGMTVVFERLVAPKVEGRYKGGGGG >cds-PLY82673.1 pep primary_assembly:Lsat_Salinas_v7:4:62576662:62578523:1 gene:gene-LSAT_4X43641 transcript:rna-gnl|WGS:NBSK|LSAT_4X43641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRSMTRNQNHDDASTSSRKKRVKTCDNNGATPWSGLNHDVLYLVMMQLGVIDFVALSGVCKSWRSAAHSNRNRFMASRSPMWIQIKDRPYKKELYLQDFEGRKFKTLLPHSADTSFVGLTCGYLILRKNKTRDFWLVNPITRHQLHFPSYPCWANIDPVKAILVFSPSISDWVLVAFHINSYLLWFSRVDKGFWSPVSPVFPMLDAIAFKGNIYTLHTGNRVCEMRLGLNPKLTLLEFKNSPKLGLSLVLQQFVSSDESLYVFDWIIQYDKRFSAHKLDFGEMKWVSVSPEGESVFFLGDMKYGAVIKPESWADSQLLQYRRYAATDQSPKGMFNMGHLWYFPHDCFNDNCIHE >cds-PLY77951.1 pep primary_assembly:Lsat_Salinas_v7:1:25835339:25835927:-1 gene:gene-LSAT_1X21881 transcript:rna-gnl|WGS:NBSK|LSAT_1X21881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKWGKWVAEIREPNKRSRIWLGSYSSPVAAARAYDTAVFYLRGPSARLNFPDSIGDDGDLHDLSSASIRKKATEVGAKVDALQNQHGGTHHHSPSTGGLSGRVCLNTDLNEYPSPESSDEN >cds-PLY85008.1 pep primary_assembly:Lsat_Salinas_v7:4:222806288:222807389:-1 gene:gene-LSAT_4X123780 transcript:rna-gnl|WGS:NBSK|LSAT_4X123780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACNRTFAPFRGSELPQKVLGAMAEIYGPIFSIKLGVHRALVISSGEIAKDFYTTNDKVFVSRPKSMAVVLMGYNYAMFGLAPYGDYWRQVRKIIMLEVLSQRRVIVSGKSFSLIDEEGIRFQNVARRFFELFGAFVVSVFIPYLKCFDLGGYKKDMKITTKEIDDIFEGLLQANKREKESKQQHESNQVFVDVLISVLEGAYEEDFPGFDHSTIINASCLAILIAGLDTTSVTLTWALSLLLNNIRNSG >cds-PLY68789.1 pep primary_assembly:Lsat_Salinas_v7:3:159323235:159330039:1 gene:gene-LSAT_3X100140 transcript:rna-gnl|WGS:NBSK|LSAT_3X100140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLANCWLIIEVFLIPDGFMKWFFLSFYIHPFFLFFCQIILWIKTLKRWILLINHSLFSHVNGVLMIITHTFSRFNNGNTEAQKEEEEEEDLWYEVDDSLSSSNNTHGILLLRHECSPEITKITFCDVHIESVDQETMSICSSSDLDDESTSFSGASSPISSYSFGTFRDMEVISNTPSSSCTLPEEDQADTDNDGATPELTAFFKPDEEEEEEEEEEKDSFYKSYTKRVNWFDLLNQERTCALQALVGNNKRRLGGDERILKSLESDFEMVYVAQSCLSWEALHDQYRKLEAIVDSCAHDTCTSDYGALCSSTLVVKFQRFQILLERFMEDERSENGKRYWNFNQRRSSLKSLLLVPQVSEKANHKKEERNEELMMRATDVLKTIEKCMKTFKSFIEVDKKKPWWRTSNHLSWTPSPLEDPQDFNLLHDLTRTLQQKELWMKHLKGKKRCWLRNKVTDLGDSQKKDMMFAMIELKLVSRVLMMSLISTPQLHWCQQKLNNIDLNHGKITRSCSHPLLFPPS >cds-PLY98491.1 pep primary_assembly:Lsat_Salinas_v7:4:361767454:361770870:1 gene:gene-LSAT_4X179360 transcript:rna-gnl|WGS:NBSK|LSAT_4X179360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRANFLPFFLGLLAWACISCVKAEDPYRFFNWVITYGQISPLGVPQRGILINGKFPGPTIECETNDNVVVNVINKLDEPFLITWNGIKQRKESWQDGVLGTNCPIPPKTNWTYHMQVKDQIGTFSYFPSTAMHRAVGGFGGFNIQARPVIFVPYLKPVAEFTLLVSDWWKSDHKTLQQRLDSGKALTKPDGLLINGSPRGTSFTGKTGQRYLFRVSNLALTTSINFRIQGHAMTLVEVEGAHTLHESYESFDLHVGQSVSFLVTLHSPLKDYFIVASSRFTKPILTATGILHYDGAKSKASLPLPIGPTYQVHWSMKQARTVRWNLTANAARPNPQGSFHFGTIPITRTVVLANSKATINGKLRYAVNKVSHVNPATPLKLADYFNIPGVFKVNSVKDKPSAGPTILAPSVLGFALHDFVEIVFQNNENSIQSWHLDGHDFWSVGFGGGQWNATMRNRFYNLNDATTRYTVQVYPKSWSSILVSLDNKGMWNLRSANWPRQYLGQQLYARVWNDEKSLFTEYDAPANVLRCGKARK >cds-PLY94329.1 pep primary_assembly:Lsat_Salinas_v7:7:164643403:164645034:1 gene:gene-LSAT_7X96941 transcript:rna-gnl|WGS:NBSK|LSAT_7X96941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIGEVFTVGSFGCRVKGEDSLTRLRILLNEVISGLDADKLMFGFFDQNGKYVEALLSANKRVDKDGKITGVLGFLHVTSPELQHAMMVQRRSTQAALNSLTKVTYLRHELKSSLNGIKCIEDLMESSELGREQRHILRKSHLCREQLAQIVNDADIESIEECYMEMKCAEFKLGESLEVVLNQITSLSRERKVEVVYDAPDQVLSLSVFGDNLRLQQVLADFLSNAVNFTPAFEGSSVVFRVNHKMEHIGAKIQVAHLEFRITHPAPGIPEKLVQDMFLHNRSVSREGLGLYISQKLVKIMNGTVQYLREAEKASFIVMIELPIAPSLTSDNKRSKRVL >cds-PLY80171.1 pep primary_assembly:Lsat_Salinas_v7:8:116079934:116081031:-1 gene:gene-LSAT_8X79621 transcript:rna-gnl|WGS:NBSK|LSAT_8X79621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVTNSTLVVAGSAIGSIGVEYHGAIGEDYDVDGVLHPSLSPVRGRNGREVVVPTRNVKKEEVESKIIAWKNGVLHPSLRYPRLLTCSSRKLDEKKARAMEKMENEIAKAHQKAEERRASAESIRGTKMARVLEVANLMKAVGRSPVKNFF >cds-PLY97218.1 pep primary_assembly:Lsat_Salinas_v7:4:96452938:96453931:-1 gene:gene-LSAT_4X62001 transcript:rna-gnl|WGS:NBSK|LSAT_4X62001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLCGPTFFVLSWLGSFKLILYAFGQGPLSSHPPLPLSQFMSTACLPIKIKKNQLYSSQDFTKKPQRSPKDYALRVLLLLVAVKAYGYRENLHPLFATSIYAYYIFFSLELLLAVAAFFARILVGAELEPQFDQPHHATSVQDFWGKRWNLMVSSILRPTVYFPARQIFGHLLPAGWLAIPAVFSTFLVSGIMHEMIFYYLGRLTPTWEVTWFFVIQGIWVGTEIVLKKQIGQKFEPPTIVSKILTLAFVLITSFWLFFPPFMRLNPFGRGCREVLAFGGFFKHGQLISPDEYSCPYF >cds-PLY83175.1 pep primary_assembly:Lsat_Salinas_v7:3:94111622:94112510:1 gene:gene-LSAT_3X70040 transcript:rna-gnl|WGS:NBSK|LSAT_3X70040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCSTSYSSSSTSIRHIRSTSLPNRSHPSTLHFEEEFANFKKLEASTSSVPTKATICDALLGLERLYTCVNDLISLPLTRQALSHEKHQKFVDKLLDQSMMLLDVCGSIRDAMQQFKQHIRDLQSAQRRGKGDMSINTSFFNKMKKDTRRTLSALKQISNKMGATTLLNLDHQLSDVIRSLKDTSLVSISVYESILSLTSALVSKPKPLRWSIVSNLIHKGTMESVDHPQVSSEALECHIDVIENGLECIFRSLIETRAGLLNTHSH >cds-PLY78638.1 pep primary_assembly:Lsat_Salinas_v7:9:49875583:49879166:1 gene:gene-LSAT_9X45401 transcript:rna-gnl|WGS:NBSK|LSAT_9X45401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCIAVFAWQVHPLYPFLLLLNRDEYHHRPTKAVGWWEGGEILGGRDEVAGGTWLACTRGGRVSFLTNVLELHTLPEAKTRGDLPLRFLESNKSPEEFAKELVKEVHEYNGFNLITLDISSKTMFYISNRPKSEPPTVQQVQPGIHVLSNAKLDSPWPKAQRLKFNFKKLLSAYDKDEDIPMKDMMDKLMRDTMKAEKSQLPNICSIDWEHNLSSIFVEVDTPLGRYGTRSMIALSIKDTEEASFHETYIERGFWWEKTVDYYVTPQVKIKDIVF >cds-PLY85702.1 pep primary_assembly:Lsat_Salinas_v7:MU040924.1:719:3760:-1 gene:gene-LSAT_0X45100 transcript:rna-gnl|WGS:NBSK|LSAT_0X45100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSGGRVASDATCTDFGASEQKHAIAAMSTAPAAMEVASISRPPAGHSREHYAATIIQTSFRGYLARRALRALKGLVKLQALVRGHNVRKQAKMTLQCMQALVRVQARVLDQRMKQSDQCTSRKSTFSDTNSAWGSRYHQDMSDRRSMSRDGSSIADDWDERPHTIEEVKAMLQNRAARRDKTLSQAFSQEMRRNGRNSSMGSDNEVGVGERQQWLGPKAWDSSRPMGRASTDHRDGVKTVQVDTSQPYSSLPPPNHMRRSSYQYHHHHNQRNSPSSASPLHRAQHHQSPAVTVTPSPSKTRPIQVRSASPHYVREDRSYHSTQSQTPSLRSNYSFNTVMLHHQQQHARGSTSGGGGGAMPNYMAATESAKARVRSQSAPRQRPSTPERDRSGSAKKRLSFPVPDPYGGGNGQDLRSPSFTSVNQASYAYMGMGMEQQSNYSSYYTESIAGGEVSPCSTTDLRRWTLR >cds-PLY95297.1 pep primary_assembly:Lsat_Salinas_v7:4:299009448:299015104:-1 gene:gene-LSAT_4X151741 transcript:rna-gnl|WGS:NBSK|LSAT_4X151741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHWSLEKRVWDRNFFPSERIVWVDIEGLPLSAWSKDSFRKILAKWGSIAQMEDDLGEDVYKNRVCILTTSQNIISDTVRVRVDDLFMESETEPKHMMIEHDVSNSMQIDPTKARFPCCIVWTPLPVVSWLLPFVGHVGIGREDGVIVDFAGPNFVSVDNFTFGGVSRYIQITKEKSPITRHPSTTYRTEEEFKLVESGRNQYTWDESLKKTTQEYQKQIYSILTCNCHSFVANHLNRMEPGPTSGWNVVNVAALVLLKGKWVNSQSMIRSYLSFMVMFFLGVTFGGANFLTFLAFVVFVLFGWYVCGTYYFKNFIQL >cds-PLY79330.1 pep primary_assembly:Lsat_Salinas_v7:9:55206066:55206488:1 gene:gene-LSAT_9X49561 transcript:rna-gnl|WGS:NBSK|LSAT_9X49561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKPLYEFSPSTNIIKVSHAPDSIIIIQSTNVVCSVDTVARTITGTIGDGQYMVTIDVESLRTALRVPQFESYYETPSEESCKYVREKLEYNFKLKGLTRDPYKNTLFQCCNVGWKYLTDVIIKSLGHKIGSLDQLNLFE >cds-PLY95703.1 pep primary_assembly:Lsat_Salinas_v7:2:113702812:113703811:-1 gene:gene-LSAT_2X52440 transcript:rna-gnl|WGS:NBSK|LSAT_2X52440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQDHLLRSNSNQIQRHIKRSNNLLSDIPGMMIGTTEVGQIIMSMLEKETLRRWDAHGAYVMIMVLYASREERIR >cds-PLY79237.1 pep primary_assembly:Lsat_Salinas_v7:9:181908828:181910554:1 gene:gene-LSAT_9X112601 transcript:rna-gnl|WGS:NBSK|LSAT_9X112601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLWSWICELPNSDEWSPDSTSELTFHLGSSTPTEFNKTLQLKARRKFSSNSDLLAFTFYISYEEKALWVSDTCQVNSDNPFLPLVLQLIQEIISRSPTAHDSITTACPRSQLQKLKPESVSWILDSHSPESFSPFFNLMFLARLFWLCACDAPSEVGSLYFNSMLAPNLEAFSSNPTPVLRSFFVSAGIDVELSIMRTFGYMLTKWLMLRESGTGLQLLTPSYNNLGFSYAAETHGLWILKGYAPLMAMTRCRSNRVNNGYPVFEAKESVLKYALAHQQIEAVIQLEYSVEVKENFILVNARVDNIRIHMAKLGFNKNDENPYMHERHFPSRIRVWIGPEAGASYVTSLTLGKSTDNIEKETETQKILKSSFGKTKVPKMKTMTRTTTRTKARTWRWDQDSDGHVAILDATLCDNVTGVEMSMWQPNAGDGDGDQVGQSFQKRYTGANRSFTKSGNWVFGEGLEGVKWRLHKEMEGSVLKWRIGGQIWVTYFPNEIKSSYFETRCVEWCDEVDLPLILGAY >cds-PLY70410.1 pep primary_assembly:Lsat_Salinas_v7:9:123972553:123982395:1 gene:gene-LSAT_9X83361 transcript:rna-gnl|WGS:NBSK|LSAT_9X83361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGKDKDYRYMATSDLLNELNKEGFKLDSDLELRLSNIVLQQLDDAAGDVSGLAVKCLAPLVKKIHEAQVLEMTHKLCDKLLNGKDQHRDIASIALKTIFSEVPTSSVAQSVLVSVSPKLIGGITSPAMKTDIKCECLDILCDILHKFGNLMTSDHEMLLAALLPQLSSNQASVRKKTVSCIASLASSLSDDLLAKATIEVVRLLKNKGTKPEMTRTNIQMIGALSRAVGYRFGPHLGDTVPILIQYCKNASENDEELREYSLQALESFLLRCPRDIFSYCNEILHLTLEYLSYDPNFTDNMEEDTDDEVHDDDEDDDSANEYTDDEDVSWKVRRAAAKCLAALIVSRPEMLSNLYDEACPKLIDRFKEREENVKMDVFNTFIELLRQTGNVTKGQIDIDKLSPRWSLKQEVPKVVKSVNRQLREKSIKTKIGAFSVLKELVVVLPDCLADHIGSLIPGIEKALCEKSSTSNLKIEALIFTRLVLASHSPAVFHPYIKAISAPVLSAVGERYYKVTAEALRVCGELVRVVRPNIEVSDFDFKPYVHPIYNAILSRLTNQDQDQEVKECAISCMGLVVSTFGDHLTAELLACLPVLVDRMGNEITRLTAVKAFAVIAASPLHLDLSCVLEHVIVELTAFLRKANRALRQATLGTLNTLIVAYGDKIGSTAYEVIIVELSTLISDSDLHMAALALELCCTLMSDRRSGPTVGLTVRNKVLPQALTLVKSSLLQGQALSALQNFFATLVYSANTSFDALLESLLSTAKPSPQSGGIAKQALFSIAQCVAVLCLAAGDHKCSSTVKMLTDILKDDSTSNSAKQHLALLCLGEIGRRKDLSSHAHIENIVIESFQSPFEEIKSAASYALGNIAVGNLPKYLPFILNQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSSVEKILNLLFNHCESEEEGVRNVVAECLGKIALIKPSKLVPALKHVRRAAVLALSIAGHNKPNLIKGLLPELLPLLYDQTVIKKELIRTVDLGPFKHTVDDGLELRKAAFECVDTLLDNCLDQLNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPLQKTVNFKPKQDAVKQEVDRNEDMIRSALRAVASLNRISGGDCSHKFKNLMAEMGKSQSMWEKFCSIRNE >cds-PLY79438.1 pep primary_assembly:Lsat_Salinas_v7:3:79209989:79213022:-1 gene:gene-LSAT_3X60180 transcript:rna-gnl|WGS:NBSK|LSAT_3X60180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLSPSPNSPPVTVTPLPPPAPNSSPPSTSSPPSQSPPPTPTTQSPPPSTSSPPPSSPPPTSPPPPVISPPPSTPPTMSAPPPVSTSPPPNPPPPSSPTPPTNSSPPPASPPPPAASPPPPATSPPPTNPPPSTTSPPPTNPPPSTTSPPPSRTSPPPPATSPPLTNPPPSTTSPPPTRSPPPSSPNPPSRSPPPPRTPSTRSPPSLSPPPPTTSPPLPSSTPPPRSPPPSSSSQPPPESSSPPPTTTRPPPRTPPSSEPPTNSPPPPPVTTTPRPATPSPPPLNTPSPPPSPPSPSTILPSPPGTPSNNDSSPNTPSNNGGISTGGIIGISAVLALVLLTVIITVSCCIIKRKKKISTRNELYNLPTSMTNSPKSDSGLLKIHTSENGNQSANQFIYTPPDTGGLTNSRPKLTYQDLFNATNGFSIENLLGSGGFGYVYKGCLVDGTEVAVKELKIGGGQGEREFTAEVEIIGRIHHRYLVSLVGYCVSENNRLLVYEYVPNNTLYFHLHGSEVVLDWSTRVKVASGAARGIAYLHEDCHPRIIHRDIKSSNILLDKNFEARVSDFGLAKLAADLGTHITTRVMGTFGYMAPEYASSGKLTEKSDVYSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLSHALETEDFEVLVDPKLGTNYVAKEMFHMIEAAAACVRHSAAKRPRMGQIVRAFESMETEDLSNGMRVGESEIFNSAQQSAEIRLFNRMAFGSQNYSTDFFTQGSVGPNGSNER >cds-PLY70608.1 pep primary_assembly:Lsat_Salinas_v7:1:90618442:90618959:-1 gene:gene-LSAT_1X74341 transcript:rna-gnl|WGS:NBSK|LSAT_1X74341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY82602.1 pep primary_assembly:Lsat_Salinas_v7:8:175149771:175155736:1 gene:gene-LSAT_8X113680 transcript:rna-gnl|WGS:NBSK|LSAT_8X113680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSINIFLGLLIYVSVAHTTIFTSAKVYVVYMGGNDSDDPDEILTKNHQMLVSVHSGSVEEAHASHLYSYKHGFRGFAAKLTEDQALQIAKMPEVVSVFENKRRSLHTTHSWDFIGLVGEETMEIPGFSTKDQVNVIIGFIDTGIWPESESFSDADMPPVPAGWKGKCQSGEEFNITHCNRKLIGARYYLSGYEAENQNSQYDDDPKNKKSFRSPRDSNGHGTHTASTAAGRYVTDMNYKGLARGGARGGAPMARVAVYKTCWDSGCYDADLLAAFDDAVRDGVHIVSLSLGPDAPQGDYFSDAISIGSFHAVSRGISVVSSVGNEGGKGSATNLAPWIITVAATSIDREFTANFEMGNGVKLKGESLSVLQMEAPARIISASIANAGYFTPYQSSYCLESSLNYTKTRGKVLLCRHAERSSESKVRKSEIVKAAGGIGMILVDENVDVAIPFVIPAAIVGKKVGNRILSYINKTRKPSSRIYSSEAVLGSQAAPRVASFSSKGPNGLTPEILKPDVAAPGLNILAAWSPAIGQKLKYNILSGTSMACPHVTGIVALIKAVHPTWSPSAIKSAIMTTASIFDKTGKPMRVDPEGRKANPFDYGSGFINPTTVLNPGLVYDSTSMDHKAFLCSIGYDQKSLRLITRDNTTCEDQPFSTPSNLNYPSIVIPYLKSNFSVTRTLTYVGNSDKKTVWTSVVAPPRGVEVDIVPRRLVFSGYGQKMNFTATFRFSGEPRGYVFGWLQWRNGKVRVSAPLVVRAVPFDLGSGV >cds-PLY99037.1 pep primary_assembly:Lsat_Salinas_v7:6:151623656:151625748:-1 gene:gene-LSAT_6X91040 transcript:rna-gnl|WGS:NBSK|LSAT_6X91040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEHSDDLDQLLDSALDDFQTLNLASSSSQSKDDEGNKGEASLMPSGVQGLGMGLPDLRSKNKGKQKVSPKVSHASETLDKLREQTRETVKGLESIAGLKSGPIDGLGDDKMMEDLVKQFEELAKGQDMESLVETMMQQLLSKEVLHEPMKEIGERYPKWLQDNKSKLSKEEYDRYFHQHELIKDLNIVYDTEPDNFNKIIELMQKMQECGQPPNDIVKELAPDFDISALGQLSPDMADSQQNCCIM >cds-PLY70245.1 pep primary_assembly:Lsat_Salinas_v7:9:1569560:1574882:-1 gene:gene-LSAT_9X4140 transcript:rna-gnl|WGS:NBSK|LSAT_9X4140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPLPEEDDSVRSDTVRYQFSGRERGTGFETMNQPQERRNGGAKRRYTDVDPMHMSQPSKRSQGFQNSNNGSYDRNSLPPGWLDCPPSGDPINFLIPSKVPLGESFNEKITSDKRYSPQQAIRQQRRLGREIGLVIDLTNTNRYYRESDWTREGIKYVKIRCAGRDSVPDNESVEKFIQETTRFSSQQAHLNKYVLVHCTHGHNRTGYMIVHFLIRSESVSLTEAIYRFSEARPPGIYKQDYINDLYNLFGEQIPENFVCPQTPEWKRSPDRDDDDDDGNTVLANAVGTDEMGYDLAPSEMTNDDVLGDPVPFNQIESMRQFCNQVLKLNVRARGKPQFPGSHPVSLSRDNLQLLRQRYYYATWKADGTRYMMLITWDGCFLIDRNFNFRSVELRFPCRNVNEGAGGNTHNYTLLDGEMIIDTDPTTHKQERRYLIYDLIAINRESLVERPFSERWMILENEVVKPRNQERDRLFKTRTPYYRYDLEPFRVRRKDFYLLSAVSKLLKQFIPRLSHASDGLIFQGWDDPYVPRTHEGLLKWKYPEMNSVDFLFEMGKGNNNRHILCVNERGRRKQMDGCRIVFRDPSVDIYSLSGKIIECSFDSEENVWVFMRMRPDKSTPNEFITFKKVLRSIKDNITEEVVLNEINDIIRLPMYADRIETDCRTFEKNGRRK >cds-PLY91669.1 pep primary_assembly:Lsat_Salinas_v7:8:11905140:11913885:-1 gene:gene-LSAT_8X8061 transcript:rna-gnl|WGS:NBSK|LSAT_8X8061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G51660) UniProtKB/Swiss-Prot;Acc:Q9FGR0] MSYAAYKLMHPPTGIDNCASGFITHSPADFASRILPVPTDDIDPVWPSTPTPGVGPIPNLIVTAANVLEVYTVRVQEDGNSTNKDSSTVSQPQRGGVMAGLSGASLELVCSYRLHGNVESVGVLSSGAEERTSGRRRDSIILTFEDAKISILEFDDSVYGLRTSSMHCFEGPQWVHLKKGRESFARGPFIKVDPLGRCAGVLVYGLQMIILKAAEAGGLVGDDGGSSGGGSVSARIQSSYMISLRELDVKHVKDFIFVHGYIEPVLVILHEKELTWAGRLPHKHHTCMISALSISTTLKQHPLIWSATNLPHDAYKLLAVPSPIGGVLVVCANTIHYHSQSASCILALNNYAVPMDSSQEMSRSSFSVELDAANATWISNDVAMLSTKSGELLLLTLVHDGRMVQKLDLSKSKASVLTSGITTVGSSFFFLGSRLGDSLLVQFHCGAGSSIAKEEVGDIEGDVPLTKRLRRSSSDALQDLANDDELSLYGSDLNNAQSAQKTFSFTVRDSLINVGPLKDFSYGSRMNADPNATGVAKQSNYELVCCSGHGKNGSLCVLQQSIRPEIITQEAIPGCKGLWTVYHKNSRNQPEHLKTASEDDEYHAYLIISLESRTMVLQTVNNLEEVTENVDYFVQGSTIYAGNLFGRRRVIQVYARGARILDGAFMTQELNFRPANSETAATSPNSSSVASVSIADPYVLLMMTDGSIQLLVGDPLTCTMSINNLAAFQSSKKAIACCTLYHDRGTEPWLRKTSTDAWLLTGVSEAIEGPDGTPQDQGDIYCVVCYESGSLEIYDVPVFSCVFSVDSFVSGRIFLGDNLSQEPSNDSHNINMKVVELAMHRWPGDHSRPFLFGILADGTILCYHAYLYEGGSENASRSEVVSSVETSSSNPSRLKNLRFSRVSLDTYTREEMSTETPFPRITMFKNLCDGSIIAFTVLHNMYCNHGFIYVTSQGTLKICQLPSLLSYDNYWPVQKIPLKATPHQVTYFAEKNLYPLIVSVPVVKPINQVLSSMVDQESGHQIEHDVSNLDGTYTVEEFEVRILEPESSGGPWQTKGTIRMQTTENALTVRVVTLSNTTTRENVTLLAIGTAYVQGEDVAARGRVLLFSVESSTEVSQPAVTEVYSKEMKGAISALASIQGYLLVASGPKVILHKWTGTELSGVAFFDAPPLYVVSLNIVKNFILLGDIHKSIYFLSWKEQGSQLSLLAKDFGSLDCLATEFLIDGSTLSLMVSDDQKNVQIFYYAPKVSESWKGQKLLSRAEFHVGAHVTKFLRLQMLPTSNSSPSDKTNRFALVFGTLDGSIGCIAPLDELTFRRLQSLQKKLVDAVPHVAGLNPRSFRHFHSNGKAHRPGPDTIVDAELLCHYDMLPFEHQLEIANQIGTTRSQIISNLNDLALGTSFL >cds-PLY97501.1 pep primary_assembly:Lsat_Salinas_v7:1:194361226:194361826:-1 gene:gene-LSAT_1X127080 transcript:rna-gnl|WGS:NBSK|LSAT_1X127080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILKFVLFSRVDTTDFQEEKGETVCDVTKGKWVYDESYPLYSTFTCLFIDEGFNCEDNGILDKDYMKWRWKPHDCYIPRFNATNMLELIRGKRLVFVGDSINKNQWESMLCLLMSDVKDPKKVYETHGKHITKEKGNYCFRFVVMKF >cds-PLY74564.1 pep primary_assembly:Lsat_Salinas_v7:7:40923299:40925064:1 gene:gene-LSAT_7X32101 transcript:rna-gnl|WGS:NBSK|LSAT_7X32101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSDAMPSSAMEESPNWLEMPPELMASILQRLGAVEILNSARKVCTTWRRICKDPDIWKTIDMHKPVDTWDMDYDLEILTKQAVDLSCGELIDISIEYFGSDDLLDHILLRSSKLKNLCLMNCFDITGSRLSQAVKRVPQLEKLHLSYISINVEDIELIGQNCPHLKSFKLNKEFRRPRIENDDDALAIANNMPELRHLQVFGSKMSNDGLEAILNSCPHLESLDVRRCFNLNLGGNLGKLCMERIKDFKRPNDSTENCGFHPRIHEFDDFDDMYSSGYSDVDEFSEGDFYEDYEFSGGSAVSEEDDYDYEYFDI >cds-PLY93158.1 pep primary_assembly:Lsat_Salinas_v7:9:3307151:3308666:1 gene:gene-LSAT_9X2661 transcript:rna-gnl|WGS:NBSK|LSAT_9X2661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRLPRKGKKAAEKIVVTVKLEENEGKKRSENSSSCDCWSWRKYGQKPIKGSPYPRGYYRCSTSKSCSAKKQVELCRTDASMLIITYTSTHNHPDPTLPKKPKTKILHDSISETNTAPDPLDQENENQKPVTTIAVEDEDATTCTENDNFHYIQTPEDETRLTLNLENTFFDEEPLSYPNLMTFSAPKTEENDFYDELEELPMSSSSFKIFMRSNFFEERVLVQPP >cds-PLY73157.1 pep primary_assembly:Lsat_Salinas_v7:2:190611928:190612182:1 gene:gene-LSAT_2X113161 transcript:rna-gnl|WGS:NBSK|LSAT_2X113161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMESFCSQSSITAAMMVPKLLQFRLRVYAKSYLPTDREKEKEEKKMEEPLKFYYIRAKFSYFLSLYATILLPNIHRIIKILEKS >cds-PLY84601.1 pep primary_assembly:Lsat_Salinas_v7:1:30521449:30522534:-1 gene:gene-LSAT_1X26361 transcript:rna-gnl|WGS:NBSK|LSAT_1X26361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAIDNASLVIQHPNGRAERLYTNISAAEVMKLNPGHYVALLLTTTLYSSRPPSSTSDSTKQTATANSQPLRITRIKLLRATESLTVGHIYRLVTTQEVMKGLMAKKNGKTSSNIRVLKPSEESAGKNEDSATRSNQSERTRTHIQMKKSEKDRRRTVAPANSSAAAIKPRGWHPSLNSISEASS >cds-PLY64000.1 pep primary_assembly:Lsat_Salinas_v7:8:41264376:41265732:1 gene:gene-LSAT_8X31541 transcript:rna-gnl|WGS:NBSK|LSAT_8X31541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHSTNFDSDFVSTAVPTKDTKRKKKVEKKKRLYVSDSSSSQSETAESETSTTESDEYGKDYKNDIQ >cds-PLY84523.1 pep primary_assembly:Lsat_Salinas_v7:1:31597917:31600161:-1 gene:gene-LSAT_1X28060 transcript:rna-gnl|WGS:NBSK|LSAT_1X28060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFILDIKGRCLLSRHYRGDISSVDAERFFTKLLENEEDIESQGPIVFDSGVSHIFIQHNNVYLMAVSRQNCNVASLIVFLHRLASVFEYYFGELEEESLRDNFVVVYELLDEMMDFGYPQYTEAMILSEFIKTDAYKLEVTQRPPMAVTNAVSWRNEGIYYKKNEVFLDVIESLNILINSNRQILRSEVVGALKMRACVSGMPECKLGLNDRVIMDAQSRATKGKSIDLEDIRFHQCVRLARFETDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVERHARSRIEMLVKARSQFRERSNATNVQIEIPVPSDATKPIVRTSMGSASYAPEQDSLIWRIRTFPGDKVIKE >cds-PLY63038.1 pep primary_assembly:Lsat_Salinas_v7:8:76176023:76179162:1 gene:gene-LSAT_8X54521 transcript:rna-gnl|WGS:NBSK|LSAT_8X54521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Wee1-like protein kinase [Source:Projected from Arabidopsis thaliana (AT1G02970) UniProtKB/Swiss-Prot;Acc:Q8L4H0] MKSTILKRKRSGSSKKETQMRMKGSLATHLSVQLGQISFKPPLPQNNNNPSRFQNLLDKEAHGKSESTPSTSSFPSATVLDFDADVNVDEKDFILSQDFFCTPDYITPEAPPLPVTVGCNKLEEMSCPKSPEKLKSVRSKRQREAFLELEDDLAEETETDNQPVTEPHVSKQGYVSQSAVALRCRVMPPPCMKNPYLKDASDNDIDPFGDRRSKCAVFLPAAFGGDGLSRYRTDFHEIEQIGTGNFSCVFKALKRIDGCMYAVKCSTRKLYLDNERQKALMEVQALAALGYHDNIVGYNTSWFENEKLYIQMELCDHSLSMINQFTRLCPEGEVLEAMHQIAKALQYIHEKGIVHLDVKPENIYVKNGVYKLGDFGCSSLLDGSLPIDEGDARYMPQEILNDNYDHLDKVDIFSLGATIYELIRGSTLPDSGPYFQNLREGKLPLLPGHSMQFQNILKAMLDPDPVKRPSAKELVANPIFNRPRPNRTLKT >cds-PLY62860.1 pep primary_assembly:Lsat_Salinas_v7:9:131843589:131850716:1 gene:gene-LSAT_9X85740 transcript:rna-gnl|WGS:NBSK|LSAT_9X85740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIVPHQMAISSILEQHTTQILMTKDQKVLSSLSAPSADPSGVWKACQKNPGNCSPSHMNYLQDFRNQMLDALKGFSKSTQNGLFVNSCFAHCQTERQDTWFADDSPVINNKAIALAVGDWFFDRSSVKETDCPI >cds-PLY87565.1 pep primary_assembly:Lsat_Salinas_v7:3:165962208:165964823:1 gene:gene-LSAT_3X103121 transcript:rna-gnl|WGS:NBSK|LSAT_3X103121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIKISVGSSFAAIFLIVFLNWLYFGLKKRRLMILREKFFKQNGGILLQQRISGDGGATDQAKVFTIEELKRATNKYHDNRIIEVPLLVYEFIPNGTLYDHIHNKGKSLAVTWDIRLRIAKETAEDNARCLHVQGDERPTMKEVAMELEGILASLIQKHPLVQSTSNEEKVEYLLKGTTDDYECTEGATGSSSTFDSMSKQLMFLFLIPFLSLNSTNSS >cds-PLY65780.1 pep primary_assembly:Lsat_Salinas_v7:5:269201042:269201922:1 gene:gene-LSAT_5X139960 transcript:rna-gnl|WGS:NBSK|LSAT_5X139960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILSLRESLENCKDNLATCQLELEATKSEIQKWHSAFQNDSYITIARKSKEKGRCVYSNHCKAGTGDIRFKCFFFKTIHIQSVVWELRSQLKPPSMQIRVSIYDQDMVLEPFLLKLKGILM >cds-PLY94461.1 pep primary_assembly:Lsat_Salinas_v7:4:144221052:144223803:1 gene:gene-LSAT_4X90040 transcript:rna-gnl|WGS:NBSK|LSAT_4X90040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLQNPFVGAAFQSSLKPRNVNCLGYLGNKFPRKPRYDIIPRAKKNDWISHGIRFSQSFGENVEILWKNMGLRSGFVVKSVKEPFTRSKAIVRSLSTVWEEGLLLFQCSVFYAVISGVCLLLWYSQLKANTLIESKLFPSVCTTLSDYIQCDLHFCKAQSVSPLSITLESCWIGPHKEEFSCGEVPTLKLRFHPFSSLRTGTIVIDAVVYNRTLLAAQKRKYLWLGIPFTDGVLQKHLSTEEGIDNRTKIRRNAREKTAAQRFWIDTADKQPCIGMGEFYREAICLKHTKVILMSATVDSQLFSHYFGDCPVIHAQGRTHPVPTYFLEDIHDSVDYKLASDSLASLRSNAPKQKDTEEEDTLKVKINFHFIS >cds-PLY73042.1 pep primary_assembly:Lsat_Salinas_v7:9:35513541:35515408:-1 gene:gene-LSAT_9X32580 transcript:rna-gnl|WGS:NBSK|LSAT_9X32580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVIKENASETRLVSIVAQDLRVRVVIRVQDETGSASFVLFDHSVKDLIHHGNHWLMEKISKDQGRQKIPDVFIMMLNKKFVFNVHISKFNLENNYHTYTVHKMTDDELVVGAVFKHSPAYEENNIHSDGTPINKCIKVKILLIVFDLDAVAPTTTSLKRPIEIVTTTESFEWSSSKYGVAPHTLNIPKMEKLE >cds-PLY97480.1 pep primary_assembly:Lsat_Salinas_v7:1:193104162:193105546:1 gene:gene-LSAT_1X126600 transcript:rna-gnl|WGS:NBSK|LSAT_1X126600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQVASHLVDNRTEEELKDLEDDLDNNCFLEEYRRKRLAEMKQTVKVAKFWLVIPILGSDFVCEVSQATSDVWVVVNLYKDGYPKCGVLMQCMEEVPIMYSATKFVKIISTDCIPNYPDCNIPTMLVYNNGVVKANYVRLHTFGSRCTPGGVAMILCHSDSILHDGLNGKASREAVPEAVRKRFIEMHSRRRCFLNVLC >cds-PLY96323.1 pep primary_assembly:Lsat_Salinas_v7:5:192362243:192362488:1 gene:gene-LSAT_5X85840 transcript:rna-gnl|WGS:NBSK|LSAT_5X85840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWDICGASMNLRCMLPMEDFYLLVHVTSNEDLHYIIQQYDRSEELMIRIRAILDPVPPPIDDYLSSKSKVASVKFSGGKRN >cds-PLY95782.1 pep primary_assembly:Lsat_Salinas_v7:3:27270212:27272543:1 gene:gene-LSAT_3X21060 transcript:rna-gnl|WGS:NBSK|LSAT_3X21060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALQYLDSLRSSHPELSDWYNTLADLYQRKLWHQLTLKLEQFVALAVFQAGDALIQLYNNFITDFETKINLLKLAHFAVIVSRQYSEKEAAISYLDGVIEKLRATKETRIEEPVLYIKMQIAVFNLEKGDQKECKNLLEDGKSTLDSMTDIDPSVYANYYWVSSQYHKTRQEFAEFYKSALLYLAYTSVESLSDSFKLDLAFDLSLSALLGENVYNFGELLAHPIIKSLIGTKVEWLYYILEAFNSGDLVKYQELCRVHTAALNGQPALVENEKKLLEKINILCLMEIIFSRPSEERTIPLSIIAERTKLTVEDVEYLLMKSLSVHLIEGIIDQVDGTVYVSWVQPRVLGIAQIKSLRDRLDGWVGKVHTALLSVEAETPDLVAS >cds-PLY86100.1 pep primary_assembly:Lsat_Salinas_v7:7:139542239:139543262:-1 gene:gene-LSAT_7X83200 transcript:rna-gnl|WGS:NBSK|LSAT_7X83200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSILGSSSTPLSRFLPKPIFNRTNLFPLSKSTRHSFTKIVNFGGLGLESGSRVSYRSKRGFRGGIMAMADSGPVQKSEDEWRAVLSPEQFRILRQKGTEYPGTGQYNHFYEEGVYTCAGCNTPLYKSTTKFNSGCGWPAFYEGLPGAINRHADPDGMRVEITCAACGGHLGHVFKGEGFRTPTDERHCVNSISLKFTTGN >cds-PLY86125.1 pep primary_assembly:Lsat_Salinas_v7:6:83665889:83666467:1 gene:gene-LSAT_6X59620 transcript:rna-gnl|WGS:NBSK|LSAT_6X59620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPELCAELFDGNSASGNLSYATSQTPLGHGSSSFHVTPLQLMDTPSVNIDEDDFFSNHTTQPPPSAASPSGNPNKRAKPSTPRPRASASPEPPSSASPKAYITADDLALEMQQALRHLTRGPTIPQCLEKLELLELGPVDPLRFAAYHIFGGTMNMREMWVNLPNDPQILRGWIEMTATSLGVLKDGKIVH >cds-PLY95579.1 pep primary_assembly:Lsat_Salinas_v7:6:138164928:138167602:1 gene:gene-LSAT_6X83401 transcript:rna-gnl|WGS:NBSK|LSAT_6X83401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSELARTAVGIIGNVIALILFLSPVPTFIQIVKKRTVEQFSPVPYLATFINCGVWVLYGLPVVHPHSFLVITINGTGLLIETAYLLLFLIYCDRKQRIKMLLIIAGELVFLGVLSALVLTVAHSTKVRSDIVGSIAIVGNIMMYASPLSVMKLVITTKSVEYMPFFLSLFSMLNGISWTIYALIRFDPYIVIPNGLGSLLGITQLILYATFYKSTQRQLAARKANAEMGLAETGT >cds-PLY98509.1 pep primary_assembly:Lsat_Salinas_v7:7:125986620:125986919:-1 gene:gene-LSAT_7X75880 transcript:rna-gnl|WGS:NBSK|LSAT_7X75880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRKGIDPSIIVAPRLLIGVLSVVGPPARGVLVVTITDGCGEMVVVMSGYNGIITLTRFPGGLLPSSVPSVVILRVNDVWFSVACCHTPDDGNDVTWYL >cds-PLY67356.1 pep primary_assembly:Lsat_Salinas_v7:3:153950609:153956348:1 gene:gene-LSAT_3X97780 transcript:rna-gnl|WGS:NBSK|LSAT_3X97780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RIK [Source:Projected from Arabidopsis thaliana (AT3G29390) UniProtKB/Swiss-Prot;Acc:Q9LIA4] MTEDDCARVSMPDSTPASDSSNTKQRKKRKWDQPAESLVTAGVAVPGVLPLLNMGSFVGITHPGVPPASGASLINPLTSSYGHLQQLYPAPSMQQHATALAQKIQPKIQEELIAREIVINDAESSIRYKLTKRQTQEEILKEFCRGKYRPPNAPSDGEKPLYLHVSAGTHLETTADRIKAVDQAAAMIEEIMKQGVLTNGLKVVHPFSTCVFLGFEPDPSLNIIARIRGPNDQYVNHIMNETGSTVLLKGRGSGNPGISNSEEQQSLHLFLSSSNPKSLEHAKLLAENLLDTICAECGASRVSSCKVYGAVPPPPPLLTRVQSELEAASSVTTPGGKTVISHITPPPPPPGTSYNGYGGIYPQTTPLQQVALALKQSTSPIISTQTQIIGNANLEMEKEKRPPHTHKRKFQELPITDLGQPGQLKTHQASGILKQNEVNSKSSTKMESPIPIPTPMTNGMMPPPPRPPKFNSTAKVVEGNIVKTPETKSVPETLISLMEYGDEEEEDDDIEETNEETLTNNSKAKPFWAV >cds-PLY87497.1 pep primary_assembly:Lsat_Salinas_v7:8:97512950:97520804:1 gene:gene-LSAT_8X68180 transcript:rna-gnl|WGS:NBSK|LSAT_8X68180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGDKALSLEEIKNETVDLEKVPIEEVFEQLKCSREGLSSDEGASRIELFGPNKLEEKNESKLLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWSEQEAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVNKNPYDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMAVEVVVMYPIQHRPYRSGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKGVDKEQVLLFAARASRTENQDAIDAAIVGTLADPKEARAGIREVHFFPFNPVDKRTALTYIDERGDWHRTSKGAPEQILTLCGCKEDLKKKVHAMIDKFAERGLRSLAVARQEVPEKSKDSPGGPWQFVGLLSLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGGHKDAAIAALPIEELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGGYLALMTVIFFWIMRDTDFFTNTFGVRSIRNSEVEMMAALYLQVSIVSQALIFVTRSRSWSFIERPGLLLLGAFLAAQLVATLIAVYAEWEFARIKGVGWGWAGVIWLYSIVFYFPLDIMKFAIRYILSGKAWHNLLDNKTAFTSKKEFGREEREAQWALAQRTLHGLQAPEASNIFNEKSSYRELSEIAEQAKRRAEVARLREVLTLKGHVESVVKLKGLDIDTIQQHYTV >cds-PLY66518.1 pep primary_assembly:Lsat_Salinas_v7:4:342135725:342135898:1 gene:gene-LSAT_4X168121 transcript:rna-gnl|WGS:NBSK|LSAT_4X168121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRSPPAFLLATAEASRRSPSLQHRKVSTVVGWSVAVINHQTSTAVSVAAGGGGR >cds-PLY79705.1 pep primary_assembly:Lsat_Salinas_v7:8:125041717:125073728:-1 gene:gene-LSAT_8X86100 transcript:rna-gnl|WGS:NBSK|LSAT_8X86100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIATLASNTLGILRHFRSSSSSSSSSSSLPFVSSYSDSLVFKFHAITSIMDSINSHGSSSFSTSARSSRSGGGRGSSMKESHGRSRGRGGGGGGGGQDRIDALGRLLTRILRHMASELNLKMRSDGYVKVQDLLRLNMKTSANIPITSHTFNDIKEAVRLDNKQRFSLLEENGELLVRANQGHTLMLVETKSLLKPILSPEEYPVCIHGTYKEKLNSILENGLKRMKRLHVHFSFGLPIDNEVISGTRRDANILIYLDIRKAIKGGMKLYISDNKVILTEGFEGVFPVKYFERIESWPDRKLIPF >cds-PLY72209.1 pep primary_assembly:Lsat_Salinas_v7:7:54102513:54111327:1 gene:gene-LSAT_7X38860 transcript:rna-gnl|WGS:NBSK|LSAT_7X38860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNISSSDLIDAKLEEHQFCGSKQCPGCGHKLEGKPDWVGLPAGVKFDPTDQELIEHLEAKVEAKNSNKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQMECDIQGGETRWHKTGKTRPVMVNQKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQLEEEREGELVVSKIFFQTQPRQCNWSERAMNNNIHIEGVNNIYEKNNVSRRESGSGSGSCSSSKEINVAGNATTVTATPTVETYSNMRDEFVGAVMSNYNPMDRMQHFKGVEQFSFIPYRKSFGEVGTTTGEGSLTCDAPNLQRQHQQQQMSLENHQQHYPHQHHVAETAYHVSRPSHAMSAIISPSPLRHTSIILDDDSFHVSRIMENFPECSITNPQETDWLKYSTYWPDPDHHV >cds-PLY82750.1 pep primary_assembly:Lsat_Salinas_v7:2:144548484:144551928:1 gene:gene-LSAT_2X71300 transcript:rna-gnl|WGS:NBSK|LSAT_2X71300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGELTVDFHDNPWSNFSPIPSLSLLTKISIDSFRFSPPSLTFLREKHGEFMLRELVVGLQEQATVYGLGVCAEHGGSVIKPLVGEVISRLNFVIRHPNALQPDNIMAYDNAVYALGKVCQFHRDSIKSAQVYMIDWERSHPDSIEDVILAQGIDATRNHFLSEQTQMMSVDRMAGGNIPSLAAMEQTRMLAHTLAQNTNPKFQNCKFLQFVSKMSHGELTIEDNQVKPASGDWANEYQQQ >cds-PLY64385.1 pep primary_assembly:Lsat_Salinas_v7:4:23717165:23718744:1 gene:gene-LSAT_4X15860 transcript:rna-gnl|WGS:NBSK|LSAT_4X15860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDVGISAIDSPMKNYKVMVAIDDSEFSEYALMWVLKNLGSTIRDSQLVIYTTRTPVDIGYLYASSWGTAELIKELKESEKKAALELLEKAKTTCTDYGIIAEGMTEVGDPKVAICNAVDKLNIQLLVVGSHGRGAVTRTLLGSVSNYCVHHANCPVLVVKKTD >cds-PLY79452.1 pep primary_assembly:Lsat_Salinas_v7:9:100621159:100624433:-1 gene:gene-LSAT_9X74300 transcript:rna-gnl|WGS:NBSK|LSAT_9X74300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALDTINCSQDPIGIFEYDYLLQEDRSFCGFLEKNNHYRCHEQATNVHANNLDYYSSSSVMHNHNNFNVKEHWGTTSSTEDYTGCSAGGDQLISGELSSHCNIMMEPHVAAVATTTVAAVGRRKRRRTKSGKNKQEMENQRMTHITVERNRRKQMNEYLGVIRSLMPSSYVQRGDQASIIGGAINFVKELEQQLQTLEVQKRAMHQPHPNDKNGYSTPPPPFGDFFTFPQYSARSANESGGSATLVNIRPPAMAEIEVTMVESHANLKILSKKRNRQLLKMVAGLQCMWLTVLHLNVTTVDQMVLYSLSVKLEDGCQFNTVDEIADAVNCLLGRIEEEAL >cds-PLY92456.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:470490:471489:1 gene:gene-LSAT_0X11001 transcript:rna-gnl|WGS:NBSK|LSAT_0X11001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATAIRVFGNPITHGTRAQRALAAKNYLNADGKLDQVLLQSNQSIKVAAGKTNAVAAGTTICKVCNATGSTIRYVTAYDWSGKVAGHYPVTIQNGQWAVFEHVGTTGANQGSIGALVYNIDDFCDSMISWNNPWKTTRGGNNTAYCEMNDPGYFDRCDWDEIYAKLIASGSVSKTSMVDYETRVTIDAGGNSPSYKAIFYVHG >cds-PLY71948.1 pep primary_assembly:Lsat_Salinas_v7:3:23637996:23638530:-1 gene:gene-LSAT_3X17581 transcript:rna-gnl|WGS:NBSK|LSAT_3X17581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANAFHLIVTLLAFSHLLFMAEAIFISGNSHRHLLMNDKEQFMASGDTKNQMMNMEETAFLEDEFMSERMDLEKTDYPGPGPNRNHTPKPPQRD >cds-PLY79599.1 pep primary_assembly:Lsat_Salinas_v7:2:165643079:165644343:1 gene:gene-LSAT_2X87561 transcript:rna-gnl|WGS:NBSK|LSAT_2X87561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSKSAKRDVSDDHHQDLMETTMVAQEETSEMRGVIVNVQIPSLIKRRWRPLEDAKLIVHVVAHGRRMWRDISKQLPGRSTHACRVRWANYLSPGSKDSPFSKKEEMDLVVADARCEYELTGRASDSVKSHFRYLMAKKRRRVKLVPSAATSAIFPLTNNNVVYPARSSVLSRTTASSSGKDVSMNSTFTCMACRCNWHSELKYYGQRTFVAECAICRRVFENGGLMVNGKTYMASAGNVQQTLSVNVNLNLATEVYGPQPPSPPLPPPQHSASSTPTPTFIDFLGVGSV >cds-PLY63809.1 pep primary_assembly:Lsat_Salinas_v7:6:23301905:23304074:1 gene:gene-LSAT_6X18200 transcript:rna-gnl|WGS:NBSK|LSAT_6X18200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCREDDQEINSGGGSSLPVVAVISLIVESDGVCWFEIFGGGEAVLERVKERNGQMTLVLVNCLHGSRMYFNWDIHAFRIITMFHSEQFDLAKLAEENHSSEDLDNLCFQFTSPPGQNTLNATDFQLEEDEKDNPFASLGIVKDFSSRSRKMNGEKIHVLSCNVEEPEANEQTLSTPERIRIAGQQFIDSYSSKADEICQLSHPFKVSFSGLSNDETKDIQLLVTLLASAEKTGQKQFNQAKKLIRLCSDMSFNEGNPVERLVYYFSEAIRMKINSELGSVARNGLESMQFDLQEALMNVDSCIFAFHQKVPLSQVCQFSSFHTIIENLTKATKIHVIDLEIRTGMQYVVMMQALASQSECHIDHIKITAIGTRSESKIKDTCKNLADFAKTMNIILSFKIVMVADIMDFNIDLLELDGDEKVAVYAPFILSTLIVKPKSLEYLMREIRKINPCVMVITEVEANHTSPAFVDRFIEALFFYGALFDSVSDCLSNDDLNRKVMESVFYGHCIRNIVAAEGDERTIRHIGVDVWRKFFQRFGMLEIELSDATLNEAKLLIDNFKRGDSCSLLVDRGCFLVGWKGVSIFSVSAWKFI >cds-PLY89538.1 pep primary_assembly:Lsat_Salinas_v7:4:158813647:158815873:-1 gene:gene-LSAT_4X95621 transcript:rna-gnl|WGS:NBSK|LSAT_4X95621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLVGRMVWNEVEKSPSGEGYLVETVKDTYRTVSNKELLSKVRQKTAPVKEDVPPLLGENGKIEMETEGHEVVETPFLEILAAGGKKYIECAGSLDGLSSHVPLYKVMEGNEPCFFTTYFSWDPSKPSVLLLIGFK >cds-PLY91063.1 pep primary_assembly:Lsat_Salinas_v7:5:171696573:171696929:1 gene:gene-LSAT_5X76320 transcript:rna-gnl|WGS:NBSK|LSAT_5X76320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFLCPFTTKWNVSVISALREDLVVHIKSGDDDLGNHTIPYVGNYSWSFCEKVGGHTLFYAYFWWGSKFQSLDLFNEAISKKCYLNVGTEHCYWFVTPKGFYVDAHPSGGGEFIKGWA >cds-PLY69845.1 pep primary_assembly:Lsat_Salinas_v7:6:4346478:4347891:1 gene:gene-LSAT_6X3021 transcript:rna-gnl|WGS:NBSK|LSAT_6X3021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKTASSSTLGPDGLDIAQSFFKPIQGASPPSPTSRSTKISVVGVGNVGMAIAQTILTQGLADEIALVDVNAEKLRGEMLDLQHAAAFLPRTKISASVDYSSTLASDLVIVTAGARQIPGESRLNLLQRNLALFSKMIPPLAAGSPETIMLIVSNPVDVLTYVAWKLSGFPSNRVIGSGTNLDSSRFRFLIADHLDLNAQDVQAYIIGEHGDSSVALWSSISVGGVPILSFLERQQIAYEKHTLEKIHREVVDGAYEVIRLKGYTSWAIGYSVASLARSILRDQRSIHPVSVLAKGFYGIDGDGVGEPFLSLPAQLGRSGVLGVTNIHLTEDEAKQLQESAKTILEVQSQLGI >cds-PLY86212.1 pep primary_assembly:Lsat_Salinas_v7:8:225963036:225965933:-1 gene:gene-LSAT_8X138120 transcript:rna-gnl|WGS:NBSK|LSAT_8X138120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNLRDLGLHLIFLSIFLFATTYTCLGVGNVTVVCSQKERLALLKFKGSVKDASGMLSSWVGNDCCQWERIQCDSLTGTVESLQLGGDHYWGGADYLVSNEVNSSLAELRHLKYLDLSGNNFHGNRIPEFIGSFKQLSYLDLSGSSLSLSFNFVNLINMLPSLSELHLSGCGLDKTFLSSPHLNISTLSNIRHLDLSSNSIEGIIPAFFTNMSSLKVLYLSENMLNSSVPTMPGLLELDLSYNKFKHIEHLGIWRHCHLKQLSALENPLQIEMTDSQQNISECSRHALERLDLGGCLNGTIPEALGRLTNLMHLDLTFSSLTGPIPKSLGRLRYLEELYLSNNRLTGPIPTFIGNLSRIWLSYNHLNGSIPESFGNLAALTDLDLDVNQLTGPIPTSIGRLVSLQTFTISSNLLNGTIPVSIGLLAKLQYLDISHNPLEGVVSEAHFANLSMLEFLNASSNTKLTFNVSHKWIPPFKLVHLDLSSCNIANGFPQWLRNQRKLEWLVLSNATVSGPLPQWLQKMPVIHILDLSHNKLSGSLTNLPNGENVSGHIGGAILLLVNNIFNESIPKSLCRRTDLEFLDLSRNRLIGKIPKCLQKLKGLSTMIFSSNLLSGVIPSYIALDHSSLQWLKLNGNNFIGELPRELGNLRHLRVLDVGDNNLFGNIPHWIGENLTNLIVLRLHRNNFSGEIPESVCRMSKLQILDVGYNNLTGIIPHCLRELNAMVKGAEKWYNGTSDSNENVIQAMKGVDLEYTTILDIVYNMDLSSNKLVGEIPVELTALSMLVGLNLSNNHLSGRIPDNIGNMLALFSLDLSGNELMGTIPPSMAALTFLSHLNLSHNKLSGRIPTGNQLQTLTDPSIFAGNEGLCGPPLSKNCSNQEVSTTTTTTSQKKKYKAADEATKVWLLYLDIVCGFAVGFWGVIGVLLFKKQWRQMLFLFAEETVDKIYVAFMVRVAKMKRGRDTA >cds-PLY84104.1 pep primary_assembly:Lsat_Salinas_v7:6:188033036:188033380:1 gene:gene-LSAT_6X115140 transcript:rna-gnl|WGS:NBSK|LSAT_6X115140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSFPPLLMTMNGSKSFQDRPYRKGGRLISEAMEMSLGNCCFRAERSHGWLLLTCWKREEDDCGKPRLVTIVFCVGFGLDFKNLKTKSRFFFFISYCFFFQLSHLNRCCRRR >cds-PLY88132.1 pep primary_assembly:Lsat_Salinas_v7:6:8367769:8368898:1 gene:gene-LSAT_6X4461 transcript:rna-gnl|WGS:NBSK|LSAT_6X4461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKKVVVKVGVHDDKQKRKALKAVSSLSGIESLAMDMKDKKLTVIGDVDPVCIVGKLKKHWHTEIVTVGPAKEEKKKEGGDKKDVDKKDGDKKDDDKDKKKKEEEEAIKKWVEAYRAYNPCMTQHYCVQSVEEYPNSCVIC >cds-PLY72011.1 pep primary_assembly:Lsat_Salinas_v7:8:148619236:148620986:1 gene:gene-LSAT_8X100200 transcript:rna-gnl|WGS:NBSK|LSAT_8X100200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METTNTKVGVWQRHVAVLAFPFASHPPVLLSLVQKLASAAPTVVFSFFNTGKSNRALFSELSCDNIRPYDVSDGVPEDYVFAGKPQEDINLFLAVAEEEFRRGVKVAEEDIGLRIGCLVVSAFIWVSSQMAEDLNIPWVSFWSAGTCSLSAHFYTDLIREKSAELKGNPLAPDNEVDDLIPGLSKIRLGDLPGGVLFGHLDSPFSTMLHNMGRTLDKATVVLVNSFQGLDIDLTKNLSSKFKSLLNIGPFHLICKEKPSSKFDEFSCSSWLDNQKPRSVAYISFGTIGRLLPDELVALAETLEETRTPFLWSLNKDSMKLLPDGFLERTTANGFGKVVSWAPQVQVLEHIAISVFLTHGGWKSVSESIGAGVPMICRPVFGDQQMNTWMVERVWGIGVRIEGGKFTKDGTRCALEHVLSFNESSKKMKDRIEALKELALEAVRPNGSSNQNFRTLVDVVTSATL >cds-PLY88773.1 pep primary_assembly:Lsat_Salinas_v7:4:168939476:168940363:-1 gene:gene-LSAT_4X100480 transcript:rna-gnl|WGS:NBSK|LSAT_4X100480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQNPTILAKKVWSFIRVPYFMLRKGISKRKLLLDLNMMIKRGKISGKALHNSIFHHHNNRAAFTTNHRSHHLSFTSSPPDEYEFSCTNSPANGDDHPFSLISPQKNHHSNCKPAEDLDMMAVNAVLKAMEMIHSEAVSPALPGYGRSPMVRQLRVTDSPFPLGGVDEDNHVDEAAEQFISRFYNVLRQQSAE >cds-PLY98799.1 pep primary_assembly:Lsat_Salinas_v7:7:23616330:23621467:-1 gene:gene-LSAT_7X18721 transcript:rna-gnl|WGS:NBSK|LSAT_7X18721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGAKITLTGTKSTKIPKPRFNEPNKDWGNQNSLSKMKNLHCRATITFSLFFFFFPFLSLAIDTITPTESLTINQTLVSNGKVFELGFFNTSNNLYIGIWYKQIEPRTIVWVANRDNPITSSSGKLTIGDDRNIVVLNEAETAVWSSNQSVPVVNTVAQLLDNGNFVLRGENDDDPENYIWQSFDNPTDTLLPEMKLGWNADTGVNRFLQSWKTNSDPGSGDFTFKMDTDGFPEVILSKKGTETYRSGPWNGRRFSGVPEMKSAVVINFKFEYNSDEITYSFEMLNSSIYSRLIMSSSGQLQRFTWVESSKTWSEYWFAPRDQCDYYQQCGPFGVCDANSPQVCKCMKGFEPKNKQAWDLRDGSDGCIRTSDLDCGSDGFLPLKNMKLPESSKAIVNQTINLSECREICKRNCNCTAYANTDITDGGSGCVIWELNLVDMRQYADSEGSGQDLYVRVAASDLDQSPTSSSTIGNGSSNNVVKIVAITCSISAVLIILLILFYLRRKMRPLKKSKTIRKGPHERSQDFLMNDGIIVPSRKDYSGETTNEDLELPLFDFTTLVVATNNFSNANKLGQGGFGCVYKGVLTEGEVVAVKRLSRTSGQGIEELKNEVRLIAKLQHRNLVRLLGCCIEVEEKLLIYEYMENKSLNTFLFNIEKSAQLNWQMRFNIVCGIARGLLYLHQDSRFRIIHRDLKASNILLDKEMNPKISDFGMARIFGSDQTEAETKKVVGTHGYMAPEYAMDGLFSIKSDVFSFGVLVLEIVSGQKNRGFYYASNQLNLLGHTWKLWKEGKALELLDESIGAEFSNDEVLRCIQIGLLCVQEQAEDRPTMSKVMLMLSSDTIQLPQPKYPGFSLGKRHFEIESSSKQDESMSINEVTVTILDGR >cds-PLY79846.1 pep primary_assembly:Lsat_Salinas_v7:8:15549852:15551988:-1 gene:gene-LSAT_8X13460 transcript:rna-gnl|WGS:NBSK|LSAT_8X13460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVRIYIYAYSLCVLQSLQRSSFVEQQRNRKNVITSSYGSEENGPPDGFQFTPTKIFMEEAIGAEYGEGFETFRPDGPLKVDVDFLNDRLQEGFLKRIRYAMKPDEAYGLIFSWDNVVAGTQALKLSAWKQLAHEEGKEIPDDVHRLLLHGAPDHVLHKVLLWGDEACELERLKLKLSQLYSNNLLKLSEPLEGLKEWLDAVSTARIPCAVVSSLDRRVMVEILENMGLMTYFQAIVTEEDGMDSMAHRLLSAAVKLDRKPSKCVVFEDDPRGITAAHNCTMMAVGLIGAHPAYDLVQADLAVGSFNELSVINLRRLFAHTGSTFMELQKQVVEKTPPRRRLTIDTIY >cds-PLY79396.1 pep primary_assembly:Lsat_Salinas_v7:7:98620911:98624044:-1 gene:gene-LSAT_7X65560 transcript:rna-gnl|WGS:NBSK|LSAT_7X65560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPKNQYLHVLDVRSFGSVGDGVTDDTQAFKMAWDSACQNDEPTILLVPKHYTFLIQSTIFTGSCGNRVVFQIEGTITAPDGPSSWRKGNSMRQWLVFYRVNGMSLQGGGVIDGKGEKWWNLPCKPHKGPNGTTLPGPCDSPVAIRFFMSTNITVKGIKVKNSPQFNFRFDGCHGVYIDSLNIKAPSLSPNTDGIHIENTNDVKIYNSLISNGDDCVSIGAGSYDVDIKNITCGPSHGISIGSLGMRNSRACVSNIVVMDSVIKHSDNGVRIKTWQGGLGSVSNVRFINIHMDTVRNPIMIDQYYCQTKLCPNQTSAVSISDIVYERIRGTYDVRSPAMHLGCSDSVPCRNLTFSEVELWPSQGQIMSSPFCWNAYGDLQTLTVPPIFCLLEGNPISLPTADVDQC >cds-PLY77727.1 pep primary_assembly:Lsat_Salinas_v7:9:19438192:19439611:1 gene:gene-LSAT_9X14620 transcript:rna-gnl|WGS:NBSK|LSAT_9X14620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNTTARRQRILIRALYTGVLIVLARTVYLIITTAPTTTNMTTPHESSWKADTDEQLVKYYSSIFQDLISDGILTVDSRTLTIGAESPQYIAALREAGIAYSKAIKKNVRFPFMNNSFDLQFSAHSGLDSSAYPGELSSELTRTLKPEGYLIIHTESKDSYSLNSLLDLFDSFKLIRSREVPVQHWSIPRIREVILKKQNGILRRRRNLAGSCSVPAYKKELIQNSEPLIAEEPMKPWISLKRNLKNIKYLPSMADISFKTRYIYIDLGARNYGSSIGSWFKKLYPKQNKPFKIFAVESDKRFHQEYKSKKKITLLPYAAWVRNESLFFEINRQPNIKNEDKGRGMGRAQSAQTSTSFLGDLNKIQGFDFANWVKNSFTEKDFVVVKMDIEGTEFDIIRKMVETGAICLIDEMFLECHYNRWRRCCKGERSSKYQNEYNECMELFSSLREKGVLVHQWW >cds-PLY85883.1 pep primary_assembly:Lsat_Salinas_v7:5:96575170:96579375:-1 gene:gene-LSAT_5X48621 transcript:rna-gnl|WGS:NBSK|LSAT_5X48621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYSSNKSFADAEENLLTCLADLFTQITSQKKKTGVIAPKRFVQRLKKQNEIFRSYMHQDAHEFLNYLLNELVDILEKESRAAKSDPESCSSSEQIPNGIKTSHINGHVREPLITWVHKNFQGILTNETRCLRCETVTARDETFLDLSLDIEQNTSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPQILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTVEDTDAEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYERVDVGTTS >cds-PLY70568.1 pep primary_assembly:Lsat_Salinas_v7:1:87895377:87899564:1 gene:gene-LSAT_1X77480 transcript:rna-gnl|WGS:NBSK|LSAT_1X77480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFSNDPVSMATVAPGAPVTSERPIRSDLESSIPKPYMARAMVAPDMEHPDGTADHNARGLSVVQQHAAFFDQDNDGIIYPWETYTGCQALGFNIISNVLFTFFTHLLFSYPSLPGYIPSLLFPIYIANVHKCKHPSVSGTYDTEGRYVPANFENMFSKYGKTTPNKLTFKEIWSMTSGNRVSLDIIGWILNKVEWVAVYLIAKDEEGYLSKEAMRGVFDGSLFDKIAKRNATKGKKRR >cds-PLY72729.1 pep primary_assembly:Lsat_Salinas_v7:4:372672816:372675643:-1 gene:gene-LSAT_4X183520 transcript:rna-gnl|WGS:NBSK|LSAT_4X183520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHTIRFLQLIRAGTTTKSLASVLPPRIPLKFQPFKSLMESFESTSGRCSRPSIIDWKLHVQRYFHTTKSCNIGHEFSMGRLLGVSAVLGSFFRRPRFAHCIDGYASSVDDHNSMGMLGESESDDNPHYFMIFAKKLMVPIALLLIVWMNWNYPVALGVKVVLTLLSTKPSPFSVYVFIEQLQQQYRGQHPFLHKFKSLYAKKVEVDDYTVLCIAKVEIGDQKYTLLGILGGWWVFEMTSLRSALSGFRTKTLEILQTAVSSDS >cds-PLY73182.1 pep primary_assembly:Lsat_Salinas_v7:4:267380689:267381795:1 gene:gene-LSAT_4X138000 transcript:rna-gnl|WGS:NBSK|LSAT_4X138000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKPSTSSKAISSSHAQPNDYKIGSCNYFDNFDLSHYDSKLCGDVNETDKEEYFLETPLKRYTPNDNSPINKFRLPITILRLIPRNSKVLKRYLALTNALLKPTIDTCAFVVYLPSSEASPATKGSGSSTLKRKVVAVPATAIKMSKTAVYDSSGTNLTSPYEIAPMTTFHISSLQSKGRNVHARRKGQRSFGSLSN >cds-PLY81265.1 pep primary_assembly:Lsat_Salinas_v7:4:305099273:305099560:1 gene:gene-LSAT_4X154100 transcript:rna-gnl|WGS:NBSK|LSAT_4X154100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTVGYTTLTKILESLYKLPTSPEYLYQEESIAQRRSWGENLIYYTGIGYLSGAVVGAGKGLVEGVKASEARDTMKLRVNRNELHGGWISD >cds-PLY63058.1 pep primary_assembly:Lsat_Salinas_v7:8:75205587:75207774:-1 gene:gene-LSAT_8X54121 transcript:rna-gnl|WGS:NBSK|LSAT_8X54121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTIRSQSSVSSSKIFSSLRSSSINPSSSSSRSSVFVKTVFTSTMNHSVKTSLKVPIVSASQSTNGSHFSSSSGGDSFFRSVLSSMEQVYLNRNPTAKAILELVGSTDANQICYDHIAYRTFGVNGYGIDSISKFFLDFGYTQREELRFPKKKLKALWFSPPNVSSINGGSGVHGPLPRIFISELLVDQMSPQAQEIIRKYIGLSGAGHKHTVLSSALGCLTWPKPSHYEFEQLASESEYAAWTLVNGYMVNHVTISIHRLKSRLKNIKNFNEFIEDKGFKLNSEGGVLKVSPDALLLQSSTVADSIMFEFSDGIKELVPCSYIEFAERIVLPEYKDLPEDKVQEVHRRDGFEVGNADKIFESTSMDQLNRRVA >cds-PLY91855.1 pep primary_assembly:Lsat_Salinas_v7:8:202005583:202006432:1 gene:gene-LSAT_8X128381 transcript:rna-gnl|WGS:NBSK|LSAT_8X128381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLILCKSPFWVLMTFCLSLQLMLGFTRFVLLKKETRFMYLQLLDNLLVNSISYMDVMWLEALEQPKKLAIKFSANYFLIYLRSCKCNHGSTTKSSDAQPRDKFKCDSGSLRGQGWKYGSGFMDGIFPVLSPDAQQILNFMKKETNVNKVWDALFLPLTLHGMISSVLLFNFVSIKDGIQSY >cds-PLY89115.1 pep primary_assembly:Lsat_Salinas_v7:4:145712233:145715193:-1 gene:gene-LSAT_4X88520 transcript:rna-gnl|WGS:NBSK|LSAT_4X88520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVIKEKGKEKEDELMMGEVMTVNSVINRIQKVFGDMDELHENESAMQMTDSNPTYHPHTHYIQEQEHEVIHDLSNGNMIEHEDQDDIGSDPGNLSSDNHNAMMARGSIEDTNQLTLSFQGQVYVFDSVSPEKVQAVLLLLGGRETSSSMPYMSLTHYQQSNRDLGSTPQRLNVPQRLASLMRFREKRKERNFEKKIRYTVRKEVALRMQRNKGQFTSSKGVHEDSAATSWDSNQGGWHSDGSGSQHQETACRHCGINEKSTPLMRRGPDGPRTLCNACGLMWANKGTLRDLSKAVAATPVGKSPSLNQRHEDENGNMDSEQTVVTGNSHDS >cds-PLY65173.1 pep primary_assembly:Lsat_Salinas_v7:6:110008866:110012705:1 gene:gene-LSAT_6X65560 transcript:rna-gnl|WGS:NBSK|LSAT_6X65560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLTFSFKTKETLDDSSSTGGPTFESLETEIFEDRLLQGCSWCDDGEIDVYISRRKNINDGEEAKGSVKTSISIRSFPPVLPPPNVIGALHIGHALTAAIQVKIIKCLVENIMVNISFNQLGGLCTLCFLEEVDNLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVPYCFLEFFSNFDWENFCVNLWGLVPISSLPDVTRNSVGRELQPWIAKDDDVGEEMWRVNQRIVRAILHAD >cds-PLY92207.1 pep primary_assembly:Lsat_Salinas_v7:6:75772654:75791397:-1 gene:gene-LSAT_6X53141 transcript:rna-gnl|WGS:NBSK|LSAT_6X53141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Calpain-type cysteine protease family [Source:Projected from Arabidopsis thaliana (AT1G55350) UniProtKB/TrEMBL;Acc:F4I0A4] MEGDDRDVMLACIISGTLFSFLGLASFAILWAVNWRPWRIYSWIFARKWPSFLQGPQLGILCGFLSLCSWLVVISPIVVLITWGCWLILILGRDIIGLAVIMAGTALLLAFYSIMLWWRTQWQSSRAVAVLLLLAVALLCAYELCAVYVTAGSTAPQRYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRSAYKFAYSDCIEVGPVACLPEPPDPNDLYPRQSRRQSNNSFRVSHLGLLYLGSLLVLLVYSILYGLTAKESNWLGAITSAAVIILDWNMGACLYGFELLQSRVIALFVAGASRVFLICFGVHYWYLGHCISYAVVGSVLLGAAVTRHLSVTNPLAARRDALQSTVIRLREGFRKKEQNSSSSSSEGCGSSVKRSSSAETGHLGNNWNHAEGINSEKSLDSGRPSVVLHSSSCRSIVQEAERNFDQNSDESTSNQQTLDLNLALAFQEKFNDPRITSLLKKRAREGDIELTNLLQDKGLDPNFAVMLKENGLDPTILALLQRSSLDADRDHRDNTDIMISDSNGVVDNTLPNQISLSEELRIRGLEKWLRIFRLLLHHIAGTPERAWVLFSFVFIVETVIVGHFRPKTVEVIGATHQQFEFGCAVLLLSLVICSIMAFLRSLQAEDMVMTSKPRKYGFIAWLLSTCVGLLLSFLSKSSVLLGLSLTLPLMVACLSVAIPIWIHNGYRFWVSRVDYGGQVGNYRTLWMKEGVVLSICISIFIGSVLALGAIVSAKPLEDLGYKGWTGGDNSIKSPYASSAYIGWAMAAVIALIVTGLLPIASWFATYRFSLSSAICVAIFSVVLVAFCGASYLEVVNSRDDDVPEKADFLAALLPLVCIPALLSLSSGLLKWKDDNWRLSRGVYVFVIIGLLLLLSAISAVIVIIQPWTIGASFLLLLLLIVLAIGIIHFWASNNFYLTRMQMFVVSFLAFLLALAAFFVGWRQGRSFVGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSVAFIVLYGIALAIEGWGVVASLVIYPPFAGAAVSAITLVVSFGFAVSRPCLTLEMMEDAVHFLSKDTIIQAIARSATKTRNALSGTYSAPQRSASSAALLVGDPTVTRDRAGNFVLPRADVMKLRDRLKNEELAAGSIIRKIKHGICFQHDSTNDVGYRREMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLRLFLDSIGFSDLSAKKIKKWMPEDRRQFEIIQESYIREKEMEEEMLMQRREEEGRGKERRKALLEKEERKWKEIEATLISSIPNAGSREAAAMSAAVRAVGGDSVLDDSFARERVSSIAKRIRATQLSRRALQTGIPGAVCVLDDEPVTSGRYCGQLDPTICQTQKVSFSMAVMIQPESGPVCLLGTEFQKQICWEILVAGSEQGIEAGQVGLRLITKEDRQGDRQSTVSKGWNIGAACIADGRWHTVTVTIDADLGEATCYLDGGFDGYQTGLPLRVGNGIWEPGTDVWVGVRPPTDVDAFGRSDSEGAESKMHIMDLFLWGRCLLEDEISALPAAMGSTDYNMLDLPEDNWQWSDSPPRVDEWDSDPAEVDLYDRDDVDWDGQYSSGRKRRSEREGVIVDMDSFARRLRKPRMETHEEIIQRMLSVELAVKENLLAKGEAHFTDQEFPPIDRSLFLDPDNPPSKLQVVSKWMKPREIVTENQLGSPPCLFSGDANPSDVCQGRLGDCWFLSAVAVLTEVSRISEVIITPEYNEEGIYTVRFCIQGEWVPVVVDDWIPCESPGKPAFATSKKGNELWVSLLEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSAQAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHISSSGIVQGHAYSLLQVREVDGHKLVQIRNPWANEVEWNGPWSDSSPEWTDRMKHKLKHVPRARDGIFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYDTWHQNPQFRVRATGSDASCPIHVFITLTQGVSFSRTTAGFRNYQSSHDSMMFYIGMRIIKTRGRRAAYNIYLHESVGGTDYVNSREISCEMGKFLVDLACHGDKLFQTTRTIGVISKIDQASSDPKVLAAVQALLLGQGPRVCL >cds-PLY72699.1 pep primary_assembly:Lsat_Salinas_v7:6:27181980:27183099:1 gene:gene-LSAT_6X20700 transcript:rna-gnl|WGS:NBSK|LSAT_6X20700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSFKVWAYKEGELPIFHGGPMNNIYSSEGQIMDEIESQKSRFLAQNPEEALVFFLPVSVVSIRHYLYRSHADYDRRIIQDVVTDYIGVLSHKYPYWNRSSGADHFFVSCHDWAPDVTATNPKLYEHFIRVLCNANSSEGFRPERDVSLPELNIPYEHLGPPLIGQPPENRSILAFFAGGSHGQVRKYLFEIWDGKDEEIQVFKYLPKTLNYTELMGRAKFCLCPSGWEVASPRIVESISSGCVPVIVSDHYVLPFSDVLDWSKFSVHVPVKKIPEIKKILEGVGIDEYIKMQKMVMKVQRHFIIHRPSRAYDLIDMVLHSVWLRRLNVKLS >cds-PLY63424.1 pep primary_assembly:Lsat_Salinas_v7:7:153246026:153251552:-1 gene:gene-LSAT_7X90580 transcript:rna-gnl|WGS:NBSK|LSAT_7X90580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLDKNQAQGDADKEITTVKAKVCEKPSKTWFGTCKDTVKCDKQCIEWEGAEHGACHQREAKYMCFCYTKCGAKASPTPPSTAPPGNGAPTPNPPGPPGGGQPPPAEGGQPPPAEGGQPPPAEGGQPPPAEGGQPPPAEGGQPPPGI >cds-PLY90083.1 pep primary_assembly:Lsat_Salinas_v7:6:16692986:16694289:1 gene:gene-LSAT_6X8660 transcript:rna-gnl|WGS:NBSK|LSAT_6X8660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVWRILGRIATSLLFPAFESWLVAEHNKRGFEQQWLSITFSKAIFLGNGLVAILAGLFGNLLVGSLAMGPVAPFDAASIFLAIGMAIIISSWTKNYGDSSESKDLMTQFRGATVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNGEDIPHGFIFATFMLSSMLGSSLASRLLAHTLTYIDIVHTLLKGLEKIIASADIPMFVCGDFNSVPRR >cds-PLY81760.1 pep primary_assembly:Lsat_Salinas_v7:3:31930181:31931617:1 gene:gene-LSAT_3X21600 transcript:rna-gnl|WGS:NBSK|LSAT_3X21600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFGDSSLAKSIRITKLMRKDHYNLLSLLRKHHCNRRSIQQIHAHLVTTAAIIQTPPPPISLWTTILHHYSLGNSPDEAFLLYKHHLHPTTLFYGDSFTYAFLIKSCANSHLPMSGSQLHSLTFKFGFESHVYVQTALVNMYVVCGHLLECRKVFDEMPERNLVTWNVLVTGLAKLGEIVLARSFFDIMPIKNVVSWSGMIDGYTRANQPKEAISLFRHMLSLNDNTKPTEITILAVYPAIWNLGSLELCQSVHAYGEKNGFYMIDIRVTNALIDVYSRCGSVESALRVFEGITSEMRNLVSWTSIISVFAMHGMAREAMDSFKRMEEIGMKPNRVTYLSILSACSHGGLVEEGLVFFKKMVDESGIVPDIKHYGCLVDMLARAGRIEEAEKVALKVPKDLDNDVIWRVLLGACSSYNNVETGKRVTRRIFEKERGYSSDYVLMSNIFSSVGDYVDSEKVRRKMDQMGVCKLPGRSSI >cds-PLY91277.1 pep primary_assembly:Lsat_Salinas_v7:3:78186209:78194129:-1 gene:gene-LSAT_3X60101 transcript:rna-gnl|WGS:NBSK|LSAT_3X60101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSPIPNFAFASLEEANALHKWKATLQIPNNSLVSSWIPLPLNSNLSVPCTSWFGIVCNADGSIKNLNLSLSGLKGTLLQFPFSLLHNLSHFDLSVNNFFGPIPPEIRLLSRLVYLDFSENNFSGVIPPEIGTMLSNLEIFYLNGNNLSGSILLTKIYTSCIFPILYLLCQIPSSLGDLTSLNIFYLDHNQLSGPIPIEVGNLKSLTDLNVSHNQLSGSIPSSVANLSNLQNMYLFKNKLSGPIPIELGNLKSLIDLRVNHNQLIGTISSSLSNLSNLQHMYVHHNKLSGPIPIKLGNLESLTVLGIGNNQISGSIPSTLANLSNLQYLYLNENKLFGTIPQGLGSLELFHLQMYNNQLSGHLPEDLCNGGKLQLLIVNGNQLTGSISRGLKNCFSLIRAQFDQNQFSGDISNSFGVYPILNYLNVSHNNFHGQLSQNWSKCKNLTSLVMAYNNISGSIPPEFANSTQLQRLDLSSNSLVGEIPREFEKTKSMLYLYLSDNQLSVEEADHNGFFNEVQALTNIRHRNIVKLYGYCSHARQSFLIYEYLEKGCLESILRSGVLAKELDWLKRVNVVKGVANGLAYMHHDCSPPIIHRDISITNILLDSDYEAHISDFGTSKLLKLDSSNWTSVAGTYGYIAPELAYTMVATEKCDVYSFGVVALEVVMGKHPGELITFLPTLSVDYLVPTNVGDSWMPPPSSQVEKQVQLVLRISRTCLNSNPHERPTMRQVSNLLMKDLR >cds-PLY63619.1 pep primary_assembly:Lsat_Salinas_v7:4:131164418:131166207:-1 gene:gene-LSAT_4X82421 transcript:rna-gnl|WGS:NBSK|LSAT_4X82421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFLHRLLNLIAPIVTLNALFFLTPLYCVFKVLCYINRSISKEDVTGKVVLIVGASSGIGEHIAYEYARRGSYLVLAARREKSLRDVAETAKLLGAPEAIAIPTDVSDIDDCKRLIDETIKRFGRLNHLVNCAGVTPMCMVEEITDITNFTSVMDTNFWGYVYMTYLSIPYLRKTKGKIIVIASSASWMPAPRMSFYNASKAAVVSFYESLRLELGSDVGITIVTPGLTESEMSQGKIMNKDGEMIVDQDMRDAEMSIMPIELATASAMAVVDGGCRGDVYMSEPKWIQTTAYWVALFPEMVEWVNRWFLWVKVGASPLDAPSKKLLDVPGLRRLAQPDSVRSPEIKKG >cds-PLY76679.1 pep primary_assembly:Lsat_Salinas_v7:MU042758.1:24564:29378:1 gene:gene-LSAT_0X32500 transcript:rna-gnl|WGS:NBSK|LSAT_0X32500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNVTADEDMLMALAYQKYKSRDFRLALDHNKAVYERNPMRTYNLLLMGAIYYQGFTIGKGLTDPVVQYQAYTDYGVDMGIIMDSYYKQIDKKIPLCKTAIKLFGTDRIVACNTIFSSIKSIARNINHYGIRKECEGRLCYDFSNMESFLAKKSVKEALGVGDIEILSSEIEALKFIKRFDLTLDGSYADITSLRLAFPAASASSVDPLEEQKEIAMALRFSGTQKATVLRHHPSDSIAAKLPSNARGLPSPAASAAVMRHAQLLFSGPAVHYLSEKKLKEDKEETCAGSDNSNNLLPCPAVSQSSCIEVILLERKLSKEIVNGQFTHWFLLMLYMFRFL >cds-PLY68699.1 pep primary_assembly:Lsat_Salinas_v7:7:85597264:85597674:-1 gene:gene-LSAT_7X58461 transcript:rna-gnl|WGS:NBSK|LSAT_7X58461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYTIVVDETMDSLATLQYLAPYTGAAMAEYFMYRERDTSIIYDDPSKQAQSYRQIPLGREAYPKDVFYLHSHLLERDARLSSLLGEGSMTALPIVETQSGDVSTYIPTNVISINNGQILLFVDLFNVGI >cds-PLY68132.1 pep primary_assembly:Lsat_Salinas_v7:8:232056802:232059137:1 gene:gene-LSAT_8X140480 transcript:rna-gnl|WGS:NBSK|LSAT_8X140480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEHICAFKDIWRKQKNKSYVHLAKKCHEAGASKEDLILLASLGCPFVVTGPRGSRIDNCGIISTCETSTQEL >cds-PLY99449.1 pep primary_assembly:Lsat_Salinas_v7:6:20555190:20556201:1 gene:gene-LSAT_6X16401 transcript:rna-gnl|WGS:NBSK|LSAT_6X16401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTEYKEKENVSNRNSSFNYKELVFRLLALSLTLVAAVVLGVDKQTTTVSLTLVPSLPPVDLPVTAKWTDLSAFVYLVIVNASACAYAAISLVLLLVTRGGNKLVSLILLMLDLMMVVLLFSAIGATGSVGLIGYQGNSHVQWEKVCNVFDKFCHQVAIAVFLSFAGSITYLLLILLAAVTLHNK >cds-PLY97294.1 pep primary_assembly:Lsat_Salinas_v7:3:177186832:177188251:-1 gene:gene-LSAT_3X106860 transcript:rna-gnl|WGS:NBSK|LSAT_3X106860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVDVDNTLIRYLRDNKPIERFDLMIDIENQESASHAEKWIGTKNKTCLKEFILSTNLYGASFTLPDELLLCENLTKIRVSATKGIHSVLMTTSQHQHPVIINCFSLRELHSDGVRISEEILHDILSSCSLLVKIELLNSCEGVKTIKFSGDTLPSLLFPVSSLKRTTVTLSLRLPVDANFFLKMRETLMLSRVFYLDITSEKSMLPLDIDIDDLKTRLRFPPATNVQQLSFGTIGDECLWERSPFFDAFFEICHPKHVFAKPDAWLRQNNHFCRIMLREVLEKKTTTAKWPHHLKHVQIKQPLHKIWKTLTNSKKSFLDGSTPGVWLQFKLQWR >cds-PLY65278.1 pep primary_assembly:Lsat_Salinas_v7:1:152083906:152093499:-1 gene:gene-LSAT_1X106381 transcript:rna-gnl|WGS:NBSK|LSAT_1X106381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase QRT2 [Source:Projected from Arabidopsis thaliana (AT3G07970) UniProtKB/Swiss-Prot;Acc:Q9SFB7] MHLHKHLLILLIIFASTLSCSGRKSNHHRPHAKPQLVNVDEYGAIANGTDDSEAFMKAWKNACDSPSGSEVVVPENKVYHLKPITFSGPCKPNFRFKAVTFKNCKNISVNNIRIKNPQQIHLTFLNSINVKASNLRLIAPGNSPNTDGVHISDSQNVHVINSVVKTGDDCVSIVNGSRNIVISKIKCGPGHGISIGSLGKNNSESKVSNILVDKAIISNTTNGVRIKSWQGGSGYARNIKFQNIIMHNVTNPIIVDQNYCDRKEECEEQNVVYRNIKGTSHSEVGINFDCSKTFPCQSILLENVLLSREGNEGDMKASCSNVMFGTRGAVTPRCE >cds-PLY68216.1 pep primary_assembly:Lsat_Salinas_v7:8:267567301:267568717:-1 gene:gene-LSAT_8X154681 transcript:rna-gnl|WGS:NBSK|LSAT_8X154681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDSQGPLKALVVASVINGVGDVILFLYLSYGIDGATWATMVSRVVAGLMMIEALKDKGYNGRGEQLSQTAQAFMPELIYGAKRSLSKVRMLLKSLVIIGASSGLILGAAGTIVPWVFNSYNEGRASNFRKCFRASSGSLMMSY >cds-PLY91429.1 pep primary_assembly:Lsat_Salinas_v7:7:133071942:133074256:-1 gene:gene-LSAT_7X80540 transcript:rna-gnl|WGS:NBSK|LSAT_7X80540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDFIKNQTFAIHAIAGAGAVTLGTVATYPFETIKTLVQVGSGPDKQLSAAQVFDRVRKLSGNSGLYNGFGWLALGRILGVGARFGTYELLTAFYKDGRKDNYVYVSEALLAGIASGAMESLITSPFELFTIRAQVTTASRIPNSEKAVVSSSIAKLLRGYSPDIKALNHSVGLLSTLNPNHANLTGSLKEYPWMMTGTGRAPPVYHVTKPLDVVSLEGWGALWRGIRSGVFRDSIFGGVFFSSWQFLHRAMLDWKAVGMDPIPSSDEDIGPLHPLAVSLAAGFSGSIAAAASHSFDTAKCRSQCLVLPKYITMERKFLKWSLPGKRFERLTGIHPRDRNILFRGIWLRMGRSGIASFIFVGSYFFALDRLVSR >cds-PLY76649.1 pep primary_assembly:Lsat_Salinas_v7:4:117560285:117560843:1 gene:gene-LSAT_4X74080 transcript:rna-gnl|WGS:NBSK|LSAT_4X74080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPSPNSINSGEDINYNVLHSIFCDCGLKITENQTEMKRLKEQLGQEYIVCRIDHISLQHKLDDHDQKFKVVGVALGGMMLGMLLLLVVVLNFLVMLWRCSCCWLLCSVTDPLKVQ >cds-PLY71764.1 pep primary_assembly:Lsat_Salinas_v7:3:46583085:46588186:1 gene:gene-LSAT_3X34581 transcript:rna-gnl|WGS:NBSK|LSAT_3X34581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTKRAYKLQEFVAHTSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHSSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISLDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNSVKLWDLTAGKLLHDFKFHEGQVQCIDFHPHEFLLATGSADKTVKFWDLETLELIGSAGPETSGVRCMTFNPDGRTLLCGLHESLKVFSWEPIRTHDAVDVGWSKLSDLNMHEGKLLGCSYNQSCVGVWVVDVSRIEPYSISKINGQQEQSGNLSILSDNTSKSSLNRLSISQSSDSMKEPKSLGRLSVSQNSEQTNKDSKTFSSTGNAPAISQKMYPNVVQKISPPASVTVPSAPATSKRSVTKNQSTQNVSTFNRLDVAPVIVPRNNSRSEQSGELRREGISGRLMPTMVLSKTSDSRKFSNAKDEGEKPLASGHSVSDLSDTESSRVADRSGFTSMKESGFGNPAKETSFEDDRSSVSGKAEQNVVTESLPSYQPEIYEGRLQRPHRDNYSMESKRRGRTRTLVSTWDRKERAPYHDTLPSRSLSDSVSVSVSAVNTLPNPVKQLSESSAKEAEPLTEEDAIVDIMGRHDQFVSSMQSRLAKLQMIHRCWDRNDIKAAIRAMERMADHSVTADIVSLLTEKMDTITLDICSCLLPLLTNLLESDMDKHQGLSLELLLKLVRTFGSLIYSSLQASASVGVDIEAEQRLERCNLCYVELEKVKRCLPPLTRRGGSIAKSAHELNLALQEVS >cds-PLY90234.1 pep primary_assembly:Lsat_Salinas_v7:8:14595478:14595696:1 gene:gene-LSAT_8X10700 transcript:rna-gnl|WGS:NBSK|LSAT_8X10700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVGMFGDRSEEYHIEGRFSQRNCTIYDSTKETMAEIKRKVNASTNVMLGKDVFSLILKPGWGRRSGGGVCF >cds-PLY74302.1 pep primary_assembly:Lsat_Salinas_v7:4:345488161:345488490:1 gene:gene-LSAT_4X170641 transcript:rna-gnl|WGS:NBSK|LSAT_4X170641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGGTQKPVFDGFSRQGSLHNLTLDEVQQQLVDLGKPFSSMNLDEFLKNVWCAEANQGINNGSSPDYSELTQLASASSLPRQLSLTFTKDLTKKTVDEENLTFGRGK >cds-PLY71505.1 pep primary_assembly:Lsat_Salinas_v7:2:122467822:122469626:-1 gene:gene-LSAT_2X56400 transcript:rna-gnl|WGS:NBSK|LSAT_2X56400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLWRLQKTLQTLSFSSSASFSFQFKSLHSPLSLRPSTSRRNGFVVKVLLCCFWDEIKHIRHAIAFLVTQQKRKRTLHEISYDLSPL >cds-PLY85905.1 pep primary_assembly:Lsat_Salinas_v7:2:190115478:190117467:1 gene:gene-LSAT_2X112180 transcript:rna-gnl|WGS:NBSK|LSAT_2X112180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMPRKTKGRQKIQMTRMAKESNLLVTFSKRRAGLFKKASELSILCGVEITIIVFSPGKKVFSFGHPSVEMIVDRFLSQNPPPSSSTSQLMDAHRNAKIHELNRQLTYVISQSEFEKNKSEHLSEIRKAGQDNHWWEAPIENLRLPELEQLKVAMEALKKNIGEQSKRHLVEAANSMPIVPISGSMGIAGDSVEDKGSGLGLSMTSHGVWPFQSLNQASSSRHLEIDGSNSRRF >cds-PLY87300.1 pep primary_assembly:Lsat_Salinas_v7:4:197163460:197167815:-1 gene:gene-LSAT_4X113461 transcript:rna-gnl|WGS:NBSK|LSAT_4X113461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDYVNNPANMHNFSFINMKAFVNLKDSGDDARRAIFGDTIFGYFIDVPRLQGDALLFHKMFLHQIRHKMFLHQIRPDPVLSPDGIKRLYFRVYENGLWARRVLFDNRLQFWGVSKKHWEKRVGKIIKHFVWGSYLWDFTYVDLEDTWNKIHNYLSLSERRQTLKYSVSGFTAPIRIWIYEMIPAVRACGFVLRKNKDLPRMKRWSGTKKLKWVDVNKIWSKIQEGQPPRQNMLPGDGEMTSCYYMSFQEYVYGEGKAVPSPVRDHFRRQDESSSSMSSSGRSHGRGRGSGKHNLDEVLKRLHALEQHVFMNRQPTEVFVEEVNTEQFWNDITFDDPIVSQRKYDEQVVQDEVMNKNNTTENVFGDIQDDKVLEERNEYAGNKFDDDVFDVNDYSEVKEEWEERNDNAGNKFDDDVPDEDEIIITGIVDYFDEYDGKEVTLDKLRTRKPSQYLCPPYTELHTTPKQKRRTKKKVDIKSTSPVPPPVFGVAHDFSMLRLQPYVAGGEIEIFGAHCLGIHTTDGWSQRI >cds-PLY95082.1 pep primary_assembly:Lsat_Salinas_v7:1:94277904:94279387:1 gene:gene-LSAT_1X77620 transcript:rna-gnl|WGS:NBSK|LSAT_1X77620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLIKPYLYCYDSVASLPTALTSTLSLLAAVCRRRSCEATGRRPSIATATPSHPTPVHVILWCSRMTTIGTTMGQQPNTTNKPESKNATKIQNSASGFKRWGRKGPFIRYGLPMISLTVFGALGLGHLLQGSKDIAKVKDDQEWEILETKKALSRTGPIEAYKPKNLSIEEELKALQKSVDINNYEYKKIPKPNEGT >cds-PLY80448.1 pep primary_assembly:Lsat_Salinas_v7:4:175882004:175888094:1 gene:gene-LSAT_4X103500 transcript:rna-gnl|WGS:NBSK|LSAT_4X103500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGASLPPKEANLFKLIVKSYETKQYKKGLKAADAILKKFPDHGETLSMKGLTLNCMDRKSEAYELVRLGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLTLKPNHRMNWIGFAVSHHLNSNASKAIDILEAYEGTLEDDYPPDNERCEHGEMLLYKISLLEECGLIEKALQELHKKEFKIVDKLSYKEEEVSLLVKLNRFEEGEKLYRVLLSMNPDNYKYYEGLQKCVGLHSKIGQYSSDEIDQLDTLYKSLAQQYTWSSAVKRIPLDFLEGVKFRDAADNYVRPLLTKGVPSLFSDLSPLYNHPGKADILEKLLLDIEESIKTTGGYPGRSEKEPPSTLMWTMFYLAQHYDRRGLYHIALTKIDEAMQHTPTVIDLYSFKSKILKHGGDFTAAAALADEARCMDLADRYVNSQCVKRMLQADQVPLAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYLRQGDLGRALKKFLGVEKHYADITEDQFDFHSYCLRKMTLRAYIEMLRFQDRLHAHNYFRKAAVGAIRCYIKLYDSPSKSSTEEDDELAKLPASQKKKLRQKQRKAEARAKKEAEVKSEEAVGGVSRSGKRNVKPVDPDPHGEKLLQTEDPLMEAGKYLKLLQKHSSDSLETHLLSFEVNMRKQKILLALQALKQLQRLDAGNPDSHRCLIRFFHKVASRPAPVTDGEKLISGVLEAERPTFSQLHDKSLMEANTIFLEQHKDSLMHRAAVAEMIYCLEPNKKGDAIKLIQDSPNNLVSSNGLVREWKLKDCIAVHKTLEAIFNDHDAASRWKVRCADYFPYSTYFEGRHCSTLNGNSDSNHSHAENGSIPFLPSNGKVEKGIKNLAI >cds-PLY62049.1 pep primary_assembly:Lsat_Salinas_v7:5:331362136:331363131:-1 gene:gene-LSAT_5X184940 transcript:rna-gnl|WGS:NBSK|LSAT_5X184940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSPFTSSLSIDLGYGFVFGGALGSPGGSFLPEKPSTVDEMGTSSHSFSFEAYAPAWTITRDSLLSEDITAQEWSSCAHPSTTMNLLSGQLSARMASDLYVAAQASTLMVNAVDWVFRAGVNETQLKTFQGAMASIREELCDSEAECRVFSEQNCIVACEKAVLEDHVATLEVQTEQHESQVSSLTREKGVLASELARFQCQLARTRVDSVVARGGLQCMLEKGVVRIIDKVIENAKFSIGIQRLRKACEALGFEKGKQLGGCSTISGESEASDPGRAERRAVEVDIALTSLAETDFAGLFRLGELDYDSFHRFCRSSGPGSSSLDSKD >cds-PLY67561.1 pep primary_assembly:Lsat_Salinas_v7:3:54053414:54055756:1 gene:gene-LSAT_3X41941 transcript:rna-gnl|WGS:NBSK|LSAT_3X41941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCFFKEKSIVRFVVLLYFTLSITISSGANTISANQSLSGDHTIISEGEQFELGFFKAGNSSKYYIGIWYKKVSSNPPTIVWVANRETPISDRFRSELKIIDGNLVLLNESKSKIWSTNVTTTTTTTLKPATVVILDDGNLVLRDYGSNSVEPIWQSFDHPTHTWLPGAKFGYDNRTKKNQVLTSWRSKDDPGVGLFSFELQPSSNEYVIKWNGSHQYWITGAWNGKTFDLLPEMRLNYIYNFSYHTNENESYFTSSLYNPSIISRNIMDVSGQVQQLTWLEATKEWNLFWSQPRTQCEVYALCGAFGSCSQSGLPFCSCLTGFKPRSETDWNQSDFSGGCVRKTDLQCGRNMEKADFLKVTVKSLPPNKSMAVGSAGECSTTCLNSCSCNAYFFVDNECSVWDGDLLNLSEDNDSGKTIFVKVASKDLPHHKKTNWVTVGAVVGFVGGVVFLSGLIVLLIYNKKRISLSVRKTRMEGSLVSFVYKDLQIATKNFSDKLGGGGFGSVFKGVLHDSSIIAVKKLESISQGEKQFRSEVSTIGTIQHVNLVRLRGFCAQGNNKLLVYDYMANGSLDTHLFHGKQVLNWETRYQIALGIARGLVYLHEKCRDCIIHCDIKPENILLDSEFCPKVADFGLAKLVGRDFSRVLTSTRGTIGYLAPEWLSGVAVTAKADVYSYGMVLFELLHGKRNAEASEDSRSKYFPGLVANVLMDGTDILSVLDSRLNREACVEQVTKICKVACWCIQDEEERRPTMSLVERILEGVLDVDMPPIPQICQYNYL >cds-PLY99894.1 pep primary_assembly:Lsat_Salinas_v7:7:191919245:191924325:-1 gene:gene-LSAT_7X113621 transcript:rna-gnl|WGS:NBSK|LSAT_7X113621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-ASSOCIATED FACTOR 6B [Source:Projected from Arabidopsis thaliana (AT1G54360) TAIR;Acc:AT1G54360] MSVVDKESIEVIAQSIGVGNLSPDVLPSLAADIEYRVREIMQEAIKCMRHAKRTAMTSDDVDTALDLRKMEPIYVASGNSLRFKRAARHKDLFYLDEKDVEFREVIEAPLPKAPLDTAVVNHWLAIEGVQPAIPENPPLEALLTPVDNKNKEDGIGTGDNNNKSPVKHVLSIELQLYFEKITDLTVTRSNSVIFKKALLSLARDAGLHPLVPYFIYFVAEEVTRNLNNFQLLFALMRLVRSLVQNPHLHMEPYLIQLMPSVMTCLVAKSLGGDNNNHWELRNFTANLVASICRRFGHGYHNLQLRVTRTLVDAFMDPAKALTQHYGAIKGITALGSSVVRLLLLPNLDLYLQFIQPEMHLHNKNANEVHGALMCAAGLCVYNQLKMIPNLLSPPTHTNGKLLNPTNKRKAGGNNLMMEQAVKKAATGESLVKVEMQGGGSGFSITRGGSSDVLPTRFMGNENIPGSSASASASMRRDRFGANIQTSSLAVSRAWKKEVNAGHLLPKLFHLFGESMLPFVPSPELCNIFL >cds-PLY92017.1 pep primary_assembly:Lsat_Salinas_v7:8:239713803:239719923:-1 gene:gene-LSAT_0X19021 transcript:rna-gnl|WGS:NBSK|LSAT_0X19021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAQSIDSNYPNCPAYSCGNINISYPFWRIGSETSTQFCGYPGFGINCSNNGDRRDIPIINLEYESYYIQNITYGRRSIVLQDYDVFTAVHCPRMNHNITIESLPFIFSDQNVNLSIHFNCNGVPHFAHEIPCLSSRTNKSCVNSVNSEPANFNWDEYSCDDDVIVTTVLDVFRSINELGIVFIRALRGGFELRWWEIEDCEMCENSDGRCGYNNDTGEFMCFCSSGTTTKRHCKNGTSGSLRPPLYYPDFQSCLHYRR >cds-PLY98630.1 pep primary_assembly:Lsat_Salinas_v7:1:37225647:37226381:1 gene:gene-LSAT_1X31881 transcript:rna-gnl|WGS:NBSK|LSAT_1X31881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLLFFFPPSLHPPVRLRCLMHHQQILWNKSLLWRRRSRPEDEDHRQGPRLPGEVTCSEIGEGGGLPVQVKMENKDLKPVPVK >cds-PLY78455.1 pep primary_assembly:Lsat_Salinas_v7:2:167766392:167768042:-1 gene:gene-LSAT_2X88720 transcript:rna-gnl|WGS:NBSK|LSAT_2X88720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKPHVLVIPVPAQGHVIPIMELARRLARNGVKVTFVNTEIIHKVVTNNLLLEKDDYEDLIHMVSIPDGLEPCDDRSDLIKLTKSILESMPDKLEELVEKINKQDCNKVTCIVADGFMGWALRVAKKMGIRTAAFWPAALTSLAINICYEKLIKDGIINNDGIPLNDDMIQLSETMPPIQPKNLPWMCFGDMVTKELLFQHAILIAEGVMLGERVICNSSIEMEPETFNQFPQLLPIGPLLASNRLANQTGHFWQEDSTCLEWLDQQSACSVLYIAFGSIANLNQTQFTELALGLELSNKPFMWVVRTNMVISFPDGYVERVGSRGKIVSWAPQQKVLAHPSVACIMSHCGWNSTIEGLTNGLPFLCWPCFADQFLNQTYICDVWKTGLGFRKDEQGVITRGEIKCKVEKLLGDRSFKDKALEIQDKVTSSVRPGGSSHQNLRNFIEWIHGKDADATTSNVSM >cds-PLY76160.1 pep primary_assembly:Lsat_Salinas_v7:4:55873957:55874513:1 gene:gene-LSAT_4X37860 transcript:rna-gnl|WGS:NBSK|LSAT_4X37860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDTSDDDDNNVNHKHFSSNRNSNPHYEPPHDNNSRDHLVSQYMEAMLSHEKKETHENFRELFLPGVIIHIILDKKNNDVPLYRRWKSTLTTQCGYEAYVAKKEAFMDLIVSPSRFIDHLPWRCSYALKKLLEKRSVQQECDGVNLRS >cds-PLY88869.1 pep primary_assembly:Lsat_Salinas_v7:8:4700564:4703411:-1 gene:gene-LSAT_8X1901 transcript:rna-gnl|WGS:NBSK|LSAT_8X1901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLKSHFFAIMQKLKVEALLHVLEVLESDAFYVPSLSGSVGLKPSEDYTVIELAGIDRPGLLSKVSAVLTNLGCTVVNAKIWTHNASSAAVVHVTYEKTRSAVVPWCSTVFASCAIFGAASWWAWSSCCWHGIPWLCYLAQCNGEFRNDMVEEEEPKDGEETPSEGEKKKTKTEKYWDWELANETKPIWMRNPKEIEKYQYSEFDKKTFNKFLDPLAYTHFTIEGEVEFRSVIYIPEMASSNKQ >cds-PLY86189.1 pep primary_assembly:Lsat_Salinas_v7:2:130391388:130392055:1 gene:gene-LSAT_2X61980 transcript:rna-gnl|WGS:NBSK|LSAT_2X61980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPNNSINQIDNHIDADGDQNSFQATXTYSRKRKHSQSQVITPIDSTQVNPLSQSSVLGLVLLAHTFSYDIQNITESLLLEIVARFPLNSIFRFKCVCKQWLDLISQPSFARFYCSRMLTASASSSSLPFRILYRILNPRFWELIENEGDELCTPSVRRNA >cds-PLY80564.1 pep primary_assembly:Lsat_Salinas_v7:6:14278626:14280298:-1 gene:gene-LSAT_6X12501 transcript:rna-gnl|WGS:NBSK|LSAT_6X12501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFYTIKHTGEVASSNPMEKEHILLLIIFCFSFFVLKPIAGADTDCLPSSCGPNEPEVRFPFRISGRQPARCGFPGFNLSCDQQNRTIIRLPSSLSYIVNSISYFSQVISIDPEFCRPNRIADVDIANTPFSYSTFWRQSYTFYNCSLRLQSFDQTYYGFPFRCLSTGNYSVIASTGSMPSNCKVLKTIEVPVRSNSDFRYELQLMWFTPYCGSCEREGKACGLKSDDGQTICVSPSRGIPRSAKYGLSIGIGVPALIGIIGLICYTSSKVQAHNESHNQSIDINSIAIIPQLASRTGLDGPTIESYPKTVLGESCRLPNDDATCAICLSDYKPKESFRTIPECNHYFHSECIDEWLKLNATCPVCRNSPESSALVTPCSSASSRLSSVDSS >cds-PLY85782.1 pep primary_assembly:Lsat_Salinas_v7:2:82489060:82489482:1 gene:gene-LSAT_2X34220 transcript:rna-gnl|WGS:NBSK|LSAT_2X34220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSRSSFNGGTRMRNKKVIGCDCGDVCGVSVSRTPDNLGRKFWGCPNYQVEGGNCGFFKWADEELGQNMEMCHTEEIKPLLEVIIGLLVVISLMLGIVVIKM >cds-PLY70150.1 pep primary_assembly:Lsat_Salinas_v7:3:13658190:13659657:-1 gene:gene-LSAT_3X9640 transcript:rna-gnl|WGS:NBSK|LSAT_3X9640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKSLEIYEFGPCEDSYQMGFSIGNHFSNLIRSRLSTDSIFNHQLLPFSQTPQAQSLLHSLSHNNSTKFPDYWNEMRGIANGSGVPFLHIMILNFRKEILPFIPKSEKIEDEETIDDCSDILVVSDTMAVAAHNEDANVALVGHTYLIKATLSNGLSYTAYTYAGELPSCAFGFNNHGLAFTLNSVPPTDSEIVAGGIGRNFISRDLLEATSIDDAISRIRSSQVSIGHSYNLLDVNLRKILNVETASSQRISVHEIGSTPFFHANMYIHLQVNQVHDQNSISRQNRAALLPKKSKVDLLSLLGDSGDQEYPIYMQGPTLYTLCTAVIDLDERTMTIIKSNPKQGVVSYIFKIA >cds-PLY65097.1 pep primary_assembly:Lsat_Salinas_v7:3:254846329:254850006:1 gene:gene-LSAT_3X141121 transcript:rna-gnl|WGS:NBSK|LSAT_3X141121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDFHALMPSDGSNAQLKFLELLDSVIAILKSTFNKLSTQTMLAYRSGNLNQGGGGLVADLVERNAEVTGELQGDSHGVMMEKQMSIKAEEKNINDGEDAEGSIKTSISMRSFPPMVYEEFGIIEGLMKTVHATTATQKTIDGPSTKDWCGGRGAAQNIIHSSTGAAKVLWPKSQTSEVWLDKRTNYTKSLAFMSMVATKVAKGELNSAFAIVRPPGHHAEETEPMGFYLFNNNAMMHTELGINKILIVDWDVHHGNGTQKTFYKDSQVLFFSVHRDDYETFYPCGDDGSYDMKGEGEGAGYNINVPWENGKCDDADYITAWDHILIPVAREFKCSP >cds-PLY67847.1 pep primary_assembly:Lsat_Salinas_v7:9:145410355:145412153:-1 gene:gene-LSAT_9X92880 transcript:rna-gnl|WGS:NBSK|LSAT_9X92880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNKHSRLWNRKEIEDILANYMGTEATRCMKFYYRGLDPQIIMKGLRKMKELRCNWKFNKVSLYFPNVLRYLRWHKYPFGSLPKSFQANNLVALEMTYSDIVQLWERGEKKVLNKLRFLDLSAPKLRSLDLELTPNLETLMMEPGDLVELYMPGRCLKLRSLELNRVKLRTLDFGLTPNLENLILEDCDLVELQMPDRCRNLTFLSIINSNLRTLDLGRIPNLEYLSVFHCNDLEELHMAYEYLKLRSLHLTGLKLRTLDLEPSPNLERLYLLKCNNLEEIYITECPILTFINIQCSKLRTLDLSLVPNLSELCISECKALVNLHLPHRCLNLRTLNFNNSKLRILHVGLTPNLEELDFENCYDLEELCLIDQCKNLVSLNICQSKLRTRDLGLTPNIKKLVLKDCHNLVELHAPMGCLKNLVYLDLSGCLRFRSFSFHMEDDTSCSEDESLEVDFLAVIRFTFESCPFHPETHLPEYEFKSFHIEDLPSLTSSIEKLISEDVCACTKLETF >cds-PLY91484.1 pep primary_assembly:Lsat_Salinas_v7:7:143981990:143987716:1 gene:gene-LSAT_7X85781 transcript:rna-gnl|WGS:NBSK|LSAT_7X85781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSSHPTKSGSNSKRSFNSTNSNNTGGNNYNKKKRKKDGDSGQQTLGMAWGSNSRSSSRSSFRSAPFTDVGSYMAVKNRKLHEQFDVEASSSSHGGSGSKKPVFYGVSIFVDGYTVPSTQELRGYMLKHGGRFENYFSRQRVTHIICSNLPESKVKNLRSFSRGLPVVKPTWVLDCIAADKLLSWVPYQLEQIASEANNQPKLSTFLDSRNKIDSPNVPMTSDNNISEVSESVEDVKQSNQGSDSQVYNEAPSASEGSRCSDIQNITESPSIVSDSSNKCHSTSLDPNFVETYFKNSRLHFIGTWRNRYRNRFPNSSKPSISINPSSSCQRNTIVHIDMDCFFVSVVIRNRPELWDKPVAVCHSDNPRGTSEISSANYPARDHGVRAGIFVRDAKALCPHLVIVPYNFEAYEEVADQFYRILHKHCNKVQAMSCDEAILDITDLEMEDPEILVSLIRKEISDTTGCTASGGISGNILMARLATRTAKPNGQSYLPPEKVNDFLKELPVKVLPGIGRALDEKLKGKHIETCGDLRMISKETLQKDFGQKTGEMLWCYCRGIDNRLVGMIQESKSVGADVNWGVRFKDMKDTQNFLLSLCKEVSLRLHGCGVRGRTFTLKIKKRKTDEEPIKYMGCGDCDNFSHSLTVPMATDDVDVLQRITKQLFSHFHIDVKDIRGVGLHVTKLESSDNCTQATKEKENLKIKNKKEQIDDHVHQSTSNSTMEVPPMSELDSQVLESLPPEILSEINDFYGGKLKSFISKRKSKTIEIGTSSVFPGNVQGISDTDSVDTATNASTSQKIETMPTSLSQIDASVLQELPEEIRNDIIDLLPPHRNPGSDSPLRDPPQTGQLWVGDPPQWVEKFRSSDIQILRLFSNTYSQLKSNCGLSSLLLKTISSSEELLLLCNDDNGINWLCELLKQYIQLKIDSDLEEIHTCFRLLKRLSGKSEILLQVYNITLPQLQVNSFYILKHYLINI >cds-PLY85360.1 pep primary_assembly:Lsat_Salinas_v7:5:242991305:242991802:1 gene:gene-LSAT_5X120641 transcript:rna-gnl|WGS:NBSK|LSAT_5X120641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGTTTSSGGSYPIQNSGSDEDLQQLMDQRRKKRMISNRESARRSRKRKQKHLDDLKSQLNQLRNENNQIISSVSITTQHYISVEAENSVLRAQVAELSHRLQSLNEMIAFMYQPVDTGCRFEDEQYGGGGGTEFVDEFMNNSLSYLYANQPIMASADMIQY >cds-PLY64293.1 pep primary_assembly:Lsat_Salinas_v7:5:83955339:83957406:1 gene:gene-LSAT_5X39360 transcript:rna-gnl|WGS:NBSK|LSAT_5X39360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AT1G10500 protein [Source:Projected from Arabidopsis thaliana (AT1G10500) UniProtKB/TrEMBL;Acc:B9DF88] MAFSSTVRFPSLCRLSKASTSSSPLIPSASISIRSSPSHINLNIVRKNFSIRAISTQAAPASGGIAPAIDLTENALKHLNKMRSEKNDDLCLRIGVKQGGCSGMSYTMEFESRANARPDDSVMEYDGFVIVCDPKSLLFIFGMQLDFSDALIGGGFSFKNPNATQTCGCGKSFAAEM >cds-PLY68494.1 pep primary_assembly:Lsat_Salinas_v7:2:214446608:214448891:1 gene:gene-LSAT_2X136020 transcript:rna-gnl|WGS:NBSK|LSAT_2X136020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIKGGTASELKHVLVVLDDRSAEELGAKIKEYGIIAPDTKNPLSDPYPFNLMFQTSISPSGVNVGYMHFETAQGIFVNFKDLYYYIGNKLSFATAQIGQAFRNEISPRQGLLRVRAFTLAEIKHFVDPDDKSHPKYSEVENLELLMSPMDLQMSGQSAKRLCLGEAVSKNHDEGSSMVVNWERRSVKTKCPQESCLVEERGGGNGHYLSRSIVFLERLGRLLGEEFELGLDGKGRR >cds-PLY93583.1 pep primary_assembly:Lsat_Salinas_v7:2:172891358:172891618:-1 gene:gene-LSAT_2X96200 transcript:rna-gnl|WGS:NBSK|LSAT_2X96200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREEVHDSKAGRQVLAEQNNFMACKKVALKDQVRSLTREKDVLANRLARCQRQLARARIEGAITQGSLQWVLEKVVVHVIDRVRER >cds-PLY95204.1 pep primary_assembly:Lsat_Salinas_v7:8:61782529:61782783:1 gene:gene-LSAT_8X44941 transcript:rna-gnl|WGS:NBSK|LSAT_8X44941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSLAIALTATEAEFSNELTYIHGMAPRSANRPTYEKEGMQVLSIEDTEALNLCKSMMRRGECPPLMVVFXCIFTVEVDKCIKD >cds-PLY73375.1 pep primary_assembly:Lsat_Salinas_v7:7:63050483:63051873:1 gene:gene-LSAT_7X46840 transcript:rna-gnl|WGS:NBSK|LSAT_7X46840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWYSWLSKTNLDPYLVYEYGRTFTHNELQRGDTLYFNHEFLQSMGVLVAKHRLEIINLARNNIGGCRKNGFSKIVSAIVKTRLLLSKKLGRFVSSKRSVNRPMNPFRPQWPSSNLRKNMGFGEVKEEKGKKMMKSGPLDGRLDESCMTPKRVFSVSGPMDVGNSPRIGIPCNMMNSGGEDDEMSSIWSMMFQDMKPT >cds-PLY80924.1 pep primary_assembly:Lsat_Salinas_v7:5:10427428:10428576:-1 gene:gene-LSAT_5X5001 transcript:rna-gnl|WGS:NBSK|LSAT_5X5001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQIDLKTGAQKPIAWIDDGGNCVFPKSHSTCHGNHDDVDLVESSSVAPEIKLHLEIELNNKFEECMDESNVKRIKVDQEGQGVNDENAPQKSDEYASPISETICGNVDVETARNMFVTGIGQDLKVDIFDVKKCSGSFMEARQKLFQTQVEITQKLRGKANVVYAWCVANGDAPSGVLFYAHNGPKLGPYGYGVHLAAVQSAHKSAMLCDVDENGVKHMVLCRVILGNSEVVEIGSKQFHASDPCFDTGVDDSQNQNSYIIWNMDVNTHIFPECTVAFKMPPTLKGNAVVEESRLDMSRVTTTHDQHNTSSSTPGKNFPPQEKVPIPSVGSSTAKDPKSPWMPFSMLFEAVSAKVEPDHMRLVHILYESFRAKKNESRRVY >cds-PLY64058.1 pep primary_assembly:Lsat_Salinas_v7:8:94743133:94744706:-1 gene:gene-LSAT_8X66160 transcript:rna-gnl|WGS:NBSK|LSAT_8X66160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILMDLYLLLGGSNSFLEGDDNINIRLNADAIRSALNEMASSSSNSNSHYGWTSSDDEDEADVMDHDLDDEGGKSARSFREKRKAHYDEYRKVKELQKKESMKKDDEKQSIVDGVGDINIRSLDAAGDCDLDCDEDTNSSVFPLRVVTRGIYSILLPVGGELGCLQKGALCSDTSIGQGGTITWKVLQYTLAYSIVHKLRK >cds-PLY99319.1 pep primary_assembly:Lsat_Salinas_v7:1:69868963:69870071:-1 gene:gene-LSAT_1X59480 transcript:rna-gnl|WGS:NBSK|LSAT_1X59480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASWFISLYYALQRTWKPFNPSLGETYEMINVKIKTVSGLPYGAVALEAKQIQATWLYHECPKLSSLILNDQVEAFVSSQSTTILDPAQKKQQVLLHFFIIFNMGMLSSSPFNQHQAVEAASTSFRPGSSKLSTGTPDGLGGGTSSPSVQPLLAAS >cds-PLY76203.1 pep primary_assembly:Lsat_Salinas_v7:4:54427020:54428101:-1 gene:gene-LSAT_4X38081 transcript:rna-gnl|WGS:NBSK|LSAT_4X38081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHAGTKEASQAKYISILNIIQGEVDPTQVHDSLQRIRERKLVNFIEWAPASIQEDKLSKAIISYRVEADTWNAFVEFLEAAWDFQYSFMEGKEKKFKYVISNYVHIQGSYYLWCSR >cds-PLY78071.1 pep primary_assembly:Lsat_Salinas_v7:3:255960119:255964996:1 gene:gene-LSAT_3X140041 transcript:rna-gnl|WGS:NBSK|LSAT_3X140041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLINLVNKLQRACTALGDFGEGSSLPTLWDALPTIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDEGREYAEFAHQPRKRFTDFAAVRKEISDETDRETGRSKQISSVPIYLSIYSPNVVNLTLVDLPGLTKVAVEGQSESIVADIENMVRSYIEKPNCIILAVSPANQDLATSDAIKIAREVDPQGERTFGVLTKIDLMDKGTDAVDMLEGKSYRLKFPWIGVVNRSQADINKSVDMIAARRREREYFNTTPEYKHLASKMGSEHLGKVLSKHLETVIKSRIPGLQSLINKTIIELETELSRLGKPIATDAGGKLYMIMEICRAFDQTFKEHLDGIRPGGDKVYSIFDNQLPAALKRLQFDKQLSMENVRKLITEADGYQPHLIAPEQGYRRLIESTLITIKGPAEAAVDAVHGILKDLVHKSINETAELKQYPSLRAEVMNAACDSLDKMRNESKKATIQLVDMECSYLTVDFFRKLPQDIEKGGNPTHSIFDRYNDSYLRRIGSNVLSYVHMVVGTLRHSIPKSVVFCQVREAKRTLLDHFFTELGAKEGKQLAKLLDEDPAIMQRRMDLAKRLELYRAAQAEVDAVAWAK >cds-PLY84052.1 pep primary_assembly:Lsat_Salinas_v7:6:189853750:189857372:1 gene:gene-LSAT_6X116500 transcript:rna-gnl|WGS:NBSK|LSAT_6X116500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNKKRTKTVARVDPIDLAEVSPVNSHKDRSFVSLVCKDWYNAERWSRRHVFIGNYYSVPPKIVARRIPHIRSVTLKGKPRFSDFNLVPEDWGSDVHPWLSVLAKAYPFLEELRLKRMTVCNSLQVVNSSIFALSNTLITSNTSTSRNSNYFDTQEMLHMDSKHEAASAYADAAHSYKKTSTKACIANLEQALNIFMEIGRLGMAARYCKEIAKLYEQEQNLEQDIAYYNKASDLFQVPTTHHVDPVNYSGREHNNPAFRPNKRSREVETNLMQKKLLISLNQKLYNEESDHPSSIPNPHTVSTGLKLSYDDEERISLITSASGSMTGAAPLMSSFGDTFTTELDRQNEELERYIMLQKEVSQLQSVINGGTGTGNHENDAWAMGSPGGFRWEGFQGLFSPVTAVKRMSQAKQREDEIHGLKLRLRFRAAAVKRLEGVDKVREVKGNPDFSNKDVG >cds-PLY89315.1 pep primary_assembly:Lsat_Salinas_v7:2:51393679:51396349:-1 gene:gene-LSAT_2X24360 transcript:rna-gnl|WGS:NBSK|LSAT_2X24360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G03800) UniProtKB/TrEMBL;Acc:W8PUG9] MAAVSLPTTTASISRPQCLPQSSHSSSLLHLPKPHNSISSVRTPLSLSNHTKTPKPHPSKHYCNLLNLSVEHGDVELAMAVHASLLKIQENTPYLFNALILAYFKLGLTSHAYKVFNCVKNPDVATFTTMVSWFVKSNREIEGVKLFSEMRRVGIQPNEYSFVAILTACSRILDIELGSQAHCLAIKMGFLQDTYVANTLMGFYSKCGCLNYALQVFDEMLQRDISSWNTVISALVKESMYEKAFALFHYLSQSNELTIDHFTLSTLLTACTENDAIMEGRELHAHALKFGLENNLSVTNALIGFYTKCRSIKDAIVLFDRMPVKDIITWTQMISAYMNSGLLEIAQEVFDKMPEKNCVSYNSLLSGFCQNGVPSKALNMFCKMITQGLELDDFTFTSVINACGLHADKNTSEQIHAFVLKSGFKSNNHVESALLDMFTKCERMSDAEKMFQILIDSLTQYNSSIIWTTMICGYARNGYPYEAISLFVKSQSENTIIIDEIVSSTVLGVCATLGFDRIGEQIHSIAIKSSLIQDTGVGNALIGMYTKCDNMTNAIKVFNLMKQHDIVSWNSLISGYIFHKQGDNALEIWKNMKTKNIKPNSITTLLIISAYTHTMSNLVNSCYTFFNSMKTVYKIEPDSTHYASLVRVFGHWGLVKEAEEIITKMPFEPNSFVWRALLDSSRTHMNTSIENKAAKEILGKKPNDPSTYILISNLYSAFGRFNCSETIREEMREKGIKKRPGKSWIFVENKVHEFYARDKSHARFKDIYSGLDILVLECLKIGYMPDTSFVLHEVEEGQKRNFLYYHSAKLAVTYGILMTGRGAPVRVMKNILLCGDCHSFFKHVSVVTKREIHVRDASGFHCFVNGECTCKG >cds-PLY83393.1 pep primary_assembly:Lsat_Salinas_v7:8:1010620:1010898:1 gene:gene-LSAT_8X940 transcript:rna-gnl|WGS:NBSK|LSAT_8X940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHRDPPAATEVHGAIIVSLAGDDEDHRGSRVPVVVVVVQGRHLPPLHRLCEEDEVSTVSAAIAASWHLSVVPLTGCHLEMLCVRVCGPSVE >cds-PLY96286.1 pep primary_assembly:Lsat_Salinas_v7:6:157192450:157194675:-1 gene:gene-LSAT_6X96221 transcript:rna-gnl|WGS:NBSK|LSAT_6X96221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERKRYNVLPELYILLILTFTSIFDTSSANANQAETYIVNLESPNGIVFAKPEDQQSWYHSFLSSSGIGSNKKPSILHAYHNVFMGFAAKLSADQVKAMESIDGFVSARPERMYKLHTTHTPRFLGLHQNFGFWRGSNYGKGIIIGVLDTGITPGHPSFHDKGVPPPPRKWKGKCEVAGCNNKLIGARDFTNSSPLDKEGHGTHTSSTAAGNFVDGANVFGMAKGTAVGMAPLAHVAMYRVCDDFACSESNILAAMDAAIEEGVDVLSLSLGGASVPFYEDGIAVGAFSAIQKGIFVSCSAGNSGPFNSTLSNEAPWILTVGASTIDRNIRATVHLGNKALFNGESLFQPQDFPQNLLPLVYPGLTGGQQAAWCAKGSLINIDVKGKVVICDRGGGIARIEKGQTVKEAGGAAMILLNQVTDGVSTVADAHVLPASHVGYESGVSIKTYLISTPSPVATITFHGTVIGNKTAPEVASFSSRGPSMASPGIIKPDIIAPGVSVLAAWPVSVENSTHTKSTFNMISGTSMACPHLAGISALLKSEHPDWSPAAIKSAIMTSAGQVNLNGDPVEDERELPADIYAIGSGHVNPSKANDPGLIFDIKPDDYIPYLCGLGYTSKQVGIIVKKTVTCSEGIEEAELNYPSFAVTLGVGDGKAFERTVTNVGEANSNYTITSFSIPDGLFLGISTTELKFTELNQSLTYQTFFLRNRDFEVTTPYAEGYITWGFGKYSVRTPFSIKFV >cds-PLY93139.1 pep primary_assembly:Lsat_Salinas_v7:4:199589279:199590696:-1 gene:gene-LSAT_4X113160 transcript:rna-gnl|WGS:NBSK|LSAT_4X113160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELEKAFVATIANLLKLEASYNRFGYIGFLPLKLIVFVSPSPLHHHHHSLQSIRSRCCCNESLEYNLGDSCYTQEKKMGYWLG >cds-PLY76666.1 pep primary_assembly:Lsat_Salinas_v7:4:116993606:116994131:1 gene:gene-LSAT_4X74180 transcript:rna-gnl|WGS:NBSK|LSAT_4X74180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGISKAFVVSFLLSLFLLQLVQSFQPNQVDNTSTNEYPSTKIDCKGSCAARCKLSKRPNLCNRACGTCCGRCNCVPPGTSGNYESCACYANMTTRGNKKKCP >cds-PLY63694.1 pep primary_assembly:Lsat_Salinas_v7:9:85457722:85468123:1 gene:gene-LSAT_9X66761 transcript:rna-gnl|WGS:NBSK|LSAT_9X66761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein A [Source:Projected from Arabidopsis thaliana (AT2G39340) UniProtKB/Swiss-Prot;Acc:F4IUY8] MESNTNGNSAVSNATISSATATSLPSVQGAVPSSKLTQLTESLKLEHQFLRVPFEHYKKTIRANHRAVEKEVSAVMSTLSDSGDLSQDDAVKHLNSLVSRLQGLKRKLEDGSRAEHLQAQRCKARLDHLESAGADNISEWNNTRLKRILVDYMLRMSYYTTATQLAESSHIQDLVDIEIFYEAKRVMDALRNKEVAPALAWCADNKSRLKKSKSKFEFQLRLQEFIELVRNEKNLQAVTYSRKHLSPWAASYMKEFQKVFATLAFTCNTGCETYKVLFDAKQWDFLMEQFKQEFCRLYGMTLEPLLNIYLQAGLSALKTPFCYEDDCPKEDPLSQESFRKLAMPLPYSKQHHSKLVCYITKELMDTENPPLVLPNGYVYSTKALEEMARNNNGTITCPRTRDVFSYNVGNKVFCITSSRLTLDIHKEDAFDAMMNQGVTDTITTLDPNSLEHHAVDSSHGQAQSYYASSSAPESASWTMYGAVSDSTGNKTYPSANFSHDQRSEPQSRNLQDGLSVASASTVSSSGAANLEYANYATPTATATATATYPNNDPYGYGNTGYAGYYNSSYQQQQPNQSYPQQQPNQSYPQQQQQPNQSYPQQQQQPNHSYPQQQQQQPNQSYPQQQPNQSSQTYVQPSQTYVQQAGAYQSTGAPHQPISSFQNTGSYPGPASYSTTYYNPGDYQTSGGYPTANYNTQTNSWNQGSYPSYAHQYPNYTTDSNVAYTAQNNTPVASVQYQQDYSKQWTDYYSQTEVTCAPGTENVSSTSAPNLVSPLPVPVPVPVVANAYSAPNNQQVASTAPVPSSWKPESGLSELPSVQPSAAINNVHESYWKQGSQGYQNYHVTPMQSGFQKPLEAPPQRPNVQQYTASHQVPQTYQPPPQTSVPFGVNKVQIPTNPRISSNLPKNHNSTIGPTKPAYIGVSLPNPNDNTSSHAAAAAADSTDKPGTLPKSLRGYVERALARCKDDRQMAACQNVLKEVITKASTEGTLSTRDWDTEPLFPIPNTDTINNESTPPSLMKNRRSPSRRAKSRWEPLPDEKPVEKQSFFTPESVKSSGGFHSIERDKQFSTGKPENKESNKFSNLRFFLSNQKEANKSGFRPAKRQHIGEGKSAPVNHNNNNNNNDSSSSDSDKEQGLTAYYASAITLADSPEEKKRRESRSKRFEKNHGNRTTFNNNNNNNKAKNLYTRRASMSMLANSSNTNTSDDSGGASRAVEDIDWDSLTVKGTCQEIEKRYLRLTSAPDPATVRPEEVLEKALVMVQESQKNYLYKCDQLKSIRQDLTVQRIRNELTVKVYETHARLAIEVGDLSEINQCQSQLQTLYAEGIKGCHMEFSAYNLLCVILHSKNNRDHLSAMSRLSVEARKDEAVKHALAVRAAVTSGNYVLFFRLYKIAPNLNTCLMDLYVEKMRYAAVKCMTRSYRPTLPVNYVAQVLGFQENGLEECTEWLKAHGASLAMDNSGEMMIDAKASMASLFMPEPDDAVSHGDASLAVNDFLTRSLS >cds-PLY71720.1 pep primary_assembly:Lsat_Salinas_v7:3:46943786:46945319:1 gene:gene-LSAT_3X36601 transcript:rna-gnl|WGS:NBSK|LSAT_3X36601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEGAANGVPNAGIIDTQRQQPPGNGVLAVKKPPAKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTVSVAVRNSNNSSLSAALDQKSSSQHHQHLISPTPFILGKRLRSEEDGLDGGGKEDNMSSATVVSAAAGGGFWALPARPDFGQVWSFAAAPQEMVVSSPTALASQQGRFIHNQQPMGEASAARVGNYLPMTQGHLNLLASLSGPPPQSSGRKDDDTR >cds-PLY84776.1 pep primary_assembly:Lsat_Salinas_v7:8:24163606:24165634:1 gene:gene-LSAT_8X20761 transcript:rna-gnl|WGS:NBSK|LSAT_8X20761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSLPGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAAVGVIELQKHGSPDIVLALVGNKADLQEKREVSVQDGIEYAEKNGMFFIETSAKTADNINQLFEEIAKRLPRPSAS >cds-PLY72362.1 pep primary_assembly:Lsat_Salinas_v7:5:6064088:6064876:-1 gene:gene-LSAT_5X2940 transcript:rna-gnl|WGS:NBSK|LSAT_5X2940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRSMKDLLMSSRDSSPVREKAGLNFSTVKSLVLRDKEDKIDMEFGTDEKVKVVSLISSLLGAEGHLSGRKSTPKLETNPTIISLLKDLHAAPPDSFVVELAEAIGCLKTLRKMASFWYRVVAELRRLWCDGQHIPGIPVDKIPDLNCCLLYQQLQVINCCISRKKRRTSSSVESVMNYS >cds-PLY67603.1 pep primary_assembly:Lsat_Salinas_v7:6:123293212:123295956:-1 gene:gene-LSAT_6X75460 transcript:rna-gnl|WGS:NBSK|LSAT_6X75460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGRNQRTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDTNEWLAVNTVDFFNQVNLLYGTLTEFCTPDSCPTMAAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIESQLDDESIFPQKLGTPFPNNFRDVVKTIFKRLFRVYAHIYHTHFQKIVSLKEEAHLNTCFKHFILFTCEFCLIDNKELAPLQELIESIVIPH >cds-PLY81009.1 pep primary_assembly:Lsat_Salinas_v7:9:176708114:176709815:-1 gene:gene-LSAT_9X108501 transcript:rna-gnl|WGS:NBSK|LSAT_9X108501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLHQSTAESATTVEIQQLSHPLPNFLNSVKLKYVKLGYHYLMRHLVTLLFLPLIAITAVHASQVNLDEIGKLYLHLQYNFIAVMALSGVAVLGSTVYLMSRPRHVYLVDYSCYRPPENLKVKFDVFMERSKLHGGFNESALEFQRKILERSGLGEETYLPPALHSVPPVPSMAAAREEAEQVMFGALDNLFKSTNLDPKDIGILVVNCSLFNPTPSLSSIIVNKYKLRGNIKSFNLGGMGCSAGVIAVDLAKDMLQVHRNSYAIVVSMENITQNWYFGNKKSMLIPNCLFRVGCAAVLLSNKSTDKRRAKYKLLHVVRTHRGSDDTAFNCVYQEEDDAGKVGVSLSKDLMAIAGGALKANITTLGPLVLPISEQLLFFGTLIARKLTDSRLKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLSEEHVEPSRMTLYRFGNTSSSSIWYELAYTEAKGRMKKGNRVWQIAFGSGFKCNSAVWEAIRTVNPSDSNPWADCIHKFPVEICV >cds-PLY77312.1 pep primary_assembly:Lsat_Salinas_v7:5:143759250:143759794:-1 gene:gene-LSAT_5X63060 transcript:rna-gnl|WGS:NBSK|LSAT_5X63060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMGTSRRPFICFSLLLLVLQFEALSSPVKVSAPSQNRFAASGFEWQKDDDGEKDVFVDNKRKVKTGNMDDHSLHIQYCISMLLWTWTKQLGAL >cds-PLY84235.1 pep primary_assembly:Lsat_Salinas_v7:7:76618517:76639719:1 gene:gene-LSAT_7X53641 transcript:rna-gnl|WGS:NBSK|LSAT_7X53641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESLAIGSLCKPSAMIQYSGVVKPSSSLVLKHQACIFDKNRYMTFQQRHVNYHMAAESFYKRSMLHGRPEKKFELDATSTNDPGSDAAHMNPMQTTVNFLDVLYRFIRPYAALGTALNVASMALLAVEKLSDFTPLFFVRLLQALVGSLLMQMYVTGFNQICDIELDKVNKPFLPLAAGDLSMRTAIIVSSLSAIMSLSIAWITSSAPLFWCLVGWFLVGTAYSANVLPLLRWKRFPLTAALYMLMARVLMLPVGCYMHMKNSIHGRSILLSRQTLFAFGILSMFSIAIIFFKDIPDIKGDQMHGIKSLASRLGQTRMFWSCIWVLEITYIVVAFVGATSSVTWSKYVTIFGHLTMASLLWMRAKSVDLKSKEDIQSMYMFLWQLFYAEYCLLPFLR >cds-PLY91046.1 pep primary_assembly:Lsat_Salinas_v7:2:54898496:54900231:-1 gene:gene-LSAT_2X24840 transcript:rna-gnl|WGS:NBSK|LSAT_2X24840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKLLFLFFLFLRPHHAAEDFVRQPARPLVFTPHNRPQSHPQQVHVSLVGDNYMKVSWVTDENGVPSTVEYGKLPGKYDSSATGEHTSYRYFFYTSGKIHHVTIGPLNPATTYYYRCGGSGPEFNFRTPPATYPIEFVLAGDLGQTEWTNTTLEHINARNYDVLLLPGDLSYADTQQPLWDSFGRLVEPYASRRPWMVTQGNHEQEIFPMIYPKGFKAYNSRWPMPYKESGSESNLYYSFDVVGSHIIMLGSYADFDRDSDQYKWLANDLAKINRSRTPWVVVLLHAPWYNSNLAHQGEGESMRVAMEEMLYKSRVDVVFSGHVHAYERFTRVYDNNADPCGPMYITIGDGGNREGLAMLYKEPKPVISLFREASFGHGRLRIMNDTHAHWSWNRNDDSLNVVADGIWLESLRHSCSCAPKMEQKMEHSSGKDEL >cds-PLY72072.1 pep primary_assembly:Lsat_Salinas_v7:9:196567726:196569249:1 gene:gene-LSAT_9X121260 transcript:rna-gnl|WGS:NBSK|LSAT_9X121260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFEQAPGGDAKVGEKIFKTKCAQCHTVEKGAGHKQGPNLNGLFGRQSGTTAGYSYSAANKNMAVTWGENTLYDYLLNPKKYIPGTKMVFPGLKKPQDRADLIAYLKQSTS >cds-PLY74612.1 pep primary_assembly:Lsat_Salinas_v7:7:35009799:35017717:1 gene:gene-LSAT_7X26780 transcript:rna-gnl|WGS:NBSK|LSAT_7X26780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAREASFMLFLTLIFLIFLRFAEPVVPPQEVETVENILADMNATNWRFNGENCMLDMISQVPKPTEEANATITCECNIGNDTNCHVVSFIHKWYSLDGILSPELAKLPYLRSFDVAYNFLQGTIPREWGSSQMEEILILGNRITGEIPPELGNLTSLRNLDLEANYLSGTIPPQLGRLINLRSLILSSNQFTGRLTPALGQLVNLRNFRINDCNFSGPIPDFIQNWRQVNRLHMVASGLTGPIPLNISLLDSLNDLRISDINGPPQLFPPLNRTTTLTRLILRNCNLFGEIPDYIWQLRDLTLLDASFNNLVGRISNNILQRSIRYVFLTSNMLSGDIPNNLLEVNGAAIDLSYNNFTWQEPNQPSCEQNRDRLVNLFRSSSTGNSIQDVRPCFEDIKCHRYACSLHINCGGDDVTIRENERSVLYKGDAIVDGGVANLYDSGKNWGFSSTGDFMDDAIFTNIGYIESLEGSTDLPTLYTTARLSPLTLTYFGYCLENGEYAVQLHFAEIKFTNDSTYRSHGRRIFDIYIQGRLVRRNFNIEDEAGGVSIPLVIMFNASVTDNILEIKFYWAGKGTTRFPTRGVYGPLISAIDVDPYFKTCSIGGKKTNIAVFIGVAVAVPCFVLLILFILWRRKCFKRQRTNDKDFEGMSLKTISFTYKQLKSATDNFSPSNKIGEGGFGPVYKGTLSDGTLIAVKKLSAQSRQGNREFLNEIGVISCLQHPNLVKLHGCCIEGDQLLLVYEYMENNSLASALFESSKSRLMMDWATRLKICIGIARGLAFLHEESRIKIVHRDIKATNILLDKDLNPKISDFGLARLHEDEKTHVSTRVAGTIGYMAPEYALWGYLSDKADVYSFGVLVLEIVSGKNNNSYIPTNDCICLLDWACRLETSKHYEELFDERLESGINKEEVETVVKVALLCTNGSSSMRPTMTEVVNMLDGKTCVPEIIPEASGYSEDLRFKAMRDFRRDMNGQNSSNGGQTQTTDTIPTGTNHSLSSSDDQFEMQIIDTRS >cds-PLY93455.1 pep primary_assembly:Lsat_Salinas_v7:9:62905219:62907869:1 gene:gene-LSAT_9X54600 transcript:rna-gnl|WGS:NBSK|LSAT_9X54600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKEQHEDIPDYDTEYEQDDGNQEYDLETEDGENKDIHYNSHSYSEEEIHKTVPTRSNRKRGMTRLPKLKTEYVNFGGRKKRARFDEFGKFTGKNNALFVSYLGDLVREKNVSQRTIKARKMKKYAHRMGREGYTTLRKKLGISSDKIVHTNETSPVSLKTNEPSEPVTRVIAKPTKKPVQTKSRTAPLDVELISMKSATVPIKCSLSYPYKRNIVVRGTVHLSSERQFIHVVPQQDDCYKVTIDEVVVKNAFLPYKTGEFTLVEDAYKSFVPWPKYPVQIESNVPEIISHQNSTKRKPTYISSDALLKKTRSSTNKMNA >cds-PLY96429.1 pep primary_assembly:Lsat_Salinas_v7:4:344714155:344716407:-1 gene:gene-LSAT_4X170241 transcript:rna-gnl|WGS:NBSK|LSAT_4X170241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKCEENKSWEIIHIPDKPPFPLHQQPTVKVYAAVIDPKHANTLVRKLNKILPLENLHHVKRVRKQDLNEGCIHLSVILCLACESESQLDNIPQEVVELINSYKLETFITKVCKYAPLSKEEWIEQCKIWPTSYHPPTYNIRGITGFSEEESNSVCTFMKQALDLAKCECQMMNAAIIIDPSTNEVIAEARDQVNSCSCCSINHEATSQNHDKLVLNSSATKPELLNYGNGVSCLNPWKWFNQKSCSWHPLRHAAIVAIENSSVRDKLLFHGNEHVADNLDETNHLLKKQKIKSIHVNDDEEVVNSQSNGRPYLCTGYDIYLVWEPCTMCAMALVHQRVKRIFYAFPNKSDGALGSVHRLQGEKSLNHHYAVFRVMLPQESFDECLM >cds-PLY80292.1 pep primary_assembly:Lsat_Salinas_v7:3:209205509:209205769:1 gene:gene-LSAT_3X123241 transcript:rna-gnl|WGS:NBSK|LSAT_3X123241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNIYDVYQTVDMVDPCWTSSSLPKTRRPRQRCDKNRISGRDLEGGGGGKHRPGPDLDDGGGKKHRSGPDLANLDDGGGRNRKSGP >cds-PLY84051.1 pep primary_assembly:Lsat_Salinas_v7:6:192547106:192550134:-1 gene:gene-LSAT_6X118221 transcript:rna-gnl|WGS:NBSK|LSAT_6X118221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMSIFLWEVDNDNIAHRVGDTPELEMNVIELGSPKSVPLEDENKPDLLETESSIIDQILSTGSEGLTKGLDDSDSGDGEGAKSLGSYRGSDGIADNFNELVPDMAFDFPFELDAFQKEHCTRDFSRKFDVGLLTGDGYSEENLDGGNKSSEYIHDIVIKHVDRHNLLHPETVESLFVLYRITEDSKYREWGWSIFEAFEKYTKAYTHELENKISRLEEENERPRRQKELSSWVSRSPVSEVFARKFMALEVTQILLNAQAVDGSVRKHAEESLKQFQEQNLPGFLLSLSGELVHDEKPVDSRKLAGLILKNALDAKEQHRKYELIQRWLSLDVGVKSQIKTYLLQTLTSPVHEARSTASKFIAKVAGVELP >cds-PLY62942.1 pep primary_assembly:Lsat_Salinas_v7:2:212012418:212013974:1 gene:gene-LSAT_2X132721 transcript:rna-gnl|WGS:NBSK|LSAT_2X132721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTSKTSIDLPVFDVSKPLTPSHLSSLSLACKEWGFFHIINHGISKELYNKLRFLSYQLFDLPYEQKLKAGPLSNIKTYTPHFIASPFFESIRVSGPDFLESAQRSADVLLNHTKSEFSEVLREYGSTMRNLSNKIIEMLLMCLGEDFGKKFEPEFSNSEGYLRIINYSPPKIIKKDIEGLGMHTDMSCITIVYQDESGGLQVRSKEGKWMDIDPCEETLVVNIGDLMQAWSNGKLRSSEHRVVLKEHKNRFSLAFFWCFEDNKVVFAPDEVVGDKSLRAYKPFLCGDYMKFRENSEKGKFEKVGFTVKDFAGT >cds-PLY99649.1 pep primary_assembly:Lsat_Salinas_v7:6:81666024:81668128:1 gene:gene-LSAT_6X57801 transcript:rna-gnl|WGS:NBSK|LSAT_6X57801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNIPRIKLGSQGLEVSAQGLGCMGMSAFYGPPKPEHDMINLIHHAIDAGVTLLDTSDVYGPKTNEILLGKALKGEVRAKVELATKFGINTEDGAYEIRGDPAYIRAACEGSLKRLEIDCIDLYYQHRIDTRVPIEITMGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEDEIIPTCRELGIGIVAYSPLGRGFLSSGPKMAENLTEGDFRQTLPRFQPENLEHNKKLYERVSAIAVKKGCTPSQLALAWVHHQGNDVVPIPGTTKIENLQQNIGALSVKLTPQDMAELESIASGDATKGDRYMDGFPTYLQSDTPPLSSWKA >cds-PLY66350.1 pep primary_assembly:Lsat_Salinas_v7:5:289257352:289258880:-1 gene:gene-LSAT_5X157940 transcript:rna-gnl|WGS:NBSK|LSAT_5X157940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQTFGKEENKDIGPLVGKCYDKYFADPTKKWNSAEFYHAVCETVEEMNKMLGSTQFHVPKSSTLEQAYNKHHKGKEKSLSKDEFQKILQDIILDSGVTGMGIKDILLFIFGIPVITTFIKQRAAPNAVPNDVFIPAVTSASVFLLAKLNKI >cds-PLY69987.1 pep primary_assembly:Lsat_Salinas_v7:8:63702888:63704171:1 gene:gene-LSAT_8X45301 transcript:rna-gnl|WGS:NBSK|LSAT_8X45301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPSHPQDYPPQSYYQPPAPPESNYQPPQYATGIPGQYVVPQPINGKWSSSLCACCSDVPNCCLTCWCPCITFGQIAEIVDKGNTSCGVHGALYAIIAAFTCCGCLYSCIFRSKMRSQYGLHETPCNDCLVHVCCEPCALCQEYRELKHNGFDISIGWQGNMERQNYGVQMPPMTPGGMYR >cds-PLY98401.1 pep primary_assembly:Lsat_Salinas_v7:5:314906628:314909126:-1 gene:gene-LSAT_5X171481 transcript:rna-gnl|WGS:NBSK|LSAT_5X171481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPDATDKLHQASPLVNGVHKNQEEFDPSAPPPFKVADIRAAIPSHCWVKNPWRSLSYVLRDILVISALVAIAVIFKTSSWVWPIYWVAQGTMFWAIFVLGHDCGHGSFSDNPNLNSVVGHILHSSILVPYHGWRISHRTHHQNHGHVENDESWVPLSEKTYKTLDAPTKLLRFKVPFPLFAYPLYLWQRSPGKSGSHFNPYSDMFTPNERHYIVTSTLCWALMVANLAFLSIIIGPTLLFNLYGIPYLIFVMWLDFVTYLHHHGHEQKLPWYRGKEWSYLRGGLTTVDRDYGIFNNIHHDIGTHVIHHLFPQIPHYHLIEATMAAKSVLGDYYREPKKSGWIPVHLIDNLVKSIKQDHYVSDAGDVVYYQTDYRMLGKKME >cds-PLY81782.1 pep primary_assembly:Lsat_Salinas_v7:3:32299906:32303142:-1 gene:gene-LSAT_3X24121 transcript:rna-gnl|WGS:NBSK|LSAT_3X24121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRRILRGRSLNAGRSCLCHLASTSSTTAVATTELKPPTITQFSTAAPTSAKLKFDGSYRLNKLFKGHYFSSNAVGSQQAGGIIDVPLAQTGEGIAECELLKWFVQDGDHVEEYQPLCEVQSDKATIEITSRYKGKVSKILHAPGDIIKVGETLLQLMVDDSAVPFNASDASVGSDGSKSDEHKLELRKSHENDNLSTPAVRSLAKQHGIDLADVTGSGKHGRILKEDVLKYGVEKGIIDDKPAFNPTSIEPMSGPEEQLQEMAESLYHDKIFSLRAYQRAMVKSMTAAASVPHFHYVEEINCDGLMKLKSAFQKENTDPDIKFTFLPVLIKSLSMALTTHPLVNSTFNLENYEVTLKGSHNIGIAMATPSGLVVPNIKNVQSLSILEITKELSRLIKLAMANKLPPADIAGGTITLSNIGSIGGKFGSPLINVPEVAIIALGKIQKVASFREDGSIYPVSLMTVNIAADHRILDGANVAIFCKEWKMYIEKPELLLLHMR >cds-PLY76957.1 pep primary_assembly:Lsat_Salinas_v7:6:65684220:65686354:-1 gene:gene-LSAT_6X47480 transcript:rna-gnl|WGS:NBSK|LSAT_6X47480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDGFPATRLFNQGYSYTYDDVIFLPHYIDFSTDSVQLNTKLSRNINLSIPCVASPMDTVTEASMAVSMAALGGIGIIHSNNTASEQSSLIRSAKSHRIPFASTDIPFLSPDDSISSASVFNNSPCVFITNDKNNKNLLGVVDKLTWESLPDKEARISSYMQKNVVTLPNTCNLKDVAGYLTKKEMDFVPLVSGEGEVIDVVSKWDVERINGFPKTSGFPSVGENGEFLVGASIGTREKDKERLEHVVKAGANVIVIDSSQGNSIYQIEMIKYVKKTFPNLDVIGGNVVTMYQAQNLIQAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSSVAAQSGVPVIADGGISNSGHIVKALTLGASTVMMGSFLAGSTEAPGAYTNQGGERVKKYRGMGSLEAMRKGSDARYLGDTAKMKIAQGVVGAVADKGSVLKFIPYTMHAVKQGFQDLGASSLYSAHDLLRSGVLRLEVRTGAAQVEGGVHGLVSYEKKSF >cds-PLY81991.1 pep primary_assembly:Lsat_Salinas_v7:8:263505154:263508645:1 gene:gene-LSAT_8X152540 transcript:rna-gnl|WGS:NBSK|LSAT_8X152540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPSIVRLCNMNDGHVNVKSLEPFSVLTSLKKRKRPPMIEIPNVLQVIESAAEKFRPKSCESRSDVFSSSGFGVGVCSVKGRKLFMEDTHTIVSSSNTDKGFFGVYDGHGGRKAADFVAQNLHSNIFEMLEKSSGNTTTEEVIKAAFMKTDDEFLKQGLESGSCCVTAFIEGKELVVSNLGDCRAVLSRKGKAEALTKDHRASHEDERKRIQDKGGYVELHRGTWRVHGVLAVSRSIGDAHLKDWVLGEPETTILPLTDDLEYLILASDGLWDEVGNQEAVDMVTRCMRNISSKPKKVYRVKQIKQRINNNIINNKWKETENENENENEEPSLKVRRISHVDQVNGMMPLPCGLVDSCKELLNLAVSRGSLDDITVMILDLKAFN >cds-PLY64041.1 pep primary_assembly:Lsat_Salinas_v7:8:94251136:94252107:1 gene:gene-LSAT_8X66781 transcript:rna-gnl|WGS:NBSK|LSAT_8X66781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTQATSSIAPSSQVPFQRSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWKISGDMENRDESERDLEAGDSKPDNNNKEPPVLEEKYLVIMAGQAKPTFLATPVSSRASSFGSCSSRDNTSSDSKSSISDGEMMDEKEKHVTNTTDQDNTADQVP >cds-PLY89740.1 pep primary_assembly:Lsat_Salinas_v7:1:59169867:59173186:1 gene:gene-LSAT_1X50300 transcript:rna-gnl|WGS:NBSK|LSAT_1X50300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIKEVKARQIFDSRGNPTVEVDIALSNGTWHRAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVSNVNTIIGPAIVGKDPTDQTGIDNYMVQELDGTKNEWGWCKQKLGANAILAVSLALCKAGASVKNIPLYKHIANLAGNKKLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIGQAGYTGKVVIGMDVAASEFYGKDKTYDLNFKEENNNGSQKISGVQLKDLYKSFVSEYPIVSIEDPFDQDDWETYAKMTAECGDKVQIVGDDLLVTNPTRVKKAISEKTCNALLLKVNQIGSVTESIEAVKMSKQAGWGVMASHRSGETEDTFIADLSVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGSEAVYAGANFRKPVEPY >cds-PLY91713.1 pep primary_assembly:Lsat_Salinas_v7:7:26634748:26641542:1 gene:gene-LSAT_7X19061 transcript:rna-gnl|WGS:NBSK|LSAT_7X19061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II C-terminal domain phosphatase-like 1 [Source:Projected from Arabidopsis thaliana (AT4G21670) UniProtKB/Swiss-Prot;Acc:Q5YDB6] MYKSVVAVYDGEKVIGDVEVYFNPHLMNLNMREKLKDKIRISYYSTPSERCSPLAVLHTITSPTTGVCLKMECSKTINSNSQLNLLHSTCLRENKTAVVPLGGEELHLVAMRSRRNDMFPCFWGFSVAPGLYESCLVMLNLRCLGIVFDLDETLIVANTLRSFEDRIEALQRKVSCEADPQRVAGMIGEIKRYQDDRNILKQYADSDQVVDNGKLLKAQSEVVPPLSDNHQPLVRPLIRLQDKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSNLITTKELLNRIVCVKSGLKKSLFNVFQDGNCHPKMSLVIDDRLKVWDEKDQPRVHVVPAFAPYYAPQAEANSPVPILCVARNVACNVRGGFFKDFDDGLLQRINEVAHEDEIKDILPPDVSNYLISEDDASALNGSKEPLGFDGMADVEVERRLKEAMASSTIPTVIPPTLDPVIKTTFQYNTPPTSIPIIPPAIPGPIVQYPNKSTPVLEPFTQVNLAETSLHGSPAREEGEVPESELDPDTRRRLLILQHGMDMRDQTTSEPQFPVRPPMQVSAPRVEPPRGGWFPMGEEMGPRQLNRIVPPPKEFPLHSEPLHIDKKHPLRAPPFVHKVETPILPDRVLESQRLPKEVFQRDDRLRLSHSSSVFPPFSGEESSLGHSLSSNRDMEIEAGNNENFAESPAEYLHFIAYKCGTKVEFRQALVPSVELQFSFEVWFAGEKIGEGSGRTRREAQHQAAEASLMNLADKYLSRAKSGGGHGEEGRFTNDGNSFGHQSLPLLREESMSMAFSTASGPTRSLDSKLEGPGPKKGSIAALNELCMMEGLGMSFQPQPQVSNNMGQNNELYAQVEIDGEVWGKGVGLTWDEAKMQAAETAFVNLKARIGQYPPQKRQPSPRSFQGMGAKRFRPEYPRVVQRMPSSARYPKNASSVP >cds-PLY95245.1 pep primary_assembly:Lsat_Salinas_v7:8:269105555:269106939:-1 gene:gene-LSAT_8X155981 transcript:rna-gnl|WGS:NBSK|LSAT_8X155981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRGMFKKIKEFREFKEANPESKKVHKYTVPGFMLLFKIWILETFPEATKFYIRTLTELPRMRAWRSSHGLEGEFESTPTHVEPSLDVGEHRTKEMTVIVRPQRKRGLPWYQRTPFTLMQSTPKLKKITKAKKKKVVKSPKKANEDIVNEESNDVSNHLLLDSVEATSMLTFWKDGIVSLPT >cds-PLY61977.1 pep primary_assembly:Lsat_Salinas_v7:5:158972732:158974040:-1 gene:gene-LSAT_5X69161 transcript:rna-gnl|WGS:NBSK|LSAT_5X69161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNFPEVVLGQVGMSLYWLRLAVVSTLFIHGTDGSRLAFLLSEFVEGKVTEQDLGSNDFELPDIDDSFTYSGSNGSNTQNDEEEDSESSIKLLDKVVELDKVSLNMEMVSRVEMDKVRSELSIVQSEKVVLESKLVGYKDVDEEVSTLKAMIESLELVKIGLVDKIAMLEHVVQNLKGEWGCVLGAIS >cds-PLY93311.1 pep primary_assembly:Lsat_Salinas_v7:4:300938866:300945532:1 gene:gene-LSAT_4X150800 transcript:rna-gnl|WGS:NBSK|LSAT_4X150800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIAVILLILLSIDRKTLADSVKQPEAIGYGYSLRSIALGSSGKSLVADLQLNKKSSLFGPDIDELKLFASFETTDCLRIRITDAKHQRWEIPTHVLPRQPRTYNFPPKSDQKIPSKLLLSDPASDLSLTLHNTTTTTTTQFGFTITRRSTGDILFDTSDTVLIFKDQYLELTSSLPANRSSIYGIGEHTKRSFKLTHNQTLTLWNADIGSANPDVNLYGSHPYYMDVRSPDSDGKVVAGTTHGVLLLNSNGMDVQYKGDSITYKVIGGVLDFYFFSGPSPTLVMDQYTRLIGRPTPMPYWSFGFHQCRWGYKDVDDLESVVAGYAKANIPLEVMWTDIDYMDAYKDFTLDPINFPLDKMSAFVKTLHQNGQKYVLILDPGISVNTTYETYIRGLKGDIYIKREGIPYVGEVWPGSVNFPDFLNPKGRIFWGNEINRFHNLLPFDGIWLDMNEESNFISSPPIPTSKLDNPPYKINNSGTQMPINNKTVPASSLHFGNITAYDAHNLYGFMEARSTKASLVKITGKRPFILSRSTFVGSGRFTAHWTGDNAATWDDLAYSIPSILNSGLFGIPMVGADICGFSGDTTEELCQRWIQLGAFYPFARDHSDIQSTRQELYLWDSVAATSRKVLSLRYQMLPYLYMLMYEAHSKGTPIARPLFFSFPEDTNTYDISTQFLLGKGVLISPVLTPNTVSIDAYFPSGNWFDLFNYSNSVSVESGSYVTLDSPADHINVHIREGNIIALQREGLTTEIVKESPFHILVAVGGSENSTGEVFLDDGEELGFGGEGGNWTLVRFSTRFEGKVVILRSEVENGSFALSRKWIIEKLTFIGLENVSGKTACDDVGHTGTVKICGVGKFRTVEMMGLSMLIGEGFEFTLDLGGGGGEETRRKL >cds-PLY97433.1 pep primary_assembly:Lsat_Salinas_v7:4:16627747:16627992:1 gene:gene-LSAT_4X10501 transcript:rna-gnl|WGS:NBSK|LSAT_4X10501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVRPSILKIMKFLAFQRFHKDVWTRDNLDLFINSLSVLEFTISHKTEQDVGFDRSIDDQSSYQLHNFTATPQEKKLRWRV >cds-PLY75314.1 pep primary_assembly:Lsat_Salinas_v7:3:58060970:58062166:-1 gene:gene-LSAT_3X45641 transcript:rna-gnl|WGS:NBSK|LSAT_3X45641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGTESDIDLATITDRMAFKKVVQSGDVEDAIEKVNDLNLEQSFLEELERTVALLAFVDVKNSPIGDLLDISQHLKTASEVNAAILTSQSHEKDPKLTSFLRMLLWSQNQLDEKAAYPRITYQPHLKTPLFDLVKLLKYLLLPIKKPQV >cds-PLY67490.1 pep primary_assembly:Lsat_Salinas_v7:6:68955635:68957033:1 gene:gene-LSAT_6X50420 transcript:rna-gnl|WGS:NBSK|LSAT_6X50420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIKPIAPVLLFVLLQYSSAKVVTYNVLSFGANANGFIDSTTAFLNVWNLSCASTNPAIIYVPKGRYLISSELTFSGITCKSKAIRFNIDGTLVAPSNTYNALGNTEVWIKLYRANNVTISGGILDANGTPLWNCKSSGKKTCPRGATTFGIYHSQNIVISNMKSLNSQMFHIIIYACNNTKLEGVNISAPGLSPNTDGIHLMNSKDVTILNSKISTGDDCISIGPGNSNVWIEKVVCGPGHGISIGSLGWEEKEAGVQNVTVKNATLIGSQNGLRIKTWARRSNGFVKDVVFQHASMENVRNPIIIDANYCPHKQKCPNQVTGVKISNVVYEDVYGTSATRVAVKFDCKKGKPCTGIRLKDVNLQYEGQPAESFCSYVDGTASGLLQPTSCL >cds-PLY81532.1 pep primary_assembly:Lsat_Salinas_v7:2:126968120:126970693:-1 gene:gene-LSAT_2X59461 transcript:rna-gnl|WGS:NBSK|LSAT_2X59461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHFINTKRRTSFKFIRLFPPSIYHTNTFPAAELCGSSKFDMLMANIIPTFLLLLTLSGLFVGTYALGVGINYGQIANNLPPASHVSVLLKSLNISRVKLYDADPKVLSSFANSNVEFIIGLGNEYLQRMQDPQEAQMWIQQNVQPYLSQTRITCITVGNEILGGQDIQLAPYLYPAMQSMYNALVNLGLHQQVYVTTAHSLQILATSFPPSQGAFREDLIQYIQPILTFHAQTNSPFLINVYPYFAYKNDPNNVPLEYVLFEPNPGAIDPNTNLKYDNMLYAQIDAVYSAIKALNHVNIQVQISETGWPSKGDENEFGATVQNAGIYHKNLIQRMQQRQGTPANPTQPIDIYVFALFNENMKPGPTSERNYGLYYPNGTPVYNLGVQGYLPRMHFSASMKNGLSIFNLLFLLIGYLIFV >cds-PLY88823.1 pep primary_assembly:Lsat_Salinas_v7:4:92057210:92057754:1 gene:gene-LSAT_4X60581 transcript:rna-gnl|WGS:NBSK|LSAT_4X60581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWAETDDENDNWVYYSDPDLQQKQNLLCDEKGEKENRPNPSVSVGGNINNGKNREVKIMLSKKKLEELLGKVEDLRNIPVDRILDRLIDFSDGFEFDDPHQQQQPWRPNLQSIPEEN >cds-PLY77042.1 pep primary_assembly:Lsat_Salinas_v7:8:152394110:152395308:-1 gene:gene-LSAT_8X102981 transcript:rna-gnl|WGS:NBSK|LSAT_8X102981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKLQHLKIRLEDILLATKNFDKENFIAKGGFGSVYKGELQSSDGVIDVAVKRLDPESEQEKHEFMMEITTLSTYRHKNLVYLVGFCDTGNEQILVYEHENRGSLDKYIHNPVDLTWMKSLKISNGAAHGLNYLHDEVGKHMKFKYVHFVTFSWDEEIIVISGMVTLSDGTYPGYIIISSLTFITNKKTHGPYGSVTGTPFSVPWDKESFAGFYGRAGFYIEAIGVYLRATI >cds-PLY73323.1 pep primary_assembly:Lsat_Salinas_v7:8:260090153:260092571:-1 gene:gene-LSAT_8X150721 transcript:rna-gnl|WGS:NBSK|LSAT_8X150721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10700) UniProtKB/Swiss-Prot;Acc:Q93Z66] MAASPSPPPPSLPHPNKPLIRTITTTTAALFHSSLHRRRSLSRRRVHIQCEHGSFDNGAHHLQPQQQQQQWTVDCVTGSDPIHIIIKPPSSKASPMPMNSGLKNSKKVCLFYAAEMEALAQRIAAQSDAIELRSISWRKFEDGFPNLSIPNAHGIRGEHVAFLASFSSPGVIFEQLSVSYALPKLFTSSFTLVLPFFPTGTSERMEDEGDIATAFTLARFLSNIPISRGGPTSVVIYDIHALQERFYFDDNVLPCFESGIPLLKSRLQQLPDSENISIAFPDDGAWKRFHKQLQHFPVIICAKVREGDQRIVRIKEGDPKGRHVVIVDDLVQSGGTLIECQKVLAEHGAKKVSAYVTHGVFPKRSWERFKHDTGGRPDSGLTYFWITDSCPLTVKEVKNKPPFEVLSLASSIAAALQI >cds-PLY73056.1 pep primary_assembly:Lsat_Salinas_v7:2:30247252:30248850:-1 gene:gene-LSAT_2X11960 transcript:rna-gnl|WGS:NBSK|LSAT_2X11960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRTRFSGPSSCSGLGSTRSGGAFEAYSVYEFSYAFILLRSPPPPLRSLLPFPLCSGSDTHRLSSTMRLRYAMVCSSNQNRSMEAHFILKREGFDVSSYGTGTHVKLPGPSLREPNVYEFGTPYKQMYEELRRKDPELYKRNGILPMLKRNLGVKTAPQRWQENIADGQFDVVFTFEEKVFDMVAEDLHTRDHILLKPVLVINLEVKDNHEEASIGARQTLTLCQELEATDRWEDVIDDVISTFEQRHRRKVVYTISFY >cds-PLY89830.1 pep primary_assembly:Lsat_Salinas_v7:4:323949475:323952696:1 gene:gene-LSAT_4X161660 transcript:rna-gnl|WGS:NBSK|LSAT_4X161660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENKMRMRNKYRKPTTLHCYAGSRYSKLSIVVCSLIGCLIMFHFYFLNQSQQSQTGVPQMQSNYHPLYRELIEVEDDTIKIPPPRKRSPRAIKRKPKRPTTLIEEFLDESSQLRYLFFPGVKTAIDPMKDGGNESFYYHPGRIWLDTDGNPIQAHGGGILYDDRSSMYYWYGEYKDGPTYHAHKKAAARVDIIGVGCYSSKDLWKWKNEGIVLPAEQTDETHDLHISNVLERPKVIYNQNTNKYIMWMHIDDTNYTKAAVGIAVSDSPTGPFTYIKSTRPHDSDSRDMTIFKDDDNTAYLIYSSDDNSELHIGPLTQDYLDVTPVVRRVLVGQHREAPALFKHEGTYYMVTSGCTGWAPNEALAHAAENIMGPWETMGNPCVGGNKVFRLTTFFAQSTFVFPLPGFPGVFVFMADRWNPADLRDSRYVWLPLLVGGPVDRPLDYSFGFPLWPRVSIYWHKRWRLPYKLSAKK >cds-PLY85774.1 pep primary_assembly:Lsat_Salinas_v7:1:48033937:48035624:-1 gene:gene-LSAT_1X42040 transcript:rna-gnl|WGS:NBSK|LSAT_1X42040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCGNQESKAFSEEAGPPELALVGEVVGNYKPLNLGFGPWSIREYICGVQRMIQRSLKSIVQRSRDTNPICIHHKWLQFSTVFLQKDEEVKARHRRVTYDDLYKVGVQLGKMNIAAWTSKEDTEKKAMECTTDILAEEAFRVEFEKRVVA >cds-PLY77632.1 pep primary_assembly:Lsat_Salinas_v7:5:113095840:113096186:1 gene:gene-LSAT_5X44680 transcript:rna-gnl|WGS:NBSK|LSAT_5X44680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCEYRFFWNVPLVFETVLMLIRHQRAFPDILLKRTGTIRLIDWSCENSVHIVTNIRFTGRDTAEIGF >cds-PLY85991.1 pep primary_assembly:Lsat_Salinas_v7:3:132218339:132220811:-1 gene:gene-LSAT_3X90300 transcript:rna-gnl|WGS:NBSK|LSAT_3X90300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKIRRFKGGYMGFWHKLSNSLPIEVQCNTKVLAIRCISSSVSVDTMNSSSKEVKTMEFDKIIICGLFPFTNGKIYRSPTYVPQGRSAKEANLYLLTEIRDQDALMNKYDYFKQNLSILKKLLA >cds-PLY88115.1 pep primary_assembly:Lsat_Salinas_v7:9:7834653:7836697:1 gene:gene-LSAT_9X6640 transcript:rna-gnl|WGS:NBSK|LSAT_9X6640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:LCD [Source:Projected from Arabidopsis thaliana (AT3G62130) UniProtKB/TrEMBL;Acc:A0A178VKW4] MGEIRTHRDDPEENSNHIHLPKKPKLSSFITQSQIQQEFAHHQHGVARLNNGSFGSCPGSIIAAQKRWQLKFLQQPDDFFFNQLPTQILRSRTLIKDIINADDVSEVSIVDNATTAAAIVLQQVGWAFAEGRFHKGDAVVMLHCAFQAVKKSIEAYVTRAGGSVIVVHLPFPIKSNEEIISEFRKGLARGKANGRKVRLAIIDHITSMPSLIIPVRELVKICREEGVSQVFVDAAHAVGSVSVDVKDIGADFYVSNLHKWFFCPPSVALLYCRKSSLSSSELHHPVVSHEYGNGLAIESAWIGTRDYSSQLLVPEVIDFINRFEGGMDGIRDRNHEAVVEMAEMLAKAWGTSLGSPPEMCPSMAMVGLPSSLGVLSDGDASRLRNHLRDQFKVEVPIHYQPLKDTAAAEEVEGGSADFITGYARISHQVYNTIDDYLKFRDAINQLLHDSFNCKMLPYPS >cds-PLY70675.1 pep primary_assembly:Lsat_Salinas_v7:5:71853856:71857709:-1 gene:gene-LSAT_5X34141 transcript:rna-gnl|WGS:NBSK|LSAT_5X34141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSITVTVISVVTASMLASADRSGMKEDLVAAATADVDGDLSYGYFVKLANYLFQTDGSGYQHVWPEMEFGWKIVVGSFIGFCGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSATAISKCMIMGAAASTVYYNLKLRHPSLDMPIIDYDLALLIQPMLMLGISIGVSFNVIFADWMVTVLLIILFIGTSTKAFCRGVDTWNKETIMKKEAAKRLEPTGADAVDYKFLPGGPSNETKAEEALKEEVTVWENVCWKEFGLLCVVWVAFLALQIAKTYTTTCSILYWVLNLLQIPVSFGVSGYEAISLYKGSRKISSKGDSDTNLTVGQLILYCSCGVLAGMVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLKRFPVPYALYFLVVATIAAFVGQHVVRRIIIILGRASLIIFILAFTIFVSAISLGGVGISNMIDKIERHEYMGFENLCKYEV >cds-PLY83404.1 pep primary_assembly:Lsat_Salinas_v7:8:1353265:1355699:-1 gene:gene-LSAT_8X1141 transcript:rna-gnl|WGS:NBSK|LSAT_8X1141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPMRAGVYVSGKMKLLIAVLVLQLCFAGFHIVSRVALNIGVSKVVYPVYRNLLALVLLAPFAYFYEKLEKVNIARRDGLAKVIGTLASVGGATVITLYKGPPLVHQDASVINHEDMLSGSPKMLNWTWGCIYLLGHCLSWAGWMVFQAPVVKKYPAKLSLTSFTCFFGLIQFMVIAAFFERDPMKWKIKSGEEIFTILYAGIVSSGIVLSLQTWCIQKGGPVFVAIFQPVQTVLVAIMAFAILHDQLYLGGLLGAMLIMMGLYSVLWGKTEEQRIEAGQDEKESPLTKHLLDDDAKIQEYNTVSDIP >cds-PLY82110.1 pep primary_assembly:Lsat_Salinas_v7:1:13815709:13818562:-1 gene:gene-LSAT_1X11340 transcript:rna-gnl|WGS:NBSK|LSAT_1X11340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSLSMEVEESEATNIYIPEDWSEAADTIAYGDAHTPTAFICGPKNSGKSTFSRHVLHVLLRRHKRVAFLDTDVGQPEFTPPGCLSLTLLDSESIDLKSQPERCFFFGDISSKRDPELYLKYIFALYDHYHKHHHSSSPNNNEVPLIINTPGWVKGIGYDVLVDMLNHIAPSHVVNICVSAKSKNLPSGTFWSQDTDAAKEVTLIEIKSANHQDSLNRSVVAHKDSRHIRDLSIITYFTHCFSSDMRMNMMSSIKRIAQALASHPPYEVSMSAVNIKHLHTQVPEAEIFYSLNASIVGLAVSRQGSGNLPQCVGLGIVRGVDTLRRVVYIITPVPQHLLEDVDLLLQGFIQIPTCLLQVHYVLRFFLLKHYTLKNPLKCSFFFFSY >cds-PLY71064.1 pep primary_assembly:Lsat_Salinas_v7:3:162132084:162132834:1 gene:gene-LSAT_3X100760 transcript:rna-gnl|WGS:NBSK|LSAT_3X100760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPPHAPTQNNTTQKGERANMKGATVAMLAMIIAMALLMVHQTEAISCGDLANMISPCLGYLQSGGSPTKQCCDGARKVQGATHSQADRRTACNCAKSAAGQFKVRQDTASNLPGKCGISTTIPINPNVDCNSIP >cds-PLY93638.1 pep primary_assembly:Lsat_Salinas_v7:1:187140613:187148989:-1 gene:gene-LSAT_1X119721 transcript:rna-gnl|WGS:NBSK|LSAT_1X119721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTPAVVCLPLCHLYLSRMLGCQEEPLRSTPPLERTGRRVLVGSQDGQRRYAAISPSVAAATSLLRSLATALTPLRLTTSLPRRTAEIDAAIGKDRSKGRGWISRWTAPLHGHFTKCRCRHFASMISGRRSHPSPTYSVVSPPLLRSSTTGRHRNVAAVDVEEGSSTI >cds-PLY63250.1 pep primary_assembly:Lsat_Salinas_v7:4:200356593:200357264:-1 gene:gene-LSAT_4X112200 transcript:rna-gnl|WGS:NBSK|LSAT_4X112200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQQAGSSNTSNQAHMPTSGINTQSYQTAPPNQFTGQYVVDRLNVVDKMVMDYLKSGIDIEEVVIKLVVSLDKIRESIDDMVIEGLIYSSIDENHFKSTGNA >cds-PLY98257.1 pep primary_assembly:Lsat_Salinas_v7:7:174020604:174021883:-1 gene:gene-LSAT_7X102940 transcript:rna-gnl|WGS:NBSK|LSAT_7X102940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQNWPSFAYQMMSMPSTAAQPPNWVDEFLDFSSARRNSHRRSVSDPIAFIESPFVEECRNSNGINNSLMPCSNNNGFERLDDEQFSSMFSDEDVAANLPSTRSTSSNPSTPSDQNSDNDDAKPTPPPEKQQHHHQPKNEPGEVEDGGDCQPETESGKPCFNFCSEGTTIVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQTEVSTLSPRVAFLDHQRLVLNVDNSALKQRIAALAQDKIFKDAHQEALKKEIERLRRVYHEQNMQKAEEVEEEEEVNGATIGGNDGGSAAETGVVRRSEGGGRIC >cds-PLY99409.1 pep primary_assembly:Lsat_Salinas_v7:4:102308366:102310535:1 gene:gene-LSAT_4X66781 transcript:rna-gnl|WGS:NBSK|LSAT_4X66781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRHATKRVLGLGPATSVARTRILPRFYHERVVDHYNNPRNVGAFDKNDPTVGTGLVGAPACGDVMKLQIKVDEETGKITDACFKTFGCGSAIASSSVATEWVKGKQMEEVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKRVRANNNST >cds-PLY89747.1 pep primary_assembly:Lsat_Salinas_v7:1:59294395:59296189:1 gene:gene-LSAT_1X50160 transcript:rna-gnl|WGS:NBSK|LSAT_1X50160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEITIPSGLTQFPVLFLLLIFLFHPISAVASTQELLKGFTATPDSSISSFQPLLSDSLGNYSLGFLRVDRTQLALAIIHVPSQEQLWIAGKSPLARWSSQTRLSFNGSLVISDSHTGVLWSSYTDGYRVRLSNTSNLLIETMGDTSLILWQSFDSPYNTLMENQIFTSAMTLVSSNGLYSMKLGPDFIGFYVNFIDNSDPGHLYYQHNPMEAKARVLKDHGPIQAMLSPDGFLGMYQNGSTPVDVQPFSTFQQPGTGSRRLRLEPDGNLIGYYWTRSSWVVDFKQISDLCDLPSSCGPYGLCQPGKGCSCVDNRTAYSSGGCGTSGGDFCGKKYGVLRRTGVELSNKEIMAYTIMSSLEKCELMCEEKCTCWGAVYSNTSGFCYTIDYPIQTVVEVLDVTKAGYFKVRVGAGKDNKVVGLWVGLGVLCGLVLLLGGVFLYKRERRGVKGYVEEEGVNGGVGPYKNLGSESFKSIELSER >cds-PLY89089.1 pep primary_assembly:Lsat_Salinas_v7:9:28205767:28207951:1 gene:gene-LSAT_9X24720 transcript:rna-gnl|WGS:NBSK|LSAT_9X24720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMHGVPQPLSPNLKPLNSSLSHISFSFQNQPTFSIPICRFGYGGRLNCNFLIRASFPCSPIYRNLDGRRRRIGRNYSKTLVKAGSRESPYQVLGVSPSATPDEIKKAYRKLALKYHPDVNKEPNAQEKFMRIKHAYNTVLNSDSRRKYDSGNRTSEAYKPAQDEEFYGFGNFVRDVQISLGDFLRDLQEEYKNWEASAPSGAKPKSLWEELGEIGEEFVEFLEKELNITDSEDETQRRYEEPATSGKSRTGNDSKGSSIEENIDEIEAALAQLKRELGL >cds-PLY90874.1 pep primary_assembly:Lsat_Salinas_v7:9:161788496:161791857:-1 gene:gene-LSAT_9X101380 transcript:rna-gnl|WGS:NBSK|LSAT_9X101380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGKQGSIIHHVGIVLFLIWLLSSLDYFHPLVYFLSFIYLYLVHDRYAMRLRKKIQFEERKQANQKRVLSDSESVRWLNHSIERIWPICMEEIVSQKILLPIVPWFLQKYKPWTVKEAVVQNIYLGRSPPMFTDMRVCRQSTGDDHLVLELGMNFRTAEDMNAILAVKLTKRLGFGMWTKMHLTGMHIEGKVLLGVKFLPNWPFVGRLRVCFVEPPYFQLTVKPIFAHGLDVTELPGIAGWLDKLLTLAFEETLVEPNMLVVDLEKFVSPKAEPWFCIDAKEPVAYTLVEIIEASGMKASDMNGLADPYVKGQLGAYRFRTKTQKKTLSPKWQEEFKIPITTWESPNILMIEVRDKDHFIDDILGDCCIKINDLRDGDKHDMWLPLQNIKTGRLHITVRVTEVEKKSTSPPCEVDALFDELKKDSSIPNTRKGAASGDHPPEKPPPVADDFEPIDVEGQRQTGIWVHHPGSEVPQIWEPRKGKNRVKPGSNLDDISFSDDSLEGNKVNTRNRVKRGLTKIGSVLNRTLKTEGEKTRSFKKRENYENWESQSPSPRQNVRAVNENGVTVNLVMEENLLSPDGDIKQDEICPESPKRKVKDVAKSILRHAGDSARSMKHVLSGKGSKMRRNAELAVESDSSFEDSIPSPDCEIEGETSPRVGVIDSVEGVETTPESENGSRGDDVERRIMDSLVV >cds-PLY81289.1 pep primary_assembly:Lsat_Salinas_v7:5:73295335:73297739:1 gene:gene-LSAT_5X34640 transcript:rna-gnl|WGS:NBSK|LSAT_5X34640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKCFSFTASRDRCFRYSFSRTGLKSTTITLHDGATVIHFWIPKTPKPNKPNLLLIHGFGANAMWQYNDFISPLVSKFNVYIPDLLFFGESHTTRPERTEHFQAECLVAAMEVVGVIGKIHVMGLSYGGFVGYSMAAKFPEKVQKVVIACSGVCVEESDMDKGLLSVKSIDDATSILLAQTPEKLRELMKVAFFKPPVNVPSCFLSDFIDVMNTEYVQERKELIEALYKGRKVSNLPKITQPTMIIWGEHDKIFPIELGHRLKQHLGENADLIILKNAGHAINAEKPKELYKNMKSFFTLSIAQSTQ >cds-PLY89101.1 pep primary_assembly:Lsat_Salinas_v7:4:145698500:145705270:-1 gene:gene-LSAT_4X88560 transcript:rna-gnl|WGS:NBSK|LSAT_4X88560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSGTNASSSSNTPPPDIETGVLPPINAPDDDFDDPFDITTTKNASTESLRRWRQAALVLNASRRFRYTLDLRKNEEQEKRRRMIRSHAQVIRVQGLSEMLKINLDRGVQRDEDELRNRRNAFGSNTYPVKKGRSFFMFLWEAWQDLTLIILIVAAAASLGIEEGWYDGGSITFAVLLVIFVTATSDYRQSLQFQNLNEEKRNIQIEITRDGRREKISIYDIVVGDVIPLKIGDQVPADGLLIKGHSLAIDESSMTGESKIAHKDQKTPFLMSGCKVADGAGTMMVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLAVALLVLGVLLFRFFTGNSRNPDGTIQFVHGKTSVSEAVDDAIKIFTAAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGQKKIDPPEDGLQYHSTVSSLLNEGIAQNTAGSVFSSKDGACIEVSGSPTEKAILHWGVKIGMNFDVVRNQSTLLHVSPFNSIKKRGGVVLQGGDSQVRIHWKGAAEIVLASCKEYVDTDGSLQSIDNDMEYFKKAIEEMAARSLRCVAIAYRNYHVDGVPVDEEQLAEWNLPEDDLVLLSIVGIKDPPRVGVRNAVKLCTDAGVKVRMVTGDNIQTAKAIAMECGILDSGEDATEPNVIEGKTFREYSEKEREYTSKHISVMGRSSPSDKLLLVQTLRKLGEVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGDVPLNTVQLLWVNLIMDTLGALALATEPPTDHLMHRSPVGRRYSYIHTYIHTYIHEVDSLFFLREPLVTNIMWRNLIIQALYQVAVLLVFNFRGLAILNLKNNEHGNAVKNTLIFNGFVLCQIFNEFNARKPDEMNVFSGVTKNYLFMGIVGTTFILQVMIIQFLGKFTSTVRLSLNLWILSIGIGIFSWPLAIAGKLIPVPETPLARVFSKPYQHCIASRNR >cds-PLY89906.1 pep primary_assembly:Lsat_Salinas_v7:8:68730110:68730689:-1 gene:gene-LSAT_8X49061 transcript:rna-gnl|WGS:NBSK|LSAT_8X49061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALVQNQTGNPGKRIKLLLLQNESRCWWKIVEATLPKVQKLSNMILKKEQEVDAAPSNNKPGLSDAIQISHEDGFNEKKWRSVYR >cds-PLY89949.1 pep primary_assembly:Lsat_Salinas_v7:2:191872344:191872499:-1 gene:gene-LSAT_2X113440 transcript:rna-gnl|WGS:NBSK|LSAT_2X113440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCLQKHYLVTKIRDRNLSKENNHLHPVSLCGASRINLDLNSATALGKITHI >cds-PLY87143.1 pep primary_assembly:Lsat_Salinas_v7:5:259266486:259268286:1 gene:gene-LSAT_5X129440 transcript:rna-gnl|WGS:NBSK|LSAT_5X129440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSQAPLGLPTIDFSDVHKYNRGTLVWDSTKTQVLEALQDYGCFEASFNRISPATRKSMFASLEQLFDLPLETKVRNIPDKIFNGYIGQAKEIPIYESLGIEDPESFTNLMWPHGNTEFSNNIQVYREKLREVDEIVRTMVLESLHMEKYIEEHMELTSNLIRVMKYRAPEKDESNMGLLSHADKNMLTILHQNEVEGLQVQKKDGEWIKVKLSSNSFVVMVGETFRVWTNGRLHAATHRVVMSGDKNRFSIGFFSVPKWGKILKAPEEMVDDEHPILFKPFDFGEFMKFFSRKENVNDKFGLEKYCGVSY >cds-PLY92676.1 pep primary_assembly:Lsat_Salinas_v7:4:153418152:153418698:1 gene:gene-LSAT_4X94201 transcript:rna-gnl|WGS:NBSK|LSAT_4X94201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNGTNTSGFGYDTSTHSVTVEPTVWDSYIQVHKEAGKWRNKIFPHYKDLCIIFGKDRAQGNKAKDFAQKEEDANNEEQSEQIEDGFEEQTTENEESPNIGSKKRKRVEALIKGITIAANVLGEKLEKAANSMDQAILGETEVQKKSFNGDS >cds-PLY93609.1 pep primary_assembly:Lsat_Salinas_v7:2:175329556:175331589:1 gene:gene-LSAT_2X99420 transcript:rna-gnl|WGS:NBSK|LSAT_2X99420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor RAX1 [Source:Projected from Arabidopsis thaliana (AT5G23000) UniProtKB/Swiss-Prot;Acc:Q9FG68] MGRAPCCDKENVKRGPWSPEEDAKLKSFIDKYGTGGNWIALPHKAGLKRCGKSCRLRWLNYLRPNIKHGEFTDDEDKIICSLYASIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLAMLPSFQKKASFFPSISLQSPSPYRSSDQFMTDNSSLFYGYTNFMNMNNNINSLLTPTTNTTTGVQDHLISPSPPPPPGADLNSLIGLMTNNIDNNENSFYLGYQENHQSMYNTPMEYHYLTSDVKEPMLIFGSGGEGHEVSTTGSSSEAGSSLSQISYENFSKDHYHKQHQHPIKQEEFTLQGFRDHNQSFIINHDYTGQKQKVNSLKNYESALHSDLEEVKQLIGNTNSSSSSYLFNDDDEYKTTDDRREICYHY >cds-PLY78995.1 pep primary_assembly:Lsat_Salinas_v7:3:7967061:7970887:-1 gene:gene-LSAT_3X4120 transcript:rna-gnl|WGS:NBSK|LSAT_3X4120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSTHNKLTSVMVFSGWFTILAAAVLLFHGVSFAQTDPGYSFMHQATTAPIVSYYDYIIVGGGTAGCPLAATLSQNSSVLLLERGGSPYGNDNITDLAAFGAALSDLSPSSPSQRFVSTDGVVNARARVLGGGSCLNAGFYTRAGADYIRLSGWDARLVNESYKWVEDMVAFQPPVRQWQAAVRDGLVEAGVQPYNGFTYEHMYGTKVGGTIFDPNGHRHTAADLLRYANSSGLTVLLYAPVNKILFTRLGGIQKPRAHGVIFKDANGVDHRAYLKRGSTNEILVSSGSLGSPQLLMLSGVGPRRQLKAHNITMVLEQPMVGLGMSDNPMNAVFVPSPQPVEVSLIQIVGITRNGTYIESACGENFAGGARTLDYGMFSPKIGQLSTVPPKQRTQKAIDKAVEDMKALPQSAFVGGFILEKIVGPISTGHLEIRSRDPNVNPSVTFNYFKDPRDLQRCVDGIKIIESVIESKAFSPFKVDSLSIINLLNMTASSPVNLLPKHANASRSLEQFCKDTVMTIWHYHGGCELDRVVDRDYKVIGIDALRVIDGSTFRNSPGTNPQATVMMLGRYMGVKLLRERLATVRPN >cds-PLY65533.1 pep primary_assembly:Lsat_Salinas_v7:9:143724555:143724902:-1 gene:gene-LSAT_9X91921 transcript:rna-gnl|WGS:NBSK|LSAT_9X91921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPIPPPSPDTINSNNSILSRDGLYLNNLHTIFCDCGDKIAEQKGEVERMKEEMGRDYLHSRVDVLNMQQRFEKVEKQIKAIALLVVGLVVVMLLLLIFIIHXLMICIIHLIVSN >cds-PLY79785.1 pep primary_assembly:Lsat_Salinas_v7:8:275611541:275614840:-1 gene:gene-LSAT_8X157940 transcript:rna-gnl|WGS:NBSK|LSAT_8X157940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNPRGLGHLLIFLCAFLFAGGTYTCLGDGNTSVICSEQERLALLKFKDSVRDPSGMLSSWVGNDCCMWKGIYCEETVQSLHLEGCFLSGNAVNSSLVELRNLKHLDLSGNVFEGSQIPKFIGSLKQLTYLNLSGFEGIIPPHIGNLSNLKVLDISRNEGRLMADDMAWASGLSSLEHLDLSSVDLHGAQNMDTLFYMLPSLKELSLSDCGLSDADLGLFVNSSRILPNIRHLDLGHNHFQGPLPGFLQNMSSLTFLDLSYFDLSLAWNFANLLSMIPSLSELHLSGCRLDKTQLSSPHLNLSTLSNIRHLVLSENSIEGVFPSVFTNMTSLRVLDLSRNMLNSSVPVIPNLLDLDLSGNRFKQIGDVGIWKQCHVNRLHASDNYFEIEMNDSRKNDSECSQYALEWLDLRRCSIGTIPEPLGRLTNLRWIDLSLSKLTGPIPESLGKLRSLEVLDLSLNQLTGPLPVLLGNLSELDLSFNQLNGSIPESFGNLAALTYMDLSSNQLTGPIPASLGKLVSLHSVSVSSNLLNGTIPISIGQLGKLHSLDFSNNSLEGIVSEDHFANLSMLKYLDTSSNTKLTFNVSHNWIPPFQLLFLRLSSCNIANGFPHWLRSQRNLYELALSNASIFGPLPRWLRTMPVIPSLDLSHNKLNGPLTNLPYGYVPSLSLECNIFNDSILRKSLDFLDVSRNRLTGKIPKCLESLQRLYNILGSNNQVAGVIPSFKALNLFRRLKLNDNNFVGELPRELGNLRGLRILDLGGNKLSGNIPELVIEDLKSLVVLRLHKNNFTGRIPWSLCKASNLQILDVANNNLEGHIPRCLGELNAMVNNSGFQQIAGSVNDYENVDQVMKGVDLEYTRTWDMVYNMDLSSNQLVGEIPIELTALFMLVGLNLSHNHLSGFIPDNIGNMSALNSLDLSGNELIGVIPPSMAALTFLSYLNLSHNHLSGLIPMGNQLQTLTDPSVYEGNKDLCGPPLPKTCPIHKDLTTTKTKFEAGDEKTNVWLFYVDIICGFTIGFWGVIGVFLFKKQWRQKLFMFAEETMEKIYVVVVVRVNKIRRGREAT >cds-PLY97939.1 pep primary_assembly:Lsat_Salinas_v7:3:17944278:17946629:1 gene:gene-LSAT_3X13580 transcript:rna-gnl|WGS:NBSK|LSAT_3X13580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNNNGVEWSIRMGDGSSMVLEPEHKYGVMRVWDGLKGLIMRFVMRVWMFLKKAWDLGVDEPRKFFHCLKVGVALMVVSLFYYMRPLYDGVGGSAIWAVMTVVVVFEYTVGGTLYKCLNRICATLLAGFLALGVHWVASHSGHQFEPFIMGTSLFILASATTFSRFIPVVKARFDYGCTIFILTYSLVSVSGYRVEHLLHVAHERISTIIIGTCLCIITSMLIFPVWAGTELHLLIPRNMDKLAKSLDCCVAEYFGHDDEESKKSSQGYKCVLNSKASEEAMENFARWEPAHGQFNFRHPWKKYLKIGGSSRSCAYCIETLTSCLDSKNQVPESLKNHLDSSCMNLSSSASRVIRELATIISTTTRSNKIDMAVEDMKNAVQELQNDLKSLPDLLVQPHDKGEESSTPKSLEKNDRVPSQITVIPLMEVIPIVSFASLLMETASRIEENIVKAVEELADSAHFKEPEDKMKPKHNPTSKIVSDEEGALQCV >cds-PLY93217.1 pep primary_assembly:Lsat_Salinas_v7:6:159182602:159183773:-1 gene:gene-LSAT_6X97761 transcript:rna-gnl|WGS:NBSK|LSAT_6X97761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDATQEEMKFVGLLGIFSKSFKTVFAWKKIFTQITLTLILPLAIIFIAHTEISCRFFHQIEEDPYQMFVNAYEYYRSYISQTSPTELLFYILFKITSIALVTLFSLLSTAAVVYAIASVYTGSDVTYRKLMKVVPKVWKRLTVSFICMFLTFFAYNLISSVVFFLSSVIFMEFYILFAISIPYIFGFLYLAIVWQLAIVISVLESCYGLKAMIKSMDLMKGKRRLALMVSFLLYGLLGSVVFMYLALVVLDAAELAVVWQAAIGILCCVLLVIMFLLIMVIQTVLYLVCKSHHREAIDKVGLSTYLGAYLSNSQPAFMVGEDIQLGGSQNQPVSQV >cds-PLY66220.1 pep primary_assembly:Lsat_Salinas_v7:1:176110067:176113278:-1 gene:gene-LSAT_1X116521 transcript:rna-gnl|WGS:NBSK|LSAT_1X116521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP22 [Source:Projected from Arabidopsis thaliana (AT2G38730) UniProtKB/Swiss-Prot;Acc:Q9ZVJ4] MASGIAGGGAGGGVEWHQRPPNARNPIVFFDVTIGSIPAGRIKMELFADIVPKTAENFRQFCTGEKSGLPVGYKGCQFHRIIKDFMIQAGDFLKGDGSGCVSIYGSKFEDENFIAKHTGPGLLSMANSGPNSNGCQFFLTCAKCDWLDNKHVVFGRVLGDGLLVVRKIENVATGPNNRPKLPCIIAECGEM >cds-PLY71710.1 pep primary_assembly:Lsat_Salinas_v7:3:44977605:44981756:-1 gene:gene-LSAT_3X33700 transcript:rna-gnl|WGS:NBSK|LSAT_3X33700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVYPTLVLLSGTIFFFLSCSAAVDTISANQPIKDGSTIVSDGETYELGFFSPRKSKNRYLGIWYKKISTCTIVWVANRETPISIASAVFELSREGTLLILSGDNYTNTVIWSSDSVASVNVINPVAQLLDSGNLVVWDENSTKENPIWQSFDYPGNTLLPGMKLGKDLVKERERYLTSWQSPDDPSIGVYKYWLDTNGYPQIFERKGSVEHSRLGPWNGLGFRGLPIENTNPIYSVEFVVNQNELYYRYKLKSSVIQRIIVMWDGIILHLNWIERTQEWVTYQNIVVDSCSRYGPCGPYGICAHIRSPPCSCMEGFEVKVPEEWNAGDWSSGCQRKKPLDCGIGGDHGFQKISGVKFPDTRRSWYNLSMSLGECEMACMKNCSCTAYANLDIRNGGSGCLLWFGELMDMRQYDENQHLYIRMATTELSGNRTHNAHKDHSSLQSENLDELPSFSMHEISMATDDFNIDNKIGEGGFGPVYKGLLKNGQVIAVKRLSKTSNQGLDEFKNEVICIAKLQHRNLVKLLGYCIHGNEKILIYEYMDNQSLDSFLFDEIRRSMLDWPQRFGIIHGIARGILYLHQDSRLQIIHRDLKAANILLDSEMNPKISDFGLARKFVGQDGMARTKKVVGTYGYIPPEYAIHGRFSIKSDVFSFGVIMLEIVCGKKNRGFSHEGHSDNLLGHAWRLYREDKSIEVMCASLRNSCVVPEVLRSIHVGLLCVQHHAEDRPTMLSVVLMLISESTLPPPKKPAFFNEDSYPEVVSVSSQEEYMITLLYAR >cds-PLY95797.1 pep primary_assembly:Lsat_Salinas_v7:7:92683207:92687382:-1 gene:gene-LSAT_7X64280 transcript:rna-gnl|WGS:NBSK|LSAT_7X64280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKASKKRLPVEFNFVARRVICNNESSFTYECGYILRKSCSLQHKEGRLVPKEEKFPLRHKLTEIAKKKVVARGKRKMETRNGSKSTIRYHVELGHDVDFSSGHIETWRLTHCDEEKGWKSTDMAAKYEEMKKMRNEHSLESMSEKLILEKVLGRSSVRLFGWGRDPVVVGNIAGSTEKSKHPSYDELVDELETMKREHEAMKQILXILEFLTVKYFNNEQFEADEYDKYLKRYEDDALKTQRSLAVLNFGQSLVFSTALSAAMVLTSNGILNGQMTVGDLVTWDEDPMYLSYVPHLIPTHTPPIVPV >cds-PLY92778.1 pep primary_assembly:Lsat_Salinas_v7:2:149772404:149777235:-1 gene:gene-LSAT_2X75781 transcript:rna-gnl|WGS:NBSK|LSAT_2X75781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTLTSTECRLSVKGVGERVNRTPDNSMRRDLKVVVLSSDSKKNMKPKVNVKKFAAGKSRFSKSKKRYRNKKVSMMISCLIESYDMTEDSGIHRENELRTKRRFDRCKIKGDEVVVLNSCSGKDNVTVKNLDEVEDSDFEDAKPVVTRKKRMHYTSFKNDDKENVKKFKRQKNKEGNVVKARKPPKVVGNDAEEARQIQVRTSPNVLYSCMHNLSKEQEAYISSIGLGHLLNMKVDGCASIMGHYIVRNFDADRMVLNLHHGEIPINRQVIHEMLGLPLGNVTINSMPYREVTDDTIIVWMKQFDDEDNIRPRAVQQFIMQSTRADLLFKVNDAGGVKSSGRRCKGNQGDEIFSGSGESVETTISTIKEMYDMLLQQKKVLEDKINDAVKKYPENQLVKEWKNKVNDLFNEVSASEEPEQSQWWYDNVAEIERTLILATTHKQFDNSPIAKCSIQMSQEYADFANRSGRKSFKTTPPSKMEMPIPLYVVPFNNDEHWVSRRGYRPRMKSEYLKSPYIIRAVDIIKGVPRQEKRVA >cds-PLY91459.1 pep primary_assembly:Lsat_Salinas_v7:MU039433.1:350490:351043:-1 gene:gene-LSAT_0X26221 transcript:rna-gnl|WGS:NBSK|LSAT_0X26221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDIGLYKAQTKLPFNAFGTMAMAREEFENNSGSSQVFWLLKESELTPSNANILDGRYAVFGYVTENEDFLADLKVGDVIESVQVVAGLDNLVNPSYKIVG >cds-PLY78076.1 pep primary_assembly:Lsat_Salinas_v7:3:256157045:256161642:-1 gene:gene-LSAT_3X139900 transcript:rna-gnl|WGS:NBSK|LSAT_3X139900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFKHERYTRFKGISSDQSYSSDQQNPRKYGLKPYLRSVVDKIQRGFNQASRGVGGLKNSLIFPESSSDKPTKQVVLISKKKKKILDPQGSFLQKWNKIFVLLSIIAVSLDPLFFYIPVIDGDQKCIGMDNNMKIISCVLRTLIDIIYILHIIFKFRTGFIAPPSRIFGRGELIEDSYAIAKHYFCSYFIVDVLAILPLPQVTILFIIPMSNGPVSLVTKDLIKFVIFAQYIPRLLRIFPLYREVTRTSGIFTETPWAGAAFNLLIYMLGSHIIGSFWYLFAIEREDDCWRNACNKNNNCDAKYLYCDEKREGDYSWLNTSCIMLQPDQIKNSSDFDFGIFLDAFESHIVETKDFPQKFLYCFWWGLRSLSSLGQNLKTSTYEWEILFAVLISVLGLVLFSFLIGNMQKYLQSITVRVEEMRVKRRDAELWMSHRMLPDELKSRVRRYEQYKWQENRGVDEESLIHNLPKDLRRDIKRHLCLSLLMRVPMFEIMDERLLDAMCDCLKPVLYTENSCIVREGDPVDEMLFVMRGELLTMTTNGGRTGFFNSSYLKAGDFCGDELLTWALDPNLSSTLPLSTRTVKPLTDVEAFALKAEHLRFVASQFRRMHSRRFQHTFRYYSQQWRTWGACFIQVAWRRHCRRKQEAALWEEERRLQEALAKGGETASPSLGAAIYASRFAANILRNLRRNPSHGTKLMAPVVPTLLPQKPVEPSFSDDDLE >cds-PLY73892.1 pep primary_assembly:Lsat_Salinas_v7:3:37752412:37755239:1 gene:gene-LSAT_3X28540 transcript:rna-gnl|WGS:NBSK|LSAT_3X28540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLVKEHYKRISGSELPIKSAQVTESTDFNELVEREPWLSSSKLVVKPDMLFGKRGKSGLVALNLDLAQVAEFVKERLGKEVVMGGCQGPITTFIVEPFVPHNEEFYINIVSERLGCSISFSECGGIDIEENWDKVKTIFLPTGVSLNQEICAPLVATLPLEFKSVIEQFITHIYSLFIDLDFTFLEMNPFTLVDGKAYPLDMRGELDDTAAFKNFKKWGSIEFPMPFGRVMSATESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGQRRALVVGGGIANFTDVAATFNGIIRAMKEKVAKLKAANMHIYVRRGGPNYQRGLARMRALGAELGIPIEVYGPEATMTGICKQAIECISVSA >cds-PLY89614.1 pep primary_assembly:Lsat_Salinas_v7:9:39836838:39840653:-1 gene:gene-LSAT_9X37320 transcript:rna-gnl|WGS:NBSK|LSAT_9X37320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma interferon responsive lysosomal thiol (GILT) reductase family protein [Source:Projected from Arabidopsis thaliana (AT5G01580) UniProtKB/TrEMBL;Acc:Q9M017] MVVLIFLLAMARSYGVEDKVKVSLYYESLCPYCANFIVNQLGKALYEGNLVSIVDLRMIPWGNTQFAPNNAWICQHGPDECLIDMVEACAIDLLPHSGLWFEMIKCIEELNLQGKHGEWKSCMNSLKIHPQPITDCYQSRKGVDLELKFADETNHLNPPHRFVPWLLVNDKPLQEDYQNFVAYICNAYRGQNKPKACEQHVLETNAFKEANSSHHVCYSGEIEQSLPFNYNL >cds-PLY82314.1 pep primary_assembly:Lsat_Salinas_v7:1:66940193:66940735:-1 gene:gene-LSAT_1X58080 transcript:rna-gnl|WGS:NBSK|LSAT_1X58080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVITYTDEHTSIIPPSRIFKAAILDSHNLMPKLLPDAIKSIKLIKGDGGAGSIKQTNFSGGYVKHQVDEVDENTLTYKYSLIEGMGISDKVEKVSYDIKFEATPDNGTISKMTTTIYTHGDFELKEEELNAEKEKVLGLYKVVEAYLLENPDAYV >cds-PLY83725.1 pep primary_assembly:Lsat_Salinas_v7:4:41868185:41870326:-1 gene:gene-LSAT_4X27800 transcript:rna-gnl|WGS:NBSK|LSAT_4X27800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSNSWSITCFLVILQLLSSGSLYADGCYTSIFSFGDSLADTGNLKQLASISDQVFSLLLPPYGENFFDQSTGRCSNGRLIIDFLAESLGLPLLPPFLHDKDNVVTWGHGVNYAVAGATALNSSILEARGIVNSMTNASLGVQLEWFKQTLPSLCNSVSECRNLIASSLIIMGEIGGNDYNYPILAGKPINEVEPLVPLVIDTIISAINELIDMGAQTLVVPGNFPIGCSSAYLTLCGSENKIDYDNTTGCLINLNKFAEYHNELLQTKLNHLRELHPNVVIMYADYYNAAMQIFLSPDKYGFTNGALKACCGGGGPYNVNATMECGVSASVCDDPDTYVNWDGIHLTESAYRVISRSLFQGAYTTPQFNSLCPAPTSTSTLQVGNGLWNSV >cds-PLY75819.1 pep primary_assembly:Lsat_Salinas_v7:3:67498167:67499480:-1 gene:gene-LSAT_3X52660 transcript:rna-gnl|WGS:NBSK|LSAT_3X52660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGHEDQTPFHRQNHPLQLLEERSGGVNGEEYSTTELQPAPKAKPLQQRGTTKDRHTKVDGRGRRIRMPAVCAARVFQLTRELGHKSDGETIEWLLQQAEPSVIAATGTGTIPANYTSLNISLRGSGSTSMAGPTSYLRNGLSFVTNQPTSTSHFATPVTKDDEFCLDPRSIQMGVTSNYMTQSTIPACHNQIPATAAFLMMGNRNSGSTSPDTRSSRAFSGESTWNFPSTSNTSSNDNHNSNLYNRGSSRTNLNGISDEDVGGGLHLMNFGAQTALLNGQQFGGGALPTTDGMLAALSSIRPTYGGGSSYLINANTTRNE >cds-PLY92692.1 pep primary_assembly:Lsat_Salinas_v7:3:117486382:117486663:1 gene:gene-LSAT_3X82401 transcript:rna-gnl|WGS:NBSK|LSAT_3X82401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARRKLAGVQSATPDALTDHVRLWGLMRPKWRSNEAAGVVGGCCEDQREGGERVSSLWPAMEVLTVVNQRGGSMVDAHCEQGGGTKRSNGSVV >cds-PLY79383.1 pep primary_assembly:Lsat_Salinas_v7:2:46711174:46712023:-1 gene:gene-LSAT_2X21300 transcript:rna-gnl|WGS:NBSK|LSAT_2X21300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYSMKLDKRVPFIGHITGANLLEIQRYEINLGGFRRLFRDEHDDVDINVETGGEDQQLVKFRRDFGDEEVYAAVLEHSYGLILTEKSTMEVALKDGLEKFPDSVLLKQWLEKMNELFRGNHEGTNNTNVNDSDGYNEVNMNDMVDGNGDNTSPVRGLVISELNIEKDVSYNNPVNNNDLTMSQFHRLSGVNDEMIQMLEETELHVYRRKKLMSGLRGGRYGWNKFRRTG >cds-PLY78801.1 pep primary_assembly:Lsat_Salinas_v7:4:314977875:314980576:-1 gene:gene-LSAT_4X158780 transcript:rna-gnl|WGS:NBSK|LSAT_4X158780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFGWRRAFCTSVPREVDSSSAVRATGDDPDHERNGGGGGGGGGGGTPKFGGRFGFFSSSSSNPSTPRLQSQTDLTPRLRCRTSSGNLPEKSASVPVSPKLQCKTTGSHGVFQWSSTPSSPRSPSTFSLLKSNLRLTTNRCGLCLQSVKRGRGVAIYTAECSHGFHFPCIAGHVKQKGSLACPICGTMWKEMPVLSVNDENQKHHFGEEEETLREKLATTLMIDVVEKKNSKLSKQHSFKPDLKIYDDDEPLPSLTPKARFNPIPETDENCDEDSIEEFQGFHSNSNSPVGVHAKDVDVRLLPETAVISAGRNHETNAIILKVKAPSMPPAKSQGRAPIDVVTVVDVSRKMSTEKIQMMKRTMRLIISSLSSSDRLSIVAFSSYSKRLLPLKRMTTTGQRTARRIVDAMAVLEGSSNGIDAVRKAAKVLEDRREKNAAGSIILISDVPDQSSHVSSTRYSHSQTHLDVALHTVKLAINDDHSFAKSIGNLLNVVVQDLQLDFRFISGSPPAEITAVYSYSPKPVALGSGRIRIGELFANEERELLIEMKVPTSAMRVHQVLSVSCTYRESLTHEIIHSKENTLVVPLPNPTTLRSSAITIQRLRSLFVTTRAIAESRRLTARNDIIGAYHILISARALIQQTTSASSPTNEFLTSLEAELNDLQRRRVVQAERATIDTAVEPLTPTSAWRVAEKLAKVAIMRKSLNRVSDLHGFEDARF >cds-PLY99513.1 pep primary_assembly:Lsat_Salinas_v7:1:113474295:113475188:-1 gene:gene-LSAT_1X89280 transcript:rna-gnl|WGS:NBSK|LSAT_1X89280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGALLVLTPPQSPFLFSDYLTSAPPQSSSKKQLTPLSTREPVLPHHQGQMKDPSESGWSTRQPLLT >cds-PLY93007.1 pep primary_assembly:Lsat_Salinas_v7:4:193679856:193681390:1 gene:gene-LSAT_4X110841 transcript:rna-gnl|WGS:NBSK|LSAT_4X110841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILMKIVSSKNTGRKRFAEMKQTVKVAKFGSVIPISRSDFVCELSQAPSDVWVVVILYKDGSNAVCGKPTTTYSATKFVKIISTDCTPNYLDCNLPTENYVGLHTFGRRCTLEDPVNDGLNGEASREAVLEGVRKRFIDKSGLLGRHLLPKHLQMKQELTSSAKRGFLAKGIMWKQKHNNK >cds-PLY78968.1 pep primary_assembly:Lsat_Salinas_v7:3:70281581:70282195:1 gene:gene-LSAT_3X55781 transcript:rna-gnl|WGS:NBSK|LSAT_3X55781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPRKHQQQAGSKRLRRNSIDVPRKKLCTRRGGGKIGSTTIGTQESMVQKVPVVDEGENVMGDNVAQSVVQQVLVVEEGVVQEEGVVQEVPVIHIQDGHMMQEGESSQASVMEGSDTFGQVGSNIGRKLKSINDEIEQGIDAILEGVNFTNKTKSNPLNGDNEVEDNQFIEFTEGKEDDILPEKIQLGPEEIASMLEAGYSMQK >cds-PLY80707.1 pep primary_assembly:Lsat_Salinas_v7:5:218874987:218875542:-1 gene:gene-LSAT_5X103401 transcript:rna-gnl|WGS:NBSK|LSAT_5X103401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISCGGQSITALVVQSLVRLVVMMAEEPAASVTTILYRSGILPQNTILGRFVHHGRFLDDNNIFFNFIVHFLRCLW >cds-PLY75232.1 pep primary_assembly:Lsat_Salinas_v7:MU043036.1:358901:360994:1 gene:gene-LSAT_0X5781 transcript:rna-gnl|WGS:NBSK|LSAT_0X5781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRKDMDVMKLMMSDYAVEPVNDSINEINVEFHGPKESLYEGGVWKVRVELPDAYPYKSPSIGFLNRIFHPNVDELSGSVCLDVINQSWSPMFDLLNVFEVFLPQLLLYPNPSDPLNGDAASLMIKDKEQYEKKVKEYCELYAKKENINGNTLGDESDEDESNEDISDGRSESSEEDVAGNADP >cds-PLY99006.1 pep primary_assembly:Lsat_Salinas_v7:5:28733856:28734704:1 gene:gene-LSAT_5X14380 transcript:rna-gnl|WGS:NBSK|LSAT_5X14380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSLTTSLATLKTKGRRRSMTSCISVNALSPHRSNLIPIHQQQPIHLIPNPHHRLHPKPPPRSTMITRPAITGAAASCLFFLLSLLYILFSHTDHSGSRWPFFYIPVVGVLVVGGFLVVMARATMVTLITVFVMLSCVGKRRRVLVLEGKKISSEVVMHLFKVVIKERSFVAIACAVFCTTMAMVLV >cds-PLY68296.1 pep primary_assembly:Lsat_Salinas_v7:1:27622267:27624015:1 gene:gene-LSAT_1X23421 transcript:rna-gnl|WGS:NBSK|LSAT_1X23421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal transporter Nramp5 [Source:Projected from Arabidopsis thaliana (AT4G18790) UniProtKB/Swiss-Prot;Acc:Q9SN36] MGNPPPLKQDDDDDIYTYDADNINVDREIDRLLSSDDEPDQLSYRHGSHLLHIETSPVTNHAALPPLPPFSWKKLWKFTGPGFLMSTAFLDPGNLEGDLQTGAVSGYSLLWLLLWSAVMGLLIQLLSARLGVVTGRHMAEICRDEYPYWAILVLWFMAEIALITADIQEVIGSAIAIKLLSNGVVPLWAGVLITACDCPKCSINCLTENQLQSAGLLIPRVGSKTIRKAVGLLGGIITPHNVFLYSAVVQSRKIDPKKKGQVQEALYYYTIESSLAIFVTFMINMFVTTIFAKAFYNTKEANTIGLLNAGYYLEEKYGKDVFPIFYIWGIGLLAAGQSSTLTGTYAGQFIMGGFLDLDMRKWLRTLITRSCAIVPTIIVAIYFNRSEDSLDVLNQWVNVLQGMQIPFAVIPLVRLVSCERIMGPFRIKPSMEV >cds-PLY78945.1 pep primary_assembly:Lsat_Salinas_v7:8:2829229:2829381:-1 gene:gene-LSAT_8X641 transcript:rna-gnl|WGS:NBSK|LSAT_8X641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTGLAVGAAAGLLGGLAISEGIDYMGDQIAEDAAEKVEEDLGYDVQDDE >cds-PLY80841.1 pep primary_assembly:Lsat_Salinas_v7:6:111260756:111261950:1 gene:gene-LSAT_6X67801 transcript:rna-gnl|WGS:NBSK|LSAT_6X67801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFDKQADAYLDARPTYPADWYSMLADRTSSHSLAWDIGTGNGQAAIGVAEHYDQVIGTDVSEAQLKLAKAHPRVRYLHTPLTLSDDELINLIGGENSVDLVTIAQAVHWFDLPRLYSIINRVLRKPNGVFAVWGYNDFAITPEIDAALKRFHETTIPYWNEKISYVFDGYQSLPFPFESVGLGSEGSPLKLDIPKELAFEGVLGMLKSWSAVVTAKERGVDLLSESVVKELENVWGGSKVVRHVVYKGFMIAGRLSRLKV >cds-PLY67085.1 pep primary_assembly:Lsat_Salinas_v7:5:280307968:280312315:-1 gene:gene-LSAT_5X146681 transcript:rna-gnl|WGS:NBSK|LSAT_5X146681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose nonfermenting 4-like protein [Source:Projected from Arabidopsis thaliana (AT1G09020) UniProtKB/Swiss-Prot;Acc:Q944A6] MIPRFSVSETPGVTGDSMDIDGDPFHHSDANPKILEADVELSRHRISVFLSTHTAYELLPESGKVVALDVSLPVKQAFHILYEQGISVAPLWDHNVGRFVGILSPLDFILILRELGNHGSDLTEEELETHAISAWKEGKLQLTRKFDTNGPLNPRRLIDAGPHDSLKDVALKLLQNKVATIPIISQDGSIPQLLHLASLTGVLKCICRHFKHSPASLPILQQPICSIPLGTWVPKIGESNGRPFAMLRANASLSDALSLLVQAEVSAVPIVDENDSLLDIYCRSDITALAKDRAYAQIHLNELSIHQALQLTQEASASYGFFNGQRCHMCLGSDPLHKVMDRLAIPGVRRLVIVEAGSKRVEGIISLTDLFRFLIG >cds-PLY81374.1 pep primary_assembly:Lsat_Salinas_v7:4:36137084:36141739:-1 gene:gene-LSAT_4X23060 transcript:rna-gnl|WGS:NBSK|LSAT_4X23060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVKMQGHHHLVAVSLNEQQLGTKRKYKSYIPRTNQRKYLALWIISFGFVAIYVYKCIDAGHQERIEEGLVSMCDQRARMLQDQFRVSVNHVHALAVLVSTFHYYKNPSVIDQETFAEYTARTAFERPLLSGVAYAQRVMNYEREELERQHDGTIRTMTKEPSPFRDEYAPVIFAQETVSYLKLVDMMSGEEDRENILRARATGKAVLTSPFKLLGSNHLGVVLTFPVYKSKLPPNASVQERIAATAGYLGGAFDVESLVENLLGQLAENQEIVVKVFDVTNTSNPLITYGRQTEDGDMSHTRVSMLDFGDPFRKHQMTCRYLHKAPISLTAFATATLGYVIVILAGYMFYTGAIHIVQVEDDFDTMQQLKVRAEAADVAKSQFLATVSHEIRTPMNGILGMLALLLDTELSSTQRDYAQTAQACGRALIALINEVLDRAKIEAGKLDLESVPFDIRSILDDVLSLFSEKSRHKGIEMAVLVSDKVPQIVIGDPGRFRQVITNLVGNSVKFTEKGHIFVQVHHADHSKAVVMDMAKSNGCGSHFKTLSGCEAADDRNNWDTVKQLAAPIENVVNLIVSVEDTGIGIPAHAQDLVFMPFMQADSSTSRLYGGTGIGLSISKCLVELMGGQISFVSRPQIGSTFSFTVALKTCKNGDVKKSLYNDDLPNSFQGLKVTLVDSKPIRAAVTRYHLQRLGIIVKIIDTIKTAEDLGDSKPDLLLIEKDTWLLNESMIKIQNFPKKMVLATNITTPEFDKLKSAGFSDTVIRKPLRASMVAACLQQVLGTGQKAETRAKGSFYLRGLLCGKKILVVDDNRVNRRVAAGALKKFGAAVECAESGVAALALLQLPHAFDACFMDIQMPEMDGFEVTRRIRMMEREANEVVGSIEWHLPILAMTADVIHATFEECKRSGMDGYVSKPFEEENLYQAVAKFFESKTGEHTQNG >cds-PLY89996.1 pep primary_assembly:Lsat_Salinas_v7:3:84176644:84179638:-1 gene:gene-LSAT_3X64860 transcript:rna-gnl|WGS:NBSK|LSAT_3X64860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYLLFFSLALLIITLSTIPNFASASLEEANALLKWKASLEIPKNSLLSSWISLALNSSASVPCTSWFGVVCNSDGSIQKLNLTSSGLNGTLHRFPFSLLQNLMHFDLSVNNFFGPIPPEIHLLSKLVYLDFSENTFSGVIPPEIGNMHQLTILYLHSNNISGPIPIELGNFKSLTDFKVNNNQISGSIPSSLGNLTSLNVLSLYGNHLSGLIPIELGNLKSLTNLDVSDNQLSGSVPSSLGDLTSLNILNLFQNQLSGPIPTELGNLMSLTHLVVCKNQFNGSIPSSLVNLSNLQWLILSFNKLSGPILSEIGKLKYLTHLSLRTNHLSGFIPSSFGDLTSLNQLYMHENELVGPIPSELGKLKSLTDFKVNNNKISGSIPPEFGNLTQLQRLDLSSNNLVGEIPKEFGKMKSMLDLSLAGNRLSGVIPLELGFCELLEVLDLSKNRLNGSIPTSIGQWAQIHYLNLSNNMLSEKIPSEIGKLVHLTELDLSHNFLMKEIPSEVQSLQSLQKLNLSHNRLSGSIPDVFTNLPRGIDINLSYNELSGIVPLSTNFVNASIGSNPDLCGNITGVKLCPSQIMKKKNDPYHHKLILVIMLSLIGAVLLGVFMYGFIAYQHQKKNSPQKPSDEESGDYFSIISFDGAVVYDDILEATNNFDEAYSIGTGGYGTVYKAELQPNNVVAVKKLHSGSENVDHNGFLNEVRALTNIRHRNIVKLYGYCSHVRHSFLIYEYLENGSLGSILRSDVLSKELDWFKRVNIVKGVANGLAYMHHDCSPPIIHRDISIANILLDSKCEAHISDFGTSKLLKLDSSNWTAIAGTYGYIAPELAFTMVVNEKCDVYSFGVVALEVIMGKHPGDLITSLPTLASDYLVPENVEDSRIPPPSSQVEKQVWLGLSLARACLNSNPLERPTMQQVSNRLMKDLF >cds-PLY98779.1 pep primary_assembly:Lsat_Salinas_v7:7:22809325:22810401:1 gene:gene-LSAT_7X18160 transcript:rna-gnl|WGS:NBSK|LSAT_7X18160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKFMLCTLMLFVMTGFVHVNGILHLGSECSQTGNTTGNTIYQANLKTLLDSLVNDSPLQGGFLNTTIGTGSNQVYGLAWCRADVSPITCSKCLNESISVPLRDCPESKDLVIWSSLCSLRFSNESFFGELWNSSSSSSYGGNTLDEASVFSRGFAMMEALGRNVSDRPLMFDTDVIDAGNDGKRYGLGQCSRDLSKLDCENCLEELLVTYRRFVMNRTGWEMLGVSCGLWYDDVQFSDDDSGLTPTPIGSGVGERLCTGDYVILAFVAFLLFHWIA >cds-PLY80805.1 pep primary_assembly:Lsat_Salinas_v7:5:240417356:240419784:1 gene:gene-LSAT_5X118760 transcript:rna-gnl|WGS:NBSK|LSAT_5X118760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVEFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVQKSFDDLNNWREEFLIQASPSDPENFPFVVLGNKVDVDGGNSRVVSEKKARAWCASKGNIPYFETSAKEGINVEEAFQVIAKNALKSGEEEEIYLPDTIDVGSSNQQRASGCDC >cds-PLY79962.1 pep primary_assembly:Lsat_Salinas_v7:MU042064.1:3918:4424:-1 gene:gene-LSAT_0X44220 transcript:rna-gnl|WGS:NBSK|LSAT_0X44220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTRNSVHHRPKFLHLEAFVADQRISSCDEDDFDNFEMIKFDIWNTNDDYHINTQIPISKSMVTSRKQPIKPPRSNQRTLPNTGSLPVNVPDWSKILRDAYKQSDSDHVDDDDCRGGCDDHWLPPHEYLSRIRSASLSVHEGVGRTLKGRDLSRLRNAIWKQTGFEQD >cds-PLY91508.1 pep primary_assembly:Lsat_Salinas_v7:7:141836887:141840661:1 gene:gene-LSAT_7X84860 transcript:rna-gnl|WGS:NBSK|LSAT_7X84860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLFLLLLTSSSSLIAFSQTIVKTLPGYPGPLPFKLETGYIGVGEDEAVQLFYYFVESEGNPEEDPLIIWLAGGPGCGTLRAFFYEIGPMQIQYGNYMDNVPALQLDPNSWTKVANVIYLDAPTLTGYSYTKTPEAVRSSDTLSASQTAEFLRKFVKTHPKFLKNPMYVTGISYSGIVIPIITEELYKGNDEGLEPIVNIQGYMGGNPLTDKTGDINSRLEYAYRVALISEELFESTKRSCKGDYAEADSNNLQCMLDIDEVNKRVGDINIQQILDPDCDPATNLVRGGNPIIRRGNRKSLQANPIKMLPERSSFADSFCRGDYYNYATLWANDENVMRALNVREGTVKEWLLCNLDMKYNYGKPSMPSYEFNIQSSIVYHEKLSKRNCRALIFSGDHDMMVPHVGTRNWINSINLTITDSNWDAWYANGQSAGYKTSYAHDNYTLVFATVKGAGHTAPEFKPAECFEMVKRWFAHRPI >cds-PLY83323.1 pep primary_assembly:Lsat_Salinas_v7:1:62050982:62051471:1 gene:gene-LSAT_1X53120 transcript:rna-gnl|WGS:NBSK|LSAT_1X53120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDGGVIPSSTNCDKCCQWALNWASSSMREEEEASIPRDVPKGHLVVYVGKNQRRFVIKVKLLKHPLFSALLDQAREEYDFSTESRLTIPCDEDMFLSVVQCAMSPQDRRIPLCL >cds-PLY63560.1 pep primary_assembly:Lsat_Salinas_v7:9:149846277:149846701:1 gene:gene-LSAT_9X95100 transcript:rna-gnl|WGS:NBSK|LSAT_9X95100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAHTLGRDLTTEEWESFELRFSFIVEHGVQIFLLDASLYSSPEGKVGIPIALFEAGIRVFRERAEPIVVNKIVGFELLDYALGHLPTVPTFKHFFNVSTQSGTRTLSCRLGVATLIHDKKSKKN >cds-PLY96042.1 pep primary_assembly:Lsat_Salinas_v7:8:21768285:21772171:1 gene:gene-LSAT_8X16261 transcript:rna-gnl|WGS:NBSK|LSAT_8X16261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMPKGWFWSVCKRGRPYIGVLFLQLGYAINNLIVKSALNEGLNPYTFSVYRNIAAAVAFGPFALYFERKIRSQMTFSVFWKISLLALIEPVLDQILYYTGMKYTTATFAIAMCNVLPALTFVMAWIFRLEKVNVKKLHSQGKILGTLITVGGAMVMTLVNGPPVPLPWTKGTGVHQVVASTALVSQDQHIKGAIMITAGCFCWASFYILQAMTLKEYPAQLSLTTLICMMGALQGTVVTLLIENGKSGIWSMHKRTEIIATLYSGIIRSGASYYVSGLVMKEKGPFFVTAFNPLGMVIVAIVSSFALAERLLLGRVVGAFIIVLGLYLIIWGKSKDSSLSSSKNNDVEMFDKETSNDKNQDSTIVSKEGHKGEANV >cds-PLY65423.1 pep primary_assembly:Lsat_Salinas_v7:9:184467671:184470711:-1 gene:gene-LSAT_9X113340 transcript:rna-gnl|WGS:NBSK|LSAT_9X113340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metallopeptidase EGY3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17870) UniProtKB/Swiss-Prot;Acc:Q9LMU1] MVVSCSIPAITHSVSSLSGTLHKKTNNPRIIINSLLKHPSHHKPHRIFTLYNPKRLKISVKAERETEESTVTVASVERENENGEIHLGTKPSEENGGESEGNEDQQELDWKTDEDFKKFMGNPSIEAAIKLEKKRTDRKLKELDRERSSDNPLVGLFNQVLRDNLSREKQRLEKAEESFKALDLNKLKNCFGFTTFFATDARRFGDGGIFIGNLRRPIEEVIPTLEQKLSEAAGREVVLWFMEEKSDDITKQVCVVQPKSEIDLQFELTKLSTPSGYISAIALAVTTFGTIALMSGFFLKPNATFNDYLADVVPLFTGFLTILGVSEIATRVTAARYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYLTSLALAVAAFVADGSFNGGDNALYIRPQFFYNNPLLSFIQFVIGPYTDDLGNVLPYAVEGVGVPVDPLAFAGLLGMVVTTLNLLPIGRLEGGRIAQAMFGRSTAALLSFAASFLLVIGGFSGSVLCLAWGLFSTFFRGGEEIPAKDEITPLGDDRYAWGFVLGLICLLTLFPNGGGTFSSTFLNGPYFRGDL >cds-PLY64517.1 pep primary_assembly:Lsat_Salinas_v7:8:124127517:124128079:1 gene:gene-LSAT_8X85941 transcript:rna-gnl|WGS:NBSK|LSAT_8X85941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKWGKWVAEVRQPNSRDRIWLGSYDTAEEAARAYDAALFCLRGPSALINFPAHPPDIPVTADLSPSQIQVAASRHARGLSTDSSSLTQVGNPKTGYQEDPESNIFREYRSYFPAEDGGGSGDGGGDDENVVFETQRLWAY >cds-PLY88140.1 pep primary_assembly:Lsat_Salinas_v7:MU040217.1:165720:172540:1 gene:gene-LSAT_0X31381 transcript:rna-gnl|WGS:NBSK|LSAT_0X31381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMYLVTLKLSDLLVHLVENRMLQYGQPQIPGGGGGGGGGGEAAGGVGGGGGASSAQKLTTNDALTYLKEVKDMFQDQREKYDMFLDVMKDFKAQRIDTTGVIARVKELFKGHNNLIFGFNTFLPKGYEITVIEDDEAPPKRTIEFEEAISFVNKIKKRFQNDDHVYKSFLDILNMYRKEHKGINEVYHEVATLFDDHPDLLDEFTRFLPDASAAASAHQASFLRQSYNRYDERSSAMAPLRHTQIDKQRGRRDKIIPPHGERDPSVEGHEMEDDKTMMKLHKEQRKRSEKEIRDRRNRDQDFKEPDIDANRDMKHLEKRKSARKVEDFGVNSGLAPYDDKDALKSLYSQEFTFCEKVKDRLRNTDDYQAFLKCLHIFSTEIITRKELQSLVSDLLGKHPDLMEGFSAFLERCENIDGFLAGVMDKKALWNEGHVSKSSRIEEKEREHRREADAAKEDMYKEKYWGKSIQELDLSNCQRCTPSYRLLPDDYPIPSVSQRSELGSQVLNDLWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTSKRAEDLLNNINNKSITTEGPIRIEEHFTALDLRCIERLYGDHGLDVMDILRRNPSLALPVILTRLKQKQEDWSKCRSDFNKVWAEIYAKNHYKSLDHRSFYFKQQDSKNLSTKSLVAEIKEIKEKSQKDDDVVLSIAAGSRHYIVPNLEYEFKDKDIHEDLLKLIKYSCEEICTSKEQLNKVLRLWTSFLEPILGVPFRPQNPNSVEDAEISSHGVIVTPKTEINGNPDADCDNGAQTTLPDQDSNKNSLVNGDGLTLTKEEDLKTMVNGDKVSNSNGTWGLDSGPVDLSGREASTSRPNNVNEDAHESKSKIDKIPSSQHRDLITAANGGGLNEAAKIEKEEGELSPNNDFDEGNFVRYGDNNSHRGGGGGGDDEDSVNVSEGGGDVSGSESAADDCSQEDHEEGDDLDGDGDGDGKAESEGEAEGVEEDGGGGDLTILPPSEQFLSTAKPLAKRVAAPLCDNGEIKDLHVFYGNDSFYVLFRLHQVLYERLLSAKLNSTSAETKWRSSNKDTSSPDLYSRFMSALYNLLDGSADNAKFEDDCRAILGNQSYVLFTLDKLIYKLVKQLQSVAGDEMDNKLLQLYEYEKSRKPEKFIDSVYYENAHVLLHDENIYRFQCLTGPTSRLTVQLMDDGNEKPEVVAVSVDPNFASYLYKDFLSVAPTKKQSGILMNRNKRRFSDVDESSSITVAMEGADVVNGLEYKMSCSSSKVSKGILVIFD >cds-PLY93193.1 pep primary_assembly:Lsat_Salinas_v7:8:109325598:109327348:-1 gene:gene-LSAT_8X75220 transcript:rna-gnl|WGS:NBSK|LSAT_8X75220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKASPNSEKSLPTSWGNGAGSGPGQRIEDKITTKNVTSLGKKQGFDDLVKNLVNCNLFDGDWVRDDSYPLYKPGSCSLIDEQFNCFSNGRPDLGFQNYKWKPNGCSLPRLDGSKMLKLLKGKRLVFVGDSLNRNMWESLVCILRNSLKDQTKVYEASGRHHFRSEASYSFVFKDYDCTVEFFVAPFLVQEWEIKDKNGTQKETLRLDLISSSADQYKTADVVVFNTGHWWTHDKTSKGEDYYQEGNHVYKELNVLEAFRKAMTTWGNWVDANMNPKKTSVFFRGYSASHFSGGQWNSGGACDHESEPIKNTTYLTPYPDKMIVLEKVFKGMKNRVSYLNITRLTDFRKDGHPSVYRKKHYTAEEIKSPLHYQDCSHWCLPGVPDAWNEILYAQLLVKQYQNQQQKL >cds-PLY67604.1 pep primary_assembly:Lsat_Salinas_v7:6:123364161:123366170:1 gene:gene-LSAT_6X75341 transcript:rna-gnl|WGS:NBSK|LSAT_6X75341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDSSKSWQPFIANCCSVKDQTVFNNFSRCRTSRSDFSKNVTPSPSFRHLSFSDLSQSSSMRINEDIAQTFGPDLFDFKLSELRAITQNFSSNFLLGEGGFGTVHKGYVDDNMRCGMKAQAVAVKLLDIEGLQGHREWLAEVIFLGQLRHPNLVKLIGYCCEEEERLLVYEFMPRGSLENHLFKRISVCLPWGNRLKIAIGAAKGLAFLHGADKPVIYRDFKTSNILLDSDFNAKLSDFGLATMGPEGSNTHVTTRVMGTYGYAAPEYVNTGHLTTKSDIYSFGVVLIELLTGKRAMDKTRPKSEQYLVDWAKPYMTSSRRLRCIIDPRLTGQYSVRGVKEMAVLALNCVNLNPKDRPKMTEIIETLERLENLKDMAVTCGQWPVASRKTARDAVFSPKGRKEINGGHIYWKQTPVKNIKVKG >cds-PLY94711.1 pep primary_assembly:Lsat_Salinas_v7:2:85805074:85805331:-1 gene:gene-LSAT_2X38621 transcript:rna-gnl|WGS:NBSK|LSAT_2X38621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRTFFFYLDFIFKLTVQNRQAKVSVFPSVTALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIAKVMRLSSMVKELAGSQGQ >cds-PLY96642.1 pep primary_assembly:Lsat_Salinas_v7:7:46489190:46489420:-1 gene:gene-LSAT_7X35881 transcript:rna-gnl|WGS:NBSK|LSAT_7X35881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHFPVDLEAAGGSSDQHSCREGLGVRLGREWASYPLQWRPETISTVASFSENPHRTSNFSRVFLSVLKLKNGKENL >cds-PLY70728.1 pep primary_assembly:Lsat_Salinas_v7:8:168394463:168396588:-1 gene:gene-LSAT_8X110661 transcript:rna-gnl|WGS:NBSK|LSAT_8X110661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIQMLDIMDRGTDARNFLLGKVIPLRLGYVGVVNRSQEDIMLNRTIKDALVAEEKFFCSRPVYNELVDRCGVPQVAKKLNQILVQHIKTVLPGLKSHISATLVSGSDLKVVYVGVYESFDTICMYLEGDQYVGTDPDKNFWVKYIMDVLNQKNPSKTGWKDSSIYTKTWNNYVLQFMKVSDMLEANAGFLVRDIVVFVCEILDSCPCFEFADLELVAIFIIQLLDGSSQPAWRSK >cds-PLY85738.1 pep primary_assembly:Lsat_Salinas_v7:1:48067065:48070262:-1 gene:gene-LSAT_1X41920 transcript:rna-gnl|WGS:NBSK|LSAT_1X41920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g02330, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G02330) UniProtKB/Swiss-Prot;Acc:Q9FWA6] MPNGHRAKELLHMFKPLNISQLCFASFVVPFFPKQYSTHTHTVAKPLTTSVKRTFSHLYQRCSDRKDLRHGKEAHAHMIVSGFEPTVFVTNCLIQMYLKCSNMEYAHRVFDRMPQRDTVSWNAMIFGYAGIGLLNIAQEMFDLMPERDVVSWNTLISGYLHNGSYWKSLEIFTRIRREGVGLDATTFAVVLKASLGLEDYSLGVQIHGLLIRMGFVHDVVAASATVDMYAKCKKLKESITFFEEMPVKNWVSWSALIAGCVQNDEFLSGLKLFKNMQKEGLGISQSTYASLFRSCACLSALQFGSQLHAHSLKMDFGSDTIVGTATLDMYAKCGQLSDSKKLFHTLSTHNLQSYNAIITGCARLNQGFEALQLFHNLRNTDLGFDDISLSGAFSACAVIKAYTFGLQLQGLTIKSKFLSNVCVQNAILDMYGKCGALADARQVFDEMPTRDAVSWNTIIAAYEQNGNVHETLQLLVQMLSSRFEPDEFTFGSVLKGCSSLKSLKHGMEVHGRIVKSGVSFESFVGSALVDMYSKCGNIEDAKKLHERMEEQTMVSWNAIISGFSTQEQSEESQRFFSKMLESGTKPDNFTFATVLDTCANLATINLGTQIHAQIIKQEMQTDVFISSTLVDMYSKCGNMQDSRLMFEKTVNKDFVTWNAMICGYSQHGLGEEALSVFESMKVNNVKPNHATFVSVLRACAHVGLVEKGLSYFNSMATNYGLEPQLEHYSCMVDILGRAGKVKEALKVINDMPMEADDVIWRTLLGVCKLQKNVEVGELAASWLVKWDPQDSSAYVLLGNIYADVGMWEEVSKVRKKMRCGGLKKEPGCSWIEVKSELHMFVIGDNAHPRCKQIYEKLDELISEMRWLDDHDPFEGWMGA >cds-PLY79259.1 pep primary_assembly:Lsat_Salinas_v7:9:182587337:182588215:1 gene:gene-LSAT_9X112421 transcript:rna-gnl|WGS:NBSK|LSAT_9X112421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNIWNSHPKTYGPGSRTCRVCGNSHGIIRKYGLMCCRQCFHSNAKEIGFIKYR >cds-PLY64583.1 pep primary_assembly:Lsat_Salinas_v7:6:36432988:36434720:1 gene:gene-LSAT_6X28780 transcript:rna-gnl|WGS:NBSK|LSAT_6X28780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNVHFLMIPLMCPGHLIPMVDMAKLIAQHSATVTIVITPRNATRFGSVLHRAVASGHPIRILELQFPASEYGLPEGCESVDDLPTFNLSKNFFDASAKLQEPLEEVFNELKPRPSCIISDKHLAWTSDVAKKFQIPWVIFDGMSCFTQLATHNLCASKVHEHVGDFDPILLPGLPDKITMTKSQLPGLFNPGKSAKAKELKSVREKIRAAEIGAYGTVINTFEELETRYIDEYRKVKQRVWCIGPFSQSNKNDLDKAQRGTNGSITNHECITWLDGQKLGSVVYVCLGSLTRLTPPQFIELALGLEESESPFILVVKGGSQTEEIEKWLEEDGFEERVKGRGVLIHGWAPQVLILSHPSLGAFLTHCGWNSTIEGICAGVPMITWPQFAEQFFNERVAVDVVGTGVGVGAESVMHLGEEDSDLVQVKREDVCKAVRIVMDEGIEGQERREKAKYFRGVAEKALEDGGSSQLNLKLFIEDIIVHMSKGLAG >cds-PLY96789.1 pep primary_assembly:Lsat_Salinas_v7:2:171035949:171038720:-1 gene:gene-LSAT_2X93861 transcript:rna-gnl|WGS:NBSK|LSAT_2X93861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRGIYHLLRGRLHSHSAAPPALSSLILRKDQNDTGSAGVKSLRAIALLGAGVSGILSFTTIASADEAEHGLESPSYPWPHQGILSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVEDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVTIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRKLVLDVVN >cds-PLY70581.1 pep primary_assembly:Lsat_Salinas_v7:1:89388080:89391611:1 gene:gene-LSAT_1X74900 transcript:rna-gnl|WGS:NBSK|LSAT_1X74900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAPVAPKNRLEFLNTRPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSAAAVGAAGPSGVGRGRGKGPGEEEEEEEEAEDKGYDENQKFDEFEGNDVGLFASAEYDEEDKEADAVWDEIDKRMDSRRKDRREARLKEEIEKYRASNPKITEQFADLKRKLVTLSAAEWDSIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEKEHVTALDPKSRAVGGTETPWSQTPDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVIQTNPKHPPGWIAAARLEEVAGKIQTARELIKKGCEECPKNEDVWIEACRLSNPDEAKAVIARGVKAIPNSVKLWMQAAKLEHDDASKSRVLRKGLENIPDSVRLWKAVVELANEEDAKLLLQRAVECCPLHVELWLALARLETYDAAKKVLNKAREKLPKEPAIWITAAKLEEANGNTTMVGKIIERGIRALQREGLEIDREAWMKEAEASERAGSVVTCNAIISNTIGIGVEEEDRKTTWVADAEECKKRGSIETARAIYAHALTVFLTKKSVWLKAAQLEKAHGTRESLDALLRKAVTYRPQAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENNEPERARMLLAKARERGGTERVWMKSAIVERELGNVDEERKLLDEGLRLFPSFFKLWLMLGQLEERLERSTDARTVYESGLKHCPNSTPLWLSLAGLEERLSGLGKVRAVLTTARKRNPQNPELWLAAVRVELRHGSKKEADNLMAKALQECPNSGILWAASIEMAPRPQRKTKSSDAYKKCEHDPHVIAAIGKLFWGDRKVDKARTWLNRAVTLAPDVGDFWGLLYKFELQHGSEEQREEVLRKCVLAEPKHGERWQVISKAVENSHQSVEAILKKLVVALGKEEKAAEENKH >cds-PLY97970.1 pep primary_assembly:Lsat_Salinas_v7:3:18007569:18014913:1 gene:gene-LSAT_3X13640 transcript:rna-gnl|WGS:NBSK|LSAT_3X13640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G10770) UniProtKB/Swiss-Prot;Acc:O82485] MEENSSDEIRAPLIQPVYNDGDASLPSSSSPTDMTGLKKMEEEENSPIKQVALTVPTTDDASLPVLTFRMWVLGTLSCALLSFLNQFFWYRTEPLSITAISAQIAVVPLGQLMAARITDRVFFKGSRWEFTMNPGPFNVKEHVLITIFANSGAGTVYAIHVVTGVKIFYKQQMTFFVSLIVVLTTQVLGFGWAGIFRRYLVEPAEMWWPSNLVQVSLFRALHEKEKRPKGGLTRTQFFLIAFICSFAYYIFPGYLFQMLTSLSWVCWIFPSSIMAQQIGSGLHGLGIGAIGLDWSSISAYLGSPLASPWFATANVAAGFFMVMYIITPLAYWFNLYNAKNFPFFSDGLFTADGQEYNITAIIDENFHFDNDAYQKEGPLYLSTFFAMTYGVGFAALSSMVVHVFLFHGSEIWEQSRSSFKDRKMDIHTKLMSKYKQVPEWWFWCILVVNISLTVFACEYYNDQLQLPWWGVILACAIAIFFTLPIGILTAITNQAPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMTQAVTFLQDFKLGHYMKIPPRSMFMAQIVGTLIAALVYLCTAWWLMETIPDICTPASDVWTCPSDHVFYDASVIWGLIGPRKIFGDQGTYSMINWAFLMGAIAPVLVWLAHRAFPTQHWITLINMPVLIGATGSMPPATAVNYTAWIFVGFLSGFVMFRYRPDLWQRHNYVLSGALDAGLAFMGVLLYLCLGLENIGLDWWGNDLDGCPLASCPTAPGVFVDGCPALQY >cds-PLY95836.1 pep primary_assembly:Lsat_Salinas_v7:5:64834366:64835533:1 gene:gene-LSAT_5X30320 transcript:rna-gnl|WGS:NBSK|LSAT_5X30320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVQSKKFRGVRQRQWGSWVSEIRHPLLKRRIWLGTFDTAEAAARAYDQAAILMNGQKAKTNFPVTTKTPPEGTHNSPLEIPADTLAAKLRKCCKDPAPSLTCLRLDSDNSHIGVWQKRAGKGSSSGWVMKVELGGKRKEREDEEETTSQTTSCESLSPTVVEDGGPGGGGDGNIDEENRVAMQMIEELLNCN >cds-PLY88168.1 pep primary_assembly:Lsat_Salinas_v7:5:221132363:221137969:-1 gene:gene-LSAT_5X102100 transcript:rna-gnl|WGS:NBSK|LSAT_5X102100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTERLISKGSLQSHHGSQSRRSSAESIRIFDELPKGTILSVSRTDFKWRLLKKASQVIYLHVALKKRAIVEEFHEKQEQVKDWLQHIGIGDQTAIVHDNDEPDDGAIPVHNEDNIKKRSVPTRAALSIIRPSIGRSTVSERAKVAMQNYLNHFLGNIDIVNSREVCKFLEVSKLSFSPEYGPKLKEDYVLVQHLSHIQRDDGDAGCFMCCCCRNNWQKVWAVLKPGFLALLEDPFSAKLLDIIVFDVLPSNGDKENQVLLVEEVKEKNPLRYAFKITCGNRSTKIRSTSKAKIRDWISAIYNTGQKPKEAWCNPHRFGSFAPQRGLTLTDDGSQAQWFVDGHVAFEAIASSIENAKSEIYVTGWWLCPELYLKRPFHANGASRLDALFEAKAKEGVKIYILLYKEVQIALKINSLYSQKMLLNIHENVKVLRYPDHFAAGIYLWSHHEKIVVVDHKICFLGGLDLCFGRYDTIEHKVGDNPPFTWPGKDYYNPRESEPNSWVDTMTDELDRRKVPRMPWHDVHCALWGPPCRDVARHFVQRWNHAKRNKAPNEQTIPLLMPQQHMVLPHYMGRSQNLDIESNPTDGNQIDITQGSFSYESPSQDIQFLLPREAHEIDSSNVNNKLHGVDQRNPVDPSHDEHLNQSLSTTYSADDFSSLDHHHENSMVTTSFGSEMSDESCERQQVSSGEISQVGPRTSCSCQVIRSVSHWSGGTSRTEDSIHKAYCSLIENAEKFIYIENQFFISGLADDDIIENRVLEALYRRILRAHKEEKCFRVIVVIPLLPGFQGRMDDGGAATVRAIMHWQYRSISRGENSILEKLNSVVGPKTDDYISFYGLRTYGRLGDDGPLVTSQVYVHSKVMIVDDRITLIGSSNINDRSLLGHRDSEIGVLIEDKEFTESTMNGEPWSAGKFANSLRLSLWSEHLGLNGNQISQIRDPIADVTYKDLWLATAMANAQIYQDVFSCIPNDSIHSRYAFRQSMNYWKEKLGHTTIDLGLAPESSSTRDGSVVPSMEVLKGVRGFLVSFPLEFMSQEDLRPMFSETEFYTSPQREKGVVV >cds-PLY72726.1 pep primary_assembly:Lsat_Salinas_v7:4:372310919:372316508:1 gene:gene-LSAT_4X183240 transcript:rna-gnl|WGS:NBSK|LSAT_4X183240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKWKFFWYDLAAERIEYSLVTPTASLVNEQKAVMAVPFPCSNSSVFHRRSIFREASPPQIRRKSHPWKNFNFLASNTHLSCSRSDSQLSISSPKFIENLLDCVDLSEEEAQNSLEFLLGDANEALISAFLVLLRAKGETFEEIVGLAKAMINCSRKVEGIEDAVDIVGTGGDGANTVNISTGAALLAAACGAKIAKQGNRSSSSACGSADVLEALGVNINLEPEGVKRCLEEVGIGFMMSPNYHPAMKIVAPIRKKLGVKTVFNVLGPMLNPARVPFAVVGVYKEDIVTKMAKALQRYGMKRALVVHSEGLDEMSPLGPGVVFDVTPEKIKKFHFDPLDFGIPRCTVEDLQGGDPQYNAKVLRRVLSGERGPIADALVLNAAAALTVSGQVGTLSEAVAFACDTHQSGKALKTLDHWIFVSNS >cds-PLY90451.1 pep primary_assembly:Lsat_Salinas_v7:9:91821710:91821937:-1 gene:gene-LSAT_9X70420 transcript:rna-gnl|WGS:NBSK|LSAT_9X70420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAMVGGEMEKDFDSKLSIQNVTDKHVDRNSNRSTNFAFRAPQVSFTIHDFELGKIYGYGSYSKVQFSFISLVDL >cds-PLY73024.1 pep primary_assembly:Lsat_Salinas_v7:9:35804748:35808236:1 gene:gene-LSAT_9X33501 transcript:rna-gnl|WGS:NBSK|LSAT_9X33501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGMKIDEEFVPDRRIEVETDDEENEAEIHCDGEDNDDDETGSDSELIYAETNSGFITASQSQNCSIDPWPQTYRQSMDMYTMPLQSMGTLKGASELNLNLPIKRSFGPTDDQSTLHKPLITETSLDKDQVPISTLPIKFSRISALRIPSLHDLPPPVEQCSFSQSVLNAINVLCGIGLLSMPYAFKEGGWLCLLLLLLGGGISCYTGILIKLCLERYDGLQSYPDIGQAAFGFVGRICIAMVLYMELFSSCVEYLIMMNDNLSSLFPLAQLDIGGIHLDSHYLCAIISTLVILPTVWLRNLSLLSYISAFGVVTLATVILCLLWLGVVDGIEYQPSGTALEVGNLPVAVGLIGFCYGSHSVLPNIYTSMKDPSRFPSAMLISFSIGFVLYTAVGVFGYLMFGDNIKSQFTLNMPPHYLTSKIAAWTVVVTPVTKFALTLTPIAFGIEELLPPSQQSSYAVSIIIRTALMFFTLLVALTVPYFGVVMALTGSVLMMLVSVIFPCACYLRLLRGQTTTFEITVCSLMILAGLISAIVGTYSSLSSIPG >cds-PLY99428.1 pep primary_assembly:Lsat_Salinas_v7:4:102326084:102326470:-1 gene:gene-LSAT_4X66740 transcript:rna-gnl|WGS:NBSK|LSAT_4X66740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFQNYSFFDVYYGPNDTVVCGNTTRKSNTFQDSVTQAVLKSVTDALTNVDYFAREVTVSANGNESVYIMTQCLKTLNSSSCRSCLDTASNLMLQCLPWSEGRALNTGYFMRYSNVNFLNLVASRKKQ >cds-PLY94892.1 pep primary_assembly:Lsat_Salinas_v7:4:108238637:108241002:-1 gene:gene-LSAT_4X68701 transcript:rna-gnl|WGS:NBSK|LSAT_4X68701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEGEKQVEEGKMPLLTPYKMGKFELSHRVVLAPLTRQRSYGNVPQPHAILYYSQRTTKGGLLITEATGVSDTAQGYPETPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHVGRVSNTGFQPNGQAPISSSDKELFPQLRSNGIDVAKFTPPRKLTTEEIPLVVNDFRVAARNAIEAGFDGVEIHGAHGYLIEQFMKDQVNDRTDQYGGSLQNRCRFALEIVEAVVNEIGPDRVGIRLSPFAEYMEAADSNPEALGLYMAESLNQYKIVYCHMVEPRMKKSVGETVESPHSLVPMRKAFNGTFISAGGFDMEDGNTAVAENRTDLVAYGRLFLANPDLPKRFQLRAPLNKPNRETFYSLDPVIGYTDYPFLETKV >cds-PLY71898.1 pep primary_assembly:Lsat_Salinas_v7:8:279882763:279883710:-1 gene:gene-LSAT_8X159281 transcript:rna-gnl|WGS:NBSK|LSAT_8X159281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKIRLYSNFHHQSTRFHESSDVDAAVYQRQLQQLFKLHDSGLDQSSIDALPVFVYEELIGLKEPLHCAVCLCEFTKKDNLRLLPACSHAFHIHCIDTWLLSNSTCPLCRGNLFTPGFSVVNPVFDFDFDEEEEDDDHREGVSGNFNQAERVYPVILGKFKGMNRDKEDKQDEGQTSNGNLDERRCYSMGSYEYVVGNSDLQVTFCPIRGSNMRGIIGNSDLRNEGGDGKEIRNRGKGESFSVSKIWLWSKKGHLNFQDSLNPLSM >cds-PLY68348.1 pep primary_assembly:Lsat_Salinas_v7:4:191945842:191946171:1 gene:gene-LSAT_4X110140 transcript:rna-gnl|WGS:NBSK|LSAT_4X110140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGEIDVEGVVGSGGSGGIGETKTCARIESVMEDGRGKRTECRNGGRKNLEGSIYLHVWDKRPGNQHYCEDSFSSDVVAERCFCIQRGVKDHKSMKFLETRLQRKTLTL >cds-PLY95086.1 pep primary_assembly:Lsat_Salinas_v7:1:95579840:95582576:-1 gene:gene-LSAT_1X80300 transcript:rna-gnl|WGS:NBSK|LSAT_1X80300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKVLGKSLHDQNVAYWKGRIQGLMKEKDYPLNNVLRMSFKSLPYDDDRELFKHIACFFVGMDRYVTETIVNACGINTDIAFKDLIDRCLISIGWNNELVMHQLLQKMGRFEVREESPKKPWKQSRLWCHEESLEVLKEKKAKEKVIGLALDMKMLEQQKFRETFVLKTDALSKMHKMKLLQLNYVQINGSYEDFPGQLRWLCMHGFPSNSIPQDLNMENLVALDMSYSKIKSFGICNSNQQQFESTQKVTYLNLPFIHVKLIKLITHWSLLFSNISPFHQLTESSSKDKTLLRSLKILKLNFCKELQCLGSFDELPSLERLIATNCTSLLEVCESIKTCIELVLIDLRYCEKLEKLPTAIDMLKKVKTLLLDGCSLSGSRIETGNMDSLEMLKANNTDINTITSSSTIPEATPIPINLDFTAISIPKSLVKLSLANNNLSTESFPIDFSWLSKLEELYLDDNPIISLPNCVRSLPVLKKLGISNCKRLMSIEHLPHTLRELTLYSIYKPSLRKVVFLPEMSPLRLLIEWKSFAPSSFEFEGMIKVQPIVAVEEKLLSSLGWTKLDFLNKQCIETPNLNRESGKSEIQMYHQFGIFSTIYGAEDMPSWITDISQGQSISFTIPSSSKNLTGLNFCCVQHMFLFQEDDFFYLPMMIITNKTKSYTWMYQHYIDKVSVGGEFLVLLSHWMFGKNEMEDGDEVTVTVTEEPGQTRVECGVSFVYDNGKTDEEEENVLGYYKSWNHIIGGDLSPFETTKGEYILNTRRFMMHADEVNYDHQFVGEDSSFKVPSKKKWVDYMFDLLVDLFSVV >cds-PLY87154.1 pep primary_assembly:Lsat_Salinas_v7:5:257018769:257020561:1 gene:gene-LSAT_5X132260 transcript:rna-gnl|WGS:NBSK|LSAT_5X132260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFMIISKNDIPIYEAEVGSAPKKEEAAHQHQFILHAALDVVQDLAWTTSAMFLKSIDRFNDLVVSVYVTAVTHTRLMLLHDSRNDDGIKSFFQEVHELYIKILLNPLYLPGSRVTSSHFDTKVRALARKYL >cds-PLY67004.1 pep primary_assembly:Lsat_Salinas_v7:6:140602222:140605470:-1 gene:gene-LSAT_6X85821 transcript:rna-gnl|WGS:NBSK|LSAT_6X85821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKHRLRNRVKSIVGSLIDPDKDEELKDTNIETEDNYEKILELLKNENPDDMNKLTGLIEEFHTRYQSIYQRYDNITGKLKEKVRSKKEKEDSSSSSSSSDSDSDSNKNGNLDMVEDSLKVEIEAANREIEELNRKLKAETEEKEALNLQYQSALNKVQETENMFNDSKLQSHRFHEENSKLLAETTHLNSKIEAINIEKMETIEELRAIKEEKSNAIEKLEATEAENKSLSQKVSELSEEIKRLEMKIEETTEEIENGVRSKEQIVDELEEAIEDLKNDLEIKGDEVNTLTETVRNLEVKIRLSNQKLRITEQLLHETEHDHSTKEQKLHQQNKTLIEKMSTLSETISFMKKEVQEKVNETSKGFDLLTVKFEEDFGHVMTRVCEIRNEVKAVEIQARVNRSNNEYLKGKVEERVMELSGSLENAKGRILEKDEKIKELEGVICVKDEEMLCVCEDKREAIRQLCVWGDYQRDRCDGLLEVLKPVVDNSRR >cds-PLY92019.1 pep primary_assembly:Lsat_Salinas_v7:8:239986250:239986579:-1 gene:gene-LSAT_8X145061 transcript:rna-gnl|WGS:NBSK|LSAT_8X145061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEVAAVNHHCCSPDSYDLHISSLFVVVGVASFHGGLLSVTTTTAVWLGSFTQVAAPTTRSNRHLAPSPLHLFYVRGFSSCHLPLTVRLLLPYWMISDVWVLILIHVAL >cds-PLY96012.1 pep primary_assembly:Lsat_Salinas_v7:1:33312971:33314144:1 gene:gene-LSAT_1X29640 transcript:rna-gnl|WGS:NBSK|LSAT_1X29640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVRYTRHGGFGGGGGGGCVARHVGGGLYDISMVDRMMLKFRPIAPKPVASGSGSGDSTMEKGGKSGGVKRKYVRVKGNNRNKDNEVKERKVSTSSFQPREVVSSGGDAMVTLSLMPETPDRKENSPANSTSSENLDNILPVKKISSPIWLSFKNSNETPPERQQTVLSSVKVEWVAETFVDKGWVRWTEMESDTCPGFISDGEDRVVWTNKAYREMAGGEEVVVVLVRKEGMGYPYPAAFTCSVRVTCRRGTNSSTLTVPCDAWRMGGGYAWRLDVKAALCLGR >cds-PLY65913.1 pep primary_assembly:Lsat_Salinas_v7:4:136158772:136160311:1 gene:gene-LSAT_4X84421 transcript:rna-gnl|WGS:NBSK|LSAT_4X84421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLHNNNIIHRDFKTANLLMDTQNVVKVADFGVARFLSQGVVMTAETGISYPHICSNNLEVLTYSVQAVLAELLAGKPIMPGRTEFFTTKPYACDPSILPKYPPSKEMDAKLRDEEARRCVL >cds-PLY72555.1 pep primary_assembly:Lsat_Salinas_v7:2:142030366:142030738:-1 gene:gene-LSAT_2X69661 transcript:rna-gnl|WGS:NBSK|LSAT_2X69661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPILVALGDLPITMRMQKCIEKPYVVESSDSEEDDENDDDIEAGNKEGVDEEEDTDKDEEESATVKGEDFAQGMNSTTRNSPPRMNKHI >cds-PLY65204.1 pep primary_assembly:Lsat_Salinas_v7:7:60364515:60367495:1 gene:gene-LSAT_7X45960 transcript:rna-gnl|WGS:NBSK|LSAT_7X45960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLKSDLDQTERKDTYKLAYMIHFLLGAGNLLPWNALITAIDYFTYLYPKKHIGKVFSVAYMSSSLLVLVVMIIWSELSKKVTFRARVNLGFTMFVFSLIVTLIIDWGWCSMEKSIVTYYIVVVCVVMCGLADGLIGGSLIGSAGKLPKKYMQAVFAGTASSGRLVFYPVFTLCLHGPKWFRSEVFVVFLTFMLGLTNGYLTSVIMILAPKLVPPSEAEMAGIVMALFLGIGLVCGSVLGWFWII >cds-PLY81866.1 pep primary_assembly:Lsat_Salinas_v7:8:122870882:122873743:1 gene:gene-LSAT_8X85281 transcript:rna-gnl|WGS:NBSK|LSAT_8X85281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFGRIYTSSDENGNGNGRSIPVFRDNKKLNNGPMFENSFSSYVPVNEGSRYRDSIYSQNFRGLHVPPLNNLPVPSMTPSPEDELHEDCDLSDAILGYITQVLMEEDMEDRSCMLQESLDLQAAEKPFYEVLGKKYPPSPPPWTSSSSEGGLTSVDHHRESLVGFPFSTQNNYSGYLHDATNLHNLGPQNVSYTTSFGSTSSVNYNVDRGLGLDLDSPASTSDHCHESQMAWQFKKGVEEANKFLPFTNKLIVSSNGDVSLSSTVSRVRKNPFGEDATDLGQQERNIKQAAIFPDSTLRSKELDLIFLSSMGEGKVALDSFRDTLRVEKCKDTLKDCEDTSLNQGKGKKGKSKSRGRKQNRKKEVIDLRTLLITCAQAVASDDRRKANDLLKQIRQHASPFGDGTQRLAHCFADGLEARLAGNGSQIHKALVSKKTCAADYIKAYHLYMASSPFRKISNFASNRTIMDKSANASRVHIIDFGILYGFQWPTFIQRISEREGGPPRVKITGIEFPQPGFRPAQRIEETGQRLKAYAKSFNVEFEYFPIAKRWENVRVEDLMLDEGEFLVVNCMYRAKNLLDETVVVDSARNVVLNLIKKINPDIFIHGILNGSYNAPFFLTRFREALFHFSALFDMLETNVPRENPERALLEREIFGREALNVIACEGWERSERPETYKQWHVRNLRVGLIPVPFSRFIINRGREKVGLYHKDFLIDEDNNWLLQGWKGRIVYAISCWKPA >cds-PLY97767.1 pep primary_assembly:Lsat_Salinas_v7:4:375322169:375322828:1 gene:gene-LSAT_4X185301 transcript:rna-gnl|WGS:NBSK|LSAT_4X185301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKEQQYERVFRHLDKNGDGKLSPPELQICIGKIGEELSLEEAEIVAELIDSDGDGLLSFDDLVKVVEGANEEEKANDLKMAFRMYEEVEGCGCITPKSLRRMLSKLGESRSVDECKLMIARFDLDGNGFLDFGEFQDMMS >cds-PLY66336.1 pep primary_assembly:Lsat_Salinas_v7:5:289326092:289327036:-1 gene:gene-LSAT_5X157800 transcript:rna-gnl|WGS:NBSK|LSAT_5X157800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQQFQIQSQPQTQPSQTQFLSQPSFTPSTEIVSDSEQQQEQPQQQKKGKADPRRWAQKEEVELAKAWVDISEDGGTGNGQNRDQFWLRITKRFCKGMGRNPDYRTFDQCNSKQNLMNKLVTHWNEIYTNFEKQWASGENEAEIANQPKRSRTSSYSSSQQVSSDSHVGVNLKDDNDGIEEICSPPPPMGRDKTKARAKGKEKATSSNSSVRTEQSARSEEMMTQMAQLNSTLERHMAETVRLTEYSLLIQDVRHLDTKDQEVAKTLKQLIREK >cds-PLY66772.1 pep primary_assembly:Lsat_Salinas_v7:3:67057712:67059125:1 gene:gene-LSAT_3X52881 transcript:rna-gnl|WGS:NBSK|LSAT_3X52881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEDRSSFVSKNYSHETNPWAAYGIEGLEFRQEKQRSENNHGLELTLAYGGFPADSPPQDAANSKNVSHDQSMKNDGLDLRLGSLLDHVIPPPQPVNQHEPGLDTRHLINAIGRDNSINCLIRCPRATYSSIALLNRSFRELIKSGEIYKLRHDNKVVEYWVYFSCHLAKWEAFDPSNKIWIQLPIMDADQCFQFSDKESMAVGTELLVLGRDLMGQASYKYSLLTNSWSLGQTMNIPRCLFGSASLGQVAIFAGGVNQNGMIMDTVELYDSRSGNWEMLSSMIKPRKMCSGVYMDGKFYVIGGIGNEMKSLTCGEEYDLDVKKWTIIPNMSPMDGRGGRMAPPLVAVVGNELYAGDAASMEVKKYEKKMKEWAVIGRLPERAQSVDGWGMAFRGCGERVVVIGGPRRDNANVVEIYSWMPSKGPVEWSMIGRKRSDSFVYNCAVMGC >cds-PLY84935.1 pep primary_assembly:Lsat_Salinas_v7:6:15174162:15174509:-1 gene:gene-LSAT_6X11301 transcript:rna-gnl|WGS:NBSK|LSAT_6X11301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRVYDDEEERFTSIFANSKKEDVIQNQYEFFVQRMGGRPLYSQRKGHPALIGRHRPFLVTHNVAEKWYTTCNKH >cds-PLY64962.1 pep primary_assembly:Lsat_Salinas_v7:8:161767910:161769305:-1 gene:gene-LSAT_8X107500 transcript:rna-gnl|WGS:NBSK|LSAT_8X107500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPALGDYAGEQLQQAAEKILFGKYEVGKLLGYGAFAKVYHGWDIEAETSVAIKAINKQRIVNGGLAGHVKREISAMRRLRHPNIVRLQEVLANQKKIYFVLEFAKGGELFSKVAKSRFSEDLSRRYFQQLISAIGYCHSRGVYHRDLKPENLLLDEHWNLKVTDFGLSAVTEQAHSDALLHTMCGTPAYVAPEILAKKGYDGAKVDIWSCGIILYVLNAGYLPFNDPNLMVMYRKIYKGEFRVPKWTSPELKRFLLRLLDTNPQTRITVEEIIKDPWFKIGYKDASFSSDHFETKDGDDVGRSGNLKNLNAFDIISFSSGYNLSGLFDEQDSGEMFLSTVSPEEIIERVADAAEEESLTVATRRKWCVKVDGGQYGNFTLTLEVKRLTDELVVVEVRWRECESEPGPEMWKDRLRPQLCNLIYQPDGSVAGK >cds-PLY63684.1 pep primary_assembly:Lsat_Salinas_v7:9:201653196:201656716:1 gene:gene-LSAT_9X124360 transcript:rna-gnl|WGS:NBSK|LSAT_9X124360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLSVSMASSTIPCCSPPTSYSSPWFRRTTVKTHCSLLARNSIKAPLPPLNPKDPFLSKLASLAANSPENLLNRPVNSDTPPYLDLFDSPTLMATPATVERSVSYNEHRPRRPPPDLPSLLLHGRIVYLGMPLVPAVTELIIAELMYLQWMDPKEPIYLYINSTGTTRDDGESVGMETEGFAIYDAMMQLKNEIHTVGVGAAIGHACLLLAAGSKGKRFMMPHAKAMIQQPRIPSSGLMTGSDVLIRAKEVIINKDTLVGLLSKHTENSVETVTQVMRRPFYMDSTKAKEFGVIDKILWRGQEKIMADAAPPEAWDKNAGIKSLDAM >cds-PLY62224.1 pep primary_assembly:Lsat_Salinas_v7:5:164999387:165001126:-1 gene:gene-LSAT_5X72880 transcript:rna-gnl|WGS:NBSK|LSAT_5X72880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAIDAVTSKLDKSGKIFVAGHRGLVGSAIVRRLRAVGYNNLILRSHTELDLTNQSAVKTFFSLEKPQYVILAAAKVGGIHANSTYPADFITINLQIQTNVIDSAYRYGTKKLLFLGSSCIYPKHAPQPIPESSLLTGPLEPTNEWYAVAKIAGIKMCQAYRIQHNWDAISAMPTNLYGPNDNFHPENSHVLPALMRRFHEAKVAGGKEVVVWGSGAALREFLHVDDLADSVVFLLENYSDLGHVNVGSGKEVSIKELAEMVKEVVGFEGEIVWDKSKPDGTPRKLMDCSTIAKMGWEPKISLRDGLVGTYEWYVGNVVKQ >cds-PLY65026.1 pep primary_assembly:Lsat_Salinas_v7:1:119433564:119434607:1 gene:gene-LSAT_1X92141 transcript:rna-gnl|WGS:NBSK|LSAT_1X92141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGNTKALGQLKAACEKSKRVLSSATETIIEIDALYEGVDFSMKISRAKFEHLNQDFFTKCIKMVESCLHDADLNKKDVDEVVLVGGSTRIPKVQHLLKEFFNGKELCKKIDADEAVAYEASVLAAKLSGNTSLLVNKLHLIDVIPLSLGVNVHDGSLSVIVKRNTPKPTTKERDYVTSEDNQTIITFNVYQGERFRSIDNNWLGKFQVAVPRGPKGKSKVKVVFNINVNGILNCSGEEVTTGLKKKVRISHVKQRLSKEDIKKMIIDAHKHKLDDEEFKEKTVACSNLEDFIYSVKSKIKVIKKLARQRSRRKTWKNWKRPWRQLTKLLMEGSFLTLMSMRKHQIS >cds-PLY73741.1 pep primary_assembly:Lsat_Salinas_v7:8:168816833:168822635:1 gene:gene-LSAT_8X110101 transcript:rna-gnl|WGS:NBSK|LSAT_8X110101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase [Source:Projected from Arabidopsis thaliana (AT4G33090) UniProtKB/TrEMBL;Acc:A0A178UWR6] MAKKYEQFRCQPRLPKFAIPKRYDLKLIPDLIACKFSGTVEISIDIVADTKFIVLNAADLSVDSKSVRFQSKSESKGLEPLQVEFFEDDEILVLEFGETLALGLAVLHLSFEGTLNDQMKGFYRSSYEHNGEKKNMAVTQFEPADARRCFPCWDEPACKATFKITLEVPSELVALSNMPVLDEKVEGNIKIVQYQESPIMSTYLVAAVVGLFDYVEDQTPDGIKVRVYCQVGKANQGKFALDVAVKTLGLYKDYFETPYSLPKLDMIAIPDFAAGAMENYGLVTYRETALLYDEKHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADGLFPEWKIWTQFLDESTEGLRLDGLSESHPIEVEINHAGEIDEIFDAISYRKGASVIRMLQSYLGATVFQRALAKYIKKHAGSNAKTEDLWAALEEESGEPVNKLMNSWTKQKGYPVVSVKLKDNKLEFEQVQFLSSGCEAEGQWVVPITLCCGSYEARKNFLLEKKSQTVDEASSSWIKVNVDRAGFYRVKYDEQLSAKLRSAIESKKLSPMDRYGILDDSFALSMAGQLPLSSLLTLMGAYREEPDYTVLSNLISVSSKVARIVADADNTLLDNIKMFFINLFQYSAERIGFDCKEGESHLDALLRGELFATLAVFGHEETLIEANKRFQAFLEDRNTHLLPPDIRRAVYVAVMKKVTSTDRSGLDSLLKVYRETDLSQEKTRILGALGSCSDPDIILEVLNFLLTREVRSQDVVFGLGVSREGREVAWNWFKENWEHISNTWGRGFLITRFVSALVSPFSSIEKAEEVQGFFATRGKPSIMRTLKQSLERVHINAKWLDTIRNEKGLAECVQELAYRKY >cds-PLY99115.1 pep primary_assembly:Lsat_Salinas_v7:8:205119407:205121331:-1 gene:gene-LSAT_8X130081 transcript:rna-gnl|WGS:NBSK|LSAT_8X130081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKFLYEFAPSPNVIKGPHDSDTTIVIQSTNVAFLDDIPKQFVTTGIEDFVEFVKSSLLQSEAKADPLETIDVANTPDDDATSDQPIPDDVQYFGDQTETDDYEGFLDLGFMQHVAVFAIPLNVIYISSLFKGEFTQGTKNDIDSDADAQLNPRKRKERLXXGLLALKLEVPL >cds-PLY96760.1 pep primary_assembly:Lsat_Salinas_v7:2:171744900:171749298:1 gene:gene-LSAT_2X93340 transcript:rna-gnl|WGS:NBSK|LSAT_2X93340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKNQKGKKSCTSDKDPTTMIESYLKRKNVKVIIYVQLFYADNIRSEALTVTHKRPTICYWSSEKIRYRETFEQEKGRFGLGELNEEFVNEQDEGETDLEDGDSDKDEDHYVEEKSKGVHEEGKEVEKTNEDDTGKENSEYTNKQGAEAKNTKDGGEDKQPEIEKRNADDKAIPTEVEPSKHDLDEPREKKLADAFKSPFKCRIIDTKPKLTHQETIFCKWLFNLQGNTSDVVVQTKYDHVTERAVMESLYASTEIFGEVFDIWADLLNHQELERDFGNSPYKLFLKVVVSLPTACLTSTLSNERKFEKFKENFHDSTDGYKKILNIKYIDMAFFPVVRSNHIFVIVFNLKKPSIEILDNSVVEGDYEGKYGVLLKPLVCTEFTTT >cds-PLY80671.1 pep primary_assembly:Lsat_Salinas_v7:5:245899033:245901540:-1 gene:gene-LSAT_5X122161 transcript:rna-gnl|WGS:NBSK|LSAT_5X122161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTANLLLTPPLLLIPPLMIAINSTQQRHSIFKRGFSNTSRSKKSFASNTSRSKKSFSYTPPPSSSSRPLSLRLSSNHAAVPISPVLKKEHNGFGGNMNCADFWGPLSGWDDVVQTGTKFVCQSAAKEDSTIVAETEDDTQEAKRKTPKTTMMSDGKLSRKIYMVIVGASFCALVAFVPKQQASGCIVVSEHLSRAMKDIFFGALLACPLTRQLSYESMKRFVFNMFVIAPAWINIWQLWYYHKGLQSSEKIKNRKPSKKQSITFDDVEGVDAAKAELLEIVLCIKEDSRYMKLGAKLPRGVLLAGPPGTGKTLLARAARVRNLFQEARKHSPSIIFIDEIDSVGGQRGNTMNSERDQTLNQLLTEMDGFEKGATVVVMAATNRPELLDSALMRPGRFSRKVVVGKPDEDGRRKIFALYLQKVPMTEDKKVICDLVASRTPGLVGADLENIANEAVLLAARRGVDFVTKEDVLEAVERATTKIYNDDTANKANSPYSFGEMALESVHAGGC >cds-PLY70861.1 pep primary_assembly:Lsat_Salinas_v7:9:15846081:15848021:-1 gene:gene-LSAT_9X14820 transcript:rna-gnl|WGS:NBSK|LSAT_9X14820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAFTQFLPVLGLLLLLLVCHYKRSIRNNTKKGIKLVAPEPSGALPLVGHLHFLRGQAPLARVLGKIADEYGPVYTLRLGGRQAIVVSSWQMVKECFTTNDRNFAARPNMAISRHMVYNKAGFALATYGPYWREIRKLVTSELFTSNRLEKFKNVRSSEVKSFINELSLLSSKKANKASVVDMSKWFENITFNITIKILAGKRFSNTGGSEGNNEDLHVHEAIKKGLYLSGVFIVSDFFPYLEWMDIGGYVKAMKQVAKEVDEVIGKWLDEHVEKRKEYDGEKEADFMDVMLSTLSKDAEMYGHGRETIIKATTMVLMLTGSESTALTLTWALSLLLNNPHILKAAQKELDMNVGKQKWVEESDIKNLRYLQGIVKETLRMYPPGPLAGPHEAIEDCYIGGYHISKGTRLIVNLWKLHRDPEIWSDPHEFRPERFLEEHSDLNYQGQNFEYIPFSTGRRMCPAITFTFQVVHLTLARLLQGFDLSTPMGKPVDMSEGLGIALPKVRPLEVIMTPRLSPELYQNL >cds-PLY91504.1 pep primary_assembly:Lsat_Salinas_v7:7:142504699:142506071:-1 gene:gene-LSAT_7X85221 transcript:rna-gnl|WGS:NBSK|LSAT_7X85221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESVSTLLEGERNYFSGTYVSEEADFMAQLLGNFSTKSPKTSTFEDSSSSSSAFWSQSHHESTMKDDYEASISISDNANTNSLLFPTSSGESYLSISSSGGNNKRGISVIPFFSKMEGEEATEFDNMTPSSRKRSYSFDDVQMGWKKTKFTSMNDSDDDSNRLQDLSPRPQGVPMANSSGKKRASNGSATDSQSVYAKKRRERINERLRILQSLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDLWMYAPLAYNGMDIGLDITLPSPR >cds-PLY95626.1 pep primary_assembly:Lsat_Salinas_v7:4:124535706:124538140:1 gene:gene-LSAT_4X78300 transcript:rna-gnl|WGS:NBSK|LSAT_4X78300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:Projected from Arabidopsis thaliana (AT3G48425) UniProtKB/TrEMBL;Acc:A0A178VA84] MKRFFQPLQKDASFKKPTLSSTSSPSPANNGETEETATATTTPVDETQKKQPTKFLTWNANSFLLRVKNNWPEFTKFIDSIDPDVIAIQEVRMPAAGAKGTPKNPRELKDDNNSSREEKLIITRALSGPTFRKYDVWWSLSDSKYAGTALFVKKCFPPKKVSFNLDPSVSKHEPDGRVIIAEFESFRIMNTYVPNNGWKDEETSFQRRRKWDKRMKEFILQSTDKPLIWCGDLNVSHEEMDVSHPEFFRTAKMNGYVPPNKEDCGQPGFTLSERKRFGTILKEGKLIDAYRFLHKDIDMERGFSWSGNPIGKYRGKRMRIDYHLVSEKLKDKIIKCEMHGQGIELEGFYGSDHCPVSLELSESTIDGNDSENPIPE >cds-PLY97638.1 pep primary_assembly:Lsat_Salinas_v7:5:237463741:237465665:1 gene:gene-LSAT_5X112200 transcript:rna-gnl|WGS:NBSK|LSAT_5X112200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34050) TAIR;Acc:AT4G34050] MALDGETKTESGNEKQVSKHQEVGHKSLLQSDALYQYILETSVYPREPEPLKELREVTAKHPWNLMTTSADEGQFINMLLKLVNAKNTMEIGVFTGYSLLATALALPDDGKILAMDINRDNYELGRPIIEKAGVAHKIDFREGPALPVLDEMIADGKYHGTFDFVFVDADKDNYINYHKRLIDLVKVGGLIGYDNTLWNGSVVAPPDAPMRKYVRYYRDFVLELNKALAVDPRIEICMLPVGDGITLCRRIN >cds-PLY92265.1 pep primary_assembly:Lsat_Salinas_v7:2:210730219:210732066:1 gene:gene-LSAT_2X133341 transcript:rna-gnl|WGS:NBSK|LSAT_2X133341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCQQASSPRCNCNSNSTQQVTDIFDPFLIPENVKQPPKVITKKTVDDDDIDQQFNKAPNNKKKKKTHVSLAVNEAISIGRIAIPMILTGLLLYSRSMISMLFLGHLGELALAGGSLAVGFANITGYSVLSGLAMGMEPICGQAFGAKRHTLLGLCLQRTVLLLLLVSFPIAILWLNMKSILLFCGQDEEIATQAQTYLIYSLPDLLAQSLLHPLRIYLRSQSITLPLTFCASLSIVLHIPINYYLVNHLNLGITGVAISSVWTNFNLVASLVVYILISGVYKKTWGGISRECLKGWKSLLNLAVPSCISVCLEWWWYEIMILLCGLLVNPRATVASMGILIQTTALIYIFPSSLSFSVSTRVGNELGAGRPGKAKLAALVGLCCSFFLGFSALFFAASVRNLWATMFTQDKEIIALTSMVLPIIGLCELGNCPQTTGCGVLRGTARPKIGANINLGCFYLVGMPVAVVLGFFMGFDFEGLWLGMLAAQMSCVVTMLVVLGRTDWEFEAERAKKLTEGGGDGNGDVVVADNEEIKGEEYKLIKAENKEEESLDFGADLV >cds-PLY68239.1 pep primary_assembly:Lsat_Salinas_v7:3:103338873:103341387:1 gene:gene-LSAT_3X77340 transcript:rna-gnl|WGS:NBSK|LSAT_3X77340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSSLLSAYASMSTSIMLFRTMFDQLFPRQLRRYVVDAVRFYWKPKSSKLTLVFEEKDGMSMNHMFEAAEAFLCSRINPDSERLRITKSVKENHINIKFADSEEVVDSFEGIMLTWKYVRHQPQPSGGGGDDSGYGGGKNSSGGSFSLERKYIELKFDKKYKETIITSYLPLIIKKSQELENEKKVVKLHNLQSYGGGPGGFKESVNLDHPSTFDTLAMDPKMKKAIIDDLDLFLKRRDFYRRVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLQLMNVGSDSSLKKLMLRTSNRSILVIEDIDCSIQLPDRKGAMPMFSKLPDVKPVRDSQFSLSGLLNFIDGLWSCCGDERIIIFTTNHKERLDPALLRPGRMDVHIHMSYLTTEGFKTLAANYLNIHDHHWRFREIKELINDTKVTPAEVAEELMKSDNSEVVLEGLVNFLKRKKTEDETTKDGVHYGEGEVREPKKAKVIS >cds-PLY98552.1 pep primary_assembly:Lsat_Salinas_v7:1:39849510:39849899:1 gene:gene-LSAT_1X35020 transcript:rna-gnl|WGS:NBSK|LSAT_1X35020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRKKPCRREAGACSRKLDLNKSMNPYYEQSQVLDVDEGHDYSKSILPFIRSQGKQSHVIQEEMVNHEIETQEEVSTSAVETKEPLGTYHVQEPSCQADDGANTTTVDDYEPFIEDYSLYSDYDDEYNV >cds-PLY78973.1 pep primary_assembly:Lsat_Salinas_v7:6:165591386:165595507:1 gene:gene-LSAT_6X100021 transcript:rna-gnl|WGS:NBSK|LSAT_6X100021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILTPAPLPPPLFSTTRHRVRQTTVYFNRKTNLLLPRTAASVVVRDVDAFTESSGYLFELSSSEAESLTDYNISKIASIYRKKPLIVLRRLLQIGNTLGKWLAFRYLDSILERSDLMFEVRASQLRQILVKLGPAYIKIAQAISSRPDLIPPSYLNELSLLQDRISPFSTEVAFNTIEKELGSPLDQIFSEISPQPVAAASLGQVYQAKLRSSGEIVAVKVQRPGVQAAISLDILILRYLAGLIRRVGKFNTDLQAVVDEWASSLFREMDYVNEAKNGIKFRELYGNLKDVLVPAMYVDNTTRKVLTMQWVEGQKLSEVKDLYLVEIGVYCSFNQLLEYGFYHADPHPGNLLRTYDGKLAYLDFGMMGEFKQELRDGFIQACLHLVNKDYDALAKDFVTLGLLPATADKDQVTKALTGVFQNAVSKGVQNISFGDLSGNLGTTMYKFKFQIPSYFSLVIRSLAVLEGIAINNDPNYKVLGGTYPWIARKVLTDTSPQLRSSLQALLYEEGVFRIDRLESLLSESLRARTERALVKVEDNDSNVVIKQILSFTLTEKGAFVREILLQEFAKGLDALGLATFESLTYAASANLPFAPSPQSSISDEDMINLRNLLRLLHLLSGSQKIEDGVGDFSPYTNKRVKSEEVYLALNEVASIQDILPLLNVIPELPTDLQQQLIRLPFDLVGKLVSRVAARTIRRALL >cds-PLY78099.1 pep primary_assembly:Lsat_Salinas_v7:1:6644280:6647428:-1 gene:gene-LSAT_1X4680 transcript:rna-gnl|WGS:NBSK|LSAT_1X4680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADAQEMWCLVTGGRGFVARHLVDMLIRYDIYAVRIADIGPDIKLESHEERGILGKALSSGRAQYVSMDLRNKSQVYKACQGVEVVFHMAAPDSSINNHQLHYSVNVHGTKNIIDACSVSNVKRLIYTSSPSVVFDGIHGILDGVEAMPYPAKHNDSYSSTKAEGEALVIKANGMNGLLTCCIRPSSIFGPGDKLLVPSLVAAARAGKSKFMIGDGKNMYDFTYVENVAHAHVCAERALASGGTVSKRASGEAYFITNMEPIKFWEFMGLILSGLGYERPQIKIPAFVIMPIAHLVECIYQRLAPYGMKPPQLTPSRIRLLTCNTTFTSLKANDRLGYRPIVPLQEGVKRTVDSYADLRAELWHSKERPSKVAVCLGNGIVADILLWRDAKVTLGAMVVLFGFYVNFVVPGQTMITSISKVFIIATIFLFIHGRLPNSFMGYSIDKIPKSKFQFTDKSYHQTVLSVASSWNYAANSLTSISSASDSRIFYKTVIALFMLGLIGSLSLQSFFSKVLPFAFVAFYLYEQKENEIDEFLEKGVPIKRLSNSFFPRLFNPSKPINKRE >cds-PLY75463.1 pep primary_assembly:Lsat_Salinas_v7:7:75560116:75561120:-1 gene:gene-LSAT_7X54521 transcript:rna-gnl|WGS:NBSK|LSAT_7X54521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDEIIHNNLQNDQEAEAEAEAEVETLSLTDFPVTQDLGVSSFKDQRNPPSLATEEFFEFFREGLSDYSDKNMMSHAEDIIFCGKLIPIDEQRNPKNSPQQKEKSHRRKKQEQPPGCRRSESLSAVKSTTVSPPVRNSRSLDYKKLHRNSSMSSEPAPEILREGSGKKPSSSRWYVLLFGLVKVPPPEMDLRDMKSRQIRRTPSKPLFPSSESCDALPVSQSDDSRRCSGRVLGFLSCKSSASTAATTPLRYMPKV >cds-PLY65869.1 pep primary_assembly:Lsat_Salinas_v7:4:86285186:86287257:1 gene:gene-LSAT_4X56501 transcript:rna-gnl|WGS:NBSK|LSAT_4X56501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRCLLTSHWLMRNFQLKSHWVPNTMVHASSNWLLVERLKRDKLVTENLLQETIMLFMISSYNHCYFQEAWNQITKNWMGRCASICHYTSSQL >cds-PLY76174.1 pep primary_assembly:Lsat_Salinas_v7:4:55535270:55536348:-1 gene:gene-LSAT_4X37561 transcript:rna-gnl|WGS:NBSK|LSAT_4X37561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPMMHLTLIALFITSAAAYTNHTVGGPAGWLFDPNTNTSSANYASWAGNQTFDLGDYLIFNTNTNQTVVLTYNTTTFRSCSVDNSSDSDTFMYSQGNQQFGQPLTVAVPLTIEGANYFFSDASDGVQCENGMAFGINVSHGVGLPPNLNQPPPPPYVEPPSNADGTPGTVAGNLPSGAGLSVDANVRRAVFYALTFYGIFGLLQV >cds-PLY82018.1 pep primary_assembly:Lsat_Salinas_v7:9:158323092:158324910:-1 gene:gene-LSAT_9X99260 transcript:rna-gnl|WGS:NBSK|LSAT_9X99260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSYNAVDYENLKPPVMDSLDVLLAENMRERWDSNEADLNSVSVEKTLDYGLGLSRKGGDRGDSGVVQGLPTNKGLTRGFRFTGGHGGLVESLEPYQWKVKGGNWFRLSILLCSYTSDEGRR >cds-PLY81364.1 pep primary_assembly:Lsat_Salinas_v7:4:36720988:36721917:-1 gene:gene-LSAT_4X24140 transcript:rna-gnl|WGS:NBSK|LSAT_4X24140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRHTSAAIFFLLFLSVTNNTPCNAQLSSTFYDATCPTALRTIRTTIRTAISCERRMAASLLRLRFHDCFVQGCDVSILLEDGASIIGEKNALPNKGSVRGYEVIDAAKSKVEKLCPGVVSCADILTVAARDASEMVGGPSWSVKLGRRDSTTASLVLAETSLPSFKAPLDSLISTFKDNGLSARDMVALSGAHTIGQAQCFLFRDRIYSNGSDIDVGFASTRRRGCPINDGNGNLAPLDLVTPNTFDYNYFKNLIQKKGLLESDQVLYSGGSTDSIVSEYSNNPSKFKSDFAEAMVKMSEIRSLTGQ >cds-PLY85162.1 pep primary_assembly:Lsat_Salinas_v7:9:146840963:146841601:1 gene:gene-LSAT_9X94380 transcript:rna-gnl|WGS:NBSK|LSAT_9X94380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSNLTIEVNHIGSFVSKLIVYFNPVKVVVSNVDFRSMSFMEFISYVKKLVKDGRINMYYCLPQRSLRDGLRALEDENDYVRFLDAGYENGGMINLYIDHSQKTVMEWIEEEIVEDRSIDSNTDDDDDDVDSELSNNEFVEHEPDDEVTQIQLSDDPLIRRKFFRPPRVVDDKKDVKKDLHKYPVHDPNQKWDTMVPVLGMKFYDRYELKHL >cds-PLY88267.1 pep primary_assembly:Lsat_Salinas_v7:8:153547489:153547984:1 gene:gene-LSAT_8X102361 transcript:rna-gnl|WGS:NBSK|LSAT_8X102361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRRKNLANGVGGELNFDGTPTSPSDEPEKRELELTEETFIKAICEEYKGKWKEK >cds-PLY92956.1 pep primary_assembly:Lsat_Salinas_v7:5:48228367:48228963:1 gene:gene-LSAT_5X23460 transcript:rna-gnl|WGS:NBSK|LSAT_5X23460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPADQRHLLRLALSCRKISAQVTNSGTDSIVAMASSTEQEFMTHYRSKLTTFPRSHKFWDAKIASRIGEKLGFRLNDIGISHLEIDLAEELSRPIHYRKMVVPFFHSVKRAGISVSGSEQLESQP >cds-PLY99124.1 pep primary_assembly:Lsat_Salinas_v7:8:71046871:71047179:1 gene:gene-LSAT_8X51281 transcript:rna-gnl|WGS:NBSK|LSAT_8X51281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPWNTKKTPQDLAKTYKKVRRHRDMEKRVKRTHNDPKVEQDPQEEDMNAGTTLFDKEIRKVVNPKQIIEAMKTFDELKSCTDHHPYEPLLVILQITVQGEA >cds-PLY86741.1 pep primary_assembly:Lsat_Salinas_v7:8:229518718:229519287:-1 gene:gene-LSAT_8X140400 transcript:rna-gnl|WGS:NBSK|LSAT_8X140400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAIQKRMRLATFSSVFLFLGCQSNHWRWLLFPVNIKEATASFPFSSPCRLKLQNSHEITDPELLLLSLFFFTNFCQGTTEVVGCYIETKEGNSLLVLSCALTCQLNQPKPSPEVEISLQSRRQQDLRCAPCLLSNEEVEHPPPELLPPHVFPLRISPPEQKESSSAASSLLFSSDQPSTGGAPLLAIS >cds-PLY91539.1 pep primary_assembly:Lsat_Salinas_v7:1:11934956:11937199:-1 gene:gene-LSAT_1X10041 transcript:rna-gnl|WGS:NBSK|LSAT_1X10041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNLCVLLCAFSCLTASGAFANILLIGNNISLSFKSVPANFGRPVTGSGEHGTIFLASPVDACSPLTNTLTKDSINSGYLLIIKGGCEFVDKIRRAQAAGFKAAIVYNDEDSNLFPMMRDPGGIHIHVVFVSQSTGLKLQEYVGVKEIKVLFVESYENSAWSIMAVSFISLLAMSAVVASCCFVRRHRIRRNRPRGRVREYHGMSSQLVKAMPSLEFTEDLEDNCTSATCAICLDDYNVGDKIRILPCSHKFHMICVDAWLTSWRTFCPVCKRDANTATPDPPATERTPLLSSPPASVASTSMSSSARSSRPMQIGRSPTSLSYTPRQSFPSYHESRYPSSAQSSLDMRNASSSYRSSRGSHFNSNSFASPSLSPLNSIYMAFYSNSGNGSSSYIRSSSQQAQSLLQRESAVQSPLQC >cds-PLY91540.1 pep primary_assembly:Lsat_Salinas_v7:1:10640285:10641174:1 gene:gene-LSAT_1X10281 transcript:rna-gnl|WGS:NBSK|LSAT_1X10281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFYVGFPGIYDGLASITTNPMASEGFVNPKVKTMAQAQDCLLPMRITSENVVQRFKVESHRKAAATTIVGRFKDEIIPIPTKVKNEK >cds-PLY79660.1 pep primary_assembly:Lsat_Salinas_v7:5:253974681:253975085:1 gene:gene-LSAT_5X127580 transcript:rna-gnl|WGS:NBSK|LSAT_5X127580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKQGIHQNTRNAPPSVNLAMNGGGLVGKRTYSELAGASISSQINLPTPPSPSFSVIPLQADNDMETWIQKATFIGEALSLQHLGHLPTWLSIHNDKVEDVKYAGGMKVLLSFQSTVEARSFLENKKNWRDLFK >cds-PLY93759.1 pep primary_assembly:Lsat_Salinas_v7:6:145933743:145935562:1 gene:gene-LSAT_6X88380 transcript:rna-gnl|WGS:NBSK|LSAT_6X88380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEENTDEVMNRSEYGGSIPVDNVQALASKDLKDIPIRYIRPEIESEEVLTDESLQIPVIDISKLAVAGKPGYDDELDKLHLACKNWGFFQLINHGITESIDAMKKVTEEFFKLPLEEKINCAQIPSSIEGYGQAFVVSEDQKLDWGDMLFILPLPIPQRNMRFWPQTPPSFRTTLDEYSKALQGVSMELFKLMSINLRIKPATLSNMYENCTQGIRMNYYPPCYEADKVLGLAPHSDATGITLLVQINEVQGLQIKKNSKWVPIKPIPGSIIVNIGDVMEIMSNGEYTSIEHRAMVNFERERISIAAFHSPGVKAMIGPLMELVKDKTPKYKTIDSEDYVRLVVNSKLDGKSLIDHMRI >cds-PLY99455.1 pep primary_assembly:Lsat_Salinas_v7:6:20248550:20251206:-1 gene:gene-LSAT_6X15381 transcript:rna-gnl|WGS:NBSK|LSAT_6X15381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSCNACNKKFDNENDQKPHYKSEWHRYNLKRKLAGVPGVTEALFLARQSTLAEEKNKLNGPAMMYSCRLCGKGYRSAKAHAQHLNSRAHITRASEDGQEEENNAIIKPLPPRIVKKPLKQQEESGEESEDSEWEEVTEKDDMVGDMASPSTHMEVNDEDDSDDDMDEDEDEVDPTCCFMCDKEHKTIESCMVHLHKHHGFFVPDIEYLKDPSGLLTYLGLKVKRDFICLYCNSNCQPFSSLEAVRKHMVAKSHCKVHYGDDDEEEEAELEEFYDYTSSYVDADGKQLVTADGTSEGIELGSGGSELIIKTVNENKVSTKAIGSREYLRYYRQKPRPSPDGVPITAVLAARYRSMGLSTVQSKENMVRMKVMKQMNRSGVDFMRSKMGMKSNVIRNLPNNVTY >cds-PLY73544.1 pep primary_assembly:Lsat_Salinas_v7:9:77527624:77529955:1 gene:gene-LSAT_9X63061 transcript:rna-gnl|WGS:NBSK|LSAT_9X63061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCPNLDNEDGLETVLEVPIPEETFDANSNAVWRTLKPVITPHATDSGRNAEVQLLLGVVGAPLIPLPISSGQPATIKSNINDHPIEASMAKYIVQQYIAATGGERALDSVDSMFAVGKVKMVASEFIAGDGISMNCNGLNLGGSVMKIKSVRNGCGEMGGFVLWQKRPDLWSLELVVSGAKISAGSDGKLAWRQTPWHHSHASRGPPRPLRRSLQGLDPRATANLFTNSICTGEKTINGEDCFVLKLEAEPSSLQLRSSKNVEIIHHTIWGYFSQKTGLLHHLKDSHLIRIKAHGSDNVFWETTMESSIQDYQTVDGINIAHGGRTLVSLFRYGENSQSHSRTKMEEVWTIEELDFNIKGLSMDCFLPPSDLKKTDDQETDDNVDSGDTRNARLITKSRGVFSKVGVSKVVDFDPRTLGEHRRT >cds-PLY94292.1 pep primary_assembly:Lsat_Salinas_v7:7:164883981:164884262:-1 gene:gene-LSAT_7X96560 transcript:rna-gnl|WGS:NBSK|LSAT_7X96560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPLPPFTFYDKLAKLTKNKKKMALKSIFIDQSELPPRIYNCLKRSNIYTLLDLLNNSQEDLMKMEHFRIEDVKQILGILEKNFVIDLPKNKF >cds-PLY67833.1 pep primary_assembly:Lsat_Salinas_v7:4:80234471:80243068:1 gene:gene-LSAT_4X54820 transcript:rna-gnl|WGS:NBSK|LSAT_4X54820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFTSFFVFYIIQQLCGGSLYANGCYTSIINFGDSVSDTGNIKELASISDVTLPVLQPPYGETFFHQPTGRCSNGRLIIDFLAESLGLPFLPPFLHDKETNNTEYMGQGVNYAVGAATALDTSYFIERGISTPILSTDLGLQLAWFKQSLSSICSNVSDCRNLVGRSLIMMGEIGGGDYNNIVMDARPIKEIESSIPLVIDSIISAVIELIDLGAQTLVVPGIFPLGCSSSFLSLRGSENEEYDNTTGCLVRFNKIVEYHNQLLQTKLNHLQELHPNVIIIYADYYNAAMQIFRSPYKFALKACCGSGGLYNYNKSEPCGSTFATVCDDPNMYVDWDGLHYTERAYRIIFKSLFQGPYTTPQFSSLCPVSTS >cds-PLY79981.1 pep primary_assembly:Lsat_Salinas_v7:9:45935196:45937138:-1 gene:gene-LSAT_9X42461 transcript:rna-gnl|WGS:NBSK|LSAT_9X42461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRILSRSTRQIYNQSILQPHHAVSARFYAKEAVPKGLKGDEMLKGIFFDVKKKFETAIGILRKEKITIDPEDPAAVSQYAKVMKTIREKADLFSESQRIQYTIKTRTDGIQDARSYLLALKEIRLKRGLTDEIGAEGMMMEALEKVEKELKKPLMRNDKKGMALLMTEFEKVNQKLGIRKEELPKYEEQLELKIAKAQLEELKKEAHEAMDTQKKREEFKDEEMVDVKSLDIRNFI >cds-PLY86687.1 pep primary_assembly:Lsat_Salinas_v7:4:319505457:319508160:-1 gene:gene-LSAT_4X160020 transcript:rna-gnl|WGS:NBSK|LSAT_4X160020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRISPPTITSSFYHLSSISPFQSPHSLSLPSISSPTTTTSIRSLNLHPTRKNPTALVPKASSTAEFSPEIADVLGDVNIFTASGDSVAFNQLWDQSEGIAVVALLRHFGCPCCWELASTLKESISRFESAGVKLIAIGIGEPKKAQILAERLPFPLESLYADPERKAYNLLGLYYGFGRTFFNPASAKVLSRSRFEALKKAVKNYTIEATPDDRGSVLQQGGMFVFKGKELLYAWKDEGTGDHAPLDDIINICCKVPVA >cds-PLY62695.1 pep primary_assembly:Lsat_Salinas_v7:6:56044659:56045718:1 gene:gene-LSAT_6X41301 transcript:rna-gnl|WGS:NBSK|LSAT_6X41301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGAQIRVAYQGVPGAYSEAAAGKAYPQCEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEYINRVISHPQALAQCELTLTKLGLNVTREAVDDTAGAAEFVAANNLRDTAAIASARAADLYNLNILADGIQDDSSNITRFVMLAREPIIPRNDRPFKTSIVFAHDKGTSVLFKVLSAFAFRNISLTKIESRPHRNRPIRLVDDANVGTAKHFEYMFYVDFEASMADVRAQNALAEVQEFTSFLRVLGSYPMDMTPWSPSRDD >cds-PLY68490.1 pep primary_assembly:Lsat_Salinas_v7:2:212714442:212714684:1 gene:gene-LSAT_2X133740 transcript:rna-gnl|WGS:NBSK|LSAT_2X133740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTKIHVLLVITIMLVTSVDESNCRNIVDHSIGEEGIRANFISTFKRHFNVGPKLTNGENQQPYVVSHRLVPCGPNPLHN >cds-PLY70876.1 pep primary_assembly:Lsat_Salinas_v7:9:16664792:16666467:-1 gene:gene-LSAT_9X18041 transcript:rna-gnl|WGS:NBSK|LSAT_9X18041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKLDKKIVKKRVKKFKRPHSDWKICVKENWRRPKGIDSRVRRKFKGVTLMPNIGYGSDKKTRHFLPNGFKKFVVHNAKELEVLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >cds-PLY99430.1 pep primary_assembly:Lsat_Salinas_v7:4:102719921:102720617:1 gene:gene-LSAT_4X66621 transcript:rna-gnl|WGS:NBSK|LSAT_4X66621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEIAFKLLVCLLKTCDCDVPAKERTCWKLTNPDRRFWNCNNSLTRLRKCDYFEWKDVALEDGYYKNLIYSMKQQLYSKEDLGVIKNLRTKNVELEFLLSKDKSLVASMEKGMYVSKKSIRMYKLLVVVLDIGYVCIVFNLAN >cds-PLY65607.1 pep primary_assembly:Lsat_Salinas_v7:8:40317700:40318500:-1 gene:gene-LSAT_8X31361 transcript:rna-gnl|WGS:NBSK|LSAT_8X31361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCDSEEEEEVFKNVGINDEDANSIVESNEDGISDTWDNKQTDELEEGEINQQAVPDNTNLDEFLIINKGMIPEAEKEPGNIGTLDSKSITDDVATPCDYNFINDVGIPDSTGKKVHSAFSLPDMGQLPISNSKNPPEAQKLSHGPSLIKPTPIVMSTPNTLKPNMQDPLLDFEIGDSMGKRRRVERTFASTITPRRIFDSSLLDRPFPVADSNPIVLVSASSFHSLDLNKTISNHDLSSCNSSTEVYSSSNEISSTIRIGNEVGF >cds-PLY75271.1 pep primary_assembly:Lsat_Salinas_v7:7:66477241:66506009:1 gene:gene-LSAT_7X47661 transcript:rna-gnl|WGS:NBSK|LSAT_7X47661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKTPSLNLLKGTKTIEDTVKYVCLKEGEGDHEHEPLSAGARFFHEPGFNVYILTKIGSKSSFDIDRTKAYLIQMIDKYPCFSQVVVKDKESGSMKWVHTHVNIDDHLIVPDIEQNMDSSDQFIEDYISNLTVSCIDNLKPLWDVHILNTRTSDAQGTCIFRAHHSLGDGLSFMSMLLSLSRKASDPEELPTLPMNMKAHHHTTTATNVISFCMVLWNTFVALMLFVLTTMFLKDTETPMKASPGVEDRHRRIVTRSVSLADIKLVKNAMNATVNDVIVGIVQAGFNRYLKGRYEEINSPVPENILLRAMMSYNLRASVNVDAATKNTNEDETWGYKVGYILLPFNVKNRSDPLDYVREAKAIMERRKASFEPFATRFFAKTLVPKLFGIKIAGKLNRKVYYNTTFGFSNMPGPKEELCFLQQKVTYLAPSIYGIPSGLMIHIISYVDKVTFVLSAEEETIPDPQKLCDDLEISLHHIKCSVLDKPCAEK >cds-PLY82559.1 pep primary_assembly:Lsat_Salinas_v7:2:185568865:185569128:-1 gene:gene-LSAT_2X107100 transcript:rna-gnl|WGS:NBSK|LSAT_2X107100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKAVLKHPYDFYPLLKLKMAAKKAEKQIPVEPHWGFCYSMLHKVSRSFALVIQQLNPELRDAVSVINQMPSCFYVCSSSSWLTL >cds-PLY62629.1 pep primary_assembly:Lsat_Salinas_v7:3:2099496:2100184:1 gene:gene-LSAT_3X1560 transcript:rna-gnl|WGS:NBSK|LSAT_3X1560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKGFVTTTFLLITINLLFFTLVTSTSCPPPPKAPKPHKHHHNKATCPKDTLKLGVCANVLNDLVHLVVGTPATTPCCSLLGDLVDLEAAVCLCTAIKANVLGINLNVPISLSLLLNVCGKKVPKGFKCA >cds-PLY65022.1 pep primary_assembly:Lsat_Salinas_v7:1:119149543:119151834:-1 gene:gene-LSAT_1X92340 transcript:rna-gnl|WGS:NBSK|LSAT_1X92340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSYMVHTTSKIASLAKWGKVTCARKLFDEMPQRDTVVWNTMLTSYTHLGLFQEALLLFHEMTGINSIKPDHYSFTATLSACAGSGKLRYGQKIHALILSAGYSSSLPVNNALIDMYAKCLSPCSAHDVFEEIEIKNNVSWCSLLFAYVNSNQFQSAQSVFDAMPNRINIAWNTLIAGHARHGNVNSCVDLFKRMMTESCDEIQDQWTFSALMNAATESHEYHIGCMFHAIVIKKGWDSAVEANNSILSFYAHLSSPEDVLKIFESIDTLTQVSWNAIIDAQMKIGNTQKALITFHNAPEKNVISWTSMISGYVRNGNSEEGIRFFVNMIRSNLLPDDFSLGTVLHACSLIATLGHGKMIHSLAIRHGFHSYAYVGNGLVNMYAKCGDIFGSFQSFNDIIEKDLVSWNTMLISYGLHGWGDKALEIYDKMISSNLKPDNVTFISLLMTCSHLGFVEKGRDFFQSMSGVHGLCPEVEHVACMVDMLARGGELEEAREMVESYRRRHGEMGKSSEGVFGACYAHGELEMLEGESEMSYVVLSNLYCVKGKWKEAEMVRKAMADEGVKKMPGCSWIEVKNKVVAFVAGGSLCVEKDDMSSIIYLLEYQLKNPW >cds-PLY84262.1 pep primary_assembly:Lsat_Salinas_v7:7:77479377:77480740:-1 gene:gene-LSAT_7X55280 transcript:rna-gnl|WGS:NBSK|LSAT_7X55280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVDCVGVQTVDNLNRMFHLTSHDFNVSSNYKQSVSGLKRTGHARFRRGPSSSSSTDSQGTSTSSQSEEKQQDAPLTASKACFLNKSVSDSEAEAVTSSRSTSSSSLVSSLAGGGLEEESVSNGKRFSSLGIVAPSLTFSSRKPPLPSTHRKRCSADNPAASLHRSGRENRSSGCYCCKRRKIGSKREIRRVPIIGSKVTSIPADDYSWKKYGEKKIDGSNYPRVYYKCNTGKGCPARKRVELALDDSKMLLVTYDGEHRHHHAPTPVPTSLTGLVVQSK >cds-PLY78602.1 pep primary_assembly:Lsat_Salinas_v7:1:108074604:108078500:-1 gene:gene-LSAT_1X85160 transcript:rna-gnl|WGS:NBSK|LSAT_1X85160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLIQELNHLKIQLEAIELATENFSDCNVIGQGGFGRVYKGQLPVVSASSTTVAVKRLDVKCGQGEKEFLMEIVMLSSYKHENLVSLVGFCDEGDEKIIVYKHEIHGSLDNHLATDLTYRKQRPTMAMVIEQLQISLEFQIDQQLFPPPESHHMNQSLENNNSQSKKSDHDFDFDWANSDRSNVEDDDNYSLSPPLNDGEGGPGIKEFQIIGDAKPGGKLLVCGFTLRGTSRCMFQGDIVRLFGQSTHAHTESSKLSLFSSGNLIFFIDLLF >cds-PLY77929.1 pep primary_assembly:Lsat_Salinas_v7:1:23655714:23658958:-1 gene:gene-LSAT_1X20540 transcript:rna-gnl|WGS:NBSK|LSAT_1X20540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTRVKTNLRPMKTSIKDPKVDTQMQMEVEKTSTNTTTTRRGSVRERKMALQQDVEKLRKRLRHEENVHRALERAFTRPLGALPRLPPYLPPTTLELLAEVAVLEEEVVRLEEQVVHFRQGLYQEAVYISSSKRNLENSLDFQESSKNKSSKLSPQIETNSSTTLVENPPIISENQSPNATKNKHRSPNPKSHTPAKKSAVDSKLTAKRLDPKKLQFEGKVMEGANVEGKTSLIQEKGLLSRDDDPNKISESILKCLMNIFIRMSSTRSKSTTEMPHSLTSNEIMKETAFKDPYDISCEFEKRDIGPYKYLYAIEATSINKNRTTNSVFLIQRLKLLLGKLESVDLMSLTHQEKLAFWINIYNSCMMNAFLENGIPESPEMVVQLMQKATINVGGHFLNAISIEHFILRLPYHSKFTFVKGVKNDEITARSVFGLELSEPLVTFALSCGSWSSPSVRIYTGSQVENELEIAKKDYLQAAIGISTTNKMVEIPKLLDWYMLDFAKDMESLLDWVCLQLPNEVGKEAMKCLERGKSVPLSHCVRVIPYEFQFRYLLHK >cds-PLY92636.1 pep primary_assembly:Lsat_Salinas_v7:2:161375764:161378596:1 gene:gene-LSAT_2X85480 transcript:rna-gnl|WGS:NBSK|LSAT_2X85480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKEDSANGADNSAANRRRADSNSKLFSDGEKVLAYHGPRIYEAKACELFSTSLTRLLSISHSHSFILIFSHILFIIDIVIKQLEQTEVQKSEIRKNEWKYFVHYLGWSKTWDEWVGVDRLMKNTEENILKQQALDKKQGVDRNSKSGKSTQTKPKVSSGAKGKKRKNDSSTEKENASVENLINIQIPSALKKQLVDDWEFVNEQDKLVELPRSPNIDDIFEKYLEYRSKKDGMMTDSVGVGEILKGLRCYFDRALPIILLYNKERHQYHEAVADDVSPSTIYGAEHLLRLFVKLPDLLPYVNIEEDLVTRLHQKFVDFLKFLQKNRNSFFVSSYDGSKVSD >cds-PLY68533.1 pep primary_assembly:Lsat_Salinas_v7:4:20916181:20917095:-1 gene:gene-LSAT_4X14720 transcript:rna-gnl|WGS:NBSK|LSAT_4X14720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGELHSFDHLEKAPYSFKICDGSDCDIKHLGGLKIGVKFMNDESIDAFLKGWTKWFSRVDSGDIATISADRIAWIKVLGLLPKLWFEENFVTIVESAGKVIVPCEVDQSAVNLSFGKVGILTESLSTVSKDLHVEVNGKITKIRIDEVDTDWVSFKYSTEDSTSNEEDVNEEEDDGEDYISDTVPTDNKNDEELEDGEFIILDIDAVNGSVMNNASSYDMIRSPKVTSSPANVAMHEDDLDERNKTFEEAQEASMGVFMNENAKDETEEQNNNDNNNNEENDETLNNLPNFNVEKKCGATKSN >cds-PLY70481.1 pep primary_assembly:Lsat_Salinas_v7:1:71528532:71530842:-1 gene:gene-LSAT_1X62161 transcript:rna-gnl|WGS:NBSK|LSAT_1X62161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFAGVPLVGGGDQLLLHCRSPRLLFHTFKPIVISPFIVRSSSSSIKELDTSSSSEAEDDRKLSSTLSGSVSFPPVKVAKRVVLVRHGQSTWNAEGRIQGSSDFSILTQKGEAQAETSRQMLIDDSFDICFSSPLRRSKRTAEVIWGSRQEEILTDSDLREIDLYSFQGLLKHEGISKYGEAFAQWQKDAPNFNIDGHYPVRELWERASNCWNKILIHDSRSVLVVAHNAVNQALVATAMGLGTEYFRILLQSNCGVSVLDFVPHPEGGSPYICLNRLNQTPSSPIASGSSAGRKASNRIVLVCHGIPEGSDSESDNSDMPMDMLGTIQSQKTAELLLDLKVKSIVSSPRIAPTETANAIARVQEAADCLGADCVPRYVETKQMKELDIQDILNRSKKESIKALSLESGWVKEIEEGLLREIWEKSGIAWKSVLDELCNESEAENVVVAVGDPIVNIGLLGHCLNLTNEWMGSFHLDAGSISVIDFPDGTAGRGVVRCINYTAHLGRWSIPITRPTQDDEEF >cds-PLY62035.1 pep primary_assembly:Lsat_Salinas_v7:5:129698603:129700212:1 gene:gene-LSAT_5X56381 transcript:rna-gnl|WGS:NBSK|LSAT_5X56381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKAPVIWAFGPSYQKRKRYEWSYAYRFSSLPLPSLDRSLSVSVSSCSIYHLCAITDSHARLSPIKYQLSRTLFSTTPCLDS >cds-PLY85584.1 pep primary_assembly:Lsat_Salinas_v7:2:119531443:119535501:-1 gene:gene-LSAT_2X55280 transcript:rna-gnl|WGS:NBSK|LSAT_2X55280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGELITNSLVLVLGYAYPAFECFKTIEKQGVGNAELRFWCQYWVIVAILTVFERVGDIFISWVPMYGELKLALIIYLWYPKTKGTGVVYDTMLRPFIYRHETDIERSLKQFRDRAWDVAIYYWQNSTELGQTKFFDILGYLISKPSPSRTQSQGLQNHQGSGDGRPPPPVTPSPVSFGSEQWAPTAPPAPTTVHHHQYAEEPPFPSSPSTHIHSSGHKEPVWLRFRRSRGL >cds-PLY89489.1 pep primary_assembly:Lsat_Salinas_v7:4:148424724:148426914:-1 gene:gene-LSAT_4X90940 transcript:rna-gnl|WGS:NBSK|LSAT_4X90940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYNSNNIEFIVFVAVLLVVSKLLLRLTFSATSHAFLLSKSRSIFLTSAIWWWNYDHTLPLIKLIMKERETASDRTVKYHGKWPLRRAFRNEVTAILALAASSSSAGVMVLLDRDSEYCKVDQQLSCSMFPISITFAFLAWFLLAITSHTVLWLLASLSS >cds-PLY64840.1 pep primary_assembly:Lsat_Salinas_v7:2:31487018:31487311:1 gene:gene-LSAT_2X15861 transcript:rna-gnl|WGS:NBSK|LSAT_2X15861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQYVMEDHLFPSHDLASLYKPINNMVYEITSHSDISSNRWTALVIFPFLIKPPTRMSIPGEYSENHLLRTQAHRIYPVAFVVHIRKKEHIIGNQHS >cds-PLY70956.1 pep primary_assembly:Lsat_Salinas_v7:5:137792392:137792796:-1 gene:gene-LSAT_5X59401 transcript:rna-gnl|WGS:NBSK|LSAT_5X59401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPFVRFIRVVDQVNLVKSLCSIRIGCLIVDANLARFSHVGGKPMAVKKLIFDRPGNRASMAKSSYVKVLTCDHAIVAKDVKLKVIPSPSSVPSDDGNSLSFLLALLGCYKEFRAICNSRIMCHGEEFLDVELV >cds-PLY75860.1 pep primary_assembly:Lsat_Salinas_v7:9:195213883:195217221:-1 gene:gene-LSAT_9X119461 transcript:rna-gnl|WGS:NBSK|LSAT_9X119461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIVSSFGDSPYELLLKALTLIPITHYVIGFVLVLWVLLYNFLEFHIFQDLFSGFRGQRVDLTFNSCSQLYHEVVSKCTLLHGRYMSTPWLSSPHLQTTFLRFFGRPPVFDYRREVFSLDDGGIVALDWLTRFDGNDHSLNSLSVRLSLQPNNQLWALGIALMEKENIANGEAHQDSNMPIVVVIPGLTSDSDSVYIKHLTFYMAKRGWNVVVSNHRGLGGVPLTTDFFYNGGWTADLRKVVKHIRSKSMDVPLFVVGTSLGANMMVKYLGEDGDDILIDGAAAVCCPWDLLLCDRFIGRNAVQRFYDKALGAGLKRYAKKHQQFFTRLSDWDGIEKARRVREFDTSGTCRIGKFDTADIYYRESSCVGYVERIKVPLLCISALDDPVCTKEAVIWDECRANKNVILATTQHGGHLGYFDGMDAKGVWWVRAVDEFLTVLSSSTIIHKQNMMPDSLLVGPQKSLTDKDPYIHLVKKTENKIHHVDNKLLQTNKIFMERKIVSSDAAKGFEANSSSIDSFIFILKRFTDQF >cds-PLY63641.1 pep primary_assembly:Lsat_Salinas_v7:4:128979356:128979622:1 gene:gene-LSAT_4X80641 transcript:rna-gnl|WGS:NBSK|LSAT_4X80641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKNGHVVEENVTLQRELVAVKDEIHRLGQIIPKLHVEKDARATDLIDRGMKLEAELRDVEPLMADVGQLRSEFQKLTSLRQELSSQI >cds-PLY94521.1 pep primary_assembly:Lsat_Salinas_v7:2:156209580:156209858:1 gene:gene-LSAT_2X79881 transcript:rna-gnl|WGS:NBSK|LSAT_2X79881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYLLLVSLGLLSFASIGYLALAAIGTRCNPAIGSVALPVVSIRSLAPIGYVAPAGIDVRSFVSIGCLDAAAIDVRFVVSIGCLAAAAIVVR >cds-PLY83828.1 pep primary_assembly:Lsat_Salinas_v7:3:52490700:52494021:-1 gene:gene-LSAT_3X39341 transcript:rna-gnl|WGS:NBSK|LSAT_3X39341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCESEMLAVKVKLGQKKEKNSNKSVVASARVGRNWSALELVGDGSCWRRAGGGCKSXXXXXXXGKKRRNPTATSNPSSLPPEGTTVDIPINNTKDLKAIEKELQDKEAELKRREEELKKREEAIAKSGVVIEENNWPPFYPIIHHNIKSEIPIHLQKVQYVAFGSWLGIIVCLVWNLIAVSVAWFEGEGVTIWLCAVIYIILGIPGSYILWYRPLYRATRSDSAVKFSFFLITYSFHVFFCAFASLAPPIFIEGKSITGILPAFNLLVGNAMLGGIYLIGFGFFFIETAISIWVIQQVYSYFRGSGKAAEMKREATRSTMMAAL >cds-PLY98709.1 pep primary_assembly:Lsat_Salinas_v7:8:9038010:9042527:-1 gene:gene-LSAT_8X7020 transcript:rna-gnl|WGS:NBSK|LSAT_8X7020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGFYGGGGGGVGGDSRMVMADGSFNSMPLLRAPISQPQLIASSLYNSESLSLALKPKMEGLGEIGLIGENFEGNLGRVRGDGSESRSGGSDNVEGASGDEQDVPPGPSSSSRRRQKYHRHTPYQIQELEASFKDNPHPDEKERLALGKKLNLENKQVKFWFQNRRTQMKTQLERHENAILKQENDKLRIENIAMKEAIRSPVCNNCGGQAILGDISIDEHHLRIENARLRDELSRISILANKFLGRPLSSFGGGNMPPGMANSNLELAVGRNGFGLNINPMDVGLPMGLDYNNGISNALPLMSPSRPGMGMAAGGGGGGIDMAYDKNVFLELAVNAMDELMKLGQVNSPLWLGNMEGGGGEVLDLDEYMRTFPLCLGMKPHGFVTEASRASGVVMINSLALVEALLDSNRWREMFLGLIGSSSTVDVISGGSGDSRNGVVQLMQAEVQLVSPLVPVRQVRFIRFCRQHAEGVWAVVDLSVDGGREGFISRRLPSGCIVHDMPNGYSKVMWIEHTEYDEGVVHHQYRPLIRSGLGFGAQKWISTLQRHCECMATIMSPDSATDDHSPVLPPGGRRSLTALAQRMTSNFCAGVCATGGHKWEVIGNGAEAAKIMIRKSLNNHGDPSAVLSATMSVWMPMPHQRLFALMLNEELRSQWDVLSHGTAMQNMIRFSKSQDHGNLNTISLLRANTSGGNANQNTVLVLQESSTDVSGSLIVYAAVDINSIDVVMRGGDSSCVALLPSGFAIMPDCVGEAGAPVTKPGSEGGSLLTVGFQILVNDLPSSKLTMESINTVINLISRTVQGIKEVVNSNQQGRQISS >cds-PLY85630.1 pep primary_assembly:Lsat_Salinas_v7:5:76673777:76675288:-1 gene:gene-LSAT_5X35620 transcript:rna-gnl|WGS:NBSK|LSAT_5X35620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREFLLGFSKFAPFTKSMMGLRQNLCNSHLNVIGFSCDGHKRIQLSYEKLKGKEKAMRIKKGIMVYQFFLPLQSSPLSAKRSNGMNIIRLSNFH >cds-PLY66729.1 pep primary_assembly:Lsat_Salinas_v7:4:80053244:80058945:-1 gene:gene-LSAT_4X54621 transcript:rna-gnl|WGS:NBSK|LSAT_4X54621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDSPVKSNFEETGNPDGIVKTSTVDTTINHGEQPKISTPEQTTVIPPEVSNAESFHEEVRTSGITANVSDTCANVKKGDGVSTHKAQVFVYSDCVVALVLVSGEKAHQLGLHVIAKVYGYVDVEHVPELFTTTPTLAIPKAISRFGLDASQIDFGTFLHFSECRISNSNSIAYICI >cds-PLY73594.1 pep primary_assembly:Lsat_Salinas_v7:6:128676519:128677562:1 gene:gene-LSAT_6X75800 transcript:rna-gnl|WGS:NBSK|LSAT_6X75800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSIFMVVPITVFKRNPTFSIRCMAQTPQSGETGSSKQATTPNMTSTPPPPAPKVSSKFSEVLAFSGPAPERINGRLAMIGFVSAMAVEVSSGQDVFAQIGNGGVAVFVGTSMVLTLASLVPLFKGVSVQSKSSGLMTSDAELWNGRVAMLGLVALAFTEYVKGSALV >cds-PLY87258.1 pep primary_assembly:Lsat_Salinas_v7:1:51563449:51564027:-1 gene:gene-LSAT_0X31860 transcript:rna-gnl|WGS:NBSK|LSAT_0X31860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVITSEFEIASSLPASKFFNAYRDFNNIAPKVDPETYKTLVDIEGDGGAGTIRDISFGDGVPFTNGKLKLDVVDSNNFSIIYTIFEGDILMGQLDSMTHHVKFIPSPDGGCVYKPTVVYNCKGETQLPEEALNMVKEGFKKTFNAIEGFIHANPQTY >cds-PLY75355.1 pep primary_assembly:Lsat_Salinas_v7:6:179447375:179449768:-1 gene:gene-LSAT_6X109060 transcript:rna-gnl|WGS:NBSK|LSAT_6X109060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGNSMLYSLLLFVVTLSLQEMYRGKLASSELFTILGGFTSSLVFLFLLTFIGNFQETSGVKTGWGAVILAEAVALVAAGTVHRVCITTCFLFSAAILYEVNQISGVMASKSESKGRRH >cds-PLY94769.1 pep primary_assembly:Lsat_Salinas_v7:2:179567451:179568721:1 gene:gene-LSAT_2X101560 transcript:rna-gnl|WGS:NBSK|LSAT_2X101560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLNTPTCTHTFTTINHHHTISAPVLPKTLFPIFGRKIKGFSSNWRFISDSTAGTGRRSSIKSSLIEAPVLWAGRVCIFYVLLKAGLAGSPSNPLASTTELESDGDDLGFAKWFEEFRGKPEREAADQRKLVSKWHPTTKGTLRRNYRIPSKAEGRRLLKAIASLLSDDDHFRDATSHKGCQIRRESAHGETVCCNNVRALFDELPTPHLVVEITPFPAGPLTESDYARAQKLEKVLRTGPSV >cds-PLY99563.1 pep primary_assembly:Lsat_Salinas_v7:7:189898670:189898900:-1 gene:gene-LSAT_7X112880 transcript:rna-gnl|WGS:NBSK|LSAT_7X112880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVFGVMNEKNVYTWSGAMGGLAMHGYGKEYLDLFTLMQQENITPNEVTFLSILKACSVAGLVEEGWKHSESMTKE >cds-PLY86311.1 pep primary_assembly:Lsat_Salinas_v7:8:59084567:59084755:-1 gene:gene-LSAT_8X42901 transcript:rna-gnl|WGS:NBSK|LSAT_8X42901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGGDGAMVVSVVSCGGDDVSCDGGGDREGSGGAYGDGGDGCSDGGNDGGGGGSNGDGCMMV >cds-PLY74385.1 pep primary_assembly:Lsat_Salinas_v7:6:153781618:153786734:1 gene:gene-LSAT_6X93080 transcript:rna-gnl|WGS:NBSK|LSAT_6X93080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVRRKRGADPVLRLLLLSLLVAGENVGVSMASLFFRSMLTLATLPGFVSSAMLFFWWPQQHGIGYMLMNIFWGLGIGGFTMPNNSNAPPKKTSSSSFKDNSDRQHPTQDPAILLPSTTATVQCLPLYSSSIAISLQSQPHPTSTSPQRAVISLVGHKIVKQVKI >cds-PLY96988.1 pep primary_assembly:Lsat_Salinas_v7:2:36294606:36294896:1 gene:gene-LSAT_2X17221 transcript:rna-gnl|WGS:NBSK|LSAT_2X17221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYLDPEAGRRRGLRSRRGIGMFSKGGFANWDVPSPSKENGPKQREDGWFEIEIGEYFNGGGDAAELELSVAEVNGGNWKTGLVIQGIEFRPKNCK >cds-PLY90634.1 pep primary_assembly:Lsat_Salinas_v7:6:54813263:54815445:-1 gene:gene-LSAT_6X40180 transcript:rna-gnl|WGS:NBSK|LSAT_6X40180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGRGVMKWVNGDLFDGSWLNGYRHGSGVYRFSDGNYYFGRWTKGLKDGQRTFYPAGSKCSSFRIKTHSQRFRDPERNVTRTYSEKFTFSSFFRESGHITSGRIPPDDDYTLGDSTREFSTSERTEMFSHMSDEVERRYTLLSDSCVRFIVMGNMFKTELRIHRRYDLKGSYQGSFTCKDHIDEGTTLEDLDLAYEFHMDKTLREALFEQIQLDCLFLESQQIIDYSLLHGLHSIEKEECRRISSKLRKFVKVGLFVTIGRFEHQSLPWERRLGHYQSFPSGLGANTSHNSIMMSQDYDYYPLASFERYPTIFQLNDHCYYIKF >cds-PLY94721.1 pep primary_assembly:Lsat_Salinas_v7:1:200766807:200768118:1 gene:gene-LSAT_1X125120 transcript:rna-gnl|WGS:NBSK|LSAT_1X125120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRVVLLTLLFLITLDSCHGKCSNVSSSFNTNFRTLLDLLTKNAPFRNGFYETSVGNKSDQVYGVVQCKANISSSNCANCLKSNTESFDGCPEGRSMATISTFCTLKFSDENFFGVWDNFSSASFGHNGLDNPSVFSKGYLMMQDLASTVPDQPLMYQATDVNLGEDGKRYGLAQCSRDLSKLNCQNCLEDRLENYRSYVENRTGWEILGISCSMWYSNVSDAYSPGVTALTPSESHVPSAIPTVTSPSGIPTVTSGGHKSHGRSGIWGSISIGTSIFIALLAIQVFEMLS >cds-PLY77714.1 pep primary_assembly:Lsat_Salinas_v7:9:20062486:20063082:-1 gene:gene-LSAT_9X18481 transcript:rna-gnl|WGS:NBSK|LSAT_9X18481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:LSH6 [Source:Projected from Arabidopsis thaliana (AT1G07090) UniProtKB/TrEMBL;Acc:A0A178WE41] MDLASGSGSNDPGPSPTGGPADDSSAVRSTVHTPATTPSRYESQKRRDWNTFLQYLSNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHVTDCPYFGQPNPPAPCACQLKQAWGSLDALIGRLRAAYEENGGRPESNPFGARAVRMYLREVRESQAKARGIPYEKKRKRPGGXXXXXXXXXXXXXXXXXXWWWCWWSG >cds-PLY95166.1 pep primary_assembly:Lsat_Salinas_v7:1:170036427:170039116:-1 gene:gene-LSAT_1X113720 transcript:rna-gnl|WGS:NBSK|LSAT_1X113720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIANLGGTKRVRKEPRQISVVSTGEESGSELDPKLCTFLTRKRVMISVASIDEQMRRQWKRTRNTLKYFLKKNGGMTDPQLAQSKMKPDCRSKEDWSHLCDYWETDKAQKYVDEMKNNREKLVISSRGGSRSIANHKFSMKNKETQLPPTLIELYHKLHFHPTKEWLNDETRIQYENILQMKEDECTKLVSAGISITQEMEYEIEKKFVKTVCAKQKTLLSRWEASSGPIMRKKDLHILSAAEPSQSTSTDEMALKNKVTALEEEVRENKEKVKQSEEKCEKILQFMISKFPDSQNILCPPDKEGFHAYDDMTNISDEE >cds-PLY69523.1 pep primary_assembly:Lsat_Salinas_v7:6:44613983:44617296:-1 gene:gene-LSAT_6X31721 transcript:rna-gnl|WGS:NBSK|LSAT_6X31721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPSFTQESRTQKSLVASSVKRNSFSSMSKISGCSGEISSNKNINFYVNFEEFKNPIQETSKKSSQLILEYIPSEKLWGKTQKRFPKNDLKYDEVYNWLININHEMFERFDVSVDEIKKSRYKEQKLINLTNDTKFQLVNLKKEKVIEKDLLLNSNCPNMEANHSSSVKVVSLEDINAMGTQRPKVSFHMNWIPKPQDVYNIVVNNVNQPFQHVWLKTSEDGSRLIHPLEKHSVFDFVNKSMTNTEPLKPPPVETTPLKFVQDVKDLKELVAKLHDTNEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRVHIGPYLREIFKDPTKRKVMHGADKDILWLQRDFGIYVCNMFDTGQASRVLKMERNRLDYLLIYFCGVAANKEYQTADWRLRPLTDEMLRYARDDTHYLLYVYDLMKKRLLSSSTDPNNPDALLVEVYQRSYDVCMQLYEKDILTESSYLNIYGLHEADLNGQQLSVVAALCEWRDIVARAEDESTGYVLPNKILIEIAKKMPITNEDLRGLLTSNHPHIERNLTSIVSIIQNSMYNAAEFEGVARRLKEEHMEMKWFEEVSASSGAIGANSLSNIAGNQSGKKAGSVVAGVNWNIPMNGSGFINTKVTLQNMVENICVGNAAGNRKLDLDSKLSSSFPKCLQTSNGRIMMKQKEEDKDDGKKVIPEKWIVNKSAGGCKDSGGGRPRPRSGLVTDIVNRV >cds-PLY86442.1 pep primary_assembly:Lsat_Salinas_v7:8:4106054:4114602:-1 gene:gene-LSAT_8X2941 transcript:rna-gnl|WGS:NBSK|LSAT_8X2941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVEEAIAALSTFSLEDDQPEVQGPALWVSSERGATISPIEYSDVHAYRLSLSEDTKALNQLNTLIQEGREMVSVLYAYRSCVKALPQLPDSMKQSQADLYLETYQVLDLEMSRLREIQRWQASAAAKLAADMQRFSRPERRINGPTVTHLWSMLKLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQVSIQWQDTDSMREELDDLQIFLSTRWAILLNLHVEMFRVNNVEDILQVLIVYAAECLELDFALLFPERHVLLRILPVLVVLATSSEKDSESLNKRVKMNRLITIFKNDPVIPAFPDLHLSPAAILKELSMYFPKFSAQTRLLSLPAPHELPPRERHYLIINHIGAIRSEHDDFTIRFASAMNQLALLKTIDSADIEWSKEVKGCMYDMVVEGFQLLSRWSARIWEQCAWKFSRPCKDFAPNSESLETTSPVVRFNYSADERKALVEVVSYIKSIGSMMQRCDTLVADALWETVHSEVQDFVQNTLATMLRTTFRKKKDLCRILSDMRTLSADWMANTSRTDSELQTSQHGGEENKGSFFYPRAVAPTAAQVHCLQFLIYEVVSGGNMRKPGGLFGNSSSEIPANDLKQLETFFYKLSFFLHILDYSVTVATLTDLGFLWFREFYLESSRVIQFPIDCSLPWMLVDHVLESQNGGLLESVLMPFDIYNDSAQHALVVLKQRFLYDEIEAEVDNCFDIFVSRLCEAIFTHYKSWAASELLDPSFLFALDNGEKFSVRPMRFTALLNMKRVKLLGRTINLRSLISERMNKLFRDNLEFLFDRFESQDLCAIVELEKLLEILKMSHEFLSRDLTIDSFSIMLSEMMENVSLVSYSSRLASQIWTEMQNDFLPNFILCNTTQRFVRSSKVPSAPVQKPSVPYAKPNFYCGTQELNNAHQSFAKLHSGFFGLPHMFSIVRLLGSRSLPWLIRALLDHISTKITNLEPMVTGLQETLPKSIGLLPFDGGVTGCMRLVKELLKWQSKTELKAEILHGIKEVASALYWMGLLDIVLREIDTTQFMQVASWLGLIPGADGQILQTQEDGNTPVVTLFKSATAAIVSSNPVNPTPFYTMSKQAEAADLLYKANLNTGSVLEYALAFTSAALDKYCVKWSAAPKTGFIDITTSKDFYRIFSGLQIEYLEDSMQNKHEMLGDSVAWGGCTIIYLLGQQLHFELFDFSYQVLNIAEVDNAAATGASNKSPQFSQGWEGLIEAMKKARRLNNHVFSMLKARCPLEDKQACAIKQSGAPLHRIKFENTVSAFETLPQKSS >cds-PLY78428.1 pep primary_assembly:Lsat_Salinas_v7:2:167850946:167851171:1 gene:gene-LSAT_2X88541 transcript:rna-gnl|WGS:NBSK|LSAT_2X88541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPIISENHASVAGKRTAAQRLGLFERELPLMQRQGPTTLEGATNHPNHCSKPSLDFTQWIALILFQSTK >cds-PLY80387.1 pep primary_assembly:Lsat_Salinas_v7:3:237620725:237622198:1 gene:gene-LSAT_3X133421 transcript:rna-gnl|WGS:NBSK|LSAT_3X133421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPLRLRITVLTLWFIAAAVSGHNITDILSDFPEYSVFNDYLTQTRLDDEINRRETITVLVLNNSVMTKFVANQALSVVKPALSIHVLLDYFDMAKLLTIGGGSMISTTLYQTTGNAAGSTGFVNITDLKGGKIEFGSADKESKIESLYTKSVKEFPYNISVLEINSPIINLEILPKSLDVNFSTLLDAARCRTFLKLLKSTGVLDIYKKVAEQALTVFAPTDLAFKYTGAPDLNNLTNAELVSLLLYHAIPSYVPEITLENEKDPMPTLATNNAGKFAFTIQTYGNSLMLNSGVDDSRVLKMVSDAVPVCIFMIDKVLLPMELFAKRRVPAAASSPAPESSPPSVSATAPGSSSTPIAPSPAHIAGTQPVIPPPAPTIPPASSPVGGAPPADNPTADMGSNNVSGGDRRKVPAIFLVLVIVSISGIFF >cds-PLY90071.1 pep primary_assembly:Lsat_Salinas_v7:6:17672697:17675811:1 gene:gene-LSAT_6X13280 transcript:rna-gnl|WGS:NBSK|LSAT_6X13280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSSDSISIDMETSSLAGKEHLVKTSSGFVSVAIYGDQEKPALITYPDLALNHMSCFQGLFLCPEAFSLLIHNFCIYHISPPGHELGAAAMSYSDPLLSVDDLADQVAEVLDYFGRGAVMCMGVTAGAYVLTLFAIKYTRRVLGLILVSPVCKTPSWTEWLCNKLMGNVLYYYGMCGLIKELLLIRYFSKEVRGGAIIPETEIVHSCRKLLSERQGPNVFNYLEAINGRPDITEGLKRLQCRSLIFVGENSPFNSDSLHMTSKLDRRFSALVEVQGCGSMVTEEQPDAMLIPLEYFLMGFGFYRPSQMDVSPRSPLSPSSISPELYTPESMGLKLKPIKTRHSQM >cds-PLY79960.1 pep primary_assembly:Lsat_Salinas_v7:4:249715000:249715553:-1 gene:gene-LSAT_4X132640 transcript:rna-gnl|WGS:NBSK|LSAT_4X132640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHPTTTHHSMPIRFPTKPAASVTANLAHPSIPIYQSQSQTQQRVRALMNPSIPYTSNFRPHVPVTAAPSPTVSHITWKEGAVYQPTAPPTVAVLPCGHTFHDQCLQKITPQDQAKDPPCIPCAIGEN >cds-PLY64286.1 pep primary_assembly:Lsat_Salinas_v7:8:222333662:222334515:1 gene:gene-LSAT_8X137000 transcript:rna-gnl|WGS:NBSK|LSAT_8X137000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRDEVVKTLLNHARIDPGFTTLVWQKLEEENAYFFRAYYIRLKLKKHIILFNHLLEHQYHLMKYPMPPKLPXMPSYLWVPYTVGAVNNFPMGYPVLQQLPMGTPGQPHMDSMGMSSCHVVNGVPAPSHFHPIRMNSGNDFSHVLLLKYGVDLLGPMDEIFYETYDRYEPTNDVEADSCFVSTYTEKKGLIKKII >cds-PLY77475.1 pep primary_assembly:Lsat_Salinas_v7:4:49691988:49693623:-1 gene:gene-LSAT_4X33601 transcript:rna-gnl|WGS:NBSK|LSAT_4X33601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYVSPEGLRLDGRRPLEMRQLRAELGAVSRADGSAVFEMGNTKVIAAVYGPREVQQRSQQLNDKALIRCEYTMANFSTGDRMRKPKGDRRSTEISLVIRQTMEACILTHLMPRSQIDIFVQVLQADGGTRSACINAATLALADAGIPMRDLVTSCSAGYLNSTALLDLNYVEDSAGGPDVTVGILPKLDKVTLLQMDAKLPMDIFENVMQLATEGCKAVANYIREVLIENTKQLENRRGV >cds-PLY75118.1 pep primary_assembly:Lsat_Salinas_v7:1:214778914:214779672:1 gene:gene-LSAT_1X130720 transcript:rna-gnl|WGS:NBSK|LSAT_1X130720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDHDVDHTVIPSSSAEEDSRSRNQSPMIRNSRKRKQPVDQIPESEKGEVQEDMECQQETSVIKKLWSEKDEITLLETLKQISNKSDMNMLYEIVKPYLEEEFSEDQVSEKVSWLKTNFMNNNSKDALQGTSSSHDAKIRELYKEIWGESETAVAGPREMTIKEFQGHYPRFSASIEDLPPYNKISDEGKAVIIACMLHMQSRMEFEEIEDKLMKYCARQVKRAEIRLLLSKKLSELLQKGTRAYHRRHQD >cds-PLY98171.1 pep primary_assembly:Lsat_Salinas_v7:1:139693349:139695611:-1 gene:gene-LSAT_1X101261 transcript:rna-gnl|WGS:NBSK|LSAT_1X101261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILATRACGFVLGKNKDMPRMKRWSGTKKLKWVDVNKIFSKIQEGQPPRQNMLPGDGEMTSCYYMSFQEYVYGEGKAVPSPVRDHFRRQDESSSSMSSSGRSHGRGRGSGKHNLDEVLKRLHALEQHVFMNRQPTEVFVEEVNTEQFWNDITFDDPIVSQRKYDEQVLEERNDYAGNKFDDDVFDVNDYSEVKEESEERNDNAGNKFDDDVFDVNDYNEAKVVPDEDEIIITGIVDYFDEYGVDGKEVTPDKPRTRKPSQYLCPPYTELHTTPKQKRRTKKKVDIKSTSPVPPPVFGVAHDFSMLRLQPYVAGGEIEIFGAHCLGIHTTDGWSHRQ >cds-PLY98346.1 pep primary_assembly:Lsat_Salinas_v7:6:16042180:16044935:1 gene:gene-LSAT_6X9541 transcript:rna-gnl|WGS:NBSK|LSAT_6X9541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSYILTSSPLLQTLAPFPKNKPTPILHCQHQIGSQGFSIKAQTLDFSGTFFEGGLGGEDDLNNSSGSVATAIEEKEEPQCPPGLRQYETMAVLRPDMSEDERLSLTQKYEELLVAGGGMYVEVFNRGVIPLAYSIKKKNKAGETNTYLDGIYLLFTFFTKPESLEVLETTLTMDDDVIRSSTFLVRKRKY >cds-PLY82421.1 pep primary_assembly:Lsat_Salinas_v7:2:184590895:184591677:-1 gene:gene-LSAT_2X107821 transcript:rna-gnl|WGS:NBSK|LSAT_2X107821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLVAIKTRGPFCNLIQNKNRSYLTLPSLTFMSFIFFLLCVRNFFNINNSNGDGCDGSHLLDISNPGRRFLSCPQQGSRCRLGWNDPPMCARSMLIIPSSKSLNVVP >cds-PLY75668.1 pep primary_assembly:Lsat_Salinas_v7:1:99812807:99815191:1 gene:gene-LSAT_1X81001 transcript:rna-gnl|WGS:NBSK|LSAT_1X81001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGKHKRRYVTRVGEDRISNLPEHLIDSILERVPFEDAVKTSIISKKWRYKWTSMKVLTFDNHFNAKIAKNGAIDYNEYISTINQVLNLHKGPILKFVIHIPPMLLDSFEEIDEWMMLLSRNNATKLVLYNSNRRYELPSHVFSCLGLTELILENCFFSPPLQFEGFHNLEELFLLHIDFGKSLSGNQMNLPQLKNLHFLECTNVHNFNIKATKMKSLILVTCPDANLLQLLENPSIVVFGVSFRTFEDFDRFEMINSISFLSSLTRIEDFLIEEVIPKWFPLSMNSLKRLVLQDFEVGDLDQLYVALCLLRNSPNLDTLRVHFEEPRDFVFLALDHLEYPNCLDYTLKQLRTVEITCLEGLKVELLFIKLLLAHSPNLEKISIKPIRGLNIRRRFAIAKKVMRFPRASPKAEMIYLNPEK >cds-PLY82163.1 pep primary_assembly:Lsat_Salinas_v7:1:14835080:14837548:-1 gene:gene-LSAT_1X13281 transcript:rna-gnl|WGS:NBSK|LSAT_1X13281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METAGQWLEKALLDLCRKTDGLELDGDLISGLVSYCDLAPPVDAKEYLDNIVGEEAGRPVINEYLKRRGYIETTTPPDHPTTQFREYVKPPSKETVVMSKKPVKPPKETPPSTSKNVTAQITQPQNQQTGSQSKSKKKKTGKVISLAEASKGSIIFQQGKPCSCQARRHRLVSNCLSCGKIVCEQEGEGPCSFCGALVLREGSTYAGLEEETVPLSDAEVAAEAYAKRLVDYDRNAAARTTVIDDQSDYYEIEGNSWLSMEEKELLRKKKEEIEEAERVNRSKVVISFDLVGRKVLLNEDEASEQFQKSIMLQPVNEREVNRIKPNPTLEIQPVFIDPGHRKKKPEVKTKNSHVKKGVSTGLCLEISGRVQHDTSDN >cds-PLY78498.1 pep primary_assembly:Lsat_Salinas_v7:4:124116236:124120267:-1 gene:gene-LSAT_4X78900 transcript:rna-gnl|WGS:NBSK|LSAT_4X78900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTKKPGKGKEKTERKTAKAEVKKARRESKKVSPEDDIDAILLSIQKEEAKKKEVHVEENAPRPSPRSNCSLNINPLKETEMILYGGEFYNGTKTYVYGDLYRYDVDKGDWKLVSSPNSPPPRSAHQAVTWKNYLYVFGGEFTSPNQERFHHYKDFWMLDLKTNQWEQLNYKGCPSPRSGHRMVLYKHKLVVFGGFYDTLREVRYFNDLHVFDLDTFKWQEIKPTPGCLWPSARSGFQLFVYQDDIYLYGGYSKEVSSDKNSSEKGIVHSDMWLLDPKTWVWNKVKKGGMPPGPRAGFSMCVHKKRAVLFGGVVDMEAEGDVLMSLFLNELYGFQLDINRWYPLELRKEKSTKDKMKKKKDEDDEMDCDEVGNMESNIDDISLNMERNIRVDEVATKSVIEEVVKPCGRINSSMVVGRDTLYVYGGMMEIRDQEITLDDLYALNLSKLDEWKCLIPASESEWVEASEDDDDDDDDEDDDDDDEDSEDDDEDKGDSDDTDDDDVEGSNGDDVMGDAVAIIKGEGKKLRRKEKRARIDQIRASLGLSDSQRTPMPGEALKDFYKRTNSYWQMAAYEHTAHTGKELRKDGFDLAETRFKELKPILDELAVLEAEQKAEEAEGPETSVSRKKGTKKNKHLGSK >cds-PLY85580.1 pep primary_assembly:Lsat_Salinas_v7:5:44315353:44317275:1 gene:gene-LSAT_5X21540 transcript:rna-gnl|WGS:NBSK|LSAT_5X21540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKISAIKANFSCSRNPPLFSSAASFSSFQSNLADKIINSKSASETLQLYYSASKNIDATKNLKLHSATIHFLTNARLYLKARCLMKDLIETLHRSCPPNRVCSLLYHALDQPELSKPKTKVFGVLIIALTELGFVDEAYWVLKKTDELPAVHVCNRILDGFLKTGRYNSMWEAYKNITSHDMPPNVVTYGILIDACCHQGDIKKARKLFDEMLERGMNPTVVIYTTFIRGLCCEGRISEAESMFAEMNKAGVAPDLYTYNALIDGHCKMANVSKALDFYKEILITGLIPNFVTFGILIDMFRKVGSMLSAQSCFSQMIKFGEIPNSHIYNCLIDGYCKEGNLSAALNLLSEMETLGITNDVYTYCILINGHCNMGRLQEANLLLKEMTKKGVVANSAVYNALINGYSKKGNMEKALELCGEMMSNGVEINIITYSTLINGYCKVKNMECAMGLYTEMMIKGLVPDVVIYTALIDGHFKDCNTKSALKIYKEMMESGLTPNVFTLTCLIDGLCKDGLTNDAIKIFLENENCHPNNVLYTSLIIGLCNDGKVFKAGKFFRDMKQIGLKADVDVYAVITEWHFKMKHMYGVMMLHGDMVKIGVIPNDVIYGVFARGYRENGDYKSAFKCSDDLLELGVQCESL >cds-PLY67598.1 pep primary_assembly:Lsat_Salinas_v7:2:78357808:78365090:1 gene:gene-LSAT_2X34381 transcript:rna-gnl|WGS:NBSK|LSAT_2X34381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFESKYEVSRVATFIRSNKFKRVALQFPDELLKDSTRVVGALRNALFGLCCKGDDNEVKDIGLYVMADTTYGSCCVDEVGASHINADCVIHYGHTCLSPTTKLPAFFVFGKAPINASNCAKHLCGYALSTTKPVMVLFGLEYEHALKDIIIEASASARCKLHFADVMSQAMIPSPSPTKGSEMNGDAATTTYRIGGLFWSLNEGHTMDDYSLCFIGPDNSAFANLVLTFNACEIVRYDATENEMLTDTSQQRRILKRRYYLVEKAKDAEIVGILVGTLGVAGYLSMIHQIKEMITRAGKKAYTLVMGRPNPSKLANFPECNVFIYISCAQTALLDSKDFLAPVITPFEAILAFNRGSEWTGKYIMEFQELAVVGMDMMPMPRKEARFSFLKGAYIEDPDFQQDMNGGDEDGVVSLVNSESALQEHGKDDKMMVKGSARSGLEFFASRSFQGLDMNSNSNGPEPFIIGRTEPLIAGIDGVVPC >cds-PLY91680.1 pep primary_assembly:Lsat_Salinas_v7:8:12490915:12491387:1 gene:gene-LSAT_8X8941 transcript:rna-gnl|WGS:NBSK|LSAT_8X8941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPQEVAAMKDCIELLSDAVYELKKSLEEMSQPGSKDFRLVKSDIQTWVSSALTDEDTCSEGFVNDPKMKSVVRGKIVNVAHLTSNALALINNYASLSG >cds-PLY84923.1 pep primary_assembly:Lsat_Salinas_v7:6:15201719:15203587:-1 gene:gene-LSAT_6X11381 transcript:rna-gnl|WGS:NBSK|LSAT_6X11381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEQKRTPRLNERILSSLSRRSVAAHPWHDLEIGPGAPQIVNVVIEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYTDISQLAPHRLAEIRRFFEDYKKNENKEVAVDEFLPSDTAHDAIQYSMDLYAEYIMQTLRK >cds-PLY84099.1 pep primary_assembly:Lsat_Salinas_v7:6:189208935:189209788:1 gene:gene-LSAT_6X116320 transcript:rna-gnl|WGS:NBSK|LSAT_6X116320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGYGSVMIGLSMILASKAQTRGLDGIGVVTVPNTEIKSYTTITSLLKNQFELSMLIIKSECSSTRFTAHSLFDVIPKKNILPHNILIGGFVQSGDLDTACQMFHEMSERNIATWNALIAGLTDFECNEESFEPLLRDVVEFYPEEFTLEFINDSVLHKCVGLKSLIVHAYAIKTSVEVIVGSSLAHILSEGEKVIKSIPFHTVVAYNTLISGRVQSGSSKEMCLGNVLNDAK >cds-PLY89909.1 pep primary_assembly:Lsat_Salinas_v7:8:68820573:68824735:-1 gene:gene-LSAT_8X48540 transcript:rna-gnl|WGS:NBSK|LSAT_8X48540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAFDERVAEVGTVASSGLDQSEREGVCGWRWRTPLLCSNMLLKYRPEEKAAKKERLLKRTQAEIEGKTIEAKKPIVVKYGLNHISYLIYLIEQNKAQLVVIDHDVDPIEYLSWLSAF >cds-PLY74287.1 pep primary_assembly:Lsat_Salinas_v7:3:250794699:250796481:-1 gene:gene-LSAT_3X137921 transcript:rna-gnl|WGS:NBSK|LSAT_3X137921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLHASSQLMAKEEPFGGMLILLGSKQPSMVQLSYTLVCLTNYHLPVNFSLYFQLQSMVRLRHGCMTTWDPELTILPLFMVAPLCYTMLMGVGCFLGEQVKKESINILTTTDVDGGLQNAKIVGWISEMHFTNTTTFFLCFIKSISCIEAWILGKRYHVKTKA >cds-PLY72995.1 pep primary_assembly:Lsat_Salinas_v7:9:36686028:36688423:-1 gene:gene-LSAT_9X34521 transcript:rna-gnl|WGS:NBSK|LSAT_9X34521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKPQNFQHKNHPKLNWKEIPGLQPLPCDPNDLLQWPKHGGGGGGRRRSRGGGGGGFASVSMMEKTLEFEPTAAQLFKHPLAIVALVPKDAAVFAAGAVSGAAAKTVTAPLDRIKLIMQTHGLRVGQESAKKAIGFIQAFVAVGKQEGIKGYWKGNFAQVARVLPYSAVQLFAYDTYKKLFRGTDGELSIIGRLAAGACAGMTSTLVTYPLDVLRLRLAVDPGYQTMTDVFVKMLKEEGVGSFYRGLGPSLIGIAPYVAVNFCVFDLVKKSLPEKFRNKAEASIMTAFMAATIATLTCYPLDTIRRQMQMRGTPYKNILDACSGIIARDGVVGLYRGFVPNALKTLPNSSIKLTTFDAMKRVISASEKEFQRILEENRNEQKQSTNDSTL >cds-PLY99899.1 pep primary_assembly:Lsat_Salinas_v7:1:36022519:36027136:1 gene:gene-LSAT_1X29841 transcript:rna-gnl|WGS:NBSK|LSAT_1X29841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKMSTDDGRNGLPEITLNVRDDSVAVQSVKTAGGADVDDSEQLNVLAKGSEKRSSVVKNASSRIRQVSSDLKRLTTFSKPKRQPLSRLDRSKPVATYALKGLKFISKTDGATPWADLEKRFDRLTAATNGLLPRSLFGECIGMNKESKEFAGELFNSLALRRNITGDSINKAQLKEFWDQISDQSFDSRLQTFFDMVDRDGDGRVTEDEVRKIISLSASANNLANIKKQADEYAAMIMEELDPENLGYIMIENLEMLLLQAQTHNVIGENRNLSEMLNQKLKTTRSGNPIRRWYGDFRFFLLDNWQRCWVIVLWTAVMVALFTWKYIQYKNRAVYKVLGVCVCIAKGSAETLKLNMALILLPVCRNTITWLRNKTRLGVAVPFDDNLNFHKVIGVAISIGVGLHAISHLTCDFPRLIHATEEEYKPMEQFFGDQAKNYWHFVKEVEGYTGIIMLVLMMIAFTLATPWLRRNRLKLPLVLKKVTGFNAFWYTHHLFVIVYTLLIVHGIKLYLTKEWYKKTTWMYLAVPISIYACERLIRAFRSSGETVKILKAVNYPGNVLALHMSKPQGFKYKSGQYMFVKCAAVSPFEWHPFSITSAPGDDYLSVHIRSLGDWTGQLKTVFSEPLANGKSGLDGQGENPNYPKVLIDGPYGAPSQDYKKYDVVLLVGLGIGATPMISIVKDIVNNMKAKEEDVSALENGTLVQKNKSGPTSAYFYWVTREQGSFDWFKGIMNEAAEMDKNGIIEMHNYCTSVYEEGDARSALITMLQSLYHAKKGVDVVSDTRVKTHFAKPDWPEVYKHIALKHTGSRIGVFYCGAPAPTQKLKQLAHDFSHKTSTKFDFHKENF >cds-PLY90421.1 pep primary_assembly:Lsat_Salinas_v7:8:213317450:213318370:1 gene:gene-LSAT_8X133041 transcript:rna-gnl|WGS:NBSK|LSAT_8X133041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSVVLTELHWNSTSWAKVVGEIASLEKKTFPEHQSYPRSFDDELKNKNNGLIYMEINGDLAGYVMYSCTSFSAIITAIAVKENYRRQGHGDALLKAVIKKCRSKLINRVSLHVDPLRTPAMNLYKKLGFEIETLLKGYYSLDRDAYMMCLDFDEE >cds-PLY66524.1 pep primary_assembly:Lsat_Salinas_v7:4:340342116:340345184:1 gene:gene-LSAT_4X167221 transcript:rna-gnl|WGS:NBSK|LSAT_4X167221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPTPIKDTNSSFIFPDQTCQRFTLSEIQSATQNFDEALVIGRGGFGKVYKCYKIRSMTEVAVKRLHSMSNQGANEFESEVKVLSKLRHGNLVSLIGYCYEEKEMVLVYEFMPNGTLEDHLRSPDSSLSWLQLLKICIGAARGLDYLHMGTSTQHGVIHRDVKTSNILLDADFAAKISDFGLAKVGVIDQTRTHMSTAVKGTFGYMDPCYFYTGKLTMKSDVYAFGVVLFEVLSGRKAVDSTLDEEQWGLAAWAQQQIKEGKLNQIIDPRLIGQISRKCLKEFASIAGHCLHTQPKHRPTMAEVVVKLESILSLERDCTNHNIEEDGFIYKLRSFFTGKVDSGIENKSDFSSHDKQIVITLDTTNEVITNQSFRTFTYDELVIATNGFKDEDESTALNEYIYKGWVDERTYAPTKHGVGLPMHVRKMEISTQKKDIKFEDFNHPNLVKLLGYCLNNHELFCVYEDISAITLDKYLYGESGRTSLSWVARLKIAIGAAEGLVYLHKRNQPAYSQFKTDLILVDTDFNARLSDFAFDPYSFQLDAYYYAAPEWFRYQADTFDGVDPLRLPEGFAIKSEIYAFGVVLLEILTGMKVYDKRRPLGKQKLVEWAIPLLADKVNMRKIMDPRLQHDDSSTKRAFKLAQLVSKCLQLKQDKRPSMEYILQVLHHCYQKEIKTV >cds-PLY63578.1 pep primary_assembly:Lsat_Salinas_v7:9:150082907:150086599:-1 gene:gene-LSAT_9X95221 transcript:rna-gnl|WGS:NBSK|LSAT_9X95221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEKRRVTNFWFCIIVLSALVTCTTAIDTISANQTIRDEPGGETIVSAQETFELGFFSPANSTNRYVGIWYKRISSGTVIWVANRNTPLTNTSGELSLTLQGTLVIRSATGDLIWSSKNSSVRNPVAHLLDTGNFIIRDVDDLENRNPVWQSFDFPTNTFLPGMKFGKDLVTGVERNFTSWKSDGDPSEGNFTVSIYTQGYPQLTLWKNSGIVFRAGPWNGVRFSGEPNLKPNPIYRFGFVLDEKEMYYHYELINTSVITKLIVTPTGSVERLLWIERTQEWFLYLTPQTDNCDRFNLCGPFGSCNIDNSPACGCLEGFEPVSQEQWGVADFSQGCRRKTRLECGAEEGFKKYSDVKLPDTRWSRFNQSMNLDECEKVCKKNCSCSAYATQNISGSGSGCLLWFGDLIDNRVYTVNGQDIYIRMPKSELGEINGKSSSVKRRVEISVLVISILLLIILLSVCVFYGLKRRKKPSQELLEEDQRHDSDNNENSQDDLELPLIDFSILDKATDNFSDNNKLGEGGYGPVYKGVLEGGQEVAVKRLSRTSTQGLDEFKNEVICISKLQHRNLVKLLDGTKSKKLDWATRFEIIKGIARGLVYLHQDSRLRIIHRDLKVSNILLDHEMIPKISDFGMARSFGGNEIQANTNRVVGTYGYMAPEYAGDGIFSIKSDVFSFGVVMLEIVSGKKNRGFFHKEYTHNLIGHAWGLHKEGKSLELVEECINIESMNLSEVMRSIHVGLLCVQQRPEDRPTMATVVLMLGSTEGELPQPKLPGFFYEKDSMDTSYSTSTYGKDSTNELTISILNAR >cds-PLY78435.1 pep primary_assembly:Lsat_Salinas_v7:2:167779243:167781640:1 gene:gene-LSAT_2X88681 transcript:rna-gnl|WGS:NBSK|LSAT_2X88681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTVSAASVIVTTVFLLLLLNTATASTTSTPILGLDSFLSQQSRLDPQATNDSFLYLSSPLKKSLSSNLRPPPTSSSLLSLKISVPVYVKLVGPSSSFPSTSPSLFSSFLTAAISSDHYHVITPFSSNTNHRLSLTHMLALDVDLSSPSLSSKLSDKIKSEIATTASPLRSNLISISYTTIDSIIKQDFEKEKPTKGVYIYLLNLGSQSKPYAYKYTDTGESSLGVTKCSGSIWTGKDRYLWIDLSAGPVDYGPALSGDGVLPRGEFHPLTALHGRPKSQKALLADMASLVWSAYKVLLVPSLRIPVPFENTLIVQFIHIHGPDRDPKGLDFNVIEKNFRDEVNDNGLLFADQSLSFKNYEVDLAECSVCSFAISLCITSYTSRYLFDNYTLIVSEYLDSKRLHQTLSESQDEIRRLAKLPEEDFGRVLPVYIFDLEVNSVLLLDRYHQSVAFKDMVIAVRTKNTQTVSDYNCNGRHVFTQIRELERPLVGSILQSMWGVSPTHLVWSAQHNSTLVDYTWSVGHTPFGPFSELSSLSFIQKDAARRNVILTSLNYTITSAIDVLESIAAHGGERKILPHHQLLEFLQRWNLFKYKVDKVVSSLSHLDYEMALYYLRSSDHDLYAIHSLVYHASQDMEAALVCFEDPPFPWASFLMSVGIFFVLLYAYLQRDKLFSNKRKQF >cds-PLY63296.1 pep primary_assembly:Lsat_Salinas_v7:3:90394257:90399328:1 gene:gene-LSAT_3X69600 transcript:rna-gnl|WGS:NBSK|LSAT_3X69600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANTSSAWTIKPNQQQLQKRKCESLLDVVFSWSLSDILNKDLYKLQVKEIPLRFSSTNDYVNSFVLPLLEETHADLLSQLAGISQAPASCISVLQRIPTDKETEFFYRMVLTGMDYEPQVGDLIALTQVIPKCIDDLDRPNSGFLIAYVTQLDDQCYPVTIHIFSSDLIEPNEVETKKPSKAFAVHLTNLTTNMRIWRALNWEGNMGIIKRTLSSSTPVAINCKESYCEGRKKVIDSELRPAFDSFNLDSSQEEAVLSCLATSRCWHQKCIKMIWGPPGTGKTKTMASLLFMLLRTKHRTLTCAPTNVAVVGVAERLLSIVRDHDFGCHTYGFGDIVLFGNKERMKIHYDHRKLLDVFLDNRIGALSSSLSQWKFRVNGMIKFLEDPMNEYHRLIVSQNLNIIKTKSKKKKERKPHENSEEKQLTFEEFAMKRVTVFGKDLISCIRSLYTHLSTTTVSLAYAKSMYHSINLIQMVVESVKEIVTSNKSLNEAFNETAVSSIGEPHFMKLRLYKAECLLVLKELRDASVIPKPMNIFKLKRFCLNSACLIFCTASSSIKLNMNKMMPIELVLIDEAAQLKECESLIPLRLPGVQHVVLVGDERQLPSMVQSKVMTKNASFVNETVAKTVLFQRHVESKENATTVLVDNKLTISEEANFGRSLFERLVLLGHEKHLLNIQYRMDPSISQFPNAEFYDNKIMDGPNVINKGREKRFLRQSMYGSYLFIDVDSAKEELDNNHSTRNMVEVSVIDEIIANLFKECVAKKQKVTVGCISPYKAQVNAIQVKLGKKYNRQVNGSTFTVNVRSVDGFQGSEEDVIIFSTVRCNWKGSVGFLSNRQRANVALTRARHCLWIVGNKETMIKSGSVWTALVSDAEDRGCVYSASENKKLAQAMVQTMVELAQFGPLLKKDSILFKDAKWKVDFTNTFLERLTSIESLHARNQVISLLVKLSNGWRQLRKTNKNTLNNTHGICDMLEAYNLDGGLYLVWSVDIVYENSLCVQVLKVWDILPLSQIQQCAKSLEQVFGNYTLDMIKRCQTKGSGRNQALPMTWPEDLRNDVSRDLASQFDKLSLSGETLPCRDRSVRTQGRWMEVKR >cds-PLY87958.1 pep primary_assembly:Lsat_Salinas_v7:3:178148873:178149578:-1 gene:gene-LSAT_3X107820 transcript:rna-gnl|WGS:NBSK|LSAT_3X107820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFNVINNTNGEKTIRDLFPTMYLENSIALVTLLNKDFIELGVEISDYIEMSWIESALFYTNFLIGTPTTALLSRTPQRLNPFKSKSDYVKKILFHHRSGNIANIQNEVNWDELGVEAVSRYLSFTRVMYDYMTPFVSKNPSEAFLNYMDLDIGVNSHGKNAYAEGMVYGHKYFKEMNYKRLTMVKTTVDPSNFFRNEQSIPTLSSSWK >cds-PLY94798.1 pep primary_assembly:Lsat_Salinas_v7:2:179039571:179039783:1 gene:gene-LSAT_2X100920 transcript:rna-gnl|WGS:NBSK|LSAT_2X100920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVKILRTDISQLGVPKMLNPLFFLITRLNWMKNHNELVVPIHDKNQKSKEYSFLMKSETNLEQETQILI >cds-PLY70723.1 pep primary_assembly:Lsat_Salinas_v7:8:166387128:166387529:-1 gene:gene-LSAT_8X108301 transcript:rna-gnl|WGS:NBSK|LSAT_8X108301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVERPVTPVYLNPNPLFQPPPPYIPLRLQPPPLSLQFFRSTRPPTMLAVNGYHQLSAEPRALAAVVASRWGYDHHLSFTATTVSEAEAAVDQAAIAVAPLLLVLSTNCFDIGPRRLIHSTTSVATRNFCC >cds-PLY73940.1 pep primary_assembly:Lsat_Salinas_v7:5:36654456:36655716:1 gene:gene-LSAT_5X18120 transcript:rna-gnl|WGS:NBSK|LSAT_5X18120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METTTLCCDPTNSSDCKELHVLAVDDSNIDRKVIQKLLQISSFKVTVVESGTRALQYLGLDEDKNSTRFDDLKVNLIMTDYSMPGMTGFELLKKIKNSSTLREIPVVIMSSENSVTRIDRCLEEGAEDYLLKPVKLSDVRRLKDAILKSGAPEIDPIQTKKRTYRLDQSSKLPTSIDCHDLTSSPPDSPQQSVAKRARL >cds-PLY71191.1 pep primary_assembly:Lsat_Salinas_v7:2:132866294:132871061:-1 gene:gene-LSAT_2X62920 transcript:rna-gnl|WGS:NBSK|LSAT_2X62920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKFQLLHLFILISLTTKLTEAQSAIKTGCSRRCGNVWIPFPFGIGRNCSLNKWYTVDCNSSTPYLSALNHLEILRVDMYQQTVAVNGSTNIDHCKNPVQNSNLVLSGSPYRFSRLNNIFVVEGCGNGVIMHNGSIVSGCSTTCQGDTVSDRKNCFGIGCCQSTIPYDLESFTLNLTGLERRSGNQSCVSAMVVDSETYSSGIFYGQNVPISLKWIREFNRNSTGCINCERNGGVCDPSPGSISGMTCQFYGGNPTQEGTNFAQAPFYLDSGWFDACGEVLIPPPFTIGRKWSSSDWYTIDCNSSKPYLSALNNVEVLGISYERQTVTVNLPMISDCQNQVQYSNLDIRRTPYKISALANMLVVEGCGNAVIMENGNIVTGCSTTCHNDTVSDINNCFGIGCCRITLSSNDLRSFTLNMTGLERQNGNGKCGSAYFVDRKSFVEGRFSSKSVFDGYAFVPISLSWSNNLDVDAEPECRACKNNGGECHYDPGVISNMTCLHPDRTSESRRSNNSLGVILGVSISMGSLFLMVISYTLYKIIKKREAKRRKERYFKRNGGLLLKQQAASINLFDKTILFTCNELEKATDHFNENRILGRGGQGTVYKGMLTDGRIVAIKKSKVVSESQLKQFINEVVILSQVNHRNVVRLLGCCLETEVPLLVSEFISNGTLYEFIQDETNEFATSLKMRLQIAREVAGALSYLHSSSSIPIYHRDIKTTNILLDEKYKAKISDFGTSRFVSIDDTHLTTLVKGTFGYLDPEYFQSSQFTEKSDVYSFGVVLLELLTREKPISLTRFGDNRSLATHFVVAMEEGRILSILDEMNVKEGSMSELLSIANLALRCLNLNGKNRPTMKEVVIELEGMRLSHVSSTVPNNFVHMKYGEQVKQIYVGSTSTSLTFDDDLCR >cds-PLY74238.1 pep primary_assembly:Lsat_Salinas_v7:1:78416521:78417434:1 gene:gene-LSAT_1X65880 transcript:rna-gnl|WGS:NBSK|LSAT_1X65880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDITSIAGDTPMINKKRTKGRQKIDIKKIEDPNSLQVAFCKRRTGLFKKASEICVLTGAQIAILVTSPAGRFYGFRHPNTDVLLDDFLNDNNKDAATNTTTGGETGATQKNYRSPPPTPIMMEFNQHYSEVSRELEAEKKRGGMIPESSGESRWYDEPVDGLDVEEIQQYLCSLDELKRKVLTRAVELMMINKSSDAFFGWNNGIQPPVDLPENTGVDGGLSFQYDENFGNC >cds-PLY93881.1 pep primary_assembly:Lsat_Salinas_v7:4:270311258:270314913:-1 gene:gene-LSAT_4X138780 transcript:rna-gnl|WGS:NBSK|LSAT_4X138780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAYISLALGDTPRFTSFYISSKDPATSSKGVGPSMLERRSGYGSTMQDSPKFTSGDYPVATQKYGQKGEKMLTDYPSGREIDSHMLKEQVHILGEICKTSHLFGLLIPLLLVINIRCSINTTPRTAPCPVIKVIDACAK >cds-PLY62717.1 pep primary_assembly:Lsat_Salinas_v7:6:55626492:55628261:-1 gene:gene-LSAT_6X41640 transcript:rna-gnl|WGS:NBSK|LSAT_6X41640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKGTLILGISNRHWKHLSNKGEEIQNFQDFINVVSKLCKGGRVPLEYISYTNRHRRKSVLVTVNRHEWYASHQIYTRDDNSGLWIGKQALPPGCNFLSCVTKVNGVLETVNNVSEQLVNGVKTKDGSDTGVKKQRQNGEPNGSPVFAVTSPDFVNGVVPWSCKGRFY >cds-PLY78582.1 pep primary_assembly:Lsat_Salinas_v7:1:104442879:104461992:-1 gene:gene-LSAT_1X83420 transcript:rna-gnl|WGS:NBSK|LSAT_1X83420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSLLMQLGCFRIHHDQYQKAMSSSVVNLKNHLIPLEEINHATDNFNPQRRIGSGGFGDVYKGILSKRTAAFKRLKPGSYQVQEDFRNELEMIARFCHENIISFIGYCDEANEMIIVYEYASNGSLDWHLQDLNRRCFITWTQRLKICIGAARGLHYLHLGLGESDRVIHRDIKSANILLDDKMVAKICDFGLSKFGPKNQPNGQLYTKVSGTHFYLDPTYHESGILNKASDVYSFGVVMFEMLCGMLAYYARSIGGERPQPLINLVRRYYDNELERLIDPAIKDQIDSGSFDMFKEIAYECISFNLKERPTMGKVVEKLEKALNIQEPQTPARSLQTEFDRNPQTPPYEEIAAFIECVEGDIGFSYGKPVAAWTIYKCLLHWNCVEAKKTIVFDRIIQIIISAIEDKDNNDLMAYWLSNASTLLFLLHKTHQPLTLPPTGFHSSQSPEDDLAEAEHDPELQDQIDNQMACWLSCASTFVFLIRKSLKLDSAASIQKPMSPTLFRSSTSPKAETEATPIEVQHVEAKYPALLFELLLTEYIEKMCGIISDNSKKEVGSFLSSSIQASKSGIQEHHSSKNHWHGIVDYLNTLLKTFEANFVPTIIVKKILTQIFSYINVQLFNSFLLYPECCSIANGRYVNEGLAELKLWCREATEKYAGSLFDELNHVRQATQFLIIRSKWEISYKRINNLCSILSVSQLHKICTTACFQDKNYLGGGFDEVIASLAIQAEDHNNASSDSLLLKDSSSIHFSVDDLADSLRVEDFANVNPAIGLAKYPGFEFLYN >cds-PLY78182.1 pep primary_assembly:Lsat_Salinas_v7:8:177073984:177077244:1 gene:gene-LSAT_8X115721 transcript:rna-gnl|WGS:NBSK|LSAT_8X115721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich repeat secretory protein 60 [Source:Projected from Arabidopsis thaliana (AT5G37660) UniProtKB/Swiss-Prot;Acc:Q0WPN8] MAKALATPFLSMLILSLLHSSHSSTNSFIYGGCSQIKYSPGSPYESNLNSLLTSLVNSATYSSYNKYSISGSTQQEVINGVYQCRGDLAMPDCATCVARAVTQLGPLCSQACGGALQLEGCFVKYDNTSFIGVEDKTVVMKKCAPSVGYDPEVMGRRDAVLASLGSSSGLYRVGGSADVEGVAQCVGDLSNGKCQDCVTEAIGRLKSDCGGAVFGDMFLAKCYARYSTSGAHAYATSHHHDGHDEAEKTFAIIIGLLAGVALIIIFLTFMRKAFGRNGK >cds-PLY79770.1 pep primary_assembly:Lsat_Salinas_v7:1:180446839:180448018:1 gene:gene-LSAT_1X117141 transcript:rna-gnl|WGS:NBSK|LSAT_1X117141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSHCATGELKQFVVDEGHGVKGVSELKLKTLPELFIQPVERRLDVSKVLPNELIPVIDLSNYEDPEVMKSICYAAEKWGFFQIVNHGLPLDIIDAIKDATHKFFGLSTKEKKKYLSQNTPSKNVRFLTSFNPDVDKAYEWKDHLSCFYVSDEEALQYWPTICKYQVLEYLKSSESVIKKVLEVLIKGLGIQELDETNQLFLMGSKRINLNYYPVCPNPELSIGASGHSDVSTLTVLLQDQIGGLYVKKLDSDKWVHVTPVKDSLTINIGDALQIMSNGRYKSVEHKVVANGHDNRISVPIFVNPRPSDVIGPLPEVINSVDNILYKHVLYSDYVKHFFRKSTNGKDTIDFAKK >cds-PLY72123.1 pep primary_assembly:Lsat_Salinas_v7:7:52971956:52973627:-1 gene:gene-LSAT_7X38040 transcript:rna-gnl|WGS:NBSK|LSAT_7X38040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNLPESLALEILSRLDDSETVACCRMASKTFNSVFPGLQFINLQWRLKWYLESRSSVSSSSSSSRFIPSLKRVFLNLVSNLSALESVRIGVENPPLDVMNADVEDDGDDLHITDEGFVKEWLPRVSGALKLLSLSDFWVQSSRRRSEVLSLISAHCQNLIELELKNAWLAVQNMNAMPMLTSLTLELIRLDDKNLTELNTCFPNLQVLNLIDVRGLKMPMIHLLNLKTFHWTVTDSPSYICIIAPNLLTLRLECRSPAALYIEAPLCYNLHLALDHLNAFAVKRFQKLKNVQLECSNIRSLIRKLHRLETVEALTLDIRAGGNSKFNLEVLFCTFPNITSLCFKPRVWSEFEVWCGDIGLKGVKRFCGYLSVIDPLMTFALVDCVLEECFNCVEISLLIHRGVPSNVSKHFITKCMAQWPDLKWGWGIWEEGREDSWIPEEQLPKTLVEEGSDSI >cds-PLY85544.1 pep primary_assembly:Lsat_Salinas_v7:2:195127148:195128917:-1 gene:gene-LSAT_2X115581 transcript:rna-gnl|WGS:NBSK|LSAT_2X115581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEENIKNAEAIIQKWDINGDSYTRFISIFQDNTREATVFINCVTGLHRAMHFLVLNDPRSEMLAVAQRLMQIAMKRLEKEFHLILSDNREYLEPRSVSSWPSRLSWSSTESEDERKLQIISVSDAEPLSMIAISILRLIADTMISCGYGKECIESYKIIRKSAMDEALFHLGIQPYSSSHIKKMVDAPHFEYHVKSWLNAVPIAMKTLFHREKFLCDYVFTSFVEIRDSCFENSTKGALNLFTFPELIATRCKRLKSETIFVMMELYNSISNLWPEIESLFSYESVSSVKMQALSSLSKLGNSIRTVLRELESSIHNNSSKLTVSGGGIHPLNDSVMTYFSSLAEYGSALSDVIVDDLPFKEQSPFLESYMECLNTDEVSPPAVSVKLAWIILVLLCKLDGKAKFHNYVALSYLFLVNNLHFIIEKVRETNLKSILGEEWIMKHEKKLKQYVSSYESMSWNKVISCLPGNSVVCPEKVKDCFRRLYSTFEEVYGKQTTWIVVDEKMRDKMKVSIANRLVPAYEEFYGKHLITLSEDERCKKMLTSLSPENMANCLSVLFPGSPVILRNSGSFSLTLPTVSVSQTSRPFR >cds-PLY77313.1 pep primary_assembly:Lsat_Salinas_v7:5:144921842:144929584:-1 gene:gene-LSAT_5X65361 transcript:rna-gnl|WGS:NBSK|LSAT_5X65361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASLAAFERPRIGATNTVFKSGPLFISSKGLGWKSWKKRWFILTRTSLVFFKNDPSAIPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEHALAQAPSAALVMGNNGIFRNDTTDSIEGPFHQWRDKRPIKSLVVGRPILLALEDIDGGPSFLEKALRFLEKHGTKVEGILRQAADVEEVDKRVQEYETGKTEFGSDEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAHRIDRKEARVNAMRAAILETFPEPNRRLLQRILKMMHTVSLHTFENRMTPSAVAACMAPLLLRPLLAGECELEDDDFDNNNNNNNNNNGDNSAQLLAAANAANNAQAIITTLLEEYDNIFDDDTMLKCSMSADSRMDNSASEDSMDDENMESKSNGYHDAENEADQETDAERVLSGKLSESSGYACSDLYDFKVYGADESDVVSPRSNVNVKPPPNSNLLDSERVEGCNKKHNEMETPGMLSRSESHRSVGTMLSAIDQGVSQPFVGPKSCNETPISKFSGSNSNSNSNAKRSTFWGRNNNGRKTPSMESVDSSGEEELAIQRLELTKNDLRQRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQVSGSRPMDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLSDNSHQFQHPHNHNHNHNPPQRFFQQDFDTTLAYINHERKQRTEENSLEMRNIKGQQLTSGNSNNGNNRQPSRKQLIDSTSLSDSKSTEASTSLSVDDFGAVDSATMIPSSSRPPEVVEYPRHQSAASSTLVELTTRLDFFKERRSQLMEQLHNLDLNYGTSSQDFMYKQSSPR >cds-PLY74260.1 pep primary_assembly:Lsat_Salinas_v7:1:78348660:78349358:1 gene:gene-LSAT_1X65800 transcript:rna-gnl|WGS:NBSK|LSAT_1X65800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVITSVAGDTLMNKKRTKGKQKIDIKKIEDPNSLQVAFSKRRTGLFKKAAEICVLTGAQIAILVTSPADRFYGFRHPNTDVLFDDFLNDNNTYAATNTTTGGETGTTQKNYLSPAPPPIMEEFNQHYSEVSRELEAEKKRGGKIPESSGASRWYEEPVDGLDVEEAQQYLCSLDELKKKVLTRAVELMMINKSSALFFGSNNGIQPPVDIPSNAVVDDGGFNFEYDGNFGNF >cds-PLY69427.1 pep primary_assembly:Lsat_Salinas_v7:5:301461983:301462844:-1 gene:gene-LSAT_5X162460 transcript:rna-gnl|WGS:NBSK|LSAT_5X162460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSGKLIGYVEISKKGDVFHDLFRHNPNEIAVISPDKIHACELLDGDRGAVGSLVHWHYSIDGKKKSDKAVIEAVNEENHMIVFNAVGGDLVDDKFKTMKVILHTEKKGDAQLITLTLEFEKLNTSVPYPTSFMDFYCNLLKDIDAHGKTE >cds-PLY66484.1 pep primary_assembly:Lsat_Salinas_v7:5:328013087:328014730:-1 gene:gene-LSAT_5X183480 transcript:rna-gnl|WGS:NBSK|LSAT_5X183480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKASLLLSFLLLFSTCLAHHQQQQQQQLPQNQCQIGRIDALEPYDRIQAEAGVTEFWNADEKQFQCAGVEFLRHVIQPGGLLLPSYVNAPLLSFIEKGRGVHGVILPGCPETYEYSQEQQFSGQSERRGQKFQGLQDRHQKVRNLNQGDVVAIPAGTAHWIYNDGETELVAVVFFDTQNSANQLDQNHRRFFLAGNPQGQSEQQQGQRQPRRQQGQTQQEQPYRNAGNIFNGFDVEFLAEAFNVDRETAEKLQGQRDQRGHIVNVEQDLQIIRPPQTREQQEREQQGGRQGGRSNGFEETICSLKLSENIDNPTHADFVNPQAGRITNLNSFKFPVLRQLQLSAERGELHPNAIQAPHWTLNAHSLVYITDGSLRIQIVNNAGESVFDDELREGQVVVIPQNFAVIKRAGEQGSRWISFKTNDNAMIANLAGRVSAIRSMPVDVVANAYQLSRNDAQKLKFSQQESLLLSPSSYSRSQGRASA >cds-PLY91921.1 pep primary_assembly:Lsat_Salinas_v7:8:198492934:198495409:1 gene:gene-LSAT_8X127241 transcript:rna-gnl|WGS:NBSK|LSAT_8X127241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLIAAEVGGSAEGAPVDAQVELFDVLLDGCREAVKGGTMDPKLSWQPSAVVLDRHLLAEDIVYVFLCCYCGFGNILAYY >cds-PLY93841.1 pep primary_assembly:Lsat_Salinas_v7:6:144795933:144799445:-1 gene:gene-LSAT_6X86700 transcript:rna-gnl|WGS:NBSK|LSAT_6X86700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIPISTLLRLQRPPPPSXXAAAQAAAEVVRITRRTTGYGVREDLAAVKIQSCFRAYLARRALRALKALVKLQAVVRGHILRKQTADMMRRFQALLRAQARARALRSHLSDSTKLPSYLHHHQPATPEKFEQIPCNKHDHRSILKKNNSKCYNRDVITQENDKIVEIDTITTKGKKLFQQDQINFGSSQSLTTSKGSTVQPTTSRGSTVQPTSSSPCASCEVNSYEGSRSYVSGYSEHPNYMAYTESSRAKVRSLSAPRLRSHNEVASGAKRDPGYKYGSGVQWVPTVRDSFARKAYPGSGRLDRLGMPVYDMEETEFCVGYWN >cds-PLY86351.1 pep primary_assembly:Lsat_Salinas_v7:8:29003400:29003780:-1 gene:gene-LSAT_8X23601 transcript:rna-gnl|WGS:NBSK|LSAT_8X23601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMNFIFYLCILFPVFRIKHELLSLIKDFFFYLFRCENSTPYPSLVDLPVIRFEDLLERRQRSVEEMCFVCSADYHLDDVVCQLSRCRHVFHSDCVGQLLHRKQPSCPFCRSPIFSGLSPTACKTF >cds-PLY65192.1 pep primary_assembly:Lsat_Salinas_v7:7:59749145:59751609:-1 gene:gene-LSAT_7X45600 transcript:rna-gnl|WGS:NBSK|LSAT_7X45600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTRRLLENKGEGLFPNSGIGFLWCPRDDNNFSQSGALFASVGQMGNGGFSGVSPNSKNSNNNGGVKLPYSSKFVSTPESNFRAVGAPEIEVGEEWVGGLKKKKKSGLKLKIKIGNPSLRRLISGAIAGAISRTSVAPLETIRTHLMVGSCGHSTVEVFQDIMKTEGWKGLFRGNLVNVIRVAPSKAIELFAYDTVKKNLAPKPGEIEKLRVPESLIAGAVAGISSTVCTYPLELLKTRLTVQRGVYKNIVDAFLKIVQTEGPAELYRGLTPSLIGVIPYAATNYFAYDTLRKAYKKLTKEEQISNIATLLIGSAAGAISSSATFPLEVARKHMQAGAINGRVYDNMLHALLTIFEKEGIKGLYRGLGPSCVKIVPAAGISFMCYEACKKILVEKEEEEA >cds-PLY88313.1 pep primary_assembly:Lsat_Salinas_v7:3:87106308:87106649:-1 gene:gene-LSAT_3X66460 transcript:rna-gnl|WGS:NBSK|LSAT_3X66460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSPRPDPKPSDLNFTVIMFTPCCFFNSLPLFRLPFFKEATPPTKKTKLPASPFSFNHAKRTESKATCFDESFLPAFHAPTSVSLYHEQTDQELILFFDNPDLIELVPRALFH >cds-PLY95356.1 pep primary_assembly:Lsat_Salinas_v7:5:267773824:267776277:1 gene:gene-LSAT_5X138841 transcript:rna-gnl|WGS:NBSK|LSAT_5X138841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit K [Source:Projected from Arabidopsis thaliana (AT4G33250) UniProtKB/Swiss-Prot;Acc:Q9SZA3] MGREQPTQSQSQMSYTVEQLVAVNPYNPDILPDLENYVNEQVSSQTYSLEANLCLLRLYQFEPERMSTQIVARILIKALMAMPAPDFSLCLFLIPERVQMDEQFKTLIVLSHYLETAKFRQFWDEAAKNRHILDVVPGFEQAIQRYAVNVLSLTYQKVPRTVIAEATNIEGVSLDKFIEHHVANSGWVVTKGQGKGQLVSLPSNEFNHPDLKRSTADSIPLEHITRIFPVLG >cds-PLY84320.1 pep primary_assembly:Lsat_Salinas_v7:5:189031686:189033337:1 gene:gene-LSAT_5X85681 transcript:rna-gnl|WGS:NBSK|LSAT_5X85681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKQTLCLVFLSFFVASSYAQLRQNFYQSTCPNVESIVRSAVTKKFQQTFVTVPGTLRLFFHDCFVRGCDASVFLATPNAEKDHPDDQSLAGDGFDTVIKAKAALDSNPNCRNRVSCADILALATRDVIVLAGGPSYSVELGRRDGRISTKASVQHKLPQASFKLDQLNTMFAAHGLSQTDMIALSGAHTLGFSHCGKFSGRIYGKSGIDPTLNRQYALQLRQMCPINVDPRIAINMDPTTPRTFDNAYFRNLQQGRGLFTSDQVLFTDSRSKPTVNQFASSNSAFNQAFITAITKLGRVGVLTGSKGEIRRDCSMPN >cds-PLY84831.1 pep primary_assembly:Lsat_Salinas_v7:4:145090285:145091342:1 gene:gene-LSAT_4X90400 transcript:rna-gnl|WGS:NBSK|LSAT_4X90400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSPAAVNVHHHPNSSIFTAAVPLLPSIRFFSSSHCSGSKIVSSQPSSLHPPRSSNLWLRRCGASSPHPPSPPESGPPPGEDDDSNSGKIDDPFLHENPRSSVKSIVHWYLLVDFKKMFKFFLLFFSGCHSSSGHPLGMEGIMADPTRDQSSGNESVNM >cds-PLY81446.1 pep primary_assembly:Lsat_Salinas_v7:5:334835804:334837972:-1 gene:gene-LSAT_5X188121 transcript:rna-gnl|WGS:NBSK|LSAT_5X188121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAMTKKTEPGDIRQLFERLMKEIGEPVEFELPDWLNKWKPNYSIIKRNIYLTKKVKKRIREEDDGIFCSCTSTPGSSAACGRDCHCGMLLSSCSSNCKCDNSCLNKPFHRRPMKKMKIVQTEKCGSGVVAEENIMRGEFIIEYVGEVIDDKTCEERLWRMKRQGETNFYLCEINRDMVIDATFKGNQSRYINHSCSPNTEMQKWRIDGETRIGIFATRDIKEGEHLTYDYQFVQFGADQDCHCGAKGCRQKLGVKPNKSKFPSSDTALKMVACQVAINSPKVKALLSGKDGYNYGVRQPAYSRHVIDETRIPHNCIGEVLRIVRPASTSSFGMIKRFDINTRKHLIMFEDGVTELLDLAQVDWELCNLASFLQG >cds-PLY81302.1 pep primary_assembly:Lsat_Salinas_v7:7:115067957:115068582:-1 gene:gene-LSAT_7X70901 transcript:rna-gnl|WGS:NBSK|LSAT_7X70901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKINLMLRRCKTLSRQLIRTSSYTSLRSKSTTDHHHLRHGPPAETGGIMWNSTAVCVYGGGEEPRATVFVGSTRKRYVIGSKYLSHPLVIALTEKEKSTSVDGDNAASVINCEVVLFDHLLWMLENSDLNINSDSLDELAALYIS >cds-PLY66837.1 pep primary_assembly:Lsat_Salinas_v7:7:21076672:21078313:1 gene:gene-LSAT_7X17481 transcript:rna-gnl|WGS:NBSK|LSAT_7X17481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLNDDNFLFNFVVKEGNGIKGLVDSGLTEVPSLYIQPPHLRIDKQQTASSPEMMTIDLSELDGPNHAQVAKAIAHAAETLGFFQVVNHGLPLELLESLKAAAHQFFGQPAEKKAAYLQGVSPTPVVKYGTSFLPEIDEVLRWRDFLRMTYTNDADALKFWPNECKEVALEYIKSSTEMVKKLLHALIADLDVKLDDSSLDTLIGSRAVIMNFYPTCPNPELTVGVKRHSDIGMLTVLLQDDIGGLYVKKGELILSPGNEEWIEVPPIHGALVINVGDMLQIFSNGKYKSAEHIVRTTSTASRVSVPIFYAPLPMAKIGPLAELVARDGVARYRDLIFEEYINYYFGRAHEDKNTLYFAST >cds-PLY78167.1 pep primary_assembly:Lsat_Salinas_v7:6:109030555:109031413:1 gene:gene-LSAT_6X68381 transcript:rna-gnl|WGS:NBSK|LSAT_6X68381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEIEERWRKGPWTAEEDRLLMHHVSLHGEGRWNSVANLAGLKRNGKSCRLRWVNYLRPDLKRGRITPHEETIILDLHARWGNRWSTIAKSLPGRTDNEIKNYWRTHFKKKSKVALDESAKLKMRQLKRQKFQQEQRQQQLQHLQMQQNIADMKKIMSFLEESENQVEYMSPTVVEKQKDRTSNGCCYGSSYAPETSMSEDFGMWDVLWNLDDVHGPNFHAAKAS >cds-PLY73178.1 pep primary_assembly:Lsat_Salinas_v7:4:267989185:267994339:1 gene:gene-LSAT_4X138460 transcript:rna-gnl|WGS:NBSK|LSAT_4X138460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQETVHFDKITARLKKLSYGLSIEHCDPVLVSQKVCAGVYKGVTTSQLDELAAETAAALTANHPDYASLAARIVVSNLHKNTKKSFSETIKDMYSHISDRSGQKAPLIADDVYEIIMKHAAKLDSEIIYDRDFDYDYFGFKTLERSYLLKIEGNVVERPQHMLMRVAVGIHKEDIDSVIKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIIPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEQRARDLFYALWVPDLFMERVQSNGTWSLFCPNEAPGLADCWGKDFENLYTQYERQGKAKKVVQAQNLWFEVLKSQIETGTPYMLFKDSCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRYVREKGVPAESQPSKLVGSKGSGNRYFDFDKLAEIVSVVTINLNKIIDVNYYPVETAKRSNLRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYYHALKASSELAAKEGTYETYPGSPVSKGVLQPDMWGVTPSDLWDWNALRGMIEKNGIRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLYDLTEMGLWSPTLKNQIIYEDGSVQKITEIPDNLKAIYKTVWEIKQRTLVDMAVDRGCYIDQSQSLNIHMDQPNFGKLTSLHFHAWSKGLKTGMYYLRSRAAADAIKFTVDTSILKENIKATTGEEDDNTKMSQMVCSLMNREECMACGS >cds-PLY87797.1 pep primary_assembly:Lsat_Salinas_v7:8:223237354:223240441:-1 gene:gene-LSAT_8X137481 transcript:rna-gnl|WGS:NBSK|LSAT_8X137481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVSRWLKELRDHTDANIVIMLVGNKADLRHLRAVQSEDATSFAEKEHTYFMETSALESLNVEDAFTEVLTQIYHIVSRKALEAGDDAAALPKGQTINVGGKDDVSAVKKGGCCSS >cds-PLY62441.1 pep primary_assembly:Lsat_Salinas_v7:3:196107761:196110658:1 gene:gene-LSAT_3X116841 transcript:rna-gnl|WGS:NBSK|LSAT_3X116841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCKLSTKLMNICVASFCKLQQLEKAESIIIDAIRLGTLPDVVTYNTLVSAYCHFVDLDSGYLILNRMKEAKIHPNVITYNSLLSGASKHSLHSKCIELFNEMGTMGIQPDVWSYNILIQCYFRLGKPEEANMIFHSFKSQNLSPCSTTFNTMFNGFFKTGYTHHALSLFRSSQRNGIFTPELLTYNILINGLCKSGWIRAARMILKELKESGFKPNAITYTTIMKHCFKSRKFQEGIEVFNEMKNNGYTYDAFSYSTLSSAFAKKGRLEEAYRIFKLMTEKGIEKDLVSYNILVNMYCIEGKIDKANDLLSEIQEFGLQCDQYTHTTFLDGLCRSGEIDRALDYLKYMDTLGFDSNLVAYNCMVDRLCKVGCSNDALRIFERMEVKDCITYTSMVHNLCKERKFLTASKVLLACLNRGMKVLRGTQRVVVKGLHSLGLYSEARKVQLKIRLSRFEH >cds-PLY91772.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:298384:299735:-1 gene:gene-LSAT_0X1340 transcript:rna-gnl|WGS:NBSK|LSAT_0X1340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAENQPPLQPSSAPPPPPSSPPHSGSDNPDPTQYWCYQCDKRVPVETRPDDPDIICFECKNGFVESISVPSHARQVSDEDTPAFGNEFLQVLRLIAQSAREDDEPPPPPPADHPVGDNDYLRIELDGWDNRILDVNEVEEEEDPQVDEDRARFYYDDEDDDDDDEQEEEEEEEETENRNQEEDETDEDFVRRERRDVLRLRLRDFASRAANRRNRILDWAEILMGLEDQSIEFRLQVPGDDDGYIGNPGDYVDAAGYEALLQNLAESDSGGRRGAPPAGKLAIESLQTVDVNSTNMEICAICKDRVFNNEDKIAKQLGCGHMYHGDCIVPWLGSRNTCPVCRYELPTDDPEYEEDRKKRSVTMTDTSIDHGCSSSSGGGGD >cds-PLY69794.1 pep primary_assembly:Lsat_Salinas_v7:4:243922694:243924530:1 gene:gene-LSAT_4X129180 transcript:rna-gnl|WGS:NBSK|LSAT_4X129180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYLFVAIALLISSFLFSSYFRRKFSNLPPTLFPTLPVIGHLHLLKKPLYRQLATISAKHGPILLIRFGSRRVLVVSSPTAAEECFTKNDVIFANRPRFLVGKILGDNYTSIGWAPYGDHWRNLRRISSIEIFSSHRLNEFHDIRADEGRLLIRKLISESSSPVNLKSVLQEMTLNVMMRMISGKRYFGGDMKEEGKQFQEIVKESVLVADTSNLGDHLPIMRWFGTKGLERKMIELQKKRDAFFQVLIEQHRKVDGIEPDTKKNTMIKVLLQLQKTNPEYYTDEVIRGFVLNLLTAGTDTSGTTMEWALSLLVNHPHVIKKAQKEIDSLVGKDCLVKESDMSKLPYIRCIVNETLRMYPPLPLLVPHESSEDCVVGGYDIPRGTMLLINQWAMHHDPKLWSDPERFYPERFEGTKDGYSFMPFGSGRRSCPGEGLAMRMVGLALGLLIQCFDWERISEEMVDMREGPGLTMPKAQPLVVNCRPRPITHNLIALNM >cds-PLY72275.1 pep primary_assembly:Lsat_Salinas_v7:5:196385903:196397499:1 gene:gene-LSAT_5X87660 transcript:rna-gnl|WGS:NBSK|LSAT_5X87660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPRIFVVYRQAIAALVIAPIAYFSRSKTKCSIGWKSFSLIFIAALIGITTSQMIFLEGLYLASSSAGSAMFNLVPAITFVAAAIVGYEPINIRSLRTIAKILGTVVCVTGAATMALIKGQKLLNAQLPSSHSLLLNSTGSDNIWLLGCLCLFGSSCCWSFWLIIQVPVTRNYPDHLSLSAWMCFIATIQSAVVTMFTDPDLEAWKVTSYLQLGSLLYAGIVGSGISIFAQSWIIQKRGPVFSAMFNPLNTVIVTFFASIFLQEQIYVGSVIGAAAIVIGLYVVLWGKAKDLEELKEEQQKKAMISKNNQIKVVQVLVDKSSIEEPLLPKERLLCLRFLLGTIYEFVVLRWSRLKVNVPKTKKTYCKNKDCRKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >cds-PLY97479.1 pep primary_assembly:Lsat_Salinas_v7:1:194230109:194230396:-1 gene:gene-LSAT_1X127300 transcript:rna-gnl|WGS:NBSK|LSAT_1X127300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEAKGEAIGGGGEDNGDGNVSDRTDADKIRAGGERGGRELASMREPEGFAAWGDGEAGVSVGGDARRLWRRRCPAGTTEGCNRSFTGKEQEATL >cds-PLY94787.1 pep primary_assembly:Lsat_Salinas_v7:2:179822344:179823865:-1 gene:gene-LSAT_2X101821 transcript:rna-gnl|WGS:NBSK|LSAT_2X101821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNYGALNLIFLTFLLITIDSTALPHQNPKSNSTQINSNSVLVALLDSHYTELSELVEKALLLQTLEEAVSRHNITIFAPNNEALERQMDPEFKRFLLEPGNLKSLQNLLLFHIIPSRVGSKEWPRKDFESIAHHKTLCVDEADNHLPLTRGNSGEKIVGGLARVTRPDDVIRPDGLIHGIERLLVPRSVQEDFNRRRSLTSISAVLPEGAPVVDPRTHRLKKPATPVPAGAPPVLPVYDALAPGPSLAPAPAPGPGGPRHHFDGESQVKDFIQTLLHYGGYNELADILVNLTSLATEMGKLVSEGYVLTVLAPNDEAMSKLTTDQLSDPGAPEQIMYYHLVPEYQTEESMYNSVRRFGKVQYDTLRLPHKVVAEEADGSVKFGQGEGSAYLFDPDIYTDGRISVQGIDGVLFPMEEPTEKPASKVAPTTAPKVVAKQRRGSLSYF >cds-PLY61754.1 pep primary_assembly:Lsat_Salinas_v7:5:302330231:302330470:-1 gene:gene-LSAT_5X164260 transcript:rna-gnl|WGS:NBSK|LSAT_5X164260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTKQWDSNINSSSGWWRLVLADGGSQRAHARLFLSSSLQIRRGWMEKVEKGGDSWWHSMTPFPRHRLLWLEWEAVEQR >cds-PLY96212.1 pep primary_assembly:Lsat_Salinas_v7:4:271667146:271670363:1 gene:gene-LSAT_4X139420 transcript:rna-gnl|WGS:NBSK|LSAT_4X139420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPHHNSNQNPATPSAAQQVNSVTNQQTCNGNVGGLLPNNRPIAPPSCFMNFPNQPFPLQNTMAQFPTGFPGFNPQQNFNPFPVNQFNPSQFFPNNSMNRPVYNPNVALPNEQVIMQNTIQNIYQLLQLQSQSQSQNPNYPQCPPGNFPMFQNQIPNVMSHQNPGFLPNQQFSMPNFNGPLQHANQVQHLQGNPFLPHAPNSVQPQQSPNLLLQNQIPQGVVPQNHNFLPNQMNPSGPMQIANQGQKGQQGTSILPQKPSPTVTNFQEKHGNNINGGWTSPHKNFTGNKKNDTSQSHKGFKSQFHHGKHPYNGNMNQAEGKNNAAVNSRPKNFISTKFEKKVPSLKYTEQEIKQWREARKKHYPTNVNKSKEEEASVLRRQAELGCEVADIPSHYLSGPEKHIQKHEKERFKKGKFQKKRGNKFQNDRITKKTRSENPNKPNENKRDPSLLQKLLTKDIKRDQNHLLQVFRFMVVNSFFSGQPEKPLRFPDVIVRETGPGVAGEVAGEPDSLVVEGGEKVVEKVDVDSDEEEGEITD >cds-PLY80255.1 pep primary_assembly:Lsat_Salinas_v7:6:124164759:124165485:1 gene:gene-LSAT_6X74700 transcript:rna-gnl|WGS:NBSK|LSAT_6X74700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRFPNLSSFNGQRFWLNKNGERCEMISAEECLIPVHFASPTFFYYGGRNSRFQMDFHKPYYWDFKIHLRTQFLSPNIMYKVNLVFNFGSRTEYYLGFNYILDGVTYSSTSYLVEEREDRWWMTELFQFTCDSRKFDLEIMFQCKNPLAVKGIKFQPLERFNGNTSQILFSTVNQDWVFDKCCDKCVKWRLLPPDVNPSPFPEKWKCSMLD >cds-PLY76118.1 pep primary_assembly:Lsat_Salinas_v7:9:30871980:30873727:1 gene:gene-LSAT_9X28180 transcript:rna-gnl|WGS:NBSK|LSAT_9X28180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADVQGYFILFLISVISTILLPVLFKLSRAKSKPRIPPSPFALPILGHLHLLSPSPHRAFHKLSLQYGPVFRILLGSKPCVVVGSPETAKELFKTYENVFLDRPHNSSMDYLAYGGRGFIFAPYGSYWKFLKKIVMSELLNAKTLDSLLPVRRDEIHRFLRYLSEKAKVGKSVELEGELMKMTSNIMSRMLMSNTCTDEEDDAGDIRKIVTDINELIVTFNLSDHICFLKNLDLQGIGKKSKHIRGRFDALIEKIMKKHEEARKKNEKGEVKDLLDLLLDISEDERMEIKLTRENIKAFILDIIAAGTDTSAITTEWALAELVNHPNIMKKAVEEIEKVVGKDRLLQESDIPNLPYLQAIVKESLRLHPAAPVILRLSTEDCTLGGYHIPAKTSILFNVWGVGRDPSYWENPLEFRPERFEEKQFDLRGQQFQLLPFGSGRRMCPGISLGLMLVHVTLGCMIQCFDWKAGKDGNLTSVDMEEGMGITIPRANPLVCVPVTRLDPIAMSI >cds-PLY90487.1 pep primary_assembly:Lsat_Salinas_v7:2:7386064:7389078:1 gene:gene-LSAT_2X3500 transcript:rna-gnl|WGS:NBSK|LSAT_2X3500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSNKPDTTTTTILQYPPKNQEINIKVWGLSLISVFPWAENLKIPTFFNKKLKKHAQLSQTNLVGPGITYSTVRFRPYVSKVPWHTGPRAFLSQLFPRYGHYCGPNWSSGKDGGSLLWDKRPIDWLDYCCYCHDMGYDSHDQSELLKADLAFLECLERPHMATRGDVHVAHLYKTMCVSGLRGILIPYRQHLVKLKSGQLSLGFGWLSNMKWKGWNAHKEAR >cds-PLY87598.1 pep primary_assembly:Lsat_Salinas_v7:8:111631028:111631592:-1 gene:gene-LSAT_8X77560 transcript:rna-gnl|WGS:NBSK|LSAT_8X77560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANCMMLLNRVGRSDSGRIFHCKTCNKQFSSFQALGGHRTSHRRPKLNDESPAKAKTHECSICGLEFELGQALGGHMRKHRDSLAAAEKIFPRKMAMPVVEEVDGGGRGLCLDLNLTPFQNEIKIWSRNGRTAGIAT >cds-PLY67894.1 pep primary_assembly:Lsat_Salinas_v7:1:55519698:55521533:1 gene:gene-LSAT_1X49901 transcript:rna-gnl|WGS:NBSK|LSAT_1X49901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYWDFSLSCIFKATCLDVLSTATTPNRNNHVVTHSTSIDPIHRFFFTSLHHLSLDLPFLLTHVGLFKSSPDLDDHTFSSSNYVLILDGSSPSLLPVPVHVVTASIKAKVPIIPYSDFAYSHGESEMAAFNCSFDEHVRRGKTGRGNAREMDFESFLDFALSLENKGTP >cds-PLY64619.1 pep primary_assembly:Lsat_Salinas_v7:6:37657512:37658582:1 gene:gene-LSAT_6X27160 transcript:rna-gnl|WGS:NBSK|LSAT_6X27160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGVTCRGSLLTVNIAKFDRKEPSKQKIEAMHIHNYTHGNIDLAARDGRSYVEVTTGRIQNPPPPPGLSKKPVPLADGTTMAEWLHSPLTLVGEAHSLEKLRNVPLDIRLGSKHSYGMKYLGGLMVGIRFQSTPDVKDFLSKNNYWGEWFKEFRFGKALEGTFDRIAWIKIVGLPAKFWNEENFSKIACEFGKVAAPIEILPSIQDLSIGNVCILTGSKRRINDEVLVEYDRNILKVGVIESEFDWSPFPSGMSDKLKVSESYVDEDSSLNLDENELEEGEIKDTCNDDVDGISGTILANDSEDIAMDAGANDIPATVVMASPTVGESAAGMQDVQQSYRESQHPVINSIGQKKRR >cds-PLY68526.1 pep primary_assembly:Lsat_Salinas_v7:4:21191988:21193873:1 gene:gene-LSAT_4X14441 transcript:rna-gnl|WGS:NBSK|LSAT_4X14441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYDEIFARSFSRHDQRRFGYGALVACIIVTCCLCTVFKPYLGPLPVSNLRLTLVDGLKLMMVSERATKPLEAYVEIEETKQICNTSKQRSDSCEMKGDVRIQGNSSTIFVLSSHRKNASWTIKPYARKGDISAMESVTNFTVKVIQEKIETMPTCTKSHNVPAIVFSVGGYAGNNFHAFTDVIIPLYETSREFNREVKFLVANKRSGWTRKFQEVLDKLSRYEIIDIDEGNEVHCFPSMIVGLRKDEGKELYTNSVKDFTRFLRSSYSLERSTAIKLTNDYAKKPRLLIVSRKKTRTFTNVKDVVHAAQDIGFEVVVAEMNANLTQVSRLVNSCDVMMGVHGAGITNMLFLPENGVLIQVVPIGNMDWIANTYFGVPSATMGLKYLEYKINKMESTLIEKYSLNHQVFMDPISIQKKGWDPYKSIYLDKQNVMLNVTRFKDTLSKALELLHS >cds-PLY73118.1 pep primary_assembly:Lsat_Salinas_v7:9:23155494:23158255:1 gene:gene-LSAT_9X21161 transcript:rna-gnl|WGS:NBSK|LSAT_9X21161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERDESRLGAPGVVLKGVPAYGGRYIHYNVLGNLFEVSSKYVPPIQPVGRGAYGIVCCAKNYETKEEVAIKKIGNAFDNRIDAKRTLREIKLLCHMDHENIVKIKDIIRPPEKDKFNDVYIVYELMDTDLHQIIRSSQSLTDDHCQLLRRLKYIHSANVLHRDLKPSNLLLDSNCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDVWSVGCILMEILLREPLFPGKDYVQQLSLITELLGSPDDSDLGFLRSDNARRYVKQLPHVPKKTFQQKFPNVSPVAMDLAQRMLVFDPSKRITVDEALNHPMVRSLHEINEEPTCQSPFVFDFEQASLSEEEVKELIWKESLKFNPDKIIIT >cds-PLY94307.1 pep primary_assembly:Lsat_Salinas_v7:7:164881814:164883670:-1 gene:gene-LSAT_7X96580 transcript:rna-gnl|WGS:NBSK|LSAT_7X96580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKERRRSSLPRHGKGSWDEEKKEKVQGEEISRGRIGEMSSKELVKTRFFSTGHGVKLEGSMNYAKGKSWALLLSIYKWRRRSCGDSWSRQRAPLEQKAASCKHYSKSSELRNKSEASRDRGINLPIMPFGRSLLQRESLLRVSGEERSPEILISFHSSGSTSNQWRKLKNPWFPGRTLFRPSCFRTGKKKRFFAQLAHSAGPTCISYLAEEASDRLEFLPSWDSMDQDLLLLYGQYRSTLVDHMDVEKASHFDELETSLFHFYLPSSYLCFVCSPEEFDLFNLGIPPK >cds-PLY77902.1 pep primary_assembly:Lsat_Salinas_v7:1:22738282:22748365:-1 gene:gene-LSAT_1X19261 transcript:rna-gnl|WGS:NBSK|LSAT_1X19261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNFAGKDAFHLSVGVHPFHVLRINKMLSCAGANRLQTGMMGAFALVKFFFLVRCKDVNSQNAQEALRRAKFKLPSRQNIIVSKKWGFTKFSRTDYVQWKSENRIISEAVNSMLFECHGPLANRQPGRTFINVFA >cds-PLY61709.1 pep primary_assembly:Lsat_Salinas_v7:5:217710240:217710398:-1 gene:gene-LSAT_5X101260 transcript:rna-gnl|WGS:NBSK|LSAT_5X101260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWNYLGINALKVFMSMPANVFGNPTTNTEHRCGIKAVEASRVGRVPWSGCQI >cds-PLY81558.1 pep primary_assembly:Lsat_Salinas_v7:2:126317226:126318413:-1 gene:gene-LSAT_2X58781 transcript:rna-gnl|WGS:NBSK|LSAT_2X58781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPYGHNHHHHHRRDDEPQYPPPPHFGGGPPPHYPPPPHLAYPPPPNRVDVYPPPYTAEYHHPPPPPPPQTIHHVYHENTHHIPHMPPIINHHHTDHHKIPEKYTDNKPTVRVYTKARPDFSLTIREGKVILAPSNPSDPHQHWVKDLKYSTRVKDEQGYPAFALINKATDQAMKHSIGATHPVQLIEYNPNRLDESVLWTESKDLGDGYRTVRMVNNIKLNVDAFNGDANHGGVHDGTKIVLWEWKKGDNQRWNIVPYCKFLKKLNFIYSILFILQYLIYILILIYDHFLEWNLQEDILLC >cds-PLY66635.1 pep primary_assembly:Lsat_Salinas_v7:5:150213409:150213582:-1 gene:gene-LSAT_5X66200 transcript:rna-gnl|WGS:NBSK|LSAT_5X66200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKKKYSSMLPSTSNLFYFFSSLRKGNILITLYTTQIHQSYSPNVVSGLQSTQLKET >cds-PLY67079.1 pep primary_assembly:Lsat_Salinas_v7:5:280553165:280554363:1 gene:gene-LSAT_5X147020 transcript:rna-gnl|WGS:NBSK|LSAT_5X147020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFTATCKIKEKLEKLSNNESWKWMVASINVTHTKGNLLGGQETAMKRLQEFRNEVMVIAKLQHRNLVRLLGYCVRGDEKILLYEYMPNRSLDSILFG >cds-PLY99682.1 pep primary_assembly:Lsat_Salinas_v7:9:55987821:55990411:-1 gene:gene-LSAT_9X47381 transcript:rna-gnl|WGS:NBSK|LSAT_9X47381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQVFRKLFDAFFGNSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNDTNGLIYVVDSLDRERIGRAKAEFQAIINDPFMTNCVILVFANKQDMKGAMTAMEVCEGLGMYELKNRKWHIQGTCALRGDGLYEGLDWLASTLKDMKAATTSSSF >cds-PLY66023.1 pep primary_assembly:Lsat_Salinas_v7:1:123928924:123934823:1 gene:gene-LSAT_1X95000 transcript:rna-gnl|WGS:NBSK|LSAT_1X95000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSEIPEGSSSSHVPPSSTHGHSSSTDDHRYDVFLSFRGVDTRHSFTDHLHKALIDANINTFLDDEEIETGEDLKPELETAIKASQASIIVLSKNYAFSTWCLDELVLILEQRMTSNHIVIPIFYHVEPTHVRKQQSSFGDAMAKHKQTMEEETNVNKRSQWAQKMDRWNKALIEVANLKGNDANGRLETEFIEEVVKDIHRRLHIHLRSIGPQLIGMENHINFVTSWLKDASSHTTDILTIYGIGGIGKTSLAKHVYGLYSHEFHTSSYIEDITRKCNGKFNGLLDLQEQLCNDISKSSSIKVHDVSVYTAKIENALARKRVFLVLDDISTLVQLDALLGSKGFLPGSKVIITTKDSWLTESCSLFKTNIKPKHERHLLRGLDYFASLQLLCSHAFMCNQPKVGYEEVSDKLVMYCKGHPLALEVLGKSLHNRDVSYWEGCIKGLKKEISSPINNVLKMSFESLPSKNDKELFKHIACFFVGTDRDFTETILEACDINTRSGITNLIDRCLLNIGFNNELRMHQLVQEMGRFEVRQESLDKPWKRSRLWCHKESFRVLKQKKGKGNLVGLSLDMRMLEKEKLCASFELKTDAFNNMDKLRLLQLNYVHMNGSYENFPKEISWLCMHGYPLQSLPLNLPIQNLVALDLSYSNIKSFVGCYSNPQRFNVDAVSKNLLFFICLYWLFYFLFADGLLRKQFPNPMLGDANHPTSPLSHLYGIGLMLLLLYSNPQRLETWKKLDGSCLKEKRLLGSLKILNLSFCKQLHSLGEFDQLPALERLIVRNCIGLVEVCESIEQCVDLIFIDLSYCKKLERLPRNIGMLKNVKTMLLDGCSLGESQIQIRDTDSLELGKANNIDINTRTSSPAFVGAIPSDLKLFASSLPSSLVSLSLANSNLSTKSFPMDWSCLSMLKELYLDGNPINSMPSCVRTLPRLEILSMENCKKLKSVEHPPCTLSRLLFSVNGDLQGKVVFDPEMSPLELSPRRVASAAFSYEFEGIIKIQPMVCVEEKVLRSLGWSNLDFLNERHLGANSQEFGTQTMYYEFGIFSTKYEGEEMPSWFRHRSVGPSISFTIPSSSSSSPYNLLTRLNFCFSADTLKPPDDCFPVPYGQFPLWPMMTISNITKNRMWIYERYTDRYCVGGKCWVVLSHWMFGMNEMEAGDHVTITVTLPYNEFVKECGGEGESSRSTKQVFSSIERF >cds-PLY86764.1 pep primary_assembly:Lsat_Salinas_v7:4:296750783:296750950:-1 gene:gene-LSAT_4X147701 transcript:rna-gnl|WGS:NBSK|LSAT_4X147701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQFARLSLACPWIQQQFERLTYSGISGSMLIFNSPKHFVAYYALPRLWVPRYPP >cds-PLY84847.1 pep primary_assembly:Lsat_Salinas_v7:1:59973748:59975369:-1 gene:gene-LSAT_1X52520 transcript:rna-gnl|WGS:NBSK|LSAT_1X52520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTDLHFVLLPLMAQGHMIPMVDIARILAQTGVTVTIITTPVNANRFNSVIDRAIEGQLNIKILKVQFPFVESGLPEGCESFDLIPSAAYIVNMFTAMKKLEEPVEKMLQVLYPSPSCIISDGGFPWSTNLAKRLNIPRLVFYGPGCFAFLCIHIVNNTNILNEIDSNSEYFEIPGLPDRIEITKPQASGWGKGNTKETKESFEQTQEAEKDAYGIVVNSFEELEPKYVEEFAKAKGKKVWCIGPVSVCNKSFQDIAERGNKGVKNEHDCMKWLDLQEPQSVVYVCLGSLSYATTEQAIELALGLELSGIPFIWFIRQTREEFEKWVSEERYEERIKDRGLMVRGWAPQILILSHQAIGGFITHCGWNSTLEGICAGIPMVTWPHFAEQFLNERFIVDVVKIGVKIGAEFPLMYREKDKFEVVKKEDIKTAVEVLMNEQEEGEARRRRAKELGEMAKKAMEEGGSSYLNIKLMIQAISEEVAKNNKPIQDIVWPF >cds-PLY62921.1 pep primary_assembly:Lsat_Salinas_v7:3:144765983:144766915:1 gene:gene-LSAT_3X94841 transcript:rna-gnl|WGS:NBSK|LSAT_3X94841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRFCHLTILFKIFTFSQKILANFQLILRFVQGVAFMLAIAQISLAISLSPLTVTDVFASILAFVPTGWGLLSICVAWKPMLKKIGLWKSVRSLARLYDAGMGMLIFVPIALCSWFPFISMFQTRLMFNQAFSREPEISLILAGNNPNYG >cds-PLY65266.1 pep primary_assembly:Lsat_Salinas_v7:3:166596233:166598017:-1 gene:gene-LSAT_3X102360 transcript:rna-gnl|WGS:NBSK|LSAT_3X102360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRWDSSSKSSQKMHHVDAIAVKSKRGNQVVVAASPPTEDAVVATDPLTKEDLVGYLASGCKPKENWRIGAEHEKFGFELKTLMPMTYGQIADLLNAISERFDWEDIMEGDNIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHIYQVKAIAEEMGIGFIGIGFQPKLERNDIPIMPKRVYSCSSFHATFLSRQKDLIVNSPEDIKLPVLHILSKLGMDRELLSALLEATATRELLILFFFRIKP >cds-PLY80921.1 pep primary_assembly:Lsat_Salinas_v7:8:134191710:134193492:-1 gene:gene-LSAT_8X92980 transcript:rna-gnl|WGS:NBSK|LSAT_8X92980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESEGQQQNSSNPSAVIAALLCKRAKQHEELRSIEKQVYDMETAYLQDPSQSGNVLKGYEGFLSASKSTAFYKRSRKFQVEDRLFSLSSVTSQATEEHAAMGAASAMGPGKPKKGRPGPRDVRRIRQYSEPDFDYEDDPDLI >cds-PLY95294.1 pep primary_assembly:Lsat_Salinas_v7:4:298247962:298249794:1 gene:gene-LSAT_4X148220 transcript:rna-gnl|WGS:NBSK|LSAT_4X148220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEASISRSLIKFTNRNSINTILHSNRHPFKLSPHPSPPVFSPFTTLATLSLSNPNSHARNHFPNWKPSHFTPSSFQSNVNPPISADRFARILSGHNDKSFEWKFADREHGGELGFLPEDKRAAVTVVVLGWLGSRQKHLRRYAEMYNLFGMDAVTLPAPVNDVLGFHWGRKLESRVAVLTDELVSWLEEKENDGKDRFLIFHTFSNTGWLAYGSILNKLQGRQELLEKIKGCVVDSGGDPELDPKVWAAGFTTALLKKQSSAVNSSSESMEAKNVNSDDKELEFIEVFLLTLFEKFFAYLLELPDIKKRLTEVTSTLSENQPSHPQLYLYSTADKVIPFHKIESFAEHQKKLGKKVTTFNFKSTPHVDHYRTFTDTYRSLVQIFLKDCFALEGKQLCNVVK >cds-PLY98600.1 pep primary_assembly:Lsat_Salinas_v7:1:37135735:37137090:-1 gene:gene-LSAT_1X31940 transcript:rna-gnl|WGS:NBSK|LSAT_1X31940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRWGCYFGIQETQSFHCLRNFCGYTSWCRLLSLVWRKLQEFRPLVLKFTLWISSLTVERFNFTEGTQPDRRYLVVFMMVISKYLICHCLFISNV >cds-PLY96819.1 pep primary_assembly:Lsat_Salinas_v7:2:172326372:172328107:-1 gene:gene-LSAT_2X95180 transcript:rna-gnl|WGS:NBSK|LSAT_2X95180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFSCQLPQRKNNFTDDPYSLSFSDLWFGLLLPAVVPLRLQLLSNNSHRLQQGHFKYQPGQVRAFGMFDEGSGITPMFKVAKAILETQRTTPRCISYMLMSHMI >cds-PLY83226.1 pep primary_assembly:Lsat_Salinas_v7:9:122145267:122147219:-1 gene:gene-LSAT_9X77121 transcript:rna-gnl|WGS:NBSK|LSAT_9X77121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLPSLYTPSFKTSQNLISHSNPSGSPPLAAHLINNQHPPDPWRKDLPPSASSSSSSNCFCLYAIQWTLILTVMSSEISHAKNSIDFERRELDWEKQQLFPSIHRTLVEYFRYKLTEFDSIDPPTTALPTPPITNPVTNPVTNPVTGPPVNTAPGIVTVPGANPVGVSPNPPTTNPVTNPPVPVTNPVTTPSTNPGGQPPVNPVTTPSVLPPPTTSGGNAPAGPGRGQSWCVAKSGASQAALQAGLDYACGIGGADCATIQQGSSCYEPATLQNHASYAFNSYYQKNPVPTSCDFGGAATVTTANPSVGSCIYPSSSSSSSSSSSSSSSSSSSSSSSPLPTTPTTQAPPVNPTAFPTPTTSSLPTPTTSSSGSTIPGMQSPPPGFSFGNPDPGSTGYGAFGASPPLGNTASASDGLRPFVGSLVVLFVASIVMMFGV >cds-PLY90775.1 pep primary_assembly:Lsat_Salinas_v7:3:36019879:36020823:1 gene:gene-LSAT_3X26821 transcript:rna-gnl|WGS:NBSK|LSAT_3X26821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVEDRLSSLPDELIHKILFCYDMKFAVQTCLLSSRWKLVWTSLPCLNFSSRQFHILHKFAKFVTHVLSHRNHQIEVSTVKLRFHAGVNQDFVRKIAEYAFSHNVQQLTVFGPSSCRHVYPSCLFSSQSLKHFTFTCISYTRLLIATEAPLDFPSLTTLNLCNIILSSDVFSKCVNLKNLTLEDFCVMDMEVFDIITPQLSNLKLIDGRCSNSINVIAPQLENLTVINCSIGYLNAPPRLLSFCYTFQLWPCRLVQFSNDQFPSLNKVTIYLSKSKDYPTVLYKEEDARMIINMLQHIHSVKYLTLDADTIEVC >cds-PLY93749.1 pep primary_assembly:Lsat_Salinas_v7:6:142350235:142352918:-1 gene:gene-LSAT_6X85001 transcript:rna-gnl|WGS:NBSK|LSAT_6X85001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTISSSMSIKHGISLWCPQSPSLNRQHSGVVYSRTRSLHRRNFVVSASSSFANENREFVIVGGGNAAGYAARTFVEHGMADGKLCIVSKEAYAPYERPALTKGYLFPLDKKPARLPGFHTCVGSGGERQTPDWYKEKGIEMIYEDPVTTIDAKNQTLTTNSGKILKYGSLIVATGCTASRFPEKIGGNLHGVHYIRDVADADSLISSLGKAQKVVIVGGGYIGMEVAAATVAWNLDTTVIFPENHLLPRLFTPSLAQKYEELYQQSGVKLMKGASIKSLESGPDGNVTGVKLENGSTIEADLVVIGIGAKPAVGPFEKAVTLNSTVGGIEVDGLFRSNVPGIFAIGDVAAFPLKMYDRISRVEHVDHARGSAQHCVKALLTAQTHNYDYLPYFYSRVFEYEGSSRKVWWQFFGDNVGEAIQIGNFDPKIATFWLDSGKLKGVLLESGSPEEFQLLPKLARSQPSIDKVKLQNAASVEEALEIAGASL >cds-PLY79810.1 pep primary_assembly:Lsat_Salinas_v7:8:15834556:15837114:-1 gene:gene-LSAT_8X13220 transcript:rna-gnl|WGS:NBSK|LSAT_8X13220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVAPKKKDATPVTLGMEDNNDEWHMNTVNTHPVLLVAAIDNSEGLSPTIRRCFSHETKMGGLIEDQRVEMLSQSLHLIPELVPDTCIEDVVKDMFGQTFGFMPRDIRALVADASASLIPINGSSFEKLGDNKEFMVKALERSKKRNAWALGNPKVANVKWEDVGELEDVKKSILDTVQLAFLHKDLFSSGLRKPSGVLLYDPPGTGKGSFHEWERVSGEQSWLRKELLSNCESIEWQVDELEKTIFVAARDPSLYGIKQVELEKRRKWTTTAPIQVGNIKKAVIVAGSSSNFGGMRQELIRMPKSHQQQDKDKTRTGSYVVVDNDDFISSESDT >cds-PLY62556.1 pep primary_assembly:Lsat_Salinas_v7:9:76374212:76377955:1 gene:gene-LSAT_9X60901 transcript:rna-gnl|WGS:NBSK|LSAT_9X60901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFNGITEIFSSFTSRFSTPKSSTNQYVSSGLPPLGSGGGSVHGYGFPGSRQKNLLRLSSSLQDFSAYRRLDPENGNFTPGIEPTSSNINQILLRENGTTSFSKEKPSSQTTIRRKWVRAIMVLFCLLSLASIIYGLLFLYSNWTQSSGRFYVVLDCGSTGTRVYVYQASINHQKTNVLPILLKSIPEDLKSKPSSLSGKAYNRMETEPGFDKLVHNVSGLKQAIKPLLLWAEKQIPKHSHKSTSVFLYATAGVRRLPDSDSDWLLKTSWSILKKSSFLCKKEWVKTISGMEEAYYGWIALNYHARVLGSSLPKKETFGALDLGGSSLQVTFESNDYVNNDTSLNLRIGPLNHHLSAYSLAGYGLNDAFDKSVVHILKKSPNSIKGNLVKGKAVIRHPCLQSGYKEKYICSQCLSAFQTSNVKRNLLGKGVKSGIPVQLIGSPKWEECSALAKVTVNLSEWSDNAPGLDCDLHPCALQNSLPRPYGNFYAMSGFYVVYRFFNLSSDSALDDVLEKGREFCEKTWESAKRSVPAQPFIEQYCFRAPYIVVLLREGLHITDRQVNIGSGGITWTTGVALLEAGNSVSSKKGFYDYRLFEMKINPVFVYVILFGSLCMVICALSFAGNCVPRFFRRFVFRRNNGTGSSVLSISSPFSFRRWSPIITGEGRVKMPLSPVASAQNRAFSPDIQLAESSSLSNVAHSFSSSSLTQSQFDSNSNTSGFYSGPHRGQMRLQSRRSQSREDLNCSVADAHLVKV >cds-PLY71025.1 pep primary_assembly:Lsat_Salinas_v7:9:71753893:71754918:-1 gene:gene-LSAT_9X59080 transcript:rna-gnl|WGS:NBSK|LSAT_9X59080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKVNILEALNYQMGVSKAEKQKPNLQKSRMFMMIRKFVKANHRYSRIQDELKRSQARLERLGDQFGFDGRAAANEEDISINILSTEENVRNVLMSPKYHNKPKGSPRKDEMLGKHLMGPVKDQYKDSPSKKRMRVHMVDADEKRTHSSVSLGR >cds-PLY82844.1 pep primary_assembly:Lsat_Salinas_v7:1:87196211:87201475:-1 gene:gene-LSAT_1X72241 transcript:rna-gnl|WGS:NBSK|LSAT_1X72241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMDIPMPDELEWLEADLQLHEEYLDEDPEPPPLPEEEASYIEEVYEALQPEPIVKPALPIPEKVQPKKRFRPLSPNLLDPSNVDDSVEDKRCKVNDSSAIEADDDWLRYSPPPQEESVVIVEEEKETFISRYVTDIEGDFMPVTAPDGDRVYAKLVKEEKDGKLKKLDVKAPSKGLMLEPISVLLQRAEEDAIQKALQASVSSQVDANLVGTPVVNERLWVDKYSPNSFMELLSDEHTNREVLLWLKQWDTSVFGSELKSTTDDVLSALKRHSTVSQHKKVSSKNLNGWNKDSTSNNETFREDKYDHHGMQELHNKKIKDSGPPEQKILLLCGAPGLGKTTLAHVAAQHCGYRVVEINASDDRSSSTIETKILDVVQMNSVMADSRPKCLIIDEIDGALGDGKGAVDVILKMVAGDKRSDSGVENIVQTEQSGKTSSKKKKKDTPLLRPIICICNDLYAPALRPLRQVAKVLVFVQPTVNRIVSRLKYICNKEAMRTSSVALSALAEYTECDIRSCLNTLQFLNKKKEMLNVLDISSQVVGRKDASKSVFDVFQKRRLKGARKSIESSRSMFNEFDSLHSLISNCGNYDLILDGIHENILHLNYHDPVMKKTVKCLHSLEVSDIFHQYIMRTQKMSLMAYQPAIAISIHGVVSQVEKPNIQWPKSFHRYRTTLIEKVESLHMWHNKISPSISRHISTKSFVEDLVSPLLHILSPPTLKPVALHLLSEKEKKEMAHLVNTMVSYAITYKNKKIDPLPNKLKFEVATDKDTPVLSFDPPIADFVNFEGCSSNYFILGLAVKQLLCHEVENQKILQSSINRSMHGDDGKEIVKEKFSKPNRVVENDKNATKVKNPSGPTPTQQEKHTPIITVVPKSSETSTTTKKKSSSFNFFERFKKVSVNGSQLTETVKKVATSERDSRPVLFKFNEGFTNAVKRPVRMHEFFL >cds-PLY71909.1 pep primary_assembly:Lsat_Salinas_v7:3:25627140:25628714:1 gene:gene-LSAT_3X19060 transcript:rna-gnl|WGS:NBSK|LSAT_3X19060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKIRHKMVAVNGINMHVAELGTGPTILFIHGFPELWYTWRHQILYLASHGYHAVALDLRGYGDTTGAPTSDPTKFTTLHVVGDLVALINTVAAPGEDKVFVVGHDWGAIIAWALCLYRPDKVKALVNMSVAFQPRDPNTKPVEAYREIYGNDYYVVRFQETGEIEGEFAVYGTERVLNAFFNYRKPAPLFLPKGNGLGISPDDPIIVPSWMTKEDLEYYTGKFEKTGFTGGLNYYRALDLNWELTGPWTEAKVSVPVKFIVGDLDLTYNSVGGKDYIESGEFKKDVPLLEDVIILEGVAHFLHEEKPEEINKHIHQFFEQFSI >cds-PLY86309.1 pep primary_assembly:Lsat_Salinas_v7:8:55915222:55917662:1 gene:gene-LSAT_8X40821 transcript:rna-gnl|WGS:NBSK|LSAT_8X40821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPTRFQAQADAVNLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFAGGPVKYDKKVLEMIGKKLKKNSVALDVVNFGEEDESKSEKLEALVAAVNNNDSSHIVHVPAGSNALSDVLLSTPIFTGDGEGGSGFAAAAAAAAAGGVSGFDFGVDPNLDPELALALRVSMEEERARQEAAAKKAADDSSKNEGGESSSQDATMSENVGTSENKKDDLMDDENALLQQALAMSMDDPAAAATVTTRDADMSEAAADDQDLALALQLSVEEGGKDGSGSGQADMGKLLADQSFVSSILASLPGVDPNDPSVKDLLASMQNQPESEQKKEEDKAPKEDDK >cds-PLY78447.1 pep primary_assembly:Lsat_Salinas_v7:2:167763766:167766181:1 gene:gene-LSAT_2X88741 transcript:rna-gnl|WGS:NBSK|LSAT_2X88741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGFEAMTFNIHGGYLEAIVRGHKSGLLTAADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQATEPLSTFLQYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYQFCQKLGGATAEIMSDILAFEADRRAVNITINSIGTELTREDRRKLYSSFGLLYPYGHEELAVCEDIDQVRGVMEKYPPYQHIFGKLSYGESQMLDKAFYEEEVKRLCLSFEQQFHYGVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >cds-PLY78540.1 pep primary_assembly:Lsat_Salinas_v7:1:104628947:104632690:1 gene:gene-LSAT_1X82620 transcript:rna-gnl|WGS:NBSK|LSAT_1X82620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGIKRTIPTREILKATEGFANQIPGGGGVYARVYYAKLGEQWQNQTAAVKRFIQGVHKVKEEFNNELEVVSRLHHENIIGFIGYNDQYNSMMLVYEYAVNRSLYDHLVDPMKRIWLTWGIRLNICIDAAKGLNYLHSGLGKNKRVIHRSVNPTHILLGEYMVAKISGFRLSQSGPRNKVDDTPVETTVDLGDKYYLDPIYNESRIPTTKSDVYSFGVLLIEMVTGKLAKDTITGFGPPQTLIDWIRSNYDDGLDKIVDYHIKDQIKIDSFHEFKELAYGCISLNSNDRPTMHQVMKRLQEAQNIQIHGPTFTKITKRSHKYEQLESWLIPLKEIKLATGNFNPITQIGEGGFGNVYKAQLSERWENCTVAIKRLDPKSHQGKKEFLTEIKLISSFHHQNIIPFVGYCDEEKEMIIVYEYANNGSLDHHLHDPIKRGLITWSQRLKICLGAANGLEYLHSGQGDFTRVIHRDIKCGNILLDENMHAKICDFGLSKEGPRNQQHTQIYTKASGTNFYLDPLYQESGILRKESDVYSFGVVLFEILSGRPAYKPTKFVDGNPQFLINLVRRYYNDEPEKLIDPAIKNQVDSRCFNMFKDLAYQCISLESEERPTMETIIDTLEDAIDFQDENKNDVEKATFGCCCLQ >cds-PLY97337.1 pep primary_assembly:Lsat_Salinas_v7:4:287042896:287044620:-1 gene:gene-LSAT_4X144821 transcript:rna-gnl|WGS:NBSK|LSAT_4X144821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLHLQSSVPPSPDQIDLRTWNKIIKRQVIEGNTKGAFLTYQQMQQTGIFTPDNFTFPVLLKAASNLSNPRLGLALHAQIAKTPFDNHMLVQTSLLNMYSSIQRTDEARKVFDSMESKDTVAWNSMLDAYVSSGNLDFALKLFRFMPKRDLFSLNIMLSGYANLGNMDSAKAVFDEIPVKNLISWNSMILACGNYGDMEEARRVFDEMPERDVISWNTLLGAYLNNKMFDEVILLFYKMKDEDIIPPDYLTVTTALSACADLGSLEKGREIHIYALEKRLTSSMHVTTSLIDMYAKCGCLESFLIVFYKSQVRDVFCWNALLSGLAIHGYGVIALKVFDEMLQRTKPDDVTFIALLNACSHSGLVKEGQTLFNSMEIKYNVTPKIEHYGCLVDLLGRAGCLEHAYKVIDEMPLRPGKSILGALLGACVNHRDVVIGEKVVKILLQSYGDDGLNDGDYMMVSNLYASCECWHEADRWRAMMNDSGIVKTAGLSSITIGDRVHNFLAGNFDFEFS >cds-PLY79723.1 pep primary_assembly:Lsat_Salinas_v7:8:125034736:125037198:-1 gene:gene-LSAT_8X86120 transcript:rna-gnl|WGS:NBSK|LSAT_8X86120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVCFGWRQFLLFVTLVFFLTHFLSVMELHQDSNVKDPHQKKQKKFNHLVLGPPAGEGLPNRLQCKGTKALNKIQISTSSNISNGGGGVSFVTIFMVYNSSVDDVKSRDAATIVGRVSYNKVERSMAVLNTFINFIQLAMPESNMVILTDPASRLPLKRNRVTVYSVEGEYSRDKLMLQRIRSYIAFLEKRLEDHSREPTQKITNFIFTDSDISVVDDVAQIFNRYENFHLALTFRNNKQQPLNSGFIAVRGTPDGILRAKVFLEEVLNVYVTKYMKASRMLGDQLALYWVVKSHTSFDEKKFNKAEPFLEEIGGASVLFLPCLVYNWTPPEGAGQFHGMPLNVKVVHFKGSRKRLMLESWNFFKSSSSTEDHDVSVSVSHMLCLVLSSGRTKYDF >cds-PLY85812.1 pep primary_assembly:Lsat_Salinas_v7:8:173636637:173643721:1 gene:gene-LSAT_8X114301 transcript:rna-gnl|WGS:NBSK|LSAT_8X114301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHRCFHSYDSLLVTAVSATAKSVLFPPSLLVHRITHPQFMISPPPISYSADFDGDEMNVHLPQDEGHDYAMTMFGVDMIPHLSKTAPALDLFKAKFEVVMDEARSEQAASMTEFHWLGHKFPISNAKTRVSILKG >cds-PLY89741.1 pep primary_assembly:Lsat_Salinas_v7:1:58827689:58829059:1 gene:gene-LSAT_1X50801 transcript:rna-gnl|WGS:NBSK|LSAT_1X50801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDIRVCFRFAGIFSWFLHVYGGGLPKVLPEKTKGFEVVVWRINGRRGEREGKDEAGGLDSWRRNRVAEIERRLEKKLTMKEAGDDDCDGGRFWRRRPEFEEEAVELAVLAFVLWRRGRRAAKDYAYFGRRRTNK >cds-PLY94174.1 pep primary_assembly:Lsat_Salinas_v7:5:35314041:35315378:-1 gene:gene-LSAT_5X17180 transcript:rna-gnl|WGS:NBSK|LSAT_5X17180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVTTFASNKTPPPYPTTVSAATSHPETPKVHLKSIDRRRILASLAATITPFLAPNPHLNHEESPLPPVFLFPSADARGLFQMPPVRLSNRYFLVRAGESEFESLGIINTNPVAKTSVDNGLSKIGKKQAVKAALRLKEIGACDNGCWIWPSITQRAYQAAEIIAAINGVNRSNIVPEYSFLDARGLGAYEGKALQSVSEIYASDSVSTNIKPPPINDGTPNESVADVFVRVTQLMSILETQYSEDTVIIVSPDSDNLTILQAGLVGLDLRRHSELSFEPGEVRFFDPSSIPTYKQPASALYKCLNPPNCTRV >cds-PLY90285.1 pep primary_assembly:Lsat_Salinas_v7:2:198244190:198247496:-1 gene:gene-LSAT_2X119180 transcript:rna-gnl|WGS:NBSK|LSAT_2X119180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSFISSVDLSRLAVELERGTIWLSMEEGLLKSFGEWCNLGLLMVHGLDLDTKSVWVNMAKLDESKLQRWHYMLNYQSLN >cds-PLY88904.1 pep primary_assembly:Lsat_Salinas_v7:4:64606569:64607779:1 gene:gene-LSAT_4X45320 transcript:rna-gnl|WGS:NBSK|LSAT_4X45320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMSKSVTKSQNYGDGCTSGASPWSDLDHDLLYLVMMQLGAFDFIAFSGVCKSWRSLALSNKTRFMASRPPMPIWIFEQAIEKEYSAEDFDGRQVKMHIPKSGGKTCVGLTCGYLVMFGRKPREFWLMNPITRHGFRFPKVPSNLDPDPEEVRAILVFSPSISAWVFVVLCRYTSKIWFSIAGKREWNYVSSTSDIIDLLDFKGKIYAIDDDSCLYELRLDPKPKLMLLKTKNILESV >cds-PLY79840.1 pep primary_assembly:Lsat_Salinas_v7:8:18366362:18367169:-1 gene:gene-LSAT_8X14461 transcript:rna-gnl|WGS:NBSK|LSAT_8X14461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEETPSAAHLGDLPPTRLTRFEVDLVAGSLCPAGIKEEFSHTAYATNAYVAIGPLAKHVLHGKKSIMLEVSSGQMSGIGINNS >cds-PLY75573.1 pep primary_assembly:Lsat_Salinas_v7:9:33910906:33916538:1 gene:gene-LSAT_9X29980 transcript:rna-gnl|WGS:NBSK|LSAT_9X29980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G06590) UniProtKB/Swiss-Prot;Acc:Q8H1U4] MTGIVKPQSGFVITPHKVSICILLQVYAPSGQFSVPFPFASISQHNRLGSFLIALTKSCDDILEPTLDEFLKLLKEFGGLLDNWLSDHVTSRLSSLSSPDDLFTFFNELRGILAGPETSIVDDDQINLDPNSNLGMYIRRCLLAFNILSFEGMCHLLTNIKTYYKEAFSNCPPYEMTHLDDPSNDPEAPLEYENMDLENFVLQNFTEELESRKRVPFHNHSSKALFSLIEDITVSRGQKVKHSHRSPEGSPYMSSPTSALPNIESSDVILQRTNWQIQGYLSEQADMIEKLGSSFPMSAFESVLKLLQKVAPELHRVHFLRYLNSLYHDDYPAALENLHRYFDYSAGMEGSDFVPTSFGCTSNGRYEIALLSLGMAHFHLGHPKLALEVLTEAVRVCQQHSDDTCLAYTLTAICSLLSEVGISNMTGIMGASDSHVTNIGTSLSVQQQLFVLLRRSLKRADSLKLKRLHVQRPLLSFGPKASIQLRTSPTNVCKKLRSSAQLIHHFENENSVMTIDGALSTSWLKNQRKPTTLLVFPQENGSEDNFDTFYPWLQSSSVPGSVLQLVGSSYLIRITSWELYGSASLARSNALLFATCFADSSSWYSSADLALAYGKLIQHLAVYKGYKEAFVALKIAEAKFLSVSKSGILPVKLQLLHERALHRGHLKLAQQICDELGVLASCVAGVDMDLKTEASLRCARTLLAANQFSQAASIAHSLFCTCYKFNMHVENATVLLLLAEIHKRSGNAVLGIQYALASLSFCESFNLDLLRGSATLTLAELWLLLGSRHAKRALNLIHGAFPIILGQGGLELRSRAYILEAKCYLSDSSISVSEDPDIVLDSLRQACEGLEALEYHELAAEAYYLMAVVLDKCGEVEEREEAATHFKEHIMAFENPVKPEDPLHNLL >cds-PLY63995.1 pep primary_assembly:Lsat_Salinas_v7:4:13176221:13179538:-1 gene:gene-LSAT_4X8981 transcript:rna-gnl|WGS:NBSK|LSAT_4X8981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLPTWIGGRRPILMSTRPPPFDANLSGDMISINGWQETQSPSTSKPLKTPLLIFWSEKLLKREGMMDVSATGGSSRELSPEEEMLIIHSTSMAAEPLTNVGDTFCLINRSVCANIPIVVCGDKVDVKNRQVKAKQLSFHRKKNLQYYEISAKINYNAEKPFLHLSRKLLGNASRKE >cds-PLY77477.1 pep primary_assembly:Lsat_Salinas_v7:4:50917277:50928126:-1 gene:gene-LSAT_4X34040 transcript:rna-gnl|WGS:NBSK|LSAT_4X34040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEVWTAAATFVCAINDVGGVRRGRPVMERMRETGRQKMQETRNWRGYLREPLAATLMSTATSSRKASVTMSSLAKRQASEKVVGKSMALPPHLAKKRHVLANVTNQRPTSHNISKSPSNLLLEDTNASFDPDKPIISGFLQFLRALILYGYLIPISLYVSIDVVKFFQAMLMTNDLQLFDEMSGKSVEARTSNLNEELGKVTMILSDKTWTLTCNQIEFRKCSIEGISYSVDVNAIVRAASHRMNINIDSYRFNLVGEDSEPRDSIEMHEIFPDQYNEVKNNIFDDAKTMRNLGSGARECVADCIAKLAQVGLKIWLLTGDKKETVVNIGFACSLFRHDMKQFHLSLSRDAESKNQLKWFPRVMCSENSSHHFQLCVYRLHINHFLDVSSTASVSPALLFTALFLVSSTDSLCF >cds-PLY76628.1 pep primary_assembly:Lsat_Salinas_v7:4:117669316:117673055:-1 gene:gene-LSAT_4X73580 transcript:rna-gnl|WGS:NBSK|LSAT_4X73580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISIPSRQLFIDGEWKEPVRKNRIPVVNPATEQIIGDIPAATSEDVDIAVKAARKALKRNGGKDWATASGAHRAKYLRAIAAKITEKKPELAKLEAIDNGKPLDEAAWDIDDVAGCFEYNADLAEALDAKQNAPIDLPMDTFKCHVIREPIGVVGLITPWNYPLLMATWKIAPALAAGCAAILKPSELASVTCLELGEICREVGLPPGILNILTGLGPEAGAPLASHPDVDKIAFTGSSATGSKIMTAAAQNVKPVTLELGGKSPIVVFDDVDIDKAVEWTLFGCFWTNGQICSATSRLIVHESIAKEFLEKLVKWAKNIKISDPLEEGCRLGPVVSGGQYEKILKFVETAKSEGATISFGGKRPEHLKTGFFIEPTIISDVTTSMQIWREEVFGPVLCVKSFKTEEEAIELANDTHYGLGSAVISNDLDRCDRVTRAFEAGIVWVNCSQPCFSQAPWGGKKRSGFGRELGEWGLENYLSVKQVTRYISDDAWGWYTPPTPKL >cds-PLY74244.1 pep primary_assembly:Lsat_Salinas_v7:1:78622103:78622605:1 gene:gene-LSAT_1X66140 transcript:rna-gnl|WGS:NBSK|LSAT_1X66140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGGALRTAIVGLFILVISATAAAARDYAEFSLAPAPAPMEAGSAVPMTLSMVVVSASLLISLAGVIFH >cds-PLY96182.1 pep primary_assembly:Lsat_Salinas_v7:8:101942375:101952547:-1 gene:gene-LSAT_8X69561 transcript:rna-gnl|WGS:NBSK|LSAT_8X69561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFRVVGRRLLRSKLLPMFTPASTLHSHATSFGFKEVNEEEKSQLVGNVFTKVASNYDIMNDLMSGGLHRLWKDRLVSKLSPFPGMKHLDVAGGTGDVAFRILETINSVNRRAIEDTLEDDLQRETQIYVCDINPNMLDVGKKRAQQRGLGDQGSLIWVEGDAEKLNFEDDSMDGYTIAFGIRNVTHIEKVLAEAYRILKKGGRFLCLELSHVEAPVFKQLYDYYSFSVIPVVGELVAGDRDSYQYLVESVRRFPPQEVFASMIADAGFQKVEYENLVGGVVAIHSGLSKTSTAPSCRSVKSPPPHSVKMSSRPELQAPPEIFYDDVEARKYTSSSRIVGIQAELSERALELLALPDDDVPRLLLDIGCGSGLSGETISEHGHEWIGLDISKSMLDVALEREADGDLILGDMGQGLGIRPGVIDGAISISAVQWLCNADKSCHEPRLRLKAFFGSLYRCLGRGARAVFQLYPENNAQRELILSYAMRAGFAGGVVIDYPHSSKKRKEYLVLTCGPPSMSTTTPNAKGEDENICSDDDDDDDDGSEDEENQTVCISDRHRPRKKQRITKKGKTKSWVLQKKEKMRSKGNVVPPDSKYTARKRKARF >cds-PLY74317.1 pep primary_assembly:Lsat_Salinas_v7:9:187932756:187935930:-1 gene:gene-LSAT_9X115000 transcript:rna-gnl|WGS:NBSK|LSAT_9X115000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDSRILRGLRIAVCLGLSFSEDIETTIHIHASALLYLSQTRIMMEVDYMLSYGVAESSICLLHKYHILEILLPFQVVYISRQPSASKQSSMMLMQLPRLAHNEGGKLKEAALKFGVLTSEEFDAKFGKYELVQI >cds-PLY86517.1 pep primary_assembly:Lsat_Salinas_v7:7:75875902:75879090:-1 gene:gene-LSAT_7X53580 transcript:rna-gnl|WGS:NBSK|LSAT_7X53580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2-like ethylene-responsive transcription factor AIL5 [Source:Projected from Arabidopsis thaliana (AT5G57390) UniProtKB/Swiss-Prot;Acc:Q6PQQ3] MNMDTSQSHQNLNNNWLAFSLSNTNSLFQQPHATAFHHHHEGDANGTTTHHDLSVLTDGSPKLEDFLGGCGSAASNGSGPDVCHFQDESQTSIQPHDTTSIYDSELKTIATGFLRDFSTDNHQQQLAVVPTPLKQDNSPAKKAIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEDKAARAYDLAALKYWGPTTTTNFPVCNYEKELEEMKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVNSIANKNLPIGGMSSKSKTSNDQSLADEPTNTNIVSFAMPMKQDLPTDYWSSVLGYNQNQGMTSYQGTTPYSMEYPSSTSTTTNNGYYHGGGFIQQENNNGTVVALSNGSTVPVGAPVGLNGSSYGNWIEQSFHSNQPAKQNLSVFQTPIFGME >cds-PLY81640.1 pep primary_assembly:Lsat_Salinas_v7:1:111071448:111073525:1 gene:gene-LSAT_1X89721 transcript:rna-gnl|WGS:NBSK|LSAT_1X89721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METVLVNAETKPHVVFVPYPAQSHIKCMLKLARLLHHKGVHITFVNTEVNHKQLLNSGGPNSLDGEPGFQFKTIPDGVPEGTPNFMYAVTASILVNFLDPFLDLMGRIESPVTCILADGIMPFTVDAAEKLKIPIMHFWTFAACAFMGYYQAPVLIEKGLIPFKDESCFTNGYLDTVIDSIPGLEGFRLKDLPGFIRTTNPNDETYNFCIESIKATRKVSNIIIHTFEELESNVIKAFKSMIPHVYTIGPLELLLNPTKLEEETKKLDIKGYSLWKEEDGCLKWLQSKEPHSVVYVNFGSLISVSLEQLLEFGWGLANSNHYFLWVIRPDLVIGESASFPRELQELINERGFIASWCSQEEVLKHPSIGGFLTHCGWGSTIESLTAGVPMLCWPFLWDQLTNCRQMCMEWGVGMEIDHNVSRDEVERLTKELIGGDKGKRIRSKALEWKKKIEIATGPNGSSSLNIEKLANDINMFPRK >cds-PLY62335.1 pep primary_assembly:Lsat_Salinas_v7:4:87780984:87783597:-1 gene:gene-LSAT_4X57920 transcript:rna-gnl|WGS:NBSK|LSAT_4X57920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWDLTAGKLLHEFKLHEGHIKSMDFHPIEFLQQQHIEPYAHSMIAMEKAHVDPKTNLQESVIERIKTPRMSFIPSDDDTKHIKNIYVDSMTPFVSRKDGSNTNIQRRIFTNDVAIDSLEEKTPNSKSSSNHEVSVDSSNKRSTPVKCVAVSNRKTRNLVEKFEKKEKLGTDESQKPNTDNMYVNGDTVEQPPVNVVSCEILETATSPIKTPDNDMASLSVSEAKLSPVTLNTSPKAKALSVHRRHVASQRVMLEKVRSPPMAVAWRCRASSQVIPERTRIRTRTSPLPAVPRQITSTTQMTIEKHKFSPLLVVGYFWARNETRGAINALQKLPDHAVHVDVISVMLENTECLNLDHLSCLLPLLLGFLDSNTERHINVSLEMLLKLVAVFGRLITSTISAPPTVGVDLHAEKRQGGLTARSAQELNLVLQLP >cds-PLY93843.1 pep primary_assembly:Lsat_Salinas_v7:6:142182148:142184842:1 gene:gene-LSAT_6X84820 transcript:rna-gnl|WGS:NBSK|LSAT_6X84820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLRSTSMFLLVLFLFPFHSFPLSTSSRWIVDQISGYRVKLTCINWPGHLHVMIPEGLNKKPVKAIVSDISHTMGFNCVRLTWATYMYTRYSNVTVSESLDRWNLTGAKEGVRKNNPELLEMSVVEAQNAVVNELGKGKLMVVLDNHVSLPEWCCGENDGNGFFGDEFFDPDEWLQGLVAVARRYNSNPSVVAMSMRNELRGPHQNVASWYKYMQQGAVSIHQENPDILVIFSGLSYDTNLKFLKSEPLSINLDNKLVFESHWYPFGQPSDKWIFQTNEYCANVTKWFMENSGFLFLTDKNSLPLFLSEFGLDQRGENEMENRYFICLLMTMAENDIDWALWQLPGSFMLREGEVEKEDVFGMYDFKWKKLRNSTILEKLQFVQTKIQGNVESSNQTSYIIYHPLSGKCVKAGNNLSMTKCQQATRWDHLHDGGAIQLAKKTKHCLTTIKQGLPPIISDHECSSPQSLWNVVSSSKHHLASKDSQGNDVCLEVDLSTSKVVTNKCLCLDDNLRDVPKCEENPQRQWLKLIPTN >cds-PLY76738.1 pep primary_assembly:Lsat_Salinas_v7:7:62030227:62031472:-1 gene:gene-LSAT_7X44120 transcript:rna-gnl|WGS:NBSK|LSAT_7X44120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSPPTTEPKTKSLDMPLHAIGFEIDELSAQKVTGRLQVTEKSCQPFKVLHGGVSALIAEALASMGAHMASGWKRVAGIQLSINHLKRADIGDLVFAEATPLHVGNTIQVWEVRLWKIDPRNSESRLLISSSRVTLLTNLPVPDNAKNAAQNLKKYAKL >cds-PLY87400.1 pep primary_assembly:Lsat_Salinas_v7:4:12646533:12656160:1 gene:gene-LSAT_4X9500 transcript:rna-gnl|WGS:NBSK|LSAT_4X9500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVRNATSGCNVFSVIGFGVAIGILKGTSAPPIAFTSHSSNGCRLRHSLVRTFYNKHNVSWISKDLLLATSFPCIKVMIISLMLLGKNGKSRRLKG >cds-PLY87730.1 pep primary_assembly:Lsat_Salinas_v7:1:5336783:5337043:-1 gene:gene-LSAT_1X4300 transcript:rna-gnl|WGS:NBSK|LSAT_1X4300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTHEELSWRTFPRVNTAPLALSHGVEWTALRVITTNEICFFQTVTKMVVVLEGSCQKSSMCLPEKEITTCKLEKRRRKEEAAMFR >cds-PLY82744.1 pep primary_assembly:Lsat_Salinas_v7:2:146746643:146747725:1 gene:gene-LSAT_2X72960 transcript:rna-gnl|WGS:NBSK|LSAT_2X72960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYRTLDLTLISAKGIKKPSLTGKPDVYAVAYISGTTNKQKLKTHVDKDGGSNPTWNFPMKFTVDEAAGLQNRLTLVVEIKAVGTFSDKNLGEVRVPVKELLEGASTQQLVSTYQVRKHSGKPNGFLSFSYKFGEKFSGKAEEPVMAYPPGMAVGSSAAYAPPYEAAGRYYQQAPAATGYPGYAYQHQQQPGYGGYPPPPPPGYGGYPPQQGYGYPAAVQQPPKKNKLGMGLGAGLLGGALGGLLIGDIVSDGGGGCGGGGCGGGGCGGF >cds-PLY82596.1 pep primary_assembly:Lsat_Salinas_v7:2:188059098:188062088:-1 gene:gene-LSAT_2X109741 transcript:rna-gnl|WGS:NBSK|LSAT_2X109741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLSVDQSGLMSKYAENDGGKQFLEVCGSGGRLEYSGGTSNSSVVNVEISSNAGDEEHSYSCPDLFAYSFDILKPSNREDDRDFRSGTVVTKQLLPESDRDGGLQRHQWLDVVDGSVADERREFMLRQQQRQQVRKSRRGPRPRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNISDYEEDLKQIKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEIEAARAYDKAAIKCNGREAVTNFEPSSYEGELTLVTNNGDGASMDLNLGIGPPCLPLDNKANQTSGSLNQINWLLGDMSEDRRTRIENHSTPMTLGTQSGVGFFPVYQGTATEKGMECPTKNWAWQFQGPHLFAAASSGFGNSTNNASSSSSSSASSTAVPFLTYHHYNPSIRSGTGEYYFRS >cds-PLY90375.1 pep primary_assembly:Lsat_Salinas_v7:7:187182476:187183770:1 gene:gene-LSAT_7X111641 transcript:rna-gnl|WGS:NBSK|LSAT_7X111641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWYDETAPNFFDNYGMKMPPGYDITTPLSRSSSWKTSFPERFGLSEMVVPTSPTGFNSIPIGSDYQKIEKDDYFCSSGGYSTNSWSSYPTATANWEIENQKMSTEIEEPTLKIGRYSVEERKDKIMKYLKKRNQRNFNKTIKYECRKTLADKRIRVRGRFAKNKNDHHHHHLHDHHQPCEDQVLPNINTNSNPCEEDIQQLYTNNFLMKQDYDEEEAMASLLYSP >cds-PLY81937.1 pep primary_assembly:Lsat_Salinas_v7:MU041619.1:16134:19559:1 gene:gene-LSAT_0X39700 transcript:rna-gnl|WGS:NBSK|LSAT_0X39700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHGSAQVVVGSSDGIIHMFSVDYVSRGLGTVVEKYSGIADVKQNGIGEGAILTLLNYSSHGDDGKMIHNCGIHLSDTRQNSNAWNTKVIPEEGYVSTLVTSPCGNWFVSGSSIGGLTLWDLRFGIPVNSWQYSVPCPVEDMCPFAPPQSTTLSTTVRPLVYVAAGCNEVSLWNAENGSYPQVLRVANNESDGEISDMPWALARASTSTSSKTNSKGDSRRNVNYKCRVDELNEPPARSHGIRSLLPLPGGDLLTGGTDLKIRRWDHCRGHQRVYLGITRFTIDHVNSHIQITKGAIKYKFMGKHKESICGS >cds-PLY74037.1 pep primary_assembly:Lsat_Salinas_v7:7:10359522:10361312:1 gene:gene-LSAT_7X9020 transcript:rna-gnl|WGS:NBSK|LSAT_7X9020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELGAAATSKPPQISEMFQKFAIAFKAKTFEFFTEEEESAANSAAVSAAVSDTEDGFTLLDSTEDFITDQKVIIIKPDLNLKSQNQSVSASIIQPFNTHFGNYLISSVFAVISSFEASYLQLQTAHVPFDEEGIMINDKALVNQLQKLYDLRGLYIDSRKNPDFNLDFTTGSCLEAQVNENQNKLRALETMFNRLQSDIDGKGDKVSMLKKQLAGIEECNSKLSIKLSKNLKPPNGFLPTIRVFDSMLRDACRSSHQFTKLLIQLMKKAGWDLDLAANYVHPDVTYARKGHNRYAFLSYVSLGMFQGFDSENFGVIGSESSDNSMELFDHVSVNPLETLNKNPSFPFSKYCERKYEELVHPTMESSIFKNFDIKKEVLDSWKSLSVFYEAFVNMSSSMWLLHKLARSFTPPVEIFQVEKGVDFSMVYMEDVTKKDKYHHDKGLSKVGFTVVPGFKIGRTVVQSQVYLSGSKHTK >cds-PLY66730.1 pep primary_assembly:Lsat_Salinas_v7:4:79972037:79972288:1 gene:gene-LSAT_4X54560 transcript:rna-gnl|WGS:NBSK|LSAT_4X54560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTSTTSDVDGSGDIVVQQLIRRQGRRRCCGDRWSASSGRSRENSGDRGKHQPASTVLEVGTVGTVGSIENGNQEGGSEYVEP >cds-PLY86289.1 pep primary_assembly:Lsat_Salinas_v7:8:56703898:56704885:1 gene:gene-LSAT_8X41400 transcript:rna-gnl|WGS:NBSK|LSAT_8X41400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCTISVADVLLWRNTRVSASLLLGTTTMWFLFEVYEYNFVSLLCNLAIIGMLTIYVTYTMAKYTQWDLPDFEEITIQEAAFKWLYKKTNRLLLEFYYTASGEDLIRFFMTLISLWMISVIGSHFSSLNLIYLCFLCVGTLPALYQRYEKEVDYLVNKAIRDMKIVLKQFDSNVLSKIPRGQVKEKKRK >cds-PLY94581.1 pep primary_assembly:Lsat_Salinas_v7:8:180802851:180808135:-1 gene:gene-LSAT_8X117260 transcript:rna-gnl|WGS:NBSK|LSAT_8X117260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKPRGENSNDSVDSLWNSGRIDWRRRPIIESENGEKNGSLIVLSLDVVAGMIVVERIAGAVLVCQTCGDKGFTNAYVYCIKCLKFVIHRYCLNVIPKSFDEFVEWACEDCQQPLSNPFTSHKFNPSRSDKQNAAIAPQVKTKKQKKKPKKKPKKKRKLAVSPSNSLKEFRLKKCILALEAPLKREDKRKRDESLSGVERNENKTQKMVDSNSNKTQKMVDSNSNEHSCCDSSSTDVNLKNKTGIQEPETSPEKFHSFENHPTNQTPYDLQAETANQMHNEQEIRTDKTSADVTQSTGVENNVEYIPYQPAQPVQEPVWRGSFDITQTDYNLFEGFVGHLSNKACFKVCQEANVLPSLLSLEMHPKTVLWPKSFLESQPSDENIALYFFPGDTKNERDYEDLVSDMIDEELAMTAPAKNADLLIFTSRVLPRPFWRFQGKNYLWGVFRRKKNDLEGSNNSEKNPVVAEDFPHKVTGGKEILTKVKTFDSQSPQSPLCNYRYIFYSSATFCSIEFTYNIKRYKCKKKQCTFFFCNIAF >cds-PLY96990.1 pep primary_assembly:Lsat_Salinas_v7:2:33845520:33847802:1 gene:gene-LSAT_2X15441 transcript:rna-gnl|WGS:NBSK|LSAT_2X15441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFPTCSAEELTPLLGLAANATAAADYICTRFTATSDKFSSTTYAIDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGISYYIFGFAFAFGGPSNGFIGKHYFGLKSIPSSVFDYSFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPIVSHWVWSSDGWASASRTSGSLLFGSGAIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFDRSGRSVVLRGHSGSLVVLGTFLLWFGWYGFNPGSFLTISKSYGTSDVFYGQWSAVGRTAVTTTLAGCTAGLTTLFSKRLLVGHWNVTDVCNGLLGGLAAITSGCAVVEPWAAIVCGFVAAWVLIGFNKLAEKLKYDDPLEAAQLHGGCGSWGLLFTGLFAKKQYVHEVYATGRPYGLLMGGGGKLFASQIILILVIIGWVTATMGPLFFILKKLKLLRVSKEDEMAGMDMTRHGGFAYVYHDEDGSSHPPPGFMMRRVEPSSASPTPNNDSTSNVV >cds-PLY77782.1 pep primary_assembly:Lsat_Salinas_v7:2:169304517:169305919:-1 gene:gene-LSAT_2X92300 transcript:rna-gnl|WGS:NBSK|LSAT_2X92300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSENTIRDLNILPKSENLETKETPNMVNGRVAEIEYIESEKLDDFEDVDKSLKMLLTGVDTKDWVLLCETLNNVRRFSIYHKEAIKDILERVITLIVKSLKNPRSAVCKTGIMTSADIFKAFGDQIIEFLDPLLLQLLLKSSQDKRFVCEAAEKALIALTTFVSAFLLLPKLQPYLKNRNPRVRAKASMCFSRSVPQLGVEEMKAYGMEKLIEIGVSQLSDQLPESREAARALILELHTAYEKYYFLATCEEEQQYSWEQFCELNLSKLSGQAVLRVTSAGRDS >cds-PLY75034.1 pep primary_assembly:Lsat_Salinas_v7:1:50180264:50187108:1 gene:gene-LSAT_1X43901 transcript:rna-gnl|WGS:NBSK|LSAT_1X43901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAPEKLATSSNNSMQRVKVYRLNDDGKWDDQGTGHVTVDYLERSEELGLFVVDEEDNETLLMHRICSDDIYRKQEDTIISWRDSEFSSEIALSFQETTGCSYIWDHICNLQRNLHFDTIRNDSYHGANNELRELPAVELSTLPSILKVVVDGSVTDQLCVTELILHDQIFFRKLMDLFRVCEDLENEDGLHMIYKIVKGIILLNSPQIFEKIFSDELIMDIIGCLEYDMETPHVHHRNFLKDHVVFKEAIPIKDPLALSKIHQTYRIGYLKDVVLPRVLDESTLASLNSMIHSNNGMVVTLLKDDTTFIKELFARLKSPTTSPDSKRNLVFFLQEFCTLSKSLQVVQQLRLFRDLVNEGIFSVITDILQSEDKKLVLTGTDILIFSLNQDPTMLRSYVSRQEGVPLLGLLVKGMLTDFGDDMHCQFLEIIRSLLDSFSSGGQVRQRDAIVEIFYEKHLDEVVNVITSSCPPNGSKNSAKPEILMNICDLLCFCVLHHPYRIKCNFLLNGVVDKVLHLTRRKEKYLVVAAIRFIRTLISHNDEHLMSYIVKNNVFKPIVDAFISNGSRYNLLNSSVLELFEHIRKENIKTLLKYLIDTFWNELAKFDSLPSIQSLKLRYDQAQEHAANNQNNNTETRKRVDERGLEKEEEDYFNEDSDEEDSASMTRGSRIRTRPGPGVSNGSGGLVDYEDDEDDEDYKPPPKKQVEEDEGTLEFRLKRKFLAKKEETDIIKKRKLLGKTQTQTQTQKPKESVFATLCSTLSQTVLPTTDNKSTNEENKSEESEAGKGVAQL >cds-PLY65470.1 pep primary_assembly:Lsat_Salinas_v7:2:63926955:63928529:-1 gene:gene-LSAT_2X29300 transcript:rna-gnl|WGS:NBSK|LSAT_2X29300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSDLELGAGDSLCHSVQFLLDCKEKGRKLGNHGLASIFMVPRVCRDHSPSSFNPRVVSIGPLHRQDKNLQEFEVQKTTYLHHLLDLSGCNPEKTLQECVHKVCRKIELVKACYAESMIYNDEELVRMMVIDACFILDFIHLLSEDDGPFPVSMLIAPSIVNDMVLIENQIPFFVLKDIFESTILQFKPKTTLTNHIKILLKHYNIFGANLVQHNLKLDTTHDHILGFLHKCFQPVHDIPTGSRTHPKRHMTMDLDRAGVNFRPNEDANWAMAMKLELPRFSCFPWFWCKPTLRMPKVTLNDDSEPVLRNLITYEQSSLVPNYVTSYVCAMDMLIDTPDDVAKLVKSGVIANKLCSNEKAVNMINSVCKDVALLEFFYHQEWQELDIYYNSYWPNAAAGLKRTYFSSPWNMIALFAGIVLFVLTVVQTIFTIKAANPMIVIKH >cds-PLY91534.1 pep primary_assembly:Lsat_Salinas_v7:1:12063788:12064318:1 gene:gene-LSAT_1X10160 transcript:rna-gnl|WGS:NBSK|LSAT_1X10160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLHKTEEEGRVSLDYLLGLNEKHESWRLPFETGNHVNKLPPHIDASSHPSIKDQVPALVLDCEPNIDFSKDIEAKHQYARQVAEFFEFVKKAKEVLESGSSQS >cds-PLY70224.1 pep primary_assembly:Lsat_Salinas_v7:9:330602:334518:1 gene:gene-LSAT_9X5361 transcript:rna-gnl|WGS:NBSK|LSAT_9X5361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIHVGSSSGLSTSDPEDEYVRSIALFATLLCACIVIGHLLEKTRWINQSVTALLIGLATGCIILLTSGGKNSRILEFQEEFFFMYLLPPIIFNAGFQVKKKQFFRNFMTITLFGAIGTLISFAIISYGAKVLFPKLDIGYLEIKDYLALGAIFSATDSVCALQVLNQEETPLLYSLVFGEGVVNDATSVVLFNAITSFDLNNFNATEALTFAYSFLTLFVLSTLLGIFVGLLCSFIIRTLYFGRHSTDREIALMMLMAYLSYITAEMFELSGILTVFFCGIVMSHYAWHNITLSSQVTTKHTFATMSYISEVFIFLYVGMDLLDIEKWRFIEDSPGKSFSASGILVGLIMVGRAAFVFPLSLFSNFIRKNRNEKIKIKQQVTVWWAGLMRGAVSVALAYKKFTGSGQTIQPANALLITSTITVVLFSTVVFGLMTKPIIRWLLPDETDPSTPNSSSGMPLLQNGHGHEEGGNENRPSRKRLPDTPPNMVHQYWRKFDDAFMRPVFGGRGFVSQATGTRDMGVIH >cds-PLY67145.1 pep primary_assembly:Lsat_Salinas_v7:5:291387240:291388577:-1 gene:gene-LSAT_5X155380 transcript:rna-gnl|WGS:NBSK|LSAT_5X155380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQIRLSNKAATSESGGGAKLPSGETVTVACPDHLVLAELPVAKSLGSASGVTLVKTVGRRSRRHLGERVHFCVQCDFPIAIYGRLIPCEHAFCLDCARSDSICYLCDERIQKIQTIKMMEGILICAAPHCLKSFLKKTDFEAHVYAIHSDLINSNTQKEVNNESEPLRKPTTSDSTVQAPTPRPVQDGLQPPPMVPVRPLMNPKPNPQPPMDNRPHGFDGPSYEYPMNMNMSMGMSMSMPPNFVVPVNVMGGGPASFGQPMYVGPPETGPWNGAPFDPSMMMNQGGGGGGVGFFQGGLLQGGNGVGVGNDLRDGRGILPPPPPPFVGGGYFGGGGGDMGQQHGQGQGYGWQQEKRDAFNGGQD >cds-PLY66298.1 pep primary_assembly:Lsat_Salinas_v7:8:219470112:219470396:1 gene:gene-LSAT_8X135541 transcript:rna-gnl|WGS:NBSK|LSAT_8X135541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGIRGRFVKDLSDLGRDLKKAVIVDDNPNSYGFQPENAIPIRPFTDDLGDDELKKFMSRFFTNYNKFEDLRDVMWDYLGDGIQNSKSETLFFE >cds-PLY78140.1 pep primary_assembly:Lsat_Salinas_v7:2:62866903:62870226:-1 gene:gene-LSAT_2X26980 transcript:rna-gnl|WGS:NBSK|LSAT_2X26980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADALVTVAAEAILKKVASIAANEIALAWGYKEKLYTLEGTLKMIRAKLQDAEIQKGQKHGVMEWLKQLKDVVAEADDVVDEVHYEMLRREVKKRDRVAIKPGPVVPYRPYPETDPNLGEFKIVGRGDDEGRIIHLLTESRKEEKLTIVPIVGMGGMGKTTLAQCVYNNPKIQQHFDVKAWLCVSVKVDINTLLAKIYESLAREKPKSETIVNLVSDLEEKLASKRYLLVLDDVWDEERLYWEDFRSVMINVKSQIGSSILVTTRKLNIGTKAMAMDSCPLKGLSDDYCWHIFKDRAFLPGQSPQPELEEIGRDIVKKCCGLPLLVKVIGGMLQNYIDPEKWLSIKNSKVWDLEDERDRVEKSLQLSFDNLPNSMAKQCFAYCSIFEKDTLMEREELVQLWMALGLVQADYTRGKEMEDVGNDIFQILVSNSLFQDVQRDEYGHITHCRMHDLMHDLSLSLSKHESLCHVVGAANDDMSRIYHIDHVKHLAFYKKREDDEFEAEASMFIERDMMARTLHTLFLIGLYEKNLSFQRFKCMRILKLEDYKIEKLGDSIGELVHLRYLDLLYTYITALPESIGKLYHLQTLKLPDIIEQLPESMRNLISLRYFIYEGNIPSNIVGQWILIRNLPSFIVLRRKGHGIEELRHLNNLGGKLRIGGLENVRSKEDAVKADLSRKKNLYDIEFNWTLKDEAAKRNNEDVLEGLQPPQDVKILRINNFCGDSFPDWVTKMAIHIEPKWTPLDKIVSIRLEGCRNCLSLPTLEHLPHLRDLVLREMDSLTCLRSSVVSGSTNPLSPSLRTLRLRSMKRLEKWIDGATNSSKMISPVLEKLGITHCPKIILIDECHPHPLVSLDIFDCSGLVSIKSIQGLTCLLTLKISRCDSLLGITNLPNECHSLKTLFINHCNKLTSLPHEMFDCFAFLNELRVGPFSKALDSFPSLQGIEKLRNHLHSLTLTGWDHWESVPEEIQHFTSLMRLRIVGFGIQELPMWLTNMSSIRHLLFNDCKGLNKAKVRQGAPKKANIVELDNVEC >cds-PLY72054.1 pep primary_assembly:Lsat_Salinas_v7:2:204356920:204357081:1 gene:gene-LSAT_2X126060 transcript:rna-gnl|WGS:NBSK|LSAT_2X126060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDFLANLRRRTNKSRRRGDWHWMNREGIVGGLTARRNKEKQKQWWWSVIWW >cds-PLY62334.1 pep primary_assembly:Lsat_Salinas_v7:4:86868609:86869080:-1 gene:gene-LSAT_4X58340 transcript:rna-gnl|WGS:NBSK|LSAT_4X58340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNSKQWVSPAAPNRVEAYLSLESDKNIAGNFGTFESAVLGVANADKLAELRRSRPKRARPTIPGPPPPKGSTIEHQKQIGLWAVKLPSVDATVVRRTLSILNTQRNAPPFGLQ >cds-PLY71853.1 pep primary_assembly:Lsat_Salinas_v7:3:59642479:59650894:-1 gene:gene-LSAT_3X46621 transcript:rna-gnl|WGS:NBSK|LSAT_3X46621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSCKIFYPIPFGVGAGVGRMKDVDLMAMHEGSSLYDLVQMGIITTHASIGVVVRLMEELSLVFSLIQQQFGS >cds-PLY92161.1 pep primary_assembly:Lsat_Salinas_v7:8:4930062:4937520:1 gene:gene-LSAT_8X3740 transcript:rna-gnl|WGS:NBSK|LSAT_8X3740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLRDLSHPIDVALLDATVAAFYGTGSKEERTAADHILRELQNNPDMWLQVVHILSNTQSMNTKFFSLQVLEGVIKYRWNVLPVEQRDGMKNYISDVIVKLSSSEGSFRQERLYVNKLNIILVQILKHEWPARWRSFIPDLVTAAKTSETICENCMAILKLLSEEVFDFSRGEMTQHKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLATLHAFLSWIPLGYIFESPLLETLLKFFPVPSYRNLTLQCLTEVAALNFGDFYNLQYVKMYNIFMVQLQTVLPTTTNIPDAYLNGSSDEQAFIQNLALFFTSFYKFHIRVLESTQENTAALLMGLEYLLSISYVDDTEVFKVCLDYWNSLVLELFEANHSLDNPAANANMMGLQMSMLPGMIDGHGTKLLQRRQLYAAPMSKLRLLMISRMAKPEEVLIVEDENGNIVRETLKDNDVLVQYKIMRETLIYLSHLDHDDTEKQMLKKLSKQLNGEDWTWNNLNTLCWAIGSISGSMMEDQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGESEPFVSELLTTLPTTIADLEPHQIHTFYESVGCMIQAESEVSKREEYLQRLMHLPNQKWTEIIGHARGSVDFLKDQDVIRTVLNILQTNTSAATALGTHFLSQITLIFLDMLNVYKMYSELISSNIAEGGPFASRTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKGAMIDDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFRALILLSPEQLKLVMDSVMWAFRHTERNIAETGLNLLLEMLKNFQESEFCNQFFRSYFVVIVQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGSLTEPLWDASTISYPYPNNGIFVREYTIKLLCGSFPNIPASEVTRFVNEGLFESKNDLSTFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQKERERQRMLSIPGLIAPNEIIQDEMLDS >cds-PLY62874.1 pep primary_assembly:Lsat_Salinas_v7:9:129932965:129934288:-1 gene:gene-LSAT_9X83600 transcript:rna-gnl|WGS:NBSK|LSAT_9X83600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRAYVLMISMMMPIFLASFVSADPDLLQDVCVADLSSDIKLHGFPCKSNITADDFFFAGLAKAALTNNTFGATVTPAFVQQVPGLNTLGVAMARIDYAPGGLNPPHTHPRATEIVFVLTGELDVGFITTANKLFTKTIKMGGVFTFPRGLIHFQINNGKVPAAVIAGFNSQLPGTQRTADALFGSSPAVEDVVLTKAFQIGTKEVEKIKSRFAPMNKK >cds-PLY87864.1 pep primary_assembly:Lsat_Salinas_v7:3:44137724:44138607:-1 gene:gene-LSAT_0X8901 transcript:rna-gnl|WGS:NBSK|LSAT_0X8901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEGIYQGTTNTLLPLLDRKFPELSVTREICEEIRMVQSTLVFWGLPSSTPIEILTNRSAIAKLNNKSKSDYTRTPIPIRGLKKIWRKLMQNDESALLMINPFGGRMTDFSETTLPYPHRAGVLLQILKTVNFNGQPSDTTPTSLKRVAWLGSLDALLAPYVSNNPREAYSNYNDLDLGVGSSNYEEASVWGERYWKRDNFKKLIRIKAKVDPHNFFRRPQSIPVF >cds-PLY75741.1 pep primary_assembly:Lsat_Salinas_v7:4:334360969:334362302:-1 gene:gene-LSAT_4X165741 transcript:rna-gnl|WGS:NBSK|LSAT_4X165741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWVRGKTIGHGSFAAVSLAKPINQSSDFPPLMAVKSCGVSHCDSLINERMILEELKDCPEIIGCYGDSMTIENGQRLYNVALEYASGGALSEKVKNSGGLRLSENEIRRYTNSILKGLHFIHQNGYVHCDIKLQNVLLFCDGGKDAVKIADFGLAKKTTGSGELNSKYEIRGSPMYMAPETVVGGEQESASDIWALGCLVTEMITGNPVWNCSDIGNLLMKIGVGAEIPEIPGKLSEEGKDFIGKCFLKDPRKRWTAEMLLNHPFVNTVSFKEETENQISPRDPFDFPDWESEQSCLVTPESSPEFNCWFGEQDEFQSGSPSIPPATRLGQLLTDQKPNWSVSNSWVKVR >cds-PLY88385.1 pep primary_assembly:Lsat_Salinas_v7:5:62681441:62682816:-1 gene:gene-LSAT_5X29981 transcript:rna-gnl|WGS:NBSK|LSAT_5X29981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWEGGSSSMTVAEGGGGGGGGRRKPSWRERENNRRRERRRRAIAANIYNGLRAQGNYDLPKHCDNNEVLKALCKEAGWVVLPDGTTFRKGCKPPPAIEIGSTSTNTTPCSSRKPSPPSSSFPSPSHFDMNQSASHHPFTFFRDSIPSSLPPLRISNSAPVTPPLSSPTSKPPQNNHLIWESFTKQSIPSFNLPYFASSAPTSPTRYQRFTPFTIPECDESGCSTLDSCQWVSRPSSPTFHLVRPMAPEISTMEKGKGMELEFGNGVNAWEGERIHDVGLDDLELTLGSGNAK >cds-PLY70207.1 pep primary_assembly:Lsat_Salinas_v7:9:1864921:1890359:1 gene:gene-LSAT_9X3581 transcript:rna-gnl|WGS:NBSK|LSAT_9X3581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome-recycling factor, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63190) UniProtKB/Swiss-Prot;Acc:Q9M1X0] MNGLFFLQLQLPTVLLLAVPDVYLQLHLLIYKQQTHRHLEATIGTTTGLPDVCVCARDNRGMIGSNDRKYRQGRDPIIKCATMEEIEAEKSLIEKNARERMDKAIDSVLSNFNSIRTGRSNPAMLDKVEVEYYGSPVNLKTIAQISTPDSSSLLVQPYDKSSLKSIEKAIVNSDLGLTPNNDGEVIRLSIPQLTSERRKELSKIVAKQAEDGKVAIRNIRRDAIKSYDKLEKEKKLSEDNVKDLSADLQKVTDEYMKKIESIFKQKEKFIETGYSDEAGLRMNMYIDHGNEPVLDWADMEIVEDDEGHYSEEDPDDDKDSQLYDDIPYEHEADDYVPSLDKTIGDEFLHRVSGMCKDINDEAETDEVEIKNGDDKPVYPVHNENQKWDKMVPILGCCSGRHQASPPALPATVAFCVPEYLYKNDNLTS >cds-PLY66868.1 pep primary_assembly:Lsat_Salinas_v7:7:20911349:20912579:1 gene:gene-LSAT_7X17661 transcript:rna-gnl|WGS:NBSK|LSAT_7X17661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFSTFPPSWHSFFTSPLLFPYQFIPGNYVHWTETPESHIYSADIPGVKKEEICVEVEDSRYLIIRTESADDTVMTPGGRTFMKKFRLPDTIDVNGISACYENGVLTVTVPRSFVRRGFYIEPADLPQHTEVLARAA >cds-PLY67347.1 pep primary_assembly:Lsat_Salinas_v7:4:19179055:19182270:-1 gene:gene-LSAT_4X13621 transcript:rna-gnl|WGS:NBSK|LSAT_4X13621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFESNNISEDNNNNHGWQKVTYAKKNRKNQPKQQVPQPKALPNGSVVAGNDNVFTAIEKKSEERRKVIEAQRLSIYDPAPPPVKSSRKKNYSDYEDSDEEVANGVAGNDDVEEKKKKKPKKVKKPKVTIAEAAEKIDVDDLASFLLEVTTSFEAQQDIQLMRFADYFGRAFSSVTASQFPWVKLLRESPVAKVADNPVSHIPEAVYKTSVDWINKLSMEALSSFLLWSLDSILADFAIQQGGSKGSKKVAQKTPSKSQVGLFVVLAMVLRRKPDTLITVLPSLNETPKYLGQDKLPIIVWMVVQASQGDLAVGLYLWSHLILPIVGTKSGSNPQTRDLILQLVERILSAPKAMAILVNGAVRKGERLMPPSALDLLLRVTFPSSSARVKATERFEAVYPTLKKVALAGSHGSKAMKQVSQQILTVSLKASGEGIPELSREASSIFIWCLTQNPDCCKQWEKVYLDNLEASIVVLKRLNEQWKELSLNKPSLESLTQTLRSFKTKNEKGMKDGEKSSDQALYKEADKYCKALLGRLSRGWGCVKATVFLVVAVGVGATFLPSNTLESLDWNKLSEMLNIQQFV >cds-PLY87205.1 pep primary_assembly:Lsat_Salinas_v7:4:308164350:308166163:-1 gene:gene-LSAT_4X155980 transcript:rna-gnl|WGS:NBSK|LSAT_4X155980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYLFITILLLLASFLFASHFRRKLSNLPPTLFPTLPLIGHLHLLKKPLYRTFAAISAKHGPILLLRFGFRRVLVVSSRSASEECFTKNDIIFANRPRLLAGKILGSNYTSIGWSSYGDHWRNLRRISTIEIFSSHRLNDLRDIRADEGRLMIRRLMSECSSPVNFKSVFHEMKLNLMMRMISGKRYFGGSGELEEEGKQFQEMVKETALVADTSNLGDHLPIMRWFGMKGLEKKMIKLQKKRDAFFQELIEQQRKLDGIELENKKNNTMIEVLLQLQKTDPEYYTDEIITSFCLNLLTAGTDTSASTSEWALSLLLNHPHVIKKAQKEIDNHVGKNRLVNESDMSSLPYIRCIVNETLRMYPTVPLLVPHESSEDCVVGGFHIPRGTMLLINQWAIHRDPDLWSDPERFQPERFESVESTKDGFRFMPFGSGRRSCPGEGLAMRMVCLTIGLLIQCFDWERIGEEMVDMKEGLGLTMPKAQALVAKCRPRPMTHDLISD >cds-PLY70539.1 pep primary_assembly:Lsat_Salinas_v7:1:76365282:76366364:1 gene:gene-LSAT_1X63680 transcript:rna-gnl|WGS:NBSK|LSAT_1X63680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRVLFNYIPSLRYSSDSLLLKTGDKLKQTRIFSSQDLVQFSKLSFDANPLHLDSEYAKKAGYTDRIVPGILVASLFPTIIASHFAGAVYASQTLHFRLPVYVDDEIIGEVEATNIREMKKKYVAKFATRCFNKNGVLVLDGEAMAVLPTLCPVQT >cds-PLY89225.1 pep primary_assembly:Lsat_Salinas_v7:5:311487724:311489773:1 gene:gene-LSAT_5X169381 transcript:rna-gnl|WGS:NBSK|LSAT_5X169381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALEDEVYDDHSSEFEQNYRCYPVSFIEKSHLEKGDKIIMPPSALDRLAYLQIDYPMLFELSNPSASKVSHCGVLEFVADEGLIYIPYWMMENMLLQEGDIVNVKNASLSKGTYVKLQPHTTDFLDISNPKAILETSLRSYSCLTTGDTIMVAYNNKKFYIDIVETKPSAAISIIETDCEVDFAPPLDYKEPERPPVKPKTQPEVEEEPDKIIPKFSPFSGSGRRLDGKPAEPPVQTVATTSDANGSTPSTSGSRKRSGTLVFGSNVDQKPNGKPKVMVKEAKQESSEKEEPKFQAFTGKKYSLK >cds-PLY67121.1 pep primary_assembly:Lsat_Salinas_v7:5:292029439:292030004:1 gene:gene-LSAT_5X155101 transcript:rna-gnl|WGS:NBSK|LSAT_5X155101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFISAEDPKPNDEDDLSNEIIFKDDNTLVGVVPILRTFNLLQKPSSSKLHYDFCLYPSSEESKKEENLEGNKHSSPPPEDTLKDDRKSESSTSDIGFSDENVANPFALLELRDNISTANSKVDKLDQKVAGLDMKLDQKAANLDSKMELILKSISEIQAAAP >cds-PLY94664.1 pep primary_assembly:Lsat_Salinas_v7:1:41159650:41160195:-1 gene:gene-LSAT_1X36581 transcript:rna-gnl|WGS:NBSK|LSAT_1X36581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLQEESNAIRDMESDTVNRIKKLLMIFVTVDFEIVKLIDIDGALEDLMVAEVEKWREREYKEKWLSEFKTKYAFSINSPTRFKIKAVFKSKLNEWQRLPYVKPCEQNESFGASSCGGIERFEKRVVRIHHELLSLVIEKMIEVE >cds-PLY92103.1 pep primary_assembly:Lsat_Salinas_v7:1:137006699:137007140:1 gene:gene-LSAT_1X100941 transcript:rna-gnl|WGS:NBSK|LSAT_1X100941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWNERIKGTKRNVEVWQALLVVRSLVFPPTDEDSETWLKFASLCRKSGRISQAKSTLIKLLQDLAIELSSSSGLQVSTPTGFGGVPHVSLMARVYLKLAGE >cds-PLY76043.1 pep primary_assembly:Lsat_Salinas_v7:5:320300912:320301694:1 gene:gene-LSAT_5X175980 transcript:rna-gnl|WGS:NBSK|LSAT_5X175980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYNLGIRIRERFQEQFSDEYLHDVYQIRASQIPRASASAVSFGMCMFSGRGELGVGKHRAFAFFSESCASDIMLRFHDCCKNYTAYRKQQQPDVHKLKEPVCKQLIQVLLRYFAGELVGIL >cds-PLY62416.1 pep primary_assembly:Lsat_Salinas_v7:5:36027573:36027944:1 gene:gene-LSAT_5X16521 transcript:rna-gnl|WGS:NBSK|LSAT_5X16521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNQLIRHGREEKRRTDRTRASDQCPQKQGVPPRVPTRTPKKPNSAPRKIAKVRLSNRHDIFAHIPGEGHNSQDHSIVLIKGGRVKDSPGVKSRCIRGVKDLLGISDRRKGRSKYGAEKPK >cds-PLY97227.1 pep primary_assembly:Lsat_Salinas_v7:4:95247277:95249640:1 gene:gene-LSAT_4X62761 transcript:rna-gnl|WGS:NBSK|LSAT_4X62761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSISSFWGPVTSSHEWCEKNYIYSSYVAEFYNTISNVPSILLALIGLFSSLLQRFEKRFSVLHLSNMALALGSVMYHATLQHVQQQSDETPMVWEMLLYIYILYSPDWHYRSTMPTFLFLYGISFAVLHSIIRFDMGFKLHYIMLCLLCIPRMYKYYIHTQDIAAKRLAKFYFATLLIGGVCWLGDRFWCGRISRWPVNPQGHALWHVFMGFNSYFANTFLMFCRAQQREWNPKVVRFLGVLPYVKIDKQKRE >cds-PLY72985.1 pep primary_assembly:Lsat_Salinas_v7:8:309574582:309581812:1 gene:gene-LSAT_8X167321 transcript:rna-gnl|WGS:NBSK|LSAT_8X167321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGQYLGEISALCFLHLPPPSPSLPYLLAGTGSQLLFYDLHTGNMIASFQVFKGVRVHGISCLPLTDTVTDTSLTFRVAVFGERRLKLCLFNIQLPHHNKQTIESVILQGSQFLAIGCTDNTLYFWDTLTSTISFQVSSPDRCLLYTMRIWGHRIDSLHVASGTIYNQIIVWKVVDTLPMGSKSKTGEYKAVPVCRLGGHEGSIFRITWSLDGSKLVSVSDDRSARIWEVHTGKRDSDDDTTEVTVSPSTGPVLFGHTARVWDCCMSDSLIITVGEDCTCRVWGLDGTQLRIIKEHIGRGVWRCLYDPSSSLLVTAGFDSAIKVHSCLPMGSELESERCNGLEEYERKQIFTIQIPNSKRTALMDSKSEYVRCMHLASECELYVATNNGFLYLAKISDTGDVAWTQLFCSQEEIPIVCMSVFPGCVENWIALGDGKGRLTVVRIADIQTPELNLSFTWPAEAERQLLGTYWCKSLGHRYIFTADPRGRLKLWKICKTFQSSSEKGTGHANASLVAEFTSCFPTRILCLDASFHEQVLVCGDLRGNLVLFPLLLDAPDASVAHIAPLNYFKGAHGISSVSSVSIHGLNPSTFEIHSTGGDGCICYMEYDGYKQKMEFIGMKQVKELSLVRSLFPNDNEGHNNYAIGFTSADYLIWNLSTETKVAEIPCGGWRRPHTYFLGDVPEMKNCFAFVKDEVIYMHKQWVASSDSRIYPQNLHLQFHGREIHSLCFIVDDATQLSKSSDEKQAQPFQSAFVATGCEDGTVRLTSYSWGIDNWSASKLLGEHVGGSAVRSLCCVFKVHTIVDETLDSDQGTGLLEDEFLLISVGAKRVLTAWKRKASFSSTSRPKTEEALPSSSISFQWLSSDLPTRNRGSKKENLNDVDTTPPPEKIKPQTQVCCSDALENDWRYLAVTAFLVKFSRSRMSVCFIVVSCSDATVTLRALVLPHRLWFDIALLVPSTSPVLSLEHVVVPDLATFKDKSEQMRSLFMVISGSTDGSIGFWDVSEAVEAFMRKVSVLHKEDCNNFQTRPRTGRGSQGGRQWRTLEKTSDNDLGCAASQEKEKEKEKEKEIDMIWPVHVVKNAHQSGVNCLHVSDVRGCESCFSCNVISGGDDQALHSLTFDVMGTDKSCKKDASSYYCLQNKYQIVFSHPHEIASAHCSAVKGVWTDGHWVFSTGLDQRVRCWRVSSVDGKLSEKAHLIVSVPEPEALDVRVCGRNRYQIAVAGRGMQMMEFLAPADS >cds-PLY80966.1 pep primary_assembly:Lsat_Salinas_v7:9:175364775:175366873:-1 gene:gene-LSAT_9X107961 transcript:rna-gnl|WGS:NBSK|LSAT_9X107961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol monophosphatase like 2 [Source:Projected from Arabidopsis thaliana (AT4G39120) TAIR;Acc:AT4G39120] MTTTRSTLSDGVGAVTSIEHSGSELDRFANVANKLADAAGDVIRKYFRKKFDIVDKEDLSPVTIADRAAEEAMISIIRESLPSHAIYGEENGWQCTEKSADYVWVLDPIDGTKSFITGKPVFGTLIALLHRGKPILGVIDQPILRERWVGITGRTTTLNGEEISTRNCPKLSQAYLYTTSPHLFSGDAVVAFARVRDKVKVPLYGCDCYAYALLASGHVDLVVESGLKPYDFLSLIPVIEGAGGVITDWKGNELSWVASSTSKPTSFNVVAAGNRQLHQQAVDCLEWE >cds-PLY63508.1 pep primary_assembly:Lsat_Salinas_v7:5:326824353:326828132:-1 gene:gene-LSAT_5X183260 transcript:rna-gnl|WGS:NBSK|LSAT_5X183260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALNLLFYIAFLSLLPLETTSTSTTQAQALIRWKRTLSSSSSDDALLDSWSSRNIQKLCNWTGIVCNEGGLVSKITLQDSSLSGTLTEFEFSSFPNLTHFDLSNNDVSGSIPSAIGNLTSIMFLDLSSNGFEDEIPSEIGRLTQLRYLNLNDNNLNGVIPFQISNLQKVWYLDLGGNYLTNPNWSDFSSMPALTFLNFYLNNLDNGFPDFILQCKKLTFLDLSINQFNGSLPESLFTNLNKIEYFNVSDNLFQGPLSKGFYNLTKLKDLRLGNNQFSGPILKDLDLMLNLEVIELFGNSFHGEIPSSIGYLRNLRYLDLHSNNLNSSIPSEIGFCSNLTFLALAGNSFSGELPFSLSNLTRISDLGLSENNFSGEISSDFISNWTQLVSLQVQNNLFTGKIPQEIGLLSNLSVLFLYNNSFTGPIPIEIGNLENLRSLDLSDNQISGSIPSTIGNLVNLEDMQLFSNDLNGTIPPEIGNLTSLVKLDLNTNRLSGELPKTLSKLSNLMVLSLFSNGFSGGIPADLGKNNLVLTNVSFSNNSFTGELPAELCSGFQFDQFTVNGNKFTGILPDCLRNCTGLRRIRLDGNRFSADISQTLGVHPNLTYINLRDNQFTGEINPQLGKLQDLTNLELGRNRISGKIPPELGDLLKLSVLSLEWNQLTGEIPSELNKLNNLFNLNLSNNQLNGQIPPAFGNLTNLQALDLSSNDLNGNIPTTIGNCVRLSSLNLSNNDLSGEVPYQIGNLFGLQYVLDLSSNSFSGRIPQDLAKLNTLQNLNLSHNHFSGRIPPGISTSMISLQSIDLSHNNLSGPIPNDGVFKKAPAESFTGNPYLCGSENGYLPCDGSASSKKSGNKKLLIAVLVPAVTFVFMATTIAIFCIIIRRRSKPIDEEIKSTTTIGNSETVIWERDGRFTFGDIVKATGNFNEMYCIGRGGFGSVYKAKLPTGQIVAVKRLHVSDSDDVPAINKKSFENEIHALTEVRHRNVIKLYGYCCREGGMHLVYEYLEKGSLGKVLYEEGRAISELDWITRVKIVQGLAHALAYLHHDCNPPVVHRDVSSNNILLESDMVPRLADFGTAKLLNPDSSNWTGVAGSYGYMAPELALTMRVTEKCDVYSFGVVSFEIMMGRHPGELLSSLQSSNTISTSQDNSNMLLKDVLDQRLLPPNDSVAEQVVFVLNVALACTRLAPESRPSMRAVAQELSASTQPCIIEPLDQIRIDKLSSFQK >cds-PLY89950.1 pep primary_assembly:Lsat_Salinas_v7:2:191957381:191960232:1 gene:gene-LSAT_2X113321 transcript:rna-gnl|WGS:NBSK|LSAT_2X113321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTIGANEYIRDGETIISSGEMFELGFFSPGNSKNRYLGIWYYKISQTVVWVANREIPLNNTSGVFKVSSQGSLLLLNGEGRDIWSSNSSVLVGNIHPVAQLQDNGNLIVRNESDIDHLSLIWQSFDHPCDHILPGMKFGKDLVSGRDIALSSWKSLDDPSPGLYVNWMDTNGYAWRLYKDDKTLELVSESLRKSCIVSEVLWCVHIGLLCVQNHVEDRPTMSSVVLMFGNEGMLPPPKQPAFFSELEPNSTSSKPGSVSVNEVTITSLDA >cds-PLY86616.1 pep primary_assembly:Lsat_Salinas_v7:1:4055330:4056755:-1 gene:gene-LSAT_1X3281 transcript:rna-gnl|WGS:NBSK|LSAT_1X3281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSARDQNVYMAKLAEQAERYDEMVEFMEKVTDELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVTVIKDYRSKIEAELSKICDGILKLLDSRLIPSASSGDSKVFYLKMKGDYHRYLAEFKTGGERKEAAESTLTAYKSAQDIANTELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQEDGADEIKEAAPKQSEEQQQQ >cds-PLY64042.1 pep primary_assembly:Lsat_Salinas_v7:8:93007230:93008907:1 gene:gene-LSAT_8X65840 transcript:rna-gnl|WGS:NBSK|LSAT_8X65840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRKILLSPYIRPYFYTQPPLPPPPPPPFVQAASSGSNFNDNVSPSVLLIIIILAVIFFISGLLHLLVRFLIKPSNRDPDEFDNVTALQGQLQQLFTLHDSGVDQSFIDTLPVFTYKSIIGVKNPFDCAVCLCEFEGDDQLRLLPKCSHAFHMDCIDTWLLSHSTCPLCRSNLLSDYAPNTYRSPFVLVLESGSNESSREIVAEPTIQRASSRFSVDESQSESDPVNSGQNEVKEEENKEKVVTVKLGKFKNVDGGGGGGGGGVGGGEKSNIDERRCFSMGSFEYVMDDNSSLQVSIRTQVKKLSHKKSNLPITPGHRPAISECGGDSRRDFKDFEAFRGGSIMKESFSVSKIWLRGKKEKPDSTPSAAIEPPSTTTFSLQFLLRQNVTGEEAKVSEMGYDSHEVQSCHSLDLPTSTSNQPSFAKRSLLWFMGKKNKVVHSSSSSMNV >cds-PLY65622.1 pep primary_assembly:Lsat_Salinas_v7:8:40045398:40049606:-1 gene:gene-LSAT_8X31241 transcript:rna-gnl|WGS:NBSK|LSAT_8X31241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIGFKKKMGRTVNLQSMPIDSKNYPKFVREIEKHLILPITLPQFISSSPHPRCQVDDNLQKFFTWYTDGIYDTEGWPQILKLKDWPPSNSFEERFPCHGVEFITSLPFKEYTHPCDGYLNLAVKVPEKSLKPYMGPKRYIGYGVSEELGRGESVTKLQRWHQRCYIFLLVQQVVIIDLCRSMLSDCCKTSIFDLHRSCPSCHYNLCLQCCWELRDGNPQGNKEEVIIEHKDPGPEYLHGNPKGFRKAWKKTCYVEKAAEHPAPKEKQTHDWNSLVDGRILCPPKSMGGCVIHPIHDQTFYLTMDHKRKLKEDFGIEAWSFVQKLGDAVLIPAGCAH >cds-PLY96102.1 pep primary_assembly:Lsat_Salinas_v7:3:96394684:96396133:1 gene:gene-LSAT_3X72261 transcript:rna-gnl|WGS:NBSK|LSAT_3X72261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSQTSSKNIITLRGSSAIVCEYFGYAANSILHLNGVYPEETFERVRKHELQLLISKKRGVKSYLSRLDKQISEWLEAGRLHEIELLIITKATNEVLQSWNFIIATDGEAAPKGLREKSDKEIMNEIIDVLRRISATYRVLPLLNEPCIFNVVAHIDMDGSHGTIAFKWKDSEHERSYMESFRAFSTKVLLFFSFHLHISINLWRLVVFKRLWLLLVQILKAASSVSFKKRKSDDQMHEVDTMVPKKKSKLDD >cds-PLY97737.1 pep primary_assembly:Lsat_Salinas_v7:1:20947492:20948987:1 gene:gene-LSAT_1X18980 transcript:rna-gnl|WGS:NBSK|LSAT_1X18980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSEKSKNLIIGGTGYIGKFVVEASVKAGHPTSVLVRESTVADPVKGKLIDNFKNSGVTLAYGDLYDHESLVKAIKQANVVISTVGKMQIPDQTKIIAAIKEAGNIKRFLPSEFGIDVDHQEAIEPAASMFSEKVQIRRAIEADGIPFTYIACNCFSGYFLPTLAHPGAAAVPPRDKVTIPGDGTPKAVFNEEHDIGTYTIKAVDDPRTLNKILYIKPPKNTCSLNELVSLWEKKIGKSLERTYVPEEQLLKLIQESAFPMNVALGVSHSIFVKGDITNFEIEERFGVEASELYPDVKYTCVDEYLDRFV >cds-PLY72153.1 pep primary_assembly:Lsat_Salinas_v7:7:59486709:59489150:-1 gene:gene-LSAT_7X42500 transcript:rna-gnl|WGS:NBSK|LSAT_7X42500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSTSSNSTSPERNYSNMDTQVFLNVYDLTPINSYSIWFGFGIFHSGIQVYGMEYGYGAHDFPISGVFEVEPKSCPGFVYRCSIPLGHVTKSPSEFREFIENVASEYHGDTYHLISKNCNHFTDDISQRLTGKSIPGWVNRLARLGAVCSCLLPESLQVSAVKQLPEYHNCEEDGNTSVSTTNAQEPPPPTEEEYDNDEQDKHLLPPPYNDVSFIKEVAR >cds-PLY81443.1 pep primary_assembly:Lsat_Salinas_v7:5:334432869:334439934:-1 gene:gene-LSAT_5X183921 transcript:rna-gnl|WGS:NBSK|LSAT_5X183921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCKKSRNNSIPEKSPSVGDPTENRKRKHDSTEPLNQTQVQKRPKRDVRLPSSLHDFYVEPSLKLTRKRKPRKIRTSGVCKMNASGNTPETHSDEISAFTPIPESELRKVLSALRKARGDKNVKIKKEPDMDMEMEMEKDSGDRLGEKSSNVIGYNYIDTTGDNFNEDICDICGGDDGQLICCDGCPATFHLSCLQIQTLPSDRWYCMYCSCKFCGGVVFDWQSCIPHWILSCCLCDDKFHKTCGETNVAKIDYGDLFLCGKTCHEIYARLQAMLGVKIGIGEGFSLTLLKRSEDRETDIKKIKCSSYKLAVALSVMNECFHPVVDIRSGVDIIRNVVYNCGVHGKMLAEMPFIGTRKVYRGQGMCRRLLHSVESVLSFLGVEELVIPVIPNLLGTWTTVFGFKPLEESTRQNMKSMSIVVFPGTYMLQKHIPQNQSTNWKLGRVADLCEIVGDAWSEDWNWRRIFLHSS >cds-PLY78258.1 pep primary_assembly:Lsat_Salinas_v7:1:129947645:129950288:-1 gene:gene-LSAT_1X96800 transcript:rna-gnl|WGS:NBSK|LSAT_1X96800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLKNALIAFLTPLPSILFYTSFLNNHPFLSPISSWCNHHPLLLANALFFFNIDVLFWLISLIQSSNWMIDVYWTVIPVMLLHYFQTHPASQFDLWRSRVAVALTWVWAVRLTHNYFRREKWQWGAREDWRFTDMAQQYGKNWWWISFFAVYLIQQVFLIGVCLPLYIIHTVNKPCNIWDIVAIFVCLSGIIIAYFSDTQLHTFVTKNEKLKEEGKPMVANLDEGLWYYSRHPNYFGEQLWWWGLGIFGWNLGFTWAFVGALVNSLCLAYVTILVERKMLKMEYRVEAYKMYQKRTSVWVPWFKWSLNQVPKEKTG >cds-PLY72313.1 pep primary_assembly:Lsat_Salinas_v7:4:61234688:61234972:1 gene:gene-LSAT_4X42321 transcript:rna-gnl|WGS:NBSK|LSAT_4X42321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNNNMMNYRPPHQSYPLPPIPNNVNPTKKDTKTYAEAVGKSRNTPQNNTQVSFIANLKSVPAMVRWNNSTLIGEVLNIDILTTITKLIKADGN >cds-PLY91605.1 pep primary_assembly:Lsat_Salinas_v7:8:71808259:71810448:-1 gene:gene-LSAT_0X36121 transcript:rna-gnl|WGS:NBSK|LSAT_0X36121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNWCGLGLHLIFLCVFLVANTYTCLGVRNTSVINCSQQERLALLKFKNSVRDRAGMLSSWVGNDCCLWRGIQCDGVSGNIQRLDLKGDYPYVYSYYYDYYLAGNSASSSLAELRHLKYLDLSGNNFHGIIPEFIGSLKQLTYLNLSHASFNGIIPPHIGNLSNLKVLDLSSNRGLIADDMAWAFGLSSLELLDLSSVVFTEAQDWGMVLHMMPSLIKLSLSWCGLSNADLGPFLNSSRILPNIQHLDLGYNSFKAPLPAFFQNMTSLAFLDLSYFNLSSGSNFAKLLNMIPSLSELHLSDCSLDMTHLSSHRLNFSTFFNIQHLDLSNNPLGGDFPSFLANMTSLRVLDLSETMLNSSLPIMPKLTGLDLSRNKFMQVEDVGIWRQCHLKQLTVAHNEFGMEMIDSPKNISECSHYALEYMELRDCLSGRIPETLGRLGNLRHLDLSQNGLTGSIPESVTGLRFLQVLYLYENQLTGPIPEFLGNLTQLDLSSNQLNGSIPESLGKLAALTDLSVESNLLNGTIPVSVGKLAKLHSLLISNNSLEGAVIEAHFANLSMLKYLDTSFNTKLTFNVSSGWMPPFQLIVLYLSSCNISSGFPQWLRNQRKVKELVLSNAKISGPLPTWFRKMPIIPWLDLSHNKLSGPLTNLPDGGNDEVFLFESDPTIFLQYNTFSGSIPRSLCRRTYLRVLQLSKNMLSGKIPKCMGNLQALSTMRLSSNRCHSKLHRS >cds-PLY66698.1 pep primary_assembly:Lsat_Salinas_v7:6:79676540:79681323:-1 gene:gene-LSAT_6X55221 transcript:rna-gnl|WGS:NBSK|LSAT_6X55221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAAEMTSQETGGMFTVAQTIGSVLCCKCGIPMAPNAANMCVKCLRSEVDITEGLQKHVIIIHCPECDTYLQPPRTWLKAQLESKELLTFCVKRLKNLNKVLRLIHAEFIWTEPHSKRLKVKLKVQKEVLNGAVLEQSYIVEYVVQDQMCESCSRVQANPDQWVAAVQLRQQVAHRRTFFYLEQLILKHDAAVRAIRIKQMDRGIDFFFSNRSHAVKFVEFVQKVAPIKSRNDKQLVSHDTKSNNYHYKYTFSVEISPICREDLVCLPPKVASTLGNIGPLVLCTKITNSIAFLDPLTLRNCFLDAEQYWRTNFTSLLSSKQLVEYIVLDVELISHEVNVSGSKYVMADVQVARVSDFGKNDTIFFVRTHLGHLLNPGDFALGYDLHAANSNDIEIDKYKGLSIPEVILVKKSYEERRIKKRGKPRAWKLKSLNMEVDNGETRGGRVDLEKMNNEYEQFCRDLEENPELRFNISLYRNEEYQKSEMGSVLDDDENAPSVPLEELLADIKLSDDDYGEDDDDDDDEGMKE >cds-PLY77133.1 pep primary_assembly:Lsat_Salinas_v7:7:183201204:183203101:1 gene:gene-LSAT_7X107941 transcript:rna-gnl|WGS:NBSK|LSAT_7X107941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMATTFASSLSTKTRTSFLDQSSFHGVPVASPTRIQPIKSNQSKNTPITMSATPYDLGSFTFSPIKEAIVSREMTRRYMTDMITYADTDVVVVGAGSAGLSCAYELSKNPDVQVAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELEIEYDEQEDYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIIKGGRVSGVVTNWALVAMNHDTQSCMDPNVMEAKVVVSSCGHDGPMGATGVKRLRSVGLIESVPGMKALDMNAAEDAIVKLTREIVPGMIVTGMEVAEIDGSPRMGPTFGAMMISGQKAAHLALRALGLPNALDGTSVGSVHPEMILAAVDSGETVDA >cds-PLY91769.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1588042:1589241:1 gene:gene-LSAT_0X401 transcript:rna-gnl|WGS:NBSK|LSAT_0X401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSESLENDYKLCEELGRGQFGIIYRCFSLTSGEAFACKSIDKRLLSDSTDRECITKEPKVLRVLAGNAHVIQIHRLYEDENWIHMVVDLCDGPDLYYRISKRGGAFSESEAVSIFTQLIEAISYCHRLGIAHRDIKPDNVLFDSTGKLKLADFGSAEWFGMNERGTMTGVVGTPYYVAPEVLLGKEYNEKVDVWSAGVILYILLGGVPPFYGETSVETFEAVLRGNLRFPARIFRSVSPEAKDLLRKMLCKDASRRFSVEQVSRHPWVINGGANRSSG >cds-PLY83987.1 pep primary_assembly:Lsat_Salinas_v7:8:36337964:36338337:1 gene:gene-LSAT_8X28701 transcript:rna-gnl|WGS:NBSK|LSAT_8X28701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIDDDYHLIGTFTDGDLRCTLKASKDGIFKLTVGEMCNRNPRTISAERIDVEAMKKMEAPPSPVINEDKLIGIVTLHGLVSAGL >cds-PLY83074.1 pep primary_assembly:Lsat_Salinas_v7:9:106989370:106989705:1 gene:gene-LSAT_9X76340 transcript:rna-gnl|WGS:NBSK|LSAT_9X76340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVWAIHRDPKNWSNPLEFKPERFLIDKWDYHGNNFKFLPFGSGRRICPGIPLGEKMLMCILASLLHSFDWSLPENEEFVLSDEFGLVTKKRKPLIAIPFQRLSDASLYIF >cds-PLY67664.1 pep primary_assembly:Lsat_Salinas_v7:4:2447325:2449347:1 gene:gene-LSAT_4X280 transcript:rna-gnl|WGS:NBSK|LSAT_4X280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKLICDSTTSSPVIPWKDPTAAPPSIDTIAAVDLSEEMLGATTTTWDDVSGLEDQQKRHLQRLHAKGVLWKHPGNKILNQCQEDDSTSPAAVVFRLSHGGDVEADGNCLFTASQKAMGLTEINAKDLRRRTVRRFLEDLGSESGVQRENIDAAIKHMYVPDLRSGWGIHVVQEVKFLAKKTDRESLDSAIEELVNLGMQRELGAESIYKDRCIGVENGENWAKYMSISGSPDDEYDIITLQYTEEGLLSVDENREGHAAAFGDDIAIESLATEFKREIYVVQAHGSDAMVDEDNCVFFLPHRPRSEICGPPFFLFMKGTGWCGAGGDHYEPLIAHSSSVVSHSHEKVALVL >cds-PLY68414.1 pep primary_assembly:Lsat_Salinas_v7:8:22793835:22798405:1 gene:gene-LSAT_8X17320 transcript:rna-gnl|WGS:NBSK|LSAT_8X17320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTPTNRTVKVIPFQHSYTGGDSTTPATSSSSSSMSRWSAKLNRMKMIDWIELFLPCSRWIRTYNWREYLQPDLVSGVTVGIMLVPQSMSYAKLAGLQPIYGLYTGLVPIFVYCIFGSSRQLAVGPVALVSLLVSNVLGNIDSSGELYTELAILLSLMVGILECTMGLLRLGWLIRFISHSVISGFTTASAIVIALSQAKYFLGYSIVRSSEIIPLVKSIISGADKFSWPPFVMGLTILAIMLTMKQLGKTRKNLRFLRAGGPLTAVVLGTTFVKIFHPSSISLVGDIPQGLPSFSIPKEFAHVKSLIPTTFLITGVAILESVGIAKALAAKNGYELDSNQELFGLGVANIFGSFFSAYPATGSFSRSAVNNESGAKTGLSGFVMGIIICSALLFMTPLFEFIPQCALAAIVVSAVIGLVDYEEAIFLWRVDKKDFFLWTVTSTTTLFFGIEIGVLVGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNIQQYPEAYTYNGIVIVRIDAPLYFANTSFIKDRLREYEIAVDQSGSRRGPEVERIYFLILEMALQALKELYQEYKSRNIQIAIANPNGDVLETLARSGFIDLVGREWCFVRVHDAVQVCLQNVETLISNGLPNKTPPKPSIHNSSSFIQRLKEKRKQDLSSDDMESGETQLILEPLLPHK >cds-PLY77892.1 pep primary_assembly:Lsat_Salinas_v7:1:24773974:24774378:-1 gene:gene-LSAT_1X21121 transcript:rna-gnl|WGS:NBSK|LSAT_1X21121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSGRAEHSWSPNYARHMDENHSNGVTNEDIAISLLQTQTELSLIREDFQDQLRELRLAVNRHLDAMNLEVDDVRAGQMDISHMVADLKNHFVSLQGAYAKMVFKDNKRKKLMSCVGIFGVVCASVVTYLVFK >cds-PLY65064.1 pep primary_assembly:Lsat_Salinas_v7:1:119353429:119356173:1 gene:gene-LSAT_1X92181 transcript:rna-gnl|WGS:NBSK|LSAT_1X92181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGRRFNDPKLQQDMKLWPFQVIKGANNIPKIALEYKDEKREFFPEEISSMVLMKLKEIAEAYLGDTVLDVVITVPAYFDDSQRQATKDAAFVAGLNVLQIINEPTSAAIAYGLDMSTNITRDTNVLVFDLGGGTFDVSLVTIDKKGIFKVKAVAGDTNLGGQDFDNLMVNHFVKQFNEKHKKDISGNIKALGRLKAACEKSKRVLSSATETILEIDALHEGVDFSMKITRAKFEHLNQDFFTKCIKMVESCLHDANLNKKDVDEVVLVGGSTRIPKVQQLLKEFFNGKELCKKIDADEAVAYGASVLAAKLSGNTSLQVNKIHVIDVIPLSLGVNVSDGSLSVIVKGNTPKPTTKERGYVTSEDNQQIINFDVYQGERFRSIDNNWLGNFQVPVPRAPKGKSAVKVVFNIDVNGILNCSGEEVTTGLKTKVIISHDKQRLSREDIKKMILDAQRHERDDDEYRKMTMARKNLEDFIDDVKRKINDKKKTGKTRIKKEDMEKVEKALEEARKILNGRKLLAVKEYNKASEDLNKLVAEFLSHA >cds-PLY66071.1 pep primary_assembly:Lsat_Salinas_v7:2:206518961:206519793:-1 gene:gene-LSAT_2X127320 transcript:rna-gnl|WGS:NBSK|LSAT_2X127320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKKKNKIHDEGEPSAAANKRRRIELLNSTTGVPFTNPFTQFPSIHLLHQHSRNSPRTTAAIDTDLQLSPPEPIAPDESATADDHLLSSLVGQQNFLIGYHINQMMLSVEEFWRRNFAEEVKKRKEIEEKIKVKDEQAVRFRQMYHFYEERTFLLEDMLQRRVAGEGCSTAAAAAAVPEEQVESCFVDLNSVPRKDMACRNCRSRPATMLWLPCRHLCVCLVCERRVKICPICSVQKTESLMVNFPPP >cds-PLY90690.1 pep primary_assembly:Lsat_Salinas_v7:8:141916649:141917105:1 gene:gene-LSAT_8X97261 transcript:rna-gnl|WGS:NBSK|LSAT_8X97261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLDRGFGVAKRLRREGGQKGSDEQYDVAGGGFGGVCSTEEQMCERWGCCLDGSPKHHHSWWFMTEDEETGRKSRKKGEEKEEGKVVKKIDEFRSVCGF >cds-PLY64773.1 pep primary_assembly:Lsat_Salinas_v7:2:101823422:101828495:-1 gene:gene-LSAT_2X46500 transcript:rna-gnl|WGS:NBSK|LSAT_2X46500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKKAQQTEADLLSTLEDFTSKENWDKFFSIKGKDDPFEWYAEWPQLRDLLLTQLQFSSLHENNGESSKSDVQVLVPGCGNSRLSEQLYDAGFQCITNIDFSKVVIGDMLKRNVRSRPFMRWRVMDMTSMQFADKSFDAVLDKGGLDALMEPELGPVLGNQYVSEVKRVLKEGGKFICLTLGESHVLGMFFPKFRYGWKISIHILPQKQSKKSKLKTFMVVAEKASPTTLQTISTSFDHNALDSGDQAKGVLEALETENNIRTKCSSGNDLVYSLEDLKIGVKGDLSELNPGQRVQLTLGEPGQSRFCYKSVLLDAQQNSEEFLYHCGVFLVPKTRAHEWLFSSEEGQWMVVESSKSARLIMVFLDASHTGISSEDIQKDLSPLVRQLAPSKIDDGAQIPFMAASDGIKERKIVHQVTSPLTGQITVDDVIYEKVDGQLGQLSLSKDLVFRRLTFERSEGLIQSEALLSLIDETFEKNVNEKGNKKSSSSSKSKKKGTQKRNDSSNYQNVDHGYLASSYHSGIISGFMLISSYLEKMASSGTTVRAVVIGLGAGLLPMFLHGCIPFLKVEAVELDPVVVGLAKDYFGFTEDEHLKVHITDGIKFVEDVASATTKTENEGIINKLDILIVDVDSPDSSSGMTCPAADFIEESFLSTVKNSLSKEGLFVINLVSRSPAVKEMVVSRMKVVFGKLFSLQLEEDVNEVIFALNSEGSGPTDFDSSPEAYSKLKKLLNVKNPEMNTTIIDSANKIKPLDT >cds-PLY99662.1 pep primary_assembly:Lsat_Salinas_v7:1:198576501:198579899:-1 gene:gene-LSAT_1X127920 transcript:rna-gnl|WGS:NBSK|LSAT_1X127920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g16260 [Source:Projected from Arabidopsis thaliana (AT5G16260) UniProtKB/TrEMBL;Acc:Q9LF02] MGTEVGWFILSEDQQHVGPYAASELRGNTLVWAEGRSEWQPLSSVPGLISENSEQAPSSASIDDEFERWQNEVRSAETEADNEVADDDERPSSPPDGQNEFTDDDGTVYKWDKQLRAWVPQEMAAGSEPYDLDHMTFQQEEELFPTVGADGIPVKEDTNTSVKANADVIAEKDTDNANELIEANGKRKLPEKPVEKKEANQPPDSWFELKVNTHVYVTGLPDDVTFDEVVEVFSKCGIIKEDPETKKPRVKIYVNKETGKKKGDALVSYMKEPSVALALQILDGSPLRPGDKVVMSVTPAKFELKGEKFIAKQVDKRKKKKLQKVEHKMLGWGGRDDSKLLIPATVILRYMFSPAEMRADENLNVELAADVQEECAKLGAVESVKVCENHPQGVVLVRFKDRQDARKCIELMNGRWFGGRQVHASEDDGLVNHALVRDLDHDAQQLEKFGAELEAE >cds-PLY76985.1 pep primary_assembly:Lsat_Salinas_v7:6:65086627:65091134:-1 gene:gene-LSAT_6X47800 transcript:rna-gnl|WGS:NBSK|LSAT_6X47800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKPIGGVGNHKDLISSENGGITMKMRKGLWSPEEDDKLMNYMITNGHGCWSDIARNAGLQRCGKSCRLRWINYLRPDLKRGAFSSQEEELIIHLHSLLGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKKSASNSASPNMSHDSSSSEHQEVMAGLMSIHDSSNIMAMYMDATRQYSSSSSSAMQAMTMNHLMEPLTLSDADDHHHHQHASCYGKTQVGIDGNGVSYHGRYEIFGGNLSLVDEIFGIPPLDIPNDNQYTNTENLHDRNPMIVSNNMNSVIINQCYNNNKHKVQTLAAGFENCWEGGNDLKVEEWDFEELMREASSFPSLD >cds-PLY90801.1 pep primary_assembly:Lsat_Salinas_v7:2:106021892:106022392:-1 gene:gene-LSAT_2X47541 transcript:rna-gnl|WGS:NBSK|LSAT_2X47541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKQIKILSLRIQPRLQLQEKFVIQESFRMILLQSLHLKKPSSLSDEEHSTEFMFNDDSASVGALPIFIAFKSLQKPSSSKPVYNFGFSSSSSEQEKEIQVIANAQGIQGNDGKTNPNEGKKYPRQIPLLTNQIMLMITSLRVLPQTPAPQTKTLWIRFPARTPRQ >cds-PLY94047.1 pep primary_assembly:Lsat_Salinas_v7:7:99447733:99449701:1 gene:gene-LSAT_7X66861 transcript:rna-gnl|WGS:NBSK|LSAT_7X66861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKGGSICCDFKKKVRNFWLILNRLCDFNCVAESRRMKKNTFAKISMEQNFYVAEW >cds-PLY72516.1 pep primary_assembly:Lsat_Salinas_v7:2:141589389:141589670:-1 gene:gene-LSAT_2X69141 transcript:rna-gnl|WGS:NBSK|LSAT_2X69141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVAFVFALDTKTPTSVVCSWLEMKKMKENEEEERRRDVVFMVCLSLTALSEEGITFPLCVVLSKMNIYSVCNLRGKLKGGGNIFIWLSVY >cds-PLY70255.1 pep primary_assembly:Lsat_Salinas_v7:4:205492591:205498667:-1 gene:gene-LSAT_4X116501 transcript:rna-gnl|WGS:NBSK|LSAT_4X116501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHNKRPWILASLHSGVIQLWDYRMGTLIDRFEEHDGPVRGVHFHQSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRACISVLTGHNHYVMCALFHPKEDLVVSASLDQTIRVWDIGALKKKTVSPADDILRLSQMNADFFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKIWRMNDSKAWEVDTLRGHMNNVSSVLFHSKQDIIVSNSEDKSIRVWDATKRTALQTFRREHDRFWILTCHPELNLLAAGHDNGMIVFKFERERPPFSLSNDSLYYIKDRFLRFYEFSTQKDTQIIPIRRPGSSGLNQGPRSLSYSPTENAVLICSEVDGGSYELYVIPKDSVTRGDAIQEAKRGVGGSAVFVARNRFAVLEKTTNQVLVKNLKNEIVKKSVLPVVTDAIFYAGTGNLLCRAEDKMVIFDLQQRIVMGEIQTSCVRYVLWSNDMESVALLSKHSIVIADKKLSHRCTLHETIRVKSGSWDDNGVFIYTTLTHIKYCLPNGDSGIVKTLDAPVYITKIFGNTIFCLDRDGKNRMIVIDATEYLFKLSLLRKRFDHVMSMIRNSELCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASAKEIDEKDHWYRLGVEALRQGNSGIVEYAYQRTKNFERLSFLYLITGNLDKLSKMMKIAEVKNDIMGQFHNALYLGDIKERIKILENSGHLALAFATAKTHGLNDIVEELSVKLKDNIPILPLRSTSLLIPPTPVISGGDWPLLRVTKGIFEGSLDNTGQEEYEDAADADWVEDLDIADVDNGDISIVDDEDPNEDNESGGWDHEDLELPPDFDTPKATSTARSAVFVPPNLGPPVTQMWAQKSSLAAEHVAAGNFDTAMRLLNRQLAIKNFTPLKSLFLDLHMGSHSFLYASTSASLISFPIERNSSESTPTHTRAPPQLIFTFSQLEDKLKAGYKATTSGKFTEALRLFMSILYTIPLIVVETRREVDEVKELVIIVKEYVLGLQMELKRRELKDDPVRQQELAAYFTHCNLQIPHLRLALMNAMTVCFKGGNLVTASSFARRLLESNPTAENQSQKARVVIQAAERNMRDSTELNYDFRNPFVTCGATYVPIYRGQKDVVCPYCSSHFVVSQEGEICGVCNLSVVGSDASGLLCSPAQIR >cds-PLY92405.1 pep primary_assembly:Lsat_Salinas_v7:2:155340028:155340733:1 gene:gene-LSAT_2X80921 transcript:rna-gnl|WGS:NBSK|LSAT_2X80921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYNPRVTSSRRKCRKAHFSAPSSVRRVLMSAPLSTELRSKYNVRSIPVRKDDEVQVVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGQTVNVGINPSKVVVTKLKLDKDRKSLLDRKAKGRSADKSKGKFTEDDVAAGASLQEID >cds-PLY71050.1 pep primary_assembly:Lsat_Salinas_v7:3:160219788:160229661:-1 gene:gene-LSAT_3X101601 transcript:rna-gnl|WGS:NBSK|LSAT_3X101601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein [Source:Projected from Arabidopsis thaliana (AT5G48600) UniProtKB/TrEMBL;Acc:F4K1S1] MGKVMDSDREFMSTDPKSTPGKKPPSTPRLFIKEMVMQNFKSYAGAQRVGPFHKSFTAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSTNHQNLNSARVSVHFQEIIDLEDETYEAVPGSDFVIAREAFRDNSSKYYINGRDSKFTEVTKKLKGKGVDLDNNRFLILQGEVEQISLMKPKAQGPHDEGFLEYLEDIIGTNKYLEKIEESHKQLEALNEKRSGVVQMVKLADKERENLESVKNEAEDYMLKELSLLKWQERGVKFASEENATKMEEIQKNTSELQQNITSEREKIQESKNSLKELETQHNKFLKRQEELDTELKRCKDEFKEFERQDVKHREDLKHVKTKIKKTEEKVEKDSAKITDITRQSEESTNLIPKLEEDIPKLQKSLVNEEKILEEIMESSKVETEKFREEVAKVRAELKPWEKELIEHQGKLEVASTEKKLLSEKHEAGRVAYLDAQKQLDDIQKKVETKTSSIKDMQTKLEENKLDSSKAHKVEQECLKEQEALMTLEQTARQKVMELKSVMETERNQGSVLKAILQAKSSNSIEGIYGRMGDLGAIDAKYDVAVSTACAGLDYIVVETTAAAQACVDMLRKNNLGVATFMILEKQGNHMSRMKEKVSTPEGVPRLFDLIKVQDERMKLAFYAAMGNTVVAKDIDQATRIAYGGNQEFRRVVTLDGALFEKSGTMSGGGNKPRGGKMGTSIRATSVSGESFTEAENELNQISEKLKNIRQQISQVVREYKDLEKQATRLEMELAKSQKEIESLNSQHEYLANQLDSLKNAAEPSKAEIARLEELKKVISEEEKEINRLTKGSKQLKEKVLELQGKIENAGGEKLKNQKSKVNKIQNDIDKNSTEINRHKVQIETGNKLLKKLRNGIKESEKEIEKLNAQKENLLTSFKEIEEKAFKVQDDYKKTEELIEQHKDSLGKAKSDYENLKKTVDELRASEVDADFKLQDMKKMIKELEMKEKGYKKKLEELHNSLSKHIEQIKKDLVDPEKLQATLGENESFAKASDLKKALEMVALLEAQMKEMNPNLDSIAEYRNKVSVYNERVEELNLVTNERDETKKQYDEWRKKRLDEFMEGFNTISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFVIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFVVHGKD >cds-PLY80551.1 pep primary_assembly:Lsat_Salinas_v7:6:13870472:13871720:1 gene:gene-LSAT_6X12120 transcript:rna-gnl|WGS:NBSK|LSAT_6X12120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINCLQTVCRNPILLGSSSSLFPNNFKVTIHYPNGIKEANCFRKAKLSEKNRSNFVVNGLPFPVDPWSPTIDSQSIASQLFAFSLFPYIGFLYFITRSNSAPKLTLFGFYFLLAFVGATITSFGLTNFLYGGVLLLLFCSSHPVPAGIYAKVHYGTSLSNVDWLHGGAESLLTLTNLFIVIGLRQALKKKPNHPNQLVPDAESVLPDDNTPST >cds-PLY77271.1 pep primary_assembly:Lsat_Salinas_v7:4:164891806:164895754:1 gene:gene-LSAT_4X99121 transcript:rna-gnl|WGS:NBSK|LSAT_4X99121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein 4 homolog 5 [Source:Projected from Arabidopsis thaliana (AT1G73875) UniProtKB/Swiss-Prot;Acc:Q0WKY2] MEEQSSSTNPQRSFTRKKRKLTIETEPLTLTLIPDHTHTSNHKQKQGRGNSENRKWVYSTRDCSNHKDKFVFVSYNILGVENASKHKDLYINVPPKFLKWKHRLRVIRKEIARYNPSVLCFQEVDRFDDLNTTLQKDGFKGVYQARTGEARDGCGIFWKDEMFSLMHEENIEFKNFGLRDNVAQFCVLKMNGCQTHVDDTENLKTHRSILVGNIHVLFNPSRGDIKLGQVRLFLAKAHRLLETWGNIPIILGGDFNSMPQLNIQHHERKKICGQNCPLHYPTFQCHSNYYSSRWNKEEIRLATGTKHSTYLKHKLKLTSAYHGVPATCLTRDEYGEPLATSFHSRFMGTVDYIWHTGDLMPLKVLETLPIETLEKTEGLPSKRWGSDHLALVCEFAFADADIEALDI >cds-PLY75597.1 pep primary_assembly:Lsat_Salinas_v7:9:32216652:32221701:1 gene:gene-LSAT_9X29140 transcript:rna-gnl|WGS:NBSK|LSAT_9X29140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIRVLEPAFQGVGQKVGIEIWKIENSQPVLLPNSDHGRFSSGDSYIILKTAGKAGAYTYNIHFWLGKDANQDEAGAAAVKAVELDSVLGNRAVEYRELQGHESNRFLSYFKPCLIPPEGGFGSEVKTPEEEEEKEKEEEEEDGEEKEEKEEKEKPEPRLYTCKGKRVVRLKQVPFTRSTLNHDEIFILDTKDKIFMFNGANTNVQERFKALDVVQNMKERYHEGNCNVAIVDEGKLQSEGPSAEFWALFGGFAPIGGKKVSSDDDIIPEKTPPKLYCIVRGQFQEIEGELSKSSLHNDRCYLLYCGTDLFIWVGRTTPLPDKKSVMHAAEEFILKENLPKSTPVTRIGQGHETSSFKSNFASWSVASAASAPEETRGKVAALLKQQGAFVKGQTKTAPVEEDVPPLLGENGKIEVWRIDGEEKTELPKEDIGKFYSGDCYICLYSYHSDEKKEDHYLCCWIGKDSIQEDQKTAVQQTTSMFNSMKCKPVQGGLSSGYKNYIAEKGLHDETYSPDTAALIEISGTSVHNNKAQQVERVATSLNSYSCFILQSSTTVSTWYGNQSTPEQQQLAAKVVELLKSGVPVKFSREGKESLAFWLALGGKQSYSSSKVTQEIIREPHLFEVISNKGKLEIEEVHSFEQDDLFPEDVLILDTHAEVIVWVGHLAQSTEKQNAFETGEKYVELAASMDSLSPSVILYRVTEGNEPCFFTTYFSWDPAKTTAHGNAFHKKVVQLFGSGCVAESQDVKPPGNKLSGATQRASAMAALTSAFSKSTTAPKTPQHGPPPRMLARGSQRAAAIAALSNVLTAEKKGPPSDHHSPPHRHKKFSSLESASAPVSSSTSPVNFDQAPFRSGNVTPVRSENVTPVKGEEASDVFGNNEVADETSEPNAETNEEEPSNKETTDENENGGEDIQSTYSYERLIAKSTNPVIGIDYKKREMYLSPEEFEEIFKMSKEAFYQLPRWKQDQDYPMSSFF >cds-PLY83121.1 pep primary_assembly:Lsat_Salinas_v7:5:104628188:104629951:-1 gene:gene-LSAT_5X44521 transcript:rna-gnl|WGS:NBSK|LSAT_5X44521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRLGSSDSMAGLIPMCQTIDESSSPVGYTREFQSMLMEGLDEDGGGDDCAGGGQISEKKRRLSADQVKALEKNFEMENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGVLKANFDSLKHNYDSLKHDNESLLKQIRELKSKLYGEDEESNIPVKEEADEKQPKSPEVMYENENMVTTTYFPDLKDGSSDSDSSAILNDDNNSSNMTTSMADVMKGHQLTESKDIMGAAQKAYQPQFVKIEEHNFFGGDESCNFFSDDQAPTLQWYCQDQWNLTDEN >cds-PLY70114.1 pep primary_assembly:Lsat_Salinas_v7:3:14044947:14047359:-1 gene:gene-LSAT_3X9221 transcript:rna-gnl|WGS:NBSK|LSAT_3X9221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVWRVQAWSHQSRKLSLMPSNVLEQDSYDNFNLVIRLKEVSTDEATEQAAHLRSQMYILCGTLLYERSVVEFKMDLSAWEESLAASVEKFKLAGASPIHLAVIIKNHCSNGTASAGNLDKFQVIRMVGESVEVVGRSSGNKMPTLEEYARNHYCWKLFLLLRESFRRLLVQFHCGAGSSIAKVMQFITEH >cds-PLY95009.1 pep primary_assembly:Lsat_Salinas_v7:1:190671184:190675950:1 gene:gene-LSAT_1X122000 transcript:rna-gnl|WGS:NBSK|LSAT_1X122000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSSLLWIPNYRGFVVVPVTILRTLSAATSHRHYNRHKNKRFGCSNWDSDLNFYQKNCSRTFASDSVASIAITSNLSTPNSKCHVEYDRLLPCPTENFLPRIEHLVVKEGGPVLDFITKALDLPPLYVADLIHFGAVFYALVCPKPPSTATPEEIKLFKQVTDPLVLKKRHSIKGKTLREAQKTFRITHIDEFVEAGTYLRVHVRPKRFPRCYEIDWKSRIIAVTESYVVLNKPAGTSVGGTTDNIEETCAKFSTRALKLTTPLMTTHQIDNCTEGCVVLARTKDYCSVFHRKIREKKVKKLYLALAAAYVPPGLMTHYMRPFRMAPKIVSQELIEGWNLCQLEVLECRKVPWPNAWIEEENGIQDLNWPNKEFAYECKINLLTGRTHQIRAQLAACGAPLVGDSMYMPAVISELANPGLNPVGNGKYKKEFESEADRESAIEEWATKHGKEPGVSIGLQASQISWDEDEGQQHLYLAGPPWWTQ >cds-PLY87970.1 pep primary_assembly:Lsat_Salinas_v7:3:177857026:177858757:-1 gene:gene-LSAT_3X107180 transcript:rna-gnl|WGS:NBSK|LSAT_3X107180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESPFKGDILKGKVGLLTGGGSGIGFEIAAELGKHGASIAIMGRRKHVLDSAVSALQSLGIHAIGVEGDVRNKEDAARVIETTIKQFGKLDILVNSAAGNFLVPSEDLSPNGFRTVIDIDAVGTFTMCHEALKYLKKGGVIINISATLHYTASWYQIHVSAAKAAVDSLTRSLALEWGTDYGIRVNGIAPGPIDDTAGVTKLLPEDVRRQKQEASALYRMGKKWDIAMAALYLASNAGNYVNGTTLVVDGGIWLSKPRDLPKEAVRQLSKVVEKRSRDAPVGVPKSKL >cds-PLY64364.1 pep primary_assembly:Lsat_Salinas_v7:4:23259615:23261497:1 gene:gene-LSAT_4X16260 transcript:rna-gnl|WGS:NBSK|LSAT_4X16260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLEQSESKPFSNSTMPCSKFTTKLNLSKCTINNGISLQVADDDSAVEEHLECWPPTTAVVDRLVLQLKAKYGDMEANWEKTILNFKKELDAEEEQLKPKLTLTSDVVDNSEDGDGFQRRDRARAKFSVPLSRREMEKDFEDMGERRLPRKPKKRPKSVQNQLDTLFPGLWLTEINADLYRVPDTKKR >cds-PLY83578.1 pep primary_assembly:Lsat_Salinas_v7:5:17959375:17962696:-1 gene:gene-LSAT_5X8301 transcript:rna-gnl|WGS:NBSK|LSAT_5X8301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKERLLPSKPASGINPRDASYRRQPFQGVDVLGLKKRGQGLRSWIRVDAASGEAQVIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITSDEVLLLNSLDSYVLQYVVELQRRLKAAGDAGDVWQSESSDFSRWKGVRTFRDMFGSPSPDYLPFEFKALEVALEAACTFLDTQASELEIEAYPLLDELTSKISTSNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKGGNESLFYSGGGDGSGAGYRSTDGTQSVSAPVSPVSSPRDGNGKRLEKNLSLARSSRHDSIRSSDTATGNIDELEMLLEAYFIVTDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFIVAIFGVVAGIFGMNFEIGLFTSQSAFKWVLLVTGIFGLFLFASFLWFFKYRRLMPL >cds-PLY77113.1 pep primary_assembly:Lsat_Salinas_v7:7:183210222:183218912:-1 gene:gene-LSAT_7X107900 transcript:rna-gnl|WGS:NBSK|LSAT_7X107900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQGLTFAGRMVAVERSPLGDAIVKGNERMEAMRSMSDKSLARNLFPVRPSSGGRPSSLPDRSGLQRIPLTGGSLPGARSSPLPDPSGLPRIHLTGGSVPGANSSPFPDPSGLPRGGFVSGARSSPSPNGLPRIPLSSGLSRTSVRTTRSGFSGSSRMGDINTNMGLTDAIVDTGVVQNVGNGIAYGYEMTGNNEDPASDFFYNGPEHEHEPEHRHESMHEPESPMVQTPHYSGTHGGSNDVDSNGSHRPFITRKGYKFGRQSIHRAIVKIFWQSINEPWITYKKIPKEVVTQMFERFRTQYRWDPNEEGLIREGFENTLKDRYRGRMRDAREASVNSARKAGHVIAEINXFVNETSRVAIESYNTTLSQKYGDDTTQHNVNDPELWTQTQLLRKGGKQKGPIYGAGYSDLHFLMTGAYSYESTSASADFAKSQQEVNEVRQKMSNMEQAMEEKQSEMNLQMQQMRNEMELQVQRQLAAFMKQINPSGNPPSSS >cds-PLY72191.1 pep primary_assembly:Lsat_Salinas_v7:7:58327228:58328338:1 gene:gene-LSAT_7X40641 transcript:rna-gnl|WGS:NBSK|LSAT_7X40641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMGASNLMMGLTLVLVVCLAVVLGLVLVLLAELYCSILLRRRQLQQTTTAAPLTIVDNNNNNSSSPPPSSALPSLSDIYSQGVLAAPRSILYPSLAGDDLEKQQELPQPPENQENNQDGYIRKGCSGNSGRIEKDLVYISNPMFDDENGRRSKAGNTPFETPDTSPSRLESEGSSGDDERDEITSLKVVVTPPITPMKKLPAEVKSVASVKDDDAGRGGDLNSNNGASSSSSSGTPCTSLSW >cds-PLY89967.1 pep primary_assembly:Lsat_Salinas_v7:2:192025326:192028785:1 gene:gene-LSAT_2X113261 transcript:rna-gnl|WGS:NBSK|LSAT_2X113261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIWFYLYALVIILKASSAIDTMFQNQSLKDGETLVSEAEVFELGFFGLGNSRYRHLGIWYKQISELTIVWVANREVPFVDPTGVLKISDKGTLELLSVSNTSVWSSQSSKPGTNMNPVAQLLDSGNFVIRDDVSGDIIWQSFDHPGDTWLPGMKIGVDLVTGRKRNLTSWKSSDDPSSGSYTVWMDISGYPQLYTMKDESSFQQRVGFWNGQGFTGMLGVGPNSLYAFDFVFTREEIYSKYTGFNSSILTRMILHQQGSFTQLVWMPRMQAWNVYMIVARDICDRYDLCGPYGSCNINRSPACACLQGFEPKLPEEWSAAKWSNGCKHNITTTDKNGFIKFTNLKLPDSRDSWFNSTMSLEECGILCSRNVSCTAYANIDVREGGSGCLQWSTELLDIREASETDSSGQNIYIKKPTTNNLIHKKKSNTSLKVAMSIVLTVVMLGFALILYAWRRKKKSRRKANKNKKQDSDLPLFSLSKIIKATSNFSILNKLGQGGFGAVYKGVLDEGGEIAVKRLSKTSKQGLDEFQNEVKCIAKLQHRNLVKLLGYCIQGEEMMLIYEYMPNKSLDSFLFDENNSLLLDWRQRYHIINGIARGLLYLHQDSRLRIIHRDLKASNVLLDSNLNPKISDFGLARMFKEYETEANTNKVVGTLGYISPEYAVNGLFSVKSDVFSFGVLVLEIVSGKKNRGFSHQDHHDNLLGHAWRLYKEDKPLELVDTALGDSWVVSEVLQSIHVGLSCVQQHADDRPIMSSVIHMLSGEGALSPPQPPGFFTQVAKPEDKSNLIIPEVLVSVNEVTITQFDAR >cds-PLY67636.1 pep primary_assembly:Lsat_Salinas_v7:2:207791958:207794050:-1 gene:gene-LSAT_2X128541 transcript:rna-gnl|WGS:NBSK|LSAT_2X128541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRSFGQGAGGPMGGGGGGGGGGIMKTFHKAVSAGTGGRGSSQESFSRCATTHYSSNTTTSPTSRPSYKPSSSDYNSNTYSSSSVNQLNRNRANSISPTTGEEYDGVNGFYDDYVFCTVPSMDEVHDAVSSLQQVIDPPELDWIEPSRSMLQSPGYESVYDAFHLLQTEPSVKRMVISLASDNSVWDAVMNNEVVRELRDSVYEANKSIIPGEIEGCDDSFPVSGILNWIFINIKAKAMEIFEKITEMMNSLFQSPRNDRKKATDEDAAMEESFEKKLTSSFLLSVMVLLIVVVSRANK >cds-PLY96053.1 pep primary_assembly:Lsat_Salinas_v7:8:21332666:21335868:1 gene:gene-LSAT_8X16781 transcript:rna-gnl|WGS:NBSK|LSAT_8X16781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQGTTGANNVTSRPPPNPSPLRTAKFFQANMRILVTGGAGFIGSHLVDRLMQNEKNEVVVVDNYFTGSKDNLKQWFGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQDESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRNEPLTVQAPGTQTRSFCYVSDMVDGLIRLMEGNNTGPINLGNPGEFTMLELAENVKELINPEVKIIHVENTPDDPRQRKPVISKAKEVLGWEPTITLREGLPRMEEDFRKRLGILRNE >cds-PLY64088.1 pep primary_assembly:Lsat_Salinas_v7:MU045727.1:258064:259972:-1 gene:gene-LSAT_0X3321 transcript:rna-gnl|WGS:NBSK|LSAT_0X3321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTSPLLFLQDSVKLLRYMSGTTNLELGKIYSDRLASNQWSRDIYSQRKAPGFAHNVCNLMRNPFQNASGLQVLEFFKSMVSEHDVYFPNEYISSLVLSSCVNSGHLLFGTQCHGYMLKSGLVFNKYMENALVCLHSMLPDVVGAMHVKASNDRLDIRSYNLMLDELVEKGYLDEALSFLKRMSVVDKVWNKATYIGLFGLCCKLSDLDLGREVHNKLLKSNVEFDVSVCSEMIQMYGICGDILTAAKVFDMYEVQNVVIWTAMLAALSLELFSDMQHENVAPNEYTFCVLLDACAKILYLRYGSSLHAFAHKTGFKDHKNVESALIDMYARSGDIKAAEKVFLGTTYRDTLTWNIMIGGYAYHGLGSKSLALFKKMLEKGEEPDSLSFICVLNACEHMGLVEEGYYYLYEFMEQKGVKPGLEHYMCIISILIRAARLREALSFIASTPLKWHACAWVALLNGCQEHQNSSLFNRIEELIPHDLTPKFLTVDNEDPEFDKLDDVMKPMFEAINDDSANLDDIEVSDGMKEDRSDYHSEEVAVAYALRYAPYIAPIRLIKSSGRICDRCHSRMKLISKVRRRLIMVRDQLQFHNFQDGRCSCEDYW >cds-PLY87298.1 pep primary_assembly:Lsat_Salinas_v7:4:331610600:331621204:-1 gene:gene-LSAT_4X164521 transcript:rna-gnl|WGS:NBSK|LSAT_4X164521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-4B [Source:Projected from Arabidopsis thaliana (AT3G50240) UniProtKB/Swiss-Prot;Acc:Q94LW7] MENSSENCNVKVALHIRPLSRLERQNGGKECLDVVPGTAKVLIDKNSFIFDHIYGGGGSPSINMFEECVMPLLDALFQGYNSTVIAYGQTGSGKTYTMGTNPKETSHKGLIFQIMQTIFNKMETLKNESEFQLHVSFIEILKEEVRDLLDSAVIERIETSDGNPENVAPGKPPVQVRKAADGGQSLNGSTEVSVSTQKEMTACLEQGCLKRSVASTDMNTQSSRSHAIFTIILEQKDKNKGEENPIDCMGEEYRCAKLHLVDLAGSERAKKSATEGVRFKEGVHINKGLFALGNVISALGDDKKRKEAQHIPYRDSKLTRLLQASLGGNCKTVMIACVSPADFNFEETLNTLKYANRARNIQNKASVQTEVFPVDTHKLRQQYRLLQEELAHMREAEIVEVQDLKRKIERLEATNSNLLQKINEYRNKCAIVGHSETDTREDKRGSQYAKSPEAITEPVTSGDISGKKLKEPDKKTIEPEHELQQNMRHQELNNLDKRLEQKESEMKLGSDDMEELEQRFGKKITALEEEKRLLQLERDDLQAEITHLESMSEPTSNEQTMNTEKLEAMEEKISELERKHEAQVDVLRQKSHDAMKRLQDEISLIKKQKVQLQQKVKEEEEQFRKWKASQEKQMMQIKKESRKTEIERHKLQALYQRQTQVLQRKTEEAARATKKLKELLELRKAARRSETAASKPSGQNRSGQIKALKKWLHLELDKVMKVYKLRIDHEKKTQSNAKLKEELSLLKQMDKPSSHVPKPSASTEETKPARGRSLSPNAKAARARSLSPNAKAARIVSLESRVKSCTASLAIITSKIQEAGRGHDVASPGRWKGLVSLGDAKDLLQRRLWEKEIDLKETKSQFEELLSQQNNNKCDPSSQTNAIQPAKLSSLTKKWKEMEEQAVQNTPVQNTRDKTPTRKSRETEKQPATSASLPPLKGKAPTTLDIFADMGIGPPLPFPESKESDSNAGICNRPVKVLYKQTEKMIPIAHTSMKKAPLEEQKGKVSRWRRGHDEWLIQFKWKWQKPWKLGELFGSTDQIRNSDETLKGQDLSTRLGQTT >cds-PLY96473.1 pep primary_assembly:Lsat_Salinas_v7:2:124981887:124984123:1 gene:gene-LSAT_2X58360 transcript:rna-gnl|WGS:NBSK|LSAT_2X58360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREIVSIHIGQAGIQVGNACWELYCLEHGIQNDGFLQGENSGGGTSDDSFSTFFSETSSGKHVPRAVFVDLEPTVIDEVRNGSYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDTCLDRVRKLAENCTGLQGFMVFNAVGGGTGSGLGSLLLERLSVEYGKKPKLGFSIFPSPQVSTAVVEPFNSVLSTHSLLEHTDVVVLLDNEAIYDICKRALDIERPSYRNLNRLISQVISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISTARAFHEQSSVSEITNAVFEPASMMAKCDPRHGKYMACCLMYRGDVLPKDVNTSVGSMKTKRTVQFVDWCPTGFKCGISYQPPTVVPGGNLAKTRRAVCMISNNTAVSEVFNRIDHKFDLMFAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGQDAEEDQGEGEEEE >cds-PLY67043.1 pep primary_assembly:Lsat_Salinas_v7:5:282034603:282034815:-1 gene:gene-LSAT_5X148760 transcript:rna-gnl|WGS:NBSK|LSAT_5X148760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCSTTTTTTSATLPSNPPPFAPLSKLHHHRRSLLFFFTTTTTLSFSLSIASPPQKNNLTPPLLTVYSWT >cds-PLY63310.1 pep primary_assembly:Lsat_Salinas_v7:3:91524660:91525385:1 gene:gene-LSAT_3X68360 transcript:rna-gnl|WGS:NBSK|LSAT_3X68360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYESPKTLEVCNVKKENNKVSRLQRAQWLRAAILGANDGLLSTTSLMIGVGAAQEGQWFMILSGLAGAVAGACSMAVGEFVSVSTQRDIEISVNQEHRSKENSSLQEIKIETPKNDQPIAPVRTPAMKVVTKMKEEEENEVLPNPYKAGAASALAFLCGSVFPLVAAMAVGDYTARIVVVVVVASVALALFGGIGAVVGGSCVRSSATRVLVGGWISMVVSYSLLKPLDGITDRKMGKSD >cds-PLY62272.1 pep primary_assembly:Lsat_Salinas_v7:5:163199953:163205305:-1 gene:gene-LSAT_5X72180 transcript:rna-gnl|WGS:NBSK|LSAT_5X72180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGKGAFGEVRICRETTTATILAMKKLKKSEILRRGQVEHVKSKRNLLAEVDSNCIVKLYCSFQDNEYLYLITLLMRKDTLTEDEGVMQCPNCRKIEKGSLRSVVKINGASCVNRKNIVVALASYENSGKNSVKNAPEKLEPLWDDGYGTQTMKDYTKIAMDLSRLISSDGGPLRWFCPVAYNRPLKDSPVLMYLPGNLCLVKSWCVVMKQTGRTDIRMKVEILQDIKLVEPLDEACIWSQIRGTATEI >cds-PLY62336.1 pep primary_assembly:Lsat_Salinas_v7:4:87956352:87957477:-1 gene:gene-LSAT_4X57880 transcript:rna-gnl|WGS:NBSK|LSAT_4X57880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWDALNGPMLAEVLFLGEEIIALLCTITITPVSFTLAKVRPWAQTWSDWVQLTPSPDHFGFLPEHGVRFPLKWVSIYDCPQEKVGVPIPLFEAGLRLLKSDFFNKIVDHYGFFVDELTPSAVNKIVSFELICRSLGCIPTFWVFSYFFCSTTNYGVRSLAKRQGIHQLIFEHDVPKKNWQRQWLWFNRDLAGRGFRKTRDFPDCVPKLFESNLTLSKRLGGILLLLLKMSPLYIIGKFQSRRLCP >cds-PLY74658.1 pep primary_assembly:Lsat_Salinas_v7:5:176465917:176471970:1 gene:gene-LSAT_5X78420 transcript:rna-gnl|WGS:NBSK|LSAT_5X78420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQLINFIIRPPRAEYEPSNDLLDQEFMLKGKWFQRKDLEIVNSRGDTLQCSHYLPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSGGEHVSLGWYEKDDLKSVVNYLRADGNVSLIALWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRRAILKKAKFDIVELNTIKVAKSSFVPVLFGHAGDDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDDVKGNFFDTPLDYLGKVFFKYNFQIKSSSSTEDAINQLRSKRPMSRTEVPSDMPLTDIPCSSEEEGSTTEPIPSSSSKMIDFDFSNGHTHTHGPSILEDHEYVEYPLQHIEGLPCNAEEEERMLMEAVLLSLKDLEVKQPETESTDTNPTTTTTNHSTPIKADPTSVSTASSSDSQSRFMSPCNSSTSSSNMTPTVTPSVGPTLDADMADRTKATVTVERTPSGNIMDGLLRRWDLNFFKNR >cds-PLY67748.1 pep primary_assembly:Lsat_Salinas_v7:9:166981609:166984377:1 gene:gene-LSAT_9X103760 transcript:rna-gnl|WGS:NBSK|LSAT_9X103760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADGAVNFLLEKLTTILMQEASLLGDSQSDVEEIKLELEIMRSCIRDAERKQLKSELVEAWVIQVREVAQKVEDVLDEYVYYKDLEEDHKRGFKNLMQDIIKFPIHMSRKRKISMKLQKLKTEVHEVCERRKRYTFDEKTDDWRDRNASIDWWQRQEELLMFVDEDEIVGMDDNKDKLIEWLMEDDPRRMVISVVGMGGLGKTTLVTKVYNDQAIQRYFDCWAWVSVSQTKGVDELLRSMIKKLFGGKRETFRVDLGLLNYRELVEMLIDYLHKKRYVIILDDVWKILLWSRIRSAFPKNGLGSRVIFTTRNDNVAKSVGPGKHVLRLDPLGEDDSWALFCKKAFWSDLGHSCPLELEELARAIMKKCEGLPLAIVAIGGLLCSRNKTAVEWKKIYDSLTWELSNNPVLEGVKGILSLSFNDLPFYLKHCFLYCCVFRDGYPIKRKKLIRLWVAEGFILERKGITMEEVAEEYLMELNLRSMIQVTETNDTGRVKMFKVHDVMRELAMTTSQKDNFCLTYDDDTEARLVSKIQRLSVYNRGRNFHLSSTILRNLRALFVFQIETSSSFSLNAILSSFKLLKVLDLESVSIGSIPVSVVGLFNLTYLNLRETKINKLPKSMERLRNLQTLDVRNTKLEKLPNGIANIPLLRHLLVSYVRADDTHGTSDLHSGLRVPVEITKIRSLQTLASVEADEGIIQHVGNLIELKRLGITNVKAIDGPKLCNSIEKMSDLRCLSITSSTESEELVLESLAFPPVFLQKLVLVGLLSRLPPWLESLANLTHLYLCSSGLGDDILSSIRELPSLAFLELKNTCKCRSLHFTAGGFPKLNKMRLLELVGLNVLRLDKGTLPSIKDLNLVRCREMRSSLQGIEHLTSLQKLYLEEMPAELVQRLRSDERANVRHINTISLVYLSGQSRVIETLF >cds-PLY81592.1 pep primary_assembly:Lsat_Salinas_v7:4:217456628:217461522:1 gene:gene-LSAT_4X122420 transcript:rna-gnl|WGS:NBSK|LSAT_4X122420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPDYEEVTPGFGNGFQATPKTSTTNEIPSSLTPPSWDGFASLASYLCSWQEYSDSESKQVKKLTEQDYSDMVALHCSPVSNFSAYVSPEASAQLAATTTWGSRVTAVAFDPTCGGSVIAIVIVEVDFMGFHCYNNISMIDIHLKSPFLLPYTYDTFCCEAAGISMGLLMVGTASEKAVEMLVNAHETQHEKIIRMI >cds-PLY69656.1 pep primary_assembly:Lsat_Salinas_v7:5:211357138:211358930:-1 gene:gene-LSAT_5X97200 transcript:rna-gnl|WGS:NBSK|LSAT_5X97200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDDALRCLKIGKDALGLGDRARALKFISKARRLDPSLPVDDLLSNLEPDNPADESPSGSPPSKPSENSTGDSSGVRRRAPATGSSSPGSYTDEQITIVSEIKTKKDYYEILGLEKSCSVDDIRKAYRKLSLKVHPDKNKAPGSEEAFKKVSKAFQCLSVDENRKQYDILGSDEPIYERRTPTAGGHRHGFTNGFYYDGEVDADEIFRNFFGGMNHGATTQFTGFTFGPGVGAGMPAGNGSGGIRTLIQLLPVVLLLLLNFLPSNEPVYSLARQYGYDYRLTTQKGVNFFVKSSSFERDYPMESPERIEIEERIEDEYISVLSHNCQIEKRRIHWGNKQGTPNCDALKQFHAPLIH >cds-PLY67732.1 pep primary_assembly:Lsat_Salinas_v7:8:301870470:301871401:1 gene:gene-LSAT_8X165720 transcript:rna-gnl|WGS:NBSK|LSAT_8X165720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGITTRVYKGVKGYWRRRRYQRLGGNSRDESGPKRRSRFWRIRITRKLKLKFKFRCSPKKLLIGIRDGYVRMMMRMATSSVVTGGGGYGDGVARFGMKPVKEYDEKMIIEIYKSLAMRQAQFGADRCPQITISR >cds-PLY80211.1 pep primary_assembly:Lsat_Salinas_v7:9:191819903:191820229:1 gene:gene-LSAT_9X118200 transcript:rna-gnl|WGS:NBSK|LSAT_9X118200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQEGENPAADPRIWNRNRNDMTFFGDYDEDDDEDDDEEEEDDRSMDLLIRFVKNVFKKISKRARKVVRSVLPISIPTKLVLICISQVINLIKFVGFSWFLLQLSSDY >cds-PLY85386.1 pep primary_assembly:Lsat_Salinas_v7:5:243058180:243059466:1 gene:gene-LSAT_5X120561 transcript:rna-gnl|WGS:NBSK|LSAT_5X120561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFRGKGKQTGSNQRRKSTQDGSSQNILFSPPTFHNPNPNYQQYQIYQQNQNYQQNQNYPQQYYPVQQSYNTFTLSEENLSYNPCNQFSQRVPETQFQSSQPEHFQFRDSHNVESESSSDDPVPERNEEETSEELRPVVEKKRTFTNRQKSKKWVGREELALARAYVDCSQDKQRGNQQRFDAFWDRVLEHFNVQIGGSDRTRLQVNSKWKDLQKKCNAFNCIYNRRMNSAASGRSEADVLKASLSEYRSSINQKSFPHQKAWEWLKDHAKWALVTKVGEDSPPPSSKRTKTSSSNAHTTSSDAQYPPGFPQQQQPFNVEDSPPQRKRKGKKAASVSSTQNEMFDLVNHIASINTTTNTEAEQRQRYREQKLRIFEANEALKAQLLEREIENQDMEYFLRPHDHLTGAILSTVLERKRQIATKYGWEL >cds-PLY74946.1 pep primary_assembly:Lsat_Salinas_v7:5:233231963:233233363:-1 gene:gene-LSAT_5X116300 transcript:rna-gnl|WGS:NBSK|LSAT_5X116300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSASKLFHNRRIRFGVHNNAVDDKDSDSSPAKGNSSRHITNRRHHNRRNLEGSDTPSRRIHRHRHSELVQLEAGGSHDHSPSGSIINSEDFRSIRRWGTTENDRLPGVVLLARERLLERLRGVHVSENRSSSSLHEDDDLLYNVYNSFIDEPVSGVIAMPSSTRILTPGLSQDALNCLLIKVYKYDEKSNEIPSASRECSICLEGFEEGDELINLPCMHRFHSCCLFPWVEVCGACPNCRKVVVISSN >cds-PLY79105.1 pep primary_assembly:Lsat_Salinas_v7:2:16046924:16049027:-1 gene:gene-LSAT_2X8601 transcript:rna-gnl|WGS:NBSK|LSAT_2X8601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYIIGLGLGDEKDITLRGLEAVKKCQKLYIESYTSLLSFGISKDGISTLEKLYGKPLTVADREMVEEKADDMLLEAREFDVAFLVVGDPFGATTHSDLVVRAKKLEVEVKVIYNASVMNAVGVCGLQLYRYGETVSLPFFTETWRPDSFYEKIQRNRGLGLHTLCLLDIRVKEPSLESLCRGKKQYEPPSFMTIGVAIDQLLEVEQLRGESAYNEDTLCVGFARLGSENQKVVAGSMKQLRTVDFGQPLHCLTIVGKTHPVEEEMLDFYRS >cds-PLY90144.1 pep primary_assembly:Lsat_Salinas_v7:7:13626230:13627654:-1 gene:gene-LSAT_7X10781 transcript:rna-gnl|WGS:NBSK|LSAT_7X10781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVGDGESSYASNSFLQEIVIRKTLHVLKHTIKGMVNLETAFSKVFVLADLGCGTGTNTLLLASMVIDLVLELCKEINLKTPQFQVFLNDLFGNDFNTIFQLLPKFRANLKKEKGENFGSCFVSAIPGSFYRRLFPDESLHLVHSSYSVHWLSQVPEGIENNKTNIYMARTSPPNVFEAYGKQFHTDFIKFLELRAKEVVRGGCMVLTFLGRSSADPTTDDGCRLMELLAQSLLDMVKEGLVEESFINSFNLPHYSPCEDEVRKAIHNEGSFCLNTFNVFQGNWDPYDTDYTNLVDLNDQISHIHAKNCAKALRAVMEPLLTSHFGNLINIDVLFQKLQMHVAEDLANKKTRYFNIAISLSKK >cds-PLY73979.1 pep primary_assembly:Lsat_Salinas_v7:8:62513751:62517681:1 gene:gene-LSAT_8X44220 transcript:rna-gnl|WGS:NBSK|LSAT_8X44220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFESNNNIAEESNNNHGWQKVTYPKKQRKNPAKQQQQQSTKVFSNGSSIPAVDNVFTSIEKKSEERRKLIEAERLANLAMYDPAPPTRSSKKNNYSDYDDSDEEAVENGAAGNGVTEEKKTKPKKVKKPKVTVPEAAAKIDAADLASFLSDVTASFETQQDIQLMRFADYFGRAFASVSASQFPWVKLLRESAVAKVADNPVSHIPEAVYKTSVDWINNRSLEALGSFVLWSLDSILADFASQQGNAKGSKKVAQKPSSKSQVGIFVALAMVLRRKPDALITALPSLRETSKYQGQDKLPIIVWMVAQASHGDVAVGLYSWSHLILPIVGGKSGSNPQTRDLILQLVERILSAPKARTILVNAAVRKGERLMPPFALELLLRVTFPSSSARVKATERFEAVYPTLKEVALAGSPGSKAMKQVSQQIMTVSLKAAGEGISELSNEASGIFIWCLTQNPDSYKLWEKVYEDNLEASVVILKKIAEKWKDLSVKQSSLDTLTETLRSFKNMNEKALTDGEISEGQQGLYKEADKYCRVILGRLSRGWGCVKGMALIVIAIGVAVAFTPPTALESLDLTKIPSMLNIHYST >cds-PLY97756.1 pep primary_assembly:Lsat_Salinas_v7:4:375839904:375842928:1 gene:gene-LSAT_4X184861 transcript:rna-gnl|WGS:NBSK|LSAT_4X184861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKESTTPTLDISEPLLHHESSNSTTETETETETETIPEWKDQITLRGLVVSALLGTLFCIITHKLNLTVGIIPSLNVAAGLLGFFFVKSWTTFLENFGFSVRPFTRQENTVIQTCVVACYGLAFSGGFGSYLLSMDERTYNLIGSDYPGNRAEDVKNPGLLWMTGFLFVVSFLGLFSLVPLRKVMVMDYKLTYPSGTATAMLINSFHTTTGADLARKQVSCLGKYLSISFVWSCFKWFFGGIGDSCGFDNFPSLGLTLFKNTFYFDFSPTYIGCGLICPHIVNCSVLFGAIVSWGFLWPYISTRAGDWYPADLDSNDFKGLYGYKVFIAISLILGDGLYNLVKIILISINAVWNNTTKTKQDLPVNVRKELPESETSKSQLEQQKRDEVFLKDGIPTWFAGCGYVGLAAISTATMPLIFPPLKWYLVLCSYIIAPALAFCNSYGTGLTDWSLASTYGKIGLFIISSLVGSNGGVLAGLAACGVMMSIVSTAADLMQDFKTGYLTLSSAKSMFVSQLVGTAMGCIIAPLTFWMFWTAFEIGSPDSPYKAPYAVIYREMAILGVEGFSQLPNHCLALCCGFFVAALVLNVLRDWAPSKISRFIPIPMAMAVPFYIGAYFAIDMFVGTVILFVWERLNKKESEDYAGAVASGLICGDGIWTIPSAILSIFRIDPPICMYFGPSVTG >cds-PLY93923.1 pep primary_assembly:Lsat_Salinas_v7:7:181267771:181270682:-1 gene:gene-LSAT_7X107220 transcript:rna-gnl|WGS:NBSK|LSAT_7X107220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPGSLLWPVTEPQLQLYFLLGLVYSTITPILLPFIIVFFEFSYMFIHHMNTSQDLNLMPSVDLKNAISVNRNSEDSMNSGKIMFLRFAHLLLISWLCKLLGFLYVNLVMFKILCSGDIPAATEEDVDVAVDAAHKALQISSRESIFNHGSYHTRIDTSNSRCFAVNACSKKPDQNIHLESKVLLEPIEMTCDPTYLVNFVELYTVLASFQSHEGRVLNSLNGIKDMKSRLTSKAEYMLSGRKRMMWDISLINIKIIIPWENGKSEIHKLVLGLTAVTFSSKHDVSCFAPDINVPSQFMRNLIDYNSSSELLEGTQIQDLYALLEIKLVDFQWSSNGPLLEILMGSLLFHGIITANVMEGSVDXELQ >cds-PLY62223.1 pep primary_assembly:Lsat_Salinas_v7:5:162709395:162710823:-1 gene:gene-LSAT_5X71481 transcript:rna-gnl|WGS:NBSK|LSAT_5X71481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIELPAKGTDMWELPLRYNNKGITIGSPPRPPPQVHENPMISKSKDQSSTDMRTGIQKRKRRNKQQNVVELEPSCWNRICPQDVVDAGIHLNPAKKKHSVWFSLTPSCDQNRKNTLQLLVEPYIQIIMEGNCNPDVSILMKYIVLQLKHVCQQEVGIFLNGKLLAPEMKLLDVLKQWMAIVDLERKITKIGSSAENFCVKLTYARRE >cds-PLY93539.1 pep primary_assembly:Lsat_Salinas_v7:5:103534388:103546242:1 gene:gene-LSAT_5X45441 transcript:rna-gnl|WGS:NBSK|LSAT_5X45441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAQSQRSPSPSQPSGKGEVSDLKMQLRQLAGSRAPGTDDSKRDLFKKVISYMTVGIDVSSVFSEMVMCSATSDIVLKKMCYLYVGNYAKYNPDLALLTINFLQRDCKDEDPMIRGLALRSLCSLRVPNLVEYLVGPLGAGLKDGNSYVRMVAAVGVLKLYHISASTCLDADFPALLKHLMLNDPDAQVVANCLSALQEIWGLEASTSEEAVREREALISKPIIYFLLNRIKEFSEWAQCTILELVSKYAPPDSNEIFDIMNLLEDRLQHANGAVVLATIKLFLQLTLSMTDVHQQVYERIKAPLLTLVSSGSQEQSYAVLSHLHLLVMRAPMLFASDYKHFYCQYNEPFYVKKLKLEMLTAVANESNTYEIVTELCEYAANVDIPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMEKDYVTAETLVLVKDLLRKYPQWSHDCIAVIGNISSNNVQEPKAKAALIWMLGEYAQDMQDAPYALEGLIEDWEDETSPEVRLHLLTAVMKCFFRRPPETQKALGAALAAGLADMHQDVHDRALFYYRLLQHSVSVANSVVNPVKQAVSVFADTQSSEIKDRIFDEFNSLSVLYQKPSYMFTDKEHQGPYAFSEELGHLSIGAEPAAAAAAADVDXLDISAAAAADEEHIQANDGDLLLTTTEKEESRAHDSDYSLSQLSVQQPTSTIDDIFGLGLSVAPAPPPLKLNAKPVLDPATFQQKWRQLPVAVSQEGSISPQGVAALTSSLEPLLRHMQAHHINCIASGGKSPNFKFFFFAQKASDSSNFLVECIINSSTCKAQIKIKADDQTTSQPFFSLFQSALSNFGIIAS >cds-PLY97668.1 pep primary_assembly:Lsat_Salinas_v7:8:6171241:6174540:-1 gene:gene-LSAT_8X5340 transcript:rna-gnl|WGS:NBSK|LSAT_8X5340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLTSSAASPANYDEIAMQQTLLFSDSLKDLKNLRKQLYAAAEYFELSYTNDDQKQLVVETLKDYAIKALVNTVDHLGAVSCKVNDLLSEKVNEVSTTELRVSSIQQRLRVCQGCFDHEGLAQQSSLINIPKYHKRYILPVGETMQGGMRTKSKYQGCSLDDEDEWHEFKNAVRATIKEKETPPSLASKRRSPSPTPQQPGSFAFTAAVTRKDLEKRSISPRRFSLLRTGSLSSRPTTPNSRSTTPTNRSRPTTPTSVSRQMLSEPRKSASMRMHADRETNRETDQNQNQIPSKSKRLLKALLSRRKSKKDDMLYTYLDEY >cds-PLY85572.1 pep primary_assembly:Lsat_Salinas_v7:2:195137975:195139156:-1 gene:gene-LSAT_2X115601 transcript:rna-gnl|WGS:NBSK|LSAT_2X115601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRNKSKADLFAELKDLKAELALLRVAKVTGGAPNKLSKIKVVRTSIAQVLTVISQTQKSALREAYKNKKYLPLDLRPKKTRAIRRRLTKHQVSLKTEREKKKEKYFPLRKYAIKA >cds-PLY97985.1 pep primary_assembly:Lsat_Salinas_v7:8:287176399:287178430:1 gene:gene-LSAT_8X161281 transcript:rna-gnl|WGS:NBSK|LSAT_8X161281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFVGDVKGVTEGNPKTEAKRNFDYIRNSIFIGVNRKWVWGANKRSHTGSDEEEGTRDPNVAPSDFTSREAKVINHQQYDEKADVFNCAIVLWELVTAKVPYEKMTPLAPLEEDAETLFHHRXRKKEALLTIVHLQKMGLGVIETNKGVPVKQETEIRIKIGAGRTEMERVEIKIEKEKDKENNKETEVVTGIGRGTE >cds-PLY73063.1 pep primary_assembly:Lsat_Salinas_v7:2:30243848:30247000:1 gene:gene-LSAT_2X11981 transcript:rna-gnl|WGS:NBSK|LSAT_2X11981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT1G56590) UniProtKB/Swiss-Prot;Acc:F4I562] MLQCIFLLSDSGEVMLEKQLTGHRVDRSICAWFWEQSISQPDSLKVLSVIASPTHYLFQIVREGITFLACTQVEMPPLMGIEFLCRVADVLSDYLGGLNEDVIKDNFVIVYELLDEMIDNGFPLTTEPNILRDMIAPPNIVSKMLSVVTGNTSNVSDTLPGATSSCVPWRTTQLKHANNDVYVNLVEEMDTIINSDGVLVKCEIFGLVEMNSHLSGIPDLTLSFANPSILNDVQFHPCVRFRPWESEQILSFVPPDGLFKLMSYRVKKLRNIPIYVKPQLSSESGTCRINVMVGIRNDPGKAIDSVVVEFRLPPSVSSANLTSNHGTVNILADKTCSWSIGRFPKDKTPQMSGTLVLEEGVEKLQVKPTFQVGFKIMGVALSGLKIGKLDLKNLPNPAHKGFRAQTEAGLYEVRS >cds-PLY65850.1 pep primary_assembly:Lsat_Salinas_v7:4:85985109:85986692:1 gene:gene-LSAT_4X56781 transcript:rna-gnl|WGS:NBSK|LSAT_4X56781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSDLDIIFVKFDSSVKSLSEFINSEGLLSNGNYAIVVSRPPPTASRDDIQFYVKDLLCRFKIGRIEMKKQALVSFNEVIQEDERYVKIAMEIDGLVHVLMKFLNSKEIEIQEEALKSVATLSGFDSYKSLLVGTGVISPLIRVLETGSDLGKELSTRCLMKVTANSDNAWSVSAHGGASALLKICGSGCDDAAGGELVGLACGVLRNLIGVDEIKRFVVEEGVIPMSIKLVKSKNEASQISAIDFIQVLASGNEFIRGLIVNEGGIRVLVRVLDPKSSYSSKSREKSMRALIILCSDSIGYINSLKNYNFIDHILYFLKNGEVSIQESALRAAFWLCGSSDEFKKAMGDAGFMPEMVKFLDAKSFEAREMASETLFRLVVVPRNQKRFVQNDQNVNFLLQLVNPDEGNSGNRKNLLSIIMSLTFCNDGRKKILSSGYLKNIEKLAEDQVLDAKKIVRNLSSNRFRSMIRGIWHS >cds-PLY97699.1 pep primary_assembly:Lsat_Salinas_v7:8:7769203:7770789:1 gene:gene-LSAT_8X5760 transcript:rna-gnl|WGS:NBSK|LSAT_8X5760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNNLSVLEALDTARTQWYHAKAIVIAGMGFFTDAYDLFCISTVSKLLGRLYYPEHTTDGNPGKLPTHINNAVIGVALVGTLSGQLVFGWLGDKLGRKKVYGITLILMVICAICSGLSFGFSPKAVIGTLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGSFIAAVFAMQGVGIIFAGLVSMIVSHVFLKANWAPAYEDNPVLSTQKNADYAWRIVLMIGALPALLTYYWRIQMPETGRYTAVIEGNAKQAAADMGRVLDIEIQAEQEKLAMFKANNDYPLNSRKFINRHGRHLIGTMTTWFLLDIAFYSQNLTQKDIFPVMGLTKKAKQVNALEEMFETSRAMFVIAMFGTFPGYWFTVAFIEKLGRFNIQLMGFFMMSIFMFIIGIKYDALATHENRWLFAGLYGLTFFFANFGPNSTTFVLPAELFPTRVRSTCHAMSAAAGKAGAMVGAFGIQTYTLQGDRHKIKKAMIILAVTNMLGFFFTFLVTETKGRSLEEISGEDGSKDKAEAQMSNRGDREVQEI >cds-PLY77513.1 pep primary_assembly:Lsat_Salinas_v7:4:49224196:49230013:1 gene:gene-LSAT_4X33240 transcript:rna-gnl|WGS:NBSK|LSAT_4X33240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFFDPEGGFILQWNKTFLLCSVIALSLDPLFFYIPIVDGTQKCLDVDHKLKMVVCVLRSFADMLFVFHIIIQFRTAYVPRHNHILGKRIVRDEPHYVATRYFSSYFIIDVLAALPLPQLAILVIIPNLDGPTPFLTERILKLVIFSQYVPRVIRISLFYRKVTKISGFLTEKAWAGGAFNFFLYVLASHVVGALWYLFAIESELRCWAIACQRHNCDSKYLYCGEGRMGDFGFLNDSCPLLERNEIKDSTNFDFGIFLDALQTRVLETRDFPQKFLYCSWWGLQSLSSLGQGLKASTFYGEILFADFIAILGLVLFALLIGNMQKYLQSFSNVTLRVEEMKEKRREAEDLMSHISLPENLRDRVRRHKHYKWKITKGVELDSFVRGLPRDLRRDIKRHLCLPSLMRVPMFEGMDGHLMDAMCERLKPVLYTQNSYILQEGDPVPEMLFITRGRVLSFTTDGGRSNFLNYTDLGAGDFCGEELLTWALDPATPALPFSTRTVQAVTDVEAFGLEVDDLMFVASQFRRLHSRRLQHTFRFYSQQWRTWAACYIQTAWRRHRKRMQELEDTLQDALATVRESSSLSFGALIYVARFSSNALRMLRQINAQAQLSTPNLHLMLPLKPAHPNFENDTTIATL >cds-PLY93465.1 pep primary_assembly:Lsat_Salinas_v7:9:61554678:61562201:-1 gene:gene-LSAT_9X52960 transcript:rna-gnl|WGS:NBSK|LSAT_9X52960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucomutase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51820) UniProtKB/Swiss-Prot;Acc:Q9SCY0] MAMSAGLGTSFCSLPSLHRLTTATTNAASFSFLKLSSPSSSLLFSARLPPTNRHEYSVIKASSSTTFTESHDLKVNTITTKPIEGQKTGTSGLRKKVKVFMQENYLANWIQALFNSLPPEDYKNALLVLGGDGRYFNKEAAQLIIKIAAGNGVGKILVGKEGILSTPAVSAIIRKRKASANGGFIMSASHNPGGPEYDWGIKFNYSSGQPAPESITDMIYGNTLSISEIKLADIPDVDLSSVGVTKYGNFSVEIVDPVSDYLELMEDVFDFSLIKSLVSRSDFRLTFDAMHAVTGAYAKPIFVDKLGATLDSICNGVPLEDFGHGHPDPNLTYAEDLVKIMYSDNGPDFGAASDGDGDRNMILGRQFFVTPSDSVAIIAANAQASIPYFQTGPKGLARSMPTSGALDRVAEKLNLPFFEVPTGWKFFGNLMDAGTLSICGEESFGTGSDHIREKDGIWAVLAWLSIIAYRNKSKKEGEKLVSVSDIVKEHWATYGRNYFSRYDYEECESEGANEMINHLRDLISKSKQGDKYGDYTLELADDFTYTDPVDGSIASKQGIRFVFTDGSRIIFRLSGTGSAGATVRIYIEQFEPDVSKHDLDAQVALKPLIDLALTVSKLKEFTGREKPTVIT >cds-PLY67769.1 pep primary_assembly:Lsat_Salinas_v7:9:166421931:166423157:-1 gene:gene-LSAT_9X102460 transcript:rna-gnl|WGS:NBSK|LSAT_9X102460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGPPLPKFGDWDVNDPASAEGFTVIFNKARNEKKTGGTSDSPPKNDSTYNKSGTATLGKPQSKKWFCCMA >cds-PLY87555.1 pep primary_assembly:Lsat_Salinas_v7:8:257822671:257830685:-1 gene:gene-LSAT_8X151721 transcript:rna-gnl|WGS:NBSK|LSAT_8X151721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWGFCSLIDFSFVFELYKGAFEVLEFPSMAAILNTGNVSFFLWLFIWGFADILKQTRGERTRFSINFSRFSKITLASNFIILISHIGFCVYKFLKHEVVPSESVTSALTWSLATIVTVYSFFSRRDEHTRKWPWVLVLFWCFSMILDSVSVTFIIFNYLKSKNMHIFLSKSNLVDMATFPFSILLCFDGLTYRVTKKHEELDEPLLQETSKDTSAFTKSGIWKRVTFNWLNPLFELGHAQKLEFNHVPSIPESETAQEAACLLEESLQKQKTRGSVLTMAIIHAIRQSLAINAIFAGVNTLASYMGPILITSFVNYLSEDDNDSSYQKGIILSCIFFLAKTIESLSQRQWYFGAQRIGIRIRAALIVLIYKKSLSVKYGTTSNGNIVNLINMDVEKIGEFFWHIHGIWLLPIQVLLALIILYMNLGFAPSMAALISTILVMIINVPLANMQKDFQTKVMESKDSRIKATSEILKSMRVLKLHSWQSNFKKKLIALREKEQNWLKKYLYTCSAIAFLFWTSPTLVSVSTFGVCIFLKIPLTPSVVYSTLATFRILQDPIYNLPDLVSMVAQTKVSLDRIKDFIIKDSTTDQDFVMEQDVAIEIEPGEYAWDTNDLDQRKPTIKISQKIKIPKGYKVAICGSVGAGKSSLLCSILGEIPRISGGRIKVFGSKAFVPQSAWIQTGTIRDNILFGRGMNKGFYDEVVDGCGLDRDFETLVDGDLSVVGERGLNLSGGQKQRIQLARALYNASDVYILDDPFSAVDAHTGAHMFKKCLMNLLDKKTVVYVTHQLEFLSAADLVLVIKDGVIVQSRNYNDLIADPTSEFARQIAAHSMSLNPLHETKTLTTFPQTNHGAPLELKHEPCRTRVGSIETIPQEESQSGRVKFSVYSTFITSACKGALVPVILICHTLFLALQMGSNYWMAWATEDEGRVSSKTLIEIFVVLSGGSSLFILVRAFLLSVIAIKTGQNLFLQMINSVFRAPVSFFDSTPSSRILNRSSTDQSTVDVDVPYRLAGLVFAIIQLLSIILLMSHVAWPIFLLCIIVIAISIWYQAYYITTARELARMIGIQKSPIQHHFSESISGALTVRCFNQEVRFLNKCLKVIDDYSRVTFHNTATMEWLCVRINFLFNLVFFILLVVLVHLPRASIDHSLAGLAVTYGLSLNVLQAWVIWNLCNVENKMISVERILQFANIPSEAPETIKDYKPEPNWPTLGQIELKDLHVQYHPALPTVLKGITCIFPGQKKIGVVGRTGCGKSTLIQALFRVVEPTKGCILIDGIDVSKIGLHDLRSKLGIIPQDPTLFQGTMRTNLDPLEEHSDCEIWEVLNKCRLADIVRQNKRLLDTPVAEDGENWSVGQRQLVCLARALLQKRSILVLDEATASIDTETDNIMQKTIREETSRCTVITIAHRIPTIVDSDLVLVLDQGEVAEYDSPARLQEDASSAFSKLVNEFLRRSV >cds-PLY62706.1 pep primary_assembly:Lsat_Salinas_v7:6:55424440:55427977:-1 gene:gene-LSAT_6X39540 transcript:rna-gnl|WGS:NBSK|LSAT_6X39540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSVDDLADDLRSISFNSTTTTTATDINRSTSSGSETTWTTATSSARHNIHLPCNTATKPHAPSGDSCWDAVRQSGPESDGKLTLADIRFLHRLGAGDIGSVYLAKLKCSPSPPSSAIFAAKVMDKRELASRNKEGRSKTEREILEILDHPFLPTLYASLDSPKWSCLLTDFCPGGDLHVLRQRQPCKRFPESAVRFYVSEVIVALEYLHMLGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCDLSTSTPAQVFSDHHHLNPPSTTDHRPLNPPKFTTSSCILPNCIVPAVSCFTPKRKRKKKPASHHGPEFVAEPVDVRSMSFVGTHEYLAPEIVSGEGHGSAVDWWTLGIFIFELFYGVTPFRGVDNELTLANIVARALEFPKQPVIPATARDLISQLLVKDPGCRMGSTMGASVVKHHQFFSGVNWALLRCTTPPFVPPPFDYQEVVDDGCPGTPVEYY >cds-PLY76488.1 pep primary_assembly:Lsat_Salinas_v7:1:109939793:109945539:-1 gene:gene-LSAT_1X87220 transcript:rna-gnl|WGS:NBSK|LSAT_1X87220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFYRSVSLLSKLRNRVVQQPSLTNSVRWLQIQTSSDLDLHAQLKELIPEQQERLKKIKSEYGKVQLGNITVDMVLGGMRGMTGLLWETSLLDPDEGIRFRGLSIPECQKVLPAAKPGGEPLPEGLLWLLLTGKVPTKEQVDALSKELRSRATIPDHVYKTIDALPVTAHPMTQFTTGVMALQVQSEFSKAYEKGIHKSKYWEPTFEDSLSLIAQVPAVAAYVYRRIYKGGERIPVDDTLDYGGNFAHMLGFDGPEMQELMRLYVTIHSAHTGHLVSSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVAECGENVTTDQLKDYIWKTLNSGKVVPGFGHGVLRKTDPRYMCQREFALKHLPNDPLFQLVSKLFDVVPPILTELGKVKNPWPNVDAHSGVLLNYYGLTEARYYTVLFGVSRAIGICSQLIWDRALGLPLERPKSVTMEWLENKCKK >cds-PLY86791.1 pep primary_assembly:Lsat_Salinas_v7:5:15409857:15413897:1 gene:gene-LSAT_5X8861 transcript:rna-gnl|WGS:NBSK|LSAT_5X8861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRDQQTNDDIVLGGVSRRYSKSGSSKWLGTSFSRASVDNKQGNTIPPSLMELCIYKISQEIDEYGTFSMLPRDISQQIFNELVYSQRLNEASLEAFLDCALQDINLGEYPGVDDTWMDVISSQGSSLLSADLSSSDVTDSGLLHIKECKNVEALNLNFCEHISDVGLGCITGLSNLTSLSLKRNTSITAKGMSVLSGLVNLSKLDLERCSGIHGGLVHLRGLKKLEALNMNCCNCITDADMKPLSDLRNLKELQISSSKVTDNGVTFLKGLHKLALLNMERCPITAACLDSLSDLVALLFLNVSRSNITDDGCDKFSKLKSLKVLNLGFNDMSDAVLAHLKGLINLESLNLDSCRIGDKGLVHLAGLVCLKCLELSDTEVGNNGLRHLSGLVNLESLNLSFTLITDGGLRHLSKLSSIRSLNLDVRQITDAGLAILTSLTGLTHLDLFCAKITDTGTNHLRNFKKLRSLEICGGGLTDAGVKNIKDLKSLMLLNLSQNSHLSDKSLEMISELTNLVSLNVSSSRITSAGLKHLTSLKKLKSLSLESTKVTANDIKRLHESHLPDLVTFRPE >cds-PLY64541.1 pep primary_assembly:Lsat_Salinas_v7:6:36691265:36691747:-1 gene:gene-LSAT_6X27980 transcript:rna-gnl|WGS:NBSK|LSAT_6X27980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTFESLLTIILFTLLVKGHGQCQLKDLTVLTSQTPRQIQGVQEWQVMFVNNCKCTLKGVTVSCKGFHSVENVDPNVFAPIGNDNCIVNGGRPIEPFASVMFLYADPQHFVFEPVSHDMVCVST >cds-PLY92143.1 pep primary_assembly:Lsat_Salinas_v7:8:5293908:5299240:-1 gene:gene-LSAT_8X3880 transcript:rna-gnl|WGS:NBSK|LSAT_8X3880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLINRSGRSVGERVNRTPAHSMRRDLKVVVLSSDSRLIESYEMTEDSGLNRQNELRTKRRFDRCKIKGKDNVTVKKLDEDEDSDFEVDKPVVTRKKRRHHTSFKDDEKENVKKFKRQRNKEGNVLKPRKLPKVVASGAEEARRIQVRTSPNVLYSYMHNLSKEQEAYIYSIGLGHLLNMKVDGCASIMGHYIVRNFNADRMVLKLHHGEIPINRQVIHEMLGLPLGHVTIKSMPYREVTDDTITVWRKQFEDEDNIRPRAVQQVIMQSAHADLMFKVNIFVLLCNTLGQSMSMGTCDMSMLSKVTKDLDLSDIDWCGYVFDCLKETKSAWNPNSKKGFYVGPIILLLLLYVESVRCDSVKIVRCRPAICCWNVDKLRERERVECRTIGLGMGELQDPFQVINEASGIGNVGQEKVQGNDAGGVRCKGNQGDDIFSGSGESVETTISSIKEMYDMILQQKKVLEDKINDVVKKYPDNQLVKECKNKVNDLFTEVSASEEPEQSQWWYDNEAEIERTVILATTNKQFDNSPTTKCGIQMSQEYADFANRSGTKSFKNTPPSKMEMPIPLSIVPFNNDEHWVSRRGYRPRMKSEYLKSPYIIRAVDIIKGVPRQEKRVAEWIFSLQGEPNKVDDPDGERYGQLPQIIKEYIVDYLKSQNHPKAEMFSHVMPHRLEMSWRTINNSIDCGVFTMCHMETYMGGSMNEFKVGFKNESSAQDDQLVKLRTKYLYKIITHEYNVQKDYVLQKVDEFHKIPSKQRSQLLAIAKEEIHRRLDDLS >cds-PLY69440.1 pep primary_assembly:Lsat_Salinas_v7:6:122003899:122009909:-1 gene:gene-LSAT_6X73140 transcript:rna-gnl|WGS:NBSK|LSAT_6X73140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKVVYDGWMVRYGRRKIGRSFIHMRYFVLESRLLAYYKRKPQDNVVPIKTMVIDGNCRVEDRGLRTQHGHMVYVLLIYSKKDKHNRITMAAFNIQEALIWKEKIESVIDQHQESLVANGNKYHSFEYKSGMDSGRNASSSDQESQYSAAEDEEDSRPSLMRRTTIGNGPPESILDWTQETSTLSSQNNHNQAFSRKHWRLLQCQNGLRIFEELLEVDFLPKSCSRAMKAVGVVEASCEEIFELVMSMDGTRSEWDCSFQDGSLVEEVDGHTAILYHRLQLDWFPTFVWPRDLCYVRYWRRNDDGSYVVLFCSREHENCGPQPGYVRAHIESGGFNISPLKSRNGRPRSQVQHLMQIDLKGWGVGYVSSFQQHCLLQMLNSVAGLREYFAQSDERTAPRIPVMVNMASCSAPSKKSNKIQLTSVHNRSQSLDNAAKMMDEYSDEDEDLPIPDEEETKRTAFEEEPVVQIDFSCFSGNLRRDDNENGRDCWRISDGSNFRVRSKRFCYDKSKMPGGKPLMDLVAVDWFKDTKRMDHVARRPGCAAQIASQKGHFSMVFNLQVPGSTNYSMVFYFVSKELTMGSLLQRFVDGDDEFRNSRMKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGANYLEVDVDIGSSTVANGVLGLVVGVITSLVVDMAFLVQANTTDELPERLIGAVRVSHLELSSAIVPKLEPDPKPS >cds-PLY74205.1 pep primary_assembly:Lsat_Salinas_v7:9:24332171:24332527:-1 gene:gene-LSAT_9X21761 transcript:rna-gnl|WGS:NBSK|LSAT_9X21761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPVTQFKKKIESDKVNHCDESTECSICLGEYEDDEWVKTIPNCSHVFHVSCIDTWFQTHSNCPLCRSDVFDLEVSVSTCGSRGNLIREEVDEERSVFYQTLRSHILQNSNFARLDQN >cds-PLY93761.1 pep primary_assembly:Lsat_Salinas_v7:6:146837961:146848945:1 gene:gene-LSAT_6X89141 transcript:rna-gnl|WGS:NBSK|LSAT_6X89141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNTVVNSLVGAGGGHGSLPEHNNANGEHSVSGDLHGVQPDPVAVDILRKEPEHETFLRLRISPFETPSYDEAEVYRALQVCLEMQKSYVFRESIAPWEKQVISDPSSPKRNPNPFEYTPETKYDVVIFCDGTYLTLKEVFETLDLTGYDLIGDQLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQVMDDLDINDIGNDHYDEENHQFSFGDSEILHNDENQSEIQGNYVTDIGYSYRMMLFRVTMLQHNVALANNSLELMVLSFGFQRLKLVGYLLWVQFLKISKMVLSG >cds-PLY72912.1 pep primary_assembly:Lsat_Salinas_v7:1:208566393:208570700:-1 gene:gene-LSAT_1X120881 transcript:rna-gnl|WGS:NBSK|LSAT_1X120881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNSPLAFKSRKDHMKQMELEEAREVGLAPAEVDEDGKEINPHIPQYMSSAPWYLNTERPSLKHQRKWKSDPYYTKSWYDRGAKIYQVDKYRKGACKNCGAMTHTTKRCMERPRKLGAKWTTRKKFLKDQQLKKLEEKGNTQNVEEVVSDDEDNEETLVLEALSHIQTLTLLHYRTTWMLSIMFDMGDVLIGDTTTGFCSDGCVTIADSRTSLLAGIMGDNQNRVSGQA >cds-PLY84769.1 pep primary_assembly:Lsat_Salinas_v7:5:228938995:228939273:1 gene:gene-LSAT_5X108760 transcript:rna-gnl|WGS:NBSK|LSAT_5X108760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVILVVFVSFGCVFFLVPILFALCYIIKKLKCSKMAEKTEMVHIDEHLKVRENVVQGPNGMKAVAITIDDDLHVDEEEESRKKEKLGKEHH >cds-PLY99202.1 pep primary_assembly:Lsat_Salinas_v7:4:367159759:367162869:1 gene:gene-LSAT_4X179920 transcript:rna-gnl|WGS:NBSK|LSAT_4X179920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRRFIGQASIAQRCRCLISRQSSADTLRRCSSSATASSQAPVGTSAEQPTNTQFSDDYTSQPAARISIDRSGLSNPPEHSHETSKDSELVKHLKSIIKFRGGPISVAEYMEEVLINPKAGFYINRDVFGAEGDFITSPEVSQMFGEMVGVWAMCLWEQMGQPDRVNLVELGPGRGTLMADLLRGASKFEKFTKSLNIHMIEVSPALKKIQKLTLKCEDEDENTATTLTGTPVSWHSTLEEVPTGLPTIIIAHEFYDALPVHQFQKASRGWCEKMIDVAEDSKFRVVLSPQPTPATLYLLKRCKWAPTEDLSKLEHVEICPKAMDLTQNIAKRISSDGGGALIIDYGLDGIVSDSLQAIRKHKFVNMLDDPGSADLSAYVDFPAIKHSAEEVSEDVCVYGPITQSHFLGNLGINFRVESLLQNCTEEQAESLRTGYWRLVGEGEAPFWEGPNEQMPIGMGTRYLAMAIVNKKQGTPVPFH >cds-PLY71755.1 pep primary_assembly:Lsat_Salinas_v7:3:47271465:47273177:-1 gene:gene-LSAT_3X36220 transcript:rna-gnl|WGS:NBSK|LSAT_3X36220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNAALLCKNGNLIALLENCRSLCQFKKLHSIIITFGLPLTQVDAFVSSFLSFAATHSKNMDYAYAIFLQLPNPTIFNWNTIIRGYSKSKNPNKSISVFVDMLRMGITPDHLTYPFLAKAASHLQEVRLGLSVHGRVIRDGFDADRFVKNSLIHLSSSFKDPGYARKLFDEMPNKNLVSWNSMLDCYVKCKKVSMAREVFDSMPERDVVSWSSMIDGYVKGSEHSEALAIFQKMHGSGINANEVTMVSVLGACAHLGALDQGIMMHHYIINKKIALTLVLRTSLVDMYAKCGAIEEALSVFHGAIMKQTDVLIWNAMIGGLATHGFVHESLDMFKEMQKNKITPDEITYLCILSACAHGGLVNEAWYYFKSLNQNGLNPKTEHYACMMDALARAGKLKEAYTFLSQMPMEPTASMLGALFNGCINHRNLDLAEVVGKKLVELEPDHDGRYVGLSNVYAVIKRWDEARTMREVMEKRGVKKSPGWSFVEILGSPHRFIAHDKTHPQSEQIYSMLSFVVKQLSDIDSEMLHDCIYVNCILFD >cds-PLY96267.1 pep primary_assembly:Lsat_Salinas_v7:6:157877509:157879808:-1 gene:gene-LSAT_6X95781 transcript:rna-gnl|WGS:NBSK|LSAT_6X95781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDIEAGRPLNSRRAGYTGMKPQDPTQAIASGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSEKLKQASETDHRAEVSASKKITDAKLAKDFQAVLKEFQKAQRLAAERETAYSPAVPQAIHSSRNEAGEVDASSGRSPEQHALLVQSKRQEVLLLDNEIAFNEAIIEERDQGIQEIQNQIGEVNEIFKDLAVLVHEQGTMIDDIGSNIENSHAATLQGKSHLAKASKTQRSNSSMTCLLMVILGIVLLIVVIILVV >cds-PLY64595.1 pep primary_assembly:Lsat_Salinas_v7:6:36477655:36479109:-1 gene:gene-LSAT_6X28861 transcript:rna-gnl|WGS:NBSK|LSAT_6X28861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATETLHFLLVPHIGPGHTIPMIDMAKLLAKQPNVMVTIATTPLNAVRYGVTKAELPFRFLELPFPTAEAGLPEGCEMTDKIPSVDLVPNFLAAIDMLQQKLEERFGMLKPRPNCIISDKYMSWTGDFADKHQIPRIMFDGMSCFNELCYNNLYVTKVFDGLPESQPFVVPGLPDKIELTRNQLPPEFNPSSIDTSAFRQRARDAEVKAYGVVINSFEELEQEYVHEYKKLRKGKVWCIGPLSLSLNNDSEKSQRGNVSSINEDQCLKWLDSKKPESVVYSCFGSLVRVNTPQLIELGLALEASNHPFIWVIRSDTREKEVEEWLSESGFEERVKDRGLIIRGWAPQVLILSHPSIGGFLTHCGWNSTLESVCAGIPMITWPQFAEQFINEKLVVQVLGIGVSVGVDSVVHVGEEERFGVKVKREGVQKAIEKVMECGIEGIERRNKAKEIGKMANDAIKEGGSSSLNLRLLIQDILHYAKLQM >cds-PLY92132.1 pep primary_assembly:Lsat_Salinas_v7:3:142914342:142914884:1 gene:gene-LSAT_3X93341 transcript:rna-gnl|WGS:NBSK|LSAT_3X93341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVDNVFVEDVVEGHEDAVNNPPPLVPPPAQFPNNNNGNNGNNNNVGAPIIQLVQPQPKKNNRGQNGGSGGKWAQNMGVSVENHNGGNVRNQNQNPRDVGPQFVNGGNGGNEDDWDSDNGSDNGICWNQNHNGGNRHNQGNQGGNGNYNNWNNQNFHNGGNNDYNDGFENQGFGNQYRRI >cds-PLY65083.1 pep primary_assembly:Lsat_Salinas_v7:4:202809377:202811744:1 gene:gene-LSAT_4X115160 transcript:rna-gnl|WGS:NBSK|LSAT_4X115160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVLFSNFSIVGMNVHSSKLVIMYITLHSNWMNSEYCDLFVKSQTAKLTLAMNDTGTLLVSGGTEQVHALCTRS >cds-PLY62545.1 pep primary_assembly:Lsat_Salinas_v7:4:355167432:355168276:1 gene:gene-LSAT_4X174940 transcript:rna-gnl|WGS:NBSK|LSAT_4X174940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKKPILFPPTMLPFAALSQPHHCSPPTDDALAIFSPTMNINHIPLHHSSFIYVFDAQHKPSSDDDEEIPRMTTGGKVRNQPTILTISLFLPLCINDAYWKRR >cds-PLY90202.1 pep primary_assembly:Lsat_Salinas_v7:9:202420197:202424744:-1 gene:gene-LSAT_9X125160 transcript:rna-gnl|WGS:NBSK|LSAT_9X125160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKGLYGSIDEFLKDCSQSGDSAYSAFRSLLERLEDPKTRTEARIFFAHLKKKLESDGASDQCLDTYHFQIQDVYLGQNEGYQKRNKLTMMVIPSIFMPEDWSFTFYEGLNRHPDTIFKDKIVAELGCGNGWISIAIAERWLPSKVYGLDINPRAVKISWINLYLNAFDENGEPIYDHEKKTLLDRVEFYESDLLSYCRDNHIELERIVGCIPQILNPNPDAMSKLITENASEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGIEVMKPMGIMIFNIGGRPGQAVCKRLFQRRGLIVTQLWQTKILQASDTDISALVEIEKKNPHRFEFFMGLVGDQPICARTAWAFGKAGGRISHALSVYSCQLRQPNQVKKIFEFLKNGFQDISNSLDLSFEDDSVADEKIPFLAYLASVLKDDSHFPYEPPSGSKRFRNLIAGFMKTYHHVPITADNVVVFPSRATAIENALQLFTPRLAIVDDHLSRHLPRQWLTSLQMEHNENDNSCASGITVIEAPRQSDLMIELIKKLRPQVVVTGMAEFEAVTSSAFEHILMTTMEIGARLFIDISDQFELSSLPSSNGVLKYLARTPLPPHAAIICGLLKNQVYKDLEVAFVISEEPTICKALSKSVELLQGNIALISQYYYGCLFNELLSFQLPDRHPPAERESNDAKSSEMIGFSTSAISVLTNSEFSITETENSPLIHMDVDQIFLPTPTPVKSAIFESFARQNVTESECDTTPSLKTFIKTAYGFSTNHNSEFIYADCPQAIFTKLVHTCIQENATLCLPTGSNGNYISVAKFLNAKIMPVVTKPENHFKMTQDQLSDVLENVSKPWVYIAGPTVNPTGLIYSNEEIGNLLTVCAKYGARVIIDTSFSGVEFEQNYGLKEWDLDGSLVKLISGKPSFNVCLLGGLFFKMVSGGITFGFLVVDRRFWGDGIYNFSGLSKPHSTIRYTAKKLLDLREQKAGDLLDATQGQWKLLSGRFKQLKETLEGCGWEVVEACGGVSIVAKPSAYIGKKLELNQQNSSCSWKAVLNDSNIREAMVRATGLCINGPSWTGIPGYFRFTLALQDTDFNRALECIIKFNELVKSMAAAAIMWEHKRD >cds-PLY85963.1 pep primary_assembly:Lsat_Salinas_v7:3:201499613:201509093:-1 gene:gene-LSAT_3X119281 transcript:rna-gnl|WGS:NBSK|LSAT_3X119281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSKITFIKEIDPVNSDVTIKGSLIQANVFQNLFYKFEESLREGSTYEITNLSVAKHNPHLNSTIFSDLPNIITFKINSKVIPTRKSVGNIDVASYYDVTTVFINNEIDEIKHATARGTARATWLEKEPNTHPWWAMTAVASRENSYTADTATTNPNGRNHDRLLSRPQRRFLWSFPGYNNVRRQELEEGYDGPLLRRYRKTAAVSHRQQLSSAAATLAETEKEEE >cds-PLY71381.1 pep primary_assembly:Lsat_Salinas_v7:8:207184950:207191748:1 gene:gene-LSAT_8X131260 transcript:rna-gnl|WGS:NBSK|LSAT_8X131260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPIKLFLFFFTAVVFLSSHEAKEILLKNEVIRDAKHETLISPGKIFQMGFFELQPNHRYVGIWYYLDPKTVVWVANRDSPISSSSSIVTIQDDGNFVVKDSSTNYFTTNLHSGSGRRTLKLSDTGNAILEDESGKHLWSSFAFPTDTFLPGMYMEKTMKIISWKTPNDPGTGSFVFQKDQVFGYNNYTVFTGKSLHWKSGFGLEPNKNLTKIAMAALELLSNSTQKSTSSRLLMNSSGEIQFYSWDSRFSKWVLNWSEPKNYCSRFNVCGPNRSCNVTTNIENDSICNCLPGFELMDDVASSQQICKRTSTICSGNDTSFLGMEIMKIDVTFATFLESRSASECKEKCLGLDCCQAYSYNDVGNPELVRVGVPGGKQGCWIWSSGSYLVDVQVGDGVTGVEVFIRNPISKAIKKPPTTQEPNQSVKRSKSTSSAFIILSATAIPGILLFCCIAFICYRRRMNIRKAQGKEESESVHQFNESVRQVQDMLDPFNESDSPTIGIPFFDFEKISSSTDGFSEANMLGEGGFGPVYKGKFPGGLEVAVKRLSIHSGQGLEEFKNEVTLIAKLQHRNLVRLLGYCMKGNEKMLIYEYMPNKSLDAFIFDGTQSALLDWPKRFEIIMGICRGLIYLHQDSRLRIIHRDLKTSNILLDEDLNPKISDFGLAKIVNGKEVESNTKRVIGTYGYMAPEYALEGLFSIKSDVYSFGVVVLEIISGKKKHYQCDQAISLLNYAWHLWREGNPLDLMEQVLRESYNSDEVLKCLLIGLLCVQEDPDDRPTMSNVVTMLTSDIATLPEPKQPAFLVTKWTGVTGQMMSHAMTGQTTSHSVTGQTMSHSVTSQTKSLSRFAFEMI >cds-PLY62974.1 pep primary_assembly:Lsat_Salinas_v7:1:210714732:210720768:1 gene:gene-LSAT_1X128740 transcript:rna-gnl|WGS:NBSK|LSAT_1X128740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYSSDDDKPLIFRRNARPDTVKSSSQPSKPSPKPSNDEVDSEDDKPLSFRISTVSKGDTNHAKKGPGTSVSPLPKPSVKDEDSDDEKPLSSKYNSKSKVGSSNNHKPVVPKVHQNGSASKDNTQLKNIGLNKRPPDEERSASVKKPKLSDSVTPVNHKPVALKAEIKSDDDDDDDDVPISQRIKKQAPSSSNKSSSVKQKVMKKSSSSLNQTNKKSKKMMKQKQSKYSKPSKIPPGSGEGQKWTSLVHSGVIFPPEYKPHGVKMLYKGKPVDLTPEQEEVATMFSVMLDTDYMAKPQFKENFWGDWRKILGKNHTIQNLENCDFKPIYEWHQAEKEKKKLMTTEEKKAIKEEKMKIEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKKRIRPSDITINIGKDAPIPECPIPGERWKEIRHDNTVTWLAYWNDPINSKEFKYVFLAASSSLKGQSDKEKYEKARKLKGYIEGIRKAYTKDFGNSDVTKRQVAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVEPEPPNILKFDFLGKDSIRYQNEVEVELPVFKAIQQFRTGKAGNDDLFDKLDTSKLNAHLKELMPGLTAKVFRTYNASITLDDMLTRETKGGDVAQNLVVYQHANKEVAIICNHQRTVSKSHSAQMTRLNEKIDELKGVIKELESDLARAKKGKPPSNKNGPDGKPKRNLNPEALEKKIAQTNAKIEKMERDKETKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKLFNKSLLAKFAWSMDVDPSFRF >cds-PLY99538.1 pep primary_assembly:Lsat_Salinas_v7:1:114146789:114155417:-1 gene:gene-LSAT_1X88381 transcript:rna-gnl|WGS:NBSK|LSAT_1X88381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRLNLENYQIPLEEIKIATENFSPQRCIGGGGFGEVYKGKLWKRGRNRTVAIKRLGKDSHQGEHEFRNELEMIRRFDHENIISFIGYCDEDSEMIIVYEYAENRSLDHHLGDPNKIRHITWMQRLNICIGAAKGLNYLHSGLGEHNRVIHRDVKSANILLDNNFVAKICDFGLSKSGPRNQPDTKLYTKVAGTQFYLDPTYHESRILQKESDVYSFGVVLFEVLSGMLVYNGRSIGDQQQFLMNLVRRYHDNEPHKLIDPYIRDQIDSRSFDTYKEIAYQCVSYNLKDRPTMDAVIKRIEEALTMQMSEHFAANLADHSMIESLIHKLNSIGPYDQWIATGEISRLTKRSPENRVAFAQAGAIPLLIDFLTSTDLQTQENAMTALLNLSIYEYNKGIIVSSGAIPRIVHVLSKGSMVARENSAATLFSLSVIDRKELMISSAKAISPLVFLLSEGTERAKRMATNALFNLCIYESNKKRAVRAGLVPMLMELLEESHGLLKDKAIAILAILSMHLKGRLAIGKVEAVPILIEILRSGSPMNKENAVIVLVELCLEDQKYLVEVQEFGAMDKIIHLLEHGTYRGKEKATKLLEKIKESE >cds-PLY87246.1 pep primary_assembly:Lsat_Salinas_v7:1:51168730:51176399:1 gene:gene-LSAT_1X42641 transcript:rna-gnl|WGS:NBSK|LSAT_1X42641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSNYQLERFRIPLKQIADATNDFSSVNLIRRGGFGEEYKGQLLLSGQLINIVARRLDRQCGHGNKEFWMEVLMLSTLKHENLVSIIGFCDENEEKIVINKHDANGSLDQYLRDPATLTWTRRLEICVGMARALSYIHYDKRRNFSVVHRNIKSSKILLDDNWKPKLSGFELSMKTTATRRHRLLLGGLCGTRGYIDPIYEKTGGVTHKSDVYSLGVVLFEVMCGRTAFINPKGFSAQLAKHDLEQESTDMVGKGDQGLPDLVKKMDDGSSPTPANQDSTKGLLDVVENLDEGLLPQLANQVSTETSTYELSVPPLRWSLVSNLPELMEAPSLSDIRDHVHSSTYMFSEPGDGEAPGSSDLGQRERSDIGMEYNTNIDTEEKFMFTLAPLAIKFRPRHLHIADLELLAPLAICGYEQGKLDDMIDPDLWKQMGPQSFKVFSETAYYCLKEQRSQRTLEGTSTNQLKGKFLKHLEIPLSEIKSATKNFHKTCLIGAGAYGEVYKAELDHFDNKNVLPLEDKNISDLPKKHNTVAIKRIKIREDNQGQEGFLGEIEMLTSCKHPNIVSLLGFCEEGGHMILVYEHAFNGSLDDYLGSEGSLTNLTWVQRIKICIDIARGLNYLHTKIEGEQRIIHRDIKSGNILLGENWEAKIADFGLSKFHHDDQQVNKTLFTKNLAGTELYLDPEYVNTGRLKNESDIYSFGVVLFEMMAGKFANDPIFTSENSNGIAHVARRRFKEGRNSIKKMVDPRLMEETYENIFTLNKGPDQDSLYAFSKIAYQCLAETQAERPTAEVIVKKLEEALSFQENIKDNLRISLEDIILATDNFSDSNVIGRGGFGRIYKAEVTHANGRHTIAAKRLDPTGGQGETEFMAELEILLEYKHENVIGLIGYCKDHVEQIICTEYASKGSLDVHLKDNDLTWMKRLEISIDIARGLDFLHGGGVTQEVVMHRDIKSSNILLTDNWRAKIGDFGLSLITPIDEETDFVIDNACGTPGYCDPLYEKLGFLTKESDIYSLGVVLFEILCGRLVFKRIIGSFHNRITLFQRHYEKGELDQMVFEGIKDQIAPKSLDIFQNIAYQCLHDEKEKRPTTSKVLLQLNKALEFQDDYDIWEPKLPKDYEKLIQMSDSREIYSLEKKKDIYQMLCNGVLLQKGKVVKHGEIKDPKDVQQPLIKLDLSTDQVQQLPTNCENEVQRFESYDEGEKAFSPNKVSKKKHFILSAKEALYVSSDVKLFKSMRSTHSRSEDVVELLRQQVFRIKCKIESQMLSPDTEYTCYLVFKLSEKCHGLYCPVIVRNLLNRKIKEKGIVYFRSPSPCNVNDTDRVPKEREDGWMEVNVWKFNSSNGIRDDCVFINLKLICYEGTMSGLSISSIEFRSM >cds-PLY85066.1 pep primary_assembly:Lsat_Salinas_v7:7:7359716:7361456:-1 gene:gene-LSAT_7X6960 transcript:rna-gnl|WGS:NBSK|LSAT_7X6960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSPPSAAHQPPSPPNPPQTAASSHGLSLIPLKKEPLLEEKVFTTPPPPPPRRSSTKDRHTKVEGRGRRIRMPATCATRIFQLTKELGHKSDGETIQWLLQHAEPSIIAATGTGTIPAIAMSVNGTLKIPTSISTVTVTATAAAVATTTTSIPKKRKLPSDFDINRNENTPTTVTTSVLAPLMTTTAQPQSFIPIWAIPYNGTAAFWAFQPSTTPFLNNSATPISFITSGDQKPTPINTANYTTITTTSTSISTSTSASATTTPTKAQSFTDFPMNLHERKEFSFIQVNHGTPSEQ >cds-PLY74067.1 pep primary_assembly:Lsat_Salinas_v7:9:13362051:13364740:-1 gene:gene-LSAT_9X11541 transcript:rna-gnl|WGS:NBSK|LSAT_9X11541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGLAIRDEAGIAKSLWVKSTNESVFAIYTPYILSLASGKLDSGSFLHCISQDLRFLQASAEALEMAEECSDDDDDKTVICKIRKRVLKKMTMFRSIVQEWGFELPTGNISDRAMIKYTDFLLATASGKAVGERFPGKLATPFEKTKLSAYALAAMAPSMRLQSFLSKEIQAVLEPDENIHLYKKWIDSLSSQKYEASASQIEELLDKLTVCLTGEELQFVETIYHKAMKLQVDFYSTQPIIQNTIVPLYRFHGTEEHNVVICSGFDLTCSAVDSCALLADIAIIKSSKTVNSNGYESLSDGTLLDNRDVWSSLHGQYVREYEECIDSIMLNEKVMEFNFESLCKALGELSDLEKAGNLRVCRSGVLKGLHMDDIKWAGEHLVFQDGCVEFFREIEKMKDVAVDAHILSYCWSGDLIRSAFSSGDSGFPDVHSNELVFEESISSGEILKKVQCPIEKLQTFDEICKKGGKLSVYIGGSVEDLLCLLKADIGIVISPSANLERLGGLFGFSFVPLFSGLVKKQREFIEGGCPWKGLSGTLYTVSSWAEIHAFILGCMI >cds-PLY93546.1 pep primary_assembly:Lsat_Salinas_v7:2:174483484:174484647:-1 gene:gene-LSAT_2X96721 transcript:rna-gnl|WGS:NBSK|LSAT_2X96721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METVAHELSNGNTITAADGEQTGSAFKLVGFNNFLRTNPMSDKFSVKRFHHVEFWCSDATNTACRFSWGLGMPIVQKSDLSTGNTTHASYLLRSGQLNFLFTAPYSPSTTTTAASIPTFSQTDCRNFTASHGLAVRSIAIEVEDAEIAFSISVSHGAKPSSAPITLGDNDVVLSEVKLYGDVVLRYVSYKSPNYDAISTFLPGFKPIENTSSLPDLDYGIRGLDHAVGNVPELAPAIDYVKSFTGFHKFAEFTADDVGTNESGLNAVNLACNNEMVLIAMNEPVYGTKRRSQIQTYLEHNEGAGVQHLALSSEDIFGTLREMRKRSGVGGFEFMPSPPPTYYRNLKNRVGDVLTDEEIKECEELGILVDRDDQGTLLQIFTKPVGDR >cds-PLY81483.1 pep primary_assembly:Lsat_Salinas_v7:8:157084826:157088695:1 gene:gene-LSAT_8X103660 transcript:rna-gnl|WGS:NBSK|LSAT_8X103660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSFSSSPPPAKSPTPTAPPHVENENTDPTQTPLPPPPGYGVTGILRRWRHEDTLKRVSLGLRVLGFVFSVLAFIIMASNKHGRGRNFDEYEEYRYALAIAILSTLYTGLQSWRQIYEMSNGRQIISGRNLALIDFFGDQIIAYLLISAASAAVPMTNRMREGADNIFTDSSAACISMEFLAFFILGLSAMLSGYTLAKKTYV >cds-PLY80818.1 pep primary_assembly:Lsat_Salinas_v7:3:192234283:192234865:-1 gene:gene-LSAT_3X114541 transcript:rna-gnl|WGS:NBSK|LSAT_3X114541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYKSKLNEFCQKNGWRNPKYSCIKDGQEHTPLFKASVLVNGETFTSQSLFKSSKSAHNDVAAIALSHFTTSSLVNLFRSVSGELSKNSELCLPVLPEEKAMATASLPSETTLSIKETNSKKFKESYLICNKVRVHTSIPTTVLPNGTVMLPIGEDKWTVVSLESV >cds-PLY88761.1 pep primary_assembly:Lsat_Salinas_v7:4:166796449:166797122:-1 gene:gene-LSAT_4X98220 transcript:rna-gnl|WGS:NBSK|LSAT_4X98220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEEADKYKHEHKHPCSSNEEACSTISDGSSSSITCCSSSSSSDTTDDASSSSANSSRSSLYDLSDLMSQLPIKRGLSKFYHGKSDSFSSLARVTSVEDLPKKEHKMKKKMKKSYRSHTLPKPIISKRKSRGYLKKKFGSSSMKKKIDE >cds-PLY67662.1 pep primary_assembly:Lsat_Salinas_v7:9:98547011:98547760:1 gene:gene-LSAT_9X73360 transcript:rna-gnl|WGS:NBSK|LSAT_9X73360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALGRMSEGLNDCRMATVLDPNFMVNLRSANCHLLLGEVDDRSYYYNKCLEFEETVCLDKRITIEAAEGLKKPQKVSNYLRREHFELSVSPPRVMYKIEKGVKLEPIEEVNEEHVGMVMEALSHRRAEVTDMGPVAGNFGRLE >cds-PLY85005.1 pep primary_assembly:Lsat_Salinas_v7:4:222094941:222096744:1 gene:gene-LSAT_4X121261 transcript:rna-gnl|WGS:NBSK|LSAT_4X121261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFSLPFSTSIATVFFAVVLTFLLKILIPKGGKKGENRTPPQAKGKWPVIGHLHLLGSSGLPHRVLAKLSETYGPIFTMKLGVHNALVVSSSEIAKECFTVNDKAFSSRPKLMAVELMGYNYAIFALTPYGDYWRQVRKIIMLQVLSPKRVEMLAPIRVSELRASMAEIYEAWLKNKETTGSDVVKLDMQQWFGTFMLNGLLGVVAGKRLSLHDEEGVRFQNVARKFFQLLGAFVVSDFIPSLKRFDIGGYERDMKKTGKEMDEIFDGWLEEHKRKRKSKQQDEGNQVLMDVLISIVEGASEEEFPGHDHDTIVKATCLTMLIAGLDTTAVTLTWSLCLLLNNPRTIKVAQDEIDEQVGRNRAVEESDLKNLVYIDAIFKETLRLYAPGPLGLPHESMEDCVVQGYKIPSGTRLLLNLWKIHRDPKIWPNPEEFKPERFLTTHKDIDLKGNHFELLPFGTGRRVCPGILFAQQASRLALATLIQQFEMKTPGNEPVDMEEIFGATCSKATPLDVLLSPRLSLDMYPVGA >cds-PLY75299.1 pep primary_assembly:Lsat_Salinas_v7:3:156052330:156062516:-1 gene:gene-LSAT_3X99900 transcript:rna-gnl|WGS:NBSK|LSAT_3X99900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKIDVQPTDHQSPTAATLSPTKKPVNRAIEKSPINAPLDPNYKNTCNKALTDPNTGTSHEVPNKDSRSSQERDAYTPINGPPGNKMGNCASAQFKIVSSKKPRHVRSRKIRKHGKPWKKKKSEAGNLVALTEIVHTTTTSTIQVTQREWHQSQIDSNVISQEESWFDSVSKLEESGSDDDFDSMDGDEEVIEYETSSCIIGNNCTQTETRTSTVIRLSLKKTSIDGEESNEAQSSGKFFFCPRAGLLIPCCTDEKPTPGCWSAIDPSCFTLRSESYFKDKKKIQAPSYCPYTPFGVDLFMCPKKVNHIAQHIELPSLKGDGNLPPLFIVNIQLPTYPTPMFLGDSDGEGLSLVLYFKLSETYEKDISPQFQGLIKSLCDNEMEKVKGFRKDSLISFRERLKIIVDVVNPDDLVSNNTEKKLLHAYNEKPVLSRPQHEFYQGPNYFEVDLDIHRFSFIARKGLEAFRDRLKNGILNLGLTIQAQKPEELPEKVLCCLRLNKIDFVNHGQIPTIMATNED >cds-PLY65891.1 pep primary_assembly:Lsat_Salinas_v7:3:108387708:108392103:1 gene:gene-LSAT_3X79360 transcript:rna-gnl|WGS:NBSK|LSAT_3X79360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:aminopeptidase P1 [Source:Projected from Arabidopsis thaliana (AT4G36760) TAIR;Acc:AT4G36760] MADILAALRSLMASHTPPLNALVVPSEDYHQSEYVSARDKRRAFVSGFTGSAGLALVTTNEALLWTDGRYFLQAEQQLSNQWRLMRMGEDPAVDSWISTNLPQDSAIGIDFWCISVETAQKWRSLFAKNQQKLVPTTKNLVDEVWKDQPQAEMNPVHVHPLKFSGRSVSDKLKDLRDNLRKEKTRGIIITTLDEVVWLYNVRGGDVSYSPVVHSFAVVTTSSAFFYVDERKLSSEVKSYLEENNIIVKDYTAVSSDVALLASNQLTSAKGTQSNGAHDAEDSSHKIWVDPRCCYSLYSKLNPDQVFLHQSPLSLPKSLKNPVEMEGLKNAHIRDGAAVVQYLAWLDKQMQELYGASGYFKESESQKTKTPTGDAKLTEVSVSDKLEEFRAAKEHFRGLSFPTISSVGPNGSIIHYEPKPKTCSELDPNCMYLCDSGAQYLDGTTDITRTVHFGKPSEHEKKCYTAVLKGHFALGNARFPNGTTGHSLDVLARIPLWSYGLDYRHGTGHGIGAYLNVHEGNFRPGLSVPLQASMTVTDEPGYYEDGKFGIRLENVLIIKEAATQFNFANKGYLEFEHITWAPYQKKLIDVKLLLDEEIKWVNSYHAKCKEILSPYLNESEKAWLTQATEPISD >cds-PLY63679.1 pep primary_assembly:Lsat_Salinas_v7:4:81815437:81818586:1 gene:gene-LSAT_4X54380 transcript:rna-gnl|WGS:NBSK|LSAT_4X54380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRETFEKEELGDFGTGDFNDKYIDEELNEEDKKMRILKEERNSNEKNKDRGGEDIKITDGEKDENDNNDQGLDDMNLNDEGVENEKGAVEGMNIEGKNAKKVKGITGEQPQRDGEDGEKHENDNNDQGLDYMNLHDEGVENKKGGDNKEQNVEGEVIIINELQNIAEKEKDEGKKEDMVKEVLDAETKCHHPDMTENIGEEEKDEAKKEDLVKDVLDPKTKTHPKIKIMISKNQNEESLSELTEKKNVTQEPRQQRKKKPAEVRRSPYKERVICLKRKLTKKKRKCLVNGCSICKEVYSKLLIFD >cds-PLY77614.1 pep primary_assembly:Lsat_Salinas_v7:2:164014210:164016259:1 gene:gene-LSAT_2X86920 transcript:rna-gnl|WGS:NBSK|LSAT_2X86920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNCEVCQLKELDVEYFEIREVLQCILHTIFFHRALGLVRPKDIDLQLFEITYVQCGDAELEKKVDEKIDQFIDKVEKHPNKKNQICLSFFEVKNKQATWFTHKVEHLYWEQWYININVAQHPKPISGKSHHSKLVLDPGESASEERNARRATLESSLHEVLFQIIKFVNEKKDHIPPIPNMEGVSFPYEITISSSSDSAFGMDMFKRMLQTGHPTMLS >cds-PLY76127.1 pep primary_assembly:Lsat_Salinas_v7:9:31384993:31385536:1 gene:gene-LSAT_9X28540 transcript:rna-gnl|WGS:NBSK|LSAT_9X28540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGQGGTSPYWMCLGVVSSLFVRGTGLEDFDLPILDDRFTFSGSNGSNSHDDEEESSESSIRIHDSLDGTNDGQNHLVTTRHVVNIEGDGEDGSGEQPLMRRKRNRDFAASVALAAILLGGGVAAVGLSRGLVH >cds-PLY71395.1 pep primary_assembly:Lsat_Salinas_v7:5:288161799:288164003:1 gene:gene-LSAT_5X152520 transcript:rna-gnl|WGS:NBSK|LSAT_5X152520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEIVSRSSYFMGSTMFFEDTEKTKWTPEENKRFEDALALFDKETPDRWQNVARLIPGKSVSDVMHQYRKLEEDISEIEAGLLVVPGYSCSDANAMTLEWVVDNHPQFYSHGGKRSRLPDQEKKKGIPWTEEEHRQFLLGLKKYGKGDWRNISRNFVTTRTPTQVASHAQKYFIRQLSGGKDKRRSSIHDITTVHLNDPTKLPESDTCPKPGECTTMVTPPPKPHLHHHPTTNHHMEKALYQWSHPNGGGIMMAPLHGSSSYGPQYGHLGTVFQGNY >cds-PLY90275.1 pep primary_assembly:Lsat_Salinas_v7:7:115719097:115719378:-1 gene:gene-LSAT_7X71541 transcript:rna-gnl|WGS:NBSK|LSAT_7X71541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEKGVARVIDKVNESAEFANGIQGIHRACEALGFEKGKQQIGCSTISSEYEAPDPGRVARRAKDVDIAVMSLAETDFVGLLLLGELDYDSFH >cds-PLY87842.1 pep primary_assembly:Lsat_Salinas_v7:2:65715388:65717776:-1 gene:gene-LSAT_2X29880 transcript:rna-gnl|WGS:NBSK|LSAT_2X29880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNFDKYRLTFEDIRNATNDFGSGNFIAEGGFGKVYKGEFIDSKGVTMGAVKRLDRSINHADITFGTEIMLLSSFRHENIISLIGFCDDGKEKIIVYEYAFNKSLDFHLHKPSLTWIQRLKICLGAARGLQYLHDPKGTQQRVLHRDIKSANILLDKDWNAKIADFGLSRQGPANQQHTFLFSTAKGTLGYCDPDYIDKNELTKESDVYSFGVVLFEVLCSRPCVDLKYNDVRKNLGVLVTCYTEKNIDDIIDAKLKQQMEPNSFDTFVKLAYQCLEKDRSHRPPMALVVKRLATALKHQEDFEAKRQKAKRRNVVLRNILLKELDNPLGFSKNMSTRRKRLAYSVHNNRGQHQPTIVQRLLAHSIFTQRF >cds-PLY97051.1 pep primary_assembly:Lsat_Salinas_v7:4:351391778:351393240:1 gene:gene-LSAT_4X173421 transcript:rna-gnl|WGS:NBSK|LSAT_4X173421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSWVSFMVVLLCFSINGVADARHRKQLHSPAIVVGTVYCDTCFRQKVSKSTHFISGAKVAVECGGDGGKQSFRVEVKTNEKGEFEAKLPVSVGRSVEKIKGCSVRLISSGQPYCAVAATATSSEIRFKSKKAGTHVFSAGFFTFKPELCNQKDTIGKGFPPALPDIPAPFLPPIGGGILPPLPVPDVPMPPLIPPLPQLPGIPLPPVTRQKSSESDRLADQKSFGFPFPPPLFPPLPFVPSPPSLIPPVIPTPPPSLFPPLVPSTPPSLFPPLVPSPPSSMFPPLPFPPVPGLIPSPPPPPPPAFPIPLPPLPPLPPLPPVPPVPGVPPAKTSP >cds-PLY92274.1 pep primary_assembly:Lsat_Salinas_v7:2:209231605:209233456:1 gene:gene-LSAT_2X129680 transcript:rna-gnl|WGS:NBSK|LSAT_2X129680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease ATP-binding subunit CLPT1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25370) UniProtKB/Swiss-Prot;Acc:Q93WL3] MAAQSLSVLPVSPSSSGCRCRNSCNSSFLPSRRISSRAMVSSFVGLQLSIPTPDSRHRNFGRYSCIVATVSSSPTTPTPEKETPEKTAKWSFRFIKSFAMGELEARKLKFMNTGTEALLMGILVEGTSLAAKLLRESGVTLFKVREETVKLLGKSDMYIFSPEHPPLTEPARRAIDWAINEKLKSGETGEVTTSHLLLGIWAQKESAAHRIMSALGFDDDKAKELAKSMDIEIVLSFKRKGL >cds-PLY72018.1 pep primary_assembly:Lsat_Salinas_v7:3:187662736:187665656:1 gene:gene-LSAT_3X111800 transcript:rna-gnl|WGS:NBSK|LSAT_3X111800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDKLADGDGGGDWSMADGIDGDGGGDWSSMKDGIDGDDGCGRTMRRNDAKSLREMQLSIAASSSVFPGFRFSPTDDELISYYLKKKLQGSDNCVDIIPEVDFCRHEPWDLPVILSTDFRTCSIEFDSNLLSLAISIIQSDNEWFFFSTRGKKYPNGSQSKRATQSGYWKATGKERNVKSGAVTIGTKRTLVFHMGRAPKGERTEWIMHEYCMNDAAQESLVICRLRRNSDFRLNESSRGSSDNKSHSGTTNEYANNNQLDGFHDTNDTIKSASKESTSSYRSHSDDQNDSGSESNPEANPESPHGSSTPYKDVDDCFADIINDDIIRLDESTSHSLKFYGFLTKDEPMLIQDPTKCNQKLPPQNIMTSQGTAVRRIKLRRQKGRTHEPPLVDGGLDQHHHPQTPPLQKKSTGCFISVLSGNADTLKGSRSTHVILVLLFLVMVFVVWKCDMEDWNRQLKHWLRMTADYI >cds-PLY82871.1 pep primary_assembly:Lsat_Salinas_v7:4:17801101:17802331:-1 gene:gene-LSAT_4X11700 transcript:rna-gnl|WGS:NBSK|LSAT_4X11700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKTINPPLQENKKPFHQRNSSDEINVFEASRYFEDTNTTLSHKNYMRESVGRLSLDIQNNRRNSNNIPLQAMMMENGRNSIPLKAMMMDPNHMMIKNEKKYKQPSSPGGKLAHFLNSLFNQTSSKKSKSKSKSTTSTQSIKDEDESPSGWRRKRRSSISLFRSGNSNTSNIASETKPANYSTTPHAYDDHMPQAPTKRTSYKDLRSYSDHKPTYEVTKVPFNENYNKNENLKIKSGFSDRKSRSPENVSVEKVRVCDVKHDDHNQKYDPTVEIREFKRFILDDDGDSDSSSDLFELTNCDLGYYSSGLPVFETTHMDSIKRGTPISS >cds-PLY78815.1 pep primary_assembly:Lsat_Salinas_v7:8:140376778:140377236:-1 gene:gene-LSAT_8X95840 transcript:rna-gnl|WGS:NBSK|LSAT_8X95840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYTDNQLTAVVVSKQLRPGLARTESGAPPRLLSLVQRCWDDDMHNRPSFDDIISKLDLSMGWKELELA >cds-PLY68332.1 pep primary_assembly:Lsat_Salinas_v7:4:156912825:156914124:1 gene:gene-LSAT_0X11181 transcript:rna-gnl|WGS:NBSK|LSAT_0X11181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKIYPASKPNTGPTPAAPTGAGNGNGNGNPAFPATKAQMYNATRPVYRPQPRRSRRSCCCSCCLWITFVILLLIVIAAIAGGVVYVLYRPHRPSFSVSSLHVSQFNLTSSDKLTTKFNFTISARNPNKKIVFFYDPVSVSFNSKDVDVGDGLIPAFTMGKKNTTMLRTTVSTTGQTVDDNSALKSDLKNKKSLPLKIQLDTKVKAKIGSFKTKKVPIRVTCEGIKAAAPTGKTATTATTSDAKCKVDLRIKIWKWTI >cds-PLY85370.1 pep primary_assembly:Lsat_Salinas_v7:5:243087339:243090493:1 gene:gene-LSAT_5X120501 transcript:rna-gnl|WGS:NBSK|LSAT_5X120501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSACCVAARDRRAITNGSISDVIPRNIRYSPSWGVRWENRRRVAGEEASMTWYSDSVTVATNDRLDNKSQTTVGTAYASEEGSSPLESFRNLTWQKSSPSEGYPVPQSEDLSLSKNPTKLSSSPSRHPTCSPGCQVSHSRIPSTKSSNLSISEEGPGSGWSNELNRGSSDGWSISIPVPASNDSFDSESLNFKMSRSSGGVSSIDMQTCGACSKLLTEKSSWGTQKIIANNELAVVAILICGHVYHAECLENMTDEMNKYDPACPVCTFGEKQTFKMSEKAIKAEMELKAKISRKKSRTRSRVVDGDDDHIIMFDNSGSKSRSHNNNNNNNKMSSSSSMKNSMGKPKTPFLKRHFSFGGSKGSRSMSMSDNDFSRRKGFFWTKSNKE >cds-PLY72666.1 pep primary_assembly:Lsat_Salinas_v7:8:209817241:209819667:-1 gene:gene-LSAT_8X132240 transcript:rna-gnl|WGS:NBSK|LSAT_8X132240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKTLLKSLYPIATNRHHSSSINGGRKINHPLHNSSKPDPNPPPISNSRWVFTTTAPPPPEWVQPINDTSDLITSHPKPSPWVDQILNLLNDDSSQMEPKLDDFCRKWLIRLSPNFVSYILSSSRVKNQPELCHRFFKWAGKQKGGGYTYSHNLESYAFLIDVLSVSKDLHRVKSVFIELKQKGFLMNIKSANLLIKSFGNLGMVEELLWVWKQMKENGIEPSLFTFNFLINGLVNSMFIESAEQVFEVMETGAIKPDTVTYNTMIKGYCKSGNTKKAMEKFMKMEEKNIEHDKITYLTLIQAYYSDNDYDSCLKLYNEMEEKELEIPPHAYSLVIGGLCKAGKPMEGYMVFENMIQKGRKGNLAIYTALMDGYAKAGHVNEVIRIFERLNNDGFTPDEVTYGVIVNVLCKTGRLSEALQYFNLCRENKIAINSMFYSSLIDGFGKSGRLLEAEKLFEEMVANKITCDSYCYNAILDAYMKNGKIDEGLSVFEKMEIDGCDRTVYTYTIVMDGLFKKHRNEEALKIWDLMIDNGITPSSGSVRVLLTGLCLSGKVGRACRILDELAPMGVVVETSCEDMINVLVKAGRVDQACKLADGIVDRGREIPGRVRTVLINALRKVGNADMAMKLMRSKIGIGYERMGTIKRRVKFKMLVDK >cds-PLY95692.1 pep primary_assembly:Lsat_Salinas_v7:2:111935879:111941657:1 gene:gene-LSAT_2X50401 transcript:rna-gnl|WGS:NBSK|LSAT_2X50401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSCWCKWKPSIYRVSSNSKSELTRDESSLARRKEEDNKLPSNPEEVEDFRRSSATNPLIVFTFDELRKITGNFRQDHMLGGGGFGSVYKGFLEDDLKEGIQPIPVAVKVHDGDNSLQGHREWLAEVIFLGQLTHPNLVKLIGYCCEDEHRVLIYEYMALGSVENKLFSRVLLPLPWSTRMKIAYGAAKGLAFLHDAPKPVIYRDFKTSNILLDPDYNAKLSDFGLAKDGPVGDKTHVSTRIMGTYGYAAPEYIMTGHLTPRSDVYSYGIVLLELLTGRKSLDKSRPPREQNLADWALPLLKEKKKLLDIADPRLGDYPIKGFQKAAMLVYHCLNRNPKARPLMRDIVDSLEPLQVAPEVLSQGTTLTLIADN >cds-PLY70615.1 pep primary_assembly:Lsat_Salinas_v7:1:90133355:90134086:-1 gene:gene-LSAT_1X74360 transcript:rna-gnl|WGS:NBSK|LSAT_1X74360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSDVQSHYKTHKLFLISNYVLLGAASSCIFLTLSLRLLPSVAGGLLVLLHIITIAGAISGCNAVSAGSNKWYAAHMVAAVLTAIFQGSVSVLIFTTTSNFLAALKSYVREDDAAVILKMAGGLCVLMFCLEWLVLTLAFFLRYYAFVEGSRTGGKVQAEENSKVWTPPFQV >cds-PLY63658.1 pep primary_assembly:Lsat_Salinas_v7:4:129592386:129596808:-1 gene:gene-LSAT_4X81321 transcript:rna-gnl|WGS:NBSK|LSAT_4X81321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLTASSRKKASENGANDSSRRSITTPRPMGAVGERTVKRLRLSKALTVPETTTINEACRRMAARKVDALLLTDSNALLCGILTDKDIATRVVARELDLETTPVSAVMTRNPVFVISDTLAVEALQKMVQGKFRHLPVVENGEVIAILDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGTSVSGSNTFIETLRERLFKPTLSTFISDNSKLVTVSPSDTVLMATKKMLECRISSAVVTVDSKPIGILTSKDILMRVIALGLPPESTMVEKVMTPNPECANIDTPIVDALHIMHHGKFLHLPVVDREGIVVAIIDVLHISHAAVASVGSTAGIDNEAASSMMQKFWDSAMATAPADDDSDSIRSETHSLTDLITAILQRVGGEIDRNNLPQILYEDEDKDMVILATDSDLVAAVQHARSAGWKGLKLHLDYSGVPRNRKGSLAAGGGGGGGLEHAHPDAWASAYSSVAAGAALVAGLGVLAFLRRGS >cds-PLY74094.1 pep primary_assembly:Lsat_Salinas_v7:9:10955683:10956922:-1 gene:gene-LSAT_9X9640 transcript:rna-gnl|WGS:NBSK|LSAT_9X9640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKPVYTQIIVASSIGIIIAASMHFGFKRRQGRIIPRIQVSDTGQPLKLEHFSQYVARQIGFSDRRECPNLFRFAAEYIMKAEGCEEEMYLFFAGEQDADSLFIKLVEEFERCILSYFAFHWSNAHFMISQVLAGSDNQEPKKKLKDIVMQATREQRFERVTKNLKVARVFTTLVEEMKAIGLVSADDSQCTDVMVPMAHKDRSPVLLFMGGGMGAGKSTVLKDILKEPFWAGASANAVVIEADAFKESDVIYRALSSRGHHDMLQTAELVYMLS >cds-PLY98605.1 pep primary_assembly:Lsat_Salinas_v7:1:36679898:36681516:-1 gene:gene-LSAT_1X31001 transcript:rna-gnl|WGS:NBSK|LSAT_1X31001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKTVDTGDEWTEIRRRNKQGNDRSYMADTTTFYVVGFQDGISKVDLHSVFDRFGHVSDIYIGGKKNQPFSEWIQKKLLIGEAHSLDHIANLPSPTFTSEDTKYLGGLRMAIKFSSSKDAREFLEDKARWQEWFKWMILEDQKNMGYERLAWLKIIGVPLKYWDADNFSRIAGRFGKVLIPFDNIFDSRDLSMGKVGVLTSRMKWINEEIQITVDGVVHVIGVVEYTDDWSPFKSCHFDKVADEFDHEDNEEEDVEEGISETWLQENDKDLEEGEFRLGGSPEFQLEKVSSHDGAGKSPGNLVNEMDVTVESREKISLGENCVNAVNECVTIGVPHDLKNGGIIEDSEHKGVEDPMVMGVDTNLHVNGPINYSGLCDSIGPLPISSPPVQSGDSSSRSCRCSSGPKIKRRKRSRGCRSPPPPPCGSFFGQQPVIPEWQ >cds-PLY90226.1 pep primary_assembly:Lsat_Salinas_v7:7:103164095:103168316:-1 gene:gene-LSAT_7X68200 transcript:rna-gnl|WGS:NBSK|LSAT_7X68200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVEEVAEKGKRHKGKHDKPKPWDDETVDHWKVEKFDPSWNEGGLLEVSSFSTLFPQYREKYLQEAWPIVKGALKEHGISCELDLVKGSMTVSTTRKTRDPYIIVKSRDLIKLLSRSVPAPQAIKVLNDEMQCDIIKIGGLVRNKEKFVKRRQRLVGPNSSTLKALEILTGCYILVQGNSVASMGSFKGLKQVRRIVEECMLNKMHPLYNIKVLMMRRDLASNPELANENWDRFLPKFKKKNVKQKKVKSKEKKEYTPFPPPPQPSKIDMQLDSGEYFLSDKKKSAKKWQERQEKQAEKIAENKRKREEAFKPPEEAQKQEDDKIKNDKEDIAAMTTSLKKKAKELGNRKSADSIDDAEMYIAASKKKSKSNK >cds-PLY92704.1 pep primary_assembly:Lsat_Salinas_v7:7:5313448:5315079:-1 gene:gene-LSAT_7X4480 transcript:rna-gnl|WGS:NBSK|LSAT_7X4480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKPESQKVNTHVIKLSNMCTPGAFLSVIQGFNEVQKDCVKQMGFREILKMKMTEVPGALRCFVLKNFDLEIKKIVL >cds-PLY80238.1 pep primary_assembly:Lsat_Salinas_v7:6:124010592:124012141:-1 gene:gene-LSAT_6X74581 transcript:rna-gnl|WGS:NBSK|LSAT_6X74581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACLEEFQHLKIQLQEIKSATGNFNVNNVIGQGGFGKVYEGVLYHCKGRSKVAIKRLDRNYGQGDLEFLKEILMLSGYKHENLISLLGFCDEDGEKILVYEYASHGSLDRHLSSTSLTWRERLKICLGAAVGLSYLHDPRDTQQRVIHRDIKSSNILLDQYWNAKVSDMGLSKIGPANQKHTFLATNVVGTFGYLDPMYVEMSILTKESDVYSFGVVLFEVLCGRLCFQYLNGHYNSLVRIWKQSYKQKRLDNIIFRDLKEHIDRMSLEAFSDIAYRCLHKSREERPQMSEVVEQLEIALRFQSRVVEKFEIALRVQEISEGVEYEVYGSTEELNMLLPNGIPEMNNSGNNRWNTWKAVIRSNFNIFLNIYFSTPWAIISTMAALLMLLLTIVQVSFIIISYENTFLSHVNTNTRI >cds-PLY80703.1 pep primary_assembly:Lsat_Salinas_v7:5:218915039:218916822:1 gene:gene-LSAT_5X103420 transcript:rna-gnl|WGS:NBSK|LSAT_5X103420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 37 [Source:Projected from Arabidopsis thaliana (AT3G24515) UniProtKB/TrEMBL;Acc:A0A1I9LLL0] MAQAARLNLRMQKEVKLLLTDPPPGASFPHLSPTSDLSSFSLTAIDAQIQGPEDTVYEKGVFKIKIQIPERYPFQPPIVTFATPIYHPNIDTGGRICLDILNLPPKGAWQPSLNISTVLTSIGLLLSEPNPDDGLMCEASKEYKYNKQVFDQKARSMTEKYAKSDTSGSKSNTGVNEEPLNLTPQSQIQEPKQDLKDFPFDHNDQDNIQEPIRSKKLCLSGKNLQQEAMDSTKDSIDGTCFTNPKRKKLGLSGKKQSLGLLSIPQNKENDYNGKTGSCGGNNMSKVPQDDNGKPTKLRKPLQVMEENDNHNMKVKVDHGNSTTSDLKDCGERAEGEGLCDLGEVIVLDSEDSEEETTNTMKSRRLIARKRLLGKY >cds-PLY93928.1 pep primary_assembly:Lsat_Salinas_v7:1:196674715:196680678:-1 gene:gene-LSAT_1X122780 transcript:rna-gnl|WGS:NBSK|LSAT_1X122780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVLNIVIIVVLVSKKIAVVARHPQWSNEKIYNVQTSEDLVTDLPGQPNVEFQHYAGYVTVNENNGRALFYWFYEAWTLPDEKPLVLWLNGGPGCSSVGYGATQEIGPFIVSTDGKGLRLNPYSWNREANMLFLESPVGVGFSYSNTTSDYDNLGDDLTANDAYAFLHNWFLKFPSYRNRTFYIAGESYAGNPETNDAEDWKGMIDYAWSHAVVSDETHKTIRESCDFNNNNTWSNDDCSQGVDEVFRQYKEIDMYSLYTSVCIPNSAYKENKGMQYVFKKSSSMMMPRIMGGYDPCLDDYAKSYYNRLEVQKALHVGDGHQLKNWSICNMDIFDGWAQTKASVIPIYKKLIAAKLRIWVYSGDTDGRVPVLSTRYSLSSLGLPIIRAWRPWYHQKQVAGWLQEYRGLSFATFRGAGHAVPIFKPSESLAFFASFLLGESPSSQR >cds-PLY98392.1 pep primary_assembly:Lsat_Salinas_v7:5:315721770:315727823:1 gene:gene-LSAT_5X172641 transcript:rna-gnl|WGS:NBSK|LSAT_5X172641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSSINLDTSDDHAGGQLYVSLKMESYSPKGDLVPHVFGSAPLVGSWDPSKALPMERESSSMWQLSFVASPNHDTLDFKFLLKSKDTNELCIVEEGPNRQFMGGTLQGVTRLALFRLTTDEVLEYRVCIKADRVSPFDLAASWRAYQENLEPSTVRGIPDVSINALPEDSENGMSSSLDLDLEQYVVPTPSTPVVYAANLTENPRSLKHNKESTKGDVGVSNDLQTTIKEREVATKAHGMVESKSVGTFSPLEKENSEEGVFVDRGVGSSRLVKSASATHLPASEAKNSMPAAAGAVAAAAVADQMLGPKEDMHLAIVLVGLPARGKTFTAAKLTRYLRWLGHDTKHFNVGKYRRLKHGANQSADFFRGDNPEGMEARNEVAALAMDDMIAWMQEGGQVGIFDATNSTSQRRNMLMKMAEGKCKIIFLETICTDPQIIERNIRLKIQQSPDYAEEPDFEAGYQDFKRRLDNYEKMYEPVNEGSYIKMIDMASGQGGQIQVNNLGGYLPGRIVFFLVNTHLTPRPILLTRHGESRDNVRGRIGGDTVLSEKGEVYAKKLSNFVEKRLKNERAASIWTSTLQRTILTANQIGGFPKIQWRALDEINAGVCDGMTYEEIKKNMPDEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVVSHQAVLRALYAYFADRPLKEIPHIEMPLHTIIEIQMGVTGVQEKRYKLMD >cds-PLY93896.1 pep primary_assembly:Lsat_Salinas_v7:7:181950232:181951809:1 gene:gene-LSAT_7X107821 transcript:rna-gnl|WGS:NBSK|LSAT_7X107821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVVMRAAAKVTGMGVFNGSLRGAGNPVVSTARQASVSVASVVSSSDDVKLAIANNENKANSSVEKAYDDWELAGSEDELFMEAREPKPRVVFGGAPTIQEAKQATYDLNDALQKTYLSPNATNGQMLSAFSNSENVETKNCLVSESSVSNHAIKAFKLLNESPSAQNVVASIASDPNVWNAVLKNEALVEFLENHQSSVVFPEFDLDGKGSSTDESESKSESGKGFMDYMKDIKHKVTVTVVDMMNSLSDTFQTLFGGAPKGEFTVNPDGTAGISMEKTAIGATLMGLAIMVITVVITKRS >cds-PLY93628.1 pep primary_assembly:Lsat_Salinas_v7:4:256918737:256928414:-1 gene:gene-LSAT_4X134601 transcript:rna-gnl|WGS:NBSK|LSAT_4X134601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQEHHTTHPPKNQSLGISSSSSPVHLKFIDICYRVKIENNGGNNLIGSILGGSETSTSDDCSRIQERSILEGITGMVHPGELLAVLGPSGSGKSTLLNALGGRLHGHQFTGTVLANGRKLSKTVLRRTGFVTQDDVLYPHLTVRETLIFCALLRLPQSLTRGEKTEVADSVIAELGLSKCENTIIGNTFIRGVSGGERKRVSIAHEMLVNPSLLILDEPTSGLDSTAAHRLVSTLAGLAHQKGKTVVTSVHQPSSRVFQMFDTVLVLSEGRCIYFGKASEAMSYFESVDFRPSFPMNPADFLLDLANGVWQQDGAERQNIRQSLVVAYNDMLSSKVKDACLCFDTQALMKEHMCSPVDNEYYNTKSKCVKGLNTWFIQFTILIQRSLKERKHETFNPLRVFQVIAASLLAGFMWWHSDFRDIQDRLGLLFFFSIFWGVFPSFNAVFAFPQDRAMFMKERASGMYTLSSYFMARIVGDAPMELILPTIFISITYWMCGLKPDFVAFLLTLLILLAYVLVSQGLGFAVGAIIMDAKQGSTVVTVTMLAFVLTGGYYVHKVPGFMAWMKYISSTFYGYRLLIHVQYGQGREIWYMLGCFQYGSKHASCRFIEDDIQGQISTFSCMGILVIMFFGYRLLAYLALRRIKA >cds-PLY82451.1 pep primary_assembly:Lsat_Salinas_v7:2:187029859:187031362:1 gene:gene-LSAT_2X109001 transcript:rna-gnl|WGS:NBSK|LSAT_2X109001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKDVEVGGHDYSAKDYEDPPPAAFIDTEELTKWSFYRAIIAEFIATLLFLYITVLTVIGYKSQTDPAHSSDQCGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVTLPRAVMYIVAQCLGAICGCGLVKAFQKTYYNTYGGGANELADGYSKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVMYGKDKAWDDQWIFWVGPMIGAAIAAFYHQYVLRAAAVKALGSFRSNA >cds-PLY84142.1 pep primary_assembly:Lsat_Salinas_v7:6:187703956:187707426:1 gene:gene-LSAT_6X114920 transcript:rna-gnl|WGS:NBSK|LSAT_6X114920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVVAICALQNLVMHSRSNKRAVAEARGVQLVLDLIGSGDTDTSIQAAMFIKLLFFSNNTIQEYASSETVIAITDLWATGTVHEEYLKALNALLKQKMVPGKIVHHIVQIGPLKCWRGGLCLSRSIGDRDVGEFIIPVPHVKQVKSRVLVGTRKIDDVD >cds-PLY88718.1 pep primary_assembly:Lsat_Salinas_v7:7:193522814:193525646:1 gene:gene-LSAT_7X113780 transcript:rna-gnl|WGS:NBSK|LSAT_7X113780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITKGTLRINTFLFALSFLVKDGRVLITVDEKGSHFVSPTNAPYARMITFGKVAYSQFMFKFGFVDWKLMKDSVGGGCELMPHRMKAELEEFSMELSAALRQFLLGGKTGAGVRINLSSLLIVDRGVCWDLYIDGLVINIDVNILDALGAAIKAAFGMQFLS >cds-PLY62074.1 pep primary_assembly:Lsat_Salinas_v7:2:57766541:57768018:1 gene:gene-LSAT_2X25981 transcript:rna-gnl|WGS:NBSK|LSAT_2X25981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLTLQFLLILRHRSPQLASLLAIASPHHQDTQESKVKCVNWRSIIGDGDRRRKIGVREAVVMFPLPTSLKDIKVVYQYGSQQQVLLKPKKANMISARKL >cds-PLY94153.1 pep primary_assembly:Lsat_Salinas_v7:5:32819535:32820167:1 gene:gene-LSAT_5X15321 transcript:rna-gnl|WGS:NBSK|LSAT_5X15321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSALIDMYSKCGFLDLALKVFDEMPKRNIVSYNSVIASFGLYGLASEAFEVFHEVLEQGLKPDESTFTALLSACSHGGLLKEGRDVFRRMRDDFSIEANTEHYVHFVKLVGMAGELDEAYEVVNSLGEHVDSGIWGALLSCCDAHNDLKMAEIVSQRLLECKQERISYKVMVSNMLAGNGRWDDVKKLRDELDGVGNRKVCGVSWIEV >cds-PLY94289.1 pep primary_assembly:Lsat_Salinas_v7:7:165396833:165400400:-1 gene:gene-LSAT_7X97340 transcript:rna-gnl|WGS:NBSK|LSAT_7X97340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 8 [Source:Projected from Arabidopsis thaliana (AT2G04350) UniProtKB/Swiss-Prot;Acc:Q9SJD4] MDDSEGSLINTPTTEEIAVGGGFLSSLMEDKYSAAVAVIFAIAVPLVLSSMFLGKKKVKQRGVPVEVGGEAGFTMRNVRSSKLVEVPWEGATTMAALFEQSCKKHSHYRFLGTRKLVERDFVTGNDGRKFEKLHLGEFQWETYGQIFERVCNFASGLIRLGHDPDTRIAIFSDTRAEWFIAFEVDTHNPXTITLWLQGCFRQNITVVTIYASLGDDALIHSLNETTVSTLICDSKLLKKVASVSSSLKTVKNVIYFESDNTEVSTNISDWKVSAFSEVEKLGEASPAPARFPIKKDVAVIMYTSGSTGLPKGVMMTHGNVVATAAAVMTVVPGLSPSDVYLAYLPLAHIFELAAETVMMTAGASIGYGSALTLTDTSNKIKKGTKGDASVLKPTLLASVPAILDRVRDGVLKNVEGKGGLTTKLFNLAYKRRLTSIEGSWLGAWGVEKVFWDALVFKKIRSILGGDIRFMLCGGAPLAADTQRFINVCIGTPIGQGYGLTETCAGAAFSEFDDPSVGRVGPPLPCGYIKLVSWDEGGYLTSDKPMPRGEVVIGGHSVTAGYFNNEEKTNEAYKVDETGMRWFYTGDIGRFHPDGCLEIIDRKKDIVKLQHGEYISLGKVEAALASSKYVENVMLHADPFHSYCVALVVPAPQVLEQWAKSSGVSYNDYAELCAKKEAVSEVQQSLSKVGKEAKLDKFELPAKIKLMPEAWTPESGLVTAALKLKREQLKAKFKDDLQKLYG >cds-PLY99632.1 pep primary_assembly:Lsat_Salinas_v7:6:81845927:81847351:-1 gene:gene-LSAT_6X58221 transcript:rna-gnl|WGS:NBSK|LSAT_6X58221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPGHMITFWLWISLKQIEAIETHSGNDFPWTFTKFIPFYGGADYHDYHHYVGGQSQINFAFVFTYCHYIYGIEKGYCYQKKVLEQVYMKSRRRMFLFSSLERVHMRLTTFYWNGILNSDYARIES >cds-PLY87231.1 pep primary_assembly:Lsat_Salinas_v7:4:309235815:309237274:-1 gene:gene-LSAT_4X154760 transcript:rna-gnl|WGS:NBSK|LSAT_4X154760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARRFSQLPSLFKTTKTYFHTPKSYLRLPNKQSTSLFFIDSRTRVNDSPFPFAQASRSYARGKQPHYNLFSNGKPGDKKFREAWGKEIDEDDCLWTGSEEDESDTETQKAHLNEEIKKVKQQAKDHSNLIDGDDSDELRSVWSGSDEEKTLWTGSEDDDDDDIPTDPYPNESSDAYLDKLFEFDEKPKYRTISELLKSEEQEQEELSPGKLARKLAVENALKKLKKGADGRYVNVWEVMSDLDILIGAFENIVSGPEYEELRKGGPKKLNMEFFKDIQKRMRDPNYKFSPELKLKPKSKVVPRKKWQKAQSRRRKAQKR >cds-PLY66993.1 pep primary_assembly:Lsat_Salinas_v7:6:140449788:140451514:1 gene:gene-LSAT_6X84341 transcript:rna-gnl|WGS:NBSK|LSAT_6X84341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELARQLKAILSSNCSPESKHNLIQGIIASYNRALLIINSAQSELPALAAQPVSVSVLSQPESPVSIDGSPQSGDFNQGFDNFQDQKIVSKKRKAMLTWKNQIRISTDNGLEGNSDDGYSWRKYGQKDILGAKFPRSYYRCTYRYVHNCMARKQVQRTDEDPTVFEITYRGKHSCNNSATTATTAAQSVVPPQSPENHQPPPPKSGEMLSNLRENLRVSTSDLDAMVPCSFSFPSSSFGCIENYQQFHFTSEADHNFSQAANSGSNYFPEWNHEFHHHDDSNLSGIISATTSATNSPLAFTDSHDFNPNFPFNNSGFFI >cds-PLY97431.1 pep primary_assembly:Lsat_Salinas_v7:4:13873817:13874177:-1 gene:gene-LSAT_4X9621 transcript:rna-gnl|WGS:NBSK|LSAT_4X9621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRGLVLDKKRGNILKMNRHKYVKVVYQGFRLLPKDEKLATYGNILVRDAFDEADYALVDTLFSLVEAYLFAQLVDFKDNNPGKMSEEKE >cds-PLY75302.1 pep primary_assembly:Lsat_Salinas_v7:3:156396406:156399073:1 gene:gene-LSAT_3X99841 transcript:rna-gnl|WGS:NBSK|LSAT_3X99841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACNPSQQPIKFNVDDLHRAMDLKDNIRNMSVVGQLGHGKSTLIDSLATAAGIIPCDDDIHMTYTREDEAQRGMTIKSSGISLYYKMTDVALEAFKGERNGNEYLINLIDSPGHVDFSSELTAALRITDGALVVVDCIDGVCIQTRNALRLALGERIPPVLALNKMDRCFLELQVGGEEAYQTFLNVIEEFNEYMKPFEDKVLGDVKVCPTKGNVVFSSGLYGWGFTLSNIAKIYASISGVDESTWMRKLWGENYYDSKTKAWTTKSTGSATCTRGFVKFCYEPIKKVIEAIMNDQKDQLRGMLTQIGVTMNNEEDELMGEALMKCIMQKWLPIATPLLEMMIFHLPSPQTAQRYRVENLYKGPLDDPYATAIRNCDPDGPLMLYVSKMIPTSDDKSRFFALGRVFAGRISAGLNVRIMGSRPSGYVDGEDKDMYVESVERTAICMGKKQETIKDVPCGNTVALFGLDEFITTGSATLTHEKETEAYPICGMKFSVSPVVRVIVQCKVESELPKLLKGLRSLAKSDPLVGYTREESGDYTIGVVGEMNLAICSKDLAEDYMGGVEILVSDPFVSLYETVVEKSSHLVMTKSRNGNNQLFMKARSLDDSLGYAIEIGEVGPFDDPDVRGRILSEEYGLEKYLGKNIWCFGPETNGQNMVVDMCKEDKSLKEIEDYIVAGFQEASKKGALANEPMKCISFEVRDAVLHDDASHLDGSEMVEAAKRAIYASQLTAQPRFMQPYYVVEFQASSEEEVDKSCKLVRKRGGFVHEKKKVNRPGKMVYDIWAYVPVLKSFGFSADLEEATSVKLIPQCVFEFWSVMRSDPLEVGSYAHALMTQIRKRKGLNEQMTPLSDFEDKL >cds-PLY78511.1 pep primary_assembly:Lsat_Salinas_v7:5:338300113:338302418:-1 gene:gene-LSAT_5X191280 transcript:rna-gnl|WGS:NBSK|LSAT_5X191280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDGFRTFFYFLALAYCFIGLSAITERFFRSMEHVVQQTRTVVEIDPRNNTQRVRHEKVWNYTIADITLLAFGTSFPQISLATIDAIRNIGKLYAGGLGPGTLVGSAAFDLFPIHAVCVVVPKAGEVKKISDLGVWIVELFWSFWAYIWLYIILEVVWSPNVITVWEALLTVLQFGMLLVHAYAQDKRWPLVSLPLDRSERPEEWVPAEKGIADIFSIHQGNASDSTYQHLPLSDPSDKHFDIYPESDVASTWKHQFVNALMLDSTKLRDTNNRGRVGVGWAKVFWNVIVAPWKLVFAFVPPYQIAHGWIAFICSLIFISGIAYIVTRLTDLISCVTGINSYVIAFTALAGGTSWPDLVASKIAAERQTTADSAIANITCSNSVNIYVGIGVPWLIDTVYNFIAYGEPLRIENAEGLSFSLLVFFSTSVGCISILVVRRIVFGGELGGPRVWAWVTCAYFMLLWLIFVVLSSLKVSGII >cds-PLY84351.1 pep primary_assembly:Lsat_Salinas_v7:4:216135780:216136145:1 gene:gene-LSAT_4X122301 transcript:rna-gnl|WGS:NBSK|LSAT_4X122301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQKKGGCCLRSGPLHLLLQLSSITPNTLCFCFARSMPPILLAFYCLTKKKEPMRELSEQPLARTIVDPAASFSPSLVVAGDKKRTTKGRRRWVAPPRDLLDRHSFPIITFHLFFFFCFSD >cds-PLY63466.1 pep primary_assembly:Lsat_Salinas_v7:7:148299915:148300770:-1 gene:gene-LSAT_7X87640 transcript:rna-gnl|WGS:NBSK|LSAT_7X87640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPSTFQDKMKERETLKRRLNARIEYAKFLQDIVKEMAKEVQNIRSGESNKTAVEDLDEFLSKASISYLYKYMGIQPFRKDAYLRYMLKEKTSMD >cds-PLY97071.1 pep primary_assembly:Lsat_Salinas_v7:4:71587077:71589888:-1 gene:gene-LSAT_4X48440 transcript:rna-gnl|WGS:NBSK|LSAT_4X48440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLMTKATTFSSWVSPDLHIRNQKHLFHHKNVKPALRSLQFLSQSFNTLYCTRIPATLTMTTTSNHQVTDYNAEIFRFCEMGNLQKAMDLVCKSEEIDSKTYCHILQLCAESKALHHGKTVHNLICLRGIELDSVLGSKLVFMYVSCGDVREGRRIFDKIEKHHVFLWNFMMNAYAKMGDYEETVSLFRVMQEVGVEPDSYTFSCLFKCFAALGNENFGESIHGYVLKLGFGLDCTVVNSMIALYFKRGNLDNARKLFDHLPERDVITWNTMINGYVANSLPETAFEVFKSMLNSRVSMDSATMVSVVAACASMGVLTLGQTIHAFAVKSEFNKETKFNNTLLDMYSKCGDMDAALQVFKNMDERSSVVSWTSLIAGYTRKGESHKAIELFLNMKKKGVKPDSFTVTSILHACASNGSLEKGQEVHNYIKENQIHSLAVSNALMDMYAKCGSMDDSYHVFSETPFKDIVSWNTMIGGYSKNSLPSEALTLFTKMQSEIKPDNITMTCILPACASLASLNKGREIHTHVLKKGFSSDQFVINALIDMYMKCGALLLAKSLFEITKIKNLVTWTIMIAGYAMHGFGHEALSTFKKMREEGEKGKKGIEPNEASFTSILHACSHSGLLKEGQEFYKIMVNEFKIEPKLEHYACMVDLLSKTGKLSEAYKFIKKMPVKPNSIIWGALLCGCRFHHDVKLAEKVAEEIFELEPENTGNYLLLANIYSEAEKWEEVKVLRDRVSKRGLKKNVGCSWIEIKGEVYIFVGGDKENPEAKKIESLLDKLKMEMKKDGCLDRVKYGLVDEDMEKEVKVCGHSEKLAMGFGILKLAHGRTIRVTKNMRVCWECHEMAKFVSKNVGRQIVLRDSNRFHHFKDGFCSCRGYW >cds-PLY68066.1 pep primary_assembly:Lsat_Salinas_v7:5:294212766:294213287:1 gene:gene-LSAT_5X157040 transcript:rna-gnl|WGS:NBSK|LSAT_5X157040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLFTGLNVVLVSTITPVYDFVCFLPYWERRRERRRQEREATLANSSTSG >cds-PLY69660.1 pep primary_assembly:Lsat_Salinas_v7:5:213576985:213584450:1 gene:gene-LSAT_5X97621 transcript:rna-gnl|WGS:NBSK|LSAT_5X97621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIYDNHAKTGGFRVSMCGGAKELEQMSRDGSQYSLTTAILPSLGARSNRRVQLRNFIISPYDRRYRAWETYLVVLVLYTAWASPFEFGYLKRPRKPLSIIDNVVNGFFAIDIILTFFLAYLDKSTYLLVDNRKQIAWKYTSTWLAFDVISTIPSELVRKMSTGSFQTYGLFNMCRLWRLRRVSALFARLEKDRNFNYFWVRCAKLIAVTLFAVHCAGCFYYYLAAHYPNPGKTWIGYGNEGFQKESLWIRYVTSMYWSITTLTTVGYGDLHAQNRREMVFVICYMLFNLGMTSYLIGNMTNLVVHGTSKTRQFRDTIQAASSFAQRNQLPSRLQDQMLAHLCLKFRTDSEGLQQQESLDSLPKAIRSSISHFLFYSLLDKAYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYVLVTGAVELVVTKNAVEHIVGEAKTGDLCGEIGVLCYRPQLFTVRTKRLSQLLRLNRATFLHIIQSNVGDGTIIMNNLLKHLKDMDDPVMEEVLMETENMLARGRMDLPLSLCFATLRGDEHLLLKLLKRGLDPNESDNNGRTALHIAASKGNVNCVLLLLEYGADPNSRDSEGNVPMWESILNNHEPVTGILKDNNGTLVSGDIGQFACIAAEQNKLDILKKIISLGGDITLPKSNGSTALHVAVCEGNIEMVKFLLIQGADVDKPDDHGWTPRDLADQQGHLEIKKFFLSVKSIDDYSQLTKTTSLMPFQRAESRHVQFIGRFKSDPSLIRPMSQSQSQSQSQSKNNNRDEGNVSQPRQRRRGYTFHNSLFGIMANPHENNIDLVSVSSKPQTVKESPRRVIVSCPEKGDAQGKLVLLPQSFEGLLDIGVKKFGFMPLKVLNKDRAEIDEIELIRDGDHLVFVGDDCQEVDGENLR >cds-PLY92845.1 pep primary_assembly:Lsat_Salinas_v7:5:329095106:329098328:-1 gene:gene-LSAT_5X181760 transcript:rna-gnl|WGS:NBSK|LSAT_5X181760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKDTAESFGGLKRSSIPSSLHLYSGFKEFLATVKHVLIDSLINYHWYGESHNSIHWGLKRS >cds-PLY73948.1 pep primary_assembly:Lsat_Salinas_v7:5:36715513:36717166:-1 gene:gene-LSAT_5X18201 transcript:rna-gnl|WGS:NBSK|LSAT_5X18201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYDSIANASIPTAVSNPKEIGKKKRVNRTAKLKQCKLDARREQWLSQVKNKGFKEENKGDVRAKMPFSDMHASNEGDRSLVKLVINPGGEENDGLMNHYSDWESPSNSPTSHTSSLGSNRSVANFTGSSSRSSSSSSGGCYSESMTEEDDGDDGCLDDWEAIADALAADDIKNNHNPNPDSTTASSELEPVETIKEDQEDSHAQVNHRAWRPDDAYRPQGLPNLLKQNSFPMNSDRHYKNVGFAFAPSTCPICCEDLDLTDTSFLPCPCGYRLCLFCHKRILEDNGRCPGCRKLYEQHAEQGIAASKLGRSHSMIPRR >cds-PLY95500.1 pep primary_assembly:Lsat_Salinas_v7:6:14619516:14620498:-1 gene:gene-LSAT_6X10680 transcript:rna-gnl|WGS:NBSK|LSAT_6X10680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGVEGSSSSAADSSSFLEQNANYMSVLGMNGGTGFTFMQMEELKLQALIYKYMEAGLPVPSNLLLPIWNSVLASFTGSGCDRSLYDNYKNSMEAEPGRCKRTDGKKWRCSKEVVIGYKYCEKHLHRGRSRSRKDVEADSMVAATDGRHKNL >cds-PLY87171.1 pep primary_assembly:Lsat_Salinas_v7:5:263689630:263691017:-1 gene:gene-LSAT_5X135100 transcript:rna-gnl|WGS:NBSK|LSAT_5X135100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 9 [Source:Projected from Arabidopsis thaliana (AT1G55080) UniProtKB/Swiss-Prot;Acc:Q8RWA2] MDQFGGGNWNMIPTMPTHSNPTTPSNQDHLYLQQQQQFQQQQQFHQQQQFQLQQQQQQQQRYQISQQQQQQQQQQQQQQQTSQSLASHFHLQNLVENLADAIENGTRDQHFDTLVTELSSHFEKCQQLLNTISGSIATKAATVEGQKRKVDEAEQMLNQRRELIAKYKNSVEELTKSDL >cds-PLY83746.1 pep primary_assembly:Lsat_Salinas_v7:4:40150580:40152851:1 gene:gene-LSAT_4X26141 transcript:rna-gnl|WGS:NBSK|LSAT_4X26141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKKKKKQGEDELESSTFSLGSQASEIDDSGDCLENYKDVDVVSVLPDHTPDTLLPEPDNDDRSKDHDGDLDYESGIDKVNISVPVSLSPFEFQKSERSSHRKKPLAPFSKAPPSKWDDAQKWIASPTSTRPKNDSKKNSHVNHGNRQPITKVVLEVPVPVQVPVPVPDQRLIPYEEPDTKQMDSYSSKSVLMIEDTAAVNLSRHDSSVSIQNTTFVPPPSTARSVSMRDMGTEMTPIASQEPSRTGTPVRATTPSRSPSSSRPATPERITNLSNLGLEGGSNRELSEKELQIKTRREIKALGTQLGKTNIAAWASKEEEDKDASSSLKVLASEKSGKTAIEMRAAAWEDAEKAKYMARFKREEVKIEAWENHQKAKTEAEMRRIEVEVERMRGGAHDRLMNKLAAARHKAEEKRAAAEAKRNREAARAEEQAGYIRKTGRIPSSFSCYRCCF >cds-PLY95198.1 pep primary_assembly:Lsat_Salinas_v7:8:61526764:61527580:-1 gene:gene-LSAT_8X44620 transcript:rna-gnl|WGS:NBSK|LSAT_8X44620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCREGFMSPQTETKASVGLKAGVKDYKLTYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYGIESVPGEENQYISYVAYPLDLFKEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPTAYVKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIFKSQAETENWEFLS >cds-PLY80265.1 pep primary_assembly:Lsat_Salinas_v7:1:210130715:210132028:-1 gene:gene-LSAT_1X125881 transcript:rna-gnl|WGS:NBSK|LSAT_1X125881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEACEMEKPIVIDHHQEQQQQCQKQQALKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRVFKMLPTATTHAPSNSQPVDNNPNPNCKPNFDNHSSFYGFVPQNHNYESFKTVVSGCDFSQSQRSNLGLGFSSMNQSHNSSLFLSSYPSSVFADSTCSSNSVPTMASLLISGFQQPQRYMEWNNHFEGKNNDDQIEAMASSDPNSLIWNTTSGGGGGGGGGGWFDPTSNIDSSVASLI >cds-PLY63190.1 pep primary_assembly:Lsat_Salinas_v7:6:86591200:86591658:1 gene:gene-LSAT_6X60401 transcript:rna-gnl|WGS:NBSK|LSAT_6X60401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSVGSRSNILSRRQALRATCFCEDPVGKWTSWRPTSPGWRFIGCPNFRDEEKDCKYFAWVDPSLPNNWYRNMLMDFHNNDIQVDNEFVEEFVEEAVDFHNNDIQEVPVQGKGENGRLGFFCVCW >cds-PLY73732.1 pep primary_assembly:Lsat_Salinas_v7:8:168647886:168648104:1 gene:gene-LSAT_8X110261 transcript:rna-gnl|WGS:NBSK|LSAT_8X110261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAVGISDEPIVVSFFSPVARNRWNDQLLQSLIKAVPTAIREGRQGGSSPVVLLGVLRPIRKKKGKSVDF >cds-PLY67595.1 pep primary_assembly:Lsat_Salinas_v7:4:249006403:249006747:1 gene:gene-LSAT_4X132840 transcript:rna-gnl|WGS:NBSK|LSAT_4X132840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDTIFSHTGSILEVMLAKVPSNTEFINMYRPIPKFGEGNITTSLQAILDVGVVLQRDMGKLKAKVVEDVVTMKPNTQSKLKKKAKVCVVDEGIEETTISDDHLEDNVQMMTTL >cds-PLY88220.1 pep primary_assembly:Lsat_Salinas_v7:8:150392149:150394803:-1 gene:gene-LSAT_8X101681 transcript:rna-gnl|WGS:NBSK|LSAT_8X101681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase 4, peroxisomal [Source:Projected from Arabidopsis thaliana (AT3G51840) UniProtKB/Swiss-Prot;Acc:Q96329] MDVSIAFPQATPASIFPPCTSDYYHFADLLTPEEQALRLRVRACVEKEIAPIXXXXXXXXXXXXXXXXXXXXXIYSKLMLSFNESMQYWEKAEFPFEVVPKLGALNIAGGTIKGYGCPGFSVTANALATAEIARVDASCSTFILVHSSLAMLTISLCGSETQKQKYLPSLAKLDTIACWGLTEPDYGSDASGLRTTATKVEGGWVIEGQKRWIGNSTFADILVIFAKNKNTNQINGFILKKNSPGLKATKIENKIGLRMVQNGDILLQKVFVPDEDRLPGVNSFQDTSKVLAVSRVMVAWQPIGITMGVYDIYLLERKQFGAPLAAFQINQQKLVQMLANIQAMFLIGWRLCKLYESGKMTPGQASLGKSWITLRARETVALGRELLGGNGIISDFLVAKAFGDLEPIYTYEGTYDINTLVTGREITGIASFKPVIKKPQISRL >cds-PLY82905.1 pep primary_assembly:Lsat_Salinas_v7:6:94826486:94826824:1 gene:gene-LSAT_6X67820 transcript:rna-gnl|WGS:NBSK|LSAT_6X67820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHLQIMIKSYIQERGDMDVEIALVLRQKPFVVRKEYPKDFEKLKLGKIYSKEWYMVYQARERTGADFRRGCFVFADKHLYTASFLEHILDLVKRFKGINKDEVKCFTNMQV >cds-PLY68317.1 pep primary_assembly:Lsat_Salinas_v7:7:97266202:97267892:-1 gene:gene-LSAT_7X64921 transcript:rna-gnl|WGS:NBSK|LSAT_7X64921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERGERTMKVYAILGLLLFSAFVGDVSALSVTVNDVECVYEYVLYEGDTVSGNFVVVDHDIFWSSDHPGIDFIVSSPGGNVVQTMKGTSGEKFEFKAPRSGMYQFCFHNPYSTPETVSFYIHVGHIPNEHDLAKDEHLDPVNVKIAELREALESVTAEQKYLKARDARHRHTNESTHKRVIFYTIGEYILLALASGLQVVYIRRLFSKSVAYNRV >cds-PLY69908.1 pep primary_assembly:Lsat_Salinas_v7:4:67480518:67483292:-1 gene:gene-LSAT_4X46560 transcript:rna-gnl|WGS:NBSK|LSAT_4X46560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CNX2 [Source:Projected from Arabidopsis thaliana (AT2G31955) UniProtKB/TrEMBL;Acc:A0A178W0P6] MRFYLSGCSNWHLGFSRLNSAKSRIKSYFQLGSDHGKLLTTNHMESSWTRTYATMPEKIPEDDPISNMLVDSFGRHHTYLRISLTERCNLRCQYCMPAEGVELTPGSKVMSQNEIVRLASLFVHSGVNKIRLTGGEPSIRKDIEEICSQLSNLRGLKTLAMTTNGLTLARKLPKLKECGLNLLNISLDTLVPAKFEFMTRRKGHERVMESIYTAVDLGYNPVKVNCVVMRGFNDDEICDFVELTKEKPINVRFIEFMPFDGNVWNVKKLVSYAEMLDIVGKRYTGIKRIQDHPTETAKNFTVEGHQGTVSFITSMTDHFCSGCNRLRLLADGNLKVCLFGPSEVSLRDPIREGADDNELRQIIGAAVKRKKGSHAGMFDIAKTPNRPMIHIGG >cds-PLY77474.1 pep primary_assembly:Lsat_Salinas_v7:4:49541225:49543442:1 gene:gene-LSAT_4X33440 transcript:rna-gnl|WGS:NBSK|LSAT_4X33440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSYSSEVGRSDGDFGFAFNDSNFSDRLLCIEIVSEPLGFRPDGEGCMNLAACFRNPKRRREDIIRENDIASGYSDEQVQNQPDHILDDGMDNEIQDEEQETMIEEPHTPLVARVKTIHISSPILAAKSPFFYKLFSNGMKDSEQRKLHVNLRINDSEEAPFMELLNFIYSNTLTSTSAPALLDVLMAADKFKVVSCMRHCSNLLRNLPMTPESALLYLDLPSTVSMAEAVQPLTNTAKEFLTLRYKDITKLQDEILNLSLAGVEAVLSSDDLQVVSEDAIYDFVLKWARIHYPNIEERREILTKLLPKCIRFPYMTCRKL >cds-PLY79872.1 pep primary_assembly:Lsat_Salinas_v7:8:15992400:15992804:-1 gene:gene-LSAT_8X13060 transcript:rna-gnl|WGS:NBSK|LSAT_8X13060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIGHAEHNWSLNYRRHMDENHSNGVTNKDMAINLLQTQLEFSLIREDFQDQLHELRQIVDRDLDAMNREVDGVRASQLNISNVVVDVKNHFVSLQGAYVKTVFKDNKRKKLMYCIGIVGVVSASVVTYLVFK >cds-PLY93680.1 pep primary_assembly:Lsat_Salinas_v7:2:201809958:201812431:1 gene:gene-LSAT_2X123040 transcript:rna-gnl|WGS:NBSK|LSAT_2X123040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNWNKNTDCCKWNGVTCNHFTGDVISIDLSCGMLQGSIHPNTTLFRLPHLQTLNLAYNDLTDSQLPHDFSNSLTHLNISRCRFIGWVLPTYLDLSSSLKSLDLSYTGLQGKLPDNILNLQYLEKLHLRGNSFVTGPIPKVNMSINVPLKWLHLSFTNLSGEIPDSIGHLKSLSHLGLSSCGLVGSLPKSLVNLKNLTTLNVEGNMLKGTLPSLLFTLPLLENLYLGNNIFTGGLASELFNCRSLKRLSLDNNQLEGEINGGSTSPSIIQLVNLTHLGLSSNNFTGLWELEVLLSSLPNLQLLMLSDSGLSVVSDNSSSYVNPEFSFLGLASCRLNFFSGVIPQCLGDISFSLEYLNLKSNLIQGFFPPLICNLSALTFLDMSDNNFGGVIPQCLGNVISSLLMVDMGNNHFHGTIPNVYEECGQLEGLIFNGNQLQGEIPRSLSKCQYLKVLDLGNNQLNDTFPEWLGDLPMLQVLALKSNKLHGLIETPSTIKSAFPSMRVLDLSHNEFAGHPPREYLRNFNAMKNTVMNSTKPKSFPVGGNYYSVSVVKGLEQVIPQILVDYVILDLSNNKFDGEIPSVIGYLTSLKVLDLSHNSLTGRIPYVLGNLSEIEYLDLSWNKLNGEIPRSLAELKFLRFLNLSQNHLMGRIPSGTQFGTFTNSFGGNPELCGLPLPKKCGHPNE >cds-PLY83349.1 pep primary_assembly:Lsat_Salinas_v7:1:63631685:63635581:-1 gene:gene-LSAT_1X53600 transcript:rna-gnl|WGS:NBSK|LSAT_1X53600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNSQINQFQSFHNLVTLQKPFLARAIDATHHSSSSAYSHTTLLQPTTTKKSNATPTRRSSAGKIKSRLFTDLLKLEKTKVTGVIHTKSTYLHSLEPSRLLDDAASLLYSSVKLEFVFHELDSEGKPRKKTVKAPYDHFGGQYTFEFDVNDDFGEIGAVLVENDYRNKIYIQKIEVDKPKKVTFTCNSWVHSSYDNDQRRIFFADKCYLPSKTPNALRSLRKKDLEFIRGTGEGERKAFERIYDYDVYNDLGDPDNKKSDLARPVLGGKKFPYPRRCRTGRKMSSKDPLTETRSSDPFYVPIDEDFSEIKSVSFGARTLYNLLIGVIPRLGTAFTEKDEDFRLFQDIESLFDEGVVINGTDKHVASVLPRIVHEVVDAVDDLLKFDPPETLQRDTFFWLRDDEFSRQMLAGINPCSIQLVTEWPLMSKLDPKVYGPPESAITKEIVERVIGGFMTFEEALEQKKLFVLDYHDLLLPYVNRTRDLDGMTLYGSRTLMFLTPAGTLTPVAIELTRPSSEWQPQWKHVYTPSLGATGSWLWKIAKAHVLSHDSGIHQLVSHWLRTHCATEPYIIATHRNLSTMHPIARLLHPHFRYTLKINALARQSLINAGGVIESTFSPGKYCMQLSSDVYDQLWRFDHEALPADLISRGMAVEDPTEPHGLKLTIEDYPYANDGLILWDAIKQWVTSFVNNYYPQASLVESDEELQAWWHEIRTVGHGDKKDEPWWPQLKTQDDLIGIVSTIIWVTSGQHSAVNFGQYEYAGYFPNRPTIARTKMPNDDPTDKEWQIFLDRPEAALLKCFPSKSQATKVMAVLNVLSTHATDEEYIGKTAEGPFEAEPAIQVAYEEFRERIEEMERTIEKNNANRNLRNRSGAGLLPYKLLKPSSGPGPTGEGVPNSISI >cds-PLY81361.1 pep primary_assembly:Lsat_Salinas_v7:4:36331574:36331930:1 gene:gene-LSAT_4X22941 transcript:rna-gnl|WGS:NBSK|LSAT_4X22941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYVGYRSDMEDIWDWVLHPYLEEDQVVPHTPEEETNYDLTMPIPPTPVSANDELFEDEEDPIKNKEQHDEEFGGVPADSSPYPDSSSHQDLVDTRKEDPSKLESYLEMLSQLHPSSS >cds-PLY77936.1 pep primary_assembly:Lsat_Salinas_v7:1:22765873:22769287:-1 gene:gene-LSAT_1X19281 transcript:rna-gnl|WGS:NBSK|LSAT_1X19281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVTDFFAGEIATELLKMLITITRKACLCKPSAEQLKLSIEELLPIIEEIKYSGVELTPTRQMQLDSLSRALRDGLELAGKVLVSSRWNVYKNLQLSRKMEKVEKKISRFLQGPLQAHVLADVHHVRFETTERFDRLEGSARRLEQRLGSMKIGGGGEGWWLEEAMNNMNEEEQYEGGLEKVGMELGKRKVKGMLLDTDDLVVIGINGIGGSGKTTLAREICRDDEVRSYFNNEILFLTVSQSPNVQQLRQDIWGFLSRSKLNGNSDISPQWPLNQYNHRNTVTPTLVVLDDVWSDQVLHQLIFNVPGCKTLVVSRIKFPSKVLNSTYELELLREEEAISLFCHTAFGTTSIPPGSDENLIKQVVEKCKGLPLALKVIGASLRDQPEMYWRGANSRLSRAQPICDSHEIELLNRMKLSIDYLSEKVVEKCKGLPLALKVIGASLRDQPEMYWRGANSRLSRAQPICDSHEIELLNRMKLSIDYLSEKVRNCFLDLGSFPEDKKIPLDVLINIWTELHDIDDEEAFAIILELSNKNLLTLLKEERAGDIYSSHCEISVCQHDVLRDLAIHMSSFESVNNRRRLVMARREKGLPKEWERNIDQQFLARIVSIHTGEMKETDWSKMEFPKAEVLILNFDSTDYFLPPFIENMPKLRALVVINYDTKTAEVLNLWVLGKSSHLRSIWMEKITIPELPKTIIPFTNLEKISFLLCKINLREESELDLSHIFPHLSHLTMDHCTEMTKLPSSICQVKTLRVLSITSCESLEELPFDIGKLIFLRILRVYACPKLKKLPCGIKNLVWLDYIDVSQCLNLECLNEEIGGCVSLKEIDMRECPRIKRLPRSIKWLRSLRRVICDEEISWEWKEMGKELTDLCVKVAEESFSLDWLNE >cds-PLY63216.1 pep primary_assembly:Lsat_Salinas_v7:6:86596593:86599485:1 gene:gene-LSAT_6X60361 transcript:rna-gnl|WGS:NBSK|LSAT_6X60361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSGIHSISLDGSSLGHGEFPGQLDANNFVGDPCLVLTTDPKPRLRWTAELHERFIDAVTQLGGPDKATPKTIMRTMGVKGLTLYHLKSHLQKYRLGKQSCKELTENSKDGNDSMTPASCIAESQDTGSSTTSSTRMMPQDSNDGFQVTEALRVQMEVQRRLHEQLEVQRRLQLRIEAQGKYLQSILEKACKALNDQAVATAGLEAAREELSELAIKVANDCPPSVIPIPSFPEVAAYLENGIAPSVDSCLTSNGSPVSPAVLKKRQRAMFNGGDSLQSNRMDDVWIA >cds-PLY79893.1 pep primary_assembly:Lsat_Salinas_v7:8:18962428:18964254:-1 gene:gene-LSAT_8X14841 transcript:rna-gnl|WGS:NBSK|LSAT_8X14841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and MATH domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT2G39760) UniProtKB/Swiss-Prot;Acc:O22286] MLVNNFDHGISSGSKSINESVNGSHDFTIRGYSLAKGMGAGKYISSDTFTVGGYDWAIYFYPDGKNLEDNSMYVSVFIALASDGTDVRALFELTLLDQSGKGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFFRRVSLETSDYLKDDCLSMHCTVGVVRNRVEGPKHFTISIPPSDMGQNLKFLLESETGCDIVFRIGQETFKAHKLILAARSPVFRAQFFGLVGNPNMDQVELKDIEPSIFKAMLVFIYSDTFPEAEESTTTGSMSSIYTASTNMIQHLLAAADRFGLDRLKQLCEAKLCEEVNTETVATTLSLADQHRCAQLKAICLKFAAANLGVVMRTEGFKYVEETCPSLLSELLETVAAAAVEERSGGEGLSRKRSGGGSSIIGLDXXXXXXXXXXXGGADCGGGSGGGGVGGC >cds-PLY80197.1 pep primary_assembly:Lsat_Salinas_v7:8:191217913:191220889:1 gene:gene-LSAT_8X124781 transcript:rna-gnl|WGS:NBSK|LSAT_8X124781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYAHVQMFMEKLKQLIYSDDIPMINNPSILRERPQFQLLYEELDSMMQTLFNHEDQDLYNFEKVRKLKKRFKATAEEAEDIVDIFLSAVYCRYNEYFTISDVFQTSLNLEDVIRSIKSIKVEFMTAKIDHMKMDSSQRTDRLPMKLASVAGTSNTRNLVGSKKAVEKIVVGIDRDAEIIRDKLVEDGKHLDVVSIVGMGGLGKTTLAKKVFTDPYVVYHFHVRGWVTVSQSYDKRDLLIQVLSSIDKQLELEEATNSQLHEKLHKRLNRQKYLIVIDDIWSKEAWDNLKLFFPDDNTGSRIMLTTRLTEVALHAKSHGLIHHLQHLSDEESWKLLCEKAFQEDECPEWLIEPGKQIAKNCHGLPLSVVVMAGALAKEARSQDLWVKISCSVHSYIASDEKGCLETIALSYHHLPLHMRVCFLYLGGFPEDSWIVARTLILLWMAEGFIHEDGCRSLEEIGKGYLMDLVDRNLLIVEELYITGDVRFCKVHDLVRQLSVEKGKEENFFLKIEPPSSGLCDIIRKQIKKLRNRKKAITTHEQRHVVTNQEIDIMSLCRQSTPNIRSLLCNHRKTTFTDNISKFFRSFALLRVLNLERCELIDFSPSLALLVHLRYLEIWISLFPSSICNLWNLQTLFVRTSSSSMLLPSSISNLVNLRHLGCNADLFLPSIGKPMKLEFLSNVVVGVGVDNFKKYFPRIKDLACSIYSDDENDFEGLHYLQGLKLTGLGYSRRRLVEREFVRGEPNMGKNYIRFSSTLKELALVRCGLPWSDMSIIQSLPNLEFLILEDNAFEGTLWETGEEQFQRLKILRLEELNIKQWEASSINFPCLKELEVVNCVDLEEIPLELGDISTLECIYIVNCCPSLLVSLQKIRQEQDVVGNYELEIIVDERNMPSCVPRNDD >cds-PLY80451.1 pep primary_assembly:Lsat_Salinas_v7:4:175766018:175766968:-1 gene:gene-LSAT_4X103321 transcript:rna-gnl|WGS:NBSK|LSAT_4X103321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEMVKTMERVYVEKGSKPKPDNMVLPVIEESRKVVNLMPQGKTIAITDEEILEMVLFPVVKEACHVLEEKIVVKASDLDIAFVLWDEFSFISMLLGVNTYTSLEEWSEKYGNFYKPSRFLEERAINGVPLSAPINTGSRAQS >cds-PLY70944.1 pep primary_assembly:Lsat_Salinas_v7:5:151407902:151408123:1 gene:gene-LSAT_5X67060 transcript:rna-gnl|WGS:NBSK|LSAT_5X67060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein H [Source:Projected from Arabidopsis thaliana (ATCG00710) UniProtKB/Swiss-Prot;Acc:P56780] MATQIVENGAISGPRRTTVGDLLKPLNSEYGKVAPGWGTTPLMGVAMALFAVFLSIILEIYNSSVLLDGISMN >cds-PLY84334.1 pep primary_assembly:Lsat_Salinas_v7:5:188342660:188345154:1 gene:gene-LSAT_5X83860 transcript:rna-gnl|WGS:NBSK|LSAT_5X83860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTATSKEDDGSSKIASLIPETKEILHQSILHYLHRSGFNKTLKRFQSEAQIQNDMWKSSSANLEEIYCKFVNGCNQAKDDIESTKKDEKDEKTTISKKKKKKSNADEEQSKSTMSNDEIPQDKVVHEESELKPKKKKKSKHDLASDGETKEEKLDDTVNELEVNEPKKKTKDKKSKSNQEDKPATNDTENGDVVKEDKKSSKKRKRLLSDENENTTEAPKEEEIVENEKPEKNEGEKSQKSVKKQRIDSTEPKTINAFQRVKIDQVEFAHEKLQDNSYWAKDGADIGYGAKAQEVLGLVRGKGFRHEKTKKKRGSYRGGQIDLQSHSIKFNYSDQE >cds-PLY63949.1 pep primary_assembly:Lsat_Salinas_v7:4:77167527:77171026:-1 gene:gene-LSAT_4X52281 transcript:rna-gnl|WGS:NBSK|LSAT_4X52281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SMG7L [Source:Projected from Arabidopsis thaliana (AT1G28260) UniProtKB/Swiss-Prot;Acc:Q9FZ99] MNGNQPSTLRDQREKQTSFLEVVNTEKLLWATIQHKGIQHLEVQDLYLRVRSGYEKIILNDYKQVDLQEVEYSLWKLHYKHIDEYRKKIKKVSSGTESTNNLNSHVEGFKLFLSKVAEFYKDLIAKFRKTFVSEETLLKKNGNGDPVSNENTILQKCHYVCHRFLVCLGDLSRYVELCKKPEVQKWGVAATYYLEATTVWPHSGNPQNQLALLATYIGDDFLALYHCIRSLAVKEPFPDARDNIMLLFEKNKSSQLESLSTNAHIDFSKPLKRLSSQIKSHSSMDSTNIKLGGNGNEHVFPVKTDLWPLFVRMMSFFLVKPSFEDLPHTFASTMKELEAVLALNEMELNSSLEPYDQFDSSRKGPYRALQSVAILIFVIHNLMKTPELKELKGQKDEQLSACSSWAWTCMFTCLGHFIQRCLSLTTAGSDRQNCCSLLPAVLVFLEWYVGVIDFAGSYDEDEKVCNAKSYFLGALVDLVSGFDENPNFSNRIALWEDYELRGFKPVSQSNELLDFCNSENRRRFDFGNSNSIRVNRVLHASIKVAEKLQCGSIIYDRSSRKFSKKNEKEIIEEEESSVVEEEEVILFKPLTRYNSEPIQTETETSDQEHLRRSSSLIGAQNAAGPPSLSGWVLNRESLNLERERGSRSPNQRELSPISEMPTSSYVAPIPSAPLLPDDFSSGVPGFEDGFRPPVFGLSSSEWLYRYTNNLSLERGNGNLPNPNPHWPVSGNNPAGTIAGNFHGYGGGSRYDVVDRWGNPLLTNRMVYLENPRERFLFGGGGGGGGGGGGXXXXVNSHQFCSM >cds-PLY85856.1 pep primary_assembly:Lsat_Salinas_v7:9:129169358:129169947:1 gene:gene-LSAT_9X83741 transcript:rna-gnl|WGS:NBSK|LSAT_9X83741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPIFRSESLTGTGSVYPYPYSAITEVEKRQLFLRSYQFSRKQSGGQRVKRSLFRVKKLIWVKLRAAKKIHRMIWMKIRHGFFFSNGFRRKRFIRLNHHHNPSSSLCFW >cds-PLY83139.1 pep primary_assembly:Lsat_Salinas_v7:3:95622308:95622868:1 gene:gene-LSAT_3X71641 transcript:rna-gnl|WGS:NBSK|LSAT_3X71641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSMRSYGLIDADSRCLFMINAQPGEHLHLDQLIGVNLSPIQALKIEEGDEDLWCLLIDHEPAYSTFWMEVTMNRGSIATARFVDGSQEFDDEETMEIAEIVVEYDKYSRYLRKISELPVTIIGKNKADGSKEGEGEICVVCQEEYEAGLTIGTLKCGHVYHEKCIKKWLVQKNLCPICRSTALS >cds-PLY77670.1 pep primary_assembly:Lsat_Salinas_v7:9:19919834:19920761:1 gene:gene-LSAT_9X18440 transcript:rna-gnl|WGS:NBSK|LSAT_9X18440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SECE1 [Source:Projected from Arabidopsis thaliana (AT4G14870) UniProtKB/TrEMBL;Acc:A0A178UZ24] MALSLSQFTSSPSLPASSARTSTNYRIISTTIKSTLNPITNFPNTAILTAANGKKNHRFAVIVNAAEEQQQQPTTAESESTQKPPSDEELSELGTEIKKAMMDREIKKDESVWAGVVDEIGRIEWPAFNKVLGTTGVVLGVIAGSSVVLLTVNAVLAELSDRVFVGRGVQDFFG >cds-PLY98686.1 pep primary_assembly:Lsat_Salinas_v7:5:303744532:303745000:-1 gene:gene-LSAT_5X163541 transcript:rna-gnl|WGS:NBSK|LSAT_5X163541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFYISMNLGTFACIIIFGLRTVTENIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLYLFWCRWQAGLYFLVLIGLLTNVVSIYYYLKIIKRSTLRSNNSIELSMIVCVITFTIPGISMNLIIAIAQDTLF >cds-PLY83311.1 pep primary_assembly:Lsat_Salinas_v7:1:62127466:62129669:-1 gene:gene-LSAT_1X53221 transcript:rna-gnl|WGS:NBSK|LSAT_1X53221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFQIAKRLLRSRATNEVFTMSRSPSLGLFSSIDRNYSSSSNLIRATLFPGDGIGPEIAESVKQVFTAADVPIEWEEHFVGTEVDPRTQSFVTWESLESVRQNKVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFYYAKAHGRERVSAVHKANIMQMSDGLFLKCCREVAEKYPEIKYEEVVIDNCCMMLVKNPTLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSLNIGEGGIALAEAVHGSAPDIAGKNLANPTALLLSAVSMLHHLDLNEKADQIQEAVLKTIAEGKYRTGDLGGSSTTTDFTKAIIDHL >cds-PLY71037.1 pep primary_assembly:Lsat_Salinas_v7:5:43773274:43773636:-1 gene:gene-LSAT_0X36361 transcript:rna-gnl|WGS:NBSK|LSAT_0X36361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLRRIENKINRQVTFSKRRGGLLKKAHEISVLCDAEVALIVFSNKGKLFEFSTDSWYPFPFLFYTSIYFLSIYIYIYIFLFFIRIMDFFRVSTFNKCHTIVVSPNIQKATQTSY >cds-PLY98814.1 pep primary_assembly:Lsat_Salinas_v7:7:25567933:25569254:-1 gene:gene-LSAT_7X19801 transcript:rna-gnl|WGS:NBSK|LSAT_7X19801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMKLCIPLMLNFAVYDIPVIWSVILSWLRPPYLYVVINGIIIIIAASSRFHHHYNHYHSELQTHPLVNEANSLPPPDLPSEIQSLTVQPRFDILEHPPVVFGFEDENWETKEVSVVYENEIEPPIIEIETVLVNNSDVAAEVEEKFEIPKSSWNSPQLMINSPPPAEKIQSDFIPPVRERPLVSSRFAHQRRTAKINPEGVKSLRVSKAKKHDTLESTWKTITDGRHMPLNRHLRKSDTFENHHHHAPPIDALPGEQVPSSAAAENNLMNKSETFNDRTDYDNQSHRIPSLKNSLLSGGGRLRKEGSLSQDELNRRVEAFIKKFNDDMRLQRQESLQRYMDMINRGAE >cds-PLY93394.1 pep primary_assembly:Lsat_Salinas_v7:9:58872899:58875825:1 gene:gene-LSAT_9X52100 transcript:rna-gnl|WGS:NBSK|LSAT_9X52100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSISNPENEQNIIKTKPQGIQFIEYTGNSTLSYKTHQSIVLVITFLAYASYHAARKTTSIIKSSLDPQSQSSNDTLQSISLLSWLLGSGWAPFNGANGTGLLGDLDLAFLFVYAIGMFFSGHIGDRMNLRVFLTIGMVGTGLFTSLFGVGYWANIHFFYYYLVVQMLAGLFQSTGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSIGNISGSLIASYFLKYGWGWSMVVPGLMITIVGLLVFLFLPVDPESVGVDKDGDGDGDKPVEKIGAEVTKPLLSSKANIQKEKESPVGFIEAWKIPGVAPFAFCLFFAKLVAYTFLYWLPFYISHTAIDGEYLSDVAAGNLSTLFDVGGVVGGILAGHISDRLNARAITAASFMYCAIPALYFYRNYGHVSMTINIILMLITGMFVNGPYALITTAVSTDLGTHRSLRGNSRALATVTAIIDGTGSIGAAIGPLLTGYISTRSWSAVFTMLMVAAFVAGLFLTRLVVAEIASKWEDSRRGSISIRRSPLVEV >cds-PLY77629.1 pep primary_assembly:Lsat_Salinas_v7:4:275556742:275561040:-1 gene:gene-LSAT_4X141440 transcript:rna-gnl|WGS:NBSK|LSAT_4X141440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAQISGISSTCSTASCKDHSLRLGFDSLSSNRATSISFSSQLNTNLSIKSLETRRRHKTSKICSAVIVESNSEQMELDTSLSPRVNSVKPSKTVAITDQATALVEAGVPVIRLAAGEPDFDTPSVIAEAGINAIREGFTRYTPNAGTLELRTAICKKLKDENDISYTPDQVVVSNGAKQSILQAILAVCSPGDEVIIPAPFWVSYPEMARLADATPVILPARISENFLLDPKLLESKLTEKSRLLILCSPSNPTGSVYPKKLLEQIAQVVAKHPRLLVLSDEIYEHIIYSPAVHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYIAGPKHFVAACNKIQSQSTSGASSIAQKAAVAALGMGHAGGAAVSQMVKAFRERRDFLVKAFGELDGVKISEPQGAFYLFLDFSYYYGNEVDGFGLINDSESLCRYLLEKGQVALVPGDAFGDDTCIRISYAASLSTLQAAFERIKKAVLTLKS >cds-PLY63119.1 pep primary_assembly:Lsat_Salinas_v7:8:73450897:73456992:1 gene:gene-LSAT_8X52961 transcript:rna-gnl|WGS:NBSK|LSAT_8X52961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGKHHGAEGGMAHNSGDQIVFDSLANIDASKETWNIRVQPQVGNCLFESRLHINDHMPHISEIKKVTADMDFNVESSINTSQLNTDIVVAKAEDYYLRFPIKNIDDIPDYNEEKCLSIIATTIGFDLDERWYSFYCRDCSK >cds-PLY96205.1 pep primary_assembly:Lsat_Salinas_v7:3:93186640:93190824:-1 gene:gene-LSAT_3X69841 transcript:rna-gnl|WGS:NBSK|LSAT_3X69841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGATEGTRQLDQTPTWAVSGVCAIIIIVSIALEKLLHKLGKFFTDKHKKSMFEALEKVKAELMVLGFISLLLTFSQSYIVKICIPDSLADTMLPCAVKEKTQKDEKSEGIHRLLLSLQHGRFLAESSPSTCNKGKVPVITVDGLHQLHILIFFLAVIHVAYSAITMTLGRLKIRGWKHWEEETSTHNYEFSNDHSRFRLTHETSFVKAHTSFWTRVPFFFYIGCFFRQFFRSVSKSDYLTVRNGFINVHLARGSKFNFQKYVKRSLEDDFQVVVGISPVLWASFVIFLLLNVNRWQAMFWASLLPLVVILAVGTKLQAILTKMALEITERHAVVQGIPLVQASDKYFWFSKPHLILHLIHFALFQNAFQITYFFWIWYEYKISSCFHANIKLVILKLVIGVGVLILCSYITLPLYALVSQMGSNMKKSIFDEQTSKALMNWRMAAVKKKRGGGSTGGNSPIRTLETPFSPGPTTPPSMMSQSTGATLHHPKTTTTTAFSPPSPESSATHLINVRVDHQNGDMIKPSIPQRDYTKNEEDFSFDKPSTKY >cds-PLY97778.1 pep primary_assembly:Lsat_Salinas_v7:4:375777257:375779021:1 gene:gene-LSAT_4X185021 transcript:rna-gnl|WGS:NBSK|LSAT_4X185021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPDALSKAFVEHYYSTFDTSRAGLANLYQETSMLTFEGQKIQGSQNIVNKLTSLPFQQCKHTITTVDCQPSGPAGGMLVFVSGNLQLAGEQHALKFSQMFHLMPTAQGSFYVYNDIFRLNYA >cds-PLY73123.1 pep primary_assembly:Lsat_Salinas_v7:4:362141944:362144977:-1 gene:gene-LSAT_4X177421 transcript:rna-gnl|WGS:NBSK|LSAT_4X177421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNKKRTKTVDRVDPVDLAEVSPESIPVYPFPDEVLEPVLSPIKSHKDCSFVSLVCKDWCNAERWSRRHVFIGNYYSLPPKNVARRIPKIRSVTLKGKPRFSDFNLVPEDWGADVHPWLSVLAKAYPFLEELRLKRMAVCYSLCLEDVILKMLRLCVIYLQKKEYEVALVGAFRREKDKDLTLQAVTAESQAAMKLAKQREDEIQG >cds-PLY86516.1 pep primary_assembly:Lsat_Salinas_v7:8:134916847:134917174:1 gene:gene-LSAT_8X91640 transcript:rna-gnl|WGS:NBSK|LSAT_8X91640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEDNEIHKENELRTKRRFDSCKIKGDEVVVLNSCSGKHKVTVKKLNEDEDSDFEDAKPMLIRKKRMHYTSFKDDDKKM >cds-PLY97440.1 pep primary_assembly:Lsat_Salinas_v7:4:16164419:16167255:1 gene:gene-LSAT_4X10861 transcript:rna-gnl|WGS:NBSK|LSAT_4X10861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRQVMCSAAKDDLPEIFLVFKIDIAESWGISSMKCFLWYKLKLKQILQQINQVAAMVFFT >cds-PLY79608.1 pep primary_assembly:Lsat_Salinas_v7:2:166336281:166338650:1 gene:gene-LSAT_2X87981 transcript:rna-gnl|WGS:NBSK|LSAT_2X87981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEVQLPTYDIIIFGASGFTGKYVVREALKFLDSPNSPLKTLALAGRNTSKLSQTLKWAATSHPTVPLLIADTSDPSSLRRMASQAKLVLDCVGPFRLYGEPVVAACVEAGCDYLDISGEPEFMERMEAVYHEKAVEKGSLVISACGFDSIPAEIGLMFNSRQWGSPAVPNRVEAYLSLESDKKIAGNFGTFESAVLGVANADKLAELRRSRPKKARPTIPGPPPPKGSTIEHQKQIGLWAVKLPSADATVVRRTLSILTENPNGLEGVNEDPKHAEKRSSFWSTIKPAHFGVKIATKNLLGMIGIIATGISIGLLGSFSFGRWLLLKFPSFFSFGGFRKEGPTEEEVASASFKMWFIGHGFSDANLASQATSKPDKEIITRVMGPEIGYLTTPITLIQCALILLKQRQDLPKGGVFPPGIIFGPTDLQDRLQENGISFDLISKTDINNNVST >cds-PLY84887.1 pep primary_assembly:Lsat_Salinas_v7:MU041095.1:480361:481196:1 gene:gene-LSAT_0X37521 transcript:rna-gnl|WGS:NBSK|LSAT_0X37521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVGMKTKKKRLRHLQHQINGKYLSPDADKCVWNLYNLHSVLVNNGGVHGGHYYAFIRPTLPDKCYPGFNNAPFKIYKILERIHACLHT >cds-PLY73950.1 pep primary_assembly:Lsat_Salinas_v7:5:36436102:36438559:-1 gene:gene-LSAT_5X17941 transcript:rna-gnl|WGS:NBSK|LSAT_5X17941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F transcription factor-like E2FE [Source:Projected from Arabidopsis thaliana (AT3G48160) UniProtKB/Swiss-Prot;Acc:Q8LSZ4] MKSRGKTVHSKEQLKSKGVPACNKKQTLHRLQLNFQKFQNPKTKSLSKSNILNPHIPPLSTTLHLSVNHTLDLHTVRNMAIPSPSYSLATKEPASGSTTYCRKQKSLGLLCSNFLSLYNRDGVETVGLDDAASRLGVERRRIYDIVNVLESVGVLVRKAKNTYYWKGLGAIPKALEELKEEGFRNNDEPIDCKSGKVWEDDEDERFSNSSASFQEKADPDSMHKSLGLFKSENRKEKSLGLLTKNFLKLFLCTPSDTLSLEDAAKILLGDARNPSLTRTKVRRLYDIANVLSSMNFIEKIHHPETRKPAFRWLGMRSQSQSQTDSKTGLVHPESKKRAFGTDLTNTNISFKRTKTVLDVGVDQSVKLGVSHSGSDRLDLKDPTGLVHGESKEVKKSQDWESLASTHRPQYHNQALRDLFVHYMDAWSSWYSEVAEMDPIEAV >cds-PLY77021.1 pep primary_assembly:Lsat_Salinas_v7:6:176745149:176747368:-1 gene:gene-LSAT_6X106760 transcript:rna-gnl|WGS:NBSK|LSAT_6X106760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSAFAGAKLETLFLSNATATPASSPPTSHYQIGVSCKPRRTLIQRGIVRCESHSTASSDVVVEKSSGNASSISALEQLKTSAADRYTKEKSSIIVIGLSIHTCPVEMREKLAIPEAEWPRAIKELCALNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVTEWMSKTGGIPVSEISDHRFLLYNSDATRHIFQVSAGLESLVLGEGQILAQVKQVAKVSQGVAGFGRNISGLFKHAIMVGKRVRTETKIAEGAVSVSSAAVELALMKLPNSAHSTARVLLIGAGKMGKLVIKHLMSKGCTKIVVVNRSVERVDLLRDEFKDIEIVYKPLDEMMSSAAEADVIFTSTASETPLFLKEHVIDLPPVSETVGGNRLFIDISVPRNVGSCVKDLEGTKAYNVDDLKEVVAANREDRLRKAREAEMIIDEELKLFEAWRDSLETVPTIRKLRAYAEQIRTSDLEKCLEKMGDNVNNNTRKAVEVLSRGIVNKLLHGPLQHLRYDGSDDRTLDETLENMHALNRMFNLETEMSVLEEKIRAKVEKQK >cds-PLY95184.1 pep primary_assembly:Lsat_Salinas_v7:6:168042536:168042994:-1 gene:gene-LSAT_6X103840 transcript:rna-gnl|WGS:NBSK|LSAT_6X103840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSPQRDSPVKSTFEATGSLGGNVETSTVHTTTNLGDHSKQPISDKTSIIPAEVLLANSNMEEVLTLGITANISNMDANVNKGDGVSTSNSHGNLDSIVVSSTFEALGIDTTTSLPPDITPTSLRISTSSPTYQNILNQPITSLFPSQSA >cds-PLY95010.1 pep primary_assembly:Lsat_Salinas_v7:1:190061010:190061944:-1 gene:gene-LSAT_1X124360 transcript:rna-gnl|WGS:NBSK|LSAT_1X124360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWDEVVAGPQPSHGLSKLRKLSTNAQEEGSGIQPQSSSMPSSPTTPGTPNNTSPTAGGRKENVWRSVFNPGSNSATRDIGSNRFDKPSSPGSPTVYDW >cds-PLY79078.1 pep primary_assembly:Lsat_Salinas_v7:3:9900079:9901977:-1 gene:gene-LSAT_3X7240 transcript:rna-gnl|WGS:NBSK|LSAT_3X7240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVESVAATSLLGHTPILGHYLRNVSVNQYVAMPSRFPRFPVIKAQAMELTKEANLYKEKDRFPRFPQSFKEEKPELFPPKNKADNPSLHNPLARQERMGCGWLGAIFEWEGVLIEDNPDLEKQSWLTLSQEEGKSPPPAFLLRRIQGMKNEQAISEVLCWSRDPSQLKRMASRKEEIHQALQGRIYRFRDGSQEFMNILTRYKIPMALVSTRPRRNLEEAICAIGIEGVFSVVVTAEDVYRGKPDPEMFLYAAELLRFIPERCIVFGNSNLTVEAAHEARMKCVAVASKHPVYELSAADLVVRSLDELSVVDLKNLADVELTEFGSTPELEMELEEENDGHPPSSVAVDDGFW >cds-PLY90682.1 pep primary_assembly:Lsat_Salinas_v7:6:53217367:53217793:-1 gene:gene-LSAT_6X38800 transcript:rna-gnl|WGS:NBSK|LSAT_6X38800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATYKVKLVGPDGDENEFDAPDDCYILDSAESAGVELPYSCRAGACSTCAGKIVAGAVDQSDGSFLDDNQMKEGYVLTCIAYPTCDSVIHTHKEADLY >cds-PLY70670.1 pep primary_assembly:Lsat_Salinas_v7:5:72245289:72250633:-1 gene:gene-LSAT_5X33881 transcript:rna-gnl|WGS:NBSK|LSAT_5X33881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39980) UniProtKB/Swiss-Prot;Acc:P29976] MALSNSSTLSSKSLIQTYLSPHQSHQPSFSLLPANNSYRKPEPISAVHAAEPAKSVTKTPSPPAPTRWSIDSWKSKKALQLPEYPNEASLAAVLKTLEDFPPIVFAGEARHLEERLADAAVGKAFLLQGGDCAESFKEFSANNIRDTFRLLLQMSVVLMFGGQMPIIKVGRMAGQFAKPRSDAYEEKDGVKLPSYKGDNINGDAFNEKSRIPDPERMIRAYCQAAATLNLLRAFATGGYAAMQRVTQWNLDFVANSEQGDRYQELAHRVDEALGFMSAAGLTIDHPIMATTDFWTSHECLLLPYEQSLTRLDTTSGLYYDCSAHMVWVGERTRQLDGAHVEFLRGVANPLGIKVSQKMDPNELVKLVDILNPNNKPGRITVIVRMGAENMRVKLSPLIKAVRQAGQVVTWVCDPMHGNTIKAPSGLKTRPFDSILAEVRAFFDVHEQEGSHPGGIHLEMTGQNVTECVGGSRTVTFDDLSSRYHTHCDPRLNASQSLELSFIIAERLRKRRMGSQNSLSLKF >cds-PLY85026.1 pep primary_assembly:Lsat_Salinas_v7:4:224500469:224500738:1 gene:gene-LSAT_4X123161 transcript:rna-gnl|WGS:NBSK|LSAT_4X123161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISHSPLCHTTISPIPHHHQLCDYTAKYFYLCLHLLPHYFSCNEPLRLPFHSSIFFLLSRSKTSTPITFLLLSVLTGVCVWVSISFARA >cds-PLY98126.1 pep primary_assembly:Lsat_Salinas_v7:1:140007062:140007886:1 gene:gene-LSAT_1X101500 transcript:rna-gnl|WGS:NBSK|LSAT_1X101500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLLMRRMYRYLMNCEAPYDAIYVEAELGILGAKKIETYIYPEEILRLMLHSMLETCGGNKVNKCAFISRSEIQAMITRLHLMLLVICPNQGIGYVLDSLKNPNEKPVENYIVVKYVEEAVERLKEDIDTTNSMNWTLVEVKVLYGYPEPASC >cds-PLY97474.1 pep primary_assembly:Lsat_Salinas_v7:6:92358451:92362856:-1 gene:gene-LSAT_6X63561 transcript:rna-gnl|WGS:NBSK|LSAT_6X63561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTYEGSEEQRRFRRGGAAKPDRWGSGKQEEHGAAPPSDKWWFTLFSLCVRWWWGRELVFIKVLTVRVAFLEMLETRFVLCFEAAFGMFGYGGFVASMHLGRHTEVSSKTKDSEKVYMLCLEGEALVSGYGSGSKQTWRTDFAHQNPFATALKTLGTKQPPEKEMGSDSSSNLLPCPAASQSSYIQVILLERKLSKDNLVMGFF >cds-PLY91822.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1599318:1599594:-1 gene:gene-LSAT_0X340 transcript:rna-gnl|WGS:NBSK|LSAT_0X340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSESEEEYLDQVSGMPTWFSHEELKTATENFSKKLGEGGFGSVLEGTLKDGSNIAVKCLSRIHHVNLVQLRGFCAQRSQ >cds-PLY67087.1 pep primary_assembly:Lsat_Salinas_v7:5:280943651:280945180:1 gene:gene-LSAT_5X149161 transcript:rna-gnl|WGS:NBSK|LSAT_5X149161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVDANVGKAGVETEKSNEPVGASSSVCSGNSAERASNDLTKNCKRKSHDTEDFECQSQDAEEESLGTKTAATSRGGSGSKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKTLQIQVQIMSMGTGLCMPPMMFPTGMQHMHPHFSPMGIGMGMSYGMGMGMGMGMGMEMNGGPQGHPHMFPFPPTTQGSRHPIPGLDAGQGIPIPRSSFPVYGHPGQGMPMVFPQSPMPGFPMNPAVRQMGSQMESPVVAPTSKDPMTQLSNQVMHICFT >cds-PLY90390.1 pep primary_assembly:Lsat_Salinas_v7:9:173894298:173898412:-1 gene:gene-LSAT_9X107000 transcript:rna-gnl|WGS:NBSK|LSAT_9X107000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMTMKASLLALFLLFLLSPSAFSASNGGLIRVGLKKRKVDQLTQINGHGVSKKGNAIRNFGLGGPLTDSNTDIIALKNYMDAQYYGEIGIGTPSQKFTVIFDTGSSNLWVPSSKCYFSVACLFHSKYKASHSSTYKKNGTSAAIQYGTGAISGIFSQDSVQLGDLVVKEQDFIEATKEPGITFLAAKFDGILGLGFQEISVGNAVPVWYNMVNQGLVPEPVFSFWFNRNADEDEGGELVFGGADPNHFKGKHTYVPVTQKGYWQFDMGDVLIGDTTTGFCSDGCAAIADSGTSLLAGPTTIITQINHAIGAAGVMSQQCKTLVNQYGKTIIEMLLSEAQPDKICSQMNLCTFNGARDVSSIIESVVDKNNGGVGVHDEMCTFCEMAVVWVQTQIKKNQTEDNILNYVNELCDKLPSPMGESAVECSSLSSMPNIAFTIGDKIFELSPEQYVLKIGEGEVAQCISGFTAMDVAPPRGPLWILGDVFMGQYHTVFDYGRLRVGFAEAA >cds-PLY73973.1 pep primary_assembly:Lsat_Salinas_v7:8:62597097:62598774:1 gene:gene-LSAT_8X44360 transcript:rna-gnl|WGS:NBSK|LSAT_8X44360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNGDPINTTEKLKSALRAERKALQALYTELEEERSASAVAASETMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNDLMMKKEKELESCRKKVSEYETKERMRFLASSLKSGTCSASCSHSEDGDGMWVDVNSDSKEDNGNHESRNRNTPVETVLNLDSFVEFEDERLSILEQLKVLEEKLFTLSDEEDRHFNDIGQIEDYFEENGKHINGNGITNMFPMESHYQDRRSDGLTGKRLLPLFDALDTESEDGVITSNGNGNGFHSDKIENIAVTRFELQKKRIDIEEEVDQLYIRLQALEADREFLKHCLGSLKKGDKGMELLQEILQHLRDLKSMDLRAKNFTDETLT >cds-PLY96455.1 pep primary_assembly:Lsat_Salinas_v7:MU038438.1:371961:373100:-1 gene:gene-LSAT_0X27001 transcript:rna-gnl|WGS:NBSK|LSAT_0X27001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNRRGDETGSAGGDPKSKMIINQIMLRYRPIAPRPVTVERSVGVFPLKEKRAKRKYVRVKKKMARECKLTVVDDGKRWRSLDETMAMLEVSNGGEFVVTDPVGKVPEFISFDVSGHNRRDNIIGSLITPAPPSVVLHEIDLAMTVKRGAVIESWITMESVTGMCEDRRWLRCTDDEIMKDLEIDSCPSFISNSYNEVQWVNLAYRRMVASNPDGGAPPCQVLVRLCETAAVVNYWPAFSCRVRLVYQLLEKKKQMTVPCDVWKMDSGGYAWRLDVEAALSLGRLN >cds-PLY82836.1 pep primary_assembly:Lsat_Salinas_v7:1:87037566:87041358:-1 gene:gene-LSAT_1X71961 transcript:rna-gnl|WGS:NBSK|LSAT_1X71961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKNTHLVGDKSTDGPRADKGQGDETKVEEEEGDVAGNEGCASTGTGQQQLRVFPFRLLTATTDAKALQEKAAKKAAQAAGSGGDAGAKNSKK >cds-PLY76250.1 pep primary_assembly:Lsat_Salinas_v7:4:55931172:55942388:-1 gene:gene-LSAT_4X37921 transcript:rna-gnl|WGS:NBSK|LSAT_4X37921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSPHQKSFKYHVFLSFRGEDTRKTFVDHLYAYLKQKGIHTFRDNEELKKGKRIDELFKAIEESKFFIIVFSKNYASSSWCLKELAKIMECQDENEQIAYPLFYDVEPSDIRKRSGPVGRAIAKHKSNEQIKKWEKALESAGNLVGWDLKNIANGHETEAIKKIGEEISLKLRSIHLSNDENLIGMDRRMQELESSLGIGLNDKARMIGIKGMGGIGKTTLARSIFNKVSSLFEGSCFVDDVREVSKKKGLRSLQKRVLSDVLNIEHRGSVLDGEKIMRTRLRYIKVLLVLDDVDNPKQLEALAGDWFKDGSRIIITTRDEKVLLAHGVNAKWIHDVDFLSDEEAMSLFSRYAFKRYIPDQGFEKLSSEVVCYSAGLPLTIKVLGSHLCGEEEVLWIDALKRLETIPSLETLEVLEISYDGLEDDLKEIFLDVACFMVGFPKDDAIRAIDSCGFRGIYGLRVLEQKSLITIFHNGLGMHDRIEELGKNIVRRSHPREPNKHSRLWIREEIEELFTDNVGTEASTCTGLELELTEVSPEIIIKGLGNLKKLRYLFLTGSDDDRFPSDWKFDKEKQYFPNSLQLLNWNGYPGPFLPQTFQANNLVALQLPDSRIVQLWEGRERKVLKKLKFLDLSCSKVRTFDFGMTPNLERLELRGCHDLIQLHVPPGCLKRLVYLDLLWCSSSVSFSFIKQLESLELLSLPDLLVSAVCLEEFPRYSRNKLPKLRFIFDYSKDQSSSNGTSLECVLLDLQPCTKLESVSESICGLQHIRRLTLDGCIPEVPKDIDQLKCLEELTLYSTHVICLPDSVCMLKHLKSLKLKDCRYLEELPENLGLLEHLEELSLSSTSIRRLPDSICMLKQLLSLKLKSCLLLQELPNDLGQLDCLEKLNLLSTGIRSLPDSICMLKHLQYLNLESCQLLEKLPEDIGQLECLEELNLKKCASLEDIPNSICKMKCLRYFYLPFCSRVQKLPEELGSLECLEELDINCTGINHLPRSIFLLKYLRIVGSESLLQSCALATATEIQTSETETFTQALDNTGTETKTGLRNQFTENYCNSSSAYCCLQTHFCWPLDLALTTVPHSTAKSELTAHSIPSVVAPQPAFKDSSCAAPAYAVSWFASNVLTADAPCSEYCASKHQSRLSSRTTFSVVLQEASSTVSWGFAAALQWIFFWWSCLI >cds-PLY86600.1 pep primary_assembly:Lsat_Salinas_v7:1:133288232:133293386:-1 gene:gene-LSAT_0X19921 transcript:rna-gnl|WGS:NBSK|LSAT_0X19921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEIAATAVITVLCEKLISGDLMKLARSKGIDCQLNKWKNTLPMIQAVLGDASQKHITVPGVQLWVNNLQDLVYDIDDVLDDLATDALRRKLNQEALASTSTSKVLKIIPTCCTNFTPRNIMYGQKMSSRLDEITTKLDDLIVLKNNLGLNVNVERSNMTERRLEQTSLVDESKIMGREGDKEALMGKLLGNEESDQNVSILTIVGMGGIGKTTIAKLLYNEQKVKDHFEVRAWVCISEEFDVFNICNAIFEALGGENKRFSNLDPLHVGLKEKLLKKRFLLVLDDVWNEDHSKWELLQSPLLVGAPGSKIIVTTRSIRVASVMDSQETYSLGVLSNEDALSLFAQHAVGEKNFDKHPTLKLLGEGILKKCGRLPLALKTLGRVVKGYKNGDEWEKLLNSEIWDIEDGSEILPALRISYYHLPPYLKQLFAYCSLFPKDYVFDKKKLILLWVAEGFLSQSKGNKSMEFLGHEYFEELKSRSFFQHSTSDESESGYTMHDLINDLATSVAGEFSFRLDGEVDVSDTNESFDKFRHFSLVGPISYRKLNELQRSKRLRTFLLMSVACRNDCFLDKVLVKLLPELQSLRVLSVIDLALGHDRITPISVITKVPESIGSLKHLRYLNFSHSNITCLPEQVSHLYNLQSLLVHNCYWLSSLPRSFAKLINMRHLDISDTPKLNKTPLGIGGMTRLQTLPMVFIGEGDGFKISDLKGLTDLQGQLSIMGLDKVINPIQAKDANLHQKKGLEVLEMKWSDVFDDARNDWIEYEEVLKELRPHPKLKILKILFYKGTRFPSWVGDPSFDQLTELTLCGCRSTQLPTLGCLGSLKTLIVERMSEVKTVGIEFFAPTNSFIGIAFPSLEVLEFEDMQGWQRWSINSGDEHGTPTSFPCLHEISIRCCPELAEVSIGLIPSLRVLHVAECSAEVLKGMVGMSSSLVELKMLSVKGLAQLHGEDLTHLGAVEHLSIWNCDELRYLWERESEACKSLVSLQKLEVWNCKKLVSSAEKDDNFGISMKSVKEVMFSNCETLESYNCPNSVERLEINNCGSMTSLTFSAVQEHPSTLTESIVSDFGFLPMSHLTFLDIGFCKNLKSLSDEHFQSLTSLEEMFICDCPSMDYSFPCGVWPPNLRSLTIGGLNKPMSKWGQQNFPASLVFLHLYGRDSEVVSFAVTDDVRNTTTPASCFLLPPSLVCLRLEDFKDVESFSEVLQHVPCLKRLEIFSCPKITDLKNIYDPSNLTIMVRQ >cds-PLY89656.1 pep primary_assembly:Lsat_Salinas_v7:9:96324956:96325891:1 gene:gene-LSAT_9X72460 transcript:rna-gnl|WGS:NBSK|LSAT_9X72460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAALFFLHFLFLLSIFQPSLATRRLTELVQNSSPLLQYHNGALLTGDISVNLIWYGNFKPSQKAIITDFITSLSSASLKSQIQPSVATWWKTTDKYYSKTKKPSLRLGKQVSDPDCSLGKSLSDKHLLQLAAKGEPTNAVNIVLTANDVAVFRFCSGRCGTHGHGSSSIVKGKNNKFAYIWVGNSETQCPGQCAWPFHQPIYGPQGAPLIAPNNDVGVDGMVINLATLLAGTATNPFGNGYYQGDAGAPLEAASSCSGLYGKGAYPGYAGDLLLETTTGASFNAHGTNGRKYLLPALFDPSTSKCSTLV >cds-PLY69239.1 pep primary_assembly:Lsat_Salinas_v7:2:129844240:129847557:1 gene:gene-LSAT_2X60861 transcript:rna-gnl|WGS:NBSK|LSAT_2X60861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEQKNKVHKKTIWMLDPPTDRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDEVTHSLKGKTVMTDKERYESLRHCKWVDEVIPDAPWVMNQEFIDKHKIDYVAHDSLPYADASGAGNDVYEFVKSIGRFKETKRTEGISTSDIIMRIVKDYNNYVMRNLDRGYSRKDLGVSYVKEKRLRVNMSLRKLHEKVKKQQEKVEEKIHIVAKTAGMNHNLWVENADRLVAGFLEMFEEGCHKMGTAIRDRIQEQLKNKGNAGELTYEKDEEDEEDEEEYYYDYSTEEEVYSDGGEVEVEGAKQSS >cds-PLY72224.1 pep primary_assembly:Lsat_Salinas_v7:7:58846616:58849119:-1 gene:gene-LSAT_7X43100 transcript:rna-gnl|WGS:NBSK|LSAT_7X43100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKTSHWRWDRANSGIEFQNTRECTFIPRTAPSMYSGPPPIAGRCARYDKGPWEKPMKSQGRASEPAQAKIGTRRLEEQPEKGKAVETADSPVRHTVRLTTADQEMVTCRAAYKGFADIVRLLLFLDANGKHQDKEGFIPLHWAAIRGNLTACRILVQAGKKDDLMMTDNSGLTPQQHASDRNHQQVTNFLGNARKLHYEGGIFGKLSKLRLAAALVCVIFMLLLTYINSVIMASNFPTLTDVSAFFAWIGVILATTGLILFYRCSCKDPGYIKSNRNDSKDTRDDEPLLKLELRDPAILAGKWTRLCAACKIIQPLEAEHCDTCERCVEQLDHHCPLISNCIAKKNKWDFLGFLVLEVFAMMITGIVSLTRIVRDPWAPSSIGGWLLHVGYQHVGVVLFLVSDIFLLICVSIFTYVQISQDKYIWKASTIARVSSVWSCRQYA >cds-PLY91924.1 pep primary_assembly:Lsat_Salinas_v7:8:203271602:203274081:-1 gene:gene-LSAT_8X129501 transcript:rna-gnl|WGS:NBSK|LSAT_8X129501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIDQVEENPNWLFDYGLMDDISAADFTAPPPSAVGFTWPSTAITCSASVPAVSVEIESSFIDFEGFKGTASRKRLKSESCSNVYGSKAGREKLRRDRMNERFLELGSILEPGRPPKTDKTAILNDAIRMIAQLRNETERLNESNVDLQEKIKELKAEKNELRDEKQRLKADKEKLEQRVKSMSGQPGGYLTHHTAMRAAFAAQGQASGNKLMPFVGYPSVGMWQFMPSSVVDTSQDHVLRPPVA >cds-PLY95764.1 pep primary_assembly:Lsat_Salinas_v7:3:27956246:27959164:-1 gene:gene-LSAT_3X20400 transcript:rna-gnl|WGS:NBSK|LSAT_3X20400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELITFVFCSSFFILLSTLFLFKSFFTSAARRKNLPLPPGTLGWPYIGETFQLYSQNPNVFFASKVKKYGSIFKTHVLGCRCVMISSPAAAKLVLVTKSHLFKPTFPASKERMLGKQAIFFHQGDYHSKLRRLVLRAFTHESIKNIIPDIESIAVQSLRGWEDQQLINTFQEMKTFTFNVALLSIFGKDEVLYREDLKRCYYILEKGYNSMPVNLPGTLFNKSMKARRELAQILAKILSLRRESNKEEHKDLLASFMEEKEGLTDEQIADNIIGVIFAARDTTASVLTWIVKYLAENPTVLQAVTEEQEEIMKGKDDKALTWADTKKMPITSRVIQETLRVASILSFTFREAVEDVEFEGYLIPKGWKVLPLFRNIHHSPENFTEPEKFDPSRFEVAPKPNTFMPFGNGTHSCPGNELAKLEILVLIHHMTTKYRWSMVGPQNEIHYAPFALPQNGLPIRLFPKKQL >cds-PLY95041.1 pep primary_assembly:Lsat_Salinas_v7:5:227952674:227956648:1 gene:gene-LSAT_5X108120 transcript:rna-gnl|WGS:NBSK|LSAT_5X108120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPGGSTWVARWGVQPQLMSRASSTSKSFVHLPQFSINTRELASPCSAFCSQGSLHALYNMHSFGKSHHRRGGRLIVRAESDFYSVLGVSKNASKAEIKSAYRKLARSYHPDVNKEPGAEQKFKDISNAYEVLSDDEKRSIYDRYGEAGLKGAGVGTGDFSNPFDLFESLFDGLGGMGGMGGMGGGRNSRNRATQGEDQGYNLVLNFKEAIFGIEKEIEVTRLESCTTCNGSGAKPGTTPSKCNTCGGQGQVISSARTPLGVFQQVMTCSSCNGSGEISTPCNTCNGDGRVRKSKRISLKVPAGVDSGSRLRVRSEGNAGRKGGPAGDLFVMIDVLPDPVLKRDDTNILYTCKITYIDAILGTTKKVPTVDGMVDLKIPAGTQPGTTLVMAKKGVPVLNKSNMRGDQLVRVQVEIPKRLSGEERKLIEELSNLKKGKVPNSSGI >cds-PLY69387.1 pep primary_assembly:Lsat_Salinas_v7:8:258849217:258851050:1 gene:gene-LSAT_8X151400 transcript:rna-gnl|WGS:NBSK|LSAT_8X151400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYNNISGVIPPEFGNLTQLQRLDLSSNHLVGEIPKEFGKIKSMLNLSLGNNQLSGIIPPELGSCKLLEVVDLSTNRLNGSIPRSISQWEHIHYLNLSTNKLSDKIPNEIGKLIHLTKIDLSQNLLTKEIPFEVQSLKILQKLDLSHNKLTGSISNAFTSLPHGIDINLSYNGLTGVVPPSPNFVNASIQGNLGLCGNVIGVKLCSFQIMNKKKGPFHHRIILVVMLPLSGVVLLGLFTCGLIAYNQQKKKSPHKPLEEKSCDYFSITSFDGKVVYDDILKVTNDFNEAYCIGTGGYGIVYKAELQPNNVVAIKKLYSSSENNDHTGFLNEVRALTNIRHRNIVKLYGYCSHVRHSFLIYQYLEKGSLGSILRSHVLAKDLDWLNRVNIVKGVANGLAYMHHDCLPPMIHRDISIENILLDSDYEIHIFDFGTSKLLKLDSSNWTAIAATYGYIAPELAYTMVANEKCDVYSFGIVALEVIMGKQPGELPTLSVDYLVLANVGDSRIPFPSPQVEKQVKLVLSLARACLNSNPHGRPTMYQISNLLTKA >cds-PLY71083.1 pep primary_assembly:Lsat_Salinas_v7:2:162287023:162288037:1 gene:gene-LSAT_2X85160 transcript:rna-gnl|WGS:NBSK|LSAT_2X85160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATGEAQPAKHQEVGHKSLLQSDALYQYILETSVYPREPEPMKELREVTAKHPWNLMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLSTALALPEDGKILALDINRENYEIGLPIIEKAGVAHKIDFREGPALPLLDEMVNDVKLHGSFDFIFVDADKDNYLNYHKRLIDLIKIGGVIGYDNTLWNGSLVAPPDAPLRKYVRYYRDFVLELNKALAVDPRVEICQLPVGDGITLCRRIS >cds-PLY77637.1 pep primary_assembly:Lsat_Salinas_v7:5:175499985:175500303:1 gene:gene-LSAT_5X78160 transcript:rna-gnl|WGS:NBSK|LSAT_5X78160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLITDLHRTFGNKWAHIAKHLPGKIDSAIKNFWNSNKKRKLLPHANSSSHTIASNLRSNEQDQEGLFNFRASAASSV >cds-PLY67086.1 pep primary_assembly:Lsat_Salinas_v7:5:281099312:281103579:-1 gene:gene-LSAT_5X149040 transcript:rna-gnl|WGS:NBSK|LSAT_5X149040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGLAVAAAGGVEFEAKITPIVIISCIMAATGGLMFGYDVGVSGGVTAMPDFLKKFFPVVYRKTEEGKLGSNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLIAGVFFIFGVIFNAAAQNLAMLIVGRILLGCGVGFANQAVPVFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNYGTAKIEGGWGWRLSLGLAGIPALMLTVGALLVVDTPNSLIERGKLEEGKAVLRKIRGTDNVEPEYLELVEASRIAKEVKHPFRNLLLRKNRPPLIISIALQFFQQFTGINAIMFYAPVLFSTLGFGNDASLYSTVITGAVNVLSTIVSIYSVDKLGRRILLLEAGVQMFFAQVVIAIILGIKVTETSDNLSTGFAVLVVIMVCTFISAFAWSWGPLGWLIPSETFPLETRSAGQSITVCINLVFTFVIAQAFLSMLCHFKFGIFLFFSGWVLIMSIFVWFLVPETKNIPIEEMTERVWKKHWLWKRFMHDDDEYVHHDDLAKKNGFVGPL >cds-PLY70454.1 pep primary_assembly:Lsat_Salinas_v7:1:72462034:72462294:1 gene:gene-LSAT_1X65241 transcript:rna-gnl|WGS:NBSK|LSAT_1X65241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVMMGGGGGAGGGGERWVVYDGWWWVVVVVVGRVVVRRMMVIGAGRGANGDGGGRWWLVVVAGGGGDGGRWWVGGDSDGGGVTL >cds-PLY74716.1 pep primary_assembly:Lsat_Salinas_v7:5:25102800:25105140:1 gene:gene-LSAT_5X12561 transcript:rna-gnl|WGS:NBSK|LSAT_5X12561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENTTTTSAANHQPSAATATPPQPSPFPPPQQPYQHLLQQQHQQLQMFWNYQRQEIEQVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKDDVSAAGTGLGGIVGTAGGLPYYYPPMGQPAPPPPGVTMGRPAMDPTGMYGPPSQAWQSVWQTTDDGSYGSGGSAGQGGIDGQG >cds-PLY89094.1 pep primary_assembly:Lsat_Salinas_v7:9:29045990:29047803:1 gene:gene-LSAT_9X25860 transcript:rna-gnl|WGS:NBSK|LSAT_9X25860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFSWKKKTRCTRFSQLVADHLQSPKRGGSLVVETGFPTSLIDLYVRNRGRFHKSSAKKQRENDSQTSLSTSTSSSSGLFVDPNNFSSGNSDYTRSDEIIEEDIVVESTVSSDDKIEIDNPTKSEVEKKDEMAKLNPILVAALKVLFMLVLALGTKKFTVGITLSAFLLFFLESVVKPLLWRSSSIRPSLDSKPQFESNFHETKNIKRSKENTTLVVCSEVLEIEEQVELKEEVRSEKELDEVKSEIVMEIRHKTSRRAILKSRMKKLVPKKLHKSSIGSKGEIPCGFKEEETVKCVQDLKPLLICNESSDSKGETLCVLKQEQDKIVEIEGRPSSSSLTLSSETDCNDKDLGNGSQQNSGYLVLCLIVLIGLIGGRILALVLALSWCLMLKKAQWRSVKFPNS >cds-PLY90060.1 pep primary_assembly:Lsat_Salinas_v7:6:16457988:16458750:-1 gene:gene-LSAT_6X7901 transcript:rna-gnl|WGS:NBSK|LSAT_6X7901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPYTKNLTPIYGTPLPSFFPFSCKLLLSKDPKKCLDPRCLLSETLPSILTTLKSCDYFFWKDNELSEGYYKNLLRTLKQQVDSKENSIELISLKKMVVDLEFLLPKEKSIVDKLKKKVTKEKEVVMMLNNKLEAFM >cds-PLY65658.1 pep primary_assembly:Lsat_Salinas_v7:5:275168435:275170214:1 gene:gene-LSAT_5X145980 transcript:rna-gnl|WGS:NBSK|LSAT_5X145980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIKIVQPTVGLSKIAVSETHGENSPYFAGWKAYDENPYHEKHNPHGVIQMGLAENQVSFDLLEEYLESNQEAASWGKRVSGFRENALFQDYHGLLTFRKAMASFMEQVRGGRAKFDPERVVLTAGATAANELLTFILANPGDALLVPTPYYPGFDRDLRWRTGVEIVPIHCESSNNFQITPEALESAYDHARSMNKKVRGVLITNPSNPLGATIQRKVLEQILDFVTRKNIHLVSDEIYSGSVFHSNEFVSIAEILESRNYKDSERCHIVYSLSKDLGLPGFRVGTVYSYNDQVVTTARRMSSFTLISSQTQFLLASMLSNKEFTEKYIKINRERLRKRYEMIVDGLKKAGIECLKGNAGLFCWMNLSPYLKEATKEGELEIWKTIMEEVKLNISPGSSCRCSDPGWFRVCFANMSEETLNVALRRLHEFMDRRRRDTHVLSM >cds-PLY96368.1 pep primary_assembly:Lsat_Salinas_v7:4:357956914:357958088:-1 gene:gene-LSAT_4X175661 transcript:rna-gnl|WGS:NBSK|LSAT_4X175661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGVHPDETIFGYLVYLYATKGLEHKMMKLQNLSVRIRLSRDTITRLDESTSYYWRWELGSSILSIKGVLTLESYIFSSFIAKLLLHLFQCFKNDLQALVLEGQMLIKYVIMNAIALRKILKKYKKLHNFVSGINFKSKLQAEHLEILQSPWLIELVAFYMNFSESNEMIYYELCSYFSCDLSVIISNFIFKLVLPDYVFLEYSLTCVVCLV >cds-PLY63077.1 pep primary_assembly:Lsat_Salinas_v7:8:74834250:74835940:-1 gene:gene-LSAT_8X53901 transcript:rna-gnl|WGS:NBSK|LSAT_8X53901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDEMVFEDSNNITSFLNPSSQVLDSLWISTSSSNSFHGETNTTTTMAPVIGGETQDENYYGQPEKKRRLMAEQVKFLEKSFEVENKLEPERKIQLAKELNLQPRQVAIWFQNRRARYKTKQLEKDYDFLKSDYDKLKLDFDCLQKDNNKLKIEVQFLKEKLVERGKAKQESLECGIPAMELESKGGKLNPFPIVTQNGTNVVICKNEDANSVSAKSDIVDSNSPRQDSSHLLENQSDFSQDEDDNVSGNVLRFQKSEYESYFEMSESGLGYPIVDQSFWLWS >cds-PLY88102.1 pep primary_assembly:Lsat_Salinas_v7:6:184208827:184210987:-1 gene:gene-LSAT_6X111480 transcript:rna-gnl|WGS:NBSK|LSAT_6X111480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDATGSASNYSQVETPLVDGVVDGFVDFKGRPVVRSKSGGWRSAYFIIGVEVAERFAYYGISSNLITYLTGPLGQSTATAAENVNAWSGTASLLPLFGAFVADAFLGRYRTIFIASLLYTLALGLLTVSALIPFSGCETPNGSTSTCSPPQFQVVLFFVSLYLVAFAQGGHKPCVQAFGADQFDSQNPEELKSKSSFFNWWYLGLCGGPLLALGVLNYIQDNFSWGLGFGIPCIVMGLALLIFLSGTTTYRFEEKVDEKSAFVRIGQVFVRAFQNRKTPSSSISMEEEAYGTGTLPHQGSQQFRFLNKALVSTDGSKEEGKICSLNEVNEAKAVLRLIPIWSSLLAFAVVFAQFSTLFTKQGFTMDRSIGSSLEVPAATLQSFISITVVILIPIYDRILVPLARSITRKPSGITMLQRIGTGIFISILSMIVSAVVEIKRLKTAEEYGLLDNPSATVPMKIWWLLPQYLLTGAADVFTMVGLQEFFYDQVPTELRSIGLALYLSIFGVGSFLSSFLISIVEKTTGGDGGDGWISDNLNRGHLDYFYWLLAGISSVAFLIYLYFAKSYIYNRQTTF >cds-PLY91485.1 pep primary_assembly:Lsat_Salinas_v7:7:141573193:141574164:-1 gene:gene-LSAT_7X84721 transcript:rna-gnl|WGS:NBSK|LSAT_7X84721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEVVLLDTWVSVFGMRVRIAFMEKGVHYERREEDLINKSPLLLQMNPVHKKIPVLIHHGKPICESNIIVQYIDETWQNKSPPLLPSDPYLRAQARFWADYIDKKIYDAGRKIWSTKLGEEQEKAKKEFMDCMKVLEGQLGEKPYFMGESFGYVDIALVPFYCWFYAWEKFGNISIEKECPKLVAWAKRCMERESVSKTLPDPHKVYEFGLELQKKLGIN >cds-PLY85130.1 pep primary_assembly:Lsat_Salinas_v7:9:147369450:147370082:1 gene:gene-LSAT_0X7020 transcript:rna-gnl|WGS:NBSK|LSAT_0X7020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEANPKRLPNDGGDPPKKKNTTSAGGSKQVKIEDTSNRKSKKGDVEEVNIYNLDLLNEILEYKNWKGTTPCDNPDDLREFCTPYIRLDIGNARGWIRKMRELKTKFNDDSDPTDDVEKEEFEVWKKIWGGEPKGDDHDPGVGSSK >cds-PLY80313.1 pep primary_assembly:Lsat_Salinas_v7:3:207041681:207042669:1 gene:gene-LSAT_3X122260 transcript:rna-gnl|WGS:NBSK|LSAT_3X122260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEKIVEVAPRDIASIQINRFSIYTSGKENKKTVYDMCTLEKRGNVFFLTLTGDGRDEHRLNPTLIASIRSALSEAKSQSTHSTALITVAEGKFFSNGFDLAWAKSASGGSPSEAVNLLFHMVELFKDLVADFISLPMPTIAAVTGHAAAAGQLLAMSHDYVLMSRHRGVLYMSEVDIGMALPEYVSVLMRSKVAKPNVRRDVLLRGVKVKAEEAVAKGLIDAAYDNREKTVEGGVRLAEELIKRKWDGEVYAEIRKSLYPELCGVLGLISREKLDSKFGDGNRKGGIVIFIFISLFLSLLGDTSRDVIV >cds-PLY80156.1 pep primary_assembly:Lsat_Salinas_v7:3:40248570:40250031:1 gene:gene-LSAT_3X31061 transcript:rna-gnl|WGS:NBSK|LSAT_3X31061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTVCASSAIAAVAFSSPASQKNGSFVGSTKASFLCGKKLRVSKVTAPTVARSSGTVCVTADPERPIWFPGSTPPEWLDGSLPGDFGFDPLGLGSDPETLRWNVQAEIVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYTAGEQEYFTDKTTLFIVELIFIGWAEGRRWADILKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPAKLKELRTKEIKNGRLAMLAVMGAWFQAIYTGTGPIDNLFAHLADPGHATIFAAFKG >cds-PLY91283.1 pep primary_assembly:Lsat_Salinas_v7:7:188685020:188689558:1 gene:gene-LSAT_7X111601 transcript:rna-gnl|WGS:NBSK|LSAT_7X111601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MDAARKQFLKEFGESYGYPNAPKHIDDLRATEFKRLDGNVYLDHAGATLYSELQMEAIFRDLTTTVYGNPHTQSSSSLTSSDIVEDARHQVLKICNASPKEYKCIFTSGATAALKLVGEAFPWSNESTFMYTIENHNSVLGIREYALNKGAAALAIDFEETAQSDTFGSTKSSIKVSQYPIPKRSEARVSNKDPTGHTYNLFAFPTECNFSGVRFNLDLIDMIKEDSERILGGSQHSRGSWMVLLDAAKGCSTEPPDLSKHKADFVVISFYKLFGYPTGLGALVVGNEAAKLLKKTYFSGGTVAASIADIDFVKRREGIEESFEDGTLSFLNIASIRHGFNILNTLTTSAISRHTSSLARYTKNMLLALRHANGVEVCKIYGLHSLKAVYSGVGPVISFNLKRSDGSWVGHREVEKLAFLSGIQLRTGCFCNPGACAKYLGLSHSDLISNIEAGHVCWDDYDILNGKPTGAVRVSFGYMSTFEDAWKFIDFVVRSFVLLPTNGINLNPLRSTESSHHLTSIVVYPIKSCSGFRVDTWPLSSTGLLYDREWLLKSMNGEVLTQKKVPEMYYINTKIDLKMGMLHVESSRCKEKLSIELKSDTFSVVEEINIHAQRYEVLGYDNKIDEWFSKAVGRPCYLLRSSSRRCNHFNRNKNKNMGTCRDVNTRLNFVNEAQILMVSEESVSDLNSRLDSNRFVDPMIFRPNLVISGGGPCVEDTWTGLKIGNNHFMSLGGCNRCQMINLNYEGGEVKRSNEPLATLASYRREKGKILFGILLRYECDNEVGEEMSSWLQVGQEVHPDT >cds-PLY88069.1 pep primary_assembly:Lsat_Salinas_v7:6:184098242:184099153:-1 gene:gene-LSAT_6X111560 transcript:rna-gnl|WGS:NBSK|LSAT_6X111560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESKEISSAYDLNAKWDAYLDLGVRRFVYSSATGALAALLLFLYLYMYNCIQIPSSLEVLLLHVGHLLLLVQEWVSDLHTQSALTSLMKANNNLVSKD >cds-PLY93587.1 pep primary_assembly:Lsat_Salinas_v7:2:175629154:175631634:-1 gene:gene-LSAT_2X99761 transcript:rna-gnl|WGS:NBSK|LSAT_2X99761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANYTLLCRIAKCGTRSSLFLHATGGCFSTISTKTLLKPPFQATRTFASDTNFVSLFDKMTVGNQRKYYMLGGKGGVGKTSCAASLAVKFSNHGHPTIVVSTDPAHSLSDSFDQDLTGGTLVPIQGLDSPLFGLEINLEKTKEDYRSASQNNDGAGVKDFMGSMGLGMVAEQLGELKLAELLDTPPPGLDEAIAILKVMEFVDSPDCSMFTRIVFDTASTGHTLRLLSLPDFMNASIGKLMKMKKKIASATSAIKSVFGKEQPKVVEGTLEELREKMAKVRDLFHDSKTIEFVIVTIPTVMAVKESSRLHASLKKENVPVNKLIVNQILPPSSTECKFCSMKRKDQMRAIDMIQKDPELGSLDVIEAPLVDVEIRGIPALQFMGDMVWK >cds-PLY69165.1 pep primary_assembly:Lsat_Salinas_v7:5:284790219:284793175:1 gene:gene-LSAT_5X150841 transcript:rna-gnl|WGS:NBSK|LSAT_5X150841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYVGISESHLVLVNQGLTMLVKLWLTTQLASGGNFTFSSSQAFWDYVEKKQLGATKVEWSVTSELSPDGLYFMTATTAPRRQIDNGTFKNIKVLLLEYMPSILCILKVLVVGPLCKDSRIVPGAAATEIELARKLKEFSFSETGLDQYAIGKFAESFEMIPKTLADHANGNVKVGIDLEEGACKDVSTLKEIISYFLCCAYRVDESE >cds-PLY72248.1 pep primary_assembly:Lsat_Salinas_v7:7:87888828:87892989:-1 gene:gene-LSAT_7X60700 transcript:rna-gnl|WGS:NBSK|LSAT_7X60700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNTNPDVPLSEEELERKKKKEEKAKEKELKRLKAAQKAEAAKLKAQQGPSISKASKKKTVRADASEENPEDYIDPETPSGDKKKLSQQMAKQFSPVAVEKSWYAWWEQSGFFEANSSSSKPPFTIVLPPPNVTGALHTGHALAAAIEDTIIRWRRMSGYNTLWVPGMDHAGIATQVVVEKKIMRERKMTRHDVGREKFVEEVWQWKEEYGGTIWKQLRRLGASLDWSRECFTMDEKRSNAVTEAFVRLYKEGLIYRDLRLVNWDCVLRTAISDIEMDYIDIKEKTPLKVPGYKNMVEFGVLTSFAYPLEENLGEIIVATTRVETMLGDTAIAVHPEDPRYTHLHGKFASHPFNGRRLPIICDAILVDPKFGTGAVKITPAHDPNDFEVGKRYKLEFINIFTDDGKINSNGGLGFVGMPRFEARVAITEALKSKGLYKGEEKNEMRLGVCSRSNDVIEPMIKPQWYVNCNGIAKEALDAVMDENNKKIDILPKQYAAEWKRWLENIRDWCVSRQLWWGHRVPAWYVTLEDDKLKELGAYMDHWVVARDEKEAETEAKKVFSGKKFQLAQDPDVLDTWFSSGLFPLSVLGWPDDTQDFKTFYPTVVLETGHDILFFWVARMVMLGMKLGGDVPFQKVS >cds-PLY67451.1 pep primary_assembly:Lsat_Salinas_v7:6:68690720:68693093:-1 gene:gene-LSAT_6X50101 transcript:rna-gnl|WGS:NBSK|LSAT_6X50101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQEPPQIAIIGAGIFVKSQYLPRLAEISDLVVLKAIWSRSEKSSMEAADIARKVFPNVECKWGDHGLDEIIRDSNIVGVVVALAGQIQVDMSLKLLKAGKHVLQEKPAAASISEVETALSCYNSLYTNPSAQKIWAVAENYRFEPAFVESKKLMSEIGDMMSVQVIIEGSMNSSNPYFTSSWRRNFTGGFILDMGVHYIAGLRMLVGCEVASVSAMTSHVDMTLPPPDTISSLFQLENGCSGVFVMLVSSKSPKIVWRVVGLNGTVQVERGNNNGRHGYVTTLFGGNGETKSNFYPFSGVTEELKSFLSDISIDTLEKGGKIKGEGRYSYVEGARDVAVLDAMIESGMKQGAFVKVKRF >cds-PLY95876.1 pep primary_assembly:Lsat_Salinas_v7:5:318151568:318152032:-1 gene:gene-LSAT_5X173820 transcript:rna-gnl|WGS:NBSK|LSAT_5X173820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGQNKKIVCDLYRALAARDTKSVHRLLAPDIDWWFHGPPAHKYNLMQLLTGSCVIEDTDSFKAVVVVGLGSTVVAEGYHLYDNRRTCWVHAWTVENGKIITQVKEYLSTSLTVFSYTKSSNIFLASPRSPKCKNVWQSELADNASVPHLLLVL >cds-PLY63391.1 pep primary_assembly:Lsat_Salinas_v7:7:147391231:147392422:-1 gene:gene-LSAT_7X87281 transcript:rna-gnl|WGS:NBSK|LSAT_7X87281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFGSGKIIKSDEFLHQIHGFIRVHKDGRCERLVGYKTMPTGIDPSTGVHSKDVVISPETNLSARLYIPKTTTPDRKLPILIFFHGGGFLVESAASPTYHPTLTLITAESNVIAVSVNYRLAPEHPLPTGYNDSWDAIKWVASHSNGGGPEPWLNEHGDFQKVFLAGDSAGANIAHNIAIRAGSEPIEAINLEGVILLHPYFGGKDPIGSELGKHKQIKVYTDQFWKLANSSVSGLDDPWFNPEKDPKLSDFGCSKILVCVAEKDSFRDRGLYYKELMDKSGWPGKLEMIETKEEDHVFFLFRTSSPNACTLRKRICTFINQVNNASRF >cds-PLY66275.1 pep primary_assembly:Lsat_Salinas_v7:4:63731852:63732492:-1 gene:gene-LSAT_4X44640 transcript:rna-gnl|WGS:NBSK|LSAT_4X44640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSSKTTTLFILLNLTIFTCVSSTNPPCPPTSLPKPPTSSKCPKDTLKFGVCGDWLGLVHEVIGAQPDSECCTLIKGLADLEAALCLCTAIKANVLGVLKVNVPIALSLVLNSCGKKVPEGFKCE >cds-PLY85813.1 pep primary_assembly:Lsat_Salinas_v7:8:174101949:174104102:1 gene:gene-LSAT_8X113940 transcript:rna-gnl|WGS:NBSK|LSAT_8X113940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKLRKTKKKVHRYLLWYAMGVMEIKEQQTIPKKAVVWWTYDPPERDARLVKNVLSSKEIDTNELQVIVEISCASSPHHLLAVRMCYCSHYQGSLEEDIIAYAPPFVIKILVALVSSFRYDGEVVDLNLANEEASTLQEAVALKRFDQDHVVWILSTRNVFQLKATFEAYYQIFRTHLYEDIKDLGNDLLESLVKDVIACIASPEKYFVEVIKAATDGWGTNEDSLSRVVVSRAEVDLMKVREAYLDIYKTSLDQLVKDETSGDYGAFLMALLGN >cds-PLY90147.1 pep primary_assembly:Lsat_Salinas_v7:7:13407406:13408076:1 gene:gene-LSAT_7X10540 transcript:rna-gnl|WGS:NBSK|LSAT_7X10540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEASEAYLVGLFEDTNLCAIHAKRVTIMPKDMQLARRIRGERA >cds-PLY87342.1 pep primary_assembly:Lsat_Salinas_v7:1:97016943:97017739:1 gene:gene-LSAT_0X18160 transcript:rna-gnl|WGS:NBSK|LSAT_0X18160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTIRITTIQLQYHTKRGPLLRKSTSSSMFQDGKENHKDIVRSPARSYEKSFMAPTIKAASKFTPSPRKKVLGEKNVVVRTSIQFLDNDFVMKYEATSMDQPAMTEEDLNESVTLEQKEVVLEIPPVR >cds-PLY79738.1 pep primary_assembly:Lsat_Salinas_v7:5:179062526:179065721:-1 gene:gene-LSAT_5X82521 transcript:rna-gnl|WGS:NBSK|LSAT_5X82521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Omega-amidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12040) UniProtKB/Swiss-Prot;Acc:Q8RUF8] MRSGSIAFLSTTLPSYTSPVNLKTLRSSAVVVATPLGHRLSLLRNFRVPQTDRKVNKISPGHNLFSVTTTRTLTRVSASSMASSFSPEKARVPPAIDTPIPPISKFKIGLCQLSVTADKERNIAHARVAIEEAAEKGAKLVLLPEIWNSPYSNDSFPVYAEDIDAGKDSSPSTAMLSEAARSLKITIVGGSIPERCGDKLYNTCCVFDTDGNLIAKHRKIHLFDINIPGKITFEESKTLTAGETPTVVDTDVGRIGIGICYDIRFQELAMLYAARGAHLLCYPGAFNMTTGPLHWELLQRARAVDNQLYVATCSPARDAESGGYVAWGHSTLVGPFGEVLATTEHDEATIISEIDYSLMDLRRTNLPLQKQRRGDLYNLVDVQRFNSQ >cds-PLY80347.1 pep primary_assembly:Lsat_Salinas_v7:7:154409149:154410130:1 gene:gene-LSAT_7X91460 transcript:rna-gnl|WGS:NBSK|LSAT_7X91460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNSMGPNITPTSYFQPMTPTTPYSSAPPKGEITTTKEEPALFLDTTKGNPNDVKGNTASTPVRPTSYQNLSFNPNGTYRRGAQTGYQDPRYTYDGLQSPIPWLDICKTKVLMSYGHFYLTKEPINSSCFQLILRKLGKRTPDN >cds-PLY96777.1 pep primary_assembly:Lsat_Salinas_v7:2:170683423:170687050:1 gene:gene-LSAT_2X93500 transcript:rna-gnl|WGS:NBSK|LSAT_2X93500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMAGLLALVVVLCLINIGWGQEAPYRIHTLFSVECQNYFDWQTVGLVHSFRKSGQPGPITRLLSCTDEEKKSYRGMDLAPTFEVPSMSRHPRTGDWYPAINKPAGVVHWLKHSKDAQNVDWVVILDADMIIRGPILPWEIGAEKGRPVAAYYGYLVGCDNILAKLHTKNPELCDKVGGLLAMHIDDLRALAPMWLSKTEEVREDTAHWSTNITGDIYGKGWISEMYGYSFGAAEVGLRHKINDNLMIYPGYIPREGVEPILMHYGLPFSVGNWSFSKLEHHEDDIVYDCGRLFPEPPFPREVKAMEPDKHKLRGLFLNLECINTLNQGLIIQHTAFGCPKPKWSKYLSFLKSKHFAELTLPKGLTPKSLQIMETHVQEHINEDKPANLPTKITKIHTLFSTECSTYFDWQTVGLMHSFGLSGQPGNITRLLSCTEEDLKKYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHVKTDAEFIVILDADMIMRGPITPWEFKAAKGKPVSTPYDYLIGCDNELAKLHTSHPEACDKVGGVIIMHIDDLRKFALLWLHKTEEVRADTAHYGKNITGDIYETGWISEMYGYSFGAAELKLQHVISREIMIYPGYAPEPGVKYRVFHYGLDFGVGNWSFDKANWRDVDLVNNCWAKFPDPPETLEADQTNEETYQRDLLSIECGKTLNEALQSHHERRRCGDLRISSRVPPPPDMEIVDEVKFERSDNRSEKVVDPLLPSESSQTFLDFRFWMIFLWASSVLGFGVVMMVILRGKRQKKRGKKSRSVSSRGEFKENLGNR >cds-PLY81701.1 pep primary_assembly:Lsat_Salinas_v7:3:33399430:33402586:1 gene:gene-LSAT_3X25061 transcript:rna-gnl|WGS:NBSK|LSAT_3X25061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAEDGGEESSSNGSKPKKSEMVAIHSSYWLTNFPRDLMAGAMMGGMVHTVVAPIERAKLLLQTQESNIAIVGGKHRRFKGMIDCIARTVKEEGVLSLWRGNGSSVLRYYPSVALNFSLKDLYRSILRSNSNFQQSTLLSGPSTNFIAGAAAGCTTLVIIYPLDIAHTRLAADLGRIDSRQFQGIRHFLTTVYAKDGARGIYKGLPASLQGMVVHRGIYFGGFDTIKEKMSQDGEVALWKRWIAAQGVTTSAGLLSYPMDTVRRRMMMQSGMERPMYRNTLDCWKTIYLTEGVRSFYRGALSNIFRSTGAAAILVLYDEVKKFMDWSGS >cds-PLY97455.1 pep primary_assembly:Lsat_Salinas_v7:6:90758867:90762078:-1 gene:gene-LSAT_6X63160 transcript:rna-gnl|WGS:NBSK|LSAT_6X63160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNSGNRLAGLADGFYDDIKSLDLPLEEGDGQTSFIMDLDAGERKLKKRHKFLLDGLLRVQGDHIIFVPLILDFYDSWGPLKALVVASVINGVGDVILCLYLSYGIAGATWATMVSQVVAGLMMIEALKDKGYNGYVIVVPSPTEPFQIFKLTGPVFIMMMSKVKFCSLLMYIATSMGTQTVVEHQVLQEQLFPGYSPRFFSPDHEGDAQSSTSILHCFVCDNKYSIEEVADRCHSPEDETNYVPIKHRSHVVLMINGLRGTPLMELMIAAGNDVPILQLEHGVAFVRVYTGSFMTLARFSIAIMKAEQAILGNVSELLQAA >cds-PLY68860.1 pep primary_assembly:Lsat_Salinas_v7:3:64610133:64614839:-1 gene:gene-LSAT_3X49920 transcript:rna-gnl|WGS:NBSK|LSAT_3X49920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSASSPLSPIHFALATLFGASVMAISAFYIHKRSVDQVIDRLIKLRRRPDRLLTDQEDFSGYEDGDIDTDDRVYLDDDDDDDGDDYVRNTHAYGMSCSLPNVGLANEWTSENASKPVSFGSLEKLISDNLPPLRMNQRQGDHHHDNQSNPKMRVGSYGRIHTPRSPASYAYDGADNSDDDGTETVVGEDTEYFDEGINSSANDIYSNIQSMSLVPTQPEHANNDLSNLHRKVAKEVNSTVDHGNEKVELFSENNIPVNDGNNILPEATMMKESLNHEEEEVRKMIRECLDLRDKYVFREKNIPWTHMEDSSLSIKHDKNDPFRFIPVESTKHHFKMEDGVIHVYASENDSVDLFPVASATTFFTDMHHILKIISVGNVRSACYHRLRFLEEKFRLHLLVNADSEFLAQKGAPHRDFYNIRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGQYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKQVLSDLDASKYQVAEYRVSIYGRKQSEWDQLASWFINNSIYSENAVWLIQLPRLYNIYKSMGTVTSFQNILDNVFIPLFEVSVDPKSHPQLHIFLMQVVGLDLVDDESKPERRPTKHMPTPSEWTNDFNPSYSYYAYYCYANLYTLNKLRESKGLPTIKLRPHCGEAGDIDHLAAGFLLCHNISHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYGVAAKVWKLSSCDLCEIARNSVYQSGFSHAAKAHWLGGKYFKRGPEGNDIQKTNVPKMRISFRHQTWTEEMQYVYSGRAKLPQEVDF >cds-PLY64859.1 pep primary_assembly:Lsat_Salinas_v7:3:17100134:17101578:1 gene:gene-LSAT_3X12501 transcript:rna-gnl|WGS:NBSK|LSAT_3X12501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCAKVGLHRGAWSDEEDKLLTQHIHTHGEGQWRSLPSKAGLLRCGKSCRLRWMNYLRPGIKRGNFTDDENDIIVRLHSVHGNRWSFIATELPGRTDNEIKNHWNSHLKRKLRGGDVDGDENQEDFSNNNKKPKKKRKTNQKSTKSKITEKPKEIISHPAASSSSSSQSASLTLRKNHSFDSVVMSGGASSSSTTTSDQGETDLLATDFSWPNWTPLFEMEVAATMDAHHDHHQDLPMDACDLLISNDDETQMLEKLYCEYLNLLEDGDDCV >cds-PLY63775.1 pep primary_assembly:Lsat_Salinas_v7:6:26202351:26204223:1 gene:gene-LSAT_6X18541 transcript:rna-gnl|WGS:NBSK|LSAT_6X18541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRAIRACKTDAEEHAIVRKECASIRASVSDNDNDYRHRNLAKLMFIHMLGYRTHFGQMKCLKLIAAPGFPEKRIGYLGLMLLLDERQEVLMLVTNLLKQYALVFLHSYLSYILCSSNMVDLRIFFFIICRDLNHTNQYIVGLALCALGNICSAEMACDLAPEVERLLQFRDPNIRKKICTEVLVKVLKDVVNSPYAPEYDVSGIADPFLHIRLLRLLRVLGHGDADASDSMNDILAQVATKTESNKNAGNAILYECVETIMSIEDSSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAISVDDQAVQRHRATILECVKDSDASIRKRALELVYLLVNETNVKPLTKELIDYLHVSDQDFKGDLTEKICSIVENYVEGFNLIF >cds-PLY97393.1 pep primary_assembly:Lsat_Salinas_v7:4:16920780:16923799:-1 gene:gene-LSAT_4X12001 transcript:rna-gnl|WGS:NBSK|LSAT_4X12001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLKQISTIIFREKENEAQTSRVIRDRKISSRRTRSSKEDRRKGQNWHQRQPSRETSRDYDKMEGEEFRTAVAAAAFAVNSIENKEDEMKQDSGSNSRKSSEIQEVSPRPQTNEDLQGEANNHPTTTMIKMKPDNNVSKKSIGSTMSIDKTPNFFVDKQLDEETSQLDLEKRLGDIWEKTELQKIKERFTKVKAIISEWENKKKLRAKKKLIKKEGKLEWKRARELQDFMRKMQTIENISRGAISQTEENRRNEEDRVKENANMIRSTGKIQKPIYLCCWF >cds-PLY75958.1 pep primary_assembly:Lsat_Salinas_v7:5:248324001:248327543:-1 gene:gene-LSAT_5X124680 transcript:rna-gnl|WGS:NBSK|LSAT_5X124680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 7 [Source:Projected from Arabidopsis thaliana (AT2G04780) UniProtKB/Swiss-Prot;Acc:Q9SJ81] MHKGGATLGPGLYELLLVLLTCTKCHSINGSFIKKTLLFILSHTFHSPVHYHLTSPLLSPSLPEYFLPTERKDLILRTNFEPVSSDRISSFLGLVLIEVLKNMDSIKIFMCFTTLLFAYAQAATLAAESPPIILTPTPAPAPAPDYVNLTELLSVAGPFSTFLKYLESTKVIETLQNQANNTEEGITLFVPKDKAFTSLKKPSLSNLTADQLKQLCLFHALPHYYSLSDFKNLSGAGPVNTLAGGQYTLNFTDTSGTVRIGSGWTNTKVSSSVHSTDPVAIYQVDWVLLPEAIFGTDIPPPAPAPAPVPDIAPVADAPEADGGKGKGKAASSSSPSSSDRIIGWSVWRCVMMVVVSVGFAVVL >cds-PLY71293.1 pep primary_assembly:Lsat_Salinas_v7:3:192540917:192542109:1 gene:gene-LSAT_3X114881 transcript:rna-gnl|WGS:NBSK|LSAT_3X114881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGKHVLDRVPISKSPFGIGDLKKTIPPHCFKRSLIHSFASFFRDLIIIYVFYHLAANYIPLLPQPLSYVAWPLYWFAQGSILTGFWVLGHECGHHAFSEYQWIDDAVGFFIHSVCLTPYFSFKYSHRSHHAHTNSIEYDEVYIPKRKSDTFFTEFLNNGPGNVFTLILRTTMGLPLYLIFNVYGRDYEGFANHFLPQSGIFNSSERRQVLVSDVGIMAVLYVFYHFYVKQGVKATLFLYGIPLFVMSVFFVILTYLNHTHPSIAHYDSTEWDWLRGALSTIDRDFGILNRVFHNANQTHVIHHLFPTIPHYHAIEAREAVKPMLGDYYKYDDTPVLKAMWRDTKECIYVEPDENTEKKGVYWYFK >cds-PLY75103.1 pep primary_assembly:Lsat_Salinas_v7:4:10790938:10792353:1 gene:gene-LSAT_4X5960 transcript:rna-gnl|WGS:NBSK|LSAT_4X5960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFHPCPCTLSTLSPQHDQEPELSDSQRNQSDSKSLSSLPSLPSVASLTSHSQPPSTTANYHYITTFKAHTSSIFSLALTGKHLLSASSDGQIRIWPRDPSSISSLSQSPPLPPIASGESSVKSMVVHGDRLFTGHQDHKIRVWKLDEKQETIKCIATLPTLNDRLLKLVFAKNYVKIRRHQRCTWVHHVDAISSLALSNDGSLIYSGSWDRTFKVWRTIDFKCLESVWNAHDDAINAVVVSHDGYVYTGSADRKIKVWKRHGGERKHKLVDTLEKHKSAVNALALSTDGSVLYSGACDRSIIVWEKAGGCGGDGWQMVVAGALRGHTKAILCITVVADVVFSGSADKTIRVWRRGIDGKSYCCLGVLEGHKSPIKCLAAAEEVCTSGSSGGTSYLVFGGSLDCEIKMWKLWVPFL >cds-PLY93283.1 pep primary_assembly:Lsat_Salinas_v7:4:300718771:300722627:-1 gene:gene-LSAT_4X150621 transcript:rna-gnl|WGS:NBSK|LSAT_4X150621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METRINDVVSYLGIGCDDVRMIGIKGMGGGGKTTLARAVFDQISFRFEGKSFVENVREISNASLSGFKLLQNQVLSDVLNDKDINISSVYDGKHMMKRMMPNRKVLVVLDDVDHIDQLEALAGEPNWFKPGSRIIVTTIDEQVLIAHRVKLIHDVNLLSDMEAICLFNKYAFGGDISIQQYEKLSKQVVGYAAGLPLMVTKATRCILVHAEKLNPHIVLKGLGKMKKLRLLAVDIGYRSRTSEFNNIDNPDFPNALQYLRVDYYPFWSLPKAFQANNLVSLEMANSQIVQLWEAGENKVLNKLRFLNLSWSKLSTLDLRFNPNLETLNLGGCSNLVELHMPVGCLKLISADISHSRLRTLDLGLAPNLTKLILARCRNLVELHMPRRCPDLKSLQLTHSKLRTLDIGRTPVLENLDLEDCYYLEELHMADQCQNLRNLNICHSKLRTLNLGLSPNLRTLYLQECCNLVELHMLDRCLYLTSLQLANLKVRTLDIGLTPNLKTLDLRNCYDLEELHMSVGCLKLTSVDLTHSRLRTLDLGLALNLEILIIDQCPDLVELQMPNRCLNLVSLQITNSKLRTLDIGLTPNLKNLDLENSYYLEEFHMVDECQKLANLNLSHSKLRTLNLGLTPNLKNLYLAQCCNLVELTTPLGCLKEISHLYVSGGLGFRALTFQAKGYESFSVKESLRVVPLAELHLIEVSLESCPLHPDNSLALTRNFEMLISFYREDQLSLTRNFEMLISLFKCACTSLEKISGSICGLRRLRKLKMEGSFVEVPKDLDGLECLEELILLSTKINHLPDTICKLKHLKSLELNLCSLLETLPEDLGWLECLEKLTLYFTIIKHLPDSICMLKHLKSLELNACFLLEKLPEDLGRLECLEKFTLYSTTIKHLPDSICMLKHLKSLALNSCFLLEKLPEDLGLLECLEKFTLYYATIKLLPDSICMLKHLKSLELNSWFFLEKLPKDLGQLEYLEKLKVMKCERLQEIPNSIGEMKYLKHINLANCIRIEKLPEGLGCIECLKELDIEGTSISHIPQSILLLKGLLIIGSKGVLESRGFTSEMQTPGNGTS >cds-PLY96720.1 pep primary_assembly:Lsat_Salinas_v7:6:77963049:77965932:-1 gene:gene-LSAT_6X55380 transcript:rna-gnl|WGS:NBSK|LSAT_6X55380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSIFFILNSIPIPSSSCPIHQKQALLQFKSTLTTILNSSSLLESWNPNSDCCSWDLVNCSRTKTRTVTELHLSDIVPTFTPVPVFSHILTPLFHIQTLKLLDISMNWMRGEIPGDGFANLTELVHLDMMQNSFNGSIPDQFFRLKNLRYLDMSENRLEGGLQSELGSFPNLTTLKLSLNRFHGSIPPQLFELESLQVLNLSNNILQGVLSSRLSGLKNLRYLDLNSNSLQGMLGPELGSFPNLISLRLSSNLFHGSIPSELFELEFLQILDLSNNTLKGVLSPKVGNLRNLESLKLNDNFLSGNIPEEVGNLTKLREFSVGKNHFFGGIPSSIENIKGLESLDLSENSFSMEIPASIGKLPNMILLDLSKNQFPGPIPPSIRNLSKLETLRLHNNKLAGEIPTWLFEIKTLKNLFIGGKESNLIWNNKAKIVPRCSLQQLSMSSCGISGEIPEWISSQKDLIFLDLSENQLEGGFPDWLAEMEFEGIVLSDNNLTGALPPRLFESMSLLILALSRNNFSGELPENIGNAKGIMVLMLSDNNFSGQIPKSMASIFLLMLLDLSKNKFSGDSFPIFRDTRHLVYIDLSYNDFSGKIPMNFSLDTRILSLGGNRFSGDLPRTLTKFVNLEHLDVHDNEITGNFQDILPQIPTLQVLSLRNNSVEGFIPRTISNITSLRILDLSGNNLIGSIPPEIANLSSMIKTPQMSTSDYILPSYVTNVDIFNNVIEFQDLIVNWKKSFQGLASRNLDIYSLLDLSENQISGEIPASLGNLKSLKVLNVSHNRISGQIPVSFGDLRDVESLDFSYNELSGLIPQTLSKLDQLTILDVSNNRLTGKIPMGGQMDTMNELDYFQNNNGLCGMQIGIICPEDIPPPEENKEEDDKELWFLWEGTWVGFPVGFFSSILVMGYFLNFLNFFKFW >cds-PLY62587.1 pep primary_assembly:Lsat_Salinas_v7:9:76261762:76262322:1 gene:gene-LSAT_9X60941 transcript:rna-gnl|WGS:NBSK|LSAT_9X60941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGFQLQMTWQTSLLLKKRKNGPPLGFKNLDNTCYLNAVLQCVTYIPPLANFCLRLQHSENCEFLAQQDKKIDCSFCLLEKKIVRSFSIDSTLDTPGKIIGGLNVFAEHFRLGRQEDAHEFLRYVIDACHTACLRLKKL >cds-PLY97284.1 pep primary_assembly:Lsat_Salinas_v7:1:43504671:43508422:1 gene:gene-LSAT_1X38321 transcript:rna-gnl|WGS:NBSK|LSAT_1X38321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVKEIMGRSMIEKPPFLPSNTLKTIHHNQNLLSVNPVSVRRRISVVKAAISEDLAKFVKAEKPVTFKARAVLTVRNKHQEDFKETIVKKIDAFTDQIGRNVVLELYSTDIDPKTRAPKKSKEAVLKDWSQKSNLKSERVNYTSDLLLDSDFGIPGAITITNKHQKEFYLESITIEGFACGPVYFPCNSWVQSTNDHPNPRVFFSNQPYLPDETPAGLKLLREKELRDLRGDGKGVRKLSDRVYDYDVYNDLGNPDRGNDFIRPLLGGEKIPYPRRCRTGRLPTDTDILAESRVEKPFPLYVPRDEQFEESKQNAFSTGRLKAVLHNLLPSMVASISKKHDFKGFSQIESLYSEGLPLKLGLQDDLLKKLRLPNLVTRLHESSQGGGLLKYDTPKILSKDKFSWLRDYEFGRQALAGVNPVNIEKLKVFPPVSQLDPEIYGQQESALKEEHISGYLNGMSVQQAMEENKLFIIDYHDTYLPFLDHINSLDGRKAYATRTIFYLTQSGTLKPVAIELSLPRALPGSRSKRVVTPPVDATSNWTWQLAKAHVCSNDAGVHQLANHWLRTHAAMEPFILSAHRQLSAMHPIFKLLDPHMRYTLEINALARQNLINADGVIEQCFTPGRYCMEISAAAYKHWRFDLEGLPSDLIRRGMAVSDPSQRHGLKLLIKDYPYANDGLLIWEAIQKWVRTYVTRYYPDPSLVCNDRELQAWYAESINVGHADLRHENWWPELATGDDLTAILTTIIWLASAQHAALNFGQYPYGGYVPNRPPLMRRLIPDEHDPAYMSFLDDPQKFFLSALPSMLQSTKYMAVVDTLSTHSPDEEYIGERQQRYTWTGDADMVEAFDGFSTEIQRIEKEIERRNRDMGLKNRCGAGVLPYELLAPGSQPGVTCRGVPNSVSI >cds-PLY62745.1 pep primary_assembly:Lsat_Salinas_v7:9:106171795:106172733:1 gene:gene-LSAT_9X76681 transcript:rna-gnl|WGS:NBSK|LSAT_9X76681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLTLAPASGSLSLSSNDVVVPFQASVHDFTPSQSQFQQHQINSQLGMKAKTGTPSKIVCSFCNISSIDLSSTTPDVLSGDLCKRLKGFVGTCPPSSPQPHVNELLIANEFF >cds-PLY85912.1 pep primary_assembly:Lsat_Salinas_v7:2:189088368:189089387:-1 gene:gene-LSAT_2X111120 transcript:rna-gnl|WGS:NBSK|LSAT_2X111120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLKVIWGTRQRGVVLRRNGKPFSFISRSSFFNPAVEVAMLFPGHALLPPPNGIISKFFPPISALMFSNRSG >cds-PLY84021.1 pep primary_assembly:Lsat_Salinas_v7:6:186237214:186238718:1 gene:gene-LSAT_6X113160 transcript:rna-gnl|WGS:NBSK|LSAT_6X113160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMSISSLTSSFSSLSFSSQVSQKPYSISLAPSSKSLRLSQFSTNKPQSLTILATVAAEPLTGDLETTNIEKYVKSRLPGGFAAQTLIGTGRRKCAIARVVIQEGTGKFIINYRDAQEYLQGNPLWLQYIKVPLATLGYETSYDVFVKAHGGGLSGQAQAISLGIARALLKVSEDHRKPLRKEGLLTRDARRVERKKAGLHKARKAPQFSKR >cds-PLY90319.1 pep primary_assembly:Lsat_Salinas_v7:2:198593163:198595040:1 gene:gene-LSAT_2X119680 transcript:rna-gnl|WGS:NBSK|LSAT_2X119680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSVFQITPFENCDECISKVRRAVRQIGGLKLIGMDPEKGILIISSKRHEPEAIRAALEPNFQNESIILLPNNHSSGVNVNREAGSSTVDFRDMTGMLMTLPEAGRVRRVECRQDVLRVDYYETPSMISNAAAADVSGFVPVPVPPTSTKPSAPPMTTPYNGYPVNGWPYADHYTTPQKDDYPDCACTIM >cds-PLY98731.1 pep primary_assembly:Lsat_Salinas_v7:6:104584755:104585546:1 gene:gene-LSAT_6X66080 transcript:rna-gnl|WGS:NBSK|LSAT_6X66080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPSYPESYKIKSPMYPKVKVRQEEEDPQEFQRIYLKDFQSPSNSPQHKDGYTYTPPRVLKSHHISTSDANISSSKAAAMVDRKVAGGNKPNNKVNKKIAEVIKPSSILPPRAVLSSPENDLMLRTKNKTKTERSESKNHKLSQNTHVKCKKSYELEKKNSSWSWK >cds-PLY69076.1 pep primary_assembly:Lsat_Salinas_v7:5:276373003:276373649:1 gene:gene-LSAT_5X145201 transcript:rna-gnl|WGS:NBSK|LSAT_5X145201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFSSSDEESSQSRQIQWIQTEVNITDPEYDFVENCIEVSCDSLETLSSIETIDDTSSTSSPDGPLYRLSELMAQLPIRRGLSKYYQGKSESFGSLANLTSFEDLAKRSHRFGRPTKSSIRSGQSKILNPKGNIVKNKRSSLSFIVKSSLFSSFDANLL >cds-PLY82537.1 pep primary_assembly:Lsat_Salinas_v7:2:183971909:183972705:-1 gene:gene-LSAT_2X107340 transcript:rna-gnl|WGS:NBSK|LSAT_2X107340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELIGKNKQYAKFCDNDDTGSNKPKAKEKLKLPSRIGMLVFYTPSFLVGLASFTFFPHQDCRFLMVISVLTIHFFKRILEVLFVHKFSGSMMLDAAITIGLSYTLSIATMIYAQYLSQESPAQPGFDLKYVGLGMFLIGITGNFYHHYILSSVRKKDDREYKIPKGGLFDLVICPHYMFEIVEFVGVSCICQTGFTFCLTLGTAFLLMGRSYATRKWYVSKFGGRFGKDVKALIPYLF >cds-PLY96599.1 pep primary_assembly:Lsat_Salinas_v7:7:46496417:46507048:-1 gene:gene-LSAT_7X35921 transcript:rna-gnl|WGS:NBSK|LSAT_7X35921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKINFVEIDSFWHIFRSFDRMWSFFILSLQAMIIIAWNGSGELNSIFDGVVFKKVLSIFITAAILKLAHAVIDVFIMWNARFYMSFHVKLRYVLKALTAGAWVVILPITYSYSWKNPSGFEETIKNWFGNGESSPSMFIIAVVIYLFPNILSGLLFLLPFIRRNLEKSDYKIVRFVMWWSQLSLYVGRGMHEDPLSLIKYTIFWVLLIASKLAFSYYLEIKPLVGPTKAIMRLHIRRYEWHEFFPQANSNIGVVITLWAPIILVYFMDTQIWYAIFSTIFGGIYGAFRRLGEIRTLGMLRSRFQSLPVAFNDCLIPEENNQMIEHRLTVTPSHRMTKEPTNNKEETTRFAHMWNKIITTFREEDLISNREMDLLLMPCWADFDLNLIRWPPFLLASKLPIALDMAKDSNGNDRLLQKRLNADNYMLCAVQECYVSTQNILNFLVEGGTEKAIMKEIFEKVDHHIKEGDLLSVFDMSYLPSLTNHFIRLIEYLKENKATDRDEIVIVLLNMLEVVNIDILDEPLSSMVESSHKYFADLNFPVIGETEAWKEKIRRLHLLLTEKESAMDVPSNLEARRRISFFSNSLFMDMPKAPKVRNMISFSVLTPYYNEDVLFSMDALEKPNEDGVSILFYLQKIFPDEWNNFLERVEYSNEEEMKGDLDSEELLRLWASYRGQTLTKTVRGMMYYRQALELQAFIDMANDDELMKGYNPAESNTEEYLRNERSTLAQCRAVADMKFTYVISSQQYGIHKRLGDARAHDILRLMTTYPSLRVAYIDEVEETSIDELENVVTKVSYYSVLVKAVPKSADASDQFQSLDQVIYRIKLPGAAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLIKHGVRTPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFERLFHLTRGGISKASKIINLSEDIFAGFNSTLRGGNVTHHEYIQVGKGRDVGLNQISLFEAKIAQGNGEQTMSRDIYRLGHRFDFFRMLSCYFTTIGFYFNTLLTVLIVYVFLYGRLYLVLSGLERELNTNKAIARNKPLHVALASQSFVQIGFLMALPMIMEIGLERGFRSALTDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAQYRPTGRGFVVFHAKFSENYRLYSRSHFVKGIELLILLVVYEIFGESYTSSVAYMLITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWSDWSKWISNRGGIGVSGDKSWESWWEKEQDHLVSSGVKGIVIEILLAVRFFIYQFGLVYHLNITKSKSFLVYGISWLVIFAGLLVMKGMAYCRKSLSNDYQLAFRLIKGLIAITFFSILITIIAQPHMSIKDIIVCVLALMPTGWGMLLIAQALKPFLKQTGFWSSVRTLAQYYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGGQKKNRSSRIKE >cds-PLY79301.1 pep primary_assembly:Lsat_Salinas_v7:4:370699578:370702741:1 gene:gene-LSAT_4X182500 transcript:rna-gnl|WGS:NBSK|LSAT_4X182500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSFLSTYFSSPTLPPRLPSPNRLPNSTLPLSRDFSHRSLRSTCIVASLGQTYHSNHSPAPESTNCCILNKFLDSLVVLITSAALSVSIFVTDVDSAAAFVVTPSRKLQTDELATVRLFQDNTPSVVYITNLAARQDAFTLDVLEVPQGSGSGFVWDKKGHIVTNYHVIRGASDLRVTLADQTTYDAKVVGFDQDKDVAVLRIDAPKDKLRPIPVGVSADLLVGQKVFAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGINTAIYSPSGASSGVGFSIPVDTVGGIVDQLVQFGKVTRPILGIKFAPDQSVEQLGVSGVLVLDAPPNGPAGKAGLLSTKRDTYGRLILGDIITSVNGKKVSNGSDLYRILDQCKVGETVSVEVLRGDHVEKIPVILEPKADES >cds-PLY87181.1 pep primary_assembly:Lsat_Salinas_v7:5:155371104:155374497:1 gene:gene-LSAT_5X67800 transcript:rna-gnl|WGS:NBSK|LSAT_5X67800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSPSERASLPNFLLSVKLKYVKLGYHYLITHFMYLLLIPVLAVVSVHLSTLTSQDLFHLWQQLRFNLLSVIICSTLIVFLATLYFMSRPKKVYLLDFACYKPDESHIVSRETFMNHSVLTGTFSEENLAFQKKILERSGLGQKTYFPEAVLQVPPNPCMSEARKEAEMVMFGAIDELLAKTGVKAKDIGILIVNCSLFCPTPSLSSMVVNHYKLRGNVLSYNLGGMGCSAGLISIDLAKQLLQVQPNSYALVVSMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSNRSSDRRRSKYQLIHTVRTHKGADDKCYSCVFQEEDNEKNIGVALSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFVTLVARKVFKLKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELSEWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIKKGDRSWQIAFGSGFKCNSAVWKALRSIDPKKEKSPWIDEIHEFPVHVPRIEKIGC >cds-PLY88539.1 pep primary_assembly:Lsat_Salinas_v7:7:134396073:134399921:1 gene:gene-LSAT_7X79861 transcript:rna-gnl|WGS:NBSK|LSAT_7X79861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRRVSLISASVLVWFILVFNNLSKVYGNAEGDALNALKTQLGDPNNVLQSWDATLVNPCTWFHVTCNNENSVTRVDLGNANLSGQLVAQLGELTNLQYLELYSNNITGRIPIELGNLTNLVSLDLYLNRLDGGIPDTLGKLQKLRFLRLNNNTLTGTIPISLTTITSLQVLDLSNNNLRGDVPVNGSFSLFTPISFANNPQLKAPAVSPQAPAPPNSPSPSVGNSATGAIAGGVAAGAALLFAGPAIALAWWRRRKPQDHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFNNRHILGRGGFGKVYKGRLADGTLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPDTQEPLDWPIRKRIALGSARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLREKKLETLVDADLKGNYIDDEVEQLIQVALLCTQGTPLERPKMSEVVRMLEGDGLAERWEEWQKEEMFRQDFNATHNTNTDWIIADSTYNLRPDELSGPR >cds-PLY94391.1 pep primary_assembly:Lsat_Salinas_v7:6:9235264:9236294:1 gene:gene-LSAT_6X6261 transcript:rna-gnl|WGS:NBSK|LSAT_6X6261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKVYADRMSQPSRAVLIFCKKNGIDFEEIRVDVLRNEQFTPEYKAINPMSQVPAIVDGGFKLFESHAILIYLSCAFPGVASHW >cds-PLY89703.1 pep primary_assembly:Lsat_Salinas_v7:7:42711037:42712978:-1 gene:gene-LSAT_7X30780 transcript:rna-gnl|WGS:NBSK|LSAT_7X30780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESCPSVKNILLLDSEGLRVAVKYYTDDWPTNSSKEAFEKSVFTKTQKTNARTESEITMFENNIIIYKFAQDLHFFVTGGDEENELIISTVLQGFFDAVGLLLRGNVDKKEALENLDLILLCLDEIVDGGIILETDASVIAGKVASHSVDSGAPLSEQTISQALATAREHLTRSLLK >cds-PLY92455.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:158657:160539:-1 gene:gene-LSAT_0X14541 transcript:rna-gnl|WGS:NBSK|LSAT_0X14541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETTATATVISSRTAIATGTGTSYTVPKLEPSPSASMATTIEDVDEDMFLSKSELLAREEVLKRRSRKLKQIARVYKDHYWCMMEELKLKYREYYWEYGKSPYQDDGSVTEIDAEKNGDDELGLEFSSDLSRCAVHGCKSKAMALTKFCHAHILSDSKQKLYMGCNYVIKSSQAGPILCGRPILKSTVPSLCATHFQKAEKHVARALKKAGLNVTSTSKVAPKFHVIIAEYVRLIQSKRRIAHKAELENLDVKEEDISI >cds-PLY89490.1 pep primary_assembly:Lsat_Salinas_v7:4:149259165:149261809:1 gene:gene-LSAT_4X92260 transcript:rna-gnl|WGS:NBSK|LSAT_4X92260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLKTSKYLHPHPQVKDNPFDDRFPDSVCKLDLKETTDLVKLFPMAKKDGAGVKSNVVMEAPPTPGRPVFSFSVGSRKNVPSKWDDAEKWLISGSDSPAHHHLHGFVKSPDFVSKHCNNGGGNGFLGRTQRQESHQKTEVSSGIITDEKVSKAICVFQEDHHYHHHNSNRPFNGVSSSVSSDVLLKDKFTDGSEVMEPDFSRFKCVVPINDGYDQFSSMKDASTEVIHEVKRRDTGTNTTPPRNSTASQCFTPFESFSPPPNNTPAMSGPLSLMNPSSSFDIAELQECHFAKLHLESPFNSVACNWSSREEEEEDVSKSLRHYEMNNDCPKSITEPKACSWEEEEKMKSHFRYQREEAKIQAWVNLENAKAEAQSRKLEVKIQKIRSKFEEKMMRKMASVNRKAEELKAAAQYEHNLQIQKAIMRGKKTKNLHESLHFSGNGGSCGCFPCNNIDT >cds-PLY62151.1 pep primary_assembly:Lsat_Salinas_v7:5:6997700:6998832:1 gene:gene-LSAT_5X6120 transcript:rna-gnl|WGS:NBSK|LSAT_5X6120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSILPQHHHIIHHAAAVGRQYHHHRNHRVYCTSTTRIKTRPKLEPLVINTTPLVTVTPPKIDDPSLKSTWAHRAWVATGCTTVLISLANSIIGSIDSHIWLEPILSGFIGYLFADLGSGVYHWGIDNYGDASTPMFGSQIDAFQGHHKWPWTITKRQFANNLHALARVITYTVLPIDLIGHDQPVVMGFVGMASGCIMFSQLFHSWAHGTKSKLPPVVVALQDAGVLVSRSQHAAHHRPPYNNNYCIVSGVWNKFLDEHKVFEALEMVVFFKLGLRPRSWSEPNSDWTEEAETFSTTSLP >cds-PLY64394.1 pep primary_assembly:Lsat_Salinas_v7:4:23283884:23284790:1 gene:gene-LSAT_4X16280 transcript:rna-gnl|WGS:NBSK|LSAT_4X16280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSPLHNFNLPQGLMWGTQRFLRCMNVNPIRAMTAVDNDESSDSEEYNNNRSIENRHAEVEVLEMPAGGRSSDDPSRKKVRFNLKPLFLAPAPPQSPDIGSVRKATSEDEDEISITREKLVMDFQTEVGKLKEAILKNNTPDDVEIAPSKGSPPVNSEAVQNSEKQYNNRSPSPPQPPVAEEINASVDDPMSEKKSTRPKRKLEKKEERAKFSIALSRKEIEDDFIAITGKKPPRKPNKRPKNIQNRLQVLFPGSRLCEVHPDLYK >cds-PLY67883.1 pep primary_assembly:Lsat_Salinas_v7:5:5712164:5713271:-1 gene:gene-LSAT_5X2860 transcript:rna-gnl|WGS:NBSK|LSAT_5X2860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAIAKNFIIYVSSITVSLCYCYFLSSKIPKGICRFISLIPIFCIFTILPLRCTYVFTTAICFSFTTWLMNFKLIRFAFDLDESPYRCSDSLIRFITVASLPVKTKSTDSTSASTSPERSWYKLGLQNLIFSILVSTVNNYRDRFHPDIVLVIYCGLLFLIIDIIAGVSAALLLFLTGLELEPSSNEPYLATSLQNFWGRWNLLVTKTLRYTVYKPVRSAFSDHKWAPHAGVLSSFFVSGLMHELFVYQLSREDPTWEMTSFFVIHGICVVVEMIIKSNLAGGRWRLPKFLATLLTVGFVVVTGLWLFFPPLIRTKVDVKVLMEHASFVDFIKTTFYSMTQKSQIHV >cds-PLY89803.1 pep primary_assembly:Lsat_Salinas_v7:2:138512028:138513675:1 gene:gene-LSAT_2X68100 transcript:rna-gnl|WGS:NBSK|LSAT_2X68100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCRNKPHAVLVPFPAQGHLNPFMKLAKLLHFNGFHITFVNTEFNHHRLIRSKQPESVQGLPNFRFKTIPDGMPLSDPDATQDIPMLCDMIRKTCLVPFKELLQKLKSSTGDPPVSCVVGDGLMTFAIKAAKDFGIPEVQFWTASACAFMGYLQYREFIKRGIVPFKDDNYLTDGTLEKPIDWIPGMSNIRYKDIPSFIRTTDPDDIMMAFAGEETQNNLNASAIIFNTFDALEHKVLEAIAPKFNYHNMFTLGPLHLLAKYVPDDSPVHSLNSSLWKPDSSCLQWLDQKKERSVIYVNYGSLTTMTDQHLIEFAWGLADSKQSFLWVVRPDVTMGDSAILPEEFLKETKDRGLMVSWCEQDQVLAHPSIGGFLTHCGWNSTTESISEGVPLICWPFFADQQTNCRYSCIEWGIGMEINHDVKRNEVEVLVNEMLLGNNGKEMRRKANEWKTKAKEANDIGGSSYNNFHRFIKEALLSGEVSHV >cds-PLY75709.1 pep primary_assembly:Lsat_Salinas_v7:8:194857507:194861013:1 gene:gene-LSAT_8X125660 transcript:rna-gnl|WGS:NBSK|LSAT_8X125660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSNSHVTLEVGEEHTYTYPYPSNLSATGFTTVKLSGRDEYGMWKTQMLCLLESHGMLGFIDGTLMCPQPISISGKEKVGDHQTHYRLWRRSDALVKGWIFGSLSKPTLGYVLDRLHQQRNANDFSGKDAWDELHTMYAPVGIPPELLVVEDTPQDKDRAKNLDRLYNYTEAGYWNGVEDILRQEKVAVTDKITNNGNTALHAAVGSFRGREFLEKLLEKIPENTQLTNIRNSDGSTLLHVAAISGNNETADILVARDPHMLLAKDKEGQTPLALALSNMHTHTARHLLRHINTLTDDIQKDALFSGTTGDDLLVTVISSKDFDFARELLMHYKTLHTDAVLMAIAQNFPARHKATDILMWVDDHFINPCNEIIYHRWDLTLICIPILFVISCIIMSFLYILGMLVYLFFKEGHQNFEDAIGLLAEVSMLIREKNHFSSYHHYYTNPILEASRQNAYEFVDKIMHHFPNAIWSANEDGHNIIQYAVVNRSEKIYNLLYWMSQHRNICRTIKDSSGNNLLHLATRLAPNNKLNLISGEALQIQRELQWFKEVERFICPLSIIQKNSFNETPQTIFTREHKELVIEGQKWMKSTAESYTITAALIITIVFAAAITVPGGNKQEGGLPVFTNHTAFTIFAISDAISLFSAVTSLLMFLSILTARFAEQDFLFKLPTKLIIGLATLFISTTAMIVAFAATLYLVFGQSNSRILIPIAVLTCLPITSFVTLQFPLVIELMSATYGGSIFGDKQTYYPLY >cds-PLY97702.1 pep primary_assembly:Lsat_Salinas_v7:8:6902090:6903536:-1 gene:gene-LSAT_8X5000 transcript:rna-gnl|WGS:NBSK|LSAT_8X5000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVQDRNTTSQYTMTSSSPQTAVNGGENECDWFKPASCEIQAHKGDRVKVHYRGKLTDGTVFDSNFERGDPIEFELGTSQVIKGWDHRLLGMCVGEKRNLKTPSKLGYGDQGSPPTIPGGATLIFDTELVAVNDKGSGGGDTNNNSEL >cds-PLY84907.1 pep primary_assembly:Lsat_Salinas_v7:6:15310245:15310695:-1 gene:gene-LSAT_6X11501 transcript:rna-gnl|WGS:NBSK|LSAT_6X11501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIHLLSSIGFMSRRDAHEYRSNCNIYMFIKRQTLFDRSLLVLLDGKNRKFI >cds-PLY91244.1 pep primary_assembly:Lsat_Salinas_v7:3:77838398:77842799:-1 gene:gene-LSAT_3X57300 transcript:rna-gnl|WGS:NBSK|LSAT_3X57300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATETSRSSSSSADSYIGSLISLTSKSEIRYEGILYNINTEESSIGLTNVRSFGTEGRKKDGPQLMPSDKVYEYILFRGSDIKDLQVKSSQPVQPTQSINSDPAIIQSHYPRPPTTSSTAGGPTTNNLGQPGSTYPLYQPGGNIGSWAPSPSPLPPNPNTSGPTMPMYWPGYYAPPPQLHQQSLPRPPQGLPMPPSLQYPTFNPPPASSEPLNFPEYPSPLLPTSSPLPSSFTGSLPSLMHNKTPNPSAPPLPGGATMQPPPAVTSDVLSGAKFPFQGMSQAMGGPTEPPTPLLVTPGQLLQSVPTTVSPPPVHDDVEVVPVSSMTSSSSSPPPPPLSVPVSVPEAQPPILPLPTQSQIAQKPNGSSYQNRHNYNYRGRERGRGSAGGSRPVMKFTEEFDFNAMNEKFNKDEVWGTLGKTNRSGSKEKDGNVTDEEESEEETDPNLPKVEVKPVYSKDDFFDSLSSNSLDRQSNYGRTRFSEQMKLDTETFGEFSRYRGGGRGGRGPYRGGRGRGGGGGYYGRGYGYVGRGRGGRNQNPNPNPNQNQNQNDMRDY >cds-PLY97412.1 pep primary_assembly:Lsat_Salinas_v7:4:16855045:16856948:-1 gene:gene-LSAT_4X10320 transcript:rna-gnl|WGS:NBSK|LSAT_4X10320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEIRRLISSSASFLPPNISIPATSTVENLFANGSGGGVGESPGPMTLISNFLSENDREADYHSFSQLLAGTMSSSVEIQGRIPPRDLDAGVSFPENIGGCGGVGRGVDFSPGYFPPAKGSYGTFHQQALAQAKTKATTHHRLPPSMADQYVIRPELSISGSHSQPLTADKPAEDGYNWRKYGQKQVKGSDYPRSYYRCTHQRCLVKKKVERSTDGLVTEIIYKGQHNHQPPRSIGTSGEFEPFKGREDQESSHEQSGLNDSDGASDDVAKPQTKKRNVEAREFDPVASHQKVMEPRIVVQATSEIDLLDDGYKWRKYGQKVVKGNSHPRSYYKCTSKGCKVRKHVERAANDPKAVITTYEGKHNHYVLANGKNNCELTKETTSQLKAQDPVGLLRLKDERI >cds-PLY85207.1 pep primary_assembly:Lsat_Salinas_v7:9:146597354:146598028:-1 gene:gene-LSAT_9X94121 transcript:rna-gnl|WGS:NBSK|LSAT_9X94121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKPNATTVSLTPAKMATETPVKIGTKGTVGSLMMKELEYFNMLEVKVHSRKHSLQVAEAATTSGEQADSATKTPKSKKRGNKFVPRFCSVIDVADINGPKMISGFNYKTLKADVRKLQV >cds-PLY79842.1 pep primary_assembly:Lsat_Salinas_v7:8:17245955:17249941:-1 gene:gene-LSAT_8X12041 transcript:rna-gnl|WGS:NBSK|LSAT_8X12041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKQSYKVCFCFRRRFRLAATEAPPTIKALFEQYSENGIMNADHLHRFLVEVQKQEKATVEDAQVIMHSTSDIFHRKGFNLETFFKYLFGDSNPAFDPHPTVHQDMNAPLSHYYIFTGHNSYLTGNQLNSDCSDVPIIKALERGVRVIELDIWPNSNKDDVDVLHGGTLTAPVALSKCLRSIKNHAFTASEYPVVITLEDHLTPDLQAKVAKMVTETFGEILFTPKTESLAEFPSPESLKRRVIISTKPPKEYLKAKEAKPSGNSSQKEKDSSVEAWGGEIPSFKSGSTAEYKEDVYEEDDEEEDLQGDNCAPEYRSIIAIHAGKGKGGLDDWLKVDPDKVRRLSLSEQELEKAAKTHGPQIVRFTQRNVLRVYPKGIRVDSSNYNPLIGWMHGAQMVAFNMQGYGRSLWVMQGMFRANGGCGYVKKPDILMGCDPHGNVFDPRATLPVKITLKVTVYMGEGWYYDFSHTHFDAYSPPDFYTRVGIAGVPADTIMKRTKAIEDNWIPSWNEEFEFPLTVPELALLRIEVHEYDMSEKDDFGGQTCLPISELRKGIRAVPLYSQKGEKYKSVKLLMHFDMV >cds-PLY91523.1 pep primary_assembly:Lsat_Salinas_v7:7:140549406:140552705:-1 gene:gene-LSAT_0X25321 transcript:rna-gnl|WGS:NBSK|LSAT_0X25321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQELWWKEKSFHALLPIRVPAFRFCNSYDGTNDGHTSLVAAKPVINVDDDGEGGSDEQNLIQLKRDRDSAASAALEAFLSSGEGSSGKVLKSLPSQIEASHPASPIYVPSWEYMRDKSLMEPKKILDLVKSFVVFSGGIAYNMKLFQDQHVEHVEKVVELEKVMPSVRTVPQTVVDKMRDELATVQNEKVFLESKLASLDDIVEEVSMLKATVASLELEKTELLEKIGMFEHGV >cds-PLY81932.1 pep primary_assembly:Lsat_Salinas_v7:8:122763652:122765885:-1 gene:gene-LSAT_8X83620 transcript:rna-gnl|WGS:NBSK|LSAT_8X83620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVSKSFLTARRIARASSSRWVPEQLSSSFVYSYSSAVAAAELPLPPPPPPPLPTSSSRSQEKQKGWTRFLLFVPGAITFGLGSWQIFRRQEKVKMLEYKQSRLQMEPINCNYITPSGENLDSLEFRRVICRGVFDDSKSIYVGPRSRSISGVTENGYYLITPLMPLPSSPESLQMPILVNRGWVPRSWRDKSVKILKEDEQEHPTNMESESTTRQESSSWWRLWSKKADITEKEEDEQLMVDEVVGVIRGSEKPSIFVPANDPNSFQWFYVDVPGIARCCGLPENTIYIEAVNENVNPSNPYPIPKDNTALIRSSVMPQDHLNYTITWYSLSAAVTFMAFKRLQPKKRMR >cds-PLY95277.1 pep primary_assembly:Lsat_Salinas_v7:5:99717333:99718676:-1 gene:gene-LSAT_5X47580 transcript:rna-gnl|WGS:NBSK|LSAT_5X47580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDSATSLPSSIWASLNSWFTSTAFFVLLNVMIATIVFTSNLPNNNQQNRHQQDPEQEQKHNSQNHQNDRNQPQIVRSSSILYRLKSFNFLPHRSQQDFSPEDDHHQEPLQVAATQYVFNQPIDYQHFDYDLDSTRSDHIPTGNANAAAQFSAFNQTHEASIPYSETHVVWEHQQTVETRMSHFDFDPTHDEIRSDTGFEDANEDEHADELQSLDEVYSKLKGGHDRTKSESDLTSKPPAKMKKSASLKVGFAHLEEEEIVESRRPVTVRERKSAARVMEEDDVEVDTKADNFINKFKNDLKLQRIESIIRTKGATGRGTAK >cds-PLY72062.1 pep primary_assembly:Lsat_Salinas_v7:2:204491303:204492133:-1 gene:gene-LSAT_2X125440 transcript:rna-gnl|WGS:NBSK|LSAT_2X125440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIFLSTGLYAHFLINGFEVERKTRKRSVGKPKTKHLEARFPVMEALHKCFCKAYNESATQGKERLEIDPAHGNHIVALQKLKSLFSWNGDPCVPQHPWTGIGCVIRLDGMPKPKPTSNTVV >cds-PLY90764.1 pep primary_assembly:Lsat_Salinas_v7:3:36047639:36048996:1 gene:gene-LSAT_3X26761 transcript:rna-gnl|WGS:NBSK|LSAT_3X26761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVQLTEGAIAMLSSGEWQPADVKPVLQVIELRGIPSQTSSAVSGGEKPERYGLMLSDGLFFQKAVVATHRNSMVRSQQMQKGSVVHMFIIIDLDVIIGTCDLIGDAKSYPPTSNSASPINSYPLPWTVYPNRGPTAKNKATPRIIFIPIAALNPCQGRWTIKARVTSKQELIRYNNAKGDGKVFSFDLLDSDGGEIRVTCFNAVADQFFDQIEIGKVYYISKGSVKPASKAFNHLKNNHEILLNNTSTIQPCFDDGSIPRQQFQRVLLGDW >cds-PLY88860.1 pep primary_assembly:Lsat_Salinas_v7:5:119994609:119995590:1 gene:gene-LSAT_5X52701 transcript:rna-gnl|WGS:NBSK|LSAT_5X52701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRRDSGVIRRKQQKNWSILFSKTSWIKRYTLISDPNSNGYFDLMIKTNPEILKRWNNEVQEVVQSRDALVQFHALVQLHQV >cds-PLY70216.1 pep primary_assembly:Lsat_Salinas_v7:9:1189620:1192072:1 gene:gene-LSAT_9X4341 transcript:rna-gnl|WGS:NBSK|LSAT_9X4341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLGRFDVIVIGAGVMGSSAAYQAAKRGQKTLLLEQFDFLHYRGSSHGESRTIRATYPESYYSPMVVESEKLWREAESEIGYKVYFKTQQLDLGPVDNSSLCALIANCKKHTLNHQILDRHRVKEHFTRKIEFPEDWIAVVTELGGVLKPTKAVAMFQTLAIKHGAVLKDKTEIVDLVSDKHNGGVLVSSRSGEQFWGRKCVVTAGAWVSKLIQKVSNGGMVLPIQPVETAVFYWKIKEGHELDYTIEAGFPSFASYGEPYIYGTPALEFPDLIKVAVHGGRECDPDKRTWWAATGSEGATMVIGHLKEWIKLRFGDGVDWEDGPVITQSCLYSMTPDEDYIIDFLGGGFNEDVVVAGGFSGHGFKMAPLVGRILTDLAIGGKAAAEQLDEDIKHFKLGRFEGNPRGNVKEFEDQVRLALGRQS >cds-PLY94488.1 pep primary_assembly:Lsat_Salinas_v7:2:158751217:158752729:-1 gene:gene-LSAT_2X83280 transcript:rna-gnl|WGS:NBSK|LSAT_2X83280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSGPGLLSNFGKKTKALLMRDYQSDQKISVSTTSVTGVTLTSSATNKGGESTGDVGAMYKHKNTLINVKFDAQSNITMTLTLKDIAPSTNTTASFKLNNYKSSKIATTLTSTDIFFPSTKAIASLELPDFRSGKLDVHYFHHHATLTSTVALNHSPTINISATIGTPIFAMGAKVGYETPSSKFTNFTVGISVNIPDSTSSIVLSDKGDTIRASYIHHFDRFKKTAVAGEFTRRLKTNKTRFTIGGCYAVDGQTMVKAKLTNHGKLSVLLKHEIIPKSFVTLSSELDSQAFHKIPRFGLALVLKH >cds-PLY73829.1 pep primary_assembly:Lsat_Salinas_v7:7:69442496:69443306:1 gene:gene-LSAT_7X49960 transcript:rna-gnl|WGS:NBSK|LSAT_7X49960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDGNTTSPPSRLSKPSLLHKHKSLSTDYNREEAWLKLKDNHQHHHQQRHHLHRRSKSLIMITTEDINELKGCVDLGFNFASEPTKLSKTFPALDLYYATSIPPTSSSNSSATTSNHGDSPPQPCSPHNASTTTLFNSGENPEMVKRRLKQWAQVVACAARQPACYQIDPKD >cds-PLY72419.1 pep primary_assembly:Lsat_Salinas_v7:3:190642943:190643509:1 gene:gene-LSAT_3X113060 transcript:rna-gnl|WGS:NBSK|LSAT_3X113060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVALPFRPSPPSPYRGILKRVRKTRQTARKTTLNHKGIQKHGHGLSESMRKNLRTPTWLIVFSEDRISGRRITTRYEDRQSLGVHPPPSDYAGSLRVLFDRTLKLEKQVRVSAREIKEEENNTTNVAWRVGRLETQLTRPWNILIGCVGYSTRRLLLRCNRIPTWLRLIFKLKQFLSGWESVRRK >cds-PLY94202.1 pep primary_assembly:Lsat_Salinas_v7:5:321742987:321744881:-1 gene:gene-LSAT_5X178401 transcript:rna-gnl|WGS:NBSK|LSAT_5X178401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSLARRCRRSLQCFQPLASQLQSTRALAAAASSPPTRRRSKFTPAVMRKTDDKSEWWVVDGEMHEIGENVPPRERFVIPRDNIPNKRRKQLREQFMRRTRLVLKESEHEPWCKKYMELYQELRENWERIYWDEGYSKKHGQERASYDSAEDDDEDFSPYRRKQPHVEDMKNHGFDRNRRGDTVEKAGVIRDKFEFDRERRMRDRAFAPMNGGMPFTAPDSPSRNQSFDAKRYLSSSDSE >cds-PLY63268.1 pep primary_assembly:Lsat_Salinas_v7:4:200354161:200354655:-1 gene:gene-LSAT_4X112220 transcript:rna-gnl|WGS:NBSK|LSAT_4X112220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRYTVIGRGQLKKQTKATITGDGGASFRRLQRPHSPASTQLMGIMVLLVSSGILLILSGITITTTIITFICFAPIIIITSLLWIPISILLLFIVVGILSLCGLGLAAAVVSWLFI >cds-PLY64739.1 pep primary_assembly:Lsat_Salinas_v7:6:108124195:108126870:-1 gene:gene-LSAT_6X66160 transcript:rna-gnl|WGS:NBSK|LSAT_6X66160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVVLSQALYMIPTDVAKFESKKLRVSSFLPQFNAASVSISTVSSYRCRRNYGFRCGDSLVVRAEADAGAEADPEPETKTVAAETTEKEDEEEVEEKKPKKAIVKLGDIMGILNKQAVEASESARPVPDLRTGDIIEIKLEVPENRRRLSVYKGIIISRQNAGIHTTIRIRRIIAGVGVEIVFPIYSPNIKEIKVVKHRKVRRARLYYLRDKLPRLSTFK >cds-PLY85427.1 pep primary_assembly:Lsat_Salinas_v7:2:70606296:70608594:1 gene:gene-LSAT_2X32240 transcript:rna-gnl|WGS:NBSK|LSAT_2X32240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAVIEIDGQQQLINAVPDLSIHDQGCDPGQEEKNEVVSSNEETLHPKTSLSDEDHHGVCAICLNQIALQETALVKGCEHAYCVICILRWATYKKEPTCPQCKNPFEFLNIHRSLDGSIHDYMFEESVCLLLRASWFHPLHIEPHEEPEDHHHITQDFFYSYDYEEEEEDEDLDEVYLGRASNINIRIGNRRWGHNGFVRAGKQEARPVHQQPPSNFQDSGGAGPSSREPKKKETGGGGGGGGARDVAAVGRRAKRTMKREAADKAAAAKHQQHLVRLGRN >cds-PLY62742.1 pep primary_assembly:Lsat_Salinas_v7:8:307712148:307714471:-1 gene:gene-LSAT_8X166741 transcript:rna-gnl|WGS:NBSK|LSAT_8X166741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFFCSTRFLLLLSVLSAIPVAIIISLESATPSSHYYQFHSTGWFRESSKWDEVKRRFIVSFTDGGGLGVVNVPDDHNPNDNVVLEEIPVVKNTDAVGNGTCGLFIDRPRNRVVVAIADVFGNTYSAVAAYDMDSWKRLFFTQLSSSADGKSFADDVAVDAEGNAYVTDAKGTQIWKVGVDGELLSVIRSPLFHAKEWYKDIVTLNGIAYHPNGYLLVSHTMTGNLFKVEIKNNNKVTVVKVDGSLSIADGMELLAGGTKLVVAGANGVKLVESKDDWATASVIGRSPVLKHRIATAALVKDGKVYINHALGMGYPNKKHVLLQATFSSS >cds-PLY72880.1 pep primary_assembly:Lsat_Salinas_v7:4:273399047:273400576:1 gene:gene-LSAT_4X140101 transcript:rna-gnl|WGS:NBSK|LSAT_4X140101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPLNPVEFRRQGHMVIDFLADYYENIEKYPVRSHVKPGYLFQSSPDCAPMYPEPIEAILKDVQKDIIPGITHWQSPNFFAYFASSANTASFLGEMLLNGFNVVGFNWASSPAATELEILVMEWLLKLLQLPQSFSTSSDHGGGVLLGTTCEAFVCTLLAAKEKTLDQIGRENTEKLAVYCSDQTHFSFQKSAKIIGIKPENVRQVVTNRTTNFELSPESLDEMIKRDLEDGLIPIYLCATVGTTSTTAVDPLGSLCEVASKYNMWVHVDAAYAGSACICPEFRHFLDGVEGASSFSFNAHKWLLPNLACCCLWVKDKSSFTKPLSTTSELIANKTTESGKVVDYKDWQISLARRFQALKLWMVLRSYGTIALREYIRKHVKMAKDFEGLVNMDSRFEIMAPRYFSMVCFRVSPYAISQHHDNDHEANEFNQMLLELVNATGRVYMTHSVVGGVYVIRFAVGATLTEDRHVKMAWELVQGQATSLLGTPTPNSASNVQSSKQIEGSIST >cds-PLY65738.1 pep primary_assembly:Lsat_Salinas_v7:5:270838249:270840161:1 gene:gene-LSAT_5X141280 transcript:rna-gnl|WGS:NBSK|LSAT_5X141280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQMDENTGNGAPKPPRKMKFKPKAPPKREQKLLLPKPEKFEDDEADDEKAKELMKMFKETSNRPKFKTETKPSARVSSFNFGTKSGGGNAATSSIGAKEYKEPWDYYKYYPVTLPLRIPYSGNPELLDEQEFNEESETNAYNESSVNPAEELGLLEEDLEKKLIFFQLPATMPIPNQSVKTEGKPLKNASKASSLNEVPAGSMGKMLVYKSGVVKLKLGDHLFNVSGGLDCSFAQDLVVMNSEEKYCCNVGELNKRVVITPDIESVLDNMDIS >cds-PLY64970.1 pep primary_assembly:Lsat_Salinas_v7:8:160149100:160150039:1 gene:gene-LSAT_8X106681 transcript:rna-gnl|WGS:NBSK|LSAT_8X106681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAADTEDFEMISHISFLTKYKHDTTKDCIMLKIIRVLSPAVPSRQVKENSSTWEASGETLNNIDHEAMAVDPALVLTIQRWKQTEDLGENSEGQDKASRILVK >cds-PLY96297.1 pep primary_assembly:Lsat_Salinas_v7:6:157908807:157911109:1 gene:gene-LSAT_6X95820 transcript:rna-gnl|WGS:NBSK|LSAT_6X95820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein ERG [Source:Projected from Arabidopsis thaliana (AT1G30960) UniProtKB/Swiss-Prot;Acc:O82653] MKALRLIQTLANHSSKTPKNSNLNPTFLSRFFASQPLQETPASESEETHITDTNGFGFDSSHYELPNVTLGLGLDEAITPVSNAESTWDEKYRWKVNKTIFGEEHEKSVKEKKEKLVKEKKKKKSKKERMMEQEEKRHRAAILSKALLEAAVAPTDEDEDDVEAVKMEDQLSLSVGIIGAPNAGKSALTNFMVGTKVAAVSRKTNTTTHEVLGVMTKGKTQICFFDTPGLIMRTNGLPKSDIRVRVESALSSVDLYDTLLVIFDVHRHLIRPDKRVVGLIKQMGSEVNPKQKRILCMNKVDLVEKKKDLLKVADQFKDLPGYEKYFMISGLKGSGVKDLVQYLMEQASLKLLHNFHAVKRPWDEDPLIMTQDVMKNISLEVVREKFLDHIHQEIPYDVEHRLIDWKELRDGSIRIEQHFITDKLSQRKIIVGKNGSKIGRIGVEANEELRSIFKRNVHLILLVRLK >cds-PLY99514.1 pep primary_assembly:Lsat_Salinas_v7:1:115301909:115305096:1 gene:gene-LSAT_0X16160 transcript:rna-gnl|WGS:NBSK|LSAT_0X16160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCALFDLKDDLVVSASLDQTVLVWDIGALKKKTVSPADDILRLSQMNTDLFEGLDAVVKYLLEGHDRGVNWASFHPTLPLIVSGADDRQVNIWRMNDTKAWEVDTLRGHMNNVSCVLFHARQYIIVSNSEEKSICVWDATKRTGLQTFRREHDIFWILGCHPEINLLAAGRDSGMIVFKMKRERPAFSVRILLQHILLGDSTTLQHVGMAEKSAPRDHPAHEQGSKFDIIGSILGLRNLRQACYGPKMTVLPYYNLVVSSGHAPRHYMWGPPQHMMPPYVAFYPHGGVYAHPVVHLLSLVDLSKTIFGGTKGPSEGIDGNTIELSSWQIPTDVTEQRKKQERSGAEDGLSSIEIMFYSSLLSILGVSYNSYWRILKFNLIII >cds-PLY89850.1 pep primary_assembly:Lsat_Salinas_v7:4:324122011:324125864:-1 gene:gene-LSAT_4X160781 transcript:rna-gnl|WGS:NBSK|LSAT_4X160781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLRSSLKSSADEFLSSSAKLGFQSVKTSLKTLIYSLKPSSDVVSSLPLSLHQSISQSIIRFKDLSGKGNTGDASSFGANSPVTPASKRVRRSSRQPESNRTDGKDDASGTVDEKTKLDKVKSEVSENLQVYAHVAHLCVTHPGNVFSASDLLPAVQELHDNLVVFELESNLLSEIASLCEEWWKRELPGRDCLITQSLPFLVSKSLTLKKKVNVHRVYALREAFTLFDFEDESIEDLKLLLIRCLISPLYLKMDEGRRFLAFLFGLSRQLVKESLAMIRSQIPFGRKSMLEAYADIVFRAWKAAEGESREEIENDFLQALVDSSIHASTGSFAASIRRILGGFITQRTTDGVEKLIFNLTEPVIFRSLQVANSNVRQNALHLLIDVFPLEDPDLTREAKDTLLHKQFFLIEKLIMDDCPDTRAVAVEGVCRILYMFWEIIPSSTITKLLTKLLDDMAHDMANMVRLSTVNGIIYLLGNPQSHEILKVLLPRLGHLILDSSLSIRSATVDLLLLLKGIHDFQFHKVVNLDVLLSTLANDQPLVAQKITKLLLPSYFPTTVTLEEACQRCVTLIKRSPLAGARFCEFSFSEGASPQFLNTLFKVLINLILSPHKTPLDQIEGILMAASNICNNLASEPKYKSALKDELTGKKLISLLSAAKTAHAQASVFKIVLTVLPDAASVLRQESMAMVTRCVGLSENKERQSQMRLIHKMMMSCGWIDDMIQTLTRVLQKTANGCVSSSSSSSSSGKKRKVKHGSVKSKHMSGKNHPDASRSSFFEDYTVCVGVAWQLKDLLTDVNTRKAVLESRNLESAFHALKIISEFVIECLQSNQIDTSPVSTYTSLILHISLKDSTGISTETIEHLLQCTEKLYKVNASRKSSKENGSSFEEENRISNIVKLSTSILKFIIDSNTLTNTNNHQETCLRFTRQYLGFIILNLRKHSHGILEFKEEDIKETFLCLKISFTYSAKLLNLILTNSSESNELSVEIQNLSNDMFDFVVSIEEHMGGKYGSLLFSVVKSWLPDLILSFGCSPPQPPLLPPLWVVVLGKIEVFELDLGDENENEKVVLASKFCMFKKVVERMVKLLRGNKSVMDVVGGVLLDLEKKDFGVLFGVLNFVCGKMVNHGNGEWGEMKLMLGCVGRIYPWMVRMCEECGDEGEKEWLEKGRVLLEPIWRCYVDDGTKEPMETGFEDVNV >cds-PLY62976.1 pep primary_assembly:Lsat_Salinas_v7:3:119455977:119458828:-1 gene:gene-LSAT_3X84040 transcript:rna-gnl|WGS:NBSK|LSAT_3X84040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRIWILFMYTMDVVMGRGHGGDGAEDPPIPGGRGTGLHEQDVEERARRKVRGKAKNIKLEKAILRNQGKTISMQDDSDITFDPVGEPKDMFSREVGKTMWQMVPFDKWTWKRVSPSIRDTTKFDLDQMYQDVQANLLTEGFQATLLKGYRERKANAKEYFMLVGGYDDIPRALANPLEGMLVDNWVKAVEYFQTDEHKIAS >cds-PLY73258.1 pep primary_assembly:Lsat_Salinas_v7:8:212421319:212425948:-1 gene:gene-LSAT_8X132761 transcript:rna-gnl|WGS:NBSK|LSAT_8X132761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALISYTNSYIGKICVAMTWWFAAVVFQSLEISGGPIMNSVNSHWRPATATWYGSPEGDGSDGGACGYGSLVDVKPFRARVGAVSPILFKAGEGCGACYKVKCLDKSICSRRAVTVIITDECPGGYCSGGNTHFDLSGAAFGRMAVSGDHNQLRNRGVLNIVYRRTPCKYPGRNIAFHVNEGSTNYWLSLLVEFEGGDGDVGSMHIREARSTEWLEMSHVWGANWVIVRGPLRGPFSVKLTTLSTKRTLSARDVIPGNWAVKATYTSRLNFSP >cds-PLY78646.1 pep primary_assembly:Lsat_Salinas_v7:9:48866759:48873661:-1 gene:gene-LSAT_9X41341 transcript:rna-gnl|WGS:NBSK|LSAT_9X41341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANNLSVAPVATQFNGGVSNRFLDKLLSFMVNSPLYRNYAAKLSASPAIATLETMLGTDSNEQEANPNQKKKVLACPICYDTLIWNGDPVFSVDSTPRSILKCSICKKSYSGNETHLDLTVASGTKKYGEYKPASSELFRLPIVSFLYERGWRQGFSIWGGYPGPQKEYEMMKDYLDPVIGGNIIDASCGSGMFSRLFQKSGLFSLVVALDYSESMLKQCYDFIKQEENISNENLILVRADIARLPFASSSIDAVHAGAALHCWPSLSAGVAEINRILRPGGVFVATTYIVDGPYSFIPFLSVIRETTQSRSTEMATMVASNLSLAPVANRFSSGMSTRFFDKPSLFMVNSPLYRNFAAKIRASPAIATVETVLGTDLNEQEANPNQKKQVLACPICYDTLIWNGDPVFSVDSTPRSTLKCSTCKKSYSGNETHLDLTIASGTKKYGEYKPASSELFRLPIVSFLYERAWRQAFSISGGFPGPQQEFEMMKDYLNPVIGGSIIDASCGSGMFSRLFQKSGLFSLVVALDYSESMLKQCYDFIKQEENISNENLILVRADIARLPFASSSIDAVHAGAALHCWPSPSSGVAEISRILRPGGMFVATTYILDVPYPLMPFVSPIRETIGQVSGSRIFLSESELKDLCKTCGLVDITCVRNRQFVMISARKPA >cds-PLY74325.1 pep primary_assembly:Lsat_Salinas_v7:9:187501791:187504060:1 gene:gene-LSAT_9X114860 transcript:rna-gnl|WGS:NBSK|LSAT_9X114860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRSHVITYFLLVLIPYAASITFNLPDIGPENQNLDLRTEGDRAYISDTGIQVTPDGIGSDRSEKAGRAIYLTPFHLWDNKSNELASFSTNFTFVIDSNRATAYGDGLTFFLAQNNSVICRGGGMGLPINGTTVVVTNPFVAVEFDTFSNVWDPVDSNNASIGDHVGISISSVISVSHQKWFSNITGGRVCQAWVTYDSVSNNLSVSFTGFQNNTIIRQVGLVYTVDLRKVLPEWVIFGFSAATGAQFQKNNVRSWSFSSSDLQVDENNRITPDIGPNPVKNSSRVGLVVGVSVGVTFLAVLGFVLWRWKKKKSREDEHEDEESGFDMEMNNEFEMGTGPKRFSYQELARSTGEFSENEKLGEGGFGGVYRGFLKDSNTYIAVKRVSKSSKQGIKEYASEVKIISRLRHRNLVQLIGWCHEKSELLLVYEFMENGSLDSHLFKAKTLLTWGTRNKIAHGLASALLYLHEEWEQCVLHRDIKSSNLMLDSNFNAKLGDFGLAKLVDHEKGSQTTMLAGTLGYMAPECLVTGKATKESDVFSFGVVALEIACGRKTIEYKAPENQIRLIQWVWELYGIGTLLEAVDPRLGLDFEEEEIKRLMILGLWCVHPDSDFRPSMRQVVQVLNSEASVPILPSKMPVASYFTPPMSSLYGVTSIIQNQSSSGVFNTDSSKQTSSTASSSSPSVSLLHSIH >cds-PLY85437.1 pep primary_assembly:Lsat_Salinas_v7:3:42232281:42233452:-1 gene:gene-LSAT_3X32261 transcript:rna-gnl|WGS:NBSK|LSAT_3X32261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQPNTGLFVGLNKGHVVTKKELAPRPSDRKGKTSKRSHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRSGGGAEKKK >cds-PLY84778.1 pep primary_assembly:Lsat_Salinas_v7:8:24102276:24103551:1 gene:gene-LSAT_8X20841 transcript:rna-gnl|WGS:NBSK|LSAT_8X20841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNDDDARSALTNMYEYSPVDGFVEISQGLGDMIKSLANEPSMGLFYIQQHTHNAVPNLVSINKNIMTKSREMSLHTEDSEDSITMLRSMKESGFPIVDDMVKDITKSLAIMSSKQPKKGLLNSNKSFSGTTTMSGTGSYLSSAFKSAKLKATNLKWASVETSESSMEEELPVSSENGNEDKDELILNLSRSEKFDEFKADKEAKLEEWLGGSDD >cds-PLY63762.1 pep primary_assembly:Lsat_Salinas_v7:6:24044837:24045205:-1 gene:gene-LSAT_6X19241 transcript:rna-gnl|WGS:NBSK|LSAT_6X19241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPRVFDKLEFGKPNEEVVKLRCELMLNCVSECMETQCRVWGKGLAMVSRHNRLAQDVYKEIVGWEDIKDSMVDELVDKDMSGKGYKTWLDFDVEVFEYGVEIESWLIDSLINEVVEDILVL >cds-PLY94166.1 pep primary_assembly:Lsat_Salinas_v7:5:35703419:35704031:-1 gene:gene-LSAT_5X16740 transcript:rna-gnl|WGS:NBSK|LSAT_5X16740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKVKIVLLRGNYDGTTMEDGEFEKNIVVNWGKKKNILVGDVYVHLQHGTGTIGVIRIQHDKNPIRNVEFRLGAMVVDSSCPYEVKQAITQSFKVKDRRNAPKSFRSLSPTDKVWQLKNISKNGVIHKHLERANVYNVNAFLDMYYSNPQALQEICRVKGKNWETTVIMPKHAT >cds-PLY69566.1 pep primary_assembly:Lsat_Salinas_v7:4:84614616:84616251:-1 gene:gene-LSAT_4X55901 transcript:rna-gnl|WGS:NBSK|LSAT_4X55901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIILEKILTSVVVFFLLTAPSTADIISLNLYSDNRHMIFFSDFEFSHAGYVSFDISSLTVNSSTSFDPTHSGFVLQRREVQDQQNTSICPLDFKLNSAVFTFQDLSHAFNKSYPVTHPGMNSLFFVNCNGEGESFVTTEGRAELYNMDDGTTRNYLSAGLTHLPFLYFFSSFIYLRFLGFWIKNKRCFHGIHLLMGGLLLMNYVQLICAAADLYFVKVTGTPHGFDGLFYIFQLIRTVLLFTVITLIGTGWCLWKPYLEECEIVILMFVIIVQVWANVDSILIWKFDGPYNIYRERWTYSSTSLDIICCFVIFVPIVLSVISLKKNREADANAAMNLVRLCLFGIVVFLYVLFTRFLVRGLFPAWMNYGVQEISILVVCVVMFFIFRPLDHEDDDKKAVQISPSSYFSVRVSSSFS >cds-PLY87116.1 pep primary_assembly:Lsat_Salinas_v7:5:263583622:263584826:1 gene:gene-LSAT_5X135261 transcript:rna-gnl|WGS:NBSK|LSAT_5X135261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKIHPSARPTTVNGHAPTSTTANGNGNPSFPANKAQLYNSTRPVYRPLPRRNRRSCCCSCCLWITFVILLLIVIAAIAGGVFYVLYRPHRPSFAVSSLQVSQFNLTSSNQLNTKFNFTVTARNPNKKIAFYYDLVSVSIDSKGVGVGDGSIPAFVMPKKNTTKLRTIVSTTGQSVDDSSDLKSDLKNKKSLPLTIQLDTKVKAKIGSFNTKKVPIRVVCKGIKVTAPTGKLATTATTSDVKCKVDLRIKIWKWRI >cds-PLY81596.1 pep primary_assembly:Lsat_Salinas_v7:4:217581501:217583479:-1 gene:gene-LSAT_4X122561 transcript:rna-gnl|WGS:NBSK|LSAT_4X122561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFYLLVSAIVTTIFVSILVHRLLLPILHRNKENTGKNLKPPQAKGAWPIIGHLHLLGGPELPHKVLGDMADKHGPVFAIKLGVHQALVVSDAAIAKECFTTNDKAFASRPKMEASKIMAYNYAVLGRAPYGDYWRKMRKMLVLEVLSQRRVEMLGHIRASEVRASVKELYDVWVTNKLTESSESQMVKVEMSQWFGNLLLNIIVRIVSGKRFLPNDEEGVRFQAVAGKYIELFGAFLVADFIPYLNWLDVGGYKKLMKNIGKDLDNIFDRWLKEHKQESKYIHQHEANQDFMHVLISILRGASKEEFPGFDHDTIIKATSLQVLAAGVETTSVTLTWALALLLNNPKALETAQEEIDEHVGRDRLVEESDLKNLVYLNAIIKETLRLYPAGPLSVPHESVEDCIVGGYNIPKGTRLVVNLWKLQRDPNIWSDPTEFKPERFLTSHKDIDVKGNHYELLTFGSGRRMCPGVLFALQVLGLTLARLIQQFVLKKPTNEPIDMTESMGATNVKKTPLDVLLGPRLATDMYKVG >cds-PLY79156.1 pep primary_assembly:Lsat_Salinas_v7:4:219175986:219179546:1 gene:gene-LSAT_4X121661 transcript:rna-gnl|WGS:NBSK|LSAT_4X121661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSQTNGLKHYPLTPLRLLRGVACLIVYLSTAFMFLVYFTPPFAAFLRLFSIHYSRKASSFLFGLWLALWPFLFEKINRTKVIFSGERVPEKERVLVIANHRTEVDWMYLWDLALRKGSLGCIRYVLKRSLMKLPIFGWGFHVFEFISVERKWEVDESIMHKMLSTFTDPLDPLWDAVFPEGTDFTEQKSINGQRFAVENGLPILKNVLLPKTRGFHACVEILRGSLDAVYDVTIAYKNRCPTFTDNVFGVEPSEVHLHVRRIPLDQIPSSETECNTWLLNTFQLKDQLLSDFISQGHFPNEGTEGELSTLKCLVNCIIVIAITSVFTYLAFFSSVWVKVYIGMSCAYLTYATAYGFRPTPVFECFRKKKSS >cds-PLY97982.1 pep primary_assembly:Lsat_Salinas_v7:8:286999608:286999873:1 gene:gene-LSAT_8X162221 transcript:rna-gnl|WGS:NBSK|LSAT_8X162221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKAENLFESQGGPIILSQIENEYGAQGKSFGAAGKAYINWAAKMAVELNTRVPTWSH >cds-PLY71344.1 pep primary_assembly:Lsat_Salinas_v7:7:185330554:185335326:1 gene:gene-LSAT_7X110761 transcript:rna-gnl|WGS:NBSK|LSAT_7X110761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQSKSDNHLQYNSIQSESNDSLPRSSSVKPVLNYSIRTGEEFALEFMRDRVNPRMPFVQFPAGDPGHTTNYLELKGILGISHTGSESGSEIPMLNTIERTAKDLENSSSRGAIHDYASSSSASDNSSKIKILCSFGGKILPRPSDGKLRYVGGNTRIIRIKRDIFWQELRQKVMEIYIETYAIKYQLPGEDLDALVSVSSDEDLQNMMEECSVLGIGEGSQKLRLFLFSLSDLDDSNSVHSNSGGDSEFQFVVAVNGMDMGGSRKESSMHGLMRSSANNLNELDSQNVDVPSSIPVFPSTGDKSPVETPASVPLQQNIKSPRDDLEGKLPPKPKTNEEKHHEQVHVSSKAVTPNENDSCATNSVVGPDNSGSDLIDLSYLEPPPPVVPPVAPPRVYHSERIPRGPTEFNRLTKSDDSLGSQFLVSQLQSDVDTSESIEDPASQNEENQVQNQNDGGATASGGHGHGQAQVASGVTTTGAPAASGGSRAEHGDILIDINDRFPRDFLSDVFARAMAEDSPGMGVLPQDGTNLSMNIANHEPKNWSFFQKLAGDEFRNKDDHVSLIDQDHLVFLSGLHNIKEEASVVHKDDHAKIEDEEKSTTSLQPSYNPSQMEANEGIQFEDLTENMRVPDSEYEVETRNDALPSIDLSLGDLDISSLQIIRNEDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFAGRSSEQERLTIEFWREADILSKLHHPNVMAFYGVVQDGPDGTLATVTEFMVDGSLRHVLLRKDRHLDHRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNMKDSSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGGSNKVSEKVDVFSFGIVLWEILTREEPYANMHYGQIIGGIVNNTLRPTIPSDCDPEWRRLMEQCWAPDPVVRPSFTEITNQLRVMSAACQQQSKGHHKAS >cds-PLY83777.1 pep primary_assembly:Lsat_Salinas_v7:4:44500291:44503613:1 gene:gene-LSAT_4X29860 transcript:rna-gnl|WGS:NBSK|LSAT_4X29860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSLSVIVPDADEASSSVTKDSETLSELSHSTRKLGVILNEFKENKIMETSPIRKAVDSLETELQRAKALVASPLYSSSPNKRIEEITENLGRSIGLVLFASHDVSLTGKEKLETLRREMMSVAQFSAASSDSKSDFLDDVETEENEEDDEEEENVVGEIVEEEKVVSCSTVEDIVLYLKCGDDEQLKLALFALDTLIKDHKATNEWIDSEGIVPILFNRLTSAKPLNRLSIIQNLRSLVAQNDGIKSKMTEVEYLSALVKSLTREEEEQKEAVSLLSSLSDVSDVRRRIGRIQGCIVMLVAIFNGEDQTASQDAGRLLAALSSNTQNALHMAEAGYFKPLIKYLTEGSDMSKILMATAISRMELRDQTMASIGEDGAIPPLVKMFKEGKLESKLSSLSALQNLSTLKENTRRLINSGIVPSLLQLMFSVTSVLMTLREPASAILARIAKSDSMLVNHGIALQMFSLLNLSSPIIQHHLLEALNSIASHSTASKVRRKMKENGSIQLLLPFLDQTNPKTRTGAFNLIYTLSKELSDELTEQLGETHLIIIVNILSSSTSESEKATAAGILSNLPVNDKKATEILKKANLLPVLVSICSKQTTLSSHLFENIAGILIRFTITSDVKLQLYSAENKVIPVLIKLLSEGSIVSKSRAAISLAQLSRNSLNLRRAKMSRWLCVPPSVESFCEVHNGYCFVKSSFCLVKAGGVPLLIQVLKGNEREGDENVLDALSNLLQEEIWENGCGFIEKLGGIEAVIKVMELGSLKTKEKAVWILERVFRVEEYRVKYGESAQVVLIDVAQNGDLQLKPIVAKLLAQLELLQVQSSYF >cds-PLY83057.1 pep primary_assembly:Lsat_Salinas_v7:3:81432256:81436179:-1 gene:gene-LSAT_3X63001 transcript:rna-gnl|WGS:NBSK|LSAT_3X63001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARENNWLSFSLSPMEMFSSSTSQPQQYFFNDNFYADGWSNNGKENNSHGEVKDGGDSPLFRSFMESHIHQQQAPKLEDFLGGEININTTTASAASHPLNSFRYSDVSQTETQDSSSLTNIYDSNSSVYFSDQQDLKAITTTAGFPSTFSTNSGSEVDDSATQMTEFAGQSIESGNELAYAQCPMNALSLAITSTGAATRQTPPDQQKAIVAVDSISQNSCKKITDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQGGYDKEDKAARAYDLAALKYWGPTATTNFPVASYATEVEEMKSSTKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGMNAVTNFEMKRYDVEAISNSSLPIGGSAKRLKISLEAEQKPSLIANHHQQPQYSSGGSISFANIPPVSALPYGMQFDPNSNQSYHHHQNHNFFQHLHLNNGGVTPQTSGSMSSVGNPMALLPPTPAEFFIWPHQSY >cds-PLY97335.1 pep primary_assembly:Lsat_Salinas_v7:4:286545568:286549144:1 gene:gene-LSAT_4X145920 transcript:rna-gnl|WGS:NBSK|LSAT_4X145920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVATDRLLKRLERLRTKADHMIKDVSLDYEHTPFIASQSFKILCKKAMSVCIIDPLWRIVHLSAPELIKFLHEEEGYTSLDVYWLKSLPKILYELQTVLDCVSDSRNWGNLDRHVWDEVNKDEEVQGMLKKIKGTLSDYFPVLIDEAPRVICAIEELKTVIDAILILCCEFLDSDMKFVVFDDISRRKLESGFTQRQLELLSVPLKQSVDEEETVVGFEDDTIKLLDMLTGFSKKLEIIPIIGMAGLGKTTLAKTVYEHPFIVYFFDIRVWSCVSQEYVKRDILLGILSCIVQLTTRFYSMSDELLGEQIYRGLKGQKYLVIFDDIWDDSHWKDLKLYFPDDRNGSRILLTTRNSNLGSTTAHSHRLRLRTARESWRILQMMVFKNGKCPWRLYDIGKQISRKCCGLPLAVSITAGLLRGKWTRGSWKQVAESLSNYIVNDPNQYMDTLALSYNHLPPHLRPCFLYLGAFPGDCDIPVHKLIWLWVALGFIHQTGSGGKTLEDVAQGYLMDLLGRSLVIVSKKGADGQIKACHVHDLLRSLCLWKANQEGFSPILYRYGRVTSAHSPVAESESSWSSDHSTQHVNFLLCCPVELGECFHVGGSFDCETYKFLRVLDIESASIFSFPKEILNLVNLRYLAIQAEDGNPPTSISNLVHLQILIISSRKNVFVPRSTWDMECLRHLYIKSGEKENLIEDVSSDRVLENLQTISGVCPSTSCVGILSRTPNLRKLGFHGCLVSPLGVLEFPNICSLTCLETLKLSNTKMYHSTVKSCSLIMFPESLTRLTLSDTALNWNEIRTIGLLPNLDVLKLNVNACIGEIWGTSDMGLGFRKLKLLKLQDLDLVKWEASSGDFPRLQRLVVRGCSRLEEIPACIGEILTLELIEVSWCNESTAQSARRIQKEQERNGNDFLKVFTSVHKDQQGKRKRPSHDD >cds-PLY62179.1 pep primary_assembly:Lsat_Salinas_v7:1:161066766:161070899:-1 gene:gene-LSAT_1X110081 transcript:rna-gnl|WGS:NBSK|LSAT_1X110081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIVGTDEATTCVGIVIRNCTSGMTSIGHLDSPKVVDIGLSQMLSLVSDSDDDAILDGNDDTGYSFPLCMKIVEKLAESKVKFEIRNFQVLKHNTRWDSEGNAYPIFHGLLVEMSNGRVVPGSFDASTRCPDEIIRRIRLGASFGPGPPRLLDTYQTQTDRFLIPPFSWVWEYLIQHPDWKETFPSKLPRVFQRIESGNWSLSSER >cds-PLY71874.1 pep primary_assembly:Lsat_Salinas_v7:7:122298172:122301440:-1 gene:gene-LSAT_7X72960 transcript:rna-gnl|WGS:NBSK|LSAT_7X72960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSTTSSSSDLSSSSDRDRRSRRRKDKNLDKHKKNSLKISKKSRPHTKRRRRRSPSSSSSEDYSSSSSEDGPSNRSSKHKDEHRHKKPKDKDKGKARHHHKREKHKVKENQQDGSSSPVQLSKFLGRDKDDGVRRSAVSGKKILLKLDKTKEDKKAENNRNQLLKFLNASYD >cds-PLY87547.1 pep primary_assembly:Lsat_Salinas_v7:9:119043677:119053259:-1 gene:gene-LSAT_9X80520 transcript:rna-gnl|WGS:NBSK|LSAT_9X80520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFFQTPSALFVFLFILTSTHSRFLPQGRSNSKLINNDGQEIGNKVYLKDIEVIADDSHLKLRVLKIWNFIKNNQVLAIEMIVMDEEGTKYQSRVFNQNFSKFRDLLKEDVIGQIVSFRPLETRNPNPSRHYIKMTISNLQ >cds-PLY63220.1 pep primary_assembly:Lsat_Salinas_v7:6:87449770:87451520:-1 gene:gene-LSAT_6X61060 transcript:rna-gnl|WGS:NBSK|LSAT_6X61060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQRDWNELPIHCLVEIFGRVGVESLVDTIPLVCKYWYDATFYPQCWEQLIFTKSRCLQSSKPPKPILEIDEDSWSDFCLMKPLDYTKYADDSVDKFLQFAIKRSHGLVTTIVFHPESVLKAGQIAWIAQRCPSLKQLVLPSYLSYVINFEVSNSICNCKKLEALQIASLIGLKKTIANISKHCHNFNHLSIYVPWLDADVALAIASQLPHIKTLDLKYSAIERDDLIVILKGCQELEQLDVSKFKGVAGDHEIQELASRIRVFKHEGSRALYSSIIQLS >cds-PLY91771.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:2311710:2313852:1 gene:gene-LSAT_0X26740 transcript:rna-gnl|WGS:NBSK|LSAT_0X26740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAFDELRKLDIFFKEESKGSCSIIELYELNMLNSPSDWHDLVRHDVKHVRFRPQVAHICTNCGVNMGEYFCEICEFYDHDVRMQYLQDLDAFFAAIVVSIATLRLFLSHS >cds-PLY88233.1 pep primary_assembly:Lsat_Salinas_v7:8:149912990:149917220:-1 gene:gene-LSAT_8X101001 transcript:rna-gnl|WGS:NBSK|LSAT_8X101001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDDERGEEKELDLSSPEAFNYTEALQLVLSECKPKAKIVDICEKGDSYIREQTGNMYKNVKKKIERGVAFPTCLSVNNTVCHYSPLASDETVLEEGDILKIDMGCHIDGFIAVVGHTHVLQQGPVTGRAADAIAAANTAAEVALRLVKPGKKNQDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVSNPETRVDDAEFEENEVYAIDIVTSTGEGKPRLLDEKQTTIYKRAVDKSYHLKMKASRFIFSEINQKFPIMPFSARALEEKRARLGLVECVNHELLQPYPVLHEKPGDFVAHIKFTVLLMPNGSDRITSHTLQELQTTTNKTVDDPEIKAWLALPVKTKKKGGGKKKKGKRAEKGEGEAMDVEATNGGGQD >cds-PLY74464.1 pep primary_assembly:Lsat_Salinas_v7:7:35971813:35975725:-1 gene:gene-LSAT_7X26381 transcript:rna-gnl|WGS:NBSK|LSAT_7X26381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease 1 [Source:Projected from Arabidopsis thaliana (AT1G29630) UniProtKB/Swiss-Prot;Acc:Q8L6Z7] MGIQGLLPLLKSVMAPIHIKDLEGCSVAVDTYSWLHKGALSCSMDLCKSQPTSKHVDYCMHRVNLLRHYGVKPILVFDGGHLPMKNEQEIKRARSRKENLARAIEHESCGNTSAAYECYQKAVDISPTIAYELIQVLKQEQISYVVAPYEADAQMTFLALSKHVDAVITEDSDLIPFGCPRIIYKMDKFGQGVEFQYSRLQHTKDLNLSGFTKQMILEMCILSGCDYLQSLPGMGLKKAHALIKKFKTHEKVIKHLKFSAIVVPPLYEESFRRAILTFLHQRVYDPITEDIVHLSDLPDNIDEDLDFLGPYPYLAIFSYEIYSKMLFLFLSLWKCKGIASGDIDPFTKLSIQGECVKVKPGPLLDKTYDLKSFKSGDTVKKLDLPAQKNLLTNYFCFATLEAKRKFMAPRISPKHPNEIPQMDKNEEIVNNMRKVQHSKHPIHPCIASQKESESNSSIDGIEGKTRVQKKPIIVRSSYFQHKNLEENNQENTKMNDSKDDDDDDVAVASKNTCSDGVHVSVFHAPEVKTRVENRKMITSRYFSQKNQENTNEKSTIKRKFSISEDSVQKENLNQKFMRPNPSSPNQGDKEYDDKIEVTRDEEEKFGCNISHLGKYSEIAEKSMDKFISVISSFKCTSSGSRASGLRAPLKDMRNTCSKNSSSYSIDDISKFAYKSSTKTLP >cds-PLY99830.1 pep primary_assembly:Lsat_Salinas_v7:5:115407942:115413142:1 gene:gene-LSAT_5X51160 transcript:rna-gnl|WGS:NBSK|LSAT_5X51160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional 3-dehydroquinate dehydratase/shikimate dehydrogenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06350) UniProtKB/Swiss-Prot;Acc:Q9SQT8] MELVTPAIEKMGGREGIRRNSTLICAPIMADTVDQMLIQMNSAKSYGADLVEIRLDSLKGFNAREAIQTLVKLSPLPTLFTYRPTWEGGQYNGDEESRLDALRLAMELGADHIDVELQAVDDFNNLIQGDKPTKCKLIVSSHNYENTPSVEELGNLVAKIQSTGADIVKFATTAVDITDVARVFQITAHSQVPIIAMVMGEKGLMSRVLCPKFGGYLTFGTLEHGKVSAPGQPTIRDLLDLFNFRQLGPDTKVFGIIGKPVGHSKSPLLYNQAFRSVGFNGVYVHMLVDNVKNFLDTYSSTDFAGFSCTIPHKESIVQCCDEVDPVAKSIGAVNCVVRRQSDGKLYGCNTDYVGAISAIEDGLRGPGVQNGVRGSSSPLAGRLFVVIGAGGAGKALAYGAKEKGARIVIANRTYDRARELAEIIGGEALSLADLSSYAPEEGMILANTTSIGMQPNIHETPISKEALKSYALVFDAVYTPKITRLLREAGECGAKIVTGVEMFIGQAYEQYERFTGLPVRLWQSIDCCGPFTLKLEALKQYVVYKVVHTGNRKKIIPILISASFVIVSSSFLTPFHKALRHLCKEPFKKIIRQHPLHFNTLYPYHHFNNSYPYIN >cds-PLY78880.1 pep primary_assembly:Lsat_Salinas_v7:5:305620040:305623283:-1 gene:gene-LSAT_5X164600 transcript:rna-gnl|WGS:NBSK|LSAT_5X164600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair (Rad51) family protein [Source:Projected from Arabidopsis thaliana (AT2G28560) TAIR;Acc:AT2G28560] MANKLISEMGLPKSIGHIFAARNIITAKDALSLTEFELMELLDVGLTQVTLAVALISEIASPPYQTVQSLLEQRMQNEYLTGHLPTHLKGLDLALFGGIPFGALTELVGPAGIGKTQFCLKMSVLATLPSCYGGLDGHVIYIDVESKFSSRRLIEIGLNSFPEIFHLEGMAKEMAGRITVLRPGSLSEFTESLQKIKVSLLQNQVKLLVVDSMAALVSGEYEQGPPRQHPLGWHISFIKSLAEFSRIPVVMTNQVRSRSATEISNYSFQGEKRDDCGNFDSHLVAALGIHWAHAVTIRLVLESRSGKRFIKVAKSPMSPPLSFPFKITSSGILLLNDDGIEMSGPQINAIDHQGHSDIILS >cds-PLY84974.1 pep primary_assembly:Lsat_Salinas_v7:1:153158197:153158454:-1 gene:gene-LSAT_1X106681 transcript:rna-gnl|WGS:NBSK|LSAT_1X106681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEKGVVRAIDKTIESVEFANRIQGVRKACEALGFEKGKQLGGCSTISGEYEAPDPGRVVSKDEEVDIALTSLGTMVFAGLFRLG >cds-PLY90582.1 pep primary_assembly:Lsat_Salinas_v7:6:54431331:54432954:1 gene:gene-LSAT_6X40941 transcript:rna-gnl|WGS:NBSK|LSAT_6X40941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 94C1 [Source:Projected from Arabidopsis thaliana (AT2G27690) UniProtKB/Swiss-Prot;Acc:Q9ZUX1] MDLQFSFCFVFFTFTAVFFLFSFSLYILRLNRCCNCDVCTAFITRSWAAEFVNLSDWYTHLLRKSPTGTIHLHVLNNIITSNPDNVEYMLKTNFDNYPKGKAFSTILGDLLGRGIFNVDGKCWRFQRKMASLELGSVSIRSHALNVVVDEIESRLIPLFSSVAENKDGAVLDLQDVFRRFSFDTICKFSFGLDPGSLKPSLPVSELESAFDLSSKLCAERAMAPSPLIWKIKRLFNIGSEKNLKESIKIVKRMADQVIKTRRETGFSSNNDLLSRFMGSISDDDYLRDIVISFLLAGRDTVASALTSFFLLMSQNPKVVKKIREESDQVMGETRDTLASFKDLQNLHYLQAALHESMRLYPPVQFDSKFTKQEDVLPDGTFVRRGSRVTYHPYAMGRMERIWGPDALEFKPERWIRNGEFKAESAYKYPVYQGGVRVCLGREMSLVEMKSVALCLIRRFDVRVVNPSQALRFAPGLTATVSGGLPAVVRPIDLQSS >cds-PLY77216.1 pep primary_assembly:Lsat_Salinas_v7:3:245709890:245715903:1 gene:gene-LSAT_3X136140 transcript:rna-gnl|WGS:NBSK|LSAT_3X136140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVMRRLKSIASGRSSVSDPGGDSSIKRVKVEQEVESNVTNEPQSVEKSTEGVEQPMDATSTDMAASTSNTSSVPKPEKSDYDELPKEMHEMKIKEDKSEDKEIEATVVNGNGTEKGQVIVTTVGGRNGQPKQTLSYMAERVVGTGSFGVVFEAKCLETGESVAIKKVLQDKRYKNRELQIMRLLDHPNVVPLKNCFYSTTEKNEVYLNVVLEYVPETVYRVSRHYSRMTHQIPLLYVQLYTYQICRALNYIHCVIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKMLVPGEPNISYICSRYYRAPELIFGATEYTNAIDMWSVGCVLAELLLGHPLFPGESGVDQLVEIIKILGTPTREEIKCMNPHYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPKLRCSALEACAHPFFDDLREQSTTLPNGKPLPPLFNFTPQELAHASPELLQRLVPKPKHVEK >cds-PLY75740.1 pep primary_assembly:Lsat_Salinas_v7:4:333622563:333627259:-1 gene:gene-LSAT_4X164920 transcript:rna-gnl|WGS:NBSK|LSAT_4X164920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTQRFDYNLLIIAGTLLYLLQSSSSLKIGETCSTSNNNCDSGLRCGTCPASGNTRPRCVRIQPLGPTSKVNGLPFNRYTWLTTHNSFAVSGTKSPSGGMLLGPANQEDSVTSQLQNGVRGLMLDMYDFNNDIWLCHSFGGQCYNITAYTPAINVLREIEKFLKANPSEIITIFIEDYVSSPNGLSKVFAASGLNKYQFPVNRMPKNGEDWPTITDMVKQNQRLIVFTSKSAKEASEGIAYEWRYIVENQYGQEGQIAGSCPSRSESSPMNTTSRSLVLQNYFSTNPNVTGACIDNSASLIAMMNTCHLAAGNRWPNYIAVDFYQRSDGGGASEAIDVANGHSTCGCLNIAYCGVNGTCNTPVLSPPPPAQLSPGGSSRSSGTSFSIHNAYQLRWLVGIVLSTWIFISL >cds-PLY94754.1 pep primary_assembly:Lsat_Salinas_v7:2:180907765:180908966:-1 gene:gene-LSAT_2X104100 transcript:rna-gnl|WGS:NBSK|LSAT_2X104100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANSEEYIDGQFTGNLGEILIRCNNVLYLRGVPEDEEIEEADRD >cds-PLY85171.1 pep primary_assembly:Lsat_Salinas_v7:9:147831725:147833185:-1 gene:gene-LSAT_0X38741 transcript:rna-gnl|WGS:NBSK|LSAT_0X38741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHVEKEVTKPIEETMEKEFPKEVVPLKSGILKRTKKPAHRPRHSPERPIAEEVSKHVTSPKGIKKIRKPKLNRKGVIIREVPAPVSPASKKRQAREMVKKITKKRQNVDSLDKVVVETATDSESERSDICYDDTIFGSPQRDSPVKSIFEETKNPGGNVNVSNTDTTTNLSDPLFVSIHEKATVIPLEVLLAESTMEEVRTSGILVSVSDTNTNVTMGEGMMNIEALCTSFLESSTVKKLEDSINLKVVELNSEMTKEVEKIEKNCSILHGKVHVIVDFITNLVEYNNLYSTKLDAKKDQD >cds-PLY93774.1 pep primary_assembly:Lsat_Salinas_v7:6:144168518:144168883:-1 gene:gene-LSAT_6X87100 transcript:rna-gnl|WGS:NBSK|LSAT_6X87100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRQAGAYSGILSGGGISGRTGPHLLPLARIKKIMKKSSVDVKMISGEAPLVFSKACELFIEELTKRSWNMTIQGKRRTLHKEDVASAVMTTDVFDFLIDLVHPNASDDVDLDEEHDLEKKQ >cds-PLY61718.1 pep primary_assembly:Lsat_Salinas_v7:5:215299926:215300691:-1 gene:gene-LSAT_5X98460 transcript:rna-gnl|WGS:NBSK|LSAT_5X98460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLTSKPLTIVTNDGRLMADVEAGGFWGFFGGFAPLPKRTATIDAQTTLGKAIPVAADSLTKKLIDTHHCYLLDCGTEIYVWIGRSTNLEERKATNGAAEEHLRVHERPKSNIIRMIENFETVPFRSKFDSWPPLSDVVASEDGRGKVAA >cds-PLY81240.1 pep primary_assembly:Lsat_Salinas_v7:4:306021252:306024406:-1 gene:gene-LSAT_4X155840 transcript:rna-gnl|WGS:NBSK|LSAT_4X155840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPYLSSATFLLLFLLYSSHLTVSLTEPTFPSFPGCDGTFSCGNIHNLSYPFTGGDRPDHCGPPEFRLICTTNEYPELISEPVTYRVLEANLTGKTLVLARSDLWKNPCPTTFLNSTLDSNYFDADGVENVDLTIFFGCSSSLMPIQPQHRFFCDVGGVDLTDSYFLIGNVPIEPILKMIHCFKGVRTPLLRTVADDLNQSMLTLAEALTMGFQVTYSNPFDEKCLECGRLQGLCGFNVATSQPICICNSSICNPPGSSRKGSVIIILSTLGAIVVVFGVGLGIFVCKQRRKRRNRKEASSLKTEGKGLTSYTQSNFSSSIPSYPSPTTKDFTNCSYFGAQVFTYEELELATDNFNDSRELGDGGYGTVYHGKLLDGREVAVKRLYENNFKRVHQFMNEIEILTKLQHKNLVKLYGCTSKRSRELLLVYEYVPNGTVGDHLHGKLSNSNSNSNMFPWSIRLNIAIETAEALAYLHESDIIHRDVKTNNILLDKSFKVKVADFGLSRLFPNNATHVSTAPQGTPGYVDPEYYQCYQLTDKSDVFSFGVVLIELISSLQAVDTNRDRLDINLAAMGVSKIQNHMLGELVDERIGFESDGEVRRMVTLVAELAFRCLQPEADMRPTMKEVVEILRGIQNDEMNAQKPEVVDFVVECGLFKDHNTQPPSPEYGVTNKLVNGSLPNSSDG >cds-PLY65088.1 pep primary_assembly:Lsat_Salinas_v7:4:203477101:203479416:-1 gene:gene-LSAT_4X115660 transcript:rna-gnl|WGS:NBSK|LSAT_4X115660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLFEYLHILEQLKSYSNVPKTLIYSLQSYAVFIYRSEQQNKFSEPMPWIGIYITLASLFCILSMVADLLYGFRNRKFWFPCKYFTLNAASLTVIAVAIKLPMDLTNLMTDRASKLGSLSFMCTMMANLLPSLATMSSKELVSNTIALAVLVITLVVNVCIQINTGVISYYEDDGLFFYNTDDAGISQYSMYTAGNGFIATLYVGMLLVLLIIYACSSLAILKSKQILESKYQVAHQKALKINDDFQQPGRLTIEKLKQHVSNYWIMAGTGNPQFMIVSSATTSASGAICALSAAFHTVIMFFSIPAPRSTSKSDYKWSLSVILITQFIGIILGTIAPLSRCFAALSFKVSLKWIVNHIKVSNVESYWTQKLYDWKQSSIPFPYSSRKCKIVIQSLKNLFLSICIGFQKTVVVICKMIRVIPIFFVICVVYSLRCWKLLKDIFSASSVNSVQNTEQPEEDNDLSGYVLQLQDDMEFAERTLKQISKSVNRLIQNAEKQQPKNLMKLLTESRGFEGVEKFDSHHVPSLLSEEYLHCWSLPLVTLTTIAMSLPNIQKNIVDCLLSGVSEGLVYVTLVEETLNITDDHASIQKAARTLWVEVEVYHKWLGNKLLKPTPKANTAEKILQWLRDTGKNIVCDMERTDHTGVPNGNSKCKSISANSMYRISETILCSYHENISQVSQEELFAELLSMIADILAACLTNLPQVIAMKCHTSAIEKREASVHAAAQLLGETMQIINTLQDRELPSLNPNGMAFIDKWRSYLLNDPCP >cds-PLY89954.1 pep primary_assembly:Lsat_Salinas_v7:2:191879020:191882027:1 gene:gene-LSAT_2X113421 transcript:rna-gnl|WGS:NBSK|LSAT_2X113421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTFQNILFLSSVLFLLLTISAAVDTITTDKEIRDNDTLVSDGEMYEFGFFTPGNSRYRYLGIWYKKILPQTVVWVANRETPLTDTSGVFKVNSMGTLLLLNGNNTLIWSSNSSLSVTNVNIVAKLLDSGNLVVHDNSSSTDEDPIWQSFDYPTDTLLSGMKFGKDFITGINRCLTSWKSLDDPSPGLYVSYWDTNGYPQAFQRRGSVITLRFGPWNGVRVNGFPSKPNPIYTYDFVMNDKEVYSIFYLINTSFLSRVVIGPEGHEMRLNWNDPTQGWVPYLAATVDICAPYGLCGSYGSCNINSSPVCSCMEGFEPKHPKEWNAGDWSGGCQRKKPLKCGNEDGFQAISGLKFPDTRKSWYNLSMNLGECKRECKKNCSCTAYANVDIRRGGSGCLLWFNDLMDIREADEDQDLYIRMAASELTGVKSGFNQKKVIKVALTTSFGFVLICLAVVGYVWKKNWSQAQSQGTLVKTLCEDYTGGGQNNDVELPFFSFSEVSKLTNNFSIDNKLGQGGFGPVYKGVREDGREIAVKRLSETSTQGLVEFTNEVRCIQRLQHRNLVKLLGFCAQKNEFMLIYEYMPNRSLDQILFDETRSSMLDWNHRFRIISGIARGLLYLHQDSRLRIIHRDLKAGNILLDNDMNPKISDFGLARRFKGYETGSNTKNVVGTYGYIPPEYAVHGIFSTKSDVFSFGVLVLEIVSGMKNREFSSQEHGDNLLGHAWRLYKDDKSLDLVSGSLRESCIILEVLRSIHIGLLCVQNQPEDRPTMSSVVLMLGNDGVLPQPKPPAFFTEPDLHLLAPTTQQYSAVNITTSLTGR >cds-PLY99711.1 pep primary_assembly:Lsat_Salinas_v7:9:57298882:57301576:-1 gene:gene-LSAT_9X48821 transcript:rna-gnl|WGS:NBSK|LSAT_9X48821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTFNAPGRLDLSTEMLLLPACFRPSLRITLFKPFLWTNIAAKNKSSSSLSFPIVRASSVSTFSTASEATVMAQDGPINQHPLQVAKRLEKFKTTIFTQMSSLAIKHNAINLGQGFPNFDGPDFVKDAAIQAIKDGKNQYARGYGIPDLNSAIASRFQKDTGITIDPETEITVTSGCTEAIAATILGLINPGDEVILFAPFYDSYEATLSMAGAKITCITLQPPDFALPLEKLKSKISKNTRAILLNTPHNPTGKMFTKEELEQISLLCIENDVLVFSDEVYDKLAFEMDHISIASLPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLLWGVKQAHSYLTFATSTPMQYAAAVALNAPDSYYEELRKDYKGKKEILVDGLKAVGFKVFPSSGTYFVVVDHTPFGLPDDVAFCEYLIKEVGVVAIPTSVFYLDKEEGKNLVRFTFCKDEGTLRSAVERMKERLVKK >cds-PLY79956.1 pep primary_assembly:Lsat_Salinas_v7:4:249619577:249620455:1 gene:gene-LSAT_4X132681 transcript:rna-gnl|WGS:NBSK|LSAT_4X132681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNIEPKHHIDFCRAKQTIPYNTLTLKIHSIYPLLSRTIKPKTIKTPLIFSQNKGKKNKIIIKPNTDSCSKTTKHSLGSTNHYQTSKIKVNKKRHALICYRSKQPNKPEFVCFPPFRVCCRVRKGRNDDEAAKAAPVAPLSPSPSSCFPIDTTEALTASDSPFFFNKSHTCERFLPSWTGQRRIEPFPLVFLLYDSRRPQSFKGIILLLPLFAMPVIRLRSRTTKAPLAALTSVSSAPSNSEDDRERSRHPVSGPGTCKFPSRHLRSLPLERSITRHRSPFVRLPDQTKETG >cds-PLY83834.1 pep primary_assembly:Lsat_Salinas_v7:3:52268670:52271091:1 gene:gene-LSAT_3X39741 transcript:rna-gnl|WGS:NBSK|LSAT_3X39741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQEDEGGGDCSLLPPMKKRREEEMDPISDLPDPIVHHIMSFLPTKDLKKISILSKRFFFLWTSYPVIDFDESTFNFNRPSQGTSASRTDEFLNHIHNSIRLRRSRTDYAAISEFRVNADLKGISVDHRLDSAISFALENGVKLIDLNLGFTTYQFPVSFASRSINVLRLTGLKLDVCSLILSCPSLKTLSLTSCEILRDIEFYSQTLREIELHCCVVEFIKMKAPKLHSFSFDSGTKDPKPCKIDVLQCQNIVYFSLNNVVNGLDWVEEHTSTLGKLKTFILNGCQDIEHIHVWNEKVERVEIGNCPLLTSISVMAHSLESFEYKGSTDDDDDHRISNISFIASRSIKDLYIENAVITDEWLEALVSTLCCLESLRLKGCNSLKNIVVFHEKLRILELVNCLDLKEAEIDTPQLVSFAYFGNMIEFEKMVTRSICTATLSIKPWISYNNEAFYGWRKLLSFFGHCKALKLICNCDKELMMPEDLREKLLPPLYDLQCLEVEIKSLERIETDLVDSLLWFSPLPNTISLFSASSSRSQLQMIMKFAYDETIKEEEEKEVEEEDKKKICCKSKPVKCWRHNLRRLDIQTTDVSPKYGSLKLEEYFLTNAMMLESLSFKIGFN >cds-PLY88978.1 pep primary_assembly:Lsat_Salinas_v7:8:130492725:130493843:1 gene:gene-LSAT_8X90380 transcript:rna-gnl|WGS:NBSK|LSAT_8X90380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEETVLVGDDLMLGPPSPIIPPEIASHVLEGVNLCDGILRNLFLCLQVNDIEPFCQEEIALYRECAEKRDKELRKRLQGSEYRLGLSMPLDQAKERASQLESETTTLERRLILASGMEGAEGFRQRWSLHGRLTDTKKRTEALKEGLENRKKDDEPVAVSVKGSTGKRWLFW >cds-PLY84585.1 pep primary_assembly:Lsat_Salinas_v7:1:29499174:29501783:-1 gene:gene-LSAT_1X24901 transcript:rna-gnl|WGS:NBSK|LSAT_1X24901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRAQNNESIRNSGAGGVCLMSTKWRDEQHSSFINFISCFLTSNSFRLNFVPIAPDLIFNCGGLSLAFIFVINYDCNNPGPMFSRAQKLKSQFAHLYVVVILPSKEQNDSFVHSYFSYCKDIGRPTFVPALHLEMGFEKIVRIAHARGVCKRHDVVSKLKAERERSVQGMNAFVRVISSIPRVEPHDANALQSIGSIEAIAKASKENILENTDISSEKAEMISRFFRDCKFNMSPRIV >cds-PLY72839.1 pep primary_assembly:Lsat_Salinas_v7:6:21780278:21781897:-1 gene:gene-LSAT_6X16040 transcript:rna-gnl|WGS:NBSK|LSAT_6X16040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVAGSSTFMASLSCYKPNQAITRASNFNSVSLATNGKSFTSLKLHSASSRFRISCAAKPETVDKVCSIVRKQLALPEDSAVTGESKFSSLGADSLDTVEIVMGLEEEFGISVEEESAQTIATVQDAADLIEKLIEKK >cds-PLY70792.1 pep primary_assembly:Lsat_Salinas_v7:3:134115734:134116057:1 gene:gene-LSAT_3X89661 transcript:rna-gnl|WGS:NBSK|LSAT_3X89661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKCKYFKWIDDEWTPHYKNAFNNLKYDLKLMKDTSYAARLERRVPLLENLNVEAIATKQIVDGELAMVVEEKIQLRGELKFRIAMMFLVYLVVVLMMQKAKVVG >cds-PLY63529.1 pep primary_assembly:Lsat_Salinas_v7:9:25219474:25226430:-1 gene:gene-LSAT_9X22560 transcript:rna-gnl|WGS:NBSK|LSAT_9X22560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKPFFLFFLLIICLLSSTPESRRPPPFLGKSPRLLKTQNILVQPKYTYETRYFDQTLDHFSFNDLPKFQQHYLINSNHWVGAGPNRLGPIFIYCGNEGDIEWFAADFVWELTPRFGAMVIFPEKEAYKNASTLAYLTAEQALADYALLITNLKRNLSAEASPVILFGGSYGGKIRPFKQGRYQEDNHKFGGFQQGVLKMAMEPSILGSAFTEGGPDRKIKLDRKIISFNEEQQHQSQHNLNEFLFCFNFSMAAHSWVEERDFSNDDEKDAEHFAAANGEEDIYGQ >cds-PLY81711.1 pep primary_assembly:Lsat_Salinas_v7:3:32390122:32390655:-1 gene:gene-LSAT_3X24201 transcript:rna-gnl|WGS:NBSK|LSAT_3X24201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDTHSTHGGGTSSVYRGVRKRKWGKWVSEIRDPLTKSRIWLGSFDTPEMAAVAYDAASFYFRKDNAILNFPQMATALPIPASPSADDIRVAAHQAALLVKPSTAELDDTGSGSAQYVPTNIGLSASEIQAINDSPLDTPENWMEFNYNPGLYFSNDANFECTSDWNEVPDDSLWNS >cds-PLY69054.1 pep primary_assembly:Lsat_Salinas_v7:3:206562107:206565837:1 gene:gene-LSAT_3X121021 transcript:rna-gnl|WGS:NBSK|LSAT_3X121021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSHQNYDRKSELTAFDETKSGVKGLVDAEITTVPRIFIVPSSEKPNSGEPPSPELNLPIIDLYGINEDPIRRKEVIEQVKNALGSWGFFQMVNHGIPDRMLEEIKKGVLGFFEQDNEVKKEWYSRGDRSGKLKLVYNSNFDLYSAPVANWRDTFYCPMAPDPPQPHELPSACRDILMEYSKEVMKLGINLFKLISEALQLNPDHLIKLGCAEGLAVLGHYYPPCPQPELAIGTNTHTDNTFITILLQDEIGGLQLLHQNHWINIPPNPKALVANVGDLLQLISNDKVFSAQHRVLANKIGPRVSVASFFTTGDLQTSMVCEPIKELISEENPAKYRSITVKEYHEHFNTKGLNGISALLRFKI >cds-PLY86554.1 pep primary_assembly:Lsat_Salinas_v7:8:277122882:277123220:1 gene:gene-LSAT_8X158561 transcript:rna-gnl|WGS:NBSK|LSAT_8X158561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKKLKKKQRELEDLLDKVIVKSTHGSNSEHSDIHIDDNGFGSPQRDSLVLSIFEETRSPSANLHVSNTDTNTNLSDPPPTSIMEKEIVILPRCRKPRPTWRRFSPQPSLCA >cds-PLY64804.1 pep primary_assembly:Lsat_Salinas_v7:2:102514665:102514856:1 gene:gene-LSAT_2X44861 transcript:rna-gnl|WGS:NBSK|LSAT_2X44861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPSPPSSTVNTRIVVLPSPPYVSTIRHISPRTIKTLNLNFFTVATTYVPPNISHIKLSSI >cds-PLY62704.1 pep primary_assembly:Lsat_Salinas_v7:6:55741092:55742943:-1 gene:gene-LSAT_6X41460 transcript:rna-gnl|WGS:NBSK|LSAT_6X41460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVVRRPNLDLNNSSPISSIYTKTPQIPTSSTFPYKYRLSSSNFNGYHSKCKVPTTPQALPKANSNESVESNKKLIDSIAKGLIGLAAAVSVCLDSPTLAESLTIAFLGSRTHEKLLNKYPKDLIVHCTRRNFALAALDGIDVMGSRLVDEVISVIERNPKVEKISFIGHSLGGLISRYAIAKLYVRMFCGVRGLEKVGYHSSVVVRRTGRHLYLKDKANRQRQTPLLVQMANDSEHLKFISALQSFKRLVVYANVHSDHLVGWSTSSIRHQIQLPKIKNLVRSGRYPHILKEDAENITK >cds-PLY92759.1 pep primary_assembly:Lsat_Salinas_v7:8:66816840:66820863:-1 gene:gene-LSAT_8X47281 transcript:rna-gnl|WGS:NBSK|LSAT_8X47281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYPLSSSPSDVTFSSEVWKYHVFLSFRGEDTRKTFVDHLYTALLQQGIFTYKDDETLPRGESIGPSLVTAIEESQIAIIIFSKNYADSSWCLDELERIMKCKDTRGQIVMPIFYDVDPSEVRKQKRKYGEAFVKHELENKTKVESWKKALVDASNISGWEPQHIANGHESKFIKKIVDIISHRLRPITSSVDDNLVGVEARMQDLISKLQIGFGGKRMIGIWGVGGGGKTTIASCIYDEISSKFDGCCFLKNIREESSNKNGLEKLQAEILCGILKQKQLHVGRVEEGRRMITDRLKYKKVLIVLDDVDNLEHLEELVGSRDWFGEGSRIIITTRDEHILTGHKVDVIHNISLLNNEESMKLFWKHAPRGYKRIEDYERLSKDVVSYAGGLPLALRVLSRFLCDKEMNEWRSALARLKEIPDANILEKLKISFDGLKTVEKQLFLDIACFFRRRCKNERIMAMLDACGLHPVIGIKVLVQKALITITEYGKFDMHDLVQEMAHYIVRGEHPNNPEKHSRLWKKEDVLKICAMDAMTNLDKIEAMYVLCSRSKEPQHVLQVAANMKKLRCIDLGFFLDKAELVIMPENFPPRELCCLTLEDINAKQLWEGYKYLPNLRMIKLDGLRSLIKTPDFDGIPNLERFIVEGSSLLEEIHPSFGRLEKLVCVHIQNCENLKMVPPITQSKKLETLVFSGCRSFNNFLPDNMNHTGVWFFSGCLRKLVLSNCSLGDGDISSAASWELPNLLELDLQENGFVRLDFSLLLLPQLKCLDIRYCKDLVELSELPLSIAVVLADGCFSLESFGDISNCKWLWKVTLTGNHKLGPLGNAKHYFISINLSGIDIWSGPSVVWINWVKTCNMTLPHDWYNHFSGILMFVRSEDLHMGINITIKQGLDEDFQSQIWQESDETLDPEYQETCVGYVSFSSLRHTRCFNSTYNIISFSFGTEDLYGNGNRFRAVLIPKDYDPMQTTKVTTDCLDFWDEEDDSKKTFRIRHDSNSSIEILWRTWV >cds-PLY96585.1 pep primary_assembly:Lsat_Salinas_v7:4:6393430:6396574:-1 gene:gene-LSAT_4X4140 transcript:rna-gnl|WGS:NBSK|LSAT_4X4140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSRPDTFYNSDSVRSVSSEVSSDLVVQVSGTRYLLHKFPLLSKCLRLQRLCSESPESSSQHQIIQLSEFPGGTESFELCAKFCYGITITLSAYNIVAARCASEYLQMTEDVEKGNLVYKLDVFLNSCILNGWKDSIITLQSTKPFHLWSEDLGITSRCIEAIASKVLSNPSKVSLSHSYSRRGRDRDDISCNGGGESHRNNKTGGKGWWAEDLSELGIDLYWRTMIALKSGGKVPANLVGDALRVYASKWLPNVSRNPEKDVDSNPSNSKSRLLLESIISLLPMERNSVSCSFLLKLLKAANILRASSSSRTELSRRIGVQLEEATVPDLLIPSSSNDTIYDVDVILNILDQFMLQSQSPPTSPVHLKGRFERHRRSRSANNGDFELQESRRSSSASHSSKIKVAKLVDLYLQEIARDVNLPLSKFTALAEAIPDFARLDHDDLYKAIDIYLKSHPNLNKTERKRICRILDCKKLSMEVCMHAAQNELLPLRVVVQVLFFEQARAAMAGGQLTDLPSNIKALLAAQDDATSRPPGSISTNRSMVQPEDQWSVSGLKSPKSSLSTLRMKLAEDDDLDEHFQANSSKVKQLCSLPNRPKRMFGKLWSSNRSTSEKR >cds-PLY90922.1 pep primary_assembly:Lsat_Salinas_v7:6:122889189:122890589:1 gene:gene-LSAT_6X75581 transcript:rna-gnl|WGS:NBSK|LSAT_6X75581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLMSVSLKIDVIYSGSYSRSYLLQELLDRMSHPTAHVKLMEERNMKPLDSNLAALSARCSKDLKLNLAKSFLRWANVQLLIHIISCLEH >cds-PLY79139.1 pep primary_assembly:Lsat_Salinas_v7:9:90679697:90681563:-1 gene:gene-LSAT_9X70040 transcript:rna-gnl|WGS:NBSK|LSAT_9X70040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNKLVEDNHLLHSKLVHAIKRHPLVCDFYKLQATIRIFLNEESTKYRGGSSTHADSVGPLSQWWSDNAKQINMSCQMAKNSVKSFHNSLFPNWSIGMTQEFVDIISNSPLKDIMKTPIDQCPSPLPLSIVPIHSDYGAVRARELRPKNKPPKLRSPFIVRAVDITKRISRAQKDLSEWVFSTQGHPSDELFRTCVGVAAERFHMESFFPKCELFGHHSYMIQYLRSVHHPNAEAFSHVPRQIPQLTWSTVNNTTDCGIFTMRHMETFMGGNIRDFKTGFKSDSLAQDNQLSRLQVAEFQKLTASNQNQLLKDAATRIHARLTEIG >cds-PLY71148.1 pep primary_assembly:Lsat_Salinas_v7:9:79718310:79719704:-1 gene:gene-LSAT_9X64401 transcript:rna-gnl|WGS:NBSK|LSAT_9X64401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKATLEYTAEEYSMIMATNLESCYHISQLSHPLLKASGFGSIVFISSIAGSVHFSYTSIYGPTKAAMNQLAKNLACEWAKDNIRSNSVAPGCTRTPLVEPLLSNEEFLGAMVSETPLKRIAEAIDVSSMVAFLCLPAASYITGQTIVVDGGFSVNGFP >cds-PLY81221.1 pep primary_assembly:Lsat_Salinas_v7:4:305006164:305008075:-1 gene:gene-LSAT_4X154021 transcript:rna-gnl|WGS:NBSK|LSAT_4X154021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSIDLQPPPSFFLHSSRNSSKWSIGAPIHQPHFQLKVDRLKIWNHSREALLKFDKKFDFKVSQQLQIRLKYVLVRNIPSDVHESVSEYVRPFPALLSCS >cds-PLY95090.1 pep primary_assembly:Lsat_Salinas_v7:1:91962045:91964792:1 gene:gene-LSAT_1X76521 transcript:rna-gnl|WGS:NBSK|LSAT_1X76521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:Projected from Arabidopsis thaliana (AT2G43800) UniProtKB/TrEMBL;Acc:A0A178VS83] MAPQIIFLLLLLLLLLSSHSSSSRRILHQPFFPVIDSPPPPPHVTTQTPSPSPSPSLQPQPQPQPQPKHPFSSLTPPETDNNRRFFPSYTSPPESSTTTTTVPSTSLPTFPANISSIILPSSSSSKSHVSTKLLLISLAFSLLAIAFIAAVVVSLVYHRNHSHKPPPSDSLRLFPANLPTSDKPPPPPTLPPNFSTPSSEFLYLGTLVSPLHDQKLLKTSGHMTNASASAAATSIDYNMLGSPELHPLPPLPRQDFHHQHNLDEGSFTDSEVEDLGDFLSPKGSPVAFQRHKTVLPPPPPPPPPSGFCGTQRKEEILKPKLKPLQWDNVRASSEKATTWDHFKLSSFQLNEETIHTLFMANSSKPASNPGIDQKMVADVTQKNIVLDPHKSRNIVILLRALDLTIDEVCESILQGNVDTLDSELLGSLLKMAPTMEEETRLKEFDDLDPAEKFLKAVIQIPFAFKRVDAMLYILNFDNEVEYLKGSFKTIEMASRELRNSRMFIKLLDAVLMAGTQMNDGTTHALKLDALLKLVHVKGNDGKTTLLHFVVQEIIREEGFHLSGGENNKKSELCDEVEFRKRGLEVVSSLGGELSSVKKAAAMDSTLLSKEVERLAMGLTKVGEVVGLNEQIGSNERFSDSMNVFLKKAKGDLVKIVDQERLSISMVKEATEYFHGEYSAMEEGEALRIFIVVREFLSVLDRVCKDVGKMNERSTVGIPLLRMSQSLPVFPKYNGGQQFGSSDGEDESVSV >cds-PLY85407.1 pep primary_assembly:Lsat_Salinas_v7:9:79489915:79494349:-1 gene:gene-LSAT_9X63480 transcript:rna-gnl|WGS:NBSK|LSAT_9X63480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAVDPNRAFLKDVKRIVIKVGTAVVTQNDGRLALGRLGSLCEQIQALNSQGFEVILVSSGAVGAGRQRLRHRKFVNSMFGEVEKPHVEIDGKACAAVGQNGLMALYDTLFSQLDVTPAQLLVTDNDFKSPEFRNQLTETVISLLSLKVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLAALLSLELKADLLVLLSDVDGLYSGPPSDPKSKLIHTYIKEKLENTITFGDKSRLGRGGMIAKVKAAVYASNGGIPVVITSGFSGDNIVRVLRGQKIGTLFHKDAHTWVSSKELNAREMAISARESSRRLQAISSEERSKILLDIADALEANEKVIINENKADINSAKDFGYETSLIPRLALKPRKVGSLANAIRVIANMEEPIGQILKRTEISDGFILEKMTSPLGVLLVIFESRPEALVQIASLAIRTGNGLLLKGGKEAKRSNAILHKIITSVIPETIGKELITLVTSREQIPQLLKLDDVIDLVIPRGSNKLVSQIKSSTKIPVLGHADGICHVYLDKSADIQMAENIVLDSKTDYPSACNAMETLLLHKDLLQDGIADQLMKDLYTKGINIYGGPKARWLLDLPEASSLHHEYSSIAHTDCIVTEDHKAADAFLRQVDSAVVFHNASTRFSDGFRFGLGAEVGISTSRIHARGPVGVEGLLTTRWIGRGSGQVVDSDKGVVYTHKDLTQ >cds-PLY71439.1 pep primary_assembly:Lsat_Salinas_v7:8:42537832:42539604:-1 gene:gene-LSAT_8X33320 transcript:rna-gnl|WGS:NBSK|LSAT_8X33320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSATTCSSVLHLLHNDTSTSSLLSPKGMHLRSSSFSLLSPRRTSSPSPMIQFSPKGFGSGSANPLFVATAEKKKSPFQTEAVRQLTGSVTKTEGFRFAIVIAKFNEIVTRPLMEGALDTFQKYSVREEDVDVVWVPGCFEIGLVAEKLGKSGKYNGIVCIGAVVRGDTTHYEEVANSTASGVLSAGFNSGVPCIFGVLTCENMDQALNRAGGKVGNKGSEAALTAIEMASLFGHHLKDMIMEIVSSGKITAENGLDLMIF >cds-PLY81053.1 pep primary_assembly:Lsat_Salinas_v7:6:131149851:131150402:-1 gene:gene-LSAT_6X79800 transcript:rna-gnl|WGS:NBSK|LSAT_6X79800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTISGNAVDPPKQTLIFSYGTLKRGFANHTLMQDLISSNDAVFIGNYVTDLHLPLVRGPHGVPFLLNLPGTGRHRVRGELYSVSDAGLQRLDVLEGITLGHYERLPITVSPEIRDAGSGGRRVAVRAEAYYAHRSFAEDMWRRSGQEGFESFSQEVAKGYVRRDLRPKDRTFREQITLFCSSI >cds-PLY95211.1 pep primary_assembly:Lsat_Salinas_v7:2:98026477:98028909:-1 gene:gene-LSAT_2X43461 transcript:rna-gnl|WGS:NBSK|LSAT_2X43461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLPSLFSHIPLLIVLYNSLFTYSSSISSPAHAIPKGSSISVDRKNDNLVSPNSLFTAGFYEVGINAYCFSIWLIEPQSQNVNPTVVWMANRDAPVNGKHSKLFLHDNGNLVLQDADQSIVWSTETKSASDSLTLQLEDTGNLVLRLLDGDQNLLWQSFDHPTDTLLPDQVFTKNSELVSSRSKSNFSSGFFKLYFETNNILSLLYKNPEITSVYWPKPYSLPWKVRRSTYNNSRIAKLNSQGHFKSSDDFHFFVSDFGMRRHRIMKLDSDGNIRVYSLVDQKEGKKWEVQWQAFSNPCKIHGVCGPNSVCTYSQETGRKCVCVHGYKKKNQTDWTYGCAPKFKPCKQVSEDYVELPFVKFYGFEIRYLENRTLDACKQVCLKDCNCKGFHYKYFTDKGYYSCSLKNLLYNGYQLAFRSSTYIKLPKDLISSVQQTFVNGSSLSCPENQIVSIQRSYDRKHGNSSLKILLKFGYGIGLLEFVFVLIFFYITLKDSSTTSYFQIASGIKRFTFAELKTATRNFKEEIGKGGSGVVYKGKLSDERPVGIKMLKEVYINQGEAEFQAELSTIGRLNHMNLIETLGYCIEGKHRLVVYEYMENGSLATCLNSNKLDWGMKLEIAIGIAKGLAYLHEECLEWVLHCDVKPDNVLLDANYCPKVADFGLSKLFDRGSIENPSFSKVRGTRGYMAPEWVFNLPITSKVDVYGYGVVVLEMITGKSPLQLGDGSHGGDQTLVEWVKQKIYEHIRSENGTWIEEIVDGRTSGEYDMRVLINLVKVALQCAQEDKDARPSMSQVVNIILNPRVDDLSNSF >cds-PLY85378.1 pep primary_assembly:Lsat_Salinas_v7:5:244635317:244635749:-1 gene:gene-LSAT_5X121221 transcript:rna-gnl|WGS:NBSK|LSAT_5X121221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRESSNCFRATRKRFFNQKEFGLDVGYLSRSFRNSIIDDGIIKDLTFSNSRPRKQREDVYKRDMQQQEEGKGLHRGTPEHLSDVSISMVTHYLMNHFLRTEEDYANTYSKSHLSNSFVEDIIFSEEFAMIYLIHA >cds-PLY73622.1 pep primary_assembly:Lsat_Salinas_v7:5:203694108:203694431:1 gene:gene-LSAT_5X92321 transcript:rna-gnl|WGS:NBSK|LSAT_5X92321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTKNSASNSESKPQPPRRTCLCSPTNHPGSFRCSRHRNLHRVPSQRAASGGSWELAVVTKSNLVKAFLRLMIKPSSHDLQRRKNFQKRPSRFCLLNGNGKRLAVVS >cds-PLY73249.1 pep primary_assembly:Lsat_Salinas_v7:4:262433361:262436859:1 gene:gene-LSAT_4X137081 transcript:rna-gnl|WGS:NBSK|LSAT_4X137081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQLTALIENKPFKSSKNYFQFPKNPPNETSDTLELDFSDTFGPLPLPAGNSEIPSDDPVVIYSRSHSLVGPTPCVSHLLNLRKLTICETDESLEDFSDVIDKEIEEEDSENGCKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYSPDYLFLLQTILRSDPQRNLIREATAFLLDVLKPNLPEHAHLQTKVLEINLVTFPNVADAILANRMFSHYDRPRISQHCEKAGLYVRALQHYSELPDIKRVILLVNLRGNLQIIVQVAKEYCEQLGVESCIKIFEQFKSYEGLYFFLGSYLSSSEDPDVHFKYIEAAAKTGQIKEVERVTQESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNDYIRDGTNMYDFTYVENVAHAHVCAERALASDGSASKRAAGEAYFITNMEPIKFWEFMSLILVGLGFEWYILDFWFVFKFLAKTLDVVQDIDWVISLGNAFAKQYELYTYDDEHSALLHRYELIIIIITFF >cds-PLY88130.1 pep primary_assembly:Lsat_Salinas_v7:9:8317669:8319667:-1 gene:gene-LSAT_9X6521 transcript:rna-gnl|WGS:NBSK|LSAT_9X6521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRHNALEHGGRMSRAKRNAVLQLPTSFTYRRSNEQNNRHGRRNTFQRDLSEAELSRAIEASLETANNVNGSRFPEASDANRNGFDPLVESFEGLVTESDPRYLMAVSHRPRNGALEESAFPPLSTAGAGPSGTAQKPAAEGGGGGGGRNTMAEKLRRQNKKKVNVLNSAPAWPAPTRFNNNQSASASAVSRPQSNTHGSSVKLAVNQRLPVNVHVQQASSSQPSAVGSSSSSSSSGWKNKSRISHSSSAPNLVSDFPPVSSTLHTPATASVEQHVYAANKSMVEKIREGLGRDEDRYGAFKEISGEYRKGGIDAETYLVYVEQFGLSHLVVDLARLCPDPRKEKELLAVYNANRTLIQKGNKNTTSSSSSGTSTSTSTNSKGKGKGKSVAVGNKQLTNSILESVRELQSNYRPPEEEEVEMLSKDGYRANKGKTTIADGDGQLLLLKPPKKSLEEEEEGAGGKQQRKKTSKFHRVRLGNGSMAALLDLNGNNSNHAAEASEDEEASKDTIPVRGVWRNGGGHRLIQKRPS >cds-PLY94539.1 pep primary_assembly:Lsat_Salinas_v7:2:157474494:157475230:1 gene:gene-LSAT_2X80460 transcript:rna-gnl|WGS:NBSK|LSAT_2X80460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDMTRQFSIGSSDLGYEFLILEHVAFQDPLSVLVALLHQFDILPEMYLIGYTKLFFRTGQIGKLEDTRNRTLNGILRVQSCFRGHKACQYLNELKRGIFTL >cds-PLY72875.1 pep primary_assembly:Lsat_Salinas_v7:5:169000296:169001138:1 gene:gene-LSAT_5X73681 transcript:rna-gnl|WGS:NBSK|LSAT_5X73681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEQIFMKFAIRYGPEKLKGKYHRMRSVHTKFSELINHTGVTWDAASGKVFANDTVWDDYFKRDKVFKTFKKKGCKIYPLLSLVFSGSTTSGAFHNASTCAPQTSEEERRIKDEYLDVGSVGESAFDGSNRKGKRKTERDIKGLPVTRREKKSNGNSKYDILLDAWSDSMIARKERDLPKAERYKSKYGHTTSLFVEEYSVGDCMATLEATQGVSSRSYNKALSFFPDINWRKMFLMMFENRRKDWLDSLDE >cds-PLY96571.1 pep primary_assembly:Lsat_Salinas_v7:4:368440370:368441511:-1 gene:gene-LSAT_4X180681 transcript:rna-gnl|WGS:NBSK|LSAT_4X180681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger AN1 domain-containing stress-associated protein 12 [Source:Projected from Arabidopsis thaliana (AT3G28210) UniProtKB/Swiss-Prot;Acc:Q67YE6] MAGTEAFPDLGKHCQLSDCNQLDFLPFKCDGCEKVFCVEHRSYKSHECSNSDHNSRKVLVCETCSMSMEIPLNRKGGEDENVLILEKHRKSGDCDAKKKKKPTCGVRRCKEILTFSNTSACKSCDVKFCLKPRFQSDHACKSHNSPTVAAAAGGGGGARPFLVALASRMGKDCTKKTGGSSSSASTPSTSVKAY >cds-PLY98039.1 pep primary_assembly:Lsat_Salinas_v7:3:117187784:117188855:-1 gene:gene-LSAT_3X81641 transcript:rna-gnl|WGS:NBSK|LSAT_3X81641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEFPCDNDCFFTLQQHPISKIIKVGTDEALGHAASVLSGNMIQRCWDRNDIKATIRAMERMADHFATADIVSLLTEKMDTITLDICSCLLPLITNLLESDMDKYTLDMM >cds-PLY67686.1 pep primary_assembly:Lsat_Salinas_v7:4:3958543:3961015:-1 gene:gene-LSAT_4X3340 transcript:rna-gnl|WGS:NBSK|LSAT_4X3340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAAQNDLERLMIFEHARITAEAEYAKNPTDADNLTRWGGALLELSQFGDINESKKMLRDAVSKLDEALTINPAKHEALWCLGNAHTANAFLTPDHDEAKVKFDKATQCFEKAVEECPGNEHYLQSLAQCAKATELHKDIHAHGGLSQAQQTLGGGSAPSSSAKGSGKSKNSDLKYDIFGWVILAVGLIAWIGMAKSNVPPPPTR >cds-PLY99687.1 pep primary_assembly:Lsat_Salinas_v7:9:56038552:56039727:1 gene:gene-LSAT_9X47440 transcript:rna-gnl|WGS:NBSK|LSAT_9X47440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVFNVVQKRRRAAIADRKRAVHGDPFTGKVKHKPQNTVISGKRKRKILKKWRRDQKEAVEKGLITMEDIEMAVADGSGTSSQDAIKSPVKFHMKKSVKIKSKRATKKEKKGKNKRKSDKPAMEGSSDVMME >cds-PLY73592.1 pep primary_assembly:Lsat_Salinas_v7:6:128426050:128426574:1 gene:gene-LSAT_6X76760 transcript:rna-gnl|WGS:NBSK|LSAT_6X76760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSIFMATPITLLKKTPTFSVKCMSQTPQSGEPGVSKPATTPTPPQINIPPPPPPAPKVSTKFSDVLAFSGPAPERINGRLAMIGFVSAMAVELSSGQDVFTQIGNGGVAVFVGTSVVLTLASLVPLFKGVSVQSKSSGLMTSDAELWNGRVAMLGLVALAFTEYVKGSALV >cds-PLY83689.1 pep primary_assembly:Lsat_Salinas_v7:4:40787478:40787696:-1 gene:gene-LSAT_4X28500 transcript:rna-gnl|WGS:NBSK|LSAT_4X28500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYAMIVMEEDSSFIRYGYLSIDNVVVVRKEVMQLYSELRPHTLALVSSFGIPDAFLGLIVLTGSMPMRGLWF >cds-PLY82466.1 pep primary_assembly:Lsat_Salinas_v7:2:183672370:183677355:-1 gene:gene-LSAT_2X106081 transcript:rna-gnl|WGS:NBSK|LSAT_2X106081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVDMLRSSNCRVRCRALETLRVVVEDDDNNKEIMVGGDIVNTIVKLLFDEQPKVREEAISLLFELSKFEAMCEKVGSVNGAILMLAGMTSSKSENVSTVEKADKAENGRLQPLLTLLIEVYWYSHWMAPEVIQESRYDGKYRQKKDGKGSKSSGKANKSECDVVVGDSSSVAKSSPEQVSTTELVELYSQASTHTYVATNVDVAAPDPSSTSVAPIEVSKVDDSVSNEDPQSSNRDDVIPTFSPPKIVNIEGKLESGLSVGLKGVLDDSFGHKAGEMIVAHGEDQVPDVGCACILLFSITISYHHII >cds-PLY90345.1 pep primary_assembly:Lsat_Salinas_v7:2:198835630:198835972:-1 gene:gene-LSAT_2X120121 transcript:rna-gnl|WGS:NBSK|LSAT_2X120121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGFGDLGGPKLSVAEEYSFHSRYLAKANSLNLSEMAETDDLCKYWDLRQQNPVHTQLLDCCYGLTSYNETSFDGYCNN >cds-PLY88108.1 pep primary_assembly:Lsat_Salinas_v7:6:181692399:181692725:-1 gene:gene-LSAT_6X111301 transcript:rna-gnl|WGS:NBSK|LSAT_6X111301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPIESTADSVVASKVRIAASVRQIVEKKKFVQAMSGMVPKGSSGPIGRRVMRCRGLMRLLSSVPSHSGDVLVIPNDDVQQSEGIEGASHRPIIRIPISLSPGFSP >cds-PLY64778.1 pep primary_assembly:Lsat_Salinas_v7:2:101815581:101817786:1 gene:gene-LSAT_2X46521 transcript:rna-gnl|WGS:NBSK|LSAT_2X46521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVDLEKCRIPLAEIIRATKNFSSETLVGDGGFGMVYRGQLSNHWKKQLVAIKRLDPKGYQGTKEFHNEVKLVSSFNHPNIIPFVGYCDDENEKIIVFKYATNRSLEYHLQDRDRRSRLTWEQRLKICLGAAYGLKYLHSGVGEHSRVIHRDLKSANILLDDNLEAKICDFGLSRLSPRNQQDTHVRTKAAGTRFYMDPSYVESSTLTKESDIYSFGVIMFEISSGMMAYHARRFKDSKELYLIYLVRSYYHDHKLADGLDKLIDPTIKDLIHMRSFDKFNEIAHECINFDFRKRPTVDRIIKTIDEALNIQLNGFDSAAYSEAFWNELLPPDYQEIIERAVSPLGFASKKELYFCLSDSHILLDRGYLSFQLDMESGKKSYMLGAKELLIDSQDEPQYWEWGHTLKSRSLLSLLRSFL >cds-PLY62788.1 pep primary_assembly:Lsat_Salinas_v7:4:31215029:31217079:-1 gene:gene-LSAT_4X21020 transcript:rna-gnl|WGS:NBSK|LSAT_4X21020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKENMRRNIVEKKNTTSPNPRSNKEKPSSTQSLISKHLKKVYPVGIHKTSSLLSLSSLSLTLSHNSNDSFTDSSPTLEQTISSALQLIITPTPSRREQPVAKTTSNHVNNLDPINCEDQGLRRCNWITKNSDKLYVEFHDECWGVPVYDDNQLFELLSLCGMLMNYNWTEILKRKNLVREAFYGFEPNIVAKMGEEDIMEIASNKDMMLGESRVRSIVENAKCILKIAKTHGSFSGYMWGSVNYKPTINRCRHPRNVPLRTPKAEAISKDLLKHGFRLVGPVIVYSFMQAAGISIDHLVDCFRFSECVNLAERPWRHV >cds-PLY61907.1 pep primary_assembly:Lsat_Salinas_v7:4:221508073:221508504:1 gene:gene-LSAT_4X120220 transcript:rna-gnl|WGS:NBSK|LSAT_4X120220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLYPPGPLNLPHESMEDCIIGSYKIPKGTRLLTNLWKIQHELDPKEFQPERFLTTHKHVDVRGNNYELLPFGSGRRVCPAIPFALRSLYITLATLIQQFVLKKPSNEPIDMSESAGVSISKAIPLEVLLAPRLSLDMYPIAA >cds-PLY86220.1 pep primary_assembly:Lsat_Salinas_v7:8:226145256:226148516:-1 gene:gene-LSAT_8X138221 transcript:rna-gnl|WGS:NBSK|LSAT_8X138221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIRRGLGIHLIFVCVFLVANKYSCFGVGNVSVACSQKERLALLKFKGSVEDTSGMLSSWVGEDCCLWERIHCDSLTGTVDSLNLNGDYYYGSEGDNYLVGNAVNSSLAELRHLKYLDLSRNDFGGSRFPEFIGSFKQLMYLNLSAAGFQGIIPPHIGNLSNLKVLDLSWNGELMSDDMSWTFGLSSLEHLDLSWLNLSGWDKVLYNLRSLKELSLHGCGLSNVHLGPFLNSSRILANIQHLDLGFNSLKTSLPGFFQNMTSLEFLDLSGFNLSLSWNFANLLNMIPSSLSELHFSSCWLDNKTFLSSAHFNISMLSNIQHLDLSQNSIEGIIPSFFTNMSSLRVLDLSGNMLHSWVPIMPDLLELYLSSNHFKNIEDVGIWRHCHLKTLAAYGNLFEIEMNDSPQNTSECSRYALESLDLSGSLNGTIPEALGRLTDLRHLDLSMSSLTGPIPEFAGRLRHLEMLDLSNNQLIGPIPTFIGNLSRLDLSSNQLNGSIPESFGNLSALTHLNLGSNRLTGPIPASLGRLVALQDIFLSSNLLNGTIPVSIGQLAKLKYLDISDNSVEGVVSEAHFANLSMLEHLDASSNTKLAFNVSREWIPPFQLYHLDLSSCNLTNGLPQWLRNQFLLSELVLSNASISGPLPTWLRKMPVIDFLDLSHNKLSGPLTNLPEIGLALILANNIFNESIPKLLCRMTDLVLLDLSRNRLTGKIPKCLQNLKWLGAMIFSSNLLSGVIPSYIALSHSSLHWLKLNDNNFTGELPQELGNLRYLRVLDVGDNQLFGKIPHWIGENLTSLIVLRLHKNNFTGEIPESLCKMSNLQILDVAYNNLTGIIPHCLRELNAMVNGAEKWYDNNGWADSNENVIPVMKGVDLVYARILDIVYNMDLSSNKLVGDIPVELTALRMLLGLNLSNNDLSGNIPDSIGNMTRLESLDLSGNKLTGTIPPSMAALTFLSHLNLSHNNLWGRIPTGHQLQTLNDDPSIYSGNRDLCGPPLTNNCSDHQDPTTTAKPKKKHKAAEESIKVWWFYSDIMSGFATGFWGVIGVLLLKKQWRWRLFMFVEKIMDKIYVGVMVSVAKIKRGREAV >cds-PLY63838.1 pep primary_assembly:Lsat_Salinas_v7:7:102208556:102210403:-1 gene:gene-LSAT_7X67761 transcript:rna-gnl|WGS:NBSK|LSAT_7X67761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKERRRSSLPRHGKGSWDEEKKEKVQGEEISRGRIGEMSSKELVKTRFFSTGHGVKLEGSMNYAKGKSWALLLSIYKWRRRSCGDSWSRQRAPLEQKAASCKHYSKSSELRNKSEASRGSLLSFSAASYRTMGGLAHSAGPTCISYLAEEALDRLEFLPSWDSMDQDLLLLYGQYRSTLVDHMDVEEASHFDELETSLFHFYLPSTYLCFVCSPKEFDLFNLEIPPK >cds-PLY69424.1 pep primary_assembly:Lsat_Salinas_v7:5:301876631:301876976:-1 gene:gene-LSAT_5X161500 transcript:rna-gnl|WGS:NBSK|LSAT_5X161500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFDVRWPHLKIFLHPHQISSRKPFFRKLLQWLPHRKASINSLQDSASTE >cds-PLY79341.1 pep primary_assembly:Lsat_Salinas_v7:9:54726038:54727424:-1 gene:gene-LSAT_9X49240 transcript:rna-gnl|WGS:NBSK|LSAT_9X49240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADIGAQTPFFYIFRERELIYDLFEAATGMRMMHNFFRIGGIAADLPHGWIDKCLDFCDYFLTGIAEYQKLITRNPIFLERVEGVGIIGGEEAINWGLSGPMLRASGIQWDLRKVDHYECYDEFDWEVQWQNEGDSLARYLVRISEMTESIKIIQQALEGIPGGPYENLEIRRFDRVKDTVWNEFDYRFISKKPSPTFELSKQELYARVEAPKGELGIFLIGDKGVFPWRYKIRPPGFINLQILPQLVKRMKLADIMTILGITIGVLVIVWLEREISAGIQQRIGPEYAGPLGILQALADGTKLLFKENLLPSRGDTRLFSIGPSIAVISILLSYLVIPFSYHLVLADLSIGVFLWIAISSIAPVGLLMSGYGSNNKYSFLGGLRAAAQSISYEIPLTLCVLSISLRVIR >cds-PLY71082.1 pep primary_assembly:Lsat_Salinas_v7:2:162336462:162337766:1 gene:gene-LSAT_2X85220 transcript:rna-gnl|WGS:NBSK|LSAT_2X85220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYNQKYKWHIQDKRLKSIVVRSEIAGTGSPGASYSYQLSEFNFGSKLRGICFYSVTSFIAIFLFMVMLIAHPFVILRDKYQRKFHNFVAKIWASMTIAPFFRLKIQGSENLPPQNSPAIYVSNHQSFLDIYTLLTLGRNFKFVSKTAIFLFPVVGWAMYLMGTIPLNRMDRKSQLQTLKRCMELVKNGGSVFFFPEGTRSKNGSLGTFKKGAFSIAAKTGVPVVPITLMGTGKIMPSGMEGTVNMGMVKVVIHEPVKGDDADLLCTQISLYTNNDDKIE >cds-PLY82361.1 pep primary_assembly:Lsat_Salinas_v7:5:313165654:313166270:1 gene:gene-LSAT_5X170181 transcript:rna-gnl|WGS:NBSK|LSAT_5X170181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPLIGSGGSMVLVTDEARMEASFLNSHSKADIVDMLRKHTYNDELEQSNRGCSLNKTPFTNGFRSGDNLVNAREQFFEKTVTPAASGKLNRLVIPKQHAEKHFPLQIGSTSKGVLLHFEDIGWSRFVKENNLKVGDIVSFQRSTGSEKQHYIDRKTKNGSGSSNIQEQATLQHVQER >cds-PLY70426.1 pep primary_assembly:Lsat_Salinas_v7:1:74105875:74110810:-1 gene:gene-LSAT_1X63041 transcript:rna-gnl|WGS:NBSK|LSAT_1X63041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGALRQPISFEDSPDWEEPDVEVRVEESGGGGGDSINTATTPISPSLSKLNSGSMPSPPLPEGAVVARKIAGATMVWKDLTVTIKGKRKYSDRVIKSSNGYALPGTMTVIMGPGKSGKSTLLRALAGRLDDSAKTYGEVFINGAKSKLQYGSYKSVVEDAILAMSLGDYANKLIGGHCYMKGLPSGERRRVSIARELVMRPQVLFIDEPLYQLDSVSALLMMVTLKKLASTGCTLIFTIYQSSTEVFGLYDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKTWQDDNGDFSSVNMDTAIAIRTLEETYRSSADAAAVETMILRLTEREGPSLKSKGKANSATRIAVLTWRSLLIMSREWKYYWLRLILCMLLALCVGTAFSGLGHSLSSVATRVAAIFVFVSFASLLSIVGVPAQLKEVKVYGCEDSNQHSGGLVFLTGQLFASLPFLFLISISSSLVFYFLVGLRNDFSFMMYFVLNFFMCLLVNEGIVLVVATILQDMFWTISTLVFIHVMMMLSAGYFRIRSALPGPVWMFPISYISFHTYSIQGLLENEYDGTSFAVGQVRTISGYQALHNVYDVSPDENSKWKNLIILSLMAFVYRLLVFLLLHFRVKKNSFLFRFVCCKIGMNDRR >cds-PLY99293.1 pep primary_assembly:Lsat_Salinas_v7:3:93614612:93620533:-1 gene:gene-LSAT_3X70301 transcript:rna-gnl|WGS:NBSK|LSAT_3X70301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGALSCGIAGVISEKRAIRRHFDTQLQIQNLGVIRTGIKSRRLRFDNVIRNCGLISDREALDYDQNASNLDERLDERRERLVNGSIDEPIESVGTSPPSVQTELVLLALPAIAGQAIEPLAQLMETAYIGRLGALELASAGVSISIFNIVSKVFNIPLLSVATSFVAEDIAKYSQSESDSEKRRQLPSVSTALVLSVGIGIIEAAALYFGAGTFLNLMGISSASPMRIPAQRFLKLRALGAPAVVLSLAIQGVFRGFKDTKTPVFCLGIGNLAAVFFFPILMYVFGLGVTGAAISTITSQYIVTIAMIWYLNKKTVLKLPKMEDLHFEGYLKSGGFLLGRTLAAVATVTLSTSMAARQGPIAMAAHQICLQVWLSSSLLADAQASAGQALIATSFSKRNYSRVKEITFYALKTGLITGVSLAIILGLSFGTLAKLFTNDAEVLSIVRSGVLFVSASQPITALAYIYDGLHYGVSDFSYAACSMMLVGAMSSVFLLYAPSVFGLAGVWAGLTLFMGMRAVAGYIRLADKNGPWWFLQAIPATEIAVPQQT >cds-PLY93067.1 pep primary_assembly:Lsat_Salinas_v7:9:26986141:26988408:-1 gene:gene-LSAT_9X23621 transcript:rna-gnl|WGS:NBSK|LSAT_9X23621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVHSSAKNSPAEIEIKVHSIPPPVGYPPLYTQPPPLIHHHIPPPPLYYHFSEEWFPWLVPFIFIVNVALFILSMYINNCPLHSRSCVGVQMLQRFAFENVQENPLLGPSSATLLKMGALDANKVIQEGQQWRIVTSMWLHAGVLHIFTNMLNLLAVGIRLEQDFGFARIGLLYTLSGLGGSLLSSLFLRKNISVGASGALFGLLGAMLSELLMNWTIYANKLATSTMLILMILIGLMVGILPHVDNFSHLGGFITGFFLGFILLVHPHSNRINQTIAPHGYYTKSKYKSCQHIFLVLSVIALSVLFTMGFVFLFRKVNGNDYCSWCHYLSCVPTPLWSCDPLCNSIQLGNQLNLTCLDNGKSRSYTLPAGYGVISVQELCSKLCI >cds-PLY95557.1 pep primary_assembly:Lsat_Salinas_v7:6:174141683:174142837:-1 gene:gene-LSAT_6X106481 transcript:rna-gnl|WGS:NBSK|LSAT_6X106481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTDGKSEAERLLGIAEKLLQAKDLNGARDFAILAQETEPLVEGSDQILAVVDVLIAGDKRIKNQNDWYAILQLETRNDDTDLVKRQYRRLALLLHPDKNKYVFADAAFKLVADAWAVLSDPSRKIAYDNELFAFSKVDLVAMKKDGEKGDDQNHQILRDKISGRRTSANIWTVCPFCYNMYEYPRVYDGYCLRCVNCQRAFQVVVIPPSSLPPTVPGKEAYYWYWGDFPMAFPSTNSLTKDRNTPLAGPGEDSVVGTPPVAEIRHPPPPTAGSKVAKKRGRPRKNPLP >cds-PLY65882.1 pep primary_assembly:Lsat_Salinas_v7:3:108384485:108385562:1 gene:gene-LSAT_3X79340 transcript:rna-gnl|WGS:NBSK|LSAT_3X79340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPTVGSEQEHELLSLERFITKFQSAIPFSFCEGKELLLERETTPPKIISVAQILPMKARAVLLQTFAFFIKKLNVDTPILNLQVVGSCRNDGDETRLQDLKDLGCV >cds-PLY75501.1 pep primary_assembly:Lsat_Salinas_v7:9:34590127:34592678:1 gene:gene-LSAT_9X32260 transcript:rna-gnl|WGS:NBSK|LSAT_9X32260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASKSAARMDYWREYFKSSNGDIFETIEKAIIVAASDYSKEFRIRRDGIAETLFSCKLIKCSGCDKVELGLPGDQEQQDLNVGNHQINNYDYGVAEALTDEIEEESLIFGEVMRIKEIVDNNQDESESVLYNSLRKLQLMNLSVETLKATEIGKSVNVVRKHASKDVRDIAKALIEEWKVMVDEWVVAIEKPTVVSEATPESLNPSVLDEEVEGEEEEGLPSPPLDDLAFFYPHSSLELSESVLLIIFHIVTDLGNSTGYNKNRNDIRTEKQNVPKSKHQKPSNIPITVRKNEFKSEDLTKMKKKQPTVVKPVKPSVPESAPGTRVKPNPERKMQTVPKRPQQTNQRVSGEATTQDKFETAKRKLQERYQQAENGLCSFFD >cds-PLY79377.1 pep primary_assembly:Lsat_Salinas_v7:9:2393650:2393889:1 gene:gene-LSAT_9X5961 transcript:rna-gnl|WGS:NBSK|LSAT_9X5961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQQQHQHGVQQPQQQHDDGGSGLQLGAVGEEREEVNDDGGRRMSRKKEEHNSSLSLGGSPVHTSSTAALLWRLDGKER >cds-PLY65967.1 pep primary_assembly:Lsat_Salinas_v7:4:136157114:136157575:-1 gene:gene-LSAT_4X84440 transcript:rna-gnl|WGS:NBSK|LSAT_4X84440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTDRIMLVSHLRRPTPEKASSSDQEKVVEGQQTKNESQELAGMVSKTETAGERNSGTGNDDQVPPSIPLNGSGVTPAVDDEYQGGTYATLGQPHDMQFDSNDSGVRDVEAVSQESSGSGATLGKSLRSLDVEIGSADGHDDGPTRRTNVLPE >cds-PLY62918.1 pep primary_assembly:Lsat_Salinas_v7:3:144322898:144325316:-1 gene:gene-LSAT_3X94400 transcript:rna-gnl|WGS:NBSK|LSAT_3X94400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVVKFPIFLCVRSLSVLILILVLIWNVHYRGGLALFSKNKALLFNVHPVMMVTGLLLLNGEAMIAYKTISGTKTFKKLVHLSLQFLAFILSLIGLWAVWKFHNDKGIGNFYSLHSWLGLASLLLFAIQWGVGFATFWCSCGSTKFKGSLMTWHMFFGVYIYTLALASCITGVLGKMTFLQMHKIISHYCVEAILVNVLGVLMVVLGGFVIFGVVSPTNGKGDVVLGSIE >cds-PLY90070.1 pep primary_assembly:Lsat_Salinas_v7:6:16691488:16691827:1 gene:gene-LSAT_6X8640 transcript:rna-gnl|WGS:NBSK|LSAT_6X8640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIESGGRSVTVIRSGTNDGKPSGVVKIVDCGEVIEDKKNNVVEPVKGKKKKTVK >cds-PLY72845.1 pep primary_assembly:Lsat_Salinas_v7:6:22471499:22476715:-1 gene:gene-LSAT_6X17581 transcript:rna-gnl|WGS:NBSK|LSAT_6X17581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKVVYEGWMVRYGRRKIGRSFIHMRYFVLESRLLAYYKRKPQDNVVPIKTLVIDGNCRVEDRGLKTQQGLMVYVLLIYNKKEKYHRMTMAAFNIQEALIWKEKIESVIDQHQESLAANGNKYQSFEYKSGMDSGRNASSSDQESQYSAAEDEDDSNPSLLRRTTIGNGPPESILDWTQESSTLASQNATNQAISRKHWRLLQCQNGLRIFEELLEVDFLPKSCSRAMKASGVVEASCEEVFELIMSMDGTRSEWDCSFQDGSLVEEVDGHTAILYHRLQLDWFPTFVWPRDLCYVRYWRRNDDGSYVVLFCSREHENCGPQPGYVRAHIESGGFNISPLKPRNGRPRTQVQHLMQIDLKGWGVGYVSSFQQHCLLQMLNSVAGLREYFAQTDGRTVPPRIPVMVNMASCNVPSKETHKNQMTSIHDRNQSLDNAAKMMDEYSDEDEDFQIPDEEAYRVEQEMKRAEEAIVEMDLSCFSGNLRRNDNENGRDCWRISDGNNFRVRSKRFCYDKSKMPGGKHLMDLVAVDWFKDTKRMDHVARRPGCAAQIAAEKGHFSMVFNLQVPGSTNYSMVFYFVTKELIPGSLVQRFVDGDDEFRNSRMKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGANYLEVDVDIGSSTVANGVLGLVVGAITSLVVDMAFLVQANTIDELPERLIGAVRVSHLELSSAIVPKLEPDTS >cds-PLY97999.1 pep primary_assembly:Lsat_Salinas_v7:4:192557051:192558397:-1 gene:gene-LSAT_4X110260 transcript:rna-gnl|WGS:NBSK|LSAT_4X110260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDPAFIQELEHRPKLAALEAQGIPQIDLSPIINSSTAATAIEDLVNQVHDACKNWGFFQVINHGVPIEVREKMESAAKRFFDQPVEEKKKVRRDEENPFGYYDTEQTKKVRDWKEVFDFKVEVPTLIPASSYESNDEHAIKYPNQWPHHPPELREASEEYVKEVLKLFYKLLELISLSLNLPSNRLEAFFSKDQTSLARINHYPPCPAPDLALGVGRHKDVGALTILAQDDVGGLEVKRKGDGEWIFVKPTSNSFIVNVGDIMTVWSNGKYEGAEHRAKVNSTRERFSIPIFFNPASYTVVEPLAELIDERNPAKYESYNWGEFLATSERNNFKKPDVENIEFNDFKKPDKLKLDDVISRVENVVI >cds-PLY93935.1 pep primary_assembly:Lsat_Salinas_v7:6:6817448:6820120:1 gene:gene-LSAT_6X4261 transcript:rna-gnl|WGS:NBSK|LSAT_6X4261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination protein SPO11-2 [Source:Projected from Arabidopsis thaliana (AT1G63990) UniProtKB/Swiss-Prot;Acc:Q9M4A1] MGDERRSTIFFTEQHLSYADILPPLEINRKSSNSRVNQSLLTETSWIFLSRSFCTKSLTRENSAKAFIRVWKVMEMCYSILLLEKRVTQRELFYKLLCSSPDYFTTQLQVNQTIQDVVALLRCSRYSLGIMASSRGSIAGRLLLQGPDQETVDCTKCGSSGYAISGDLNILEKLVMKTDANYIIVIEKHAIFQRLAEDKVFNQIPCILITAKGYPDLATRFLLHRMSRAFPEMPIFGLVDWNPAGLAILCTFKYGTCNIKWLGLRKDDLELIPEESFVPLRPKDTQIAKSLTSSQILQDNYKEELAVMVESGQRAEIEALYFHGYDFLGKYLANKIVQVHYI >cds-PLY84889.1 pep primary_assembly:Lsat_Salinas_v7:8:67700808:67702583:1 gene:gene-LSAT_8X48141 transcript:rna-gnl|WGS:NBSK|LSAT_8X48141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTRRCLLLLEKCKNMKQLKQVHGQAITCGLGDNHYALSRLLAFCSQPHHHGSLSHGLNILQHVQQPSNCLYNTMIKACLLRNELNMSFQLYKRLLENGMYPDNYTLPYILKACAYMESFRLGELVHAHCLQLGFLFDSFVGNTLMMTYSLFGNMESTRYVFDEMPTHCVVSWTVLISGYAKTGNIELARVAFDEAPIKDRGIWGSIISGYVQNNCFKEGLQMFRLMQSTSIIPDEAIFVSILGACAHLGTLEIGIWIHKRIDAIKLRPSVKLATALMDMYCKCGKLDVAHKLFDEMPERDTICWNVMISGLAIHGEGLRAIKLFSQMESTKIQPDDVTFIAIFTACSYSGMEHEGLKFFNKMCKEYNINPKTEHYICIIDLLARAGLFKEAKDIINKIPNSCSPKEIAVAWRAFLSACESHKEIEFAKMAAERLMELENDSGVYVIMSNLYSSTNDVDSLQKTRKMMRARGVDKVPGCSSIEVGGVVNEFVVDEKTNYKIEEIHEVLKNLNRQMD >cds-PLY81731.1 pep primary_assembly:Lsat_Salinas_v7:3:33379954:33380698:-1 gene:gene-LSAT_3X25080 transcript:rna-gnl|WGS:NBSK|LSAT_3X25080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKEWKLYDRLMRLETGLGGTRSLVDASPEWWEEKIKENKDYAKFRNTDLSIFDEKYAFLFRDSVAVGDQTMTPLQFQNNSNPNEENMEGKGDSDEINLDDDEPLFTSLHESSSSKKKRSKSVSNNRPTKSKNSIYEEKVDALLDAISSKSTQTYPQNNLSPTIADCMAIVIKFPDFREGSNKFSQALFVFTKKQNREAFMFPTTDEAKMEFLKLLMK >cds-PLY69125.1 pep primary_assembly:Lsat_Salinas_v7:5:284900786:284905571:1 gene:gene-LSAT_5X150541 transcript:rna-gnl|WGS:NBSK|LSAT_5X150541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSIFVLLVFVLHVASESTREVVEQIDGEESTEELLFRPLPDQKVLSHFHFESRVLPTNSYGHHHRLFPKAIYKLFPNGTVNPPHTHPRASELLFILMGSLEVGFVDTTKNPTLALSAFRTASAGTVSAPNPVFNSTIDDQILAMSFKTDIATIQKIKSGEMGAHFSACGRYLAACGACVLPQFEGDLISGQWNGVLMMVHINLHVLKIIKLCVGTITLLRLCFNFHLEPIGISMCTGIQSYQGSYQHHLLMGKLVFIALRLVLNVVLVRVIKAQVYTVGPEYAHVEARKSPVVDGVVMRNPDGKEVSDRWTWTIVIFFSSF >cds-PLY62384.1 pep primary_assembly:Lsat_Salinas_v7:7:107007769:107009492:-1 gene:gene-LSAT_7X69641 transcript:rna-gnl|WGS:NBSK|LSAT_7X69641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLMVLFLALLPTMVAPDCKVEDDSATTTTTSDSSHESLKYKIAAMLTILFAGGIGVSIPQLGKRVEALNPDNDIFFMIKAFAAGVILATGFIHILPDAFQHLTSPCLPENPWGKFPFAGFVAMTSAIGALMVDTYATSFYRKMHFKKQKAQQVVDVESGSSSIAVMDDHAGHVHVHAHATDGHAHGSVHDSELIRHRIISQVLELGIIVHSVIIGLSLGASQNISTIKSLLAALSFHQFFEGMGLGGCISQAKYKSLSTAMMAIFFSLTTPIGIAVGVGISNIYKQDSTKSLIVEGILNSGSGGILIYMALVDLLAADFMNPRMQSNSRLQFGANVSLLLGAGCMSFLAIWA >cds-PLY78654.1 pep primary_assembly:Lsat_Salinas_v7:9:51297186:51300985:1 gene:gene-LSAT_9X46201 transcript:rna-gnl|WGS:NBSK|LSAT_9X46201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex subunit 3, Lateral root developmen [Source: Projected from Oryza sativa (Os10g0402200)] MAPSVTDDLSLPHISDNDLKPFFVLHKASPRQHPAKKSAGKARRSVKVNLSASLDNNHEAELLDDQSHENLRMKNFHNAWMTIESTIKECMHNMNADVFNEIDSWVHKSFDSICSNGRPDTNKATCSYPIVTDVTAKQLYTALVVMNNMEFVDDLQTFADLGVHLNSHDCHVANLSSVDFSPKTGVGGCLRSLSRQILKGSIDSADISILASWYMEKENFKHPVVVIIEDMDRCSEPVLSDFILMLSEWVIKIPVILIMGVATMLDASKSILSSKTIQHLSLFKFILGSPADRLDAIIEAVLVKPCTGFLLGHKVAGFIRNCFLRQDGTLTSLIRAVKMAIVQHYLMEPISFTMKGLLDEHTSLADSWPNQALELPSCESYVFNPLARRLSETKSEFCLGGPSKMKEVLDLWSCVVLCLHEVGKNHKTTLLDLYYEALDPKLCNMRDSDYLESKPDHQRSSWNHNMNGESVKIHKGDLIGHTIRQIRDLSPVALFKLLEKWNKITDGVNEIHEKVKELQSQEKLENNNLKETAIRSRRQAIRNVGNFDKCTTALNKKAAVVMSHMARDYMQPIESIPFHDIVCFTDVDKLQAGLLGDPRKRIQLDLLGAHTFIKCSCCSTKSGSSPISTMHDTTLMYSLAQEYGDVINLHDWYQSFRGIVGQQTVKDRNRSKVSPSPKKRKTAIEPQKKITEASIQARFCRAVTELQITGLLRMPSKRRPDYVQRVAFGL >cds-PLY84826.1 pep primary_assembly:Lsat_Salinas_v7:4:145426012:145429465:-1 gene:gene-LSAT_4X90561 transcript:rna-gnl|WGS:NBSK|LSAT_4X90561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLLHGTLHVTIYEVDKLHEGGGPNVFGKLMANIQETVGFGEGTPKIYATIDLEKCRVGRTRMIENEPQNPRWYESFHIYCAHSASDVIFTVKDNNPIGATLIGRAHMPVRELMDGDEVDKWIEIMDENNNPVPAGSKIHVKLQFFDVTQDRNWAHGIKNAKYPGVPYTFFAQRTGCRVSLYQDAHVPENFMPKIALAGGKYYETHRCWEDIFEAITNAKHFIYITGWSVYTEIALIRDPKRQKDGGDVMLGQILKRKAEEGVRVAMLVWDDRTSVNVFKEDGLMATHDEETENFFQNTDVHCILCPRDPDDGGSVFQDLQVSTMFTHHQKIVVVDAEMPTGGSEKRRVVSFVGGIDLCDGRYDYAFHPLFKTLNAAHHDDFHQPNYTGASIAKGGPREPWHDIHSRVEGPIAWDVLFNFEQRWRKQGGKNILVDLRQLRDILIPPSPVTFPNDQETWNVQLFRSIDGGAAFGFPDTPEEAGKAGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFAWKSDDINVNEIGALHLIPKELSLKIVSKIEAGQKFIVYVVVPMWPEGIPESGSVQAILDWQKRTMEMMYKDIVKALQDKGRDDDPREYLTFFCLGNREMKQDDEHEPTEAPEPDSGYLHAQENRRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPNHLASARSPARGQIHGFRMALWYEHLGMIDQTFEHPENVECVRKVNDVADKCWELYASENLERDLPGHLLRYPVGIARDGDITELPGTECFPDTSAKILGTKSDFLPPILTT >cds-PLY76857.1 pep primary_assembly:Lsat_Salinas_v7:3:3601076:3603509:-1 gene:gene-LSAT_3X1861 transcript:rna-gnl|WGS:NBSK|LSAT_3X1861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAGENDGFSDRVNGIKSTLRVVPDFPRPGILFLDITTLLLDPKAFKDTIDLFVERYKQENISVVAGIEARGFMFGPAIALAIGAKFVPLRKPKKLPGEVISEKYILEYGTDCLEMHVGAVQPGERALVVDDLVATGGTLCAAINLLERAGGVVVECACVIEVPDLKLPGEVISEKYILEYGTDCLEMHVGAVQPGERALVVDDLVATGGTLCAAINLLERAGGVVVECACVIEVPDLKGRERLKLHGKPLYVLVESMFGQT >cds-PLY62735.1 pep primary_assembly:Lsat_Salinas_v7:8:48407818:48410736:1 gene:gene-LSAT_8X36881 transcript:rna-gnl|WGS:NBSK|LSAT_8X36881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVKPKNDYELKHGCSSIMVMLKDCLECDNGTNTSILCGHVDHFQSVEFEDIGWGCGWRNIQMLTSHLVVQRQEAKEILFGGDGLVPDILSLQRWLEIAWEKGFDIAGANDFDQKIYGKKTWIGTTECAALFCSFGIRARIVDFSSASRLSTDVSGNKKRKSTPQVTTGPMDKYLNTTASTSTSNSKSINDNNFLGVKGSQLLANWVWSYFSKNNNNNDMSASIKPKPGCNRVVVTDKAPLYFQHDGHSRTIVGIQLKSLPNGMHQHSLLILDPAHKTKVLESCLSKKVGWQRYIKRGVHTLKKSDYQMCYMDHGIATEEEMEKLKILDSTRFEF >cds-PLY70016.1 pep primary_assembly:Lsat_Salinas_v7:8:146324105:146327331:1 gene:gene-LSAT_8X99821 transcript:rna-gnl|WGS:NBSK|LSAT_8X99821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDTPLSPMKVVTNLQEAVWDADIVLKLDHLVSYSWWVIFFPLFLFHVVVARGRFSLPAPSVPHVRDWEPCHAVVATPLLIAFELLLCIYLENSHVTKPPPVSLQIVFLPLLAFEVTILVDNFRMCKALLPRDDESVSDDAIWETLPLSLLNSELIIKVLSQTSLQTFATVYCTNKYYGNLTYNNYVLHNYNRRNNVVCGIIVQQKKPWRNIERHFVPSSGSNNPKIDWLPDTCTILASSLCGLLLVESYDPDLYVSKILFVIKPTTTNVKWIPFPTSEYTATNFALVVISSNPLHFKVIRLSYTKPSDMPKEKVDYDYYNIELFSSTTWQWREFQNVQLPSSVYSVSDETVTCGGVVYFLLSNDTILRFDIYSEEHILIIAPSAINEFKPYASRLIKFHGKLGYFSVSGDRL >cds-PLY67209.1 pep primary_assembly:Lsat_Salinas_v7:6:136147542:136149286:-1 gene:gene-LSAT_6X82021 transcript:rna-gnl|WGS:NBSK|LSAT_6X82021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVVILLLLLTVPSSLSLQDPTKGKWKLLKKSIGVSAMHMALLPNDRIITFDRTDFGPSNITFPAEKCPPILDCYAHSVEFYPSKRGVRPLTILSDTWCSSGSLLPDGVLIQSGGNNDGERVIRTISPCEDCDWVETQNGLVSPRWYASNQLLPDGKVIIVGGRRQFTYEFIPKSSNSGKDFKEYQLPFLEETLRSDQFQNNLYPFTHLNPDGNLFIFANDRAILLDYVHNKVVRKYPVIPGGVSRNYPSTGSSVLLPLNLSGSTKTSPPVAEVFVCGGTVPDAIDQAIGNVFVPATKSCGRLRLNDDDPQWEMTEMPIRRIMGDMLLLPTGEVLIINGAASGAAGWDMAREPVLHPVLYEPFSRKFNTMNPTTIPRMYHSSAHLLSDGRVIVGGSNPNANYNFSAMFPTELSVEAFSPPYLLGPKLRPNITGIKPGVNLVYEQKIAVNFRWRGNGKELESGKVYVTMVAPSFTTHSFSMNQRVLILEMAEIRRRFTENYVVVCSVPATAEVAPPGYYQLSVVYDGIPSRTNWVHIGG >cds-PLY87710.1 pep primary_assembly:Lsat_Salinas_v7:1:4365688:4371247:1 gene:gene-LSAT_1X3860 transcript:rna-gnl|WGS:NBSK|LSAT_1X3860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATVXDDTERPAIRLDSVPVVDLRLLSQSELYTLSISSDSSFDPNRCDEVVIPKINRAVFNESAGSRKQTYSRLRLASAESSSTTTKTTTLHRRTPHLRASHAHPSNNINDPEQAENSQIIRMLKQLCKSDPNFQDVDQMEAENNSNSVVPEFLSTENLGIKRKRGRPRKHENVVFLRPPTAKRIRHNTVKKVVVYDNEMDREIVNDSGVPVNMATLAGLDDPYGPEIRRRTMGMSTEDDLLGFLRGMNGQWGSRRRKRRVVDAREFGDVLPKGWKLSLCIKKKEGRVWLFCRRYLSPSGRQFESCREISAYLNSVVKQENSEKQNHVNINTSDNFALEGASVNAVDLVIQQDIKTDDLVDNPSSSSSPPTPPAEAPPVPTKCEEQVTIDEMEVQVEDSFKCLKCSLTFESENDLLAHQVEAHDTKRSELVTPVNEHIECNVENEIEKVEPSLPLATELDCDPNLMTDAPNNKSLASVSSGDNAVIETHDAHSCDNLEAASHDLVSPSKQDGNSNVDRGVFIEEYKSGEKSTTNDEVSQTDQDPDVSVSQSELLGDETETPLSINENTRNTETLGESHVNSVDNKLDSNLDQGINLESFMLSSFPNEQVGNQDSVSDQPINQDSVSINQNSVSDQAINQDPVSETGVTFVDSIPDQSDSIPEQMGSKDHVGTTASIELPNPAVKTIEENSFGAKMDIDIDIKSDEVATEKEKSVGESSSVFFLGRFGLDKDGATVVKKLSTKTNRDPVKVVTSETLISPQKQDSPSVHNLAPDTFNNVNKLSSGLSSSGLDGQFDFRTNDFGNFGPSLSPWQEEECENKNLGNDVSFPTMEEPQIPSNKQEEHKLDDFQTFRNNEAKGNESVTSLGSNLEFCSLIPSENDQEFGFQDCLYERAMEECKQEESSERGLLDHFSIADTSDDIFENKMYSTSLGGLKFDEDRDISSNELSLAFGNPHELYPDSLRVEQKKDLVAPSKMDETFGVHTNLSMVNNSMVDDLKGGRGLFNLGCNDKSSSFQNQGNTVYPGRAWEDLKNSGNKFSSGFGSQSHAEVVPGGGMWKSGDGNQNQNQQMRSGLSNSSSHAQIPYPSSFHSFNIMSEKAGDGEFRIDERYKYNEGFDASGLRMRSSTTTSNSNSNSNSRPEPLEFSFLTTARSQHNPHTHAHALEGRDSRGAYPIPYNVNVNVEMPMEQQFDSSSFWLGKNTMNMMPNTNTSGSGRNHHQQITSVCAWCRNEFHLQQLHSHSGTQDGIGSLCPSCTASMSGHVNML >cds-PLY88159.1 pep primary_assembly:Lsat_Salinas_v7:5:220686070:220689450:-1 gene:gene-LSAT_5X103060 transcript:rna-gnl|WGS:NBSK|LSAT_5X103060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFTFSTLKQLHPHNQLRSFTLCKCPSTLSPNLNNFSHGFRILSPNKHSKFSPFLLQAHITPQQQQEHSKPTISRRIIDKIQQGFSSFESKEERVEKKPVDNEKRVVKEKDKNSRNRVVSKKARHNDSNEKVSKNTGDSGTGKRFKKPKKGKLESPLLILRLSLEICSKLGDVMGAIVIFDLAKREGINLDKYHYAIILYLCSSASIGVVQPAKSGRSDTNANNPELSNYNPENFEGFQTLDDLIHLINDHKGSTTRTGIKVSENLKEYALKRGFEIYKQMVSDEVEMNEAILTSLARMAMSRNDGQMAFDLVKQMKDLGINPRLRSYGPALSVFCNNGNLEKAFEVEEHMLCHGVYPEEPELEALLRVSIEASRSDKVYYLLHKLRKSVRKVSRSTADVIEKWFKSKSASRIGKRKWDQRLIDEAVENGGGGWHGVGWLGSGKWNVVRSVVGKDGLCKCCGEKLATIDLDPIETELFAESVAKIARERERNSSFEKFQKWLDYYGPYEAVVDGANVGLFSQRRFKPSKARINKALFEKWKNADALYATPTGSNDDWYWLYAAIKFKCLLVTNDEMRDHLFQLLGNDFFPKWKERHQVRFHFSEMGPVYHMPPPCSVVIQESRKGHWHIPIASEQESEEEREWMCVTREGKRLERLDSGKEARLTTHTPLMLKNQEVCETLKESVLSSVPVKGITILRQITEAEKLGDCILDFHI >cds-PLY85392.1 pep primary_assembly:Lsat_Salinas_v7:5:244775339:244780914:1 gene:gene-LSAT_5X121420 transcript:rna-gnl|WGS:NBSK|LSAT_5X121420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDERALNWVKQGLQKEQETRSPLRETSIDHEYPIIPKSPLSFNSTTYKSSNPLPPLKFHSSILGSHNLETNDYEEDDDDDYCDDDDEHEHEHEHDDESVGSASDDMDLTYSDEETFDEQILNVNPVTLPPNKSTLNRGIIKDDLCIEVPQKPRKLTETGLHGGPSSVSAANRLRDMLQPRSAYGTPIADLGTPSAPPIFEEHIPEVEDESSKESSRISGIEQSHNEVNMPENVHHVKLESCVSDREHEAIHEKTASSLDHLAYYNSGQNPWQTLITYDACFRLCLNAWARGCTEAPLFLNDECRLLRNAFGLQKLLLQPRGFKPSNRTENPDNNNNNACPLKVKKVIGKIRVEVKKIRIIPQRKLKDTYSQQSAIYVQAGVEYIKHVSSLVKSKINALGISSFSCEEPVSCLLHLKSSQELTESESALGICLRPGTGDSHDFFPENQGDALLVEVQGEKRSIQGRAVIPVSSLHDNPNDRIKWWPIYYEENECIGKVQLSISSTIASDETTHLKCGPVVETLAYDLLLEAAMRAQCFHARNLWVVEPWKWLLTEFADYYGVSESYTKLRHLSHVMNVATPTKDCLELIYELLVPVMKARTERRLTRQEKSLLLDCETQMESLLAVSFQNYKSLDESSSTGLSDMLIPIPESASPALAPAVQLYTLIHDILSPDGQTLLTNYLQAAARKRCRKYMVDTDEFVASNTEGFLMDSIAITTAYLKMKNLCINLSDEIKTDIKIHNQHILPSSIDLSSITAAVYSSELCKRLKGFLAAWPPSSPQPHVNELLIATADFERNLESWNISVPQGGVDSRNLYHNYIMVWVQDMQLCLLDLCKAEKAPWGGVITNYSTSPFAEDLYDKIKEMLSEYEVVINRWPQYTLILENAVANVERAIMKALERQYADILTPLKDSIPKRLGIQVQKLTRRQSTALYSIPNQLGTFLNTIKRVLDVLHCRIEDKLKSWACYLPVNGGDKKSTYGEQMNAVTVLFRTKYKNYMQAIVVKLTSNMQASRSTRLQRILEETKEADGEAEVRDRMQVLCSQLVESVSNLHEVFTNQIFIASCRGLWDKMGQIVLKFLEGRKENRVWYNGSYYALGVLDDTFASQMQRLQGNALQEKDLEPPRSIVEARSILCRDNNNATDTSTYLYF >cds-PLY84703.1 pep primary_assembly:Lsat_Salinas_v7:2:151383999:151385463:1 gene:gene-LSAT_2X78261 transcript:rna-gnl|WGS:NBSK|LSAT_2X78261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTMTVSRSNYRYKFGVLISGIVLSLLLLINAPKKAEASKSPSAFVQTVIYSNKIAVFSKSYCPYCRRAKRIFNELQEQPYVVELDHRDDGSQIQDVLLDLVGRRTVPQIFVNGKHIGGASDLEAAVRNGELQDLLDSK >cds-PLY88965.1 pep primary_assembly:Lsat_Salinas_v7:8:128377893:128379167:-1 gene:gene-LSAT_8X88881 transcript:rna-gnl|WGS:NBSK|LSAT_8X88881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSKRVTDPLDERVKDRIVGRDRVPDMVYSSSGSEHSGTSSEIGDGESSSDHSLSYLLHCFDEDGNEDAINGDELDEIHLQDNDLDSDSDSNSDRMEAKCIEIISTLQSLNADKFRNVLFANVVKAMDVFRSLRASTQILNRNMIYFLQKLGYNAAICKTKWESCGGLTAGNYEFIDVVRSDSGIRYFIDLNFAGEFEIARPTNQFQRFSKKLPIVFVGKSADLKMIVKLMSDEIRRSLKSRGLLLPPWRKNRFMQNKWFGPYRRTLNCTAANVAIPVTHTKPAVKCSLIGFNVVDSAPLFSAATRTR >cds-PLY70617.1 pep primary_assembly:Lsat_Salinas_v7:8:35057676:35058141:-1 gene:gene-LSAT_8X27700 transcript:rna-gnl|WGS:NBSK|LSAT_8X27700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRVTPLHQSFSAYASNRVKKTPRGGYQLAFRGRGVLYYSYPYPEHSGRASYVPPGTTDLPSLRSHRSNGLRALSFDDGPEAAHEASIVSRIEEVCDGDEDPTHSRSVAIGEG >cds-PLY77408.1 pep primary_assembly:Lsat_Salinas_v7:9:200919381:200919611:1 gene:gene-LSAT_9X124880 transcript:rna-gnl|WGS:NBSK|LSAT_9X124880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKTLGPTGEFFRRRDEWRKHPMLTNQFRHATPGLGIALVAFGIYLVGETAYNKIYAPSHSQSHHPAAASSPSHSH >cds-PLY99066.1 pep primary_assembly:Lsat_Salinas_v7:6:149606095:149606836:1 gene:gene-LSAT_6X90520 transcript:rna-gnl|WGS:NBSK|LSAT_6X90520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVFYFLILLNTIQYVYGADTNITPLDFVKTSCKTTRNQALCVNSLSSYAGSIQGSDQQLAKAAIAVSLNNAKSAAALVSKLSGTSKLKPQEYQALKDCVNSMSSCIASLTQSVQELGKMGQFKGKNFDWHMNSLQTWVSSALTDQNTCAGGFSDRSMNGKVKDALNKKMISVAQVTSNALALVNGFALRHKAGTHKP >cds-PLY75901.1 pep primary_assembly:Lsat_Salinas_v7:9:198493520:198496911:1 gene:gene-LSAT_9X122640 transcript:rna-gnl|WGS:NBSK|LSAT_9X122640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANRRLNPTSLAFLALLLLFSIASAKVFFEERFDDGWESRWVKSDWKKDENMAGEWNYTSGKWNGDANDKGIQTSEDYRFYAISAEYPEFSNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKKFGGDTPYSIMFGPDICGYATKKVHAILTYNGENKLIKKDVPCETDQLSHVYTFVLRPDATYTISIDNVEKQTGSLYSDWDLLPAKQIKDPEAKKPEDWDEKEFIPDPEDKKPDGYDDIPKEISDPDAKKPEDWDDEEDGEWTVPTIPNPDYKGPWKAKKIKNPNYKGKWKAPMIDNPDFKDDPDLYVFPKLKYVGIELWQVKSGTLFDNVLICDDPEYAKQLVEETWAKQKDAEKAAFEELEKKKEEEESKDDPADSDADNDDAEPEDEEEADENDVKDEL >cds-PLY91911.1 pep primary_assembly:Lsat_Salinas_v7:8:201803478:201804119:-1 gene:gene-LSAT_8X128480 transcript:rna-gnl|WGS:NBSK|LSAT_8X128480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHSSGKVHQKSKIQLINLDAMEVKKWNSRRVMKICCVVATLLLLIFLLISVILFFTVFKPKNPKVTTHPTSLENVEFQLYPNVSINATIVLNVTISNRNHGSFKFQNSIAYVDYRGTLIAEIPIEHANIPAHGNFTITAYANVTTEKMVTDPNFYNDMDSGYFNFTSTAILYGDVSVFKIIKKGAKVTNICNIIVALLTRKVESKCHAKVKM >cds-PLY86511.1 pep primary_assembly:Lsat_Salinas_v7:8:134871874:134873017:-1 gene:gene-LSAT_8X91581 transcript:rna-gnl|WGS:NBSK|LSAT_8X91581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATEQPIVATEPATKGAAKATKVKKAKKPSAPKTKDAPLHPQYSEMIKDAIVSLKERNGSSVQAISKFIEEKYKNLPANYKKLLLNRLNKETAAGKLVKVKYSFKLPPKNTPATADSSAPAKKPKTAVKKPAVKKAPAKKKAADAAPKAKAPAKPKAKAKAPAAKPKAAPVEKAKPAAKPKAAPAPKAKPAAKSKAAVKPKTPTKPAAKSAKTSTRSTPGRTAAAPKPAAKPAVKKTPAKKPAAPKKAATKKGK >cds-PLY77653.1 pep primary_assembly:Lsat_Salinas_v7:5:172880115:172890251:1 gene:gene-LSAT_5X76460 transcript:rna-gnl|WGS:NBSK|LSAT_5X76460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVSSRLPNHPSFLANAVKKISFLILNLYVHGQMSGLKKRLNYTKKKMEDIKYIRTISREEVYLEFDHGECLCRIGEQLLSRFDTTYQKRELSTMAECAKILSQSITKTMSESNIHKGDDELGDHQNQLRYHNGQKKGSARKEGVLRKRFLRNGASDDCNQTTTTNGTTGVEVSNPMESFDDYITKMRAVRPSDHELQKEKLAFAGFGGLKLCVNNITYNFQCSFQN >cds-PLY70981.1 pep primary_assembly:Lsat_Salinas_v7:9:72366352:72368280:1 gene:gene-LSAT_9X58821 transcript:rna-gnl|WGS:NBSK|LSAT_9X58821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSRENKKAAMHDKLQLLRSVTNSHATKDSSILIDASKYIEELKQKIDILNEDIARSSSYSWPMDLNQVTVENLEKGIQVNVYSERSCPGLLVFVLKVFEEFSLNVLEARVSCKGSFQLEALGVESEENGETIDTHMVKQAVLQAIQDWNESNDQE >cds-PLY86913.1 pep primary_assembly:Lsat_Salinas_v7:5:266994053:266996256:1 gene:gene-LSAT_5X138221 transcript:rna-gnl|WGS:NBSK|LSAT_5X138221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase/pectinesterase inhibitor 12 [Source:Projected from Arabidopsis thaliana (AT2G26440) UniProtKB/Swiss-Prot;Acc:O48711] MSFFRFLLLILFLSTHQTLSRSSPDSASLIRSVCKSTPYPDVCFDSLKLSISININVNVVLQSLQFAINEGVKLLPFLSNTDGNIIEKQKGTIQDCNELHQITLSSLKKSLSRLTTTSSSSSSNKLADAGAFLSAAVTNKNTCLEGLESASGPLKPLLVDTITTAYKHVSNSLSLLSASSSKEKDKSKHHKTTNKGMDLPKWLSGKDRKIFRNDYEDDNDDYDYDYNTLTVANDGSGNFTTITDAINFAPNNSVDRVLIYIKQGLYEENVEIPSYKTNIVLLGEGSDITTISGNRSVIDGWTTFRSATVAVSGEGFLARDIGFHNIAGPEKHQAVALRVNADLAAVYRCAISGYQDTLYVHSFRQFYRECDIYGTIDYIFGNAAVVFQGCNIISRMPMPSQFTVIAAQSRDSPDQQTGISFQNCSILATDDLRDRSSFVTNSTSIPAVKSYLGRPWRTYSRTVFMESYIDNLIDPMGWIHWSSKDEGLESLYYGEYGNIGPGSRVEGRVSWPGYHVMDYNEATNFTVTEFIAGEEWLDSTSFPYDDWV >cds-PLY95285.1 pep primary_assembly:Lsat_Salinas_v7:8:112986857:112989215:-1 gene:gene-LSAT_8X74601 transcript:rna-gnl|WGS:NBSK|LSAT_8X74601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKKRRVSANGDGLEVTIYRSKGALEKEGRSPKKVHVVKQETQLTWMIRRIKQLKGCEAVNMMEEWSLKFPRKQKILNLFYSDIFGFSHWSLAIRSLKAQVPVSTTSPGRQSVGGLYYLSYLDHEFLWVEMWEVVVCPQGFDVTGKPIVSPVSFFP >cds-PLY71285.1 pep primary_assembly:Lsat_Salinas_v7:3:192695768:192696148:1 gene:gene-LSAT_3X114761 transcript:rna-gnl|WGS:NBSK|LSAT_3X114761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLERADILPLAKSRIEIFINKVFSFSADDKIFVNGYDANEKILICSKYSKEISPADEQLFLAFQNSLLKSDLICEHVADIYKTLQKRTNRYNCQRCSTINVASTTENNNGKAIIKEDSSTITK >cds-PLY65201.1 pep primary_assembly:Lsat_Salinas_v7:7:59766471:59768153:1 gene:gene-LSAT_7X45581 transcript:rna-gnl|WGS:NBSK|LSAT_7X45581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDEALKCLKIGKDALGLGDRTRALKFIKKAQRLDPSLPVDDLLSTLESTNESPKSPQTGAGDGDSSNVRRRVPGTGSSSGSCTEEQITIVREIRRKKDYYDILGIEKTSSVEDVRKAYRKLSLKVHPDKNKAPGSEEAFKKVSKAFQCLSVDENRKQYDLVGSDEPTYETQTTRRRTHQGFNNGFFYNQEVDADEIFRNFFYGMNPRTTTQFTGFNFGPGMGTRTGVNGSDGFNIRALLQFLPVIIILIFSFLPSNEPVYSLQRTYTYDYRLTTQKGVNYFVKSRNFEQKYPSNSHERIQLEGRIDHEYVSILSYNCRLEAQRLHWGYQRETPNCDMLRQFDPTLVDSHTRSGCFFFFFFFSKKNTYMVIIIIWFLKIMLHWYLLSTSVLITFIKDDDLCIFCNSFKDWKAWCFIWKHIFRFWFFFSSFADCNSKKILKL >cds-PLY81194.1 pep primary_assembly:Lsat_Salinas_v7:8:287313614:287316025:-1 gene:gene-LSAT_8X161760 transcript:rna-gnl|WGS:NBSK|LSAT_8X161760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSQADLDRQIEQLMECKPLTESEVKTLCEQARTILVEEWNVQPVKCPVTVCGDIHGQFYDLVELFRIGGNPPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRLTILRGNHESRQITQVYGFYDECLRKYGNANVWKHFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIATQFNHTNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEISDNMEQNFLQFDPAPKQIEPDTSRKTPDYFL >cds-PLY82266.1 pep primary_assembly:Lsat_Salinas_v7:1:69078345:69079520:1 gene:gene-LSAT_1X60841 transcript:rna-gnl|WGS:NBSK|LSAT_1X60841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLSCNHFEGEIPQSLQHLRGLQSLNLSNNHFTGRVLPSLGHLKNLEALDLSRNHLSGEIPQQLVQLGFLSIFNVSFNRLQGRIPQGKQFDTFDNNSYFGNPRLCGRPLSKECQDHPKVSRLPPTSSVSESLFPTETIDWIIVFCGVGSGLVVGIAIGNNLHTRYSHQITKRKDTWIENCELPSNELNLTCV >cds-PLY75291.1 pep primary_assembly:Lsat_Salinas_v7:3:156262811:156263125:-1 gene:gene-LSAT_3X99880 transcript:rna-gnl|WGS:NBSK|LSAT_3X99880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQPFHREEPSTIEHPPELTFDHLTSHPTCTCCKTAFHHHYLRYFHPTLPHNQHGLRIKRKEEGRRWYSWYSYSEDRLSVKPTKEQRRVMCAWLKNKRKNEIKE >cds-PLY95994.1 pep primary_assembly:Lsat_Salinas_v7:9:40672007:40674031:-1 gene:gene-LSAT_9X36341 transcript:rna-gnl|WGS:NBSK|LSAT_9X36341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTGKRGFLRNIVVRFFLFSLLVFGIRFAYVIVIHGESCDIGDEFCFFSSSNLFENQKLVTTAGVGHASSSSVILHPAAASTPEFQKRVMFYITVFQDLIVDGFLFTKSKALCVETPIGDDVYALKEIGVDDAVGIYKKAAKPLVINGLGFRQPFHDNTFDFIFSGGGGFDRSEKAAEFAEEVQRTLKPEGYFIVHTASKDTYSFNSFIGLFNCCKFIRSRHIDGFDSDMPEIHEIVMKKVNDIKIRRKTDQNPNNKCSVPGYKQDLVKRAEPLIPKEPLKPWLTLKRNIQNVKYLPSMVDITFKQRYIYIDVGSRSYGSSIASWFKKQYPKQNKTFEIYAIEADKHFHDQYKSKKGVTLLPYAAWVKNESLTFEINQTPGDENVEKGRGMGRIQPVESGGGIVGSIDEIQGFDFAEWLKNTVTEKDFVVMKMDIEGTEFDLIPRLIETGAICLIDEVFLECHYNRWQKCCPGVRSPKYQKTYGQCLDLFKSLRQRGVLVHQWW >cds-PLY62381.1 pep primary_assembly:Lsat_Salinas_v7:8:113305323:113305695:1 gene:gene-LSAT_8X77121 transcript:rna-gnl|WGS:NBSK|LSAT_8X77121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILQRFIDSFWLEETWVQKCQNAKTAYSFELLKEELGEAINCNEVQTLTNAPEIVTEWKTLKRDHEMVFNLKSFIQQWRTRTTMQNPNNKTMDSQILT >cds-PLY72493.1 pep primary_assembly:Lsat_Salinas_v7:2:141033502:141034235:1 gene:gene-LSAT_2X68860 transcript:rna-gnl|WGS:NBSK|LSAT_2X68860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAAAEAVMIILLTLPGLDALRKGLIAVTTSLLKPFLSVVPFCLFLLADIYWKYETMPSCESQNCSPSEHLRHQKSIMKSQRNALLIAAALVFYWILYSVTKLVVRVDQLHQRIEKLKNKE >cds-PLY63678.1 pep primary_assembly:Lsat_Salinas_v7:4:133403472:133407211:-1 gene:gene-LSAT_4X83401 transcript:rna-gnl|WGS:NBSK|LSAT_4X83401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLGTTSTSDRRSKSNNRRRNGEESTTTNSTQPRQNVEQVAPDGAKDDKKVGAVETIRQTDGEVRPSDRRRPKPEYSLKVVQGWPSWLSDVAGDAIKDWIPRRANTFEKLDKIGQGTYSNVYKARDLITGKIVALKKVRVDNLDPENVKFMAREIIILKKLNHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLSGLAAVQGVKFTEPQIKCFIKQLLSGLEHCHKNGVLHRDIKGSNLLIDNDGILKIADFGLASFYDPRHKQPMTSRVVTLWYRPPELLLGATYYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKRSRLPNATLFKPQQPYKRSTVETFKDFPPSSLPLLENLLAIDPDKRGSAVASLNSDFFNTEPYACEPSELPKYPPSKELDVKLRDEEARRQRGLSGKSHAVDGNKKGRTRDRAGRAVPAPEANAEIQSNLDRWRVVTQGNAKSKSEKFPPPHRDAAVGHPLDPSHNNNNNGPISFNGGDDSSFSSSIFDSKSSRSVKEVGTTSKKKHRRGRSHTISSSKFIRAFLPTSMDLRFKTKEAVFGNRR >cds-PLY77757.1 pep primary_assembly:Lsat_Salinas_v7:2:169896089:169900008:1 gene:gene-LSAT_2X91621 transcript:rna-gnl|WGS:NBSK|LSAT_2X91621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATKTSAGPRYAPEDPTLPKPWRGLVDGNTGNLYFWNPVTNVTQYQRPSVPKEDPPPPEVQVQPSSQDDNGVAAASDDVTHERSGNGGSNFSSETNCHSCETTQDTYDAPKETETVASGQGGPPYQAHVRSAGSGLSPDAYRQKHEITVSGDNVPPPFTSFEDTGFPSELLRDLLQTGFSAPTPIQAQSWPIAMQNRDIVAVAKTGSGKTLGYLLPGFIHLKKTRKNPQLSPTVLVLSPTRELATQIQDEAVKFGKSSRISCTCLYGGAPKGPQLRDLANGTDIVVATPGRLNDILEMRKVSLSEVTYLVLDEADRMLDMGFEPQIRKIVNAVPTRRQTLMYTATWPKEVRKIAADFMVNPVQVNIGNINELVANKSITQHVEVVAYNEKHRRLEQILRSQEPGSKIIIFCSTKKMCDQLARNLTRPFGAASIHGDKNQGERDHVLSQFRSGKCPVLVATDVAARGLDIKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGEAYTFFGDQDAKHASELVKLLEGANQRVPNQIREMASRGGGMGMGGGRSRRWSSDTGGGGYDSSYGGGRGSFSSEKSGNRDSDRDAGGGYQGRSFHETMLGGGGGRSPPNKGGSGWGVSNDRSRSRSPERFGNAPPVRSFHQTMMERARPPPVFKFGEADGNNDGAN >cds-PLY69767.1 pep primary_assembly:Lsat_Salinas_v7:5:232332286:232333192:-1 gene:gene-LSAT_5X116980 transcript:rna-gnl|WGS:NBSK|LSAT_5X116980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSSIDLESISEATSGAIGTVLSTTILYPLDTCKAKYQAETKNRVDIRDLYTEKIFFNDLVRSHFINYSFFYTEISAILFREQWRLISGHPHTRLMMKANSFGVRRGKESSS >cds-PLY70125.1 pep primary_assembly:Lsat_Salinas_v7:3:14143356:14144456:-1 gene:gene-LSAT_3X9241 transcript:rna-gnl|WGS:NBSK|LSAT_3X9241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKCSNKGEWEGKGKAISSDDVDQGTIISAKKKGSENKYSKGKEMAEGSSSWDSQEKIPEGHPSPVAVPDPVVENIVSEHASSANVSSLPPVSEVSPLVPKMNIDFPCRYCTRRFPSAQGLGGHQNSHKKEREHSKRRRMHGYMPHSSSSLVDAPIYPDYSIFSNGVSLGYPQLPLRQANSFTLARPSSHSQGHPYSSSLPFSPNTKYPQLTSGLNVGEFGQPSNMWFNCSQLSGYDRFTTIGAHPGNNDNDNQTSGNTRSDFNSLGMEHNEGGSLAGGILTVKENTCLVASGFEAGGTSSGDRNFLGLSQGESTVSHEIQGTIKEYEEEESDGTIDLLSRVGMCNTEDADAELNVDCVNQLSRM >cds-PLY97628.1 pep primary_assembly:Lsat_Salinas_v7:5:235809468:235809674:-1 gene:gene-LSAT_5X114640 transcript:rna-gnl|WGS:NBSK|LSAT_5X114640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDLDDTEILRAAIATDEEDGEEAEPLDFLGHGEYFDIFFEKISSPLLRPAIALFAISIMKIGLAR >cds-PLY79135.1 pep primary_assembly:Lsat_Salinas_v7:9:90242945:90243232:1 gene:gene-LSAT_9X69521 transcript:rna-gnl|WGS:NBSK|LSAT_9X69521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSLICKRQWNEMSLPRCRIQSKIFISPSTISPSSISHLFVFMTERYLLELCRLLPPNVMTD >cds-PLY64393.1 pep primary_assembly:Lsat_Salinas_v7:4:24628582:24630498:-1 gene:gene-LSAT_4X17621 transcript:rna-gnl|WGS:NBSK|LSAT_4X17621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT3G25900) UniProtKB/Swiss-Prot;Acc:Q9SDL7] MGVLFEAKEEQERAGLLEDFIQKAGGCAVIDGGFATQLEIHGASINDPLWSALCLINSPHLITKVHLEYLEAGADIIVTSSYQATLPGFMSRGLSLEQGEELLEKSVKLATEARDLFWETVEKIRDHSYNRALVAASIGSYGAYLADGSEYSGYYGADVDLNKLKDFHRRRLQVLVEAGPDLLAFETIPNKLEAQAVAELLEEENIEIPSWICFSSVDGVNAPSGESFAECLEVINKSQKIVAVGINCAPPQFVHNLIQKFNELTEKVIVVYPNSGETWDGVAKRWLPSKCFNDEKFKVLAKRWHDSGAKLIGGCCRTTPSTIRGLSKVLKKTN >cds-PLY68887.1 pep primary_assembly:Lsat_Salinas_v7:2:194357231:194358293:-1 gene:gene-LSAT_2X116281 transcript:rna-gnl|WGS:NBSK|LSAT_2X116281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable membrane-associated kinase regulator 4 [Source:Projected from Arabidopsis thaliana (AT2G39370) UniProtKB/Swiss-Prot;Acc:O80624] MAVDSVLGSICYDDVEKEEEQEEYIDMEVSSYKTLNLFCHTNPSEFEFQMFSSSSDRELTTTSPADELFYKGKLLPLHLPPRLQMVEKLLQDKSMDTFDEFFSTPLDTSPYATPIANTPFRSCNVSPTESCQVSRELNPEDYFLDDHSTAENPKKSWTRKLTLTKQSSIGLKLKASRDYLRSFFGKPSAEESRNKVPRTSGKKKEKGDGHRHRRSFSYAIKRFSTTNTSSGTSSFSSSSDSNSSNLEFRVHQQRSINTGSDIENQIQSAIAHCKRSSQQQLHSRKTVSDMGFCSLAASRIVCDDKERQVLCRG >cds-PLY78362.1 pep primary_assembly:Lsat_Salinas_v7:9:8873759:8874931:-1 gene:gene-LSAT_9X6420 transcript:rna-gnl|WGS:NBSK|LSAT_9X6420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQPQRPQSQEEPQEPIKYGDVFNISGELAKKPITPQDAADMQAAENLVLGETQKGGPAAVMQSSAAVNNNRDLVGLYDFSDPGIAISDTEYAGHRIVTESVGGEATFINLISNQVAEPGNLDITEFKYPLYLSFKRRFYAKLLQKHPLPIETQRTYRIPPWLYICLNYIRLMRFTLCRRFVYQSCKFSQVLGQYVRTNLASSLAPANLGDDAITVGEALEASALSAGGKPVDEGDAAAIQAAEVRATGRMQAVPGGVAAKAQAAAAQNVRTMREEDKAKLKDVDATSLLPKDKPATRVDAEGVIAAEIRNKPDLATYPGGVASAVDAAAKINQQK >cds-PLY97957.1 pep primary_assembly:Lsat_Salinas_v7:3:18205193:18206858:-1 gene:gene-LSAT_3X13941 transcript:rna-gnl|WGS:NBSK|LSAT_3X13941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSLVPFSSACSSSTLPKLKPLYTLHHHPYININQKRRIRNGRCRAELIHDAPFVFAIGACVLNSLVFPLPVSPNDEEDGDSVIDSADARFAVMGIISFIPYFNWMSWVFAWMDTGDKRYAVYAIIYLAPYLRTNLSLSPDESWLPIASILLCILHIQLEASIKNGDLQGFQLFNGASRNKSLEKDVRISKQGRRKDEQKLPSSNDESRNMISGWGIPKKPAQKADHLDEEGDEGKKH >cds-PLY75024.1 pep primary_assembly:Lsat_Salinas_v7:9:193899221:193912944:1 gene:gene-LSAT_9X119221 transcript:rna-gnl|WGS:NBSK|LSAT_9X119221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLEIFLKGDVYYGNVKGMIPHGKGKYTWSDGTVYEGSWKKGKMTGGGKITWTSGTTYEGEFCGGYLDGFGTLTNPDGSTYIGSWRMNIQHGLGRKQYSNSDVYDGSWKEGVREGSGKYGWCNGDMYIGNWKGGLMCGRGVMKWLNSDLFDGYWLNGYRHGSGVYRFADGSYYFGTWTKGLKDGRGMFYPGGSIRCPSSKRLGKKKRSINRSLSDKISINGFFKELGRISSKRISTGGSVREFNTDILSYTSDEGESVDDDVGESVDDKSIVVCEREYIQGVLISERIKNNAGVLYKKEEQRKFHMKEVERKSSLDIFEGYKSSYLMLNLQLGIRYTVGKITPVPMREVRYSDFGEQSRIRMYFPRKGSQLTPPHSSIDFYWKDYCSMVFRNLREMFKLDAADYMMSICGDDGLRELSSQGKSGSLFYLSHDDRFVIKTLKKSELKVQFVVMGNMFYTELQIHQRYDLKGSYHGRNTNKDDIEVGTTLKDLDLSYDFHMDKSLRDALFKQIKLDCIFLGSQRIIDYSLLLGLHFRAPEHLKSLLEPPDVFHKPQKTPGPLSPLDHSIPPKGLLLVTHEPSSVNTEPGPHSRGSTLRAFSVGDKEVDLLLPGTARLRVQLGVNMPAHANHKVSHDISGSTEVELYEVYDVVLYLGIIDILQEYNTRKKIEYAYKSTRHDPMSISVADPKFYSKRFFNFLEKVFPSNT >cds-PLY65348.1 pep primary_assembly:Lsat_Salinas_v7:6:39235191:39238845:-1 gene:gene-LSAT_6X31000 transcript:rna-gnl|WGS:NBSK|LSAT_6X31000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENGNHVNGVVNELCIKDPLNWGVAAEALTGSHLDEVKKMVAEFRKPVVKLGGETLTVSQVAGIAAANDSDTVKVELSEAARAGVKASSDWVMESMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGNGTETSHTLPHSATRAAMIVRINTLLQGYSGIRFEILEAITKFLNNNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPTGEVLNAEKAFAAAGVEGGFFELQPKEGLALVNGTAVGSGMASMVLFDANVLALLSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEYILDGSDYVKAAQKVHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRSSTKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGGEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISARKTAEAVDILKLMSSTYLVALCQSIDLRHLEENMKSTVKNTVSQVAKKVLTMGVNGELHPSRFCEKDLLRVVDREYVFAYIDDVCSGTYPLMQKLRQVLVDHALNNGETEKNTNTSIFQKIATFEEELKVLLPKEVEGVRIAYENDTLSIPNRIKACRSYPLYRFVREELGGGFLTGEKVTSPGEEFDRVFTAMCKGQIIDPLLECLGGWNGEPLPIC >cds-PLY72949.1 pep primary_assembly:Lsat_Salinas_v7:5:9749377:9750314:1 gene:gene-LSAT_5X5181 transcript:rna-gnl|WGS:NBSK|LSAT_5X5181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKGLGFCRRTSRIGPSCGSRTTCWFKFGKNGVDSEGAGIYGSQSRDDFDRDDVEQYFNYMGMLAVEGTYDKMEALLSMNIHPADILLMMAASEGDKPKIEELLKAGAKYDVKDAGGKTALDKAVNDEIKNFILSFTTQKA >cds-PLY71431.1 pep primary_assembly:Lsat_Salinas_v7:8:42810389:42815237:1 gene:gene-LSAT_8X33061 transcript:rna-gnl|WGS:NBSK|LSAT_8X33061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:FHY3 [Source:Projected from Arabidopsis thaliana (AT3G22170) UniProtKB/TrEMBL;Acc:A0A178VJL5] MDIDLRLPSGDHENEEKEEEAKEMSNMIDAEHKIHNEEFLSIRDKSPPDNDIGLNSPSLDMAEYKEDDINLEPIAGMEFESHGEAYSFYQEYARSMGFSTAIQNSRRSKTSREFIDAKFACSRYGTKREHDKSFNRPHGRNRTNQDPENASGRRSCSKTDCKASMHVKRRPDGKWIIHRFEKEHNHELLPAQAVSEQTRKMYAAMARQFAEYKNVVGLKNDSSNPFDKTRNTALEAGEANILCKFFVHMQSINSNFFYAIDVGEDQRVKNMLWADAKTRHDYVNFCDVVSFDTSYVKNKYKMPLALFIGVNQHYQFMLLGCALLSDESSATYSWVMQTWLAAMGGRVPKVVITDKDHNLESSVAQIFPSSKHCFCLWNIMGKISEALNHVIKEHDNFMVKFEKCIHRSWTDDQFEKRWFKIIERFGLKDYDWMQSLYETRKQWVPIYMKDVCLAGMSTPQRSESVNCFFDKYVHRKTSVQEFIRQYETIIQDRYEEEAKGDSDTWNKPPTLRSPSPFEKHVSSIYTHAVFKKFQVEVLGAVACMPKKEAQEEMVATFRVSDFEKNMDFNVSYNEPTSEVTCICRLFEFKGFLCRHAMIVLQICGLSSIPAQYILKRWTKDVKNRGLTLMSEGGEQLQYRVQRYNDLCQRAIKLGEEGSLSQESYNLAIGALEEVYTNCVCLNNSNKNLIEVGTSSGQGVLRIEDDNQRNTRANKKKNPMKKRKANSEQDIMTVGGQDSLQQLDKLSSRSIGLDGYFGPQQSMQGMVQLNLMAPSRDNYYGNQQAIQGLGQLNSIAPNHECYYGAQPTLHSLGQMDFLRAPGFTYGIREEPSVRTTQLHDDGSRHA >cds-PLY69105.1 pep primary_assembly:Lsat_Salinas_v7:3:227287206:227288540:-1 gene:gene-LSAT_3X128781 transcript:rna-gnl|WGS:NBSK|LSAT_3X128781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMYGMSSAGQDYLGVDELFDFSGDRDDVFSSSAVNATDVFHNITASGSQYQYGFNNNNNTITNYHHQSTDFTDHFCVPSDDVAELEWLSRFVDDSFSDFPTNNIAGTINFRPQNASFHSRSRSKRTRAPSNNNSWTTTPPVPTTPVSETSKASSSCDTTSESGVVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLVPEYRPAASPTFVLTQHSNSHRKVMELRRQKEVMEGGQHHQHHHYQHQPQLMPSEHQIYGGAHHRHREQQQLHHGSNYEVC >cds-PLY65915.1 pep primary_assembly:Lsat_Salinas_v7:4:139502212:139505492:1 gene:gene-LSAT_4X87081 transcript:rna-gnl|WGS:NBSK|LSAT_4X87081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEASFFSEVVGLQQQKSDDGVVFPAVLFPNPNASKPVQLTDAIKANKPWLDSLLHRSGAILFRGFPVSTASDFNDVVNSSGYEDFPYGAGVLATRTKVCDRVYTANEAPPDQMIGFHHESSHASEFPSKLFFFCEIEPGRGGETCIVLSHVIYEKMKGKHPEFVEQMEEKGLTYSRVIGEEFDPSSPVGRGWKAAFMTDDKSVAEERATELGMKLEWIGDEVKVIIGPMPSFRYNEVRQKKTWFNRLAVSYGGLKDKLNDDPTKAVVFGDGEQLPLDAVNDLLKMLDEECVALQWCKGDLLLLDNLAVLHSRRPLLAPPRRILASFCK >cds-PLY83690.1 pep primary_assembly:Lsat_Salinas_v7:4:44425445:44431342:1 gene:gene-LSAT_4X29820 transcript:rna-gnl|WGS:NBSK|LSAT_4X29820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKVYGTGVFDFRRHRVAEYPVTVGGSDQAVPERPPESKPGTNLPSSITLVEIQRDRLTKIADANWSKTASNNDKPPFSAELVKEIYETELLVKEDKSGRMRRTVPLQRVMILEVSQYLENYLWPNFDPETSSFEHVMSMILMINEKFRENVAAWICFYDRKDIFKAFLERVLCLKEGKSLSIAEKTNYLLFMINAFQSLEDDIVSKKVMRLASLHCWHSLSFGRFQMELCLDNDLIDKWKRIAKKAKKAKKRGESFDMSVMLEVKFLRNFIEEFLEVLDSKVFYHDDDNEGSKVHGSEQINEASILYCERFMEFLIDLLSQLPTRRYFKALVADVAVVAKCHLSVLYRHEKGKLFAQLVDLLQYYEGFEIDDNTGKQMTDDDVLKAHYERFQAFQLLSFKKVPKLKELALANIGAIDRRADLSKKLSVLSPDELRDLVCSKLKLVSKNDPWTERVDFLIEVMVSFFEKQQSQKESINALPLYPNEQIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLLAYTNNEGDPAFRGWSRMAVPIKEFKITEVKQPNIGEVKPSSVTAEVTFAISSYKAQIRSEWNALKEHDVLFLLCIRPSFEPLSAEEAENASVPQKLGLQFVRGCEIIEMRDEEGNLMNDFTGRIKRDEWKPPKGDLRTVTVALDTAQYHMDVTDIAEKGAEDVYGSFNILMRRKPKENNFKAILESIRDLMNETCIVPKWLHDIFLGYGDPSAAQWTNMPDLLETIDFKDTFLDADHVRECFSEYQLMFTNADGTENLNPGPPFRINIPRNLKGNTHALSGNEKSNSDSVDVKDFEEEKEKEKLIVEAYLPADPGPYPQDQPKQNSVRFTPTQVGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMERDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLQLPEDVGYTCETAGYFWLLHVYSRWEQFLASCNENQDKPSFVKDKFPFTEFFSNTPNPVFNGTSFEEDMRAAKGCFRHLKTVFQELDECRAFELLKSQVDRANHLMTKQAKIVAMTCTHAALKRKDFLHLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGHARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSLAKLYNWRYRDLGDLPYVKENPFFHKANAGFGFDYQLVDVPDYHGKGESAPSPWFYQNEGEAEYLVSVYIYMRLLGYPANKISILTTYNGQKLLIRDVLNRRCAPYDFIGLPHKVATVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDLLALNLHEVAPATDRSVEDTGPTHFVSGIDEMAGIVNFRMHQVYQARMIGNQFSDYSGEMGGAKTNEHDDDDDDMETDMQNVGNGEHEEAKEAETQMEVDEATQLETPPADGESMPTESGLNADDSVSVEATGVDKNETPL >cds-PLY86568.1 pep primary_assembly:Lsat_Salinas_v7:9:5218320:5219045:-1 gene:gene-LSAT_9X2281 transcript:rna-gnl|WGS:NBSK|LSAT_9X2281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVTALVNERSVVIFSKTSCCMCHTIQTLIRSFGANPIVYELDEHPRGQQIEKELKGLGCKPSVPAVFIGQQLIGGANEIMTLHLKGQLVPLLLSSNAIWV >cds-PLY95306.1 pep primary_assembly:Lsat_Salinas_v7:4:298809057:298815912:-1 gene:gene-LSAT_4X151621 transcript:rna-gnl|WGS:NBSK|LSAT_4X151621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKMKNEEEECSGVWINVIILTSISYMDEVIYNRDTEQSRGFGFVTMSTVEEADKVVEKFNGYVNLIFFSIYPS >cds-PLY91796.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1539832:1541312:1 gene:gene-LSAT_0X441 transcript:rna-gnl|WGS:NBSK|LSAT_0X441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSAEARASKILAGLGFTTAMQHRTTQSFSGGWRMRISLAQALFMQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNTVCNEIIHLHDLQLHHYRGNFDDFENGYEQRRKEMNKKFETFEKQVKAAKRSGNQKQQEKVKDRAKFEARKKGRGKGKGKGKVDDEDDILEAPKKWRDYTVQFHFPEPTELTPPLLQLIEVSFSYPERDDFKLSNVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDITPVTGEVRRSQKLRIGRYSQHFVDLLSMGETPVQYLLRLHPDQDGFSKQEAVRAKLGKFGLVSYNHLSPIAKLSGGQKARVVFTSISMSRPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCDDEEKSEIWVVDDGHVKAFGGSFEEYKEELQREIKAEVDD >cds-PLY98792.1 pep primary_assembly:Lsat_Salinas_v7:7:23268504:23268806:1 gene:gene-LSAT_7X18600 transcript:rna-gnl|WGS:NBSK|LSAT_7X18600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVILRILVISFMIFTMLLTKINAHEGLENSFVRVVRLGQPPYSSASGPHSNVDTMEVTGIHKSNKLKVVPDVIIGGYLMACVVAVLLYIRVTRRKSEVPS >cds-PLY69902.1 pep primary_assembly:Lsat_Salinas_v7:4:68704984:68706863:1 gene:gene-LSAT_4X47601 transcript:rna-gnl|WGS:NBSK|LSAT_4X47601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSEQKKWLVMHVIAIIFALKMVSFGVNGDPQVPCYFIFGDSLVDNGNNNGIASLARANYLPYGIDFPSGPTGRFSNGRTVVDTVAELLGFDDYIPPYANVRGEAILGGVNYASAAAGIRDETGQQLGARISFGGQVNNYKNTVSQVVDILGDEDSAANYLRQCIYSVGLGSNDYLNNYFMPNYYQTSRQFTPEQYASVLIQQYSQLVRELYNYGARKLVLNGIGQIGCSPNALAQNSPDGTTCVAKINSANQIFNNQLRSLVDTFNRELTDAKFIYINNYGIFQSLISSPQSYGFTVTNAGCCGVGKNNGQITCLPGQTPCQDRDAHLFWDAFHPTEAANVIVGRRSYNAQSASDAYPMDINRLAQL >cds-PLY94123.1 pep primary_assembly:Lsat_Salinas_v7:8:29273485:29276171:1 gene:gene-LSAT_8X24480 transcript:rna-gnl|WGS:NBSK|LSAT_8X24480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSRPESSKDISSSSLNIGLEHPEKERFRYPDTRGSFSFDKYTSMKQYGDDGDKHMVLLRDPTYSNLPASRSKESMSASHMKDYTRTSPQKSRIDHLGYRNDIPLSRDNHPLNSSNTSHSHPLSPSRYEQRQHLDHGRDPYLDYNEDAGQYHHDTFSPPRTRHLDSLHLQPRIQEREDYLYPSDDMYEKMDMRERVDYREREILKPNMLEHVTHRAEASDFSHRSRTSLDYLSLEKPPATHNIEKREHTKYLDPDSVHTRIGRKISREEEIPYMGMPQDCEIERVRVDYDYKHDVGIESHKERRRDSPRFLYEMERIRPTERTHRMEERHGLSPYDSSSRFMKRKYIVDDEENRIPITSRRHNQDFSDEEWIDEEWIDQETRGSNYTKRRDHIHDHGFSRRVDRIYDETEELMHDHHHHPMKSYKFEDKYAKGYSRSGGYNSNSNSHHQNKKHVLSKWKNLPRRSEIDIDNDNDNDMYSGEINQYEDWSNVAKSEPREDSQEFKQLVHDFFLSFTKKLNENSSVRRRYMEQGRGGSLFCIVCGRSLSKEFMDTQRLAMHAFMSRKVGLRAQHLALHKVICYMLGWNGSLPQETLRWFPEPLSSNESLAQKEDLIIWPPLVIVHNISILNNDSNANGVGPTSIEELGQFLRGKGLSGGKVRLGKYANCSIMLVKFLGTFSGLQEAEKIHEYFVKNKHGRKYLEQITSGKGKSKDGEGENGNNGDKEGERVVFGYMGIAEDLDKVDFDTKRKCSIKSKKEIKDIADAPVKAE >cds-PLY62149.1 pep primary_assembly:Lsat_Salinas_v7:5:6964881:6965632:1 gene:gene-LSAT_5X6080 transcript:rna-gnl|WGS:NBSK|LSAT_5X6080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSNNISEAEKLISQGKVALVFVDPIYCNGEICNFKQEQLQSLRTACDKAGVLLAMSEKLGGKGHVREIRASGPLAAVELDVEAQHVVDKCKENGVLIISAVGKENNIIQIKLSSGIVVHDMAIIVDILQECLKDLD >cds-PLY78824.1 pep primary_assembly:Lsat_Salinas_v7:8:288675271:288677511:1 gene:gene-LSAT_8X162060 transcript:rna-gnl|WGS:NBSK|LSAT_8X162060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPEFPDVMADGYGSRHEDLNQKAELTLKDVVATMIKKYFPRLKLSQRVLFEASPFAIFLGMHIPHGDPLLVHMMMLHEIRSKPIFEMGRFSFDIQGTQLDFGETKYILICGLKVGPYVDLLYDGKGQSNSQLRARLFPDISDSRLWLKDLEDLIMSSNSSALKDEDVVMLIQLVFMLKGLHGRDVKTGNPAAVYKLADNIDDWNRFAWGTYFWKYTSRMMRGMFEKIEEFRQFKQANPESKKGHKYIVPGFMLLFKIWILETFPEATMFYIRTPTELPRMRAWRSKTPLNWEQCCRIINVSVPNNQPINVVANPEELMLPFYVRYVNWTLNPVESSPRQHSLVPNSPPHVESLARRRMYKSEIETSTIESATNAYSSQHLETSYMSNDTSRLTKKKKTSTKALVKRLIGVVAELTSKVDRELQKKDVPETNVELDGGFQEEEEMVNEEEEEKYQHHTYFNYDDIETHGLEGEFGPTPTHVEQSSDVGEDHTKEMTPIGRPQRKRGVPWFQRTPFIVV >cds-PLY84616.1 pep primary_assembly:Lsat_Salinas_v7:1:32013327:32013869:-1 gene:gene-LSAT_1X27700 transcript:rna-gnl|WGS:NBSK|LSAT_1X27700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTYTNEHISTIPPSRIFKASILGSHILMPKLFPDAIKSVEFVKGDGFVKHEIDEVNEKTFTYKYSLIEGSLDNGTIARITTTIYTRGDFELKEEELNVGKEKVLGLYKVVEGYLRKNPNAYV >cds-PLY78768.1 pep primary_assembly:Lsat_Salinas_v7:9:50192817:50195846:1 gene:gene-LSAT_9X45061 transcript:rna-gnl|WGS:NBSK|LSAT_9X45061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGHRRRHIPKYSRFRHLIPAISAISAAILLLYCFLSFLAPSPDHFHHFGHHTSFDDHTIDDDTPKAAPIFEVPTSSKMISDRNLWSTSMSKFYFGCSNATSQFPKAQSVTRPNRYLLIDTSGGLNQQRTGITDAVVAARILNATLVVPKLDKKSFWKDSSTFSEIFDVDWFISNLSKDVKIIRELPHKGGKKWSPYSTRVPRKCDERCYHIHVLPLFSKKRAVELSKFDYRLANELETDFQKLRCRVNYHALKFTAPIVKMGQTLVNRMRNMGKHFVALHLRFEPDMLAFSGCYYGGGEKEIKELGKIRKRWKTLNISDPEKERRQGRCPLTPEEVGLMLRALGYDKNVHIYVASGEVYGGAHTLAPLKALFPNIHSKDTIATKQELLPFSLFSSRMAALDFIVCDESDVFVTNNNGNMAKILSGRRRYFGHKVTIRPNAKKLARLFLEREKLTWQEFSSRVRVHQVGFMGEPNERPGSGEFHENPKSCICEKPDAKVKLVGNNKYIDDDDDDQEEEEEEEEEEDGIVSNLRYLFNETNVDDVPLVYEENELEELLSD >cds-PLY70438.1 pep primary_assembly:Lsat_Salinas_v7:1:71562155:71563682:1 gene:gene-LSAT_1X62220 transcript:rna-gnl|WGS:NBSK|LSAT_1X62220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCDNITAAVMGMSPEQKQAILRMGFGSILQVNITSYPGQLSYYFLDVYDADSKRLVLQNSVIEITEQTVHDMMGLPFGGEDVNELPLCDKGNQILEEWRGQYSGDKFNSEEYLRRIQATTKDNLMFRLNFLTLFVNNFIELMLMGTNQIKVVRKLVLVEDFSKLNWCKYMLDCLGSRKKLWKRDDKSSYYSGPITLLILVYVYNMKYSIKIDKRLPFIGHINGAKLLEVQKLEISLGGFGRQFRDEHDDVDMGDETGAEEQQMLSFKRDFGDEEAYAAVIEHSYGVIVTEKSTMEVALKDGLLKFPHSVVLNEWMEKMNELFKGVFEGAGNKKVHEPACFNEVNMNDVGDGGEGNSSPVRGLILTEVNTEKEDNYTTPVDTTSLTMTQFYRLPGVNEEMIKLLDETELQVYKKKKRMSVISGDNLVGRNIGEAVDNAG >cds-PLY95408.1 pep primary_assembly:Lsat_Salinas_v7:9:189015722:189017157:1 gene:gene-LSAT_9X115301 transcript:rna-gnl|WGS:NBSK|LSAT_9X115301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIITYSSKLVKPSTPTPAIHRFHKISFTDELAPTINVPLILYYPPPAKGVNNQFENMCDHLKVSISKTLSDFYPLAGRYIRKLSLIDCNDQGIRYVQGKANVRLSEILEPEKGFESNVVNNFLPCEIGEADEVDDPMLSVKVTTFECGGLAIGMCFPHRLSDMGTMCNFINNWATRSKGDYESGKYSPIFNSTFYFPQRGLPELDLRIPRSSAGVKNKARRFHFKGDGIKDMRKKVGYDGNGSRKPSKVQLVVALLWKALVRIDEANNGQSKASFLIQPVGLRDKIVPQLPTNSFGNYWGLAASKLGPGEGEKIGFQDFFKTLCNSVKKTAKDCAKILTHGEEGYEVIINPYLESNKNIADNEVNFYLFTCWCKFSFYEADFGYGKPIWSSTGSFPVQNLVIMLDDHKGDGVEAWVHLDEKSMKELEQDSDIKAYAL >cds-PLY62039.1 pep primary_assembly:Lsat_Salinas_v7:5:129630897:129632356:1 gene:gene-LSAT_5X56421 transcript:rna-gnl|WGS:NBSK|LSAT_5X56421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPFSITPQMIQMYSKLDYKCNLWDKEIAFGAVASAFATLLTNPIEVLKVLIKRTPLEEGFYLHLM >cds-PLY96535.1 pep primary_assembly:Lsat_Salinas_v7:5:336545138:336546802:1 gene:gene-LSAT_5X189900 transcript:rna-gnl|WGS:NBSK|LSAT_5X189900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVKADVKEVNMVFTRGQKCSTTFRLTNLMHTMSVAVSLNTTNPSLLSFIHQFSIIPPLGTASFTLILSKPSDHPPLSTPPDNVLVRSSMLPTGKANQEDLRRLFSKHGPHIFKDVTIPISFVGTHVIEHLISSSSSKTLEIAFTLSKAISLCEESQLTCLLRSAAMNGNSYVVSSLLDAGADVNNRDSDGVSVMSLAVRSGDLDTVRILMESGCVIDHRNDRLLHTAASLNRVDLMEVLCMGYLDIDVNSIDSEGQTPLHVAACHGYIEVLEFLITLGSDPDLADHNGWTALHCCSMEGHSEAVEFLLNCISYVKYAVTKEGKTAFDLAVENEHTDLYDMLHLGDVLHRSARKGDVNGMKNCLAEGAKVNGRDQNGWTALHKTAFKGCFEGVKVLLNHGGRVDVVDGSGYTPLHRAVEGGHVQVAMLLIGHGAKASMKSLSVPFDDLDSFKNYSQDKKSLLTSM >cds-PLY91733.1 pep primary_assembly:Lsat_Salinas_v7:9:16908575:16911813:-1 gene:gene-LSAT_9X16960 transcript:rna-gnl|WGS:NBSK|LSAT_9X16960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSNIPIITTCVFILLCVTCTNAVQSDIDCLKAFKASIEDPENILISWDFNNNTEGYICKFAGIECWHPDENKVLNIKLPDMGLKGTLPLALQNCTSMTGLDLSSNKFFGNLPTNMTKILGFLTTLDLSSNNFSGEIPASFANCSYLNVLRLGNNRFSGQIPLELRNLNRLKEFSVVNNQLSGQVPYFQNGTEASYAGNPGLCGGPVLSPCPGTVKKSNTGVIVGAAVGGATIAALILVFGMLFFMRKVVRKRKDDDPDGNKWAKSIKGAKTIKLSMFENPVSKMRLSDLMKATNSFSKDNIIGSGRTGCLYKAEFEDGSLLMIKRLQDTQHSEKEFASEMSTLGKVKHRNLVPLLGFCVAKKERLLVYKYMANGNLHDKLHLLLENDTKPLDWPSRLKIGIGIAKGLAWLHHNCNPRILHRNISSKCILLDSDLEPRISDFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGERPTHISKAPETFKGSLAEWVIELSSEGRLQDSIDKSLVEKRNESEVFQFLKVACNCVVQAHKERPSMFEVYQLLRAIGARYNFSADDEVLMMPSDSGDGGHIELIVAGGVQKEF >cds-PLY86605.1 pep primary_assembly:Lsat_Salinas_v7:1:133075715:133075903:1 gene:gene-LSAT_1X98121 transcript:rna-gnl|WGS:NBSK|LSAT_1X98121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVGHNSVFILGGVSPAVRDFTGQAWEVKVAFEDKVAEVRTVFGLVVAMSVEKDPKVVIEPN >cds-PLY78305.1 pep primary_assembly:Lsat_Salinas_v7:2:180980152:180980490:1 gene:gene-LSAT_2X103261 transcript:rna-gnl|WGS:NBSK|LSAT_2X103261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSMTYMVEAVEDDNGDHNLSSTWEEDIGKEKGNNGKQKRKGKGIISMVSSDLRCSSKCAVLLIEVCGSGVRRTLLPEMRDDE >cds-PLY63367.1 pep primary_assembly:Lsat_Salinas_v7:7:147865886:147866556:-1 gene:gene-LSAT_7X87840 transcript:rna-gnl|WGS:NBSK|LSAT_7X87840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALMYTHSLLLIAVISAIFTVASSNANFQKGPQNWNFNFNNTNKPFTHKNPFHSSRRIIVGGSNNWRFGFNYTEWARTNAPFFFNDTLVFKFDPPSTTNLHPHSIYLLPNLWSFLRCDLKWAKLVANTSQGGGEGFEFVLNKWKPYYFACGESNGFHCQSGMKFSVMPLFRWF >cds-PLY95834.1 pep primary_assembly:Lsat_Salinas_v7:5:65442536:65443705:-1 gene:gene-LSAT_5X31121 transcript:rna-gnl|WGS:NBSK|LSAT_5X31121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYPWLFNFSSSLTYIDLSNNKLVGIIPEAFGTFKNLQTLDLTNNGLEGGILSSFGELSNLRELSLYANNLNQDLSSFFDNLSGYVGPQRSLQVLDLSQNQLSGSLPDFTTFTALKEFYLFGNQLNGSFPRRFEKISNLSILDLADNQINGLIPDLSALASLRRVYFERNLLHGTLAKRLEPLSMLESLGASSNFFQGTISETHIANISRLTYLDLSNNSLAIEIGSNWSATFQLETISLSSCKLGSSFPGWLRTQTNFSVLNISNAGINDFVPSWFWESLRPGIRYLNPSSNQIHGMIPDLDFISGNQPIIDLSSNNFSGNLPLFPPNKGFIVGIVGGFAFGFWGFYGTLVLKDSWRHAYYGFLNVVKDWVLLRLELSFVRLRRKTSP >cds-PLY88167.1 pep primary_assembly:Lsat_Salinas_v7:5:220906747:220910298:1 gene:gene-LSAT_5X102801 transcript:rna-gnl|WGS:NBSK|LSAT_5X102801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSIFFTLATLALLFLSSAVADVVVLTEDNFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGASFKKSKSVLIGKVDCDEHKGVCSKFGVSGYPTIQWFPKGSLEPKKYEGARTAEALVEFVNSEGGTSVKIASVPSSVVVLNSDNFEEIVLNGKKDVLVEFYAPWCGHCKSLAPVYESLAAAFKNEEDVVIANLDADNYKDLGEKYGVSGFPTIKFFPKNNKDGEDYEGGRDIDSFVTFINEKCGTSRDAKGQLTSTAGLIAELDSLVKEFVTAGSDEKKALYAKIEEEVGKLTGSASRYGKIYVKAAKSSLSKGGDYAKNEIQRLERILSKSISPTKADEFTLKKNILSAFA >cds-PLY82344.1 pep primary_assembly:Lsat_Salinas_v7:5:312890690:312892535:-1 gene:gene-LSAT_5X170380 transcript:rna-gnl|WGS:NBSK|LSAT_5X170380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEMENTTDDEKTTSLKASILSKYDEVFHAHTSDIKKVNEKITVDCEKFLQYYEDSCSQMEASSKSLQQQEYEITKKELKIQKAKSKQELKKRVRERLLSCKQLLNESCTSKRLLEGVKDELVILRKRRAELESQLKAENYESLESEITQLETALQAIQDDDCIDLQEQMKILQTKLIQKNEQIEEKKHQETIFRLAIETKNKELQEARWELIDGLKTYPIGGVIGTKRMGLVDSNPFFVGCTSSEKKKESATKFASLCKHLIEDPNWHPFTRKSDGSEIINEEDGKMVILKSECSVEQYGAVVTALVERNRYHKNGRNLMEEVWNYRENREVTLIEGIEHILKEWKIQKQRKR >cds-PLY95484.1 pep primary_assembly:Lsat_Salinas_v7:4:264640427:264644544:-1 gene:gene-LSAT_4X137100 transcript:rna-gnl|WGS:NBSK|LSAT_4X137100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTESTSAIVRNQVDLLDFIDWTGVECLNQNTTHPYPNALKQGYREDEGLNLESDADEQLLIYIPFTQVIKLHSIVIKGPEEEGPQTVKLYTNREHMGFSNVSDFPASDTLALTPENLKGKPVILKYVKFQNVRRVETTDMKGLKKIEDH >cds-PLY88268.1 pep primary_assembly:Lsat_Salinas_v7:8:153058721:153061550:1 gene:gene-LSAT_8X102601 transcript:rna-gnl|WGS:NBSK|LSAT_8X102601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVQHLKIPLDEIKFATNNFSDENSIGKGAYGKVYAAKLSLSGQQRIVAIKRLNKTSNQGEPQFLMEIQLLSCYKHKNLISLVGFCDENREKILVYEYAKHGSLDKYLSTPELSWTQRLQISIGAARGFNYLHNDVGPQHRVLHRDIKSSNILLHENWEAKISDFGLSKIGPSNVECTFLLTSPCGTIGYVDPEYARTGILTKESDVYPFGVVLFEILCGRLARTKNQDKNLLLPILARKCYEQNRLIDIIHPNLTTQVKVDSLEMFSMVAYQCLKENRSERPTMGWIVEKLEKALELQADIKAARLIRIGTWGRHNGDSQHYWSFTLEQDDHLSKITIDHGDGIHSLMFTSESRGVLHTSNKVGGWASGDKLSEVTFDGDEEIIGIDGTIGTRGSDQIISSLSFKTNKKIHGPFGQAGDHHFSVPWEEGRFVGFHGVAGPYIDSIGVYVKAYEEIVKVGTWGKSKADTLQSGWSFQLERNHHLKKITIDHGDHIYSLMFTSEHRGLLHTSRLAGGWNGGEGGETLTEVTFDWNEDIHAINGTIGLSMGQHYAGQTVISSISFVTNKKVHGPFGHPRGTPFTVPWEDCSFVGFYGLAGWYINSIGVYLKATT >cds-PLY78504.1 pep primary_assembly:Lsat_Salinas_v7:4:124258281:124258625:1 gene:gene-LSAT_4X78721 transcript:rna-gnl|WGS:NBSK|LSAT_4X78721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFRKASIFGKHYHNPPILDKENPEADMKGKNIKYDITVDPDLMGLLHKGLNGNEKISAHEFFLTLATCNTVIPILSQRHATPYN >cds-PLY97834.1 pep primary_assembly:Lsat_Salinas_v7:5:197064406:197065551:1 gene:gene-LSAT_5X87981 transcript:rna-gnl|WGS:NBSK|LSAT_5X87981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQDYTMSDYLCQELIIAIFTRLPPKSLLRFRSLSKSWYSYISSPSFIRMHGSRSTQKLLFRHHLRYGFEYFRTLLSDDFYTLHSEDQLPMCPTEGYNGITAVQFPCSRDFIIVGSCDGIFCLSEHQKGISLWNPSIRRKQSLPNCPWRPRDPLEGFGLALGFGFDPISDDYKIVQISYPRESSYFYSLKTGTWCAIASPTPFFSEVRRGIWGSCFVNGALHWVVDRYHTESHHSEIPCIMTFDLSTHVFGMIPLPEPFQRLTRLTTFQGFLAMISTYDNNSYYRDCWIWVRRDDSWSVVYKSKENQFKGGSIMGVLQPTINRDFLLSAMNDQGMEVYLIKMGTQSRLVEFHAASFISEIVQCVESLHLLENACEANQLLVS >cds-PLY82929.1 pep primary_assembly:Lsat_Salinas_v7:1:17610727:17612382:1 gene:gene-LSAT_1X14761 transcript:rna-gnl|WGS:NBSK|LSAT_1X14761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGTGAHVLVFPYPAQGHMLALLDLTHQLATRGLSITILVTPKNVPQLTPLLSAHPTTIKSLVLPFPYHPSIPSGVENASDLPFSAFNDMMVALGDLYNPILEWFRNHPSPPVAIVSDLFLGWTHNLACQLGIHRYVFSPTGALGLSVINSLWRFLPKRDDPTNVNTLISFPNIPNCPTFPWWQVSPVYRSYVEGDPTTEFVKDGLRANMASWGVIINSFRELDQIYFDHIKAESGHDRVFSVGPLLPSSKNTTKRGGSSSSSSDVLSWLDKCAAHTVVFLSFGSQFVLTNKQMEEIAVGLERSGVKFVWAVKEPTGGHAAGAYGKIPDGFEDRVVDRGVVVRGWVPQVAILNHGAVVALLTHCGWNSIMEALMAGVLMLTWPMSADNFSNAMLLHQMKVGLKAYEGADTVPDSGELARLFRKLVSEETRVERKRVTEFAIAAKEAVGEGGSSWKDLDQLVLNLFRK >cds-PLY96992.1 pep primary_assembly:Lsat_Salinas_v7:2:35265483:35267896:1 gene:gene-LSAT_2X15760 transcript:rna-gnl|WGS:NBSK|LSAT_2X15760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSSLAIFLCLILAVLRNVVLEAEGRAFFVFGDSLVDNGNNNYLATSARADAYPYGIDYPTHRATGRFSNGFNIPDLISQAIGEESTLPYLSPYLTGERLLVGANFASAGVGILNDTGVQFNNIIRIGLQMQYFQQYQERLSDIIGPEQTQALVTQSLTLITLGGNDFVNNYYLVPFSARSRQFALPDYVVYLISEYRKVLARLYELGLRRVLVTGTGPLGCVPAELAQRGRNGNCAPELQRAAALFNPQLQAMINSLNSEIGSNVFIGANIQQTNIDFISDPGRYGFVTSKIACCGQGPFNGIGLCTPLSNLCPNRDIYAFWDAFHPSERANRIIVRNILSGSTDYMSPMNLSTIMALDAATNV >cds-PLY98576.1 pep primary_assembly:Lsat_Salinas_v7:1:37944717:37982449:-1 gene:gene-LSAT_1X31140 transcript:rna-gnl|WGS:NBSK|LSAT_1X31140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECWACSKHKSLLCWLLMLMMIHILIMAGHTQGDCVEEERKALLEIKTSYMKSYGSEIDNFLPTWVDYGGGTPGDGGSNCCDWERINCSTTTVHVTDLSLYNLRGVIGFDAYWYKDESKLWPLNVSLFLHFKELTSLNLSQNSLDKEFMKSGLERLSSLKKLEVLDLSGNRDIDNDILPSLKTLTSLKVLDLSDTSLNGNFPTNEFGALENLEVLDLSYCRFNGTFEVQGSERVSVLRKLKTLNLAGNRFNESIIRSLNTLSSLTSLDLSHSLMSGPFPAQEFAALENLEMLDLSHCGFNGTFEIQGSERVSILRKLKTLNLAHNRFNESIIRSLNTLSSLTSLDLSDNSMSGPFPAQELSHLTNLEELDLSSTQLNDTPNIQACKTVLRLKRLKSINLSSNSINKSVISCLSVLPSLKNLDLSYCSLGSFFPVQEFLNLSDLEVLLLTDNYFYGVIPMEAFASFHHLKVLDLSGNSFVGSIPSTIQALSSLRALSFAYNQLNGSLPDHGLCELKNLNELDLSHNMLHGTLPRCLKNLSSLKLLDISSNQFSGILVSSLIANLTSLEYIDSSHNKFEGSFLFSSFSNHIKLEVVRFRSDNDIFEVLTEEPIGWIPMFQLEILELSNCNMKMFPGFLLHQRKLQQVDMSHNSLEGQVPNWLIKNNKNLEVLRLRNNSFDSMPLYRNANTKWLDMSGNGMIVTIPDKLPEFFPNIEYLNLSMNSLSGVIPSSISELSELETLDLSNNELSGEVSKGLLTNISKLNLLKLSNNSLHGQVLSGNLSLSSLQYVYLDGNHFKGKIGTKSKGYDLLITLDISNNLFTGMIPDWISNMSMLSELVVRNNSFEGIFPCGVASFSFLDISQNSFSGPIPSCFNSQYMKHLHLGSNRFTGSIPKFFRNLTEVLTLDIGNNNLSGRIPKFIGELSDLRILLLRKNNFTGSIPKQLCQLSDVSLLDLSYNSLSGSIPSCLQNITGPTDLAFLKEFQITFSIDSSFHYWGIQRVRSIPTGIDVLETEDEVQYTTKRLSLSYKGGILDYMAGLDFSCNKLSGEIPQQLGFLTQLRALNLSHNQLTGPIPVSFSNLAKIESLDLSSNGLTGKVPSQLIKLSSLSTFIVSHNNLSGRLPDMKAPFGTFTEASYEGNPLLCGPPLVKKCTTTNSQLTHPSDGEEENEKWYDIDMACFYGSSSSTCFVFLLGFVALLYINPYWRRKWLDLVEDYMLTCYYFLYDLVRKPYMIIHK >cds-PLY92586.1 pep primary_assembly:Lsat_Salinas_v7:7:162457086:162457409:-1 gene:gene-LSAT_7X95641 transcript:rna-gnl|WGS:NBSK|LSAT_7X95641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRILVAIRQNIQNKRKSPKVADESMFGEGNGAEFPNLGRHTRQGVPNGLSLIYSIVRAPLSLVSCISCHSHISGAADGVWVSGELGRISDVNHLIVNDSMRYAILM >cds-PLY76583.1 pep primary_assembly:Lsat_Salinas_v7:5:221514184:221515592:-1 gene:gene-LSAT_5X104460 transcript:rna-gnl|WGS:NBSK|LSAT_5X104460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESPPPLSYIDAISADISNCDHDGKSLTFGNPASHSETRSSSSPPLTSKSTAISATWLFCSAIPTLLAFKRATESLEKLFDVTREELPDTMVVVRLSGMEINDLTMVVVRLSGMEIIDLTMELSDLGQEITQGVKSSTRAVRLAEERLRRLTNMNPSG >cds-PLY62102.1 pep primary_assembly:Lsat_Salinas_v7:6:96129257:96133024:1 gene:gene-LSAT_6X65481 transcript:rna-gnl|WGS:NBSK|LSAT_6X65481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGASLFSTLNPSIQPPSPAADVKCQSPTYHSDAAMKLQKVYRGYRTRRLMADSAVVIEELWWQALDFARLNHSTISFFNFQKQESAASRWNRISLNASKVGKGLSLDAKAHKLAFQHWIEAIDPRHRYGHSLHLYYEEWCKADAGQPFFYWLDIGDGRVVDLKECPRSKLREQCIKYLGPQERENYEYVIIEGKIVHVLTGHLLDTKNGEKWIFVTSASNKLYAGEKKKGKFHHSSFLAGGATLAAGRLDVDNGTLKTISPYSGHYRPTDESLKSFLSFLKENGVDLDKVEIKKANEDYENYENKKMSQNGSEIELGNIPVSSDTRESETHDRKPELESLFPVPVGEVVEKKNNGCYKRSLSGGLNSPKADVPKKAILERINSKKAACSYQLGNQLSLKWSTGAGPRIGCIADYPIELRMQALEFTNLSPTPRGNGRMVFPSPKNSGN >cds-PLY89565.1 pep primary_assembly:Lsat_Salinas_v7:4:366610995:366614050:1 gene:gene-LSAT_4X177141 transcript:rna-gnl|WGS:NBSK|LSAT_4X177141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFLEAKEIQVGIAVAIVAVVATAAYLYTSKKSKVVGSIDPENFKEFKLVKRTQLSHNVAKFRFELPTPTSVLGLPIGQHISCRGKDSQGEEVIKPYTPTTLDTDVGYFELVIKMYPLGRMSHYFRELREGDYMSVRGPKGRFKYQPGEVRAFGMLAGGSGITPMFQVARAILENPKDNTQVHLIYANVTYDDILLKEELEGLEAKYPGRFQVYYVLNQPPEEWTGGVGFVSKEMIQAHCPAPAPDIKILRCGPPPMNKAMAAHLDALGYSAEMQFQF >cds-PLY61815.1 pep primary_assembly:Lsat_Salinas_v7:6:60549837:60551681:1 gene:gene-LSAT_6X43721 transcript:rna-gnl|WGS:NBSK|LSAT_6X43721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARKMLRFLQKCKNTDHLKQTHLQILVNGLKDNDSLLHNLITVSSDLISVDYAFNVLQTSNSPDVIAYNTMIKCFIGRENNSTAFNALRAYKQMRSRAFLVPPNSFTFTFLLKTIEGLDVGRMIHGEVLKMGLDASSVFVGNTLLNFYAKCGNDGVDLASKVFDEMPEKDTVSWNTMIAMYMDCQEVESAIKLFESMPKRSIVTWNSVITGLAKNGKMESARSVFDKMPERNEVSWNCLISGYVKAGDLKNAENMFDKMPVKSVVTSTSIISGYAASGDLESARKMFNQMGTKRNVVTWNAMIAGYVNKSQFNEALSLFHFMLLDNKCKPDHITLISILSASSHLGSLENGKWISSYINKNKINLSTPLGNALIDMFTKCGDIENGKSIFNKMSNKCIITWTTMVSGLAVNGKCKEALNFFNKMCDEGIKPDDVMFIAVLSACNHGGMVKEGKSLFHKMVNFYGIKPKIEHYGCLIDLLARKGELHEAIRLIENMDLEANSVIWATVISACKLHGNGELFEYVSKKVLDKEGLNSGYLTLVTNLSSSIGRWEDALRFRLEMREKGIEKIPGCSLIQIGDCVHEFVARDTNHVQRSDIYEILECLNDDLLLECDF >cds-PLY83885.1 pep primary_assembly:Lsat_Salinas_v7:3:49525343:49525919:1 gene:gene-LSAT_3X37081 transcript:rna-gnl|WGS:NBSK|LSAT_3X37081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTTGKITREVEIKCDCHLIYELYKHTPHDSAVVDPEKVEACHLVSGQRGAPGSVIQWHYYHDGKLETAKEIIEEVDDELHKIVFRVIEGNILEVYNPFIITLKTEDVGDKKSVIWTLEFEKVNASIPDPTQYLDLLCGIAGNMDAHFLKQS >cds-PLY63037.1 pep primary_assembly:Lsat_Salinas_v7:8:76307666:76312343:-1 gene:gene-LSAT_8X54380 transcript:rna-gnl|WGS:NBSK|LSAT_8X54380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRKPLVLSSTKSLLNSVLNSSHKEKINGIDGALVNKYSLGDGDGDAPTMLQLRAGILRLSEDKLGKKVASLDDAALIGLSTSLLKRLLITSGSLVIVKNVDSQIQRISQVVALDPPSVHDITSHNESLSYDSSYAMLAFPSCSFPTINHVPLATEVAYVSPLLAFNLGLHTSCLRLLLHHGETKLASLFETKQENEPNEDFSINLDIEPLTKSPRYASHLRVSFVKIPECGTIGSLVGTSSIETENRQEKIDLALNEYFSIDRCLARGDVFSICINWNCKSAICIPCSQKKQNDTNLYFKVVAMEPSNEPILRINRAQTALVLAASTPSALPPDLLLSGSRGFAPLHQDTVKTLASIITPTLCPSALSSKFRVAVLLFGLPGCGKRTVVKHVASQLGLHVVEYSCHDLLASSERKASSMLAQAFSAARRYAPTILLLRHFDAFSNLSSNDGSQNDQVGMNSEVASVIREFTEPSRQADDYEDEEEEEAEHMNTINTHPVLLVAAADNSEGLPPTIRRCFSHEMKMGALTEDQRVEMLSQSLHLIPELVPDTCTEDVVKDMVGQTSGFMPRDIRALVADAGSSLIPINGSSFEKLGDSKEFMVKALERSKKRNASALGTPKVPNVKWEDVGGLEDVKKSILDTVQLPLLHKDLFSSGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESEKNVRDIFQKARAARPCVIFFDELDSLAPARGASGDSGGVMDRVVSQMLAEIDGLNDSSQDLFIIGASNRPDLIDAALLRPGRFDKLLYVGVNSDPSYRERVLKALTRKFKLHEDVNLYEIAKKCPPNFTGADMYALCADAWFHAARRKVLDADADPTSMKDEVDSVVVEYEDFVMVLVELSPSLSLAELRKYELLRDQFQGTGTSSK >cds-PLY69618.1 pep primary_assembly:Lsat_Salinas_v7:5:313884308:313887244:-1 gene:gene-LSAT_5X172380 transcript:rna-gnl|WGS:NBSK|LSAT_5X172380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADMINVVYKNANEPIEARVKDLLSRMTIKEKLGQMTQIERSVATPAAIRDLCIGSVLSGGGSKPFDNATSADWADMVDGLQKGAIDSRLGIPIFYGSDAVHGNNNVYGTTIFPHNVGLGATRDPDLVERIGAATALETRASGVQYAFAPCIAVCKDPRWGRCYESYGEDTQLVRKMTSLVTGLQGKPPQDHPYGYPYVAGRNNVMASAKHYVGDGGTDKGKNEGNTIIDYENLEKIHMLPYQDCISKGVCTVMASYSSWNGTKLHSHRYLLTDILKEKLGFKANWEALDRFHSPHGSNYRSAVLSAVNAGIDMVMVPFRYELFLEDLSYLVESGEISMSRIDDAVERILRVKFAAKLFEYPMSDRSLLDIVGCKQHRELAREAVRKSLVLLKNGKDPRKPFLPLNKNAKKILVAGKHADDLGYQCGGWTATWEGTSGRITIGTTILDAVKEAIGNNNTEVVYEENPTTESLSGQDFSYAIVAVGEAPYVESGGDNSDLTIPFNGEELLKLVASESGIPTLAILISGRPLVLEASVVEALDGLVAGWLPGSEGNGITDVIFGDYEFHGKLPVSWFKSVDQLPMDPQQNSYDPLFPIGYGLKCKN >cds-PLY70024.1 pep primary_assembly:Lsat_Salinas_v7:8:147042989:147046048:-1 gene:gene-LSAT_8X99540 transcript:rna-gnl|WGS:NBSK|LSAT_8X99540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAAATSHALPGVKLPELTTSKFNHNSSVHTCKASGNSNSTVSNLQKLKLDEPIKNIGLAGKRFCSVGVCTHPLSETESDSHVEDEKVGVLLLNLGGPETLVDVQPFLYNLFADPDIIRLPRLFRFLQRPLAQIISVLRARKSKEAYASIGGGSPLRKITDEQASALKSELEAKKLPANVYIAMRYWHPFTEEAAQQIKSDGITRLVVLPLYPQFSISTTGSSIRALQNIFREDKVLSKLPVAIIQSWYQRYGYIKSMADLIEEELLSFNRPQEVMIFFSAHGVPETYVVDAGDPYKYQMEECIDLIMQELKARGIENNHRLAYQSRVGPVQWLKPYTDEVLVQLGQQGVKSLLAVPVSFVSEHIETLEEIDMEYKELALKSGVENWGRVPALGCTTSFIADLADAVIEALPSATAMSASSSPLISSSSSQEFKRDPVGYAMKIFVGSFLAFILLLSPRMLSAFRKPVV >cds-PLY68559.1 pep primary_assembly:Lsat_Salinas_v7:2:21378963:21382894:1 gene:gene-LSAT_2X10161 transcript:rna-gnl|WGS:NBSK|LSAT_2X10161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFAVNSPPAVRHFPLSRSSVCRTPRVTMVARSTTGVSVSTAAALPILTKLQNDCSTPLPVLRHVADAMATDMRAGLAVDGGSDLKMILSYVDSLPTGNERGLFYALDLGGTNFRVLRTQLGGKEERVIDTEFEQVSIPQDIMFGTSEELFDFIASALANFVKKEGGKFVLQKDRSRETGFTFSFPVKQTSIDSGILMKWTKGFAVSGTPGKDIVVCLNEAMGRQGLNMRVSALVNDTVATLAGARYWDDDVMVAVILGTGTNACYVESVNAIPKLQGHKSISGKTIVNTEWGAFSNGLPLTEFDREMDAESINPGEQLFEKTISGMYLGEIVRRVLVRMAEESSLFGKDIPKRLRTPFTLGTPNISSMQQDTSDDLEAVGSILYEVTGMDSNLDSRKMVVEVCDTIAKRGGRLAGAGIVGILQKMEEDSKGIVFGKRTVVAMDGGLYEHHPQYKRYLKDAVKELLGPEISSNVVIEHSKDGSGIGAALLAATNSIYEH >cds-PLY87814.1 pep primary_assembly:Lsat_Salinas_v7:4:292924893:292931270:-1 gene:gene-LSAT_4X152020 transcript:rna-gnl|WGS:NBSK|LSAT_4X152020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASDDYTDTMNLKIAELMKEVQLDYSSTTTKTINDAISSIKKRINKIPEDIQVKADLAPKFIKDIGADKVEFKFKRPTSINVGGSYSIQCIVKPDVNVDLYIRLPKECFHEKDFLNHRYHGKRYLYLCIIKKYLESSSIAQKVEWAFFQNEARKPILVVYPVVKSTGVPGFSVRLIPTAESLFNVQKLNIERNNLHVLSQGDSEATPVYNNSILEDMFIEHGAEFVSKAFNGWKALGEAIVLLKVWARQRSSLHAYDSLNGYLISILVAYLASESGKFRINKAMTTMQIFRITLDFMATSKLWGTGIFFKPQGGDDMSKEERKRYVQYFPAVICDLSAHFNLAYRMTKSGLIELQEEAALALKCIDKCKEGGFVELFMTKIDFPAKFDYCMRLNLKGKAEVSTQGFCLDNESWRLYESKLHSLLVEGLGERAKFIRVTWRNTSSTHNMNDGLSVLDKEPLIVGISVSLPEAFDEYTRGPFFGNKEEALKFRKFWGDKAELYQFKSGTRECVLWKCKPSKRYHIIKWVTEYVLNRHLSLTQENISHAVDQLDFSLVHDDGDLASDGSLNESFGTLSKRLRLLSDVPLSITSVQPLDSAFRHTSVFPPRPHPVANGTKVGNKIISTCIPSLEVMIQLEGSGNWPMDDVAIEKTKSAFLLRIGECLEKDYGMKYSPYEEGVDVFLSGYVFRLKILHERGLDLLNGQSESYQVKRVSSIDKHLFLRSQHSSMINGLSGRYPLYGPVVQLAKRWVSAHLFSASFMEEAIELVVASIFQNSLPFSAPCSRISGFMRFLRLLSDHDWMFTPLIVDINEDMTPDDEKEINEKFLLSRKAYEEGTGSVTSAMYLATAYDKASETWTTLSPTVSEVKRLGVYARSSSNLLTKLIMQDQVDSYGWECIFRTPLNNYDAVILVHREKLSYPERLLFPSELNHGKLVAQGKASNSFQPLLLTTSKTKDPQDQLYINFDPLMCYIKHLEANKFKLWYDSLGGDAIGLTWEKESSKKRRREDDGDVKKSVRDTLKDVGEVGKGFVKSVYLLKEPTR >cds-PLY67834.1 pep primary_assembly:Lsat_Salinas_v7:9:145360255:145366757:-1 gene:gene-LSAT_9X92980 transcript:rna-gnl|WGS:NBSK|LSAT_9X92980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSVHKSFKYDVFLSFRGEDTRKNFIDHLYYALQQKSISTYKDDEKIKKGKRISDELKESIADSKFFIIVFSKNYASSSWCLNELLKIMECQKTNDHTVYPVFYDVEPSEIRNQIGAVGEAFAKHENEEAAGKWRKALKEVADVAGWELKNTDGHEAKFIRQIVEKLSLELRAINVRIDKNLVGMETRINDIISSLGTASDDVHMIGIWGIGGGGKTTLARAVYNKISFQFEGKSFIENVREVSKASLNSLQSQIPLNGGKVLLVLDDVDHLNQLEVLAGDPSWFKPRSIIIITTRDEQVLVAHGVKLIHNVNLLSDKEAIWLFSRYAFGKEIPVQGYEELSRQVVCYAAGLPLTIKVLGSFLCGKSKPEWVDALARLKTIPLEETQKKLELSYIGLDEEHKEIFLDVATILKGWLKNSAIGALESCGFYARIGLRVLEQKSLITIDGERLGMHDHLQEMGRNIVRRSNPPNKHSRLWDRKEIEDILANDMGTKATRCMKFYYRGLDRQISMKGLRKMTELWFLDVADQEFYSNRDINKIIPNFLNALGFLCCNWKFNEVHPYFPDALRYLRWDGYNFSYLPKSFQANNLVSLEMSYSDIVQLWERGEKKVLNKLRFLHLHGKNLRTFDLGLTPNLETLTLQSNGYGSGLVELYMPGECLKLRSLNIYGVKLRMFDLGLTPNLEKLWLSGGDLVELHMPHTCLNLTSLHLSLSNLRTLDIRLTPNLEDLDVANCYDLEELHMTDECLKLRSLHLVGLKLRTLDIGLTPNLKSLDLHGCNDLEEFHIGECSMLTFIKIECLKLRTLDLSLVPNLNQLFLSECKSLVELHLPHRCINLDTLQCIDLKLRTLDIGLTPNLSALYLKDCYDLEQLQLVDQCQKLVSLKISHSKLRILDLGLTPNIKKLDLRGCYSLLELHAPVECLKDIVALDLTSCLGFSSLSFYKLDDGRVNELVEGDPLAVLHFTLQSCPFHPENHLPEFEFKSFHKEDLLSLTRSIEKLISEGEYDSFIEMEVWNEIAIAKVKKRKICNKSSSFIAIHFPLFLSPFNLVFQKSIDKKEKMTPLLFTLLALEMGLIIILLFHSPIRNLVVTGLDRLKQGRGLVASRTLTTILSVVFVFNLYGIYKNQKHMMEVGVTNPTDRVLLSNHILEASLMGFCLFLGLMIDRLHYYVKELWLLRKSLKAIRNQIGDSDLIQPINKNKKI >cds-PLY68689.1 pep primary_assembly:Lsat_Salinas_v7:7:85818813:85819145:-1 gene:gene-LSAT_7X58681 transcript:rna-gnl|WGS:NBSK|LSAT_7X58681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDVKLQPIISQLYASLGVTSSDATSQQGGYGFAYVSINNPYVIPLMMKKPNAPNIVMAGRSLRRLLEDITIEIPDVSNTEGTSSIRILSYTEVDPNDEDAEKEAKLKKD >cds-PLY73872.1 pep primary_assembly:Lsat_Salinas_v7:3:39993384:39995045:1 gene:gene-LSAT_3X29860 transcript:rna-gnl|WGS:NBSK|LSAT_3X29860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPTQNDLERLMIFEHARITAEAAYAKNPNDVDNLTKWGGVLLELSQFGDINESKKMIKDAVSKLDEALSINPAKHEALWCLGNAYTTQGFLTPDHDEAQIQFDLAAEFFQKAVDECPGNEHYLQSLANSSKASDLHNEIHKQGGFGQSQQSLGGGSTSTTTTTTFSNSKKSSKKKSSDLKYDIFGWIFLAVGVVTLIGMAKSNVPK >cds-PLY91744.1 pep primary_assembly:Lsat_Salinas_v7:9:17170247:17170946:-1 gene:gene-LSAT_9X16500 transcript:rna-gnl|WGS:NBSK|LSAT_9X16500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLSDLHHLFQMFDHNGDGKITRQELSKSLESLGIDIPDPDLEHMIDHIDSDGDGMVDIEEFEVLYKMILMEEERDEEEDIREAFNVFDKNGDGFISVEELQSVLMSLGLRQGQTIEDCRLMVNKVDVDGDGMVDYKEFRQMMKGGGFSNFETL >cds-PLY93739.1 pep primary_assembly:Lsat_Salinas_v7:6:143379136:143381169:1 gene:gene-LSAT_6X86440 transcript:rna-gnl|WGS:NBSK|LSAT_6X86440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGVNDTMVTINAAATAIQSAENRATQSSSVQKRRWGRCWNISWCFGSQKQSKRIGHAVLVPEPNSSRTEPPTTTHMSQPSSIVLPFIAPPSSPASFLQSEPPSATHSPGGFLSFTSVSASMYSPGGPANIFAIGPYAHETQLVSPPVFSTYTTEPSTAPFTPPPESVHLTTPSSPEVPYARLLGSSNQNDVIPYEFQSYQLYPGSPMGNLISPSSGISNSGNSSPFPDGDFTRGPGGPYFLHGKVYPCQWESRQGSEALTPDPVGPRSREGFLLTSMGPSYGTRNEDQQHLVDHRVSFEVTPEQVVRCVERRRCADVANGRDSHGDDMAKHQRHRSNTTLGSVKEFNFDSTDGAESDWWTNEKVLGRENGSIKNWSFFPMMQPGVS >cds-PLY93953.1 pep primary_assembly:Lsat_Salinas_v7:8:110782014:110785446:1 gene:gene-LSAT_8X75640 transcript:rna-gnl|WGS:NBSK|LSAT_8X75640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMHRPPPHDDFSLKETKPHLGGGKVTGDKLTSTYDLVEQMQYLYVRVVKARDLPAKDVTGSCDPYAEVRLGNYKGTTRHFEKKSNPEWNQVFAFSRERIQATMLEVTVKDKDFVKDDFMGWVLFDLTEVPKRVPPDSPLAPQWYRLEDRKSNKLKGELMLAVWWGTQADEAFPEAWHSDAASVGGADALANIRSKVYLSPKLWYLRVNVIEAQDLIPSDRTRFPEVFVKAALGHQVLRTRISMSKSINPMWNEDLMFVAAEPFEEHLVLSVEDRLAPNKDEILGMCAIPLQYVDRRLDHKAINTRWFNLEKHVIIDGEKKKEVKFASRLHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKNSIGVLEVGVLSAQGLSPMKTKDGRATTDAYCVAKYGTKWVRTRTIIDSFTPKWNEQYTWEVFDPCTVITIGVFDNCHLQGGDKGGGGGARDSRIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSQPLLPKMHYIHPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLGGLIAVGKWFDQICNWKNPITTVLIHILFLILVLYPELILPTIFLYLFLIGVWYYRWRPKNPPHMDTRLSCADNAHPDELDEEFDTFPTSRPADIIRMRYDRLRSISGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLIAAIVLYVTPFQVVALLTGFYVLRHPRFRQKLPSVPLNFFRRLPARTDCML >cds-PLY84489.1 pep primary_assembly:Lsat_Salinas_v7:1:31589134:31592589:-1 gene:gene-LSAT_1X28080 transcript:rna-gnl|WGS:NBSK|LSAT_1X28080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGTNELNSQENEDSFQWDEQSQLYYHASSGFYHDPAVGWYYSSNDGLYYKFENGSYVPWETDQADESNANQGIGTVGDDSVHEQSYQNAPCDTNTNDVKFEDGEIEGYVVEEATPNEPTVDMNCLTEGLPENLPPPSEWLEDTLIELYLSGYSNKTIDDASDTRGEDVNTPADGVDNDDAYELEEGEWIPDETHEIVDGSGVASDEDPFGDEEMWRAQYGQAIQSDKEVVVLPDCPVVDLWDWSMVMSTKKNGKGRVCRLVGRLIKKSTKLHPSMPSSRPLLKTAPICEAHLDLVRVRSGRVYKLRSPKVAYLATLSSYDSSNPTKDWGFPKLTTNKEAQTKSSRHVESKNVGPHIGSAKELSSSEKHKGGVYRDRAAERRSLHGGFGVGPGQKKSADDIDSCSALSPVFETPEDAAAEALNMSFGVGSYARRMLENMGWKEGETLGSSMKGGLTEPLQAVGNKGSSGLGWNNNTNWRNASF >cds-PLY64065.1 pep primary_assembly:Lsat_Salinas_v7:8:95045794:95046958:1 gene:gene-LSAT_8X65881 transcript:rna-gnl|WGS:NBSK|LSAT_8X65881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLWKLEAMEFPLSYHISVIGTSGLIAYGGFFQICRPKKGEKVFVSAAAGSVANLVGQYAKLFGCYVVGCAGTKEKGDLLKGKLKFDDAFNYKEETDLISTLRRYFPNGIDIYFDNVGGDMLEAVVANMNTYGRVAVYGAISDYTNLGKRATLNMIDVIYKRIIIKGFLSTDFSLNEIKDFMSTTTNYVCVGKLCVLENISYGIEIIPYAFIGLFQWRNVGKKIVQIAEE >cds-PLY90348.1 pep primary_assembly:Lsat_Salinas_v7:2:198324509:198325483:1 gene:gene-LSAT_2X119121 transcript:rna-gnl|WGS:NBSK|LSAT_2X119121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEKENKKKKKKQKHKHPNDQSSSDYSFKPSSEVKGLRFGGQFIVKSFTIRRARPLELLRLLSLPPPTPIHNKTPSIFPSTTGFLPTNFTILAHHAWHTLTLGLGTKKSKVVLFVFESEKMKVAMDRVWPAEIPLGEVNRKLIRGVTGCEMARFKFRKGCITFYVYAVRRTGNLGFSCADDLRVILEYVVALNDFMDHTAMLAMPNQRNISFANANANANAPPAAMAH >cds-PLY77527.1 pep primary_assembly:Lsat_Salinas_v7:2:164525323:164527310:-1 gene:gene-LSAT_2X86780 transcript:rna-gnl|WGS:NBSK|LSAT_2X86780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFKQNIVQRMFNICNNKFSNQTLRNCRISPSSAAAQVFMPPNPDRMAPDPGDDTIFRRFLQRRPLDLSSSAMPEILRSRGESMLEKLKEMDITRGRIRLDVISPPMERSSEGELTVAHAKKILRVSQIETLKSKLRSSRKNHVSYDEFVEICMEGCSNRDQGLDLAKALDDSGSVIVLGNVVFLKPEQVVKAINELLPLTQNPPVEELEEMERWKSAIDAKAKKMVERELWGGLAYLMIQTAGFMRLTFWELSWDVMEPICFYVTSMYFMGGYAFFLRTAKEPSFEGFFQSRFTSKQKKLMKREGFDVEKYMELRKAYYQDPWPREKSLVGEGTKKSFT >cds-PLY94259.1 pep primary_assembly:Lsat_Salinas_v7:8:69142983:69143490:-1 gene:gene-LSAT_8X49540 transcript:rna-gnl|WGS:NBSK|LSAT_8X49540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFYIKSPNFAALKTGSFKLTPEDQKLTFVQETVVTECNDFSRYEFGFSFVDYQNILSLAPPQDTSIDVMGLVVVVAEIQRDHPDKSKHKLVINIQDAKLRLANSCLFVG >cds-PLY74613.1 pep primary_assembly:Lsat_Salinas_v7:7:32693601:32698402:-1 gene:gene-LSAT_7X24920 transcript:rna-gnl|WGS:NBSK|LSAT_7X24920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKILQLYYLELFIRNLSLRPTTPPPLANDASASDLRRLRLRSPVDETDEVSYSGLQLLLPPSFMLFTGLRLYIGNFPLHMDEVLVKTTKSRRIRMVERKTRKRSVGKPKTKHLEARNTMERPEKKKRSVTLIRDHSKEYLSNLTVEFDHFGRAIGPNRFKFTGYRGVTTRKMISILIDSWDLVDQCAKDQLWLNIKNYWHIRDDDHKAQVLRDCNTHWKAYKSELLKLWDNGVNLVKKYPYLDKAMWKNFLVLKSTEEFENIWKDKKLFSSEELEERVKTWMRTFGDKVKPFCKAYNESTTKGKERLEVTKGKERLEM >cds-PLY97551.1 pep primary_assembly:Lsat_Salinas_v7:5:238985436:238988698:1 gene:gene-LSAT_5X117721 transcript:rna-gnl|WGS:NBSK|LSAT_5X117721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQAHPEEKLQEKLEKESMTTKRDEKREISISSPTSFKFNAQAPEFVPSSRTQSPVSGYFYPYFSYMGMGGNDGSGSADWIYASAATAADQDQQMHLFTNPNVLIPNYSKNVLTEDLQQKIIKQVEYQFSGLSLLANESLVKHISKDPEGYVPISVIASMKKIKSCITNNHFLAQALRSSSKLVVSNDGKKVRRRHPFTEKDKEELQSRTVVGENLPEDHSHQNLEKIFSVVGSVKAIRICHPPEPNSSHSKGEYVFSNKLHALVEYETTETAEKAVDQLNDERHWRKGFRVRLLIRRSPKSVLKTRKSDFDGLLDDDDDIFDMSEEPSQPNSAPAELGIENNGEENSKKGWARARGKSKSRTPTHSSRGLLAPSPQTQTSSSIIHLEASSMKQSPKGPRMPDGTRGFTMGRGKPISNPPILTGIP >cds-PLY90894.1 pep primary_assembly:Lsat_Salinas_v7:1:56209325:56209621:1 gene:gene-LSAT_1X47380 transcript:rna-gnl|WGS:NBSK|LSAT_1X47380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVEIASVLKKRPILKSFDQPDDIQHLKGGIIGREHWSIVYKMKEGAEIKNCVFVLRDKRLYSTSSLNSILVRAEANKSNSAIDIKCVLAMIRWYIFV >cds-PLY99698.1 pep primary_assembly:Lsat_Salinas_v7:9:55651953:55652644:-1 gene:gene-LSAT_0X9440 transcript:rna-gnl|WGS:NBSK|LSAT_0X9440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLIISNNLRTLTPPSLCFSLSTFRRRLHESAYEKEVEDEVECEVSAVGEITDKPEEYWEPDPETGVFVPAGEQKSEEPAVTCTTEETVLDEKVFFRPQEDLELPPSESFTGEIPPVDPFAE >cds-PLY78082.1 pep primary_assembly:Lsat_Salinas_v7:1:6164616:6164992:-1 gene:gene-LSAT_1X5120 transcript:rna-gnl|WGS:NBSK|LSAT_1X5120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMESKETVYFNEEAECARAVVKEVLELYEGLLSKLAEKERGVIQRSMGLKIEQLKAELQQLNE >cds-PLY94577.1 pep primary_assembly:Lsat_Salinas_v7:8:180792894:180794538:1 gene:gene-LSAT_8X117301 transcript:rna-gnl|WGS:NBSK|LSAT_8X117301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPESPLIKQYSAEYWIMGDLATPQELRTTSFAKRVSAPEEADVIFVPFFATISAELQLGVAKGVFRKKVGNEDYSRQREVLDFVKGTEAWKRSNGRDHVFVVTDPVAMSHVREEIASSILLVVDFGGWYRVDSKAANGNTSDHMIQHTQVSLLKDVIVPYTHLLPRFDISQNQKRKTLLYFKGAKHRHRGGLIREKLWDLLIDESKVIMEEGFPNATGKDQSIKGMRNSEFCLHPAGDTPTSCRLFDAIQSLCIPVIVSDNIELPFEGTIDYTKFSVFVAVSEALQPNRLVNRLKSYTNLQKDEFRQNMARVQSFFEYDNGYPGGVGPVPVNGAVNFIWRKVHEKLPVIKEAVVRARRKPPNVVVPQRCHCT >cds-PLY82500.1 pep primary_assembly:Lsat_Salinas_v7:2:182991789:182992415:1 gene:gene-LSAT_2X104141 transcript:rna-gnl|WGS:NBSK|LSAT_2X104141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSMASIKTLLCVTFISLSIIQLAVSTDPDILTDYVLPPNTTVTDPNYFTFTGLRTLVDATYPIAFTAIKVTQNEFPGLMGQSVSYAILEFPIGSINPLHIHPRATELLFVIAGSLQVGFVDTSNKLFTQKLVTGDMFVFPKGLVHFQYNSNATEPALAVSAFGSANAGTQSIANSVFNSTIYEGILAQSFNTSADIVETIESGLKG >cds-PLY97270.1 pep primary_assembly:Lsat_Salinas_v7:1:44864016:44864702:-1 gene:gene-LSAT_1X39261 transcript:rna-gnl|WGS:NBSK|LSAT_1X39261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEGFLARDITFENTAGASGHQAVALRVGSDLSAFYRCNMIAFQDTLYVTSGRQFFVKCTIVGTVDFIFGNAAVVFQLCDILARRPNPHQGNMVTAQGRTDPQQNTGIVIQGCKIAATTDLEPVKANFKTYLGRPWKNYSRTVIMQSFISDVIDPAGWSPWEGDFALNTLYYREYRNWGPGADTSKRVKWKGWGVMWTAFDAMPFSVAVFIDGWYWLPFTGFPFWLLF >cds-PLY78137.1 pep primary_assembly:Lsat_Salinas_v7:2:62380050:62380418:1 gene:gene-LSAT_2X28341 transcript:rna-gnl|WGS:NBSK|LSAT_2X28341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKQCNLNSQTASQNPDQQFLDLNLKLRLYKGNLSFITTGLGSTSAGLTVTAVKDGGETCLFGRMKLIVDSIGIEKKRLFVLPDGMECTIIQSKELIGEWMLEVGALVLADGGLCCIDEFNR >cds-PLY67323.1 pep primary_assembly:Lsat_Salinas_v7:8:235458056:235458475:-1 gene:gene-LSAT_8X141481 transcript:rna-gnl|WGS:NBSK|LSAT_8X141481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEIIKALPEPSEDDSLVELKEAVPFKAETDSQQLSLLGTTFTIAEELLPMVMSRIWSVLNKSKEAGNDNTAPLLNSGIDYKDWRKQLQHSLDKLRDHLCRQYVLNFIYSRDGKTHPGYLCGEGDDVSWNSDPLPSLPF >cds-PLY83541.1 pep primary_assembly:Lsat_Salinas_v7:1:65471849:65473958:-1 gene:gene-LSAT_1X55180 transcript:rna-gnl|WGS:NBSK|LSAT_1X55180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIEVRLAGVSKSIRKKKCYRKTNSKRKQSPPRPTSTPVSFPLQRLYMSCKDVFKGIGTVPSPTDVQKLCHILDGMMAEDVGLSRNLQFFKTRSIVGVTPKVACTTIYQSEKFSLCIFFLPANAVIPLHNHPGMTVFNKLLLGKVHIKSYDLVNSSDSNHESISPSHMKLASLKVDGVYSAPCDTSVLYPTSGGNIHAFRAITPCAILDVMGPPYSKKDGRDCSYYRDIPYTTMPYMSGEEREREGYWWLEEIEVPKESEMEGIEYMGPRIIETQTSSSS >cds-PLY82430.1 pep primary_assembly:Lsat_Salinas_v7:2:187793176:187795607:1 gene:gene-LSAT_2X109480 transcript:rna-gnl|WGS:NBSK|LSAT_2X109480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETNKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQLNEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLTTEVKSVEMHHEALVEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAANFTAQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVDKKDPTGAKITKAAAKKK >cds-PLY98557.1 pep primary_assembly:Lsat_Salinas_v7:1:39085561:39090166:-1 gene:gene-LSAT_1X33581 transcript:rna-gnl|WGS:NBSK|LSAT_1X33581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSYTNASIGSGSRTPRRSVEFGRTYVVRPKGKHQATVVWLHGLGDNGSSWSQLLDNLPLPNIKWICPTAPTRPVTVLGGFPCTAWFDEGELSEDGPNDVEGLDASVSHIANLLSTEPTDVKLGIGGFSMGAACALYSATCFAQGKYGNGSPYPVNLKAIVGLSGWLPGGRSLRSMIEGSQDGARRAASLPILLCHGRGDEVVPYKFGERSSQILSSAGFRYVAFKTYEGLGHYTVPKEMEEVCQWLNARLVA >cds-PLY74145.1 pep primary_assembly:Lsat_Salinas_v7:9:11622255:11624718:1 gene:gene-LSAT_9X8761 transcript:rna-gnl|WGS:NBSK|LSAT_9X8761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATMGVDGKDFYRAKVDFDETKAGVKGVLDSGVLKIPEIFVHPPENVSVGTLCDMNLKVPVIDIERVEEGGKRGEIVGEIKEAAANWGILQVVNHGIGSGVMEEMIEGIRRFHEQPPEVKMEWYSREHDQKVKYYSNGDLYVSKAVNWRDSISCHYADGVLDPNALPHAFSGAIKKYMEEIMKLKKTLAELLSEALGLETDYLTRIECMKTITLVCHYYPSCPQPNLTLGATKHSDPSFLTILLQDTVGGLQVLQKNQWVDVEPIKGALIVNIGDLMQLITNDKFKSVQHRVRAARIGPRISAACFFYPSVANNYKPYGPIKKLLEETGPPIYRETSHKQYMAYYKQKGLDGASSLPFFKL >cds-PLY84174.1 pep primary_assembly:Lsat_Salinas_v7:4:369455671:369461330:1 gene:gene-LSAT_4X181581 transcript:rna-gnl|WGS:NBSK|LSAT_4X181581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFNFNPHSNYSEITSNYPESSQSININKPQFISSIKATDITKRDSIQVSSHDARKVVLSSQMEGLGTRFIEKSLSKPKQKLEFIRTLLIDNYDSYTYNIYQELSVVNGLPPVVVRNDEWTWEEACYYIYEERAFDNIVISPGPGSPACPADIGICFKLLLECGDIPILGVCLGHQALGYVHGANVVHAFEPVHGRLSEIQHNGCKLFHDIPSGKNSGFKVVRYHSLVIDPKSLPKELIPLAWTCSTDTISSLVDQKFDQSNYVETTPDNKVLMGIMHSTRPHYGLQFHPESIATCHGSRIFKNFREITEDFWGWKDSCSVNDRKLKYNVASWLLSSKLYLACMQVGNGSWEFKDNPRRSYLSFLDTNPFDIYERANSSNLRNDVKFLKLEWRKIENLCSQIGGAKNIFLELFGDEKAENTFWLDSSSTEKRRARFSFMGGKGGSLWKQITFRLSDLDPSEITSTSGGGGNITIENAQGLSTTTFLEEGCFHFMNKELQSIHYDEKDFQGLPFEFYGGYVGYLGYGLKVECGAPYNQHKAKTPDACFFFVDNLIAIDHSNDDIYVLNLHDQTTKTKTKTTWVNDVYQKLITLKPSSTTLPKVQYLNNNKKTESTFLSDKSEEQYIKDVEKCQEFIKDGESYELCLTTQMRKKLGDSNRLGIYLHLREKNPAPYAAWLNFSKEDLTICCSSPERFLRLDRDGVLEAKPIKGTIARGSTPHEDYMLKSQLQHSEKDQAENLMIVDLLRNDLGRVCDPGSVHVPRLMDVESYATVHTMVSTVQGKKRSNLTAIDCVRAAFPGGSMTGAPKLRSMELLDSLESCSRGIYSGCIGFFSYNQTFDLNIVIRTVVVHQGEASVGAGGAIVSLSSPQEEYKEMVLKSRAPVNSVLEYEQKSVEQ >cds-PLY64830.1 pep primary_assembly:Lsat_Salinas_v7:8:266178293:266183164:1 gene:gene-LSAT_8X154060 transcript:rna-gnl|WGS:NBSK|LSAT_8X154060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKINKPFVKSIKSPDGDIIDCVLIHLQPAFDIPILKATGPLDPPEIPNGNKKGGMETEVKQLWNSKGESCPQGTIPIRRQTESEIFTSDSISTFGKTTSRNDFSPSDNGHEHAIGYVTDGEFYGAKATLNVWAPNVTRAHEFSLSQIWVIADVPTHPLSTFEAGWQVAPTMYGTSSPRLFIFSTNDGYRSGCYNLKCPGFVQTTQEISLGATIYPVSTYNGRQFDIKVLIWKDPRHGNWWLKVGNTVVGYWPVALFPDFNKHATTIQYGGEVYNAQRPGQQHTSTIMGSGHFPAEGYGKASYVRNMEIIDEYNALKPVGDVNLIAEKPKCYDVKNGFDKFWGYYIFFGGPGNNPNCH >cds-PLY68405.1 pep primary_assembly:Lsat_Salinas_v7:8:23415723:23418165:1 gene:gene-LSAT_8X18001 transcript:rna-gnl|WGS:NBSK|LSAT_8X18001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPAVRACGFALRKNKDLPRMKRWSGTKKLKWVDVNKIWSKMQEGLPPRQNMLPGDGEMTSFYYMSFQEYVYGEGKAVPSPVRDHFRRQDESSSSMSSSGRSHGRGRGSGKHKLDEVLKRLHALEQHVFMNRQPTEVFVEEVNNDQFWNDIFFDDTTVSQRNYDEQVVQDEVMNKNNTTQNVFGDTQDDKVLEERNEYAGNKFDDDVFDVNDYSEVKEEWEERNDNAGNKFDDDVPDEDELIITGNVDYFHDDDDDKEVTPDKPRSRKPSQYLCPPYTELHTTPKQKRRTKKKVDIKSTSPVPPPVFGVAHDFSMLRLQPYVAGGEDVIQNYVLHSYDVQHRLFNFVLDRDFWSSLFGHTHDGWLESAHITIWYRLLMERRFESDRHTIMPPNFFVSHALEEGQDWRTFMVGIATYPNFMVAWWDVDTVLLLIHSSPNHWLFGELRLASMEVHIYDSLGRGAYEKFKSEGIFSKFERRVANYLDKIKYWARRNIPRIPLNMQFIYEENVPQQSSHLGDCGVFLCMFMEQLVSGQPIRVLIDPKNAALEFRLRMAKIIWGSSLAPM >cds-PLY62003.1 pep primary_assembly:Lsat_Salinas_v7:2:172716180:172716800:-1 gene:gene-LSAT_2X95381 transcript:rna-gnl|WGS:NBSK|LSAT_2X95381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRELTGFLSEGGRSIGSSGPTSPSQPAVGVVSLVRLSVLDSSNFPARIQEEKGTSPIRKRRSLRVVPLSDEETESDYTNLRPHKARKTVSVTKLLGGIGDVLGDWFSMSGQKKRVVVSSSLMTPPSPLTGSLVVDHGFDFVFGGALGSHGGSFQLENPSSVDKIRTSSHSLSFEAYAPGLVITRDFLLSEDITAQEWSNCAHPRLQ >cds-PLY76654.1 pep primary_assembly:Lsat_Salinas_v7:4:117259725:117262413:-1 gene:gene-LSAT_4X74400 transcript:rna-gnl|WGS:NBSK|LSAT_4X74400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQAKPSSNNQSSAREFKHRVLTCLNKLSDRDTHSAAATELESIAKTLNHDSISPFLSSISATDSSDKSPVRKQCVRLISTLSEAHGDALSSHLSKLLSAVIRRLRDPDTAVRSACVAATGSIASHVTKPPFTSVAKPLVDALVTEQELNSQIGVALCLASAVDGAPDPEPVYLRRMLPRIEKLLKCDSFKAKSALLTLLASVIGVGAASSPVIVRNLVNVLVEFVAKSEDWSARKAAAEALEKLAVVETDLLSEYKTPCLKTFEAKKFDKVKSVRETMTQMIEAWKAIPDLPEEVLTPPESQASSKGAEVASDGRYPARTPQTSSKRSVPKGGSSTTTTSRRVSLENNTKKTGPAMFRKLDRKKPNNPKLSITAATPVSEDPNRLAKQETKRALFNEIVDEETHESEYQNARLSSTVVGSNVTEDINNSHKDGEELSLIRNQLVQIETQQSNLVDLLQKFMGRSESGMVSLERRVHGLESTLEEISFDLARSTGRLSNPNPEHTKTVCCRLPGAQYLASKLWKKTEIQDSNGRIRNQENRGFHFRRQGLIKNPLAEVRHGKSEVLCVGV >cds-PLY69384.1 pep primary_assembly:Lsat_Salinas_v7:8:258707762:258710922:-1 gene:gene-LSAT_8X150960 transcript:rna-gnl|WGS:NBSK|LSAT_8X150960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HHL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67700) UniProtKB/Swiss-Prot;Acc:Q8LDL0] MEVCMSLKAHALVPKTSINSKNLRTIDDSNLIRHSFCSSQIQRKQQQNQGKRLMVVEAKGKKGMQARQFQRPMPAMPKIEDDGNPRFVIFIRMANNNVLIRIYIYIYIYIYIYIXGTTAKILIAGKDNFVGKYIYKDTLSKNLAGVIYKDEKEVQKTAIRQHRVLRAATEFRYGYKLVEGTNIRAALASNDVIELPTKAELKTVLDKVIDFFGDAKESFGKLTELNSTSDTESEEKATDKPK >cds-PLY90768.1 pep primary_assembly:Lsat_Salinas_v7:3:36227764:36229182:1 gene:gene-LSAT_3X26481 transcript:rna-gnl|WGS:NBSK|LSAT_3X26481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPENLPLRDIPGDYGLPFFGPIKDRYDYFYNQGEDEFFRTRIAKYKSTVFRTNMPPGPFISSNSKVVAVLDSKSFPILFDTSKVEKKNLLDGTYMPSTAFFGGYRVCAFLDPSEPTHHALKSFFLSFLASSHKKFIPYLRNSLSDLFLNLETEISDEKTADFNTNSDNMAFDFVFRLLTGVHPSETKLKSKGSGYTDTWLALQLAPLGTLGLKYLPNFIDDIIHTIPLPFFIAKPGYKKLYKAVYESATSLLDEAESSGIKREEACHNLVFLAGFNAFGGMKVLFPSLIKWIGTAGESLHQRLAEEIRTVVKEEGDVTFSALERMPLMKSAVYEALRIQPPVPYQYASAKEDLVVESHDGAFEIKKGEIIFGFQPLATKDPEVFSNPEEFIADRFIGDGEKLLKYVYWSNGRETENPTADNKQCPAKDLVVLCSRIMLVEFFLRYDTFTVEIGKVALGASVKITSFTKAT >cds-PLY90145.1 pep primary_assembly:Lsat_Salinas_v7:7:13995678:14007915:1 gene:gene-LSAT_7X11780 transcript:rna-gnl|WGS:NBSK|LSAT_7X11780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATPGLLTDWPWKPLGSFKYMILVPWVVKSIYHFVTVEKKDTMFIFIFPFLLTRFIGNQIWISLNRYITAKGKNRIVNKTIEFEQVDRERDWDDQIIFNGLLFYVGNYFLEGAKYLPWWRSDGVIIVILLHVGVVEFLYYWLHRALHHHFLYNQYHSHHHSSVVTEPITSVTHPFAEHIAYFALFAIPLMTVVLSGTASIAAMSGYITYIDIMNNMGHCNFEFIPKSVFTIFPPLKYIIYTPSYHSLHHTQFRTNYSLFMPFYDYMYGTMDKSTDTLHENSLCQKEESPDVVHLTHLTTPDSIYHMRLGFASLASKPHTSNSKWYLWILWPITLWSILITRIYGKTFVVERNVFKSLNLQTWAIPKYMIQYHIDRQRKNINDFIEEAIVDADVKNVQVLSLGLLNQGEKLNRNGELFIRRNPRVNVKLVDGSSLVIAVVLNNIPRGTTQVVFRGNFNKVAAYLALTLCQKGIQVAISQEDDHVMLKSKLKSTNGHDKLVISKTYSQKTWLVGDGLSEEEQLKASKGTHIIPYSQFPPKKVRKDCFYYTTPSMLAPKHLQNVDSCENWLPRRVMSAWRIAGIVHGIERWNVNECGNEIFNIDKVWEASLRHGFTPLMKSFT >cds-PLY72563.1 pep primary_assembly:Lsat_Salinas_v7:2:139892595:139896671:-1 gene:gene-LSAT_2X68321 transcript:rna-gnl|WGS:NBSK|LSAT_2X68321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRSAVNKAVEVGNKNNLTRTVKNYADTVVQHAGQAVAEGAKIFQDRIGARSFKSFKLTVKRLEEASISVRGPERIHLMRRWLAALKETDKLSGGSFEDDEKNHEQNHHPEELKDNQKKPSLVLYYDSDMVGDPMNFRDVFLYSQALEGITISMILEPPSEEEVSLLLEFFGCCLTGGKEVHNAIVSSIQDLAKAFSSYKDEVLVKREELLQFAQGAITGLKVNAELRRIDAEASILKEKLEGMEAGGELIGDGYETASKEATIATIEALKKALAHIRVCSKLEGLLLKKKLLYGGETPEIHDQKVDKLKVLAESLVSSSTKAEKRISDNRVQKEEALNFRVSKAGEVSELEKELAAEVAGLEKQRNDLEAELKRVNISLAAANGRLQNVREERDQFYEANDQLVAHLKTKEDELVKSIGSCKQEVNVLNTWVNFLEDTWVLQRANTETKEKQIRSNKDKPSNVDKEDSKVINPRRSLEEEYLDYEAKIITTFSVVDNMKEQFYTQQGKTSRIENAKVKELFDNIEKLRVKFDSIERPNLEMENPEEILEEAETSTKETESTLPSKEKTEPQSQTQTNEKVKVKSPGGKSDSGSGEGMDAQSELERLESEFGKVNREYTEEEIGDWEFDELEKELSK >cds-PLY68951.1 pep primary_assembly:Lsat_Salinas_v7:4:363917615:363920761:-1 gene:gene-LSAT_4X178600 transcript:rna-gnl|WGS:NBSK|LSAT_4X178600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:Projected from Arabidopsis thaliana (AT3G29030) UniProtKB/TrEMBL;Acc:Q1ECM3] MGFLTFALSVNGYYVTPWSNAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTPLFNNGLSCGSCYELRCVNDPQWCLPGTIVVTATNFCPPGGWCDNIHFDLSEPVFLHIAQYRAGVVPVAYRRVPCRRSGGIRFTVNGHSYFNLVLITNVGDAGDVRSVFIKGSRTGWQPMSRNWGQNWQSNSYLNGQSLSFKVTTGDGRSVVSYNVAPPNWSFGQTFTGNQFR >cds-PLY85576.1 pep primary_assembly:Lsat_Salinas_v7:5:44316781:44319588:-1 gene:gene-LSAT_5X21561 transcript:rna-gnl|WGS:NBSK|LSAT_5X21561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATATLGSSTLIQSQINGFIKPSISQCNTLSCTRRAIRTVVKASSRVDRFSKSDIIVSPSILSANFSKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGALVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTINQIKSLGAKAGVVLNPATPLTTIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISDLRRMCVEKGVNPWIEVDGGVGPNNAYKVIEAGANALVAGSAVFGAKDYAQAIKGIKTSTRP >cds-PLY74419.1 pep primary_assembly:Lsat_Salinas_v7:2:13830142:13831026:-1 gene:gene-LSAT_2X6961 transcript:rna-gnl|WGS:NBSK|LSAT_2X6961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQQKVSSAKAKNTLLKFLPRATSSVTFQNPPIYSPAKDKRSSEKTHKSNLGIGFSGPMVSMIPADTRRKIKNNSDYTIVYEPTSPRVSCMGQVKCKHQKEKLQRRHGNGVDGNKPTNSVKVKPTDKASRATSFTPARTYNVEDDEDSSKTESKSKKKLGFKKIFGGISITPGSSRRKPDLDDKRSKAPLYLDKTPSLSSMKRFSSGRGKLSNIDWTKLEAAAMDSGGRSYYSDEESDDEEIKIPSSAPVVMRNQGFDDKFITVAGLNLQPRKEINLWKRRTMPQLKPLQLHDI >cds-PLY67503.1 pep primary_assembly:Lsat_Salinas_v7:6:70539026:70542090:1 gene:gene-LSAT_6X49121 transcript:rna-gnl|WGS:NBSK|LSAT_6X49121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKEALLSPTTPGGGRRGRRLSRRYSVNSLRSDFLARLPDKVRSAVDIESSSPFALPSKSSSSLTQGEKEYYEKQFATLKSFEEVDAIVSSEGIDEEDLDEQLQQERAMKISNYANVLLLAFKIYATVETGSIAIAASTLDSLLDLMAGGILWFTHLSMQNLNIYKYPIGKLRVQPVGIIIFAAIMATLGFQVLIQAVEQLIENQPPEKMTSLQLLWLYVIMLTATIVKLALWLYCRSSGNEIVRAYAKDHYFDVVTNVVGLVAAVLGDRFYWWIDPLGAIILALYTIINWSGTVLENAVSLVGQSAPPEVLQKLTYLVTRHPRVKRVDTVRAYTFGVLYFVEVDIELPEDLSLKEAHAIGETLQVKLEKLPEVERAFVHLDFECSHKPEHSVLTRLPNSDP >cds-PLY83262.1 pep primary_assembly:Lsat_Salinas_v7:4:146412776:146416423:-1 gene:gene-LSAT_4X89381 transcript:rna-gnl|WGS:NBSK|LSAT_4X89381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGNVSAINSSGKCIVMNLSSTQITSRDCFKITSGQKDVLSFGCCDAMGNRLQFPSARSFTPRSKKNVSPLKVVCVDYPRPDLDNTSNFLEAAYLSSTFRTSPRPSKPLKIVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKVAAWKDDDGDWYETGLHIFFGAYPNVQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPDVLPAPLNGIFAILRNNEMLTWPEKVKFAIGLLPAMLGGQAYVEAQDGLSVQDWMRKQGIPDRVTTEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGSPPERLCKPIVDHIESLGGQVRVNSRIQKIELNKDGTVRNFLLSDGNVLEADAYVFATPVDILKLLLPEEWKPIPYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSPLLSVYADMSVTCKEYYDPNKSMLELVFAPAEEWISRSDTDIIDATMSELSRLFPDEIAADQSKAKILKYKVVKTPRSVYKTVPDCEPCRPLQRSPIQGFYLSGDYTKQKYLASMEGAVLSGKFCAQAILQDYEMLATRGEVVAEASLV >cds-PLY93946.1 pep primary_assembly:Lsat_Salinas_v7:5:2907910:2909470:-1 gene:gene-LSAT_5X1080 transcript:rna-gnl|WGS:NBSK|LSAT_5X1080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSDNESGGGHNGDHSLREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVEPLKVYLQRFRDMEGEKTALAGRQGGEKDTASVGGGGNGSVVNIGSNGGGFHENNGGGGGMYGTMMGHHQQQHQGGGHLYGSGGFYQFGQNPTGKMGPAGYPGSGPLNGRPTPR >cds-PLY64309.1 pep primary_assembly:Lsat_Salinas_v7:4:26819912:26823511:-1 gene:gene-LSAT_4X19980 transcript:rna-gnl|WGS:NBSK|LSAT_4X19980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACLYIPVQNSEEEVRVALDQLPRDATETFLRSNNRLSISGSLSRYVVDGRELIHILLAVVADATAQDTQHGGTTLHTAAMTNDLELVKGQQLASQSSFMGQWLKEES >cds-PLY73248.1 pep primary_assembly:Lsat_Salinas_v7:4:262586772:262588069:-1 gene:gene-LSAT_4X137040 transcript:rna-gnl|WGS:NBSK|LSAT_4X137040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYQLYAYYMYSALQIWILLIVASESIRDTGAVASSRAAEIGLDILAQTIQVSPSY >cds-PLY75610.1 pep primary_assembly:Lsat_Salinas_v7:9:33972905:33975017:1 gene:gene-LSAT_9X30080 transcript:rna-gnl|WGS:NBSK|LSAT_9X30080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSGELTELFHHLLHREVLLLDMYTIRKLVFEAFYIIFQNPKEAGWADGCHIVACTQSRRLAVQTEKHNLLQMRHVAAYIYKKPDKLYKDAMETTSQSSNRQLAEELLLYFIDQPLFVPYDHKNMTLAGLHSQKMRFMLQTLNDLHCVGKRL >cds-PLY88498.1 pep primary_assembly:Lsat_Salinas_v7:5:46227515:46241096:-1 gene:gene-LSAT_5X22540 transcript:rna-gnl|WGS:NBSK|LSAT_5X22540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLELPRLTNSLRDPFDADQAYINRKSILQSFNSRRSSAKSVDEFEVARKIVHRWDEASIEVRQAYKQFVGAVVELIDGVVINEEFKEVALAVYRLFGTHGEEEEENRRIIEKKSELQKLLGYSVSDTKLQKVVNAVQSLSKLQPDNHKLAENQVNASDDCQEFGANLVFHHPARFLVDESIEDVEMWGEDSNVTSTSLHGDWYDNNEVTNHHPVGGVFDLGWLRDECNKIVKSSTSQLPQDELAMAICRVLDSDKAGDEIAGDLLDLVGDSAFETVQDLIMHRKELVEAIHHGMLNLKSEKVVSGSQPRMPSYGTQVTVQTESEKQIDKLRRKEEKKHKRGTDHGADNELSVMSFSSLLQASAKKSPFDDLIGHGEGSNTLAVTALPQGTTRKHHKGYEEVSIPPTQTAPMKPGEKLIEITELDEFAQAAFQGYKSLNRIQSRIFHTTYNTNENILVCAPTGAGKTNIAMIAVLHEIGQHFKDGYLHKEEFKIVYVAPMKALAAEVTKAFSHRLAPLNMVVKELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESTQSMIRIVGLSATLPNYLEVAQFLRVNTEAGLFFFDSSYRPVPLAQQYIGITETNYQARNELLNEVCYKKVSESLKQGHQAMVFVHSRKDTGKTADKLMEVSKSRNKELVQLFGSGVGIHHAGMLRADRGLTERLFSDGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAYYLRLLTSQLPIESQFITSLKDNLNAEVTLGTVTNVKEACAWLGYTYLFIRMKMNPLAYGIGWDEVIADPSLSMKQRSLVSDAARALDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNEMLRRHMSDSEIIDMVAHSTEFENIVVREEEQNELETLARTVCPLEVKGGPTNKHGKVSILIQLYISRGSIDAFSLISDAAYISASLARIMRALFEICLRRGWCEMTSFMLEYCKAVDRQIWPHQHPLRQFDRDISPEIIRKLEEREIDLSHLLEMQEKEIGAMIRYAPAGRVVKQYIGFFPSILLSATISPITRTVLKVDLVLTPDFVWKDRWHGAAQRWWILVEDSENDHIYHSELFTLTKRAAKGEPQKLSFTVPIFEPHPPQYYIRAVSDSWLQSEAFYTISFKNLALPESHTTHTELLDLKPLPVTALGNKAYEALYRFSHFNPIQTQAFHVLYHTQNNVLLGAPTGSGKTISAELAMLHLFNTAPDMKVIYIAPLKAIVRERMNDWKNGLVSKLGKKMVEMTGDYTPDMMALMSADIIISTPEKWDGISRNWHSRSYVTKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERPVRFVGLSTALANAQNLADWLGVEEIGLFNFKPSVRPVPLEVHIQARRLSFPLGYPGKFYCPRMNSMNKPTYAAICTHSPTKPVLIFVSSRRQTRLTALELIQYAASDEHPRQFLAMPEEALQMIVSQVTDQNLRHTLQFGIGLHHAGLNDKDRSFVEELFANNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKAKRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLRDQLHDHINAEIVSGTISHKQDAVHYMTWTYLFRRLMVNPAYYGLEDCDPESLNSYLSSLVQNTFEDLEDGGCIKMDEENVESLMLGSIASQYYLKYMTISMFASNIESDTSLEVFLNVLSAASEYDELPVRHNEENYNEALSAKVPYAVDKNRLDDPHVKANLLFQAHFSQVELPISDYYTDLKSVLDQSIRIIQAMIDICANSGWLESSITCMHLLQMVMQGMWFERDSPLWMLPCMSEDLFSLLQKGGISNIQQLLDLPKMSMQSRFGNSASRLQQDLQHFPRIQVKLKIQGRDVGASLNIRLERSNSNRRKSSRAFTPRFPKLKDEAWWLVLGNSSTSELHALKRVSFTNNVVTRMKLPPTATNIQGMKLFLISDCYLGFDQEYSIEEVMES >cds-PLY85256.1 pep primary_assembly:Lsat_Salinas_v7:3:71686097:71687656:1 gene:gene-LSAT_3X54441 transcript:rna-gnl|WGS:NBSK|LSAT_3X54441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PIN-LIKES 2 [Source:Projected from Arabidopsis thaliana (AT1G71090) UniProtKB/Swiss-Prot;Acc:Q9C999] MHPVLIGSHGNMKTMKEDLLSAVVPLLKLLSIAVLGLILAQRKTQIIPKATFKLLSKLVFALFLPCLIFIDLGRSITLHNLVIWWFIPVNVLISTVLGFMLGVIVVLLCKPPPQFVKFTIIMTACGNTGNLPIAILGSLCHTRDNPFGPNCHQKGVAYVSLAQWVSVLLVYTLVYYMMEPPMEYYETIEEDNIENGNGDTRPLVVEAEWPGIDETEISRNPSIDKTFKTSQSSEETSREAIGCFAEPKTVRKMMVVAEKTPIQNIFQPPILASLLAIIIGSIPQVKSFVFGHDAPLGFITDSLEILGGAMVPSVMLVLGGVLAEGPNDSKLGLKTTIGVTVARLLVLPVLGIGVVALADKMHLLVADDSMYRYVLLLQYATPSAILLGAVARMRGYAVSESSTLLFWQHIFALFSLSFYIFIYSQLASLV >cds-PLY71986.1 pep primary_assembly:Lsat_Salinas_v7:8:149000204:149001748:-1 gene:gene-LSAT_8X100381 transcript:rna-gnl|WGS:NBSK|LSAT_8X100381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENVDVELGDVDNIRDTVHILLGCVQKVLNGKVQFSPIYMAPTRLRNLSPNSFNPRVVSIGPLHKEDKSLQITEGEKYTYLHDLLSRLDSPPEQTLKACAKKVNASIDRIRACYNGMKTYSDVDLAKIMVMDACFILEFIFKLFRYGTSITYNRLFVRSVALDLVLIENQMPFFVLQDIFECTILKMDPEASLTTMLSYLLEYINPFSGNFNIENIGQETTHDHILGLLHKLYLPSHPKPSNVSSLPIAHSALELYRAGVKFQPNRNMTWPLAMDLKFCNCKHPIFSLFGAKPNLSIPVICINDVTEVVLRNLIVYEQYTPVYNYVTSYAMAMNMLVDTPVDICKLVESKVVINHLGSNEKAAKMMNTICKEVTFQDFYYTEQWENMDRYYNGYFPRNLAKLKRYYFSGPWHVIVLVGGILMFAITVVQTIFTIKPT >cds-PLY74368.1 pep primary_assembly:Lsat_Salinas_v7:5:56129499:56133200:1 gene:gene-LSAT_5X26560 transcript:rna-gnl|WGS:NBSK|LSAT_5X26560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLLLLFAICRLIVIVGLTLNPTVTDLFRVGIDGKLSVDPHDIKSASMDFGKTTRNEPTAVLHPATADDVAKLVKLAYQSPHGFSVSARGHGHSINGQSQTANGVVVQMSRSGRNPAVPEVCEKKMYVDVWGGELWIDVLKSTLEYGLAPKSWTDYLYLSVGGTLSNAGISGQAFNHGPQISNVHEVDVVTGKGQVLTCSNDKYPELFHSVLGGLGQFGIITRARIALEPSPQRVRWIRVLYSNFSAFTHDQEYLISLHNESQSEKFDYIEGFVIVDEGLINNWRSSFFSPKNPVKISSLGAGGNVLYCLEITKNYYDSSNPESIDQEVEGLLKKLNFIPASVFTTDLPYVDFLDRVHKAELKLRSKGLWEVPHPWLNLFVPKSRIADFDKGVFKGILGNKTSGPILIYPMNKNKWDEKSSVVTPDEDVFYLVALLRSALDNGEETLTLKHLSEENRKILKFCKESNIKVKQYLPHYTTQEEWMEHYGDKWQQIYRKKMEFDPRHILATGQRVFEPRFGSEVRSW >cds-PLY95728.1 pep primary_assembly:Lsat_Salinas_v7:2:116751525:116752244:-1 gene:gene-LSAT_2X53820 transcript:rna-gnl|WGS:NBSK|LSAT_2X53820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPVYWASLNNRVYAWYRGRKNVAKTRLTGFERDVEAARAQAPIGMDPQRWSDAIDHFLTEKHQKRSASNKECRKKQVVRTGKGTCSYGSACFKNTHHIADSGGDTDTIDWIAIFEKVLRAQRGHVRDIGPKVPSTTSTCAPSQ >cds-PLY62710.1 pep primary_assembly:Lsat_Salinas_v7:6:56618373:56620113:-1 gene:gene-LSAT_6X42581 transcript:rna-gnl|WGS:NBSK|LSAT_6X42581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYQRPDMITPGVDAQGNPIDPRKIQDHFEDFYEDLFEELNKYGEIESLNVCDNLADHMVGNVYVQFREEEYAAKALKNLTGRYYAGRPIIVDFSPVTDFREATCRQYEENTCNRGGYCNFMHLKRIGRELRRELFGRYRRRHSRSRSRSRSPYRHRSYEERGHSGHSHSRRYDDRDRDRDYYHERGSRRHRSTSPLHRRQLSPVREGSEERRAKIEEWNRKREEAAKVNMVNDYEH >cds-PLY82955.1 pep primary_assembly:Lsat_Salinas_v7:1:18544555:18548599:1 gene:gene-LSAT_1X16080 transcript:rna-gnl|WGS:NBSK|LSAT_1X16080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRVAKSLQAFSAHTLLFCFTLLLVLKLDHPIFSSWWVVFFPLFIFHVVVARGRFSLPTPSAPHDRHWAPCHAIVGMPLLVAFELLLCVFLESVYVMKVPAVDLKMVFLPLLAFEIAILIDNIRMCKALLPGDEENLSDDAIWETLPHFWVSISMIFFIAATLFTLLKLCGDIGALGWWDLFINFGIAECFAFLVCTKWSNPAIHRDSQTPEATYPSTSVRYLNWNNGLLVSSDGISEDRMCGLQDIGGHITKIPIIVFQILLCMHLERKPFAAGFIPLWVVFSPLLVLQGTGVFFSASRLVEKIVILLRVGSGTGRYFIFSARARDCFGYLYHGSRLLGWWSIDKESQEEQARLYHDGASGYNTFCGYSPEVVKKMPKKDLAEEVWRLQAALGEQTEIKKISQQEYETLQNEKILCRVCFEREISIVLLPCRHWVLCSVCSEKCKKCPICRVNIEDRLPVCDV >cds-PLY66537.1 pep primary_assembly:Lsat_Salinas_v7:4:340390554:340392022:1 gene:gene-LSAT_4X167201 transcript:rna-gnl|WGS:NBSK|LSAT_4X167201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPIKDADSSFILPDQTCQRFTLAEIESATENFDEALVIGRGGFGKVYKCSKIGSMSDVAVKRLHSMSNQGAHEFESEVKVLSKLRHGNLVSLIGYCNEVKEMVLVYEFMPNGTLEDHLRSPDLSLSWLQLLKICIGAARGLDYLHMGTSTQHGVIHRDVKSSNILLDANFAAKISDFGLAKVGVIDQTRTHMSTAVKGTFGYMDLCYFYTGKLTMKSDVYAFGVVLFEVLSGKKAVDSTLDEEHWGLAAWAQHQIKEGKINQIIDPRLIGQISKKCLKEYVSIAGHCLHTQPKHRPTMAEVVFKIESILSQATESANSVVDDDGFIFKLRSLFVGKVADVNAPIGKDTDESFRTFTYAELVIATNGFQDKKHSPTINESIYKGWVDEKTYAPTKYGVGLAMYVRKMEIPTRKVLPIFEMMWMWGLI >cds-PLY80692.1 pep primary_assembly:Lsat_Salinas_v7:3:171892398:171893031:-1 gene:gene-LSAT_3X105180 transcript:rna-gnl|WGS:NBSK|LSAT_3X105180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCQVTCSKQCVRLGLDTGSTVTLDGLDVQVKGCAMILMRVVRFMMNASRKEVFNLCMVGMTNVKCHEKFNRCIKKVQKSGKAGFSRDCPVDITVPTMQQGTNMAILFSQFGNSKLEL >cds-PLY92217.1 pep primary_assembly:Lsat_Salinas_v7:6:74219541:74220274:-1 gene:gene-LSAT_6X54121 transcript:rna-gnl|WGS:NBSK|LSAT_6X54121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLPGLIHDFLLVFLGLGLILGGLGVVLLPNPIYSAFSLGLVFVCISLSNIYQTPICSSCATNYSHRIHKCFNNFCCDVHKWFRIFKRFPSLDRWRWNNFGGMYKSVCFTNYYYSRYVVVQNNLDSKSKPKYRTRFDNWLMMLEHVLVLSANLFSICLYGLIAS >cds-PLY98665.1 pep primary_assembly:Lsat_Salinas_v7:5:303658996:303659752:-1 gene:gene-LSAT_5X163421 transcript:rna-gnl|WGS:NBSK|LSAT_5X163421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIMTKGKNVSLKRQYFIPPILKELLRYEIWDIGTGHLLHQGFAFQFNQGIFIHSKLIDCWAGFLNKMENYNDESSLSRFFFNTTIGTEEILNELKTEDLKCRLFDTLLRIYMKKFDVKPSFRDVVLVFFPIVDDVKYYLLIFDLK >cds-PLY77398.1 pep primary_assembly:Lsat_Salinas_v7:9:200213403:200214087:-1 gene:gene-LSAT_9X124561 transcript:rna-gnl|WGS:NBSK|LSAT_9X124561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQSHIAKKWSRKGKVLRAIIHRKLFSADEIDQNKVHNKGHHECIEEDEGKQSFVVGFLPTLVRVPSRGLKGLQKLGRVVSMRKDEGHEREDGRVELCKMRIIMGKRCRPLNVSGALHYDEDGILVPEDFLSPSH >cds-PLY64341.1 pep primary_assembly:Lsat_Salinas_v7:4:22342416:22346061:1 gene:gene-LSAT_4X15701 transcript:rna-gnl|WGS:NBSK|LSAT_4X15701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLHVRSSKSIVTTGKHSFNFIKVRLTFLSKSFHQSQNYPFVKTNTQNCTNNSIDCTEFHFKNLIFSAIERNYWAFCYNTKPGPNPLQDRFQAVIFEPELFTRVLSSIKEHPRIALRFFHWVEKQPGFTPSDNSFIAILEILVESNLMNRAYPVMEKMVCGNLNGVVNSLIDGNLNANIAAKLLDFMLFFLAKKVMVEQCLWVFNKMVESKFLPDVKNCNRLLKMLRDKKLVHKRKQVYSMMNVYHIEPNIITYNTLLDSYCKEGEVGQALNLLEKMQTKGCEPNDVTYNALISGMVKIGDFDQAKEMLSEMLVLGLKVSSYSYNPLIHGYCEKGLIEEAFNLVEEMAIVGASPTVHTYNILMYGLCKQGRIVYARQQHSIMLKNSLMPDIVSYNILIYGYCLLGRIKEAFLLVSELRRRDLFPTMITYNTLMQGLCGSGNMQKAMELKGEMVNLGFPPDVYTYTILANGCYKMGDLEMATKTYQEMIRHGLQPDQYAYITRIAGELKLSDTKRAIGLLEILEKRIGPNLVTYNVFVHGLCKDANVEGANELIKEMVSKGFVPDHFTYTSIICSHLKSGKIQKAKDLFSEMQSKGVDPTVVTYTVLIHAHAVTARVEWAFMYFMEMQEKGIMPNVITYNALINGYCKCRRMDHAYRYFSEMEARGLVANKYSYTILINGNCDLGNWSEALRLYTEMVDRGIEPDSCTHSALLKKIGLDSKADAVRYLENVVLGNEEICEAKSVSYL >cds-PLY73397.1 pep primary_assembly:Lsat_Salinas_v7:9:154017654:154020067:-1 gene:gene-LSAT_9X96180 transcript:rna-gnl|WGS:NBSK|LSAT_9X96180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESPAAGKCIPHKSKKRVFPESSSGTESKGDASSSPVNRTLNGKNKMHKQNEDTMVSILSGTSNAISKKVKSHNKEIIISPTLNGIIELDSEDSDSYNGKDYIHLSSDDHDSEYEYPTFQSHFDNIDFPTGMESSNPWFLDSVNMTSSTGFTHSTHPEHPMLSPSMVVLPSRLDTKGVKTSFDPAKVTKRTSGSSSSTCPTLRLQKGAMKVKSVKKRVKTQPHKMSINPTQITPMEDVLFEQNSRKIQQSSNVEEKYPNFKKFDIVEDYSDHHYKGANSETIQPPRNWSKKIEKEWRILEKNLPDTIFVRVYESRMDLLRAVIIGAEGTPYHNGLFFFDVFFPSNYPYVPPKVYYHSGGLRINPNLYEEGKVCLSLLNTWIGERNENWTPGVSTMLQVLVSIQGLILNSKPYFNEPGFADSSGSDYGENQSMLYNERTLIYSLKTMVYTMKNPPKHFEDLVIGHFRNCGVAILTTCRGYVNGVGVGCGEKKGSRGFGKNVEKYMGTLVGGFKEIGVENLDEFVPQTRNLAQKIRDFFGI >cds-PLY73327.1 pep primary_assembly:Lsat_Salinas_v7:8:260723073:260727609:-1 gene:gene-LSAT_8X152220 transcript:rna-gnl|WGS:NBSK|LSAT_8X152220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGNLALLLDVTSPRNTVIDRSKARLLPSDIFLNLFKKDLVIPPSNLYASLPPKGGIEFEKDPTTTPHRGVRGRKANSKFNEVDYESSSDEDNGNGYGDDEDIDDERKKRLAWETEMRMRVKENEDMRELEKKAEELQSRDEEVEGELGDEGDGVNEREEETEEEKRMRVRRELEKVAKEQAERRKMAELMFDLGQRAYGKGMYGRSIEFLEGALTIIPRPTLFGGEIQIWLAMAYEANNRHKDCIDLYKKLESSHPSVSIRRQAKDLRYILQAPKLKISQEEMVTIPLIGSSYDSYAVTWTDKNKEKEERSNRLTSNQVSSGRDYLGDFLVWRPPGDLVKNQAFWAALVVWVALVGAALYLQ >cds-PLY91191.1 pep primary_assembly:Lsat_Salinas_v7:4:124600160:124601953:-1 gene:gene-LSAT_4X78460 transcript:rna-gnl|WGS:NBSK|LSAT_4X78460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAINKFLLVFLSLALVLGIAQSFDFHEKELETEDSLWDMYERWRSHHKVAASHQEKQRRFNVFKANAFHVHETNKMDKPYKLKLNKFADMTNHEFKSKFAGSKIKHHRMLQGDRIGNKTFMYANVDSVPTSVDWRKKGAVTPVKDQGQCGSCWAFSTVVAVEGINYIKTKELVSLSEQELIDCDTKENQGCNGGLMDLAFDYIKKIGGLTKEDNYPYMALDGRCNSKKENTPVVSIDGHEDVPKNNEKALMKAVANQPVSVAIDAGEQDFQFYSEGVFTGKCGTELDHGVAAVGYGTTLDGTKYWIVKNSWGAEWGEKGYIRMQRGISDKRGLCGIAMEASYPIKKSANNPTSFAKSSPKDEL >cds-PLY74186.1 pep primary_assembly:Lsat_Salinas_v7:9:24091301:24094147:1 gene:gene-LSAT_9X21580 transcript:rna-gnl|WGS:NBSK|LSAT_9X21580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNNSSLSGSASNLQDNSAGRQFSTSFTAQSGAPSPVFQHSGSIQGLHNIHGSYNVPNMQGTLGSRNSTMSNVPSSGLQQPTGNLSSGRFTSNIPVALSQISHGNSHGHPGLANRGGMGVVGGPGYSSSTNAVGGSIPGILPTSAAIGNRNSIPGVGGVGVSPMLGNSSPRITNSTGNIVGGGNIGRTLNSGGGLSIPGLSSRLNLNTNSGSGNVNVQGSNRLMGGMLQQASPQVMSMLGNSYHSGGPLSQNHVQGVNSLNMGMLNDVNNNDGSPFDINDFPQLSSRPSSSGGPQGQIGSLRKQGLGVSPIVQQNQEFSIQNEDFPALPGFKGGNADYPMDLHQKEQQQLHDTNVSMMQSPHFSMGRSSGFNLGGAFSSHRPQQQSSNVSSFASANNQDLHHLDMFQQGSHTSYHSQGSGGLRPMNSQNPISGIGSYEQLMQHYPSQQQQQQQQRNQSQFRLQSYGDQGVVVNKSLQTPDRFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSAENLHRTFGSPWSDEPVKGDPDFMVPQCYYAKQAPVLNQRYFSKFQLNTLFYIFYSMPQDEAQLYAANELYNRGWFYHREHRLWFMRAANTDPLVKTNTYERGSYICFDPNTWETIRKDNFVIYYEMFEKRPALPQH >cds-PLY98052.1 pep primary_assembly:Lsat_Salinas_v7:2:19918658:19919800:1 gene:gene-LSAT_2X11601 transcript:rna-gnl|WGS:NBSK|LSAT_2X11601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDEIPFHIQELIIKRLPIVSLLQFRSVSKTWKFLIDSSNFIASHSVTESQHLLIRNEDKESDTVGKYFSFPDDDTFPHQRFVHTLPHAIKLLKNANIVGSSFGLFCFHGYNLGMEMVVLWNPSIRKSITVPMPNKFNLDPETKLCFGVSPVTTDPKIVEITQFHKTSYHCEANVYTVSSGNWRNLSNNLPSKPFRIFWPQVVVDRFIYWCAFDPMNVDSELPNHNFIMSFDITNESFGVVELPDSLRRHSPKQLCISKVRESLVLLEYDSYQKRACSVWMIENAVEKSFTKRFTVEAPHYWSMSITTLGFRKNGKPIVEVENAHMCYEQGALMVYEPNIECFKYLGMYGKPGTFFVHSYIETLVLIGQSDRNIEVEDDV >cds-PLY74992.1 pep primary_assembly:Lsat_Salinas_v7:5:86150153:86151674:1 gene:gene-LSAT_5X39981 transcript:rna-gnl|WGS:NBSK|LSAT_5X39981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGYRANALLTFSLTILALMAAMASFSDNFNSPSPAAHVQVLNVNRFQNRPNGDDEIGMTLSISADLQSLFTWNTKQVFVFLAAEYGTPKNAMNQVSLWDGIIQLKEDAKFSTKVKNKYRFIDQGSNLRGRDINLTLHWHIMPKTGKMFADKLVVTGFQLPHSYR >cds-PLY97029.1 pep primary_assembly:Lsat_Salinas_v7:2:69641236:69644866:1 gene:gene-LSAT_2X31060 transcript:rna-gnl|WGS:NBSK|LSAT_2X31060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKAGADKKRVRRSSAAVQNGGNRYSGSDTPPRKQANKKDAFQLFAEKVRDHKELVSRWAVLQETRVEYFRGKDFVGFLRNHSELKDILGSDRHLEVEDIADELLNKNLLVRCDRVVKTVRPGKRKLSTWPAHLEIYPDQEFSDNDAFFAWTFVNKRPLWQTLLSLSWPVLTLAICLFPIYPHQAKLLILYSCAGVLLLILCLLLVRALIFGTGWILLGKRIWIFPNILAEEATLGELFRFWPKKDEEENPKLTARLFFAVVAIFVILLLRHHAPDEAARARYQKRVYNIIDDVIEWDPRLALSGMMDGKPSEEVNVTVTETETDNNFTDGGDDKDMYNHEIPNQEM >cds-PLY92178.1 pep primary_assembly:Lsat_Salinas_v7:8:5675235:5676567:1 gene:gene-LSAT_8X4640 transcript:rna-gnl|WGS:NBSK|LSAT_8X4640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQTLFAPLRTLKRTSPIPLPARSPSSWIPVTNRSILSSPPPNHSIQAIGATHCSKRFSCLKTKASTSANMATEKKKVQVFDSEEALSVSLAKYTADLSEKFVKQKGSFTVVVSGGSLIKSLRKLVEAPYIDSIDWAKWHMFWVDERVVPKDHPDSNYLLAFDGFLSKVPIPPGNVYAINDALSAEGAAEDYETCIKHLVHNGIIATSETTGIPKFDVMLLGMGPDGHVASLFPGHPLLEEKNKWVTFIKESPKPPPERITFTFPVINASANIALVVAGAGKAHPVHVSLGNGQHSEVLPVQMVSPEGDLTWFLDKDAASKL >cds-PLY65572.1 pep primary_assembly:Lsat_Salinas_v7:2:79760435:79762780:-1 gene:gene-LSAT_2X35900 transcript:rna-gnl|WGS:NBSK|LSAT_2X35900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPKRSQRSYNQADDISLFLKEEINMIYLGANVVERLKAQQAYTACENVWLQLFIGLFHDDRVFGCKWLGHMHRIRMAVFKDFNVEGQKWYYKFMERTGDMHRIRMEGHEHVKAVTAPDYGEAYAFREDGYIPESKKAKLLQGHLDRIQLNYGDVASESCHHAEMANKGSNDFRTSTVGDSAEKDTDVQLWQHDMVATETKMICLKAKAQLVGIHERVSSDAGNNTQSEAVYLVK >cds-PLY87029.1 pep primary_assembly:Lsat_Salinas_v7:5:255410955:255411732:1 gene:gene-LSAT_5X131420 transcript:rna-gnl|WGS:NBSK|LSAT_5X131420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAAIQSPRKQSSAISVGHQRATGVKNAILHIRRKSFAFEHRVTTASSPYSGGRCCIVAASNSPNEEANSNADDPDLSFTSQEDVNFLLKLGGGSLAGAAAIKYGSILVPQITQPNITQALIMISTPVVVAVLILIIASRVEQR >cds-PLY80627.1 pep primary_assembly:Lsat_Salinas_v7:4:256119918:256122620:1 gene:gene-LSAT_4X135220 transcript:rna-gnl|WGS:NBSK|LSAT_4X135220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGLSLLILFCYIFFDFPSTTTSSIPIPTSQTLSQLQLHPQSDPEPFQLLLAAFRKWDSQVGCSNFKAKHVDLMKQGSNSSSLQRDDRDYQCSELKMNHVGVLIKGWTWIPDNLDNLYSCRCGLSCLWTKSPVLLDKPDALLFETTTPPSRRRKGDPLRVYMDLEAGRKRSGFEDIFISYHAKDDVQSTYAGGLFHNNRNYYLSSYKNNETLVYWSSSRCLPERNKLAKTIFGLLSHHSFGKCLNNVGGLDMALSLYPECTKDPNSAPQWWDHLHCAMSHYKFVLAIENTYTQSYVTEKLFYALDSGAVPIYFGAPNVMDFVPPHSIIDGSKFKSMEELADYVKALANDPVGYAEYHAWRRCGVVGNYGDTRATSLDTLPCRLCEAVSRRAGRDATAR >cds-PLY74033.1 pep primary_assembly:Lsat_Salinas_v7:7:10855956:10856537:-1 gene:gene-LSAT_7X8580 transcript:rna-gnl|WGS:NBSK|LSAT_7X8580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREEFCDSEAVRQVLSEQNCIVACEKAALEDQVATLDRSERLEDQVSCLNLEKGALASELARCQRQLARSRVDGAAARGSLQLILEKGVVCVINKVIERAEFASGIQGVCEACKALGFEKGKQLGGCSKNTGESEVPDPSHVARRAEEVDATLSSLAETNFAGLFRLGELDYDDFHHFCYRPNPGGSSSDSEG >cds-PLY66365.1 pep primary_assembly:Lsat_Salinas_v7:5:288786854:288787916:1 gene:gene-LSAT_5X153241 transcript:rna-gnl|WGS:NBSK|LSAT_5X153241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYISLSSPTPRSYLPKPFSLSTNKLRFHVFSKNQFSGGGNYTSPEEPVVTPEGLRRELMPKHVAVIMDGNRRWARSQRLTPQAGYLAGAAALKLVMDLCRKWGIQVLTVFAFSTDNLLRPKIEVDPLLGIFGSKIKDEIAYMERENIRLSIMGDVSKLPQSLREVITHAENTTKNNSRLNLVIAINYSGRYDIVQACQSICQKVKDGEVESEEINEFMIDNELCMKLMGLPDPDLLIRTSGEVRVSNFFLWQLAYTELYFTETLWPDFGEDELLRALHVFQQRPRRYGV >cds-PLY91773.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:21983:22324:1 gene:gene-LSAT_0X33081 transcript:rna-gnl|WGS:NBSK|LSAT_0X33081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISDMVVGNLTILYLVVIAAIKVYSQLSGRCYGGAAMLILSTTVVGLLLVLALTWDVSRKVVTCAVVTRGHDEVEVAHELCRGGICWHGVAVKYPASQVRFRLPQQQTMNRQ >cds-PLY80704.1 pep primary_assembly:Lsat_Salinas_v7:5:219055103:219058878:-1 gene:gene-LSAT_5X103541 transcript:rna-gnl|WGS:NBSK|LSAT_5X103541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHHSDSVFSQIAKAPEDPILGVTVAFNKDSDTSKLNLGVGAYRTEEGKPLVLNVVKQAEQKLVNNPSRIKEYLPIVGLADFNKGSAKLIFGADSPAIQENRVTTVQCLSGTGSLRVGGEFLARHYHEKTIYIPNPTWGNHPKIFTFAGLSVKTYRYYDPTTRGLDFKGLLEDLGNAPSGAIVLLHACAHNPTGVDPTIQQWEEIRQLIRSKALLPFFDSAYQGFASGSLDADAKPVRLFVADGGECFTAQSFAKNMGLYAERVGALSIVCKTSDVASRVESQLKLVIRPMYSSPPLHGASIVSTILNDSDLYNEWTLELKAMADRIISMRTQLLETLKAKGTPGDWTHIIKQIGMFTFTGLNAEQVAFMTKEYHIYMTSDGRISMAGLSSKTIPHLADAMHAAVTTMA >cds-PLY64215.1 pep primary_assembly:Lsat_Salinas_v7:7:4234447:4236069:1 gene:gene-LSAT_7X3801 transcript:rna-gnl|WGS:NBSK|LSAT_7X3801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G01310) UniProtKB/Swiss-Prot;Acc:O04603] MALLQSSASSFISQFPAVSPGNSVRLSPLCGNRYGGLSVRAEAAAGIVLVDKSEADKVNRLKTSYFEKIVPLLKEEFNYTNILEVPKVSKIVVNCGIGDAAQNSKGLDAAINDMALITGQRPVKTKAKNPIATFKIRENQTLGIAVTLRGNMMYAFLDRLINLGLPRTRDFQGVSPNSFDGHGNYSVGLKEQSVFPELSYDALGKPRGMDVCIATTAQTDKEAYKLLALMGMPFREGAGPTAVVKRKKLKSHHFNTKTKQKSRK >cds-PLY64607.1 pep primary_assembly:Lsat_Salinas_v7:6:38660746:38661804:1 gene:gene-LSAT_6X31281 transcript:rna-gnl|WGS:NBSK|LSAT_6X31281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLNMVGKEHVRSSNNTTGVLEFNASAAVEKSTALVYLHVNTGYDGSDLKQAVTDLLDDEGIKWKQEEDPRCVLITFDREKKELKFNKGGRNSGCLILID >cds-PLY97245.1 pep primary_assembly:Lsat_Salinas_v7:1:42760824:42761030:-1 gene:gene-LSAT_1X37561 transcript:rna-gnl|WGS:NBSK|LSAT_1X37561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYDPSASDSRSSHSSALDDALLDFATMDFAGLLGLGHLEVDGVREVCTFDDGEEGVGELGVGTGGDGV >cds-PLY79937.1 pep primary_assembly:Lsat_Salinas_v7:3:108970334:108972377:1 gene:gene-LSAT_3X79520 transcript:rna-gnl|WGS:NBSK|LSAT_3X79520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRVETKSNSDNEEENTTKNNKIPRKGRMVISDDKDDEGVKLRLRGKTLDMQYEKETYQDNGTNSIGLPHKESTVACCDEVDSLAKSIGAVNTIVRKPTDGKLISYNTDCQHEVLASGPIEDLIAHREHRYCISGSSLLAAMDESNQVSSGDLDKEQADRSQVLVNQLKGVAPTIQNSISELDVHGDDVNQSNEKYEDASEAGNELVGVVSDENLLELPELEMSSKTADTVKEPED >cds-PLY96230.1 pep primary_assembly:Lsat_Salinas_v7:MU038486.1:61570:65215:1 gene:gene-LSAT_0X32381 transcript:rna-gnl|WGS:NBSK|LSAT_0X32381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEKFSATLRIGDLNDFIAPSQGCVVSMKSGSARLVDKPKGKPSEFTNLYDCINARTVLTCDFSNHKNRPITHMTIVVHQVLFLEQKLVKTTPVKETLETDPVKISLKDCLACSGCITSAETVMLEKQSLDDFLSNINNGKTVIVSLSPQSRASIAVHYGLSPLQVFKKLTTLFKSLGVKAVFDTSCSRDLSLIESCNEFISRYKQSNSDDKESSRSFLPMISSAWWKCYAEKTLGSYVLPYISSVKSPQQSIGAIIKHHLCHKLGVRATEIYHVTVMPCYDKKLEASRDDFVFHDESGEMTTEVDSVLTTGEVLDLIQTKAVVDFVNLDESPVDKLLSNVSEEENLFGVRVSSGGYADTIFRYAAKTLFDQDLKGPLDFKTIRNSDFQEVSLQVEGKTVLKFALCYGFRNLQNVVRKLKMGKCDYHYLEIMACPSGGQLKPKSGQSGKDLIQALETTYMQNVLVADLFENMMVKRLYESWLEHPGSEKAKKHYHPIVKSITSQLNNW >cds-PLY82107.1 pep primary_assembly:Lsat_Salinas_v7:1:15064816:15065371:-1 gene:gene-LSAT_1X12721 transcript:rna-gnl|WGS:NBSK|LSAT_1X12721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRATKKRQLVIKKSIAPSVSVIRTVHYGQCLKNHAANIGLYAVDGCREFMASGDDGTRGALTCAACGCHRNFHRRDIDEIACECSSTSDD >cds-PLY87240.1 pep primary_assembly:Lsat_Salinas_v7:1:51333326:51334090:1 gene:gene-LSAT_1X42421 transcript:rna-gnl|WGS:NBSK|LSAT_1X42421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVVTSEFEVSSSLPASKFFNAYRDFNNIAPKVDPETYKTVVTVEGDGGAGTIRDISFGDGVPFTSGKSKLDVVDSDNFTVVYTIFEGDVLMGQLDSMTHHVKFIPSPDGGCVYKSTIVHNCKGETQLPEEAINMAKEGFKKTFKAIESFIHGNPHTY >cds-PLY70364.1 pep primary_assembly:Lsat_Salinas_v7:4:101545091:101547550:-1 gene:gene-LSAT_4X66101 transcript:rna-gnl|WGS:NBSK|LSAT_4X66101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTWCSDCSDTPGVIIKRFPSSNDNNSIMDNKKTVPCPSCGHRINCYEKARIHDLPGLPAGVKFDPSDHELLEHLEAKVRLDAHRIHPLIDEFIPTVEGENGICYTHPEKLPGVSKDGLVRHFFHRPSKAYTTGTRKRRKVHSEIDGSETRWHKTGKTRPVFLKTKVKGYKKILVLYANYGKQKKPEKTNWVMHQYHLGNNEDEKEGELVVSKIFYQTQPRQCGSNVVKDNIISPLVKSNGRNAHEVTHGTNNTTFVEYFSPSLVSYQQNLQHAHDLNLHDGSSVVP >cds-PLY65724.1 pep primary_assembly:Lsat_Salinas_v7:5:275431312:275434584:-1 gene:gene-LSAT_5X146261 transcript:rna-gnl|WGS:NBSK|LSAT_5X146261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G29170) UniProtKB/Swiss-Prot;Acc:Q8GYD2] MSKKRGLSLEEKREKMLQIFYDSQDFYLLKELEKLGPRKGVISQSVKDVVQTLVDDDLVSKDKIGTSVYFWSLPSCAGNQLRNVSKKLESELEISKKRHVELVEQCDSLKKGREDSEAREEALSELKAIEQKYNNLKEEMGQYADNDPATFEAMKEAIKVAHEAANRWTDNIFTLRQWCSKNFPQAKEQLDHLYNEVGITDDFDYLEFPVLTPVKQVEE >cds-PLY70882.1 pep primary_assembly:Lsat_Salinas_v7:9:16694176:16695802:-1 gene:gene-LSAT_9X18121 transcript:rna-gnl|WGS:NBSK|LSAT_9X18121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVFFFLLTVISLLLTHSHAQSTCATQKFTNNKRYDRCSDLPQLGSYLHWFLDTAKDSVSIVFIAPPATPDGWISWAINPTGDGMAGSQSLIAYKASNGSILVNTYNISSYGSIVEGKLAFDVTDMRGEYSESDGMMRIFATVELPENGQTTINQVWQVGGSVKAGGFPARHAFLAPNLGSKGSLNLLSGEIAGGGNGNSKTKKRNIHGILNAMSWGILFPVGVIIARYLRTFPAADPTWFYLHGFCQVSAYAIGVAGWGTGLKLGSESKGVKYSGHRNIGIALFCLATLQVCALFLRPKKEHKIRFYWNIYHHGTGYAVVVLGILNVFKGLQILSPASKWRSAYIIIISILGVIALVLEVLTWIVVLKRKRKSVKATKPYDNGDAKQLPLAP >cds-PLY89626.1 pep primary_assembly:Lsat_Salinas_v7:8:221200017:221201854:1 gene:gene-LSAT_8X133621 transcript:rna-gnl|WGS:NBSK|LSAT_8X133621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKILQVDKNAKDDDLKKAYRKLAMKWHPDKNPNNKKEAEAKFKQISEAYDVLSDPQKRAVYDQYGEEGLKGQVPPPDAAGGPGGATYFSTGGGTPFSFNPRNADDIFAEFFGFRGAGGGGDPSGMRGVRFSSNSFGDNMGGFENIFSSFGGGGGGSPFGNSAGGGGAFSSGPRKDPPIERPLPCTLEELYKGTTKKMKISRDIADISGKTMSVEEILNINIKPGWKKGTKITFPEKGNEQPNTTPADLVFIIDEKPHSTFTRDGNDLVVTRRISLAEALTGYTVHLTTLDGRNLTVPINNVIHPAYEEVVPREGMPISKDPTSKGNLRIKFDVKFPARLTPAQKSKIKELLNG >cds-PLY97357.1 pep primary_assembly:Lsat_Salinas_v7:4:287051080:287054080:-1 gene:gene-LSAT_4X144841 transcript:rna-gnl|WGS:NBSK|LSAT_4X144841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MKIVTYNVNGLRPRISQYGSLRKLLDSLDSDIICFQETKLSRQELRADVVSADGYESFFSCTRSLEKGRTGYSGVATFCKVNSAFSSNEVALPLDAEEGFTGVLENSKGFTSKKHDLLTCVEGLEGFNRDVLLKIDNEGRCIITDHGHFVLFNIYGPRAASDDTERIEFKLSFYKILQKRWESFMLKGKRIIVVGDLNIAPSSIDRCDAGPDFENNEFRSWFRSLLVENGGLFFDVFRGKHPERKEAYTCWSTNTGGEMFNFGSRIDHILISGSCIHENKDLKGHNFFSCHVKDCDILTQFKRWKPGTTPRWKGGGSIKLEGSDHAPVFMSLKEIPDIHLHNTPPLSTRYCPQVRGCQQTLVSMLSRRQSTEEVKAHEDHKEGVKRPVFDSTRLIQTNDIIKIEAMNFTEMKKKPKKNHSSQLSLTSFFQTTSKSESVEICETEINQSESDQHHETESNGCNSSQSDQSKIALLEWKRIQEFMQKSIPVCKGHGEQCVSRVVKKAGPTFGRQFYVCARAEGPASNPEANCGYFSWADSRSKRKQSK >cds-PLY94264.1 pep primary_assembly:Lsat_Salinas_v7:MU038941.1:10590:15439:1 gene:gene-LSAT_0X39480 transcript:rna-gnl|WGS:NBSK|LSAT_0X39480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIVSTSIASCPNEHRKIYQQWFDYIDSDDDGRITGIEASEFLAMSKLAKHDLKQVWAIADSKRQGFLCFKEFITAMQLVTLAQAGHDLNVERLELPSIEGLGQFLAKRNASRNDAGAESNGTPPFSSIPKLNTFFSSKSTKKRALNDVTSIVDGLKKLYNEKLKPLEIAYQYNDFASPLLTDSDFYGKPMVMLLGQYSTGKTTFLKHILKCNYPGAHIGPEPTTDRFIVVMNGPDERTIPGNTVAVRPDMPFAGLSTFGGAFLSKFECSEMPHSLLDHISLVDSPGVLSGEKQRTQRSYDFTGVIKWFAEKCDLILLLFDPHKLDISDEFKRVITSLNGQNDKIRVVLNKADQVDTQKLMRVYGALMWSLGKFLNTPEVARVYIGSFNDKPLKEGVVDAMIKELFEKEQDDLLLDLIDIPKKACDRRINEFVKRARAAKIHAYIIGHLKKEMPSMLGKTKAQQKLIDNLQDEFAKIEKEYHVPPGDFPDIEQFKEVLATYNIDKFEKVKPKLIQAVDEMIANDIPELLQNFRNPYD >cds-PLY95333.1 pep primary_assembly:Lsat_Salinas_v7:1:42394522:42394764:-1 gene:gene-LSAT_1X37241 transcript:rna-gnl|WGS:NBSK|LSAT_1X37241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILTLIVHVIFFGLKVFKMVLEIRDVVVARISLQSQNSSNITSFCVLVDLFDELGLNPNFDELLISSHFNNLRSTAVAKM >cds-PLY61812.1 pep primary_assembly:Lsat_Salinas_v7:6:58248247:58250088:1 gene:gene-LSAT_6X43221 transcript:rna-gnl|WGS:NBSK|LSAT_6X43221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIHTQLVDRVAVGRYQDEVTHPSAIKAFVAEFISTLIFVFAGQGSGMAFGKLTDGGATTPAGLVAAALAHGFGLFVAVSVGANISGGHVNPAVTFGAFIGGNITLLRGIFYIIAQLLGSVVACLLLFFSTGGLATTGFSLSAGVSIWNAFVFEIVMTFGLVYTVYATAIDPKKGDVGIIAPLAIGLVVAANILAGGAFTGASMNPAVAFGPAVVSWDWRCHWIYWAGPLIGGGIAGAIYELIFINRTHEPLQGSEF >cds-PLY89742.1 pep primary_assembly:Lsat_Salinas_v7:1:58958495:58962164:-1 gene:gene-LSAT_1X50700 transcript:rna-gnl|WGS:NBSK|LSAT_1X50700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSNHLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPRSRSVDVISGCLKAGMSVARFDFSWGDCAYHQETLDNLKTAIKSTKKLCAVMLDTVGAEMQVVNKSEKSISLQQDDNVILTPDKGQEASSQVLPINFNGLAKAVKKGDTIFIGQYLFTGSETTSVWLEVDKVEGDDVNCKIKNTATLAGALFTLHASQIHIDLPTLTEKDKENISAWGVPNKIDFLSLSYTRHAQDVREAREYLSKLGDLSQTQIFAKIENIEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKTALYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGKICAEAEKVFNQDLYFKKTVKYVGEPMSHLEAIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKSNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAESNSATNESVLKVALDFGKTSGVIKSHDRVVVCQKVGDASVVKIIELED >cds-PLY92725.1 pep primary_assembly:Lsat_Salinas_v7:7:4889516:4892192:1 gene:gene-LSAT_7X4301 transcript:rna-gnl|WGS:NBSK|LSAT_7X4301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADKEEGHATAPKGADWEVVSLTASAYAAAPGGNIPELKHEEKGEVVDKDKVETSNALFMSTHFVLPPKQDTEIFDQLDDSESVKEKEIYDLKKLTELHDYNKIPFSNDQKLALGDTDFTENTTFSSLNMGAKEQSIYTSPALDSLHSEATMGLKNIDDEMRELDESVYSSEEPKENNNHHEHDGSGCGVPYGVWLKKQAVSLYAHTKETSTFWSIFAAAAVMGIVIIGQQWQHERWQVLRHELKFRIHDERMRMMTGLKDAIIGGNRRDFLVNGSISRDR >cds-PLY94595.1 pep primary_assembly:Lsat_Salinas_v7:8:181127185:181128234:-1 gene:gene-LSAT_8X118400 transcript:rna-gnl|WGS:NBSK|LSAT_8X118400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVSELEKENSQKNKQILELQANLGGLTAFNFDFKDKLIGKFGGGFKPSSSESRKAPETSERVVIRPTPDSNIDQHLSSGPAIAEERREKQKKKLFHVPFHNPTNDPNAWAFKNFLEDKSRNKFAGVSTASSFVRKVKGNIDPCTNKTMVNVMWMPTKKTKKIPLSQPIPDGSLNDLQFWVYDEATATVVTKTKKDQFYLDDPKDLLRLDKHDIHTLSRNQLMVQNEMFEAAAKEFTGMIAKIIDQKLGARALAGSDVHLVEKP >cds-PLY76344.1 pep primary_assembly:Lsat_Salinas_v7:5:225707215:225714419:1 gene:gene-LSAT_5X107641 transcript:rna-gnl|WGS:NBSK|LSAT_5X107641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTPQNQIQNQNHTIRPRPPPPLNYHPRHNQHYYPNSSSSSSSASIKGCCCCLFLLFSFLALLVIAVVLVIFLAVKPKKPEFNLQQVGVQYISLSAANPPSATVNSPNSASISLAIRMMFTAKNDNKVGIKYEESTFNIMYHGIPLGRGTVPGFFQPAHSIREVQTTVTVDRVNLLQTDAADLVRDATLNDRVELRIMGDVRAKIRIIGLTSPAVQVSIDCAIAISPRKQSLAYKQCGFDGLQL >cds-PLY63440.1 pep primary_assembly:Lsat_Salinas_v7:7:148239128:148239850:1 gene:gene-LSAT_7X87661 transcript:rna-gnl|WGS:NBSK|LSAT_7X87661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVMGHGGDNGDEPPHPFGGSFGVHRIDVVPPRRKDVAVNKKMHRLYEANNRQPLKIIFDINNFVPIGDVYECFIQEVGSYICHDIALDKNTWKEVSEADRDGMFSYLSTYFGFQAISNDPNAKILWASQNHRICQQYRSRKNTEKDKFINMPEGVEATRARPPRVWIQCVGLLLLKGGATTTVLLSRM >cds-PLY77125.1 pep primary_assembly:Lsat_Salinas_v7:7:184226615:184228413:1 gene:gene-LSAT_7X109861 transcript:rna-gnl|WGS:NBSK|LSAT_7X109861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKLEHPLERRQAEAARIREKYPDRIPVIVEKAERTDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNVLPPTVKNKFQTFFNIKDLVYMMRLFATLFSCYDVCNI >cds-PLY72165.1 pep primary_assembly:Lsat_Salinas_v7:7:58905847:58907336:1 gene:gene-LSAT_7X42981 transcript:rna-gnl|WGS:NBSK|LSAT_7X42981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSSWVQERHFPDLHIPISIDRSFPILPAGAIKAQDGDTLYLSNLDDIIGARVFTPTIYFYNATKSSFDDIVAILRDALARVLVPYYPFSGRLRGTRNGKLEVFFGPNQGALMVQAHTELSLDYLGDLNVPNPAWSNLVYKFPDEGDYKVIDMPLLIAQVTRFSCGGFSIGLRICHCICDGLGAMQFLGAWASTAKTGSLVIDPKPCWDREIFAPRDPPMVKYPHAEFMKIDDGSNLTISLWEVKPLQKCYWLSREFQAHLKNVARPTDSLGCTTFDAMAAHVWRSWVKALNVKPLDFGLRLTFSVNARSKLKNPPLKEGFYGNVVCIACANSTVSDLVNGSLQDVTRLVREARLGVSEEYLRSTIDYVEVDRPSKLEFGGKLTITQWTRFSMYESSDFGWGQAIYVGPIDLTPTPQVCVLLPEGVDNSSGAMVVCICLPEAAAHRFKQLLCLMDT >cds-PLY86103.1 pep primary_assembly:Lsat_Salinas_v7:7:139435386:139436050:-1 gene:gene-LSAT_7X83340 transcript:rna-gnl|WGS:NBSK|LSAT_7X83340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCCSGNCGCGSGCKCGASCGGCKMNADISNSETITTRTTIAGVASATKSCHDGSEMGVATSENGCKCGSNCTCNPCNCK >cds-PLY93775.1 pep primary_assembly:Lsat_Salinas_v7:6:145128006:145130804:1 gene:gene-LSAT_6X87800 transcript:rna-gnl|WGS:NBSK|LSAT_6X87800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMESTATAIGVSVPVLRFLLCFVGTIPVGFFHRFIPGGPTGKHLYAALTGALLSYLSFGFSSNLHFFVPMLVSYGSMVLYRKRCGLITFVLAMGYLIGCHVYYMSGDAWKEGGIDATGALMVITLKVISCAINYNDGLLKDEDLRESQKKNRLIKLPSLIEYVGFCLCCGSHFAGPVYEIKDYLDWTEGTGIWAKSEKGTPSPFGATLKALLQAGFCMGLYLYLSPQYPLSKFTDPTYKEWGFLKKLSYQYMAGFTARWKYYFIWSISEASIIISGLGFSGWTNLPSPVARWERAKNVDVLGVEFAKSSVELPLVWNIQVSTWLRHYVYDRLVQKGKKAGFFQLLATQTVSAVWHGLYPGYMIFFVQSALMIAGSRAIYRWQQSVNPTLKNIFMLLNFLYTLLVLNYSCVGFMVLSLHETLTAYGSVYYIGTVIPVVLIVLGNIIKPKSAKSKARKEQ >cds-PLY67907.1 pep primary_assembly:Lsat_Salinas_v7:1:55767639:55767992:1 gene:gene-LSAT_1X49601 transcript:rna-gnl|WGS:NBSK|LSAT_1X49601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPNLDLFLVALLHGSFISFVLIIIIFIILIAILCTFFITLFSILVIDAYDYSSYVSQLFVTIKAHLELFLVLVVFSLTYAYVLLELKWKDAFKIKVSKLKKKLQVAFHQVDKRKVH >cds-PLY94642.1 pep primary_assembly:Lsat_Salinas_v7:1:40787827:40792453:-1 gene:gene-LSAT_1X36260 transcript:rna-gnl|WGS:NBSK|LSAT_1X36260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKLEKVIVAVKASKEIQKSTALIWALTHVVQPGHFVTLLVVTPSQTSDCASVHRKSDSGTIPEQKVDISITDSCSQMILQLHDVYDPDKVNMKIKVVSGTPCGVVAAEAKKFHASWVVLDKKLKHEQKPCMEELQCNVVIMKKSQPKVVRLNLAGSPKKETEKSSEKQETNKKSSVGVGPIKGPAVTPTSSPEIFTTTEPGTSSFSSSDPGTSPFSAHVKNVESLTKKEKKDVTESSSDSETDDDHISSSSSSSLRFQPRIIDILTSSCSSYTDEATSITKNKTFLGGCVREAISLSRSMPSGPPPLCSICLHKAPVVGKPPRWFKYSELEVATGGFSQANFLAEGGFGSVHRGVLPDGQVVAVKQHKLASSQGDQEFCSEVEVLNCAQHRNVVMLIGFCVEDGRSIKFKGSLIINLAGRHEGRLEWGARQKIAVGAARGLRYLHEECRVGCIVHRDVRPNNILITHDFEPLVGDFGLARWQPDGDKGEATRVIGTFGYLAPEYAESGEVTEKADVYSFGVVLLELVSGRKAVDINRPKGQQCLTEWARPLLENNVVRKLIDPRLGKCYSEHEVCCMLEAACLCIKRDPLLRPRMSQVLRMLEGDVMMESSHM >cds-PLY74610.1 pep primary_assembly:Lsat_Salinas_v7:7:33356361:33361295:1 gene:gene-LSAT_7X24301 transcript:rna-gnl|WGS:NBSK|LSAT_7X24301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFVIILVVLFRVSVSMVGASDSLLSPKGVNYEVAALMSMKNKMIDEYHVLDGWDINSVDPCTWNMVACSSEGFVISLEMASTGLGGTISPSIGNLGHLHTLLLQNNALSGAIPAEIGKLRELETLDLSSNEFVGEIPSSLGSLTRLSYLRLNKNKLSGDIPGPVANLTGLSFLDVSFNNLSGASPKIRAKDYKITGNNYLCTSSSLNCINVPKVANEANSNKKIDNKHHWIVSVMVGVSCTFVISIMLLVCGVHWYRSRLICTSYVQQDYEFNVGHLKRFTFRELQVATGNFSSKNILGQGGFGVVYKGCLINKTLVAVKRLKDPNFSGEVQFQTELEMISLALHRNLLRLYGFCLTSDERLLVYPYMPNGSVADRLRDCGHERPILDWSRRMHIALGAARGLVYLHEQCNPKIIHRDVKAANILLDENFEAVVGDFGLAKLLDPRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDAGNGQLQKGMILDLVRTLYEEKRLQVLIDRDLNGCYDKEELNEAVKLALVCTIAQPNSRPKMSQVMKVLEGITGQPVNLEDTQTGPTQQFEGTTFSFFKNHSDAHEGSSFIIEAMELSGPR >cds-PLY72892.1 pep primary_assembly:Lsat_Salinas_v7:4:272475257:272475460:-1 gene:gene-LSAT_4X139721 transcript:rna-gnl|WGS:NBSK|LSAT_4X139721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAMLMAEEYEKMTKKMSSDHEDLESLSSSTVVSGVWMKRPRLNKLVHEPKSKIGLATINGFFSA >cds-PLY68515.1 pep primary_assembly:Lsat_Salinas_v7:2:214583821:214587785:1 gene:gene-LSAT_2X136060 transcript:rna-gnl|WGS:NBSK|LSAT_2X136060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYGRLGKYQPGKNRPDTPLTPSDYSIHSSSGSTTNSSSKIKLLLIFAFTLIVASAVSVGLVMRLRTKPHEESQSIIHPRPTEAMSRVCGRTRYQNLCMKSLLDFPGSLSASDKDMIHISVNMTLQRVGKALYTSAGISNVQMNTRVRSAYEDCLELLEDSVDQLSRSLFSVAPSVGVGGKNGQQRVGSTQDVMTWLSAALTNQDTCTEGLSEVENGYVKKQMEEKLKDLSQLVSNCLAIYAAASDGDDFNGVPIQHRRRRLMSYPKWLGRKERRLLQSPVAAIQADIVVSKDGNGTCKTIMEAIKKAPEYSSRRIIIYVKAGRYEENNLKVGRKKTNLMFIGDGKGKTVITGGVSVVANNVTTFHTASFAATGAGFIARDMTFENYAGPAKHQAVALRVGADHAVVYRCNIIGYQDTLYVHSQRQFFRECDIYGTVDFIFGNAAVVFQNCSMYARKPMALQKITITAQNRKDPNQNTGISIHACRLLAQPDLEASKGSFPTYLGRPWKLFSRTVYMLTYMGDHIHPRGWLEWNATFALDTLYYGEYMNYGPGGAIGQRVNWPGYRVITTTVEASRFTVAQFIYGSSWLPSTGVAFLAGLSE >cds-PLY85802.1 pep primary_assembly:Lsat_Salinas_v7:7:70315581:70318704:-1 gene:gene-LSAT_7X49581 transcript:rna-gnl|WGS:NBSK|LSAT_7X49581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIKCCIHLDTYDSKKPNSVLQFSDRSLIFGFTSVTNYSFGKLKQVRVSKLDTEFSDVLQSNNVERPLDLSDESVASRSPKLYGEFRKGKRSIWKRLDGMKNVAKNSKAMHNSRTESETEREIGSADVLFENDNAFYEKLSSNGVESSSAHCNSILEKLERSDRDDEALRFFKWMRINGKLKHNMNAYKLALRVLGRRQDWEEAQRLIQEMQTESDSSCELSFQIFNTLIFACHKRGLVTAGRNWFQMMLDKKVLPNVATFGMMMNLYQKGALLDDAEFAFSQMRNFKIVCHSAYSSMITMYTRVGLYEKAEEIIEFLKEDKVVLNQENWLVLLNAYSQQGKLNEAEKVLASMHSSGFSPHIVAYNTLITGYGKISNMESAQRIFHDLISSGLKPDETTYRTMVEGWGRLQNFKEAERYYNEMVTLNFKPNSSNLYTMINLQAKNGDESGAMRTINDMNKIGCQFSSILGILLQAYEKAERFDKVAYVIKGMHLLYNHVLNNQTSCSILVMAYVKHCLVDDAIEILGIKKWKDKVFEDSLYHLLICTCKELGYLENSIKIHESMPKPEKPNLHITCSMIDIYTRLNRFQEAEALYMKLKSKGIPLDLIAFSIVVRMYIKSGSLNNACLVLEEIEKQKEIKIIPDTYLIRDMLRIYQRLGMVNKLADLYYKILKLGVIWDQEMYNCVINCCARALPIDELSRLFNEMIHNGFSPNTTTFNVILDVYGKSGLFKKVRQVFWMAKKQGRVDVISYNTIVSAYGKSQDLRNMASVARRMKFNGFSVSLEAYNCMLDAYGKAGEMEKFKNVLLRMKESNCGSDCYTYNIMINIYGEKGWIDEVGDVLMELKECGRDLDLCGYNSLIKAYGIAGMVEEAVDLVKEMRKNGVEPDRITYTNLVIALQKNDMVLEALKWSLWMKQMRI >cds-PLY78688.1 pep primary_assembly:Lsat_Salinas_v7:9:49498496:49505842:-1 gene:gene-LSAT_9X41841 transcript:rna-gnl|WGS:NBSK|LSAT_9X41841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARRHGWELPAHTFQVVAITVYFLLSVAFYAFFSPFLGKDIYEHVAIGVYSFLALCVFILYVRCTAIDPADPGILIDPNRAIASPYRSHNGTEVPGNGSSVGEASKIGFQNVGIYENGSSGCCSKIWGFFCGCIVIEDCRKDEDMQQGGEEEALFCTLCNAEVRKYSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFVCLMAVSVVWLTFECGVGIAVLVRCFADKKSTENQIADRLGDGFSRPPFATVVAICTAVSFLATIPLCELFFFHIILIQKGITTYEYVVAMRTQSEPPGASIDGMDQQSLQSSPTSSAITAISGRSSHGLSLQHKGAWCTPPRIFMDQQDEVVPHLEPGRLPSTVDPDAVDKGKNLPKRPVRISAWKLAKLDSNEAIKAGAKARASSSVLRPIGSKYDPDRLSSSNVSGRSSPSHYKFHERSHSKSSYPPSRASRDDDVDTCNHSVSNLSSPAPGRDHFNPVYQSSANQSPWSGKNEPMVVSHVAPPPPLPPRRNNNNNNLGVGESTRLSSVYWDQEAGRFVSASTTRSMGGGSSSQASGSELTYTGQSIFFGGPLVGGGRGGTGPPGGVAGGGQRGSGALSYYQQELMILGFISLSLTFCQNSIASICVSKILTKDFLPCKRKPDGKEPEDDEDDAEDARRRLLMYDTHRKLGGGEPVECKPGYEQLITVTGLHQLHIFIFFLAVFHVIYSALTMICGRAKIREWKHWEKDILQQQQSGHDPSRYRLTKEISFVKGHATKTGSSAFFYIICFFRQFFTSVGRSDYMAMRHGFISVHLAPGSQFNFQKYIKRSLEDDFKILVGVGPLLWTVAVIYLFANVEGTHAMIWLSLFPVVIILAVGTKLQAIIARMAIEIQERHAVVEGVPLVQVTDNHFWLKDPSLILSLIQLTLFMNAFELTHFFWIWYEFGLFSCFHQKPLLQLVRVLIGIIVQVLCAYGILPLYALLSQMGSKMKRTIFDDQTSKALKHWHKHAVKKKDAKGQSGHLPTSGNAAAQSATPDSADGGHASSGQSAHIVASVDIPQDKKNAS >cds-PLY81379.1 pep primary_assembly:Lsat_Salinas_v7:4:35746064:35746504:1 gene:gene-LSAT_4X23321 transcript:rna-gnl|WGS:NBSK|LSAT_4X23321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPYLLLFLFVNKLEILLLFVVVNQVKITVTVGARMVAVEELLMVFDRSKLLRGRVMVDSLSNPLLLDFTLLQAFFRAHLLSINSPTSTSPLILSSRLDNSNDHINNLHLRKPLLPLQTPHLLCGPGSNILPLLLIKRQPYLRCSIQ >cds-PLY91866.1 pep primary_assembly:Lsat_Salinas_v7:8:200191521:200192067:-1 gene:gene-LSAT_8X128160 transcript:rna-gnl|WGS:NBSK|LSAT_8X128160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGKTTKGAGGRKGAGERKKLVTKSVKAGLQFPVGRISRFLKKGRYAQRTGSGAPIYLAAILEYLTAEVLELAGNAARDNKKKRINPRHVLLAVRNDEELGKLLAGVTIANGGVLPNINPVLLPKKSAVDTEKTPKSPKSTKAFKSHKKA >cds-PLY99421.1 pep primary_assembly:Lsat_Salinas_v7:4:102723401:102723832:-1 gene:gene-LSAT_4X66600 transcript:rna-gnl|WGS:NBSK|LSAT_4X66600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENVDAGEAFFRGLDPESGHTYVQTSPPVVVVKDNTVTPLKGRVKMKSKFCREPYTHVLSTEPLKNRKGKKSQKFNNVEKRPLLLDVYADSDDEFWELWGKNMGVVFLEHKLLRGIEMN >cds-PLY86980.1 pep primary_assembly:Lsat_Salinas_v7:5:262040091:262041609:1 gene:gene-LSAT_5X134021 transcript:rna-gnl|WGS:NBSK|LSAT_5X134021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQWFHFEMGEKLQRRKSCLCESLWIPTLGGPCYWCFKGSLSLFFTICNPSHVIGGRVSKILPSFSGLIVQIDPHLSGKVHFTEFQDPWVPNPLSGYHEGQFVKCKVVEIGHSGTGAVHVDLFLCSFVNPQSNRYEKIEDLHPNRTIEGYVKNVTPKGCFIMLSRKLHAKILISNLSDDFVSKPEQEFPIGKLGGFIGASVKDNLKSAFDQQMVDEERQRISLGMKGSYFDNQTQEIHNSDSNLDSESDNLILTATPESLIPFSNGNHPALAEMESRASVLPLEATLDEEADESPMEEEQAQIPEPLDDKKT >cds-PLY80534.1 pep primary_assembly:Lsat_Salinas_v7:3:200537175:200537680:-1 gene:gene-LSAT_0X19740 transcript:rna-gnl|WGS:NBSK|LSAT_0X19740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEKIRFLEDIFEESGGFGCEHVNEAYVEEEFQESEYNEEESGGDEVESDGKEDLCDEDEEDFEVNKVSDVEVYESKISYMYQKMEDLKKDLVVKIVEGMLKFPQSQNLKNSKLLFPVEDLSTKSFVFHYVSQK >cds-PLY68875.1 pep primary_assembly:Lsat_Salinas_v7:3:64417204:64417715:1 gene:gene-LSAT_3X50001 transcript:rna-gnl|WGS:NBSK|LSAT_3X50001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTRKYSGVFIFMILSSLGFQASCDTGIGTINDPPYLPSACYGYEDKGVMIAAANEDLWQGGAACGKYFQVTCTGGTNLGTPHPCTDNPTVTVIITDFCPPPGCKGDLDLSHESFSAIADPAAGGIKISYQQ >cds-PLY65929.1 pep primary_assembly:Lsat_Salinas_v7:4:137514158:137516912:1 gene:gene-LSAT_4X84860 transcript:rna-gnl|WGS:NBSK|LSAT_4X84860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to RAD23 protein, isoform II [Source: Projected from Oryza sativa (Os02g0179300)] MKLTVKTLKGSHFEIRVQPSDTIMAVKKNIEDVQGKDNYPCGQQLLIHNGKVLKDETTLSDNKVSEEGFLVVMLSKTKSSTSGGTSSTQPLPTTASASNPTSTSSSIPATATVTPPAAPPVISDTYGEAASNVVVNNSSVDQTVQHIMDIGGGVWDKETVTRALQAAYNNPERAIDYLYSGIPDTVEVAVPVTQLPTTQVAPLSGGPNSSPLNLFPQEMPSSGTGGNLGSLDFLRNNQQFQALRTMVQSNPQILQPMLQELGKQNPQLLGLIQENHAEFLQLINEPVDASEGDLFDQPDQEMPHAISVTPEEQEAIERLEAMGFDRTLVIEAFLACDRNEELAANFLLENAGDYED >cds-PLY96218.1 pep primary_assembly:Lsat_Salinas_v7:3:197345734:197353438:-1 gene:gene-LSAT_3X117261 transcript:rna-gnl|WGS:NBSK|LSAT_3X117261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENSNPEIPCKIRKRRFPTTSSSSFVNSNMSQLLIEQTDSLQNEKCMNRLDRSTFQTDEQGIMTLQKSGADNRVHEMYEKSIVNLDRSRNKSRESPCRDSAPEGKPRPCVKINTETKNNEHSVPKSTHGLDEIKHSLTISKELLKLLTHIWTVDTNHNHHHHPTSLSLVSTLNHELNKARSHVNKLIKEQRSMDPLCESKDQDKVRLAVKTIAHELETERKLRRQTERMNKKLGRELANTKASLGKAIKKAEADKQAAEMLEQLCEKMAHSIEEDRVELEELKRESERVREEMEEEREMLRVADMLREERVQMKLIDAKYEYEDKHEQVNVLVHDLEQLLEADNGIDTLKSTPEVLTWYQSKVNKCEDENTTKRGRKGEGISGGNSLVEGTRDLSWYDNIKGEVNDEITSTRDEVVGRNSDCIEWEFGLDMRNGNGDLNECLEETVLGFSCSSTMKEYEDEMERYKLIKDLRDRIVSCSDLSRDTLGSGSYI >cds-PLY91628.1 pep primary_assembly:Lsat_Salinas_v7:8:12496740:12500461:-1 gene:gene-LSAT_8X8920 transcript:rna-gnl|WGS:NBSK|LSAT_8X8920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRYYAGASTLRKINCFLLLSRNRSPPPPSHRRSPQPSHYTPHLITGAPCSIQSHIKIFFPYFFCCELLRACDVPSPPEALAQMQQRNSGNRRPSGTDGSDFSYRMVVDNRYTKVAKGKSTLSKVLVVQAVVVLLGVVDILFTLLNKEPLEILAAASISITLISIIIGELGRKRSRVSLLKLYMAASSVGILGSIASIAQLKATTPLLVGLSNWETDKFDLLKIACVSVGLFVQIFSISKTTSLIGNMSPPKRAS >cds-PLY63215.1 pep primary_assembly:Lsat_Salinas_v7:6:88875842:88876602:-1 gene:gene-LSAT_6X61260 transcript:rna-gnl|WGS:NBSK|LSAT_6X61260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRLKIILGAARGLQYLHDLADPPIIHRDVKTTNILLDERLNAKVADFGLSKPLGDADRTHVTTQVKGTMGYMDPEYYMTQQLTEKSDVYSFGIVMLELITARNPIEKGKYIVREVKEAMDREKDLYNLREVLDPIIGLTHELQGLERFVDIALRCVEDTGNQRPRMNEVVKEIESIMELAGFNPNAESASASESYEGKSKGSGHPYLNESLFSYSGGLLDSNLEAK >cds-PLY92773.1 pep primary_assembly:Lsat_Salinas_v7:2:149408804:149409034:1 gene:gene-LSAT_2X75480 transcript:rna-gnl|WGS:NBSK|LSAT_2X75480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRTSARQWRHQHTKPTTSGSSTGDVGGVADDESKKKASHDREQQQRQLGRFPNVYLRRQLGASMTLDVLVLLIG >cds-PLY71999.1 pep primary_assembly:Lsat_Salinas_v7:8:149018386:149019786:1 gene:gene-LSAT_8X100400 transcript:rna-gnl|WGS:NBSK|LSAT_8X100400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDGDVDVIVKAVQDLFDSVKNPSLSLGLYRTFIDVVPSGLRDLSPTSFNPRVVSIGPLHKDEQHLQPFDEQKILLAWQSIVYDMVLVENQIPFFILQDIFDSTILKSEPTASLTTLLLPLVQICNIFETSITANITPRLNFQDHILGFLENCFHPSRNNSPSEGLPSSAIHSAVELDRAGVIFKPNNPDEPWPMAMEFKSCPLACFSWCWGKPTLRIPVLLIDNFTELFLRNLIAYEHSSSSYKTHGYVTSYAMAMDMLVDTEEDIAKLIESKVVLNHLGSNEKAADMINSLCKQQPIRMFCYVDQWQDMDTHYNNYWPKNIAALKRTYFSSPWSMITLVGGIALFVLTVVQTIYAVRAR >cds-PLY98427.1 pep primary_assembly:Lsat_Salinas_v7:7:88829859:88830218:-1 gene:gene-LSAT_7X61201 transcript:rna-gnl|WGS:NBSK|LSAT_7X61201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNYLMFQMVMLTVYAFLYGRLYLCLSGLEKAIMKSAKMQGDKALKAAMASQSVVQLGLLMALPMIMEIGLERGFRTALGDLIIMNLQLSAVFFTFSLGTKLHYFGRTILHGGAKYRAT >cds-PLY75971.1 pep primary_assembly:Lsat_Salinas_v7:5:248416982:248417584:1 gene:gene-LSAT_5X124640 transcript:rna-gnl|WGS:NBSK|LSAT_5X124640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLRRIPYVLQSNEGSDCLRTSSNIRKGEGELLVFDGHKEGEESITVWVYFCVILNLVVELAFLMKEDLATTDGCSSVPPGR >cds-PLY88067.1 pep primary_assembly:Lsat_Salinas_v7:6:182480991:182481221:1 gene:gene-LSAT_6X110961 transcript:rna-gnl|WGS:NBSK|LSAT_6X110961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSPQHKIIQHYNTDEELLLNISTTPCDMNYVISTKVLNDAKKVNVNMLDCISNMQETMGKTIITYKHMIKHIPND >cds-PLY82364.1 pep primary_assembly:Lsat_Salinas_v7:5:312989239:312989621:1 gene:gene-LSAT_5X170341 transcript:rna-gnl|WGS:NBSK|LSAT_5X170341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLNCDSVSQAIKFYSNFVKDAHTEKDKDPETVLPNLREIIDNPAPLEVSTASEVLAYVNAERSSNNEISFEGDVAGDSIDWDIILDSSQSGWDIGTV >cds-PLY64071.1 pep primary_assembly:Lsat_Salinas_v7:8:94969618:94970676:1 gene:gene-LSAT_8X65921 transcript:rna-gnl|WGS:NBSK|LSAT_8X65921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSEKSGSSESKSMENVAYLGTFLTLPMETTVGEGGFENKTRVAFSDKQTGSYGRATATEKVSAGDFQWKNGKSGTRNEYKETSTVRIGDKSGYTEVYNEQRVRNVSFNNNNDSKNVIAYDHGYGGNYGGHGYDSDSDNGDGVEYGGYVYYSDSDSDY >cds-PLY97651.1 pep primary_assembly:Lsat_Salinas_v7:5:235522903:235524111:1 gene:gene-LSAT_5X114901 transcript:rna-gnl|WGS:NBSK|LSAT_5X114901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEVDRISIYDALGGGAVADTLLWRKCYGGVVILIGSTVVWLLFERAGYNFLAIFSNSLLLLVVILFFWAKSASLLNRPLPPIPNLDISEESALLAADEIRLRINTVLSTLHEIAVDGNLRTIILVAFGLWLISFIGSLFNFLTLIYIGVLLTFSVPILYETFQAQVDEKLIIVHKNMSGVLKKADLILQKVPVSQRKEKKAE >cds-PLY84364.1 pep primary_assembly:Lsat_Salinas_v7:4:215005810:215006306:1 gene:gene-LSAT_4X121940 transcript:rna-gnl|WGS:NBSK|LSAT_4X121940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDFCEEDGEEIPMIILNMEEFTQNLQTYMEKHMELGEGDLSKALVALTSEAVAIPVPKLKNVIQLRTEHQVYELPDSHPLLEGMDTGEPDYPCSYLLAIWTPGEWMK >cds-PLY90412.1 pep primary_assembly:Lsat_Salinas_v7:8:214260738:214261192:1 gene:gene-LSAT_8X134180 transcript:rna-gnl|WGS:NBSK|LSAT_8X134180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQDYPQQDGGSSTFASTVAASASVFSDVIGKSKLWDKSCDMADIAQKIEHLEGVLGNDDGLSQLASDSIHYNHSDLKSMICELNPTNQPPVIDDSFVNNTASVTRSVVDSSSVFVDNLQRIPENIIERE >cds-PLY97019.1 pep primary_assembly:Lsat_Salinas_v7:2:36507195:36513370:-1 gene:gene-LSAT_2X17180 transcript:rna-gnl|WGS:NBSK|LSAT_2X17180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQITPESNTVEEVCVSLEAIYNWVVKDKSELYKTLSEAMYKFPGNEKLNFWMMTIGNLLPSKDKVITLQSIDTVSTQNEVINVDDLGYMDFQKEISQNLKEENVIFRSPKSSNKRKSMHEDEWSLPDDFFTPEVLAEIIKNIVFCIQRARVINLANRIFMYVEELAFLVKDNLPCKHLVLSMEDMLVDFLQDDTSLDEELELQPMNPYNRLLLHRLADIFGFSHQSIGEGDERHLVLKRCEDTSMPSILVSDMLWEHDEYQSPITSQLLSRREDGSPGTKVETLSLAHVSLEDREAAYLAARNRIFSTEDDDVASLDKNRPRNNPIVARRMIAHALGQRVKQSNQEGLKSQKGGQSQTVGPSLQLQTRPKSNGQSQTKIYSNKKVPQQNTENTLSSARKCENLKEEHIGAAKRMFAHALRMHNTKDNVVSKGTQIKQNDNLTP >cds-PLY71288.1 pep primary_assembly:Lsat_Salinas_v7:3:192754156:192756412:-1 gene:gene-LSAT_3X114660 transcript:rna-gnl|WGS:NBSK|LSAT_3X114660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:IQD5 [Source:Projected from Arabidopsis thaliana (AT3G22190) UniProtKB/TrEMBL;Acc:A0A384K8F3] MGVSGKWIKALIGLKKQDKAQRSGEDGHKGNSGKFRHRRRNSTEINTDNFLNKLNEDGNSSGIQDNTESPLEVQNSSEMEQRMRKEWAATHIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRIALEEGQSEQQKLQHQLQHEAHVREIEQGWCDSVGSVEEIQAKIIKRQEAAAKRERAMAYALAHQWQAGSRQQQATAVTGFEPDKTNWGWNWLERWMAVRPWENRFLDMNNTNTRENEVKVQENKKLIALDVPNDKSSHSQSQSQSQSDGFGSSPVKCKPPVMESRSRSRSHSNPKERSTTLGNQGKKRLSLPSNG >cds-PLY72542.1 pep primary_assembly:Lsat_Salinas_v7:2:141105145:141107505:-1 gene:gene-LSAT_2X69001 transcript:rna-gnl|WGS:NBSK|LSAT_2X69001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLDMSLDDMIKSRRTTDRGRGRGRARGGGGRGQGRSFGGGRPTGPPRKGPLAVNARPSAYTIAKASSKPKISTWQQGLFEESLKAVGFDNGARLNVSNLDIGVTNEDIRELFSEIGELKRYAIHYDKNGRPSGSAEVLFARRSDAFQALKRYNNVQLDGKPMKIEIEGSNSEILPLSARVNVVGGRNGQRTVVMTSGIGRGRGNAAAAIIPNRSFGQRMRGGMVRNGRGGGGGGRGRGRGQSGGGRGRGRGRKPVVDKSADQLDKELENYHAMQT >cds-PLY79933.1 pep primary_assembly:Lsat_Salinas_v7:3:109120106:109122133:-1 gene:gene-LSAT_3X79681 transcript:rna-gnl|WGS:NBSK|LSAT_3X79681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MADAICRVLRDGALEGEHATSLTINDSVDSPFGPIVFDYIFTQLSSFISSEKSQSRGIVLVSFARSPSSTAELLNTRGTDIATSHQWLSVLDCYTDPLGWKEQLKERGAIKTPSTEASLNLNLCKDVRNLDDLFSQILVLGKGLVGEGKTRFSVAIDSVSEMLRHTSLSSVSSLINKLRSHAQVSSIFWLLHSDLHDIKTTSAFEYMSSMVANVKPLSTTTNAEQNYKKGQFHASLKRRNGRVRVMVEEFSNEQSQIKFTSMSAVENAITQSLVPKVQFNMQLSDKERSDRAKVVLPFEHQEMDKSVQIYDGRKSLTEVKHESNGENLKKQEEIERGEIIYFRDSDDEMPDSDEDPDDDLDI >cds-PLY93386.1 pep primary_assembly:Lsat_Salinas_v7:9:63663857:63665458:-1 gene:gene-LSAT_9X54240 transcript:rna-gnl|WGS:NBSK|LSAT_9X54240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGQKIYPGRGIRFIRSDSQVFLFANSKCKRYFHNKLKPSKLTWTAMYRKQHKKDIAQEAVKKRRRATKKPYSRAIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVVSKQKGQGKTGGNAPKGKGPKLGGGGGKR >cds-PLY84622.1 pep primary_assembly:Lsat_Salinas_v7:1:30859058:30861559:1 gene:gene-LSAT_1X26780 transcript:rna-gnl|WGS:NBSK|LSAT_1X26780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDYEQGENTNDEKKSIRQQLISCYETRLKTDVCDLDVGNYNPGKETLPRNCVESEQWCTSWWHQFKVLVLRGLRERRFEAFNKLRIFQVISVAMLAGLLWDDPDVPCNDDIFLLFHPSTPFPPCIGQVATTDSMCPLSPSSREKVEQGIITVRKSGPTSYEAE >cds-PLY83810.1 pep primary_assembly:Lsat_Salinas_v7:3:52764631:52765413:1 gene:gene-LSAT_3X39480 transcript:rna-gnl|WGS:NBSK|LSAT_3X39480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II repair protein PSB27-H1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03600) UniProtKB/Swiss-Prot;Acc:Q9LR64] MASPTLITPTTSTPKLLPPIRSKLSPPSSSTTTTTTATTHRRNFLSLAAVISISSPLLVIPAALAASDEEYTKETQEVIQKVRNTINMDKTDPNIATAVAELRETSNSWVAKYRREKALLGRLSFRDMYSALNAVSGHYVSFGPTSPIPAKRKTRILEEIDSVEKALSRGR >cds-PLY82639.1 pep primary_assembly:Lsat_Salinas_v7:8:276511806:276512172:1 gene:gene-LSAT_8X158780 transcript:rna-gnl|WGS:NBSK|LSAT_8X158780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIWKNHFNIVHDMVYGTKTSGFGWDTDKCCVTANVEVWDEYIKSHKGVACFRDKPFSQFDNLCKIFGKDRATSHGATNVDEDVTEETQRN >cds-PLY90839.1 pep primary_assembly:Lsat_Salinas_v7:6:155464596:155466527:-1 gene:gene-LSAT_6X93941 transcript:rna-gnl|WGS:NBSK|LSAT_6X93941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVFSSIGKRFCNRSFNDTKSYLLPSRLQFQSIRTLLLQSASESVKVQKLSDADSGIIQINMNRPEAKNALGKDFLKGLQNSFEAVSVDSSAKVLMICSSVSKVFCAGADLKERRTMDIPEVRAFVNSLRSTFSFLEALQIPTIAVIEGAALGGGLEMALSCDIRICGEDAKLGLPETSLAVIPAAGGTQRLPRLIGSSIAKELIFTGRKITPKEALSFGLINYCVPAGDAYSKAIEIAREINQKGPVAIRMAKRAINHGLEMEIGCGLELEEECYEEILVTGDRLEGLNAFSEKRKPLYKGE >cds-PLY81229.1 pep primary_assembly:Lsat_Salinas_v7:4:306013669:306014555:-1 gene:gene-LSAT_4X155860 transcript:rna-gnl|WGS:NBSK|LSAT_4X155860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPRYCILKLIILIIIQECSSNSAGFYGTCNTSFSCGTISGFQYPFRRHQDPTYCGYPGFELNSCEDQNLATINIMNITYKVLGIDPTAQILKIVREDMINSMCPQELVNTTIDPKLFDYTKSYMNISILFGCPLSFNLMGIGSIFCANDELSQVFLVPGIQGPGNCETSVVIPFPVEFLKSDVLGRVFQKGFDVIWKVEGSGCRECIQSGGQCIYDDNTVLTLCACPESPFLADGCSTANKTDVNASPFVSTSTSTSSVPCFLGILW >cds-PLY86358.1 pep primary_assembly:Lsat_Salinas_v7:8:29126432:29126812:-1 gene:gene-LSAT_8X23661 transcript:rna-gnl|WGS:NBSK|LSAT_8X23661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMNIVFYLCILFPVFRIKQDLFKLIKDFFFYLFRCDNSTPYPSLVDLPVIRFEDLLERRQRSVEEMCFVCSADYHLDDVVCQLSRCRHVFHSDCVGQLLHRKQPSCPFCRSPIFSGLSPTACKTF >cds-PLY67529.1 pep primary_assembly:Lsat_Salinas_v7:6:68703762:68712470:-1 gene:gene-LSAT_6X50161 transcript:rna-gnl|WGS:NBSK|LSAT_6X50161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMDAAIDDRFSKLHPFFQIDTRIGIIGAGPSGLSAAYALCKLGYSNVTVIEKHGCVGGMCESVDIEGKIYDLGGQVLAANSAPTIFHLAKEIGAETEELDTHKFAVIDTTGKYGDTKVVDDYISIISLTFKLQDETNATGRIGVHAVSDIASEPTPLFLKSNGLISVPKSVAYGFTASGYGFVQDMPYAYVHEFTRTSMAGKIRRFKGGYTSVWDKISKKLPVQIHCNTQVLSVNRKGNTIEIKAKIVNGETQHMEFDKLIVSGSFPLQNGKTYRSPSKTTEETVNEVMDLSDFEKELFGKVETIDYYTTVLKIDGLEHIPAGFYYFQEFMDDPKTIGNPVAMQRFYPDTNIFLFWSYGNSTNIVGQNVIQFAIDAATSIGGKVEKVILQRRFKYFPHVNSQEMKDGFYEKLEHQLQGQNNTFYVGGLMAFELTERNSSYALSLVIKHFATDNPEPKFPYIKRLFAMKSDNHSWIAKQLDEEPGVNFPDIISIDGYLRHWGNHERITNKTLYIWINDKGETIARRTYKELNANASHIAHKLLTNTKPNIKPGDRVLLVYIPGLEFIDAFFGCLRARVIPVPAIPPDPSQTGGQSLLHIENIAKKTKAVAILSTFSYHVFVKANSAKNKIMLTRKTKNLPSWPNLPWLHTDSWIKNFKGGDDINYDDILVKENKILPKDLCFLQFTSGSTGDAKGVMITHGGLVHNVKLMRKVYKSTSNTILVSWLPQYHDMGLIGGFLTSMVSGGTGVLFSPLTFIKNPMLWLHTMSKFRATHSAGPNFAFELLIRRLVSTKEKIMKLDLSSMVFLMVAAEPVRSKTLKRFIELTQGFGLSQEAMAPGYGMAENSVYISCAFGNGEPIFQDWQGRICCGFVNSNDADVDIRIVDPETGEEHKENGKEGEIWVSSLSAGVGYWAMEELSQKTFGNQLEGHFGKLYIRSGDLGRIIDGKLFITGRIKDMIIVSGRNIYSSDIEKTVESSSEFIRPGCCAVVGVPEEILSGKGVMTPENSDMGLVVISEVRGNSVPNEEIMERIQTHVAEEHGITIASIVFIKERSICKTTSGKIKRFECLKQFTDGKLQVIKVYTQKQSLIQSNTPKVIKEDVSVISKKEIVNFLKKLLSDQTGIQTGNILETESLVSYGIDSIGVVRAAQKLSSFLGIPVGAIDIFTATCIDDLADFAEDLVRKSCAESAHPESNLLEYKTRSVKPSIKPSSIHKFGIWVSHLFGLVYILFLLTIPIYLSVSAFISLIHETQFGYLFSLACAPLVWMFYMSTSCVTISLFGNSFLQPNYGLTPDTSMWSIDFVKWWTLYKVQDIASRNMAVYLKGTVYVRLWFEMLGAKIGSSVMLDTIDITDPYLVTIGDGAVIAEGALIQGHEVKNGVVSFRPIRIGENSCVSPYAVIQKGSIVEDGIEIRALQTVKGGQHEFKVSKIPKLEMGINLQEVKHEPFYHILGIYMVGFVSSLSAAICYIIYLWVLQKPQSLQHFSFLCLCASVHWLPFNVLAYAIIFKDIPSNPLSFAFTIAIAYLSHGIILTFLTSIFLHILSKAKHKNHFIMWFCNRLSTSCHLKFAKFLSGTEAFCVYLRLLGAKIGHHCSIRAINPIPFPEFVSIGDGVHLGDFSRIVAGVYTSKGYVYGHVKIQENSVIGSQGVVLPGAVIEPNVILGALSIAPMNSVLKTGGVFVGSQTPIMVKNMTHVLDDRIEEMDQKYKKVLGNLAANFAAVTLKVKARYFHRIGACGKGTLKLYENIPGFPDHKIFSPGMCYSVVLRHSNCLSSDDDARLDPRGAAIRILSNTENSPLLDLTLKTGKAFHARTIGDFASWLVCGAQAREEHVKHAPHVRDAMWDSIRKADSYADLHYYSNICRLFRFKDGKEMYVKFKLRPFDETINEDSGKVDPTDILPPETGAIPRDENDKRPLLFLEDDFIGRVNSKKVRYVLQFQVREVPNDENGHEVALDCTKPWDEREYPYVEIGEITIDETLSKEESEKLEFNPFLRCHEVDVIRATSCSQSASMDHGRSVVYSICQHLRNNKPLPEAWRNFLDQSDVKVDLTGCLMGNVMVKKEIEKVTLTRPWYKNLWMLTGQPILQVFIPYFLMGLIIFTPLNITFFLKGKNGQQLHWMLPFLWVISGVLSGLICVVPGVIVVMPGVIVEKDGNLAALSVAMKGEIVK >cds-PLY64550.1 pep primary_assembly:Lsat_Salinas_v7:6:36197146:36213315:1 gene:gene-LSAT_6X28640 transcript:rna-gnl|WGS:NBSK|LSAT_6X28640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHOOT GRAVITROPISM 6 [Source:Projected from Arabidopsis thaliana (AT2G36810) UniProtKB/Swiss-Prot;Acc:F4IP13] MAMEVKVYCRKNKTEKTRQIDHIPKCFQLPRSASTSKPRTEFFLPICSSKDIELREIQTSDTIGRLNMSNDTIRRTNTIFPFFKSIEPENNVKSFGQKAHVQPCSNRNYEDRNDALDLELNPNRSQPTEISEVSRTPGSVVWAKTHDQIEAIQVLVSSLADESPMVKQASMASLKALAPLNPLLVLDCCLTVSRGGRRRFGNIAGVFTVMSIAISALEEGEVDTAYMTKLAKLATAEIISSKEIKADWQRAASSLLVAIGSHLPDLMMEELFLHLSAQNSALPAMVQILADFATSDALQFTPRLKGVLSRVLPILGNVKDQHRPIFANAFRCWCQACWQYSVEYPLSSILDSDVTSFLNSAFELLLQNWATSRDLKVRTSAVEALGQLVGLVTRTLLKASLPKFVPTILELYKRDQDIAFLATCSLHNLLNASLPQNGSPLLDFEDLTVVLSTLLPVVCSYTDIKQRSNFCVGLKTYNEVQHCFLTVGLVYPADLFVFLLSKSKLKEDQMTFGALCVLKHLLPRLSEAWHNKRPALIEAITVLLEEQNLGVRKALAELIVVMASHCYLIGPSGELFVEYLVRHCAMSDQEIDDLVSLRDPFRPSNLYYSFQQKRSEVKIGAVRPTELRAICEKGLLLITITIPEMEHVLWPFMLKMIIPRLYTGAVATVCRCISDMCRHRALHNDKMIRECRTRVDIPRPEELFARLVVLLHNPLAREQLATQILTVLCYLASLFPKNINLFWQDEIPKMKAYVSDTEDLKQDLNYQETWDDMIINFLAETLDVVQDTDWVISLGNAFAKQYELYTSDDEHSALLHRCLGILLQKVDNRTYVRDKIDWMYKQANITIPENRLGLAKAMGLVAASHLDTVLDKLKDILDNIGESVLQRFLSFFSDKTKIKDSDDIHAALALMYGYAARYAPSTVIEARIDALVGTNMLSHLLIVRHPSAKQAVITAIDLLGRAVINAAESGISFPLKKRDQLLDYILTLMRRHDEEGFSDLSVELLHTQALALSACTTLVSVDPKLTTEMRNIVMKATLGFFSLPNDPAGAVNPLIDNLITLLCAILLTSGEDGRSRAEQLLHILKQIDHIVSSPLDYQRKRGCNAVYEMLLKFRTLCVTGNSKSNVSNLPSAFLLPSRDALCLGDRVIVYLPRCADTNSQVRKVSAQILDQFFDISLSLPRHVASSYTTPVESSYAALSSLEDVIAILKKDTSIDPSEVFNRVISSVCVLLTKDELVATLNGCSVAICDRVKPSAEGGIQAVIEFVTKRGNELNETDISRTAQSLLSATVHVSEKYLRHETLVAISCLAEHTSPRVVFNEVLTAAARDIVTKDILRMPGGWPMQDAFYAFSQHNELSSLFLEHLISALSHTKTDISKGDLSGDSLLTRTEDEILQAAIVALTAFFRGGGKIGKRAVEHNYASVTAILTLHLGSCHSQSLSIQHEQLRILLISFQAFCECVGDLEMGKILARDGEHIVDEKWIELIGALAGCISIKRPKEVSAISVILSKYLNQPVRFQREAAAAALSEFVRHSNEGSGSVLEEIVEALCRHVSDDSPMVRRLCLRGLVQIPPIHINTHTKEILGVILALLDDSDESVQLTAVLCLLSILESSPNGVEHVLLNLCVRLRNLQVSMDTKMRANAFAAFGALSQYGSGAQRDSFLEQVHAVLPRLILHLLDEEYSVRQACRNTMKGVAPLMEIDDLIPLLNTQRFTSDHRSDYQDFLRDLARLLVQHLASRIDTYMSSIIQAFDAPWPAIQANAIYLSSSMLALTDDQHISSSYYYQVFGLLVGKASRSTDAIVRATCSSALGMLLKSGNSVSWRAERLFDHIESGRSSYSIDSESSSRK >cds-PLY99108.1 pep primary_assembly:Lsat_Salinas_v7:8:204704033:204704843:1 gene:gene-LSAT_8X130641 transcript:rna-gnl|WGS:NBSK|LSAT_8X130641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERGKRKIQRGRKINSAMGSRVHQTMIPYTPSMASPNTTLKLLIDKKTQKVLFAEATKEFVDFLFHIFSLPLGSLIQILGSKQMVGCLGELKESVDSFNQTYLQPGIDKDVIFNPEIAFNGNMFLLLNDAFADDKPATSKTLYRCSFTSRSGCRHLVKEAVGGKRKLITGGYVKDVVTYMVMDNLVVKPMSTISTITLINNFVVNDLSQLEEKTLTFGKDEVIYINFQILFQYYSYFNVIFFV >cds-PLY81442.1 pep primary_assembly:Lsat_Salinas_v7:5:334515427:334515612:1 gene:gene-LSAT_5X184060 transcript:rna-gnl|WGS:NBSK|LSAT_5X184060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRRIGGGRLSMSATSLGKHGGGVVLRWRSSASRSKVVVRVLRLVKFIHLSYTLLVGVGFS >cds-PLY89049.1 pep primary_assembly:Lsat_Salinas_v7:9:28361774:28364778:1 gene:gene-LSAT_9X24160 transcript:rna-gnl|WGS:NBSK|LSAT_9X24160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASHHASLGRRTLEEIRQKRAAQKLSKTSSGPDITKPPSPKASAGISKSSSSTGISEYDISGLVSQLQDLQKRNAKLDKENKNLSSQLHSREIENDMLVKRVNALEQNTVPSLRKALKDVAMEKDAAVVAREDFSAQVRSLKKHLKEAEEEQYRAEEDAAALRAELNSLQRQTINGNVGAGISMGGPQDQMQAIEKELAELKIQLEQESMLRRQEGILRRQEQQQLAEEKHRISAIISEKRDLEEKLAAVSNKISGVSGKEVQFILQDKERLDKQLHDMAVAIERLENSRQKLLFEIDSQSCEIEKLFEENSNLSSAYQESTSVVVEWENQVKDCLKQNEELRMMIDRLRNEQASNIPIANHHEIISESKEGGYEMVSLKGQLAKEQSRGETLSAEVLHLSAKLQQLMQAYNGLTRTYKPVLRKIETNLLKMKRDGSVAVQ >cds-PLY72786.1 pep primary_assembly:Lsat_Salinas_v7:4:372166483:372170362:1 gene:gene-LSAT_4X183080 transcript:rna-gnl|WGS:NBSK|LSAT_4X183080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial outer membrane import complex protein METAXIN [Source:Projected from Arabidopsis thaliana (AT2G19080) UniProtKB/Swiss-Prot;Acc:O64471] MDEVIQKERQHLTLVTRKPCFSLPTACPSCLPVYIYLKFANVPFDLCYNLTYPDSDQIPYVDSDTYVAYNNEKGGVIESLKEDNIVNLDSEVQSLPEWVSIVAMINSWLSDAILYELWVGSDGTSANKIYYSDLPWPIGKLLYLKQVYNVKQLLGISKDTAERREEEIYRRATIAYQALSTKPTSLDAIFLGHALITLYALPETSMLRSKLLEQPNLVLYADKHKAELLDSSDPSSSSSSSSSIPKRGPSNWSSKPKSQPPKRERTEEEKNFRRKAKYFLVTQLVAVLVFLSLLGGSDDTEVDADGDDDFDYDN >cds-PLY83719.1 pep primary_assembly:Lsat_Salinas_v7:4:41100521:41100736:-1 gene:gene-LSAT_4X28721 transcript:rna-gnl|WGS:NBSK|LSAT_4X28721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVDVGGLVRAWKLHVGEVISNKDGGGLVSFGFCVWPGVLGLQTSLIPSGSVLAISFDILGSKNGSIVGVN >cds-PLY98339.1 pep primary_assembly:Lsat_Salinas_v7:7:167328765:167331621:-1 gene:gene-LSAT_7X99581 transcript:rna-gnl|WGS:NBSK|LSAT_7X99581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGFQLQMTWQTSLLLKKRKNGPPLGFKNLGNTCYLNAVLQCLTYTPPLANFCLRLQHSENCDFLAQQDKKSDCPFCLLEKRIVRSLSIDSTLDTPGKIIGGLKVFAEHFRLGRQEDAHEFLRYVIDACHTTCLRLKKLQQQRRKYVSNGGGDGFNGSTVVKEIFGGALQSQVKCLACGNESNKVDEIMDISVDVLNSSSLKEAFQKFFQPEILDGNNKYKCDSCKKLVAARKQMSIVQAPNILVIQLKRFEGIFGGKIDKAIAFEEVLVLSSFMCKTSQVQDPHPEYKLFATIVHSGFSPDSGHYYAYIKDAVGRWYCCNDSYVSVSSLQEVSSEKVYILFFSRIKQRPPTTKTLSTSTNGTTESHASKLPNPKSGQTVKSTEEYAVAAANNNNNHSQKIDSSTTTSLKVEKVVPKKLGISGAKKFPNMKIIVHNKENNGDHKTSPTDNNHNHNHINNKKKKIPLLEDNQNKHVHVENGNGNENVVKTNGTTTNGNNGNGVVKSSFLMKEACSFLRSCDWVDEVQSFMHAKKLCARDAPLQLQHDELKKKLIGEAKATFIPRVPEALKTSLIKHIRAHVDP >cds-PLY91394.1 pep primary_assembly:Lsat_Salinas_v7:8:46044277:46044818:-1 gene:gene-LSAT_8X35841 transcript:rna-gnl|WGS:NBSK|LSAT_8X35841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYACWDCCVENAWYYAYENGGGIGCKTCMVNSLSLGVELDDLLHVFSSLVLELLAISTVPEFVCLVVVSSACDFLCKLLLACAFTGGRFIVINGDVVRNDRVVFGDDISFRVNVGIKLVGLRSECVMGREAAFLSCMVISDAANEAMLLPRLGSML >cds-PLY83715.1 pep primary_assembly:Lsat_Salinas_v7:4:39015152:39018593:-1 gene:gene-LSAT_4X26940 transcript:rna-gnl|WGS:NBSK|LSAT_4X26940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGDKSNPQLKQAICYSNVEARRSTSPSVIVIGGGFAGIAAARALHDASFQVIVLESRNRIGGRVRTDYSFGFPVDLGASWLHGVCKENPLAPVISRLGLPLYRTSGDDSVLYDHDLESYALFDMDGNQVPQELVSQVGKTFENILEETNKVREEFPEDMSIEHAISIVFERNPKLRLEGLSHKVLQWYLCRMEGWFAADAETISLKCWDKEELLPGGHGLMVRGYLPVINTLAKGLDIRLDHRVTKINRRKNGVKVSVENGKTLFADAVVVAVPLGVLKSNTIKFEPRLPEWKEEAIADLGVGIENKIVLHFERVFWPNVEFLGVVSETSYGCSYFLNLHKATGHPVLVYMPAGRLAKDIEKLSDDAAANFAFLHLKKILPDASPPIQHLVSRWGSDVNSLGSYSYDAVGKPHDLYERLRIPVDNLFFAGEATSVDYPGSVHGAYHTGLMAAEDCRMRVLERYGELDLFQPVMGEDTPASIPLLISRI >cds-PLY98376.1 pep primary_assembly:Lsat_Salinas_v7:5:316856576:316858952:-1 gene:gene-LSAT_5X174421 transcript:rna-gnl|WGS:NBSK|LSAT_5X174421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASAMEAVCVTGGSGYIGSWLVRLLLDRGYTVNATVKDLKDEKETKHLEALEGAESRLRLFQIDLLDYESIVAAVRGASGVFHLASPCIVDQVHDPQKELLGPAIKGTNNVLTAAKEVGVKRVVVTSSVSAIVPSPKWPSDVPKTEDCWTDEEYCKQNQLWYPLSKTLAEKAAWDFAKEKGLDVVVVNPGTVMGPILPPTLNASMLMILRLIQGCTETYENFFMGSVHVKDVALAHILVYENKAATGRHLCVEAISHYGDLAAMVAELYPEYNIPRLPKDTQPGLLRSKTGSKKLMELGLEFIPMEQIIRDGVESLKTKGFIS >cds-PLY76867.1 pep primary_assembly:Lsat_Salinas_v7:3:3719033:3721228:-1 gene:gene-LSAT_3X2021 transcript:rna-gnl|WGS:NBSK|LSAT_3X2021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGARGGGRSGSHISGRDARDRNASQSYNDAESQPSSSVRGSNILEQVPSNPSKRKFIEVDSEKEFTDQISVIRAITCILKTMFDGPWTSWKKVDKEHRDAMWEHFKGLYVWPEETDVLARKVWEDCMKKRFPDVMRRAREASLKLAKAANVNASLEGDLNLLKDYRPNWIKKEYWEKMINEVWTTSKWKRLSQSGKNNRNKLEDGSVSKHTGGSISIRQHKKRMQAMLKRPPTGVELYARLHTKRSTQEYITPKAAKVKVVYERVRNEMRGEMDAKAAEMEAKHQQMREEMDAKAAAIDAKQQQIDAKYEAMEKMYAALQNMMGN >cds-PLY66599.1 pep primary_assembly:Lsat_Salinas_v7:6:167750125:167750553:-1 gene:gene-LSAT_6X103880 transcript:rna-gnl|WGS:NBSK|LSAT_6X103880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLLESWLYKRLNDIRRLCILFDLLPSILREGAGTVESSRKGGVNKDGVLSFVNKHTTSWKSVRIDDTKGFAFVRHLLPRQLASDGVRAVTVQNEVIDISNDVNVEKNVVSNVNMLVCDAPFVVFPDVSFHENVVDIGFG >cds-PLY89598.1 pep primary_assembly:Lsat_Salinas_v7:9:39445984:39447273:1 gene:gene-LSAT_9X35741 transcript:rna-gnl|WGS:NBSK|LSAT_9X35741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFAEADEDFVEILFSFFTLPLGTIAKLSRKHVDSNAIKVGSLTSLYESIINLNEKHFSNEHCKDALINPSNSSASFCQKLKVNLDETKPIISSSVPQELKVNVDDAVFLKKKASFIITDDLNVVPVMLDTSIVLLCSLGVEYIDLLEEIIMPFGLEEFSNLLKWSLLTNNPLTNLVLGGSNPCPCSSCDKNSASDSALTSVDSSETQTRTQTTQTPKTQTQTQTMKLLVQKSTKKVLCAQVENHFVELLFSFLTIPLGAYERLTKDNNSSSVGISNLYKSISSLGDGKYLKSEDVKNMLLRPKLAPNYLRVTNFLPIYEVDTRPGRFLKEQATFIVSDDLEVTVSPSVATITKFNTLGVAVCDIEVLEVTVGEQEVIN >cds-PLY73809.1 pep primary_assembly:Lsat_Salinas_v7:7:67625385:67626864:1 gene:gene-LSAT_7X49021 transcript:rna-gnl|WGS:NBSK|LSAT_7X49021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLSDLYNNRRSHFGLTSSLVMTTFIPPPPVATYLAFHPQDNNIISIGMEDSTIQIYNVRVDEVKMKLKGHQKPIIGLSFSQNLRALVSSGADAQVSGD >cds-PLY88816.1 pep primary_assembly:Lsat_Salinas_v7:4:92666527:92669593:-1 gene:gene-LSAT_4X60901 transcript:rna-gnl|WGS:NBSK|LSAT_4X60901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSPAAKKAKEVSPETIKPDEYSHSPVHYAVAVGDHTTLSRIVASLPRLADPTRIHSESDSLNQERLSDQIAAVLDRRDNPKRETPLHIAVRTNDAFAARALANAGADVSLQNADGWNALQEAVCRRCTDIVAILVQYHHVSAWSKWRRRLPRLLAVLRRMRDFYMEISFHFESSIVPFVGKIAPSDTYKIWKRDGNLRADTSLAGYDGLKIQRANQSFLFLGDGDRNLDIPSGSLLVLNHDDRKIFDAFENAGSPLSDSDIAGFCAQTSVYRPGMDVTKAELCARTNWRRQEKIESVGEWKARVYEIHNVHFSFRSRKIAAGDSDIAGSEQIMPLELEEDSDDGFLVAENPRFSVSDRRRHSSFVSGDREVISVSRKSVDIIPERRRRPRAPPPPPMPPLPVVQPQTKEKEYVKTLRPSVWLTENFPLQTEELLPLLDILANKVKAVRRMRELLTTKFPQGTFPVKVAIPVVPTVRVLITFTKFVDLQPPEEQFFTPFSSPRHFISSGDENDDYEVTVTETETEALRASVSVSSSATWLSRGGSRSGMLTNRVGSPHVADPFSVPIGYAWSSFDEKGKKMKKSKSMSRKSK >cds-PLY71730.1 pep primary_assembly:Lsat_Salinas_v7:3:47261348:47263815:1 gene:gene-LSAT_3X36241 transcript:rna-gnl|WGS:NBSK|LSAT_3X36241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPR4 [Source:Projected from Arabidopsis thaliana (AT4G14965) UniProtKB/TrEMBL;Acc:A0A178UY73] MGVSSFVGIAITIALISLLFAFYPLTNSPPASPSPPRLFTVEDLAIYNGTDIGLPIFLGILGSVFDVTKGKSHYGKGGGYSHFAGRDASRAFVSGNFTGDGLTDTLVGLSSTEVKSVVEWRDFYSRTYIFVGKLVGRYYDSEGNPTKYLKGVEAKAARGAQLMEKQKKEEEKVPGCNSKWSQDEGSEVWCDHGYPRLVQRPLEIALTGKMSKRCACFNETDLDQPGLEVYQGCGYLSKVKFFLRKLKA >cds-PLY91449.1 pep primary_assembly:Lsat_Salinas_v7:MU039439.1:264379:265471:1 gene:gene-LSAT_0X16580 transcript:rna-gnl|WGS:NBSK|LSAT_0X16580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRVISILLLISFLISSSHSQQLTTSYYQKTCPKFDQIMQDTTTNKQINSPTTAAAALRLFFHDCLVEGCDASVLISSRPFSKAERDADINLSLPGDGFDVVVRAKTALELACPGVVSCADILAVATRNLVTMMGGPFYTVKLGRRDGLVSRASRVEGNLPRPTMSMNQLISIFSSRGFSIQEMVALTGAHTIGFSHCKEFSSEIYNYSRTSQYDPSFNPRYAEGLRSACADYHRNPSLSVFNDVMTPRNFDNAYYQNLPKGLGVLKSDRALVMDPRTKPYVELYARDQKVFFEAFGRAMEKLGLYGVKSGRRGEIRRRCDSFN >cds-PLY98543.1 pep primary_assembly:Lsat_Salinas_v7:1:38309454:38312281:-1 gene:gene-LSAT_1X34201 transcript:rna-gnl|WGS:NBSK|LSAT_1X34201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLPSPPLKLPTSYASSTVNNHTLSASATSIRNTRKGLSLRRTFVVKASEKDDENPSFNPFGFVTDNPSSRSAIQLPENPAEDGNVGQMLYRIEDKGREYGSYIKSGGFRWFVRETGSSDARLGPRGTVVFLHGAPTQSYSYRVVMSQLAEAGFHCFAPDWIGFGFSDKPQPGYGFDYTEKEYHEQLDKLLDVLEVKSPFSLVVQGFLVGSYGLTWALKNPSRISKLVIMNTPLTASSPVPGLFQQLRIPLLGEFTCQNAIMAERFIEAGSAYVLKVEKADVYRLPYLKSSGPGFALLEATKRANFKGTSGQIADGFASGRWDTPILVAWGISDKYLPQSIAEEFQKGNPSAVTVKLIEGAGHMPQEDWPEKVIDALRYFL >cds-PLY67995.1 pep primary_assembly:Lsat_Salinas_v7:8:295424385:295425002:-1 gene:gene-LSAT_8X163561 transcript:rna-gnl|WGS:NBSK|LSAT_8X163561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQDFRSPIVEQQVLPSEGAHASGSSFETPVLYISKGKNKLPDFELFDVVMLKNRVFDLEQSSAEKDLIIGKQDFRISELEKEKSNKASKISDLQANIGGLTALLFDLKQCLFQKFGDEFQPLSVEGEKITASSSGPANLASQSSSDIATRPGPDANLDTFLSSSPASAQERREKQVWVEQLKGKMLVMKHSDQNAPGDHPKIFF >cds-PLY93298.1 pep primary_assembly:Lsat_Salinas_v7:4:301193570:301195875:-1 gene:gene-LSAT_4X150901 transcript:rna-gnl|WGS:NBSK|LSAT_4X150901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFQKLNCVALLLLIVITTHVLADCTCEPEETEGKKSEALKYKLIALASILIFGGIGVCLPFIGKIIPALSPEKDGFFFIKAFAAGVILATGFIHILPDANENLTSPCLKELPWGEFPLTFFVVMVAAILTLLFETLSAAAYQLRYQTPICNGDEENTQAHDSQVAAHTHASHGHAHISMAGGSSVLSQVDRYRIVCKVLELGIIVHSVIIGLSLGASQSPKTIKPLVFALTFHQFFEGIGLGGCIFQAEFKSLAVILMGTFFSLTTPIGIVIGILVTNTYKENSSTALIVEGVLNSASAGILIYMALVDLLSHDFMNPRLQKNKMLQFGSSVSLLLGAGLMSLLAKWA >cds-PLY78242.1 pep primary_assembly:Lsat_Salinas_v7:6:8086140:8086691:1 gene:gene-LSAT_6X4641 transcript:rna-gnl|WGS:NBSK|LSAT_6X4641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVDNTPPNFPGNNPPLPPLPSTNLPLLPLSPSHPPPRTPSSPPDSPHQSDAAKRGRIKKRLDQLMQMVVIAPTPSQPEMPETRRVEAYLQKEIVFPDIPNTDVTNDDYPIPDTSDQSEIDNYERFLDLGFMPLTDVPIVPLNVIYLNSYFEGEIPQGTNNDIEYNNDQLNPRKRKASFSGGS >cds-PLY72475.1 pep primary_assembly:Lsat_Salinas_v7:2:142799114:142800195:1 gene:gene-LSAT_2X70600 transcript:rna-gnl|WGS:NBSK|LSAT_2X70600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLNQQQISIKPWILEVVPFIVLVLIAAHVIALISYGKAPWTKKEALDLLLLLIHSSVSLCMGCRELLLEAYKVFDEMRERNVSLRISSCVLVSSPLKFILQEYYLPT >cds-PLY91095.1 pep primary_assembly:Lsat_Salinas_v7:MU039484.1:197667:198305:1 gene:gene-LSAT_0X6241 transcript:rna-gnl|WGS:NBSK|LSAT_0X6241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANTFVGFEERAVDALREGKCIPNGPTPPIYFIGPLIVGGNHVDPSENECLKWLNSQPSKSVVFLCSGSQGVLKKEQLKEIAIGLEKSEQRFLWVVRDPPPDDKKTDSNSGGGKEVGLDAILPDGFKGRIGDKGMVVKNWAPQPAILSHESVGGFVSHCGWNSVLEAVVAGVPLVAWPLYAEQKMNRVYLVERIDTRETEILIQVRLRGKNI >cds-PLY64362.1 pep primary_assembly:Lsat_Salinas_v7:4:24742402:24744095:1 gene:gene-LSAT_4X17680 transcript:rna-gnl|WGS:NBSK|LSAT_4X17680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQSAKRSYAYLSNYVKLILIAIIITICIQELIKKAPYHLLNHHHSLIYTLTFSLLPISILAFYFVNKPRTIYLVDFACFKPPSILRVPYATALEHGQIILASQPKSLKFQLMILERSGLGEETCLPHPLHYLPSKPNMMDARDETELVIFSAMDSLFQQTGIDPKDIDILIVNCSLFAPTPSISAMVVNKYKMRSNIKSYNLSGMGCSAGLISIDFAKNLLQVHPESYAVVISTEILSPNSYMGVERSMLLPNCLFRMGGAAILLTNKRSHRRDAKYVLLHVVRTHKGSDDKSYRCVSQEEDKEGHVGIALNLDLMVIAGNSLKSNISTMGPLVLPASEQLLFLFNFLGRKFLKLNLKPYIPDFKKAFDHFCIHAGGRAVIDELQKSLRLTSEHVEASRMTLHRFGNTSSSSLWYEIGYMEAKGKMKKGDRVWQIGFGSGFKCNSAVWKCNREIEATKYSAWADCIHRYPVNEPEGGKL >cds-PLY89946.1 pep primary_assembly:Lsat_Salinas_v7:2:192092591:192092908:-1 gene:gene-LSAT_2X114560 transcript:rna-gnl|WGS:NBSK|LSAT_2X114560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGGGNEEQHQAPPAYGENEGHTCDSNGKTKDDWSKLDEGPDSDNGLSNKLIENPFSLLEMQDKIDRVDAKVETFNAQVGAMDSKLDSIMKSISKINSSTPSL >cds-PLY78210.1 pep primary_assembly:Lsat_Salinas_v7:8:66053969:66057134:-1 gene:gene-LSAT_8X46620 transcript:rna-gnl|WGS:NBSK|LSAT_8X46620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVVESRGGAIACILLALFFLGTWPAILTLLERRGRLPQHTYLDYTITNLLAAVIIAFTFGEFGHTNDGKPNFLIQLSQNNWPSVLFAMVGGVVLSLGNLSTQYAWAFVGLSVTEVVTSSITVVIGTTLNYFLDDKINKAEILFPGVACFLIAVCLGSAVHASNAKDNKEKLNKLQVSQVGENRSISNGSKTISKNDLEYGSSKPEKAKAGTASFLIELESQRAIKVFGRSTLIGLAMCLFAGICFSLFSPAFNLATNDQWNTLDDGVPHLSVYTAFFYFSCSCFVIAIILNIIFLYHPAFNLPKSSIKAYLNDWEGRGWAFLAGFLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVLFFGEYRKSSRRTYVLLVGMLSMFIVAVGVLMASSGHRKN >cds-PLY68077.1 pep primary_assembly:Lsat_Salinas_v7:5:292478304:292479898:-1 gene:gene-LSAT_5X154240 transcript:rna-gnl|WGS:NBSK|LSAT_5X154240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKGARVNTKRRVGSVPGVDIGDVFFFRMELCLVGLHAPIMAGIDYLSFKVSGDEEPIAVSIVSAGGYEDEEDDGEVIIYSGQGGVQRSDKLLMDQKLERGNLALEKSLHRGNEVRVVRGLKDGTSATGKVYVYDGLYKIHESWIEKGKSGCNVFKYKLIRVSGQPEGFMLWKSIQQWRDGVTARVGVILPDLTSGAENLPICLVNDVDSEKGPAYFTYLPSLKYAKPYGTSKSLSCRCSNGCQPASPCPCVEKNNGYLPYSSTGILLSHNLIVHECGPSCLCPPSCRNRVSQTGLKLRLEVFRTKNKGWGLRSWDPIRAGAFICEYAGEVITESGIDSDDNYVFDAGRVFDPLELVPTDEPVKIPFPLVVSAKNKGNVGRFMNHSCSPNVYWQPIMRENEEESYLHVGLYAIKHIGPLQELTFNYGVPRVEKKGIVKKKCLCGSSNCKGFFY >cds-PLY98267.1 pep primary_assembly:Lsat_Salinas_v7:7:167234855:167240432:1 gene:gene-LSAT_7X99460 transcript:rna-gnl|WGS:NBSK|LSAT_7X99460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17220) UniProtKB/Swiss-Prot;Acc:Q9SHI1] MASFASLVGLGSTCTCSPGQLEGTRLSIRRVYFPNSSCSFHNLLVGKRWRYVSICKYSVTTDYIADQGTSLSLNATNKSSQDDASDLLLKPTRPILKPTEPKIAQVSNGSGREKLNEEERNKVIESLGEVLEKAEKLETSKNSEIPNKKPSIPLRTNTNPNANRVNSAVGKSKTLKSVWRKGNPVANVEKVVKEAKKIEKIPEIPKMESTGEAESQQVAKPPQRFQPKLQAKPLTASPPVKRPPILKDLGAAPRMLEKEKEKEKPSTDEKDPSIKQKERSGPILIDKFASKRPPVDPLMAQAVLSPPKPGKPSGPTKFKEDYRKRSGAAGGTRRRMVQDNRNHDDDLDVSIPGAKKGRKWSKASRKAARMRAAREAEPVKVELMEVSEEGMLIDELAFNLAVSEGEILGHLYAKGIKPDGVQKLDKEMVKMVCREYEVEVIDVGPIKISEQAKKKEIFDDEDLDHLQDRPPVLTIMGHVDHGKTTLLDFIRKTKVAASEAGGITQGIGAYKVEVPIDGTVRPCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTAEAIAHAKAAGVPIVIAINKIDKIEKEEAADRVIQVMQELSVNGVQPEDWGGDVPMVQISALTGFNVDELLETTMLVAELQELKANPDRNAKGTVIEAGLDKSKGPVASFIVQNGTLKKGDVVVCGESFGKVRALFDDGGKRVDKAGPSIPVQVIGLNNVPFAGDEFEVVDSLDIARERAEERAISLRDERILAKAGDGRITLSSFASAVTTGKNSGLDLHQLNIVMKVDLQGSIEAVKQALQKLPQDNVTLKFILQATGDVSNSDVDLAIASKAIIFGFNVKAPGSVKSYADQKGVEIRLYKVIYDLIDDVRTAMEGLLETVEDQVPLGAAEVRAVFSSGSGRIAGCMVTEGKVTKDCGVRVLRKGKIIYVGVVDSLRRIKEDVKEVNVGLECGIGVDDFNEWEEGDYIETFNSIQKRRTLEEASTTMTAAFKEAGIEI >cds-PLY92516.1 pep primary_assembly:Lsat_Salinas_v7:4:322559049:322561047:1 gene:gene-LSAT_4X160601 transcript:rna-gnl|WGS:NBSK|LSAT_4X160601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLEATYKGLKGPLASQGRRSATSVVGSRRRLWSAVVMARGASPGSARLKRRKGDKNIEILKRLQRDAFSDIMKLRDRQDKVERLLSFKSSKVSPLAETSTRVKGEIEVLGLLLMIDRIHEENQDAISRTGIKTGINSKFTFETTIREKDTLKAEFVATDRGQFDGLSTPLSLGKVVFDAKINEWCSLTTVLLGGRCIDLMKQGVTNGPPLLNQDIGSGISLTMTKSNVIGTLAQFVGTTHWLSTFGQVAYQLSGSTKVLLLGINQVPKILGQDGFLGPMCLPIGVFRRDGIXSYLHIIELNRVKRTSLIMFLL >cds-PLY71984.1 pep primary_assembly:Lsat_Salinas_v7:8:148560324:148560482:-1 gene:gene-LSAT_8X100141 transcript:rna-gnl|WGS:NBSK|LSAT_8X100141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDCGITTNVPIIHTKLKPRKPSERIIKIKLRNPIFDKDKSGFTQDTGQTCH >cds-PLY97461.1 pep primary_assembly:Lsat_Salinas_v7:6:91895516:91900460:-1 gene:gene-LSAT_6X62680 transcript:rna-gnl|WGS:NBSK|LSAT_6X62680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRKPSGNEIQSLLDAIKASDTFWEDFTCLDVSQCMLNKTILKVATTYLESDTSKCLSQLLALGTKATIWCRKHMKMTLMSTDDSQEEEHYGLFFQVLLDMLCHSAAVLSTLARHPVSTGKDIMAMIQTSILEILNLTKDSILEVKRIQAHGSEVLKASQVVLEALISLCKSYCNNLNSNHDDKESNQMNHIINITESTIQNLVDLGVVAANAGGNLVTVLNISWKGVVTLLQLCKGTLELKMNISDIILSLISLAKGSLTHAAQTWSCLMEPVSMSEAKRIFIPAKFYLINAARIISHYPSQAFLIFKDITLCIITILTFKIFLCKEEFLKSASEALGELLEPTSIHLLNSLLNSSQLKQEHKHQILDFLFTDINTSSFVSSDQSTTMDSIFSLTFGSKPLLIGQVFLFITLLKSAPDVEDDVKLEIAKKMEWILNILTDEDVYSSVLALQLPLFHGSDQNMYCSIIHAMKTFMLVVSSTSIWEEFFFKSFLLENLLHPHHLCWEIVMELWCFMIRHSEAELGNEIIDKLCMLLKTTASWESVLNHDSVLRKLSKSICRIVRHGSESMADRVFNFIVNGDVSRLSSVMFTALLMEGFPLNLLSNKVKSVAKQRIVMEYFQFLDGFEDESRKCGIGIFGAPVFAMSAAVQSLQVSISDTEMKTINLLISIIHKYKNNTHNSKYQNLLNETLAIISTMKHLYTSEEMGTLIVNLQTLFTSDSDSSDKLSKCKANLAAFISGFGHIEYEVTDTNPKFSSSWKLFHMLLKERHWALSHLAITAFGYFSARTNCDELWRFVPQDAALSFDLESGVEANGERFMSEFTVSYEKETGVYVKPNHGKNELPLLVKQGLMLRKMVEKKMVIVDKMEIDHDDDDDEMFKRRKIHDGVEKLKDGLRVFANGIMLLQENRFDFSDVDGEFLTHFSHIQDTVGRMAENISKTK >cds-PLY96771.1 pep primary_assembly:Lsat_Salinas_v7:2:171517916:171519156:1 gene:gene-LSAT_2X93100 transcript:rna-gnl|WGS:NBSK|LSAT_2X93100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSIPEEPLLAPNPDRFCMFPIQYPQIWEMYKKAEASFWTAEEVDLSQDQRQWDNLSDGERYFITHVLAFFAASDGIVLENLAGRFMKEVQVAEARAFYGFQIAIENIHSEMYSLLLESYIKDSNEKSRLFRAIDTIPCIQKKAKWALRWIDGSETFAERIIAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLKMKLSEERVKAIVADAVEIEREFVCEALPCGLVGMNADLMSTYIEFVADRLIGALGYGKMYNVQNPFDWMELISLQGKTNFFEKRVGEYQKASVMSNLNGNGDSHVFKMDDDF >cds-PLY87007.1 pep primary_assembly:Lsat_Salinas_v7:5:261659654:261659998:-1 gene:gene-LSAT_5X134300 transcript:rna-gnl|WGS:NBSK|LSAT_5X134300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMKRNFRIPLEEELRRMVTPENVCAFESMLAGMYKLKLLGISMTHPCGLSSVMNQLPTEAIALAAASHIKRELQITPWNLSSNFVVQIR >cds-PLY70964.1 pep primary_assembly:Lsat_Salinas_v7:2:43982809:44004373:1 gene:gene-LSAT_2X19960 transcript:rna-gnl|WGS:NBSK|LSAT_2X19960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGKDDVRSLNATDWPSHRHENNLNQRFSQTTRSVSISIPRQEREAVEEKEIRNDNHQLGVNDEEKNKHLLRSGQLGMCNASYCTSCPISCYYERPLTFRVSQRFDHYNDYDQCRGVKAWKREKLSLLKHFMFGVINPHAKVVRGWTQLVITSCSFATVIDPLFCYLLSVNKGFKCIGIDSGMTTPIVVFRSMMDLIFLMHMLVQFRLAFFSPESRVLGAPELVDHPRKTVLHYLSGYFFLDLFIVLPIPQIIVVFILPNSIASLGTNYEKDLLRAAVLVQYIPRLFRISKLIDGMGASGYIPRSGYSLVISNLQIFVSAGHFVGSCWYLLGLQRVNQCLQDACHNSTIMHCMKFIDCGKGKESWAFDGDQIWNKWKQDKNSSACFTEDGFPYGIYVKAVNLIVDNSMITRCAYSMFWGLQQISTLAGNQTPSYFFWEILFTMFIIGVGLLLFSFLIGSMQNFLQGLGRREMETLVRRRDVEEWMRHENLPENLRRKVRESEYFIGAATQGIDGETLVQNLPEDLQRDVRHHLFKFFKKVRIFAYMDEPLLDAIYERLRKKTYIKGGKVLYIGGVVTKMVFIARGKLESIVENGNKVPLSEGDVCGEELLISYFEHFCVNGGKRLISNRTVKCLSNVEAYVIWAAELEEVTNLFSEYFRNPRVQHAIRNKSLYWRSMAATTIQVAWRHYKKRQNRAKTSRGRTILQPL >cds-PLY90292.1 pep primary_assembly:Lsat_Salinas_v7:2:199303863:199305002:-1 gene:gene-LSAT_2X120421 transcript:rna-gnl|WGS:NBSK|LSAT_2X120421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHPLSLITFLSLLFILSPAAATTIGVTYISSPGLPPPEDVVAILQSLKITAVRLPVPDPNIIRVFSYTNISLFLAIPNSLISSISANRSAAYRWLYDHVIPFYPRAHITAISVGTNVLAEGDVTSADLVIPAIHNIHRSLIDMGIRQIMISTTFSFVNIMTTSFPPSSAEFQEPASNLIIKPLLDYLTETNSSFFVSLYPYSVYKLRPEIPIGFSLFQEHAYNFREDTITGVRYRNLFDLMVDAVIAAMAVAGHENIPVIVAETGWPCFDPFNSVEARDVYSKMYLQGLVKHLRSGKGTPLRKEGVTEVYIYELFDTNDTATKGLKSDGTGQKWGICYPNMSTKFEVKFSDGGSTMMISVLIIVMKFLLLINGLLISC >cds-PLY76309.1 pep primary_assembly:Lsat_Salinas_v7:6:153460644:153461129:-1 gene:gene-LSAT_6X92361 transcript:rna-gnl|WGS:NBSK|LSAT_6X92361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSATDNYQVEKEEVVVVVIESQPPAEIYHETTLGFFIPFLLKCIGYIVRLLERLGRLCMDYYQRLFGVVKLPSPLKFIIICLAAFAEMKSQGSEFPFKTHPRSMNVAITSLLFYGLASAAEHVLSATRLGPASVSTIVAHSGRIGSLCTLVASVASLFYF >cds-PLY82009.1 pep primary_assembly:Lsat_Salinas_v7:9:154409622:154418245:1 gene:gene-LSAT_9X98021 transcript:rna-gnl|WGS:NBSK|LSAT_9X98021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTGVEEVSAMQKAPEGGDLLVVIIHEGGHIEGKHHTHHSVCVLFHGEEKRTKMDCDEHKGVCSKFGVTGYPTIQWFPKRSLEPKKQDVMQSTKRAWLQVSDEPRLFLLEIGTEELPPNDVATAGQQHYIVEGVP >cds-PLY94915.1 pep primary_assembly:Lsat_Salinas_v7:4:113402425:113413970:1 gene:gene-LSAT_4X71881 transcript:rna-gnl|WGS:NBSK|LSAT_4X71881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb1629 [Source:Projected from Arabidopsis thaliana (AT5G57930) UniProtKB/TrEMBL;Acc:A0A178UP75] MMASSSSSGPMMASSSSKSIISTSSSNISKTITDMSCSLVSPELGTTFLSCSQRVIPPKVGILRSNPSQSFKFLDSSKYSGKKSRQSLVIRCSNNPQNVDLPRYYSKKEKKPFPVPIVELRRAARQRMKNRKDQPKRGGPPPPKNGLLVQSMIPLAYAVLNARTSLINNLKRLLKVVPVQACKYCNEIHVGPIGHPFRSCQGQNSSIRKGKHEWVDAIVEDIIVQIEAYHLYDRLGKRIPHEERFSVPRIPALIELCIQAGVELPKYPTKRRRKPIIRIGKSEFVDADESELPDPTPESPIPELVTEIPDWEIVAPCNEEETSLLAEETLKMWEKMRGGAKRLMKMYPVRVCGYCPEIHVGPTGHKAQNCGAYKHQQRNGQHGWQSAVLDDLIPPRYVWHVPNMAEPLLQKELRNFYGQAPAVVEMCVQGGAAVPEQYKGTMRLDVGIPSSVREAEMVV >cds-PLY71512.1 pep primary_assembly:Lsat_Salinas_v7:5:122044585:122045037:1 gene:gene-LSAT_5X52961 transcript:rna-gnl|WGS:NBSK|LSAT_5X52961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRMFMLALVALIILCLDTNAKVVQGDGWVDRCCEHSYVGVCRPGTEDDQKCADACKMHCTTHETSGKCVEANVCRCIECIN >cds-PLY66586.1 pep primary_assembly:Lsat_Salinas_v7:6:166148830:166151714:-1 gene:gene-LSAT_6X101561 transcript:rna-gnl|WGS:NBSK|LSAT_6X101561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSKADKKAAVDIAAWTFNIVTSVGIIIVNKALMATYGFTFATTLTGLHFVTTTLMTIVLRWLGYIQPSHLPIADLLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVAFDKIRYSRDTKLSILIVLLGVAVCTVTDVSVNTKGFVAAFVAVWSTALQQYYVHYLQRKYQLSSFNLLGHTAPIQAGSLLLVGPFVDYWLTNKRVDAFKYNTVSLIFLILSCTIAIGTNLSQFICIGRFTAVTFQVLGHMKTILVLMLGFIFFGREGLNLHVVIGMIIAIMGMIWYGNASSKPGGKERRSYSLPKTSQPKKDSLLETSETDEKV >cds-PLY74760.1 pep primary_assembly:Lsat_Salinas_v7:6:118693475:118695950:1 gene:gene-LSAT_6X70980 transcript:rna-gnl|WGS:NBSK|LSAT_6X70980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPNNSINQIDNHIDDDGDQNSFPSHRHQIITFSRKRKHSQSQVITLIDSTQVNPLSESSVLGLVLLAHTFSYDIQNLTESLLLEILARLPLKSVFSAHALPPSYRSFMTTDDSRILDFYVDDFDVDTDGKRFIWQGICKLPFIDEERLLASTKMIKKELTERSHLFNGSMDDHNRGSGQMMDGTHINIDVTALGAIIALGLMYLKDSSIRRLDSGSDTQCSLEWHQRIHPSEDFEYISSRD >cds-PLY83824.1 pep primary_assembly:Lsat_Salinas_v7:3:51672976:51673579:1 gene:gene-LSAT_3X40321 transcript:rna-gnl|WGS:NBSK|LSAT_3X40321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVNRIWMAAGVAVVNGHTDQGYKLKSLLINSFRHGKKAFTSDLRPLSGLLISDVSVGDKKTTQSDESLRQVMYLNCWGQS >cds-PLY89448.1 pep primary_assembly:Lsat_Salinas_v7:8:31154374:31154778:-1 gene:gene-LSAT_8X22581 transcript:rna-gnl|WGS:NBSK|LSAT_8X22581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLEGMAFFLTVLFAFTLISSTITVEAQRLGVVSKIPPSPGNSGLRGGCWSCWGHKVVDKKKGTTSVAAASPPQAIHKKVG >cds-PLY81812.1 pep primary_assembly:Lsat_Salinas_v7:3:33800978:33802763:-1 gene:gene-LSAT_3X24720 transcript:rna-gnl|WGS:NBSK|LSAT_3X24720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPYTEILNGILWEVEGKWVVQGAVDVNIGANPSAEGGEDDEGVDDQAVKVVDIVDTFRLQEQPPFDKKQFVGYIKNYIKKLTPKLDEEQQQFFKKNIEAATKYLLAKLSDLQFFVGEGMHDDSTIVFAYYKDGAADPTFLYFGVGLKEVKC >cds-PLY85412.1 pep primary_assembly:Lsat_Salinas_v7:1:205249322:205252950:1 gene:gene-LSAT_1X128400 transcript:rna-gnl|WGS:NBSK|LSAT_1X128400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDKFTNDIMEEWEMDDDMAFVDDGRKKAAMGGKRGSGSGGPTQPVCQVANCTTDMTRSKAYHRRHKVCEVHAKAPIVVIGGRQQRFCQQCSRFHDLTEFDDAKRSCRRRLAGHNERRRKSSYESYGESSG >cds-PLY69019.1 pep primary_assembly:Lsat_Salinas_v7:9:138869868:138872370:-1 gene:gene-LSAT_9X89500 transcript:rna-gnl|WGS:NBSK|LSAT_9X89500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 10 [Source:Projected from Arabidopsis thaliana (AT4G33460) UniProtKB/Swiss-Prot;Acc:Q8H1R4] MNIHTPFCASGPLHSNLPARNNNVVDAQNFAIESHRVSYSINTEKGKSIPILKDCSLKIPSGQFWMLLGPNGCGKSTLLKILAGLLCPTDGFVHVEKPRSFVFQNPDHQVVMPTVEADVAFGLGRFNLTDEETKLRVAKALTAVGMYDYLQRPVQTLSGGQKQRVAIAGALVEECKVLLLDELTTFLDESDQIGVIKAVKNTLNSCGDVTALWVTHRLEEMKYADGAVYMEDGRVVMHGEASTILDSIEARKASYTKAINS >cds-PLY66643.1 pep primary_assembly:Lsat_Salinas_v7:1:54543093:54545114:1 gene:gene-LSAT_1X47320 transcript:rna-gnl|WGS:NBSK|LSAT_1X47320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAATCPIFGFCLLMLCYWLYRNRKVTTVKSQLETKKHGDVRAVLNYDGTIAYEDFIKATEDFDLKYCIGIGGYGSVYKAKLPNGKTYALKKLHRFEAKQPAFKRSFMTEIQVLTNIRHKNIVKLYGFCLHTKCNFLVYEYMGKGSLFCALIDSELAVILDWKTRVNIIKQVAHALAYMHHEYSPPIIHRDISSNNILLNSEMEGFVADFGVAKVLDPDSSNRSVVVGTLGYIAPELAYNTIVTEKCDVYSFGVLALEIIGGKDPRELLDLLKYLNYSNQHAPTLASILDERLSYPTDRLIEKEIVRVYDVALACISTDPKARPTMRKVSQELSN >cds-PLY97481.1 pep primary_assembly:Lsat_Salinas_v7:1:193899152:193899687:1 gene:gene-LSAT_1X124960 transcript:rna-gnl|WGS:NBSK|LSAT_1X124960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRYPRCSLCRFLFLLLPLIVPYRYDLIVSNAIYVPKSIFLDVTSSTDPPNSFDDNNSVRPTPSTYEFESGGEATHQVFYNKLSYCRWIEMVIEAFS >cds-PLY78847.1 pep primary_assembly:Lsat_Salinas_v7:5:305280700:305283940:1 gene:gene-LSAT_5X165121 transcript:rna-gnl|WGS:NBSK|LSAT_5X165121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATH12 [Source:Projected from Arabidopsis thaliana (AT5G03910) UniProtKB/TrEMBL;Acc:A0A178USA1] MILLIPPSSSLLKFKQRELNRLNLISTRKSPFTNRDHLKPFKFNSISCSLKLPTSLDSVKPYVQSEWKTIVKGWICSAVSVYSLSTIVPKVGKFSAVMNVEGLRQEGVLIGVLFLVRLISNYLQQSLLWEASLRSVYKMRVYVFERVLQRDLGFFEGGSGKSVGDVAYRITAEASDVADTIYALLNTIVPSTLQLLAMATQMLVISPVLSLVSALVIPLMAFVNAYFGEELRETSNKANFSIAAISAYLNEVLPSILFVKANNAEHNECMRFKKLANADLYERLNKKRIKALIPHIVQVTFCGALLLIFVGSLVTSSDLSNVVSFITSLVLLIEPIQDVGKAYNELKQGEPAIERLFQLSSFKPKVIEDINEVDLESVAGEIKFNEVSFRYGDNLNMPLILNKLDLHIKAGETVALVGPSGGGKTTLVKLLLRLYDPLCGRILIDNHDIRKISLGSLRTHVGLVTQDTTLFSGTIAENIGYRDLMSKIDMERVKNAAEIANADEVAIARGLYQNPSILVLDEATSGLDTRSEMLVRQALQRLMKTRTVIVIAHRLETVLMAERVFSVKEGKVEEISRSSLMVGLGQHDSLASTGLLI >cds-PLY74601.1 pep primary_assembly:Lsat_Salinas_v7:7:32743059:32744138:1 gene:gene-LSAT_7X24881 transcript:rna-gnl|WGS:NBSK|LSAT_7X24881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRKQPKIASKRRKFQNNTGDESKDDRISALPSCVLLEILSRLPTIKDAIRTGALSNRWKHLWTLVPILIFKGRVPNVSGSDFVSFVDKTLIQCRQSKLTKFEVESRDIRRFASQVNNWIRYAISCNVEELKLELWKPVHEAGFPLDQLFFSASSFTHLRLEGCMFNPTGAISWKNLRSLSISYGKLDEDLIENILSGSPVLETLVLDYCEDYRRLNITSKSLKNLVFSGYIDYLDPLNVGSIEINAPHISSLTIKCCLSLWKPLLVNVSSLVEANLYYTLYDHYETSTLDEAEEEMLKGLMLNLCRVKELTFNFGDECSKVK >cds-PLY85455.1 pep primary_assembly:Lsat_Salinas_v7:3:42041141:42044261:1 gene:gene-LSAT_3X32080 transcript:rna-gnl|WGS:NBSK|LSAT_3X32080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRSMWEQKPEHEGDEIIYREEVDRDHESQSSSYRHEWSTTSNLHPHHQIVGATVVADDVYGDFFPNYSAQPPPSTMVTPHLQNQQPIQQETTVRRHYRGVRQRPWGKWAAEIRDPNKGARVWLGTFETAEGAALAYDQAALKFKGSKAKLNFPERFQGRSELRFLTSTTTSTAAAAPAPAISPGSQPQPPINHTIATSYPDFLQYAQLLSSNDAQLPYFASTLYHQNNINATQTYSDYASHQSSSSASMVGSSTMSFQPNYSDNPSLFSDSGSDPWTRGAFDDSNRK >cds-PLY85199.1 pep primary_assembly:Lsat_Salinas_v7:9:149092904:149093238:-1 gene:gene-LSAT_9X94680 transcript:rna-gnl|WGS:NBSK|LSAT_9X94680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNKSKISCICWNNYIRNNLASTDCDGIVEIWDAGTGEAVSHHTEHEWKTWFVDFSRVHPMKLASGSDDYTAKLWSINEVYPFLPF >cds-PLY72883.1 pep primary_assembly:Lsat_Salinas_v7:4:272733063:272733503:1 gene:gene-LSAT_4X140160 transcript:rna-gnl|WGS:NBSK|LSAT_4X140160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLRSYGTTALREYIRKHVKMAKDFEGLVKMDARFKIMAPRYFSMVCFRVSPYAISQHHDNDHKANELNQMLLESVNATGRFYMTHSVVGGVYIIRFFVGASLTEDSHVNMAWELVQGEATSLLGEPRPETTSNGQPPRKIKDEPM >cds-PLY66149.1 pep primary_assembly:Lsat_Salinas_v7:8:303058291:303063213:1 gene:gene-LSAT_8X165441 transcript:rna-gnl|WGS:NBSK|LSAT_8X165441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENHQNSPFDRPSQVFRGIRFVLLGFDPINKAQDDPLCVAARRDGKILVSGLWADHSFDVGVPVDPTSVMYRPVRDLNGIPGGKSLVICLTGYQREDREDIMTMVDLMGAQFSKPLIANKVTHLICYKFEGEKYLLAKKMKRIKLINHRWLEDCGYELEMEAEAKDSEDEAEGVVTGLTEVKKESPYHSMMLKQDASTSLQNTSASKGFENANDNVSVTMKTTSDQFLNPNKNVVGLTCEPSNLFKGTTSSSAFKKSPSNESRNVMSSIYSRKVPMGTTPPTTDTSDMNSAKRLEKINLSDAFNMSSPFVEKEEQNESSFGKRKMEISSGSSKLQKTSHNEDTLNKESLHMESVFQEKEKNPSTEMSNHVPLGTGIPYVSEKKVLSSKGKSVTCDEISTPPVQDKGFDEELKEASVASMFGSRDIDMLIQSEFEIPEAQKPEHVMQSLEKSSPSAATCDIEKSSTPNLEINEQSAGSGSKSVKRKSIAKKFSAPKQNLGKKKTVDQKGSIYLQNIEPQNDRVDVQGVGDDKNQSNEKVEDASEIEKEKMDDETESPEDKEEHGPHTADVVMEENEHGDDVNQSNEKVEVASEAGNSVSVNVDKSKALREKKLPSTKKSKKKPAVSVKDASDKKEAEIREEGTPTPLRASKRSKRNVNDLQTAEEGVCKNDDNSTEHLYSPSEKEKEDKVQGETENVKNNKATKSKTKKDIGLSNSVKQGTETKTVNKKGRPSSRSCDFEAQKENIQIPVENKNKQKQALKSEKITPKSTKVNSEEPKWFILSGHKLQRREFQQMIRPLKGKICRVSHQWSYQATHFIVPDPIRRTEKFFAAAASGSWILKTDYLSASNEAGRFLTEEPYEWHKNGLSEDGQINLEAPRKWRLLKEKTGHGAFYGMRIVIYGECIAPTLDTLKRAVKAGDGTIVATSPPYTRFLDTGIDYAIVSPGMPHVDIWVQEFIKHEIPCVSADYLVEYVCKPGYPLESHVQYDTNVWAERSFNNLKNRCLTIEEEEEESMGPTTPESSDVACEVCGSRDRGEEMLMCGNESGSIGCGVGMHIDCCDPPFEDVPEEDWFCPNCSNPKITVTNNSKKSTSKRKAK >cds-PLY80092.1 pep primary_assembly:Lsat_Salinas_v7:5:148490122:148492296:1 gene:gene-LSAT_5X65960 transcript:rna-gnl|WGS:NBSK|LSAT_5X65960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEVRPITRKRGQRGIAAFKKNYRPEEYNVKFNENNIPNGGMSTQFMSWFGMNVQHRFPIDEDPGKVKDKYFEDLWLEAKKQWNIESDDMKDYMKRRAVKLASNFKSRLVSNFVNNELDACAAKSVKAKKNSAKKKSQNHVGRGGWPGLEKKASIIWPQLVAKYEFLESIQNERSKLYLMSFAKKDKETKMYDLPQTAIENFKFLVMTACDLLLKVADIELKVASGMTWPTSETVIHSKPVNEGCVKVQVDEIVEIYENLPVHAVTQTDEVEFVKHLLHSIVQWPRYALKFEENQFPYHHQMDANEPFQGGLVDMILSMNPQQIDLNALGSGPGPKLERERNPDLDPDPEPDSEPEPEPEPEPESESEPEISNHLHV >cds-PLY93408.1 pep primary_assembly:Lsat_Salinas_v7:9:62153862:62155807:-1 gene:gene-LSAT_9X53821 transcript:rna-gnl|WGS:NBSK|LSAT_9X53821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALIDNRSVVESEDEEEDGGNTAKILSASDPLLGDPAVYTITAVPHRHDSQPPIEVNLMKEEPPENDLDGSLPVAIVPMPTSNKQITVATPRRANKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLEHAEPAIIEATGTGTVPAIAVNVNGTLKIPTTPSNAASDGEGGGKRRKRACNSEFYDVNDSASSNFAPVAPIAPQSLVPVWTMGAAPPTGGIHGGAFFMFPQSGATAIGPSSANQTQFWAIPAGATPVFNVAARPISSYVSAIQPGEHSGGGEIQTPSRSVSYNSRNENKSGKVSTTMAPSSSSVSTTTTTAQMLRDFSLEIYDKRELQFMAGSSSTDEKPSSKPSS >cds-PLY81011.1 pep primary_assembly:Lsat_Salinas_v7:9:177225106:177227205:1 gene:gene-LSAT_9X109341 transcript:rna-gnl|WGS:NBSK|LSAT_9X109341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGSNMPTEAGLRLLLVPLNSNIVIRTACCTVGVALPVYSTFKAIEAKDPNEQHKWLLYWAVYGSFSVGEIFADRFISWFPLYYHMKLAFLAWLQLPTTNGAKQLYMNHLRPLLSRHQAKLDQIVGLFYNEAGKFVSAHQGEFQFMKTIMMKFLMSVKQLVNGSSQPVATQERRAIVGPRQQVETSDSTDDNHDDDDDNDNDDDDDEYVSVSAAS >cds-PLY69108.1 pep primary_assembly:Lsat_Salinas_v7:8:119491597:119495302:1 gene:gene-LSAT_8X83340 transcript:rna-gnl|WGS:NBSK|LSAT_8X83340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARVHPGNLSSRVPDHETIFDHVAEQTRNQEFEKRTWDTATSRGGGRSRGRGGGRSEARCCFGCGEPGHIIRDCPTTGSFPRSNGRRGGFQGLGNDPSSSDVMESTSGTTKFRLKPLNTSTEAIRNSEPVKPFVDELLAKIKDKEHELKLRDEELDGLYLKLNKANTELSSVQTKNEEMIVNMNKLKDDLEKCRNELSSARKKEAEISRMYLVMKNKFEELEEANETADAEMKQMKVETEQWRKAAKAIASVLDGGEVLSSKTQELESLVLYYSNRASKRMSLGKMRKAVDDCRIAARLDPSYLKVCLTAGNCHLELGELDDAIKNYKKCLESRAVCLDRRLTIEASEGLHKAEKVVSYIKQSAELLQQKTCESATNALEIIMEALSISCYSEKLLEMTAEAHFLLQNYEKVIQMCEKTLPMSENNCANNINISNEGQKRQLKLWRWRMMARSYFRMGNFDMALVTLEKYEQLAPHETKTEDSSSFSVATVSELLRCKSAGNEAYKGGRHKEAVKHYSNALQMSIESRSFAAICLCNRAAAHQALGEVVDAIADCNLAIALDENYLKAISRRANLHEKIRDYEHAALDLQRLITLLEKNSEDKSEDLKVARRRLSSMNIHLKKGMTLDLYLILGLKGSESSAEIKKAYHKSALRHHPDKAGKFLTRCESGADGDVWKQIFETIHKDADKLFKIIGEAYAVLSDANKRFKYNLEDAMKDDFPW >cds-PLY63465.1 pep primary_assembly:Lsat_Salinas_v7:7:147659987:147662791:-1 gene:gene-LSAT_7X87940 transcript:rna-gnl|WGS:NBSK|LSAT_7X87940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLKQFISNYGLPIVKSAGKSAVLVSLIGHPALGASVAINIPIAFISVILLPIVGNAVEHANAIMFAMKDKLDISLGVAIGSSTQISMFVIPFCVVVGWIMGHPLDLNFQLFETTTLIMTVLVVAFMLQDGTSNYFKGVMLVFCYLIVAASFFVHIDPLSIRESVSLLVVVKLADLMSMVVHKYDMALLIT >cds-PLY85795.1 pep primary_assembly:Lsat_Salinas_v7:4:80801223:80803193:1 gene:gene-LSAT_4X54780 transcript:rna-gnl|WGS:NBSK|LSAT_4X54780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFSPSQATSYTSGRRFSSSSTYSSSFSFKSSQVPIARISKHRHAVSCKTLDDDHHHHANSGKLDRRNVLLGLGGLYGTAANFGSNSLAFADPIMGPDLSKCGPAELPQGAIPTNCCPPFTTKIIDFKLPPQSNPLRVRPAAHLVDKDYIDKFSKAIELMKALPDDDPRSFKQQANVHCAYCDAAYVQLGYPDVELQVHNSWLFFPFHRCYLYFFEKICGKLIDDPTFAIPFWNWDAPVGMKIPDIYTDKNSSLYDTLRDAKHQPPTVVDLDYNGFDNNLSPSEQTSTNLTIMYRQMVSNAKTASLFMGSPYRAGDDPSPGAGSLESVPHNPVHIWTGDRNQPNGEDMGNFYSAGKDPIFFAHHGNLDRLWSVWKTLGGRRKDFTDNDWLDSSFLLYDENAELNRVKVRDCVDSKNMNYVYQDVELPWLESKPVPRLQKASRNIKKHAHEHIPFAKDVFPASLDKVIKVRVPRLKKSRTKKQKEEEEEILVIEGIEVKRDEFVKFDVLVNDDDDGTQATAAKTEFAGSFASVPHMHKHGKNWKTKLRIGITDLLEDLKDEEDHNVLVTLVPKTSGGDISIGGIKIEHEEC >cds-PLY67775.1 pep primary_assembly:Lsat_Salinas_v7:9:165687859:165689577:1 gene:gene-LSAT_9X103081 transcript:rna-gnl|WGS:NBSK|LSAT_9X103081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLNYGLTFASKGQEKLLKEMDELLEHCSSFSVQKEEEEKGALDLTMTERMSPSDLKMEANKEQMEHICRAYWTTLAPNVEDYSTKAAKLIVAGSGQLVKGILWCGDVTVDRLIKRNEILKLKIGPAINTSVNPELLKAIHRVNKVTKMTEKVFGGLLSGVLKITGFFTSSVASTKLGKKFFKFLPGEIALVTLDGFSKIYDAFKVSGKNVMSTSSTVTTELVSHKLRSMVVAEVILLMATALNVQISKPASEGVVDMFSTVLRLRQ >cds-PLY63091.1 pep primary_assembly:Lsat_Salinas_v7:8:75620531:75622004:1 gene:gene-LSAT_8X53521 transcript:rna-gnl|WGS:NBSK|LSAT_8X53521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSETVAENVFSYLPDDVVLNIFLKLVDDPRDWSRLACVCTKFSFLMRTICWKNKCHQMIPAVVFNLLPPDASVNSTVSPPGGWASLHKLAVCCPGLMHSGVLLENSDFGLERELGPDENFQETKLFHIGKSQSNPSCSSTVDDDKKKEANVSWSLYDDLYLDTVYNTASKSQDQGESEVCEEEDTKASAVVKTACDFSVCKRRKMCRSFKSHLASEGWNFSREQGNKLLASRFRGDCLYICDWPGCVHTEEKRNYMLFRGVFKNFKKSRVWRTINDGNRSKIDLNCAFCPSNEVWDLQSAFCLRPAYGFHDDGEPVVRAYVCENGHVSGAWTDWPLYT >cds-PLY63253.1 pep primary_assembly:Lsat_Salinas_v7:4:201276119:201277301:-1 gene:gene-LSAT_4X111640 transcript:rna-gnl|WGS:NBSK|LSAT_4X111640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSNVLTTILNVLTLLLGVLLIFGAIWTTVHPGGETLCEKTFAKPYLFTGIFLVVLAVLGIVGATFKINAILYIYSAVLLIIILGLLAFAIFALVVTNKGIGKAVSGQGFQDYRIGDYSKWLKKHVVNENNWAKIKSCLSDSRICMALAQSKNSGDFYRRNLNPLESGCCKPPGDCKFLFVNATYWQAPKSGPGSNDPDCATWSNNQKKLCYNCGSCKVGVLTNMRHLWRGFAVLNFSILIFVIVIYSVSCCAIRNNQAISEPCHI >cds-PLY89061.1 pep primary_assembly:Lsat_Salinas_v7:9:29223785:29226236:-1 gene:gene-LSAT_9X26900 transcript:rna-gnl|WGS:NBSK|LSAT_9X26900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEAEVVQQQVWSRETMPKVMKIISTRLRLPQRDLISLLLVSSSLHRTLVSSASLWLVLDFHEMKNAGDRLLAALALTRYHHVKQINLEFAQDIEDKHLDILKNKFAGALQHLEHLNLNVCQKISDKGIEAITAVTPSLKIFSIYWNVRVTDVGISHLVKNCKHILELNLSGCKGISDKSLQMVGDNYQHLELLDITRCIKITDGGLQHIMAKCSGLKSLNLYALSSFTDEAYKKLSLLSNLRFLDLCGAQNISDEGLFSIAKCKNIRILNLTWCVRVTDVGVIAIAKGCTSLEYLSLFGIVGVTDKSLEVLSEFCSNTITTLDVNGCIGIKKRSREELLQLFPYLKCFKVHS >cds-PLY94573.1 pep primary_assembly:Lsat_Salinas_v7:8:180149743:180151770:1 gene:gene-LSAT_8X117801 transcript:rna-gnl|WGS:NBSK|LSAT_8X117801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDKIVSKFEDDEDEFRSCCSDEEKLEEIAELESKNGDYELDEFSVRMFFKGVSISKPGNESGVSGIGVIMERPNKLPSIHVQKRLEFFVVEEVAEYLALMDGLSEAIRHNIKGVYAFTDSQNLFDQITNDSTLKNPLLMALKQKILEHVENLEHFVLKHVHDVNLKYPLHLAKVAIGIVHDTKDDQTVENFVLCCEDKPTPMMITLKCSHKFCSCCMKAHVDEKVRSFEVPIRCPIPNCRNYISTLECKVFLPVALYTLLEEMDASECAIWGEEEEEEEEEEEENDENTLTPTSHSDPSQQFEEWAWESFGSLSNMTDAYSDQERSQLALIQRFLEGGGFSLGDHHEPPSLPPDDGGSYLDNTIKDLDQLPWLERFVSVISDDCYNEYTR >cds-PLY94966.1 pep primary_assembly:Lsat_Salinas_v7:4:110517939:110518374:-1 gene:gene-LSAT_4X70481 transcript:rna-gnl|WGS:NBSK|LSAT_4X70481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKDNEDVEFEEGEISPENGYNVLAAGDPGESMLENVIGVSSKNPRQSKQLRLLMRKFPEL >cds-PLY97502.1 pep primary_assembly:Lsat_Salinas_v7:1:194437226:194442065:1 gene:gene-LSAT_1X127001 transcript:rna-gnl|WGS:NBSK|LSAT_1X127001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGGSKGESKTTGKRKAGDLNQKSPLVFSESLAEFFAENENIAGFDNPGKSLYSTVKELVENALDSAESIRELPLVEVTIEELNRSKFNSMIDDDFETLKDSEKRLRKKSALGKKVEEVATAKPSGYYRVTCKDNGKGMPHDAIPNMFGQVLFRKKYGVKQTRGKFGFGGAKMVSIWSKMSTGEPIEIYSSMKNENYATHCTLDVDIDRNIPHIHLHEKIGGNENWHGAEIKVVIEGNWTAYGSKILEYMRQMAVITPYAEFKFRFVAVTPDENGNGVVEKSYPRLTEEMPPVPVETKYHPSAVDSLHIIQRMIAQTKNHNLLEFLQHEFVNISNDQAQRLIAKMGPDVTSETQVNSLTLLQIAYMHHLFQHTKFDDPSGNCLAPLGDESFCEGIYKVLQPKMVATYTAKSAQVYQGHPFIVEAGVSLGGKYFKQGINIFRFANRVPLLFEQDADVVTTTAMKRIKWKRYKINKMQEKIGVFVNIISTKIPFKGTGMEYIGDDISEIAEAVKVYSHFYF >cds-PLY89091.1 pep primary_assembly:Lsat_Salinas_v7:9:28073565:28075674:1 gene:gene-LSAT_9X24560 transcript:rna-gnl|WGS:NBSK|LSAT_9X24560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALPSTFLPAFVFLTFCLCLYPHLASAKHGSTGVTRHYKFNIRMHNVTRLCQSKSIITVNRKFPGPRIIAREGDRLVIKVVNHVSNNITIHWHGIRQLRSGWADGPSYITQCPIQTGQSYVYNFTIIGQTGTLWYHAHVSWIRSTLYGPIVILPRRNTSYPFVKPYKEVPIIFGEWWNNDTEAGINQALQTGAGPNNSDAYTINGLPGPLYNNCSSPKETFRLKVKPGKTYLLRIINAALNDELFFKIANHTFTVVDADASYVKPFETDTIFITPGQTSNVLFKTKNLTSNAQFMMTARPYSTAAVGTFDNTTVAGVLEYISDTMSSSSNITSIKGLPLPTLPAINATAYVANWTNKFRSLGNSQFPVNVPQTVQNRYFFAVGLGSDPCPKNQTCQGPNGTKFAASINNISFTSPTIALLQARYFGKSNGVFTTDFPSIPLSPFNYSGTPPNNTMVSHGTKVVVLPYNTTVELVMQGTNIFGAENHPLHLHGFNFYVVGQGTGNYNSTTDPANFNLVDPVERNTVGVPSAGWVAVRFRADNPGVWFMHCHIEIHLSWGLRMAWAVMDGKLPNQKLPPPPSDLPKC >cds-PLY86255.1 pep primary_assembly:Lsat_Salinas_v7:8:55599895:55604885:1 gene:gene-LSAT_8X41041 transcript:rna-gnl|WGS:NBSK|LSAT_8X41041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLENAPHDLLYPKLAFHRSPVFTKWTRGLRPLIHLQPSPSGPSSPPPDPSVFSVYKMEVLRDTCTKTQPVFRVTTDDGYQFDGPDPSSCWNKIYEKIRKIHSSSYDESQGEGESMSVFKSGADMFDFSDPHVLKLIQGTSNSKMISGSLLSMPIGYSHVHVKWKDLDKCNVCHMDEVETKLRLPAVHNTFLDLEESNILRLYMSDAIIDISKAREAFEAKEAAPSVADLSSKVIKEREPYNSRVYNVIKRFQSQWPKVEDPNMKDAKDDASVSPRNRIPQHDNQTESNSKVNKYNSDEEDAISNKWKPELAWLTKALEPTLQLCRWPLPTGDENSKNEEGKPAEQEDTNYVPIKRGSLVVLMINGYAILGWTPVMELMIATGKALPILQLEHGVVVVRVYTGSFMTSLDMVGLLSSFCFLMQKKWLISLCCVRASNSATIHTYANIFNAEHVLMEPGTPHGSNIISQELSEQGFISLKEL >cds-PLY77361.1 pep primary_assembly:Lsat_Salinas_v7:2:65056236:65060031:1 gene:gene-LSAT_2X28580 transcript:rna-gnl|WGS:NBSK|LSAT_2X28580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVTIAAEGILKKVLSIAAGELAIAWGYEEKLISLHRTLDLIRAKLLDAEGKKETRAVMVWLKQLKDVVGEADDVLDEVDYEMLRRQIKKRDQVARKVSCLPSLKKFSFRNEIGHKIENINEMLDKIYTQSNGLGLQNERHVDPVPDCLYRETIPHPEEFKIVGRDDDVLRIIEILTQPKKEEKLIIVPIVGMGGIGKTALAKSVYNDNRIQKQFNVKAWLCVSVKVDINTLLAKIYESFAKKKPTSDLRTNLIESLKEKLASKRYLLVLDDVWVEERPYWEEFRSCMLNVNSQNGSGILVTTRKLEIGTIGMKADSCLLKGLSDDHCWDIFRERAFVAGTSPSAELEEIGREIVKKSGGLPLLLNVIGGMLANYNDTEKWLSIKNSKVWDLEEERDRVQKSLELSFDNLPNSIVKQCFAYCSIFKKDKVMKRKELVRLWMGLGLVQADEEKNKEMEDVGNDIFQILVRNSLFQDVERDEYGHITRCSMHDLVHDLSLSLSKHQSLCLVDAKTDDIACIPRVKHLSFYQKLNKDDEVKAKVSMFSERDTLARSLHTLLIKGEVEKKFSFQPLKCMRILKLKRCGIEKIDNSIGELVHLRYLNLSYNKIRVLPESIGKLYHLQTLKLTEDIEQFPEAMRNLISLRYFMGNKKIPANIVGPLTSLRKLSSIKVLRRKGCGIEELRHLNNLTGSLSISHLENVSSKEEAIKADLSTKKNLNNIEFNWSGNDDEDANRNDKDVLEGLQPPRDVKKLTFSHFCGDNFPNWVTKMAIHIEGKWTPLDKLVEIRLSHCRSCLSLPTLEHLPHLRNLYLWHMDSLTCLRSSDVTGSTKPLSPSLRSLTLWHMERLEKWIDGATNSSKMISPVLETLEIWYCPKIILIDECHPHPLVSLLVYKCRGLESIKSIQGLTSLVSLQIYHCQSLLEITNLPNQCHSLKTLQIINCDKLTSLPCEMFDCFAFLNELTLGPFSKELDSFPSLQGIEKLRNHLHSLDLRGWDHWESMPEEIQHLTSLTDLTMDGFGMQELPMWLTRMSSIRQLGFNECMGLNKETVRRGAPQEATTVVRLNDQEC >cds-PLY90551.1 pep primary_assembly:Lsat_Salinas_v7:6:53844655:53844990:1 gene:gene-LSAT_6X40380 transcript:rna-gnl|WGS:NBSK|LSAT_6X40380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLLRGSGRLEKDSGVACSSSKVNSTSGVIRRSFKVRRWSPVRPMVSETRRGGARSSGANETGGARLSREEASWIARGLDTLGFQSFSLPELVQRFRSKQKHSGFHQKHAK >cds-PLY64823.1 pep primary_assembly:Lsat_Salinas_v7:8:265785676:265794842:1 gene:gene-LSAT_8X153980 transcript:rna-gnl|WGS:NBSK|LSAT_8X153980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNSVGHSLLRGPVLQHQSQKNSCSCIGGNTLFQAQATSLIRKSSSSALSSILSSDFRGHRLTLRRSGLRNPVFSDVVQAVLATDPASEQLRQKFILEEDIEMQVDVKISSIPMVEIQLTNSRDHLYLHWGGLRNVKEKWVLPNRRPEGTKVYKERALRTPFIKSGSSSSLKVEIDDPSIQALEFLIVDEAKNKWYKNNGQNFHVKLPSRETPVSNVVIPEDLVQIQAFLRWEKKGKQMYSPEQEKASRAELYEDISRGSSIEDIRARLTKKDDKSSKKDTGTSNDDKQKKITKGEIKTKVQKHQETRSYSTQSIQRKKRDLMQLLNKHIPVSVKSVEEKSSMTPKSFSALELYSKLIEEQSDINILNKKTYRVADDELLVLVTKASSKIRVHLATGFKEPLTLHWALSKKPGEWLANDYQMRNEGYVIKWRIQSLEIEIEEGDYVGMPFVTFSGNNWIKNNGSDFYIEFVGPKKAIKKDAGDGKGTAKALLDKIAGLESEAQKSFMHRFNIAADLMEEATDTGELGLSGILVWMRFMATRQLIWNKNYNVKPREISRAQDRLTDLLQNVYVNYPQYNELLRMIMSTVGRGGEGDVGQRIRDEILVIQRNNDCAGGMMEEWHQKLHNNTSPDDVVICQALIDYIKNDFDMSVYWNTLNTNGITKERLLSYDRAIHHEPSFRRDQKESLLRDLGSYLRTLKAVHSGADLESAISNCMGYKSEGQGFMVGVNINPVSGLPSGFPELLQFVLNHVEDRNVETLLEGLLEAREELRPLLSKPNDRLKDLLFLDIALDSTVRTAIERSYEELSNAKPEKVMYLITLLLENLILSSDNNEDLIYCLKGWNQALSMLETGDGSWALFAKSVLDRTRLALATKGELYHQILQPSAEYLGARLGLDQWAVSIFTEEMIRAGSAASLSSLVNRLDPILRNVANLGSWQVISPIEAVGYIVVVDELLSVQNKSYESPTILVAKSVRGEEEIPDGTVAVITPDMPDVLSHVSVRARNSKVCFATCFDPNILDDLRAKQGKLLKLKPTSADITYTEVKEGDLNSLKQSSNSEEVGLPSNIKLVKKEFCGKFAVSAEEFTSEMVGAKSSNIAYLKGKVPSWVGIPTSVALPFGSFEKVLSDVKNKGVSEKMKILKKKLEAGDFEVLEEIRKTVLDLVPPPQLVEELKNKMKSSGMPWPGDEGEKRWEQAWTAIKKVWASKWNERAYFSTRKVKLDHDLLCMAVLVQEIINADYAFVIHTTNPSSGDPSEIYAEVVKGLGETLVGAYPGRALSFISKKDKLDSPKVLGYPSKPIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVLDYSSDPLMVDGNFQKSILSSIARAGDAIEKLYGSPQDIEGVVRDGKIYVVQTRPQM >cds-PLY89310.1 pep primary_assembly:Lsat_Salinas_v7:2:50151160:50151510:1 gene:gene-LSAT_2X23780 transcript:rna-gnl|WGS:NBSK|LSAT_2X23780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEDKSLKFSQGFDGRMENLEVVAYEKIQYLLLLRSLGCSTKLRTLILHQCLLMFDCSAIGELLNLEVLSFAHCGIAKLPSTIGKIEVKECDNLVNLFPTNPMSLLGRLEELHVSE >cds-PLY95748.1 pep primary_assembly:Lsat_Salinas_v7:5:248650191:248679691:1 gene:gene-LSAT_5X123660 transcript:rna-gnl|WGS:NBSK|LSAT_5X123660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDDVGEQAFLAVLLSCSAFFLQEMCDPHYDPDAFLPLCLPKTYFYPTPTPTTISLFHKPFRSPNPLQQFESFTLAYSTTLWIVVRIIVGYNNKKYYIDIIESKPSNPITIIETDCGLDYKEPKRPHPYHPCHLTKEAKLNLFKIPTTSCFHHFRLQWKTTGGGVPLPPPTGSSSHQTQGKLVFSSNAASQKQKFLSLIVEKLILQDWSLRKSKMIKELVLFNNRRGDRIMVGYNNNKFYIDIIESKPSNAISNVEIDCEFLTESKGGGGDFCKILARSEAYDCFYVRISGDFFFNLESGSKLARQVFDESTEIRPVVFHFLRVSPHISPLSAGPRGHWNHCKVNPKECLRNQIRVLQAFRFSTRVEMLTALRLSYLMVHVAGLVSPEELETTLCPVVSILVGYNKKKKKYYIDIIESQPSYGVGVTFFYGRCI >cds-PLY71685.1 pep primary_assembly:Lsat_Salinas_v7:3:46356717:46356938:1 gene:gene-LSAT_3X34721 transcript:rna-gnl|WGS:NBSK|LSAT_3X34721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPPLILFVLFKPPSAASTIQQQNRIKPHSAETTILWFRTAAGEDGSVVQHSTPLSSPTTSMIAGGAGSEL >cds-PLY70357.1 pep primary_assembly:Lsat_Salinas_v7:4:101066035:101067977:1 gene:gene-LSAT_4X64561 transcript:rna-gnl|WGS:NBSK|LSAT_4X64561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METDRTTGSTPGSIESPPQLPPPEPHHHHDHTSACVNCGGPTSFPPPPTWSETSPPPVYRPIRAPAINLPPNNNAQQTIILTPVPQAQKVSVVSPPYHFQTPTKIIHSEDDIRRFRDSATSKNYLGFVVALSESIRGHKISDPCHMSPTITSIISILQTLLQFVDEIPPLQQSARYGNLAYRDWHSRMTENADSLTLQFLPADLNSATVEITPYFTDSFGNASRIDYGTGHETNFAAWLYCLARLGIIKEEDYPAVVSRVFVKYLELMRTLQLVYSLEPAGSHGVWGLDDYHFLPFIFGSSQLIDHKYMKPKSIHNGDILDNFSKEYMYLSCIAFVKKVKKGPFAEHSPLLDDISGVPNWKKVNSGLLKMYKVEVMEKVPIMQHFLFGWLINWE >cds-PLY69543.1 pep primary_assembly:Lsat_Salinas_v7:8:83651651:83653621:1 gene:gene-LSAT_8X58381 transcript:rna-gnl|WGS:NBSK|LSAT_8X58381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENAVKPLRKKTWFLPLILSLLTSSILVIFSLFFTSTSNPFHQTPLKSKTQQNPVFVESKLYISPTKPVSSIPKLAYLISGSSGDVESLKRTLKALYHPLNQYVVHLDLESPAEERLELVDFVNNEAVFQEVGNVRVVSRSNLVTYRGPTMVTNTLHAAAILLKEGGDWDWFINLSASDYPLVTQDDLLHTFSTVPRDLNFIEHTSDIGWKEYQRAKPVIIDPGLYSLKKSDVFWVSQKRSVPTAYKLFTGSAWMMLSRPFIEYCLWGWDNLPRIVLMYYANFLSSPEGYFHTVICNADEFKNTTVNHDLHFISWDNPPKQHPHFLSIDDYQRMLESNAPFARKFGEDKELLDKIDSQLLEREPNGFVTRSWLERSDTNRSIAESIAKNVTELKPGPGAERIKTLIGGMLSDKDFDAKHCV >cds-PLY78317.1 pep primary_assembly:Lsat_Salinas_v7:2:181334126:181339213:-1 gene:gene-LSAT_2X102840 transcript:rna-gnl|WGS:NBSK|LSAT_2X102840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSSARRISLGQAESGLIESETIEGLGPVEWTKIDGHGVLVNTDEAGTIFVTNFRLVFLSEESREVTALGTIPLATIEKFNKIVVKEQSGIRQTEKTPTRRLLQILTKYLANFIAFVGKDMRIILFSFRPRTKQRRAVFNALLRWTRPASIWDLYAFSCGPCRYSDTNPKVRLVNEYYRLLGLGSLCSSIGHIEDGLFKLSNDWWRISDVNASYNMCPTYPFALLVPKAIRDKDLLKACTFRARCRLPVISWCDTRTGAVLARSSQPLVGLMMNMRSNADENLVGALCTRFSSGKEGRRKLYIADARPRKNALANGAMGGGSESSSNYFQSEIVFFGIDNIHAMRESLVRLRDYLDTHGTTSSDGMSSFLRNGGWTWGVGNLSSMSASVSTLGDSGWLQHIQNVLAGSAWIAARVALESASMLVHCSDGWDRTTQLVALASLLLDPYYRTINGFQALVEKDWLAFGHPFSDRAGMPPSLSGTGTMPLELSRHSSTPNISSSSSSLRQLSVSLSTTSQPPVASSNTQHSNNYSPIFLQWIDCVSQLLRMYPFAFEFSSAFLVDLLDCVLSCRFGNFLCNNENERERAGVFEASACLWMHLADMRGASEGTSHVHYNLFYNPSKHDGPLLPPAAALAPTLWPQFHLRWSCPAEAQSGEIEAKCRSMMDKYSELQKAKDLAERNAREITTTVESLTADLINESQVSNSTLALARKASMENSSIKRAIESLGCRVHVSAADDIEDYPASSPTETVSVGSGDDGKTDMSVSIAVAEDNDHLPGNPSNRICELCPLWTPDGGCRWPDAGCARVRSQFVGLKANYDAFDRLSINDGYFQPES >cds-PLY70572.1 pep primary_assembly:Lsat_Salinas_v7:1:89182265:89182900:-1 gene:gene-LSAT_1X74681 transcript:rna-gnl|WGS:NBSK|LSAT_1X74681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRKSQQHETESEYGEDDYSVADEGRVNLDWFILENNRNEDIGKYDGFEEGFVEEETLPQTDQAVGELEDAYESHDDAGNNIKVAYNMDGNKDEVHINIKEDGGGVLHGDEASPGSQKSNSDEFLAGFDGMRFHSPVQSRSAPSRKTQFSHASLSNINVKKIKKKNVIVGSLIEVMERYMEYGQVLGYDFTRCKDDIVNLIERSGEKYGFK >cds-PLY81458.1 pep primary_assembly:Lsat_Salinas_v7:5:334194876:334196202:-1 gene:gene-LSAT_5X184421 transcript:rna-gnl|WGS:NBSK|LSAT_5X184421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLVANEDFQHILRVQNTNVDGKQKIMFAVTSIKGIGRRFANIVCKKADVDMNKRAGELSNAEIDNLMTIVANPRQFKIPDWFLNRKKDYKDGKYSQVTSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >cds-PLY79298.1 pep primary_assembly:Lsat_Salinas_v7:4:370628471:370629850:1 gene:gene-LSAT_4X182400 transcript:rna-gnl|WGS:NBSK|LSAT_4X182400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQLPFVGISTPFKHCSRYILYLHWHILESTISEKDFFLERLFSWGTSKEGESFLIEWNETERAIKKTYTGFRKKSNGVAHFDTNQNNFLVVGEENQIKFWDMHNMNILTTTDADGGLQIFLRLRFNKEGNLLVVATIEYKWSTMVDASSSGEVARLKDAMEIVEGEITHM >cds-PLY84246.1 pep primary_assembly:Lsat_Salinas_v7:7:78882069:78885947:1 gene:gene-LSAT_7X56360 transcript:rna-gnl|WGS:NBSK|LSAT_7X56360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASVLPSAYQTSASSPDWLNKGDNAWQMTSATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFSAVVICWVTWAYKMSFGEELLPFWGKAGPALGQKFLIKQAALPASTQYHSNGEVETAMITPFYPMASMVWFQCVFAAIAVILLAGSLLGRMNIRAWMAFVPLWLTFSYTVGAFSLWGGGFLFHWGVMDYSGGYVIHLSSGVAGFTAAYWVGPRLTIDRERFPPNNVLMTLAGAGLLWMGWAGFNGGDPYSANIDSSMAVLNTNICAATSLLVWTWLDVIFFGKPSVIGAVQGMITGLVCITPGAGLVQGWAAIIMGILSGSIPWFTMMVVHKRWTLLQQVDDTLGVFHTHAVAGYLGGILTGLFAEPTLCSLFLPVTNSRGGIYGGSGGTQILKQIVGGAFIIGWNIVSTSIICVVIGLVIPLRMSDEQLLIGDDAVHGEEAYALWGDGEKYDGTKHGFYSDDTTHHRPVSGATQVL >cds-PLY88741.1 pep primary_assembly:Lsat_Salinas_v7:4:169202114:169204174:-1 gene:gene-LSAT_4X100340 transcript:rna-gnl|WGS:NBSK|LSAT_4X100340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQNLPVLPKKVWNLVRVVYFMLRKGISKRKLLLDLNMMIKRGKIAGKALQNLMFHHHNNRASFTTNHRSHDVSFPSTPLGEYEFSCSNSPALTSNHPFSLFSFHKKHHSNSKPSKDHLDMMAVNAVFKAMEMIHSDNSSPALPGFGSTPMVRQLRVTDSPFPLSSVDEDNQVNDAAEQFISRFYHDLRVQNTNASFGSSTKLNMDHVIHIRIRNNEFISDMSRGKEHHVIGPNFDGVAEVNDDGMLIRDDEVSDMRKGQQHQATMEYEYTMDHQVIMDNTNFTKEQLSYIIPRTP >cds-PLY96492.1 pep primary_assembly:Lsat_Salinas_v7:5:337460804:337461477:1 gene:gene-LSAT_5X189541 transcript:rna-gnl|WGS:NBSK|LSAT_5X189541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVTALVNERPVVIFSKSSCCMCYTIRTLISSFGANPTVYEVDEHPQGKQIEKELKGLGCKPSVPAVFIGEELIGGANEIMSLHLKGQLVPLLLNANAIWL >cds-PLY97111.1 pep primary_assembly:Lsat_Salinas_v7:4:75161996:75163151:-1 gene:gene-LSAT_4X50521 transcript:rna-gnl|WGS:NBSK|LSAT_4X50521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSFTRSQKLLELSQRFRETKSVFQLSVDSSSSQSNQSEKLKKPSRAAVLICLFEEGDDIYVILTQRSSKLTSHSGEVSLPGGRRDENDTDDIRTALREAEEEIGLDPALVDVVTVLKPFGSKGNVSVVPVIGILWNKQAFNPLPNPEEVESIFYAPLEMFLKNENRREKEIEHRGEKFLHHYFYHKTNDRVYVIWALTAGILIDTASLVFRQLPDFQPRMPKFWNRNHNKL >cds-PLY82471.1 pep primary_assembly:Lsat_Salinas_v7:2:186046423:186047173:-1 gene:gene-LSAT_2X106780 transcript:rna-gnl|WGS:NBSK|LSAT_2X106780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSILPLLPLHRPLTSSLYDKSPFTKSSFMWIQIMHKTSGGRRPKKKIYHRVHELDNAMDLQKKPNVILNLKSIIQSQKNQSLLLRDLEKEVGFIEKWNFIAVFLKEGYEGSRLNPKFMRAIPSSSNLLMEDINSFKLELEQEELNLNFHPKFQE >cds-PLY69536.1 pep primary_assembly:Lsat_Salinas_v7:8:82494507:82496356:1 gene:gene-LSAT_8X58900 transcript:rna-gnl|WGS:NBSK|LSAT_8X58900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSINLKAPVFAGNPIRSKTPKPTDPFSPTSAFQTLKTLLSGQTHIQEPSSPKFKVLPFRKGRPLAGSTGDSIKKWHLGWLNFVDFKFVLEDSGLKLSDDLLVYLGYDSKEEKEHDSVVYWAIDVSESISLVEKLGNRQFCFVELRTLMVATDWADDSAMGELAIAGHARALLEWHNTSRFCGSCGETLVPAEAGRRRQCKKDSCNKKIYPRVDPVVIMLVIDKENDRALLSKQSRFVPRMWSCLAGFLEPGESLEEAVRRETWEESGIKVGEVIYHSSQPWPVGPSSMPCQLMIGFFAYATSLEINVDKSELEDAKWHSREEVKKALTFTEYKKAQKTSAYKVDQMCKGVVKGQNVASDFNVESGELASMFVPGPFAIAHHLISSWAHQEQVSINGIETEKKGVVSRLFDL >cds-PLY86354.1 pep primary_assembly:Lsat_Salinas_v7:8:27797092:27797632:1 gene:gene-LSAT_8X22680 transcript:rna-gnl|WGS:NBSK|LSAT_8X22680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQKLSRETINIRLQDFGKEIQQEQQEDTLTECEHSPVVRYHAAIFAIVQSYYQHQRTYNEISCKKT >cds-PLY85884.1 pep primary_assembly:Lsat_Salinas_v7:5:97152340:97152822:-1 gene:gene-LSAT_5X45140 transcript:rna-gnl|WGS:NBSK|LSAT_5X45140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKVLDIAITPNGEYLITIFSDKDIQILNVATNAERVIFEEHPITALSVSGDINYLIFNLNSQEIHVWDVEGLWEKPLRYKGHRQHEYVIRSCFGGVNSTFISSGSENSHSRCIFGIEVVVIQLRCFLVIQ >cds-PLY74745.1 pep primary_assembly:Lsat_Salinas_v7:6:120458281:120460564:-1 gene:gene-LSAT_6X72160 transcript:rna-gnl|WGS:NBSK|LSAT_6X72160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSEHPGRTRAVGHDIGLRKGMQGLDKKKWKVVDKEVVSKMQAQLDETITQLAELRPMLAKQESRNQVPNNVCFGVQNNSYGSMSTLDALDTIKSITCCDLVLPYGDMNQKCARGMIFPYNDGLIHSLSLRENHLKVMIDNIDERYKGILVPVMTNEVGTLEDAIGTVIHGRGSQLFLGSLLQLMLQKRMGKRAFLNPYKILGKACQETPIDVVNYLVDAKQLHHGKSFLIAPYL >cds-PLY71774.1 pep primary_assembly:Lsat_Salinas_v7:3:46078526:46116176:1 gene:gene-LSAT_3X35021 transcript:rna-gnl|WGS:NBSK|LSAT_3X35021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGTKDEVGPPRSVSRRMTETSDMGDPEFDAVDSELVPSSLAAVGPILRVANEIEMDNDRVAYLCRFLAYEKAYRMDNKSSGRGVRQFMTYLQHRLEKEKEMTKPRLAQNDAKEIQKFYQIFYERNIKDNKYLKKPEEMAKIYQIATVLYEVLKNVVPGSKFEPKTQHYARDVEENKKQYEPYNILPLYAVGIKPVIMEIPEIKAALRAIRTADNLPSDSEKSVNDILEWLSSIFGFQKGNVANQREHLVLLLANIHRRLKGVEDYEQLGSQTVKHLLDITFKNYVSWCHYLHRTSVIDSNKVPPSADRQQLHLLYIGLYLLIWGEASNIRFMPECLCYIFHNMAKEMHETLFGKVQSVSGGKNQVGEEAFLCDVISPIFDVLLKEARRNQGGNASHASWRNYDDLNEYFWSDKCFKLGWPMNRRSDFFIHSDESSRHHVGRNQVVKKTKTNFVEVRSFLHLYRSFDRMWIFLILVLQAMIIVAWHGDGSIFGILDETVIKNISSIFITYAILNFIQVSLDIILSLNAWRSLKPTQIRRCIFKFVVAAFWMVILSICYLRSVPNPTGFVKFFSTLGGNWRGQILYNYLIAIYLIPNLLAALLFLLPPIRRHMESSNRRIPTLIMWWAQPKLYVGRGMHEDILSLFKYTLFWIVLLISKFAFSFYVEILPLVKPTKLIMKMHVSSYEWHEFFPNMTHNIGVVISIWAPIVMVYFMDTQIWYTIYATIIGGIYGALSHLGEIRTLGMLRSRFKSVPSAFCERLMPVQKKEPKRDHVEDDLLVTRKNIAKFSQVWNEFIFSMRMEDLISNSERDLLLVPYTTSDVPVVQWPLFMLASKIPIALDMASDFKGKEDGDLFRKIIGDDYMRSAVMECYQTLKEILFELIDDDRDNMIIQCICHEIETSIQQRMFLNKFRMSELPLLNDKLVKFLDNLLADNVDDEKYTSQIINVFQDVMEIIIQDVMIYNGHEILERAHAYHRDNDRKERFEQINVRLTQMKSWKEKVVRLRMLLTVKESAFDVPRNLEARRRITFFANSLYMKMPNAPIVRDMLSFSVLTPYYKEDVLYSEEELHKENEDGISILFYLQKIYPDEWKNFEERIKGIYGGDKTDATRQWVSYRGQTLSRTVRGMMYYKEALELQCFLDYSKDDEIFTGFRTLNMTHHHMYLKERASAMADLKFTYVVSCQIYGAQKKSSEERDQRCYTNILNLMLTYPSLRVAYIDEREATINGRSEMVYYSVLVKGGHKLDEEIYRIKLPGNPSKIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNVLEEFDKDQHGQRRPTILGLREHIFTGSVSSLAWFTSNQETSFVTIGQRVLADPLRVRFHYGHPDIFDRLFHITRGGISKASRTINLSGDIFSGYSSTLRGGYVTHYEYIQVGKGRDVGMNQISLFESKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYYTTVGFYFSSMVTVLIVYAFLYGRIYMVMSGLEGRMVEDESLNSKKALEEALVIQSVFQLGLLLMLPMVMEISIERGFRTALRDFIVMQLQLASVFFTFQLGTKAHYFGRTILHGGSKYRATGRGFIVFHAKFADNYRLYSRSHFVKGLELAILLIIYQAYGNSYRSSNLYLFITFSIWFLVASWLFAPFVFNPLGIDWQKTVEDWTDWKRWVGNRGGIGIAQDKSWESWWDAEQQHLKYTNKRGRILEIILACRFFIYQYGLVYRLNIAGGSKSILVYALSWLVLISALLELKLVSMAKQFGTYLQLMFRILKAFLFLVFLSIMTVLFVVCGLTISDIFVAFLAFVPTGWAFILIAQACKPYVKVMGFWDSVMELGRAYECLMGLVIFMPIVVLSWFPFVSEFQTKLLFSQALRRGLQISMILAGKKDKEKTQPT >cds-PLY95947.1 pep primary_assembly:Lsat_Salinas_v7:9:40854425:40854688:1 gene:gene-LSAT_9X36540 transcript:rna-gnl|WGS:NBSK|LSAT_9X36540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEGTSPKEIRHTFHLPDDLTEEEKLEPLRIWTNDPHIRLLNRLYARKRKELKIREKAKVSSS >cds-PLY86682.1 pep primary_assembly:Lsat_Salinas_v7:4:318320165:318323916:1 gene:gene-LSAT_4X158280 transcript:rna-gnl|WGS:NBSK|LSAT_4X158280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSILLKSSIFGDGDDKVSVEVKAEEAFRLAIPLHFEEQFGEEKTEPVNSNIGIDAEKLVLGIKLGIQYRKRKHLQFGQLRLLAQRAYSRQTPNSTCVMYFEKEGKE >cds-PLY67048.1 pep primary_assembly:Lsat_Salinas_v7:5:280380549:280384745:1 gene:gene-LSAT_5X146881 transcript:rna-gnl|WGS:NBSK|LSAT_5X146881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKDLHLAGIEVIMDIVYNRTNEVDDKYPYTTSFFGITIISTMYWDDKYGTHGVSSETEMMSDAFKGKTNHASKQVIFVESLWPFQTSLPLLLSFVTCLNSVEHSGGQRVCLDLQKLDRFSLFPGQLIFEQIIASGPYTTTDNLFFEPLSNLLAYAQRKQPQLLILLGPFIDSEHPEIKKEALNRTYNDLFHLLSQRRHLLSSVPTPVDLSLAPEAPSKSSMVLLAPLPEIQARNQKIGEKPLLSVSSFVQAAHNNVLLAVPTFLYAINNYLKLTRNLLPCLAASQSSCIQVILFERKLSMEIVKGEFSHGFLLMLYMFCFL >cds-PLY75584.1 pep primary_assembly:Lsat_Salinas_v7:9:32598170:32601593:1 gene:gene-LSAT_9X30600 transcript:rna-gnl|WGS:NBSK|LSAT_9X30600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATASSSDPVMKSEGSASGGETSEAASTIGMAAAAAALVGKGNNYQQQLMVKYRGIKKSKKDRGCTAKERISKMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYTRDLEEMQNLSREDYLASLRRKGSGFSRGTSKCRGISRWDSPLGRISGADYNNCMHHGDDATTESEYVGGFCMDRKIDLTPYIKWWGPNKSRQHEKSSDETNHATGSSEDIGSELKPPEWATQPTQPYQLPRLGVSHESKQHKKSSVSAMSILSRSAAYKSLQEKALKKEEENDENENKNNINKIDYGKAAVEKKSSHDNDNDNDNDNDDDGTTSEFGLGMEGEEGMAALHPALAPFLTNYNAIDPLGDPVLWSSLVPPLQTRSSQPIEHATKTETSSDYSFFQEEDLLPT >cds-PLY61902.1 pep primary_assembly:Lsat_Salinas_v7:6:62074601:62075418:-1 gene:gene-LSAT_6X45881 transcript:rna-gnl|WGS:NBSK|LSAT_6X45881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASKSYYARSNFRYFSGERDVSIGTDSMFELDESDIWNVAASPELRKTVPSSRISKKSSAVVKREEIGGTASSLPVNVPDWSKILKEDYRDNRRRNDDEDDDINENNYGDDGTGNRIPPHEFLARQLARTRIASFSVHEGIGRTLKGRDLSRVRNAIWEKTGFQD >cds-PLY67042.1 pep primary_assembly:Lsat_Salinas_v7:5:282168726:282173143:1 gene:gene-LSAT_5X148741 transcript:rna-gnl|WGS:NBSK|LSAT_5X148741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNKDHISSSSAHEHQAQIEKNRIEAVLQLLRKPAPLTLKQEKFCNEACIGRFLKAKGDNVKKAAKQLRACLSWRENLSIDHLIADEFSGEIADGMAYVAGHDDQSRPVVIFRIKQDYLKFRSQKLFTRLLVFTLEVAIQTMAKSVEQLVVLFDASFFRSASGFMNILVAALKTIAEHYPGRLHKAFVIDPPSIFPYLWKGVKAFLELSSITTIVSSIDFDEFPDFNHFTTYPRAASLRFNPSSVPSKAKVGSCASSRFSFTVSHHFDSLKPWYLTLTDKPSFRVGPTSTGPALISPINARSYSFASPTARNMNTMRKSFFPSTPLPQKTQVMDHSTINHPRTPKPSFLHSPALFFKKECHVSKTDKSRESFIPFLRFYRRPYDEMVYRSKMKPPLGGLISIVSPQLVRRRHMSVSQRF >cds-PLY71010.1 pep primary_assembly:Lsat_Salinas_v7:9:70216875:70217524:-1 gene:gene-LSAT_9X59920 transcript:rna-gnl|WGS:NBSK|LSAT_9X59920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDDGGSGGQQHSKYSKEANFDTNMVIILAALLCALICALGLNSIVRCVLRCSRRLAFDGVDAAAAQVVTTGVKKKALKQIPVAVYGAGVEIPATECPICLGEFMDGEKVRVLPQCNHGFHVRCIDVWLASHSSCPTCRRSLVELPAVAVAEGRSRDGGDDRVIIV >cds-PLY74967.1 pep primary_assembly:Lsat_Salinas_v7:3:154630465:154630818:1 gene:gene-LSAT_3X98301 transcript:rna-gnl|WGS:NBSK|LSAT_3X98301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTKEGEYCVQSNTEGANITGSTTKRPTGRDVAKRKEKNKSSNEVVAELRAMRLSRDSEVEIMKKRLDLDQLREQKTDERELLKMQSLHLNTLLQKEHLSSEEENMKRFLMSKFYGN >cds-PLY77551.1 pep primary_assembly:Lsat_Salinas_v7:2:163695733:163697300:1 gene:gene-LSAT_2X84420 transcript:rna-gnl|WGS:NBSK|LSAT_2X84420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCNDKVLFEHHGGTQQQNIGMEVVIYNGNSTFDSPSILTPGWIKQADEIERNNSKKSSMFNNDCPSFEARLNECADEGILQKGMKFLKNLMLLIVLLNCNAQRESMFDERVNEDVRYKEFEVMVSSAIEDLTTDSELKNVDLQKFFYRFLNDVYKKRVKTLMTRNVVVLKMKCQAYNRSDDGGIYLMRHMESFMGDQTSKWDCGLAVDLKTQDMYLQKLRYKYLVRLLLFDHNILKCLK >cds-PLY85377.1 pep primary_assembly:Lsat_Salinas_v7:5:243796789:243798212:-1 gene:gene-LSAT_5X119721 transcript:rna-gnl|WGS:NBSK|LSAT_5X119721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEMMKEKMMNRFHKHNSSSASYVDFKSGVGKSCLLLCFSDVSSLQLEPLNLMENGSNSKFRRQVVKNDSKPSQQLTIGVLWGFYWCMMLLTNHLSTNLPLNILSISRRNTGNPKNVLQVYHYKLLGNEFLVEMASRMA >cds-PLY98119.1 pep primary_assembly:Lsat_Salinas_v7:1:141182055:141185454:1 gene:gene-LSAT_1X102200 transcript:rna-gnl|WGS:NBSK|LSAT_1X102200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSEHSEGFSSSSTHGYTYDVFLSFRGVDTRHGFTNQLYKALMDAKITTFLDDEEIQIGEDLKPELESAIKASRASVIVLSMNYATSTWCLDELVLILEQHMTSNHIVFPLFYHVEPTNVRKQKCSFGDAMAMHRHRMEAETNANKRSKLAEKMEKWNKALTEVADLKGIDINGRKETEVIVEVVNDIYNRLRISSRSPVPQLIGMDNSINFVTSWLQDASLHTTNILTILGIGGIGKTSLAKYVYTLHCHEFHTSSFIEDIGKRCDAKASGLLDLQQQLCDDISKTTSILVNNASIYTSKIENVVSRKKVFLVLDDINSLDQLNALLGSKAFHPGSKIIITTKDAWLTESCALFKKNFKPNHLKHLLEGLDDTGSRKLLCFHAFMSYDPNPGYEELSDKLVKYCEGHPMALKGMGKALHNRDISYWEGCMEELKKENGARIHNVLRGSFDSLPSNDNKDLFKDIACFFVGMDKDVAITILNSFGIETRNGITNLIDRGLLSINQNNKLMMRQLIQEMGRYIVCEESPNKPWKRSRLWCDEESFKVLKQKKGKGNIRGLTLDMRMLEKEKLRGSVELKTDALSKMDRLMLLQLNYVQIRGSYENFPEELRWLCMHGFPLKSIPSDLPMENLVALDMSYSNIESFGVCYSNSQLLQKRQKLDGYCLKDKRVLGSLKILNLSFCEQLISLGGFDELPALERLIVTNCIGLREVCESIEQCVQLVLVDLSYCIKIEKLSRIVCVLKKVKTFLLEGCNLGES >cds-PLY87417.1 pep primary_assembly:Lsat_Salinas_v7:5:42115676:42116876:1 gene:gene-LSAT_5X19621 transcript:rna-gnl|WGS:NBSK|LSAT_5X19621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDHLISRVDQLSDAANLPTDTTEASGEKEPLIPSTECRICQEEDSIKNLEVPCACSGSLKFAHRKCVQRWCNEKRDIICEICHQPYKSGYSAPTPQSQDTVIDISGSWTIAGSPVDLNDPRVLPMAAENNYDEYEDNSTSAASFCRSAAIILMGLLLLRHALTIGYGGGDNDDDDVDDPSAFFALFVLRAAGFLLPCYIMIWAISILQHRRQSQEREALAAADVAFMIHSGQHRGLQVTIAPGPAMSPTPVIPVPNYP >cds-PLY81822.1 pep primary_assembly:Lsat_Salinas_v7:3:30574546:30575677:-1 gene:gene-LSAT_3X22720 transcript:rna-gnl|WGS:NBSK|LSAT_3X22720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKRKNDGKEDAASENLKSTRATRSSTRQASQGVAKVVIEAAPKTKKAKLSSKEKPDTKSKPSSKEKPQPKPKTTEEAAADLPSNGVNGSKTIVIEHCKQCTQFKIRAAKVKLGLETAVSGINVLVNPEKPRRGCFEIREEGGKQFISLLDMKRPFGPMKALDMDAVISDIVDQVK >cds-PLY78023.1 pep primary_assembly:Lsat_Salinas_v7:9:43995094:43999321:1 gene:gene-LSAT_9X40241 transcript:rna-gnl|WGS:NBSK|LSAT_9X40241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galacturonosyltransferase 7 [Source:Projected from Arabidopsis thaliana (AT2G38650) UniProtKB/Swiss-Prot;Acc:Q9ZVI7] MPGKRRWSWLVIAVLGLVLLSMLVPLAFLLGLHNGFHSVTNTGYANEQRSSASSKKDAAFASPITKEGLSSHVDGLLKNLEPSLPKEFRRHVKEGENKTGIHVPTQVLKPPPKRLDDEVDLSTEVIKTVKVIGESEKMCELKFGSYCLWRQQHREKMKDFLVKKMKDQLYVARAYYPTIAKLQNLNQFSLEMKQNIQEFERILSESSSDTELPPQVEKKLQKMETTITKAKSHTVDCNNVDKKLRQLVDLTEDEANFHMKQSAFLYQLAVQTTPKSLHCLSMRLTVEYFKTSPIDADVSELLLNPELLHYVIFSNNVLASSVVINSTVMHAKASKKQVFHVLTDKQSFFSMKMWFFTNNYKDATVEVLNIEDLNLQGHERSMWVPQELRVSFLSKSKTEYISVFSHLHYALPKIFSSLTKIVVLDDDIVVQRDLSALWGLDMGGKVIGGLQFCNVKLGALQGYFGKEKYNPNSCILMSGLNVIDLVRWRELDITKTYQSLLQQREREGSGVGIGGMLLSFEGLVVGIDERWVLSGLGHNYGISKEAISKAAVLHFNGNMKPWLELGIPGYKVYWRRFLNRENRFLSDCNVNP >cds-PLY94938.1 pep primary_assembly:Lsat_Salinas_v7:4:111646437:111647432:-1 gene:gene-LSAT_4X70021 transcript:rna-gnl|WGS:NBSK|LSAT_4X70021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSTSILKFPLYNSLRLTNLKPKLPSSLIFNPQKPKSLTLKTYQRTQIKSLFTGIVEELGKIKELGFDESGESFDMVIHSPTVLQDVNLGDSIAVNGTCLTVTEFDSDRLEFKAGLAPETLRKTSLIELVKGSVVNLERAVKPSTRMGGHFVQGHVDGTGEIVSMEVEGDSLWIKVKTSPEILKFIVPKGFIAVDGTSLTVVEVFDEDGCFNFMMVAYTQQNVVIPLKKIGQKVNLEVDVLGKYVEKLLSSGFVESIKSR >cds-PLY79796.1 pep primary_assembly:Lsat_Salinas_v7:8:275823893:275826844:-1 gene:gene-LSAT_8X157740 transcript:rna-gnl|WGS:NBSK|LSAT_8X157740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNQRGLGLGFIFVLAFLFSTTFTCLGDGNASVICSEKERLALLKFKESVEDPCGMLSSWVGNDCCLWEGIHCDRTVEGLDLRGYSGCFLDSNEVNPSLAELSHLKHLDLSANNFHKSRIPKFIGSLKQLSYLNLSYADFQGIIPPHIGNLSNLKVLDISSYEDGLMVDDMAWTSGLSSLGHLELNSVHLGGAQKTDTLFYMIPSLKVLSLSWCSLSNADFGPLLNSSRILPHIIRLDLGFNYFEGPLPVFFQNMSSLSFLDLSSSNLSLAWNLANLLSMIPSLSELHLSGCGLDNIHLSSPHLNFSRLSNIQHLVLSENSIEGAFPSVLINMSSLRVLDLSQNMLSSLAPLMPNLLDLDLSYNQLIGPIPTFHMNLSKLDLSFNQLKGSFPESIGNLTALTYLNLDSNRLTGPIPASLGRLVSLQSVRVSSNLLNGSIPVSIGRLSKLQYLDFSNNSLEGVVSEAHFANLSMLKYLDASSNTKLTFNVSCDWMPPFQLVVLDLSSCNIVNGFPQWLRNQRKLGWVALSKASISGQLPTWLRKMPIIPRIDLSHNKLNGTLMNLPNGETYGRYIILPVLLLENNLFSGMIPRSLCRRTDLEYLDLSRNRLTGGIPNCLENLQKLYTMILSSNRLSGVIPSSIALNSLYWLKLNDNYFVGELPRELGNLHNLSILDVGDNKLSGNIPEWIGVKLTSLVVLRLHKNNFTGRIPKSLCKAANLQTLDVAHNNLKGPIPPCLGELSAMINNSGSGEVGYYLGNDGIIEEVMKGFWGVIGVLLFKKQWRQKLFMFAEETMDKIYVAVVVRVVKITRGREVA >cds-PLY73005.1 pep primary_assembly:Lsat_Salinas_v7:9:36926553:36938346:-1 gene:gene-LSAT_9X34781 transcript:rna-gnl|WGS:NBSK|LSAT_9X34781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSILQSWPEPVVRVQSVSDSGINSIPECYVKPVYDRPYAHDLRSTEANIPVIDPANIDTSNPTLRQTTLNLISDACCQWGFFQVINHGVSHHLMAATRNIWREFFQLPLDVKQEYANSPATYEGYDSRLGVEKGAKLDWSDYFFLHCHPTSVRNERKWAAQPASCRKMVAEYNDEVVKLCRQLMKVFSLNLGLEEDYLQNAFGGDEISASLRVNFYPNCPQPDKYLTLGISPHSDPGGITILLPDDHVYGLQVRKDDAWVTVKPIPNAFIVNLADQLQVTKKTQKNHNYLTIAGNPSYAIPEITAMVAGAAKPVSQQNPENEMKIEDKISISQQNFVSVYLHLNSGTSMAKYTTLSSS >cds-PLY71141.1 pep primary_assembly:Lsat_Salinas_v7:9:82893298:82894661:1 gene:gene-LSAT_9X65621 transcript:rna-gnl|WGS:NBSK|LSAT_9X65621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWSHFFTSRLLILLTFFQIFVPVYPIGVNYGTTADNLPPPKEVAQFLRENTIIDRIKIFDMNTEIIKAFANTGIYVTVTVPNGDIPSLKDNAKAEQWVKEKIKPYYPDTKIRYIAVGNEILHWGTPDIIDSLVPAMVTIHKALLKAGMSDIKVTTPHSLGIMVSSDPPSSGAFRPGWDVGIIKPMLQFLQESNSAFMVNPYPYFGYSPGNDSYCLFRPTPGFVDKVTGKKYDNMFDSLMDAIYSAMKKLGFADVPIVVGETGWPSLGEPWLTWVNADNAKSYNDGMIKKSTSKVGTPLMPGKNFEIYIFALFNENQKPGSLAERNFGLFRPDLTEVYDIGVLNGTTPKRRSHDGPAASPSELVGGGSPSGGSGGVSGGSDSGESQSKADDKTPYGSSSGHTYDPPALITTVVICGVVALYGLHTIFF >cds-PLY64993.1 pep primary_assembly:Lsat_Salinas_v7:4:208198507:208206459:1 gene:gene-LSAT_4X117200 transcript:rna-gnl|WGS:NBSK|LSAT_4X117200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSIVRNSLPGFPGCDDRNSWDTNLEVSDEEGTSGTWKKNKENASSKIIQYSRERKSRNKTIYLDSLTIGEAQDPHEVKAVDGLRQALIKDNLLPEILDDFHMMLRYLVAKNFNIEGAKNTWISMLQWRKDFGADNILEDFKFSELDEVLRYFHQGYHGIDKEGRPVYIEILGQADPKKLMKVTTVERYVKYYVQEYERTLAIRFPACSIAAGRRVSSSTTIIDVQGVGLWNFTKPVIELIRRLQQINNNYPDTLSQMFIINAGSGFKMLWNMIQSFLEPKAKSKIHVLGTNFLSTLLEVIDASELPEFLGGNCNCVEKGGCMRSDKGPWRDPHITKAISSGQTKDCISRLESTREGIIHDGARCSSSEDAFVNRSMTSKSTTLVSDHLAPVECKH >cds-PLY98655.1 pep primary_assembly:Lsat_Salinas_v7:1:36572319:36576350:1 gene:gene-LSAT_1X30920 transcript:rna-gnl|WGS:NBSK|LSAT_1X30920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLKERLLPPKPASAINLRDASYRPSASGRQPFQGVDVLGLKKRGQGLRSWIRVDAATGDSQVIEVDKFTIMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLKAAGGGEVWQSEGAELNRRMGNSNRSLGDMFGNTSPDYLPFEFRALEVALEAACTFLDTQVRDEIEQLMDDDGDMAEMYLTEKKRRMDSLFSVGDQSVIGYRSNDGTQSISAPVSPVSSPPESRRLEKTVSLARSLARSSMRSSESGTENIEELEMLLEAYFVVIDSSLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFMIPLFDNPSAFTWVLSITGVTGIIIFCSFLWFFSGITECVQYSMMSISRLIIYLLLIAAAATTVSATDHIVGANRGWNPGINYTLWANNHTFYVGDFISFRYQKTQYNVIEVNKTGYDNCTLDGAIGNWSSGKDFILLNESQRYYFICGTGGCFNGMKVTIRVLPLPSPPSSTVAASNHSSASTAVCRSIFGVFFMVLASLF >cds-PLY99504.1 pep primary_assembly:Lsat_Salinas_v7:4:57523137:57524910:1 gene:gene-LSAT_4X38580 transcript:rna-gnl|WGS:NBSK|LSAT_4X38580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADNEDPKAAKDERKSILPPITEISRWQWWCLVFLNISFLLIGQGTAILLLRFYYEHGGRSKWTATLAQNAGFPILFIPFILFPKMKEPSVNSPLIVVLSVYFGLGLLIAGDHLLYSVGLEYLSASTYSLICATKLAFSAVFSILINSQKFTVLIMNSIVVLLLSACLVGVSDYSPSPPDVTQTKYTLGFISTIAASALYALLLSVTQLSFQKFIKKETFAVVLELQIHTSIVASCVSLVGLFASGEWRLLRSEIASFHEGSLSYAMTLIWTAVAWQICSVGVVGLIFIVSSLFSNVISTLSLSLSPLAAAIVYDYTMNGAKTIAVLLGIWGFFTYVYQHCLDEFEFKVKKKPVIRKCSQCAC >cds-PLY73678.1 pep primary_assembly:Lsat_Salinas_v7:5:207411719:207412369:-1 gene:gene-LSAT_5X96540 transcript:rna-gnl|WGS:NBSK|LSAT_5X96540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIQVGRARKKYNVSYLALYATEADTKGYKLFNYCLIIERTSEFAGISDDILCFDGFKHPLICSALGLAYTVTRFFYSKGYSSGDPKGCLPIGYIKFLVRNDNGSGIYIHFGYNILFAEDSTVLKKQR >cds-PLY93794.1 pep primary_assembly:Lsat_Salinas_v7:6:146057870:146058646:1 gene:gene-LSAT_6X89321 transcript:rna-gnl|WGS:NBSK|LSAT_6X89321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERKTIYVCVFVGILGIAAAVAGFAAEATRVKVTVVNNGIEIYCEYPSSPAMGFAIGATVALVLARTIIASASGGCCSCCRTIPDLAKLARVCIVISWITSSVAVILFIAGAKLSTQKEVAMDVNGVFYCGTIRPGIFSGAGIMGLVGVLLSIVYYLFYVSALSGSVKTSTVELEAPPITDVKKPPVVSRKH >cds-PLY90727.1 pep primary_assembly:Lsat_Salinas_v7:1:524960:525806:-1 gene:gene-LSAT_1X1241 transcript:rna-gnl|WGS:NBSK|LSAT_1X1241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQSKDPFEVAFEELDESLVDSPDSHDDIVAQTLSSKPNINSQRDDLENSIHPLNQPKSRVRLPQLQQPSQPIRRHKEDDDEEEEENMDVELGKFPSTGDPDKMAKMQSILSQFTEEQMSRYESFRKSGFQKSNMKRLLASITGSAKISMSMTIVVSRIAKIFVGELVETGCV >cds-PLY69107.1 pep primary_assembly:Lsat_Salinas_v7:8:119315997:119318309:1 gene:gene-LSAT_8X83220 transcript:rna-gnl|WGS:NBSK|LSAT_8X83220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIASASSSSLPQNSQSCALSKKVSSVHALPPSYRSLMTTDDSRILDFYVDDFDVDTDGKRFIWQVRGYDYCSKRLWIPSTAIKTVGSRLLASTLVQERSHLSNGSMDDHNRGPGQMMDGTQINIDVTALGAIIALGLMYLKTAGHLDFEKLTGGHMEVEKLTVATVAAAGHLEVEKMTVA >cds-PLY62305.1 pep primary_assembly:Lsat_Salinas_v7:5:166362841:166364722:-1 gene:gene-LSAT_5X75780 transcript:rna-gnl|WGS:NBSK|LSAT_5X75780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMESADWADLFIVSLWQHKWYATEADKRVSEIQGLLELSVQRSSCERDHYKDRLFVYMKEQGSTSLPGIQSFNVLGLGYRVDWPVSIVLTPAALKIYAQIFSFLIQVKLALSSLTEIWCSFKEFMHFTNKNRHSDVQKSHFNIMVKLRHQIFHFVSTLQQYVQSQLSHVSWCRFLESLKHKVKDLTDLDIVHMDYLNDSQCICFLSDDMKIIAEIIQSILQCALDFRSFVVPNKSAVNIAQVVSIKEAFEKNMRQLYACYIKSPKNVEFSLPRFWEYLNYNHHFSDVINKEMGHHILSF >cds-PLY90205.1 pep primary_assembly:Lsat_Salinas_v7:9:202316154:202321722:-1 gene:gene-LSAT_9X125320 transcript:rna-gnl|WGS:NBSK|LSAT_9X125320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:EMBRYO DEFECTIVE 140 [Source:Projected from Arabidopsis thaliana (AT4G24270) UniProtKB/TrEMBL;Acc:F4JQ75] MDETLVTSSEPPPSDGDPMDVVTEPHNPNDNQSASSSDSDSESDDEAQAALELQTLETELSTNPANYDAHVQYIKALRKQGDIDKLRLAREAMSELFPLTPAMWQQWANDEISLTLTSGNEGAIPTVEKLYERGVSDYLSVSLWYDYLSFIQKYDSSVRECSPSGISKARDLFERALTACGLHVTEGCKIWEAYRSYEEMILDGMDKTDSELREKQVQRIRSIYHRQLSITHSDLKSTLLTYKTWESKHGNNLDVSSSNTDGLSPQVASTYQKALEMLTLRADFEEKIVKSDETDTERLQSFMAYLKFEQSSGDPIRFKSIYEPRVKSLYERAITEFPISSDLWIDYTNYLNKTLKADKTLRDIYDRATRNCPWVGELWVRYMLYLERCHGSEKELSDVFERSLQCTFSTIDEYVDIFLTRVDGLRRRITFAKELDNGLDFVLIRDTFQRASDYLSPQLKNSNTYSLLQIHSYWARLESSIGKDITAARGVWESLLKNSGSMIEAWKGYISMETEMAHIKEARSLYKRCYTMRFPGTGSEDICKSWILFEREFGSLEDFDHASQKVTPRLQELQLYKLQQETDEVEDPPTRNRREKRKAAASAAASNEHPPAKRQKDKANKVEKSVTQKDKDMAHGENEPKIKNPTPEKPKLYTDKCTAFANEEELRGFFSDVGGAGEIRILKDKLTKKSRGLAYVDFCDDAHLEAAVAKNKQTFLGKKLTIVRSDPKGKRKVSFGDDRNSTKQGRGGDDTNSGEHGHKKVELKGKNTFAVPRNVRPLGWSFGNEPPSAATSTTEGGDEKPKSNQELRDMLLNKK >cds-PLY67871.1 pep primary_assembly:Lsat_Salinas_v7:5:11804564:11805458:-1 gene:gene-LSAT_5X5360 transcript:rna-gnl|WGS:NBSK|LSAT_5X5360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWMFQETEAKTEDDDHLDLFADETEEEKKATKARDQAKALKKKERGVELEGLHWGESKHVLIGYGIKKMTIMLTIADYLVSVDDMIEDRLTAKSINEYVQSCDIVAFNKI >cds-PLY88245.1 pep primary_assembly:Lsat_Salinas_v7:4:154798217:154801578:-1 gene:gene-LSAT_4X93800 transcript:rna-gnl|WGS:NBSK|LSAT_4X93800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTPRKMWTLAEESALLAGVAKHGAGKWKTILTDEEFAPRLVNRSNVDLKDKWRNLSYHVPSSAWPAPESSSDNNYKESEPWYHDMILQALSSVENPDGHGIDINSIHSYIEQKSDKKLPEVFSIYLTTKLRRMATQGKIERNGNLYKIKHDVPVDHENNTDEQQEVNNNSEETEEDLASYAAYQVAVAEDAQRKAIEACKEVDRLQNLVEESMAMLKFAEEAYEIVLRDGFVLLRCDSYSVHESRSVSSNDDIPKED >cds-PLY67196.1 pep primary_assembly:Lsat_Salinas_v7:6:136725591:136727788:-1 gene:gene-LSAT_6X82361 transcript:rna-gnl|WGS:NBSK|LSAT_6X82361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDVADKLSYFQAITGLQDIDLCKEILSAHGWDLEQAISSFTTSNSDDNNPSTTSSVANVVGTSDDAIDRYERLAMVNNSGAGPPGLAWKIITLPISIISGSLGLVSGAIGLGLWVVGGVLSYSLGVIGLNSSRRNVESSSAPLVSAMAAASEAMRFVSIFERAYGDRHPNFVPDSFMDALQRSRREFKLMFVYLHSPDHPDTTSFCEETLCSEVLSAFINENFVAWGGSIRASEGFKMSNSLKASRFPFCAVVMAATNQRIALLQQVEGPKSPEEMLTMLQRVLEESSPVLIAARLDAEERRNTIRLREEQDAAYQAALEADQARERQKKEEEERVAREVAEAERREKEEEKARERAACEAAEREAELVKLREAKGLALGAEPEKGPDVTQVLVRLPNGERKGRRFHCSAKVQCLYDFIDSCSDSLEIGNYSLATNFPRVLYGPEKLSLTLKEAGLHPQVSLYVELNS >cds-PLY93423.1 pep primary_assembly:Lsat_Salinas_v7:9:58148934:58149994:-1 gene:gene-LSAT_9X51261 transcript:rna-gnl|WGS:NBSK|LSAT_9X51261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNTKTQIKKTQQHSGELIGSNDDLLIEILLRLPVTSVLRCKSVSKHWHSLLSDQRFTLLYKNGLISPGLFFQDLYVPFDDENNSPPPFRNLDFYPDHRDCPRYKVVCIRYIKRDEDRLQIQIYSSETGKWKISEQFFSAPYHTDFREGVYLHHAIHWVPSNGNPCYFKLDTEELKFLPSVVGVNGPIYFGESRGHLHLVSRKWADRGERHLQLNVYEMLNDYSGWFLKYRVELDELLNAYPQMIQTIKVIGRDQDPSIPQLYNYSVLDVVRGEEDDETFMAIFIPGKIIKYSVYDKSFK >cds-PLY75484.1 pep primary_assembly:Lsat_Salinas_v7:7:75257025:75260745:-1 gene:gene-LSAT_7X54281 transcript:rna-gnl|WGS:NBSK|LSAT_7X54281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITTTATATATATAVAIAAAAPSRPSRSVFLGVDVGTGSARAGLFDKGGKLLGSASSPIQIWKEGDCVEQSSTDIWLAICTAVKRALSLADVAGEEVIGLGFAATCSLVAVDSEGQPVSVSLSGDTRRNVIVWMDHRAVKQAEKINSLNSPVLQYCGGSISPEMQPPKLLWVKGNLQESWSMTWRWMDLSDWLSYRATGDDTRSMCTTVCKWTYLGHAHMQHIDEKSSRDMEMEACGWDDDFWEEIGLHDLVEGHHSKIGRSVAFPGHALGCGLTPDAAKARYFELGLVSGIPVGTSLIDAHAGGIGVMESVPESEAKEIIESDDDGICRRMVLVCGTSTCHMAISKTKLFIPGVWGPFWSAMVPEYWLTEGGQSATGALLDYIVDNHVASSHLANRAASQNISLFQMLNDLLAQLMRDTGAPFIAALTSDLHVLPDFHGNRSPIADPNAKGMICGLNLDTSERQLALQYFATVQGLAYGTRHIIDHCNNHGHKIDTLLASGGLSKNLLFIQEHADIVGCRIILPRESESVLLGAAIVGAVAAKKYSSLRGAMKALNAAGQVIYPSEDPKVKKYHDAKYRIFRQLYEQQLTYRSIMAEALC >cds-PLY78279.1 pep primary_assembly:Lsat_Salinas_v7:1:130604548:130614423:-1 gene:gene-LSAT_1X97741 transcript:rna-gnl|WGS:NBSK|LSAT_1X97741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSHSWCSSLNFPRSGLLNPLIRSPQAVTNSFPLSPHFSSRFYFKGKLSTLKFQKYAYSSTRHRLLVVATMDWDMSSFSLDDRGDSDGSIGYSFSSSEGEESDGDVILNPINDVDIPTSSEKFRQPDDALTISAQKLARMGRSRRRNRIKYGIFINIGLITFLTILLLLLDSHAWRIVKLPLPPFHLICPFIASTFLVSCAGYICVPLFRLSKMQQIINKWPARHSSKKGTATMGGLFLIPIGVIVAEVLVGFSSIEVSGVSIATVAFATIGLADDFLSLVKRRKDGLSPWIRILLEVAVGTWFYFWLCSRNISSPYSIKMVVPLPLPLGLVCMGGSYLVLTSFCFVSMANAVELTDGLDGLAGGTAALSFIGMSIAVLPICSDLAVFGASMAGACVGFLFHNRYKASVFMGDTGTLALGGALAAMAACTGMFFPLLISSGIIILEALSVILQFHQLQVVGRALPSEADEHPKIYRMKLWASYFLRKLKKVKKSNGQMLAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMFKEYRDTTLNGSIEQMYTEMASRHRVRHHCIQVIKTATIPAKLCKRDSTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKASRPNLFV >cds-PLY96191.1 pep primary_assembly:Lsat_Salinas_v7:8:275230577:275233549:-1 gene:gene-LSAT_8X157441 transcript:rna-gnl|WGS:NBSK|LSAT_8X157441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNHLIFVCVLLFAGGTYTCLGNENTSLICSEQERLALLKLKDSVEDDSGMLSSWVGNDCCLWEGIDCDGVTGSVKSLHLRGCRGSEDVLELGCYLSGNEVDSSLSELRHLKHLDLSGNDFQGSRIPKFIGYFKHLTYLNLSHAGFDGIIPPHIGNLSNLNVLDLHSDSYEPMVDDMTWISGLLSLEHLDLSWVNLCGAQNTDMLFYMIPSLKELSLSGCGLSNVDLGPFLNLSRILPNIKHLDLGFNSFQGPLPGILQNMSSLTFLDLSYFNLSLAWNFGNLLSMIPSLSELHLSHCGLHKTHLSSLGLNLSTLSNIQHLDLSLNSVEGTFPSVFTKMTSLRVLDLSQNMLNSLLPTMPNYLHLDLSGNQLTGSISTFLGNLSKLDLSFNQLNGSIPESFGNLAALTYLDLSFNRLTGPIPSSLGRLVSLQTVSLRSNLLNNTIPVSIGQLTKLHSLDFSNNSFEGVVTEAHFANLSMLKVLDISSNTKLTFNVSREWIPPFQLVSLDLGSCTIGTEFPQWLRSQRKLRRLVLSNATISGALPRWFWKMPIIPELDLSHNKLIGPLTNLPNGETFRGYASIQVLFLENNFFNESIPRSLCRRTDLRYLDLSRNRLTGKIPRCLENPHLLNTMILSSNQISGVIPSFIARNSLRRLKLNGNKLSGELPRELRNLQYLKILDVGDNKFSGNIPEFLGTNLTYLVVLRLHKNNFTGRIPVTLCKASNLQILDVAHNNLKGPIPSCLGELNAMVNESRSRYAADPSFDNDENVNQVMKGVILEYTKTVYMVYNMDLSSNQLVGEIPVELTALSMLVGLNLSNNHLKGYIPDNIGSMMKLESLDLSGNELTGVIPPSMADLTFLSRLNLSHNNLSGRIPTGSQLQTLTDPSIYEGNKDLCGPPLLNNCTNPGEDPSTATNEKKHKAADEQSKVWLFYMDIMSGFATGFWGVIGLLFFKKHWRQKLFIFAEETMENIYVAVVLRVAKIKRGREHA >cds-PLY68398.1 pep primary_assembly:Lsat_Salinas_v7:8:23174854:23175156:1 gene:gene-LSAT_8X18301 transcript:rna-gnl|WGS:NBSK|LSAT_8X18301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDSRWNSRWNLETTELQSWRKIEKRIVRSGLHSPLLERAKSQINFKISGSPPKKEIENEKGQFPANRASPKNKPWARKTVIFPNLLFRSTPTSQNQQFC >cds-PLY96240.1 pep primary_assembly:Lsat_Salinas_v7:7:182641161:182644221:1 gene:gene-LSAT_7X108321 transcript:rna-gnl|WGS:NBSK|LSAT_7X108321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDALTSAVIEGAKSIFKLSLSGIKTISKYEENICELQEEISYLRNKKILIEEEVSIAIMDGKTPKQQVTEWLRKVSRAEDAVRPLLQMLQTPDQHIDGRSFKMLQQYQQSRRVANKLEHVKELNSTYFETVAPEKSSPIKAMEEMQVSSLFGQQAASDMMKLLEILNSDDNKRIGVWGKGGIGKTTSVKNLINHLCNSSPNSFNIVIWVQVSRDLNLSMIQTQIAERLHLKVEAGDTTHSLANRILRRLKMRVEATRRKILLVLDDVWEKIDLDAVGIPSRDSCCKILLTTRSFDVCRDMSVDAPFQLNLMSEDDAWNLFVQSAGSVLYLDGIQSPARKIVASCRGLPLAIKTLGKSLRDTPQTAIWRNTYLRWRCSSPLFKNIEKEVFRPLALSYHSLPSKILQQCFLFCSLYPASFSIDVVELIQCWVSDGLIHENQTVEEAFNYGVALIEHLKDSCLLDQDGGQGTVKMHDVFRDLAILLSQNEELFGFHCQSSLPFNQMPKESSRRVSLIGCKIYRLQEYPVYSNLTVLFLQGNPIKIIPDDYFLNLKSLRVLNLSKTEITSLPSSFLCLVELRSLYLRGCFSLTKLPSLEPLCKLIVLDLSSTPIRELPEGLGSLCRLRELNLSYTRLLKKIASGSISGLSSLETLDMSFSAYNWNPKMSSCHQNATFDELLSLDRLSVLKIRLDSVDSLASASSWIKKLRKFDIQISPNDSNFDAQSNEKRLVLRGVDLLQEHLQSLLHNTTSLSLLTCEGMAQRHWLSLSSLMSLTISNCSGIRILISQEKSSHAMFPNLQHLVLDHLKNLETIVEGILSRGICLSNLKTIQVLDCPKLKGTISYAMLRHVKKLEEIKVSGCENMCRVIESGGQKKKNLPVLRVIEVKNMVKLRTICDGMWVCPVLQQIEVSHCFELKKLPISVGDSCSLKEIRGDVKWWNNLIWENHDDKSFFLKHFQAYSREDCLKRQKYK >cds-PLY65784.1 pep primary_assembly:Lsat_Salinas_v7:5:271391151:271392033:-1 gene:gene-LSAT_5X141941 transcript:rna-gnl|WGS:NBSK|LSAT_5X141941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTCVPRSKQTNKLDESNRNGDTNSSKQTAKTLASQIKDMALKASGAYRGCSPCTGPATMMTPQQLLQKTNDAESDASASVSDKFRQSYRRTGSKNSSSGRVWGKEMEARLKGISMGSGGGGEAVSGTLSASASGRRPEPIVLVDEIEPKEWVAQVEPGVLITFISLPRGGNDLKRIRFR >cds-PLY64794.1 pep primary_assembly:Lsat_Salinas_v7:2:101304917:101306953:-1 gene:gene-LSAT_2X44281 transcript:rna-gnl|WGS:NBSK|LSAT_2X44281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTCGMPKGFDSAAYSDAFWERLLRSDYRELIARAVSPLDFGSKNKLYFSLSYTHILLDRGYLSFQLDKESGKKCYMLGAKELSITWQHDTQYWEWTHIPESRFPEVCILKQVYWLSIHGRIVAGMLSQNNTYDAYLVFGTTKDSRGVSVPAKTRLSFGGSEMETENVYLQRPHRVQENYVFPHKRKDGWMEIKLGEFDYNEGDNGKVEMAYEEIKLGHWKKGLIVEGIELRPK >cds-PLY74151.1 pep primary_assembly:Lsat_Salinas_v7:9:12915421:12918571:-1 gene:gene-LSAT_9X10741 transcript:rna-gnl|WGS:NBSK|LSAT_9X10741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRGLVNKLASESLKVAGKWQQQQLRRLNIHEYQGAELMGKFGINVPKGVAVSSVEEVRKAIQTTFPNEKELVVKSQILAGGRGLGKFTSGLQGGVHIVKAEQAEEIAGKMLGQTLVTKQTGPQGKVVSKVYLCEKMSLVNEMYFAITLDRTTAGPLIIACREGGTSIEDLAEKFPDMIIKVPIDVFKGITDEDAAKVVDGLAPKVADRSASIEQVKKLYNLFRESDCTQLEINPIAETSDNMLVAADAKLNFDDNAAYRQKEIFALRDPTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASEGQVVEAFKILTSDEKVKAILVNIFGGIMKCDVIASGIVNAAKHVALKVPVVVRLEGTNVDQGKRILKESGMALITAEDLDDAAEKAVKALKS >cds-PLY84867.1 pep primary_assembly:Lsat_Salinas_v7:4:293942592:293944439:1 gene:gene-LSAT_4X151180 transcript:rna-gnl|WGS:NBSK|LSAT_4X151180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSIKAMSSRSKRRSNGLMSSSNVDLGNESNKDIQVVEINCPVTTRLFDIGLTSPVLKTNPFKWMGMGPTIKISLPSFSGHTEHKPELLKYYCEIECRLKPTSPARVLGLLDGEMKESLEKRDVSISIMFSKPILALEFNCLKMKVEPPVVVSKGSDHPSQHGLQSYHS >cds-PLY74739.1 pep primary_assembly:Lsat_Salinas_v7:6:121497813:121499196:1 gene:gene-LSAT_6X73880 transcript:rna-gnl|WGS:NBSK|LSAT_6X73880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISVKGVSIYDCTQEKVRVLIPLLEAGLRLPTSDFFNMILDHYGLPVDELTPSAVNKIIGDEAEISLEMAMHGHYRVCWIALGGRGNVGSSGPASPSRPDVGVVSPVRSPFSSPFSLSVRVEAGRSNAPIRKRRSLHVVSSLDEEIKSDDAGLRPRKARRIVYVARLLGNIEGILGDPFSVTEQKEIVVVPSSLEASPLLFTSSPLVNLGSDSVSGCAPSSHGGSFQREKPSMVDETRTLSHSLSFKAYALGWAIARDFLLSEDTTAPE >cds-PLY80980.1 pep primary_assembly:Lsat_Salinas_v7:9:177240320:177243234:-1 gene:gene-LSAT_9X109300 transcript:rna-gnl|WGS:NBSK|LSAT_9X109300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLQLTPLSTCTDLSLPVIRVFRCRKTLSVRCSAGEPSSSSSPSISVGSDFDAKVFRHNLTRSENYNRKGFGHKKETLELMSQEYTSDIIKTLKENNYEYTWGNVTVKLAEAYGFCWGVERAVQIAYEARKQFPDEKIWITNEIIHNPTVNKRLEEMEVKDIPIEEGEKQFDVVDKGDVVILPAFGAAVDEMLTLSNKQVQIVDTTCPWVSKVWNTVEKHKKGDYTSIIHGKYTHEETVATASFAGKYIIVKNMDEATYVCDYILGGELNGSSSTKEAFLEKFKFAVSKGFDPDKDLVKAGVANQTTMLKGETEEIGRLVERTMMQKFGVENINSHFLSFNTICDATQERQDAMYKLVDEKLDLMLVVGGWNSSNTSHLQEIAEERGIPSYWIDSEQRVGPGNHIAYKLMHGELVEKENWLPKGHVTIGVTSGASTPDKVVEDVLLRVFEIKRQEALQLA >cds-PLY96174.1 pep primary_assembly:Lsat_Salinas_v7:8:101372114:101377295:1 gene:gene-LSAT_8X69161 transcript:rna-gnl|WGS:NBSK|LSAT_8X69161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENHGDNRSYWESLLQTEDYNSWGGLEETLSSYYDSSSPDGSQSATASKNIVSERNRRKKLNDRLFALRAVVPKISKMDKASIIKDAIEYIQILHDQERTIQAELIQLEAEKLESENLDFGQEAGFMPTERSNKKRLVQALDPSGSRSYPIEVLELSVSSVGEKTVLVNLKCSKRRDTIVKLCQVFESLKLKIVTANMSVFSEMLFNTLFIQADEEETDFLKIQIETAISALNGSQSPMSM >cds-PLY83879.1 pep primary_assembly:Lsat_Salinas_v7:3:52485354:52490337:-1 gene:gene-LSAT_3X39301 transcript:rna-gnl|WGS:NBSK|LSAT_3X39301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQTCSYREVSRENLHRPYNLQAVSSTCKLQTFNPWFNLISVQRFYGKPDKFPNRVYLGFNFKLQIRSKTECSTTSTVSTIRNTVGNYSSNHKKNKKRYGGLLPSILKSLDSDSEDDVDKTLNLYYGKLNPKEQTVILKEQRSWERVIRVFEWMKSQPEYSPNVIHYNVVLRALGRARKWDELRRCWIDMADKGVFPTNNTYGMLVDVYGKAGLVKEALLWIKHMKLRGIFPDEVTMNTVVRVLKDGGEYDRADRFYKDWCVGIVELNDLEFDSCSEPISLTQFLLTELFRTGGRDHTKSMITENSVQKPRLTATYNTLIDLYGKAGRLKDAGDVFAEMLQSGIAMDTITFNTMIFTCGSHGNLSEAESLLYKMEERGISPDTKTYNIFLSLYAAMGDIDEALKCYRKIREVGLFPDVVTHRAVLQILCERRMTDEVENVIKEIEKSGLYIDNHSVPILIKMYVEEGLIDCAKFIFEKCHKKGGLSSKTYAAIIDAYAEKGLWTEAEYVFNCERDLIDIIEYNVMIKAYGIAKLHDRAFSLFKGMKSQGIWPDECTYNSLIQMFAGGDLVNEAHNLLHEMQESGFKPSCLTFSGIISCYSRLTMVNELDEIYHEMVKSGVKPNEIVFGSLINGFAETGNLEDALRYYKIMQESGFSPNQVILTSLIKAYSKTGIVEGARTIYKKMKELPGGPDVVASNSMINLYADFGMVSEAILIFDDLKKTHKADAVSFSTMIYVYKNMGMLDEAIEVVENMKDSGLLTDCASFNKAMACYATTGRLLECGEMLREMVVTRKLLPTAGTFKVLFTVLKKGGIPAEGVEQLEAAYREGKRYSGEAVVALVFSVVGLSLSSLSLEFFESFEMGLDVFVYNVGIYVYGGLGRVDEALKVFMKMQDEGLGADVVTYIYLVGCYGKAGMVEGVKRVYSKLKYGEIDPNESLFKAVIEAYKVVNRHDLAELVDQEMRLTFQTESLPDSGSEDEVGEASVSLSV >cds-PLY87280.1 pep primary_assembly:Lsat_Salinas_v7:2:170469598:170482745:-1 gene:gene-LSAT_2X94261 transcript:rna-gnl|WGS:NBSK|LSAT_2X94261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRLHEIKMNIVASDNADMNFQMNFIALLINSLIESSSCGKANTYPLNYVMKNTNIRNIDWCSYLLNCLVKTKRSVDSSNPTSNFVGPSAFLVDELGVFGTGDFNDEYVDEELNEETVNNDQGFDDMNLHDEGVENEKGGDNEEEQNVEGKDIKMDFSSYRHAFRGSSSAELRGKTSSPRNGS >cds-PLY83141.1 pep primary_assembly:Lsat_Salinas_v7:3:95219757:95220883:1 gene:gene-LSAT_3X71241 transcript:rna-gnl|WGS:NBSK|LSAT_3X71241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTLTLDKNIIKKGAWTKEEDEKLRAYIQRYGHWNWCLLPKFAGLSRSGKSCRLRWMNYLRPNIKHGNITKEEDEIIVRLHKQLGNKWTAIAAQLTGRSDNEVKNRWNSHLKKRVQDDETHVLENINHDETIKPDEAPSCSSGTDNSSDYHIPSDVTPQTYDYELTGDFWTDPFLLDITSAVENTTTWGLEHNFGSQSSWGDMTMSEELSWSALGSYFEYNNY >cds-PLY66671.1 pep primary_assembly:Lsat_Salinas_v7:1:54050018:54054048:-1 gene:gene-LSAT_1X46861 transcript:rna-gnl|WGS:NBSK|LSAT_1X46861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHGFLHYPCSKQTTRLIWVFGISLVVVLTLQYSELPIFNSISLLLSGAKASFVRESYFSNANNTSFMQQDLKDSYSGVNGSSPKNSSEEREKNTQNGDLKKPKMETLPVMSISQMNEELKKSRSSLYSVTSQVSSLVDQQLLEAKSQIENAAFTNNDSGLYAPIYRNISTFKRSYEIMNRMLKVYIYKDGEKPIFHDWILEGIYASEGWFLKLMEENKQFVTEDPNEAHLFYVPFSSRLLELTLYVPHSHSRENLIQFMKNHTEMLISKYPFWNRTNGSDHFLTGCHDWAPAETRGRLLNTIRALCNADIRTGFKIGKDVSLPTTYVRSSKNPLKDIGGNPPTQRPILAFFAGHMHGYVRPVLLNHWGNDTDMRIFARMPHVKGNKNYIDHMKSSKYCICARGFAVHSPRVVESLIYDCVPVIISDNYVPPFFEVLNWESFAVFVLEKDIVNLKKVLLSISEEKYVEMYERVKKVKEQFFWHSEPVKYDLFHMILHSVWYNRVLRMKGI >cds-PLY68090.1 pep primary_assembly:Lsat_Salinas_v7:5:293090988:293099585:1 gene:gene-LSAT_5X154920 transcript:rna-gnl|WGS:NBSK|LSAT_5X154920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPQPPSFLFVCFLDQTSSQAATPPPKCHNILCCWRRSEKSGLGAKPRTLPLSVAVKTSEFVSLLHLAPPNVRGLISSEPSANASIARFDGGAGLVRSYLTSICAKGQIIKPKIDASEVQFFAKNLGLRRLFCSEAPKRKNYENYYPKGKREVPKGEGQKSGSKGEDSSKSDNRENLMKQYQSLISPLMFFAFVLSSIFLTPQEQKQISFQEFKNKLLEPGLVDHIVVTNKSVAKVYVKTSLPNTIQSSEEGVQGPNSSDVGPRGNVSHYKYYFNIGSVDTFEEKLEEAQEALGIDPHNYIPVTYSSETNWIQELIRLTPTLLLLGTLYFMGKRMQINVGSGGKGARGIFNIGKAQVTKLDKNSKNKVYFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESDVPFLSISGSDFMEMFVGVGPARVRSLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGGNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDIKGREQIFKIYLCKLKLDEDPEKYSKRLAALTPGFAGADIANVCNEAALIAARCESGVITMEHFEAAIDRVIGGLEKKNRVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDLTCMTLGGRAAEQVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQNDNAFEVTKPYSSKTAAIIDNEVREWVAKAYSRTLQLIEEHKEQVAQLAEQLLEKEVLHQEDLVHILGQRPFQSSEPTNYDRFKEGFVENDDHGLAGEK >cds-PLY65135.1 pep primary_assembly:Lsat_Salinas_v7:2:76212424:76213268:1 gene:gene-LSAT_2X34080 transcript:rna-gnl|WGS:NBSK|LSAT_2X34080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPVMSLRFAQFVIVMFVIVTTSLASPIITIGSGKDTRIRVLCNTGMACREGDYTFSLCEQFCNDNQGPNGWHAVCQAGMCCCKAPDATPTQNYKHII >cds-PLY92443.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:664587:669116:-1 gene:gene-LSAT_0X10760 transcript:rna-gnl|WGS:NBSK|LSAT_0X10760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAVDIVVSVLAKLLEYTARPIVHPFDYIFHHNTNINGLRNQILFLENTRFGVQQQVNIAERNGDIILPIVQDWLAKANGLATESQNFLSGEVDRNHRCFSGSCPDLKLIYRSSREAKKKRAAVDELVERGKFDYVSQPARRPPIWPSTGATATTSLGDLDGFESRKAQLRLIMEALEDDNINIIGVYGMGGIGKTTFVEEAARQADALQLFDEMVMVVVSHKPNLRKLQGDLAEMLELNLKEEGELLRTARLRERLNQVKKILIIMDDVWTPLDLRTIGIPQGNLHKGCKIILTSRSLDVCNAMNAQRNFHMDVLSQVESWNLFRKMAGDAVDSTDLNPIATKIAKRCSGLPLAIVTVARALRHRSKHAWRDALRQLRSSSTTNDVTGLYSNIFASLELSFNFLKDEESKSCFLLCSLFKEDLDIPIESLVRYGTGLRVFQDVHTLEEARDRVHTIIENLKACCLLLDSDVEDCFRMHDVTRDFLLSVASKGLYIFLEKMMPGYHLDSPNENKFSNSYAISMVLNDLEEFPIGIDFPKLQLWRVEGSMGLMQFSGNFFDGMKELKVVLMHHVSIPSIPSSFLALKKLLALCLEHCKLGDVSQIKELKNLEILSFVHSDVEKLPKEIGELSRLRLLDLTDCKNLTSIPFGVFSNLSNLECLYMMNSFVQWGVDSEGQSQATLGELKHLFHLTTLEMHVPDVNLFPKDLLFGNLVRFKIFVGMVSQVISYSYPKTLTLALYHGLNLHNGIYKLLKGAQHLILDYSIVNYLEDLNSIVYDLRKGFRHLKCLEVYGYTGVESLIDTNIFPVLEKLKVVSAADLRTICYDHLPDQSFCELRELMLSILPELTCLWMDPLGNVCLRNLRTLYVSDCHKLKHLLSQSTAGDLSELQKLYVSSCEDLKVILSKDQVVSVSSSRIALSKLKSIKLEFLPSLESFCPEGDANLASLQEPLFNSKVDFPSLEELTLRELHSVNEIWSCQLSASNFCSLRILIVFGCDNLQLVFPSYMQHMLQNLEILSIEWCDLVEEVCELNNNLQNDDAKLATLPSVRDLNLGKLPLLKHLWWNIDPYVYTSLRNLNSLHIYECDGLIHLFSVHAMKNLVQLQQLKVRSCKTMKTIFANEGEDDVIVMSELCSVDLEDLPELSSFSQGSSSFLFPLLEMIEIKSCPKMKAFVVSQVHQEEKSLFTEKVSLPNLQTLNLDGLNSLNGIWETHLQEKSFTKLQILEVINCDQLQNLFPINLLPRLQMLEEIHVTNCISLEEIFTLKNPKPHQNLSSVSLTRLVSLVLENLPNLRQLWCPNMPHRFQRLTSIEVSRCDLVDCIFTSSVARGVPRLQKLKVDSCISVEVIIGNNERESEADDLILPQICHIELENLPNLVSFCAKASALKWLSLKELRILNCSEMVATSNSIEAFFGEKVTCGVFFFFFWCIVYRPMK >cds-PLY98886.1 pep primary_assembly:Lsat_Salinas_v7:5:18977227:18980328:-1 gene:gene-LSAT_5X10121 transcript:rna-gnl|WGS:NBSK|LSAT_5X10121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNHTGDEKTDREEQEEALIALIEHRAKEVDHLRTRVAYYKSELDESEKRLEETQRKLARLRSRGNPVASTNISSQNGVKVKEERRSCSPVKIKTSEHSLPNHGDRDRGNGNSFASKSYMPDLPKIPKQEQRPQLVIPAVNPKPSPPIKMAETATKPSTSVPTYAKSIVKEKGHRNRTPHEQEAVETPSKGTKRKFEQREHVDLIQMVSSSSSPRTINCQTSNHISCQHKRKLRSLALCPTNDQLFVTSALDGLVNLWQIQSRGSSAYLLSTADCASTKHRRWPEDIAWHPHGGSLFAVYTADGGDPQISVLNLNKPKEKKRVTFLEDKPHVKGIINNISFMPWEDTCFVTGGSDHAVVQWSDKTGDDCWKPKVLHRSMHSSAVMGVAGMQQKQMVVSVGADKRIIGFDLQTGRADYKHQIESKCMSVLPNPCDFNLFMVQTSTPERQLRLFDIRLRRTEIHEFGWKQESSESQSALINQTWSPDGLYLTSGSADPMIHIFDIRFNANKPSQSIRAHQKRVFKASWHHSLPLLISISSDLNIGLHKII >cds-PLY63068.1 pep primary_assembly:Lsat_Salinas_v7:8:74390691:74391474:-1 gene:gene-LSAT_8X52001 transcript:rna-gnl|WGS:NBSK|LSAT_8X52001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAKYSNSGGLIVPAISLPSIYNSVYLDKPRCKIKLLFSTEIGTWVFVSEVKSTSDISTQGVNDPSKGLIGGLTNEALYSFGVQLEKQIELVHSSYRDKYIAKAGARKPGFFSKFFQKEQQKDDILREAAAETDGFSGREVAKLIASVQAAVYGSENCVLDPLLFREVVDYKVAEHHQRRKLAGNDGGD >cds-PLY95243.1 pep primary_assembly:Lsat_Salinas_v7:9:21400249:21403450:1 gene:gene-LSAT_9X20360 transcript:rna-gnl|WGS:NBSK|LSAT_9X20360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLPTPLKHQEEIDSNFVHLHPLESEFATSNRKLCAEARKFLVDSYVALPRGDTTPGSRVAYSMTVRQLEALIRLLEAISSVESTEIDLTEFQEENQEEGDVEPQIDGAEFKTEDKKLVKDQNLHKNLISDKRTKRSQLKLQMAFDGQERDMKV >cds-PLY89936.1 pep primary_assembly:Lsat_Salinas_v7:4:4541627:4543227:1 gene:gene-LSAT_4X2900 transcript:rna-gnl|WGS:NBSK|LSAT_4X2900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPVGELAISSSSWLPTSHNSVPTSPSFTSSSSSRPVYMNYALLSALAAFALAQSIKVFTTWFREHRWDLKQLIGSGGMPSSHSATVTALAVAVGLQDGLGGSSFATALIIACIVMYDATGVRLQAGRQAEVLNQIVYELPAEHPLAESIPLRELLGHTPPQVIAGGLLGTMTATIIYLISYSGSSA >cds-PLY61896.1 pep primary_assembly:Lsat_Salinas_v7:6:60976723:60978301:-1 gene:gene-LSAT_6X45240 transcript:rna-gnl|WGS:NBSK|LSAT_6X45240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCRTKMAVDAADPNITRRRSSRRTQTTTPETPTRSSSKSETRLHPSTSNVTSSSYSYGGGTSSSYRLGSSIATAEASVSSRTSLSSLRNSLPENTHIYDFSEIRSATNNFLAKRFSSSSSSPSWRCSLRGKEVVVFQRKFRRSIQESELREKLSVIYRSHHMSIIKLLGASISGDYIYLAYDFMPGGNLADCLRNKRNPEFTVLSTWMSRMQIATDLSSGLDYIHNNAGLKINLVHKYVKSSSVIVTEPSFNAKICHFGTAELCGETVVEPKIVKDDKRSGEIQEVVSPESSPPTNLTRSNSRALQFEGIKGYMAPEFRGLATQKSDIYAFGVVILELLSGEEPVKYKFDKEKGNHVKTSIVDTAKFAVEGDGGDESEVEWRLRRWVDRRLKDSFPVTVVEKLTRIALDCVDEDPNKRPNMSRVAGKISKLYLDSRKWADTIQVPTDFTSSFAPR >cds-PLY72336.1 pep primary_assembly:Lsat_Salinas_v7:MU043727.1:193:518:1 gene:gene-LSAT_0X45721 transcript:rna-gnl|WGS:NBSK|LSAT_0X45721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHDSMQAVYLRRAAFGGDFHNLLTPYALKVIHKRFIHSDGCRITHIVGDRYEVTKYSTTEYVQLDHGICMHDND >cds-PLY80317.1 pep primary_assembly:Lsat_Salinas_v7:7:154672808:154673759:-1 gene:gene-LSAT_7X91701 transcript:rna-gnl|WGS:NBSK|LSAT_7X91701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVDITMLTAFSSMLSVAFLDLRTKVLKGLPDTFLPNVLKLIVFSIVTKIACLEFLVFPTVWSFTSASGCTFGYVLGGVCYWDEDATRGHAVIVIVAIGVKAGIS >cds-PLY67276.1 pep primary_assembly:Lsat_Salinas_v7:5:133702878:133705492:1 gene:gene-LSAT_5X57681 transcript:rna-gnl|WGS:NBSK|LSAT_5X57681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTHVVALAFNGEEVWLNSTSFESFNTMVLYPNQTELISDDQNGNILVWDLIANSHFKMEDGVIHVYASEKDFVDLFPVASSETTFFIDMHHILKIISVGNVHSACYHRFRFLEEVVGIGPKVGC >cds-PLY84604.1 pep primary_assembly:Lsat_Salinas_v7:1:30608990:30609193:-1 gene:gene-LSAT_1X26461 transcript:rna-gnl|WGS:NBSK|LSAT_1X26461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDNREYCPYDHCSSLIDQSMAYVLHSTLHSIIPLSLVHLPEGQIDLCYGSGSPDGNGYLPYCGLWW >cds-PLY83895.1 pep primary_assembly:Lsat_Salinas_v7:3:49903977:49906854:-1 gene:gene-LSAT_3X38460 transcript:rna-gnl|WGS:NBSK|LSAT_3X38460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEEQHGFVSESKNVGFLFVYFKVGMLDPPIEEVIEGDDKQFELPLKKKSDICTIMYTSGTTGDPKGVLISNNSIVTLIAGVHRLLGSVDASDVKLLIEDIGELKPTIFCVVPRVLDRIYSGAGHLGSVISSMGRWRMGLEVPCAEIDEMLPLARLVSRSTDPALQFRSKVILIHSFIY >cds-PLY63794.1 pep primary_assembly:Lsat_Salinas_v7:6:24295276:24299153:1 gene:gene-LSAT_6X19360 transcript:rna-gnl|WGS:NBSK|LSAT_6X19360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSARTEVRRNRYKVAVDADEGRRRREDNMVEIRKNKREENLLKKRQVLPSSQPLSTGAPIPTSTVEKKLESLPAMVAGVYSNDNNMQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLMREDFPQLQFEAAWALTNIASGTSDNTKVVIDHGAVPIFVKLLASPSDDVREQAVWALGNVAGDSPRCRDLVLGQGALLPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEETKPALPALERLVHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCRRLVELLLHPSPSVLIPSLRTIGNIVTGDDLQTQCIIDHGALPCLLSLLTHNHKKSIKKEACWTISNITAGNKHQIQTVIDSALIPPLIHLLQTSEFDIKKEAAWAISNATSGGTNDQIKYLVSQGCIKPLCDLLICPDPRIITVSLEGLENILKVGEVEKNAGTTGDVNFYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKILETYWLEEEEEEEAVGDGDGGLNFGQVPAGGFNFTG >cds-PLY99423.1 pep primary_assembly:Lsat_Salinas_v7:4:102791416:102792783:-1 gene:gene-LSAT_4X66500 transcript:rna-gnl|WGS:NBSK|LSAT_4X66500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESNNYQSPPRTTPPVTTTTLAKKLKDNLIFRSKWAELNGAMGDLGTYIPIVLALTLAADLNLGTTLIFTGVYNIVTGAIYGVPMPVQPMKSIAAVAISDPDFGIPETMAAGICTGAVLIFLGATGLMQLAYRLIPLPVVRGIQLAQGLSFAMTAVKYIRKVQDFSTSKSKGNRNWLGLDGLVLAIVCFCFITIVNGGGQEPENENDEESQDNKRRNWRRNVVSYLPSAFIVFLLGVILAIIREPKVLKGFKLGPSSIQVVKISKGAWKRGFVKGTIPQLPLSILNSVIAVCKLSTDLFPEKTVTPTSVSVTVGLMNLTGCWFGAMPTCHGAGGLAGQYKFGGRSGGCVALLGAAKLLLGLILGSSIVRILISFPVGVLGVLLLFAGVELAMCARDMKAKEDSFVVLICTAVSLVGSSAALGFVVGMVVHLILRLRKLGGPDEIRSFSSFWMNSP >cds-PLY85358.1 pep primary_assembly:Lsat_Salinas_v7:5:243329115:243333441:-1 gene:gene-LSAT_5X120180 transcript:rna-gnl|WGS:NBSK|LSAT_5X120180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM4 [Source:Projected from Arabidopsis thaliana (AT2G16440) UniProtKB/Swiss-Prot;Acc:Q0WVF5] MASDSSPGPTSTDDLISSPGRNTLSPANNTRNSRRKRGRPSAAVGTPPVPSHSRYVSTPEPSPTPNSTAPSSRRGGRGRSGSVPLTSPSTDDVVPSSEGGEEDEANGAPAMFVWGTNISVQDVNAAILRFLRHFREHESHTDGKYMKAINHVIEIEGESLEVDANDVDAYDSDLYTKMVRYPLEVLAIFDIVLMDMVSRINPLFEKHIQARIFNLKTSTSMRNLNPADIEKMVSLKGMVIRCSSIIPEIREAVFRCIVCGHFSEPIVVDRGQISEPTICMKEECKTKNSMTLVHNRCRFADKQIVRLQETPDEIPEGGTPHTVSLLMHDKLVDAGKPGDRVEVTGIYRAMSVRVGPTQRTVKSLFKTYIDCLHLKKTDNSRMNAEDPMETEQNSTQNGEEPRVDNEELVEKLKELSKQPDIYDMLTRSLAPNIWELDDVKKGLLCQLFGGSALTHDTGATFRGDINILLVGDPGTSKSQLLQYIHKLAPRGIYTSGRGSSAVGLTAYVSKDPETGETVLESGALVLSDRGICCIDEFDKMSENARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPSGSRYNPRLSVIDNIHLPPTLLSRFDLIYLLLDKADEVTDRRLAKHIVALHFENPENSELNAIDIPTLTAYLSYARKNIHPELSDEAAEELTRGYVEMRRRGNFAGSSKKVITATPRQIESLIRLSEALARIRFSELVEKKDVVEAFRLLEVALQQSATDHATGTIDMDLITTGVSASERMRRDNLVGMARNIIMEKMQLGGPSTRMLELLEELKQQSNNAELHLNDLRNALGTLASEGFVVIHGDSVKRV >cds-PLY67615.1 pep primary_assembly:Lsat_Salinas_v7:5:117471247:117473779:-1 gene:gene-LSAT_5X51840 transcript:rna-gnl|WGS:NBSK|LSAT_5X51840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSYIFGTSKGIFVLLQSIRGIDVLGLLLGLDLVDSISHSLLAGVKILRFLILISPQSGGIAKQALFSIAQSVVVLCLAAGDHKCSSTVKMLTNILKDDSTSNFTLIFEKPPPNVRKIVLATNMDEASIIINDAVLVVDCGKAKDTTYDASNNTPCLLPSWISKASARQRRGRARRVQTAALQPPEPLAVQNDVDFSKMIGALDVNENLTHLGKMLIMGAFFQASTTKSIFSAKDYNDHMAXVHMKDGKKLKEKDVLVNIAGGISFLLKHFKLYIL >cds-PLY85408.1 pep primary_assembly:Lsat_Salinas_v7:9:78773348:78775788:1 gene:gene-LSAT_9X63881 transcript:rna-gnl|WGS:NBSK|LSAT_9X63881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEESVLLNTLRSISTKGLAPVSIGVLNFDTLNGTFEKIHKDFSQEWGNVCSIYGENSFKMDLSNLDARYMRPLQLFQKLKVEQGSFDFDAFYERLAPIFPKKPQQSSNKVYSQAWDTKDFYYPLAAKAATISVLNFGASNFGFDAFYKKLHKQDSYQSGDYRIKHGNCRMTRSYRNVQPIVAKASNREYNCMPKVMTTIATTAIAASLKNQPMLREILMRVAMSMASDINYECLSAVMRVIAQNLISQPKLQKILVITMAINFPIGMFREHIKRLPTSLALLVVALPYISITANAIVMPKSIVTYTLVATILGLCLGALTEKQRLKSIAAVYPPWPQYWYDKY >cds-PLY89670.1 pep primary_assembly:Lsat_Salinas_v7:3:184612264:184620669:-1 gene:gene-LSAT_3X110861 transcript:rna-gnl|WGS:NBSK|LSAT_3X110861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSDVSRTGGQVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLANDESILIWFSGKEEKHLRLSHVTRIVSGQRTETIDQLSLHSPFGSPPKTLSFPDMAPYKVPLPPKGFFPPVSNGSFHSPSSGGSDTMHTHFNRMGVDAFRVSLSSAVSSSSQGSGHDDGDAMGDVFIWGEITGDVCGPHKSGTKTDSLLPKPLESAVVLDVQNIACGGRHAALVTKQGEIFSWGEELGGRLGHGIDSNVPHPKLIDALSNTNIELVACGEYHTCAVTLSGDLYTWGEGHFGILGHDNEVSHWVPKRVNGSLEGIHVSSISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDKKSVLKPKEVESLKGLRTVRAACGAWHTAAIVEIMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKETKLVPTCVAALVDPNFCQVACGHSMTLALATSGHVYAMGSPVYGQLGNPNADGKLPARIEGKLLKSFVEEIACGAHHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNYPTLVEALKDKQVKGIACGTNFTAAICLHKWVSGVDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHSCSSKKSLRASMAPNPNKPYRVCDNCVNKLKKAIETDASSVSSVRRASINPNEQNEKDDRSELRSRPRLARFASMEAMKPLESYSSKRNKKLEFSSSRVSPIPSGSSQWGGINISKSFNPVFGSSKKFFSASVPGSRMVSRATSPVSRRASPPRSTTPTPTLAGLSSPKIIVDDAKRTNDNLNQEVTKLRAQVESLTRKAKLQEVELERTSKQLKEAIAIAGDESSKCKAAKEVIKSLTAQLKDMAERLPVGTTRDIRSPSFTSFGSNLSFNDVLINSSVDQPNGQTQTPAYKNTQLVLNGSSSIINKTAVSEATAARNGGRSDDEWVEQDEPGVYITLTSLPGGIKDLKRVRFSRKRFSEKQAEQWWAENRARVYQQYNVRLADKSSVGVASDDLAQ >cds-PLY87056.1 pep primary_assembly:Lsat_Salinas_v7:5:264050042:264051737:1 gene:gene-LSAT_5X135800 transcript:rna-gnl|WGS:NBSK|LSAT_5X135800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTTTGRYQYRNRPVRDNHPSFSSSLLDEIYRSIDERDDRELMVYRESKREKHSSFSIKDCFHDNGEVQQQSRIQKWMDKEVHETIVVRRRSGADYNRNSQQTERDSRYFNSSSSSSDSSCAGGFSSSEAESVYGVSSRPKPIRITTNRNDEYSTREKQRNTYGQKYQLEDYQSKPKHEGKFVRTKSRAMKIYGDLKKAKQPISPGGRLATFLNSLFTTGNAKNTKLSSSSAGGYDDAIDHIDRKSKSANASTCSSASSFSRSCLSKTPSSRGKLSNNKMRSVRFYPVSVIVDEDCQPCGHKSLYGEESNLPTINFVKNPITEEFKLHSTEKTRRIEEAARELLRNYQKKVECEFDLIKSNVKGNNDINMNYDDDEDDDAASHTSSDLFELENLSAIGMEKYRDELPVYETTHLDTNIAIGKGFFM >cds-PLY85506.1 pep primary_assembly:Lsat_Salinas_v7:2:195171931:195174457:-1 gene:gene-LSAT_2X115661 transcript:rna-gnl|WGS:NBSK|LSAT_2X115661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTAPTYRSIFTQFLEYTQQKNIPNGRTLHAHIIKTGSTHCSYIANSLVNFYAKCHLLAEAHLAFESIENKDVVSWNSLISGYSQLGGRQNSILVMELFKKMMSQENTVLPDARTFAGVFTAASALMDSYGGKQAHTVSLKLGKCEDIFVGSSLLNMYCKSGFVDDARKVFDEMPERNSISWATMISGYSMQRICDHALELFKALVAQEEEHVNEFVVTSILSAFTLPEFIHIGQQIHCLGLKHGLLSHASVGNAIVTMYSKCGSLDEAIKAFESSNNKNSITWSAMITGYAQGGDCKKALTLFSKMHFNGLVASEFTLVGVLNACSDGLAIEEGKETHAYSIKLGFQHQIYIMTALVDMYAKCGSLDDARKGFDHLQEPDIVLWTSMIGGYVQNGENESAMDLFCRMQKEGISPNELTMASVLKACSSLAALEQGKQIHATTIKHGFGLEVPIGSALSTMYAKCGSLKDGGLVFTRMPSRDIVSWNSMISGLSQNGQGNEAIELFEEMQIEGMNPDYVTFVNVLTACSHMGMVERGWDYFKKMSDKYGITPRLEHYACMVDLLSRGGKLNEAKDFIESAPIDHGLCLWRILLSACRNYHNYELGAYAGEKLMELGSLESSAYVLLSSIYKALGRLKDVERVREMMNFRGVSKEPGCSWIEIKSHFHVFVVGDQLHPEIKEIRLEVNRLSKLMKDEGYQLEFDSNFGGLEV >cds-PLY73778.1 pep primary_assembly:Lsat_Salinas_v7:8:92484146:92484574:-1 gene:gene-LSAT_8X64500 transcript:rna-gnl|WGS:NBSK|LSAT_8X64500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKPTTLPPNFFDDFPVKFVLEPKVPNFIQKEARKLSKLDPLFSLFEKRSRKKKATAKPEFARYMQYLKEGVSYNPNSTIPVIAYHRRPSVACPTTVQLIFSATPFQIIFFPHNPVDHKLNPSISYRNEVFTRVCWINSEKI >cds-PLY80632.1 pep primary_assembly:Lsat_Salinas_v7:2:44952090:44954675:1 gene:gene-LSAT_2X18501 transcript:rna-gnl|WGS:NBSK|LSAT_2X18501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLELYEQNKVAPAQTSEVVDGSTSGGGLVILVTSFQNEDKGLTVLACDAFDLPIRKDIIHRVVRWQLAK >cds-PLY76571.1 pep primary_assembly:Lsat_Salinas_v7:5:223868251:223872058:1 gene:gene-LSAT_5X105541 transcript:rna-gnl|WGS:NBSK|LSAT_5X105541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEGSYKTKKKRRYLEDSVSSSPSSSASISSSESDDESSSKRHKSSRRHRHRRSSERGSSRREKEKRREKRKKKKRDKSDKDRRKGSSSRRSYREGKRRGSDSDTESEEKEKEYGLSDDNSNLPASDPVEVVKYILDEFPAVADDLEQLLRMIDDGQAVDISGLSEKSLVKYLRKLFLSLKLNENGRLVFLLPSDVPPTLEVVGAVIRPKSGPQRPVSNDHEPNDDVYAEQHKPADGGSNLPSAQEDIAAPRKRVIGPAMPSAELLAAAAKLTEAEAELREAEIGEDDALFIGPPPPAVVNEAASANDAERFEEVLTYFVFQNLFSLFSCIFSNNLRYWKLSLMVHPDKCTHPEAHQAFIKLNKAFKDLQDPVKRKAMDDKIDEKEEKERFKLELKAMREAAQWRRLQGISMEGDDALLADMDVKVERTRDEWMTTLPPERKTGVATQQSTKAFNRTSKEGRGDTSAWTDTPSDRAQKAKMNYLEAYNQAAALAAGVVQDEGKRSADAELVDKYNKAKRSKSLVEKHLESTRVRSKKKSKVEEKKQDEWEGQHPWKPWDREKDLTGGRQNVKLDAENMAQGLTSRFSSGSFQRNFL >cds-PLY82104.1 pep primary_assembly:Lsat_Salinas_v7:1:15967045:15970632:-1 gene:gene-LSAT_1X13860 transcript:rna-gnl|WGS:NBSK|LSAT_1X13860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCVTSKQAVSVTPAVDHSGVFRDNAAVGSGRSLGGNGNDVVAELEKSKSKSKSKKKNKRSESGLSGTGSELGDSGRASSTTGGGGDSVSFRLGNLLKYVEAEQVAAGWPAWLSAVAGEAIHGWVPLRAESYEKLEKVGQGTYSSVFRARELETGRIVALKKVRFDNFEPESVRFMAREIVILRRLDHPNVMKLDGIITSRLSCSIYLVFEYMEHDISGLLSCPDIKFTESQIKCYMKQLLSGLEHCHSRGVMHRDIKGANLLVNNEGIMKIGDFGLANFCNTAGDKKQPLTSRVVTLWYRPPELLLGSTDYEASVDLWSIGCVFAELLLGSPILQGRTEVEQLHKIFKLCGSPPEDYWKKSKLPHATMFKPQHPYESCLLETFKELPKCAVDLIQTLLSVEPYKRGTASSALSTEYFKTKPHACDPSSLPKYPPNKEIDAKHREDSRSKKLVGRTRGPEVSRRLARKQNGLTKLAPEESLPEKTQTQTRVKINGNSLDTKKGGDIILGFEVRKPSVNTTDDNSHTKHASQGDIPYSGPLQVPGSSGFAWARRRLDDSLSIRSRSRSSSRSLISEPSATTHLRNFESKCDESNETGARGLVIKNWSQLDQPNSYDAGSDGFVKRMNMAYQDQEEKVEFSGPLLTQSHRIDELLERHERQIRQAVRRSWFQRGKNSWSLFLTNRMRRTFTSF >cds-PLY85382.1 pep primary_assembly:Lsat_Salinas_v7:5:243959840:243963450:-1 gene:gene-LSAT_5X119861 transcript:rna-gnl|WGS:NBSK|LSAT_5X119861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPEISVESPASTAMSASPDVHQSPPKPKDSIVKSVDSESDNSVNSYDDNGSIISHVDSKKGSDSDSKSEMKMQDFVDMLSNLKLNPMAKEFFPSSYSPIDRNRDQPEFALNYFVQPAYYKNYPENGIEGYPNNRRRRNNYNTRRLSNGRSFRAQREDSIKRTVYVSDIDHNVTEEQLAGLFSGYGHVLDCRVCGDPHSRLRFAFVEFGDENSARLALNLSGTMLGFSQITVLPSKTAILPVNPTFLPRSEDEREMCARTVYCTNIDKKVSQTEVKNFFETRCGEVSRLRLLGDNVHSTRIAFVEFVMAESAILALDCCGQPLGAQPIRVSPSKTPVRPRVARPVLTN >cds-PLY98033.1 pep primary_assembly:Lsat_Salinas_v7:8:44260623:44263544:1 gene:gene-LSAT_8X33681 transcript:rna-gnl|WGS:NBSK|LSAT_8X33681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLINSSHSQDDLPPPPLVLHRLRPRTLSPLSGTSRFALSATVISAAAILAASSVIIFDDSEIKEEQAKKKRLLDDFEHAIDRSKESFKRVVNTMKHTGVAASVLWKSLRSVLSSANHEVWSGFEVRVAALLADIVAANESRRAAIVGAGGGVVLDWLLESVALSGGGNYGTQAESARALAYLIADPNVSEAVLGRPHAIPNLLRFIFFAQPHQSKKHPRRSSFDISDPSKGRSMLVAAIMDIVTSNCDNVDKIKLKPMLSGTAAMRDIAAALEVIEEGGMHMDEPPGSSQDDDDGTGLKGIGMEVLGGTSIVGLSTSNRSMELDESKATHNSSSFNKLNNTSSVNTTVIPGLWDDLHSQHVAVPFAAWALANWAMASDVNRSHIQELDFNGHAVMSALIAPERSVKFHGSLVAQLLLKDENLPMNDFVSDWSSTLLTTVSQASKADDISLTRVALSAFLLSLERCPGAQRVVMEKGLHLMRETAKRTMNHKSVQESLAKGLYHCYFFFSFLFMVIYGNTLLLQTQIDQANVLSGTQSVNQLASAVVNLAVNGDSFALEDFLTLEPFINTYKNLKKGNIPKVNALDSALATLKGIKAMTEICSDDLFSQKKIIDYGIIPLLRRFLLSDDYEKLSAIEAYDAQVSSMLLEKLIAARIGDRPVVFVTHSMGGLVVKQMLHQASAENRGNLVKNSVGVDMSIGTSWNI >cds-PLY98059.1 pep primary_assembly:Lsat_Salinas_v7:4:78450306:78451025:1 gene:gene-LSAT_4X53501 transcript:rna-gnl|WGS:NBSK|LSAT_4X53501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVIENITFFRHDPSVLDVVMVEVDGNDMLLIFGIVVVTGLGWKVSVVFAVMNDEEVTRLCRLDEFIECLADVTAGRMGIGFVSIDKEFDVIFGESVMVNQTTIHFLDTVDASVDLRLGSEVVASNQHRLFPHFSINTYNLIIVT >cds-PLY75914.1 pep primary_assembly:Lsat_Salinas_v7:9:197935944:197939030:1 gene:gene-LSAT_9X122021 transcript:rna-gnl|WGS:NBSK|LSAT_9X122021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLFLGAVLGVAVTKLSDVIIDVLKKPSQFSSELTKIRETITRIKPIFDEIEKLTKVLDRPKHENDMFIAQMKGAEALILKCKRVKWNLYKRYSYALKLDALNKSMLEFFRFDVQLVMVRDQKVIMSMMKGDSSRSSCRIPSLKGSVIGFEDRVRKLKEMVLKDSVGDECSVVVVSAPGGCGKTTLVTMLCHDPEIKENFGGNIYFATISDTPNLKIVIKNLLERKEADFINDDDAINQWGSFLGENGSEVLLVLDDVWPDSITNLSDSIINRFKFNLKGYKILATSRITFTEFNTYQLKLLNEQDATTLFNYSAFSEHVNEYIPYNLVDKLVKCCKKHPLTLSVIGGLLKGKPLVSWRIMLNKLSDGQQSVLDLHQSIEHCLARSLDVFEEESVIKQCYMDLGLFPEDEKISATMLMDIWVHLYNHDEHGFATIERLLELSYSNLATLLPIRIDSPMIANYCEEKAVIQHDLMRMLAIRLSSQEPIEHRKRLIINANGQDLPQLPHTINATILSITTDERFSLKWDDIRAPQVEVFVLNFMSKVYHLQQFMQTMKKLKVLIITNYGYNFSDLQNFPSPQSLSCLTTIRLEHVSISSISTSILGLENLRKMSLIMCKIGDSFDEYIPNKLTTTLSEMEIDSCDDLITFPSMFCNLVNLRKLIITNCHELSSLTEGFGNLTNLEVLRLASCSDLKELPESMRNMQKMRVIDLSDCLRLKKLPWEIGELSSLRMIHMRGCTGLHELPLSFNDLGSLEVVCDEEIAMLWRDFKDVNVQLVEEDRIATLSKIIQRDVHV >cds-PLY65368.1 pep primary_assembly:Lsat_Salinas_v7:6:41243590:41247006:1 gene:gene-LSAT_6X29841 transcript:rna-gnl|WGS:NBSK|LSAT_6X29841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITTMLDEAACHLYISLRHHLLMSPIFPIGYIVCPVELKAKASSEHVVCFAVPKKEVKAVAKALEAKFRQAVSDGSLSQVAVIPNCSILVAVGQKMASTPGVSATLFNALAKANINVRAIAQGCSEYNITIVVKGVDLSTWKKVHKKKGEKSDLEKFVQHVHGNHFIPNTVIVYCTASTVVADHYHDWLRAGIHVITT >cds-PLY80357.1 pep primary_assembly:Lsat_Salinas_v7:7:154068942:154069466:-1 gene:gene-LSAT_7X90161 transcript:rna-gnl|WGS:NBSK|LSAT_7X90161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATADEPQICEKASMMFSGICISTSCDRKCKEWEKALHGACHLREVRYSCFCYYDCKKVPPPKPGSPPAVVVSPPPPGGGGSPPSPDAGGSPPPPDAGGSPPSPDAGGSTPAC >cds-PLY91148.1 pep primary_assembly:Lsat_Salinas_v7:4:162599949:162607741:1 gene:gene-LSAT_4X98021 transcript:rna-gnl|WGS:NBSK|LSAT_4X98021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA Overly-Sensitive 5 [Source:Projected from Arabidopsis thaliana (AT1G51965) TAIR;Acc:AT1G51965] MNNVRLLLRRGYATKYSGRVITEMDNGRSFAVEVEVPNIQQLDPRGYPLPRRDLVCKVVNILQSRSSDPFIELSDYLETLTLTITPSEASEILKSLHSSSLALQFFRFCPSSIPKFRHDCFTYNRILLILSKSSSPDRLDSVRRIIDEMERSRVHGNISTVNLLIGIFGGGEDLDRCLRLVKKWELRMNRYTYKCLLQAHLRSRDSNKGLEVYQEMKRKGYQPDIFAYNMLLDALAKDEKVDEANKVFEDMKKKHCEPDEYTYTILIRMTGKHGKLDDAISLFQEMLSKNLAPNLIAYNTTIQVLATNRLVDKTIYLFSKMVENNCCPNEFTYSIILNVLASEGQLGRLDEVVEISKKYMNKGIYAYLVRTLSKLGHASEAHRLFCYMWSFHDKGDRDAYLSMLESLCKEDKMNEAIDLLSKIHEKGISSDTIMYNTVFTALGKSKQVSHILDLYNKMKEEGPQPDIFTYNILISSFGRAGRVDEAVKIFDELENSDCKPDIVSYNSLINCLGKNGDVDEAYMRCKEMEEKGLNPDVVTYSTLIECFGKSDKVEMACRLFDEMLSEGCCPNIVTYNILLDCLERSGRTAEAVDLYAKLKEQGLTPDWITYSILERLQSGSHRRFRSRRQNPITGWVVSPLR >cds-PLY93789.1 pep primary_assembly:Lsat_Salinas_v7:6:144333947:144336452:1 gene:gene-LSAT_6X86961 transcript:rna-gnl|WGS:NBSK|LSAT_6X86961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILSPLDLPSFSTSCLSVNLEGESCSTFQTGDLTKPDRAIDGILGFNQQGLSVIVQLSSQGIAPGLQSINLQWPLSSYIKSRSSVSNASSSSQITSPPKMIFLNFLSNLVAVESVHIVDPSDQRDGDQLYWDIQNLLFGNTSPDYLPLEFRALKVSLEVVCTFLLEVMELVTAMELHEHLEKREEKAMEGDDRDVMLACIIREPFSLSWGWLLLRYFELLIGSHGGYTVGTLLENGQVSPKALNWEYYAVFYPSVYGLLSFLQFNYGWNCSSFGILFHNAMAANTALPDALFPSVLGRKIVISQALSKSP >cds-PLY70820.1 pep primary_assembly:Lsat_Salinas_v7:4:59739688:59739930:-1 gene:gene-LSAT_4X40840 transcript:rna-gnl|WGS:NBSK|LSAT_4X40840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLNDFLLLFAIMDHASFMGLGHLDMEGMCQLCVLDAVDEIPDDILIDGVVGDGDDDGNNGGGGNGDDGGAGGVEILLWW >cds-PLY65942.1 pep primary_assembly:Lsat_Salinas_v7:4:141330122:141332486:-1 gene:gene-LSAT_4X88020 transcript:rna-gnl|WGS:NBSK|LSAT_4X88020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSITWIENERVDKRINKLEDDLEKLKRGVLNVSEAASCQVAGVIEDVEKEPRDKSLDNSCLLAVEFGANVVAKGTIMKYSASGNDMEEGKGIEKKIKKEKENVTLQRRWTRAQMKTRIRIEKSSILKMTAMMADGQVTKIASIKVQSENDLFGYDSQTYLTWDDFEAVLTMDDLTGVVIVSSMMAMVLYATQSGSNKRVKLNRCPVQPGSTKCGYYMLRFMKEIVEERIEVLVKDNIRDGKVEYTTDDIDEIREEWSEFVTGFIYR >cds-PLY90615.1 pep primary_assembly:Lsat_Salinas_v7:6:53624863:53627510:-1 gene:gene-LSAT_6X38640 transcript:rna-gnl|WGS:NBSK|LSAT_6X38640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLKRVVGLMMGCLGMSCLRISDQPTRPVTSECDSPTSSDIIDSIDPKNEKSSSSSSGRIKLSDGRYLAYKERGVPKNESTYRVVIVHGFASNKEMNFMATQKLMDELGIYLVQFDRAGYGDSDPNPKRSLKSESSDIQELADQLQLGSKFYLIGVSIGSYPTWSCIKNIPERLAGVVMVVPFVNYRWPSLTRDLIQDDYRKKLSQWAVWVARHTPGLLHWWLTQKMFPSSSVLDRNPKFFSNKDLEVLKNTPGYQLLCKSKLKEQPIFDSLRKDFIVAFGKWDFDPLNMSNPFGENQCRLHIWQGYEDKVVPVELQRYVWKRLPWIKYHEVHDGGHLLVYDSDVCEAMLRSLLLGEDTPLYKPKLDSTNDS >cds-PLY87545.1 pep primary_assembly:Lsat_Salinas_v7:3:239500039:239501442:1 gene:gene-LSAT_3X133981 transcript:rna-gnl|WGS:NBSK|LSAT_3X133981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGRATQRDNSGNKVVKRAPSSKPPFTLSDIKKTIPPHCFNRSLLRSSSYLFVDLFFSFFFYYVASTYIIHLPTPLSYVAWVVYWILQGCVQMGLWVIGHECGHQAFSDYTWLNDTIGYFLHTSLLAPYFSWKYSHRRHHSNTASLEHDESFVPKKKSSLNSFARLLNTPPGRLFRLVILCTIGWLLYVCFNVSGRKYEKFANHFDPKSPIYSDRERLQILVTDIGLVVVSFGLYKVALAQGLTWLVLVYFAPLVIVYGFLVVITWLHHTHASLPHYDSTEWNWLRGALSTVDRDYGVLNTVLHHITDTHVTHHMFSTIPHYNAMEATNAIKPMLGEYYQYDDTPIVKAMWREATECFFVEADEGDDNSKGVYWFNNKM >cds-PLY68192.1 pep primary_assembly:Lsat_Salinas_v7:8:118981779:118983536:1 gene:gene-LSAT_8X82780 transcript:rna-gnl|WGS:NBSK|LSAT_8X82780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSPICQTLDDNDLDDEALWAVIDSAAALSSLPPASKPLKPQNNNSSRFHSPKITFPIISKFTSPSPSSSNTKPRYHSMTDGEVLQVESSIDRPPKNKIARFSLCETTSPPAPLVMVKHVQRTPTTPSSYCSESQIHSPVDCSSDCSVMTHSLSGRFPTVALFKEYQNAAMAVEIDICLQILEKGDYTMISGNPFIKKSGWRKISFYFNISYEIKEKSIEFDDNHNVQRAEFIVRAHMQGGRFSDGWGSCERREKKFMKPNHDVPSTAETRAKNKACQDLLGIGEYRPGVNSQR >cds-PLY79653.1 pep primary_assembly:Lsat_Salinas_v7:5:251852260:251854165:-1 gene:gene-LSAT_5X126601 transcript:rna-gnl|WGS:NBSK|LSAT_5X126601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGPIAQDWEPVVIRKKAPTAAARKDEKAVNAARRSGAEIETVRKATAGSNKAASSSTSLNTRKLDEETENLTHEKVPSELKKAIMQGRTEKKLTQSQLAQLINEKPQIIQEYESGKAIPNQQIITKLERALGVKLRGKK >cds-PLY87260.1 pep primary_assembly:Lsat_Salinas_v7:1:51456849:51460622:1 gene:gene-LSAT_1X42301 transcript:rna-gnl|WGS:NBSK|LSAT_1X42301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAVGNSLETTQAVVAVLGGCNGEISLRPPKAVKMETKTKNGGNQKGKQTLSVEKYVGNLEHRRWSRYAGEELEITSVEEVGCTGVITSEFEIASSLPASKFFNAYRDFNNIAPKVDPETYKTLVDIEGDGGAGTIRDISFGDGVPFTNGKLKLDVVDSNNFSIIYTIFEGDILMGQLDSMTHHVKFIPSPDGGCVYKPTVVYNCKGETQLPEEALNMVKEGFKKTFNAIEGFIHANPQTY >cds-PLY65510.1 pep primary_assembly:Lsat_Salinas_v7:3:765077:771054:-1 gene:gene-LSAT_3X100 transcript:rna-gnl|WGS:NBSK|LSAT_3X100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNPPFQVEDTDEDFFDKLVDDDDDDYKVTPLSSETTKLADGNDSDDAKAFANLGISDVGTGLEDLGKVGEACSSIDGDTKHASTDTFLDHGRPLVSSNSFAFDSVSEEPNTEVAGLDSTASWDHGSGGGPGVKEVQWAAFATEPAENDGNGFGSYNDFFTEFGDSSVDQIGKGGNLVSREQNVVMSGSSNIVDDSMHRKNVNDYSNQFQDVQTYASAGEQSTEVKAYATSGEQSTDGQDPNSSQYWENLYPGWKYDANTGQWYQVNEGYDDVQGAHDPSTTSEWTEKPEVSYLQQQSVQSAVEAVAEKGTTGSVTTWNQTNESLSWDEASQENNGYPSNMVFDPQYPGWYYDMNTQEWCSLDAYNSQAQEQVNQNGYSATSSYYGGQDQTKKQLQVGSEGQDYKWKGSLSNVEQQMWQPAQKQVNQNGFSATATATNTYYGNDEKTLGSQVFSSQGQEYKWNGSFSNVEQQGSSMWQPDTVAKSSSGPMSDPTGNQNSHGTSEFPAISGAQSFVPSGNFNQTTFKQGEMMNIPKTYGSMNQSGSGYSQQVQPFHSGGQQAGRSSAGRPPHALVTFGFGGKLIVMKDNAAAALMNTSYGSQDSNGRSICIHSMAEISSDVSSSGADYFQSLGRQSFPGPLAGGNVGGKELSKWIDERITHSESSNMNYRDSQVLRLLLSLLKIASQHYGKLRSPFGTDSTSKENDAPEVAVARLFASVKKSGAEYNYGHFTHCLQQLPSERETQATASEVQTLLVSGKKIEALQCAQEGQLWGPALVLAAQLGDQFYVDTVRKMALGHLVAGSPLRTLCLLIAGQPADAFSTDTTGAVNMSQQAEGGGNCMIEDWEENLAVITANRTKDDELVLIHLGDCLWKERSNIMAAHICYLVAEANFEAYSDTARLCLIGADHWKHPRTYASPEAIQRTEVYEYAKLLGNSQFTLLPFQPYKLVYAHMLAEVGRVSDALKYCQSVSKSLKTGRAPEVELWRQLVSSLEDRIKTHQQGGFSTNLAPGKLVGKLLNLFDSTAHRVVGGLPPPVPSTSGGGFDHHQHPQQPPPSRGVSTSQSTMAMSSLVASASMDQWSDDGNNRKIIHNRSVSEPDFGRSPRQQLQGGGDTSSSSKENSADSQTKSSSSASASASGMGITSRFGRFGFGSQLFQKTVGLVLKPRGGDKQAKLGDTNKFYYDEKLKRWVEEGVDPPAEEAALPPPPTMAAFQNGTPEYNLKTALKSEGSHSHLSNGSSDFTSPMPLGGHTSGIPPLPATTTTTTTTTSNQFSARGRTGVRARYVDTFNQGGGNPTNLFQSPPPASNIKQTTNPNQNPNPKFFVPTASASAAQQSVEPSSVDTTQTQPIIPDSFSSVNNSSFQSFPNPSSMNVMAKHKFASMDNVSEAGTAKFANHAMSPASRRTASWGSFSDQAQKPSSFMPMPMPRESGMMQSHSSSHIMDDELQEVDL >cds-PLY85252.1 pep primary_assembly:Lsat_Salinas_v7:2:207196921:207198640:-1 gene:gene-LSAT_2X127801 transcript:rna-gnl|WGS:NBSK|LSAT_2X127801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTNIKQFRKAKVGTEDDEEHKVMLSSADLTSHDDILTEILLRLPIRSLLRSKCVSKHWRLLISNHRFAQLRNPNPNTPCGLFLQRIGNATPEYDFVPLDREKHVKPPFKTLNFHGNVSNSGIIALHSSNGLMLCHSLHEYFRNTSKEIVYHAMYYVYNPTINQVATLPKLEGCDWFQRRPCGMTLAFDPVKSPHYKVICVRGYLWSDHLYSIEIYSSENRSWKMSGKPFANQIDTEFTGGVYWNDAVHWINKKGYIFYLKINEDLLDQIPTPTVRAGWNVKRHCYPLVESRDGLLFIDIFPPLSFKFDVHEMNRDYSGWTVKYHVDLNEVMTKFPQTSEMTSLNLLTFFRPFQSFVIHCLILGEKEEDAFLVLEVPGKAIRYNIVLKTCEELCDFELSRPFRLSVADDGFSKVGRWPGAFQFCESLSHV >cds-PLY97338.1 pep primary_assembly:Lsat_Salinas_v7:4:286344225:286345710:1 gene:gene-LSAT_4X145700 transcript:rna-gnl|WGS:NBSK|LSAT_4X145700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTLPRLRCLSLLQNYKSSFSTNHIIQIHSQIISNGLTQSPSIVAQLVERYCASSLSSSSSAPHANNYARLIVSQFYSHDHKSNPYLLNVLIRCAPPTDSILLFANWVSKATLNFDDFTYIFVLGACARRVKALWEGLQIHCRVIKHGVLSNVKLQTTLIHFYVNHNAFAFAEKVFDEMRVRTSATWNSLIAGYCSQIQHARDGLLLFINMLRGDYGVKPNDTTMVCVLSAVSRLGSLETGVSIHGYIIKTTPNIENDVYIGTSLVDMYAKCGCLDTALTLFHKMSYKNVLTYTAMVSGLAIHGKGKQALKLFTNMTESGILPNSVTFTSLLFACAQSGLLNEGLSLFQIMKEKFHILPLPHHYGCIVDLLGRIGHLGEAYEFIMSEKVEDDVVLWRSLMHSCRVHNDIVMGEKVAKTVMNLENYEKSEDFVALSNICASVGRWEDVEVVREMMNEKGIETNPAISTIFA >cds-PLY62912.1 pep primary_assembly:Lsat_Salinas_v7:4:328568557:328570558:1 gene:gene-LSAT_4X164120 transcript:rna-gnl|WGS:NBSK|LSAT_4X164120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPHLLPMVADFIDGHYIVTAMLSLASVSKNGGITGMGVETSIGHTPYEFYNNLLEGVSGISEIKVFYWSNYPTVDGWNPKEGIWLKHIQRFTEEDGKRPLWCLYVRFRMLPLIQGTHSIIETLTATTGVVVPFHHLVYGWIKMRGKWYSKGRSKDGDGCFLCKDTLRE >cds-PLY93475.1 pep primary_assembly:Lsat_Salinas_v7:9:59486440:59488754:-1 gene:gene-LSAT_9X52481 transcript:rna-gnl|WGS:NBSK|LSAT_9X52481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLRFFMERSDDGGTGTQVLDTGDREELMHVQPNVSIVLGNHPPYSTGTLYITTKKVVWLSDIDMTKSYAVDFLSVSLHAVSRHPEAFESPCIYTQLNLEPIEEEEEEEEEEEEHNWISSADQLDNSEWNDIQVPFTVGYSNGDHDLAHSVLQVSFFTHCVFIYDICNDSSNPEACKKTIEKLKKEKEIDPNNTIRKIINFGLQKSLTLKANMTDWVRHRNLRANEHAAIKGCLDDMDNVVDDLRKTLKEVDELAEAQKAQKMQVFMFHNRNIRTWLKTAINNLLSCQNDDFAMEGLEGEVKQDVLRRIPDLIIATRLAIWTMELPSQEMNNV >cds-PLY71524.1 pep primary_assembly:Lsat_Salinas_v7:7:30065223:30067397:1 gene:gene-LSAT_7X22161 transcript:rna-gnl|WGS:NBSK|LSAT_7X22161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSKLKLFMMNSCSSRSITGLNAIQLRDSLSSSFCTLIESPKTDDPVEVTESPELPNWVRISENNNTKITKTPEDDFVLPSLSSWMENYKRSESQEQDKVTVSNDTESDVKKITKLLVKPFESLDSVIQVLNNSGVTPSEYLVSQILKRFDNNWKSAYGVFIWAESQMGSKFSSDMYNLLVHSLGKSRKFDLMWEVVEQMVPLSYVTVNTMAEVIRRLGKAGSHEESINTFRRIEQFGVKKDISTLNMLIDSLAKEKNVERAIDIYQEFKNEIPPNSHTFNSLIHGWAKARNFEKAQTTMEEMKEHGICPDVVSYTSLIEAYSREKDFKKVDEILEEMQEKGCPPNVITYTIVMHALGKSKEIDKALNIYERIKSNCILDTSFYNSLISILSKSGRLKDARQVFDEMPSQGATHDTQSYNTMITSFCSNTQEEDALKMLHEMEMNNVKMDFDTYAPLLKMCCKLKRMKVISFLVNHMLENNVSVGIGTYSLLVRGLCKSGKVKHACLFLEDAVMKGFEVYDTMFKVLEMELEKQGMNEEKKRIQELKQIRLG >cds-PLY66292.1 pep primary_assembly:Lsat_Salinas_v7:8:218269686:218270399:-1 gene:gene-LSAT_8X135141 transcript:rna-gnl|WGS:NBSK|LSAT_8X135141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMGTNQVKVVRKLVLVQDFSKLNWCKYMLDCLGSRKKLWKRDDKSSYYSGPIRLLLAYAAVLEHSYGLILTKKVLWKLR >cds-PLY68909.1 pep primary_assembly:Lsat_Salinas_v7:2:194154656:194156873:1 gene:gene-LSAT_2X115420 transcript:rna-gnl|WGS:NBSK|LSAT_2X115420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFRVDQKLLLEMIRYTHKLMTEAKGEKTSIANLHEHGGIFCEYSKRLNRVVQLRCQVSCYECCMWFNAFLGGA >cds-PLY74567.1 pep primary_assembly:Lsat_Salinas_v7:7:39714991:39716633:-1 gene:gene-LSAT_7X28721 transcript:rna-gnl|WGS:NBSK|LSAT_7X28721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVESGSVELFGSTLKSLLECKENGEVLSNLAPPSIYMVPSVVRDLSPSSFTPSVVAIGPLHRQDEHLKGFEAQKTTYLHNWLDGLGMAPEQTLRECLEKVIGSIERIKACYAGLTTYDDFELAKMMVIDACFILEFIHSVLVALSVGTHTLITGSIWSDMLLIENQIPFFVLENIFECTALASGRMREGASVTRYFKKLLRRNYFFQGNVVASDASTPDHILGLFHKYFRPAEPMPSMPESSIKRHTAMELHRAGMKFKPYEDENWALAMKLELPLPLFPWFHKFIAIPQFSWFRPPTLRMQQMHIGDGSELILRNLVVYEQAQFREERYFTSYLIAMDMLINTPEDVAMLEKSKVLVSYFGSNEEAADMINNLCKNLEFVFLYNRQWEEMDAYYTSYWPHTVAGWKLTYFNNPWSIIALFAAFVLFALTVVQTIFTIKAG >cds-PLY86452.1 pep primary_assembly:Lsat_Salinas_v7:8:3485561:3488289:-1 gene:gene-LSAT_8X2900 transcript:rna-gnl|WGS:NBSK|LSAT_8X2900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEYYPSKIALWPARMPLWKFLWPHWKAKRSNSRIKILEISFKMKIILTLKKERHETLDSKCISIRARARSSRRYLEYGYPVRTFTILPFPSLRFIFGRVLLRDYLLMVVQPSLISSKCFLIAWGSFLLPPPGLGVEELNFALHALQALTLPLLLDPTRATTVGSVSPWLGENATRSFLHAYQSCVLHDYLGIYFVHQRPCLFVFYSKACVLY >cds-PLY85595.1 pep primary_assembly:Lsat_Salinas_v7:2:118706206:118707268:1 gene:gene-LSAT_2X56001 transcript:rna-gnl|WGS:NBSK|LSAT_2X56001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVKECTHHKNKSKKLFRKLFAGFLILAFLVLLTFLITWAILQPRKPRFTLQDATIYSFNVSAPILLSSNFQVTISSHNPNDRIGVYYDKLDVFASYKNQQITYFTAIQPVYQGHKDNNVWSPFVYGTNVPVAPYNGASLTQDQSNGQISLIIKINGRVRWRVGRFISGRYHIHVSCPAYIPFGNKLNSAIPGIVVGTGIKYQLSTRCSVNV >cds-PLY71070.1 pep primary_assembly:Lsat_Salinas_v7:3:163077244:163078023:-1 gene:gene-LSAT_3X100500 transcript:rna-gnl|WGS:NBSK|LSAT_3X100500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLCYDAAQTSTLMVATAERVRHTGANERQLKAFQGVMASMREEFCDSKAEGQILFELNCIVAYEKAILEDHVASLEDQSERLTSQVSSLTWDMDVLTSELARCQSQLDRACVDGVIGRGGLQWMLDNGVVHGKQLSGFSTSAREPKVPDPGRLARRAAEVDAALSSLVETYFAGLFRLGSWIMTAFSSFVAGRVREVLPQTLVLPFCSSCNVVAFLCTMCIVKNVGC >cds-PLY95173.1 pep primary_assembly:Lsat_Salinas_v7:1:169910570:169918560:-1 gene:gene-LSAT_1X113760 transcript:rna-gnl|WGS:NBSK|LSAT_1X113760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMFLGRRDRDTKLKVTLWGHNAYYMSDFLANNNNLDPVVVIVQFAKPANSESFMIGSSDIGSQETKVLKITGENLTPCAMDNSTATSPIKLVSTPTELKRNLAICIDLDEMENLSTSKTARLSPPDEQPTPLFVPKKEK >cds-PLY91003.1 pep primary_assembly:Lsat_Salinas_v7:7:129776659:129778226:1 gene:gene-LSAT_7X78621 transcript:rna-gnl|WGS:NBSK|LSAT_7X78621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALNLLKSWLFLVLMYLLINYSTCSNHTLLAKRSNPSHFAPAVATWYGDRDGAGSGGACGWANDVKSAPFSAMIAAGNAKLFLKGKGCGTCYQVLCTRKPYCSKNPITVTITDECPGACNNVPFHFDLSGTAFGAMSSPGQADKLRNLGVVNIQYRRVKCNYGRTKIAFKIDPMVNPYWFAMAVEFCDGDGGLDAVEIGLNGSKEFRSMENTWGAVWALNIDPSFRGPFSFRLTSRRNEAVLALNAVPHGFKPGKTYYSHVNFGF >cds-PLY98829.1 pep primary_assembly:Lsat_Salinas_v7:7:24788111:24791257:1 gene:gene-LSAT_7X20680 transcript:rna-gnl|WGS:NBSK|LSAT_7X20680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIVDDRPPSDGGGSKLWGILKLPFRSSRNTTPHTSTLSSSYQIERSHAHKKNEKYSSSSTTSVSSLVRSLLPTRRRLRLDPRNKLYFPYEPGKQVQSAIKIKNTSKSHTAFKFQTTAPKSCFMRPPGAILAPGETIIATVFKFVEPPENNEKPTDTKRKVKFKIMSLKVKGVIDYIPELFDEQKDLVAVEQILQVVFLDVTRPSPALEKLKRQLAEAEAALETRKKPPEDTGPKVVGDGLVIDEWKERRERYLARQQVEGEDSI >cds-PLY72046.1 pep primary_assembly:Lsat_Salinas_v7:2:204931951:204932799:-1 gene:gene-LSAT_2X124920 transcript:rna-gnl|WGS:NBSK|LSAT_2X124920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTRAIKDQRKETRTQFRDHRTYADMLRPTHITNSRPESTRPLTLQREPTTLSWLRKTTIVGEVMSLDHLGHLPKLLNSNGESNMEIKYIGGLRVLILFDHSVAAKEFMENKCRWKDHMKWLRWGDKAETHVERVAWIRIIGLPLHLWGQSNFEIITSAYGKTIAPFKDIPHRVDLSHVKIGILTKRKMHINEEVTATFEGKEYQLGIIEFDEDWFPFRFDPSEDYLEKNSHKKMTIRKKMKLGRQWEKTKEKKERFGRNCKTRRRKIGFRRMTRDGRRW >cds-PLY73645.1 pep primary_assembly:Lsat_Salinas_v7:5:205865909:205867776:1 gene:gene-LSAT_5X93800 transcript:rna-gnl|WGS:NBSK|LSAT_5X93800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHKDKKMALATKFIITFTLLSLLFLVVFLSPINQSHNILSSSGLPLPSIFRLLQDDAEPKIKENDIRLARIEKQLAKARGKIRKAIVEKSFASSQINGSFIHHGTVYKNPFAFFQSHTEMMKTFKIWAYKEGDVPLMHNGPMKFVYSIEGDFIEEMERKGNPMVAKHPDEAHVFFIPISITKIVHYLFMPDDEPFAFIDRTLQPIVEDYINVIAEKYPYWNRSNGADHFFVSCHDWGPFVSKGNLKLFENFIRVLCNANSSEGFVPSRDVSMSGIHGYVRKRLFEHWGNNEDNDIQVYNYLPKGENHTEWLTKIIIKSHYVIPYSDVLDWSQFSVQVPVDDIPNLKTILQEIPYSRYLQMQRRLMEVQRHFAVNMPAKHFDVFHMIFHSVWLRRLNVRLLKS >cds-PLY89011.1 pep primary_assembly:Lsat_Salinas_v7:3:72942899:72943165:1 gene:gene-LSAT_3X61981 transcript:rna-gnl|WGS:NBSK|LSAT_3X61981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVYKIVSEGLKDEAPVELANLDNKPPDTLMMEEMQVQMEKMEEDLHIIRVDDEDSTLYAKDLDPCKDESAEGSEKSSPTKTDVKIFI >cds-PLY84412.1 pep primary_assembly:Lsat_Salinas_v7:9:113326521:113328101:-1 gene:gene-LSAT_9X79140 transcript:rna-gnl|WGS:NBSK|LSAT_9X79140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKTRRRNASQAKAVKVFPRPAGSLRPQVHCQTLKYNMKLREGHGFSLEELKAGDSTPEELANATQVQGHVLPIVREKPVVELVKITEEMK >cds-PLY89720.1 pep primary_assembly:Lsat_Salinas_v7:7:42452192:42458646:-1 gene:gene-LSAT_7X30980 transcript:rna-gnl|WGS:NBSK|LSAT_7X30980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELGIRGVKPDEPTINNHNTTAAPSSSSGGSNMNPTNNNHTLAQYGVDARLLSEFERSVGSGKSFNYSDMLTNAPKDVAEKEMTAYLEAIQRGGFVQPFGCMVAIEEATFRIISFSENCFSVLNLNPDEPKSLLGIDARTLFTSSSSISLQRAVASREITLLNPIWVHSKKTRKPFYAILHRIDVGVVIDLEPANSSDPTLLLAGAVQSQKLVVRASSRLQSLPGGDIGALCDTVVEEVQKLTGYDRVMIYKFHDDEHGEVVSEIRRSDLEPYLGIHYPATDIPQAARFLFKQNRVRIIVDCCAESVRVIQSDELKQPLCLVNSTLRAPHGCHAVYMANMGSIGSLVMAILVNNNASMKLWGLVACHHSSPRYVPFPLRYACEFLMQSVGLQLYMELQLAEQKAEKRVLSMQTTLCDMVLRDSPFSIITQSPSIMDLVKCDGAALYYNGKIWLLGVTPNESQVMDIANWLYSEHKDSTGFSTESLLNAGYPSAVLLGDTVCGMAAARITSKDFVFWFRSHKEKETTWGGAKHHPEEKDDGNRMDPRSSFKAFLEVAKSRSLPWEVSEINVIHSLQLIMRASVQDNADNGGGGRKVVKYGQESESIGQGMDEISAVACEMVRLIETASVPIFGVDGSGLINGWNAKIAELTGVVASEAMGKSLIDEFILETSRGVVEDLLRRALEGEEEKNVELELGKAGTDQQNNTTIYIMANTCTSRDYMNNVIGVCFVGQDVTTEKIVMDKFVRMEGDYKAIIQTLNPLIPPLFASNEKACCSEWNAAMEELSGRRRHEVIGKVLPGEVFGGLCRLKDEDTLMKFTILLYRTINGHDTSDMPFAFFGKDGNLVEVYLTANKKVAEGGKVVGCFFFLQTSPQVSFGEDEGEFVLKRDNLAYIKQEIKNPLNGLQFTHKLLENSGVSSYQKQYLETSVACERQIASIIDDMDIRSIEEGSMKLNMDPFVMENLLDAIVSQVMIVLKEKNIPLVHEIPDQVKKLALLGDQIRLQMVLSDFLLSIVHHAPSQNGWVEIKVSPGLLMIQDGHEFIHLQFRMTHPGPGLPANIIGDMYEDRKQWGTQEGLALNLSRKLLGIMKGLVHYVRDDNKCCFLVEIQLKTRK >cds-PLY62397.1 pep primary_assembly:Lsat_Salinas_v7:5:309540145:309540606:1 gene:gene-LSAT_5X168460 transcript:rna-gnl|WGS:NBSK|LSAT_5X168460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIKRSWEDDREVESLAMANCLMLLSKVGESGASPGRVFHCKTCNKAFKSFQALGGHRASHKRPKSSDVNEPPSPAKPKIHECSVCGLEFAIGQALGGHMRRHRDGANDKSGRPVVAPPVQVTEKRWLWDLNLTPHENDLKLWSSSVKTALAI >cds-PLY92165.1 pep primary_assembly:Lsat_Salinas_v7:8:5472569:5472958:-1 gene:gene-LSAT_8X4381 transcript:rna-gnl|WGS:NBSK|LSAT_8X4381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEIKGSTSTNNAYANGLVQINNGSLEEKLDELRNLLGKIDDDPLRIVNVGVGAWGNVFALMLQDGYGHLRDKVQIRIWRRAGRSVDRATSQHLFDVINSQEDVLRRLIRRCAYLKYVEARLGDRVL >cds-PLY94303.1 pep primary_assembly:Lsat_Salinas_v7:7:165565757:165567767:1 gene:gene-LSAT_7X97841 transcript:rna-gnl|WGS:NBSK|LSAT_7X97841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKTAQSWFTGGPSQTNSDLLKQPPSLLADWNAYASSSQSNQDLDSSNLGFDLEAAVRDKVSGTFNVVSKGVRDIPGSLQSATSNVPTGKSFMTFGILLAVGIFLVFIAITVFLPVMVLVPQKFAICFTIGCALIIASFFALKGYRTQFAHMTSKERLPITLGFIGSMLGTIYVSMVLHSYVLSVFFSILQVISLSYYAISYFPGGSAGLKFFTSAITSSILRCFGR >cds-PLY67066.1 pep primary_assembly:Lsat_Salinas_v7:5:283661353:283662299:1 gene:gene-LSAT_5X150761 transcript:rna-gnl|WGS:NBSK|LSAT_5X150761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Em-like protein GEA1 [Source:Projected from Arabidopsis thaliana (AT3G51810) UniProtKB/Swiss-Prot;Acc:Q07187] MASAQERRSDLDAKAKEGETVVPGGTGGKSLEAQEHLAEGRSKGGQTRKEQLGTEGYKEMGTKGGETRKEQLGTEGYKEMGSKGGEARKEQLGTEGYKEMGSKGGETRKEQMGSEGYHEMGRKGGLSTKDKSSQERVEEEGIEIDESKYRTKT >cds-PLY98281.1 pep primary_assembly:Lsat_Salinas_v7:7:170666715:170667330:1 gene:gene-LSAT_7X100541 transcript:rna-gnl|WGS:NBSK|LSAT_7X100541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMIQENWATINGQDGFDEFEPLEIDDTLWMSILDEPHVDDECDDERLSNVIRSLEAEINPIVIDESDMSLELEWNADWEGSHQFSTSQNVSKSHDLDYNWMEIDDMYIQGYEDGIGGIIEFGGVKDFSQISYGVDEHVYGALWQETN >cds-PLY72954.1 pep primary_assembly:Lsat_Salinas_v7:8:135486693:135490136:-1 gene:gene-LSAT_8X94141 transcript:rna-gnl|WGS:NBSK|LSAT_8X94141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIFVPENPGLLLASNSTVSTMIKQFLAKIPRKSSKSNTSDPDGGSSSSSITNDVSNNNGFLNTYNAISSRLNTVKKMSSSIFPASIMPAGEMIDPRVPFKDVQNSDKLRLLISKLNLCSKLYDFSDQNQDSIEKDIKRQTLSEIIDFLSSESPKLTEPAMFSICKMCGNNLFRDFPPKNSIFSQRGETEDEEPCFDPAWSHLQLVYEILARFLTQTSLDPKIAKQYIDHSFILRLLDLFDTEDPRERDCLKAILHRVYGKFMVHRPFIRMVVSNIIYRFVFETEKHNGIAELLEIFGSVISGFALPLKKEHKMFLLRALVPLHKPKSVGVYHHQLTYCVVQFVEKEPKLSSDVIKGLLKFWPVTSSQKQLMFLSELEELLEMIHTDEFEKIMVPLFRRINCCLRSSHFQVAERAHFLWNNEHILHLIMCNRQVIMPLVFSSLEQNSQKHWSRTVVNLAQNMMKMLDDVDHELVVSCQGRMEEDKSTLTVAAERRRLTWERLESVSIMEETATTCVVSC >cds-PLY94684.1 pep primary_assembly:Lsat_Salinas_v7:6:19646774:19647337:1 gene:gene-LSAT_6X13661 transcript:rna-gnl|WGS:NBSK|LSAT_6X13661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNRIVSERPVVIFSKSSCILSHTIKSLFNDFGVNPTVYELDEIARGREIEQALSGFGCSSMPIVFIGGELVGGANEIMSLQLKSVLKPMLIRAGALWV >cds-PLY64675.1 pep primary_assembly:Lsat_Salinas_v7:7:137181603:137182790:1 gene:gene-LSAT_7X81800 transcript:rna-gnl|WGS:NBSK|LSAT_7X81800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNYHFATLLISLLAISVFQTSFSALVKEQPLLLKYHNGALLRGPVTVNLVWYGKFTPTQRSIIVDFLQSLNTVKGTRKAATPLPSVSSWWKTTEKYHNGSSVTVVGKQILDENYSLGKLLKNYHIQYLATKVAQPKSINVVLTAKEVGVEGFCMSRCGTHGSTKAKPRVAYAWVGNSETQCAGQCAWPFHQPIYGPQSPPLVSPNGDVGVDGMVINLATVLAGAVTNPFNQGYFQGPATAPLEAVSACTGMFGSGSYPGYPGDVLVDKSTGASYNAHGLNGRKYLVPAMWDPKTSACTTLV >cds-PLY81308.1 pep primary_assembly:Lsat_Salinas_v7:4:37746689:37748310:-1 gene:gene-LSAT_4X25281 transcript:rna-gnl|WGS:NBSK|LSAT_4X25281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METWFVLIISLCVAALIRALLLYRKDGKKLPPGPSLLSSIFIILTTPLSELEPILRNLKSKHGPLITLFIGPPPSIIVSSHSVAHQILIQKGTIFSDRPSTIPVRNISSASYGPTWRVLRRNLVSEILHPSRVTSYSWSRKWVLHNLIGRLQDQNDAAGIKVVDHFLYAMFCLLVLMCFGEKLDEHQVNEIAGVQRRLLLLAGSGRLNVLSYYPKLGKILFRNRWKELWKLRNDQEQVLIPLIKSRIQAANSEPQSVGDEKVVAYVDTLMNLQLPEEEANKENGGKLTQKEMVSMCSEFLNAGTDTTATALQWIMANLVKYPEIQSKLYDEIISVAGPPPPPPPPGVEVEPESLISEEDLKKMPYLKAVVLEALRRHPPGHFVGAHRATKEVEVQGFMIPEGAHVNFMVAEMGLDPKVWEDPMEFKPERFINGDFDITGSKGIKMMPFGAGRRICPGFDLALLHLEYFVANLIWYFHWSPPDGYHVDLSERLEFTVVMKNPLRSHISSRAQK >cds-PLY84460.1 pep primary_assembly:Lsat_Salinas_v7:7:128916176:128917448:-1 gene:gene-LSAT_7X78920 transcript:rna-gnl|WGS:NBSK|LSAT_7X78920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMHRSSSSSSSTTTNSVNGFYNFLTHSLDDLYSSFHSQNFISIHFLQLVFSSLQSFHNQLILLVHKLHLPVGEKWLDEYMDESARLWEVCHVLKSCISNMENYCSMGVNIPSILENIDSNPQLAREVSRAINRCQRERVRLEEENKSLIETRIKPLMIQFDESVLIQSKFNGFNGFRGALYALKNISSLLFKIMVNGLAYCSNDSSFSSVSNMNTTCYNVNHTVSETSFMVSATRLMERVKDCGEGQNGILLYEFRNTGYAMDELQTKLDRVRGCEMGFDISERVENLKSCVGGLQYGIENMIVQLDDFFDEIVESRKKLSDLCIHTSDV >cds-PLY94015.1 pep primary_assembly:Lsat_Salinas_v7:8:100080271:100083134:-1 gene:gene-LSAT_8X69760 transcript:rna-gnl|WGS:NBSK|LSAT_8X69760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLVARYEQQDLIIKKSDILDYDDGRRLLCMGGGKDGRTIHVGDCALFKPSHNSLPFVGLIRKLKVGKENNLTLSVNWLYRPADIKLKEGASLEAAPNEIFYSFHKDEIPAASLLHPCKVAFLPKNLELPSGISSFVCRRVYDIESKCLWWLTDQDYINERQQEVDKLLDKTRVEMYGALQTQTGGRSPKPLNGSQLKPSSDNVQNSSSSISSHAKSKKRDHGVHKSKVDDADSGQLRPEHIIKTEISKITDKGGLMDFQGVEKLIQLMRPENTDKKLDLSSRTMLVDVISVTERFDYLTRFVQLRGLSVLDEWLQEIHKGKIGDGNGSPKENDKSVEDFLFSLLRALDRLPVNLHALQTCNVGKSVNHLRSHKNSEIQKKARSLVSTWKRRVEAEMTMIETKSSTSRGGSWPSKSMMSDVSHLGNRRSTSTSQPSPLKSPQQPKVNNNNNNSGEPAAKSPADKLAATGGGGGGGGGGSSDMPSSGTKEGRSSSTTHSPNNSQSCSSDHGKTGSVYNSRNSSNGFHGSTTPGNEKGNLGKIRNFGNEKGAGHVSDVSIVDNGNNQSVVAPSEKQDQKTSGKTVNDSLQSNVEKMDTDGKDGMEGCDDAKSATVTVVLPDEHGGSSSGVTPKPGKLYEPSYSSINALVESCAKFSEASVSVSPSMGDDGGMNLLASVAAGEISRSDVACSPPLPEDSXFWST >cds-PLY74846.1 pep primary_assembly:Lsat_Salinas_v7:8:107180830:107181561:1 gene:gene-LSAT_8X73260 transcript:rna-gnl|WGS:NBSK|LSAT_8X73260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVGFLVKSSGKATKITSKLNERAGFCLDEEIELYEQIKFETSVMCERLDKRSPFRSSQVLALEYLYSLNIIHRDPKPDNLLIGPEKI >cds-PLY98371.1 pep primary_assembly:Lsat_Salinas_v7:5:314394010:314394535:-1 gene:gene-LSAT_5X171641 transcript:rna-gnl|WGS:NBSK|LSAT_5X171641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQIRKLDDTEFRNPWQRRVYPDYTEEPSALASGGPNIKNIGLTQVCSMLKFGYYISRDVYIGSANNDRKSLTQEVGIYLVSCPDIAKKVEIFYNNLWKLGSLNSSDYTRKIWDQ >cds-PLY65704.1 pep primary_assembly:Lsat_Salinas_v7:5:273274539:273275543:-1 gene:gene-LSAT_5X142760 transcript:rna-gnl|WGS:NBSK|LSAT_5X142760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLMIRFSYLLDWKESSLSSINLIQRHNAPSSKTAKGSTIRFGTPAEGDTILEFESSINSHAHRESGDPTVISSFPSDKRKTKSNRSQGKLSTSYFSKPTEKTSVSLKCRRDLSSSEGATRVDMKQRTIMNMPLDQPRDPKDLVLPKRLRSAHHTKSSNLPATDKVTKAPSSDNLSIVKPPFPMSVDPSLLHHFAIQNEPEFVSATEALYGPLPHPNRPYVPSSDPTTDVVSMNLEPLPKVPGSKIQSVMSLLRKGTSQRAFQDMLFWPNVASMTSSTIVTVTESNPASSIFSIPVTDPINIIELITSSKGVAPDMIAYGRLVTGVVPNSFLAP >cds-PLY88172.1 pep primary_assembly:Lsat_Salinas_v7:5:221030411:221032350:1 gene:gene-LSAT_5X102341 transcript:rna-gnl|WGS:NBSK|LSAT_5X102341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMHSLMPKWNQVPRSSWGVFHSQLLLRSPKLISPASVCLIFTLSTTNLIQDYIRDGNINAARKLFDENPASCNTVAWNSMITGHIRHNQMQSAHDLFDKMPMRDVVSWNAMLSGLNKIKDPHKLHQLFLQMNRAGERPNQFTFSTIISGFLNTFHVLVPQLHSLILHLGLHSNVFVGSALMRGYTHLMDRSSLCRVFDDIHTKDISTWNALLVGYMDLGFIAEAQMTFDMMPEVNIISWTTLVDGYIKNRNINQARHMFDKMPQKNVVSWTAMIKGYVQQENYTNAIQLFISMLHSNTSPNHFTFSTLLDACAGCSMFLLGNQLHSCILKSGLCQELILSTSLIDMYTKCGDIKSAFTIFDSMKTKNTVSWNSIIGGYARHGLAHQALSEFKKMTESGMKPDHVTYINVLSACVHGGLVEEGEHHFHSMVERYGIEAEMKHYTCMVDLYGKAGEVDKAVRLVKEMPFEPDVGVWGALLAGCGLHSCYEVVNGLENLGRDYSSIYNMLIKIHSEKGSWSRAIEMRDMGIKRGVKKQKAGSWVEFSIGAS >cds-PLY96103.1 pep primary_assembly:Lsat_Salinas_v7:3:98263892:98264734:1 gene:gene-LSAT_3X72881 transcript:rna-gnl|WGS:NBSK|LSAT_3X72881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRLEPDLVNWSGTKTGSRGGGGRSRGGGRGSSGRGNRVGGRGSSEGVQTEYGDGTSEFPKCEPEVFAIPNVESENVESENDASPDFHNHISLTIDNLRKSLYTTEEIMDCLGLTEAELQEIEGLGVSEAEVQQIEDVDVAMSQDVGIASQITVEELPTNHVLGDEERMNGEDGIDDPGMGEVMMNKERMDGEREIPSTQQLNQVRRRTTKRSKVNQVRRRKPSERIIEIQLQKVVVVKNGKGMSSSNPLSLD >cds-PLY64760.1 pep primary_assembly:Lsat_Salinas_v7:3:187547664:187550527:-1 gene:gene-LSAT_3X111560 transcript:rna-gnl|WGS:NBSK|LSAT_3X111560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNSESLAADGDQATPITIPSESEDQVVTPDPLLGSGSFIDPNNGGDQNQKQNASKETENASANPDSIHLKYTRPSWLPENFEMILRKRTSGATEGTVDRYYIAPSGQRLRSKNEVLTFLETGAKRKKTTPSTPNSDDAASEGSAPRSKKKTSARKKVHAAFSFDFRNPPEKVSWCLTYATEDVWSPRIGDWELPLATKQEWASVFNHVCQS >cds-PLY93055.1 pep primary_assembly:Lsat_Salinas_v7:9:27337529:27339995:-1 gene:gene-LSAT_9X23961 transcript:rna-gnl|WGS:NBSK|LSAT_9X23961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPPPLLPFLPLVPFLLLLTIVCYFIHRRRGLPENDKRRLPPGSLGWPYIGETLSLYTQNPNNFFSKRQKRHGKIFKSHILGCPCVMTSSPEVAKTVLVTQSHLFKPTYPTSKEMMIGPEAVFFHQGSYHSHLKKLIRSSFLPSAIKGLVPKIESIVLDLLPVWEHSKVINTLHEMKKYSYQVAIISVFGKKLEVDEMEGVKHLYHCIEKGYNSMPLDLPGTPFNKAMKARKLLNEKLRKMIEMRRENGEHGGGLLGLLLGLKENDQLSDSQIADNIIGVIFAAHDTTASVLTWLLKYLHDNPHLLDAVKMEQEEIKCTRLETNRGITWDDTRRMPLTTRVLQETLRTASILSFTFREAVEDVEIDGYLIPRGWKVLPLFRAIHYSSDFFPNPNKFDPSRFEVAPRVNTYMPFGNGVHSCPGSELAKVETLILVHHLITSHRWDVIGDHNGIQYVPFPVPKQGLPIKIHRISEI >cds-PLY77367.1 pep primary_assembly:Lsat_Salinas_v7:1:207045462:207045803:-1 gene:gene-LSAT_1X122920 transcript:rna-gnl|WGS:NBSK|LSAT_1X122920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVASGGWVSVVTAATESKKEEHHSRRGVVVSITAMTTMGHNTESQNYPQPSSSRSAASTTSRSAVNFLTDGDGDGGVVGGTATMAAHHNRSSLTTKEAVTAMVCRRWQWKITP >cds-PLY68025.1 pep primary_assembly:Lsat_Salinas_v7:5:294138604:294139284:1 gene:gene-LSAT_5X156980 transcript:rna-gnl|WGS:NBSK|LSAT_5X156980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPSVKLEKRSQKTSKKNPVKVVYISNPMKIKATPSEFRAVVQQFTGRYAISPPPGALTGVPERDHEVGSLGAQQKWQLINRASIDAQLTVAGSDPSHESACREDQVSDDLIITPQMLESFSPLFPNDFGS >cds-PLY66560.1 pep primary_assembly:Lsat_Salinas_v7:6:166167935:166169793:1 gene:gene-LSAT_6X101620 transcript:rna-gnl|WGS:NBSK|LSAT_6X101620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSLATSLDEVDRTMYSTFCNAANSLSQLYSQAMSQQKLSFLSGERHGLEKLYQWISKQYAEGLRVTTEDILAYIQAELESSVEEAPIAPRTLQQPPQVTNPFINSGPSNVPGGVQGFRDNTTGHDSTL >cds-PLY71562.1 pep primary_assembly:Lsat_Salinas_v7:3:53720329:53720487:1 gene:gene-LSAT_3X41220 transcript:rna-gnl|WGS:NBSK|LSAT_3X41220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKALRSRIEGTGRNQNSNVSVLGGPGGLATASREVIEVTWRLAVHTWKVMAT >cds-PLY83620.1 pep primary_assembly:Lsat_Salinas_v7:3:249379779:249385191:-1 gene:gene-LSAT_3X137840 transcript:rna-gnl|WGS:NBSK|LSAT_3X137840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWTKQQDILRDLCSGHCSYIQFAPCAPSYLYAKYFASNLWERVFKEDPLSLETGTVIRKKLLQHGGAKDPTQLLNDLAGDGITSSYQNQGHGGGRDRPFLPLSSYEKSLRLGSHEVVFIADYFFRSSLAESSTYADLKVEVTLDKSMKINDITDVKIEATLFDINTNEGSNLLSTNVASLELQQPPHFPLGFHGYRLEGKLKNPKLWFAEKPNLYTLVVTLKDASGNIIDCESCLSWQGGFIWDWVDHGLLKENANGSKYWAYGGDFGDTPNDLTRQKRDYRLILLYGQ >cds-PLY82853.1 pep primary_assembly:Lsat_Salinas_v7:1:87496950:87500815:1 gene:gene-LSAT_0X4881 transcript:rna-gnl|WGS:NBSK|LSAT_0X4881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMEVPKKWFALCSFLFLILLLSAVAAVVQKNNSSNPRSMRNNTERRKLGYFSCGTGNPIDDCWRCDPNWHRNRKRLADCGIGFGRNAIGGRHGRFYVVTDAHDDNPVNPRPGTLRHAVIQTEPLWIVFKRDMVIQLKQELIMNSFKTIDARGVNVHIANGACLTLQFITNVIIHGLHIHDCKPTGNALVRSSPSHYGWRTMADGDAISIFGSSHIWIDHNSLSNCADGLVDAVMGSTAITISNNHFAHHNEVMLLGHSDSYVRDKMMQVTIAYNHFGKGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRYLAPANPFAKEVTKRVDTDARNWHGWNWRSEGDLLLNGAYFTPSGAGASASYARASSLGAKSSNMVASITSSAGVLNCRRGHQC >cds-PLY95076.1 pep primary_assembly:Lsat_Salinas_v7:1:91999894:92001406:-1 gene:gene-LSAT_1X76460 transcript:rna-gnl|WGS:NBSK|LSAT_1X76460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVKSSATIHLKLPRASTSVLVKNLYLSCDPYFRRRMNKPQGRYVIPSLLVRNMFPVNLDILNSIIWLLFSMLQPIAGVGVAKVVDAGHSYFKKGDLVWGFTGWEEYTISAPRTLFKIQHTDVPLSYYTGTLGMPGMTAYYGFYEICAPKKGEYVFVSAASGAVSQLVGQFAKLTGCYVVGTAGTNEKVELLKNKCGFDEAFNYKEEQDLDAALKRYFPPGIDIYFENVGGKMLEAVLLNMRSNGRISACGMISQYNLYREEGVRNLSCIIAKQLLVKGFIVSNHFNLYPKYMEMVIPLIKEGKICYIEDIVEGLESEPAALVGLFSGKNVGKQVVVVAHE >cds-PLY92509.1 pep primary_assembly:Lsat_Salinas_v7:4:210182656:210189063:-1 gene:gene-LSAT_4X117661 transcript:rna-gnl|WGS:NBSK|LSAT_4X117661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQPQTHLNVADNSGARELMCIRIIGASNRRYAHIGDVIVAVIKDAVPNMPLERSEVVRAVIVRTCKELKRDNGMIIRYDDNAAVVIDQEGNPKGTRVFGAIARELRQFNFTKIVSLAPESNGAPEGNSNVSGRQPVAAIVRLTIPALLAKLCEGTPGVNANNGIISGVLVTVGDLARVGGFAMREYIPKLIPRIVEALLDEAAATKREVVVATPGQLVQSTGYVIAPCNEYPQLSSLLLKLLNGELAWSTRREVLMVLGLMCALDPHVHKRNQQSLQGPLDDGTCTTNDVGLHI >cds-PLY73438.1 pep primary_assembly:Lsat_Salinas_v7:4:181121198:181121614:-1 gene:gene-LSAT_4X104920 transcript:rna-gnl|WGS:NBSK|LSAT_4X104920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVQPKHATNTVEQTNADLRAREPVPTIDGQTMPRQRAIQDSRWLVASVKDKPNLIGMKELSAGNNKDSCGVSQNPICKLSYRREPTRAFDEQNDTPNNHVKHNIDRRVEKCSWYSHPTKGRCFARLQHALGKKERKV >cds-PLY78370.1 pep primary_assembly:Lsat_Salinas_v7:9:9067574:9068371:-1 gene:gene-LSAT_9X6220 transcript:rna-gnl|WGS:NBSK|LSAT_9X6220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNRFDFEAFGLAVVMLCGVAMAQSGCTTALVSLSPCLNYVSGNSSTPSSSCCSQLANVVQSQPQCLCPFTGNGAGSPSGLNINQTLALALPAACNIQTPPVSRCNDGASGPTSSTPSNTPSGSGSKTTPGTSAGTSNEAMKNLPLFVTFVLFFMAAYFSYPVA >cds-PLY65036.1 pep primary_assembly:Lsat_Salinas_v7:1:118006297:118007318:1 gene:gene-LSAT_1X90901 transcript:rna-gnl|WGS:NBSK|LSAT_1X90901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQALATPVAPSLSLICTTSSSFKSKSASNSLSFSTFNPPKVGGLSIRCARVGGVEIPNNKRVQYSLQYIHGIGRTRALEILNDLKMDNKITKDLSEEELIILRDEVSKYMIEGDLRRFNALAIRRLKEIQCYRGVRHIQGLPCRGQRTKNNCRTLKGKKIAIAGKKKAPR >cds-PLY80103.1 pep primary_assembly:Lsat_Salinas_v7:5:146056121:146058537:-1 gene:gene-LSAT_5X63841 transcript:rna-gnl|WGS:NBSK|LSAT_5X63841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARVAAQRYTFSYQTPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYGGWKAAAVGANNQAAQSMLKQDYKEEISREEAVQLALKVLSKTMDSTSLTAEKLELAEVFLDDGGKVKYQVCSPENLGKMLVKYGVTQAPVET >cds-PLY87375.1 pep primary_assembly:Lsat_Salinas_v7:5:93744085:93744312:1 gene:gene-LSAT_5X42900 transcript:rna-gnl|WGS:NBSK|LSAT_5X42900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGADSSETSVVYAYSSALAMAELKSRIADREGIVTKEMQMKAGSGVGGWNFTVEERSSGYGLRRLSTKMIEAVP >cds-PLY74230.1 pep primary_assembly:Lsat_Salinas_v7:1:78354381:78357048:1 gene:gene-LSAT_1X65820 transcript:rna-gnl|WGS:NBSK|LSAT_1X65820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSTRFVASASLPPHFRYLCSHLPTDDLHSPLPPTPPKFSCFQFAAVDPNIIRDEPYDADEDEGEGEDIFNNNYIEYDEMSSKFTIEYTAKGATQNSIRVSQVLWMNYVCKVFKKMIRNKGEFVQIS >cds-PLY67241.1 pep primary_assembly:Lsat_Salinas_v7:6:135141532:135144384:-1 gene:gene-LSAT_6X81621 transcript:rna-gnl|WGS:NBSK|LSAT_6X81621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLPWPMQMRVEEVFALRKWYRVKGMSLIQFGFPGSRVSLKDWCSSEATNFLSYSMPSAIECKKSREQRCNEQAIKIFISRGFACFSGNANDLYYISNFSRGRLIISYEEFPIKSYYANQSFKA >cds-PLY80474.1 pep primary_assembly:Lsat_Salinas_v7:2:135954629:135955978:1 gene:gene-LSAT_2X65060 transcript:rna-gnl|WGS:NBSK|LSAT_2X65060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRITQYIEKTSVLKLQVGLLGTGKSLQKDLNQIAETADTSKPEGLRYVLQETTLALLRHPDYCIYGYSFVDVKRSIDEGEKRFNQLSIEERGKFDEETLVNVNNIRKQSATTQRSNDFRNEYIVITIIVAAEGVVNLPFIYNRAQLKKALQKLAIISSKRVKAVEVLWTPQNENDTLTERELLEDYCLLRHL >cds-PLY85727.1 pep primary_assembly:Lsat_Salinas_v7:1:47891674:47895550:1 gene:gene-LSAT_1X41821 transcript:rna-gnl|WGS:NBSK|LSAT_1X41821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESRLDPLYSSKEYALASTNLKGLPRGIIEAKSDLELKPLWSTSSSKLKGDVSSTHNLLAIPVGLKQKRNVNTVVQKFLTANFTVILFHYDGKVDGWRDLEWNDKVIHIVAHNQTKWWFAKRFLHPAAVSIYDYIFLWDEDLGVNHFNPRRYLNIVKTEGLEISQPALDPNSTDIHHRITIRKRTNKFHRRVYDSRGSVKCSDDSEGPPCSGFVEGMAPVFSRAAWHCAWHLIQNDLVHGWGMDMKLGYCAQGDRSKKVGVVDSEFIVHQGIQTLGGASFKKSSNHVDLLKKHGVDVRAEIRRQSTTELQIFKKRWEKAVKEDTAWVDPFDRRRKQR >cds-PLY91439.1 pep primary_assembly:Lsat_Salinas_v7:7:133715623:133717245:-1 gene:gene-LSAT_7X80180 transcript:rna-gnl|WGS:NBSK|LSAT_7X80180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIATLSFTSSFTPHHTFHHRRRRHHLLKPTTKISSQVSLTTPPSITLQPTLKKTGVIVVGAGLAGLAAAIRLHSDNIPFLLVEASDGVGGRVRTDNVDGFLLDRGFQIFITGYPEARRILDYEALDLQKFYSGAKVYYGGGFHTVADPLRHLGDAIQSLTNPIGTIVDKSLIALTRIRVLTQSDDQIFSAEETSTINLLKRIGFSDSIVDRFFRPFFGGIFFDRDLETTSRLFDFIFKCLALGDNTLPAKGISAIPEQLASKLPSNSIILNTPVKSIDSDSESESSHTVRLNNGETLKAEYGVIIAVEEPEAVKLLAGKSNDIPVQIKPPRSTVCLYFSADRAQVPVPDPVLFINGSGHGIVNNMFFATNVAPSYGPPGKVLVSVSLIGLYEDVSDEDLKTKVVEELSGWFGKEVSVGSWKYLRSYRVKFAQPNQCPPTDLQKNPKLGLGLYVCGDYRTSATFDGALVSGRKAAEALLKDRSLVQAS >cds-PLY71208.1 pep primary_assembly:Lsat_Salinas_v7:3:120765084:120766158:1 gene:gene-LSAT_3X85221 transcript:rna-gnl|WGS:NBSK|LSAT_3X85221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRPLRLLKIRNINNFLRKVHWFEKFNWFIRNENYLLISGCDAQQNEMIVKRYMSKGDLYVHAELHGASSTVIKNHKPDNPVPPLTLNQAGYFRVSNTTATGEYLPGFGIVFWLDEMSLGSHMNERRERGEEGGINDSEDSEPFKELFDSGSENESPDSEYHVNVSNLSTNNQKIMDLTSEVGSLCEITTSSINNTNSQEVSIPTVSPELSDLLEKALELKSGATSAKKYGLDALEMKSKNNNEEEKEQ >cds-PLY99813.1 pep primary_assembly:Lsat_Salinas_v7:MU037944.1:99564:103185:1 gene:gene-LSAT_0X30301 transcript:rna-gnl|WGS:NBSK|LSAT_0X30301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLSFKLENTIEDDYVCYEKTLVLELACKQGLKDVSSYSQDSVPPECNSSIDCFHRCGMFGSHVCKNHQCVCLSNSEQKYPRPHVQIDENV >cds-PLY82446.1 pep primary_assembly:Lsat_Salinas_v7:2:183505578:183507659:1 gene:gene-LSAT_2X105880 transcript:rna-gnl|WGS:NBSK|LSAT_2X105880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRVFGVKKDKEPPPSLNDASDRINKRGETVDEKIKRLDGELARYKEQIKKTRPGPAQEAVKARAMRVLKQKRMYEGQRDMLYNQTFNLDQVAFASEGIKDAQQTMTALKSANKELKGMMKTVKIQDIDNLQDEMMDMMDISNEIQESLGRSYSVPDDIDEDDLMGELDALEADMGQETEGEGVPSYLQPDNEPDLNEELNLPSAPSGHAVPAGRANNQAVDEFGFPAVPHASLRS >cds-PLY93151.1 pep primary_assembly:Lsat_Salinas_v7:9:3191782:3194539:-1 gene:gene-LSAT_9X2760 transcript:rna-gnl|WGS:NBSK|LSAT_9X2760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIVCLMEQHVMMCLATRIDVWLVLDKTITVGSLQATTVYPERADSSNIDARTSNKCPVEDSSLGVDPDISKKEAFWKPLEKDLYLKGLQIYGRNSCLITKNLLPGLKTCSQVYSYMCDGGNNMRTGVDHKGQEMASRLQLLGRKGKAKKIKYSLKSSGHPPKWRRIAYEKNRSNKQYTPCECKSSCGKECPCIKNSTCEKYCGCLKSCKNRFKGCHCTKSRCRSHQCPCYAAARECDPDICRNCWVSCGGGSLGEPSAPRRGEGRCGNMRILLRQQQRILLGKSDVAGWGVFLKNSVRKDEYLGEYTGELISHQEADLRGSLYDRINSSFLFNLNAEASGSFSYGLSYVIDAMRKGNKLKFANHSSKPNCYAKVGIDIDIDMQIIKVGGDHRVGIFAKEHIEAGTELYYDYCYEPQHSPPWALKPPHRPKKHQSHL >cds-PLY90164.1 pep primary_assembly:Lsat_Salinas_v7:7:13489387:13490944:-1 gene:gene-LSAT_7X10721 transcript:rna-gnl|WGS:NBSK|LSAT_7X10721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVENILHMNIGHGESSYASNSFLQESVIRKTLTVLQDTIKGMVNIEAAFSKCFVMADLGCGTGTNTLLLASMVIDIVLELCKEDNYKAPKLQVCLNDLFGNDFNTIFKLLSTFYANLQKEKGENIGSCFVSANPGSFYGRLFQDESLHLVHSSYAVHWLSQVPEGIENNKENIYMAKSSPLNVFEAYKNQFHTDFIKFLQMRSEEVVHGGCMVLTFRGRSRADPTTDDGARIFELLAQSLLNMVKEGLVQESYMHSFNVPNYAPCEDEVRCTIHDEGSFSLDTFNVFQVNWDPYDTDYTNVKDLDEQSHIHGENCANVLRAVYEPLLTSHFGNLINIDVLFQKFQKLVAKDLANKKTRHFNVVISLTRK >cds-PLY82065.1 pep primary_assembly:Lsat_Salinas_v7:8:52132940:52133368:1 gene:gene-LSAT_8X38760 transcript:rna-gnl|WGS:NBSK|LSAT_8X38760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVFFAFVFVIVIIARSVLGIRLDTNFQTNTVKQYNISINDVGLWGLGFECQIEGGEMVYRLINPGDESFFEFHANDGDATFVPCEFYWTKSDKNIHVYDDSLKKQCGDDLVNTCKWKVSSDGFYVYDISQNPPNFVKMYDW >cds-PLY67606.1 pep primary_assembly:Lsat_Salinas_v7:6:123433861:123436620:-1 gene:gene-LSAT_6X75300 transcript:rna-gnl|WGS:NBSK|LSAT_6X75300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAFMEEFKHLKFQLEEIKLATTNFDNNNVIGKGGFGYVYKGVLRSHCEGRNVVAFKRLDRRYGQGDSEFWKEVLMLSRYRHENLISLLGFCDEDGEKILVYEHASHGSLDHHLSRPTLTWRQRLKICLGAAMGLSYLHDPKGTQQRVLHRDIKSSNILLDENWNAKVSDMGLSKIAPANQQHTFLVSNVVGTFGYLDPMYLAMSILTKESDVYSFGVVLFEVLCGRLCFEYNKGHLRSLVHLWKKNYKQKKLDEIIFQDLKQQMDPSSLETYSDIAYRCLQKSRDERPKMCEVVEKLEIALRFQEIFEESMNYEEIIKTAIPPLVYRSLEELKILLSKGIFLNRGRTFLPVYIYARFSPKTPTTDERRRRVGKYKGFARAQDEFMDVDFIDSGSFTSESSGKWLAQNPSNDKSVYRFTRKKVAINALFAITSVDASYVGLYLISDFVN >cds-PLY91894.1 pep primary_assembly:Lsat_Salinas_v7:8:196498944:196500269:-1 gene:gene-LSAT_8X126220 transcript:rna-gnl|WGS:NBSK|LSAT_8X126220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIVVEGQNQPKIALETIKLYEMEDDRISLLPNCLLLEILSRLQCTKDVIRTGILSTRWKHLWNSVPTLTFTYIDFNHPLSDFVSFVDKTLTQCHELKLKKFGVYTCYDIEFESQFNRWIRYAISCNVEELILKLWYPGSKAEFQLDQSLYISSCFIDLTLAGSVFNPMGMISWKKLRSLYISDVILNEDLIENILSGSPLLENLVLDDCYGFSRLDITSKSVKNLMLVGYMDPDDDYANNIMRINAPDILSLTIKGCLLMWKILLLNMSSLVEANFDYEKFGYYETTSEEAEEDMLKGFIVNCRNVKELKIGFFCSKVLARLEAKGFVFPSNMNFQPYCCVPSPSLYSDSDSLLDSD >cds-PLY67880.1 pep primary_assembly:Lsat_Salinas_v7:5:5886538:5889386:1 gene:gene-LSAT_5X2701 transcript:rna-gnl|WGS:NBSK|LSAT_5X2701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLSDIVIYQVNVPTSIVQPGEMGVTIVASGATANLSFEWSYSYSTWLFEFSDSGVAYVQVEGMEIGMTLGLKNQQGTLRLSPEDCGCYVSYISIKLDGGASWLYQGIVDYFEDDIVSAVEDTITNKVTDAVIKLDSVFQTFPKEISFGNITALNVTITEGPTMTTTSLLIGINGLFTQIDPKATLVGHYTNNLPSTGTGTGSCSNSEKMVGISVHENVLNSASTVYFNSKKMYWVVDNLPDQKILNTAEWRFIIPKLYKEYPNDDMSFNFTVLSPPLIQIQNQGIVATVNSDVFINVVDAGEVIPVACISMEIEASGFAGIISTTLNGGISLSKLTMSLKWSKIGTLHMLLVQSVMSRLLKSIIVPVVNLRLRVGYSLPDFHGYELQNASIIYHDSKILVCSDIARAYDDYIPHRFYYE >cds-PLY89387.1 pep primary_assembly:Lsat_Salinas_v7:4:121863632:121865065:1 gene:gene-LSAT_4X76320 transcript:rna-gnl|WGS:NBSK|LSAT_4X76320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICWLILQPSGVMNENDTVTTSMEKLGTFDYGALFFHLDNTMKNLSDTLHHTIEGIGTQISSLEDETCKIDNHVEDLKNFTEKYHGTTHMKLRKMHNVLQEVQDDVLFLKDKHEIAETKLQLAKLQGSKRDKEPAAQTNSHHQQPLPSPQSSFHTPLLNQPPSNGVTFSQDSQFPHFTQSYLPNSSQGILSPQNHPFLSHHSQETPKMSSFSHSKPDRWGSSQEHEEGLSHFMHVQSSRNYKSEFHAKYMPEFASFSNNEPPFPSNYTHTPEAQPLPHALPTAVDVEDRLSTEGNGNIIPVDDIVDKVTAMGFRKDLVRASVRKLTENGSSVDLNTVLDKMMNNK >cds-PLY82863.1 pep primary_assembly:Lsat_Salinas_v7:4:18479514:18479786:1 gene:gene-LSAT_4X12840 transcript:rna-gnl|WGS:NBSK|LSAT_4X12840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKIRRDFPVSEVSRPPEGKDVPVFGSDEESWVVILAHGPIVVPVLRPEHPHALEYCRGKVGVTGQRLVNSGICGPDWSTFSKQIDNSTQ >cds-PLY89959.1 pep primary_assembly:Lsat_Salinas_v7:2:192265425:192275806:1 gene:gene-LSAT_2X114481 transcript:rna-gnl|WGS:NBSK|LSAT_2X114481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILSLAMSPDGRYMASSDEDGSIMMWDISNGRCVPPLVGHTSCVWSLAFSCEGSLLASGSTDSTVKLWDVNTSVKTPKTEDKVVHIVLEQNYEMERPLAPRTLRVYSPYWLMIARCPPLTFRLVDMSTKKAKQNPFYVNYVSSFISYVFLRMVDWVSLLMMRTRTAYASLYNQKQPPIQIIQTKVLSVRPFMTFINRLDQDVMQRTK >cds-PLY66844.1 pep primary_assembly:Lsat_Salinas_v7:7:18455800:18458287:1 gene:gene-LSAT_7X14680 transcript:rna-gnl|WGS:NBSK|LSAT_7X14680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSRNYRRINADLYKALSEGDDAKVCDACRDLPDGPLHKLTIHRDTILHIAGYYKRNKLVLQLLSLLPEDEPNKLTLKNEAGNTILHATATNDKTVEAAAEILHRAPSLLTMTDRLGETPFFRAARYGKIKSFYFLKGEVNRRFPEEADLTGFLRRNDKATILHVAIHSENFDLAHDIAEAYPMLIGEQDGDGMTGLQLLACKPSAFNHGFEENFFKRFISKFIDLSAKERTSRVPILKEVQKQNRKTESANKLATILIEKDASWEATSPMSNQNRIKPHKYGGGISSSTITSVDIVINAPTHDSPLFLATKSGCTEIVKEILQVYPQAVEHIDEDGRDILHVAIKYRRMEIYKAVINMKFPLTRLRGKIDKQGNSILHMVGMKVSDQKTEGDIRSPAVVLRDDLLLFESVKNICTTLATLQVNNKGETAEQLFIENNAQLRIDAKEWMKSTAEHCSIVAVLIATVAFAAAYTVPGGPNQSTGYPLLKSKPFFIVFALADALSLTFSLTSVIIFLSILTSSFRLKDFKNSLHNKLLLGLTVLILSVSMMMISFAATLILTISSGQDWTNIMLYMISFFPVTVFVCSYVHLYKLLIKAFEERVKMIIASILPTPDVESINHPIHPSQPPTANSFV >cds-PLY67082.1 pep primary_assembly:Lsat_Salinas_v7:5:283709508:283713013:1 gene:gene-LSAT_5X150741 transcript:rna-gnl|WGS:NBSK|LSAT_5X150741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSVFLLLFLSCIPFSPAATTGNNITLPGCPSKCGNLTIPYPFGIASGCSVGPWFHITCNTSFNPPKPFLSAELFSYSGDSQFHRVEVVDISDEHVRVKNIVATKCYNETGSVIQDSASGLVVQNSYFTISELNKVIAVGCDDYSFVSPISGIEGKNFSSGCVSVCSNVQDVPVGSCSGMGCCSTSVPNGLTTYLANVFTLNAHTEIWSFNKCGYTFLGEESAFTFRGASDFVDPDFVSRTAETVPVVLNWVIGSRSCKDYKTTTDYYCQQNSVCVDFTSGKGGYRCSCESGYEGNPYLPPGCHDIDECADPNNNPCNGTCTNLPGSFNCSCPHGYEGDGTKDGSGCRATNSKSPVLKLSLGMGFGFLSILIGVGWLYFSHQRRKVIKLREKYFLKNGGMLLKQQIDTNEGGGVNQSTKIFTTEELEKATKNFSEDRILGRGGYGTVYKGILADGNIVAIKKSRVMDESQIEQFINEVVILTQINHRNVVKLLGCCLESEVPLLVYECVSNGTLFHHIHTNGGMAWLSWDNRLRIAIESAGALAYLHSAASKPIIHRDVKSANILLDDHLVTKISDFGASRLIPLDQTQVTTLVQGTLGYLDPEYFHTSQLTDKSDVYSFAIVLLELLTGKKPLSMERIQAERNLAAYFLVSMKTNSLFQILDPRVVREGSLEQLQAIASLVKRCLNMNGYDRPTMKEVAMQLEGLRKFTKQPWVNSQQGSEDGLSRMSEGEHSDLYDVSINPFMDSVEASSFYSSDQFRSLYPIDEPR >cds-PLY77683.1 pep primary_assembly:Lsat_Salinas_v7:9:18473835:18475072:-1 gene:gene-LSAT_9X13601 transcript:rna-gnl|WGS:NBSK|LSAT_9X13601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVKSPSSVRSAVKFSEHVVRTNKFVQEESLAMKQRVVRIRLVDPYATDSSDDDDEGVMKRVKKHVFEINVNPPSSKFKRDRSRNRRHVRRSTGSMEKKYRGVRRRPWGRWAAEIRDPGRRKRVWLGTFDTAEEAATVYDKAAVTLKGHNAVTNFPTVSVTETVIVEGKKPTVTTTSGGDDDGQVHDGVLSPTSVLPFDDELSPFAGLRYSDVDAFGFDIDLSINLPDFTVPAKYHTEEFGDFDIDDFLVDVR >cds-PLY62711.1 pep primary_assembly:Lsat_Salinas_v7:6:56613223:56616040:1 gene:gene-LSAT_6X42560 transcript:rna-gnl|WGS:NBSK|LSAT_6X42560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase HDT2 [Source:Projected from Arabidopsis thaliana (AT5G22650) UniProtKB/Swiss-Prot;Acc:Q56WH4] MNFLANGAPQGKPKASLKDVTEEDDSEEDDDSEDDDMVDSDDSEEEEEEKKVEVKPVKKPVQAAKRPAENAPKTPVPAKKAKSNTPQKSDGKKGGQTATPHPSSKQGVEVKPNETLKVAVEDFKLLRISQVALGEVKNGKKVENVQVRVNFNGQKFVLATLSSERIPQLLFDLLFEKDVELSHGWKDGSVYFCGYFASPSDDDDEEEAINLTANGAPQGKPKASLKDVTEEDDSESEDDDDSDDDMVDSDDSEEKEVKPVKKPVNAGKRPAESAPKTPVSEKKAKSNTPQKTDGKKGGHTATPYPSSKQSFKKGKKNGNR >cds-PLY64063.1 pep primary_assembly:Lsat_Salinas_v7:8:93088726:93089326:-1 gene:gene-LSAT_8X65740 transcript:rna-gnl|WGS:NBSK|LSAT_8X65740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYTPATNIGEDAHQEMVAAFSEITSATKEEASFFLESHNFDLYSAVSTFFEIIVAVEEAHVSALPSRNPNRPSDTHSPSFSPSSSLSCSRSASPPPAIGLQNPYNIRSRNTATDKKSSGSRSTGRIQTFSNLNRQGDDSESDSYVGSYKQAQHM >cds-PLY76574.1 pep primary_assembly:Lsat_Salinas_v7:5:221655399:221660827:-1 gene:gene-LSAT_5X104280 transcript:rna-gnl|WGS:NBSK|LSAT_5X104280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTPLPKKRKPETTGNSESPNSDGHLVIFEDLPVPEPSHQHSDQMMCTYQCRQMVKADFLDALSSAEKQSQNYHSKLEAMNDDLLKSEAERMKFRDQILYAEQELAAARGREEALQDQLLEEINESQERLRKQIHIHSELEVKFRKEMDLRKKAESLLSSAEEKASLLEGKLSHVSESTEREKVRLQKDLTQLKQESKLSISRISADLEKMECKAINFEKEATLLKEQLESLQSQLNECLHEKNEVEKKLSTLTYQEAPSSDDSILVKHLREELRNYESEVREARKWKSSHEDIELLREKLLEEKSRRERAELGISELSEAQLNAKKLQDELSCWESMMKEIPGVTCAADIPPKFAALQKDVIESMMKMGEVSARLKQVEVAFDAAENGRQTAETESALTKEKVELLKLDLKRNELLLSSVTEERDRLKTAVDQLKQQKNAETGAASAMTDGTLESSLAIKEDYIKKLESSVLEQKDANARQHNEIKLLNERLNNELRRIKSLEREDDRLRSEIAILESKVGHGDFSSASTKVLRMVNSLAVDSEAKQTIEALQNELQKTKEKLQAVEELKKQSADAGTLVDSYKTVFADRISVFRRACCELFGYKIVMDDHQRSDGIPVTRFTLHSIYAQSEDEKLQFEYESGNTKILGNNYTQQRDISKQVEIFIGKMNSIPAFTANLTIESFNKRTLS >cds-PLY80729.1 pep primary_assembly:Lsat_Salinas_v7:3:85398428:85398910:-1 gene:gene-LSAT_3X66341 transcript:rna-gnl|WGS:NBSK|LSAT_3X66341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRHGMIRIGLDIGGGVGTFAVRMMERNITIITTSMNLNGPFNSFIASRGVVPMYISVSERLPFFDSTLDIVHSMHVLSNLIPTTLLHFLFFDIYWVLRPGGVFWLDHFFCVGEELEGVYVPLLESVGFNKVKWVVGKKMDRGLELNQRYLSALLEKSLF >cds-PLY79703.1 pep primary_assembly:Lsat_Salinas_v7:8:125248812:125250358:-1 gene:gene-LSAT_8X86701 transcript:rna-gnl|WGS:NBSK|LSAT_8X86701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADQSLAILQKKVHHRDPQSNALMGSSNFFVDDGNKSGSSGNRDSFELKSMDSTRIRSADIIDTNQEHKKRCFEKLVVRTERMTKENVEDVKCSLKVEVIDDTALIEATAAVSGFKNSYGKETDVGIGHNPGNLGGSKNPKQENDGKKKRNKVSKKGKGCSMKCVKEKKLNNTQVVEDQSAKHKKIRDGKGPNGFRKTYPKVKLVYRKKDSIGPNSIAADVQEHLVSETESEPERIIDGSFQEPASNLKASDENEEESTIEPVETEPVIDNDDGLQSNPKKNEEEEEDKNVCETATASVESKKMAYTREEMEALRFANEDEQKKKWSKIYDGFSPSVAKEYISLLSDMNKQQTQRYNRVANCGSTFNRHAILSMPPVLPLGSSSSYKKMAPIVHTGFRVNFP >cds-PLY87726.1 pep primary_assembly:Lsat_Salinas_v7:1:5801262:5802901:1 gene:gene-LSAT_1X4761 transcript:rna-gnl|WGS:NBSK|LSAT_1X4761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSVRSSHGQLSKAFLVNSSSYFLNLLHCSTTTTSPSTDLQPHFMVDYLIDSLGFTKQEAISASTKVRHLKSTQNSQSVIEFLRNYNLSETDIKSVVLSHPQILLRKVDKTLEPKFKILFEIGFSGPDLVAVIKKDPNLLVRGLHTSIIPAINLLRRILGSKEKIVKAIKRSHWPFYGKFFRSNVVLLEKHGVSIKDIERVIIRNPRLVTQSPVRVEAKLLEVEREFGITPRSKMFSYGLSAVCSMNQLNLQKKFEVFKSFGWCDSDICIIAKSQPICFTHSEERLSKGLDFFMKELGYTPSWLATRGSLLMYSLEKRVKPRYQVYMVLKEKGVNKEFHSMLCLSNVDFVKQVVERHKEEIPDHMYDTFTKFLNP >cds-PLY87109.1 pep primary_assembly:Lsat_Salinas_v7:5:265010020:265011281:1 gene:gene-LSAT_5X136021 transcript:rna-gnl|WGS:NBSK|LSAT_5X136021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEYLVCLVTGGKWQVTKHSNLEYVCPQGGISEFTFIFYEYISYSEFVYLVRSKIGLLDKYRISLRFHHPELNYHITIVEDMYVRMLINVIKCSEGRAVKVFVVVDEGDLVNEAGQFHSVDDVNEVGNLCNYKWSNDPISTFNTPSVPQFHSVAENVNDGDELGDEFKVKFPDNLFYGIPPLPECPVDINEDIPTQMVDINLQKIECESIFKNKELLKRCIGKKCLREGFQTRISISTKSRYEVVCVSKNCSWLLRPKAIKNSDELFQVNKFVDVHTCSSTILQPNHRQANKYVLGEYLADVLAEDYSRVYRGKYIVNDMNAQLNINISYH >cds-PLY99610.1 pep primary_assembly:Lsat_Salinas_v7:5:241344753:241346122:1 gene:gene-LSAT_5X118860 transcript:rna-gnl|WGS:NBSK|LSAT_5X118860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNVFIVLTPLIHGIVKLAGLTPQTIEIEPGTLMNIWVPKEIVTKYDGKIVYVPPTKPAVLLLHSFAMDGIFTWFLQVLALTREYSVYVPDFLFFGGSITDRNERSASFQAEFVAKGLKKLRVENVTLVGLSYGGMVGFKIAQLYPNLVKSMVMSATVTELTESISLDSYKRLGLSSWSDLLMPSTVEGLKRMFSVGFHKLPWLPDFFYRNILETMFSNRKERNELLDCSVVPDTDVTSDPDYSQAIHMLWGDDDKIFDLDLANTMKIRLGEKATLDWIKDAGHLVPLEKPFTYNKRLKSILECVTKDQ >cds-PLY96417.1 pep primary_assembly:Lsat_Salinas_v7:4:344386278:344386903:-1 gene:gene-LSAT_4X170021 transcript:rna-gnl|WGS:NBSK|LSAT_4X170021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGGVVWLKTVVLLAVAVAAAMLTSVSCRSTVCHLIGGKELWKPNHNYTDWSLQQTFYVGDWLHFVYNKEMFTVLEVNETSYENCSDEGIIFNFTGGFGSDVIKLTQPKTYYFIANGGYCYNNDMKVAVNVVESVYVYQPPMMMMMMYLLPLQVMCVLLLLTRNRQ >cds-PLY80709.1 pep primary_assembly:Lsat_Salinas_v7:3:166692420:166692845:1 gene:gene-LSAT_3X102481 transcript:rna-gnl|WGS:NBSK|LSAT_3X102481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSEDLNKRLSAELTGDVKKALLLWMPDPARRDAMILREALSNNIDLKAITEVLCSRTPTQLYQLKQLYIALFGVYLEHDIQSQTSGDHKKVIFISLVSFNNMGYN >cds-PLY84572.1 pep primary_assembly:Lsat_Salinas_v7:1:30604280:30607058:-1 gene:gene-LSAT_1X26441 transcript:rna-gnl|WGS:NBSK|LSAT_1X26441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVNDLHKVWEIRTLKRKVGGDEAKRFLEKIAKQVQPIMHKHKWRVKVLSEMCPKNPQLLGLNVGHGLHVKLRLRKPNTDWDFYPFDHVLDTMLHELCHNAIGPHNAGFYKLWDELRKECEELMSKGISGSGDGFDLPGRRLGGFSHQPPLSSLRRTALAAAENRARQGPSGPKRVGGDKSIMSALTPTQAAAMAAERRLQDDIWCGLEEIVEEEESRAQVQHAQNVTSSSSDKTNQKRGPHSESCFVDLTSSPTSNKRSRHEFDDLERTCIDLTSSASASTGGCDNDIHESSSGWECMMCTLLNPVLAPVCELCGTHKPKSVEDKYKTWSCKFCTLENNVKLEKCGACGQWRYSYGQPIATPSPNVGT >cds-PLY92988.1 pep primary_assembly:Lsat_Salinas_v7:1:147848094:147849370:1 gene:gene-LSAT_1X102621 transcript:rna-gnl|WGS:NBSK|LSAT_1X102621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQLQKARAAESDLVGGEDLIEGIKNDMLGNLVVSADETGQVGPYVACRCQVKLMEERNIKPLDLNLAALSARCSKDLELNLAKSLLSEMGQCTTAYPYNQLFGELVSKNYERQDATLLSWNLMYIVD >cds-PLY69196.1 pep primary_assembly:Lsat_Salinas_v7:1:80497863:80500481:-1 gene:gene-LSAT_1X66741 transcript:rna-gnl|WGS:NBSK|LSAT_1X66741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQLLLVFLTIVLRFVSAQQNDGSVSVGASLTATSNLKPWLSSSGEFAFGFQQVKGTDNFLLSIWYDKIPDKTIIWYPEEGRMVPTGSKVELLRESGLVLTDPQGTEVWRSGSISGVASGFMNDTGNFVVFGGNSRKLWGSYDFPANTLLPTQVMERGGGMNSTISDANFSGGRFQLRLLPDGNLVLNTRDTLSGNTYDAYYISGTYDDSNSTNSGKQVIFDATGYMYILRRNGQRFDLTPRGSLPSGDYYHRATLDSDGVFRQYYFPKNPTSNTTWKVIWFVPDNICVDINDDSNTGACGFNNVCSFDGNQPNCECPQGFSLLDPNNPSGDCKPHFTPTCDEVGSNNGEDMFDFIELDNTDWPFSDYVHMNPSNENTCKSSCLEDCFCAVAIYRDTQCWKKKLPLSNGRKVASANVKAFIKYRIGDQPLKNPLGLPGKNKDRRTLIVVGSVLLGTSVFVIFVLTGVICVGFFVIYKKKPINTYSIRKAVETNLPSFTYQELVEATDGFKDELGKGGFGIVYKGVIGKKIVAVKKLNTVVHDSDKEFKTEVDTIAKTHHKNLVQLLGYCDEGDQRLLVYEYMSNGTLAMFLFGDSRPTWRQRSHIAVGIAKGLAYLHEECSTQIIHCDIKPQNILLDDYFNAKISDFGMAKLLMLNQSHTNTGIRGTKGYLAPEWFRNTPVTVKVDVYSFGVLLLEIISCRKSLVFESDDEGMVVLTDLAWDCYQEGRLEAFVENDMEALNDHKKLATFVMVGLWCVQENSSLRPTMRKVNQMLEGGIEVVEPPCPYSSFSVTNY >cds-PLY89388.1 pep primary_assembly:Lsat_Salinas_v7:4:122511108:122513219:-1 gene:gene-LSAT_4X77281 transcript:rna-gnl|WGS:NBSK|LSAT_4X77281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVSISPAKITTTKPIIITQFPDNPKPLILEQCKTVRDLNQIHAHLIKTRFISSPSVAENFLESAAILLRHQPMDYAISVFEKLNEPNSSAYNIMIRGLTLKNFSHEAILMFKKMKETSVEPDEFTFPCVLKACSRLRALEEGKQIHGQLMKLGCRSSRFAENGLIKMYGNCGQAGIARKVFDEMSVRDIFAWNALFSGYTKSGCWKEAVDLFYYMLETDTKFDEVTLVSVLTACGRVGALKLGEWIKDYIEANGLKRNPTLITSLVDMYAKCGHVDKARSLFNQMSHKDVVAWSAMISGYNQTNRCKEALSLFHDMQKANIEPNEVTMVSVLSSCAELGALATGKWVHFYINKKKLPLTVTLGTALLHFYAKCGSIDNSIEVFKKMSRKNVLSWSVLIQGLASNGQGDKALNYFDLMLTKHIQPNDITFIGVLSACSHSGLVEKGRELFSSMIKDFDIEPKIEHYGCMVDMLGRAGLLEEANQFIQNMPMNMKPNALIWRTLLASCKVYKNVGIGEESLKKIMNLETVHSGDYLLLSSIYASVGRLEDAFRVRREMKEKGVIKKSPGCSSIEVNGVIHEFFAEDDANFECEKIYAATEKMMKRIKLAGYVMNMEDARLEAEEYDKEKSVSHHSEKLAIAFGLIKTSIGTPIRVSKNLRVCSDCHEATKMISKVYNREIIVRDRTRFHHFKDGLCSCKDYW >cds-PLY74351.1 pep primary_assembly:Lsat_Salinas_v7:5:325022473:325024461:1 gene:gene-LSAT_5X179140 transcript:rna-gnl|WGS:NBSK|LSAT_5X179140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTYGVLILFLNQKGPKIDVVGFCFLDLASNYKPPEELVRWLEAGPKPMYIGFCSLPVQEPEKMTQTIVKALEMTGQRGIINKGWGGLGILTEPKDFVYSLDNIPHDWLFLQCASVVHHGSAGTTAAGMKVAVKEQAMELAKGLTAMMPTFGAVSS >cds-PLY90404.1 pep primary_assembly:Lsat_Salinas_v7:9:173558560:173563458:-1 gene:gene-LSAT_9X107220 transcript:rna-gnl|WGS:NBSK|LSAT_9X107220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSRSSDPSDKGKGIMDLSDNEDNGHLSSEDSDYNESSFEGMENEEVVPDYVTDSEDDKPKRRKSVSRRWKRKAQESVEQSDEETVVEVDNVSLEEEEDVVEVKKRTHTKRKKKTKPTLLWEIWEEETENWLDQHMNEDIDLDNLNELVAETVEPSPDLIMPLLRYQKEWLAWGLKQEESPSRGGILADEMGMGKTVQAIALVLAKRALCHEFGQQVDFSSDSSLPCVKATLVICPLIAVMQWVNEIDRFTSKGSNKVLVYHGANRAKTFFEFSEYDFIITTYSIVEAEYRKNVMPPKDRCKWCGKLFYKRKLQIHLKYFCGPDAVKTTKQSKQSKKNGKLGTKLSLSKEDLSDDEGDYKKKSNKNKKRQKKEKFETLVPSESPSCSGYKSVMKSILHSVKWDRLILDEAHYIKDRRCNTTRAVFALESSYKWALSGTPLQNRVGELYSLVRFLQITPYSYYLCKDCDCKVLDYSPNSTCPNCPHKSVRHFCWWNKYVANPIANRGRSDDGKRAMILLKDKVLKSILLRRTKKGRAADLALPPRIITLRRDYLDITEQDYYTSLYSESQAQFNTYVEAGTLMNNYAHIFDLLTRLRQAVDHPYLVVYSKTAVARRANESKPIDEQDQVCGLCHDVAEDPVVTSCEHVFCKSCLIDFCGSFGQPSCPSCSKPLTVDFTAKSDQEDHKKAKTTLKGFKSTSIINRIRLDDFQTSTKIDALREEIRFMVERDGSAKGIVFSQFTSFLDLIKYSLEKSGVKCVQLDGSMSMSARESVITRFTEDGECKIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVEQQAQDRIHRIGQYKPIRVVRFVIENTIEERILNLQEKKKLVFEGTVGGCNEALGRLTEADMRFLFAN >cds-PLY90569.1 pep primary_assembly:Lsat_Salinas_v7:6:51609856:51612193:-1 gene:gene-LSAT_6X37661 transcript:rna-gnl|WGS:NBSK|LSAT_6X37661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGISIITPITESDTHIMSGCRGRDSTGSRQHDSTLGRDSTGGRPHDSTCGSESQLHDSGPEGRPYGSTPSPKSRPHVLTFGPISRPHDFTHGPQSRTHESTRGPESRPNDSTPSPKSRPHDSTPGSESRPYDSTPGPESRPHDSTPGPESRPHDSTSGPKSRPHDSTPGPKIRPHDSTPGPKSRPYDSTPGPKIRPYDSTLGPQIRPHDFTLGPQSRPHDSTRGPESRPHDSTPGPKSRPYDSTPGPKNRPHDSTPGPKSRPYDSTSGPKSRPHDSTHGPKNTHTHRRLHDSTHDP >cds-PLY78170.1 pep primary_assembly:Lsat_Salinas_v7:5:123695921:123696992:-1 gene:gene-LSAT_5X54081 transcript:rna-gnl|WGS:NBSK|LSAT_5X54081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFNLQNPFIIFLYVCSIASLVASHQLFVNGDGYSPALGTWYGDPRGAGSGGACGWANDVQSPPFSAMIAAGNARIFLQGKGCGDCYQIKCNREPYCSKNPIRVTITDECPGACNNVPFHFDLSGTAFGAMANPGQADNLRNLGQVDIQYRRVQCYYGRTKIAFKIDAKTNPYWFATAIEFEDKDGGLRSVEIASANTRRFVPMKNIWGAVWQVDVNPSLHAPFSFRLASPSGSVVVATNVVPMGFVPGKTYFSHVNF >cds-PLY74728.1 pep primary_assembly:Lsat_Salinas_v7:6:119610613:119611430:1 gene:gene-LSAT_6X72700 transcript:rna-gnl|WGS:NBSK|LSAT_6X72700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGYFTVLLLSRNRPTIYLDNFNIVSLRNTSSSSTNTAIYLELRVQNENSWIGVYYEDPLNLTITYLQSTISTGSNVIIERSTIKGFYQGNGEVKHIQASVVIQDLFSTTERPRRLGETNIMMYGPSKMIDFVIDLEADIKFKSIENKKSHLTMQSTVEVSDYTGTSVLKTIQMKYTSGSNKWGVWRWVLAVPLVSLIQGLLSLAFLLAFLFLSFVSLV >cds-PLY82132.1 pep primary_assembly:Lsat_Salinas_v7:1:13570596:13570974:-1 gene:gene-LSAT_1X11721 transcript:rna-gnl|WGS:NBSK|LSAT_1X11721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESRLLIVLVSCILFVFSTILVSAQGAGSAEGPPVQNPGPGDPHSRRLMFAPV >cds-PLY62046.1 pep primary_assembly:Lsat_Salinas_v7:5:331073061:331081963:-1 gene:gene-LSAT_5X185281 transcript:rna-gnl|WGS:NBSK|LSAT_5X185281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTENPNRVNTYPGPIPTPFAAPRSNMPISSSSSHPVVGSEASAFRPTSVPATLTTPFSSPGPTVGSGTPGFRPMQPGRPTPSYGPPTTGPTQRFPTPQFPSAAQPSMPPTSGVGQPIFPPTMRPPPPGHVPPMSTSLNRQPPPAPMGSPPQSLYSVSSRPNMQQPTPPTGPPSSLPGYIQSNTVTSPPPPPPPGSHQGGYTPQPTASSSFPSHQGVYTPQPTASSPFSSNQGGYTPQPATSSPFPSNHGGYTPQPATSSPFPSNQGGYTPQPTASSPFPSHQGGYAPQPTTAAPFSSHQGAYGQPPPGASQPGMYVGGSAPPTGAMAGGLVEDFSSLSLGSTPGSFDVGLDSKVLPRPLDGDVEPTAFAGMYPMNCDSRFLRLTTSAIPNSQSLVSRWQLPLGAVVCPLAEAPEGEEVPIVNFATTGIVRCRRCRTYVNPYVTFTDGGRKWRCNICALLNDVQNDYFAHLDATGKRVDLDQHPELTKGSVEFVAPAEYMVRPPMPPLYFFLIDVSISAVKSGMLESSLTQPQMMVVSDLEDIFVPLPDDLLVNLSDSRPVVEAFLDSLPSMFQDNVNVESAFGPALKAAFMVMSQLGGKLLIFQSTLPSLGVGRLRLRGDDLRVYGTDKEHALRIPEDPFYKQMAADFTKFQVAVNIYAFSDKYTDIASLGTLAKYTGGQVYYYPSFHSAIHTEKLRHELARDLTRETAWEAVMRIRCGKGVRFTSYHGNFMLRSTDLLALPAVDCDKAYAMQFALEETLLTTQIVYFQVALLYTASCGERRIRVHTAAASVVADLGEMYRQADTGAIVSLLGRLAIEKSLTYKLEDARNAIQLRIVKALKEYRKLHAVQHRVGNRMIYPESLKYLSIYGLSLCKSTALRGGYADAQLDERCAAGFTMMALPVKKMLKLLYPSLLRVDEYLVKAPRVDGEFERICKRLPLVAESLDSRGIYIFDDGFRFVVWFGRMLSPDLARNLVDEDSSASDFSKSQVCLNERENELSRKLMGILKRLREGDASYYQLCHLVRQGEQPREGFFLLLNLVEDQVGGMNGYADWIIQIHRQVQQ >cds-PLY79282.1 pep primary_assembly:Lsat_Salinas_v7:4:370571831:370573357:1 gene:gene-LSAT_4X182300 transcript:rna-gnl|WGS:NBSK|LSAT_4X182300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERELKQKRQVDVDSLATSSSGYPRDRRRHPSPPARSPEDSPLSTMSSLSSLSHGFSPERVEVQPEAESEAAEEGETSHGSPTLDPLPDLTGARVASPAPVSTVNRYVREETVPIKKAERGAQDGYVGKPPEEPRTGGGNQGRRPRSSMGSVSRMEKEGSMKKIALGFRIFELLFCLISLSVMATDKRQGWALDSFYRYKEFRYSMAVNIVGFAYAVLQGLDLAYQLATGNHYKRRHYLRYYFDFAMDQASFWIMLTYLLISSGSSAATRVDDWKSNWGADKFPQLATTSVSLGFVAFVAFAFSSLISGYLLHASTSS >cds-PLY80875.1 pep primary_assembly:Lsat_Salinas_v7:8:127608478:127609476:1 gene:gene-LSAT_8X87200 transcript:rna-gnl|WGS:NBSK|LSAT_8X87200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWQSYVDDHLMCDIEGTGHHLISAAIIGADGSVWAKSSAFPQLKPEEVTAIGKDFDEPGHLAPTGLFIAGTKYMVIQGEPGAVIRGKKGAGGICIKKTGQAMVFGLYDEPVAPGQCNKVVEGLGDYLVEQGL >cds-PLY87410.1 pep primary_assembly:Lsat_Salinas_v7:3:6449898:6451352:1 gene:gene-LSAT_3X3140 transcript:rna-gnl|WGS:NBSK|LSAT_3X3140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIPQHPPEKILRAALSELKTQQAIACPLMAMNLTWFAKIAITTAFLGRLGEVELASGSLGFMFANVTGFSVLNGLCGAMEPICGQAYGAKNFKLLHKTLVMMITLLLLTSLPVCFLWLNVDKILICFGQQEDISIEAKKYLICLLPDLMITSFLCPLKSYLSSQSITIPIMFTSALAVILHVPVNIFLVKTRGFEGVAMAIWVTDLVVVVMLAVYVVVVEFKKGGGTWKEGGWSDQTYEDWVRLLKLSGPCCLTSCLEWWCWEILVMLTGKLPNAKQAVGIIAIVLNFDYLLFSVMLSLATCASIRVSNELGGDHAGHAYRAVCVSLGLGLGAGLAGGLATVLVRGNWGGLFSRDMGVVRGVRDAMLWMGILEVVNFPLAVCGGIVRGTARPWLAMYANISGFYFLALPLGVVLAFKVHMGVRGLLIGFMVGLLCCLILLVVLILRINWTDEANKAQRLAADCDKDVGCKASAIADNIASA >cds-PLY67505.1 pep primary_assembly:Lsat_Salinas_v7:6:72581265:72581928:-1 gene:gene-LSAT_6X50800 transcript:rna-gnl|WGS:NBSK|LSAT_6X50800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLLPLALSLLTTVSLSLPTTNNYWRSIRPNPFKFRTEKFTHFQFYWHDVQSGSNPTSITIVRQSPVNTSRPNGFGLVNMIDDPLTERPDINSKLIGRAQGMYGLASQEEIGLLMAMNFVFMTGRYNGSTLTVLGRNPVFQKVREMPVIGGSGLFRFARGYVHASTHNLNTTTGDAIVKYGVYVLHY >cds-PLY67363.1 pep primary_assembly:Lsat_Salinas_v7:4:60029822:60030312:-1 gene:gene-LSAT_4X40680 transcript:rna-gnl|WGS:NBSK|LSAT_4X40680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKHANQVVVSGEENRIQENATVRTTRIVVSKGGDGGGPSECDNQYHSDDTPVVALSTGWYKGGDRCHKYITINGNGRSVKAMVVDECDSTMGCDDDHDYQPPCPNNIVDASKAVWKALGVSEDNWGDLDITWTE >cds-PLY75221.1 pep primary_assembly:Lsat_Salinas_v7:2:203491801:203497128:1 gene:gene-LSAT_2X124260 transcript:rna-gnl|WGS:NBSK|LSAT_2X124260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPQSQYYHLQPKNHVKKINNLVFSGVFLLVISSGVIESQSIVKTLPGFVGDLPFILETGYIGVGESNDVQWFYYFVESEGNPEKDPLMLWLTAGPGCSALTTLVSETGPIIFNKANSTLEKPILEINPDRWIQAANILYLDQPAGAGFSYAKTPEAYTTNDTLSTMQIYDFLKKWLVDHPKFLNNPFYLGGDSYNGILVPMVLQEIYNGNEVGEEPQIKIKGYMLGNPLTDRRGDYNSRITFAHRVALLSDMIYESAEENCHGEFLNVDPKNSLCMHDLQIVYKCIERINKKYILDPVCDTSNTFKNSHLLRRGLSSLNKTAMNMLLLPQVKRQWCRGDNTLSTVWANQKDVRKALRINEEYDEIKWVPCNESLTFDYGKEAISYTNNVLSSVAYHKQLTYKNCRALIYSGDHDMVVPYVGTLNWIESINLILTNDWRPWFVDKQVAGYTMKYSTRNYNLTFATIKGGGHITSLYKPKESLSMVIRWLSDNAL >cds-PLY90890.1 pep primary_assembly:Lsat_Salinas_v7:1:56593430:56595115:-1 gene:gene-LSAT_1X50100 transcript:rna-gnl|WGS:NBSK|LSAT_1X50100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIDSPPCAEQHAVTIWEINRDRLTAMKHKLSDTPKLLSVAAGRNTCSIFKVPQTLIEINGKSYHPHIISIGPFHHGKPHLQMIEEHKWRFLRHLLDRTKTQGIVLEDFLKAVQKLEVKIRECYSETINYNTDEFIEMMVLDGCFIIELFRKFGGIVKFDEQDPLITMSWIVSFFLRDLIRLENQIPFCVLNCLFDLTKMSEESTTLNTLALSFFNLATQRPENVLEKYSNINAKHLLDLLRSTFLPPEVKRLTKPDNRPPPHVIHSISKLRRAGIKLKPWEADSFLIVNFKHGIIHMPTISIDDFMSAFLLNTVAFEQCHSGCSKHFTTYVTLLDCLINTSRDVGYLCDWNIIENYLGTDAEVATFFNNMGKDISFDIDECYMARLFDDVNRHYHSGWHVQLASFRYTYFKTPWSFISAIAALVLLLLTIAQTFYTILSYVRPP >cds-PLY71642.1 pep primary_assembly:Lsat_Salinas_v7:9:134977161:134982450:1 gene:gene-LSAT_9X87501 transcript:rna-gnl|WGS:NBSK|LSAT_9X87501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMARASSGLAYPDRFFAAATYAGFGGSPNSSSKGVTSKFSNDVALLLYALYQQATVGPCTLPKPRGWSPVEQSKWTSWNGLGNMASIEAMRLFEEDPGWYSRAANYISEPVIDVEMNHDPKADLSIKNEISLPETKSIPTENGNSVETHDKDIMVEGFGSVSVYDQWVAPTVSGTRPKPRYEHAAAVIDDKMYVFGGNHNGRYLNDLQILNLRNWTWSKVEVKPNSEASVIVTPCAGHSLIPWEGNKLISIAGHSKDPSEVVNVKAFDLQTNTWSTMKTYGKPPVSRGGQSVTVVGGTLVIFGGQDANRTLLNDLHILDLETMTWDEIDTIGVSPSPRSDHAAAVHAERYLLIFGGGTHATCFNDLHVLDLKTMEWSRPSQQGEIPSPRAGHAGVTVGESWFIVGGGDNKSGVSETVVLNMSTLSWSVVTTAEGRVPLASEGLSLVLSSYNGEDVLVSFGGYNGRYNNEVNLLKPSHKSTLQATMSGIPALDSGSGVQNATNGTRDVELEFEGGQDGKIREISMDNNNNHNEPQTNEVEEVSEGLISRLKAEKEELESQLNKEKSQSLELKQELIEAESKNTELYKELQSVRGQLAAEQSRCFKLEVDVAELRQKLQTMDTLQKELEILQRQKAASEEAYAKQKQSSGGVWGWLAGQPPPPAQKPNNAS >cds-PLY94509.1 pep primary_assembly:Lsat_Salinas_v7:2:156612293:156613234:1 gene:gene-LSAT_2X81941 transcript:rna-gnl|WGS:NBSK|LSAT_2X81941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNLTSRMAVFGPNMMFADLRCSPSCTRAGVHGTEGFGRLWRGTNAGLALAIPTVGIYLPCYDIFQNWFEEFATENAPSMTPYAPLLVGSLSRSVGTMAGGAADCQFWHRNLGIKCHLHELANKSRISVTGASKMLANILYFLPWDRFVCWDNDCRMR >cds-PLY71489.1 pep primary_assembly:Lsat_Salinas_v7:7:190182704:190183906:-1 gene:gene-LSAT_7X115980 transcript:rna-gnl|WGS:NBSK|LSAT_7X115980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFATAEVCDAHASHLASGDLRALQPIFKIYGQCRAFSGPVVTLKVFEDNVLVREHLESKGEGRVLVIDGGGSMRCALVGGNLGQLAQNNGWVGIVVNGCIRDVDEINGCDIGVRALASHPQKSYKRGNGEKGVPVHIGGTLIHDGEWLYADSDGILVSKTELSL >cds-PLY90291.1 pep primary_assembly:Lsat_Salinas_v7:2:197508353:197509867:1 gene:gene-LSAT_2X118260 transcript:rna-gnl|WGS:NBSK|LSAT_2X118260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVHLLLLFNLFFIFCLFFQSRSSLTSKNAILPLKIKSVSSSIYIQPPKKLPFQHNVSLTVTLAVGSPPQPVTMVLDTGSELSWFHCNKTPTTPLSYDPLHSKSYTPVLCSSPICMTRTRDFTVPASCDPKKLCHATLSYADATSVDGNLAYDTFHFTDSDLPGVIFGCMDMESSSNQDEDSKTTGLMGMNRGSLSFVTQIGFPKFSYCISGQDSTGVLLFGEYNISLLRRPLAYTSMVKMSTPLPYYDRVAYTVQLEGIKVAGTVLPLPKSIFVPDHTGAGQTMIDTGTQFTFLLGPVYTALKNEFLKQTKNVLRVYEDPNFVFQGAMDLCYRVERSRVGLPVLPSVSMMFRGAEMVISGQKLLFKVAGVTKGGDDIHCFTFGNSDLLGIEAYIIGHHHQQNMWMEFDLANNRVGFGEVSCDCVSQKLGIDL >cds-PLY95477.1 pep primary_assembly:Lsat_Salinas_v7:4:264300344:264300829:-1 gene:gene-LSAT_4X136921 transcript:rna-gnl|WGS:NBSK|LSAT_4X136921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSGNSTRFTQIQNSGSDEVLIDPRKRKRMQSNRESARRSRMRKQKHLDDLTAQINHLKNNNSQIVTTIKVTTQQFLQIEAENIVLRAQMGELSQRLDSLNEMINSMNNNSNYFTENHCTNGTSGVFEFEQPDFFNNPWNMMHLNQQPIMASANDTFQY >cds-PLY62827.1 pep primary_assembly:Lsat_Salinas_v7:4:31608134:31608918:1 gene:gene-LSAT_4X20640 transcript:rna-gnl|WGS:NBSK|LSAT_4X20640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMAEDTTGTTRYCKRVHLHAAMRQEESKDIYYLHKIVKLVEEKKKLIDVLPKKPVEERKRFKSTPTVEKNKKVEIVKNQITQELEEFITNKLKGKEEKVVIQKTLYKSDLEENKNRLSMPMKQVIKPDEFLRKNEKEDLEIGKEFEVKLWGPRLEMHEKPMMLKMWHMNSTSNYVLKTNWNHFVMATKKDLKINKKIQVWSFRREEKLCFAIACLERDVDAQNNAD >cds-PLY90400.1 pep primary_assembly:Lsat_Salinas_v7:9:174301426:174301824:-1 gene:gene-LSAT_9X106820 transcript:rna-gnl|WGS:NBSK|LSAT_9X106820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLVEVSRLFSGCDALSEHYPANLKNTGYLNYACFGDQELRSIAHRVLVNVMGHV >cds-PLY62584.1 pep primary_assembly:Lsat_Salinas_v7:9:74341176:74346089:1 gene:gene-LSAT_9X62180 transcript:rna-gnl|WGS:NBSK|LSAT_9X62180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYRKNNHLSVSAVLLSVFLIGIIFYNEDVKSLTKFPFYTLQFQKITPHENPLPNEQQTTNLTAEDDEFPPESCDIFDGKWVFDDLTHPLYKEEECEFLTEQVTCMKNGRQDSMYQKWRWQPKDCNLPKFRRKLLVEKLKNKRLMFVGDSLNRNQWESMVCMVQSVVSSGRKSLIKTGSLSIFRIEDYNATVEFYWAPFLVQSNSDDPEIHSILDRIIMPESINSHGQNWKNVDYLVFNTYIWWMNTRNMKVLRGSFDEGATEYDEIERPLAYARVLKTWGEWVDKNINPNRTMVFFNSMSPIHIKSLDWNNPEGIKCAKETSPILNVTAPPNVGTDRRLFTVATDVIQSMKVPVNFINITTLSEYRKDAHTSIYTIRQGKLLTADQKADPAIYADCIHWCLPGLPDTWNEFLYTRIISHL >cds-PLY62795.1 pep primary_assembly:Lsat_Salinas_v7:4:29485247:29485618:1 gene:gene-LSAT_4X20441 transcript:rna-gnl|WGS:NBSK|LSAT_4X20441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGVESRIARRKREVSQARFRQLIHKEEGVYGGSSRPKGKVTELARLPSYRLTAGSEFQASQAAIALKRARFSEVLLDWGKGEVPEVQPVGRRGSDGTKKSAKLAATVEIASPWRCFASVGGR >cds-PLY77865.1 pep primary_assembly:Lsat_Salinas_v7:1:23096296:23096724:1 gene:gene-LSAT_1X19161 transcript:rna-gnl|WGS:NBSK|LSAT_1X19161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCDGENDQQQHRRWLGLAVKIGKEGVERGLLPSWLLLAVNRRRQTGKEKGVYGWCFSMA >cds-PLY81544.1 pep primary_assembly:Lsat_Salinas_v7:2:126887015:126888863:-1 gene:gene-LSAT_2X59341 transcript:rna-gnl|WGS:NBSK|LSAT_2X59341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPGYRFYPTEEELLSFYLPNELEARRDDLHRVIPVVNVYQHEPWLLPKEAGELCREDTEQWFFFVPKQEKEVKGGRPSRMTSTGYWKATGSPTYVYSSNNKVIGLKKTMVFYHGKSSGSKKTKWKMNEYRAIKEELDATKKFLVPELQNQLSLCRVYVISGSVRAFDRRPLGLGTYEIGSSSLLSS >cds-PLY92687.1 pep primary_assembly:Lsat_Salinas_v7:3:117306134:117306394:1 gene:gene-LSAT_3X81761 transcript:rna-gnl|WGS:NBSK|LSAT_3X81761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEGDEVHKGDQAVNDDGGAVNDGGEPVNEVHLQQDYDEVELTLLELDASANGEPSQVNVKEQKTKETSVATLLKKIWRKKSKRII >cds-PLY63017.1 pep primary_assembly:Lsat_Salinas_v7:8:184247715:184248998:1 gene:gene-LSAT_8X120080 transcript:rna-gnl|WGS:NBSK|LSAT_8X120080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQITPNTFMPIVAFYPNTRGIYRGFHDKTLNLKNSLSQTLTKYYPFAGRFAKVKPSYVDCNDNGAEFLEASIDTTLSDFLQNSSHEDLDQFFPHGLVHFNSNYGDDDLQSDQVTPLEVQINHFECGGVAVAVSLSHKIADGSSLVHFINDWANMTRRKDHEFSIDDPFFFPFQYMNLNPSRYIISRPDDCLITTRFMFPGSKINELKLKVKAMTAESGQPITNPTRVEVLTWLLYKCAMAAATKNNSGSFKPTGAVQIANLRGLMMEQLPEKSIGNLIMPMEILINKESEMNPESFISGFKKQKMQFKSLRNIETVFGVLHSDLEEQLRKVDDVYIFTSLCGYHAYEIDFGWGEPIKVALAGVARKNTFILTDAPNKDGIEVLMCLGEHDLAIVQSDPELLAFGYF >cds-PLY84891.1 pep primary_assembly:Lsat_Salinas_v7:8:67755991:67761298:-1 gene:gene-LSAT_8X48060 transcript:rna-gnl|WGS:NBSK|LSAT_8X48060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLELCVGGRELGAIYEVVFRLNGTEVHCKSSFEGYYSMRDANEDSNSSSWPLFYGEKSLNNGHYYNGFIPRTISDDKDALKQKMLEHEAIFKNQVHELHRLYIRQRDMMEEIKRKEINKHRVSIDASSSSSFLPSQKPYEDTHIHKWQMPTFPSATRPSIFGAEISNSPLSCSKGNNNNNNNNNNSSKDCEIVECRPSKVRKKLFDLELPPDENMDHEEHEGMQCKQVSEESSFRGSNGLADLNEPVHVDEPMVHGSGSVGGFGPSAKPHVGQGQFLDQRHEFSGRPGGVFNPLLIEGKGNGSGRDWLSNTRETGNSRGNMNLAPATYSEISTRFQDHARLNQTHLPFPTSRTSTSYPHPNSSNFATSWEKQNGSLTHKLTSFQKQPSFLSPSSPQSHHMVLGDKWQSNGSYTPNGFYRGSSSGSKDPCARFPSGVLDHRNYNNLDDKSQKIFNGSNFIDLDTTKGMDLNTVENVCNDDNSSSRKCNQTVLPWLQPKPDICKNDSPSVNNGKIPPVFGNSCVSENGKIKKEMEQEQHRGFDINVAWDDDPENNDIEKEINTENEKIKNHFDLNSCVTEDEEITVPVSVKSLKKITMEIDLEAPAVPEIEEEEEEETIDEVKKLDEELAKVAAEAMVAIFGQPKSTSDVAVGSESDDEDKDHLLWFADVIGNVGPVSNALDEYEELTLKLEETKEQDYMPKPLAPDFQEPDEAGPSAGPLRPRRGQARRGRPRRDFQRDILPGLVSLSRHEVTEDLQIFGGLMKATGYSWNVGLTRRNGTRGRRKAVVVAAXHHPTTTTAASSTAIVGAAWKLRGGGIGGEKLNRVGEDD >cds-PLY72697.1 pep primary_assembly:Lsat_Salinas_v7:6:28734785:28735192:-1 gene:gene-LSAT_6X22060 transcript:rna-gnl|WGS:NBSK|LSAT_6X22060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSNKELKGLMKTVKIQDTNNLQDEMMDMMDIRSEIQESLGRSLVCQMILMKMISWVNLMLWRQTWVKKLRVKGYLHILNLIMSPI >cds-PLY93807.1 pep primary_assembly:Lsat_Salinas_v7:6:143971632:143974256:-1 gene:gene-LSAT_6X87320 transcript:rna-gnl|WGS:NBSK|LSAT_6X87320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSSVDFIISMGTSEDFPGDNLVGEFSRVLKPGGEIFIHQSFDAAKKNTTSSLGRKLLVAGFSNIEVVQMAEVLSEGLQSFGVKGKKPSWKILPKVEVVDEMDVIDEDSLLSEEDLKKSQLPTSGDFEVGSTPKISSILGDAFHQNIRLISSNTPLSKNVSRLDYSQPPRSIQFETICFPTNTACDPTKTLQNQSPTCQPQSTDDDDTSLPVKSSKRKSSEKTANPNRTGPAPAQTWSTAEEAALITAWIDISEEIGASGNYVGPFWNRILKHFYNAMGRYDYRTHHQLNSKWRDINRKVMKFNDIYNNLLNERGSGHSEADILRAALEQYRSEHNGVAFSYASAWDAFKKSRKWLTVYGNGKNHTNGLKRTKTSDLNDYTTSLVDFDEEDDPPVPVPVPEPEPSRPLKSSKRKSDKNIANNVGIGTSNGQAWTTVEEAALATAWVDISEEDGSTGNAQSVGPFWKRVLKHFHNAMGRDDYRTNHQLSSKWRDVNQKVAKFNDIYNNLLSQRGSDHSEADILRAALDEYRSEHNGTTFNHGSAWDVLKKSKKWVTTSVVDHTSGPKQTKTSDSNDYTTSSDACCLVDVNDEDDEIEPEDDEIEPEEPRRPIRRGKGNRASSSTLSGSGMDEKIDRLADKLEKFTSTYDQHLELEKEKIRIKVKAREEKIRAKNEAREVEDFKILTAKIDHLIGPSLQAALALKDKIAKRYGFE >cds-PLY88230.1 pep primary_assembly:Lsat_Salinas_v7:8:150241596:150242212:1 gene:gene-LSAT_8X101560 transcript:rna-gnl|WGS:NBSK|LSAT_8X101560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNSWKSPDIYGRIWMVMNGLCEVNDFSTLDLKIIFHPYKWVYEYLSIENW >cds-PLY88998.1 pep primary_assembly:Lsat_Salinas_v7:3:73478174:73479232:1 gene:gene-LSAT_3X61760 transcript:rna-gnl|WGS:NBSK|LSAT_3X61760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHFLHVVLAGSTFILMIFLVMLIICHPYKINLFSYFSSKKKTPISEDASHFVVGVSVFSYTELEDATRNFDPSQELGIGGFGAVYYGKLQDGREVAVKRLYEHNYKRVQHFMNEIKILTRLRHPNLVVLYGCTSRQSHELLLVYEYISNGTLADHLHGELANPSLLTWPVRMNIAIETARALVYLHASEIIHRDVKTSNILLDHNFSAKVADFGLSRLLPNDVAHISTAPQGTPGYVDPQYHNRYQLTDKSDVYSFGVVLIELISSMVAVDLNRSQDEISLANLALNRIQIGALDQLIDPVLLGSDPDAEIMRTMTSVAELAFRCLQYYSEMRPTMNEVLDVLEDIQSLGE >cds-PLY75639.1 pep primary_assembly:Lsat_Salinas_v7:1:100641610:100651838:1 gene:gene-LSAT_1X81780 transcript:rna-gnl|WGS:NBSK|LSAT_1X81780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKALQKKAAAAAKRGGKAAXXXXXXXXXXATSAKAAAIGTMNGSSSTANLSNGMGSLVISDRTCIGVLCSHPLSKDIQIESLSLTFHGHDLIVDTELELNYGRRYGLLGLNGCAVKNCDEERLKLEAEVERLAAQYVGGGGALDRIYEQLDAMDASTAEKHATEILNGLGFDKQMQAKKIRDFSGEWRMRIALARALFMNPIILLLDEPTNHLVL >cds-PLY84161.1 pep primary_assembly:Lsat_Salinas_v7:6:189418514:189423533:1 gene:gene-LSAT_6X116360 transcript:rna-gnl|WGS:NBSK|LSAT_6X116360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTVEAACAAHPTADVFINFASYRSAAASSNLALKQPTIRVVAIIAEGVPESDTKELIAYARSNNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGLYEGIAIGGDVFPGSTLSDHVLRFNNIPQIKMIVVLGELGGRDEYSLVEALKAGKINKPVCAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALMDAGAIVPTSYESFETSIKETFAKLAEEGKISPVKEITPPQIPEDLNSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIIEKGMGVGDVISLLWFKRSLPRYCTQFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAVDDAARYFKDAYDRKLSPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQLFARTNFPSTKYMEYAVEVETYTLSKANNLVMNVDGAIGTLFLDLLAGSGLFTKQEIDEIVSIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >cds-PLY83275.1 pep primary_assembly:Lsat_Salinas_v7:8:191801066:191803866:1 gene:gene-LSAT_8X123961 transcript:rna-gnl|WGS:NBSK|LSAT_8X123961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.6 [Source:Projected from Arabidopsis thaliana (AT4G34980) UniProtKB/Swiss-Prot;Acc:O49607] MAVLICTLFLFLFAAGEAVSTDPTLKTYIFRVDGESKPSIFPTHYHWYTSEFTESTSILHVYDTVFRGFSASVTSSQASALEKHPSVLAVFEDRRRQLHTTRSPQFVGLRNQRGLWSESDYGSDVIIGVFDTGIWPERRSFTDLNLGPVPKRWKGVCQTGVKFTARNCNRKIVGARFFAKGHEASGGFGGIGFGGTINDTVEFRSPRDADGHAAGRYVFKASMAGYASGIAKGVAPKARLAIYKVCWKSAGCFDSDILAAFDAAVNDGVDVISISIGGGDGISSPYYLDPIAIGAYGAVSRGVFVSSSAGNDGPNGMSVTNLAPWLTTVGAGTIDRNFPAEVILGDGRKLAGVSLYAGPSFSGKKYPLIYPGKSGILSASLCMENALDPNLVRGKIVICDRGSSPRVAKGLVVKKAGGVGMILSNGVSNGEGLVGDAHLIPACSVGSDEGDEIKAYAATGRATATINFQGTVIGIRPAPVVASFSGRGPNGMNPEILKPDLIAPGVNILAAWTDAVGPTGLDSDDRKMEFNILSGTSMSCPHVSGAAALLKSAHPDWSPAAIKSAIMTTASTVNKQLQPMTDESTGNATTPYDFGAGHLNLDLAMDPGLVYDLTNQDYVSFLCSIGYGAKTIQVITRSPAACPMRRKPVPENLNYPSIAALFSTGKKGVLRKTLIRRVKNVGDVNSVYNVKVEAPKGVSVAVRPGKLVFSDKVRELSYYVTVKMDGSSVMMGDSGAVFGSLSWVDGKHVVRSPIVVTQLDPM >cds-PLY72779.1 pep primary_assembly:Lsat_Salinas_v7:4:372699821:372704960:1 gene:gene-LSAT_4X183501 transcript:rna-gnl|WGS:NBSK|LSAT_4X183501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELRVSAQDKEISDDDDDRNHKHRRRDDTRLHSLDDDDDDDDDDVFTKPYKRGSKHSQNGSHSNETWKKYSYNPLEKDKGFSHFSRGPSDLNQKLRVNQQFCVDHGSVWSQSQPFSVDPHGLFAGRGSNSWGPFGLDMNMNMIHHPVGLQATFRPPSLNMGLTRQRCRDFEEQGFCLRGDMCPMEHGMNRIVVEDVQSLSQFNLSVSLPSANLSIAPAGIGPSPPTITTTNSKSSHGKNIKHVVDFSGAAAAADFYDPDQPLWGNASHMPAGPQPNDSLLLDASPSDNPDHELFVSTGTRSYAQGTSRIKTEENMQKNESGNGRKPSQKAQRTLFLSGIPHQSNKRETLISHFKRFGEVIDIYVPLNSERAFVQFSKREEAEAALMSPDAVMGNRFIKLWWANRDNIPFKRKDDSLHNDVNAPVPVSVSDSDHPPKPVAVVANGTKEPSPPLQKKLENLEVLKEELRKKQEMLDQKRNDFRRKLDKLAKQTTFLKGEVAPEQAAKKQKSGGLAESTKAASSDRDTILPSPPDDVAANTNSSKSVGPTQPQNYKTTPLGLSALKESPKLNLKLQSSVAVTVRNHRFKLDNRPTAFKIIPPLPDGLAHVSVLKEHFSAYGDFSKVQLDLDDDIIKTCATIYFTSRHAAEKAFLNGKKWKAHNLHFTWLINSNSNSTTCKHHNSDSSSNQPTSVVLEIPKTDYPQKPLLSSSSGDGELDKREIVKEPEQQQQEESNQSV >cds-PLY73364.1 pep primary_assembly:Lsat_Salinas_v7:7:62773861:62774223:1 gene:gene-LSAT_7X44820 transcript:rna-gnl|WGS:NBSK|LSAT_7X44820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDSSAASLLNHTSCHDVFLSFRGEDTRNSFTDHLYAALERAGVRTFRDNDNIDRGQELKPEIDRAIKESRASIVVLSEKYANSRWCLDELLLILVQRRSFNHFVLPVFYHVDPSDVRN >cds-PLY94325.1 pep primary_assembly:Lsat_Salinas_v7:7:164876827:164877216:-1 gene:gene-LSAT_7X96640 transcript:rna-gnl|WGS:NBSK|LSAT_7X96640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSGPPPIAGRCARYDKGPWEKPMKSQGRASEPAQAKIGTRRLEEQPEKGKAVETADSPVRHTVRLTTADREVTIPVFLFQFPGVNVGSADGGSGVNIQPKASWGSAIAKHCGHHSSSYVWLAYNLRAS >cds-PLY77180.1 pep primary_assembly:Lsat_Salinas_v7:8:25776834:25777616:-1 gene:gene-LSAT_8X19721 transcript:rna-gnl|WGS:NBSK|LSAT_8X19721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVIVDSCFKSHTAYTELLDLKPLPVTVSSQLLENGFLLEEDLDAPPGQRYKMKVVPTSKNITFGVAEEACSKIRKSKIGGPVSYGTMLNFEPHLNL >cds-PLY99710.1 pep primary_assembly:Lsat_Salinas_v7:9:56167559:56171299:-1 gene:gene-LSAT_9X47741 transcript:rna-gnl|WGS:NBSK|LSAT_9X47741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAKSKSSSSETPPATKIPISKTSPATPRVSKLSRGVAKSETDSPSPLQTTRASVERSPRSVPVKPALDRRSPKTSTLAEKPAPRVVVPKGSELQAQLNALQDDLKKAEEKLVSVEKEKAKAINELKEAQRLSEETNEKLREALVAQKNAEESIEIEKFRSVEMEQAGIDAAHKKEEQWEKELESVKNQHAADLAALLSATQELEKVKQELAMTCDAKDQALTHADDATKIAENQVEKVETLSAEITRLKGMLNSKFESEADQSNKMVSELNLEIETLKSEAEQSNKLVLEMKSEIETLKSKGHENDKLVSELKSEVEYLKSEEDELKLEIDSLNEELKKAKVYKEKLLDREVSLEELNIELEAAKMSESYARSLMEEWKSKVEELDLQAEEAKRLERSASSSLESVVKELEGRNSLLRNSESELASLKEKVGLLEMSNVRQRGDLEESERNLHKAREEASEMVKKAEILKSELENLKEERTQALNNEKLAASSVQSLLEEKNKLISELESSKDEEEKSKKALESLASALHEVSAEAREAKEKVLLNESEHENYERQIEDLKHALQSTNEKYQNMLDDAKHEIDMLMNTIQQSKDNHESVESEWKEKEVKLMECVEQNKQENVSLEKEIKRLATLLKETEEEAYGSQEERSQLKNLLKEAESEVVYLKEVLGEAKAESMNLKESLMDKENELQSLDHEINELKTQEAASLKKVEELTRLLEESNSKANNTSSKIEEHDDVSDSEKEYDMLPKVVEFSEHNGDARDKVPKIEQSEQPLVKEIPQDDVAIVNGEHHKESLSEKGDRNGNDDSVEVEFKMWESCKIEGKDLSPERETTHEESFEDEVDSKLESGEGYDQANGTENGATSPSKDQQQVKKKKPFIRKFGSLLKKKGSSNNSSQK >cds-PLY66326.1 pep primary_assembly:Lsat_Salinas_v7:5:288541094:288543852:1 gene:gene-LSAT_5X153541 transcript:rna-gnl|WGS:NBSK|LSAT_5X153541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREEAAVVSDAHHQVKQLQVISGKHQGLDKKHSRSISDLSDPSTPRNNLNVIEDLRNNSLLYTHVIAFTLFELETITKSFRSDYILGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKEGLQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKTSAPLSWPTRMMIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPQGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGKKSVDKTRPSKEQSLVDWARPKLNDKRKLLQIIDPRLESQYSVRGAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQTSSSSSCSNEVVSSSISPIIGRHHLVTGNYQMHHKFVQTVGAGAGCRSANPNCSPGQPPACRVR >cds-PLY99256.1 pep primary_assembly:Lsat_Salinas_v7:6:184921805:184923256:-1 gene:gene-LSAT_6X112320 transcript:rna-gnl|WGS:NBSK|LSAT_6X112320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESFGFLFDEEWESLRRMLSDDQDSGHGLLSCEQDRGLNFETHSIVSSVNNSSFINDHDLCYASENINPNLCHYFSHESNDNGCVAYDGVVSLPYQTSNTIPLPINGMCNHHDSVDLYDENDYNSSLLAQVFSDDSMEEILCSRQEVNIENTVDPTVPIADKHKHTPSKRKIEILESPNEVEDKVNDEKTNENPKKKTRVSRDSKNKKKVQPKKKQKVMTTTVNDNGVEGEDTNNNKGGGNAPIASSSSCSSEDDLTGNAENSNWKTRAGRGAATDPQSLYARKRRERINERLKVLQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDMWMFAPIAYHGMDMGLYQKLSQS >cds-PLY90449.1 pep primary_assembly:Lsat_Salinas_v7:9:94174222:94175229:-1 gene:gene-LSAT_9X71281 transcript:rna-gnl|WGS:NBSK|LSAT_9X71281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIEQTTTTTTTTTAGGGGLDGDGDAPPKDITNISKGKRTKRQRPQSPILFTIASANNNDSNDSPVSSSGFPAGSTTTEDEDTAESLILLSKGQSLDLSSRKSKDDYDGMFKFNSKRYIHTSTTGNTGIFVYECRTCNRTFSSFQALGGHRASHKKPKNNEDMRKPPPYIADNINESPTEFPFRNHNSSVSLQLNNRVSFTKSSSKLHECSICGTEFNSGQALGGHMRRHRVAGGGNKGGNNSTTAHANTTTLSLIPFSPMTTVRAETYDNKSKNNALCLDLNLPAPRETTVAAADDDQRQKRGFTFSPTGKNENKQQAVRLSAAPKLVDCHY >cds-PLY76491.1 pep primary_assembly:Lsat_Salinas_v7:8:230971868:230972188:-1 gene:gene-LSAT_8X139180 transcript:rna-gnl|WGS:NBSK|LSAT_8X139180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGAISLCQFHDNKENIPPFISTSKTTDNKLSAKMTLKKKKQKKKSNHRVPLKDITNLIVDSLIQTPAATPPMFPCLQSRSVCKPTAGNRNLMEESRCKSLRFGFR >cds-PLY86059.1 pep primary_assembly:Lsat_Salinas_v7:3:194976447:194978852:1 gene:gene-LSAT_3X117960 transcript:rna-gnl|WGS:NBSK|LSAT_3X117960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAFSLLISPDNRKQRILNTIRNSEELREGAIEQLEKARARLRKVEIEADQFRVNGYSEIEREKLNLIDSTYKTLEXFEQQKASIIRGGG >cds-PLY77141.1 pep primary_assembly:Lsat_Salinas_v7:7:183988497:183989528:-1 gene:gene-LSAT_7X108540 transcript:rna-gnl|WGS:NBSK|LSAT_7X108540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDFQIETLLNLINRIFSAFLTCAFALGGALIGIITGAIKGQTTETGLLRGAGVGAVTGAITALQIMDMMANGEPFSKVALLCSLLNGKVFVEWVSPAMLKAYQWQTNAIEMSLVDMFDIETTGTRGLSEDAFSRLPKCRFANRTKINQREDEFNDINCAICLQDFEKEEEGRELPSCRHVFHLECIDEWLIRQGSCPICRRDV >cds-PLY89464.1 pep primary_assembly:Lsat_Salinas_v7:8:30754104:30755869:1 gene:gene-LSAT_8X22060 transcript:rna-gnl|WGS:NBSK|LSAT_8X22060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCAPNSPPSPSESCLKKKKKKGPKRRGVEQRSKVLPQVKGRAQDDGIAHTTASVFVLGVDSVFLDMFDTVVTQFFSQYFSMV >cds-PLY80701.1 pep primary_assembly:Lsat_Salinas_v7:5:219004138:219006844:-1 gene:gene-LSAT_5X103441 transcript:rna-gnl|WGS:NBSK|LSAT_5X103441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDQISPVIRDRDRELLLPIANTPDDQQVSPKPPSSATPFSHHAGRETFSKVLQSWASKKFMTGCVILLPLAITFYITWWFIHFVDGFFSPIYAQLGINIFGLGFITSVTFIFVVGIFMSSWLGASVLSLGEWFIKRMPFVRHIYDASKQISAAISPDQNTRAFKEVVIMRHPRVGEYAFGFITSSFILQSYSGDEELYSVYVPTNHLYIGDIFLVKSKDVIRPNLSVREGIEIVVSGGMSMPQILTTFDLAIKEYGPRNNRS >cds-PLY87467.1 pep primary_assembly:Lsat_Salinas_v7:2:135844989:135847065:1 gene:gene-LSAT_2X64400 transcript:rna-gnl|WGS:NBSK|LSAT_2X64400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRALLNKIPVHYLRTPPQSSFTPNPKIINTPLTSFRSKIRFFSTENENSPTDKLNPPSETSSIVPPPTKELDVQDVSNKELKTQIEKYFNDKDEEALPAVFESIIRRKMARKHADTDDELLDEFQMRPIDGVNDKEFESDFEEIHETDEEIDNLYSAKDIVTKRMTKNEFFYMDDRKWEGMIKEATDNGYIKDTKECEQILQDMFMWENLLPDHLKKLVEEKYNEIADMVETGEIEAEQGYEMYQEFEHDMLMRHSKEIEEAGPPKFDDVSKAEKKDEDDPPGEGPVLRWQTRVVFVPGGDSWHPKNRKAKLGVTVKELGLSKNQFRRLRELVGKRYNPGKDELVITSERFEHREENRKDCLRTLFGLIEEAGKADKLVDDARTCYVKNRLRGNEKFMEKLNAKIMRRRNQGSKSLNV >cds-PLY90978.1 pep primary_assembly:Lsat_Salinas_v7:5:287367630:287369417:1 gene:gene-LSAT_5X151420 transcript:rna-gnl|WGS:NBSK|LSAT_5X151420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFAPLHCSHVGNDRHLNPARHIPNIKLPNLTGNKFVCNSSQDLTSSSLRLKPINLDLLSKRQPIPCAGGGGGSGVGHGSGGGGGDGGSGDRSGGGKSDDSSSFDGFGPIGAFLSGWRSRVAADPQFPFKVLMEEVVGVSACVLGDMASRPNFGLNELDFVFSTLVVGSIMNFTLMYLLAPTMASSSATLPFIFSNSPTSHMFEPGAYSLVNRLGTFVYKGTVFAAVGFAAGLVGTALSNGLIQMRKKMDPSFESPNKAPPTVLNAMTWAIHMGLSSNFRYQTLNGIEFVLAKGMPPLLFKTSVVGLRLVNNVLGGMSFVILARMTGSQNAGGETEEKKMVASSDEEESSEEKVKLLSNEIPSK >cds-PLY64417.1 pep primary_assembly:Lsat_Salinas_v7:7:83022542:83023913:-1 gene:gene-LSAT_7X59680 transcript:rna-gnl|WGS:NBSK|LSAT_7X59680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMEANKNKFIEDWGTVRENLEHNFRWTRRNLALVGIFGIAVPYLVYKGTVREFHMQDEDNNRPYRKFLP >cds-PLY94604.1 pep primary_assembly:Lsat_Salinas_v7:8:180073347:180076799:1 gene:gene-LSAT_8X117861 transcript:rna-gnl|WGS:NBSK|LSAT_8X117861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSEPSSSISFTSSSHISNGSSPIPDTRPNLEIISLNKLSSNLEKLLSDSDSDYSDAEVVIEGIPVGIHRCILATRSKFFSDLFKESKGCVEKDGKPKYNMSELLPYGNVGYDAFLVFLSYIYTGKLKSSPPEVSTCVDDGCLHDACRPAINFAVELTYAASVFQIPELVSLFQRRLLNFIDKALVEDVIPILVVAFHCQLQHVSTRCIDRISRSDLDNVCLQKELPFEVFQIIKSIRNPEHEHTVESDEDALREKRIKSIHKALDCDDVELVKLILTESNITLNEAFALHYAVAYCNQEVAKQILDLNLADVNLRNARGYTVLHVAAMRREPSIIVSLLSKGAVAAEMTADGQSAVSICRRLTRPKDYNVKIERGQERNKDWMCIDVLEREIKRNPMVGDVSVCSSAVADDLHMKLLYLENRVAFARLLFPSEAKLAMEIAHAQTTSEFPGLLASKGSNGNLREMDLNETPTVQNKRLFSRMEALLRTVETGRRYFPHCSEVLDKFMEDDLPDLFYLEKGTPEEQEIKRTRFVELKEDVQRAFTKDKAELHRGLSSSMYSPTVRHGGKNKTRKYS >cds-PLY81797.1 pep primary_assembly:Lsat_Salinas_v7:3:31970081:31971878:1 gene:gene-LSAT_3X21660 transcript:rna-gnl|WGS:NBSK|LSAT_3X21660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDGSRKFTIIGIAVILLIMAVSGAVGVVKIYNKANEREEHKVTTSNKAVESLCQHTDYRKSCRKSLANANDTDEPQELMKIAFSSAINEIEHAINKSSTLQAVAKDPRATQALEMCKSLLTTSIDDLKRSLKKLDNFDISKMEEYLADLKVWLSGSLTYQETCLEGFTNTTGDVGEKMKKILKLGGKLTSNSLAMVNSVDEYLGEVQLTSMGSRRLLDKGLNDDHHAYAWWTKGYKRLLIDVDWKALKPNVIVAQDGSGTFKTIMEAIKKVPNNNTQPFIIRIKQGVYKEYVDIPRLVNNVIFIGEGHNRTRIIGNKSYDEGEATFYTATVAVNGDGFMAKGIGFENTAGPMKHQAVALRISGDMAIIHNCAMYGYQDTLYAHAYRQFYRQCTITGTVDFVFGNAAAVFQDCKMIVRKPSLNNECVVTAQGRKDRSSQGGLILQNCTITGDHDYLATNPRPITYLGRPWKLYSRTIIMQSFIDGIIAPQGWAPWAGTFGLDTCYYGEFNNRGPGSNTTHRVRWKGIKKITPEEAARYTPGKYIQGDLWIKATGVPYTSGMMNV >cds-PLY91569.1 pep primary_assembly:Lsat_Salinas_v7:1:11288917:11289915:-1 gene:gene-LSAT_1X9481 transcript:rna-gnl|WGS:NBSK|LSAT_1X9481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFLGLLGIYKETIKTIVSWRKIFTQITLTFILPLAFIFLAHMEVSNIISRKIIHTDFQKHITRPGTLRYNKLSDALRSEWITYWLLKATYFTFLIVFSLLSTSAVVYTTASIYTTRNYNLTFTTVTSIVPKVWKRLVVTFLCAFVVFFVYNMLAILILVFYMVHFPDNTFGVVVFHVMLVLYFVGFAYMSIIWQMACVVSVLESCYGVRAMMKSMDLIKGKRWVAIIVVSKLVLSFFAMQIVFEVFVVNGGELGVGVWRRLGFGVLCLVVVLMLFLFGLVVQTILYLVCKSYHHENIDKSSLADFLENYLGDYEALNGDDNVQVQLEQANV >cds-PLY76091.1 pep primary_assembly:Lsat_Salinas_v7:9:31153271:31156157:-1 gene:gene-LSAT_9X28401 transcript:rna-gnl|WGS:NBSK|LSAT_9X28401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLEAFQFVDEEIQNLMSAEPPLETGNSFTALLELPPNQAVKLLHSPEEETPKVFPSVTAKENSSETMGSILILQNPNRIISNPVKQEPLESGSLHNSSPISSDPIDSMSTKRKDREKTVKSSGKKSKTAAKVTEREKLPYVHVRARRGEATDSHSLAERARREKINARMKLLQELVPGCNKVSGTAMVLEEIINHVQSLQLQVEFLSMKLAAVHPRADINLESLFSSESGSPMECNFTGMATQSWWPDGELNGNTQEIWHSDGLTNLVTPETSLLSYDSSGNSASWLTSQLKMEL >cds-PLY63365.1 pep primary_assembly:Lsat_Salinas_v7:7:149029281:149030618:-1 gene:gene-LSAT_7X88281 transcript:rna-gnl|WGS:NBSK|LSAT_7X88281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKEMAGKTFFSKKNKVRNKLAILLHSSEPNVFITTHQQPENSESNFNHRSSNASLPSPVMSSCSSPVSFMSPINHMPSPYSESPWTLPHGTNGEDGIIYNTGLIGSLIREEGHIYSLASSGDLLYTGSDSNNIRVWKNLMEFSGFKSSSGLVKAIVVLGNRIFTGHQDGKIRVWKYSDNKKKAYNRIGNLPRTRDYIKSSMNPNNYIEARQHRNVPWIKHYDVVACMCFDEEQGLLYSGSWDRTMKVWRISDLRCLESVNAHDDAINSVVVGFDGLAFTGSADGTVKVWRREFVGKTKKHLLANTLLNQDSAVTSVVVNASQATVYAGSSDGLVNFWERKKQSLSHGGVLRGHKLAVLCLATARSLLLSGSADNSICVWRSEGSGVHTCLSVLNSHTGPVKCLAVQDRNEDYDDDEDRKDEEWIVYSGSLDNSLKLWRVSELLA >cds-PLY80819.1 pep primary_assembly:Lsat_Salinas_v7:3:191891519:191895281:-1 gene:gene-LSAT_3X114081 transcript:rna-gnl|WGS:NBSK|LSAT_3X114081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor SPF27 homolog [Source:Projected from Arabidopsis thaliana (AT3G18165) UniProtKB/Swiss-Prot;Acc:Q949S9] MATSTTINNGEKVLLLDAPPSSRPSWSSSAAQIDALPYIDDEYGDPKVKAEVDRLIEEEMRCSSKKPSDYLKDLPPVAKFNFENYPMLAREYERVRSGKPMVPLDMSRYGLETPSLNKRNDETAWKQALQKSQRLLQHQVIRLENLDLMSKYGADVWKQHNQRLEAFLSRMQAQAAELNEKIETVNRERKYHQQNTAYELNALSAQWKELCYKNIAIEAACVKIEANLEELKAEAAEKGWNLDVNMENGSSLP >cds-PLY71697.1 pep primary_assembly:Lsat_Salinas_v7:3:47763171:47765139:1 gene:gene-LSAT_3X38861 transcript:rna-gnl|WGS:NBSK|LSAT_3X38861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPYPAQGHVTPMLNLASALSRHGFSPVVVTPEFIHSTIAHINAGITCKSIPDGLEEETPRDFFSIEFAMENNMPEHLEGLVRELNGGDGGGVAFMIVDLLASWALKVGGDCGVPVVGYWPVMFAAYQLIAAIPEMLSLGIISETGIPQNEGPIYLGYDQPPLGTQDLPWLIGNLVSRKSRFKFWTRTLARTRTLSQILVNSFPEEHHIDTDLQDQSIKYPNITPIGPLTQHTRYGNPRFSKEDMNCLDWLDQQSDHSVVYISFGTWVSPIGKAKVRNLAMALESSKHPFIWVLGSKWREGLPKNYVERMWNRGRVVSWAPQSEVLRHKGVGCYLTHCGWNSTIEAIQSKVRLLCYPIAGDQFVNCDYIVKIWRIGIRMNDFGEENVHRSLEKVMGDIEMEERLVKMNQRVFGTETSLRVIANLTNFVDDYSKVTNDQTLTKES >cds-PLY82153.1 pep primary_assembly:Lsat_Salinas_v7:1:14541392:14542780:-1 gene:gene-LSAT_1X13041 transcript:rna-gnl|WGS:NBSK|LSAT_1X13041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGLQDHEQLLSLGLAIVIDSGRERKMKRKRRDRFLSLDMNGVSEGKIFSLLEIREIMLKEKKTGKRNIGADGEGLHLIHLLLTAATSLDENKLDLAIENLRKLYQNISLNGDSVQRVAAYFADGLVAKLLTRRSPFHAMIMKEPTPEDEFLAYMELYKVSPYYQFAHFTANQAIMDAFEKEDIQNKRALHVVDLDVAYGFQWSSLMQSLSDKATTGNHVSLRITAFGRSLEELEETERRLVGFAKTFRNLIFEFQGIVRSNSSGLKSIRKRKNETLVVNSVFYLNSMCNFSHISQTLKSINILNPSLVVLVEQEGDRNPRTFLSRFMEFLHYYAAMFDSLDDFLPLNSLQRLQIEKNHLGKEIKRLIDFDDEAKSPKYERMETWKRRMESHGFLGRNLSSRALIQAKLLLKTNCHYCPIQFGGENGGFTSFEREEGNALSLAWQDKCLITVSVWQCAAERR >cds-PLY90253.1 pep primary_assembly:Lsat_Salinas_v7:8:14556484:14558105:-1 gene:gene-LSAT_8X11541 transcript:rna-gnl|WGS:NBSK|LSAT_8X11541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SOH1 family protein [Source:Projected from Arabidopsis thaliana (AT5G19910) TAIR;Acc:AT5G19910] MASSHEDDDSSNTHSSPKKVYQDPDDGRQRFLLELEFIQCLANPTYIHYLAQNRYFEDEAFIGYLKYLQYWQRPEYIKYIMYPHCLYFLELLQNASFRNAMAHPANKELTHRQQFYFWKNYRNNRLKHILPRPLPETTAPPPSNAVPPPPTTTIAAASSGGPVAVPPVLSPMQYGVPSGPPLKSDPRSGIDRRKRKKDG >cds-PLY88112.1 pep primary_assembly:Lsat_Salinas_v7:9:8181045:8184988:1 gene:gene-LSAT_9X6900 transcript:rna-gnl|WGS:NBSK|LSAT_9X6900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFNMMRYVLLMSNCHLLIAAASLAIINSETTSSSRSAVGDPGMKRDGLRVAFEAWNFCNEVGTEAPSMGSPRAADCFHLFSTVKGKDGGGSGNYHYLYHKVTEADNRLGVGNSFPGLSRKALHDPDLYAAEKEVYLGNLCQVADKENEKESSPWQFWMIMLKNGNYDTTSGLCPENGKKVPPFPPGRFPCFGDGCMNQPILAHHPTSISFTGSTKHASDSDPVMRGGFNGTYDLDYSLKEINSGGGSNDISYFEVNWEKRVGFGSWEFSHKLRTSKFYPWLMLYLRADATKGFSGGYHYDTRGMLKTLPESPNFKVRLRLDVKKGGGAKSQFYLIDMGSCWKNNGDPCDGDVLTDITRYSEMIINPETPAWCAPSALGNCPPYHITPNNTKIYRNDTARFPYGAYHYYCAPGNADPRHLEKPYSTCDPYSNPQAQELVQLLPHPIWEDYGFPTIQGHGWVGDARTWDLDVGALSSRLYFYQDPGTKAATRIWTSLDVGTEIFVSDKPEEAEWIVSDFDVIIT >cds-PLY67009.1 pep primary_assembly:Lsat_Salinas_v7:7:174175924:174176475:1 gene:gene-LSAT_7X103741 transcript:rna-gnl|WGS:NBSK|LSAT_7X103741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTLRSPKKIHFRHLTSNGKNEEPEIFYTLHGEEELPFCLCPKRGYIGITTTVPFPYGGSIASCNGTFCMPTEKGLTLWNPSIRRKLIMPEFPRRCESFLLQGIGFGFDSISEDYKIVRLSYVKDHSFVYAVKSGTWCEIASPKHENQIHSVHYDSMFFNGVLHWVIDVFDTEPKDVCILVCQ >cds-PLY89439.1 pep primary_assembly:Lsat_Salinas_v7:8:30588428:30594288:-1 gene:gene-LSAT_8X21821 transcript:rna-gnl|WGS:NBSK|LSAT_8X21821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAGDGRAPYESSGAGGKFRKRPYRRSTPATPYDRPPTALRNNNPGLFTKLVDPASRLIYAGVHKLFGVFRKRLPPLSLQGLPATEKFYFMMYMFLTKVLMLTGISEEPQNVSQDVGRNNSIGVSKASTDQDMNSAATGISELEQMLKQKTFTRSEIQHLTTLLHSRTTESPDNVVDINGAKPHSSPSPLLRLEASTSGSMKKHGDNRDNFHATISTPFVSSKVLEEETPSPAEVAKSYMGSRPTKLAPSPLGLTSHNTTSLQKTSITPKTANNFKGLKNGFLTPRSRGRSAMYSMARTPYTKSPLNFNQKEIMSTSSQSLKRRSSVLDDDFGSGGPLRRTRQKPNLLLQSREKRELGYTTFQQPMLLENKPEENGDAAIHGSTHGSVPAKSTQTATKIFQQLERLTPKSPTKLTSNMLHGQALRSLEKADSPKFLQTSHDTQKSEFQHESTSKSTSRGKEKVEENGERKFPVPFNMLTPVNGDLGVSIKESSQIVTVDDSTSILPSEPPQKRRAFQMSAPEDTWELDDDDDDDIHVNGHVYTPPVVENNKPETSLVVNKPGSADIIEPPTPPVVFKTPAPVEATPVVAEVTPVAKSSEKVELKESEKATPFPKTDGFLGGNVIEQETGFKFPTSPPSTFTTQKDEVTPTEKVSPFQFSGSELSESKPKAPDSTSVFNLDTKNDQVKVTNKDENGNDQKLENIPAASSTSAIFSFASSAAKDSSGPTPTMTPDSSSPIFSSSTSFPASDANANSVFNNSSSNIIPASTSIATSSVFSTTSLPPPAFSFGSSKPTTSLAETGKADAITEKEPKSTISSSPFATITTPNGGGLFGFSSPTATSTTTAATTTTNGGALFGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPHPLPPPPLPQVVVLFLASVLRLLPPPPPPLMAVLRSASVLRLLPPPPPPFSGSYFHHRHHHKWWCFLWFQFSSRHFYHHCSIAGVFL >cds-PLY71000.1 pep primary_assembly:Lsat_Salinas_v7:9:70118492:70118785:1 gene:gene-LSAT_9X59981 transcript:rna-gnl|WGS:NBSK|LSAT_9X59981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVIPTSGTEVTKMEQDFGKPPFVSTRAALRDFAIMKRTGDSKADKVVTLSPPMLKRTSHEVKNRNLTSLAEQSIPNLVNHEPFWQPLLHLIFLNVP >cds-PLY78260.1 pep primary_assembly:Lsat_Salinas_v7:1:129213059:129213476:-1 gene:gene-LSAT_1X95660 transcript:rna-gnl|WGS:NBSK|LSAT_1X95660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRKQEWQYLSQMRAADMGIEDEGRLLILGMVMLGFLSMFFSHFPLYRIEKHLLIPRHPMDSSDQYRLHKIEPIGRLINSYVNNPLVDNQPYHV >cds-PLY70888.1 pep primary_assembly:Lsat_Salinas_v7:9:15067716:15068424:1 gene:gene-LSAT_9X13221 transcript:rna-gnl|WGS:NBSK|LSAT_9X13221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFEFLGNRPGKSVILQTNVFTNGLGGREQKFSLWFDPGADFHYYKLLWNDYQVVYAFFVDDTPIRVYKNNIIRGVGYPTHTLQVITSFWDGSSWATDGGKTKVNYSNAPFHASFQDFNIDGCISMPNSPNKDCASQKYWWNNKKYWQLNPQQLKSLEDVRKKYMKYDYCTDKSRYPTPRPECSEK >cds-PLY99898.1 pep primary_assembly:Lsat_Salinas_v7:7:191918698:191921875:1 gene:gene-LSAT_7X113600 transcript:rna-gnl|WGS:NBSK|LSAT_7X113600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsZ homolog 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55280) UniProtKB/Swiss-Prot;Acc:Q42545] MATRTLLQFATNVTQLPSSSSSISTGCPLSPFFLKACDSPRARVRRRSAVCCSFASMESAKIKVVGVGGGGNNAVNRMIGSGLQGVDFYAINTDSQALFQSVAENPIQIGELLTRGLGTGGNPMLGEQAAEESKEAIGNALKGSDLVFITAGMGGGTGSGAAPVVAQIAKEAGYLTVGVVTYPFSFEGRKRSALEAIEKLQRNVDTLIVIPNDRLLDIADEQTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKDSGTAMLGVGVSSSKNRAEEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVNRVSQVVTSLADPSANIIFGAVVDERYNGEIHVTIVATGFAQSFQKSLLADPRGAKLVDRNQESTQPSISPKSSFTTQNPTPSRPRKIFF >cds-PLY74440.1 pep primary_assembly:Lsat_Salinas_v7:6:11711741:11713518:1 gene:gene-LSAT_6X9241 transcript:rna-gnl|WGS:NBSK|LSAT_6X9241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFFVVLCWIHVSRCVSYTNFAFGDSVVDAGNNNHLASLSKANYSPYGIDFTPSGGKPTGRYTNGFTIIDIIAQALGAKTLASPSLAGNATSNALLGGINFGSGASGILEETGAVYLGRIPLRKQIDHFEQSRAEMVQTMGENRTQDLLKNAIFSLTIGNNDIITYFLPKIPFIGSYNEVSHTVLQETMVAHMGSYLKRLHELGARKFVVVDIGPLGCLPFVRAIHLLPDGKCHEEMNMLIRGYNEKLRQAVNILNQEMGNGSIFVYANSYDVISEMLQNYRDYGFENVNDPCCGGNIPPFFCFRIEGEERQISSNVCDDRSKYLFWDAYHPGQAANFIIAQHVLNGDQNICSPFNIRQLHNLKL >cds-PLY95907.1 pep primary_assembly:Lsat_Salinas_v7:6:106002041:106003136:-1 gene:gene-LSAT_6X68201 transcript:rna-gnl|WGS:NBSK|LSAT_6X68201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQHYLSSSRTSPTTPEHCLDLLWIKHTPPIIPQYRGFFIYHIRYSNMMYAIFRRSIAYVCHIGSDGAVRVVDAEERQKFSEKVIVLLLIVDAIEGADIMVRAAKKVDGG >cds-PLY89698.1 pep primary_assembly:Lsat_Salinas_v7:3:164806185:164807697:-1 gene:gene-LSAT_3X102241 transcript:rna-gnl|WGS:NBSK|LSAT_3X102241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTATDVKDVKYVINYDFPGSLEDYVHRIGRTGRVGAKGTAYTFFTATNARFAKELIAILQEAGQKVNPDLAAIGRGAPPPPSGSFGQIPNWYSILDPLQRCLKDLDAFTAAIVVSIAFSFIPASLVVAIMKVGHSPVVFFI >cds-PLY83046.1 pep primary_assembly:Lsat_Salinas_v7:8:193623565:193624961:-1 gene:gene-LSAT_8X125161 transcript:rna-gnl|WGS:NBSK|LSAT_8X125161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPILTVLEESKVSPPPATVANRSLPLIFFDFLWLTTPPINTLFFYELPITKTHFTETIIPNLKSSLSITLQHFFPFVGNLIIYPSRAQNPEIRYVEGDSVEVTFAECNLDFIDLTGNHPRECDKFYHLIPLLRRTAEVSDFISIPVFSVQVTLFPNSGFSIGMTNHHSLGDASTRFCFLKAWTSIAQSGTDELFLANGSLPVYDRILKYPKLDESYLKSQKVETFDNEYQLASLSGPTDKVRATFILKRAVVNRLKELVSNQLPTLAYVSSFTVACGYIWSCVAESRNDELEVFGFTIDCRARLDPPIPAAYFGNCITYCLTMEKTKDLKGKEGFVIATKLLGESLHQVLTDKDGIVKDLRSFDDLFSGVTPTTVMGVAGTPKLKFYDLDFGWGKPKKQETISIDYSGSISVNACKEINEDLEIGVCLSATEMEAFVRIFDHGLEAYI >cds-PLY85876.1 pep primary_assembly:Lsat_Salinas_v7:5:42936631:42937021:-1 gene:gene-LSAT_5X20540 transcript:rna-gnl|WGS:NBSK|LSAT_5X20540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFLSKSLQIPSYFLILHLEYGVGGWASEFQHARANADDVCGPNGRCKHSIFGCYGVDPNASTHFSSEHQSKVSEYYLFGLANNM >cds-PLY70871.1 pep primary_assembly:Lsat_Salinas_v7:9:15039712:15040450:1 gene:gene-LSAT_9X13241 transcript:rna-gnl|WGS:NBSK|LSAT_9X13241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFEFLGNRPGKPVILQTNVFTNGLGGREQKFSLWFDPGANFHYYKLLWNDHQVVYAFFVDDTPIRVYKNNIIRGVGYPTHTLQVITSFWDGSSWATDGGKTKVNYSNAPFHANFQDFNIDGCISMPNSPNKDCASQKYWWNNKKYWQLNPQQLKSLEDVRKKYMKYDYCTDKSRYPTPRPECSEK >cds-PLY75569.1 pep primary_assembly:Lsat_Salinas_v7:9:34556887:34559331:1 gene:gene-LSAT_9X32220 transcript:rna-gnl|WGS:NBSK|LSAT_9X32220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGETSGNGSLPIPPLSNYRMGKTLGIGAFGRVKVAQHILTGVKVAIKILARQSINDSAAEKVRREINIMRLLSHPHIVRLYEVIETRSCIYVIMEYMNSGELFDYITENGRLEEGEARHLFQQIISGVESCHLHMVVHRDLKPENLLLDSKGNVKIADFGLANIMRDGHLLKTSCGSPNYASPEVISERLYAGPEVDVWSCGVILYALLCGSLPFDDDNLSGLYAKIKSGIYTYPNYLSLGARDLIRKMLIVDSVNRITIPEIYKHAWFQEHLPKYIAGHSIDTSWSARKVDVRVLEEMDILGFNVQEVIGSLNNLLQNQATVTYSILLHKLLSRHNYNKDNPLASLPLECTDRREIYVRPVSPVQGKWVLGFKLYKGSAESSYLVDWQRVFGPPFLFMELCAGFRACVV >cds-PLY64220.1 pep primary_assembly:Lsat_Salinas_v7:7:4096891:4101064:1 gene:gene-LSAT_7X3881 transcript:rna-gnl|WGS:NBSK|LSAT_7X3881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGSCNDASQPFAKTICSICYEDLKPIVEDLQAISICGHVFHELCIQQWFEYCSKGKKKCPICKQTCSASNVSRLYFQSVGDSNEPKLSQNLQTQKEDPEELKIECRRLEGKVKGLNSALESRENDLRNISNELCVCKEQLEKETSLKTEVLEQTRTVSRLLNLKTQELNKSDLERIKLQERNMALAKELAALKLMSDLNLNEEEIVKLASLGTHANSKENIDVLKKSLIVRNKMYKDLIAKCNTLGRDEARARKKLEKAKEKLEKIQEMETSNEIKDNELLRSLKASKNTNSCPNVLNAPEPCTPQSTIYASEAAIDDRKTKRFRCSENIDERNDKKDGVGGPTPEPAPEIYSYILIDDDDDDDDEKLKDDDVVAQDKSMPDITKETPLPFLDSQQGGGGGGGGGEFCFSGGLLGPDGSKRHLGKWCKKGFNNNNKNASSAPMQASGDLIAVGSDGRGGTIKVLKSSSTDSRKSSVITKNGKLGAKTTSLQSRGIHHFFSKAAQ >cds-PLY95136.1 pep primary_assembly:Lsat_Salinas_v7:1:91968725:91973096:-1 gene:gene-LSAT_1X76500 transcript:rna-gnl|WGS:NBSK|LSAT_1X76500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILRRRKLLSDYINVSSRSIQALSHGHYAKYSDAGHSSSTPDTPFKDPVTTKFDPATFTRHEEIRNASTLPFFTPHRFYSTMGHGTFRHMSPMRMNLVFQSSKFSSMATSHQPDMRGEDDDKEQVSKKRKEASPEECDQAVEGLSTAKAKVKAKQVTESQKTTAKSILQRTWAILLGIGPALRAIASMSREDWAIKLVHWKNEFISTLKHYWLGIKLLGVDIKISSRLLLKLAGGKSLSRRERQQLTRTTADIFRLVPVAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIEYAKFLQDTVKEMAKEVQNTRSGEIKKTAEDLDEFLSKLFNDELTLDNISRPRLVNMCKYMGIQPFGTDAYLRYMLRKRLQWIKNDDKMIQAEGGVDALSEDELREDCRERGMLGLRSVDEMRQQLRDWLDLSLNHSVPSSLLILSRAFTVSGKLKPEEVVRATLSSLPDEVVDTVGVTSLPSEDSVSERKRKLEFLEMQEELIKEEEEKEEEEQAKMKQSIENNKDIALEEMINPTASEIQEQARARALEKQEQLCKVSEALAVLASASSVSREREEFLRLVNKEINLYHSMMDNKEGRDGEKEIMKAYKAAHVHEEIDNSSEEHVADEVSSALINRVDAMLQKLEKEIDDVDAKIGDRWRVLDRDYDGKVTPEEVASAAIYLKDTLGKEGVQELISNLSKDKDGKILVEDIVKLGSKAHDVD >cds-PLY71886.1 pep primary_assembly:Lsat_Salinas_v7:4:377410641:377411621:-1 gene:gene-LSAT_4X186081 transcript:rna-gnl|WGS:NBSK|LSAT_4X186081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPRTIIFILLVTTSMFMQLEGSRHSIPHISVMGMVYCDVCSNNSFNNNHSYFLSGAEVRIDCKFNAVSPRTAEQISVSVNKTTNRYGVYRLDIPSVDGIYCASESAVMNTCRASLIASSSPQCNVPGFITTSDEFSLKSQHANLCIYSMFALSFRPFKKDLTICGN >cds-PLY91598.1 pep primary_assembly:Lsat_Salinas_v7:7:11838492:11842424:-1 gene:gene-LSAT_7X10320 transcript:rna-gnl|WGS:NBSK|LSAT_7X10320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00030) UniProtKB/Swiss-Prot;Acc:O81304] MATTSSLPAISNIFTPFSPPQTHRTLTTRSSLTSQSLTSAKSHLLHLISDQNRGIATQSDPQKLAQITDAIDSIALINPDQITTNNSLSGTWRLLWTTEKEQLFIIKNAGFFGTKAGDVLQVIDVEKRKLNNVITFPPDGVFFVRSDMEIASSQRVNFRFTSAVLRGNEWEFPLPPFGQGWFDSVYLDDDIRVAKDIRGDYLVVDRAPYQWKE >cds-PLY66388.1 pep primary_assembly:Lsat_Salinas_v7:4:119410201:119410566:-1 gene:gene-LSAT_4X75200 transcript:rna-gnl|WGS:NBSK|LSAT_4X75200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSILSGTSNAISKKVKSHNKEIIISPTLSGIIELDSEDSDSYNGKDYIDLSSEDHDSEYAYLTFQSHFDNIDFPTGMESSNPWFLDYVKMTSSAGFTHPVHAMLSPSKVDLGYERGKNVF >cds-PLY95036.1 pep primary_assembly:Lsat_Salinas_v7:5:227704442:227709238:1 gene:gene-LSAT_5X107980 transcript:rna-gnl|WGS:NBSK|LSAT_5X107980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQTAPTPLAPLLLRNILLPLFIYSDKTLINLSHKFKLFHIIRYTLITAFLFFLKVIPSFISSSLQHSQNHNHNHNSDSEFDSDSSTYPWVPFLKGSGNCNDLAGADGSLVDETCIARALTQLLAILNEIPVSSRKYEIVRSYAEKLMDENLEEGYEPLRKVNAKFTKPKTRLRVSAEKLSAELLWLAEKLVACGSADEAVQQWASASKLACVALSAPQRLQGSLVKLSVYLFKEAVSMGGQYDDMEELRKVKTKLLMSWLPFLCRATIGVEAPVLTFNEKAELENMLGKLIESLKHEEEQEKVLSLWLHHYAHCPSSDWPNLYQYYDRWCTASRNLFILQGKQEK >cds-PLY64499.1 pep primary_assembly:Lsat_Salinas_v7:3:14748300:14751089:-1 gene:gene-LSAT_3X10161 transcript:rna-gnl|WGS:NBSK|LSAT_3X10161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVVGKTSATIANLAEEAKIASEGVKAPSRHALLSICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHAIKYNGTIQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEEASKGILYLYRQQPGNEDAELTPLLRLGAGACAGIIAMSATYPMDLVRGRLTVQTDTSPSQYRGIAHALRTVLREEGPRALYKGWLPSVIGVVPYVGLNFAVYESLKDWLVKSRPFGLVEGTELSVTTKLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAASVVTADGKSALEYTGMVDAFRKTVRYEGFGALYKGLVPNSVKVVPSIAIAFVSYEVVKDLLGVEMRISD >cds-PLY70866.1 pep primary_assembly:Lsat_Salinas_v7:9:16196216:16197030:-1 gene:gene-LSAT_9X17701 transcript:rna-gnl|WGS:NBSK|LSAT_9X17701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNADMGQVPIILLLHGFPELWYSWRHQILYLAAHGYRAVAPDPRGYGDTTGAPVDDPTKFTTLHVVGDMVTRIAALSVDKEPGEIEAVFASLGTKKVFEKFLTHRDPDPFYFPRDSPFGALCETPIILPSWLSEEDVDYYTKKFEQTGFT >cds-PLY91505.1 pep primary_assembly:Lsat_Salinas_v7:7:142369950:142371195:1 gene:gene-LSAT_7X85020 transcript:rna-gnl|WGS:NBSK|LSAT_7X85020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQGQPPVGAPPPQGYPPQEGYAKDAYPPPGYPPQGYPQQGYPQQGYPQQQYPPQYAPQYAQPPPQQQQKQSSGCLEGCLAALCCCFLLEACF >cds-PLY76991.1 pep primary_assembly:Lsat_Salinas_v7:6:65879568:65882314:-1 gene:gene-LSAT_6X47400 transcript:rna-gnl|WGS:NBSK|LSAT_6X47400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNWHLQTEFNILHSLSGSLMDFFEGLTYEHVNFIFSDVTYPQDSTNYTMNTSSYKFAYSEPGNFSYYDYFNAYAINDPIYGNNGFTRQLENTSTMNNERSTPVHMQQNGHSISTSNSHTNSSDNQQSNHNHNHNHGDQHQVVWEDNVDLDNMTYEELLELGEVVGSQSRGLSQEAISLLPVSKFKCSFFWRKKSKSERCVICQMEYKRGERQITLPCKHIYHSGCGTQWLSINKACPICYKEVVVHIPKHSEKLSK >cds-PLY83346.1 pep primary_assembly:Lsat_Salinas_v7:1:63604961:63605632:1 gene:gene-LSAT_1X53661 transcript:rna-gnl|WGS:NBSK|LSAT_1X53661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPNKSSVVASSLIISAFLMLAYFYMGVETVEDDMINDQKTGGIRDIAEQSVNGAEMETLARFAVEEHNKMENSSLKFSRLLKAREQVVAGKMYHLTLEATDDDGKIKVYETKVWVQTWNNMKQMKEFKVSDDPNV >cds-PLY74108.1 pep primary_assembly:Lsat_Salinas_v7:9:13271543:13275693:-1 gene:gene-LSAT_9X11421 transcript:rna-gnl|WGS:NBSK|LSAT_9X11421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVAKAFRAMREIGIPEEKTKPVLKNLLKLYEKNWELIEEENYRALADAIFDSEEAETAEQKKKHDIAEAEALEQKKKLELAERMKVIEEETQIPEEPERPLKRLRLRHQDGHASPSCTTSSPNSNGTPLKKPKLEIDDIPYAIPRTRSHAKTNESQLVSPQSQSRNKGKQPVSDCNTQPDVTNETGGDSAIRSRHPKDKGKEPFLPQTGFQEKRSISDRPSSHGVRFKEPKVKQSPLALIKPKDEPLTDDNTSKVVVPLAVIRPESLTNGDSSTENGPVSEAAQPLAVANDESTTKLDIASSSSGEIKISLTCNIVGKPNISITDVDSVLKTMEDKCLNSVKLLDPSISIKKLMKDMCECLLDNETTSTPPAKPSRRCCVADPDTKDMPSDTNGSVNESQRVTEDDCENGAHNNTESNNESVSDVIRSTHDVNDIAKGQESVIISLVNDVNNECPPSFHYIPQNAVFQNAYVNFSLARISDDNCCSTCFGDCLTSSTVCACALQSGGEFAYTKEGLVKETLLDECIKMNRDPQKHCLFYCKECPLERSKNEGITEPCKGHSVRSFIRECWLKCGCNKQCGNRVVQRGIQRKLQVFMTPGGKGWGLRTLEDLPKGAFVCEYVGEVLTNAELYDRVQKSSNKDEHAYPVNLDADWAAESELKDEEALCLDATYYGNVARFINHRCWDPTLVEIPVEVENPDHHYYHLAFFTTRKVKALEELTWDYGIDFDDETHPVKAFRCRCGSRFCRNNKRPNSK >cds-PLY85678.1 pep primary_assembly:Lsat_Salinas_v7:7:158053343:158054194:1 gene:gene-LSAT_7X93361 transcript:rna-gnl|WGS:NBSK|LSAT_7X93361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIALALAFTALVAFASAHSTIITTTIEEESTFSKQQCSRQLQGQRLNQCQRYLAQGQSLYEEDDRSQKQGGLQLCCQELQFVDEQCQCEAVKEAFREAQKMQQQQQGQQGGSFGSKQIRQMMQKAQNLPNQCKLQTRQCQVGKISITTFTTITEDTTYSRRGSQQQCEHIRSRQFNQCQNFIQRQMGSYATLLMSVSRQGQQPQGLEQCCNELQNVEEECQCEAMQEVYRQAQRQQQQGSQQRSRRGGQPQTQDLQQIVQSLPNQCKLEVQQCRIPSTMF >cds-PLY64967.1 pep primary_assembly:Lsat_Salinas_v7:8:161274622:161277904:1 gene:gene-LSAT_8X107021 transcript:rna-gnl|WGS:NBSK|LSAT_8X107021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKDKDAIENPNLKDKKMFIGIVWNCAPELKLVLSALLFFCSLVTLFQFFPSHLSFSVQDLRNCASLPPPSSALKLITASSPPPVEIQIQHRHVKQEDVVLQNGVVKRNFNTYGTAAYNFILMSAYRGGVDTFAVIGLSSKPLHVFSKPTYLCQWLPHNATQNQNQNITVPGSKILPDWGYGRVYTVVVVNCTFPFPVGADGGGGQLLIHASTGGGGDSNFNLTDTIEALSETPGSLNPLQFTAPPKYDYLYCGSSLYGNLSPQRIREWIAYHVKMFGEKSHFVIHDAGGVHPEVMEVLRPWVEKGYVTVQDIREQERFDGYYHNQFLIVNDCLHRYRFTTKWMFFFDVDEFIFVPKKSTINTVMDSLSDYTQFTIEQRTMSNKLCYLDDHAGKIYRKWGIEKLVYRDTVKGIRRDRKYAVQPRNVFATGVHMSENTIGKTTHKTEGKIMYYHYHGTISERREPCRQLVNKTEMKVGGTPYMVDKTMREAAFAVKRFELRMIGSVLIRTRQ >cds-PLY71609.1 pep primary_assembly:Lsat_Salinas_v7:2:103109618:103110377:-1 gene:gene-LSAT_2X45181 transcript:rna-gnl|WGS:NBSK|LSAT_2X45181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSGILVKKIPIKSDGNVFYDILTYSPYNVCEICPDCMNGVDLEDGEWGVIGCVIVVSFIHDGKTHVVKEVLQTIDKEKKSVSYNVIGGDIMDAFKTFLITVDVDTSEEESFVTLTFQYEKLDENLDAAESLMDFCVKVIADMENYYLAKSI >cds-PLY78686.1 pep primary_assembly:Lsat_Salinas_v7:9:51829390:51830563:-1 gene:gene-LSAT_9X46901 transcript:rna-gnl|WGS:NBSK|LSAT_9X46901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQRLNKPPVSSYFRRHRVTVCCLPVAFCLLTPSFVLYDLRFAENRLDNGLVFDFVHTRLSKIDQPSYPFSKIEQRRPVSVDIQTIAVALLMCRLLILCTHETSTEVLQKGVRWVALPPISKVSILATSQKSRNDKMDSYVPDIISDFRLHLGQFVVSVAKLILQYNIFDYDAFVNGRMYYSFTKKSHIPTPATWRHLSS >cds-PLY62999.1 pep primary_assembly:Lsat_Salinas_v7:8:184557722:184558333:1 gene:gene-LSAT_8X120680 transcript:rna-gnl|WGS:NBSK|LSAT_8X120680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPSLTSLHLFVVVIMTLSISSMITTAAAQTVTDTTGREVRNGGKYHIIPIQGGRINLTNEKICPLNVVVNLSSKDKPGDGFYFSHYTRDAFLRTSRILSIDSGKKNVSGCNKSTIWTIPNAEAKAPWNLITTGGDMRKCFQVVNYPRRMHRTPTYMLQYCPSFCGVRVATKTCFNISTYSYKGVTRLASSGGTPFEFGFYKL >cds-PLY96496.1 pep primary_assembly:Lsat_Salinas_v7:5:335900171:335901020:1 gene:gene-LSAT_5X187361 transcript:rna-gnl|WGS:NBSK|LSAT_5X187361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLKALVLTLLVMLMTTAREMEVLNFTPLNGKAIRIMYSHRDPSVRKSGSGNIFIKILDKAIDQKALHGTFSTFGNILSCRIAIDSSGQSKGYGFVQYDSEESTQKAIEKLKDMLLNDKQVYMGPFLRKQERELVVDKTKFTNVYVKNLSESTTYDDLNKTFSEFGTVTSAVVMRDAEGNSKCFGFVNFENAEDAGKAVEGLNGQKFDNKEWYVGKAQKKYEREQELKQRFEQSMKEAVDKSQSIQL >cds-PLY89452.1 pep primary_assembly:Lsat_Salinas_v7:8:30462652:30465025:-1 gene:gene-LSAT_8X21761 transcript:rna-gnl|WGS:NBSK|LSAT_8X21761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNAPFVLLFALLLTLPLLFIFAPRILPPRRVVISLPDELDDLALFRRAVLSTVRPPGSVSRLGSTNAKPKIAFMFLTNTDLHFAPLWEKFFNSPKSNQQKLYNIYIHADPDSDVKSPGGVFENRFIPAKKTQRSSPTLISAARRLLATAILDDSLNAYFVLVSQHCIPLHSFRYVYKTLFRTPTESTRFPTRFPTRLSFIEVLSEEPQLWDRYTARGKNTMLPEVPFDKFRIGSQFYILTRAHSLMVIKDRKLWRKFRLPCLNVDSCYPEEHYFPTLLSMEDPNGVSEYTLTRVNWTGSVDGHPHTYHPAELSPELIRSLRVSNSTFSYLFARKFSPDCLLPLMSIAEKVIFRG >cds-PLY80914.1 pep primary_assembly:Lsat_Salinas_v7:8:133961361:133963109:-1 gene:gene-LSAT_8X93140 transcript:rna-gnl|WGS:NBSK|LSAT_8X93140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLVLGFFLCLLGTTALATTRSPRLGGPELCNLQRLSATQPAQRLEFEGGSIETWDVNDDQFQCVGVAPMRKTIQPNSLSLPSFHPFPRIVFIEEGEGIIGVHFPGCAETFDTGVGQQQQEWPRKMGQQGQEEPQGFDISADSHQKVRRFRRGDIIAIPAGAVHWTYNDRNQEVVAISINDINNPANQLDMQPRSFFLAGGTTSQSVQGQRHPTRKQQQQGGNFDFLNIFAGFDTELLAEAFNTDPEIVRSMQEPGNRGLIVKVQQPMRFVTPDEQQGEQTPFERRQGGGAAASNGLEETICSAKIVYNLDNQREADLFSRQAGKLNSVNEHKLPILSLLDLSAEKGHLQQNALFSPHWSINSHTILYVLSGDAHIQIVSNNGEAVFDEQVNRGNIVVVPQFFAAIAQAGQNGFEWVAFKTNKSPMKSPVAGYTSVFRAMPLEVITNSYDISPSQAQSLKTNRETESMLFSPQRTSQS >cds-PLY91041.1 pep primary_assembly:Lsat_Salinas_v7:1:203468686:203474344:-1 gene:gene-LSAT_1X122540 transcript:rna-gnl|WGS:NBSK|LSAT_1X122540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:B120 [Source:Projected from Arabidopsis thaliana (AT4G21390) UniProtKB/TrEMBL;Acc:A0A178USE7] MGFTHKTIKNLHLISFIVLLHFLPVFPQGNNSRIDQGQLLRDGQTVVSPSQVFELGFFSPVNSRLKYVGIWYYGFQNRTVAWVANRNDPITGDSGVFSIGNNGSLIVSDGNDRVYWSSNSPSSGSNLTAILMDNGNLMLSTVQNVGVDTEAIWRSCDHPTDTYLPNQRVYLNITAEDTRQFVSWRSLNDPSEGNYSMGIDPRGSPQVVVWEAQTRRRLWRSGPWNNQIFIGIPQMRSLFLYGFDLVRVNSSLMYFIFNNPNTTVLMRFMIRWNGVVQQLTWDNQRSRWDANLSLPTSDCQYYNRCGNFGLCQSSLNSPSPCSCMEGFDQNSTGGCVRRTLLNCNSNGSTSDGFFQQTGVKLPDLADRLDAGSSGDCEDGCLRNCSCSAYAYVSGIGCLIWGDNLVDVEQFAEGGETLFIRLADADLESRKKASKTLVIALSVTGAVVLAVILLLLWRFRRNLKEGRNLCGKSKKQTSVSEMTNGVQNHVENSGQQDDEGKPYEGPSLPLYSSSVLEAATDGFANKNKLGQGGFGPVHKGILPGGQEIAVKRLSRSSGQGLVEFKNEMILIAKLQHRNLVRLLGYCIEGEERMLLYEYMPNKSLDTFLFDPIKKAELSWKIRFNIIEGIARGLLYLHRDSRLRIIHRDLKVGNILLDEEMTPKISDFGMARIFGGNQNEANTNRVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLEIISGHRNNAFRSNDSTNLIRHAWKLWKEGKADELIDPTILDSCNKTEALQCIHVAMLCVQSSAVQRPTMSSVVFMLEGENTSLPQPTEVDITSLSSVEMDLIMEGREINISSNDVTITEVSGR >cds-PLY84347.1 pep primary_assembly:Lsat_Salinas_v7:3:151463776:151467574:1 gene:gene-LSAT_3X97261 transcript:rna-gnl|WGS:NBSK|LSAT_3X97261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGVVENKLMFSWHEAIIMDTNKIDLTSLTVQFPASLTLLGEKTTLLSPDTVVASGIPCCRLVRNPGEFVITFPRAYHRGFNHGDVLHXFWNPKVAFSS >cds-PLY86742.1 pep primary_assembly:Lsat_Salinas_v7:5:60126587:60126907:1 gene:gene-LSAT_0X37961 transcript:rna-gnl|WGS:NBSK|LSAT_0X37961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGALAWQVKSVNEIIVFVLVEGDGTGLPGSPSFLVRLRSLLFFFAISLSCQRSKNVKRGSNFVPKLSRLRALITQSIQHQTLEINIETVLRYKQSVSPFELIFSR >cds-PLY67434.1 pep primary_assembly:Lsat_Salinas_v7:6:73797713:73802124:-1 gene:gene-LSAT_6X51980 transcript:rna-gnl|WGS:NBSK|LSAT_6X51980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AAE18 [Source:Projected from Arabidopsis thaliana (AT1G55320) UniProtKB/TrEMBL;Acc:A0A178WMT3] MDKAKRIHELGLQELLNTGLSVHDAKSLQIELKEAIDRTTSSSPVQLWRDITSRRLLKPSFPHSLHRLIYNAVYADYDASIHGPPLYWFPSDVDAKRTNLGRLMETHGSKLLGAAYKDPISSFKQFQKFSAYWSIVLEKLSIRFHQPPKCILDTSNKSKHSGSWFPNSVLNIAECCLKSTTKQDDENERVAIIWRDERFDNFDVNKMTLNELRHQVMLVANSLKGLFSIGDPIAIDMPMTVTAVVLYLAIIYSGFVVVSIADSFASKEIATRLRVSKSKAIFTQDYIVRGGRRFPLYSRVVEATECRVIVIPAIGENLDIQLRKQDISWQDFLSISRHLPSPDYCPPVYQSIDTMTNILFSSGTTGDPKAIPWTQIAPIRCAGDSWAHMNIQPGDVFCWPTNLGWVMGPILLYSSFLCGATLALYHGSPLGHGFGKFIQDAGVTTLGTVPSIVKTWKSTRCMEGLDWTKIKLFASTGEASNVDDDLWLSSRAYYKPIIECCGGTELASSYIQGNLLQPQAFGAFSSASMTTGFLILDEHGVPYADDEACVGEVGLFSLYMGATDRLLNADNEEIYFKGMPIYNGMKLRRHGDIIKRTIGGYFVVQGRADDTMNLGGIKTSSIEIERVCDNADVSIMETAAVSASPAIGGPELLAVFVVLKKGFTAEPENLKMIFSKAIQRNLNPLFKVSFVKIVPEFPRTASNKLLRRVLRDQLKEELRTRSRI >cds-PLY86930.1 pep primary_assembly:Lsat_Salinas_v7:5:255109243:255111699:-1 gene:gene-LSAT_5X127800 transcript:rna-gnl|WGS:NBSK|LSAT_5X127800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MKGSSSGISFSNDDEKNLEWLLEVFGSKISPDDMASAYCQAGGDINKANEILCSMLGSSSSNDLHISADDLVSTTTPADTCVGTVSGVNGSDYARLGIMNEPPKVLYRPKTRNSGVSMGTVSGRIGADYGRSRPLTKEPREITKPVKLMSNEIPASQIWVEKSKPDNVKTETMNKDIELFLLKMLGDGFQLDMDVIKQVVGGCGYDVQESMEKLMNMSAPTLDLGNATSSMVDLDQTCKDFQDLGIKSEEKLQFNDSAGRSNLEKEILGKLFTSGVETGAIPIRPRREPRTRKYGVVTGPLEEPVTIFKSPIVEKEANNGCDGNEETEDNYETLREAALEHWVTMKKYYRAAAEAYAKGDYELAKKFVKQGHFYMDKAREANEMSGKMLTEPRNDGEAVSIDLNIYDPKDAIRLLKTQLKSMCGIPSIRYLKVKVGTSGDNTKPNARKRLICKLLERDGISWSDEEDGQTMAVRVDVVNPKRLSFNKN >cds-PLY77032.1 pep primary_assembly:Lsat_Salinas_v7:6:176970751:176970939:-1 gene:gene-LSAT_6X106580 transcript:rna-gnl|WGS:NBSK|LSAT_6X106580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFMVEKFDKNAINRLKMVASTHFIRLSYTIAVTILEEAVSKGHKFENKVEWGIDLACEHEN >cds-PLY97532.1 pep primary_assembly:Lsat_Salinas_v7:5:237618378:237626680:-1 gene:gene-LSAT_5X112301 transcript:rna-gnl|WGS:NBSK|LSAT_5X112301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGSNGGWMVVVVVVGRWVNFADGIGQSAKSSESICEMEKQTCINDKSCDSGSQCGTCTGNVSVPPRCIRVQPHSPVRKVRGLPFNRYSWLTTHNAFARMGHKSDTGSVLLAPNGVRGLMLDMYEFENDIWLCHSFHGKCYNYTAFQPAINVLKEVEEFLEANPTEIITIFIEDYVTSQSGLTKIFKASGLGKFWFPVARMPSNGSNWPTLDSMIQQNQRLVVFTSKSSKEASEGIAYIWRYVVENQYGTDGMKNGSCPSRAESAAMNSKTRSLVLLNHFPDTPDFIEACKQNSAPLITMMNTCHDAAGKRWPNFIAVDFYKRSDGGGAPAAVDFANGHLVCGCNNIDSCRAKMTFGDCDPRESSPAPAPTTSVSSASNSASASAAAKEGGEGYKKSFANSDCEQIELEWLVTTALIGIIYSLLCM >cds-PLY83160.1 pep primary_assembly:Lsat_Salinas_v7:3:95508244:95508859:1 gene:gene-LSAT_3X71061 transcript:rna-gnl|WGS:NBSK|LSAT_3X71061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSMRSYGLIDADSRCLYMISAQPGTCLFNFWMEVTMNRGSIAAARFVDGSQEFDDEETMEIAEIVVEYDKYSRYLKKISQLPITIIRKNKEDGSKEGEGEICVVCQEEYEVGQTIGTVKCGHVYHEKCIKKWLVQKNLCPICRSTAFS >cds-PLY77199.1 pep primary_assembly:Lsat_Salinas_v7:8:26022963:26029390:-1 gene:gene-LSAT_8X20081 transcript:rna-gnl|WGS:NBSK|LSAT_8X20081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDIVPEYKKRMARFRIQELKDVLGQLGLARTGRKQDLMDRILTLLSDEEDIHGPQKNKLIRKEDVTKIIDDIYRKMPHTGATEPITGGHCVSDSSSITQKKEIVDQKVRCPCGSSLKTEFMIQCADPQCHVLQHIPCVIIPLESTEETPPVSSQHYCEICRIDRCDPFWKSLAHPLCPVKLSVSNVLDDGESQLLQNVETSFQITKANVHLLEKSGYDVQAWCILLNDNVPFRMQWPQYPDLKVNGIPVKTINRPGSKTLGANGRDDGPSISVFLVEGHNTISLSGSDGRPFCLGVRLVKQRTIQQVISMIPNEQEGESFTEAVSRVCRCIGGGMAAANDDSDSDLEVIADNVTINLRCPMSGCRMKTAARFKGCIHLGCFDLHTLVQINQRSRKMQACDEDVTEIEVKSDGSWRTRLSRPFMDLERWHLPEGSLSTSKFNINSNSNMEISEVKSEHEGNQLEECVTPYGHEVISMSSGSSDNNMKEDDNNEMMDSMPYNNNYNQTSGITNRSSSSSIGDPSVIVLSDSDEDNCDIASATEDPVVVPPVI >cds-PLY67544.1 pep primary_assembly:Lsat_Salinas_v7:3:54358283:54362443:-1 gene:gene-LSAT_3X42260 transcript:rna-gnl|WGS:NBSK|LSAT_3X42260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILIAPHLFFFFFFFLLSIPSLSSGANTLSVNQSLSGNQTLISLAETFELGFFKSGESSTYYIGIWYKKVKTRTIVWVANRDTPIANIFSSKLQILNGNLVLLDESNTQIWSTNITPTPTPTTSAVLLDDGNLVLRYDSSSISITPIWQSFDHPTNTFLPGGKFGYNKQKNTKQIITSWKSTEDPATGPFSLEVDQDEKQYVIKWNRSVEYWASGSWNGRIFSSLPEMRLNYIYNFSYVDNENESYFTYSLYNPSYISRLIMDVSGQIQQQTYLESLDQWNLFWTQPRSQCQVYAFCGPFGTCSQTELPFCSCLTAFVPKSLNDWNLSDFSGGCVRRTEFDCGIKEEKPGFIQGYVPVNYLSTFLETETPESEMGESACRRSCLEDCSCDAYSFILKICRLWNSENLNNVSLLFVSDDVNSRTFPVNIKVSSSDLPNSSTKIKTKVLVVGIVSGFCGLVFLCSIGIIFYRRLKRKGSRDNGENFEREFQENGRNVRYLVDPGILSAEDRKGIDVPFIEFKTILSATDYFSLANKLGQGGFGPVYKGILPGLGEVAVKRLASQSGQGLKEFKNEVLLIGKLQHRNLVRLLGYSMKDHEMILLYEYMHNKSLDRFIFDRTLSVCLDWDLRFDVIMGIARGLLYLHQDSRLRIIHRDLKASNVLLDEDMNPKISDFGLAKIVKGQETEDNTTRVVGTYGYMSPEYALDGFFSVKSDVFSFGVVILEIISGKRNTGYYHNQEAFSLISYAWGLWKSKRPLELVDLALVETCNSIEVLRCMIVGLLCIQEDPRDRPTMVNVVLMLGMDIESLPDPKEPAFVSKRSIDRLPSTSSKSEINQLTVTQEEGR >cds-PLY70970.1 pep primary_assembly:Lsat_Salinas_v7:7:116920193:116929648:-1 gene:gene-LSAT_7X71460 transcript:rna-gnl|WGS:NBSK|LSAT_7X71460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWFIYLLCKHPEVQEKVAKDIKEATKVKEEIKNIVDFAAYVSEGALKKMQYLHAALTETIRLYPALPLDPKICFSDDVLPDGYHVKKGDVVAYLPYAMGRMEFIWGDDAHEYKPKRWLDENGNFHPENPFKFTAFQLSYRNKNKTWRNLWFSMIKAVEKLDGEYLIFNFLVMYFLLWIEINALVAILSRRVVDGREMMVQFAKYGPNVEKMVDQGADVLDQGTLSHELKEALGMPEGAPPPWLLNMQRYGPPPSYPHLKIHGLNAPIPPGASFGYHPGG >cds-PLY91507.1 pep primary_assembly:Lsat_Salinas_v7:7:141149678:141151466:-1 gene:gene-LSAT_7X84461 transcript:rna-gnl|WGS:NBSK|LSAT_7X84461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRLVVGSLSLKFSGGGAGNPPPSSCYCKIKLKNFTTQIADVAYCADSDVNQVNDQVQACFNFKKSEFDKVVEKSNGLKIEVYSGRKGNPICGVGSGKLIGVVSVGLDSKVVDGGSSNNWGGVVVQNGWVAVGDRSSKKSAQLHLNVRVEPDPRFVFEFDGEPECSPQVFQVNGNVRQAVFTCKFSFRNSGDRNLRSGSSISEVNATGNWLRSVRSDNEKPVKERKGWSITIHDLSGSPVAMASMVTPFVPSHGTDDVRRSNPGAWLILRPGHNTWKPWARLEAWRESTGADNLGYRFELLPDAAVGGLDPITLSNSTISSKHGGKFTIDISNGASPMTTPNGSFDSGSGSGSGSDFWSATWAHLMYQGFVMSSKVAGGGRCSKPEVEIGFQHVTCTEDAAAFVALAAAVDLSVDACQPFSRKLRKELRHDGE >cds-PLY69068.1 pep primary_assembly:Lsat_Salinas_v7:3:206412298:206413537:1 gene:gene-LSAT_3X121081 transcript:rna-gnl|WGS:NBSK|LSAT_3X121081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATIASVVSAYDRPTELKAFDETKSGVQGLVDAGIRHVPRLHQXSPKTSTTLEIPVVDLGSTDKASTVEKIRAASEKLGFFQVVNHGIPVSVMNDVLQGVRRFHEQDVEVKKQFYTRDNTRTVVYNSNFDLYTSPSANWRDTFFSFMAPSPPPPEELPEVCRDIQIEYSKQVMKLGSLLFRLISEALGLKEGHLGDLDCDKGLVFVGHCYPACPQPDLTMGASRHTDDGFLTVVLQDEIGGLQILHENQWVDVPPTPGALVINIGDLLQLISNDKLRSVEHRVVSNQKGPRVSVACFFSTSLAPSTKLYGPIKELISDDHPPRYRETTVYDFIQYSSSKGLDGVPRLLHLKL >cds-PLY62534.1 pep primary_assembly:Lsat_Salinas_v7:5:294797686:294797970:1 gene:gene-LSAT_5X155760 transcript:rna-gnl|WGS:NBSK|LSAT_5X155760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFINGLSEVIFPFTFSTDEEIDHAFTPFEEAQKTMSIGDVPESTNVFDDPPPKFTNEEAIKPPTDDELSDEVMFEDDNTIVGVIPISTAFDLLQ >cds-PLY79112.1 pep primary_assembly:Lsat_Salinas_v7:2:15901960:15904890:-1 gene:gene-LSAT_2X8501 transcript:rna-gnl|WGS:NBSK|LSAT_2X8501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYDAGDHMKFGFPMAFTATVLSWAILEYGDQMEVVDQLQPAKESLKWITDYLVNSHPTPNQLYVQVGDPVKDHKCWDRPEDMTEKRPLKKVNPSAPGSDVAAETAAAMASASLVFKSSNLKYSSLLLSHAKELFHFANENRGSYSESIPEVEDYYNSTGYGDELLWAASWLYFASKDRKYLDFVLLSRLSFFGPKAAAETENMDMYRKSAEAVMCSLLPDSSSATSSRTKGGLIWVSKWHSLQQPVASAFLAVLFSDYMISSDTSKLECNSESFLPSDLRMFAMSQVLVCPFLSCLTKYNFIIGLNARNNIIFLFIYILYYITLYIHFV >cds-PLY65736.1 pep primary_assembly:Lsat_Salinas_v7:5:269204442:269207303:-1 gene:gene-LSAT_5X139981 transcript:rna-gnl|WGS:NBSK|LSAT_5X139981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGYFYGLKMRQLSLFFIVFVCASIVVWNWEKTPRLTTLLPPHDQTLHIFPDELKREREHVTEVKQDFKEDKPVVNILPPSLSTNTASLNTRQDESPTTHENHHESNAIIEKSISEGDDDSKPFKPQLIASVTSHTTESAVTEEHIDTPMTNVEKQACNLGKGKWIPADNRPLYSGFGCKQWLSGMWACRLTQRTDFGYEKLKWQPKDCKIDDFTGPEFLKRMQDKTLAFVGDSLGRQQFQSLMCMVTGGEEMPDVEDVGTEYGLIKAEGSTRPDGWAYRFPTTNTTILYYWSASLCDIQPVDPTNHATDYAMHLDRPPAFLQHFLHKINVLVLNTGHHWNRGKLNANKWIMYVNGKPNTNRKIADIGGAKNFTIYSVVRWVNSELPKYPGLKAFLRSISPRHFFNGEWNTGGTCDSTTPGTLEVVQEESSDPVAAGAVKGTEVKLLDITGLSLVREEGHISRYSMRAAPGTQDCLHWCLPGVPDTWNEILFAQI >cds-PLY99155.1 pep primary_assembly:Lsat_Salinas_v7:4:118637461:118641555:1 gene:gene-LSAT_4X73020 transcript:rna-gnl|WGS:NBSK|LSAT_4X73020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 2 [Source:Projected from Arabidopsis thaliana (AT4G11130) UniProtKB/Swiss-Prot;Acc:O82504] MAVEQRDTATVRVSNIPRSVTAKDLLDFLERHTGKSTVFACEISSDHKNWKSRGFGRVQFETLGSKSKAMSLSRQGALCFKGFNLSLSHSLDDVIFRPVDPHNRFEQGFLRTGLLPKMDCMCVLESWEGVKTYVLPERKSLEFWVTHSGDFYRLELQFSDVLEASPCCIDSEEPIAVLLKLKHAPKLYQRLSGSKVSPRFTSDRYHICKEEFEFTWVRTTDFSSKKSIGQSSTLCLEFKHGSPALDSFKTLPFYIKDLIKLSMREGQQFHTSSDIVPLIKCPPELNLPYEILFQLNSLVQTQKLSLPSVDHDLIEFIISQDSESIMTILKRMHKSHVTCYNPIEFIKEKLDTKGKTVKIPDTKSTNQNKIMSIHRVYITPSKIYCLGPELEASNYIVKHYSEYSSDFLRVTFVDEDWGKIQPNVISINLQQGIFAKSHHTKVYHRTLDIMQNGVTIGSKHFEFLAFSASQLRSNSVWMFASNKHVTAESIRKWMGCFTSIKSVSKCAARMGQLFSTSKQTIEVLPHHVEVIPDIEVKTDGVDYCFSDGIGKISLSFAKEVASKYGLKHTPSAFQIRYGGYKGVICVDRNSFKKLSLRKSMLKFDSKNRMLNVTKHSESQSCYLNREIVTLFSTLGVKDDAFLALQDLQLKVLNAMLYSREDALVVLDGLGNYDVKDILVKMLLQGYEPNQEPYLSMMLLSHHDNLLSDLRTRCRVFVPKGRILVGCLDESGVLDYGQVYVRITLTKSELRFRDQKFFKKMDEATSVVMGKVVVTRNPCLHPGDVRVLEAVYEIALDEKDYKDCIVFPQKGERPHPNECSGGDLDGDLYFVSWDESLIPPRTVTPMDYTGRRPRLMDHDVTLAEIGKFFVDYMTSDTLGAISTAHLVHADREPEKALSSKCLQLATLHSMAVDFAKTGAPAEMPRGLRPHEFPDFMARWDRPMYISQGPLGKLYRATKESEHLKNSASAYSTESIQDSYDQDLEVNGFKELTEMALMHKEMYLDALTSLMNYYEAETEDEILTGNIRNKSSYLQRDNRRYGETKDRILVAVKSLHREARGWFEGACKAEDHQKLAAAWYHVTYHRDYCNGSVKCLGFPWIVGHILLEIKSINGRNMLM >cds-PLY95441.1 pep primary_assembly:Lsat_Salinas_v7:9:189014263:189015394:1 gene:gene-LSAT_9X115321 transcript:rna-gnl|WGS:NBSK|LSAT_9X115321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLPPHLRHTPCRVRGLSPALRELAVLPSLSPSFFFLGIPNLVYDKDHGRWRGRKMMKAVVNSVPYSPKKFVEHVKTCVHIYQPRDLFSALNNGLNKCQYEVSFRDPGRGKRKQATSSSEIETSIQMECT >cds-PLY87179.1 pep primary_assembly:Lsat_Salinas_v7:5:154264973:154266482:-1 gene:gene-LSAT_5X67961 transcript:rna-gnl|WGS:NBSK|LSAT_5X67961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPATSINRTSSDGGTIILNKYQLTRLLGRGSFAKVYHGRSLIDDSSVAVKVIEKPSIADPTMEPRLVREVAAMRRLNHPNILKLHEVLATKTKIYLVMELASGGELFTQLSRRGRMKEATARRYFQQIVSTLNFCHQNGVAHRDLKPQNLLLDDDGNLKISDFGLSALPESQKDGLLHTACGTPAYTAPEIVRRKGYDGAKADAWSCGIILFIFLAGYLPFDDSNLANMYRKIHLREFAFPDWMPKQPRIIIQKLLDPNPKTRMSIETLMNLSWFKKSLRPDPTLELHNETEAEATEEDDLSSIKYKTTMNAFDIISMSSGLDLSGIFEEKIVRKDRRFTTTATAAEIERRVVEVGERLGYRSKKMKDKENRNRDVMGLVKGRVVVLAKVLEVAVDLLLVEMTVVGGGGGFSEVEWEEFKVGFEDVVVSWHC >cds-PLY71968.1 pep primary_assembly:Lsat_Salinas_v7:3:25162196:25164426:-1 gene:gene-LSAT_3X18641 transcript:rna-gnl|WGS:NBSK|LSAT_3X18641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHIAVERNRRKQMNEHLAVLRSLMPESYVQRGDQASIVGGAIEFVKELEHLLQSLEAQKFILSQQQQQQQEDEGGHNNNFNESSTPPPFSQFFSYPQYTCSQIPNKYTSKSKAAIADIEVTLIETHANIRILSHKRLTQLSKMVACFQTLHMSVLHLNVTTLDPLVLYSISVKVEEGCRLNSADEIAGAVHQMLRIIEEEATLSLCVDSIN >cds-PLY74234.1 pep primary_assembly:Lsat_Salinas_v7:1:79151530:79151829:1 gene:gene-LSAT_1X67081 transcript:rna-gnl|WGS:NBSK|LSAT_1X67081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRNQQRNYEGECPFVFTDGTRATVVAGNGGCGGNTPFSVFDGPTSAEMSMIVLRRRHRWAKMLRRPDSTKQVTASTSSSMAVEGKSHGDGGWSKEMVS >cds-PLY65335.1 pep primary_assembly:Lsat_Salinas_v7:6:41186613:41187030:1 gene:gene-LSAT_6X29921 transcript:rna-gnl|WGS:NBSK|LSAT_6X29921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGLVIAATAYAGRYGIQVWQAFKARPASPVAFRKFYQGGFQPQMTRREAALILGVREAHRRVMVANHLNAGGDHYLASKINEAKEMLMRKTSNTCSAF >cds-PLY61768.1 pep primary_assembly:Lsat_Salinas_v7:5:302417734:302421095:-1 gene:gene-LSAT_5X164160 transcript:rna-gnl|WGS:NBSK|LSAT_5X164160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADTTAPPGQPSTPSQPSVYIHPRREPFEHGLIPLPKLIFTDGSQTLTSLRDKLLQFPSTNNQHHRINSAVVSESLQISPEHARLVLDIIASVLHSDSDPLVSAKPDEVDAVGVNVYDLIIFLYIQSYKRLLPRGHKDSAAVADVWPSTSAFDGFLSALTPLQLVRSNSRRSMPSQADEEAHQLNYLQKHLGNIISLLSDSVEGEGEGEDSMVLSMEKFEHLGFLLYFGEKGFEKLPLSQNAPFFANSDPDMPAAPVPASQVHDWILQNISDALERISERAAAKENGQTSPSDQDVLMTDASPNFKSSTSAKGPSFIEGISKQSYVKQASEINGSFVKVVNCHESVIYVLAPLKYATIYGCSDATIVLGPVGKAVRIEHCERVHVISAAKRICIANCRECVFFLGVNQQPLIVGDNHKLQVAPYNTFYPQLEEHMKEVGIEATPNRWGEPVALGLIDPHDSLSHPAGGSDCQAESATHLDPDQFTNFLIPNWLEGQASGPTKDNPFPLPDVYMTCQRRNENNLVEVKQILREAPLEDSRKKELSNALHVYFKDWLYASGNIRQLYCLQGE >cds-PLY96612.1 pep primary_assembly:Lsat_Salinas_v7:7:44382564:44386763:-1 gene:gene-LSAT_7X30541 transcript:rna-gnl|WGS:NBSK|LSAT_7X30541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAQALLQSTPLRVSPLDPLQKHSLLTNVKSPSFTTRRRAVISAVAAAPKREKDPKKRVVITGMGLVSVFGNDVDTYYEKLLAGESGIGLIDRFDASKFPTRFGGQIRGFKADGYIDGKNDRRLDDCLRYCIVAGKKALEDADLGGDKRSKIDKERAGVLVGTGMGGLTVFSDGVKSLIEKGHRKITPFFIPYAITNMGSALLAIDLGFMGPNYSISTACATSNYCFHAAANHIRRGEADMMIAGGTEAAIIPIGLGGFVACRALSQRNDDPQTASRPWDQDRDGFVMGEGAGVLVMESLEHAMKRDAPILAEYLGGAINCDAYHMTDPRSDGLGVSSCIQSSLMDAGVSAEEVNYINAHATSTLVGDLAEVNAVKKVFKKTEGIKMNATKSMIGHCLGAAGGLEAIATVKAIQTGWLHPSINQFNPEPAVEFDTVANIKQQHEINVAISNSFGFGGHNSVVAFSAFKP >cds-PLY90415.1 pep primary_assembly:Lsat_Salinas_v7:8:213227928:213229800:1 gene:gene-LSAT_8X132661 transcript:rna-gnl|WGS:NBSK|LSAT_8X132661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEHKECDAIGSQSQNRNHIHRVYFVKFQPFPNEIEKEEADNQYKLLDLAQSRINKDINRLKADELQINRNLDWFSRCDEYIQWKRREIESTLQGCQGKVEPNLLEKHDQKRCHKRLIKKGKLDTEYLQQVQEELLSSKKSGGMNSCGRQQLKYLVKTMAQRIQHGNTNSRADEMIIYHETKNVNETREILYTAPESEPHSHWHSRERKSKRDIDCERAMQHKIKIRLDDIEDMKMDLRGRKARVARLKADLELVRKSISCLQKELEDVNTKRSKAYKRAYELGEKKKGLRYANALIRQGDVVGLKQVCETQVQGFMRQWTKSKAFRDDYEKRKPVSKS >cds-PLY78746.1 pep primary_assembly:Lsat_Salinas_v7:9:50145027:50146643:1 gene:gene-LSAT_9X45161 transcript:rna-gnl|WGS:NBSK|LSAT_9X45161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRVEIISRENIKPSSPTPPHLKSYNLSILDQLIPAPYAPIILYYPNQDQASDLEVQERLKSLKISLSKTLTRFYPLAGTIKDDLSIDCTDVGAYFAVAHVDAYLDEFLNHPDFDLINRFLPCEPSFNGSNEGSHVSNIQVNIFECNGIAISLCISHKILDGAALSIFLRGWAGTCCGLKEVVYPNMNTSSLFPAKDLWLKDSSMVMWGSLFKMGKCSTKRFVFSSSKLDALKAKAVGNRVKHASRVEVVSALLWKCMMAASEEKAGFWKPSLLSHVVNLRKRILSSFSLENSIGNLIWIADAECKTESEIGLGNLVGKVRDGVSRIDGEFVKNMQGDKGIEVMEDSLKRLKDCGDYVGFTSWCKMGFYEVDFGWGKPMWVCGSVCDGSPVFMNFIVLMDTRCGEGIEAWVSMDEHEMHILKHNSELLEFASLDPSPLDMNK >cds-PLY78070.1 pep primary_assembly:Lsat_Salinas_v7:3:256093021:256101593:1 gene:gene-LSAT_3X139941 transcript:rna-gnl|WGS:NBSK|LSAT_3X139941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMKKYVVEIEKAKEAKGGRPSVGPVYRNVLAKDGFRPLKDGVNSCWDVFRLSVEKYPNNQMLGQREIIDGKAGKYVWLTYKEVYDTVLNVGESIYSRGIKKGARCGIYGINCTNWVVSMQACNAHGLHCVPLYDTLGAGAVKYIICHAEVSIIFAEATKISEVLKTFPDTEKYLKTLVSFSTITNEQKLLAEKCGLEIYSWEEFLHLSKEVEDRVELPLKTRSDICTIMYTSGTTGEPKGVILTNESILSMLSGVNHHLDSMSEEFQVSDVFFSYLPLAHIFDRVIEELFISTGASIGFWRGDIKLLIDDVKELKPTVFCAVPRVLDRIYSGLLEKISSGGFLKHTLFNIAYTYKLHNMIRGYKHEEAAPRFDKIIFSKVKEGLGGKMRLILSGAAPLSPSVETFLRVVTCAHVLQGYGLTETCAGSFVAQPNELSMSGTVGPPLPNVDVCLVSVPEMGYDALGMGPTTPPRGEILLRGNSLFSGYYKRQDLTKEVLVDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVSVENLESIFSLVPSIDSIWVYGNSFESFLVAVVNPNKESLEQWAVENGVDGDFCTICENPKTNAYILGDLTNIAKQKKLKGFEFVKAVHLDPVPFDMDRDLLTPTFKKKRAQFLKYYQNVVDGMYKSSR >cds-PLY65221.1 pep primary_assembly:Lsat_Salinas_v7:8:20009652:20010197:1 gene:gene-LSAT_8X15320 transcript:rna-gnl|WGS:NBSK|LSAT_8X15320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGISSHWNKLGKKPVETLARREVTLLERLHRKRLLSYTLVTEEVTIPNSTSRLESILDFVANPSSLEAVVPVMPSPTKKIMKMEHENRKPSSSSTRVAPRNFSSKRKVGVNKILPLEGVMNYFDNVINLSPPKQKRTTRDGDAKGRGLTVLSSQPSFGYANPTSSLDRLQHYSSRVPDYC >cds-PLY96326.1 pep primary_assembly:Lsat_Salinas_v7:5:192472870:192473310:1 gene:gene-LSAT_5X86060 transcript:rna-gnl|WGS:NBSK|LSAT_5X86060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSCSSKKIKFHYSYGGTFKMCPNDGKLKYIGGTNKIMTVDKSITYTELIVKMWDICEPSMNLRCKLPMDDFYLLVKVTSDEDLNYVMEEYDRVGKDMKIRAILDPLPPRITEVVHDYFRNKVAGVKFFGYVHTTSVLSCRSSH >cds-PLY61680.1 pep primary_assembly:Lsat_Salinas_v7:9:138123533:138125410:1 gene:gene-LSAT_9X88621 transcript:rna-gnl|WGS:NBSK|LSAT_9X88621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDNESISPEELGNVGESEIKLKSNGVCHVEDVNDSSNRSSSTSVNKRGLRKWRRMPRELVKTPGSKIDSRNNGDLGDSLVRDSMVNVNGNSKLHHGDSGLGDHSVLATRADSENSEDQSIRSSYAPRTRPKISNSNGGNSAISVHSGDQKSNHQTGSIKKLRGFNIEKENSDSNMGNFLFVQGTTNSVATKSKQSGNSTKNDDDELVNNEAESEDVKQEDAEEAKDSWEVKEEKIEKPEVGFDQDALVESITSFDSSVEELEIEVQKWKDIGKDESEPLTFDDSIRNILELKDATISELESAITSADTKTELDELLKENIQAEVEIVAITTTTKSLMHEMKHNLQQKAEDDVKKLENKKVLKKQETEEDVKKLKNRVCRLSSCLLIQLILLFVTFYIEISSQKVELVPT >cds-PLY66910.1 pep primary_assembly:Lsat_Salinas_v7:7:21046287:21049351:-1 gene:gene-LSAT_7X17520 transcript:rna-gnl|WGS:NBSK|LSAT_7X17520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRMPVGIASSSSMKMIDLWSSADWWESINESRKWQDGIFFALSASYGLVSVIALIQLIRIELRVPEYGWTTQKVFHLMNFIVNGVRSIVFGFHLQVFALHPKVCIWMLLEIPGLLFFSTYTLLVLFWAEIYHQAKSLPTDKLRIVYVSVNAGVYLIQGCLWIYLWLDDSSLVRFLGKIFVAVVSLMASIGFLTYGGKLFVMLRKFPIESKGRQKKLHEVGSVTGICFTCFLIRCFVDVLSAFDSQASLDVLDHPVLNLIFYMLVEILPSGLVLYILRKLPPKRVSAQYHPIR >cds-PLY81652.1 pep primary_assembly:Lsat_Salinas_v7:1:111467891:111473929:-1 gene:gene-LSAT_1X89541 transcript:rna-gnl|WGS:NBSK|LSAT_1X89541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHIQLGQISAVIISSPRLAQEVLKTNDIALADRPTTFGSELVLYGNTDIALAPYGEYWRQMKKIASLELLSAKKVRSFSRIREQELDGFIEFLRLSSGKPINIHKTITKLINNVVCMASFGKNCKQQHALLQFLDEFARINTGFYVADLFPDFKFLYVVSGLRSKLMKLHKTLDKIFDDIWEEHEGRKRDGGDEDEDLLDVLLRIKEEGGLEFPITNNNIKAIFVDIFAGGTDTSSITIGWAMTELIRHPNVMEKLHFEIREAFKGKGKIKESDLHGLTYLQAVIKETLRLHPPLPLLLPRVCREQCKIDGYDIPVKMKVFVNGWACSTDPEYWEDAESFKPERFENTSVDFMGTNYHFMPFGSGRRMCPGITFGMVSVELLLAQMLFYFDWKLPDGLSSTDIDMSETEGSLVAKKVPLHLIPTSYAPDS >cds-PLY67056.1 pep primary_assembly:Lsat_Salinas_v7:5:283529502:283531287:1 gene:gene-LSAT_5X149460 transcript:rna-gnl|WGS:NBSK|LSAT_5X149460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALDKAQLLLVGLPLFLFCSDVFNLFAPAPAKPAAHHHHVPPQKPQQFQTASIQQPLDFPTQKASGFGGGIGLGNTVQIDFCASCSYRGNAVTMKNMLESEFPGLNVVLANYPPPLPKRLLSKVVPVVQFGVIGVVMAGEHIFPRLGFAAPPPWFYSMRANRFGTISSTWLLGNFLQGFLQSSSAFEVSINGERVFSKLREKRFPGEIELRELVGKKIANSRIVDGIDGSIWS >cds-PLY81244.1 pep primary_assembly:Lsat_Salinas_v7:4:306874375:306886685:1 gene:gene-LSAT_4X155521 transcript:rna-gnl|WGS:NBSK|LSAT_4X155521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTRSVYGPLDEHDPKDEELLKILVFWWVFEVPSKLLWSSKGKGCLRVKDGVLELRYLRDNGVIAAKRRSSEGKNASSKKQDISTETNRFSHLYSPLVNDKKAESRMRNGQLGTHTMRSHGFMVAKTHIHDWLILILLAILMIVLNCIHPFYRYVGKDMMADLKYPLQDNTVPIWSVPVYAILFPLAIFLAFYFRRRDVYDLHHATLGNVICHGDSKVIREGHKSFPSGHTSWSFSGLGFLSLYLCGKVRVFDRKGHIAKLCVVFLPLLFASLVGISRVDDYWHHWQDVFAGGLIGMTVATFCYLQFFAPPYHAEGWGPYAFFRALEESRPTIVETNVLCEEIEELDNNPDLRLNDVEIGIR >cds-PLY90566.1 pep primary_assembly:Lsat_Salinas_v7:6:54030552:54036404:1 gene:gene-LSAT_6X41001 transcript:rna-gnl|WGS:NBSK|LSAT_6X41001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELGSIVDFLENKVVLITGATGFLAKIFVEKILRVQPNVKKLYLLIRAPDTESALQRFNTEAVAKDLFKLLKETHGENLQKFLSEKVTPVAGDITYEDMGIQDSTLKEEMWRDIDVVVNVAASTNFDERYDVALPLNTFGARHVMNFAKKCVDIKLFLHVSTAYVSGEKPGLMLETPYHLGESLNGTTGLDIDHERKIIEEKLKELKCDDNANEKSIKIAMKDLGMERANHYGWPNTYVFTKAMGEMIIGHLKGDMPVVILRPTIVTSTYKEPFPGWVEGIRTIDSLALGYGKGRLTCFLGDPQAVYDVVPADMVVNAMIVAIAAHANQTFSETIYHVGSSVSNPLKFTRIQKSGYLYFTEHPWIEKDGTPVVVGEVTVLKSMASFHRYVALRYLLPLQVLWFINLLFCQAFASTYKNLKRKINFVLRLVDLYEPYLFTKSFYDDMNTEKLRRAVRDSGDEEKYFYFDPRVIDWEDYFQHTHLPGVVKHEFK >cds-PLY70250.1 pep primary_assembly:Lsat_Salinas_v7:4:205133586:205134926:1 gene:gene-LSAT_4X116280 transcript:rna-gnl|WGS:NBSK|LSAT_4X116280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGNLIRFSRRQLHTIVSREIIKPSSPTPSHLKTYNLSLIDQIVPTLFAPIVTFYPNTGIYRDKTTLDLKNSLSQTLTKYYPFAGRINAKVSPSYVNCNDNGAEFLEATIDTTLSDFLQNSKREDLDQFFPHGLVNYNSNRGDDQDLQSDEVTPLEVQVNHFECGGVAVAVSLSHKVADGSSLFHFLNDWAKITRFGSTAKKHEIITDPEFIQFQYMNVNSKGLVLERSDDCVTRSFVFPNSKINELKLEVKAMTAESGEPITNPTRVEVLTWLLYKCSVAAAKKKNSGSFKRTGVGHIINLRSNMIEKLPAKTIGNFVLPMEILTKNESEMNPKSFISELRKQKMQFQAIRNIETAFGILLEKDLEEDLKKHAAVYICSSLCGYSAYDIDFGWGKPIQATHAGDLRKDSFILMDAPNGDGIEALVCLGNQDMDIVQSDPELLAFC >cds-PLY80678.1 pep primary_assembly:Lsat_Salinas_v7:5:179709642:179711418:-1 gene:gene-LSAT_5X81441 transcript:rna-gnl|WGS:NBSK|LSAT_5X81441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSHTMMDIDSWTCEMRSQSDLAMSYSSGAQPPSIGNVRQIVVSEPSGSNQMYSDGQPPSVNGVCRQAPSQSEASEGPDEHEVQQVIYKRGANDITAYVGHDDRTQDLVSGFSGSNVFQSVGVKPVNLNSLDLAINENGYLNSSVRVPPPQRFQQMPTYVKVYKRGEVERSIDISAYSGFYYLKQELGRIFGMEGQLDYALRSGWQLAFLDRDNGTLLLGDDPWEVFVKSVWCIKLLSPREVLEIAMGGGTV >cds-PLY69729.1 pep primary_assembly:Lsat_Salinas_v7:8:117826767:117829639:-1 gene:gene-LSAT_8X80840 transcript:rna-gnl|WGS:NBSK|LSAT_8X80840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNCRLIENPNLCLQEWAHKQYITKHQQAPLQQWGNFYYFRMQNIRDIYFIGGFGTVAWVNVKEYEGLQPDDIAVNGSEQNLKELNVMFSKPLRELLSLEGEVDDVSLISIDSKGTDIRVRQGAEFNIQRLVFEEWQGIKTVVEAKAALWKLIKRGSTEDSMEASVLPAYEMSCKTMFDQIDSTFQKGMVEFIHSPLAFALRDTINFDMRILDHNKSVVRIAKFCVVSSHTEMSPEERKATTPRTIMIGGKTFATYTNAKRIVNLMDDVGVVVNTDPEVNEY >cds-PLY79755.1 pep primary_assembly:Lsat_Salinas_v7:1:179674531:179675127:1 gene:gene-LSAT_1X117481 transcript:rna-gnl|WGS:NBSK|LSAT_1X117481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSFPQSFFSSSSLIAQGQHPPLPTFLVLDSILIFVHNHTLIQARDSEPTKMSVRTTNLSNNQISTTDVIHLRNG >cds-PLY95448.1 pep primary_assembly:Lsat_Salinas_v7:2:511806:514213:1 gene:gene-LSAT_2X1320 transcript:rna-gnl|WGS:NBSK|LSAT_2X1320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTSLRYGGESRALRIATNKNPPTVKYAGDVSALKIHAKQKLQIDSNTLLQLSGELDTGTGLPTFLCALVRHSYPTLSASLGAGLHYDKNENLHCTLRGKKAYPVSFNRDVNFVLKGRCNIDQELTQPTPQGALELVWNMLDFQKDQDVRLKLGMEIVDKIPYVQVRENNWTFNVDINGRWNVRYHL >cds-PLY81690.1 pep primary_assembly:Lsat_Salinas_v7:6:102074924:102075313:1 gene:gene-LSAT_0X31261 transcript:rna-gnl|WGS:NBSK|LSAT_0X31261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTGLKITDQSVLKKQTKELIKNWDSELLNIIDTTPDDTVIRTPLVDRWLWTGLSPNASSGGSVVAGDAWHPMTPNLGQGACCALEDVIVLVKKLAPALKVGPMKKNTFIWDHNSRLFLNQKIEQPKDF >cds-PLY87764.1 pep primary_assembly:Lsat_Salinas_v7:1:45972114:45992933:1 gene:gene-LSAT_1X40321 transcript:rna-gnl|WGS:NBSK|LSAT_1X40321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEAHVLHLLRRYLGEYVHGLSSEALRISVWKGDVVLKDLKLKAEALNSLKLPVTVKAGFVGTITLKVPWKGLGKEPVIVLIDRVFILAHPASDGRSLTAEEREKIFESKLQQIEEAETATLDAISKAKLGNAPAGNSWLGSLIGTIIGNLKISIGNVHVRYEDPISNPGHPFAVGFTLAKLAAFTVDEKGNETFDTSGALDKLRKSLQLERLAMYHDSNRPPWKVDKKWEDLSPKDWVEIFEDGINEPAKGHAVSAWARDRHYLVSPINALLKYHRLGNDERTDPEMPFEEAHLILGDVSLTLTEAQYHDWIRLLEVISKYKSYVEVSHLRPVVSVKEGPKLWWDYFAQAALQQKKMSYRLSWSQIQHFCCLRRRYIQLYAGILQQLKDSDDPEARSIERDLDPKVILLWRFLAHAKVESVKSKEEAEQRMKKKDSWFSFGWNGSEQDALEGAQSAENGLSKDEWQTINNFLSFQPDEEMATQSGKDMQNMTQRMILVSVGQAAARIININETEIVCGRFEQLQVSAKFKHKSIYCNLTLKYYGVSSPEGSLAQSVCNKQKANALGASFVYLPAGENLEWRASATISPCHVTVLMDSCNRFLEFMKRSSSVSPTVAFETATALQNKIEKATRRAQEQFQTVLEEQSRFALDIDLDAPKIRVPIRLSSSSEYNSHFLLDFGHFTLRTEEDQPDDKGQALYTRFNISGRDIAAFFTDGSSEILESLNNSSQLSAYPVVDGACVSYSLVDRCGIVVIVEKIKVPHPCHPSTRVSVRVPTLGIRLSPSRVSRIMELLKVLSGTIESGTKLVEEYQAEHTPWSSSDLANDVQILVWRGIGYSVASWQPSHLALAGLYLYQLESQTSTNYQRCTSMAGKQVYEVPPDSLGGSSSCVAVYNRGMDIQKALESSSTLVVKFQNEKEKASWLRGLVQATYRASDTPSVDMLAKQGEGVSDIVAETSEPTEPGSTETNAADLVLHGALLETKITIYGKMETEGHEVVETPFLEILAAGGKVHVESCEGDLTVKMNLHLLKVKDELQDSSSGPYYLALSVVESFRPCPTNPPQVEEISAGVADDDDDFADAEDDFLEPVVHEKDRGAGDEFFEAEGIDETDFVSVTFLKRTPQSPDYNGIDSQMSISMSRFEFFCHRPTIVALIDLGIDMGTASSGTSGPAPSQEEDATSVQKDKAEENELAKADENEQAKVKGLLGHGKSRTVFSMAMNIDSVIVYLNKEDGSQLATIVQESFMLGLKVHPGSISVEGTLGNFRLCDCSLGTDHVWGWIFDIRNQGAESLIQFSFESYSPEDDDYEGYNFSLSGKLSSIRIVFLNRFIQELVTYLVALAPPVSEEAIKFVDKVGGFEWLIKKYEMDGAAAMKLDLSLETPIIVVPRDSNGTDFLEIDLGHLKVTNEVSWHGPAEDPASVHRDIMHAQIFGLNMAVGVNGIVGKPMIREGRDFHIFIRRSLRDIFRKVPNFALEVKIGSLHTVVSDKEYGIITNCLAMNLGEQPNLPPPFRDINSDNSDTIRLLADKVNMTSQVFLSRSVNIMAVQMDHALLELCNGIQEDTPLAHITLEGLWLSYRMTSFSEMDLYITLPRFSILDVRPDTRPEMRLMLGTSTDVLKPASTKGGFVRCETMTTNVDVPHSTMVLMDLRMRAASQLLVVRIQLPRVLVVPDFLLAVGEFFVPALGAITGKEEVMDPKNDPISKNNPIVLSSTMYKQTEDEITLTPNRQLVVDAAGVDEYTYDGCGKTIILNEDLDQSQSSEFRPIIIIGRGKKLRFANVKIKNARLLTDYTYLSNDSSFSTSADDGVEIVVQDIVASINEKKSSDKAEKILNSSSTSETAQTETSKPSISFEAQVVSPEFTFYDSSKPSVDGSFSAEKLLRVRMDLSFMFASKENDTWVRALLKDLTVEAGSGLRILDPVDISAGFTSVKDKTNISLISTDIYVHLSLSAISLILNLQNQAVNALRFQKADPLSPCTNFDRVWVSPKVKGHQNAITFWRPRAPSNYVILGDCVTSRPIPPSQAVMAVSNTYGRVRRPLGFKLVGLFSCIQTPERPEDCPGVDSDCSLWEPIAPPGYLAVGCVAHIGNEPPPNHIVYCIRADLATSTAYSACMFNAPPNNTYTSGFSIWRLDNFVGSFDANPSISCPPLDRCYDLNLLLLLNSSWRRLSGREPKSDTNVDQETTNQQTNNNKDTTLSSSNTKASCSMSTPNFERIWWDKGCDTRRPMSVWRPIPRPGFKILGDCITEGLEPPGLGIIFKADSGDLCANPVQFTKVAHIIIKGVDEAIFWYPIAPPGYASMGCVVTRVDKMPKLNILCCPRNDLVTQANIHENPISKYQSSKVNQSWSIWKVDNQANTFLARSDMKQPSSRLAFTIGDSVKPKTRDNIMAEIKLKCFSLTILDSLCGMITPFFDVTVTNIKLATHGGMDSMNAVLISSIAASTFNAHLDSWEPIVEPFDGIFKFETYDTNEHAGKKARIAATSVLNVNISAANIDTFAETILAWKRLRELEQKEIKSNEETSSRIIDEDDSLFSALDVDEFQTVTIENRLGCDIYLKKFDQSSNAVSLLHYDDSASVWIPPSRYSDRLNAVEDSREGRCYVAVQIIEAKDLPTVDDGNNNTFFCALRLVVDTQEGSQQRLFPQSARTRCVQPLISIANDLGNATWDELFIFEVPRKGMARLEVEVTNLGAKAGKGEVVGASTISVGHGANPLRKLASTRMLQQATGGQKAATHLLMRRGQQKTDDLPFQGCLVASTSYFEMNTGSTLQNEEEKDADNDVGFWVGLGPEGVWESFRSFLPLSVMTRKLDNEFFAMDVIMKDGKKRAILRGLATVANDSDIKLDINVCSVSKSHDPDQPSELVEGNTAIEEIFENQRHSTILGWGNKRSFRGNELGRWSNRNLSYSTNTFYEPPLPPGWAWVSAWTIDKSDSVDVDGWAYGKDYQTLKWPPTPQNALRTNQDNVRRRRWIRTRQKDGEQQTSNTGSAIRVLQPNSSLVLPWKSMSKDSDSCLQIRPSADHVQGLYTWGCPVGSASSGPSGSKEKDKDKDKDHSSHDSKDNLLKPGKKTKSSSMKLNQLEKNDTLWCCPTSEGTQFWLSVGADALVLQSDLNAPVYDWKITVSAPLKLENRLPCPAQFTIWEKLGNGNTSERQRGLIQSRAVVPIYHADVRNPLFLTLSVQGGWTLEKDLVPILDLSTNAHASSFWMVHQNKKRRLRVSIERDMGATAASPNTIRFFVPYWISNDCSIPLTYQVVEIDPSESADADPLPVTKPARSGKFSFRGTFSLSEPKSTGSRRNIQVLEAIDDTSPTPSMFSPQDYVGQGGNMLFSSRNDAYLSPRVGIAVATQNSDVFSAGLSLLELEKKQRVDVKAFNTDGSYYSLSILLHMTSDRTKVVHYQPHTVFYNRAGLSLCLQQSGTQSLTWLHPIDTPNLFKWQASVKVELLKLKIDGYQWSMPFSVANEGSMRISLKNETTGKYLYLKVEVRSGTTSSRFDVIFRPNSFSSQYRIENRSMLLPFHFRQTEGGDDSWCRLPPCSTASYSWEDLGRQKMLEIRMDDDTKEPTKYNIDDIRDYPPVQVDEGPTKAVRVTIMKEEKMNVVMICDWIPDNNESKEDTSKAIVAASSSQQTNSKDLQPEATTSDSEVHLTLELIEFGLSVIDHTPEEILYLSVQNLQLSHSSGLGSGISRMKLRMRGIQVDNQLPLTPMPVIFRPQRLGVEADYVLKFSMTQQSDGSVDFAAYPYIGLQGPENSAFVISVHEPIIWRLHGMVQQIDFSRFGDNSETTAVKVDPIVDIGVLNISEFRFKFSMAMSPTQRPVGVLGFWASLMTALGNTENMPIRVNQRFLENLSLRQSVLITNAISNITKDILSQPLQLLSGVDILGNASSAFGHMSKGVAALSMDKKFIQSRQRQENKGVEDFGDVIREGGGALAKGLFRGVTGILTKPLEGAKASGVEGFVSGVGKGIIGAAAQPVSGVLDLLSKTTEGANAMRMKIAAAITSEDLLLRRRLPRVISGDNLLKPYDEYRAQGQVILQLAESGSFFLQVDLFKVRGKFALSDAYEDHFSLRKDKILLVTHRRVMLLQTSNIITQKKFNPARDPCLVLWDVLWEDLATMELTTGKKDHANAPPSKLILYLKSKTSDNDQKRSVKCYRDTKQAMEVYSSIEQAMRTYAPKGSLKEAHKRKVKRPYAATTDVSTAEAVFLKERPWTSAQVPAPLPPNSTFGSSSAGDAPS >cds-PLY69722.1 pep primary_assembly:Lsat_Salinas_v7:2:64451210:64452359:-1 gene:gene-LSAT_2X28780 transcript:rna-gnl|WGS:NBSK|LSAT_2X28780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTYLHHLLDHSGSNPEQTLQECVQKVILKIEEIKSCYEETTYNDEELATMMVIDACFILYFIHLISVEAGGFQGNWSIIPLIVHDMVLIENQIPFFVLKDIFESTFPHFKPNTTLTHHLKILLERYSFFMEYQVTNNINLDRTPDHILSILHYCLQPVHHPLPYSEVPMEQKRHSAMELDRAGVNFMPNEDANWAVAMKLELPRFSCFPWFWCKPTLRMPKLYVDDYTELLLRNLILYEQCTLVPEYVTSYCWAIDMLVDTPEDVAKLIKSGVLVNDCGSNESAVYILNNICNDITSDVFYYYQEWERLDNYYKSYWPNAAAGLKRTYFSSPWNIISVFAAIILFVLTLIQTIFTLKC >cds-PLY87468.1 pep primary_assembly:Lsat_Salinas_v7:2:134061765:134063535:-1 gene:gene-LSAT_2X63981 transcript:rna-gnl|WGS:NBSK|LSAT_2X63981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLTGALLLLSFALLVSSSSAFQSDELIVDDEQFDSNERPSHEFVSSSSPSISSGSHSPLKPIRKTSAEPDSDSRVQFALEHAFGDSEDFSVAGTFTARLKSHGGKRTLTKIRFSRNDLSTIEKEKFKKLLEDDDFYRIRVPSNVLNSPGKAYVISSVKARCLPNDGLDEHFIIHMEGVHILAVNYGSLRACQYNRQLRIPSKWIFNSHTVLKYSEYAPRTPIFSEHIIGGDMGEDEGVKPIERSFLAKYWMYLMPLVVIVMNAINQSANMVEEQVDMPPQQAVRAIHHGQSAAVRRR >cds-PLY65964.1 pep primary_assembly:Lsat_Salinas_v7:4:141710346:141710645:1 gene:gene-LSAT_4X88121 transcript:rna-gnl|WGS:NBSK|LSAT_4X88121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEKDLEIQKNSSNTALCLTATVGNVEMVRIMVNKNKRLLSIHSTQAMMPLYMAALFGQHDMTKYLYDNIKNLSDDGWTLQNRAVGWRKKDQKMAVAGD >cds-PLY90799.1 pep primary_assembly:Lsat_Salinas_v7:2:107547473:107548893:-1 gene:gene-LSAT_2X45920 transcript:rna-gnl|WGS:NBSK|LSAT_2X45920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESFCNGYSKSLSFTVLMVALTSFLMVASAGNFYQDFDLTWGDHRAKIFNGGQLLSLSLDKVSGSGFKSKKEYLFGRIDMQLKLVSGNSAGTVTAYYLSSEGPTHDEIDFEFLGNTTGDPYILHTNVFTQGKGNREQQFYLWFDPTKNFHTYSIIWDSQKIVFLVDNTPIRVFANAERKGVAFPKNQPMKIYSSLWNADDWATRGGLVKTDWSKAPFTAYYRNFNVQGSTSSRFLNGAWQSQELDAYSRRRLRWVQKNFMIYNYCSDLKRFPQGLPKECR >cds-PLY93065.1 pep primary_assembly:Lsat_Salinas_v7:9:26825915:26826226:-1 gene:gene-LSAT_9X23541 transcript:rna-gnl|WGS:NBSK|LSAT_9X23541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAFVFFDQLGEKHEQEAVEEQRRREEVVHHPRRASLLVHLSLSATVNRKGEANQLKSTFGVLWHLQSTETKKKEEGSRSSGGDLRRNGGGDDHRRLMW >cds-PLY98393.1 pep primary_assembly:Lsat_Salinas_v7:5:316940019:316941878:1 gene:gene-LSAT_5X174560 transcript:rna-gnl|WGS:NBSK|LSAT_5X174560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTEAAKFKKSDLIPIPQPPDYHPEITVSPQHDGLHFWQFMIAGSIAGMVEHMAMFPIDTIKTRMQVLGSCPIKSAGLRQALQSILKSDGPAGLYRGIGAMGLGAGPAHAVYFSVYEMCKETFSRQHPNNPAAHAAAGVFATVASDAVFTPMDMVKQRLQLGSESPYKGVFDCIRTVLKEEGFGAFYASYRTTILMNAPFTAVHFATYEAAKRGLLGVSPDSASDERLVVHATAGAAAGALAAAVTTPLDVVKTQLQCQGVCGCDRYANGSIRDVLRTILRKDGYRGLFRGWMPRMLFHAPAAAICWSTYEAGKSFFQDINTDDRGSRMT >cds-PLY77696.1 pep primary_assembly:Lsat_Salinas_v7:9:19592016:19593897:1 gene:gene-LSAT_9X14740 transcript:rna-gnl|WGS:NBSK|LSAT_9X14740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPCSESSSNSPQDSGNVSTVASGSSTSSPAVTITPSRYENQKRRDWNIFCEYLKNQHPPLSVSQCSSANVLGFLSYLDQFGKTKVHNLTCPFYGHPTTTDPCPCPVRQAWGSVDSLIGRLRAAYEEDGGSYKTNPFGAGAVRLYLREVRESQSKARGISYEKKKRKRLPPQVNSNSDINGFDPKASSELKSLLESYAKFLQLPFHNAVELVTSVASGQKHLDVFSPNLIDWMNFAVCLNAWNLNSNGITIPMNLCSLQQQSVSSKIKTSKPLVSFPGTDLSILVHLVTEPLTWHGLIIQSCVWSALPSSKKKKKIQLYH >cds-PLY62301.1 pep primary_assembly:Lsat_Salinas_v7:5:162831762:162842096:1 gene:gene-LSAT_5X72320 transcript:rna-gnl|WGS:NBSK|LSAT_5X72320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMSLNSCSVQQPRAAAQRLHMLLHFTATVAILYYRITNLIHGDVPTLPWVLMTVSEFIFSFIWFLTQAFRWRPVARTVALHNLPDDDQLPKVDVFICPADPSKEPTVEVMNTVLSAMGLDYPSEKLAVYLSDDGGAPSTVYAMKEACSFAKEWLPFCRKYGVKSRCPEWFFSSYGHDELLFRSQEFEEDEHNMKLAYEQFKENVERKTNGASAVNDRPPHIEIINDNRKENQIQMPLLVYVSRERRPSLPHRFKAGALNTLLRVSGLLSNAPYMLVLDCDMYCNEPTSAKQAMCFHLDSKLSSSLAFVQYPQIFYNVSQKDIYDGQARSAYKTKYQGMDGIGGTICSGTGYYLKKKALYGSPNKEDEHYLYPQESYGESTKFIDSLKAINEDTQTELFTAAIVAEATKLASCSYEDNTKWGKQIGYSYNSLLESSFTGYLLHTKGWKSVYLYPNRPCFLGCTTIDMKDAMVQLMKWSSGLLQVGLSSFNPLIYGASRMSFLQSMCYAYFMYSPFLSIAFLIYGTVSPLCLLNGVSLYPMASDPWFKVFVTVYVSSLLQHLYEVVSTDGSIVTWWNEQRIFFIKCISALLFGCADVMMKWLGVAKANFRLTNKVVDKEKLEKYEQGTFDFEGAKMFMIPLTFMVLLNVVCFVGGMKRVISDGNLDVMFGQIFLSWTTLLFSYPILKGLVPSKHKIKKIKT >cds-PLY77430.1 pep primary_assembly:Lsat_Salinas_v7:9:108560004:108563120:1 gene:gene-LSAT_9X77661 transcript:rna-gnl|WGS:NBSK|LSAT_9X77661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASATSGTTLNALLLPCSTVGRLGRTLATTSGRKSFSLLSLSPELVYRCGRRWKNVTAARKLIVRAARTESKGVSLGSRAPNFELEEPLTGNMWTLEDFESYPALLVMFICNHCPFVKHLKKDIAKLTDFYTKKGLGVVAISSNSEITHPQDGPIFMAEDARLFNYSFPYLYDRTQDVARDYGAVCTPEFYVFKKDGRRPFELVYHGQFDDSRPSNNVPVTGRDLSLAIDRVLSGQPIPPNQKPSVGCSIKWHPAGKV >cds-PLY77425.1 pep primary_assembly:Lsat_Salinas_v7:3:148244998:148248557:-1 gene:gene-LSAT_3X96420 transcript:rna-gnl|WGS:NBSK|LSAT_3X96420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIFTVIIGVVLGLCLLSTFLLRWNEMKYKKKGLPPGTMGWPLFGETTDFLKQGPSFMKNQRARYGSVFKSHILGCPTIVSMDPELNRFILMNEGKGILPGYPQSMLDILGKSNIAAVHGSAHKNMRGALLSLVSPSVIRDQILSKIDEFMRSHLLNWNNQIIDIQEKTKEMALLSSLRQISGTESSLLSKEFMREFFNLVVGTLSLPINLPNTNYHRGLQARKNIVRMLEELIDERRRSQETHKDMLGLLMSGVENRYKLSDEEMIDQIITILYSGYETVSTTSMMAVKYLHDHPRVLEELRKEHLGIRERKMAEDPLDWNDYKSMKFTRAVIFETSRLATIVNGVLRKTTKEMELNGFVIPEGWRIYVYTREINYDPCLYPDPYTFNPWRWLDRSLETQNYFFLFGGGTRQCPGKELGIAEISTFLHYFVTKYRWEEVGGDKLMKFPRVEAPNGLHIRVSDMHK >cds-PLY70550.1 pep primary_assembly:Lsat_Salinas_v7:1:74146561:74148027:1 gene:gene-LSAT_1X63120 transcript:rna-gnl|WGS:NBSK|LSAT_1X63120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTDKTSDDGENEKLRYGVSSMQGWRTSMEDVHAALLDLDNSTSFFGVYDGHGGQALSKFYAKYLHQQVLKQEAYATGDTGTAAQKSFLRMDEMMCGQRGWRELAILGNKMDQFIGMIEGLIWSPKGNDLKGLNHNWSIEEEYKMMTCLPSLSQKEILVKLFQSAGTYNTYPGWIPSTCGPIS >cds-PLY89888.1 pep primary_assembly:Lsat_Salinas_v7:3:226643273:226647362:-1 gene:gene-LSAT_3X127420 transcript:rna-gnl|WGS:NBSK|LSAT_3X127420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYISYVGEEIQWHLARHEACVKLDQSHYFFSLRAPKEKEHEAMMLNYELTFASKGQDKLLKEMDELLEHCCSFSVQKEEEKKGALDLTMAERMSPSDLKMEANKEQMEQICRAYWTTLAPNVEDYSTKATKLIVAGSGQLVKGILWCGDVTVYRLIKGNEILKLKIGPASNTTINPELLKAIHRDSCYGLLSGVLKITGFFTSSVASSKLGKKFFKFFPGEIALATLDGFSKIYDAFEVSGKNVMSTSSTVTTELVSHKDMIEIVANRLRSMVVTEVASLLHDDVLDDADTTHGIGCLNFVMRNKVLYLFSEKNKQGQIVALMIVPVIGISPESSSHASSIFNYALSLGIGNQLMNILRDVGEEDKPYQDLEYFVEEEDFVEVHGQRFWKPFVEKPVYGDDHSIMIYYLSAAGGVSWNCIGTKLASGSVDQTAWVWHIDPHGHSKVKDLELKGHTNSVDQLCWDPKHAHLISTASGDKNVCLWDVCSGKCSQQVELSGGEH >cds-PLY70840.1 pep primary_assembly:Lsat_Salinas_v7:8:117061619:117064775:1 gene:gene-LSAT_8X81141 transcript:rna-gnl|WGS:NBSK|LSAT_8X81141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTAQVANSVGIGIAVGKNSLGSRPTCTRSSPSTNANRIFFGLNSQGTSTLRYDSVAAIGGQFPYYHRSHPPLPLSVTVKAYSADQPTSPGPAGYTAAIYAGRANLKPVMFEGYQIGGVPGGQLMTTTEVENFPGFPEGITGPDLMDRMRSQAERWGAELFQEDVEFVDVNTSPFTVQSSDRIVKCNSIIVATGATAKRLKLPREDEFWSRGISACAICDGASPIFKGQVLAVVGGGDTATEEAIYLTKYARHGSIKGITSYAGQVDYGECFRVFDNPNITVHYNTETVDVVSNSKGQMSGVLTKRLDTGVESVLEVKGLFYGIGHSPNSQLLEGQVKLDDTGYILVEEGTAKTSTEGVFVAGDVQDHEWRQAITAAGSGCIAALSVERYLVTNNLLVEFHQHHGEEAEKEVTTSDEKEGFDITLTKHKGQYAVRKLYHESPRLICVLYTAPSCGPCRTLKPILSKVIDEFEENVHYVEIDIEEDQEVAEAANIMGTPCVQFFKHKEMIRSVSGVKMKKEYWEFIQANNVHCCLWFPKHTTPPIESHFFIFIFQCETYISKAITMIRSLTWHGLNAVVTAKHRPLHFVFVRYEPFLYPSLPL >cds-PLY78647.1 pep primary_assembly:Lsat_Salinas_v7:9:48012870:48014825:1 gene:gene-LSAT_9X43540 transcript:rna-gnl|WGS:NBSK|LSAT_9X43540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEMITFLIPWSLLLFFIFFFRMIKSSKKQPLPPGPYPWPIVGNLFQMGKIPHIRLAEMAQVHGPLMTLRLGQQITIVGSSASAASEILKTHDHLLAGRDVPRLLQGKESIVHNMNLVFSSGTGDGWRKIRNLYASKIFSSKALESRVDMREKKVMEMVKYIASKGDSSVGIKDVMLVTATNIIGNTLLSIDLVDFEGNGIGAGIKDSVRRLSTLGAQPQLADLYPIFGRWDLQGWKKQVVQIIEQELGTIWKDILQKKTNGSNTSSDQQDFTDILIEKGSTHQQINAMMQELFTAGTESMNFTTEWLVAELLRNQEVMRKVRDEVRKKIDGNVVKESDLVHFPFLEACLKETLRLHPPGPLLLPHRAMQTCEVMGYTIPKDSQVMVNIWAISRDPKIWDDPLSFKPERFVGSEMSYIGKDFEYLPFGSGRRMCPGEALSSKTILLSVASLILNFDWFLVNNTMNPEDLNMDDALDFPLYKKEPLHVTFKLR >cds-PLY80899.1 pep primary_assembly:Lsat_Salinas_v7:8:128318094:128318288:-1 gene:gene-LSAT_8X87780 transcript:rna-gnl|WGS:NBSK|LSAT_8X87780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAASPYDPRTKPSFHGGSWQSEGRSDGTTSFDGGKSGDDGGCCGTSSKASDDRNSIVNSRVRL >cds-PLY75615.1 pep primary_assembly:Lsat_Salinas_v7:9:34447248:34451734:1 gene:gene-LSAT_9X31960 transcript:rna-gnl|WGS:NBSK|LSAT_9X31960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHVHSNTYMRRGILGGRELLHVDRRTWEIQHSTHFLNAYNLTALKAFHSLEKRHQKGLQYANMSSQTVLTRNSSSVASESMQELQSPFTYEAAMEALSSLITGKRRDGNANTDAKYKKLERMSMYVQILGIEKQIADLNIIHVAGTKGKGSTCTFCEAILRESGFKTGLFTSPHLIDVKERFRLNGLEISEGQFLLYFWGCWHQLKDKVTEDLPMPPLFQFLTLLGLKIFVSEKVDVAIIEVGLGGKNDSTNVIQDPTVCGITSLGFDHMEILGDTLEKIASHKAGIFKPQVPAFTVPQLPEAMDVLNQRAHEVEAPLQIVAPLTYERLKGVKLSLSGDHQLANAGLAVSLCKSWLNTRGNWEKLFPNVSYNSLPEAFLRGLSSAYLPGRAQIVHDSCLGLNDQMGSDKSCCGELIFYLDGAHSPESMDACAKWFSNVVKEKIHRPVSSSFSKGENMEDISIQHSKEQEESKTISKRILLFNCMDMRDPEVILPRLVDICASSGSDFSKAIFVPSISTYSKVTSGASDIPLSIPSKDLTWQFNLQRVWERIIHGKDIGVNKSVKLKTEAAENLPPPEFLYDDISHCNPANGNFSCSAVFPSLPLAVSWLRKSARENPSLRLQVLVTGSLHLVGDVLKLLRR >cds-PLY79277.1 pep primary_assembly:Lsat_Salinas_v7:9:5423562:5424080:1 gene:gene-LSAT_9X1141 transcript:rna-gnl|WGS:NBSK|LSAT_9X1141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTINVARTRSQKKPSTASRGVRSLGIAIAIPVALTVSNIMMFGETDTFHYQDLDRPFWIPPLWALHLTCVSSAFVMGLSAWLVWADSGFHRTPMAIFMYLAQLGLGLAWDSIFFKVGPTQMGLGVSFGHMLTIFSCSRMFARINPIAGDLVKLCLLWTLFLTFVNLHFVLEE >cds-PLY78112.1 pep primary_assembly:Lsat_Salinas_v7:7:111736530:111737131:1 gene:gene-LSAT_7X70621 transcript:rna-gnl|WGS:NBSK|LSAT_7X70621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDLHTQSNLHEGLFLTEYLVSYYSACKGVVDTNKYLTREVVEVVEYIGVRRTNWGTVRVVQHIVVRRTDCGTVRGISVSPRNGMMTDRIFIQTLIGRVLADDIYIGSRCIAIRNQDIGVRLVSKFITLEYNQSLFGPRDVGLEEVERMNQYRRHIKH >cds-PLY99733.1 pep primary_assembly:Lsat_Salinas_v7:9:56356167:56359225:-1 gene:gene-LSAT_9X48021 transcript:rna-gnl|WGS:NBSK|LSAT_9X48021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSGLESVCLKACTHYPTLFDHFQRELRDALQQLQHKSLIEDWQKTESWKLLKELAKSAQHKAIARKASLPKAVHGVLGMELEKVKAIQNRIDDFTERMANLLRVERDAELEFTQQELDAAPAPATTTSDSNKPIEFLVSHGQAEQELCDTICNLVAVSTYTGLGGMHLVLFKVEGNHRLPPTTLSPGDMVCVRTCDSRGAAGATSGMQGFVNNLGEDGCSITLALESRHGDPTFSKLFGKTVRIDRIYGLADTVTYERNCEALMMLQKKGLHKNNPSIAVVATLFGDKDDIKWLEDSNFTDLSETELSGIKYIENYDNAQRKAIALGLNQKRPVLIIQGPPGTGKTGLLKELIVRAVQQGERVLVTAPTNAAVDNMVEKLSDVGINIVRVGNPARISPVVASKSLVEIVNVKLSKFRSELERKKSDLRKDLRQCLKDDSLAAGIRQLLKQLGKAYKKKEKEMVKEILVNAHVVLTTNSGAADPLIRRLDAFDLVVIDEAGQAIEPCCWIPILQGKRCILAGDQCQLAPVILSRKALEGGLGISLLERSIGLHEGVLATKLTTQYRMNDAISSWASKEMYGGLLTSSPTVSSHLLVDSPFVQPTWITQCPLLLLDTRKPYGSLSPGCEEHLDLAGTGSFYNEGEADIVVQHVLSLIYAGVSPAAIAVQSPYVAQVQLLRDSLDEIPLANGVEVATIDSFQGREADAVIISMVRSNTLGAVGFLGDSRRMNVAITRARKHVAVVCDSSTICHNTFLARLLRHIRYSGRVKHAEPGGFGGSGLGMNPMLPSIS >cds-PLY86305.1 pep primary_assembly:Lsat_Salinas_v7:8:58102721:58104432:1 gene:gene-LSAT_8X41661 transcript:rna-gnl|WGS:NBSK|LSAT_8X41661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIIRRHLNPIRRRSLAIAAAVRQQQDTTLWTPASLANVKPAAESLFHITIDVSESPDLASSYTLAGQYLQLRLPDVEKPSFLAIASPPSLASTTGVFEFLVKSIAGSTAELLCGLGKGDVVELSPVMGKGFNTDQISPPEDYQSVLIFATGSGISPIRSLIESGFSADKRSDVRLYYGARNLQRMAYQDRFKAWESSGVTIIPVLSQPADSWAGESGYVQAAFARAKQLYAPESTGAVLCGQKQMAEEVTSILVDNGVPNEKILKNF >cds-PLY67958.1 pep primary_assembly:Lsat_Salinas_v7:2:12347588:12348485:-1 gene:gene-LSAT_2X6160 transcript:rna-gnl|WGS:NBSK|LSAT_2X6160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSILNFYSLRDLHNSANDLLHSPNFKRALSYHQQNQTSVQKVSESSLKMLDSCGRTKEILFLVKTHIQELQSTFRKITLGETSSAENKFSAYHVHRKDLKKQMLNRLKSLKMTKNKTTSNDYDDNSIVVVANVLGEVRETIISLVESLMLLMSMPSPNPNPKTRKSMHSNGLIAAKAKFMRVNSLTPWEKCEVHAVRRAIERLEAVESAVEDLEVELESIFKRLIRTRVLLLNILSN >cds-PLY83179.1 pep primary_assembly:Lsat_Salinas_v7:2:73942990:73943428:-1 gene:gene-LSAT_2X33321 transcript:rna-gnl|WGS:NBSK|LSAT_2X33321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMEEIFKGRKDFKKEVFDEVQLELNQFGFLIYNANVKQMVDAPRQEYFSYLGQKVQQEAANQANNDVSKAKMKGEIGSKIRQDQTLQNAVKIDAETKIIATQRQGQWEEEGDKGENGGEGA >cds-PLY82633.1 pep primary_assembly:Lsat_Salinas_v7:3:104114932:104117276:-1 gene:gene-LSAT_3X76061 transcript:rna-gnl|WGS:NBSK|LSAT_3X76061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAISMTWSLPTLTSSKLPRVNRYSGMAFATVNKDTATLGVKVTEGLGKLPKVVLTSSHGSEAELYLYGGCVTSWKVGSRDLLFVRPDAVFNGQKPISGGIPHCFPQFGPGPMQQHGFARNSDWSIVDSENVDGTPVITLELKDSSYSRSMWDYSFQALYKITLDKKTLSTELKITNTDKKPFSFTTALHTYFSASVSGASVKGLKGCKTLNKDPDPKNPIEGKEERDVVTYPGFVDCVYLGASNELYLDNGLGDIISIKNTNWPDAVLWNPHLTMEACYKDFVCVENAQIGNVDLEPEKSWVATQQLSVG >cds-PLY94917.1 pep primary_assembly:Lsat_Salinas_v7:4:111918865:111919227:1 gene:gene-LSAT_4X72300 transcript:rna-gnl|WGS:NBSK|LSAT_4X72300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATHSLGRDLTNEEWESFEFRFGFVPKHGVQIPLPDASLYSPPEGKVGILIALFDTGLRLPTIYFFNLIIREYGFSVRELTLIAINKIVGFKLLCHALGRLPTVPTLKHFFNASTQSGT >cds-PLY76987.1 pep primary_assembly:Lsat_Salinas_v7:6:65856814:65858801:-1 gene:gene-LSAT_6X47440 transcript:rna-gnl|WGS:NBSK|LSAT_6X47440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESPPSPPLPLPLPLPPPIEADVDSTANPNSGASNLTVEKHTEPNSATHATVIKKKMKNKKKRKDVPKSHTEVSSDPPQQSLNSISSSSTSSSFHPRSKGIRLSSNRRNPRVLSSPVSQRHEGTEADALALPLGMSIAAFVAQGEKLRTSSYERLLMVESE >cds-PLY67899.1 pep primary_assembly:Lsat_Salinas_v7:1:55671604:55681638:1 gene:gene-LSAT_1X49781 transcript:rna-gnl|WGS:NBSK|LSAT_1X49781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEIGAHKWRLMSFVTMMLMNFVFASMLASAERNEMTEDVSAVASYGDGDGDSDESKMEYFMKLTNYLFETDGSGYHHVWPEMKLGWKIVLGSIIGFCGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSATAISKCMIMGAAASTVYYNLKLRHPTLDMPIIDYDLALLIQPMLMLGISIGVAFNVIFADWMVTILLIVLFIATSSKAFCRGVDTWKKETIMKKEAARRLENGSQAEYKILPGGPNKPDRPKKEEVSVFENVCWKELALLVFVWIAFLALQLAKNYTSNCSTWYWVLNLSQIPISFGVSGYEAISLYRGTRIISSKGDSTNLTIGQLVLYLTCGVLAGMVGGLLGLGGGFIMGPLFLELGVPPQVSSATATFAMTFSSSMSVVEYYLLKRFPVPYALYFLLVATIAAFVGQHVVRKLIMIMGRASLIIFILAFTIFVSAISLGGVGISNMIWKIGRHEYMGFENLCNNSPNNRKMSSGRSRGRGNQFPAGKSAGRWKQTNAGPPFEWKPKTKVALDESCVGASSCQKDDSSLSAEYTSEKMCNSVPKPDLTPQLQEESSSHPVKNPSSGIESENVKPIPDSSQKADNVSTEPKSVSTFDSNSKNSNHTYPIPLKSQKGMESQDGIAEKGKQTGDKGGKPEDTITNHVSSITRFDICPKKVKTGAVKLKPSLHSTNKEKRNQSKREAEGPKINILRSGMVLLKGYISSDDQVNIVKTCRDLGIREGGFYQPGYQDGAKLHLKMMCLGRNWDPQSSGYIDTRPIDNSKPPEIPEFFHDMVKRALQDSNAHIQKNKGKIIPFMLPDICIVNFYTKTGKLGLHQDKDESRESLNEGLPVVSFSVGETAEFLYGNDRDVEKAERVNLESGDVLIFGGESRHVFHGVPCIFPDTAPKSLLEATNMLPGRLNLTFRKY >cds-PLY79508.1 pep primary_assembly:Lsat_Salinas_v7:1:33863502:33864071:1 gene:gene-LSAT_1X32821 transcript:rna-gnl|WGS:NBSK|LSAT_1X32821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLIHNFLNIVSVIISVISFIFILPILSLFRVLRFCIRSVSPEKLAGKVVLITGASSGIGEHLAYEYAKHGSSLALVARREDLLAAVAGKAKELGAPEVIVIKADVSKLQDCKRLVDETINHFRKCEFRDQIINSFC >cds-PLY86190.1 pep primary_assembly:Lsat_Salinas_v7:2:130324158:130325575:-1 gene:gene-LSAT_2X61901 transcript:rna-gnl|WGS:NBSK|LSAT_2X61901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGSEDGTVRIWHSTTYRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTINIKSVGADHEVSDGERLPLAVKELGTCDLYPQVSFFSDDEETTSTPKADALFIPRENPRALVIRPLKQWPGKSSAEKLKNASSPAQTNGKLIFCCYLKNKNNHFLSHFFYLNSGDYTGFASSPPLNGRSTENGNSKIISFTAI >cds-PLY85648.1 pep primary_assembly:Lsat_Salinas_v7:6:85179721:85181541:-1 gene:gene-LSAT_6X59361 transcript:rna-gnl|WGS:NBSK|LSAT_6X59361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALNSNNIRMNRFRRKPTNNHRSKQVLFISLLAIFFVLLFCFSNKYTINSLFLSNPLKNTRFSQCGKLNEVQSLKRQKFLWYAPHSGFSNQLSEFKNAVLMSAILNRTLIIPPVLDHHAVVLGSCPKFRVSDPKELRFAVWNHAIELIQSRRYVSMADIIDLSSLVSDSALQVIDFHNFVSLFCDIDSNYFCVKDSSIIQDSLLEKLSQCGSLLSGYSGDNNECVYAVEEDCRTTVWTYQRDGENGVLDSFQPDEQLKKKKKISFVRRRKDVYNALGPHSRAKSATILSFGTLFTAPYKGSESYIDIHEAPNDKKIQSLITKIEFLPFVPEILDAGKVYAFHTVKSPFLCAQLRLLDGQFKNHWKGTFEGLKQKVDSLREKERLPVHIFVMTDLPLSNWSGTYLGDLANESDSIKLFVLSEEDELIRKTAMKIVDKKDCDMRPPDILLHVEESVCSCASLGFFGTAGSTIADTIEMMRKKEICSK >cds-PLY82809.1 pep primary_assembly:Lsat_Salinas_v7:1:85656252:85656488:-1 gene:gene-LSAT_1X72660 transcript:rna-gnl|WGS:NBSK|LSAT_1X72660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKETLDDSSFTDGPTSESLDIEIFEDRLSQVRLRNQIGKGKKVDPWKSMNSGKKPGGSSRSRANMYLPLVPPKDPNSG >cds-PLY68155.1 pep primary_assembly:Lsat_Salinas_v7:7:70451392:70454842:1 gene:gene-LSAT_7X50901 transcript:rna-gnl|WGS:NBSK|LSAT_7X50901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SDG4 [Source:Projected from Arabidopsis thaliana (AT4G30860) UniProtKB/TrEMBL;Acc:A0A178UX33] MFITTMPDLGNLVSTSSLPLTRCSNSSRPGAMGNRDLCDPKYAKESETSLPVQDECNRDRSVKINKSVESKSLNDYWSDWVSKNVELGVPESKLFLPFLVGAPKLAECRTCENVIYPGEEVSCVVRDCKASYHLTCVQNWHGLSPSSKPFKCPQHACFLCKKKIHLWRCSRCHIASHDKCAPFSDHLLHSKDNQKICWRHYWPPLKPAVPTNSIEELFCRLPLPYTVDEFKIDLLLKDTMENKLEPPPYVHIRRNIYLVKKKRDDTNTNTGCTDCSSSTCSEDCVCRLQCISCSKACKCSERCTNKPFRKDKKIKIVLTERCGWGVEAVVPIKKGEFIIEYVGEVISDALCEQRLWDMKHKGIKNFYMCEIRKDFTIDATFKGNASRFLNHSCGPNCDLEKWDVDGETRVGVFAARSIKAGEALTYDYRFVQFGPEVKCHCGASSCQGYLGNKKKIAKMELLDWGAKRRRTTTASLKIIKIKS >cds-PLY72852.1 pep primary_assembly:Lsat_Salinas_v7:6:22071631:22073921:-1 gene:gene-LSAT_6X17160 transcript:rna-gnl|WGS:NBSK|LSAT_6X17160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAGNLSVESSSLSHGKHRRRSSRDENLDRFIPNRAAMDFDYANYMLSGRKVENKSTSPCSPAKESYRKQLAEIFNMNRTRILSFKNKPPSSLNSLKETSPVSPKLRSPKRCARHISQSSERTLDAPDIVDDYYLNLLDWGVTNTLAIALGNTVYLWDASHGSTSELLSTDDDSGPVTSVKWAPDGRHIAVGFNNSKVQLWDASISTNQPLRTLQEGHGGRVGSLDWNNHILTTGSMDSLIINNDVRIRSPIIETYRGHHQEVCGLKWSTSGQQLASGGNDNLINIWSLQSNRRVHRFSDHTSAVKALSWCPFQSNLLASGGGVGDQCIKFWNSNTGLCLNSVNTGSQVSSLLWSRHESELLSSHGFNDNQLILWKYPSMVKLTELHGHTSRVLNMTQSPDGYKVASVGADETLRLWNVFGTPEMSKSKQDAAKAEEPFASFARIR >cds-PLY95195.1 pep primary_assembly:Lsat_Salinas_v7:8:61598890:61599222:1 gene:gene-LSAT_8X44561 transcript:rna-gnl|WGS:NBSK|LSAT_8X44561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSPKKASAERRRRTRSDSNSTFQLFLIGFILILTHLPPLSAHHHHHHHHRSSVSSSRKAMLFKTQPPEHSGVVSAPIQSPLGASGEEGNVYDEDKRIIHTGPNPLHN >cds-PLY74556.1 pep primary_assembly:Lsat_Salinas_v7:7:40817405:40818536:1 gene:gene-LSAT_7X32261 transcript:rna-gnl|WGS:NBSK|LSAT_7X32261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSSSAMEDPPNWMEMPDEVMANILQRLGTKEILNNAWKVCTTWRRICKDPAMWKVIDVQKSDNDVEEHLDLERLTKKAIDLSCGELIDISISGFGNDDLLDYIFLRSGKLKRVSLTYLRGKACWLTRAPKRFPQLEELHISLGFINVEDIEVIGRNCPQLKSFTVNKVFQWSHNDDHALAVANYMPELRLLDLCGSNMTNDGLEAILIGCPHLESLDVRMCSNLNLKGNLGKLCTERIKDFMHPNDSSENCRYLLLSYEFYHELHVFLQEWKSGARSTFRW >cds-PLY68946.1 pep primary_assembly:Lsat_Salinas_v7:9:194869287:194874137:1 gene:gene-LSAT_9X121061 transcript:rna-gnl|WGS:NBSK|LSAT_9X121061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELGVGNSPETGLVFDGEELSSRPTVPARIRRRLTDTKASGSRSSIEEIEAKLRNADLRRQKFYENLSSKARPKRRSPQSADDHNLSQSIEAKLQAAEQKRMQILKTQSQRNKTSQSLLRRCWRKFLKQKTTLDLAKAFYILNINTSHVISMPFEQFANLIENPSTLHTTKSLLDRLETRYRVLLPDLHGQDEINHLLTRVVSRTTKPSVRLSRYQPRIVLSAYMILGHPDAIFRGEGDRETTLTFSAKKFVQEFELLIEIILNGPSQSQALNHGLVKLSTFRSQILAFDAAWCSFLNSFVVWKVKDVESLMEDLVKAACQMEISMMKKYKVIPEGDDSALTDDVKAFQKQMQVTEHQKMLREQIFHLSGEAGINRLENALSNTRKKYFQSKEKTSPIQSTLKDLDSNVPSSSDLVNQNDEIPIPRMENVFIVNEFLHGQHYDSLTMTDENQKVVRKTMEKAFWDGISDSIKQEKYDHVVMLMKEVRDELCEMSPQSQKQEIHEVIDLAILSQLLSSRSLDMEYLGRIMEFSLVSLQKLSAIAHENKLKESHQKVLSELAELCQAGDGSNHSHAIALIRGLRFVLEEIQVLKQEISKARIKMMEPLLKGPTGVEYLKKAFEKVYGPPSDALIRLPLTMEWLSSVVPCKDEEWNEHKSVLLELQDERTVLPSTGLRTGGSFSSTLHISSKTMSLSPTDNQYTECKGEKGDLLVRLGLVKLVNNVNGVMKEELPETLKLNFLRLRAVQTQLQKITVIATSILVLRQTLVMDEMISNPEDMERTMLKCSTQLSETLDTIIDAGLEELVEVLSKIAEDLDKTDDMAKNESRRVVMARMLRKSVQAGDPVFVKVSRAVYLATRGVVLVGGGNGREVAEKVLRQVGAAGLAEKVVEAGEVLGVMSGVSGNVHGPWYAGLIESM >cds-PLY82122.1 pep primary_assembly:Lsat_Salinas_v7:1:15454439:15455970:1 gene:gene-LSAT_1X12900 transcript:rna-gnl|WGS:NBSK|LSAT_1X12900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFLILSSSILYQFGDASLVPRSIYNIIIVKTTPDYIKPDETRVAFEPSSPIFASLSCKESCSKINAVKPAICDQTQALLKVFMYDLPPEFHFGLLGWKEDRNQIWPNVSNLNQIPSYPGGLNLQHSIEYWLTLDLLSSVSPNVSRPCTAVLIQNSTQADVIFVPFFSSLSYNRHSKTRVTEKTTMDKILQQKLVKFLKGRDEWRRSGGKNHIIMAHHPNSMLFARRHLGSSMFVLADFGRYSSQIANIDKDIIAPYKHVVRRLDARDSPSFQERPVLVYFQGAIYRKDGGVIRQKLYHLLKDEKDVHFTFGSVSSGGVRKASRGMSSSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDEIELPFEDVLDYSKFAIFVRASDAVKKGYLMKLLRRIRRDKWIQMWERLKEIEKHFEYEYPSQSGDAVDMIWQMVHRKVSYVHEKGGRKNRYDMSQKFLDAI >cds-PLY88577.1 pep primary_assembly:Lsat_Salinas_v7:7:8252537:8252920:1 gene:gene-LSAT_7X7800 transcript:rna-gnl|WGS:NBSK|LSAT_7X7800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASFSLSATQITRKLTQLGLRVKKQKSNDKMNLRDDDVSDGVTLLSLKKRSKNKVPIEKTQDDISDDDNVVLSASLKRKKKQNIVTNVVPVVHDVLNVEQ >cds-PLY82030.1 pep primary_assembly:Lsat_Salinas_v7:9:156348903:156351328:-1 gene:gene-LSAT_9X98881 transcript:rna-gnl|WGS:NBSK|LSAT_9X98881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSADRGKEAIGMLALHETLRNLCINSDWTYSVFWTIRPRPRLRGGNGCKVGDDNGSLMLMWEDGFCRGRGEDMDGGEDQVKKSFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSESEQNISNYWQSSFDAIPTEWTEQFDSGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFASTRANMSPPPSAATIPLKQPPIPTRTPPLYNWAPPRTIPSPNFHNPTQLGYQQKDETHMFLLPHSSEPPQMEPMMGQDHGQGDIKWPNGLSFFNALTGGLENNPGPEMKNPNDFLSLDNTNSDHGGGKVDHNKYKRSFNLPVRMATSSSSSSSLDHLKHNNNPNGEYRNNEASMYSDVMETFLE >cds-PLY90255.1 pep primary_assembly:Lsat_Salinas_v7:8:13797981:13807530:-1 gene:gene-LSAT_8X9561 transcript:rna-gnl|WGS:NBSK|LSAT_8X9561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSIASQLQAIRSIVKADTDPLKRPFTRPSILFDAKEAADIDLDTLFNLALSGLEGLVSLDERFVNYKNDLFTHKSKELDRELMGVEDNNHINASISSYLRLLSGHFKLPSALRTLEYLIRRYKIHVYNIDELILCALPYHDTHVFVRIVQLIDTGNGKWRFLEGVKISGAPPPRKVIVQQCIRDMGVLETLCTYASPTKKLQPTRPVISFCTAVIIEVLGSLTVIDTDVVKRVLPFVVSGLQTHSKGNIDHKAGALMIVGLLANRSTLSPNLVKTLIRSIADVAREDVEQSTDLHLFRVSFMALVNVVQLQSMEVLPKKVVDILKEIRDLSGVLSGLTKEFNIDKFLGIFLESLMEYSHDDDSCLKVLLSMIKAVPVNVLVGRLVTKLLSTCMKLSKKGNKQSLSAPVEGSKVKQILLSINEHYPVELRDAVQSFLKDVEMNSKKDSFTYEILCKTLDGGLDSSFPLSDSKIWFGLEHPQARVRRATVMSLDADPMIGDKSANSQKLQTVNDALLRRLYDDDLSVVQAALSMGRLSEFIKNTDLLDGLQKVFKRCINILVSKASSDTTLAADVSMSCLEHVISRFQGSEQYGKELAAMIFPLVLVMPKTQRINIKALDAAKQLKWPLYQKLGSTSVSGKKLAHTSTSALNMENMDVMAECFLANPDEYVQWFVGYCHDLELSKTLFFLILLHSVMKSQKDLGRFSAIYESCFPVVTKEWEKLEMAGVGVATDEANRRMVDNDCKAFLGQMYDVNLEVLNAEILISIFWRLLEAFFATALDDENKKQKVILQDLFSFFASHSKVAFKKHLHHLVANQKSSPARFLSSLFTDEGVADAVQIESLHSFAFYCSHLEEEIRLENEVDEGLLLQLPVEFPSVLVPLSSDHQEIRVAAMSCIEGLLTLWPHVSLSGGKNGRSAIWSHFLGDLLALMVQHKKLILSDKNFLPDFFTTLLSSTHHSMLVPQSVGERFDRPTKDNILKFILGSALSLCPFGMLKVLSLVKGLGSQVMLVKELNSLLSDLLERRSHDKSHQILSKTEVQILCLLLECCTKLTSATGGPAVESYILKALQVDRSYSEDQSIIQPCVTVLRCLNSSLYGSFKSETQELLFQELVCLFRSYNGDIQNAARIALLQIKISTSMVQHMLDYVLEKSSPLTGTPHGKKKKKVTTQLKPNDAGHTRCSKLTFLSSLLDILLLKKDIDNRSDLIQPLFKHLTIVFMDKEWIQEAVKQDQELLEASADVSQSTDVTMCYIQQNLLSVLEGISNSLMPNDEAAQSFDIKLLLNCTRSTNDPTTRNHVFSLLSAVSKVLPDRILDHILDILTVICESAVTQWDSHSKKVFEDLISTIVPCWLSRTENPEEVLQVFLNILPDVTEHRRLSIIVHLLSTLGESGSLASLLFLLFHSLASKSKNLENFSTEWEYTFALQVCQQYSCMVWLPSLVALLQKIEMGTWVPQLFVQLLVAMKFIGDKLQDPEIAFKIKSGEDVDSIQATSGDLMELVVTHMQLLGSRRKQHGVSDSLGKELKEVMNNVLTAVSKGLLPSTYFQVIITLLGHSDRNVRKKALGLVCDMGKELTMLTQKHNKKVMNPSVRSSWLRFDEVASRHFEKMLHEILKIVDDSVNTSKHASLRLSSISTLESLVTVFPSSDSVFNTCLATVIKHIHSEDLAISSGCFRTVGALINVLGPRALPELPSIMNHVFQRCDDMSSNSNESLFMSILVTIEAVIDKLGGFLNPYLPQILELVILRPQYATNSSSKLKSKADNVRKLIAEKIPVRLSLPPLLKIYSEAIKIGDSGLSVLFQMLGNFISTMDRSSISAYYLNIFDMCLVALDIRRQRPASVNNVTAVEKDVINAMVVLTMKLTENMFKPLFIRCVEWSDNVDEQNDDSVPGSIDRAISFFGLIQKLVDSHRSLFVPYFKYFLNGLVRHLSDDDVATPGPTRKKKAKLMEPNVNKSPEHSRWHIRALVLSSLHKCFLYDTGNLEFLDSSNFQVLLKPIVSQIGVDPPSSLEQHPDVPSVNEVDELLVSCVGQMAVTSASDLLWKPLNHEVLMQTRSEKVRTRMLGLKIVKYLVENLREEYLVLLPETIPFLGELLEDVEPNVKSLAQEILKEMETLSGESLREYL >cds-PLY82842.1 pep primary_assembly:Lsat_Salinas_v7:1:87032655:87033874:1 gene:gene-LSAT_1X71940 transcript:rna-gnl|WGS:NBSK|LSAT_1X71940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHACSPMDPKFSCDMNMEILSRTSLKTLDITRCINKQFEKLTYDPGFLNFYKKRNKIVSGFLIQKGGNGYEFAPGPESNNLDLGFLPHDAKILASSEQGIMVFESPHPQLQDSIVYHVCKPSSKQVLPLPYPKTSYTTDNVYMVMVGSKPLHYKIVRLSDYTSDTDYGNGRCTSYHCEIFDSREWTWRVKDHVILPYTVYIKSNYQWITTRGSIYMLLSNKEVLKFDAYSEEWTTFSTPIQTLYYPFSENPRLRKLVKYQGKLGFACMTPSLHSWEIWVLTVDQSWEKLDVLDMKKDIAKLSLVAIYDADTWVMNEFKTLVFYSFKEGGCISKARKSYGGYSPIRILPFRSDFEPIDLKPVNLKG >cds-PLY68507.1 pep primary_assembly:Lsat_Salinas_v7:2:213392263:213393159:-1 gene:gene-LSAT_2X133681 transcript:rna-gnl|WGS:NBSK|LSAT_2X133681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSGYSQSSALHLVISSITFSFLKPIEVGSIAAYWGQHTDEGTLAAACATGNYKFIMIAFLTTFGSGQTPVLNLAGHCDPASTCSGLSSDIQSCQNQGIKMFLSIGGAVGSYSLSSSEDAQQVSDYLWNNFLGGQSNSRPLGDAVLDGIDFVIESGSGEFWPDLAKALALYSAQKKVYLSAAPQCPIPDARHDSSIQTGLFDYVWVQFYNNPQCEYGANADALLARWNQWTQVNSNQIFLGLPAATGAAGSGYIPPDVLTSSVLPSIKNSPKYGGVMLWDRFFDQQSGYSAAIKNSV >cds-PLY66345.1 pep primary_assembly:Lsat_Salinas_v7:5:288627474:288629999:1 gene:gene-LSAT_5X153341 transcript:rna-gnl|WGS:NBSK|LSAT_5X153341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVPFHFHVLVLTISTLSQIPISSSSSSSSFLTRGSSLSVDNDDDILVSPNGIFTAGFHQVGENAYGFAVWFSEQPASRSRTVVWMANRDAPVNGKHSTLFISEDGNLVLTDAGDHQNVIWSTKAKSTSSLVQLQLHNTGNLVLHGGEENPLWQSFDHPTDTLLPNQLFTKKTQLVSSRSFTNYSSGFYKLFFDNDSILRLLYDGPQTTTVFWPHPSLRTWEVGRFQYIDIRRASLDSNGEFNSSDGFSFRSSDFGMRTQRIMKIDTDGNLRVYSFDERRLRWEVQWQALSHPCQIHGACGPNSLCSYSPDFGRTCACLHGYKKVDSNDWSYGCEPEFQLCTQDGCDDFIELRHVEFYGYDIRLIINCTLDACKKDCLKDDTCRGFQLGWRNDIPYCFIKTSLHNGYQMGVDYLMYIKLPKRLVSSFTQKPIIQSSFSCPDAVLSPIMRTYKTKHDMNSKLLEFVLVFGCMIGFIEIVCVVIFMYCSSKHPSATEKTYFPAATDFRKFTYSELKKASRNFSDEIGRGGASIVYKGRLADNRIAAIKRLTNTNRQGEAEFQAEISTIGRLNHMNLIQTWGYCAEGKHRLVVYEYMEKGSLAENLSSGHKLDWATRFDIAKGIAKGLAYLHEECLEWVLHCDVKPHNILLDANYNPKVADFGLSRLLDRRSGISEWNFSVMRGTRGYMAPEWVLNLPITSKVDVFSYGVVILEMITGRSPASENENEEIKHALINRVRDRSQGFDEIVDPWIRDQYNDETVMENLVRIALQCVEEDREARPSMRQVVDVLLHV >cds-PLY65120.1 pep primary_assembly:Lsat_Salinas_v7:4:5319508:5319858:1 gene:gene-LSAT_4X3761 transcript:rna-gnl|WGS:NBSK|LSAT_4X3761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSHPRYPSTDLQPPIISNNSNPQRPAVMSSFVAVNLSLNTTYRQSQNLAPPTIIINHYLNRFSAPPIKNPPITLASLEVAITSWRSHLPHRQKPLDRNRHQYKISNLQTVQRTRS >cds-PLY77584.1 pep primary_assembly:Lsat_Salinas_v7:2:164398105:164398856:-1 gene:gene-LSAT_2X87161 transcript:rna-gnl|WGS:NBSK|LSAT_2X87161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGICSSCDSTAVATAKLILHDGSLQEFSYPVKVSYVLQKNPSTFICNSDEMEFDDVVSAIRDEDVLHPGQLYFALPLTRLRRPLLPEEMAALAVKASSALAKSGGEKCGCRRKNLNFTAPVCSGGHSNTKSSGRVSDVGSIGSRSDRRRGVGSGRRRNFTAMLTAIPE >cds-PLY78990.1 pep primary_assembly:Lsat_Salinas_v7:3:8486151:8487398:-1 gene:gene-LSAT_3X5660 transcript:rna-gnl|WGS:NBSK|LSAT_3X5660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIRTRQGLLNAMAGKVGKRMDALLIPLELLSCVSRTEFSDKKAYIRWQKRQLNMLEEGLVNHPVVGFGESGRKASEMRILLARIEESESFAPSVGELQRIECLRSLREIAIALAERPARGDLTGEVCHWADGYHLNVRLYEKLLSSIFDVLDEGKLTEEVEEILELLKSTWRILGITETIHHTCYAWVLFRQFVKAKLLQLVMFNNL >cds-PLY71657.1 pep primary_assembly:Lsat_Salinas_v7:MU043893.1:52918:53734:1 gene:gene-LSAT_0X30860 transcript:rna-gnl|WGS:NBSK|LSAT_0X30860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPPLFGSLHRYCLFLLPQKIEGAVFHLAKSTPPSSLRHLSPPIATSDLRQTGLPFISFNWRVKRQKRCLQSQLKMEVRIVFWKKLHWFINLLFFIDSVHYF >cds-PLY61774.1 pep primary_assembly:Lsat_Salinas_v7:8:76893709:76894286:-1 gene:gene-LSAT_8X54860 transcript:rna-gnl|WGS:NBSK|LSAT_8X54860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLPPTSSFDLRNLVKAIIRMESSIMEFPHIHSLLLLNSLNIHKVPNA >cds-PLY92670.1 pep primary_assembly:Lsat_Salinas_v7:2:160175784:160176883:1 gene:gene-LSAT_2X83561 transcript:rna-gnl|WGS:NBSK|LSAT_2X83561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRKNALLLALICVIVAAVGGQSPAASPTLAPPSSVITTPAASPSKPITPAPATTPVAAPVAAPPTVAIPPPVSSPPAAVPVSAPPPVVESPPPVVESPPVPEPTAAPVEAPVAEVPAPAPTKKKTKKHTASSPAPSPDMMGPPAPPSEAPGPSDALSPGPSSAIADDSGAERLKGVQMVVGSLVLGWTVFSWL >cds-PLY70431.1 pep primary_assembly:Lsat_Salinas_v7:1:72365162:72365941:-1 gene:gene-LSAT_1X61541 transcript:rna-gnl|WGS:NBSK|LSAT_1X61541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGATNKDTLKPVDDKKVGKKKAVGKGKAKGGKAEKDPNKPKGLQEEALFESKATKRKSEYEKLMNAYNNKQDYDADEEANKSKYEVDEESG >cds-PLY66703.1 pep primary_assembly:Lsat_Salinas_v7:6:79597496:79599866:1 gene:gene-LSAT_6X55160 transcript:rna-gnl|WGS:NBSK|LSAT_6X55160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTTVTNLTPFFLVGLAFVLFYRHPSSSITLNMTQETPKSVHDFTVKDIRGNEVPLSSYSGKVLLIVNVASKCGLTESNYKELNILYQKYKNQDFEILAFPCNQFLWQEPGTNEEIQDTVCTKFKAEFPIFDKVEVNGNNASPLYKFLKSEKGGFLVDGIKWNFTKFLVNKQGKVIQRYAPKTPPLEFEKDIQNLLESSSS >cds-PLY78539.1 pep primary_assembly:Lsat_Salinas_v7:1:105503120:105505220:1 gene:gene-LSAT_0X4941 transcript:rna-gnl|WGS:NBSK|LSAT_0X4941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTVVDSSNKEGSKSVLSEKKPKVVFVLGGPGSGKGTQCANIVEHFGYTHLSAGDLLRAEIKSGSKNGTMIQNMISEGIIVPSEVTIKLLEKAIMENENDKFLIDGFPRNEENRAAFESVTGIIPEFVLFFDCSEEEMEKRLLGRNQGRVDDNIETIRKRFKVFVDSSLAVIEYYNSKGKVKKIDAGKPVGEVFEAVKAAFAPAK >cds-PLY71490.1 pep primary_assembly:Lsat_Salinas_v7:7:190540021:190543183:1 gene:gene-LSAT_7X115861 transcript:rna-gnl|WGS:NBSK|LSAT_7X115861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRHRNPANGYRSNPIGIGGSAGGVAAGGPGYRNYNRSGFGRGQPPPPRRIDIFLEAGKLATEYLVSKGLLPPNSLNAKWQNSNLKNQIGGADGVSDAVSGTTTSRRRLSDDYSGATGSRDGIRARKTTGSFKNPAFEYNHEINRSSSWSEKVKGSSDKQGEGDSFSGSMEEQRSGKDGTSEDQKSVSGDLESKNHNTANIETTIENQQNQSPDDNPSIKTPNSDQVNVDDSKNPNMSTEEVITDDSNQDSEKPIVKEENNNNTANNNNSSTSDLLTLIRFNKVPTRTRSSIKGSKSDPVDKTQNSGEPENKNQELNDEMKIEEDEKYKFGHGVCTRSQSFPQRSSISEQESSEEHHGYARCSSDLLGRGEKRSLQINDNTEGSKKLKDWVSPNTQTDDYAEEKQLFPSSLSSFKICDLNLMGGSEVNENNHDTNKVIAFPAISQTKQEPVTVDFDLSMTNTRRGADGKEVEIIDLDCDSVQDDKDFNNSERREEAIFTDLESFPDSMQRVSDMPQDGYGLMISELLEADIPNSSVSSGVNSMHNEISLQNEEGILGDDESIYMSLGEIPISFVPVWDQQPSQGYDKRY >cds-PLY73218.1 pep primary_assembly:Lsat_Salinas_v7:8:177911005:177911190:-1 gene:gene-LSAT_8X115321 transcript:rna-gnl|WGS:NBSK|LSAT_8X115321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRELDRTNLEFKMYIEEIQGAEKLVAECSKVKRNIIKMFTYSLKLKVLNSKMLSFFQIEV >cds-PLY96515.1 pep primary_assembly:Lsat_Salinas_v7:5:335882154:335884751:1 gene:gene-LSAT_5X187441 transcript:rna-gnl|WGS:NBSK|LSAT_5X187441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PALE CRESS, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G48120) UniProtKB/Swiss-Prot;Acc:Q39089] MQARVFGLSVLPPTSITALLTFPTSLNLSLSNKRPFLCRSKKLEDEVLAGLPKEFHDEEWQARQREKTKELERLRQEEDEEEERIVDEYREVGMHLKNFPQEDVFRAKKLVSSFIKSAEEIEEKIEEAAEKGELNELVLLVIWTRLDLARRDEEKDAIRSLDLLYRRVETEILKRQATPAMRLLNDLLMIHDGYDEEGWLKACKKRMIETFPREDPFSLLVPTGFDLDKHHGPLVRSAMEADDLLLRIDFIREVDTLLKEVRPVEKTGGGSTLEALDPESVAKRLKEQEKGKVIRQVEALLDLAIKLTW >cds-PLY91268.1 pep primary_assembly:Lsat_Salinas_v7:3:76218252:76219790:-1 gene:gene-LSAT_3X59281 transcript:rna-gnl|WGS:NBSK|LSAT_3X59281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLDIFLSFFKTLYADDAMKPILILSLSIIIVVIMFFILSFISKHPSIYLVDYACCNPPKSLQSPSDAFLRHSRINLSSHPESVDFQMKVLARSGLGDETYLPPAMHSLPPTPSIEASRNEAEIVIYSSLDSLFKKMELNPQEVDFLVVNTSVFAPIPSLTSMIMRRYNMREDVKTFNLSGMGCSAGLISVNLARDLLKIHKNSNAVIITTEIITLFYYTGKDRSMLLPNCLFRMGGASVLLSNKKCFRKQSKYRLLHVVRTHIGSFDKAYKCIHEMEDSEGKIGISLSKDLPNIARQALKANIHAFALQVLPLTEVFFFLKNVIKKVVSKRKDTKPYVPNFKRVFKHFCVHAGGRGVINNMQTELGLTWQDMEPSRMTLHRFGNTSSSSLWYELSYLEAKGRMKEGDRIWQIALGSGFKCNSAVWKCNRNITNPLDGPWFDCIDRYPIHVP >cds-PLY73059.1 pep primary_assembly:Lsat_Salinas_v7:2:30622530:30627290:1 gene:gene-LSAT_2X15001 transcript:rna-gnl|WGS:NBSK|LSAT_2X15001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSVESRSPIPNSPTPTLGIKKKKNSKKRPPGLRSSSFDHRREEQLHRVAGRMCLNGSSQVASLFTQQGKKGTNQDAMIVWENFGSRTDTVFCGVFDGHGPLGHKVAKRVRDSLPLKLSAHWEVNLKDSNDVLKEIIMGSLNSEGTSVNSPSPFEETDKNPEIFQTLKESFLKAFKVMDRELSMYANIDCFCSGTTAVTLIKQGQHLIIGNIGDSRAVLCTRDKNDSRVAVQLTVDLKPNLPAEAERIRKCKGRVFALKDEPEVARVWLPNHDSPGLAMARAFGDFCLKDFGLISVPEVSYRRLTDKDEFIVLATDGIWDVLTNEEVIEIVASTDAPSQAAQAVVESAVRAWRQKYPTSKVDDCAVVCLFLGLDTSATKRDPGKNVEEEESSSTMNMETGKDWSALEGVSRLNTLLTLPRIV >cds-PLY95034.1 pep primary_assembly:Lsat_Salinas_v7:5:227381849:227385318:-1 gene:gene-LSAT_5X107161 transcript:rna-gnl|WGS:NBSK|LSAT_5X107161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDLSMYELEDIIWDDFDQGDDHIVPDPTNARTSRNSFEGDICKKPRREATSVLSNFGNLDASTTICQEKSERDTKLLDKKKNMMEKESSFNASCDVEIVKDTSDDTRMSDKCFKNCYVTSAAGDDNSYSYPLTHISQPEDLYNCEDKESGDLLYYGWPDIGNFEDVDNMLRNCDSSFGLNNDEELGWFASDEIGGDTEEALKMDFKFPCPEPSALNLNNASNKSHVMESCGDISFEGNATGVDEQKQGFQPLFVGSPIQMNTMFQSSDSGLDCAEKQAAHLSKKELGSENGVGVHQKGISLEMGSLNVPEISSISSELDEISLEATSFRQLQHVMEQLDLRTKLCIRDSLYRLARSAEQRHNNPTLSSSATDINDSNNGLMDMETDTNPIDRSVAHLLFHRPSESPNLKHGSMNGEKKAVCEEGVADDK >cds-PLY81353.1 pep primary_assembly:Lsat_Salinas_v7:4:35666702:35668367:-1 gene:gene-LSAT_4X23380 transcript:rna-gnl|WGS:NBSK|LSAT_4X23380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVPMAANEPKTVPPDTAPKSTGNISEVPTAASEPKTQKDVSPNIDAPKSETPKAGTKPKTETDVPPANTPKVSQIKTVKVSNISVAVTKKDIWEFFNFSGDIHYIEMKSESETTQQAFVTFKESQGADTAVLLTGATIADLSVSVSLMENYQLPPDAPPTDTDKKGEVVQKAEEVVSTMLAKGYVLGKDALKKAQSFDEKHQFTSNASAKVADLDRKMGLSQKLKEVNERYQVSEKTQSAIAVAEQKANTLMANPYVSSGAVWFSGAFAAITKAAEGVGNKTKEKVDKEEKERSNSNINTVNNNEKQTSNSNSTSTTVNNSDESLVKGSPVNSKTVNSGDKSSVVKDKSSSEKNKLPEL >cds-PLY64827.1 pep primary_assembly:Lsat_Salinas_v7:8:266505741:266509671:-1 gene:gene-LSAT_8X154301 transcript:rna-gnl|WGS:NBSK|LSAT_8X154301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVSYGVDFDEEYDAYDDYRDDYDYNHGNGIDEHETAWEPPVTKEEIVYQNVWRCPICTYDNDEYMSSCDICGVLRNPLIKSSNNGQSSTVDGICTNFGASMMAKSLFTSLPYESPRGAVTVNFQPQKDDSSRKEDTFSLHVHGKFHDLHNAIISEKSYKVNIAPFKFDIPSPDDVVSLGLKSYKLGSKVVPNLEKPSTSDAPSNKKIENANLKSNPKSSNKSPPLISKDKPQQMENNNMTQTHKISNEFNNITMSGESGTSKIANKGKMGKTVSRSQYKPEKWMLLDEGEDRLSQLNLAIGKGSFAYAWALDESVEERERGITMTVGVAFFNSKKHHVVVLDSPGHKDFVPNMISGATQADAAILVIDASLGAFEAGIDSAGGQTREHAQLIRSFGVDQIIVSVNKMDAVHYSKERFDHIKLQLGVFLRSCNFKDSNVTWVPLSAMENQNLVAPPSDARLLSWYKGGSLLEAIDALQPPAREYSKPLLMPICDVIKLPSQGQVSACGKLESGALRNGTKVMILPSGDIGTVRSLERDSQACSIARAGDNVAVTLQNIDANVVISGGVLCHPDFPVSVTNHLELKILVLDVQTPVLIGSQLEFHIHHAKEVARVAKIVSLLDAKTGKVTKKSPRCLVSKQTAIVEVMLQGEVCVDEFSSSRALGRVFLRSSGTTVAVGVVTRVIVDDQS >cds-PLY72614.1 pep primary_assembly:Lsat_Salinas_v7:5:44863239:44864228:-1 gene:gene-LSAT_5X21920 transcript:rna-gnl|WGS:NBSK|LSAT_5X21920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLAETQVGFDFLGRSEVGLIKHDKLDSGFGEFEDSSKRKFECVTCNKSFHSYQALGGHKASHSKLKGDFDSKIQNENKPVLDHDQTIKGFDPKTSKGHQELSSFDLGVGCLKKKKVVLGAHECPICLKMFSSGQALGGHKRSHLISEAKMNKENTNVIKKRNDLFCETRGFLDLNMPPEDEEEEEMVMSSSTTEYKSYF >cds-PLY80185.1 pep primary_assembly:Lsat_Salinas_v7:8:191143874:191144424:1 gene:gene-LSAT_8X124640 transcript:rna-gnl|WGS:NBSK|LSAT_8X124640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGTFNQTKPLDLLVGSRVCFSFDPRSATDRWPIVFLERVVSKLFDQDLSEAVSFLLSYLVVNLMPQLVYPGRVFTNYAVPGDDVVIADENVATRYKESLDLLQVVISKEKSLISRSGSAEFANNFRVRDLTVDLPPVSIKKRY >cds-PLY79969.1 pep primary_assembly:Lsat_Salinas_v7:9:46634760:46640508:-1 gene:gene-LSAT_9X43261 transcript:rna-gnl|WGS:NBSK|LSAT_9X43261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHELLLALLGYTGDLIIDERERQEYVGLSPDDSISDQCTFKLAPDISFIEPGERDIIEKIITLGFYYRELDRFATKSRNLSWIRSGNVSPLSRTFELSKESSDNQSVYRRAIANGISEILSIYRSSVLHIEQKLLSDSLPILATITQGLNKFLFFFPPLYELILEIDRDGIYGGKLLNLLHKRCHCGVPELQTCVQRLLWHGHQVMYNQLTYWMVYGILHDQYGEFFISRQQPKNREHETSHTDKLQNVANKSVGDGSISDWYMGFNISLEMLPEYISVCVAESILFSGKAIRVLRNPSSSFQCVDVLSHQTTGPQTFKESLKNEHSFNTTVGDELLPQSEADKINSMLKNLQESSEFHKRSFEGAIGSIRAIAASHLWQLVVVRADLNGHLKAIKDYFLLAKGDFVQSFLEGSRELMRLPPRQSSAEADLMVPFRQARAKRISDDDKYFSRLALRVNVRSSQADVQKAPKVFGEVDPSPLSSETTLDGWDGISLEYSLDWPLQLFFTQEVLTRYQRMFQYLLRLKRTQMELEKSWASVMQQGHLDFEKSHSERVKGKTSQHKRHRVRPMWWVREQMVFLIRNLQFYIQVDVIESQWDILVAHIKESRDFNDLVAFHQQYLSALISQSFLDIGSVSRILDGIMKVCLQFCWKIENQQTQNQTETDDAKNINELERISEEFKMKSNSLYTILRSSRLAGSQRAPFLRRFLLRFNFNSYYEATTQGVNVMNVTRPQPAIPAFLRLQL >cds-PLY64833.1 pep primary_assembly:Lsat_Salinas_v7:8:266419723:266421608:1 gene:gene-LSAT_8X154160 transcript:rna-gnl|WGS:NBSK|LSAT_8X154160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWLQHAVAYVTDGEFYGAKASLNVWAPNVTRPFDFSLSQIWVIADVPTHGLSTLEAGWQVAPAMYGNKLPRFFLYWTNDGYRSGCYNLLCSGFVQTTHEICLGATFDALSTYNGTQYDFDVLIWKDPRHGNWWLRVGTIIVGYWPVALFPDFSKHATAIEYGGEVFNAQSPGQQPTSTIMGSGHFATEGFGKASFVRNMEIVDEHNVLQSVGDVNLLTEKPNCYDIKNGFNSFWGYYIFFGGPGNNPHCT >cds-PLY63922.1 pep primary_assembly:Lsat_Salinas_v7:5:339301377:339303156:-1 gene:gene-LSAT_5X190200 transcript:rna-gnl|WGS:NBSK|LSAT_5X190200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDHPKDDNNKKNIKVMPLAISIGGGGAGRLGMGGILLFGGALVTATIASAALAFKIRRSSKKSRWPSPETQSVDAPDQVEFMVKTNKDLPLGSQNQSDGLTSMKEIMQTKTIPDSSPEEKQNCEEKVAVESGDGSIFSVVGEDEESYANSVSELGFPIVDGGEEEQKKYCNQQTDAEEEEYKAIEGVGESVQVGALASDEESTAAMEADGVKKEKEKDCEDEMINVANVEGELSTSDEASYAAADHDIVSETEEEAINTVFCVRVEYKEACLDDDDDDDDDDETITIQTQEIHQGRTFADDDDYDDDDDEDDRAAVREKRPLPPNQLMMMNKEEQGKDEDKECEVQSMNKDKETPYVDAEAELLMITEDEAGKSKVEADDEILLIQSHATTAKREVGIWADESVQWEEEASHMIEESMKEDDSILIGKGQKNDDHHKHKHHKSFTHRHRHRFAEISLVPSPTLLLIFMLLALGTAIQRYLVK >cds-PLY85045.1 pep primary_assembly:Lsat_Salinas_v7:7:6974532:6974708:1 gene:gene-LSAT_7X8401 transcript:rna-gnl|WGS:NBSK|LSAT_7X8401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAPPTVLDREKKGEDRRQQLVVMAVKWWFDGSTIAIGGRLAAHGCSGGSILLLVRSS >cds-PLY94669.1 pep primary_assembly:Lsat_Salinas_v7:1:40727984:40730108:1 gene:gene-LSAT_1X36001 transcript:rna-gnl|WGS:NBSK|LSAT_1X36001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNRYPRENHRGYPPEGPPSRVPMSRPMPPHPIMLEEELEIQHHEIRRLLGENRRLVDDRIALQQELGAAREELRRMNIAISDIQAENEMHSRQLIERGLKLEADLRATEPLKKEAAQLRGEVERLNSIRHDLSGQVQTLSKDLAKLQAENKQLPALRAEVEGLHKELMHARVAIDYEKKASAELMDQKQSMEKNLVSMAREVEKLRAELTNSDSRSWGAGGSYRMNYGNSEGNYSRAYGDGYGRPMGAGDNVPLYGSSSTPWAEVEKSRMTRR >cds-PLY76866.1 pep primary_assembly:Lsat_Salinas_v7:3:5585529:5585945:1 gene:gene-LSAT_3X3821 transcript:rna-gnl|WGS:NBSK|LSAT_3X3821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDVVYVVSWRRTEEMSSASMDALLWTLGNNLNDESTFPSYSSLPIQRCKANGNRIRRKREQWSRDQNIERKCVFFYLLAAEDDSLFCTTSCRCFY >cds-PLY82850.1 pep primary_assembly:Lsat_Salinas_v7:1:87613573:87617418:1 gene:gene-LSAT_0X4801 transcript:rna-gnl|WGS:NBSK|LSAT_0X4801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMLSCPKSDNQYPINLHCFFKSPLVFFFISTTLFLIPCSAAADGGDGGPNQEAVVLFTWVHGVNGGGNDGSFFSSWTLSGDTKSPCKWDYVKCDSQGFVSEINIQSIPLQLPLPANLSSFSHLKSLTISDANITGTLSDDLGSCRELVAIDLSSNSLVGPIPSSIGNLENLQDLILNSNKLTGKIPRELGNCRSLKNLHLFDNRLTGNIPPELGQLHNLEVIRAGGNNDLAGEIPEAIGNCRNLTFLGLADTRISGSLPHSLGNLTKLETLSIYTAMLSGELPPELGDCSELVNLFLYENTLSGSIVPELGKLKKLEKLLLWQNNLVGSIPEEIGNCTNLKIFDVSLNSLSGVLPSSVGNLVELEEFMISNNNISGSIPIVISNAVNLQQLQLDTNQISGLIPSEIGKLTNLEVFFAWDNNLEGSIPPSLGSCTNLQALDLSHNSLTGSIPPGLFQLQNLTKLLLISNDISGSIPPEIGNSTALVRLRLGDNRITGEIPKEIAGLKSINFLDLSGNHLSGVVPGELSSCTELEMVDLSNNTLQGSLPDSLSSLSGLQVLDVSSNRFSGPIPASFGRLVSLNKLILSKNEFAGAIPRSLGLCSGLQFLDLSSNKLSGGIPPELGNIQALEIALNLSCNGLTGSIPDQIAALSKLSILDMSYNNLEGNLNPLSRLDNLVSLNISYNNFTGYLPDNKLFRQLSEADLAGNRGLCSFGKESCFLNNVAESGNGKDEYKSKNAKRLRLALALLITLTIAMMIMGVAAVLRARRGFKGDDESELGESWPWQFTPFQKLNISVDRILKCLVDTNVIGKGCSGVVYRADMDNGDVIAVKKLWPSMAVDGGYEDEKAAVRDSFSAEVKTLGSVRHKNIVRFLGCCWNKKTRLLMYDYMPNGSLGSLLHERMGSSLEWELRYHILLGAAEGIAYLHHDCVPPIVHRDIKANNILIGLEFEPYIADFGLAKLVDDGDFARSSNTIAGSYGYIAPEYGYRMKITEKSDVYSYGVVMLEVLTGKQPIDPTIPDGLHVVDWVKQRKGWIEVLDRSLLSRSESEIEEMMQALGVALLCVNSLPEERPTMKDVAAMLREIKHEREEYAKVDALLKGSPEKPATGSRAEGGVPATSSSSAAAVAGGGMRSNASSFSGSSLLYSSSSRVAYK >cds-PLY97516.1 pep primary_assembly:Lsat_Salinas_v7:2:6626475:6628505:1 gene:gene-LSAT_2X2740 transcript:rna-gnl|WGS:NBSK|LSAT_2X2740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSSVSFSSATAAAPFGGVKRSAKSSFPVKALYTSTPRTFGDPSVMAAPKWAQKTITLPPQKRGCHLITPKILKEIGEDLSGFKCGLANLFLQHTSASLTINENYDSDVRADTETFLNRVVPEGRSAPWKHTIEGPDDMPAHIKSSMFGCALTIPITDGQLNMGTWQGIWLCEHRDAPTARKVVITLNGI >cds-PLY84410.1 pep primary_assembly:Lsat_Salinas_v7:8:77878843:77879106:-1 gene:gene-LSAT_8X55901 transcript:rna-gnl|WGS:NBSK|LSAT_8X55901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQVGLTTNTFLFVLGWRLKISLMKTLYSLRRFYPVETLFNGTLALVDHDQETTGFAWWVGNARLINLSGKLLGAHVVHDIDLYFLK >cds-PLY95218.1 pep primary_assembly:Lsat_Salinas_v7:1:212219682:212223398:1 gene:gene-LSAT_1X129260 transcript:rna-gnl|WGS:NBSK|LSAT_1X129260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIHRLGSETNIYIEDTKEQILQRIFFVLFCRGYPSHFPKLYLPLQCLFVSVYFVHQVKLMEERNMKPLDSNLAASSARCSKALELNLAKSFLSEMGQCTTAYPYNQLLGVLVLKNYERQDATLLSWNLMYRVD >cds-PLY86170.1 pep primary_assembly:Lsat_Salinas_v7:3:242261150:242265119:1 gene:gene-LSAT_3X135441 transcript:rna-gnl|WGS:NBSK|LSAT_3X135441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFMSGMFLGALFGIGIMVGWRHMMRQRSKNRTAKASDIKLLGSLDREDLKKLCGDNFPEWISFPVYEQVKWLNKQLSKLWPYVAEAATIIIRESVEPILEEYRPSGISSLKFSKLSLGSVAPKIEGIRIQSPKKGQISMDMDFRWGGDPNIVLGVEAAGVASLPIQLKDLQVFTIIRVIFQLSEEIPCISAVVVALLSEPKPRFDYTLKAVGGSLTAIPGLSDMIEDTVTSIITDMLQWPHRIVVPLGGIPVDTSDLELKPQGKLMLTVVKAHNLKNMEMMGKSDPYAVAFIRPLEKFKTKVIENNLNPVWNQTFHLTVEDRETQFAIVEVFDEDIGADQRLGIAKLQLIDLQPEIEKELELRLQPSLDMMKIKDKKDRGTITIKARYHQFTKEEQDAALAEEKKALEEKEKMRNEGAINSTMDAIDDPTHVDK >cds-PLY69558.1 pep primary_assembly:Lsat_Salinas_v7:8:83977605:83977796:1 gene:gene-LSAT_8X58421 transcript:rna-gnl|WGS:NBSK|LSAT_8X58421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTIPASALIQQPFSDRWTTANSELVPAADSTACNLLLDDPVQIKMSEPDVNQVKKLLSVNSI >cds-PLY68555.1 pep primary_assembly:Lsat_Salinas_v7:2:21074459:21075177:-1 gene:gene-LSAT_2X10400 transcript:rna-gnl|WGS:NBSK|LSAT_2X10400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSDLLSLGTVLGFGVCPTTNDPTIVKITDVCNDFGTEVRSPIVEVFKLSRGSWRIPCSNLPKKSIQYEVYRTYNDRKPQSFEVCAVWIMDHALPSMFTKLFTINDVDINPVPNLFLSQKILGFTKNGKLIMETQDDYYIEGVDLAFYEPNLEHINDIGINGKDGSLFVSSYKETLLLLDKLDCSVLF >cds-PLY92317.1 pep primary_assembly:Lsat_Salinas_v7:9:180384641:180385919:1 gene:gene-LSAT_9X111460 transcript:rna-gnl|WGS:NBSK|LSAT_9X111460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGASFLFLLLSTVAAASSITTLSSDIAALKAIKSSIKPTTIPSYTCLHSWDFTSDPCSPPRVTHFLCGVTCSGNRVTQLTLDPAGYVGTLSPLVSQLTQLITIDLTDNKFSGPIPSSLFFLPNLQTLNLGSNSFSGVIPPSVSNLKKIQTLDISRNSLSGSLPNTLTSLSQLTRLDLSFNKLTGPIPGLPKNIIQLALKGNSLSGYLQKQSFQGLTQLETVELSENSLAGTIPGWFFLIPSLQQVNLAKNSFTGVEIFKPIDSNLVAVDLGFNRITGYPPTNFSAYPMLASLTLSYNKLRGRIPWEYSKKPTLSRLFLDGNYLIGLPPKEFFSGKTSISGSLGDNCLKLCPVSSELCVKSQKPLSICKQAYRGKLKPKS >cds-PLY82859.1 pep primary_assembly:Lsat_Salinas_v7:1:87099886:87104136:1 gene:gene-LSAT_1X72060 transcript:rna-gnl|WGS:NBSK|LSAT_1X72060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G14147) UniProtKB/TrEMBL;Acc:F4JUL8] MINPQCGKFGEIVLEREIKRRESLLTQLDPLSSTPFLFVLSMASSLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVEMKTSLELLLNPVVICRNEAEKCLIETSINSLRISLKVKQADELENILTKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEEMQKHKLIDFIVQFMEDIDKEISELKLSMNTRGSY >cds-PLY72187.1 pep primary_assembly:Lsat_Salinas_v7:7:58900413:58903067:1 gene:gene-LSAT_7X43001 transcript:rna-gnl|WGS:NBSK|LSAT_7X43001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGNRKDDSIAISSTNVFAALGSLKKKKKSDKEHGSSRKGGSSKGQDKDGEKEQVFWAPAPLTVKSWADVDDEDDDDYYATTAPPPSVWGAGAGDQEDKAKGNETPVEESESEDDEIDDDNDEENDHEQEAEAEKEVVYEKPAEVLPPKDTDRQLSKKELKKKELAELDAVLAELGLNDNNTQEDTTSEKVENQNGEQEKKDKNVPAGGESKTSKKKKKKDKKETKEQEQQQQVSNEEVESEKVEELSGADMKEKIKKMASMKKKKSSKEMDAAARAAASEAAARTARLAAAKKKEKNHYNQQPVR >cds-PLY78869.1 pep primary_assembly:Lsat_Salinas_v7:5:305134745:305136778:-1 gene:gene-LSAT_5X164560 transcript:rna-gnl|WGS:NBSK|LSAT_5X164560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDLPPKLVKRLKDPNAPMFYKGIYHLFYQYNPKGSVWGNIVWAHSVSEDLINWTPLEPAIEPSKPFDQFGCWSGSATVLPGDKPVILYTGITAEQPEPGYQVQNYAIPEDSSDPYLRKWIKPDDNPIIKPTQENASAFRDPTTAWMLNGQWEITVGSKRDMVGVSYLYRSPDFINWTLVDHPLHETENVGMFECPDFYPVSITGESGLDTTVIEGEIKHVFKVSLDMTRYDYYTIGKYDIEQDIYIPDEGMIDSWAGLRYDWGNFYASKSFFDPPKNRRVIWGWANESSTINEYVKKGWAGIQCIPRIVWLDPSGKQLLLWPVAELETLRDVNVQLSNVELNQGDKVEVEGITAAQADVDVVFTFPSLDKAEAYDNAWDETYPPETLAENICEVMGTTKQGGLGPFGLLTLTSNGSEEYTPVFFRVFNTPNTNRRVLMCSDAMPSTLNEQEYRPSFGGFVDLDLADNKISLRSLIDHSVVESFAAGGKTVITSRVYPTLAINENAHLHVFNNGTEIVTIERLDAWSMKNPMMN >cds-PLY93021.1 pep primary_assembly:Lsat_Salinas_v7:4:192601198:192605229:1 gene:gene-LSAT_4X110681 transcript:rna-gnl|WGS:NBSK|LSAT_4X110681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEDRDDYILPAAWMNKSPSELAESNLFIISCFIAGLIGILTIVYTAFQWRRNISLSWTKAIAGTKKDPKSIIDLVSPHTWYLEAIARGKSLNCCVCLKSMSPSQPLGPMVSSGSFIHRCSICGALAHLNCSANAHKDCKCVSMVGSDHVLHQWAIRWTEVIDQPEGTSFCTYCEEPCSASFLGGSPIWCCLWCQRLIHVDCHSSMYRETGDICDMGPFKRLILSPLYVKRLSRSSSGGILSSITYGANEIASSVRASITSQSKKNKGNFNRVNLDKDKGIGESSTESTPDGNNDVKDDSVGGSSNSTDMVNKKLTFRKDQRDESQKVQMMQRYELVNLRPDARPLLVFINKKSGAKRGDSIRLRMNILLNPVQIFELSSTEGPEVGLYLFRRVPHFRILVCGGDGTAGWVLDAIEKQNYVSPPPVAILPAGTGNDLARVLNWGGGLGSVERQGGLCMMLQHMEHAAVTVLDRWKISIANHRGRPLRAPKFMNNYLGVGCDAKVALDIHNLREENPEKFYNQFMNKVLYAREGARSMMDRTLADYPWQVRVVVDGVDVEVPEDAEGVLIANIGSYMGGVDLWQNENESNDNFDPQSMHDKMLEVVSISGTWHLGKLQVGLSRARRLAQGKLIKIQLLAPLPVQIDGEPWLQSPCTLTIAHHGQAFMLKRTSEEPLGHAAGIVADVLENAETNLVINASQKRALLHAMALRLS >cds-PLY91362.1 pep primary_assembly:Lsat_Salinas_v7:8:46969182:46969364:-1 gene:gene-LSAT_8X36080 transcript:rna-gnl|WGS:NBSK|LSAT_8X36080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTESTTLWIRSLSNACNRRSPISFLFAGAVEDSQQRWRQSVSRCCSHLPISNGIGGGYI >cds-PLY84908.1 pep primary_assembly:Lsat_Salinas_v7:6:15085111:15088187:1 gene:gene-LSAT_6X11200 transcript:rna-gnl|WGS:NBSK|LSAT_6X11200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDMGKLDFSLRSSRHGMSKFGEEFMDGVLHHLPSVLELGALPKLMTMVKSSVLEEEAIKALYVVSTIIRNNLNGLKLFYFEGGDLMLKGILSNATADVRLRRRSVSLVADMAEYQLEYTSKWDVPFFCNCALVRLLIDLTASGNLDLYEKFNMFIIVLGPILNWEVPFLY >cds-PLY74738.1 pep primary_assembly:Lsat_Salinas_v7:6:121310043:121311080:-1 gene:gene-LSAT_6X73701 transcript:rna-gnl|WGS:NBSK|LSAT_6X73701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGKEMTCYYLALPLSWLPLMISQFRISSDKGRLSDGREIAIKRLSRTSGQGLVEFKNELVLIAKLQHTNLARVLGCCIHGEEKIGCMSPEYEMKGTFSIKSDILSFAVLILEIVSGRRNSSFVHLDRTFNLIGYAWELWQQGDTLESKDPALGNTFVVQQFLRDVHVSLLCVQESAFDRPTTSDMISMLLNDAIPLPTPNRPAFITTAKVESKSTTDEIKAKDFSVHNMTITASEGR >cds-PLY78078.1 pep primary_assembly:Lsat_Salinas_v7:3:255822625:255827157:1 gene:gene-LSAT_3X140321 transcript:rna-gnl|WGS:NBSK|LSAT_3X140321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVLKPLSAQNPQIFYGSSLPSISNSIKVYRSKDPPNFRRPFFKFRLNTSPILLNFPLQSSRNFRVSARFDRPTKRRKNHLREKLIEQQVSRNPSINHDPSSKLENPVRKIDDINDSVSNLVNFNDDVDYPVPVPDNSKVSDSTDASKSNNVLWDQLDSWASQYKADAEFWGIGSNPIFTVLQDNTGKIEKVDVNEDEILRRNQIEPSIYILKEVEHVKQVQDKIFHAKFLAKEMEIGRTVLPPNSSVAKFVNSGEKSGFLGTIQSFTSKPGFLPKFSKVGVSIFCGFLVFLALKGVFGKEKGDKEEYSSLEKEMLRRKIKARAVKGKSVKGSVEVIQDSKKPEMVFTERPRVDKEELMNSIVKVKSLNDNSLDFDDKILEIRAMARHAREIEKTDNDSINNEPKEKEVNGIEPTFLDNPEKGNIVNSDVLKLEVPNNNGSVAQGVDTEKIEKKSVRILSSVKEAREYLEKKKLDKKEKGNIGNPDVPKIANNGEIPIAPKIGEEDERSECVKGSNEKVKELGRTDREKWMEDNFHEFKPIVEKIRGGFRENYMVAREKVKEDVNLISELKMLENDENDENESEFEWMKDEKLKEIVFQVRENELMGRDPFYLMDSEDKDLFFKGLEKKVEKENEKLRVLHEYVHSNIENLDYGADGISLYDPPEKVIPRWKGPPPSTTITQEFLDDYLDQRKALFAETLGGGNSNLVNIDSQNSLQPQGTNEKAEKEGILGNSKTVIEGSDGSIKPGKKSGKEFWQHTKKWSRGFIDSYNAENDPETKAVMKDIGKDLDRWITEKEIQDVADIMDKVPEKGKKVISEKINKLKREMELFGPQAVVSKYSEYGDDEEEIDYYWWLDLPFLLCIELYIDGDEDERIGFYSLEMASDLELDPKPHHIIAFEDAGDCKNLCYIIQAHMEMIGNGNAFVVPQLPKETYREAKANGFNVTVIRKGELKMDVDQTLEEVEEKIEEIGSKMYHDKIMKGRNVNVDSVMKGVFGLKKPPTKRRRSRKKLRRPTI >cds-PLY66866.1 pep primary_assembly:Lsat_Salinas_v7:7:21100088:21102955:1 gene:gene-LSAT_7X17461 transcript:rna-gnl|WGS:NBSK|LSAT_7X17461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSFDDDNSLFNFVVKEGNGVKGLVEYSGLTEVPPRFIQPPYERFDKQQATQSSENMIIDLSELDGPNHDQVVKALAHAAETLGFFQVVNHGVPLELLDSLKTATHQFFDQPAEKKATYLKEVSNNPMVMYATSFVPEKEKVWMWRDFFKMTYTNDADAFEFWPNECKEVVLEYIKTSTEMVKKLLQALIGNPGVKLNDSGLDPFIGSKSVHMIFYPTCPNPELTIGVKKHSDMGTLTMLLQDDVGGLYVKKAGENLSSGNEEWVEVPPTSGALVINVGDVLQILSNGKYKSAEHIVRTTSTASRVSIPIFNAPVPVAKIGPFPELVARDGVARYKEVTYKEYTNNIMQKPHGGKMALQFAEVVLEYIKTSTEMVKKLLQALIGNPGVKLNDSGLDPFIGSKSVHMIFYPTCPNPELTIGVKKHSDMGTLTMLLQDDVGGLYVKKAGENLSSGNEEWVEVPPTSGALVINVGDVLQILSNGKYKSAEHIVRTTSTASRVSIPIFNAPVPVAKIGPFPELVARDGVARYKEVTYKEYTNNIMQKPHGGKMALQFASV >cds-PLY98872.1 pep primary_assembly:Lsat_Salinas_v7:5:20442278:20445173:1 gene:gene-LSAT_5X10781 transcript:rna-gnl|WGS:NBSK|LSAT_5X10781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVSPFHEQHHPFLLDQSLLYPATTGTITDSIGFSDEFQLSHDDHIIPNGAIEMSAHSNQVDICNNLKSSESPMPMVVHGHIHEKTMPTTDSYGMTKNTCNKCRNQNKSNIEQEKNKKLRYSKEGQIGYVYVRARRGEATDSHSLAERVRREKISEKMKALQAIVPGCDKITGKVLMLEEVINYVQSLQNEIQFLSSKLACVNPMYYSASNFDASMLNTHQNMTTNQQHLLSFQEHQMSNVGFENDKEVLWDLEEQIQGFEEPFAIINSSNSFN >cds-PLY71435.1 pep primary_assembly:Lsat_Salinas_v7:8:42451416:42455203:-1 gene:gene-LSAT_8X33460 transcript:rna-gnl|WGS:NBSK|LSAT_8X33460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISQSSSASFDFSKILWMSSSPICPCQNTIQLSSSTRTRTKIKTSICRTKVSRRRKTGLVWACMVPIDGNNQTLKSFAENQISNELNKAGESSSHDSVQSSYSNDFTGFTEDPIVGKLRSQLGLIHPLPFPPLNRNIGGLFLFFFVFGILFDKLWTSRKRKNNDEVGNPATWPQVPTSFSLFLEKDLQRKESVEWVNMVLSKLWKVYRGGLENWVIGLLQPVIDDLKKPDYVKRVEIKQFSLGNEPFVVRNVERRTSRSNNDLQYQIGLRYTGGARMLLMLTLKFGIIPIKVPVGIRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLKKLLTEDLPLLFVRPKKIVLDFQKGKAVGPLQNDFKSGEMQEGNKDFSGELSVTLVDARKLSYVFYGKTDPYVELRLGDQVIHSKKNSRTTVIGPPGQPIWNQDFSMLVTNPRKEKLTIQVKDSFGFIHLTVGSGEVDLGLLKDTVPTDRIVTLQGGWGMLNKGSAGEILLRLTYKAYVEDEEDEKINGSDDEFQELESTGTTYNPSPRGTERESLIDVLAALIVSEEFQGIVASETAMSKSSIDTSDSVIPDLVSSPNSDTDSGVNSLHYSCGFCYSLHSSLSCFPSPNTADRFHVGFLHLAVAAHLHPGSPTLFRHNAVFTNYLYLSQLASCYHIYSLYID >cds-PLY92381.1 pep primary_assembly:Lsat_Salinas_v7:3:124666007:124670813:1 gene:gene-LSAT_3X86600 transcript:rna-gnl|WGS:NBSK|LSAT_3X86600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR1 [Source:Projected from Arabidopsis thaliana (AT5G65890) UniProtKB/Swiss-Prot;Acc:Q9FHP1] MDMGYGVYVDPELESLVDRIHPPRVCIDNDSCQDCTLVKVDSANKHGVLLEMVQELTDLDLIISKSYICSDGRWFMDVFHVTDQSGNKITDKNLIRHIQQSICASRREKTQVKTTSLGKEITPRNFPMEHTTLEMTTVDKPGLLSEISAVLAELRCHVSAAVAWTHNTRAACIIHVEDDSNPGPIMDPHRVNRVQSHLSTVVNAHHNNSERRSVRLTTPVAGQTHTERRLHQLMMADKDYEESTYSPLIKRFDTVVTVENCREKGYSVLNVTSPDRPKLLFDTVCTLTDMQYVVFHATVSSKGSIAFQEYYIREKDGRTLNSEIQREAITRCIMAAVERRASHGLRLDVRSRNRSGLLSDVTRVFRENGLSIAMAEIGTRGEKAIGSFHVTDAHGHEVDPGMVEAVKKEIKRFGGMVIVGNGTSSNWSSRGSSSSNGVNEARSSLGTLLWSQVERFSSKFQTLNS >cds-PLY67300.1 pep primary_assembly:Lsat_Salinas_v7:6:22956592:22961726:-1 gene:gene-LSAT_6X16801 transcript:rna-gnl|WGS:NBSK|LSAT_6X16801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFWWALIVIAFAFVICKFLLMLIPSNVPSIDVDASDVLDDGHPKENSFIYIPSRRQTDKVQCYEPATMKYLGYFPALKPDEVKERVAQVRKSQKVWAKSSFKQRRQFLRVLLKYIIEHQELICEISSRDTGKTMVDASLGEIMTTCEKITWLLSEGERWLKPEYRSSGRSMLHKKSKVEFHPLGVVGAIVSWNYPFHNLFNPVLAAVFSGNGIVVKVSEHASWSGCFYVRILQTALAAVGAPENLVEVITGFAETGEALVSSVDKIIFVGSPGVGRMIMRKAADTLIPVTLELGGKDPFIVCEDVDVAHVAQVAVRAALQSSGQNCAGAERFYVHKDIYASFVAAVVKIVKSVSAGPPQLGKYDMGAICMQDHSERLQSLINDALDKGAEIVGGGNVRDISEGAVDQYFPPTVIVNVNHQMKLMQEEAFGPIMPIMKFSTDEEAVMLANDSKYGLGCAVFSGSQKRARSIASQLNCGVAAINDFASNYMCQSLPFGGVKDSGFGRFAGIEGLRACCLVKSVVEDRWWPLIKTKIPKPIQYPVAENCFEFQQSLVEALYGVNVWDRLRALVNVLKMLTEQNSTFNSKRSD >cds-PLY86946.1 pep primary_assembly:Lsat_Salinas_v7:5:257021258:257021614:-1 gene:gene-LSAT_5X132281 transcript:rna-gnl|WGS:NBSK|LSAT_5X132281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYILNKIKRGFKFQQTHSERETEMAEEQVSTVRRVRRQGSSGYYWDDEILSSEPQQKHSSDDFDFDQGESQSQTDGQDSSTTTITIHRDPPSPRDPTCGLCMWFFQVVKNCHGSRQT >cds-PLY63474.1 pep primary_assembly:Lsat_Salinas_v7:4:359784942:359791541:-1 gene:gene-LSAT_4X176301 transcript:rna-gnl|WGS:NBSK|LSAT_4X176301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSEMFNFPSGGPATELLENQINYHQHQRNQRPSATTGDWYGHSAQAMQLFLMNPSHDSPSSQSSSHHHHHHNPSTSSSTLHMLLPNTVPSSSSTLHHQQSFGSSSGSGHGQFGQSTQFAWVPPGSTTHEGGGGGVDTHGLSLSLSSTLQHLEAAKVEELRISDDPAAAMFYFNQAGGGGGSDPYRNLQLQGGGGGGVMGQTHHPIHVGYGSSSLGVVKALRTSRYVKAAQELLEEFCSVGRGQFKINKSSKHNTTNNSNQNPSNSSAAGGGGASSASSKDLHPLSSAERIEHQRRKSKLLSMLDEVDRRYNHYCEQMQMVVNSFDMVMGFGAAVPYTALAQKAMSRHFRCLKDAISAQLKNSCELLGEKDVGSSGVTKGETPRLKMLEQSLRQQRAFHQMGMMEPEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQESKEEAENPNQSPQNQDEDQVEDDEEDEEQQQEDDEENTKYHNHRKYQNQTSNSHPSPLSASASATTQTTMPPPPPQTGAPTTYTTTTTTTTTTAKRSEINDSENDPSLLAINTQHRFSENQAAAAAMSYSYPPISNPTGGITTMAPPPVSHPFDADTCRRGSMLGADYRTTTGDDAADIGSTLIRFGTTSGDVSLTLGLRHAGNLPEKTSFFS >cds-PLY97875.1 pep primary_assembly:Lsat_Salinas_v7:2:216737555:216740730:1 gene:gene-LSAT_2X135521 transcript:rna-gnl|WGS:NBSK|LSAT_2X135521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRFLRSHTAIHALRSLNKAQQSPFRAFSTSSSSILNQSSITSSNHSSPHRPLSSSPSNVRHFRSSRDPINSYDTPPPVNWGIRIVPEKKAFVIERFGKYAKTLEPGIHLLIPFVDKIAYVHSLKEEAIPVPDQSAITKDNVSILIDGVLYVKIVDPKLASYGVENPLYAVIQLAQTTMRSELGKITLDKTFEERDTLNVKIVIAINEAARDWGLQCLRYEIRDISPPPGVKAAMEMQAEAERKKRAQILESEGERQAHINIADGKKSSMMLEAQGEAEAILAKAQATNKGIALVSQALKESGGVEAASLRVAEQYINAFGNVAKEGTTLLLPMNASNPASIMAQALNIYKSLIGPGPGHTSDSTAAEANSTKHDTHSHSSLLGGSPVFSLQDKRKN >cds-PLY99165.1 pep primary_assembly:Lsat_Salinas_v7:6:129327439:129330849:-1 gene:gene-LSAT_6X78421 transcript:rna-gnl|WGS:NBSK|LSAT_6X78421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFMCEYQHLEIPLEYIKQATNYFGDDNFIAEGRIGKVYRGEFLQSNGSTMAAVKRLNPSNNHGDADFWRDIVLLSEYKHENIILLLGFCNQSKERILVYEYAPKKSLENHLRDPKLTWVQRLKICLGAARGLEYLHNSRGGQQRVLHRDIKSANILLDENWNAKIADFGFSKYSPSNQKHSILVSKPKGTLGYSDPVFLETSFYKKESDVYSFGVVLFEVLCSRLCVDYSYDDMRRSLPAFVKNSSKEKIRDTIIDVNLLQQMEEKSFDTFVTLAYECLEREQKNRPSMELIVKKIETALEYQEQRNVPVLNIVNWASSSTPYVIQEKRVLLPQKEQTGRNQLGKSPDDDDEMIKKWSSGKEGNLRALLSTLHEILEPEDGWEPVPLTDMMSTRAVRKHYENAEYLTSAVRLVQRGASTREKYICKKVLEILNVCSVEVLRFESEEKVVQQKKRSEERQQNRILGEKYDDDIKRWTNGRERFLGDMLSNLQDILGPESGWEPVSLKGEWQTRYLSKAYKRSLLLTDPSTLKNRGASMKEKYIYGKVRNILKDGWDSALAEDK >cds-PLY62693.1 pep primary_assembly:Lsat_Salinas_v7:6:55411769:55415983:1 gene:gene-LSAT_6X39561 transcript:rna-gnl|WGS:NBSK|LSAT_6X39561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYNTLFSHFVAKVAEVLKISLREEVDAEQEGKNKDAIVLMHDNKSLATHGAPFSDESLAAIEGAGEHMDNAPRILYDVHVVVEEVHQEQEPHLNLTVDLNIDQLSLTRASSELQQSKEDCLYDHLL >cds-PLY90915.1 pep primary_assembly:Lsat_Salinas_v7:4:337686090:337687079:1 gene:gene-LSAT_4X166800 transcript:rna-gnl|WGS:NBSK|LSAT_4X166800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKENIHTSIDIIGHVDSSKSTTTYKLGGIKKEAFNLIDKDGYSCITTKELGTIMKLLGQNRTEVELQDMINELEVEGNGTIDFPEFLNLLTRKMNTQRGAIFTEIKNNVNKIAKWTTPAIVTSANMMRMGYTTRVHPCDHFDLVILAIVGNKQKGIDGQIGTKFDDQFTPHEKFLLLPLNLKIEKLKEKLTTNREIQASLVEIEDRNASFNGLPEWIGAFEVSFVLNKLIGVSYKFEDTRSGDELTEKYREFVLHFEIPGTPFKIYGKAKGKTKRSPRSVWMLYTISIHLEDKVVPLGWGIVMHQLLQYMIDKGNMGNSTNKRTLGTV >cds-PLY62161.1 pep primary_assembly:Lsat_Salinas_v7:2:153360992:153363058:-1 gene:gene-LSAT_2X77400 transcript:rna-gnl|WGS:NBSK|LSAT_2X77400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELALQTISPALPNTHFLLSLHLSPLSAFPYNLRRQFQFHAFSSSSVASPSKQLLFSHGGNRFEQEQVETDEEEEDGYGTPAILSLSSKPDRNMAMLDDYEMEELGYLADPNHRSGYIAVVGKPNVGKSTLSNQMIGQKLSIVTDKPQTTRHRILGICSAPEYQMILYDTPGVIEKKMHKLDTMMMKNVRSAAINADCVLVVVDACKTPQNINEMLQEGVGELKENVPVLLVLNKRDLIKPGEMAKKLEWYEKSTDVDEVIPVSAKYGHGIDDVKDWILSKLPLGPAYYPKDITSEHPERFFIGEIVREKIFMQFRNEVPYACQVNVINYQTRPNAKDFIQVEIVVEKNTQKIILIGKDGKALKLLATASRLDIEDFLQKKVFLEVEVKVKENWRQDEGLLRYYGYGGKIQAL >cds-PLY90496.1 pep primary_assembly:Lsat_Salinas_v7:1:206161737:206162267:1 gene:gene-LSAT_1X125721 transcript:rna-gnl|WGS:NBSK|LSAT_1X125721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVNQNQNVLYSVSVANSLGAGNRTPILVPSEYTSWADRIKYYLEGHDSDIWTFTSTGKHNPKFLKDIRVPEADVSPETSKVISGSVSSGAQFRERKIKKFEAKAFQELLFGIPHEISEQLPDEDKCSPFKVWNALKKQFEGTNKILANKKKAALTDLNNFKMLPHETLFDAYYR >cds-PLY82910.1 pep primary_assembly:Lsat_Salinas_v7:6:94285874:94287642:-1 gene:gene-LSAT_6X61721 transcript:rna-gnl|WGS:NBSK|LSAT_6X61721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHQAHEHGDLFSRRCILVNGPVIVGAGPSGLAVGAGLQQQGVPFVIVDRADCIASLWQNKTYDRLTLHLPKKFCQLPFFPFPPNFPEYPSKYQFVDYLESYAKRFQINPRFNESVESAKYDESCGLWRVRTVVDDCEVEYICRWLVVATGENAEKVVPEFEGLDEFAGRVMHACDYKSGEAFEGERVLVVGCGNSGMEVSLDLCHHNAFPSMVVRSSVHVLPRETSGKSTFELASSLMKWLPVKVVDKILLILARCSLGNLEKYGIKRPVMGPIELKNTHGKTPVLDIGALQKIKSGKIQIVPGIKKFSSTGVELVNGENLEIDSVILATGYCSNVPSWLKESDLFTREGMPKMPFPEGWKGKSGLYAVGFTRRGLSGASFDAIRVSQDIAKIWHQETKSQSNHYVTVSCDRRCK >cds-PLY76128.1 pep primary_assembly:Lsat_Salinas_v7:9:31594162:31596312:-1 gene:gene-LSAT_9X27640 transcript:rna-gnl|WGS:NBSK|LSAT_9X27640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKDSSVQEEDMEVDDEQQQQNQGNSSSLSNDNSLYEILGVERTATQQEIKKAYHKLALRLHPDKNPDDEDAKEKFQQLQKVISILGDEEKRALYDQTGCIDDADLAGDMAQNLKDFFRSMYKKVTETDIEEFEASYRGSESEKTDLIDLYNKYKGHMNRLFCSMLCSDPKLDSHRFKDIIDDAISSGELKSTKAYQKWAKQVSNTKPPTNSLRPKEKSKKESNDLYAIISQRQSERKGRLDSMFSSLVSKYGGGQPSEEPSEEEFEAAREKLEKRKGNNRKSKQNK >cds-PLY62798.1 pep primary_assembly:Lsat_Salinas_v7:4:31412368:31412649:1 gene:gene-LSAT_4X20960 transcript:rna-gnl|WGS:NBSK|LSAT_4X20960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLAPDAKGRNKRARSARMAYEDDDNDEYYLLAGEDEVENDADREYYRKKIMELYEEKMKKFAREDALAEQIKCEERKTLCKRPTKKIKMQ >cds-PLY87064.1 pep primary_assembly:Lsat_Salinas_v7:5:266646770:266650339:1 gene:gene-LSAT_5X137121 transcript:rna-gnl|WGS:NBSK|LSAT_5X137121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAGDRGAPEEFWNSHLRPEIYEEPQNVYQEARRNNSSGVSDLSTHQAGISELEQMLKHMTFTRSETLYLIALLHSRTIKESPSDNFLAASKISRIASPTELANANKALIQDSKFINKNATMMASPQQPTTIENLPNELLVNIFIRLLAKQLAQMRSVSKSWNSLLSKSSFVKTQLHHSIFNKDKTLFHFSDDHYYGFKLSVNPNPQLSSFIKLPPNPESPHTSIRVIDSVNGLICSSYSDSIIQIWNPSLSAILTLPPYFMPCSAIDSIRIFFRFGFEPKTDDYKVVKLTAYANGSSVIWWMDVEIYSMRKGSWKLIIERVPSHITWIDENEVVCADGHDGHLHWLGYITEEMNLKTILSFDLGSETFREIPLPDSTVATEYGRSTALGVLGGKLCVMSWAMDDPSEVWVMEEYGWVKRHVFSQFIGDTYPFGFTSANKFLIQDQYYRLVLYDPVTEEAQILKNDFSFPEYLAGKIVEYIDSLVWVAPAKREMVDA >cds-PLY90668.1 pep primary_assembly:Lsat_Salinas_v7:6:50971730:50972082:1 gene:gene-LSAT_6X36680 transcript:rna-gnl|WGS:NBSK|LSAT_6X36680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWNYIPTFFFFIIFIFNLLIASFLIQSSFKLKWCSKSKKDDQHKHDIVSFEKGNINTTEHSSKQISLKWETPP >cds-PLY82565.1 pep primary_assembly:Lsat_Salinas_v7:2:184863761:184865689:1 gene:gene-LSAT_2X108180 transcript:rna-gnl|WGS:NBSK|LSAT_2X108180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRASTLAASTALSRVLLASSEQQRYTRLFQVTSFYGGINAGSPRRSFSTSMRSISRGVYSDTAKFFLSLGGLAAASVAAGASSLLVEEEAYAKELLKPDLVPKDVVLYQFEACPFCNKVKAFLDYYDVPYKVVEVNPFSKKEIKWSEYKKVPILMVDGEPLVDSSAIIDQMGNKIIRAKSSSVDDEEKKWRRWVDDHLVHMLSPNIYRNTSEALESFDYIASNGNFSFSEKYTVKYAGAAAMYFVSKKLKKKYNITDERAALYESAETWVNALNGRDFLGGLKPNLADLAVFGVLRPIRYLKSGKDMVENTRIGDWYSRMEIAVGESSRIKA >cds-PLY95374.1 pep primary_assembly:Lsat_Salinas_v7:9:188356948:188358794:-1 gene:gene-LSAT_9X115780 transcript:rna-gnl|WGS:NBSK|LSAT_9X115780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFGAIRSIIRPVSRTLILSRSITTTSSPLTKPLFSAAYNNELHPLFRSIHKSHLFWGPTSVRAIQSLTDTRFPKRRPVDKPRRKRASLKPKGPYAWVKHVPGEPIPANQPNEGSFKRRNEKKRIKQRHAFILSEKKKRKVQMQEANRKKKIARIERKMAAVARDRAWAERLAELEQIEEDKKKAAMGTTA >cds-PLY65048.1 pep primary_assembly:Lsat_Salinas_v7:1:116256179:116258592:1 gene:gene-LSAT_1X86401 transcript:rna-gnl|WGS:NBSK|LSAT_1X86401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSVDGIHVVVRLAKLSVDKVLPAPCSTSVLYPETGGNLHCFTAITSCAVLDILTPLYEEYSGRKCTYYRDYPYSSFGSGDELIDGKEDEYAWLEEIDAPDVAITTADIVSKSVAVEFEGDAGYQDVIDCSYALSNPILVYMSKVDGRFNFSPISVNFLTEVAKVIFALVMLLIHARNQKIGEKPLLSVSSFVQAARNNVLLEIYYKNSTGGSELSTN >cds-PLY71922.1 pep primary_assembly:Lsat_Salinas_v7:3:23769268:23771793:-1 gene:gene-LSAT_3X17681 transcript:rna-gnl|WGS:NBSK|LSAT_3X17681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSLTEEEETFNSSSAVKFGTSEALEHVQQLTDVGAMTRLLHECIAYQRGLDLQLENILSLRPDLDKHLSFLQKSADVLDIVKSESDHMLSNVDSTCILADQVSGKVRELDLAQSRVNETLLRIDAIVERSNCIDGVQKALENEDFESAANYVQTFLQIDAKYKDSGSDQREQLFASKKQLESIVRRRLSAAVDQRDHQTVLRFIRLYSPLSLEEEGLQVYVGYLKKVISLRSKLEFEQLVELMEQNQSQVNFVACLTNLFKDIVLAIEENEEILRSLCGEDGIVYAICELQEECDSRGSLILKKYMEFRKLSKLTSEINSYKNELLSAGEEGPDPREIELYLEEILSLTQLGEDYTEYMVSKIKGLSQVDPELTPRATKAFRSGNFSKVIQDITSYYVILEGFFMVENVRKAIKIDEHVMDSLTTSMVDDVFYVLQSCCRRSISTSNINSVIAALSSAVSLLGGEYNDALQQKMREPNLGGKLFLGGVGVQKTGIDISTALNNMDVSSEYALKLRHEIEEQCAEVFPGAGERERIKSCLSELGEMSNGFKKTLISGLEHLVGTVTHRIRPVLDTVATVSYDLSETEYAENEVNDPWVQRLLHAVESNTSWFQPLMTVNIYDSFVHLVIDFIVKRLELIMMQKRFSQLGGLQLDRDVRALVSHFSGMTQRTVRDKFARLTQMATILNLEKVSEILEFWGENSGPMTWRLTPAEVRRVLGMRLDFKPEAIAALKL >cds-PLY80138.1 pep primary_assembly:Lsat_Salinas_v7:3:40425117:40425623:1 gene:gene-LSAT_3X30881 transcript:rna-gnl|WGS:NBSK|LSAT_3X30881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLELGEDPNCVTFIGVLSACGHVGLVEEGFYYLYELMKQKGIKPGLEHYMCIIDMLIKAGQLNEALSFILSTPSKWDVFGWTTLLSACRAHQNYSLGIRVAELIPDDVANKVSKSCGVTEVGELMDIKEERGGGWMETENDTFSLVSVDNGDPGFVEIHDFMKHAVVC >cds-PLY69242.1 pep primary_assembly:Lsat_Salinas_v7:2:128662774:128669262:-1 gene:gene-LSAT_2X60401 transcript:rna-gnl|WGS:NBSK|LSAT_2X60401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVELNEISEEASSSSSIEAHRYDIFLSFRGVDTRHSFTNHLYNALIHAKITTFLDDEEIETGEDLKPELESAIKASRASIIVLSKNYATSTWCLEELVLILEQRRTSKLIVIPIFYHVEPTDVRKQQSSFGDAMAKYTRMMEAETNADKRYQWAQKMDRWNKALTEVADLKGVDANGRLEVELIDEIVKDIFRRLHISSRFPLPQLIGMDDSIKFVTSWLKDASSHTTDILTILGMGGIGKTSLAKYVYALHSHEFDTSSFIEDINRICDEKSNGMIDVQKQLYDDISKPSSVQIHDGSIYTSMIENAVARKKMFLVLDDIGTINQLDVLLGSKGFHLGTKIIITTKDAWLTNSCALFKKNVKPEHTTYKLKGLSEIDSQKLLCFHAFMCNDPKVGYEEVSKKLVKYCEGHPLALKVLGRSLYNRDVSYSEGYMERLKNENGPPISNVLRMSFDSLPFKNDKDLFKHIACFFVGMDREATETILEACDIETKTGITNLIDKCLLSIRWNNELMMHQLVQEMGKFVVCEESPYKPWERSRLWGYDSFRVLKQKKGTENVLGLTLDMSMLEKEKLQGSLELKTDALSKMDMLMLLQLNYLQITGSYKNFPEELRWLCMHGFPLKSLPSDLPMENLVALDMSYSNIESFGIFYSYPQRIHTRLKQLIGSSSEDKRLLGSLKILNLSFCEQLHSLRGFDHLPKLERLILKGCIGLLEVCESSEQCVELILIDLSYCNKLEKLPRSLGMLKKVKTLLLNGCHLGESRIKIRDTSPLDMLMAFNIGINTITYPSTSLAAIPSYSKLFAISLPRSLVRLSLANNNLSTESFPMDFSCLSMLKELYLNGNPMVSLPNCVRSLPSLETLNMRDCNMLTSVEHPPQSLTYLDLHSYPNKYLLRKIVFDPKMSPLEFIIDWKILAPSSFEIEGIVKIQSLEGVEEKLLHSLGWTKLDFLNVERRLSADVSVSGIGSEESEIQMYYEFGIFSTIYGGGEMPNWIMDRSKGPSISFSVPSLPNKFKLRGLNFCCVLTYLSDEFFYLPAITINNITKNRIWVYEHYIRRVDVIGKSFTLLSHWMFGMNEMECGDHVFITMNKDTFICHHVTMECGVNLMYDDRETYEEEEEDDVLGYYKSWNHIIGGDLSGFQVTTGEYILRKWRMVGEFDSYMPSCERFGREAVCFKDKRVKFKALSQSKFGVAEDGA >cds-PLY95654.1 pep primary_assembly:Lsat_Salinas_v7:2:116355625:116359318:-1 gene:gene-LSAT_2X54100 transcript:rna-gnl|WGS:NBSK|LSAT_2X54100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVRFSHEIVQLGGASRVFLICFGVHYWYLRHYISYAVVGFALLGAAVSCHLSVTNPLAARRDALQITVIRHSSSSSSEGCGSIVKRSSSAETSHLVVQFAGQHPGGLGVPAVGMAFPSYVTQPNGMGNSEMTWLPILAGAAAAFGCFTLYHNGWCLSCYAIWPDLCIASYKVCYLLL >cds-PLY93641.1 pep primary_assembly:Lsat_Salinas_v7:1:187880190:187884353:1 gene:gene-LSAT_1X120601 transcript:rna-gnl|WGS:NBSK|LSAT_1X120601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKLNPRSRSLWLSCLSASFRTAVACSIVAVATLFGPSSLRSQVAFPAFSYVTVILIVTGASLGDTLRGCWDALCATVLTVIPAIVGLWAIEPSRMTTALTAVVVGMAAFVVMLPDHKTHLVSKRIALGQIVIIYVVAYDKGGETDPVMHPVHVAASTAIGVLACVLALLLPYPGLATCQVKKKCKLYADNASERVELCVKAFCAEDNRRAHSFVSQAKSLSINGTKLLNAIKSKKESMKWETLPIEFLKPYCMNTAQKLEDIEMPIQGLEMGLSHFHSFPPQILDQDLKDNLHKLLQHITITFDQLKSCMPFDSATFPEINLENPTFSLHSFPQTHKDLSSFFFLFCSKLLERKMTLTDNSLPKAPSFSSEGQKNKKDPQFDLFESINTFLMHRRFVLALKCSLSVGFAVLFGLIYSKENGIWSGLPVAISFAASREAVFRVSNLKAQGTVLGTVYGVLGCFVLEKYVKVRFLILLPWFIFCSLIRGSRMYGPAGGVSAVIGAVLILGRENFGSPTEFAIIRIVEAFIGLSCSIVVELMFRPTRASTLAKFQLSKSLQVLHECVNTLNLGGKSQDCFGDCQRKLKSNVNELKKFIEEATMEPNFWFLPFNSACYSKLLKSLSNMEGLFVFLNHANHHLTQENLSMKTLEDEVEIFKEMIHPSLKCFERLVLVKSLNKLEKEVQKSGAFDTCSDVEMGKLPITPSKLYEHGLNGEDEMENVVNSYLKRSQEVVIGEGCEEVKEHELVLSLSAIGFCLRGLVKETREIEKGVIELLQWENPSSLVNLNEICCKIHAVSHI >cds-PLY88648.1 pep primary_assembly:Lsat_Salinas_v7:5:139617318:139619621:1 gene:gene-LSAT_5X61101 transcript:rna-gnl|WGS:NBSK|LSAT_5X61101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSGPQSAVDGGGGRSSGKVYKRRRSATRKTPYDRPTAPPQPESPNWLNGLVFPAKFVAGGASKLFSSIWNPKSWASHSSSSDSDSDSGAIEDESEDNGNLSDGGVELNQNEGSSSGKSEILYLVEQLLMLERYSREECDRVIEIINSRVVDYTMGDVGTKSPDTHNQVIMEARKVITKNMVGSSSKSDMENHIHGSKSVTTTPNKDYVSSGSWNIQDEIQRLRSKATEDLLIRHESPKLDKILEVVNVNVKPTMEPQPEDEIVNLASEGGTLGLGLSDLTTTQDHGPTEAFSSLPTIEEQNQEKEDEDDVKSEVERNHDVNGSQGSSNTNDPSGFIRTTNSPVTRAMARNRRYNQNQKDK >cds-PLY80582.1 pep primary_assembly:Lsat_Salinas_v7:6:13793453:13800090:1 gene:gene-LSAT_6X12040 transcript:rna-gnl|WGS:NBSK|LSAT_6X12040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSFRNQINSIFGSHIDPDKDEELKGSNIEMEDNYKKILELLKEEDSHDRDKLVELIEDFHKHHQSIYNRYDHITGELKAKVHSKKDNDSSSSSSSDSDSDDPINKKGSKNGKLKVAYILKEQLQAANTEIEELTKKLTLATEEKEALSSECQAALNKEQETEKMLGELKLEVDRLHEENSKLSAEFSQKLEATKEELSNVTKTLEATEAEKKSLSIKGSELADEIQELATKCSQLQEKLSDKENELLNHLEMHTSHKSETEIRMRGFEEELGSLHSKKSEIEKQKEEEMSILTKKYEDKEKESLSQIENLTETLSNLEKEVESFSTQKSKLEENVQALLNKINEKEGEIASLCSQKFESESQLEKSGQEIAEYVIQIGNFREQVSKMTMDLAKTVEEKEGYESKVKDLELKLESLNSLKDELELQVEKKGIEISEVLILSQSLKEELEHKAQEQVKTVEEKEGFESKVKDLELELESLKNLKGELETQLEKKGIEISELLISNESLKEELEKKVQEQAKTVEEKEGYESKVKDLELELESLKNLKDELETQLEKKGIEISELLISNESLKEELESKSKDQEKTLEEKEGYESKVKDLEVELESLKNQKNESEMQLEKKSIEISEFLILTQSLKDEVENKSRDHAKTTEEKEGYESQVKDLELKLESLNTLIVDLETVIEKKATQISELLVSSESLKEELESKSKEQAKTMEEKEVCESKVKDLELELESLKKLKNELETQLEKKGVEISELLISSEIQIEELQNKSQDQAKTLEEKEGLESKVKDLELELESLKNLKNESEMHLEKKGIEISEFLILTQSLKEEVESKSRDHTKTIEEKEGYETRVKDLELKLEEFNNLKIELEAQLEKKGTEISEVLILSQSLKEELESKSKEQAEEKEGYESKVKDLELKLESLNTLKNELEIEVEKKGSEISELSVLCQSLKEEIESKSKEQLKTMEEKEGYESQVKDLESKLESLNSLKVELETEVEKKSTQISELSALSESLKEELESKCKEHAKIVEEKEGYETKVKDLELELESLNNLKSESEMHIEKKGSEITELLILSQSLKAELESKSKDHANTMEEYETKVKDLELKLESLNSLKVELETEVEKKGTQISELVVLSESVKQELESKSQDQVKTLEEKDGFELKVKDLELELDSLKILKNESEMQLEKKGIEISELLILTQSLKEEVESKSKEQADQKEMYESMVKDLESQLESLNNQKVELETEIEKKGAQISELLILTQSLKEEVEIKSKEHTEEKECHESKVKDLEQKLNELETEVAKKGAEISELLILSQSLKEEIESKSKDEEKTVEEKKDLEIQIERMNQEYSEAEVKIKEMAEEIQSKEQKLDQMEEAIEDLKGDLEIKGEEVNTLTETVRNLEVKIRLTNQKLRVTEQTLNEKEGDHAGKEEKLHQENKSLVEKISTLSKTITFYKETEEIIKKEISSKVNEISSGIDSLTVKFEEDQGHISTRVYEIMNEIQAAKVLMKEKMVKMVKELKVVEGEKERLMKSADEKISEKDNKIKELEILVNVKDKNLLDLGEEKREAIRQLCILVDYQRDRYDHLLSRKMTVGRRQTAR >cds-PLY98394.1 pep primary_assembly:Lsat_Salinas_v7:5:315505003:315506454:-1 gene:gene-LSAT_5X172860 transcript:rna-gnl|WGS:NBSK|LSAT_5X172860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPNWVRGLCIGRGSFGTVSFAVDKSDGGVFAVKSVKQNSERFSQALENEIRILRSLTSPYVVGYRGDDVTSEKENSPVVYRNLHMEYMPGGTVADLAKHGEDVTVRSYTRCIVSALKYIHERNIVHCDVKGKNVLVGVIPGEAKLADFGSAVEFGGAPVSGTRGSPLWMAPEVVRGECQGPESDVWSLGCTVIEMVTGKPAWEDRGVDTLFQIGYSDELPHLPGHFSDELHDFLNKCLRRDPSERWTCDQLLRHPFLLSCSSSSSSSSEFMDRKWSPRCVFDWSDTNFSDGETSEIENTTTNLNSSNGVRRIATLASNSSANWESDGWEVVRNANAAVTTTEFSEEDENLTTTAAETAETWPEYSFSAANNEGERIREYRDSSNGSFQFHNDHQCTRNYAQGTSGGCSSRDTDNNYSCCMYVSLKKLLLFNSNAVRHIYYKQLFLFNFKLLCDLFSVCCFLINYPTTNILLNKDYRNVSC >cds-PLY80759.1 pep primary_assembly:Lsat_Salinas_v7:8:138858448:138862111:1 gene:gene-LSAT_8X105101 transcript:rna-gnl|WGS:NBSK|LSAT_8X105101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKILTPKFNFSFIFLCISISIYRFNNPSENQTLIPKPSLQPPPPPPPPPPINRKNHIVRFIQYKKAEDHKAYLEHNANEVPYNSCSWEWIDRNNPASKFPTDFGVVSIDDDAVDSVIRKFETLEMVKDVRVDSSYHLRNLLGGMKKKSYERVGAFVDGKKRPGKIFTSMSFTDGEDFVAAATTANQTIEWKRQLLSQKSQVTSLFGADELWSKGYTGAKVKMAIFDTGIRSDHPHFRNIKERTNWTNENSLNDNLGHGTFVAGVIAGENTECLGFAPDTEIYAFRVFTDAQVSYTSWFLDAFNYAIATNMDVLNLSIGGPDNMDLPFVEKVWELTASNIIMVSAIGNDGPYYGTLNNPADQSDVIGVGGIDYSSNIASFSSRGMSTWEIPHGYGRVKPDVVAYGRNIRGSAIGTGCRTLSGTSVASPVVAGVVCLLVSVIPESERKKILNPASMKQTLVEGAVKLSGHNMYEQGSGRVNLLESYEILKNYKPRATIFPNVLDYTDCPYTWPFCQQPLYVGGMPVLFNATILNGMGVIGYFSSPPSWIPATEQGNLLNIRFTYSDVIWPWTGYLALHLQIKEEGSDFSGEISGKVVVHIYSPPATGETTFQNSTCVLHLKLQVIPTPVRSKRVLFDQFHNIKYPPGYVPRDSLSVHNDILDWHGDHLHTNFHSMFNVLREEGYFIETLGSPLTCFDANNYGSLLMVDLEDEYFEEEIRKLKDDVVVSGLGLVVFGDWYNVDTITKMRFFDDNTRSWWTPVTGGANIPALNELLGPFGIAFGDRILNGNIKIEHDRCRYASGSNIVKFPKGGFLHRFPLFDSAGNNGHTDKGDGDAAILGLVEVGEGRIGVYGDSNCLDSSHMVKDCFWLLTKMLDFTSKSIKDPVLFSNSVKLKQSLNEGDERLPSRRTELNFSMYSGVIGKELVCRNDSRFDVWGTKGYGLQVRGRNHRLPGHQAIDLGSGLKNSSSDYSIQKGFKNKRSFSFSGNKFFSFLYADDVRISNLYFYLLYIWYYG >cds-PLY90646.1 pep primary_assembly:Lsat_Salinas_v7:6:53241612:53242958:1 gene:gene-LSAT_6X38741 transcript:rna-gnl|WGS:NBSK|LSAT_6X38741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSAARRTGGLFEGLYKVLMRRNSVYVTFVIAGAFVGERAVDYGVHKLWENNNVGKRYEDIPVLGQRPTE >cds-PLY82478.1 pep primary_assembly:Lsat_Salinas_v7:2:187961906:187964170:-1 gene:gene-LSAT_2X109661 transcript:rna-gnl|WGS:NBSK|LSAT_2X109661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:HCF152 [Source:Projected from Arabidopsis thaliana (AT3G09650) UniProtKB/TrEMBL;Acc:A0A178V9F2] MTATPPPSSTTTSTLSRHQQHQHHSLNAPANLHWVSHPPFATKLFTSTSSSTTTATTNPSRVRAVTDSSASLTTITTPHRDSHDDTLLNLLRQRKTEEAWLAYTQSPHLPNPTCLSRLVCQLSYQNTPSALTRAQSIIQRLRHERQLHRLDSNSLGLLAVAAAKSGDTLYANSILKSMLRSGYLPHVKAWSAVVSRLAASGDDGPKEAVKLFSSVTRTIKRFTEPTMVMNSRPDTAAYNAVLNACANLGWTEKFLQLFEEMPENKCEPDILTYNIMIKLCARIERKDLFVFVLERILEKGIPVCMTTLQSLVASYIGFNDLETAEKIVQAMREGRQDICKLLRDSNSEEFPNENENEVFEKLLPNMKHPTDYEPPLLPKVFLPNTRMYTTLMKGYMKAGRIKDTVRMLEAMRNQEDSSSHPDHVSYTTVVSALVNVGAMEKARQVLSEMSKAGVQANRVTYNILLKGYCQQLQINNARETIKEMIDSGIEPDAVSYNTMIDGCILIDDCAGALVFFNEMRSKGIAPTKISYTTLMKAFSVSGQPKLAHEVFDEMQKDPRVKVDLVAWNMLIEAYARLGMLDPANEIVEKMKKKGVYPDVATYGSLANCIALAKKPGDALLLWNEIKERCGLITTDETRVSKSGPHNVPILKPDEGLLDTLADICVRAAFFKKALEIVAFMEELGIAPNKTKYTRIYVEMHSRMFTSKHASRARQDRRIERKRAAEAFKFWLGLPNSYYGSEWRLEGAEDDEYAI >cds-PLY68547.1 pep primary_assembly:Lsat_Salinas_v7:9:98729546:98729848:1 gene:gene-LSAT_9X73221 transcript:rna-gnl|WGS:NBSK|LSAT_9X73221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEGFAPEEVRRWKEVRCKGGYVRALGEQAANQADCDGKVWSEGLAGKDAQLECKLARFVAKVIPASFSSSLPSSGANTGCCAALITRSRGTLLGGLQAR >cds-PLY88800.1 pep primary_assembly:Lsat_Salinas_v7:4:93119478:93120023:-1 gene:gene-LSAT_4X61141 transcript:rna-gnl|WGS:NBSK|LSAT_4X61141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLEILAISMLLSTLFYTPVFSTSTDGLVRIGLKKVKTERTDDIASLLALNNGDYNLKTFIRKYRQSSKNLGDSEDSDIVALKNYMDAQYFGEIGIGTPPQKFKVVFDTGSSNLWVPSSECSYSVSCYFHTKYQSSLSSTYIKNG >cds-PLY62721.1 pep primary_assembly:Lsat_Salinas_v7:6:55406433:55407528:1 gene:gene-LSAT_6X39581 transcript:rna-gnl|WGS:NBSK|LSAT_6X39581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKGAGKAKHRPPGNNITTELSPDVLLIHILPKLPVKSLRRFSDSLPFKGDNFSVVASSHGMVCYHDIRHSDLILWNPVRGDYKTLSKSGSHEECYDYNGGPFGLYYSSSDDDYKLLRVTLYTDVYIYSLKSDSWRKVELEEDFRSPLISWMPSTALLNEKLYFLQKRVSKENDIHYSIIRFDTKTEQFTEIATPFSKNFERYSLSFLVLRGYIHVCENIAKRGVIMWRMDGDGDWTKVVSLFPWCLEPLHLMRNDNWLMRSNKGNHLYQVDMKKHTKTKLCSLPRTTTIVEYKETIVSPNSYTK >cds-PLY81928.1 pep primary_assembly:Lsat_Salinas_v7:8:119947390:119947686:1 gene:gene-LSAT_8X83401 transcript:rna-gnl|WGS:NBSK|LSAT_8X83401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSNMGEHDGKERRRTPISALSHRYSLSHRHYPISLLLTGKERPTKPILTRNQQQPATHINTMLVVVRRRWLPIAVAGGDVRLNFPYRLKGCCGDPSR >cds-PLY97978.1 pep primary_assembly:Lsat_Salinas_v7:3:19273599:19274201:1 gene:gene-LSAT_3X14960 transcript:rna-gnl|WGS:NBSK|LSAT_3X14960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENNGNVNISGYIDPILLLKCLEKAGKTAEIVHWQYGECSRNLFEKPKLPSPSVNNNNLYLPGYEGYNNNGYYGYNYNNGYGYGYGYPPNYRRSNYVFNHLECSGNASDCSGHHMRNPNTPNKSSSSSSSSKTQFQKPASGHIGNHPTCCSLM >cds-PLY92248.1 pep primary_assembly:Lsat_Salinas_v7:2:210350441:210350713:1 gene:gene-LSAT_2X130700 transcript:rna-gnl|WGS:NBSK|LSAT_2X130700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPKGSECLDACDKESLYRACSCLMEGIHPLNEVSCHTRKRVSILAGKQLKFEEAFQALKGMCAIDEQQEGDATNLCEEVLDLSERKM >cds-PLY80873.1 pep primary_assembly:Lsat_Salinas_v7:8:126515215:126519173:1 gene:gene-LSAT_8X88260 transcript:rna-gnl|WGS:NBSK|LSAT_8X88260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSVTNSSTVGGLKLSSDNTRNRKTDNPSRRKAKVKVSPQSSIMSPPSAATALSLPKIVTGDYGYVLEDVPHLTDYIPDLPTFPNPLQDNPAYAVVKQYFVDMDDTIAQKIVVHANSPRGIHFRRAGPRQKVYFKSGDVHACIVTCGGLCPGLNTVIREIVCSLHDMYGVTRIEGIEGGYRGFYSRNTIPLTPKVANGIHKRGGTIIGTSRGGYDNKKIVNSIEDRGINQVYIIGGDGTQKGASAIYEEVRRRGLKVAVIGIPKTIDNDIPIIDKSFGFDTAVEEAQRAINAAHVESESNENCIGLVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLFEYIEKRIKENGHMVIVVAEGAGQELVAESLRATDNKDASGNKLLDDIGLWLSQKIKAHFKNRTEMEINLKYIDPTYMIRAVPSNASDNVYSTLLAQSAVHGAMAGYTGCTVGPVHGRECYIPFYRITEMQNKVVITDRMWARMLSSTNQPSFLNTKDIIESIMDEAPPTQLLDDQVADNGVVTKETGVC >cds-PLY69535.1 pep primary_assembly:Lsat_Salinas_v7:MU044378.1:148388:148951:-1 gene:gene-LSAT_0X20520 transcript:rna-gnl|WGS:NBSK|LSAT_0X20520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKNAQEELLQWYAENAMQWYAENAKNNPVIIHVDETCAAGIIQAIGHFMLGPNTLPRDLPLPDLFKLDDFDHAYEVVKFYLFLESWFRGDIENTKQYLENLKVVCSSFGSYFSPFGVEQSLHEVIGKLGESYGEKKGTKIRIWVDQVFPS >cds-PLY79985.1 pep primary_assembly:Lsat_Salinas_v7:9:46131682:46132983:-1 gene:gene-LSAT_9X42581 transcript:rna-gnl|WGS:NBSK|LSAT_9X42581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEIEDADDTPKTATATITEEESRPLLNSSESKPRSKSVRTKVPEVEVHLFRCGEGPIDVFKSSLGGWDQDQLEVGDILDKYGFKSVYAYNTQSGRGVPIRFNARNGRSMLPYKDGSVIFIDGEPKDSLIKPITRILVGVAILTAMIILVMKETPEWVKKLKFAGINFNFNFPPWVLACVVIVFTRLRKRTRNFFTKRA >cds-PLY95788.1 pep primary_assembly:Lsat_Salinas_v7:7:95114447:95115190:-1 gene:gene-LSAT_7X64180 transcript:rna-gnl|WGS:NBSK|LSAT_7X64180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKVPAVHIFTAIIPALIIAALHFFDHRVATQMAQQKEFDLKNPSAYHYDILLLGFLYQNTPVSLYSQAIPILVSLLRSSPLGVKIQAATVLGLLCKENELRVKVLLGVCIPLLLTLLKSKEGQIKAANTIYVVSEGDAKYHVGSKIFATTMQLVNSSKITTTNSRKKVKL >cds-PLY89073.1 pep primary_assembly:Lsat_Salinas_v7:9:28365055:28366890:-1 gene:gene-LSAT_9X24181 transcript:rna-gnl|WGS:NBSK|LSAT_9X24181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLTKSQFVLLATLVTIWTTTPVVVGGGRMPNQYGSYNPSPPSQPPPTFQSPPLSYASPPPSQSYQPPPSSQNPPPSQGIQPPPLSKSPPSYATPPPSRSHQPPSTSQSPPPSQGYQPPSSQIPPPSSHGNQPPSSHGNQPPPFQGDRPPPTSQPPPSQGYQPSPLSQSPPPPSSQSPPPSQGCQPSPPSQSPPPTHGYQPPPSQDHQPPPSSQSPPPSQGHQPPPTSHSPPPSQGYRSPPSQGHRPPPSQGHQPPPSSYSPPPSQGYQPPPSQNPPPPSYHSPPPPSQSHQPPPTSQVPPPSQGCQPPPSQCLPPSEGGQPPSPYQSPPTNQGYQPPPYQNPPPSHGHQPPQSSLSPPPSQGYQPPSSQIPPPSSQSPPPSQGYQSPPSQDNQPSPSSQSPPSPQCHQTPPPSQSSPPSQGYQPPSSQSPTPPQYYQPPAYQSPPSSQGHQPPPSSPSPPSQGYQPPSSKSPPPSQGYQPPPTFQSPPPSQGYQSPPLSQTPPPSQGHQPPPTPQSPPPLYASPPPSHGFQQPPSSKCPPSSHHHHRPPSHKKTPPVRSYPPPPSQGQSPPSYGFPSPPPPSPIPSPPASPYYYQPPSSPYHKTIMASKY >cds-PLY69700.1 pep primary_assembly:Lsat_Salinas_v7:5:211310728:211311333:1 gene:gene-LSAT_5X96100 transcript:rna-gnl|WGS:NBSK|LSAT_5X96100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTNYSFKFRNQTSTISTTPCSIFCTKTAHFKLLKSSRNRFGKWVLLISMKFPLLLLPRHVVETQNLGVKNNGFALTSGLDSFLSVSNSLMHMYSKAGKIDCAIVIFEKLTNPNIVSWNTLLSGINNSNGALGFACRMNHIGVMFDAVTYTSALAHCANCEEFLFGIQLHSLVLKTGMQSEGFIANALIILYSKWERIVDA >cds-PLY94988.1 pep primary_assembly:Lsat_Salinas_v7:4:112424712:112425104:1 gene:gene-LSAT_4X72161 transcript:rna-gnl|WGS:NBSK|LSAT_4X72161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRMMEFLGGAVSQSLQQDGVVRLKILVKRQQLEQVLGQVVKKHDNNERCHVIRPRSSASKWIQQWLKDMKRIQILRSHQVKRDFRTYWRPILRSIPESRVLVI >cds-PLY82331.1 pep primary_assembly:Lsat_Salinas_v7:1:67651891:67652326:1 gene:gene-LSAT_1X57600 transcript:rna-gnl|WGS:NBSK|LSAT_1X57600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWKGMNDKYVHIEAMFFCLVYQASRLNLNFWDRGKIVQQGKNHQTYVYINSTVTKTLQIAD >cds-PLY77129.1 pep primary_assembly:Lsat_Salinas_v7:7:183456360:183459705:-1 gene:gene-LSAT_7X109340 transcript:rna-gnl|WGS:NBSK|LSAT_7X109340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLTTPAPGTTATAALSSSSSSAFLNPYPSQLSLRLNKFGSCSPKSFAVSCVLAKQPTGQMELEKAVVQDELSVLQRPDSLGRFGKYGGKYVPETLMFALSELESAFKALATDHEFQKELAGILKDYVGRESPLYFAERLTEHYKRPNGEGPEIYLKREDLNHTGAHKINNAVAQALLAKKLGKERIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQALNVFRMKLLGAEVRAVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVREFHAVIGKETRKQAMEKWGGKPDVLVACVGGGSNAMGLFHEFVEDKEVRLIGVEAAGFGLDSGKHAATLTKGEVGVLHGAMSYLLQDDDGQIIEPHSISAGLDYPGVGPEHSFLKDLGRAEYYSITDEEALEAFKRLSRLEGIIPALETSHALAYLEKLCPTLPNGTKLVLNCSGRGDKDVHTAIKHLQV >cds-PLY80154.1 pep primary_assembly:Lsat_Salinas_v7:3:40843013:40846680:-1 gene:gene-LSAT_3X31340 transcript:rna-gnl|WGS:NBSK|LSAT_3X31340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALPLGKLTLIIGAGLVGSVLAKEGRLPSVYDVFSGASKVLKMIGNDDKPTSTPKRHSDSLMAQVNSLRQELQLLASNRPVTIVTTNGSSGANKYGVIIIVVVVGYGYVWWKGWKLPDMMFATKRSLSDATSAVAKQLDTVYSSLAATKRHLSTRIDRVDSSLDEVAELTAATKEEVSLIRGDTKVIALDVQSVHNAVYTLESKLSRIELKQDDTNLGVAKLLRTALTMEKQASVERIQTLSLPPVQIEELQPSPPVSPKAKRPLETAVSASGLKVFQEKAVESPTQGLNINITEDGSTSSRVFGRTFSGISSVFSRARPPSK >cds-PLY77229.1 pep primary_assembly:Lsat_Salinas_v7:4:178454706:178455763:-1 gene:gene-LSAT_4X106380 transcript:rna-gnl|WGS:NBSK|LSAT_4X106380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTLDVSATLFNALAKANINVRAITQGCSEYNITIVVKNEDCVRALRAVHSRFYVSKASIAVGIIGPGLIGATLLNQLREHGVDLSTWKEVHKEKGEKADLEKFVQHVHGNHFIPITQITTMTGCEKEFMSLPLDKYLKMRALQRQSFTHYFYEATVGAGLPIMLTLRDLIQTGDKIIRIEGISGKGCLLG >cds-PLY80194.1 pep primary_assembly:Lsat_Salinas_v7:8:189981623:189982081:-1 gene:gene-LSAT_8X123281 transcript:rna-gnl|WGS:NBSK|LSAT_8X123281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSVGSRSNIRSRRQALRATCFCEDPVGKWTSWRPTNPGRRFIGCPNFRDEEKDCKYFAWVDPPLPKNWYRNLLMEFHNNDIQVHNEFAEEFVQEAVDFHNNGIQEVPVQGEGEKWKIGFFCVCW >cds-PLY67341.1 pep primary_assembly:Lsat_Salinas_v7:4:18769100:18772808:-1 gene:gene-LSAT_4X13220 transcript:rna-gnl|WGS:NBSK|LSAT_4X13220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAVTFPAALPVVFVVFTLCLMFSASATAHDYSDALRKCILFFEGQRSGKLPPDQRVRWRSHSALHDGASAGVDLTGGYYDAGDNIKFGFPMAFTTTMLAWSVIDFGRIMGPELGNAVRAVKWGTDYLLKATATDGVVYVQVGDAISDHNCWERPEDMDTLRTVSKIDRNHPGSDVAGETAAALAAASIVFRSRDPTYSRILLNRAVKVFRFADTYRGAYSNDLHSAVCPFYCDNNGYQDELLWAAAWLHKASRRRQYREYIIKNEVILRAGDTINEFGWDNKHAGINVLVSKEVLMGKSPGFKSFQNNADAFICSLLPGTTHLEVQYSPGGLIFKAGGSNMQHVTSLSFLLLAYSNYLSHANHVVPCGDKSASPALLKSLARRQVNYILGDNPIKMSYMVGYGSRYPQRIHHRGSSVPSVRVHPARIGCKAGSRYFFSPDPNPNVLVGAVVGGPNTTDAFPDSRPFFQESEPTTYINAPLVGLLAYFAAHP >cds-PLY62112.1 pep primary_assembly:Lsat_Salinas_v7:5:39235413:39236304:-1 gene:gene-LSAT_5X18801 transcript:rna-gnl|WGS:NBSK|LSAT_5X18801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRTRATRNKKDDELHDLVSKLQALLPSSSSSCNNTRVSASKVLEETCNYIKSLRRKGDKLGERLSELLDSMENNGVDVDILREFLQQ >cds-PLY89325.1 pep primary_assembly:Lsat_Salinas_v7:7:177597374:177598949:-1 gene:gene-LSAT_7X105180 transcript:rna-gnl|WGS:NBSK|LSAT_7X105180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLETLTVPRASGFPAASLTPIASSPVCQLSLRRSFVPESRGLKLNIRSFRSSGSLRLRSKSGRRGGGIVCEAQETAVQVLPVTDNTWQSLVLESKLPVLVEFWAPWCGPCRMIHPVIDELAKEYTGKLSCYKVNTDESPSVATKYGIRSIPTVMIFKEGEKKDAVIGAVPKTTLSACIEKFL >cds-PLY93271.1 pep primary_assembly:Lsat_Salinas_v7:4:303172211:303172462:-1 gene:gene-LSAT_4X153240 transcript:rna-gnl|WGS:NBSK|LSAT_4X153240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKVKSRGEVPEVVDHEKKCKGVGVLKSFQKFKALTTDEPSTKTPIPLGTIETDRDSKDTEDDTVKKDTDTFHTSACKDTNVK >cds-PLY98069.1 pep primary_assembly:Lsat_Salinas_v7:4:79345856:79346764:-1 gene:gene-LSAT_4X52700 transcript:rna-gnl|WGS:NBSK|LSAT_4X52700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEIWNIGRGHVLHQGFAYHFKSNTFIHAIIIDCWTSLLNKMEELRDVGSVSIVFFDTIFLAEEILDASLSADRTQRLFDSMLKVHLKSLPKKEKLKAVEKSKYYLICFDLRVPTYYIIDHVNIIGVVENIYGRKLVHLKKLLGNYLKKEHYQKSTAFNKIKARVMKITWKVEKEGSDCGVYLMRHMKSYMGENEGRWDCGFTEKKTE >cds-PLY90034.1 pep primary_assembly:Lsat_Salinas_v7:5:184822858:184827508:-1 gene:gene-LSAT_5X82221 transcript:rna-gnl|WGS:NBSK|LSAT_5X82221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKLDDGEAVKLCKDRKNFIKKAVEHRTKFASGHTAYLRSLKRVSAALKDYVEGDEPREFSLDSFTTPPPYAATKKTTPRFITISPNSVTIEPKVHYLRSGGNPSIFVEERLPDSPESVIIESYTPSPAYHYAADSFFGMQSQTESQSSSSSIFHYSRPNFPPPSPQRSSQWDFFWNPFSTLDYYAYPARNNDHQNVFDDDINGLQQVREEEGIPELEEETEQEQEENDHNHEDEVTVEDVDEDDDDEDEEDDDFETDSGEETESEHEVESQLPPLMPKTQNLEVSRAQSSGQIGNNGTGDVSNQEKGKNEGTQGFRVYVNRRPTSMSEVIKDLEAQFTIISDSATELSIMLEANRAQYSSTSHELTAMKILNPVALLRSASSSRSNSSRFLINSSGSSKEEDYASSSDVSDDSYIFQNSHQSTLDKLYVWEKKLYQEVKAGERIRIAYDKKCAQLRNHDAKGDDHSSVDKTRTAIRNLHTQIKVSIHSVEAVSKRIETLRDQELQPQLLELIQGLSRMWKIMAECHQTQKRTLDEAKILLAGTPSKPKKYTPVHPTEPHRLARSASNLESELQNWKSCFESWVMAQRSYIHAITGWLLRCTGSNSNNTSMFPFSPRRSAGVPPVFGVCIQWSKLLDSVSEVPVLDGLDFFAAGVGSLYVQQLKEGGXGRRYGGGSGMEVVEVEEEETAEKMAEVAIRVLCAGMSVAVSSLTEFALGSAQGYVDLIKQWESATCLEK >cds-PLY62748.1 pep primary_assembly:Lsat_Salinas_v7:9:106309901:106318721:-1 gene:gene-LSAT_9X76580 transcript:rna-gnl|WGS:NBSK|LSAT_9X76580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDAFARSVPEVLDFFGVNPTKGLTDIQVTSHARIYGSNVLPQEESTPFWKLVLKQFDDLLVKILIAAAVVSFLLALVNGETGLTAFLEPSVILMILAANAAVGVITETNAEKALEELRAYQADVATVMRNGCFSILPATELVPGDIVEVSVGCKIPADLRMIEVLSDHLRVDQAILTGESSSVEKELESMVTSNAVYQDKTNILFSGTVVVAGRARAVVVGVGSNTAMGSIRDSMLKTEDEATPLKRKLDEFGTFLAKVIAGICILVWIVNIGHFRDPIHGGFFQGAVHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVLHSVNHGVAASEYSVSGTTYAPEGSIYDHSGMQLDFPAQFPCLLHIAMCSALCNESVIQYNPDKRNYEKIGESTEVALRILAEKVGLPGFDSMPSALNMLTKHERASYCNHYWENQFKKISLLEFSRDRKMMSVLCSRKQIEIMFSKGAPESVLSKCTSILCNNDGSTVPLTPNIRAELESRFSSFAGKDTLRCLALALKRMPTGHQNITLHDEKDLTFIGLVGMLDPPREEVRNAILSCMTAGIRVMVVTGDNKTTAESLCRKIGAFDHLDDFVGRSFTASEFEELPASQKTSALQHMILFTRVEPSHKKMLVEALQHQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLVPVQLLWVNLVTDGLPATAIGFNKQDSDVMKAKPRKVNEAVVTGWLFFRYLVIGAYVGLATVAGFIWWFIYSDTGPKIPYTELMNFDSCLTRQTTYPCSIFSDRHPSTVAMTVLVVVEMFNALNNLSENQSLMVIPPWSNLWLVGSIGVTMLLHCLILYVQPLSLLFSVVPLTWDEWTTVLYLSFPVIIIDEILKFFSRNSIGMKLNLRLRSADLLPKREVRDK >cds-PLY69931.1 pep primary_assembly:Lsat_Salinas_v7:4:69055659:69056522:1 gene:gene-LSAT_4X47321 transcript:rna-gnl|WGS:NBSK|LSAT_4X47321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTILYAMVARGSVALVVARQILEKIPGNNDLNVSYSQDRYIFHVKRIDGLTVLCMADNVAGKRGDRLELLVDKTAIMQKMFTLKSESI >cds-PLY87255.1 pep primary_assembly:Lsat_Salinas_v7:1:51825483:51828366:1 gene:gene-LSAT_0X18301 transcript:rna-gnl|WGS:NBSK|LSAT_0X18301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGAPPPPSAAIIEHKAYARIGLLGNPSDVYYGRTISLNISNFWASVRLEPSSDLVIVPHPTHDLVKFTSLSHLTNRLENEGYYGGVRLLMATCKIFTKYCKEQGIDLHNNNFSISYETNIPRQTGLSGSSAIVCAAFSCLLDFYNVRDKIGVEIRPQLILNAEKELGIVAGLQDRVAQVYGGLVYMDFDKEKMDKYGHGNYTELDTSLLPPLYLIYAENPSDSGKVHSTVRKRWLDGDKFIISSMEEVANLALEGKTALLEKDYSKLATLMNQNFDLRRRMFGDAALGGLNIEMVEVGRRVGAATKFTGSGGAVVAFCPDGASQIELLEEACKKSGFFIQSVKVVPSLLNEIDIRTLSSKK >cds-PLY82055.1 pep primary_assembly:Lsat_Salinas_v7:9:155484631:155485646:-1 gene:gene-LSAT_9X97661 transcript:rna-gnl|WGS:NBSK|LSAT_9X97661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQISLWFLVALFITFICSSQAATLEVGEDDGWTLNPAESYAAWAGRLRFMVNDTLHFKYDDATDSVLVVDKDDYDSCNVDDPIEKLDGGDTNYRLEHGGPNYFITGNKSNCEQGQKVDVVVLHIRTQSPPSPPPAPAPLPPAPASPVTPPPTATPVLTPPASAPTGGSSATPVASNPADLNPPPPTPAPSATPSSATAVVSGTITASLVTMIIALCLIN >cds-PLY90239.1 pep primary_assembly:Lsat_Salinas_v7:8:14212113:14213110:1 gene:gene-LSAT_8X13901 transcript:rna-gnl|WGS:NBSK|LSAT_8X13901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIILTLTVTCQPHTKSGIPAPTVRPLSLNANLCPVLQESYSVYSGFLFALGCPLFQGFTTNYLYICLQLMVPLILDFMFQKSMLKKEEGEIKAREGSGDNRNLFVLLMLYFWLHLPMVFLFIPGVILQRGVEYKL >cds-PLY81195.1 pep primary_assembly:Lsat_Salinas_v7:8:287486002:287488272:-1 gene:gene-LSAT_8X160621 transcript:rna-gnl|WGS:NBSK|LSAT_8X160621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSQADLDRQIEQLMECKPLTESEVKTLCEQARTILVEEWNVQPVKCPVTVCGDIHGQFYDLVELFRIGGNPPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRLTILRGNHESRQITQVYGFYDECLRKYGNANVWKHFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIATQFNHTNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEISDNMEQNFLQFDPAPKQIEPDTSRKTPDYFL >cds-PLY67629.1 pep primary_assembly:Lsat_Salinas_v7:5:27056246:27058392:-1 gene:gene-LSAT_5X12760 transcript:rna-gnl|WGS:NBSK|LSAT_5X12760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPSVETLILFLTPFFLLMIFIHIKWISSHSNATKNLPPSVRRFPIIGNLHQLGSAPHRSLRTLAQKHGPLVLIHLGSVPVVLASSAEAAQEIMKTHDLIFSNRPDMGILNRLTYNAKNVGFAGYGEYWRQAKSLHVLHLLSAKRVDLFQHVREDEIALMINMIENNSDCVIDLSKIIVSLTSDIICRIALGRKYDKKFTDLTTRLMEMLGVFSVGSYIPYLSVVDRLIGLEARADKLAKEFDVFLEGVIEDHSDRNIRMVSGGGRQDVVDILLEIQREQTTDFLVHRDTIKALILEMFVAGTDTTFTTLEWAISELIRHPRAMKRLLQELREIAQQKPRITEEDLENTQHPYLEAVLKESMRLHTPLPLLVPRESTQDVKLMDYDIAVGTRVMINAWAISRDPNIWEEPEEFMPERFLNSSIDYKGLDFELLPFGGGRRKCPGIHFAMAINKLALANLVYKFDFKVPNEGKVEELDMSESSGLTVHRKYPLLVIPNTRF >cds-PLY79155.1 pep primary_assembly:Lsat_Salinas_v7:4:218533167:218535018:-1 gene:gene-LSAT_4X122901 transcript:rna-gnl|WGS:NBSK|LSAT_4X122901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIASLHSSLSFSISPWKLRSHSNRRNTSQQPSVSQITTAESDSLIQHTTRRRCECYDMYKEVVPFAEAWSWQQSIVEKRKTLIDINEDDSDSLIILQHQPVYTLGTASTENNLNFDIKDSPLPLYQTERGGEVTYHGPGQLVMYPILNLRFQKMDLHWYLRALEEVVIRVLFSAFSLKASRIDGLTGVWVGDKKVAAIGIRVSRWLTYHGLALNVCTDLSPFEQIIPCGIRDRGVGSIKGLMRGSNKHFLKSFYDDDDDDDDGKLIDIAHDSLVKEFCEVFQLDLSYRSVSMVR >cds-PLY87335.1 pep primary_assembly:Lsat_Salinas_v7:3:112412383:112412738:1 gene:gene-LSAT_3X80381 transcript:rna-gnl|WGS:NBSK|LSAT_3X80381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAGRKRGHAPLSTKQGSRIEISILCVPLVAGYMRPPQLELPDIGEGNISCNPAGPHLVCVSPPPLSSSFCIPIPIPA >cds-PLY80702.1 pep primary_assembly:Lsat_Salinas_v7:5:219053130:219055415:1 gene:gene-LSAT_5X103520 transcript:rna-gnl|WGS:NBSK|LSAT_5X103520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTIDEQDANNNDLVSKVKVKRAELEQMKERFAKLLLGEDMSGGGKGVSSALALTNAITNLAASVFGEQHKLAPMPEDRKRRWRREVGWLLSVTDYIVEFVASQQAGKDGSNMEIMMTQQRGDLRMNIAALKKLDAMLIGCLDNFRDQNQFWYVSKDADESEKGVQRSDKWWLPTVKVPAEGLSEESRKWMQYQKDCVNQVLKASMAINAQILGEMEIPENYIDTLPKNGRETLGDSIYKSITVDFFDPSQFLMTMDLSSELNVLDLKNKIEASIVIWKRKMNQKDSKSSWSSGISMEKREQFEERAETILILLKQRFPGLPQSSLEISKIESNKDVGHAVLESYSRVLESLASTVMNRIEDVLYADNVAQDPSLLAKKKSTSARVRVATSEDSNETPDHSPGQTPTESMTLSDFMGWSIDQEQEESNTKNGDSSPKQVEENENEKYQSYSARILARTKNSYMEKLGGIGLRSPTTRH >cds-PLY95097.1 pep primary_assembly:Lsat_Salinas_v7:1:92892022:92893641:1 gene:gene-LSAT_1X76640 transcript:rna-gnl|WGS:NBSK|LSAT_1X76640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANKRFLTAACIYLILSFFQSSCDAASYNVVRFGARSNGRTDSSQAFQNAWKAACAASGSSNMVYIPRGTFMTKPVVFSGPCRSRILFQNDGTIVAPPNYQDMGNSGFWILFTKLSRLTVQGGTIDARGTQFWACRRTGSRCPAGVRSITFMWSNNVLVRGVTSLYSQTIHVAVSRCTNIVFDRMRIVAPSRSPNTDGIIVQSSTGVTIKNSLIKTGDDCIAIGPGSKNVWIQKIACGPGHGISIGSLGNSLNEAGVQNVTVTDSIFTKTQNGVRIKSWARDSKSYATNVEFRNIIMRAVDNPIFIDQTYCPSNRCPRETSGVQVSNVRYSNIKGTSTTVEAVKFECSASNPCRGIRMRNIRITTPNRPTISTCEHVAGSSSGVIIPKSCL >cds-PLY84016.1 pep primary_assembly:Lsat_Salinas_v7:8:37912942:37913394:-1 gene:gene-LSAT_8X30760 transcript:rna-gnl|WGS:NBSK|LSAT_8X30760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTSSCFFTSPNFSTNQHPLTPSTSARSAKSRISATYATATPRSSRIATQQSSLYEVLGIQIGADTVEIKAAYRRLARVLHPDVRDHDSSADEFMKVHSAYATLSDPGKRADYDRSLFGRQKVAVRSSSSSAEFTGYSGRRWETDQCW >cds-PLY61735.1 pep primary_assembly:Lsat_Salinas_v7:5:218273594:218278471:-1 gene:gene-LSAT_5X100940 transcript:rna-gnl|WGS:NBSK|LSAT_5X100940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYDLLAGITIASLAIPQGISYAKLANIPPIIGLYSSFVPPLIYSVFGSSKHLAVGTVAASSLIIAATIEAKVNPIENPQLYLNLVFTATLISGVTQLILGVFRLGILVDFLSHSTITGFMGGTATLICLQQLKGIFGLKHFTTHTDMVSVIRVILKNRKEIRWESTVVGVVFLVFLQFTRFVKQKKPKLFWVSAIAPMVVVVTGCVFAYVAHVEKHGIAIVGDLKKGINPSSLKNLDFDPKYLSAPIQAGLVTAMIALAEGIAIGRSFAIMENQQIDGNKEMIAFGLMNIIGSFTSCYLTTGPFSKTAVNYNAGCKTQMANVVMSICMMLTLFFLAPLFSYTPLVALSAIIMSAMLGLIEYDKAYHLYKTDKFDFIICMAAFFGVAFISMDVGLMLSVGLALLRALLYVARPASSKLGNIPDTSVYRDMEQYPGAIDIPKTLILQLGSPIYFANSGYLRERILRWVRDEQVKKASEGDDVEHVILDFGGVTSIDITGVETLVEIRRSLEVRDIKVVIVNPRLEVMEKLIVTNFIDKIGKERVFLSIEDAIEGCNFSLTPQKECGSEES >cds-PLY89792.1 pep primary_assembly:Lsat_Salinas_v7:1:7364735:7368211:-1 gene:gene-LSAT_1X6280 transcript:rna-gnl|WGS:NBSK|LSAT_1X6280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEELGPFRVNSDGKTLYPNHYAWNNGDGLDMTDMDQILHELSYLRESKDNEAEILLCINITQSQLKEFDALLHQSKKVTKEDNDENKEESNYEDGVKETQEDNDEDGAEDDEEGVDDTQVRVRSRKPSERIIENMLKKIMVDKKGIGMALEKPLTLD >cds-PLY81290.1 pep primary_assembly:Lsat_Salinas_v7:5:73297879:73299987:-1 gene:gene-LSAT_5X34621 transcript:rna-gnl|WGS:NBSK|LSAT_5X34621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNAMVLLCPPTTTRHHIPLFSLHKTTTSLRRIPYFSSYSTSTRSSSRSISASSRAMEALIFDCDGVILESEDLHRQAYNLAFAHFNVRCPPFSEPLIWDIEFYDVLQNQVGGGKPKMRWYFKENGWPSSTLFDTPPETDIDRANLIDTLQDWKTEAYKDIIKSGSVEPRPGVLRLMDEARASGKKVAVCSAATKSSVILCLENLIGMERFEGLDCFLAGDDVKEKKPDPSIYITASKKLGVSEKACLVVEDSVIGLQAATKAGMSCVITYTSSTANQDFTDAIAKFPDLSDVRLKDLEELLENVVAA >cds-PLY83587.1 pep primary_assembly:Lsat_Salinas_v7:5:18526139:18530663:-1 gene:gene-LSAT_5X9280 transcript:rna-gnl|WGS:NBSK|LSAT_5X9280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNSDHFLENNSKRLRSDLHLSNSLLSVVNKPKPTISSSASLHINTFGIPLVSKTTGLSVDLIHLQPTLLYTIGRDRRLCDFSLDHRQVSKQHCQIYFDAYNKKVFVVDGVSFLSSEEFSKVRVSLNGVFVDGARVGNGEIKEVSAGSVVSFICANEGVCNSIRIGFSVERIIFMEEVIHGNSSNSRNDLFPEIFIGKSVDSKLANAGLLSSKCREILSSDDPLLYIRECFPNPFKLRAKHVSRNRVKRNSCITPANFLSHPSCVAHGVYSCEGLNTTDNKTTCLSKVEHSNLDTSCRNSFIASEMNVELQHCIVNGGHLQQPVNARFPLGECISNNKPSDIYTCEENIIQSEQKKKGVCAPPPGNKFYLNRLHFMGDDQLSEVNVTLPELLHPVETLERVFIATFTSDLSWFLSYCEIPAQLPISIACHNSGRCWSSSPDKRTLKPYSDFPNLVVIYPQFPEVISFSTDRKKFGIACHHPKLFVLQRDDSMRVIITSANLVAKQWLRVTNTVWFQDFPRGNVLDYKSLFCQSSSEEVNQDSKSDFAAQLAGFMATLLIGAPDQSHWILELGKFDFSNAAGHLVASVPGIYSPKHPYISESLHYLTGDCCMPRTLRCMLLGSVEASVVGISHLYRTSADSNGTLLKKLASALQKRHVSAYGMVEVVLRRNVNIAADSNAVSVAVSESDKGGCIQIGFLPRDVAKWVAPLSDAGWFAFSAYVYPKQVLACALEGSNNKVHLILYVYQGPKFLNISNIESPALASAICSLVASIQRCSGLWRLHEILGHYKWPEHCETDFTFGASSVGSINAQFLAAFSAATGKRSLRLSETEETDPDWGCWSASQELRNPSIRIIFPTIERVKMSSCGLLASKYILCFTQKSWDRLRHLGILDDAVPDHVDRVGHPMHVKVGRRRFHTKGCSFGWVYSGSHNFSAAAWGRPLQSSHDDTGLRNKSVLGSRLHICNYEFGIVFIVTPPSESESDNNNFEKNLDDITLPFAVPAPRYRSMDTPATKLAMSKAYAELCKQERQKIIETLDTEEEIEDEEEEEIPNVVIEEKDDDKAYADKLWCS >cds-PLY67842.1 pep primary_assembly:Lsat_Salinas_v7:9:144802750:144803415:-1 gene:gene-LSAT_9X92260 transcript:rna-gnl|WGS:NBSK|LSAT_9X92260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTAVTTITDAVPRHDSLSVANNVVKEAPLVTTYLRHAFVSTLIFRFYPIVPSNHLLSLLISKPHSTFVRRNAINSKSIP >cds-PLY62279.1 pep primary_assembly:Lsat_Salinas_v7:5:166358807:166361956:-1 gene:gene-LSAT_5X75800 transcript:rna-gnl|WGS:NBSK|LSAT_5X75800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNMTSESEERISCKNSPSFDEGSTESERNMVEGTGFGSLKKGPWTSAEDAILMEYVSQNGEGNWNAVQKLSGLSRCGKSCRLRWTNHLRPDLKKGAFTPEEERLIIELHAKMGNKWARMAVELPGRTDNEIKNFWNTRTKRKQRAGLPIYPHDISFQQLNDNSQNQNMLMFPNGDSGIFPPTTNLHIPSIDYKDFILNHEFYQNQIPTESSLLDIAFPMPTPYPPKRFRPGGGGGGGLGDVFSGLNGYEDEKIGQTLTPSSSSYLYNTCTGSHAFINGNNCSSFEPNLWAMKNELPSLQYFHGAPPSSSSCSSFEIEWSESDSHSRRNSGLLEAVLHESETLKISKTKNDLNNVDDQNLVSDCYGSPLTYSAASVFSEYTPISGTSMDEHLSVEATSERKKERIIVCFWWCKVKQEAGESDDIWNDVSCLRPDSLLGLNWFSSDPITSLVSHHDDTSTTIHTGSQYL >cds-PLY70590.1 pep primary_assembly:Lsat_Salinas_v7:1:88133969:88134319:1 gene:gene-LSAT_1X75801 transcript:rna-gnl|WGS:NBSK|LSAT_1X75801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNEFANHKTLGDDGGGTTNNPKKRSGYRRKPKRVVLPRIKTKKKDKENRASISRSSGNSCLCITRIAKLDSCTESPSSDPNCSDFSFDSLRGLIEKSDFFLNECNTHLDVNVSDN >cds-PLY89269.1 pep primary_assembly:Lsat_Salinas_v7:3:250578022:250579466:1 gene:gene-LSAT_3X138061 transcript:rna-gnl|WGS:NBSK|LSAT_3X138061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 14 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G43640) UniProtKB/Swiss-Prot;Acc:O04421] MVRLQLDPFLNELTTMFEHTTEKGSVWVTLKHSSDKSKVQKNKMITAGKELEYKCLVRATDGKKNISTLVGAKEHQRFQAYYATILKARMTSLKKREKKDKKKAADADKKQQVSKKPKTTTTNP >cds-PLY90510.1 pep primary_assembly:Lsat_Salinas_v7:2:42088274:42089303:1 gene:gene-LSAT_2X19780 transcript:rna-gnl|WGS:NBSK|LSAT_2X19780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTSYFFWASDWNTRNAAATRVSNPTPASYCNPSLLADDNNQGEEEHIEGRSENRNSIEIRKPRGTGGLENAMGGGSVVDFNCREEGRRSPSKTAIYPWVFMIRSPTTTRKRNQNNKQQRLGFGCSARIGEQEGSTSGTWPVRRKETEEAAKLLSCCLGSEIQRGKTGGNSSYGGVGGRVFDFFV >cds-PLY72626.1 pep primary_assembly:Lsat_Salinas_v7:6:48656991:48657867:-1 gene:gene-LSAT_6X36161 transcript:rna-gnl|WGS:NBSK|LSAT_6X36161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEKNERSDGGGKWSGRFVGKEDQKKRRNERTDMKSVVWWSQRFCWESTTDRHRSNPYWFRLAVEIKNIQNAKMNETDPNVQCSTRTGYLSSPVDDDLTSLPALTHRFSKIFSGDPIRGL >cds-PLY63316.1 pep primary_assembly:Lsat_Salinas_v7:9:133553824:133561004:1 gene:gene-LSAT_9X86120 transcript:rna-gnl|WGS:NBSK|LSAT_9X86120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEVETRFHEVLKAYEVLKDEEKYCAITWIICFFFTCAFIVEYWNHDFALCLFYGVRWAKIARLLPGRTDNEIKNFWNSKLKKRHISDNEHHELSSSTQHHKSSTSVSIHPISILKSSNQPYHHQTSTTFNRNANVNSSKCVLENLHNSTIGSDCFQPKVIMKVHSSVDHNLNQGSPLDKLYTILYPSCSMSTVNLKKEGRHNGHNLDVSSLPRLASSSNTFNMCKIHRFGQLRSILSHNHNQVISPMLKTTSISTYGGPISYQSEDHKQFPFLMPNTYAIQNNGWLNPPLSHNHGYSTTQMLGTSLMNKSGETKLKYLNDPYPLMPSMFNQSSISNVSNDHDLFPSLMYKTSSIPQSERIIPSPLDDPNKIPSPRLNTSSMPLSRWMSSCLSYNHDQILSPMFINTCGGVNSCPLSNDQKCTPCQPGYHITTNSFSMHSNDQAYCSHDPSTIDINDFFNFDIFDDNSMKAQASGLHNDHYYASSEVHAKSSGIDMATSA >cds-PLY68040.1 pep primary_assembly:Lsat_Salinas_v7:5:293231879:293232595:-1 gene:gene-LSAT_7X61821 transcript:rna-gnl|WGS:NBSK|LSAT_7X61821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METSISKKESKDEKTMRKRKRKKIDGFVFLPDDILFDILKRLPDASLRYKAKYVCKRWLDLIINMKLLDHASFILQKTGNLTARHVDIREEGEGLQVKVQDLDIPHIGIIKSWGNELMLISDYKKQSLYIYNLITKEGSYLPECNASCGGYCIIKCGVAISFDMFKGIYKVVHLFMGPPIECHILIFLRWVVPWLSLLEIVRTNLRYGFLKIFRRRRGRSCSQLLLRNGITEDFLSVA >cds-PLY88322.1 pep primary_assembly:Lsat_Salinas_v7:3:86283056:86283797:1 gene:gene-LSAT_3X66820 transcript:rna-gnl|WGS:NBSK|LSAT_3X66820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPCSWDELIFSHEMLSAEVQIKEENIIFQDPTDDHYELSSEIDLSKTSHDRGQILEVITKPKEEPDVQKTRFIGVRKRPWGKFAAEIRDSTRNGIRVWLGTFDSAEEAALIYDQAAFSMRGSSTQLNFPMERVKESLKGKSYTSFKDGSSPAAVIKETHRVRRISKCKRNNKNQDSQKIPVVFEDLGSDLLDQLLGTSEISSSSSTNS >cds-PLY64811.1 pep primary_assembly:Lsat_Salinas_v7:2:101300066:101301602:-1 gene:gene-LSAT_2X44261 transcript:rna-gnl|WGS:NBSK|LSAT_2X44261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEDVDNLFKVFALGKPVAFSAMSLAPEVEDNIPSRFVWETPYLTHLIFNTYHTEHELLRYIKMMLETWLVFADLHPFAPTQQAQGYQEMFKNLGDMLCTLTGFVSFSLQPNVGAAGEYVGMSELIICVAMCGMKIITVGTDSKGNINIEEIRKDAEANKEYVYALMVSYPSTHGVYEEGIDEICKIILDNGGQVYMDGANMNAQSRVEWCLTSPGWNGADVCHLNLHNTFCIPHGGGRPGMGPIGVKKHLAPYLPSHPMVRLSNN >cds-PLY90541.1 pep primary_assembly:Lsat_Salinas_v7:6:50219513:50220531:1 gene:gene-LSAT_6X36380 transcript:rna-gnl|WGS:NBSK|LSAT_6X36380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFSNFILISSLVSLFTDLPIIKDVLEIANVLNSKWKRQVELMYIITYDILFGQDSSLTGDPEKYLILRKSQLQSALAKILLKKGAKRIEDLMSQYKIPADVKKPRYVRVNTLKLDVETAVSELSKDNMVEKDDMIPDLLVLPPATDLHNHPLVTNGSVFMQGKASSMVAVALGPKPGWETL >cds-PLY87538.1 pep primary_assembly:Lsat_Salinas_v7:8:96406830:96407405:-1 gene:gene-LSAT_8X67601 transcript:rna-gnl|WGS:NBSK|LSAT_8X67601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY98992.1 pep primary_assembly:Lsat_Salinas_v7:7:51829066:51830609:-1 gene:gene-LSAT_7X37661 transcript:rna-gnl|WGS:NBSK|LSAT_7X37661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWQGVRQLVVDGRENKHEEERAEAHEHLDRAIRSGDWDLVLETKRKHKVTSIEKITNNGNTALHVAVGTTQKPQFILNLLNLIPENIRLSAVRNSDGNTPLHVAAIIGYTEAAKILVERNRDLLLAKNKEGHTSLAIALSSMHTETSQFLLAQMNTDIEKDTLFYGTSGDELLVSVISSKNFHLANDLMRHVKRVDTDAVLMAIAQNFPSELNKVEAYADYIQELVAVCYLQYY >cds-PLY78222.1 pep primary_assembly:Lsat_Salinas_v7:8:79494790:79495098:-1 gene:gene-LSAT_8X57141 transcript:rna-gnl|WGS:NBSK|LSAT_8X57141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQWVQISEACMATTYRLQNTVSLLWMKTMHHRSGTTTDNEILAVAEAATRIGNITGRPIIPIAPTFFTYSDPVSLTANTFLFHEKSKNEQNSEIRRGVTLTS >cds-PLY64168.1 pep primary_assembly:Lsat_Salinas_v7:9:186413124:186424431:-1 gene:gene-LSAT_9X114121 transcript:rna-gnl|WGS:NBSK|LSAT_9X114121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIDPISVSDPAPSWFTPKWLLVFFCLINMINYIDRGAISTNGVNGSPRSCTESNVCSDGSGIQGEFDLSNFKDGILSSAFLVGLLIASPIFASLAQSINPFRLIGVGLSVWTLAVVGCGFSVDFWSITICRMLVGVGEASFIGLAAPFIDENAPVAQRTAWLGIFCMCLPIGIAVGDVYGGLVGDGLGWRYAFFGEAILMLPFAILGFVMKPLQMKGVAYKVTSIKHVLYSLHWHSFCSYVSFTSNLLSRFGQDMKALLSEKVYVVNVLGYISYNFVIGAYQYWSPKVIYGIYETENADLLFGGVIIVGGIVGTIGGSVLLDRMNSTIPNAFKGPVNFVCLHAVKPSLRPLAMAISSVSIRIFGDVPSPPLFGILQDKVDNWRTSVWILNSILFLAAGIWFIGIFLPSVDRYEEDSEHLGTRVEQPDVTPFLESKMADGDTTSAVYKGKGKTKRLL >cds-PLY86853.1 pep primary_assembly:Lsat_Salinas_v7:8:49374443:49376230:1 gene:gene-LSAT_8X37381 transcript:rna-gnl|WGS:NBSK|LSAT_8X37381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNQMGLGIHIIFVCVFLFAATYTCLGVGNVSVICSKQERLALLNFKLSVKYDSGILSSWVGNECCLWEGIQCDAVTGNVQRLHLKANYYYYISANMVNSSLAELRHLKYINLSGNRFHGSRIPEFIGSLKQLRYLNLSDAGFEGIIPPHIGNLSNLKVLDLSSNHYKLKADDMAWAFGLSSLELLNLSEVDLSGAQNWDMMLHMIPSLRELSLSHCRLSNVNLGPFLNSSRILPNIKHLDLGYNSFKGPLPVLQNMTSLTFLSLSGFNHSLTWNFPNLLSIIPSLSELHLSGCGLHGTHLSSPHLNFSTLSNIQRLDLSDNPLGGIFPSFLTNMSSLEVLDLSYTMLNSSLPIMPKLLELHLSGNKLKQIEDVGIWRECHLKQLVVVDNEFGMEMLDSPKNASECSQYSLELLELRGSLKGRIPETLGRLTNLRHLDLSKNKLTGTIPEFVRGLRFLQVLYLHLNQFIGPIPKFLGNLNLTQLDLSYNQLNGSIPESIGKLASLTDLYLRSNLLNGTIPVSIGQLTKLVVLDISNNSLDKAVTEAHFANTLMLKDLDASSNTKLTFNASHGWIPPFHLRYLDFLLRYNHAFIVV >cds-PLY78494.1 pep primary_assembly:Lsat_Salinas_v7:4:124076899:124079118:1 gene:gene-LSAT_4X78961 transcript:rna-gnl|WGS:NBSK|LSAT_4X78961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPFTTTFAAIFLLLTTTSANPTQRPFKKIYAFGDSYTDTGNTASASGPNAFTYVSNLPYGRTFFHHPTNRYSDGRLVIDFVAESLSLPYLPPYRHRKVDTSFGVNYAVAGSTAIPHEFFVKNNLTLNIQPQSLQSELAWFNKDLEGQKCKSAKSTPRECKEVFDDALIWVGEIGANDYAYTVGSSVQSKTIQELSIRSVNGFLEALLKKGAKYMVVEGLPTTGCLTLSMAYAPESDRDDMGCVGSLNKQSYNHNTILQTKIQDLRKKYPEAVIIYADYWNAYRNVIKNASKLGFTELYKVCCGSNGNGAPYDFDLSVTCGSQSSSSCQEPSKYINWDGVHLTEAMYKAVFDLYVNEGLTHPPFGSLLTSKNYLG >cds-PLY75289.1 pep primary_assembly:Lsat_Salinas_v7:3:158267377:158269371:-1 gene:gene-LSAT_3X99060 transcript:rna-gnl|WGS:NBSK|LSAT_3X99060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIIGGCCKILIKLESETNSTIDFTDKVFGCGERFITIYNTNDETNDFDGIETDQRVCPSQDALFKVHDIVVDDEVGPDEVDDMTPQVTTRLLVSSDQIGCVIGKGGEILQTIRTKTGAQILIMNDNHLPTCALSNDELIQICGRYACVLNAFRHVASILREKVFDREWENLAFGQAFKYILAPEVSKYESGDSKGNGHSYSSRYGTYGGS >cds-PLY66683.1 pep primary_assembly:Lsat_Salinas_v7:1:53044091:53046446:1 gene:gene-LSAT_1X46180 transcript:rna-gnl|WGS:NBSK|LSAT_1X46180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWKSPADAMPWVGLYVSLASLICILAMAADAIQGFRQWKLWFPCRFFTMNAASITLIAIAMKLPVDLTTNMSDSKFVSILFLITMLANFLPSLGLMGDKELLMNIAAFGILIITITVNIWIQFSTKGSFTTPIQMLILIFHLPLLFSVALTVPTSRRILQKRYHELHRLVSTHQEINFSYKELEHRVKKYWMMAETGNPQFAIASSSVSSAFGVTCSAIACVSFFVLMSMFVGVSNIRYGKSDYQWSVDVIVILQSIGTIVGSIAPIFRCLSATSNFNLSKKWSKNHLNVFRVEKHWIQRLHLWKRGHVRSHIPGRHCKKIFHNIKNMILNFCIALQITVVVICNTICLIPRSFLILFSCFWYFFKSMLKTIKEEPNASSSNMMSDMEDYTGYVLQTEVEVKLSNRILRNALNSITRILHESEEKEPMNLIKLLKKSKGFYGGIEFDNDQVPPLHQEETQNCWSLVAVTLIAIALALPTNANNHVKGLLASMREGLQLVRHIEKSLNVNPDLVKSRKAARRVWTDIEVYGRWLQIDVQKKARKGKTSKEILLWLGDEAVKIVIQFKRSKNVSLDHSLRNVIAANSMYRISQTMLFHCNDQENWPTDEELFEWISTIMADLLCACFTNLPRVITTKCHDDAIEKREESIRIAAKLLGRSKKILKVLKARQLPNLDIESMGYIDKWQALPRTQIQIHNALTRIQTASSSPNESVVVTVI >cds-PLY65442.1 pep primary_assembly:Lsat_Salinas_v7:9:185056077:185056382:-1 gene:gene-LSAT_9X112660 transcript:rna-gnl|WGS:NBSK|LSAT_9X112660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKTNSSKVTGADDLHVVFLPFFASSHAIPLVHVARLFAAKAFAAPSSPQFTMLLYLNPPSTVISPPGSPSPFRPSTSQHWRSDFPSESKASTLSPIKK >cds-PLY88166.1 pep primary_assembly:Lsat_Salinas_v7:5:221417242:221431988:-1 gene:gene-LSAT_5X101660 transcript:rna-gnl|WGS:NBSK|LSAT_5X101660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIAQPCFSYDVFLSFRGEDTRHGFTDHLYTALKQAGIRTFWDNDSMERGKMLKPEPRKAIHESAISLIVFSESYASSKWCLDEVMIIIEEHETSSCKHQFVPVFYKVEPSDVRNQTGCFKDAFDVYDNEVNVESNLRKKKKLLEKVRAWRDSLNKAATFTGLVYKDGYESKFIINILNVVTKEVDNKALHIEEKLVGIKDHLAEIQSWLEDRSPDAVVLLIDGMGGIRKSTIAKCIFNLNSRNYDASCFLADINKETSNKNSSLNRFQSQLLSTILRSEKEETIWNVDEGTNKVTHAISNKKVLLILDDVATMEQLDALLGPKRFYPGSNVIITTRHTWLLTAFKDHGKIHSLGTLSMGDATELLSLHAFGQHYQPVEPSIVHLELVVQRCKGLPLALKVLGSSLREKTNDEWEDAIHKLAASTPHREIQEVLQISYESLADDKDKGLFLHIAFFLEGEERDYIVKLLTECNLHPVVGIKNLMDRCLVYVEDGRVMMHQLIKEMGREVVRQESEKEPGKRSRLWDHQDCINVLQDHTGTETVEGLTLDIQKILEAQSRDNDDAYFQISALEKMKSLILLQLKNVGFSGKYKKFPRKLRLLSWHGFSLKALPGDISLEKLVILDMSYSKLTRVWDDFMKLEYKALYIEEKVVGIKDDVDAIESWLQDPSPDAVVLLIAGMGGIGKTTIAKCIFNTNSSFYEDNCFLADINKMVSNQDKEMCRLQSQLLSTILKNDKEETIWNVHEGTDKVTKVISNKKVLVILDYVATRKQLDALLGPKRFYPGSKVIITTRHKDLLTAFSVHPQVHPVGTLSADDAIELFCLYSFHQHQPVEPYISQSQVFVHHCKRLPLALKVLGSSLCGKTIDEWEDTMRQVAANPDPVEIQEVLEISYTNLKCDMDKSIFLHIACFLEGEEKDYIIKLLAQCDLYTVVGIRNLMYRCLVYVEDGRVMMHQLIKEMGREVVRKESPKEPGKRSRLWHHQDCIDVLQDQSGTGKVEGLRIDLQKTEEADSTSAITINNPRKHSLEGKRMHGNDANFEIGALEKMKNLMLLQLNYVMFSGTCRRTLPRKLRLLRWHGCPLEAIPSESCLKKLVVLDMSHSKLKRVWDDLELTKTPDFRRLPGLESLILEGCSSLIKVDESITYLKELALLDLSDCRSLREFPCLPASIVSLRTSGCVVLGQVQSLDLVLSLSALVEMNISYCNLSDTFFPNDWSSLVLLESSRSAESNNSLEKVQLPDSQTPMNYRNCTKLCEIESRWKVRSIDKVDRKIICFLGLEVNAKSGEGMELGLQVLHEFGIFSTYVSGKGILSDFMYKERGPQISFQVSSHNDRSRIRGFNMCVVLFPSRKYENFILWINVHNITKDCLWDYWRGLQKIPKNVENYAWLSLWRCGNLLEAEDQILINIYDLEAHTRNWKIGGEHLHPDVEECVINLIYEDDEEQHTTRKRLM >cds-PLY68416.1 pep primary_assembly:Lsat_Salinas_v7:8:23223720:23224699:1 gene:gene-LSAT_8X18181 transcript:rna-gnl|WGS:NBSK|LSAT_8X18181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKILVLLISLNVACSTAELLIGLLSGRAGLVSDAFHLTFDCGLLTFSLFAMAASWTKANGSTLIGGL >cds-PLY88428.1 pep primary_assembly:Lsat_Salinas_v7:8:88132413:88132862:-1 gene:gene-LSAT_8X62840 transcript:rna-gnl|WGS:NBSK|LSAT_8X62840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSTPLKSTFSVTAANHFYLMSRRVALTLSWPRSTTLRSSSLQPISGSPSPSQLYYRLPRSPPFRSILFRKFTDSQCHVLLDSMQRVEVEAGDTVVKQQLGNRDQYVEPFLHSIPDRFLKMLPTIS >cds-PLY76757.1 pep primary_assembly:Lsat_Salinas_v7:7:61404738:61407741:1 gene:gene-LSAT_7X44340 transcript:rna-gnl|WGS:NBSK|LSAT_7X44340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 3 [Source:Projected from Arabidopsis thaliana (AT1G60430) UniProtKB/Swiss-Prot;Acc:Q1ECJ7] MVVYHSRIVDLKGVSKACGCPLLPLKNQTTNQTIGHGHAHTHAPRLSDQGEDIVDEAIKLFRANVFFKHFDLKTPADRILVYLTFYINVALKRLEGCRTLTEGTKAIKNLSLEDVYVPGEPAFPFSGIFPLPGSKKEAEVIRDYFKVVREETNKRLLNVAYRANGTPNKWWLAFSKRNSDIRKIAFVIQLTSVSIPI >cds-PLY75078.1 pep primary_assembly:Lsat_Salinas_v7:2:93932958:93934570:1 gene:gene-LSAT_2X41820 transcript:rna-gnl|WGS:NBSK|LSAT_2X41820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHCACYNHPDHLPTMVHHALEHEELFSRRCILVNGPVIVGAGPSGLAVGAGLKQQGVPFVILDRANCIASLWQNKTYDRLKLHLPKQFCQLPYYPFPPNFPEYPSKYQFINYLESYAKKFEINPRFNESVQLAKYDETCGLWRVKTVTGDCEMEYICRWLVVATGENAEKVVPEFQGLDEFDGTVMHACDYRSGEVFEGKRVLVVGCGNSGMEVSLDLCHHNAFPSMVVRSSVHILPREIAGKSTFELATSLMKWLPLKMVDKILLILASYILGNLKKYGINRPSEGPLELKNIKGKTPVLDIGALRKIKSGKIKIVPGIKKFSQGRVELVNGANLEIDSVILATGYRSNVPSWLKENDLFSKEGMPMTPFPEGWKGKAGVYAVGFTGRGLLGASLDAIRVAQDIGKTWNQETKHTNHYVTVSCEPRCNK >cds-PLY91209.1 pep primary_assembly:Lsat_Salinas_v7:3:75338483:75339971:-1 gene:gene-LSAT_3X57100 transcript:rna-gnl|WGS:NBSK|LSAT_3X57100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRATKNMTSSCNEEEFDQLKRGPWTLEEDNLLIRYITCHGEGRWNSLAKSAGLKRTGKSCRLRWLNYLKPDTKRGNLTPQEQLLILELHSKWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKQARHLKIDCSSKRFVEALHQFWIPRLLEKVEQTSSSSSASTASTSTMKTEQNKLSSHSQSEQTLFAVSSPTTNQGSTNSRSSIRESDSSGNMLPQLPEMSQLYEDTAFHDSSLQHDDCYNVDIGSFDMMGFEQTDDMSALNFQMTDTDWITSGGMTTDTYWNMDELWQFRK >cds-PLY94550.1 pep primary_assembly:Lsat_Salinas_v7:2:158429095:158431111:1 gene:gene-LSAT_2X82680 transcript:rna-gnl|WGS:NBSK|LSAT_2X82680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVPKQKWTSEEEAALKAGIAKYGPGKWSTILKDPEFSSVLHLRSNVDLKDKWRNMNCMGSSYGSRQRGSRSSKSQPIHKSEDISTSSKEEHDMQICIVDPLPTLTLPLQIDDSKKPIPIPMPRLDSLILDTIANLKESCGSSRAAIAEYIEHFENLFQENQPTPPNFGRQLKEELNALIDCGKLIKVKHRYRIAPSSYVKKSSKNEENCRVGETSGNKIITKAEIDAELEKMKMMTPQEAAAVAVKAVAEAEAAILEAERAEREAEVAEADAELAKGFAAAAMRALKKTAFWTW >cds-PLY92667.1 pep primary_assembly:Lsat_Salinas_v7:2:161534194:161534502:-1 gene:gene-LSAT_2X85681 transcript:rna-gnl|WGS:NBSK|LSAT_2X85681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVPPPPSPDSINSGEFLNLNDLHSILCDYGDKIAVNQIEIQTLKYQVGKDFIMCRVDHISLHHKLEDHERKLKAIVVVMGGVMVTMLGMMMVGVKVLMKLG >cds-PLY78683.1 pep primary_assembly:Lsat_Salinas_v7:9:49903578:49904944:1 gene:gene-LSAT_9X45381 transcript:rna-gnl|WGS:NBSK|LSAT_9X45381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKSTKKADSKLTVKKTAAKGKAAKDPNKPKRPASAFFVFMEDFRKQYKEEHPDNKSVAAVGKAGGTKWKSMSESDKAPFVAKADKRKKEYEKNIDVYNKKKAAGGNDEDDDSDKSKSEVNNEEDEEGSDEDEDDD >cds-PLY75770.1 pep primary_assembly:Lsat_Salinas_v7:3:69486358:69489057:1 gene:gene-LSAT_3X53860 transcript:rna-gnl|WGS:NBSK|LSAT_3X53860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFARTDTNRSLETLLDLDKSSNKTKKMMGKLPTRKMEAGHGLEFSNLSYSVMKKQKKDGVWITKEAYLLNDLSGQAMRGEIMAIMGPSGAGKSTFLDALAGRIAQGSLEGSVHIDGKPVTASYMKMISSYVMQDDQLFAMLTVFETLMFAAEVRLPPSLSRAEKKNRVVELLDQLGLTSTAHTYIGNEGRRGVSGGERRRVSIGVDIIHKPSLLFLDEPTSGLDSTSAFSVVEKVKDIARSGSIVLMTIHQPSFRIQMLLDRITVLARGKLIYLGSPNGLSAHLEGFERPVPENENNIEYLLDVIKEYDESTIGLDPLVFYQRDGLKPDQVAQTPVPKTPRTPRTPYGKTPQNQKHIPLRSMNIPSGNTTPRGNSTTFDYHENESDDEFDNSRERKAAHTPMSMVGGAYHPRLASQFYKDFSVWLYHGVKGTPHRAPSWTPARGTPTPGHSHSQMTSVSSSLNRRLPTKATPIFSPSSDSYVSYENVLEPEVLDEPDLGSKFANPWLREVMVLSWRTALNVIRTPELFLSREIVLTVMGLILASLFENLSHYDFKTINRLLNFYIFAVCLVFFSSNDAVPTFIQERFIFIRETSHNAYRASSYVISSLIVYLPFFAIQGFTFAAITQFILRLNSNLFHFWVILYSSLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKASHIPPYWIWLHYISAIKYPFEALLVNEFKGNRCYTGNFSELSPGPLGEVKISQAHKNDPTKQGIDCLLIGEDVLKSMDIKLDNVWYDIGILLAWGVLYRLLFYVVLRFYSKNERK >cds-PLY73073.1 pep primary_assembly:Lsat_Salinas_v7:2:29739140:29745551:1 gene:gene-LSAT_2X12241 transcript:rna-gnl|WGS:NBSK|LSAT_2X12241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANWELRNCCNHDQLVFLITLAFCVVVILALWRTVVLLPFKLVTVFLHEASHAVACKLTCGHVEGMQIHADEGGMTQTRGGVYWFILPAGYLGSSFWGMVLILASTNLLAARIAAGCLAAALIIVLFVAKNHMYTQAYNVRRPPKWLMSPEYSINGGQRAVAATTDIPDVEPLNRTKEKKNYGVASLQSVYDGSRPTHNDPIPTLIRRLIGCPRRLRQQRCILMAE >cds-PLY99517.1 pep primary_assembly:Lsat_Salinas_v7:1:114777342:114778343:-1 gene:gene-LSAT_1X88841 transcript:rna-gnl|WGS:NBSK|LSAT_1X88841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDNRVAIRIYTYQLMTLNSLTQEQAITAILNLSLSEDNKRFIVSCGAVPGIVLVLKVGSMEACENAAASISSVCVTDENREIIGAEGAIQPLVLLLTKGTQKGKKVAIIALFNLCLDQANKGRAVRAGVVPILMELLTEPQGVLKKEALSILATLSSHEEGKLAIGKAEVVPALVEVIGSGSRKNKENAAAVLVELCYDHQKYLVFLEMANELNVAYSHYLVEAQEHGVMEKLMDLLQHGTDMGKRKARRLLEIIEDHRRSHDEYVEITLDVRDDSVSIFSVKTGDRADVEDPQLNLLPKGLEKRSHVGQNMSTRMRQISKELKRLANRTQF >cds-PLY98347.1 pep primary_assembly:Lsat_Salinas_v7:5:315630730:315632301:-1 gene:gene-LSAT_5X172740 transcript:rna-gnl|WGS:NBSK|LSAT_5X172740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCTVAISNSPVFSPSSRVSSSIFCKSSPESLTLTHSPSASSSSPKSSFKFRLQKPLPPASGLIRASNEDGPTSSSTSPTFLKRKRPTRLAIPVAPLSFSADQSTPCVATAEDRWKEVEVEGDGYSVYCKRGKREAMEDRFKALVDFSGENTQAFFGVFDGHGGSKAAEFAAENLGNNIQNEVEKSGEVEIVEAIKQGYLNTDAEFLKQEQRGGACCVTAIITSGNLVVSNAGDCRAVVSRGGAAEALTSDHRPSRPDEKLRIESLGGYVDCRRGVCRVLGSLAVSRGIGDRSLKQWITAEPETKVFKIIPEFEFLIMASDGLWDKVSNQEAVDIARPFCASMDKMEAVVACKKLVDLSVSRGSVDDTSVMIVHLGRFCR >cds-PLY98831.1 pep primary_assembly:Lsat_Salinas_v7:7:25864287:25868586:-1 gene:gene-LSAT_7X19881 transcript:rna-gnl|WGS:NBSK|LSAT_7X19881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFDPNPFDDGDEVNPFAEQGSGGKGAGKSTNYTGGSFYTSSVPSAANSRLSPLPHEPADFYDRSAPVDIPLDSAADLKKKERDLQAREADLRKREEIVKRKEEAAARAGIVLEEKNWPPFFPIIHHDIANEIPIHLQKLQYVAFTTYLGLVLCLLWNIIATTTAWIKGEDPKIWFLALIYFISGVPLAYVLWYRPLYRAFRSESALKFTWFFLFYLVHIGFVIFAAVAPPVVFKGKSLAGILPAVDLVGKHALVGIFYFVGFGLFCLESLLSIWVIQQVYMYFRGSGKAAEMRREVARGAVRAAV >cds-PLY81098.1 pep primary_assembly:Lsat_Salinas_v7:9:68997968:68999618:-1 gene:gene-LSAT_9X55200 transcript:rna-gnl|WGS:NBSK|LSAT_9X55200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGLKGPSGFSRFSTAEEVTQGIDGSGLTAIVTGASSGLGTETARVLALRGVHVVMAVRNTSAGREVKEAILKQIPSAKLDTMELDLSSLASVNNFASDFKSSGLPLNLLINNAGILGTPYMLSKDNIELQFATNHVGHFHLTNLLLETMKKTALESRKEGRIVNVSSRRHQLSYSEGIRFDNINTQSGYNALSAYGQSKLANVLHSNELSRRLKEDEDEAPITANSVHPGAIPTNIFRHHTFFRGLTNVFGKLVLKTVQQGAATTCYVALNPQVEGMSGKYFGDCNLEEASKEANDRELGKKLWDFTTHLINENSTN >cds-PLY90661.1 pep primary_assembly:Lsat_Salinas_v7:6:54094110:54146478:1 gene:gene-LSAT_6X40981 transcript:rna-gnl|WGS:NBSK|LSAT_6X40981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESIIEYLENKTILVTGATGFLAKILVEKILRIQPNINKLFLLIRASDSNLAMHRLHTEVLDNDLFMNIKEVHKTNFQRFISEKVMPVAGDIRLQNFGVTNISLLNEMRGQVNVVVSSAATTKFDERYDVALAINTLGVEHLSCFVNECPNMKLLLHVSTAFVSGEKSGIIFEMPFKMGETLNDNNNLDIREEKRLTQERQRQLIIEKANEEAMSSAMTDLVAWMAKCLCIHQGNGGDAIDQAIKTRCVISHTTSYHHR >cds-PLY81762.1 pep primary_assembly:Lsat_Salinas_v7:3:29923106:29926550:-1 gene:gene-LSAT_3X23500 transcript:rna-gnl|WGS:NBSK|LSAT_3X23500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVHLGLFPAEGKLFSTRFSSVHGEKPSAEYAKLRKESLESEFGNALTSRSKRLSMYYSFGPFLALYRAAYISYEVFKLTLAHFFVHDIKKRSAKLGQALSTRPDILPSVYCNELAKLQDQIPPFSTKVAIRSIESQLGVPISEIFSDISPEPMAAASLGQVYKAHLHTGELVAVKVQRPGMSLSLTLDALLFNMIGGQLKRFAKARKDIIVAVNETVRHMFEEIDYILEGQNAERFDSLYGFRSTKEVETSMKEERWVKVPKIYWNFTRKAVLTMEWIDGIKLNNEAALKTFDLNRKELIDKGLYCSLMQLLEVGFFHADPHPGNLVATKDGALAYFDFGMMGDIPRHYRVGLIQVLVHFVNRDSLGLANDFLSLGFIPEGTDMLSVADALKLSFGDGTRQSQDFQAIMNQLYDVMYEFNFSLPPDYALVIRALGSLEGTAKTLDPEFKVVESAYPFVIGRLLADPSPDMRKILRELLICNDGSIRWNRLERLVAAMSISEKTDGEDKDANKSSSPLEWKSFDMNAVVSATEDLFKFILSEKGFRVRLFIVRDILKVADIFLDDQVDSCMFDENLDTRHTIESEGHATIERVVSGISYFWQAVKMAPDVWTAMLIRMALNPEVHRFSYDIISALFLRSNRRIPVTLWICASRFLHKL >cds-PLY86287.1 pep primary_assembly:Lsat_Salinas_v7:8:59635872:59636507:-1 gene:gene-LSAT_8X43101 transcript:rna-gnl|WGS:NBSK|LSAT_8X43101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTSSFFNPLPFPGAYFSGKLRQSKGTVINHHNRLIITMAFKKDGYNGKTVDENMIVLRERIRKLKAEMEMESGGGGGDRLPDNWMEWEKKYTYSGGYHSDIYEGIAMLQRFLMETRPSVALGLVAGLAFSGSTVAMEVLWWLLSSFLGK >cds-PLY67591.1 pep primary_assembly:Lsat_Salinas_v7:5:74288526:74290109:1 gene:gene-LSAT_5X35040 transcript:rna-gnl|WGS:NBSK|LSAT_5X35040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEGMRLEDVPELMQNIQLRLPVNAAAQSSVLSKSWLHAWSTIPNLIFHVPKEEERKQLMLVYIDNTLRKYLRDNIPIESFELNIRLGRNEHASLAEQWIRSVATKTCLKELSFTIKFDQVYMNLPDEILSCVNITKIRVWATYVMDHAVRMTSSHQSPIIKCVSLRELHLFRVRISQQLLDHILSSCRLLENIKLKSCSQDLKTIKIKSLPCLDKLEIYTNDIRHCPSVEINNVPNLRMFKCDLQPMGIKGMHILPFSSVHSISLGSSVTELWIGGPGLVTDDASVDMIKTGVPFLESLTLNMGFWKLENCRFTFASIKRLSLIWLPDNHIGINLNTPKLLFLHLDGSTMPSLSFPTSTTLEEITFRMKPRKSFDASFLLKMREALELSRKCYLHIAPVWYYRQPPLNMDILDDLKTRLQFPPATNVETLTFEVCKDECQWESSPFFHAFFEICHPKQVIVKSDVCKMMLGEVLEKKTKIAAHWPNYLKHVQMRRPHERWDTLTDSHPRFKNGSRPYYADFKLEWC >cds-PLY97960.1 pep primary_assembly:Lsat_Salinas_v7:3:18446343:18446810:-1 gene:gene-LSAT_3X14241 transcript:rna-gnl|WGS:NBSK|LSAT_3X14241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTAEEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQIIYRAVKKIQQKTETNPLSVLRQAIHGVTPGIAVKARRVGGSTQQVPIEIGSTQGKALAIRWLLAASRKRPGRNMAFKLSSELVDAAKGSGDAIRKREETHKMAESNRAFAHFR >cds-PLY96711.1 pep primary_assembly:Lsat_Salinas_v7:6:76681993:76682427:1 gene:gene-LSAT_6X56281 transcript:rna-gnl|WGS:NBSK|LSAT_6X56281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGFSLEAERCASIFVLAYHLKAPGSRFRSFIFNSDESLQVTSDQQYNNDPSEMVKAESHVIAATSDPTREAAVYSYVAASLFRLFTKPASYYIKTWSHVLNGFSKFYAEPMRVMLPVPTEAAMVVLSRKIKSNLVSFSLYE >cds-PLY95849.1 pep primary_assembly:Lsat_Salinas_v7:5:64876668:64879984:1 gene:gene-LSAT_5X30340 transcript:rna-gnl|WGS:NBSK|LSAT_5X30340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPHPPASAACVSHADINVEETLNTLKRFWCDREETTKELEHTLLQDFTDKEVFCSDPAYDKSLQQLKCFLRYTLISDPNSNGYFDLMIKTNPEILKRRNNEVQVVVQSRVALVHFHALVPLHQDFRVVLDKELRLILKLRDMMKGFRASSDCLMTSY >cds-PLY76121.1 pep primary_assembly:Lsat_Salinas_v7:9:30343002:30347152:-1 gene:gene-LSAT_9X27960 transcript:rna-gnl|WGS:NBSK|LSAT_9X27960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTETPPPEQPSTPPPPPSLYIHPRREPFEHGLIPLQKLIFTDATQTLTSLRDKLLQHPVAKDQPNRISSAVLSETLQISPEHARLVFEIIASVHPFDSDPLVTAKPDEIDSVGVNVYDLIIFLYIQSYKRLLPRGHKDSAAIADVWPSTSAFDGFLSALTPLQLARSNVRRSMPSQADEEAHQLSYLQKHLGNIISLLADSVEGQDEGEDSLVLTMENFEHLDFLIYFGEKGSQKIPLSQNAPFFANSDPDMPAAPVHASQVHDWIIQNISSALEHISERVAAKENGPSNASDQDAMMADAYGNTMKASSSTKSSSFIEGISKQSYVKQPSELKSSFVKIINCHESVIYILAPLRYATIYGCSDATIVLGAVGKAVRIEHCERVHVISVAKRICIANCRECVFFLGVNQQPLIVGDNHKLQVAPYNTFYPELEEHMKEVGVESVPNRWNEPIALGLVDPHDSMSHPAGVSDCQAESATCLETDQFTNFLIPKWLQGEKETGPTKDNPFPLPDVYLSSQHRNDKNLVEVKQILKESQLEDTKKRELSTALHVYFKDWLYASGNVRQLYCLQGE >cds-PLY79791.1 pep primary_assembly:Lsat_Salinas_v7:8:275570931:275571783:1 gene:gene-LSAT_8X158021 transcript:rna-gnl|WGS:NBSK|LSAT_8X158021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSSKRVAGKLRDSPEFSSACETVYQQFLSLAQQTFPGIPRYQLVSASDSLYQTLSDLHLPLIDKWVTSPPTRSQIDKAIQKALPDDDGDEIVTLGEAAFKEFAMDLYSDAIVSNAGKDVLLKVPVGVAGIVGIGVATRSGMQVVGTVIGVYAVGIATSVYLSLGG >cds-PLY66902.1 pep primary_assembly:Lsat_Salinas_v7:7:19978718:19979914:-1 gene:gene-LSAT_7X16580 transcript:rna-gnl|WGS:NBSK|LSAT_7X16580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHILFALILAEMALIVIFVFKTPLRKFVIMGLDRVKRGQAPIVVKAVGSTIFVVMMSSVYSVMQIHNRRNRDDDGVGELTPTDQILAARHLLEASLMGFSLFLALMIDRLHHYIRELRIRRKNMEAIKKQNRIMENGKTGNQDEVKALEDELSTLKDKITHLKSELDEKTKEASDAEANATAMKKQSEGFLLEYDRLLEENQNFRAQLQSSERRSRAI >cds-PLY62699.1 pep primary_assembly:Lsat_Salinas_v7:6:55646369:55647976:1 gene:gene-LSAT_6X41601 transcript:rna-gnl|WGS:NBSK|LSAT_6X41601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQNHVITIAEEVKLMNTQMEESPKLLHKSAGKDSCCIFRVPQSLFEINKEAYQPRIVSIGPYHHGNKDLEMIQEHKWRYLKDLIERTGKPIDFFLGIVMSMQDQIRQSYSESIDQFSANDLAKMMVLDGFFLIELFRKVGKLVVTDKDDPIFRMVWVSPFLMRDLLRIENQIPFFVLQKLFDVSKDVSKPDDRTLSTLILEFFNYTVDRPKRVLNKLKNLEGKHLLDFFRKSFINSTENNPDRSKTSSLKLIQPATKLKIAGVKFMASHEPDSFLDIEFRNGVLLIPQINMDDFYSSFFLNCVAFEQCYFHCRKHITTYVVFMGCLMHTSTDVGLLSEGKIIENYFGTDKEIAKFFKSVGKDVAFDIKNNYLLGLFVEVNEYCRNGWHVHVAGFKHTYFESPWAAISAFAAFLLLSLAALQTIYTVYQYYNPQKE >cds-PLY64610.1 pep primary_assembly:Lsat_Salinas_v7:6:37053325:37056052:1 gene:gene-LSAT_6X29021 transcript:rna-gnl|WGS:NBSK|LSAT_6X29021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERGFIFSLLAVFSVISLSIYITTHHYNHNNRHPFLPSPSPPTSLISKSDDRIWPKLELNWRIVMATIIGFLGSAFGTVGGVGGGGIFVPMLTLIVGFDTKSAAALSKCMIMGASTSSVWYNLRVPHPCREVPILDYDLALLFQPMLMLGITLGVALSVVFPYWLITVLTILLFSGTSSRSFLKAIEMWKEETIMKKEMERPREPTVNSRGELLIDTYEPLIPKEEKTPMFPVALAVFGHECVKLYKESKKRKSMGNPESVCEAAIDWTGPHLTFCAFCGILGGTVGGLLGSGGGFILGPLLLEIGVMPQVASATATFVMMFSSSLSVVEFYLLKRFPIPHALYLMAVSVTAGFWGQFFIRKLVAVLKRASIIVFILSAVIFTSALTMGVIGIDKSMRMTHNHEFMGFLEFCSSQ >cds-PLY67343.1 pep primary_assembly:Lsat_Salinas_v7:4:20231191:20233391:-1 gene:gene-LSAT_4X13500 transcript:rna-gnl|WGS:NBSK|LSAT_4X13500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIVGNLSSDQLQFFNSQGYLVIESFSSTEEVEALRKRMDQLLDGFDCSSSASIFSTKNQQRTSDDYFYDSADKISFFFEEKAFDDDGKLKQPKQLSINKVGHALHDHDPIFKKVSCSDKMSGILQSLGYKRPVIIQSMYIFKQPGIGGEVVPHQDNSFLYTDPQTCTGLWLALEDATIVNGCLWAIPGSHKNGLVRRFLRDDKGVHFDKPSPSYDQNDFVSIEVKAGSLVLIHGDLIHQSFENQSSKSRHAYSLHVIDTEDCKWAADNWIRRNVDPEPLYVS >cds-PLY88888.1 pep primary_assembly:Lsat_Salinas_v7:4:252966664:252971482:-1 gene:gene-LSAT_4X133320 transcript:rna-gnl|WGS:NBSK|LSAT_4X133320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMSYLGMMVLLWWLAVAVVAEDVKYKDPKQPVAARVKDLLEKMTMEEKIGQMVQIERISATPDIMKQYFIGSMLSGGGSVPNPQATVVDWINMVNGFQNGSLSTRLGIPMIYGIDAVHGHNNVINATIFPHNVGLGATRQRIGAATAAEVRATGIPYAFAPCIAVCRDPRWGRCYESYSEDTKVVQSMTDIILGLQGEIPKGSRLGVPYVAGKDKVAACAKHFVADGGTTHGIDENNTVANQHDLLSIHMPPYYDSIIKGVSTVMVSYSSWNGKRMHANGDLITGYLKNKLNFKGFVISDWEGIDRITSPPHSNYTYSVLASVLAGIDMVMVPNNYKEFINDLTYLVKNNFIPMERIDDAVSRILRVKFTMGLFENPLADFSLVNEVGSQAHRDIAREAVRKSLVLLKNGKRTDEPMLPLPKMSSKVLVAGSHADNLGYQCGGWTIGWQGFSGNQNTTGTTILNGIKSAIDPTSDVTYNENPNTEFIKSNNFSYAIVIVGEHPYTEMFGDSSNLTIADPGPSVITNVCSQIKCVVVIISGRPVVIEPYMSAIDALVAAWLPGTEGQGVADVLFGDHEFTGKLPRTWFKTVDQLPMNIGDPHYDPLFPFGFGLTTKSVIDRSISGGAIGTPSVVVVLGLVVIGFCLSQRLKHRGNKKYEFIQGEGQEMVGVRGSLHR >cds-PLY71164.1 pep primary_assembly:Lsat_Salinas_v7:9:82345315:82348737:1 gene:gene-LSAT_9X65820 transcript:rna-gnl|WGS:NBSK|LSAT_9X65820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FIZZY-RELATED 3 [Source:Projected from Arabidopsis thaliana (AT5G13840) UniProtKB/Swiss-Prot;Acc:Q8LPL5] MDSNQRRKSGINLPAGMSETSLRLNTGAGSPSPLIRALSSPSPRIMSPRTISNLTSSPSSKSAGACSDRFIPCRSSSRLHTFGLLDKPSPVKEGGGANNEAYTRLLRCELFGPDFGFSSPAGGTKGSGSYSSPASSPSKNMLRFKTDTSGQNSPYSGSILGQDNGSSSESATPPKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNVLAVGLGSCVYLWTATTSKVTKLCDLGPNDGVCSVQWTREGSYISVGTSLGKVQVWDGTQCKKVRTMGGHQTRTGVLAWSSRILSSGSRDRNILQHDLRVPSDYISKLVGHKSEVCGLKWSHDDRELASGGNDNQLLVWNQHSTQPLLKLTEHTAAVKAIAWSPHQSNLLVSGGGTADRCIRFWNTANGSQLNHVDTGSQVCNLAWSKNVNELVSTHGYSQNQIMVWKYPTLAKVATLTGHSMRVLYLAMSPDGQTIVTGAGDETLRFWNVFPSVKSQAPVRDSGLWSLGRTQIR >cds-PLY63128.1 pep primary_assembly:Lsat_Salinas_v7:4:65640157:65648515:-1 gene:gene-LSAT_4X44320 transcript:rna-gnl|WGS:NBSK|LSAT_4X44320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSPEFHPPPRTVASARFVSASVRTLFDAAQRRETPIQAPPSQKRDKISFIFNTLSTANIEAKVEEFTEILEEQYYPWFAQYLVMKRASKEPNFHKLYMNFLEKAHSKQLMEEVVQETYENCKVLLRSELIQTSSEERLLLKNLGGWLGIITIGRNRVLLSKHIDPKSLIIEAYEKGLMIGIIPFTSKVLEPCKGSLAYQPPNPWTMGILGLLAEIHAMPNLKANLKFSIEILFKNLELDMKAVTPTSLLKDRVRKIEGNPDFCNKDVGSSQQNTVTSAVNQSELPHEAAVTSYQGGDSHILYQHAAPVHFPAVPFVEDMNMLFQRLLPVVMDKAIKEILSNIVQKSITIATQTTMALVLKEYDNEFDENYIHSTSSSIIVCLAGNLSYVNSKEPLRKKMSSQLRNSLQGLNIASESLEHAMQRVIDDNLELGCASIEKAAIETGLVIVKNEIAQQLSVRRKQRESSRITTIDPNLYAQSIGTFPEAFHPQAAQFVSLHQQQVRMVPAPQSHVSWTYNVANLDFLIGKEAKQAEIQSVICEVPVVVLRCINKDEAALALAQTVFESLYENAANYVHVIADLDILAGVCDVSKLVRRELTSWVMHSDDERRFNKDITVGLIRRELLNLTEYDAYMAKLIDGGKSGATIEFFISLVETLKLSDAGVLSSLHNVVHTLSKVTKHPVASKDDDDAFISSEPDPPSFHWQVSGIFNDWCRIRDRHGVNDAARAHFVLELHQNVLSRADDMPNRFFRRLMELGVSHYLSSQGANEEASLSSFLVIDIYADLVFSILRSLPVDQGSSKLSLFSKVLAVIVRFVKKDAEEKKESFISTPYFRLFINFFNHLHTLNSSVNDENFQMYAALSNSFHALQPLKVPAFSFCEAIASRCVQLRNIILSTFPANMSLPDPNTPNLKVDLLAEISQPPCIDPEFAAALKANNMKNDIDEYFMTRPETSSFLYGLKYRLLLSSREAERSGTYYNVPLINSLVLYVGTKAIQQLQVSTASHATSITRFVSKTGYFAGAALDIFRTLIRDLNWEGRYLFFNAVADQLRYPNNHTHFFSFILLQFFVEIKEEAIQEQITRVLLERLITKLPHPWGVQVTFIELVKHFLKTGRMFNECCKLV >cds-PLY63539.1 pep primary_assembly:Lsat_Salinas_v7:9:149888638:149889700:1 gene:gene-LSAT_9X95120 transcript:rna-gnl|WGS:NBSK|LSAT_9X95120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKVIISEGRKVGIKKALVFYIGKAPKGSKTNWIMHEYRLSDPPKKTNSLRLDDWVLCRIYKKNSSVHKTISGGQSHNSSSSSSSQFDDVLDSLPAIDDKFMTFNEEDQKIDIHKFDSGNYDWANINAFGLQDPVTNTYPLNTTSIDMTFNMHMKFVKSSDDEFHTEIRSQRMENSGYLFSQSFMNTTDPFAIRYPTQPSSSGYRH >cds-PLY93847.1 pep primary_assembly:Lsat_Salinas_v7:6:144731723:144733584:-1 gene:gene-LSAT_6X86780 transcript:rna-gnl|WGS:NBSK|LSAT_6X86780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTGKLQVLLVSAKGLQDSDFFTKMDPYVTITCRTQEQKSNVASGQGSSPEWNETFVFSVSGEVEELVIKIKDSDVGSEDDLVGEAKIPLATLFVEGNIPPTPYNVVINDEFCGEIKVGLQFIQELNR >cds-PLY78601.1 pep primary_assembly:Lsat_Salinas_v7:1:106068024:106077507:-1 gene:gene-LSAT_1X83221 transcript:rna-gnl|WGS:NBSK|LSAT_1X83221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADVMSMDDIRAEAARLNIDLSAVDWNSVRLPPGEDFGIKSDDDDLNEEDSLEFDAGFGNIIVVDNLPVVPREKFEKLEGVVRKIYSQIGVIKENGLWMPVEEDTGKTRGYCFIEYNTPQEAELAKEKTNGYKLDRAHIFAVNMFDEIEKFMKVPDEWAPPEIKPYTPGENLQHWLTDEKGRDQFVIRAGSDTEVLWNDARQVKADPVYKRPFWTESFVQWSPLGTYLATVHRQGAAVWGGATTFNRLMRYAHPQVKLIDFSPGEKYLVTYSSHEPSNPRDTHRVVLNIFDVRTGKVMRDFKGSADEFAIGGTGGFTGVSWPVFRWGGGKEDKYFARMGKNVISVYETETFSLIDKKSIKVENVMDFSWSPTDPIFALFVPELGGGNQPARVSLFQIPTKQELRQKNLFSVNDCKMYWQSTGDYLAVKVDRYTKTKKSTYTGFELFRIKERDIPIEVFELDNKNDKVISFAWEPKGHRFGVIHGDNPRPDVSFYSVKGGKVVKLVTLKQKQANGMFWSPGGRFVILAGLKGFNGQLEFYNVDEMETMATAEHFMATDIEWDPTGRYVATSVTSVHEMENGFNIWSFNGKLLYRILKDHFFQFLWRPRPPSFLSPEKEEEILKNLKKYSKKYDVQDQDISVLLSEQDREKRKQLKEEWEKWVNEWKKHHEEEKMEREMLRDGEASDVEEEYEAKEVEVEELLDVSEEIQDV >cds-PLY70390.1 pep primary_assembly:Lsat_Salinas_v7:4:99040841:99041138:1 gene:gene-LSAT_4X64120 transcript:rna-gnl|WGS:NBSK|LSAT_4X64120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTIMRVVKSLTKCGTVGIQGIAWAFSGMIFALVYCTAGISGGHINPIVTFSLLLARKLSLTRAVFYMVM >cds-PLY76498.1 pep primary_assembly:Lsat_Salinas_v7:4:175567918:175573439:1 gene:gene-LSAT_4X103661 transcript:rna-gnl|WGS:NBSK|LSAT_4X103661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79950) UniProtKB/Swiss-Prot;Acc:F4HQE2] MPTYKIRGINVEFPFEAYDCQLVYMEKVIQSLQDRSNALLESPTGTGKTLCLLCATLAWRKSLGSFSTGRNAIRSQDTGGKNSEGPSSQSESSRLPTIIYTSRTHSQIRQVVQELKRTIYRPKMVVLGSREQLCIHPDVSLLHGKTQTNACHFLCQKRTKRYCTHFPRVSEFVKNNPGLGDEPIDIEDLVNIGKQSGPCPYYMSRELHKVVDILFAPYNYLIDPGNRKSLSIEWANSILIFDEAHNLEGICADAASFDLPSSLLTSCISEAQNCVDLAVSRRDQSNDTSYNPDNFAILRALLLKLEKRISEVQIDSKELGFTKPGPYIYELFGELNITHKTATKLIDTIEDATVLLEEDAKTSDPQKTKGTVNRLESISDILKIIFKSDGNDAHAKYYRVHVQEVEVKSSDTFKGKASRIFSWWCFNPGVAMEEFAKRDVGSIILTSGTLSPMDSFAEELKLNFPIRLENPHVIQDNQLWAGIVPVGPSGHPFNSSYRTRDSPEYKLNLGNSIVNFARIVPDGLLVFFPSYYLLNLCIDFWKNTGVTSTNSSTIWERICKHKLPVVEPRQSSLFPQAIDDYMAKLRDNSTTGAVFFAVCRGKVSEGLDFADQAGRAVIVTGIPFAMRNDPKIRLKREFLDQQALSQKGSKVLTGEEWYSQQASRAVNQAVGRVIRHRHDHGAIIFCDERFSKQNHQSQISLWIRPHLKCYSKFGDVVFTLTRFFRDAAISCPVKQKSTQIQEPGEKSSRDKIISLDKLDQDKFLKSLIATSNKSQSIEPSSSSLPCSSELRRVNISSRLSQILPANRSNLSFNAQNQTSSLNNNNNNNSNVEVKKHEVIDLVDEETSSQLSAPYFIKKRKIENPKNENLLQKCDNGIREVKKEIAVEERRTELINLETVRGSSSSNSTSLACENQEKKGSTFLIQVREKLSDGEYKEFVGFMKALKSKAMKIGHVLQCIMGLFSAPDRLHLLQRFKDYIPAKYHTLYEEYLEKTKTNDAVTVDLL >cds-PLY67409.1 pep primary_assembly:Lsat_Salinas_v7:4:212212900:212213217:1 gene:gene-LSAT_4X119461 transcript:rna-gnl|WGS:NBSK|LSAT_4X119461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKILKKTKEVSVAIAESSSVVGEQIAKRKRGRPRKIVEKTEEEEVKEPIEEKSQQDSEGEPESKKAKSNEELVKREATSSSTTSHQDQPRRSRRKSKPRKSS >cds-PLY79900.1 pep primary_assembly:Lsat_Salinas_v7:8:17796033:17798317:1 gene:gene-LSAT_8X12380 transcript:rna-gnl|WGS:NBSK|LSAT_8X12380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASWVQSVLVVLAMVLFPTTVESKVRHYKFNVVMKNMTRLCESKPIVTINGKFPGPTLYAREDDRVIVRVVNKVPHNITIHWHGVRQLRTGWSDGPAYITQCPIQTNQSYLYKFNVTGQRGTLLWHAHITWLRATVHGAIVILPKHGVPYPFPKPDHEKIIVLGEWWKSDADDVMNQWVQTGMPPNVSDAHTINGYPGPTPGCSSSGFTLHVEAGKTYLLRIINAALNEDVFFKIAKHEFTVVEVDACYVKPFKTDTIYTAPGQTTNVLLTADQKSGKYLMAISPFMDTIVAVDNQTAIATLRYKTTKPYTPLTLTTLPATNATPATNSFIESLRSLNSPKYPALVPLKIDHSLLFVVGVGVNPCPTCVNGSRVVAGINNVTFVMPDTALLQAHYFNTSGVFTDDFPGNPVTPYNYTGSGPTNIQTTNGTKVYRLAYNSTVQVVIQGNGIIAPESHPIHLHGFNFFVVAKGLGNYDPVNDPKKFNLVDPVERNTLTVPTAGWIVIRFRADNPGVWFLHCHLEVHTTWGLKMAFLVDNGNGPNESIIPPPKDLPTC >cds-PLY96802.1 pep primary_assembly:Lsat_Salinas_v7:2:170676322:170679265:1 gene:gene-LSAT_2X93480 transcript:rna-gnl|WGS:NBSK|LSAT_2X93480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPPRGLLLHLWNFICFLPYFIGLLLVGFLKGAIVAPIVCVLMTTGNSVIVLGLWPAHAVWAYFCILSAKRLGPVMKLLVCILVALPLALWPVCVVVGSILGGLAYGFLGPVFGTFKAVSEGKTDKFRHCVIDGTWDTIKWSLTFVRDLSDVCLYSYFSVMDDLRKQDPPDGRIEIRVAYVPVAILVGVLGFAVDFPVITVMAALKSPYMLVKGWHRLFHDCIGREGPFLESICVPFAGLAILLWPFAVAGALLGSMVASILLGFYAAVVAYQESSFYLGLCYVVAALSIYDEYSNDILDMPEGSCFPKPMYRRNGGLQPGSRTPSFSRPASFKNPPSRTSSFATPMIELKPLVLLDSLFQECRRQGEIMVLEGLITVKEIDDAKSGKASGRVISVGLPAYCLFQALLRSAKANCTGILIEDNVTEITSANRPKDTFYDWFLNPLLVIKDQIKAQNLEELEEDYLGKLVLLSGDAEKLKNSDIGPPPESELRRAELDALARRLQGITKSISRYPTYRRRFENSIRAILEELDRKNGKGRRSASGSGSESTFGRMFSNQRSFRTKTTNQEDDQETERDGDVV >cds-PLY92888.1 pep primary_assembly:Lsat_Salinas_v7:3:181930971:181931554:-1 gene:gene-LSAT_3X109540 transcript:rna-gnl|WGS:NBSK|LSAT_3X109540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYNQTINLDQVAFASEGIKDAQQTVYATIIKHLLQTGSNKELKGMMKTVKIQDIDNLQDEMMDMMDISSEIQESLELDALEAYMGQETKGEGVPSYIQPDNEPYLDAELNMPLAPSGHAVPVKPLIR >cds-PLY68233.1 pep primary_assembly:Lsat_Salinas_v7:3:103432814:103436012:1 gene:gene-LSAT_3X77380 transcript:rna-gnl|WGS:NBSK|LSAT_3X77380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMILQVEEVYLLLKNNYDIIFAVLLSGLVTSFVTKKLRKSTEAIPGRLGLPLVGETFSFLSAINSTKGCYDFVRLRRLRYGKWFKTRLFGKVHVFVPSVVGAKTILTNDFQLFNKGYIKSMADAVGKKSLLSVPVDSHKRIRRLLSTPFSMDSLSVFVKKFDELLSTRFKKLAENGKSFVVLDFSMKIAFDAMCGMLMSVTDESLLEKIERDCTAISNAMLSFPVMIPGTRYYKGMKARERLMKLFEEMISSRRSSKEPHEDFLQSMLERDSFPDDDEKLDDSEIMDNMLTLIIAGQTTTAAAMMWSVKFLDENKDVQNMLREEQLIILRNKPKGALLSQEDLNKMSYCSKVVKETLRMSNVLLWFPRVALDDCKIQDFEIKKGWHVNIDATHIHYDPALYKDPLKFNPSRFDEIPKPYSYIPFGSGPRTCLGINMAKVTMLVFLHRLTSGYKWTVDDQDLSLEKKSHIPRLKSGVPITLTVLKDES >cds-PLY73481.1 pep primary_assembly:Lsat_Salinas_v7:2:98736991:98738587:-1 gene:gene-LSAT_2X43240 transcript:rna-gnl|WGS:NBSK|LSAT_2X43240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRSKAITGLIKPTSRLSIFKIPISPFSQTTNTQDQTPILQRFQNVSKKDKITVLVSKLVAVENTKEAVYGTLDAWVAEEREFPIGRVKTALLTLEKKEKWHKVVQVIKWMLSKGHGTTIGTYGQLIRALDMDNRVEEAKRLWGRKLGRNVELVPWKVCEIMVSVYYRNEMWKEIVKLFEELEGRNRKCTDKVIVERVAESYGKLGLVEEKEGVLVKYKSLFSKSRGKYGRKSSKELLKIDLSQ >cds-PLY72368.1 pep primary_assembly:Lsat_Salinas_v7:5:6332631:6337013:1 gene:gene-LSAT_5X3721 transcript:rna-gnl|WGS:NBSK|LSAT_5X3721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQIVRRKRRGRPSNTDRARINYSAAPPSPVDRRRSRRRRNVRYNFDIDDYVDDDEFYDDEDEEVGRREKKLRLLLSEDDSRPNTRRVRHAPASSSSDDYDDDDDDDVKHSKKRKIDTSDGEDDEDNEFEEIRGRKLEEEDDEEEEQQVEEEEEDEAPGGSDFVYGTASDLSKGVPLPDKKTLELILDKLQKKDTYGVYAEPVDPDELPDYHDVIKHPMDFATVRKKLAKGAYLTLKEFESDVYLICTNAMQYNAPDTIYYKQASSIQEQAKLRFQRLRANVDRSEIELNTDHKITPTTFSPPKKQLKKPPVHDDPVESETLSGANGANVRLQEFPNSSSAQTPIRPNPYSSGEVLIPENSSLPDNSLDKDQDSVPGKGLMSKPGRKAVHDENRRATYNTSVEPVTESDSMFSIFEGESKQFIPVGLHADHSYARSLARFAATLGSVAWKVASQTIEQALPGGVKYGRGWVGEYEPLPTSVLIMPGDYTLKDFLQEKTAAASTSNNNKPPKPKPVKHESPTPREPPIKPPFFKFSASDSLVELNHPPPPPPVITRKPVHTTASDIPSPPVSRNGNLMYPNTQNDGFTPGRANKVNTTPTPVGQEQLQDPVQMMKMLAERSQNQQNNNLNSFGFDSKGLKREDPSGNAAAALTAAQAWMSLGGTGTHKQQIPVDSLYSRNQAPQVSRFRGELHFQGQQQQLVQAFVPQQVQGGLQNRHMGLGFPQLVTADLSRFQVQPSWRGVNPQQLRPKQQESSTCPPDLNIGYQSSPVRQSTGMLVDSQQPDLALQL >cds-PLY66978.1 pep primary_assembly:Lsat_Salinas_v7:7:122497665:122499046:-1 gene:gene-LSAT_7X74620 transcript:rna-gnl|WGS:NBSK|LSAT_7X74620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRQPQVLLQRLDAVAVGMLIYYDEIECKLQKIERKTPLVTMWTTDKLKERQSFEIEAGGFGVGNLIEQSSNLEREKNENQDTRIEEYEEKYETIFNNVSTEKDNMEDIILHCLSKFSEDNRTKEMIRKFRDIFSTTLFSSREKDHHSLQVCRKPNNM >cds-PLY63797.1 pep primary_assembly:Lsat_Salinas_v7:6:26052000:26054524:1 gene:gene-LSAT_6X18741 transcript:rna-gnl|WGS:NBSK|LSAT_6X18741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase [Source:Projected from Arabidopsis thaliana (AT4G31990) UniProtKB/TrEMBL;Acc:B9DG21] MAVAVKASRFDNITMAPPDPILGVSEAFKADTNELKLNLGVGAYRTEELQPYVLKVVRKAENLMLERGENKEYLPIEGLAAFNKATAELLFGADNPVLHEQRVATIQGLSGTGSLRIAAALIERYFPGAKILISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFDGMIEDIKAAPEGSFVLLHGCAHNPTGIDPTPQQWEKIADVIQEKNHFPFFDVAYQGFASGSLDEDASSVRLFAARGMELLVAQSYSKNLGLYAERVGAINVLCSSPDAAIRVKSQMKRIARPMYSNPPVHGARIVANVVGNPDFFNEWKDEMEMMAGRIKSVRQKLYNNLSSKDKTGKDWSFVLKQIGMFSFTGLNKAQSDNMTDKWHIYMTKDGRISLAGLSAAKCEYLADAIIDSYHNVS >cds-PLY73254.1 pep primary_assembly:Lsat_Salinas_v7:8:212447917:212448450:1 gene:gene-LSAT_8X132780 transcript:rna-gnl|WGS:NBSK|LSAT_8X132780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIGIRSKSFAEQTSGHLESQHLNSLCTIPKYPPMKVLLMTLQNTPLGLDYENSHFLSYFTRLFLSSIVAAEWTCKKQ >cds-PLY97738.1 pep primary_assembly:Lsat_Salinas_v7:1:20805547:20807457:1 gene:gene-LSAT_1X18820 transcript:rna-gnl|WGS:NBSK|LSAT_1X18820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGVSHPNLKTDRASGGGVGGDGGPAVGVQINQRHSHRRLPDFLQSVNLKYVKLGYHYLISHLLTLCLIPVMIIILIEASQMNPNDIRQLWVHLQYNLVSVIVCSTVLVFGSTVYIMTRPKPVYLVDYSCYRAPDHLKAPYERFMKHSRLTGDFDESSLEFQRKILERSGLGEETYVPEAMHFVPPRPSMAAAREEAEQVMYGALDNLFSSTGVKPKDIGILVVNCSLFNPTPSLSSMIVNKYKLRGNIRSFNLGGMGCSAGVIAIDLAKDMLQVHRNTYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGSAVLLSNKSIDKRRAKYKLVHVVRTHRGADEKAFRCVYQEQDAAGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPISEQLLFFCTLIIKKFFNNNIKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLYPTHVEASRMTLHRFGNTSSSSIWYELAYIEAKGRMKKGQRVWQIAFGSGFKCNSAVWKALRNVKSSQNGPWDDCIDKYPVELLS >cds-PLY81273.1 pep primary_assembly:Lsat_Salinas_v7:2:26233659:26235523:1 gene:gene-LSAT_2X12981 transcript:rna-gnl|WGS:NBSK|LSAT_2X12981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRRATEIELDTMEGFASREKLHHHLNDQELDFNGHAVMSALIAPERSVKFHGSLVAQLLLKDENLPMNDFVSDWSSTLLTTVSQASKADDISLTRVALSAFLLSLERCPGAQRVVMEKGLHLMRETAKRTMNHKSVQESLAKGLESLCSGDMRLSLEEGQKWSCILLPWVFRETSSDAIRSSAITILSRICEDYGPSSIPISQGWLAIMLSDILKSRKLSLKGSAQPRDKVKVCIIVIFFSFLFMVIYGNTLLLQTQIDQANVLSGTQSVNQLASAVVNLAVNGYQSNDSVFTCVIESRWEKDSTSNLRFARLNLVDLAGSESQEELAILKRNNISRSLAFGPKVIEEATQEHENDCTRHDNKILKVSSKQVY >cds-PLY75317.1 pep primary_assembly:Lsat_Salinas_v7:MU042996.1:152994:153647:-1 gene:gene-LSAT_0X30180 transcript:rna-gnl|WGS:NBSK|LSAT_0X30180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTMARNMNVDPWIKPRYQLWTVTSDEPKNTPLVEYGKGNDKRFTIRVVHGGYFTDYPVRAHEFREIEHLYLEHKPVYVLTNFPRFMMNSPAKRVEKLIHLFVIEHPMSIVDDGIAYIKHMLNITIPRGKMEDAMDMAKENVIAWKDLV >cds-PLY64377.1 pep primary_assembly:Lsat_Salinas_v7:4:26902156:26904263:-1 gene:gene-LSAT_4X19920 transcript:rna-gnl|WGS:NBSK|LSAT_4X19920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVAASVSSCFHGENLSSSCSSLFSRATLAFSPSISGNDFNGRKRFMVLAKRLSGLEEAMRIRRAREQQTSTPIKRRTPLRRLKVSPRLPVPDHILKPPYVSTKLLPEISTEFQIHDEEGIARMRAACELAARVLEHAGTLVRPSVTTNEIDKAVHQMIIDNGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQDGDIINIDVTVYLNGYHGDTSKTFLCGNVDEATKRLVKVTEECLERGISVCKDGALFRKIGKRISEHAEKFGYGVVERFVGHGVGTVFHSEPLIFHHRNEKPGSMVEGQTFTIEPILTLGRTECVTWEDNWTTLTKDGSPAAQFEHTILITRTGAEILTKC >cds-PLY62563.1 pep primary_assembly:Lsat_Salinas_v7:9:73603233:73604408:1 gene:gene-LSAT_9X61600 transcript:rna-gnl|WGS:NBSK|LSAT_9X61600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGDWPEPVVCVQSLSESGELVIPGQYIKPQSERPSFCLKEMNIPVIDMTGLITSREETMKQISEACREWGFFQLVNHGVGIELVDSVREIWREFFHEPMEVKQKYANTPKTYEGYGSRLGVEKGAILDWSDYYYLNYLPLCRTKWPAHPPSLRELMEEYTNEILKLGAVLLKVFSMNLGLKEDALQKAFGGKEVGACLRANFYPKCPQPDLTLGLSSHSDPGGMTFLLPDEQVFGLQIRKDNQWVAIRPARHAIIVNIGDQLQGYINVS >cds-PLY78731.1 pep primary_assembly:Lsat_Salinas_v7:9:50037174:50039138:-1 gene:gene-LSAT_9X45280 transcript:rna-gnl|WGS:NBSK|LSAT_9X45280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVSYGVDFDEEYDAYNDYRDDYDYNHVNGIDEHETGWHVDYGKSTLSGRLLHLLGQISQKQMHKYRKEAKLQGKGSFAYAWALDEC >cds-PLY89625.1 pep primary_assembly:Lsat_Salinas_v7:8:221059267:221060488:-1 gene:gene-LSAT_8X133700 transcript:rna-gnl|WGS:NBSK|LSAT_8X133700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIANPFSFHFLLLILISLSLQPHARESKFFTKFTRNFSNAQTTDLSPAPAPVLAPVIEPVLAPGLAPAPAPAEEENGYGLYGHGSSESSSEEFYSTNETPEKKGTFGVDEFKSETETPFEKLLSGESYSNGNNGYSSNNGNAYSSSVNENNGYNNNENGYDGYNKNENGYTVSENKINGYNQNTYSNNGNGYSNSINENNGYKTDSYSQNNYNNDNDNGMSFENEKNGYNVNGYTNMEEENNGYNNNNNNGYVMGQQGLSDTRFLENGKYSYDANNNGNDHETSYEEEVSDNGEGYYRNNEDSKYDRQSGYVKWHP >cds-PLY91232.1 pep primary_assembly:Lsat_Salinas_v7:3:75578375:75580856:1 gene:gene-LSAT_3X58900 transcript:rna-gnl|WGS:NBSK|LSAT_3X58900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDHLTLGIETSMELLQNNINPSLGSFISSNISVHGITPMSADNFSDHHHHQRNERSLPFMPLPDGTNNDLGINLSRCHQGASFNDPMTHLPTTIDDMVKRMSGVESLGNTKSFIFSSGVTGENKAIRNYGYGGKKRKKNIKADAEKPREVVHVRARRGEATDSHSLAERMRREKINQKLRCLQELVPGCYKTMGMSVMLDVTISYIRSLQNQIEFLSMKLSAASMFYDFNSAEMEALDTMKGVNGYEAQVMDRMGGEGYGDLAQFQSIWPI >cds-PLY79097.1 pep primary_assembly:Lsat_Salinas_v7:3:9614131:9617280:1 gene:gene-LSAT_3X7561 transcript:rna-gnl|WGS:NBSK|LSAT_3X7561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQAKKSVYDFTVKDVKGQEVELSKYKGKVSLIVNVASQCGFTNSNYPELTTLYQKYKDQGFEVLAFPCNQFGGQEPGSNEEIQEFACTRFKAEYPVFSKVNVNGKDADPLYKFLKSSKGGFLGDSIKWNFTKFLVNREGEVVSRYAPTTSPLSIEYNQSAECTDEEERGGSGTMMMMAEGRTCESQSHGFKGRCVSNNNCGLVCKNEGFSGGWCRGLRGMCFCTKDC >cds-PLY73339.1 pep primary_assembly:Lsat_Salinas_v7:7:63595198:63596863:1 gene:gene-LSAT_7X47340 transcript:rna-gnl|WGS:NBSK|LSAT_7X47340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLEPPLLCTSPASVEDVQKWVDHIPRTLQSQLSAGTILPVSIFKLPDSRISDNPNSYLPEEIGIGPIHHFRSDLYNREQIKLVTAQEVLKPYNITSEYETIVKERLIPLVTIARSCYDINFDIGNTPLAWVYSIDVVVLLDILMKVTEGKPSEFLEDVTKLENQIPLVVIIELVNALNQNLSGTSDNPFLENLFLNFCETRSPLKFSLPKSQKDLDIGNRHHLLDCMYHLKVCHAKPPPTPLIRTDYSIDVPSDEEEAPSILSVLDAFLKPFKDALNLPWDKLNDLIKYLLGQTPTKLEIAIPPVSHLVKLAKIEFSSTNGGIRDIEFDVEKLTLCLPVLELKSDSDVILRNLVAYEELMFKYGHVPTLDVTEYVDFMCGIVDSDKDVKILREKNIIFGDMEDGEIANFFNNITKSSGRTDGIKSKLTMTIESINHYYGNVPRVKVYETLKKVFNASWKIALIAFAILGLLVMIYIGVREVSNLKDLFTVSNVPFVVPQVGTNNELLDF >cds-PLY96367.1 pep primary_assembly:Lsat_Salinas_v7:4:356555383:356556656:-1 gene:gene-LSAT_4X175961 transcript:rna-gnl|WGS:NBSK|LSAT_4X175961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSSNLSQKIPSSSHVSPTFSSFFEKVGFYFSHHENNSNPFVSPPPSSPPPEVTANTQDLTCQQVQEGSVLQYSDDYDDLLESIVYPCKKKTVNSKKDGHSKINTAQGPRDRRVRLSIDIARKFFELQNMLGFDKASKTLDWLFTKSKVAIKELVKETKHSSSSTVSTGQCELAFLDDDEGENKSEFRFVNGKMKKITQKQKSGHRVDVAREQSRAEARARARERTKEKMRIKMQQYDLKKFPDEYSCHAVSSSNLTIQSSFWGQIESQSDYNESIVEKMFFM >cds-PLY65113.1 pep primary_assembly:Lsat_Salinas_v7:4:5518921:5522237:1 gene:gene-LSAT_4X3621 transcript:rna-gnl|WGS:NBSK|LSAT_4X3621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(Oxysterol binding protein)-related protein 1D [Source:Projected from Arabidopsis thaliana (AT1G13170) UniProtKB/TrEMBL;Acc:F4HP28] MRKCSNGSNHNRFCAKQWKPYGEIHLKVSSVRASKSDDKRLSIFSGTKTLHLRCISREDRGAWIESLVAAKDKFPRLLSPGDLAPSEDMVISTEKLRSRLSQEGIAEEVIKDCESIMLGELSALQNQMKALQLKHIVLLDTLRQLETEKIELETTVVDETKERDSSCGQDRRFSDFYSIMSEGSGSDSDADNESQYGVNVESDEENGTFFDTNEFMSSDVLRCASYRSRDNNNNHCISNERDRDTFPSNRLLLREAGTEISLIQYPHIKRRESLPEPKEKEKPVGLWSIIKDNIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSCLVDRALEWGKQGNDLMRILSIAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMIVACHCEGRGWKFWADSNLKGKFWGRSIQLDPVGVLTLQFEDGETFQWSKVTTSIYNIILGKIYCDHYGTMRIKGSGNYSCKLKFKEQSIIDRNPHQVHGFVHDNRSGEKVAMLMGKWDEAMYYVLGDPTTKPKGYDPMSEAVLLWERDKSATKTRYNLTPFAISLNEVTPGLRERLPPTDSRLRPDQRHLENGEYELANAEKLRLEQLQRQARKVQERGWRPRWFSKDEDGCFHYVGGYWETRDKKDWNGIPDIFGQTITTDLPPLFPEQQQ >cds-PLY65673.1 pep primary_assembly:Lsat_Salinas_v7:5:268772177:268774222:1 gene:gene-LSAT_5X139680 transcript:rna-gnl|WGS:NBSK|LSAT_5X139680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSEQANAIQNMRGVVSVSPERHFRLHTTHSAQFLGLSHNSGLWKDSNHGKGIIIGVLDTGTTPHHPSFHDFGVPTPPERWKGRCEVGVRQYCNNKLIGMRNFVRSTSSPLDTLGHGTHTSSTAAGNFVDNANIFGNFNGTATGMAPFAHLAMYKVCNREYCSESDSIAGMDAAIGDGVDVLSISFGGASVPFYRDSMAISAFKAIQKGIFVSCSGGNSGPFKGTLSNTVPWVLTVGASTTDRRIRTTVYLGNKKLIDGESLYQPKSFHQKLMPLVYPGGNGDYKAATCSRGSLDNIDVNGKVVLCDMGGTIWTVDKGRVVKDAGGAAMVLRNGIACPETTVPEAHVLPASNVGYKEGLEIIKYLNSTSSPVATILQHGTILGVKSAPEVACFSSRGPNLASPGILKPDIIGPGVDILAAWPLLMPMMTKLPTFKIMSGTSMACPHLAGVAALLKSRHPEWSPAAIKSAMMTTASQVSLYGKPIVDHETDLPADMFAIGAGHVNPSKANDPGLVFDIQPDDYIPYLCGLGYTTKQISMIVKKPFSCIKSIKETELNYPSFVVTLKSDDTKTYSRSVTNVGMPNSIYTIGNVSVPQGVRVCIVVDTSSQRLRFIAMYQKLTYNITFTRDIMDKVKSRYGQGYMTWVSGKYSVRTPFLFNFF >cds-PLY63666.1 pep primary_assembly:Lsat_Salinas_v7:4:128760841:128762103:-1 gene:gene-LSAT_4X80780 transcript:rna-gnl|WGS:NBSK|LSAT_4X80780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCSSSPVSTSNANECPLVKQVVQGADKGVGSLKDPDQDIEHVMAVDRTLKVPQSMLKGEVSLKDYVDGEIVHKPLEVVSLGKSKNGKMTKFLFQVCHVQRYTNSQYTSLLVCLGNCKRNIAEVEVEIGKTIIW >cds-PLY94137.1 pep primary_assembly:Lsat_Salinas_v7:5:32368487:32370341:1 gene:gene-LSAT_5X15761 transcript:rna-gnl|WGS:NBSK|LSAT_5X15761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYVLIDEGIVDEVDYVLINEGRNPLLISGEASKDAARYTVAAKVAELLMRGLLKDNSEIAGHVVEFRNYVVQLILETIIAETSVTIPGIKYVIDPGWVKVRSYSPDSGIESLTVLTTSKAQALQRSGRAGREGAGKCFCLYPESRFEGHDDSTMPEIKRGNLSNVIL >cds-PLY88285.1 pep primary_assembly:Lsat_Salinas_v7:6:126480412:126482408:-1 gene:gene-LSAT_6X77321 transcript:rna-gnl|WGS:NBSK|LSAT_6X77321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSESVNFEFPDFVADQTNIVYSGDAKPSAGGAIEFNRVNESVYRVSHATYADAVHIWDSKSGKLSNFTTHFTFIIETQPGITPGHGLSFFLAPVGFQIPPNSGAGYLGLFNSSYTNSYQNQIVFVEFDSFSNIWDPGFPHVGINKNWLTSANYTAWNASLHSGDPADAWVSYNAETQLLNLSWRYGADNTSGENTSLSYQVNLKEVLPEWVTIGFSAATGNAIERHILRYWKFNSSLNIDDENEDRSKKMKLAVGITIPLSVLLVGGIVIFWRKKNHLQRSQETVALTSMNDDLERGTGPRRFSYQDLILATNNFSDDQKLGEGGFGCVYKGYLSRDGIAIAVKKISQGSKQGKKEYITEVKIISSLRHRNLVQLIGWCHDQTQFLLVYEFLPNGSLDSHLFNKRSPLEWTVRYKIATGLACALLYLHEECEQCVVHRDIKASNIMLDLGFNVKLGDFGLARIMDHEFGIQTTGLAGTLGYIAPEYVSTGKASKESDVYSFGVVALEIACGRKVNDRVDQNSDLGLVQWVWDLLGKGQLLSGVDQMMRTEFVVKEVECLMMVGLWCAHPDRNVRPSIRQAIQVLKFESALPNLPVKMPVAMYYAAPVASVVSFSGGTMTNNSMDLVR >cds-PLY66680.1 pep primary_assembly:Lsat_Salinas_v7:1:53404087:53406316:1 gene:gene-LSAT_1X46560 transcript:rna-gnl|WGS:NBSK|LSAT_1X46560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVADVFRGFGQWKLWFPCRFFTINAASITLIAITTKLLVDLSTNLSDDHENIAKFVSICFLVAMLANILPSLGLMNDKELLLNTVALCILILTINVNMWIQVIIAQSSLVSWTTILLLILLIPCPFSVALTVSASRRALEQRYKELHSLASNYEQINFSSKELIRYVKKYWMMAETGNPQFVIACSPVSCAFGDVCLVLAFNSVIILVDQFVDISNFQNYDSDYKWSINVIIVFQSIGAIVGSIAPIFRCLTTTGHFNLSMKWSKHHINVFRVEKHWIQTLQLWKSSPVPKHIPGRHCKKVFHKIRNLILNFCIALQITIVVICKTECLIPRFFLILFSYCYHFGKSYLKRFKEEPNASDSNVISDMEEYTGYILQIEPDAKLSKRILRNVLSSITRLLQESEKKEPRNLMKLLEKSTGFIGVVEFDNDQVPPLHPEEVQNCWSLVTVTLTAIALALPNIANCHFKGLLSSMKEGLQFVRHVEESLNDYDEMIKARKAATHVWTDIEVYCKWLQIDLQKKARKGKTSKDILQWLGDEAAKIVIQFKTRKNVSLDHSLSKFIAASSMYRISQTILLHCHEQENCPTDEELFEWISTIIADLLCACFTNLPRVITMKCHVDAIEKREDNIRTAAQLLGRSKNILKMLKKRQLPNLDIESMGYVDKWHALSKSQIPNGNSARIQPGSSSSNESLVVTII >cds-PLY85507.1 pep primary_assembly:Lsat_Salinas_v7:2:195764310:195765770:-1 gene:gene-LSAT_2X116581 transcript:rna-gnl|WGS:NBSK|LSAT_2X116581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRNCGVESPSPRPRIHQTHPHDTPHFHSTLSERKLRRFNTLIFVFRLAAFSSALSAAVFMLATKSASPHWNDFGAFRFVVAANAIVALYSVFEMIASAWEISRGSTIFPEFCQVWFDFSHDQVFAYMLLSADAAGTEMARQLRRVATCTANNAFCIQSDIAVGLGFAAFLFLMISSLLSGFRVACFVIKGSRFHL >cds-PLY78895.1 pep primary_assembly:Lsat_Salinas_v7:5:305376055:305377199:1 gene:gene-LSAT_5X164981 transcript:rna-gnl|WGS:NBSK|LSAT_5X164981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDSETVVPVDGGGDGDLAEGGDPDITYCAEFVPPESFWLSKDSEFDWFDRNAFLERKESKKGNSNSKNLNPSVNPTYTNSNSARFSVNMKSKAAILGLPKTQKNTHIDSKRRQCNPANVRLFPKRSSSGGRAPGVVPVTEPSSPKVSCIGRVRSHRRRSRRRTSAIASATQPPPKPVNQQEKTSKVQKTSIVSRITSLFRSEGHRRRKNNKSSMKGTEQSENSTSRKSSVTVKPINSEPSTLSDPPALGGMNRFASGRRSGNWTDISA >cds-PLY95158.1 pep primary_assembly:Lsat_Salinas_v7:1:93686526:93687143:-1 gene:gene-LSAT_1X77301 transcript:rna-gnl|WGS:NBSK|LSAT_1X77301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYSIKLDKRLPFIGHINGANLLEIQRLEISLGGFEMQFRDEHDDVDMGDETGGEEQQMLSFKRDFGDEEAYTAVIEHSYMVILTEKSTMEVALKDGLEKFPHSVVLNEWIKKMNELFKEVHEGASNKKVHEPECFNELNKNDIGDGGEGNSSPVGGLILTEVNI >cds-PLY87880.1 pep primary_assembly:Lsat_Salinas_v7:3:43970939:43972543:-1 gene:gene-LSAT_0X8701 transcript:rna-gnl|WGS:NBSK|LSAT_0X8701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSQLSSSVLLMVLTFLLSVSWATSLSSSSSGDLISCLLSKSNNVTTVSQLIFTPVNASFLPIWQVAVQNTRFLKPSTRRPSVIVTPMEETLIQTCVYCAKKHGYEIRIRSGGHDYEGLSYTADVPFVMLDFTNMRSIDVDVAKRTAWVQAGATLGELYYKISRETDTLYFPAGVCPTVGVGGYMGGGGYGNLLRKYGTAADNVLDARFMDVDGNILDRKSMGEDLFWAIRGGGSSSFGIVLAWKLSLVPVPKKVTVFILNKTLEQGATEIFHKYQYVAPNIDRNLHIRTQVFAEYIGNTTKKTIRIMFEGIYQGTTDTLLPLLYRKFPELGVTREICEEIRMVQSTLVFWGLPSSTPTEILTNRSAIAKLNNKSKSDYTRAPIPIKGLKKIWRKLMQNDESALLMINPFGGRMADFSETAIPYPHRAGVFLQILKTVNFNGQPSDTTPTSLRRIAWLRSLDALMTPYVSNNPREAYSNYNDLDLGVGSANYKEASVWGERYWKRDNFKKLIRIKAKVDPHNFFRRPQSIPVF >cds-PLY99479.1 pep primary_assembly:Lsat_Salinas_v7:4:57525117:57527828:-1 gene:gene-LSAT_4X38601 transcript:rna-gnl|WGS:NBSK|LSAT_4X38601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNVFVKKPKITEVDRAILSLKTQRRKLAQYQQQLEKVIEAEKQAAKDLLREKKKERALLALRKKKVQEDLLKQVDTWLINVEQQLADIELASKQKAVFESLKAGNNAMKAIQGEINLDDVQKLMDDTAEAKAYQDEINAILGEKLSAEDEEDILAEFDNLEAQMTILDLPEAPVAESSSTKDEDELDLPDVPTKAPEIVADKSQPAKTKVMEEPLAA >cds-PLY74606.1 pep primary_assembly:Lsat_Salinas_v7:7:40858317:40858736:-1 gene:gene-LSAT_7X32160 transcript:rna-gnl|WGS:NBSK|LSAT_7X32160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKSNSSWSGTSRRKNREIIRCSCGDICPIYVSRTPENPGRKFRGCPNYQDEDGGCGHFKWVDEEEDEFRAFKKQLNLQHKDIESVMLLKLIVGLLVSILVCLVVVVIKM >cds-PLY84250.1 pep primary_assembly:Lsat_Salinas_v7:7:77717319:77720088:-1 gene:gene-LSAT_7X55120 transcript:rna-gnl|WGS:NBSK|LSAT_7X55120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDCELGIMKVDFSKPKRKRFGRSNSQGGEIRMQEVVDFSGMSLDTLPLTTNINFGLVYKLDISNNNLQVIPESLTARLLNIEVLDVHSNQLKTLPNSIGCLSKLKTLNISGNQLQSLPITIENCRALEDINANFNQLTKLPDTIGFELVNLKKLSINSNKLTFLPSSTSHLTNLRHLDARLNHLRSLPDDLENLINLEILNISQNFQYLDALPYSLGVLISLVELDISYNKISILPDSMGCLKSLRKLNVEGNPLVCPPPEVVELGLDKVKQYLSEKMNGAHQHSSKKTSWFGKLKKYGTFNSSRMTQVEREGYLMPGYRTIDGLPSLRSMDMGMFSPLRIFSPKNYFTR >cds-PLY97611.1 pep primary_assembly:Lsat_Salinas_v7:5:233709919:233716617:1 gene:gene-LSAT_5X116360 transcript:rna-gnl|WGS:NBSK|LSAT_5X116360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGVGGVVEDEKKVLKVISKEEAKYWTDAFPIGNGRLGGMIWAGVYSETINLNDDTLWTGSPGNYTNPDAPKALSEVRKLVDDGNYAEATTAAVKLSGEPSAAYQLVGDINLEFDNTAAAYDATTYQRELDLNTATVKVGYSIGEVEFTREYFASFPDQLIVSKVSANKSGSLSFTVSLNSQLPHRSSVNAQNQIVMERAENDDNSESIKFSAILDLRISDGIGTITVTEDNKIKVVGCDWGVILLAASSSFESPFAKPSDSTKNPTSEALNTLNSVKDFSYEQLYTRHLDDYQKLFHRVSIDLSKTDSEDVTENMVATSERVKSFKTDEDPSLIELLFQYGRYLLISCSRPGTQPANLQGIWNDKVTPPWDGAPHLNINLQMNYWPSLSCNLHECQEPLFDYIESLSVNGTKTATVNYETNGWVAHQVSDIWAKTSPDRGEAVWALWPMGGAWLCTHLWEHYTYTMNKDFLATKAYPLLEGCVSFLLDWLIEGKEGFLQTNPSTSPEHMFTTPDGKPASVSYSTTMDVLIIQEVFFAVVSAAQVLGKGEDDLIKKVVEAQGRLEPTKIGKDGSIMEWAEDFEDPDVHHRHISHLFGLFPGHTITVDKTPDLCTAADVTLNKRGEEGPGWSTTWKAASWARLHNSDHAYRMVKHLFDLVDPENEANYEGGLYSNLFTAHPPFQIDGNFGFSAAIAEMVIQSTVNDIYLLPALPRDKWGSGSVKGLKARGNVTVSVSWNDGGLNEFKLWSPNDNNLEAVESESVTRTIHYDEMSVVAKMLKGTVYTFDKELQFIKTD >cds-PLY90424.1 pep primary_assembly:Lsat_Salinas_v7:8:213179526:213183327:-1 gene:gene-LSAT_8X132500 transcript:rna-gnl|WGS:NBSK|LSAT_8X132500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNPWGLGLHLISISIFLLGTTYTCLGVGNISAVCTEEERLALLKFKQSVQDDSKMLSSWVGNDCCMWERVHCDDDSGNVKSLDLRGEKYDGEDRYYLVGAEVNSYLAQLKHLTYLDLSGNDFGGSRIPKFIGSLKQLSYLNLSHAGFQGIIPHQIGNLSNLKVLDLGYRDLMIDEPLVADDVAWTFGLSSLEHLDLSSVNLGEAQNRDMLLYMIPSLKELSLFRCRLSSSVLGPFVNSSRILPNIKHLDLGSNSFEGPLPGFFQNLTSLAFLDLSDYEPGSAWNFAKLLKMIPSLSELHLSNCWLDNTFLSSSHFNFSTLSNIQHLNLRWNSIGGIFPSVLTNMSSLRVLDLTENILNSWVPVMPNLVELHLSYNMFNRFEQLGIWRHCHLIHLDVAGNVNGMEMIDSSHNVSECSQYALESLDLVESFQNGTIPEALGRMVNLRFLYLSRNNRLTGPIPKSLGRLRHLDVLDLSTNDLTGPIPTFLGKLSVIDLSWNQLMGSIPKSFGNIATLRVIKLSNNQLNGSIPESFRNLAALRELDLANNQLTGPIPASLGRLVSLRAMMVWRNLLNGNIPVSIGQLAKLQSLDISYNFLEGVVYEAHFANLSMLNNFDASFNMKLTFNVSREWTPPFQLQSLQLGSCNIINGFPQWLQNQRKIESLVLSNATISGPLPMWLQKTPIIAFLDLSNNKLIGPLTYLPNRGVNHLWHATGGGLLLQNNLFNGSIPRSLCTTNLQYLDLSKNRLTGKIPDCFKNLKNLVTMRFSSNRLRGVIPSSIALNSLIRLRLNSNNFIGELPQELGNLRDLEVLDVGDNKLSGDIPIWIGENLTSLMVLRLHKNNFRGRIPRSLCNTSKLQILDVAYNNLTGTIPHCLGELNAMVKSDPDFLSHPDHDENLIQGIKGVELEFTKNLGILFNMDLSSNKLVGEIPVELTALCMLVGLNLSNNHLSGVIPGSIGNLTALNSLDLSRNELTGMIPRSMAALTFLSYLNLSRNNLWGRIPTGNQLQTLDDPSIYVGNKDLCGPPLPKICSNQVDPTTITEKEYEETDHEPMKIWFYVGIMCGFATGFWGVIGVLLFKKQWRLKLFESVEETIEKIYVAVVVRIVKLKRGRETA >cds-PLY73679.1 pep primary_assembly:Lsat_Salinas_v7:5:207147780:207154325:1 gene:gene-LSAT_5X96921 transcript:rna-gnl|WGS:NBSK|LSAT_5X96921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHRLEQESGFFFNIRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKKDRAKAVEILVKDLKVFSAFNEDLFKEITQLLTLENFRDNEQLSKYGDTKSARGIMLGELKKLIEANPLFRDKLNFPTLKNSRLRTLINQSLNWQHQLCKNPKPNPDIKTLFVDHSCGQSQPNGARAPSPVNNPLMGSVPKPAGFPPLGAHGPFQPTPATLPTSLAGWMANPSPVPHPSASAGPLGFNPPNNSALLKRPRTPPTNNPAVDYQTADSEHVLKRTRTFGISEEVNHLPVNILPVGYSGQSHGQSSYSSDDLPKAVVMTLNQGSVVKSMDFHPVQQILLLVGTSTGEVMIWELGSREKLAHKNFKVWDLGACSMPLQAALSSDYTASINRVTWSPDGTLFGVAYSKHVVQIYSYHGGDDLRNHLEIEAHVGSVNDLAFSYPNKQLCIVTCGEDRSIKVWDAVTGTKQYTFEGHEAPVYSVCPHFKENIQFIFSTATDGKIKAWLYDNMGSRVDYDAPGHSSTTMAYNADGTRLFSCGTNKEGESYIVEWNESEGAVKRTYNGLGKRSVGVVQFDTTKNRFLAAGDEFLIKFWDMDSVNLLTTIDAEGGLPASPCIRFNKEGILLAVSTNENGIKILANPDGIRLLRTMENRSFDPSRVASASVVKTSPMSTFGAANPSAGPSIMDRVPPMPSMVAMNGGDNRSLVDVKPRIVDESMDKSRIWKLTEITEPAQCRSLRLPDTTSSAMRVSRLIYTNSGLAILALAANAVHKLWKWQRNDRNSSGKATANVVPQLWQPTSGILMTNVISDATNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRIDEVKTKLKGHNKRITGLAFSNILNVLVSSGADSQLCVWSTEGWEKQTSKHLQIPAGRVAAPIVDTRVQFHNDQTHLLAVHETQIAIYEAPKLERLKQWVPQETSGLITHATYSCDSQSIYVGFEDGSVCILTASTLRLRCRISSTAYLPSNPNSRVYPLVIAAHPTEANQFALGLTDGGVIVLEPQESEGKWGTSPPLENGAGPSSMTPGATSTTDQTQR >cds-PLY74932.1 pep primary_assembly:Lsat_Salinas_v7:9:14410431:14414119:-1 gene:gene-LSAT_9X12880 transcript:rna-gnl|WGS:NBSK|LSAT_9X12880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRHNHYLFLLLSIVVFVVDASNDEAVVLLSWLHSSSTTSLDSSFSNWNPSDQNPCNWSYITCSPQKYVTKIDIQSMELALPFPSNLSSLKSLDTLIISGANLTGKIPDDIGECSALQVFDVSSNTLVGSIPTSISKLVNLQDLILNSNQLSGIIPPQIGNCTRLKNLVLFDNYLTGELPVELGNLSMLEVIRAGGNKDISGKILYELGNCRNLKVLGLAVTKISGSIPSSLGSLSKLQTLSVYTTQLSGEIPKELGNCSDLIDLYLYENDLTGSLPSELGNLHNLEKLMLWQNNLVGSIPPEIGNCKSLKTIDLSLNFFSGVIPWSFGNLIDLEELMLSNNSLSGSIPPVLSNCTNLIQLQLDRNAFSGSIPMELGMLPELSVFFAWQNKLEGDIPSTMAGLKSLQSLDLSHNFLTGSLPSGLFELKNLTKLLLISNSISGSIPSSIGNCSSLIRLRLVNNKITGPIPKEIGFLEDLDFLDISENYLTGVIPDEIGNCTHLQMVNLSNNSLSGTLPSSLSSLMNLQSLDVSSNQFIGQIPESFGQLAFINRLAISRNSLSGSIPTTLGLCSNLQFLDLSGNKLSGNIPVELFSLVSLDIALNLSWNLLNGTIPVEISSLNKLSALDLSHNMLTGDLMALSSLVNLVSLNVSYNNFTGFLPDSKLFRQLSVQELAGNEGLCPLGRNSCFLGQTGTGIATRRSIRQSKKLKVAIALLAVAAVALVILGVIAVYRVPKVKSQDNDSETGNWSSSNWKFTPFQKLSFTVDQILRCLVETNVIGKGCSGVVYRASLDHGEVIAVKKLWPTTMAAAYCENDRAPSTGIRDSFSTEVKTLGLIRHKNIVRFLGCCWNRNTRLLMYDYMPNGSLGSVLHGNSGCCLEWNLRYQIILGSAQGLAYLHHDCVPPIVHRDIKANNILIGLDFEAYIADFGLAKLVDDRDFARSSSTVAGSFGYIAPEIGYMMKVTEKSDVYSFGVVMLEILTGKQPIDPTIEDGLHIVDWVRQKRGGVQVLDRSLRARPDPELEEMMQSIGVALLCVAPSPDDRPSMKDVEAMLKEIRHDREEGSKPESMMMLKGSSATGSVDDGEEKEKCKENEGPSTDAMKCLYIESNNSSFSASSLLYSSSSTAGKNGV >cds-PLY85550.1 pep primary_assembly:Lsat_Salinas_v7:2:196550123:196552427:1 gene:gene-LSAT_2X117360 transcript:rna-gnl|WGS:NBSK|LSAT_2X117360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPIAVDNIIERLTEVRSTKSGKLVQLSEAEIKQLCAASREIFIKQPNLLELEAPIKICDLFNVLISFHVIAQPILQITMTSESDIHGQYSDLLRLFEYGGFPPQSNYLFLGDYVDRGRQSLETICLMLAYKIRYPENFFLLRGNHECASINRIYGFYDECKRRFNVKLWKAFTECFNCLPVAALIDDKILCMHGGLSPDLSDLDQIRNLQRPTAIPDTGLLCDLLWSDPSKDVKGWGMNDRGVSYTFGADKVSEFLTKHDLDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVNENLLCSFQILKPVEKKDKFLNVH >cds-PLY91156.1 pep primary_assembly:Lsat_Salinas_v7:4:161395805:161397398:-1 gene:gene-LSAT_4X97000 transcript:rna-gnl|WGS:NBSK|LSAT_4X97000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSQTFTTLFIFCLVSLSYAASGPPKLPKPKAIHLPIRKNQTSLQYYTTFESGNAEEPNIIDALIDLGSRSVWFDCASYVSSSFKRASCGSNKCKKAKGSSCIECNKTPRPGCPKKDTCAVLTYVPYLVYYTDQVLGEDTMRVYSTDGAYVWFSYYIKNFQFSCALTIESLPGDHAKGLAGFANDDISFPSQISSSLKLAKKFALCLPSSSDSGLGDIFIGGGPYYMLPSIEDQSLSLVTTPLVVNPIGTNPFFAEGEPSLEYFINVKHIEISGKRLALNSSLLSIDKNGVGGTKITTMQPYTSLEMSIYKRLLEDFVKEASLKKIKRVKSVAPFEACFDSKTVPNTITGPAVPNIDLVLEGSNVRMRLYGANSMVEAKKNVICLAFINGGFESTTSIVLGGHQLENYILEFDLTSSKLGLSSSLLVFNTSCSHSRVY >cds-PLY71503.1 pep primary_assembly:Lsat_Salinas_v7:2:122308971:122310430:-1 gene:gene-LSAT_2X56480 transcript:rna-gnl|WGS:NBSK|LSAT_2X56480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP18-2 [Source:Projected from Arabidopsis thaliana (AT2G36130) UniProtKB/Swiss-Prot;Acc:Q9SIH1] MPNADGGPPEVTLETSMGSFTVEMYDKHSPKTCKNFIELSRRGYYNNVKFHRIIKDFIIQGGDPTGTGRGGESIYGSKFEDEITPKLKHTGAGIISMANAGPNTNGSQFFITLAPAQSLDGKHTIFGRVCRGMEIVKRLGSVLTDNTDRPVHDVKILRTSVKD >cds-PLY98596.1 pep primary_assembly:Lsat_Salinas_v7:1:37429123:37430365:1 gene:gene-LSAT_1X31721 transcript:rna-gnl|WGS:NBSK|LSAT_1X31721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKSQSFITCIQLLLVCSVATVGSRPATLNRRGLSEICDPLLEDCGDQWPFSPISSSNNPFISRPFLRRQTPPDLLPTLPPVVVASPPPTVSDPTFSPLVASPPPPGTTINPPTFPELPPDYDDDDDTNTAPPANDGANLPPEVTLPPIVHRRSHPDLYLSPPLLNLPAPPLVPIVYQQQEIVMPPPPPPPTNK >cds-PLY82833.1 pep primary_assembly:Lsat_Salinas_v7:1:87702086:87702691:-1 gene:gene-LSAT_0X4660 transcript:rna-gnl|WGS:NBSK|LSAT_0X4660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKHNKSLPFVFIIYVTAFLCIIKVGAGGCFWSSGWFINVCNLIPNEKVLVHCKSKDNDIGGVQTIGWNESVHWQFCENIVSPSTLYFCHIWWGNSEQVFDVFNGQLKRQCIEKEKKDYWRCTWLIKKDGFYLIDRTNGGRNDVKKYDWKPIGSA >cds-PLY96770.1 pep primary_assembly:Lsat_Salinas_v7:2:171983938:171986796:1 gene:gene-LSAT_2X94701 transcript:rna-gnl|WGS:NBSK|LSAT_2X94701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKAVYISDVPNLDQVPETAASFSLYATRLPIAVDVSKSVDNSPKFTVIGHRGHGMNILHSTDRRMKAFKENSILSFNNAAKHPIDYIEFDVQVTKDDVAIIFHDDFILSQEHGSVVEKRVTDLTMKEFFAYGPQREVSMEGKALARKVNGTVVGWQVESDDHSCTLQEAFEKVNTRLGFNIELKFDDHRVYEEDHLIHALQVVLKVVSEHANDRPIIFSSFQPDAALLMKKLQQKYPVYFLTNGGTEVYDDVRRNSLEEARKLAVGGGLDGIVSEVKGIFGNPSAAREIKESNLGLLTYGKLNNIQETVHVQQLMGVDGVIVDLVEEIARAVEETKTRNGHGGVKSEETEKGLIELSFLLNLISKVIQH >cds-PLY89456.1 pep primary_assembly:Lsat_Salinas_v7:8:30950111:30950535:1 gene:gene-LSAT_8X22320 transcript:rna-gnl|WGS:NBSK|LSAT_8X22320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWTTYKLKEIQSFEIEADGFGVGNLIEQSSNLECDKNENQDTCIEEYEEKYETIFNNVSTEKDNMEDIILHCLSKFSEDNRTKEMIRKFRDIFSTTLFSSREKGNK >cds-PLY90259.1 pep primary_assembly:Lsat_Salinas_v7:8:14565259:14567365:1 gene:gene-LSAT_8X11600 transcript:rna-gnl|WGS:NBSK|LSAT_8X11600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSWSLEDINICLETQKPSKTPPTFSKHPQLKYPHPVTSSAADDANGGCXFSSYFISFHPRILWPISELTRATETEATIMLQRRCTSRSVHWKISASVNLKPPPYSLHALEPHMSKETLEYHWGKHHRAYVDNLNKQIEGTELDEMTLETIISTSYNKGDVLPAFNNAAQVWNHEFFWESMKPNGGGKPSGELLALINRDFGSFGGLINEFKSAATTQFGSGWAWLVYKEHKLDIPNARNPRPSEEDKKLVVVKSPNAVNPLVWEYHPLLAIDVWEHAYYLDFENRRPDYISVFLDKLVSWEAVSLRLEAAKVLVAEREKEMAEIDAVDDVDTGEPEDADNMYFGSDPEEFLDDE >cds-PLY64154.1 pep primary_assembly:Lsat_Salinas_v7:1:2197938:2202106:-1 gene:gene-LSAT_1X1820 transcript:rna-gnl|WGS:NBSK|LSAT_1X1820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGWLKQLRIAFGASFVWLVCLIYFTQGFRSFVWTAVSYQLKDRLKLTPSASQFVTSIAFFPWSIKPIYGIVSDCIPIKGRKRIPYLVIATLLSLFPWVVVGIEESIRNSRDQLMIFLLLQNLGSAMADVVIDAMIAEAARLEKAKFAGDLQSVSWMAMAVGGICGSLLGGYALTNFQMETIFLLFAVLPTLQLFSCAFVKETPVPKQSTSKTNGLNGSISVSDEDKSTNQIHNKTNTLRRKKSSKRKMNKSNSQILEKDPSGSFSSGLQWLRSLKLAGYTLFKAFRQPIILRPMAWFFLAQVTIPNLSTIMFYYQTEVLNLEASFLGTTRVIGWIGLMLGTFIYNRFLKKMKLRTILMFAHVGLSLLTLVDVVLVCRWNVWLGISDETLVVFGSALSDAVNQFKFMPFLILSGQLCPPGIEGTLFALFMSINNLGSTIGSFVGAGLASFLNISSGSFDNLSLGIGIQVFCTFFPVFFLFLIPEEATGISAS >cds-PLY70689.1 pep primary_assembly:Lsat_Salinas_v7:3:105159848:105160216:-1 gene:gene-LSAT_3X76580 transcript:rna-gnl|WGS:NBSK|LSAT_3X76580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGKIRSEETEVDQSEEASRAATSVKMIPSEVVGCKEQKRESECGLVGLWWSELSLAAAVEKLIGVSGMVSIAGKKTDHQYDLGVFVCFNRNLWNE >cds-PLY74032.1 pep primary_assembly:Lsat_Salinas_v7:7:10199024:10199636:-1 gene:gene-LSAT_7X9121 transcript:rna-gnl|WGS:NBSK|LSAT_7X9121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTPLKVAVIGAGLCGLIAARELERESHEVVVFEKAHRLGGVWVYDPRTESDLLGVDPQREIIHATIYKSLRTNSPRQIMGFSDYPFQGKEYGDPRMFPGHEEFLRYLEDFAEKFAVKKLIRFNSEVTRVDFICSRYEFQVEWKSIPVSSASSSNSTEVFDAVVVCNGHNSQPRVALDIQGMK >cds-PLY97478.1 pep primary_assembly:Lsat_Salinas_v7:1:194206926:194207693:-1 gene:gene-LSAT_1X125641 transcript:rna-gnl|WGS:NBSK|LSAT_1X125641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEREDEWYLLRALHQDGHAQLLSCNESCPLCHGSLKRVNSEDLRVLPCTTNVVDQKTMSKEDKKWVLIQIPEAI >cds-PLY79525.1 pep primary_assembly:Lsat_Salinas_v7:1:34381532:34382109:1 gene:gene-LSAT_1X32521 transcript:rna-gnl|WGS:NBSK|LSAT_1X32521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYQNGQGIDLFILDHIINRLLEFQLARTIQQVQLVEAEIRQLCATAREMFLLQPDILELEAPIKICGFPPNANYLFLGDYVDCGKQIRETICGNIQVKYGMGSSKIWKKRKA >cds-PLY76292.1 pep primary_assembly:Lsat_Salinas_v7:7:124782423:124783083:-1 gene:gene-LSAT_7X77161 transcript:rna-gnl|WGS:NBSK|LSAT_7X77161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCFVCSCRFFNEAGKTDEVYKHMEMKLFFLEVDHEGKTMLEEAFALGHLDSTFVLGMMVLAKGRHRKQEALDMLNNAYRRAKGKWNLRANCSKVHLNLNREGRKHVHFHGIRKTFAMHKSIISVSDAFVNGYKWVFRCEICLWDACFVSFSREFGIICELFVDMYFHIMTINAMYILLV >cds-PLY97884.1 pep primary_assembly:Lsat_Salinas_v7:4:89943056:89944390:1 gene:gene-LSAT_4X58741 transcript:rna-gnl|WGS:NBSK|LSAT_4X58741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQNDEQSLDLLRSEAHIWNHIYSFINSMSLKCAIQLQIPDIINRHGAPMLLSELVEALSINKEKTQYVFRLMRILVHSGFFVKQSISTTEDNDEEETKGYLLAPASRYLLTEEPLSSRSLVLAMLDPILMNPWQQMSKWFQNDDANPFLTTHGSHYWEFLGEEPKLKQFFNESMANDSRLVMSVVLKHCADSFRTLNSIVDVGGGTGTVAKAIAEAFPDISCISFDLPHVVIGLVGSKNLRYIGGDMFEVIPKADAVVLKWILHDWSDEECIKILKQCKEAIPSKENGGKLIIIDMVVKNHKEDNNLLMTQLYFDMLMMTVLTGKERSEKEWAKLFLDADFSDYKITPILGLRSLIEVYP >cds-PLY84678.1 pep primary_assembly:Lsat_Salinas_v7:2:151516928:151517781:-1 gene:gene-LSAT_2X78020 transcript:rna-gnl|WGS:NBSK|LSAT_2X78020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQYGGEQHGHEEGHHTDEQAHNPLQSTAVGHDEDIEATKTNTIGTGAAHGHVDEQGKHNGAGAGGILHLSGSGNSTSSEDDGEGGRRKKKGVVEKIKEKLPGGDEQDASTTTTVETGGGVGYEGEDEGHEKKGLMEQINEKLSGSQQ >cds-PLY85504.1 pep primary_assembly:Lsat_Salinas_v7:2:196398689:196402189:-1 gene:gene-LSAT_2X117181 transcript:rna-gnl|WGS:NBSK|LSAT_2X117181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTVMIFLEFWMEGEDTYIVYMGDLPKTKTDFSVAAVHSNMLQQVIGSRASKSLLHSYKRSFNGFVAKLTEDEKNQLARELIHPYILLHAEMEGVVSVFPSQNKKLHTTRSWDFMGFPQDVKRAPLESDVIVGMLDTGAWPESDSFKDDGFGAPPAKWKGSCASKNFTCNNSYDCGVILINVSKLIGAKYYNTDGSAGDEELSARDTEGHGTHTASTAAGRAVNNASMLGLGKGTARGGVPSARIAVYKICWTGGCSDADILAAFDDAIADGVDIISLSVGGSFPLQYFEDTIAIGAFHSMKNGILTSNSAGNDGPGAGSITNLSPWSLSVAASSIDRKFLTQIVLGNNATYEGPTVNTFDGAIHPIVYGGSVPNTKKGFTSDDSRYCEEDSLDPTLVKDKIVVCEDFDGPENALLSGASGVVIEGDFGYDDLAFSFVLPTTYLSGKDGNSVLSYLNSTTTPSASILKSYEPVDKAAPTVVSFSSRGPNLITLDLLKPDLTAPGVDILAAWSMGTTVTGEEGDTRVVPYSIISGTSMSCPHASGAAAYVKSFHPTWSPAAIKSALMTTAATMSPIKNLDAEFAYGSGHIDPLKATDPGLVYDAGESDFVSFLCGQGYNATTLKIVTGDASACSAASNATVWDLNYPSFALSAPQSGSIVRTFNRTVTNVGAAESTYQASMIAPSGLSVKVDPISLSFKSVGETQSFVVTVGATIGSKTLSGSLVWSDGVHKVTSPIVAFLSS >cds-PLY64322.1 pep primary_assembly:Lsat_Salinas_v7:4:22289119:22290999:-1 gene:gene-LSAT_4X15760 transcript:rna-gnl|WGS:NBSK|LSAT_4X15760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSTNALVNLQFNTNNCFNCEISRTNHSNSLQINQKKTYLNISNKRQNKFDRVVCFAVEDMRDMQRKLGIGFGGSIVESKPKVVDEIIEDKQSENEGAIYKFLYPDKELLPEDKEMSIFDHLEELRERLFVSVLAVGAAILGCFVYSKELIKLLEAPVSTQGVRFLQLAPGEFFFTTIKVSGYCGILLGSPVILYEIIAFVLPGLTKSERKFLAPIVLGSSILFYAGIAFSYSVLTPAALNFFVTYAEGVVESLWSIDQYFEFVLVLMFSTGLSFQVPVIQLLLGQVGLVSGDQMLSIWRYVVVGAVIAAAIVTPSTDPLTQLLLAGPLLGLYFGGAYTVKFIGR >cds-PLY81362.1 pep primary_assembly:Lsat_Salinas_v7:4:36850654:36852193:1 gene:gene-LSAT_4X23901 transcript:rna-gnl|WGS:NBSK|LSAT_4X23901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLWSGSWHANGCYTSIFSFGDSLTDTGNLKQVASITDGFFPFLQPPYGETFFHKPTGRCSDGRLIIDFLAESLGLPLVRPFLHDCDSVIEPGQGVNYAVVGATALNSSFLEARGTVNDLTNASLGVQLAWFKQPLASICSNVSNCRNLIGRSLILVGEIGGNDYNYPITDGKTIDEVEPFVPLGFTNGALKACCGVGGLYNYNRSSQCGLSYVPVCDDPNTYAVWDGIHYTEAAYRIISDSLFQGAYTWPQFNLVCPFHSVASS >cds-PLY87626.1 pep primary_assembly:Lsat_Salinas_v7:MU040392.1:1147697:1148695:1 gene:gene-LSAT_0X23820 transcript:rna-gnl|WGS:NBSK|LSAT_0X23820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCPFRLFFTLPTHLLLWLQLLMWASRLCLLLFIFDIFATSLSIQHFSLIFVVMVGVFGCAYLHLHFYLAVKTDYQKEETENIKAGEKQCSQFRSVYLLLLASHFSLGVVRMRGGMMVQ >cds-PLY94058.1 pep primary_assembly:Lsat_Salinas_v7:4:171303917:171304380:1 gene:gene-LSAT_4X100820 transcript:rna-gnl|WGS:NBSK|LSAT_4X100820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIIVGKLESALKSQEKFVKFKKASGIMLDIVAASLIRISPEASRVPLMLKSALSEDRPFGITCKNLIRTFHGSKQISPKDESSHPEP >cds-PLY83618.1 pep primary_assembly:Lsat_Salinas_v7:2:1249:12019:1 gene:gene-LSAT_2X281 transcript:rna-gnl|WGS:NBSK|LSAT_2X281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELVSAIISPIVESLIAPVKKQLGYLFSSTNHVRNMNTKIKLLDDTSRDVKKHMETNNRRNLEIPTHVTGWLEEVEKTKEKAQSIPSIGSGCCNLKMRYRVGKKAFKTTEEMESLIDENSKIIWSNAQKPLGKVNSKIASGSAPSDGESQNHFKSREKSFKDAFKSLQQDDTNKVIALCGMGGVGKTTMMEELKKTAEDKKIFDWIVKVVIGQKTNMLSIQQDVAEYMGASLTETSVAARADRLRITFGNLPEGRRKVLVILDDVWETIDLKDIGLSPLPNSFKLLLTSRNESICKQIAVEADSESTLVRVDVMEEPEAQNFFWQITGVSKQHDMELNQTGSEIVRRCGFLPLAIKLIAKTLQFQEVFVWRDTFQRLKKKNLDENVQEVIKISYNYIKTEEEKVIFLLCGLFPDDFNIPIEELTRYAWGLQLLSEVSTLGEARDRTKTCVQNLRNANLLMDSDYIGCVKMHDLVLAFVINTVSKGHHPWIINHGDISKWTRAEVKESCNRISITCKGMSEFPGDSKYPNLSLLRLMDGDKSLKFPEGFYERMENLEVIAYEKMQYPLLPRSLECSTKLRTLILHKCLLMFDFSVIGELLNLEVLSFAHCGITHLPSTIGNLKKLKLLDLTGCVNLRIDDGVLLNLVELEELYMRVADEEAIRFTDSNCVELAELSNHLSALEVEFFDNNGTPMNMLFTELKRFRISMGCGLVENTDKNMHSFKNTLRLVTNKNELLESSINELFEKIEVLYLEVDGMNNLEEVLMESVHLPQQTFNNLRVLDVFNCANLRYLFTVPIANCLMKLERLTVSQCPVLEVLAQSENGEAGAIKFQGLKFLRLDRLPKLIGLCNTANVIELPQLVELELDGLPNFSSIYPEKTSATSSMSSNASAIQPLFNKQVLIPKLEILGIWRMDKLKEIWPYQFSSSDDINACLLREITVRECDNIVNLFPTNPMSLLGRLEELVVFECGSIEVLFNIDMSCVGDIEEYNSNMRYIRVYKLGKLRELWRMKGESSSDILIRTFQAVERIEIEECERFVNVFTPTVTNSDVRTLMKVSIDGRRTWEETRGNIELVQNNQEINVISKEEIISEVDGNIPDVESSIHPKPFHVNHLQTLDVNGGCKDVEVVFEIESFSSNSTDFTTLHKYNHQPPPLLLPHLKELWLQNMERMSDVWKCNWKKLVIPQNQSQSYSFHNLTTIHMWNCKSMKYLFSPLMGKLLPNLKDVRIRNCDGIEEVVSKRDINDENEEIISSTHTNTFSSFPLLDSLYLFNLPSLKSIDGVSKMRLTIIMTTMSTSSVIHFASGRCSQVGVASWFLCQYSKKIYIENCPALSRVFPWYVVGQLNKLEELRISKCKSMVEIFESERVNNDGVDSTTNVGDGSDDTCTAITIPRSANMTLLQLPNLTILVISKCEVLEYIFTSSTLESLEQIKELIVKQCKAMKVIVKDDTEHTETSKSIIVFPRLKSLTLVDLPDLKGFFLGKNEFRWPVLEKVKIYGCPQMMNFTSGHSMTPKLNYIHTAYGKHSLECGLNLHLTNATHEDETQLPMCSTPDLIKLVQFPWSFSNLVEVDAQYGDKLLKSRIIFPCKELLNLKNLEKLSITNALGSVTQEVFEVAEGTNEDVDIETQSVVVFEKLKEVTLDTLNNLKHVWRSNRWIVLNFPNLTKVSIESCKLLGHVFTCCMVGSLLHLQELKISYCQSMNVIVKQVEDSETRPTTEVVFPCLKSITLEWLPNLKGFCLGKEAFEWPSLDTLEIKYCPKITVFTKGQSTTPELKLIDTTFGLCHATEDPNSFIKTKQEEVYGVSFGY >cds-PLY91340.1 pep primary_assembly:Lsat_Salinas_v7:8:47107013:47107492:-1 gene:gene-LSAT_8X35741 transcript:rna-gnl|WGS:NBSK|LSAT_8X35741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVCMKMNMVLQKRNLMFDRERNIYSYKSTSISCMAKELPMHNQNLYKLLSLESRNVSLDEIKKAYRAKALQLHPDVCRFSITDECTKQFVELHKAYEVLSDPNTRRVYDQELNMVECFGCGSGPQFGFYDRKKVWEMQLAGLKKRSADRMGRMKNEFM >cds-PLY74206.1 pep primary_assembly:Lsat_Salinas_v7:9:24094454:24100492:-1 gene:gene-LSAT_9X21601 transcript:rna-gnl|WGS:NBSK|LSAT_9X21601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEELLELQRQFESAQQAKSSIRLSERNVVELVQKLQQLHIIDFDLLHTVSGKEYITPEHLRLEIVSEIKKLGRVSLIDLADIVGVDLYHVEKQAQVVVANDSSLMLINGEIISDWYWNNVCEEINDRLQECSQIALAEIAAQLQVGSELLVTVLEPRLGSLIKGRLEGGQLYTPAYVARVNAMVRGAARGITVPMNLSVLWGSLQLLLQEMDAASGVAVEASFFQSMFNGLVKEGQVLGSVRAGVHWTPSVFAIAQRECVDSFFSQNSVVSYDALHKLGITQPIQFLQSRYPEGIPLITLFVHPSTVEMLDAAVEDAIERGSWIDSLSVLPASFVSQDAHKMLSLCPSIQSALKDNKALILGDSYVFSTTFIKDLYERMEKDLDTISLTDVAKSGQETNGTPSEVNDTISESGSYKQPSDKGSKKKKGKSNTNTKTADYNQDNDQEPIPPKSKKNHRKGKAASSSHGSDQKSSAKKDEDTLGIFSEEELCQKINGLVPDFEDQGVDPETVVVPLANHLRPMLINAWNERKKASLTDNAQKIKRVLDNLQKKLDESSLNMQLYEKGLDLFEDNPSTSVLLHKHLLRTTAAPMVDMLLLHLDLLNKLKNGIDVQDFDNTESVSLSSGDRVALAKKFEGPLSVKANAVVEALEGKRVEAYMTTLRAFADECGLSVKKLDKKLDRSLLHSYRKDLSTQVSAETDPVTILPKVVSLLYIQFFGRALQAPGRTISVAISKLKEKLDDSAYKTLEEYHGATVTLLTLISASTGDEEDCTSDRALTKKELLERLMPALKALVSPNSNPNPRPSQ >cds-PLY89462.1 pep primary_assembly:Lsat_Salinas_v7:8:31036141:31038028:-1 gene:gene-LSAT_8X22461 transcript:rna-gnl|WGS:NBSK|LSAT_8X22461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSVMLNFLFPPPPSLFVSTMSVIGLTSLSSAGYMEIKGKHMQYSKFLNVGVVKKEDNTKLSSRNGMLFSYTPAFLVGLASLLVFHDQDLRFMLLASALTAHFLKRVFEVLFLHKYSGSMLLESAIIISSSYTFSTATMIYTQYLSKDFPEPLVDLKYIGTGLFVVGIIGNFYHHYLLANLRKNGEREYKIPQGGLFDLVICPHYLFEILGYVGISCISQTLYAFAFTLGTIAYLTGRSYATREWYLSKFGDKFPKNVKAFIPYIF >cds-PLY66720.1 pep primary_assembly:Lsat_Salinas_v7:6:80657825:80658771:-1 gene:gene-LSAT_6X57640 transcript:rna-gnl|WGS:NBSK|LSAT_6X57640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLTNNTIIMQSEDTVEKAASVVLEFSLDVEENNNVDTNVGRIAVRPGWVPLQPLPESLQLHLLEMYRVLHSIHKYLWPILCKLDQLIFAGLALPGLEEKKDSGFKCSYSNRSSITCGYYASATA >cds-PLY97725.1 pep primary_assembly:Lsat_Salinas_v7:8:6940718:6944480:1 gene:gene-LSAT_8X4981 transcript:rna-gnl|WGS:NBSK|LSAT_8X4981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCSSYLRTVIVQGVNIQKVAEGLLQFPNFRKGLKTVSTATFLSDRIFPSGRRMMVDTSATGNQGPTTNKSSPEKDDNGGCASGGWKSEDGKLGCGYSSFRGKRASMEDFFDVKTCKINGQTVCLFGIFDGHGGSRAAEYLKENLFKNLMNHPEFLTNTKVAISETYQQTDSDFLESGKDTFRDDGSTASTAVLVGNHLYVANVGDSRTVISKAGKAIPLSEDHKPNRSDERKRIENAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQEQELDEEFELLVLASDGLWDVVPNEDAVTLALSEEEPELAARKLIETAFSRGSADNITCIVVRLYHHQSPPPTIEPQIQTDDTQTQTEIQIQTQTQTQTQNENENETKTGPIDG >cds-PLY92457.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:396088:398790:-1 gene:gene-LSAT_0X14861 transcript:rna-gnl|WGS:NBSK|LSAT_0X14861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDEEQFAYAMQLVTSMSLTMVLVNAMKLKIFETIAEAGPDARLSAHEIVSRLSMTNPSAHQMLDRMLRLLATHSVVTCNQQEREFGLVRVYGLTPVAKYFVPNEDGVSLCALMELLQDKAFVDSWFKLKDSLLEGGVPFDMVHGTHAFEYPALDARFNKVFNNAMTNHTTMVMNKILECYHGFENLKQVVDVGGGLGVNLNIIISKYPTIKGINFDLPHVIQHAPVSPGIEHIGGDMFEEVPPSDAIFMKWILHDWSDDHCEKLLKNCYKALSNEGKVIVVESILPFLSNTSSSDKVTTQIDAIMMTQNPGGKERTKHEFLVLAKNAGFTGIKMECFVCNLWVMEFYK >cds-PLY63380.1 pep primary_assembly:Lsat_Salinas_v7:7:152054363:152058864:-1 gene:gene-LSAT_7X89340 transcript:rna-gnl|WGS:NBSK|LSAT_7X89340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclase associated protein 1 [Source:Projected from Arabidopsis thaliana (AT4G34490) TAIR;Acc:AT4G34490] MDEKLIQRLESAIARLESLSPGSKPPSGTLDAVSAASEPSIVAYDDLLVEYVVKVSLAAEKIGGQVHDITIVLSQAFATQKELLMKIKQTQKPDGGGMTEFLKPLNDKLAQANNMSEGKRSDFFNHLKAASESLSALIWIAYTGKGCGMSMPIGHVEECWQSAEFYNNKVLVEYKNKDPYHVEWAKALKELYVPGLRDYVKAHYPLGPLWSTTGAVVSAPKSAQPSAPNPPSASLANLASSSSSRPKQGQGMAAVFQEISSKPVTSGLRKVTDDMKTKNRKDRAGFVSSGENEVQKSAPKTAAKTGPPKLELVMGRKWVVENQIGVKDLLIDDCDPKQTVYIFGCKDSVLQIKGKVNNITVDKCTKMGVLFTDVVAAFETVNCSSIEVQCQGAAPTISIDNTAGCQLYLSRESLETSITTAKATEVNVMVPSSDPDADLAEHPLPQQYIHTYQDGNFVTNPVSHSGG >cds-PLY82868.1 pep primary_assembly:Lsat_Salinas_v7:4:17750722:17754273:-1 gene:gene-LSAT_4X11780 transcript:rna-gnl|WGS:NBSK|LSAT_4X11780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKGNVGDQAQSLSSKDEPNSSAIDDYSAQDVADAFVKQYYNILQESPEDAHKFYKDTSILDHPCPDGSMKSATTLKDIDNELKGSNIKEWNPNLTTVHAQDSVVGSVIVGVTGFLTDNDNVTKHFAQTFCLATQEGGGFYVHNDFLQFIEINNISETSPPNLDVTTPIVAPQAIDKEKGSPKKDENKVASSSKAKNPLPTEPNKKSESVNIQEEAKRVSYASIVAKEGPVASSSAQALPNADRQSLALTNTNTIPKQSVKPLTLPSNDVLESMYDVKSIRIKDLPSHMTEESLQEVVKRFGPVKNKNIQIKEYSQDGYRYAFVEFESPKSARTAVEARFIQFEDRESEIQYKRYNSNQGGGGYSNYMGGRGTGTGTGRGGGFRSDNFWGAREGHVQGGYSSSSSSGSGNWGRRNRYSQDQSRSFN >cds-PLY99761.1 pep primary_assembly:Lsat_Salinas_v7:9:52900701:52902257:-1 gene:gene-LSAT_0X8180 transcript:rna-gnl|WGS:NBSK|LSAT_0X8180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENGNHKEVPDNANEHCPGTEAEDAGKSDACAGCPNQEACATAPKGPDPDLVAIAERMATVKHKILILSGKGGVGKSTFSAQLSFALAAMDHQVGLLDIDICGPSIPKMLGLEGQEIHQSNLGWSPVYVDSIGVMSIGFMLPDPDDAVIWRGPRKNGIIKQFLKDVYWGELDFLVVDAPPGTSDEHISIVQFLKETGIDGAIIVTTPQQVSLIDVRKEVSFCKKVGIPVLGVVENMSGLSQPLTDFKYVRQLKNGEQDDVTEWALKVMREKAPELLDLVACSEVFDSSGGGAARMCVEMGVPFLGKVPLDPKLCKAAEEGKSCFVGDECGVSAPALSAIINKLLKNNMFA >cds-PLY70302.1 pep primary_assembly:Lsat_Salinas_v7:2:92717046:92718171:-1 gene:gene-LSAT_2X39681 transcript:rna-gnl|WGS:NBSK|LSAT_2X39681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLTASSGLATGHASISCFVKPQSASIRLKPNSPAVSELGFVTSQLSGLKISYEQCTLPNNLSSTIKLPLQPVARRICPFTGKKSNRKNLVSHSNHKTKKLQFVNLQYKRVWWEAGNRFVKLRLSTKALKTIEKNGLDAVAKKAGIDLSKK >cds-PLY91531.1 pep primary_assembly:Lsat_Salinas_v7:1:11208393:11209056:1 gene:gene-LSAT_1X9380 transcript:rna-gnl|WGS:NBSK|LSAT_1X9380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEASEAYLVGLFEDTNLCAIHAKRVTIMPKDMQLARRIRGERA >cds-PLY73432.1 pep primary_assembly:Lsat_Salinas_v7:4:180987261:180987527:1 gene:gene-LSAT_4X105081 transcript:rna-gnl|WGS:NBSK|LSAT_4X105081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDAKFKFTPFLEAVRMRLNSDILNNMIITTVKPKRTNFLAEESYITGQMEELSKTWFLDYEMLKVVKLKINLVGLTFPVVVINICNY >cds-PLY65700.1 pep primary_assembly:Lsat_Salinas_v7:5:271586700:271592314:-1 gene:gene-LSAT_5X142161 transcript:rna-gnl|WGS:NBSK|LSAT_5X142161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSENGDGLDYLRIPFEKIKFGKKLEGHGYGSVFEGEFGDRRVALKQLNITNLGNIKPKLLGEILTISQFRQHPNLVALLGFCDERSNEIILVYEYVANGNLADKMRKRLNTIQRFEICLGAARGLDYLHTGVNSTTPGIVHGHVKLSKILLNSDSNSSKFEAKVSSFGLSKIVPGKAQKDPEDEVNEKPTKESDVYSFGVLLLEVLCGVSELVDTDDYQERHVSELVPKRLEQDKLRKIVHFDIRREIKTEALETYAKIACQCVMENPETRPTMTRVVEELEKALRLQGGEVTYVQILVSENINDVADETVVDDGQKEDGDDETMDSKTLDEEPQEAETVAVVEDAKEVISDVAGEDKADEISKVDNSSESTNEKKLDDDITVQERSFDASLLNGDNGESLADNTHIEDAEKESSNTVIQNTNDRETTEEITDDLSSKEESNITEDDNKNLVQIGDDQEEITNTAIASINEPETAEEITDDLNSKEISNVTTEIEDDNQSKTQSEDAPETTEEITNGSKSEEESNSGNPESDNRSWNEVGDGLDDLRIPFQKIKFGKRIDIDGYGSMFEGEYDNQQVALKQLNITNLGNIKPKLLSEILNVARFQNHPNLVALIGFCDEKNNEITIVYEYISGGNLADNLSKHLTTIQRLEICLGAARGVDYLHSGLNPTPGIIHGDIKLSKILLKLDSISSKFVAKVSSFGLSKLLPGKSQRILDPEGKTKESDVYSFGVLLLEVLCGVPELVDTDDYQERHVTELVPKRLEQNMLRKIVHFDIRDEIKPEALETYAKIACHCVVENPEERPTMAQVVEELEKALILQGGGITDIEVPGSSNGNGLHDENVDEDDNGETMDLNTEVEESKVIEESVDDVTMEVESFPVDDVPDITHVEEAKKESNELLVDPESTEETITDVQSNEESNIILEKELENESQIPLISLESNNGDIEKAEQENENTLPTNTHEHETKEEEIIDDKITPMEITTEVHNSVENGNSKIKLHGTKKEPEPIIATREINFNDFDTTNLIPITKPQESETKRSSSSTSTSSESSNGEGTAPSDSLLQKNPPNGNTTSYYVTELLRAEVNFGINQNHVVRVSEGNFLANMTKNLVLPVSSVHDGSLLFLLFSYMF >cds-PLY94504.1 pep primary_assembly:Lsat_Salinas_v7:2:158154776:158155763:-1 gene:gene-LSAT_2X80220 transcript:rna-gnl|WGS:NBSK|LSAT_2X80220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSVFPTDGDHIPSDDFLGTGFTPWDTDTHESPFLYPPQEPVFSFSGSDDSTPKTNSSNSGSDEGDVDRNPIEVTLIDERKRRRMISNRESARRSRMRKQKHLENLRNQVNRHKTVNREIMNRLRFVSHHAQVIRQENERLRSESVMLRQKLWDMRQVLLVRQLQNQLLSSAWPCNNNVTTINEQNPQSLIT >cds-PLY67158.1 pep primary_assembly:Lsat_Salinas_v7:8:205473667:205483802:1 gene:gene-LSAT_8X129901 transcript:rna-gnl|WGS:NBSK|LSAT_8X129901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR3 [Source:Projected from Arabidopsis thaliana (AT4G15180) UniProtKB/Swiss-Prot;Acc:O23372] MGDGGVACVPSLRFSIPETFCGGNDGGKFNINVTEDNVKMKLARRDKFPLKSGELCDDDSEDFSVEERDDKAAGNGHNKEEVEEGELGSWENGVFIPEKPRKFEIKSEIEKGEFVADRWRKGEVEKGEFVPGKWRKGGLQSLDYSNRRREFHSPESTLSKGGKDEMEKGEFIPDRWQRNEVVRDEIGYLKTRRHDSAKDKGWKQRTPGKYSGEKDCNRNGNQYSKKSARWENNSRERTPRMSSKIVDDEGPLKEEFSNGKTHPRDFPLGKRLKRHSVHTDINDRKHHGEFNDYTPYKSRRISDDGSRSTYNTEHSYKSSLSTRNVPSERYSRNCESPLSSRPSYDRHNNSPRHPERSPRQHDRPRQHDRSPARRDRSPYGRNRHYDHRNRSPSYSERETARKTGSSEKRKNHYESRSQEEKVNSREPQILSKDSETRTTLDASDEKNARPTLQKEDLKQPQEVNGAGEEQISMEEDMDICDTPPHVPEADATVSISTTGKWCYLDYHGVEQGPSRLSDLKILVKEGFLVSDHLVKHVDSQRWVTVENAVSPVATANFSSSFLDSVTELVNPPEAPGNLLVDVGELGPISNQLGEEAVSAETSKSKELESEEGQGQSQSQGLRIDQRVEALFDGVPRIPGRELETVAEVLQITFANGELQTWGYSEGDYDNLDISTQPELPSKEIITPSESEFGDSCDWFSGKWSCKGGDWRRMDDINQDNKSLKKKLVLNDGYALCQMPKSGDEDPRRHQKDELYHSSHNKRLDLPSWAFNPTDEITSKNLSIRGGVKGMMLPVIRINTCVVKDHGSFVSEPRIKSRGKERYPSTSRPSHSHSHSHGHHSKRSSEESVPRRKTTHNHHHNHHHDDLSGKTIMPLNMPKNHLYTKDDLQLPLGDWYYLDGAGHERGPMAFSEIQTLVDQGVVQEHSSVFRKLDKLWVPVNSVNSGNEGSRNIDVDDENKSNSFHDLHPQFIGYTRGKLHELIMKSYKSREFAAAINEVLDPWINLRQPRKEIEKNIVINTKFSRSGDGFDGDYELEDASILEKDECGFDDLCGDATFCEEPVLKNENWGLLSGQVLARVFHFLRTDIKSLVYANLTCKHWRSVVKFYKGISKQADLSSVSNCMDSSFHNIMNGYNKEKITSLILRGCNKITPTMLEEILGMLPSLSTVDIRGCNQFEDLTRKFPNIIWIKTRVPQSTRVTERTSIFRTYDSRDSHVEDSSGLRDYFESLDKRDASNQLFRRSLYKRSKVFDARKSSSMLSRDAQLRRLAMKKSENGYKRMKEFLALGLKDIMKENTFEFFIPKVAEIEGKIRNGYYAGRGLSSVKDDIRRMCREAIKAKNRGDAKDMNRIITLFIRLATSLEDRSKFSIEKDSLMKNWKDDSFSPPGFCSSTSFKSKKVNRERKYTSDREIKRRLSKLNRKSPDSATDTSNDGSRSSEETETDSETSSSNTNSDPETRSESGPGPDYITQDDGFGLDPWVDDREWGARMTKASLVPPVTRKYEVIDHYVIVTDHENVERKMQVTLPDDYSEKVIAQKNGTEESDMDFPEVKDYKPRKQLGDEVIEQEVYGIDPYTHNLLLDSMPQDSDWHLLDKHVFIEDVLLRTLNKQVRGFTGSGNTPMKYSLKPVIEDIFKTAKEGHDSRIAELCQYMLKAMSSRPDDNYVAYRKGLGVVCNKETGFTEDDFVVEFLGEVYPAWRWFEKQDGVRALQKDSKEPAPEFYNIYLERPKGDGDGYDLVVVDAMHKANYASRICHSCRPNCEAKVTAVDGQYQIGIYSVRPIVYGEEVTFDYNSVTESKEEYEASVCLCGSQVCRGSFLNLTGEGAFQKVLKECHGILNRHQLMLEACEANSVSEEDYIELSKAGLGSCLLSGLPYWLVAYAARLVRFIHFERTKLPEVILTHNLEEKRKYFTDICMDTERNEAEIQAEGVFNQRIQNLALTLDKVRYVMKKVFGDPKEAPPLVEKLTPKEVISFIWKGEGSFVDELIQSIAPHMEDGHLTELRSSIRSHDPSSSDDIQTALRKSLLWLRDEVRNLPCSYKCRHDAAADLIHIYAHTKYFFRIREYETVVSPPVYISPLDLGPKAAVKVGPGLHEYRKTYGKNYCLGQLIFWHNQAYAEPDSNLIRAARGCLSLPEIGSFYAKLQKPSPQRVYGPRTVKSMLSRMEKQPQRAWPKDKIWSFKSCPKVLGSPMLDALLNKSPLDKDLVHWLKHRPAIYQAMWDR >cds-PLY80638.1 pep primary_assembly:Lsat_Salinas_v7:5:246553358:246553910:-1 gene:gene-LSAT_5X121620 transcript:rna-gnl|WGS:NBSK|LSAT_5X121620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALHGGRQHGDPMVVGGGGGGGSSSIALLQERFRQLEKMREKREEMAVLKLLSESETKSKKTKHYERLVHPEEMKARSSFQESLSLGLDLFGKKTEHQASKTPPFRDFWSMDSVTVSTSCVHEKPDVDTSLHL >cds-PLY91017.1 pep primary_assembly:Lsat_Salinas_v7:7:179149532:179151350:1 gene:gene-LSAT_7X106380 transcript:rna-gnl|WGS:NBSK|LSAT_7X106380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRKQETLIGSGACVAVTVLLALKLVRDHLSHWKKPKEQKAIIVIILMAPIYAVDSYVGLLDIRGSETFFVLLDSIKECYEALVMAKFLALLYTYLNISISKNIVPDEIKGREIHHSFPMTLFQPHSVRLNHQNLKLLKYWTWQFVVIRPVCSVLMIVLQLLEIYPDWLSWTFTMILNVSVSLALYALVIFYHVFAKELAPHKPLAKFLCVKGIVFFCFWQGIVLSGLVAMGIIKSNHFWLDVSHIQQALQNALVIVEMVFFAMFQMYAYTAAPYKAADIKEKKKE >cds-PLY82176.1 pep primary_assembly:Lsat_Salinas_v7:1:13467360:13471163:1 gene:gene-LSAT_1X11620 transcript:rna-gnl|WGS:NBSK|LSAT_1X11620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKPAFLLPQNAMVRSNILSYNTITATYHSQIRFSSPQMNYELTGSVLSFNRMIETIPVPPVRQFNHILIKIAKMKQYPTAISLILDHDLLGSNSFVKPNLYTFSIAINCFCHMDRVDFGFSVYGKVVKLGYKPDSAIINTLIRGLCDYGNICEAEKLAHGIMNNGLQPTVVTIGTIVNGFCKRGDSQAALLFFRDAEKTMGCQPSTTEYNILINGLCKDGHVTQACEIYIEMINKGILPDVVTFNTLIQGVRNSGQWEDADVLLIEMETQGISYDVVTCSIIVDYLCKKGEIEGAHKMIKSMLERGMKPDTYTYTSLMHGYCLPGKRNDVRMIFKIMIAQGCVPSAFTFTTLIHGYCLLGKVDVARKIFEFMIGEGYAPCVYGYSILIEGYCKSKKKEKIEKAWDLFSEMYGNGVVPNVVTCTSLINGLCHVGRLKEAFQLLKDIPNWGIYPNIFTYSTLIDSYLKNEKMDEALKLFKTMECIGIKPDIVVCTSLIDGMCRAGKVDGGYQVFLRLAASGLHPNCHTYNVLMGGFFKTGYLKGVNDLLKKMEVEGCMMDGVTYNLVIQGFLRYRETKRALLCLESMLDAGFSANSGTLNDLVKLLHTEELDKASKQVIKKSFS >cds-PLY97052.1 pep primary_assembly:Lsat_Salinas_v7:4:350608497:350611862:-1 gene:gene-LSAT_4X173241 transcript:rna-gnl|WGS:NBSK|LSAT_4X173241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 4 [Source:Projected from Arabidopsis thaliana (AT5G15630) UniProtKB/Swiss-Prot;Acc:Q9LFW3] MRIIISTCVFLVLCSFSAAFDPLDPTGNITIKWDIMSWTPDGYVATVTMNNFQMYRHIQTPGWTLGWTWAKKEVIWSMVGAQTTEQGDCSKFKANVPHCCKKTPTVVDLLPGVPYNQQIANCCKGGVVAAWGQDPSAAVSAFQVSVGLAGTTNKTVKLPKNFTLLGPGPGYTCGPAKVVPPTTFLTPDRRRKTQALMTWNVTCTYSQFLATKHPSCCVSFSSFYNETITACPTCACGCENKNKCVKSESKLLSVVGVNTPKKDNSPLLQCTRHMCPVRVHWHVKQNYKDYWRAKVSVTNFNYRMNYTQWTLVVQHPNLNNVTQVFSFDYKPLVPYASINDTGMFYGMKFFNDLLMEAGPTGNVQSEVLLQKDQNTFTFKQGWAFPRKVYFNGDECILPPPESYPFLPNSTPKTLIAPLTLFISLCMFLLF >cds-PLY69031.1 pep primary_assembly:Lsat_Salinas_v7:9:139229199:139230508:-1 gene:gene-LSAT_9X89180 transcript:rna-gnl|WGS:NBSK|LSAT_9X89180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLSSPFRHLSANLPSPSSLTFKTLPLPPSSFPLLSFPLKKLRLDKSYIVQSTPTKPSSPEPSLQTTSGSQVFEELPQKLQEIAKLFQSAQDPKAKYEQLLFYGKNLKPLHPQFKTEENKVKGCVSQVWLRAYFDDSHKRTVIFEADSDALITKGLAALLVQGLSGSTVQEILRISPDFITLLGLKQSLSPSRNNGFLNMFQLMQKKALMLYVEAEKGIESTTGIVENPQNNVDSGSISSDFPLNTDTDVDSKSNDLVLGSRGQRITEILNKELQPIQLEVEDVSYQHAGHAGVQGSNGETHFKLKVVSMEFEGKSLVKRHRLIYSLLDKELQSGLHALSIEAKTPSEIGI >cds-PLY91029.1 pep primary_assembly:Lsat_Salinas_v7:7:179175250:179176825:1 gene:gene-LSAT_7X106460 transcript:rna-gnl|WGS:NBSK|LSAT_7X106460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLKLAVVSATVLSTAVMLKLVAPVIMELTISDLPFIWTSVISWLKPPYLYVVINCIIITIVASSRLQSKLDDSPSPSMSSTLPTALLLSTEPVKVELPPLEPPFHGGQLANEPVKITAASYGDSPMFVQAPEPVGIPDQFTDEYKDEDMKVATEAYEEITNNKINKHVISMSSWTPSNIKQVDLTFPVEKPPASARFSHRKLAKGSAEGGRTLGIAKPKRQDTLETTWKTITEGRSVPLTRHLRKSDTWETHRNSEDSPIEHHPERMTKSDTFDVNRGGGSSRKPPPLPSKLSRSGGSGRLRKDPSLGQEELNRKVEAFIKKFNEDMRLQRQESLNQYMEMINRGAH >cds-PLY88515.1 pep primary_assembly:Lsat_Salinas_v7:2:154479133:154479369:-1 gene:gene-LSAT_2X79101 transcript:rna-gnl|WGS:NBSK|LSAT_2X79101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTEELKVAVRPEVLPTKKVVGREEVEKMVRCLIEGEEGKAIRKKVKKLKEGVEEAMSVNGSSYISTCKFVEDCWSRI >cds-PLY79477.1 pep primary_assembly:Lsat_Salinas_v7:3:249035747:249037655:-1 gene:gene-LSAT_3X138240 transcript:rna-gnl|WGS:NBSK|LSAT_3X138240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCSSSRKFQFQGTPVYYYCPPPLEQSETSSPHNGPFPGRLHIDVNLDMSIPDTYRSPPTPIPFDVVLGIPQSTNNAPDDQAFCDLAYQKPIPIPIPVNVKELDHEAEGGTPFVESTKKVDVGFQISKVLDASKVEEEDVCPTCFEGILTLTF >cds-PLY80519.1 pep primary_assembly:Lsat_Salinas_v7:3:92151493:92155650:-1 gene:gene-LSAT_3X68101 transcript:rna-gnl|WGS:NBSK|LSAT_3X68101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEKTDHARKLYKRMRLWELPDQYVAEPIDGSSGSCLVVKRDGSMTLVDEIPDCTTVRVPKIQTIYGVVGILKLLAGSYLLAITERENVGLYLGHPIYKVLSLKVFPCDRSPKSSRDEKKKMESEFSSLLKVAERTPGLYFSYHVNITLSAQRLNDLGVEATKIPLWRQAEPRFVWNNYMLELLIDNKLEAYILPIIQGTFQNFQAAIGKDIIDVTLIARRCTRRNGTRMWRRGADDDGYVANFVESEQIIQVKGYTASFVQVRGSIPYVWEQIVDLSYKPKFEIMKPEKAAHISERHFLDLRKKYGNVIAIDLVNTRGDEGRLSEKFATSMEKILNDDVRYLHFDFHKICGHVHFERLSILYEQIEDFLIKNRYHLLNEKREKVETQIGVVRTNCIDCLDRTNVTQSMIGRKMLEFQLQRLGLFDADENISTHSNLNDSFKILWANHGDDISIQYSGTPALKGDFVRVGRRTTRGMLKDGYNALMRYYLNNFVDGTKQDSIDLVQGHYIVSLTRDMSPKRKGGIANIAPFPVVVGVILMGIFFALLSLVRGELVVC >cds-PLY69869.1 pep primary_assembly:Lsat_Salinas_v7:6:3989686:3991778:-1 gene:gene-LSAT_6X3440 transcript:rna-gnl|WGS:NBSK|LSAT_6X3440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVKTVKVGNLSLKASARDVREFFSFSGDIVYVEVQSDDDFSQNAFVTFTDSQGADTAVLLSGATIVDMTVTVTLAPDYHLPPDATSAARGVTEGEESVMSKAEDVISSMLSKGFILGKDAVSKAKSFDEKIGFSEKVTTGTSMVNEKVKEVDQKLQVSEKAKSAYAAAASWVTDAFSKVAKSASEVGQQTKEKDDVAPTQQPPSPPKASQVQGLIL >cds-PLY88293.1 pep primary_assembly:Lsat_Salinas_v7:6:126779366:126783152:-1 gene:gene-LSAT_6X77521 transcript:rna-gnl|WGS:NBSK|LSAT_6X77521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFSNQMELLHRKLDASIGGISIIHSSEEIVGDNIFARVATSVDAAIEVIKDLQEKLEDSLKTRNSLSDSFKEISEKIKDLEQTNELAAYVIHEVFDNLQKIVNGSTEESEDDTRNEQLDHLEINNYDVFIERLIMILRERAQLETKNREYNLDLLRRIKDLEESNRKLNNPAKDLKLMELQTKIEEDGRVFPVSDNSSTIVDCLLNEARQRGVKASLKLESLKKNIPQLTQKQKVGRSYPHEYGTMKRFWKYLLNREVQTHPIVSYLVISWSITEIIRYSFFGTKEAFGSTPYWLLWLSEIAMIYNALPYMKKQCLPTKETISYSPLST >cds-PLY61686.1 pep primary_assembly:Lsat_Salinas_v7:9:138338446:138342382:-1 gene:gene-LSAT_9X88540 transcript:rna-gnl|WGS:NBSK|LSAT_9X88540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAPHHLSYGPKTAVFTNGYGLPTTSYPSPEASYEEITQNPQFFLDKLQTFHSLFGTKFKIPIIGGKSLDLHRLFIEVTSRGGIEKIVSDRRWKEVMAVFKFGPTITNASFVLRKYYLSLLYHFEQVYYFRKKTPSIIPPDVTNRLTDGSVSGSLKNLFSGRRVVEVGGEVTGTIDNRFEHGYLVSVEMGSEKLSGFLYHIPSEASVGSHGSHNNNMYQYQLALREASPDLEAVDTSGYDVFFTEHYVRLKPLYHGQENVIRKRIQVLWNTLTEEEKQVYQETGSRGKER >cds-PLY80884.1 pep primary_assembly:Lsat_Salinas_v7:8:126434722:126437095:1 gene:gene-LSAT_8X88200 transcript:rna-gnl|WGS:NBSK|LSAT_8X88200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIEDLDDSSDLRIINRTVEYDSRRQIVAVDAKRALVGAGARILFYPTLLYNVFRNKIQAEFRWWDQVDQFLLLGAVPFPKDVPRLKQLDVGGVITLNEPYETLVPTSLYRAYDIEHLVIPTRDYLFAPSFVDIDLAVNFIHKNASHGKTTYVHCKAGRGRSTTIVLCYLVEYKQMTPASALEYVRCRRPRVLLAPSQWKAVQEYGQWRRSKMSKTPSGSGDAVLITKADLEGYRSPSRGKEVGVRVAKSGLMIAKLSCIFASLKVSNSRGVTTVPVSLKLITETSAC >cds-PLY72672.1 pep primary_assembly:Lsat_Salinas_v7:6:28418910:28420973:1 gene:gene-LSAT_6X22321 transcript:rna-gnl|WGS:NBSK|LSAT_6X22321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPGQGGGKRAIIQVLGVEAGNQYQYKNGRIVVVVLCIKKAKTVNTPDIKVDRNEKKPKTEGNLVPLELKNINIVCDGIGGVTKKEADTDNLSCEEPLSNGMRVDFRKGKGGGGGTDTVAMEIGGGGG >cds-PLY83188.1 pep primary_assembly:Lsat_Salinas_v7:4:289434732:289434953:-1 gene:gene-LSAT_4X147160 transcript:rna-gnl|WGS:NBSK|LSAT_4X147160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRLIRTTPLDVFEDHPNGNDSYTSAGIGIDHDDGENGRFVQEKWWKKLLACGKKLRNKYFTRRSNKYKKF >cds-PLY86264.1 pep primary_assembly:Lsat_Salinas_v7:8:57192261:57193554:-1 gene:gene-LSAT_8X42340 transcript:rna-gnl|WGS:NBSK|LSAT_8X42340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTEAYPSAILEAMNVPGLTRSQIASHLQKYQEHQKSITKPTLIPQKKKQVESYAYNKCGQSTPTNDFESIPQSSNIQPPLTLNSIGMSYMTINNIKARLQRAPPLPVPQPKTTINNSYSDQSTNHSVCHTSLGETSKIITFGLVESCNSIIGRRNEVQMTRDTYESTTGPLKDRETIAMTPMDFAEVVAPVDATSSLIPLNYSGTSVEKSVCGMAPSYYGLGTGLQPLEGFALGGENYDLEMREISNVFGMINSNGGHTSASSNHNYLIHSQDVQGNNENLSPTFLHTPTDHDDVGHSTNPNFSNSNLFPFGLGSVGYSNPHFPSEENNWQAQTLPPFNSLGLVDGIERRVMERDMFADETQYLLDMTVNLLDELDDDLINGPW >cds-PLY97660.1 pep primary_assembly:Lsat_Salinas_v7:5:235459199:235459762:1 gene:gene-LSAT_5X114921 transcript:rna-gnl|WGS:NBSK|LSAT_5X114921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVAFIDGPMLTKFVDDSAAFEKCMKERFDMLDVNKDGVLSRNELHNDINDLSSVESALQSKEEVNNLYNVLFEKFDTEKKGTLDIKQFNSLMKEVMIAKAHGFGNTPVCIILQADSLLQKVV >cds-PLY96390.1 pep primary_assembly:Lsat_Salinas_v7:2:89277276:89281816:-1 gene:gene-LSAT_2X37381 transcript:rna-gnl|WGS:NBSK|LSAT_2X37381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAWFGRKSTKNKDNQQRNQDQTHQENPFYSNNYHTLSNKPSSIKNDNDKKHKTSFDDAVLARGSPHASREFSPSSSSTPLAGAGTGINGSSSGFLGFDSDRVAHPLPQPSISPTTSLGMVDPNGSGSESSSGGSSVSSADEHNFDHAQLGFFRGYGEAKFSPQSRSPTPGSRVGTTTTSPLHPRFASASLDSPNKKLEDGKSEYHPLPLPPPGSPTSPTSALSSIKTCSSPTSPSSVLSNMKTLSVVENSNSHSQPSKWKKGRLLGRGTFGHVYLGFNSESGQMCAIKEVKVVVDDQSSKECLKQLNQEITLLSHLSHPNIVQYYGSELGEETLSVYLEYVSGGSIHKLLQEYGPFREPVIQNYTRQVLCGLAYLHGRNTVHRDIKGANILVDPNGEIKLADFGMAKHITNCTSMLSFKGSPYWMAPEVVMNTNGYNLAVDIWSLGCTILEMATSKPPWGQYEGVAAIFKIGNSKDMPEIPDHLSNDAKSFIKQCLQRDPCLRPPASKLLDHPFVRDQATSRVANVNLTKEAFPFTFDGSRTPTASEMHSNRTIMNSFDGHVGTTSRGAMLSPRRNTRMNTSLPVSPTSSPLRQYGSPYKSCYVSPPHPSYTTIRQNNSNYNNFNDILAVQSRPNAKTTWYETQTPQPKPQTPTRSPRSRLI >cds-PLY99230.1 pep primary_assembly:Lsat_Salinas_v7:6:184689932:184690285:-1 gene:gene-LSAT_6X113061 transcript:rna-gnl|WGS:NBSK|LSAT_6X113061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTYTDEHISTISPSRIFKASIIDSHNLMPKLLPNAIKSVEFIKGDGGVKSIKQINFAGGYVKHQIDEVNEKTFTYKCSLIEGMNGYIRQD >cds-PLY64006.1 pep primary_assembly:Lsat_Salinas_v7:4:190326178:190327079:1 gene:gene-LSAT_4X109121 transcript:rna-gnl|WGS:NBSK|LSAT_4X109121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLANT CADMIUM RESISTANCE 7 [Source:Projected from Arabidopsis thaliana (AT3G18470) UniProtKB/Swiss-Prot;Acc:Q9LS43] MTRVDDISNATEDGKVQVHNEYPETSQQSTQPPSSEQIFVPEQQEGITVHAQAAPGLPQIVIQPQFFIQPPVVYAPQPQPPPQPPVVYAPQPLPPPHPPVVYAPQPPPPPQPVYAPQLPLPNRLGWTTGLFECMDDPENAIITLFCPCVTFGQLAATIDNGGTSCEMSGLIYTVVALVIGMPCMVSCNYRTKLRGRFGLMEEPASDCLTHLCCECCALCQEYRELNHRGFNPSAGKSIA >cds-PLY91692.1 pep primary_assembly:Lsat_Salinas_v7:8:13121972:13122256:-1 gene:gene-LSAT_8X10441 transcript:rna-gnl|WGS:NBSK|LSAT_8X10441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINKDFVCPLEPFVTNKDFVCPLEPFVINKDFMCPLEPFMINKDFVCPLEPFVINKDFVCPLEPFMINKVFVPSCSSSNFTTLLESNPNFEIPS >cds-PLY75666.1 pep primary_assembly:Lsat_Salinas_v7:1:100341539:100347842:1 gene:gene-LSAT_1X81660 transcript:rna-gnl|WGS:NBSK|LSAT_1X81660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKECLKQKLKSTAAPRHYCSSSSSTAPVKPNIPHSSRKGRLLTGATIGMVIAGGAYASTVDEATFGGWLFSATKVVNPFFALLDPEVAHRLAVSAAARGWVPREKRPDQQILGLEVWGRRFSNPIGLAAGFDKNAEAVEGLLGLGFGFVEVGSVTPIPQDGNPKPRMFRLRNEGAIINRCGFNSEGIVAVAKRLGAQHGKRKLDETSSTSVSSNDEVKTGGKAGPGILGVNIGKNKSSEDAAADYVQGVHTLSQYADYLVINISSPNTPGLRQLQGRKQLKDLIKKIKGIIVTCLLLLKIQAARDEMQWGEEGPPPLLVKIAPDLSKQDLEDIAQVALALRLDGLIISNTTISRPEIVESNPVSKESGGLSGKPLFNLSTDILKDMYILTKGRIPLIGCGGVSSGEDAYKKIRAGATLVQLYTAFAYGGPALIPQIKAELAECLEKDGFKSVYDAVGADCR >cds-PLY76881.1 pep primary_assembly:Lsat_Salinas_v7:3:5149980:5151945:-1 gene:gene-LSAT_3X2761 transcript:rna-gnl|WGS:NBSK|LSAT_3X2761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLREDDPSDYCKLLNQCFVVASDIATSPPYSHHHSRWSQLEIVTRTIEMMLCEKTSTSTENMIWAGYNNVGDGLMVYLLKNFSIFIQVGQNKHHQVAGTPINDMCWKLLKYTSNSISCITCYGCNVKASVTQCGLHMKHCSNCNTTSSSNEDSIVLSKNGAGRKRARAHAWLHRHKRRKLLSQNHKMCDHANPTSPHKPNVAGGNALFKEIFGSSDDQLTLCSHSKDICGIGTTCL >cds-PLY97297.1 pep primary_assembly:Lsat_Salinas_v7:MU038264.1:27903:29688:-1 gene:gene-LSAT_0X29101 transcript:rna-gnl|WGS:NBSK|LSAT_0X29101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPNKQAEGAIVPGEMKGSNEQEQGEGQVGVDQSVSAVKNFLWHGGSAYNGRFSCVSNQVAQVLLNSHTHSLNWVTVALAVAEVAFEFEHGLFTPPFVDPTL >cds-PLY95227.1 pep primary_assembly:Lsat_Salinas_v7:8:179089634:179090651:-1 gene:gene-LSAT_8X116481 transcript:rna-gnl|WGS:NBSK|LSAT_8X116481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARYGRVYKDADEKEQRSKIFQENVRYIESSNSVMNKAYKLAVNEFADLTNQEFTSTRNRFKAHECSPSTSAFRYENVTAVPSSMDWRKKGAVIPVKDQGQCGCCWAFSAVAAMEGITQLKTGKLVSLSEQELVDCDTSGQDQGCEGGLMDDAFDFILNNKGLTTESNYPYKGVDGTCNSNEESNHAAAITGHEDVPANSESALLKAVASQPISVAIDASGSDFQFYSSGVFTGECGTELDHGVTAVGYGASADGTKYWLVKNSWGTGWGQEGYIMMQRDVDAQEGLCGIAMMASYPTA >cds-PLY74808.1 pep primary_assembly:Lsat_Salinas_v7:5:59272277:59272711:1 gene:gene-LSAT_5X27680 transcript:rna-gnl|WGS:NBSK|LSAT_5X27680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRQIFNSTRSSFVQVRDSSQLKGSSDQSIDHFDSISNEDSEYHTLLNQRMIQQLKERSIHWDPSFLQTKGTEIESIRFPKCLSRYSSMSRLFTEREKQMINHLFPEEIEEFLGNTTISVRSFFSDRWSEFHLGSNSTERSTRD >cds-PLY87422.1 pep primary_assembly:Lsat_Salinas_v7:5:41518337:41519773:-1 gene:gene-LSAT_5X19880 transcript:rna-gnl|WGS:NBSK|LSAT_5X19880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDPGIKLFGKKIVLPEFSKSINLPVIFTGGGDNKDGDSDHKCFQGERVTREPPEKDQQKVRSSPKAMAEASNPRTPSIDEEAGTAKSDATNSQPKTLKKPDKILPCPRCTSMDTKFCYYNNYNVNQPRHFCKSCQRYWTAGGTMRSMPVGAGRRKNKNSTSHCRYFTISQEAFQAAAPPPQAEVAATSDRTVTASDSVTENGLNSKVSCPPNPTVSWPYSPWNPTIPIPAICPPIPIHPSTYWNSIPFLPPLTPARTLTSHPCEPILGKHSRDDVLINHNDSEDDLKKPKNLVLIPKTLRIDDPDEAAKSSIWATLGIKNENFKAFQEKGEEKKKNSVTRTSSSFLQANPAAFSRSLCFQETV >cds-PLY63321.1 pep primary_assembly:Lsat_Salinas_v7:9:134291806:134292835:1 gene:gene-LSAT_9X86321 transcript:rna-gnl|WGS:NBSK|LSAT_9X86321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKQNAYCSLVLKKYKPWVEKGHPKAASLKTVPLLFPELCAELFDGNSASGNLSYATSQTPSGHGSSSFHVAPLHLMDAPSINIDEDDFFSNHTSEHFTQPSPSAASPSAASPSAASPSGNPNKRAKPSTPRPRAPSASPDPPSSASPKASITADDLALEMQKALRHLTQGPTIPQCLEKLELLELDPIDPLRFAAYHIFGGTMSMREMWVNLPNDPRILRGWIEMTATSLGVLKDGKIVR >cds-PLY71801.1 pep primary_assembly:Lsat_Salinas_v7:8:246268197:246269674:1 gene:gene-LSAT_8X147160 transcript:rna-gnl|WGS:NBSK|LSAT_8X147160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAPNMAAITASLERSLQSFSLNHHQSSISINGYEGLGFGRSSSSSSGSQNNRCLSSTNSSDATLELNSNITLPYHWEQCLDLKTGEVYYINWRTGMKSKEDPRTVDDGFSGYFYSDEEEAEEGEESSYGSGGSSVESSPAASSSRKQNQNHEQEEEDEKEEEEEEEEEEEEEEEDEVEDEEEDEDEDEDENINENGSRIGGHVLVVAGCKGCFMYFMVPKQVEDCPKCCGQLLHFDRSDDNA >cds-PLY87854.1 pep primary_assembly:Lsat_Salinas_v7:3:44414448:44417499:-1 gene:gene-LSAT_0X9341 transcript:rna-gnl|WGS:NBSK|LSAT_0X9341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGGYLVLERPNAGIVLSTNARFYAILKPLYDAVGSDCLAEEWMEVKVNSPQMSRETTYKMSLKDFTLQCSSESRNPFVEYAVQYSIAAAYATLDKNKRNDLHKLLSQGMDITILGCNDFYSYRNQIEARGLPLVPESLASLSPFTSITFNAEESNGEKNIKPEVAKTGLGSSAAMTTAVVAALLHYLGVVDLLSSSKDQKNDFSSNLDTVHMIAQTAHCIAQGKVGSGFDVSSAVYGSHRYEAVCSTPLDEVIGEVLKGKWDHERTKFSLPPLMNLVLGEPGSGGSSTPSMVGAVKKWQKSDPQKSTETWNKLSEANSELEQHLNLLSKLAAENWDSYKTVVESCSMLKSEKWEGQFSKTSDVEIVKALLGARDSMQNIRSLMRQMGEAAGIPIEPESQTRLLDVTMDTEGVLLGGVPGAGGFDAVFAITLSDSSANLTKIWSSHNVLALLVREDPRGVSLESDDPRAK >cds-PLY95292.1 pep primary_assembly:Lsat_Salinas_v7:4:297742312:297753243:-1 gene:gene-LSAT_4X152521 transcript:rna-gnl|WGS:NBSK|LSAT_4X152521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESPVPYSRGLQKHSITKQARSGYEPSDTETELHEAPWSQFNKKSLELGSGVPKMNSEETRNLNHMRLNRRHSSKFDPEGLPPPRRHSKSPYKTRRDDANPRSPTLGPLPLPPGRNISPFSKSERRRHVSPFQQSHDPLDDNDEANGSYIKQKLRQSNHDYARTLSAPRLRPKDKDQRVKRRDERSRTPPLRRSITPRKDKEVNSKNSHAPSVGEINEMVANAKLAKSPIRRGAVFDSTDSLPGGDIFFSRDYPPVSKPKVFIEKKSYKSNTIPNYNTPGNYSSSLLTPTTIISTSAVSRQSSNVSDASGKSTGSSWKFTANRRKNQTDAWFSCIGKGSCRSGTTKKSPERAFDEASFIEKAFVVESLRQFWADKHQPSSLDGFACHKQEALQLKQLASQEIFPHILFKGAQGSGRKAFTMALLREIYGDAARNISHDLRYFQIQETRLTQVAVPVTSSVHHVELNVYLEANARYALMASVKQISSNHSVAPEISTVNLKPDFTVMVLYDVDKADESIQHLIKWIMDCYSDVCKLVLCCEDDLHILEPVKTRCHVIKLDPPVTHEIMEVLIQIARKENFDLPMKFAAKIANKSKQNLRKAIMALEACKSHNYPFVEDQPIAIGWENVLIDLAAEILADPSSKRQLFLIRGKLQKLLVEFVHPKLILLVEF >cds-PLY93454.1 pep primary_assembly:Lsat_Salinas_v7:9:63083658:63084320:-1 gene:gene-LSAT_9X54880 transcript:rna-gnl|WGS:NBSK|LSAT_9X54880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAFIESYNPFPSVSSESVPLAHFLTSCRSKSSVLNAAAESEVMLASRNPKKRAGRKKFRETRHPVYRGVRMRDNGKWVCELREPSKNMRVWLGTHPTAIMAARAHDVAAFAFRGRRACLNFADSVWRLPVPKSSSIVDIQKAAAEAAEAFRYSEEEVEIVDTKELPEILYYVDEEDIFETPEFFVSMAEGLMVAPPQTVGYGSYGDDVEFFVDESLWSF >cds-PLY78019.1 pep primary_assembly:Lsat_Salinas_v7:9:44227121:44227916:1 gene:gene-LSAT_9X39921 transcript:rna-gnl|WGS:NBSK|LSAT_9X39921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPAPRTGGCNAAEQRKVMVVADPTRESAAALRYVLSHVVFENDTLYLLHVENPTFWKNRIPGFMNQSSPSSTTPSCNEGVNGHWGGGGCIGGNVDFLDQMKDACESMHPKMKVKVIKVEMGAMEKANVILSQTNVYGIDLLVVGKRQSLSTAILWQGRNGTIRGELAEYLVENCKCTCVAVQKKSLTGGYLLHTKTHRNYWLLA >cds-PLY79372.1 pep primary_assembly:Lsat_Salinas_v7:9:54363872:54365437:1 gene:gene-LSAT_9X50500 transcript:rna-gnl|WGS:NBSK|LSAT_9X50500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAINDPEHIEKEEAAVDKEDIGAQVAPIVRLEAIEVINGEENKDAILDLKHRNGYSIAFFSPFFPIHHHYMFSRGAMYSFPQIKLPPKAIEAAKSAGKVPDVFYCLKLLEATGISTVPGSGFGQKEG >cds-PLY63104.1 pep primary_assembly:Lsat_Salinas_v7:8:74795593:74798433:-1 gene:gene-LSAT_8X53881 transcript:rna-gnl|WGS:NBSK|LSAT_8X53881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNGISKLNLNCHVKNSIGEQNLYELDDFHSHKLDHYCYKVLKAATGKFCNKNLAGQGGCGDVYKGWIDYRAKDSAKPGHGLTVAVKRIKKEGVQGIDEWRNELKILSSFKHPNVVKLLGYCAEGMHRMLVFEFISNGSLEENLSRECSMELNWRKRIKIAKGLARGLEYLHTMDRPVIHRDIKSANILLDNDFNPKIADFGLSRFGPQGDKSHVSTLVLGSKGYFAPEYIGTGHLTLKTDVYSLGVVLLEILSGLKAVKRYPNGKLTELAHWARPYLNDRKELHCVIDKRIVKNLDVEEANEFATIIQQCLSVDPRKRPTMTQVLHSLDRLERNMDRWNYNFGNGHVLTKQYHNIL >cds-PLY76462.1 pep primary_assembly:Lsat_Salinas_v7:5:198457092:198460680:-1 gene:gene-LSAT_5X88981 transcript:rna-gnl|WGS:NBSK|LSAT_5X88981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACFVGSVSGTPILITENSCLWSRRFLTSRASTSIRNNNVAAVGQSVFRRGSSSIHSFKAKAMRREEGERRFPPQHQDGQPGKEYLMDPLPIFSDPNYQPTNKLKGKVALVIGGDSGIGRSVCYFFSREGATIAFTYVKGVEDIDAKYTLEIINDSKMSYAGDPIAIHTDVRYDKNCKKVVDEVVAKYGRIDILVNNAAVQYETYTLDDITEERLERIYRTNIFSHFFMTRHAVKHMKRGSSIINTASVLGFSGSPKLIDYASTKGAIVNFTKSLARFLADKGIRVNGVAPGPIWTPLEAASLDDEDLATFGSKNPMNRAAQPVEVGPSYLFLASKEASFYTGSFLHPDGGELDNAVPDVNNNHNTENP >cds-PLY65971.1 pep primary_assembly:Lsat_Salinas_v7:4:141093523:141097560:1 gene:gene-LSAT_4X87860 transcript:rna-gnl|WGS:NBSK|LSAT_4X87860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISKKTQRKSSCKYSFANGKSNAGVINGRSGVVHRETERAVLETGSNDENSSLILADKRTRRKDPINDFKYYTGGWNISNEHYVSSVSFTAVPLFAIAVIWFVGFGLFLLLTCCCYCCFRRRPYGYSRIAYTLSLIFLSLFTISTIVGCVVLYTGQGKFNDSTTDTLDYVVSQSNDTVYKLNNVSSILSVAKGIEVNQASLPSDIKNHIDKVHEMIKGAAQNLKFETRKNENDIKRVLKDVRLALIIIAAVMLLVALLGFLFSILGLQVLVYILVILGWILVTATLILCGIFLALHNVMGDTCVAMDEWVQNPMAHTALDDILPCVDNTTAQETLSQSKDVTFQLVAIVNNIITNVSNIDPPPFAHFLSYNQSGPLVPTLCNPLNANKTDRICQTGELSFDNAIMVWRNYVCQVSANDTCTTVGRLTPKMYKQMSDAVSVSDGLTESRQFLAGLLDCSFVRETFMGIHKDHCPDLNKYSEWIYIGLAMVSAAVMLSLVLWVLYARERKHRRYTKLMVQSAPSPAAINRQWK >cds-PLY63311.1 pep primary_assembly:Lsat_Salinas_v7:3:91742600:91744154:-1 gene:gene-LSAT_3X68441 transcript:rna-gnl|WGS:NBSK|LSAT_3X68441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPTEVVVSPPFVFLTSVKSELRPEIQVAAQNCWVKKGGAFTGEVSAEMLANLGVPWVILGHSERRALLNETNEFVGDKVAYALSQGLKVIACVGETLEQREAGTTMEVVAAQTKAIAGNYFKTKLASTVCQKSKILFYL >cds-PLY82010.1 pep primary_assembly:Lsat_Salinas_v7:9:155639851:155650707:-1 gene:gene-LSAT_9X97741 transcript:rna-gnl|WGS:NBSK|LSAT_9X97741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLKPKSPTIFHFWYLIFVCNVVLEASNNGDIGFRLEEKGHHVKRSDFPPEFIFGAGSSAYQVEGAYLEDMKSLSNWDVFCHSIGCGENEENGDIADCHYHLFLKDIEIMHSLGLKAYRFSISWARILPRGRFGEVNTAGIMFYDKIIDNLILKGIEPFVTIHHYDFPQELEDRYGSWLNPEMQEDFVHLADICFKYFGDRVKYWTTINEPNLFTDLAYRWGIYPPSRCSEFFGNCRTGNSDVEPLIVMHNLVLAHGKAANLYRQKVLDPLIYGEYPVEMVKYLGSDLPSFSIKEKNIVNNSLDFIGINHYSAIYTKDCTNSTCSPIANRPIQGFFDTVAERDGVLIGEPTGVEDTYVFPQGMEEIVNQIKFRYKNKPMFITENGYSSPDLQEKRVNVILNDVKRVKFHLEYLEFLAKSIREGADVRGYFVWSLMDNYEWMSGYNVKFGLYYVDRETQTRTPKLSARWYKSFLKKNTTDLVNIKSYI >cds-PLY68387.1 pep primary_assembly:Lsat_Salinas_v7:8:23196069:23198610:-1 gene:gene-LSAT_8X18200 transcript:rna-gnl|WGS:NBSK|LSAT_8X18200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGASRINCLEKLARCEDIQKIDWCKYIVDCLEKAKYKWRPNNANCYYTGPVTLLLLTYADKLVLNGYNLRRSRSLIKHIDCMDLEMLEEHGLRNGKFGTLEFRADGEVDPIDVNGDEDKVAGVESIEKLYSRICDDKKKIKEAIKKGEFIWETIDGHGMHLEAAHTLAMRKKVHTNVIDVWAAFLNKIEELKLETSYSRMYFTCDTITYYMVDEAVDEELRFSYQLLLMKVTTWCVFCLKRVAFYIFDHIKRSGTIKSAYGNRPRILKSFFCRYLNSVEHKKANVLLKKEVVVIKMKCQRNIVDVDCGIFLMRHMETYMGEVAHKWDCGLCVDNKIQEKILGRLRYKYLDKLMMSDFNVMKKTFLKHYTAVKKMDRFERMKVIEEKKKEITGVLQ >cds-PLY90589.1 pep primary_assembly:Lsat_Salinas_v7:6:51226702:51228205:-1 gene:gene-LSAT_6X36901 transcript:rna-gnl|WGS:NBSK|LSAT_6X36901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVYSSIFSSNIIHLQPATNPITKITSNLIPPHNNFNASTRNFQLPRAASIPHPPINVDYLETEFSGHGTSFTSLGESCVVRMGLDNGSVATLMLPSGLITSYKPRMWHGGLQELLHTSVSEEDDGRGAVIRGGVSLAFRCEGGGDDEENEVISWSPTIWNLRNVGGSPDESIQVELISSNSEDKIEIKHIVTLKEDAISSEIVITNLRSSSLRLTGSIIGHLAVSTPEASYAVGLERANYFVKPHILSKFSIIPPDFNKNDSRQLGFKRLLSDWGLGSPNEDMKDETAKNGEEDEEIEGEEDDNYKHLTEKLSLIYTSAPRNFTIMDRGKRNSVAVGREGFNELYIFSPGSNHKSYGKYSYICIGQAALLKPITIGPHSEWRGVQYLHNPNL >cds-PLY70315.1 pep primary_assembly:Lsat_Salinas_v7:4:101186420:101190254:-1 gene:gene-LSAT_4X64440 transcript:rna-gnl|WGS:NBSK|LSAT_4X64440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVKVISRSADDFTRERSNDLQRVSRNYDPNLRTQEKAVEYVRALNAAKLDKVFARPFIGAMDGHIDAISCMAKNPSHLKGVFSGSMDGDIRLWDIASRKTICQYPGHQGAVRGLTVSTDGGVLVSCGTDCTVRLWRVPLSSGIDSSDSSEKLIASYVWKNAFWGVDHQWEGSLFATAGAQVDIWDHNRSQPVNSFEWGNDTVISVRFNPGEPNILATSGSDRSITIYDLRTSSPARKLIMRTKTNSIAWNPMEPMNFTAANENCNCYSFDARKLEEAKCVHKDHVSAVMDIDYSPTGREFVTGSYDRTVRIFQYNGGHSREIYHTKRMQRVFCVKFSGDASYVISGSDDTNLRLWKAKASEQLGVILPRERRKHEYMEAVKNRYKHLPEVKRILRHRHLPKPIYKAGLLRRTMADAEKRKEDRRRAHSAPGSMPRKSVRKNRIIQEIE >cds-PLY67091.1 pep primary_assembly:Lsat_Salinas_v7:5:283513814:283514322:-1 gene:gene-LSAT_5X149421 transcript:rna-gnl|WGS:NBSK|LSAT_5X149421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDGELDFSNHEIFVGDIPSSGSMNSFLDEIFNDTHTQDTAESSNKKGKNRPSGNREAVRKYREKKKACAASLEDEVVRLTALNQQLMRRVQSQVGLEAEVARQKSLLVDIRGRIDGEIRSFPYQKRHHPVGNQNW >cds-PLY85869.1 pep primary_assembly:Lsat_Salinas_v7:8:179850072:179853435:1 gene:gene-LSAT_8X116720 transcript:rna-gnl|WGS:NBSK|LSAT_8X116720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVDKEQHEDIPDYNIEDELDDGNQENDSETEDGENKDIHYNSQSDSEEEIQKIVPTRFDEFGKFIGKNNTVFVIYLGDLVREKIEDIVISKEEMPPRSVMWCKGRESKGEFKDEDVKIMADRLMEHEKQIKEGQVNVEPGTDAMTLVFGKEKGGFLNGVGTGVTYNGYFYVPRCKGSSKEEIKDLKVALHNRKLKLEKKDAELKALSTKANTKTTRKAVESKTATINHDIPTVSPNKPIHQPIKCSLSYPYKRNIVARGTIHLSSERQFIHGVPLQDDCYKVSIDEVVVKNTFLPHKTGEFTLVEDAYKSFVPWPKYLVQTKSKVIVTNVDCFL >cds-PLY79722.1 pep primary_assembly:Lsat_Salinas_v7:8:124818568:124823841:-1 gene:gene-LSAT_8X86300 transcript:rna-gnl|WGS:NBSK|LSAT_8X86300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Brevis radix-like 4 [Source:Projected from Arabidopsis thaliana (AT5G20540) UniProtKB/Swiss-Prot;Acc:Q8GZ92] MLTCIARSKQPDEADESDRSNGGSTPANKQAIKTLTSQIKDIALKASGSAYRSCNPCTGTAMMMTPQQQLQKSNDVQSDLSTSVSERFRWSYRRNGSKNSSSGRVWGKELEARLKGISVGSGGGGDVSVGTLSASASGRRPDPIVLEEESEPKEWVAQVEPGVLITFVSLPRGGNDLKRIRFSREMFNKWQAQKWWQENYDKVMELYNVQRLNRQAFPLPTPPRSEDESSSLKFECIGDGDSPITPPMSRERLPRTLYRPPTGIMAYSSSDSLDHQSLTMVRPSNRDSSGLTATPKVSSISGSGVKTETTSSMDASIRSTSSSREADRSGELSISNVSDIENEWVEQDEPGVYITIKALPGGFRELRRVRFSREKFGEVHARLWWEENRARIHKQYL >cds-PLY62480.1 pep primary_assembly:Lsat_Salinas_v7:1:82354129:82354486:1 gene:gene-LSAT_1X71420 transcript:rna-gnl|WGS:NBSK|LSAT_1X71420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKAFDQTVRDIKRGVNKKVLKVPSIEQKVLDATSNETWGPHGSLLADIALASRN >cds-PLY92260.1 pep primary_assembly:Lsat_Salinas_v7:2:210945785:210946264:-1 gene:gene-LSAT_2X133220 transcript:rna-gnl|WGS:NBSK|LSAT_2X133220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTNSLSNGGQLHCGGMVSIIAEHLGLHLLNNPTNIILGRTRLSLDVMETMHPFHRNPNGDVQWTVNGKECLCINSRNKKILALVNDIPSTHWHLQSNLGVTATRRPPTTTPTPPAQIAIAGASSSFRPTQPLHGRVCTPRSSLHEPPAGSRRNLYGCY >cds-PLY93259.1 pep primary_assembly:Lsat_Salinas_v7:6:159854179:159859597:-1 gene:gene-LSAT_6X98720 transcript:rna-gnl|WGS:NBSK|LSAT_6X98720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSYGNADRDTEQTLIALKKGAQLLKYGRKGKPKFCPFRLSHDESSLIWISTSGERNLKLSLVSRIVPGQRTAVFRRYLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALISGQGGRSKIDGWSEGGLNIEENKDSTSNSASDNSISAPPDLSSPDASFGSNSNTSPMNYQNSSTFEKSTTMFDQTNIQVKGFGSDAFRVSVSSAPSTSSHGSAQDDYDALGDVYIWGEVICDNNNIIKLGPDKNPNSSTTRADVLLPRPLESNLVLDVNHISCGVRHAALVTRQGEVFTWGEESGGRLGHGFQKDVTQPRLLESLSVSNIDFVACGEFHTCAVTMSGELYTWGDGTHNAGLLGHGTNVSHWIPKRIGHPLEGLKIASVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDREHVPCPREVESLLGLRTIAVACGVWHTAAVVEVIVTRSSTGVSSGKLFTWGDGDKNRLGHGDREPRLKPTCVPSLIDYNFHKVACGHSLTVGLTTSRHVFTMGSTVYGQLGNPQCDGKLPCLVEDKLSGEPVDEIACGAYHVAVLTSRNEVYTWGKGANGRLGHGDIEDRKTPTLVESLKDRHVKFISCGSNYTASICLHKWVSGTEQSQCSSCRQAFGFTRKRHNCYNCGLVHCHSCSSRKALRAALAPNPSKPHRVCDSCFVKLSKMAEAGVGSRRNVMPRLSGDNKDRLDKSDMRLAKSGLPSNHDLIKLLDNKAARQGRKADAFPVGRSSQAGGGGGGGDFRYAVPRPIVTQSGVNSRAVSPFSRKSSPPRSATPVPTTSGLSFSKNVADSLKRTNDLLNQEVLKLRGQVDSLRHQCEIQEAELQKSRKQSQEAMVLAAEESAKCNAAKDVIKSLTSQLKDMAERLPPGSYDLDSIKLPNGLDSNSMLHNPDTSEENQIITPMDTISSINETSGPTESFAGFTESVRTSNGNDGDSDARSLNGTTGAVVEMGNKYLPDHAPPSQEAENNGFKSRNPASNSNNNNHHNNNNNNNNTYNATDQVEAEWIEQYEPGVYITLVALRDGTRDLKRVRFSRRRFGEHQAETWWSENREKVYERYNVRGGSDKSSVSAAQTARRSGESLGTPSQY >cds-PLY66539.1 pep primary_assembly:Lsat_Salinas_v7:4:341512904:341515467:1 gene:gene-LSAT_4X168621 transcript:rna-gnl|WGS:NBSK|LSAT_4X168621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEKQIDDISAHSFLENEASSDTLDLIRSESSLQDEVLEPIVQPEYIRPDPNVVLEVTRRQRMVWSANLHRKFRFAVEALGGPKEAKPKQIHDLLKVDNLTTEQIKSHLQRYRKHLNSICRGQRSVMGFSQNMQNENSTLVRTRFSLLCMQPGESSNNNMTRASTND >cds-PLY95003.1 pep primary_assembly:Lsat_Salinas_v7:1:197131601:197134564:1 gene:gene-LSAT_1X121920 transcript:rna-gnl|WGS:NBSK|LSAT_1X121920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRDRGYHISNSEIEFTLQQFQDLHGQAVDVDRLPISASHVSELDNKCVALLGKFIPVREPNIRYLGLENMTRMMMVTNVQDIIKRHQAQIITSLKDPDISMRRRALDLLYGMCDVSNAKDIVEELLQYLETTDFTMRDFTMREELTLKAAILAEKFAPDLLWYPPYAALKAREYPDKTAIHETMVKASAYLLEEYNHLLARQPGCSPKDIFVIIHEKLKKKLVWGFDWWWKAQPKHARSGNGDNEVERTMLRLLDQLDRFEASNKIKLHGPVRTLYHNVDGDILVDQNHYMEVVDKDNINKSYSTIKEVAYMSIKEVHGETGGKGEKDSNFNKKVKKIAGIQSHTKTLELEIISAVSPVILDVSIELDNKRSNLIRMLSQASGFEE >cds-PLY66651.1 pep primary_assembly:Lsat_Salinas_v7:1:53336137:53337067:-1 gene:gene-LSAT_1X46441 transcript:rna-gnl|WGS:NBSK|LSAT_1X46441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDELIKALPEPSEDDSLVELKEAVPFKAKTDSQQFALLGTAFTIAEELLPMVMSRIWSVLNESKEAGNDNTAPLLNSGIDCIISEAAVVNNSPGDVLLGKEKIQKVLLARLTETVVMWVMSNEEDFWGVLENEVAKLQPQGLQQLILDMHFTVEIACFAGYPSRNVNQMASSIIARAIRTFLGRGLNQQR >cds-PLY64855.1 pep primary_assembly:Lsat_Salinas_v7:2:31461051:31472117:1 gene:gene-LSAT_2X15881 transcript:rna-gnl|WGS:NBSK|LSAT_2X15881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAADKCEEEEDLGSASSDSFINDSENDEPSTSGQDDGQPLTDEDIERLISELLTVESKAAEAQEALEDESLAKVEVEVRDELAQSLEGEELENAVADEMLALREKWEDELDDLETESAHLLEQLDGAGIELPSLYKWIESQAPNGCCTEAWKNRTHWVGSNVTNDATESIADADKYLQTHRPVKRHHGKLLEEGASGFLQKRLAPEDDKESNKDVNWSSFNELCSSSSKNTSFGSKNWASVYLASTPQQAAELGLNFPGVDEVEEIDDIEGSLDDPFVAAAVVTERELYKSEDHKNIKKVKEEDDVNIDRKLLSRLNKKRQKKKLKQKGIKEAEEEDDISNDIANHRLNANHKTSSNGVHAAVLSGSKRSSDSVEQSDSKKCRTDVEHVAVDGSTSPCSESETNDQSDHKGNGDANSPSGSSDEEFCCTACDKVANEVHTHPLLKVIVCRNCKYRLDEKMKETDPECSECFCGWCGKNGDLVSCKSCKNLFCTSCIKKNLGEEYLLKAQESSWQCCCCTPSILQSLTSQLQKAFESRSESSSSSSESDSDDSDDSDDNIKVPLSKRRRKKKIRRILDDTELGEDTKKKIAIEKERQERLKSLEAERLKALKSMKTNYTSFRATVSDGTTVKVLGDAQTGYIVNVVREENEEAVRLPPSISIKLKSHQVAGIRFLWENIIQSITKVRSGDKGLGCILAHTMGLGKTLQVIAFLYAAMRSVDIGLKAAMIVTPVNVLHNWRHEFTKWRPTEFKPIRVYMLEDVPRDRRLELLMKWRSKGGVFLIGYTNFRNLSLGKHIKDRHMAKEFCRTLQDGPDILVCDEAHMIKNTRADTTQALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSQEFRNRFQNPIENGQHTNSTTDDVKIMNQRSHILYEQLKGFVQRMDMNVVKKDLPPKTVFVIAVKLSPMQRIWNHPGILQLMKDRNFGKRENVVENFLEDSSSDENLDYTMANGDKIRNRKDQSTRKKVGGFFKENWWGDLLQENGYDAENSGKIVLLLDILTLCSDASDKALVFSQSLATLDMIERHLSKLPRKGKSRKCWKQGKDWYRLDGRTEGSERQKLVEKFNDPSNKRVKCTLISTRAGSLGINLYAANRVIIVDGSWNPTYDLQAIYRAWRYGQTKPVFAYRLMAHGTMEEKIYKRQVTKESLSARVVDRQQVHRTISKEEMLHLFAFGDDESLNTSYNKVDQLGGNAATQFDPLLHATVSSDKFMEVLLSKHHPKWIANYHEHESLLQENEDEKLSKEEQDMAWEVYRRTLEWEEVQRNPVEGPTPVFKPTINEVEPEPEPEPEPRTKKPPRCTRVCSRDRFVLRKCTNLAHMLTLRSQGVKQGCTTICGECGQEISWNGVK >cds-PLY80783.1 pep primary_assembly:Lsat_Salinas_v7:1:70440020:70444059:1 gene:gene-LSAT_1X58840 transcript:rna-gnl|WGS:NBSK|LSAT_1X58840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:Projected from Arabidopsis thaliana (AT3G11980) UniProtKB/TrEMBL;Acc:A0A178VDD9] MMGTMSLGSSIVISKKGAVTLLSNDKNYYPPCLSKKNANCLMNCQSEGNVIKTNGVSVSVSSSFTKRSVLVNADPGTALLDTGRSVLPPNGSVYNGAQSGIGIVNILKGKAFFVTGATGFLGKEKILRTVPDVGKIYLLIKAKDMDSAMERLNNEIINTELFKSLQQAYGKSYQSFMLSKLIPVIGNVCESNLGLDEDTADVIAKDVDIIVNSAANTTFDERYDVALDINTRGPSRLMSFAKKCKKLNLFLQISTAYVNGQRQGRIMERPFNAGDSIARESLIYEDQELISIPNLNVEDEIKLVLESKKSLGENAASQKLKELGLERANLYGWQDTYVFTKAMGEMMIDKMRGDIPVVIIRPSVIESTYKEPFPGWMEGNRMMDPIVLYYGKGQLSGFLVDPNGVLDVVPADMVVNATMAAMAKHVTCGKTEENYIYQIASSAVNPLVFKDLARLLYEHFNSSPCLDLKGRPVHVPIMQLYRSMEDFSAHLWKDAINRSGLNNSGGINKGKYSHKLENICRKSVEQAKYLANIYEPYTFYGGRFDNSNTQKLMGMMSEEEKRTFGFDVGKIDWRDYISNIHIPGLRRHVMKGRGMCTQN >cds-PLY62401.1 pep primary_assembly:Lsat_Salinas_v7:5:309625444:309626921:-1 gene:gene-LSAT_5X168601 transcript:rna-gnl|WGS:NBSK|LSAT_5X168601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEPWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >cds-PLY97150.1 pep primary_assembly:Lsat_Salinas_v7:3:138681240:138687107:1 gene:gene-LSAT_3X92480 transcript:rna-gnl|WGS:NBSK|LSAT_3X92480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIGRPTVVVDLPIMHDSDRYDFVRDIGSGNFGIARLMRDKQTKELVAVKYIERGEKIDENVQREIINHRPLRHPNIVRFKEVILTPTHLAIVMEYVSGGELFDRICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLIDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLARQEYDGKIADVWSCGVTLYVMLVGGYPFEDPNEPRDFRKTIHRILEVQYSIPENIEISPECRQLLSKIFVGDPSQRITMAEIKTHEWYSKNLSADLMDEEKMMIKHFEEFNEPMQSVDSIMQIISEATIPPVGFYDLDMMDDDFDMDDFDSDREDIDVDSSGEVVYAI >cds-PLY76474.1 pep primary_assembly:Lsat_Salinas_v7:5:199554528:199555586:1 gene:gene-LSAT_5X90181 transcript:rna-gnl|WGS:NBSK|LSAT_5X90181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFRLPGFNAQLQQNQVHSMPSSSYTSFSNNAMKGGPVDIQREAIQRELEKEMIREKIIAEEVERFRVLQAEVRRRELMMGGGELMAMKGDNGFPSSFMPGTYWKPPPPPPSPPLHHHHYQLLTSFRNED >cds-PLY63820.1 pep primary_assembly:Lsat_Salinas_v7:4:294494829:294496292:1 gene:gene-LSAT_4X152381 transcript:rna-gnl|WGS:NBSK|LSAT_4X152381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPSSFLLIIVFIELCFHGSSITHWEDVQALKQFRNGVNSNSVGPGSCLASWDFSVDPCDSLSGEKFTCGFRCDAVVSGVSRITELTLDRAGYSGSLSSSWNLPYLQTLDLTSNYFTGSIPGAFSRLTRLQRLSLSGNLLNGSIPDSVGSLPNSLEELLLDNNNLQGPIPRSLNGLKNLKRLELQGNRLSGEFPELTQLSQLYFLDASNNAISGDLPSTFPSNLIELALRNNSLQGNIPANLLNNSVYLQVLDLSYNNLTGSLPPDLFTHPSLEQLTLAYNQFRWVLVPANWGQNSELIAVDLSNNDIHGFLPGFLGWMPKLSALSLENNKFSGMIPTQYALKAVAEEGQGGVAPFERLLLGGNYLFGLIPGPLLQLRSGSGVTIRLGDNCLYECPESFYFCGGGIQKSLMECKAFTPVIP >cds-PLY63282.1 pep primary_assembly:Lsat_Salinas_v7:3:90262408:90264699:-1 gene:gene-LSAT_3X69541 transcript:rna-gnl|WGS:NBSK|LSAT_3X69541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSEVENSLNEMENKSVGSKLNLEGSCNVKEMLKKEQDQFQVDMTTSMSVNKWLYKPLYLNHIHWKLSLHAYIWDERVRWLQNVVETQTSVKKQSCFKEKKVTECGSDGVLSLKAADPQAWMWRSFSEIKGIYLEDLQRGYLPRYETSSYKKGSIIYKRITEEGSRLHFPFGTDNNYIVSDYEDELSSIIACALAFLKDRSNSSDDPNEDATTYQSLHKMSSLSSRNWCCFSFMDSDGPPENSIFDGLEWPDSSSYLHPVISMGRLADKPKYSVACLFHKDFAQLRSDCGLSELDYISSLSRCKPWDAKGGKSKSFFAKTLDDRFIIKEINKTEFCSLQEIALAYLAHLKKGHLSCFAKFLGFYQVTKFTSREKYDLMVMENITYRRNITRQYDIKGALYDRFNPATDGVGVVLLDQNLVDDMQHSPLYVDSRSLWELHLAIQNDTSFLHFINVMDYSLLVGVDAENKEIVCGIIDYVRQYTADKKLENWFKSHFKVPKNHLPTIAPPEDYKKRFTNFIKHSFNDPVSGRLLEYKKVHQTLCNSKRPK >cds-PLY69285.1 pep primary_assembly:Lsat_Salinas_v7:7:131319181:131320979:1 gene:gene-LSAT_7X78120 transcript:rna-gnl|WGS:NBSK|LSAT_7X78120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTSFQSTFSSGHRFPFSRICWFTASLGESLLLGKQVIKTPIPSTLQFLLFLCATSNWYARRLQERTAGANNHEEGIAKQLIPPRLHVISFISERKFNTLLLWNANQPIPMRKNQIPFHEAALFHSYMASLHSIPSVFRFDKIISKETPSSIVYEDEKVLAFRDINPQAPVHVLVIPKSRERVKRKRDAKFSC >cds-PLY98233.1 pep primary_assembly:Lsat_Salinas_v7:7:170700946:170702282:1 gene:gene-LSAT_7X100521 transcript:rna-gnl|WGS:NBSK|LSAT_7X100521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEPGKDYYQDQQQPPYEYGTFQGVQNYPPPPPVIGFPQPIPPPGVSGGPSVNHYVHGYQAFPGYAVAEGRPVRQRRLPCCGIGIAWLLFIIGFFMAAIPWYIGAFILMCARYDHREKPGYIACLIAAIIVTIGVIFGVTSDDYDWDW >cds-PLY90946.1 pep primary_assembly:Lsat_Salinas_v7:9:171053816:171054052:-1 gene:gene-LSAT_9X105041 transcript:rna-gnl|WGS:NBSK|LSAT_9X105041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPMAFLCLSVILMASILIRETKAEAPACDPRDPNCVVNPFRKNPLVIEGSKEDKEAEREKEDDTPEIIIVGHRKMSR >cds-PLY62996.1 pep primary_assembly:Lsat_Salinas_v7:5:28375423:28378153:-1 gene:gene-LSAT_5X13800 transcript:rna-gnl|WGS:NBSK|LSAT_5X13800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRNSSDHSESPVRNHRSSHRSPSRRERSGRSPGRDRASRHHPNPPPTHSPSREKPSSHTRSSKQTPASPVRANSRSPSPRSKRLRRDRSNNKDDKPSERGHGKTRSPASHESRSPSPRTRRLRRAQADKTDEMKGRGHVSGSDKVTHKERDSDGNGNGSSKSRHGGEGHRSRHKSDSPPRGARDEGNNSRGDDHQNGNDDDSVAQMKAAEEALATKEKQKPSFELSGKLAAETNRVKGVTLLFTEPPDARKPEIRWRLYVFKGGEVLNEPLYVHRQTCYLFGRERRVADIPTDHPSCSKQHAVLQYRQVEVEQPDGMLAMKIRPYIMDLGSTNGTFINDNRIEAERYYELMEKDTIKFGNSSREYVLLHENSA >cds-PLY96418.1 pep primary_assembly:Lsat_Salinas_v7:4:343091960:343097767:1 gene:gene-LSAT_4X169420 transcript:rna-gnl|WGS:NBSK|LSAT_4X169420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAEASSSSSSSSEIKFQNSSSIQMNSMASPSNVNMGFSERALSAVGAAFLSAIIVNPLDVAKRLTKLEVDEKNKVETRKRKFFAEILNATRELQLQVQASQKRRKQRNDVVHEAYMRMVEESKNEKLTMLLGKTNDLLVRLGVVVKHQKDVEHDGIEPLNAPKTELPESSSASKIGTHDESQLDVDVNLIEAKLDNGVNTSDLLEGKRQYNSFIHSIQEKAYYVLDEMPNVVKMLFFIPGSPGEMPNVVRDETLEVK >cds-PLY68123.1 pep primary_assembly:Lsat_Salinas_v7:8:33998666:34002678:-1 gene:gene-LSAT_8X25780 transcript:rna-gnl|WGS:NBSK|LSAT_8X25780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGGTTPAKKKKGRPSLVDLKKRALEEQELQNYQQSQPRSARRNPNSATAADDVSVDEEEYYEDDDDDERKEKKVKLVVRLPQLNQHPHLSTDLVRSSSINSASCGSDSNADVDNRKINSGSGGILTHHQGGKVQKAMDTHTLHGSPSEAGPTTPLPDKKLLVFILDRLQKKDTHAVFSEPVDPDELPDYHEIIEQPMDFGTVRSKLNKGLYANLEELETDVFLICSNAMQYNPSDTIFFRQARSIQELAKRDFENLRQEGEGGELQPKVVRRGRPPSKHLKKPPGRPPLDTPPVLESTSGATLATPVDNESTPYNLRRGPTMYNPSYRTRNGEHQSEISSDWNEEFPANIRRADMKYGNKHFIIDESRRDTYKEFHPSIFAQNHSLLSNFGGEKKQLLAVGLHAEHGYARSLARFASNMGPIVWKFASKKIEKALPPGVPFENETSFFPPKNYNYTPKLSTDNPETPSSSGPIAPKIEDSTVIKTDKFITPQNGRNISKMGITFQGTTSHAQGTKRQFMVPVPPDLNVGPSGSIGSPQQPDLALQL >cds-PLY94037.1 pep primary_assembly:Lsat_Salinas_v7:7:99625461:99626342:-1 gene:gene-LSAT_7X66720 transcript:rna-gnl|WGS:NBSK|LSAT_7X66720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSHVVEATTMRLKLVSPKSYNQKTIFGPSSFLQSPSSHKPIDEYFHTETKNSYLHDQKSLKLSQKSLELCTESLGSETGSDTSEDDAIFAFPSSTLSVKRSRRDQVESKKVLSRSFPPPLTTMSGSKPFQVRPHREGGRLIIEAMEMSLGNSCLRAERSHGRLLLTCSKSEEDDCDTEREENDMNDVEKDDKEMERNVVVENFQRLRRCNEDEHRDNGICCSWEPSCWVATS >cds-PLY96816.1 pep primary_assembly:Lsat_Salinas_v7:2:172151548:172153700:-1 gene:gene-LSAT_2X94460 transcript:rna-gnl|WGS:NBSK|LSAT_2X94460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGASESVQVTDDDDEEIEEEEEERNDHGHNDTIRLLGEEDSLMKKVVEQEPELLPCHASASPLSPQPSSFGTPRLAPSIKVWDPCNVLSPPPQFTRSFSDAVDDDRAMTEVYLICQGECHVNLRPDLVGGRCPEATLTPNGKRQARALAVFLKSQGIRFNAVYTSPLDRARSTALPICQEMNFSEHLIQASEALQEISHGLWEGCVRSDVYTPEVSTLIERFQPDFAAPSGESLRQVEFRMLQFLNGTVVCLPEKLRSGGFSPPDHQYGPPSPRWDLLQKPKQVLARRKSGKSRLQMVTTTGDQEADEEPNHHHHHHHVGAGMSGRSNSSHCVAVFSHSIPIKCLLTGVLGCSPVMSNKICIEDSSVTLLQHSWKTGWQIKRLNDTSHLRLL >cds-PLY67277.1 pep primary_assembly:Lsat_Salinas_v7:5:135910991:135912813:1 gene:gene-LSAT_5X58940 transcript:rna-gnl|WGS:NBSK|LSAT_5X58940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTTDPTGILNPDQVCIILENGKISGKVLFYRNPGRLVANEIAYGDFDDDMYCVSRNPQQGQSMSIGHHLHLCHWKLSFRLDKRQGRVLSMVASDDDSIGKNYIRAINDLYGDENIESMLSVEMGSGKWLSLKGVFKFH >cds-PLY79905.1 pep primary_assembly:Lsat_Salinas_v7:8:18326198:18327900:1 gene:gene-LSAT_8X14420 transcript:rna-gnl|WGS:NBSK|LSAT_8X14420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQNHHEIPTRNVLQPHVLIFPIPFQGPVNCALKLAELLCLSGIHVTFLNTDHIHRPLLRHSHVLSRFNRYPNFKFETIPDGLEDENPVTGDRFEEVMAAIDAVTKPLFREMMISGSLSRRSEHPVTMMIPDEVYSFAVEVAVETSIPLFFFATLSPCCLWTSHFNLAALIEAGHVPVKVENDLDELVKDVPGMEHILRKRDLAAFCRINDISDPEIQRTLKEAQTLPRAQGLILNTFDDLDSLVLPYIRKLCPNIYTIGPLHALHKAQLTPSESSETTLSNSVWKEDRTCLSWLDKHPPKTVVYISIGSLSTMSVDQMLEIWHGLVNSRKPFLWVRRPGSITGGYDESQVESQLLEVTKEMGCIVDWAPQEDVLAHCAVGGFLTHSGWNSTMESIVQGVPMICWPFYVDQQPNSRFVGEVWKLGIDMKDTCDRLIVEKAVRDIMDGKRDIFAQVTSSWANLAKESISDRGSSSISLARLIDDIRLMSSTI >cds-PLY71053.1 pep primary_assembly:Lsat_Salinas_v7:3:162341603:162342058:-1 gene:gene-LSAT_3X100980 transcript:rna-gnl|WGS:NBSK|LSAT_3X100980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTHTKDHDGKTFQVEKAKEVHDLFVSRRADLALLGEEVPKNELFYTAVGGHDHKKRVYGLGSYDKSIFHENSSQTCTSLDISFQKHHLETKIQKLEETIDQQRMELDDVRNMVNDMRNTNNQ >cds-PLY70551.1 pep primary_assembly:Lsat_Salinas_v7:1:75047406:75049160:-1 gene:gene-LSAT_1X62501 transcript:rna-gnl|WGS:NBSK|LSAT_1X62501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAIEGSPGTSMHGVTGREPVLAFSVASPMVATDTTAKFDLPVDSEHKAKVFKLFSFANPHMRTFHLSWISFFTTFVSTFAAAPLVPIIRDNLDLTKADIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLIMMSAPTVFCMSFVADASGYIAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLLMPVLFEIIKKAGATPFTAWRIAFFVPGWLNAIMGIFVLTLGQDLPDGNLGALQKKGDVAKDKFGKVLWYAVTNYRTWIFVLLYGYSMGVELTIDNVIAEYFYDRFDLQLHLAGIIAACFGMANLLARPFGGFTSDYMAKRFGMRGRLWNLWLLQTAGGVFCVFLGLVNSLPLAITFMMLFSIGAQAACGATFGIVPFISRRSMGIISGMTGAGGNFGSGLTQLIFFASASISTAKGLSYMGIMIIVCTLPVSFVHFPQWGSMFFPASQDIVKGSEENYYVAEWTEEEKQKGMHQASLKFAENSRSERGGKVASAPTPPNATPNYV >cds-PLY91322.1 pep primary_assembly:Lsat_Salinas_v7:4:245671157:245672293:-1 gene:gene-LSAT_4X130520 transcript:rna-gnl|WGS:NBSK|LSAT_4X130520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCHGCRVLRKRCSENCALRPCLEWIESPEAKARATLFVSKFFGRSDLINFISSVPSHKRNALFQSLLFEAVGRTVNPVNGAIGLLSSGNWHICQAAVRTVLDGGTPPVLVNTTHIPEHKAYTAGAWSEMMIRNQIPSGKCGKANAPPLTNLMVGSSATADEQKFPAMTASNISKVSMSFRCNDVEEPKLLNLLV >cds-PLY63342.1 pep primary_assembly:Lsat_Salinas_v7:9:133129492:133129776:1 gene:gene-LSAT_9X85000 transcript:rna-gnl|WGS:NBSK|LSAT_9X85000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVTNSYSSILEKVDIIVGAVTKIAQSYSSMLPKLDAQAELETKHFGNIDALLGELKTMVSNSTSSSLFILELLTRNFRLLESKIHSELTSLSK >cds-PLY91101.1 pep primary_assembly:Lsat_Salinas_v7:MU039484.1:1092508:1092893:-1 gene:gene-LSAT_0X5620 transcript:rna-gnl|WGS:NBSK|LSAT_0X5620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKVILTCFFLLVCVSASGFTSFPSKPKVTPSPPSGPSGPGYPCQTDGQCQMYCSCDVSEQHCSNSYCYCGDTRC >cds-PLY85887.1 pep primary_assembly:Lsat_Salinas_v7:5:96869558:96875404:1 gene:gene-LSAT_5X45700 transcript:rna-gnl|WGS:NBSK|LSAT_5X45700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVFGCVDCSQAKRARIIELSRRLRHRGPDWSGLHSEQDCYLAHQRLAIVDPASGDQPLYNEDKTIIVTVNGEIYNHKALREKLTSHKFNTGSDCEVIAHLYEEYGEDFVHMLDGMFSFVLLDTRDKSYIAARDAIGITPLYMGWGLDGSVWFASEMKALSDDCEQFMSFLPGHIYSSKVGGLRRWYNPTWYSESIPTTPYDPLLLRHAFEKAVVKRLMTDVPFGVLLSGGLDSSLVAAVASRHLANSEASWQWGSQLHTFCIGLKGSPDLSAAREVADYLGTRHHEFYFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKEEFHEETCRKIKALHLFDCLRANKSTSAWGLEARVPFLDKEFIDVAMSIDPKWKMIQRDIGRIEKWVLRNAFDDAENPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANQQITDSMLTNATFVYPENTPTTKEGYYYRTIFEKFFPKNAARLTVPGGPSVACSTAKAVEWDASWSKNLDPSGRAALGVHEAAYASDANKTDALLS >cds-PLY86633.1 pep primary_assembly:Lsat_Salinas_v7:1:3666021:3667241:-1 gene:gene-LSAT_1X2921 transcript:rna-gnl|WGS:NBSK|LSAT_1X2921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRSTSESETLYCVYVAIGQKRSTVAQLVQILSEANAMEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVIPITDGQICSETELFYRGIRPAINVGLSVSRVGSAAQLKTMKQVCGSSKLELAQYREVAALAQFGSDLDAATQALLNRGARLTEVPKQPQYAPLPIEKQILVIYAAVNGFCDRMPLDRISQYERAILKSIKTELLQSLLEKGGLTNERKMEPDTFLKECALGYTI >cds-PLY80271.1 pep primary_assembly:Lsat_Salinas_v7:4:225580830:225581859:1 gene:gene-LSAT_4X124401 transcript:rna-gnl|WGS:NBSK|LSAT_4X124401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGDIPRKQPLFCLKWPWDVNSNANPNKPLGSCSSGPPWIFKSIQTVGSLASTLVESISKPQTRNQLQFSKLATKTKGLSGEEQGELEQRALACALANGKDATVIEFYSPKCSLCNSMVDFVGHVENKNADWLNIVMADAENDKWLPELLNYDIKYVPCFVLLDKHGRALAKTGVPNSRLHVVAGVSHLLKMNRPSER >cds-PLY81983.1 pep primary_assembly:Lsat_Salinas_v7:8:263828982:263831212:1 gene:gene-LSAT_8X152860 transcript:rna-gnl|WGS:NBSK|LSAT_8X152860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQSDCRVFSIDYNRIIGVLVCHSRFELVELYYDAMIPKGYSLNSFTYSRFICGLCKVKDFRLIDKLLKDMNRIGAVPDMWAYNMYLNVLCSESSVDIALTVLTNMVEKGKEPDVVSYTTVINGLMRAKKFNEAVEMWHEMIRKGIKPDSNACRALVLGLCNVGKVDLAYELTVGFMKLSFSTQVYNVLIHGFCKAGCLNKALAIKSFMRRNGCPPDLVTYNMFLNYYCDELMLEEAEKLMKSMERSGMKLDSYSYNQIIKGFCKVNRIEKAYMLMVRKMEVKGMVDVVSYNTIIKALCKGPHNTMKAYELFKEMGIQGILPDVVTFTILIKSFLREGDFNVAKKLYDKMTEMGLSPDRVLYTTYIDHMCKTGRISMGYDIFCDMVKDGIKPDVVCYNAIIGGFCKGFRVSEAMDLFEKMKVEDLYPDEVTFRLIIRGLVHEKKLSLACNVWDEMMNKGFTLDTDLSETLVKSIHSKHAYGI >cds-PLY88354.1 pep primary_assembly:Lsat_Salinas_v7:9:18091621:18093845:-1 gene:gene-LSAT_9X15721 transcript:rna-gnl|WGS:NBSK|LSAT_9X15721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLETPADSSSTVNIASIKRYAPPNQRNRSLGRRKSGGDRLEKAGSYGNDGDKNQRNVPILDHADPPRLIGLQGCCSSEAYQLLNNRWAAAINAYENPSTDLAERPVMYSGSSASAWGQFRLPHQMISQTGRVGVGASGGQIDFLGELRQAMRSHNSNTHF >cds-PLY74312.1 pep primary_assembly:Lsat_Salinas_v7:9:186687284:186690178:1 gene:gene-LSAT_9X114500 transcript:rna-gnl|WGS:NBSK|LSAT_9X114500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEISHFRNLSELTGMEDASFNFQCPVNSFDNQLDSMGISGTFGGDNMDRIHGQLGVFDIYKPVMEPSSRPCKQLKTSSWNSSITTDHSHSLMTLNLSQQATLLTPKEEMTVSSKSRHGFIRDTHLHFSNQESSGFNKSHHGGFDVAKNSSKHTPAQDHILAERKRREKLSQRFIALSALVPGLKKMDKASVLGDAIKHLKTLQEKVKTLEEQIKKRPNTESVVFVKRYEMLADVNESSSSNENSGGPIHDQLPEIEARFFGNDVLIRIHCEKKSGVLEKTLIEIEKLHLSVINSTCMTFANYALDITVIAQMDKEFTMTMKDLMKNLRLALKQFM >cds-PLY78548.1 pep primary_assembly:Lsat_Salinas_v7:1:102742839:102763584:1 gene:gene-LSAT_1X84121 transcript:rna-gnl|WGS:NBSK|LSAT_1X84121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAAGGFLTRAFESMLKECSGKKYSSIQTAIRSYLDTSKATNQESTSSNSNKSPSTNDKSDDGTPRSEMESETNDSSGGITKTLANAGCDLEGAQLELVLNPLRLAFETKNPKVIELALDCIHKLIAYDHLEGDAGLEDGKNVPLFTDILNMVCSCVDNNSPDSTILQVLKVLLTAVSSAKFRVHGEPLMGVIRVCYNIALNSKSPVNQATSKAMLTQMISIVFRRMDNDSVSSDDPPINKKSDAFMRVQESLSVDDESQMLTPTSHSNSIAPLEELQNLAGGNDIKGLEAALDKAVQLEDDRKTSGVDPESMSIAERDALLVFRTLCKMSMKEDNDAFTTKTRILSLELLQIEIGIFFPLTVLRPLDSSECPLNLKLMVIRMLEKICKDPQLLIDLYVNYDCDFDSPNSFERMVTTLSKIAQGTQELDPNNVNSIQTGTLKGSSLQCLVNVLKSLVEWESLRRESKQNKDKERQSVHGDVTPCDSKAKDSNGLTSNFEKVKAQKSTMEAAISEFNRHPARGIGFLTANRLVENTPVAVALFLRNTSSLDKAMIGDYLGQHEDFPLAVMHAYVDSMNFSGMKFHTAIREFLKGFRLPGEAQKIDRIMEKYAERYCADNPGLFKSADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVRMNATNDPEECAPTYLLEEIYDSIVKEEIKMKDDNVGIGKSNKQKVETEEKGGGIVGILNLALPKLRNVSDKSESEGIIRQTQEIYRIQGAKRGVFYTSQRIELIKPMVEAVGWPLLATFSVTMEEKEVKARVALCMEGFKAGIYITHVLRMDTMRYAFLTSLVRFTFLHAPRDMLSKNVEALRTLIDLCDSEPDTLQDTWNAVLECISRLEYTTSNPVMTATVMHGSNQISRDTIVHSLKELAGKPAEQVFLNSVKLPSESVVEFFSALCNISGEELKQTPPRIYSLQKLVEISYYNMSRIRMVWARIWYILSNHFIAAGSHHDEKIAMYAIDSLRQLGIKYLEREELANFTFQNDILKPFVILMRITRAESIRKLIVDCIVQMTKLKVGSIKSGWRSVFMVFTAAASDELESIVESAFENVEQEHYWFPMLAGLSDLTSDPRHEVSKCALEVLFDLLNERGSHFTPTFWESIFHRVLFPIFDHVRHAGKESPGFKKDGWLRETSVHSLQLLCNLFNTFYKVCFMLSPLLNLLVDCAKKTDQSVVSISLGALVHLIEVGGHQFIGADWDTLLKSIRDASYTTQPLELLNALTLESTKSYSITDGGLKVQPESSSSPSILSPASGQSQTPSSKNVEKSPQRASLQRTRTVGQRLMGNMRDNLLVRSFTSKPKSQILDALIPPSPSKKKYWSKLKGDQKITILEILFSMLDFAASYNSYNNLRLRMQHVPPERVIKDWYSDGRRDSDAIQKTIDLIILTQTSLSSFRPPLNLLRQELTGTSIYLDALQKTASGIHNPNTNQDLVTSPHHDDDDDDDRVKVDAEKKLASFCGQVLKEASDFQSSIGDANNMEIHQVLELRSPIVVKVLKGMCSMDNKIFRRHLESFYPLITKLVCCDQMDVRCALADLFSMQLHGLIQ >cds-PLY75376.1 pep primary_assembly:Lsat_Salinas_v7:6:180259227:180261877:-1 gene:gene-LSAT_6X110501 transcript:rna-gnl|WGS:NBSK|LSAT_6X110501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAATSVPSPDHITNPNTFIKILVLSFFSLSLFFAVKHFSSDTTYSQEFSLPFSSTTNTTTAVHADVNSTASSSSTYLASPPKAASPQPPHLQPEDVIERTGIVDENGAMTVDFVIGDYDSSLLESKVNESLGESVVESDQNWEKIEKFRVCDQGKREYIPCLDNVDVVSRLNSTEKGEKYERHCPAKGNELDCLVPNPKGYKDHIPWPKSRDEVWYDNVPHTRLVEDKGGQNWISRNKDKFIFPGGGTQFIHGANLYLDQISKMVPDIAFGQHVRVALDVGCGVASFGAFLLDRNVTTLSIAPKDVHENQIQFALERGVPAMVAVFATHRLLYPSQAFDLIHCSRCRINWTRDDGILLLEVNRMLRAGGYFVWAAQPVYKHEDKLQEQWKEMEDLTGRICWELIAKEGYIATWRKPLNNTCYFTRNQNTQPPICEEKDDPDNVWYVPLKACITKLPENGYGANVTEWPLRLHHPPERLQSIKMDAFVSRNDIYKAEYKYWKEITLSYVNVFRWRTLNVRNVMDMRARYGGFAAALHDHGVDCWVMNVVPVSDTNTLPVIYDRGLVGVVHDWCEPFDTYPRTYDILNAAGLFSIEQKRCSMSSIMLEMNRILRPNGHVYIRDVNSVIYKLEEIAKAMGWMTHVFDNGEGPNAGVKLLTCEKRLL >cds-PLY98496.1 pep primary_assembly:Lsat_Salinas_v7:7:126370516:126374518:-1 gene:gene-LSAT_7X76780 transcript:rna-gnl|WGS:NBSK|LSAT_7X76780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALTATLGTIYNKPSPSFNKPKFVYNTKHRSSTIFARTSSNVTDEAASSSSSSTITQPKPFPGKPEADVVVIGSGLGGLCCAGLLARYGKDVIVLESHDQPGGAAHSFEIKDYKFDSGPSLFSGFQSRGLQANPLAQVLDALGESIPCVKYDSWMVYVPEGEFLSRIGPTEFFKDLETYAGPNAVLEWRKLLDAILPLSAAAMALPPLSIRGDLGVVSTAGVRYAYPLLKSFAKMGPQGVLGATKLLRPFSEIVDSLGLKDPFIRNWVDLLSFLLAGVKSDGVLSAEMIYMFAEWYKPGCSLEYPVGGTGALVEALVRGLEKFGGRISLRSHVENIVIENGRAIGVKLRSGQFVRAKKAVVSNASMWDTIKLLPKEAVPKSYEERIQNTKQCESFMHLHLGFDAKGIREDLGIHHIVVNDWNRGVDADQNVVLISVPSVLSPDLAPQGKHVLHAYTPGTEPFQLWEGLDRRSNEYKQLKAERSEVMWKAVERSLGPGFSRDKCEVKLVGTPLTHQRFLRRNRGTYGPAIEAGKDSFPGHPTPIPQLYCCGDSTFPGIGVPAVAASGAIVANSLVSVSQHSQLLDAVGI >cds-PLY65556.1 pep primary_assembly:Lsat_Salinas_v7:9:143577855:143581221:1 gene:gene-LSAT_9X91840 transcript:rna-gnl|WGS:NBSK|LSAT_9X91840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METRVKDAVSSLEIGTDDVRVIGIKGMGGAGKTTLARAVFDQISFRFEAKSFVENVRENSNTSLSGLKSLQNQVLKDALFDQGINVSSVHDGKIMMKKKMRNKKTLLVLDDVDHIDQLEALAGDLNWFKSGSRIIITTRDEQVLVAHRVKLILDVNLLSNKEAIGLFSRYAFGGDIPIQGYEELSEQVVRYAAGLPLTIKVLGSFLCGKNKSEWIDALERLKTIPLKETLQKLELSYINLEEDYKEIFLNVACLLKGWWKRLAIEALESCGFHATNGLKVLEQKSLITITRKPFEFVHMHDHIEEMGRNIVRRLHPDKPEKHSRLWIDDEIKDILANDLGTKATRYIQFQLMGGNFEMVMKGLRKMKELRFLHIFENNFAEERKYDNVSQYFPNALQYLQWSWYPFWSLPNTFQANDLVTLKMEWSNIKQLWEGGERKVLNKLKILDLSYSKLTTLDLGSSPNLEALSLRGCRHLVQLHMLNGYPNLKSINLSESKLKTIDLRPAVNLELLHLKDCNALVELHMPGKCLNLRSLTLTNSQLRTLDIGWTPNLEDLDLNSCYDLEDLHMADKCQKLTSLNISHSKLKTLDLGLTPNLKKLYLKECKNLVQLHVPIGCLENLVYLNLRGCLGFTYFMFDKRNVASSRKDESLEIDPSAESHLIAKSLERCPLHPDNTLQQFQFECFYIDDPKDRVTRNLKQLIYEGLCACTNLETLSESIFGLRCLRKLKLKLEAYPEAPKELDHEECLEELSFSMTNMKHLPDSICMLKHLEVLQLKHCWSLEKLPEDIGQLECLKNLTLSDTKIKHLPDSICMLKHLEVLQLKHCWSLEKLPEDIGQLECLTNLTLSDTKIKHLPDSICMLKHLVFLELRDCSFLEKLPEDLGQLECLETLYLLHAKIEHLPDSICMLKNLRTLALHCSSLKTLPKDLGQLECLETLYLSSSLIKHLPDSICMLKDLKQLNLIHCSLLEKLPEDLDRLECLQRLSLRMCKLLRDFPISICKIKRLESLGVEGTCISHLPQDICFMKGLRISGSRGLLESCGFTSEIQTVSYEDTFFVDV >cds-PLY99434.1 pep primary_assembly:Lsat_Salinas_v7:1:213880335:213881921:-1 gene:gene-LSAT_1X130441 transcript:rna-gnl|WGS:NBSK|LSAT_1X130441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNAMLCKEGSLDPNKVHGKILVCLRGGNGRVEKGKVALLAGASGMILCNDKSSGNEIIADPHFLPATHITYADGLRLYAYLSSTNDPLGYITHPAPVVNIKPAPSMAAFSSRGPNIVTPGILKPDITAPGVNIIAAFTQDSKTDVPYNIESGTSMSCPHVSGVVGLLKSVHPDWSPAAIKSALMTTANSRDNEGQPMMDASKSEANPFSRGAGDICPNRAVDPGLVYDLSVNDYLDFLCASGYNQTLLQKFSGYPYECPESNNAILDLNYPSITVHKLSGTVTVTRKLKNVDKPGIYVVRVRSPVGISVDVEPKVLNFQKQGEVKKFQMTVKADGTKVIKGYVFGELIWSDGKHLVKSPIVVSVA >cds-PLY76914.1 pep primary_assembly:Lsat_Salinas_v7:6:29923774:29926436:1 gene:gene-LSAT_6X22781 transcript:rna-gnl|WGS:NBSK|LSAT_6X22781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHRLRSRGEKKMEKMEKVIKESGENPLNPDVFKILARRFNRSSGRAGKPILKWTDVQNWFIEKQQCLMSKNTNKLPAVQEGDSDMSKGEKIVDLSKLEFEAKSSDNAWYDVEVFITHRFISSGPEVLVRYVGFGIEEDEWIHVKNVRERSIPIEHSECHKVMIGDTVLCFQEKTDQARYYDAQVMNIQRKLHDIRGCRCIFLIRYEHDDSEESVRLKRLCCQPEY >cds-PLY69938.1 pep primary_assembly:Lsat_Salinas_v7:4:68796460:68797260:1 gene:gene-LSAT_4X47521 transcript:rna-gnl|WGS:NBSK|LSAT_4X47521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALPYNMGYSSQFTVTRKKSVKDGLNAFYVACRPREKAHRLHTRRMQRRENNVQSFEKLRQ >cds-PLY78334.1 pep primary_assembly:Lsat_Salinas_v7:2:180974817:180978572:-1 gene:gene-LSAT_2X103280 transcript:rna-gnl|WGS:NBSK|LSAT_2X103280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDVQQQFPQKPETAAATTTDACLDFERRFEELMRGQLDDCMSFASCSSPRGDDDDETEIGGDQLMRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMIATTERRNRESELMALAGLHTVSMLDSSFLRESQSQSSSPTSRGNAERPRTRASSILQMWRELEDEHVLNRARERVRVRLRHQRSVDSNTNVSSTTEGRGSEHQGSENEYGSWSHDQMEARNESQDNEVSSREQTPDIGEVERERVRHIVQGWRESGVSGTDRGSSSSPRAEWLGETERERVRIVREWVQMTSQQRGSRREEQGGEGQPEHIRRDMLRLRGRQALLDLLMRVERERQRELEGLIEYRAVSDFAHRNRIQSLLRGRFLRNERPVEDERPSSVAASELVQLRQRNTISGLREGFRSRSENSSSQEITEHEDQEQSEIWVEESEIHQVMVSDRTGSLESIAAVESTNEEVNIDEGEEWRDQVVEDERGEWQEEVSYNDWPQEATSVITDGDGAHEVENWSEGASDPPRMLHSGSVRRPNRFHPPEDDNVYSMELRELLSRRSVSNLLRSGFRESLDQLIQSYVNRQGRAPIDWDLHRNIPTPASPEGEQEQQQHRDRDRDGQVLASPPPPVPPPQPIWHHLPYSSWSRHSMHQHRSELEWEMINDLRADMTRLQQGMTHMQRMLEACMDMQLELQRSVRQEVSAALNRSEGGAQGIDAETSEEDGSKWGQVRKGTCCVCCDSQIDSLLYRCGHMCTCSKCANELIRGGGKCPLCRAPIVEVIRAYSIL >cds-PLY93246.1 pep primary_assembly:Lsat_Salinas_v7:6:158963794:158965979:1 gene:gene-LSAT_6X97280 transcript:rna-gnl|WGS:NBSK|LSAT_6X97280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAADMGGSYGSTIHYKSVERMKQVGKHSLIGASGEISDFQEILLYLDELILHDNMWDDGNSLGAKEVHNYLTRIMYNRRNKFDPLWNSLVNMIGVHFEENHVATGFGNHLARPILCEEWREDLSFEEGVKLLEKCMRNLLYRDRSAVNKIQIEKITDEGLTISEPYSLKTFWNFGAFQNPTVCAEGSC >cds-PLY65460.1 pep primary_assembly:Lsat_Salinas_v7:7:60455068:60456084:1 gene:gene-LSAT_7X43321 transcript:rna-gnl|WGS:NBSK|LSAT_7X43321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHRSGSFQNEPRSRTRAYRELESNRCSNSILVNVPKTKKHTARIKIYKKGKDSLVAQGKRRYDRKQSGYGGQTKFVFHKKLSASSVAPLMESQTPYATWMKRRRKEAAQND >cds-PLY64745.1 pep primary_assembly:Lsat_Salinas_v7:6:127557305:127562468:-1 gene:gene-LSAT_6X77900 transcript:rna-gnl|WGS:NBSK|LSAT_6X77900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVLDKAVYLYLKKKGFTQTEQIFQQEQQNKNNKNSSSSITATDVSLSDPDLAKQILTFSQSESIPTLYHDGYSKLRSWTYSSLDLYKHELLRVLYPVFIHCFMDLIAKSHLQEARAFFNNFREDHEMMHSRDLTKLEGVLSPSHLEEMEFAHTLRQSKVNIKICQYSYDLLLQYLHKTQSITMLGIINEHIDFQVSPGQPSSISDDAEAFSLVGSGQDAANLINQKEIHWGLLEDSLEDRLDKAGGLLSDSEKTEGEGKEGELDENKKKSTEGGKQGGPLIKLKKDKVVGGAGKAARGEGEKSTAAPRVKPELTLPTISTDVEHSILEDLRNRVQLNNSTLPSVSFYTFINTHNGLNCSSISHDGSLVAGGFSDSSLKVWDMAKLGRMGSSVLQGENDENTHGSNNGKRSYTLYQGHSGPVYSASFSPFGDFLLSSSSDSTIRLWSTKLNANVVCYKGHNYPVWDVQFSPLGHYFASASHDRTARIWSMDRIQPLRILAGHLSDVDCVQWHMNCNYLATGSSDKTVRLWDVQSGECIRIFIGHRSMILSLAMSPDGRYMASGDEDGSIMMWDVSNGRCVTPLVGHTSCVWSLAFSCEGSLLASGSADSTVKLWDVNTSVKPPKTEDNKSGNTNTNRLRSLKTLTTKSTPVYALRFSRRNLLFGAGALSTNV >cds-PLY84427.1 pep primary_assembly:Lsat_Salinas_v7:4:289787211:289787639:-1 gene:gene-LSAT_4X150060 transcript:rna-gnl|WGS:NBSK|LSAT_4X150060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGKETAKNVWPFIGNGRNSRFGATRYAHDAPRKVARFYSRIEDISHVRPIPFKVGKHYENQELGRDPNRLDYFCSTPFDVFEDHHLTGVGSYGGGGGGRNDGGIVAGHTTGSDSTVAGDGIRLRVPVGIIIHKGIVPFIDQ >cds-PLY98015.1 pep primary_assembly:Lsat_Salinas_v7:8:43106870:43109568:-1 gene:gene-LSAT_8X32880 transcript:rna-gnl|WGS:NBSK|LSAT_8X32880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLQILLVVVVMACLGAASGQGKSHCKKTCGNVTIEYPFGYDEECYYNSNFLVTCNQTSGKPPVPILGVGTTNIVISNMSMDKGELEILMFVASDCYNSSGSTRRLHATLTLGKIGTDIRVSTKNKFVAVGCDTLAFLRGTRGPRIDVTGCNSNCGRRSLFTNGSCTGVGCCEVAVPEGMSAFKIIVTSNNNHTNITDFNPCSYGFFLKNGNFNFSTNDLREFQTPRMPMLLDWAIGNSTCENATATNTLICKGNSECDRNYTGPGYRCSCKNGYKGNPYIKNDCENINECINGNHDCRHECIDEEGSYTCKCRKGYSGDGKKGGTGCTADQSMVIKIAVGSSASAIFLIVFVNWLYFGLKKRKLMKLREKFFRQNGGIMLQQRISRDKGSGDQAKVFTIEELQRATNNYDESRIIGKGGYGTVYKGVLSDSRTVAIKKSKLADQNDTQIEQFINEVIILSQINHRNVVKLIGCCLESEVPLLVYEFIPNGTLSDHIHNKHKSLSITWDIRLKIAHGAAEALSYLHSAASIPIIHRDVKPTNILLDDNYVAKVADFGASKLIPMDQNEVATMVQGTLGYLDPEYLQTNQLTDKSDVYSFGVVLVELLTGKNVLNFERPEKERNQAKHFLSSLKEGRLLQVLDEQLQQTENHNEIVTVSRLAERCLHVKGDERPTMKEVAMELEGILSSKIQKHPWEKHTLNEDEAEYLLKEPTINVYEFDDGANATSSTFDSMSKQTILPIASGR >cds-PLY94674.1 pep primary_assembly:Lsat_Salinas_v7:MU038872.1:99132:102071:1 gene:gene-LSAT_0X20821 transcript:rna-gnl|WGS:NBSK|LSAT_0X20821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFLEGNGTRTLKLFGTGNVKFMEDASGKTFWQSFNTPTDTFLLGMKLDKSLMLTSWKNLNDPGTGSYLFRLDKGGEQYVIMKDTTYYWKSGNPKNSSDDNAMLPDALSLLSNTTTGDNYSRLVMNYTGHVQYLSWEKASKQWVLEWEAPRDYCSEYHVCGSYGMCNQTNDPQPCRCLTGFQRASRRDPKAGCKRKSEICETTTDTFLNLTLISVDDTDVTFQKSNNESVCIEKCLESCLCVAYAYTSQPEEQLVDESRHDTQGCWFWNSQLYNLKAPGRHNISIRVAAGHQVKGRSEFQKLVVPLAVGVSVLGVIFLCVVVYISYRRLVNRIAEDTRRRIELESNIMSSSVNELPRPDDSREANEYQDGGVQQFNLEEIKEATGNFSQENFLGVGGFGSVYKGELGGRQVAVKRLTSTTGQGQEEFKNEVSVIAKLQHRNLVQLYGYCADGDEMILVYEHMPNKSLDSILFDPNHKASLDWRTRYKIISGITAGLSYMHHDSRVRTIHRDFKTGNILLDEDMNPKISDFGLYKILSGNETGETAKKVSGTPGYIAPEYSENKVFSTKSDVYSYGVVLLEIVSGQRISKLYLSEDCWRNLIAQAWKLWVEGKALELMDPTLMDTCNPHEVLKCINIGLQCVNNQADKRPPMSNVILMLGSEPDTLPNPTDPTDQDSQKDHAIASSSGLPKEEPQFSKNMMDITVVHPR >cds-PLY80268.1 pep primary_assembly:Lsat_Salinas_v7:1:210387780:210388151:-1 gene:gene-LSAT_1X128841 transcript:rna-gnl|WGS:NBSK|LSAT_1X128841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKVVALDQNYSSIRAKVDIIADVVINVGKLYNSLVPKFDSKAESDALEVAQLKERLNNLKESVSRLGSSSSSLITLDFLTQKFCLLESTLQVEQARLPKLINMMPTDSLPSHTGCKGEKEV >cds-PLY72080.1 pep primary_assembly:Lsat_Salinas_v7:9:196602066:196610469:1 gene:gene-LSAT_9X121380 transcript:rna-gnl|WGS:NBSK|LSAT_9X121380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLHVEDGSLYGSDSSSRPSSLPPVSTSSIDWSSRASNLPPLPTSSKETRSASPKGKLVCHQFTIAQIRSATQDFNESLLLGKGGFGNVYKGIIDNGTRIIVAIKRWSFNSSQGVREFGAEVDLLPMVQHPNIVCLLGYCTDDKEMILAYEYMSKGSLGDHLHNHHTPLSWSQRLKICIDAACALEYLHNGSSGQEVIYNNVKSADILLDNQWRAKIADFGLSKIIPIDNYSTHVSTEVVGTFGYVDPEYMATGRLSKKSDVYSFGVVLLEVVCRRRAIDRNLDKKQQLLVRWAQDFVRRGKLKQIIDPELKGQISSTCLNDYVKIVEGCLHESQEQRVTMAEIVTGLESILASHERTNSSFPQMGITASGRRLHKYFFSSGQNSGGSYFSKVTRLLSAKSLQHKGDDGNISKLPPVPTSSKETRSSSPKGGHFRQFTISEILSATQDFNKSLVIGNGGFGNVYKGTIKSGTGIIVALKRWNPVSHQGATKFQAEVDLLPMLRHPNIVSLIGSCTDRKEMILVYEYMSNGSLGEHLHKHRTPLSWSQRLNICIDAARALHYLHNGSSGKEVIYNNVKSADILLDNQWAAKLTDFGLSKIIPTDNWSNHVSTQVAGTFGYIDPEFYTTGRLSKKSDVYSFGVVLLEVLCRRHAIDWNLDEEQRGLVRWAQHCIRTGKVEQIIDPDLKGQISLKCLNDYARIVKCCLREHQEPRITMAEVLFGLESIMAIHERTDSGFQKHFFSYREKSGRSYFKKDIEVLSPKSNQHESDYGDMPEKDVDFISEKSYERLEISSQQSIRYSSDEFDPTSEPNHEVIDEDQTKSLYELMHLEYIQRADALMKMKDFLHLIWFYHVKKEAEVDFRSLLDKFSSLNEYIRKSLDSPTDGSYLIEIQTLLQDIEEHLDDAHFDMVAYLPEDNSFGSVGIENLATGVNSGQVSIASFWSGIPEVHASVVASAAHRAVSCIKDLKVKKLGISGSGSQEVAETLKDMPELRSAFDMVLCVRVKRHNIKELVNDIEEEIYLWRKRSSETDNETIVVEKYLNCLLFIDCSDTYIDLHDPEFNLSKWFETVQIVMTSGSENAYCPVDIEIRVEDHLLPWILFSANVDLETVSKYPRIQEMATRLIEKCHGHLLSIILLARALRGVVQVGVWEFALQELASQKEPSSSSQLGITSDVMVRVLRFIWSRMESLSQRCIIQFATRYIGTEFDKFLLIRSWIRDGLVKTEQEGENVFEDLIRSFLVEQVGNNCVRMRDETRVILVAEFVPRAYRLYLKQDGSESIRMPNVEEWDAREIHLSNNIISELPDNPNCPILVNLFLHFNQDLIDIPITFFDNMPSIQVLDLSSTSIKCLPSSISKLTALGKLFIRDCDLLMELPPEIGALKNLKVFDSEGTQLVCLPEQFGSLTKLECLKFSLYNFPDKPKASNQSMHIVPITVFSKLIRLKELSICMDLYGEWWEDEVKLIIKILPKFWNMESLRLYFPTIELLEMFMETLNWRGVPLYQHLSNFGFVVGHLQQRLISRVPHDLHKTFAKLPKCLAYTNGDGDTKVIARVLEHANSLFLDRHWTLQSLSALGLAEMEKLKFCLLSECNEMLQIVNGRHLEDFFVRPVLGSLQHLSIYYMKSLLCIWNGPIHSRCLSNLKTLAMHSCPELRTIFTQELLESLTCLECLIVEDCTMINSLVSLGSYNSTSTRYLPSLKKISLIHLPELLSISRGISIAPRLVSLVVYDCPNLEKLSYMKAFDNNIKEIKGENEWWDALKWCQPEWTGGRPDYLARVFIPLGTNGDIMDELADAVNILPHLSDH >cds-PLY72650.1 pep primary_assembly:Lsat_Salinas_v7:3:183880113:183882711:-1 gene:gene-LSAT_3X110340 transcript:rna-gnl|WGS:NBSK|LSAT_3X110340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLHHQKHHQTYITNYNKAIEQLNDAITKGDASTAVKLHSTIKFNGGGHVNHSILWKNLAPTSWLAVDKELKRLVVETTSNQYKNVRPDYLKNIWKVINMKYASEVEEQIIYLEPHDTTMVIDFCMHLLQLYSSHIIGKDLDAFTAAIVVSIAFSFIPASLVVAIMKTRLRAMVARDEFRRRRNKAATIV >cds-PLY98472.1 pep primary_assembly:Lsat_Salinas_v7:2:63601506:63601949:-1 gene:gene-LSAT_2X28981 transcript:rna-gnl|WGS:NBSK|LSAT_2X28981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRIITRNMLSSQVHKWFQPKRDEEANQLLGYVCSQIKNRDNITDGGLINIRMVSQHFCGNLMRNRIFGRRFFGKGSEDGGPGEEETEHVAAIFNILKYLYAFCITDYHPWLRGKTDFDCHEKNMRSALKIARNYQDPLIMLKKGWY >cds-PLY70891.1 pep primary_assembly:Lsat_Salinas_v7:9:15951461:15952293:1 gene:gene-LSAT_9X17240 transcript:rna-gnl|WGS:NBSK|LSAT_9X17240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVAQYLRQKYNIHLRYPHLPAIQAGSDAKPTYLPMERYALKLNEKQVTNFLRNTSIGDRPVAFVTHSMDGLVVKQMLHQASAENRGNLVKNIIGVVATEIELEAKNQNEFINQLVWN >cds-PLY78743.1 pep primary_assembly:Lsat_Salinas_v7:9:51378825:51381811:-1 gene:gene-LSAT_9X46040 transcript:rna-gnl|WGS:NBSK|LSAT_9X46040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPPSFAILPPPPGANPNPNSQIFPPYNHHHHNNPTTVPTQPPDLHTTLSTLKHLINLSKTTIQSLSNILPTTTPATDAIATCPYNSNHRVPPNSLFNHHLRCPSSPAVIDLALCDSLHYPNSLQAPQSPLNQENNCLTQTLSNDTTTDLCLSLDGYHISLDSNFFYRDCPAVVTFPHDNNKSDCTLTLPAVLSAECADLTGDRSIDINDTIDGLCSSSGLVELLASEYWNIRTEINQWNDYPASYSYSVLRSVLCSYISQKEYLMEWILVNSPFYGVVIDVFMRDHILLLFRLCLKAIAREAAGYLVSISKGDPKDGKFCFQCPVMSRVLTWLASQLAILYGEVNGKVFAISMLKQSLLISASKSLFLFGEQRASKSVNVSKIDGKAVMEHDGRTPWIILVSQVAAAVAALHERFFFETRIRTIRASRFVPVYQRVQEHGYISKMADEERGKRSNYRAIIEHDGVLWHHGGNQDGNKNKSREELLAEERDYKRRRVSYRGKKMKRSTTQVMRDIIDEYMEEIKHAYMAGSGQSSLDSTKLASKASSMNDLDNEAKISKKAVPQSNRKEKDSVSDISMKSSRFGDEIKEKSNRNRDQEYHTSKSRSHDHRKQDEYKHSRKYQKRNEFEDRYDPSKSHDMNHDDL >cds-PLY93483.1 pep primary_assembly:Lsat_Salinas_v7:9:65292241:65294943:-1 gene:gene-LSAT_9X55460 transcript:rna-gnl|WGS:NBSK|LSAT_9X55460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLLTYMDIDPLALSEVVAFGVEPYEHLERMENEYEALATIKCKASYCFPIVYPPAVRASSSVLGFISTFLFNSFKQKHKKQSIFPITFKLKGDCSLGYLHIVFFDIIPPSCIQMRNIVLSAFPRNMRQPDPSTPNLKIDLLAEISRSPHILSEVDASLKTKRMKNDVDEYLKARPQGTSFLSELKQKLLLSPSEAVRAGTRYNVPLMNSLVLYVGMQAI >cds-PLY94404.1 pep primary_assembly:Lsat_Salinas_v7:6:9657617:9658848:-1 gene:gene-LSAT_6X6761 transcript:rna-gnl|WGS:NBSK|LSAT_6X6761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKCQPQQSISCDNLFVGDKTVVLPGDHVPADGIVTAGRSIVDESSFTGEPLPVTKLPGVVACPCALGLATPTVVLAKFNRPVAVESNTIHPIGKDIREATKVARCANVKADDGTYIEEPGSGAVASIGKKIVYVGTLEWIRSNHKL >cds-PLY76461.1 pep primary_assembly:Lsat_Salinas_v7:5:198973434:198975752:1 gene:gene-LSAT_5X89520 transcript:rna-gnl|WGS:NBSK|LSAT_5X89520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MULTIPOLAR SPINDLE 1 [Source:Projected from Arabidopsis thaliana (AT5G57880) UniProtKB/Swiss-Prot;Acc:F4KDF5] MASETTAGSDESLKLAIAIALLRSKVLKKHSETPHPPSATSSSDAIKWKRKAKERKQEIFRLKKNLEEVEDGLHHELFTGSASCKCYFFENLGKLNPNQLSGEGCDGRFNDVLRRRFLRQVRLKERRKRRSEGSSFTQGSFWSEHNDEEIEQLRASVDFLVELCDTISSPDDANFANWSHQAVDFILDAIKNILSKGTSIEHVEGIVGSLSLRLVKKMCTTSQGNEAHNQFETNPQFHVQHLLRKLGSESCVGQQVILAVSQRISLLSENLLFLDPFDSAFFEMHTSLYILIQLIEFLVSDNLISWSKTDGFASELLEEWVTSILHARKGLQLLESRCGLYILYMDRVIGLIAKLVAQIASLHNLNPNILRTLLC >cds-PLY71193.1 pep primary_assembly:Lsat_Salinas_v7:2:132223516:132227995:-1 gene:gene-LSAT_2X62501 transcript:rna-gnl|WGS:NBSK|LSAT_2X62501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQFHVFVLLILISLTEALDYAKPGCKDTCGNVRIPYPFGIRRNCSLNEWYTLDCNFSTPYLSALNNMEVLNVNLEQQTITVNVSKISNCQNPVWNSSHILTANSGSGGNPFLFAKLHNIFMVEGCGNAFMISDNGSAVVTGCSATCHNNTVSDVNNCFGIGCCQTIIPYDLKSFSLDLTDMETQKGVCGFAYLVDKNSYVQGRSFSDQSTFVPISLLWTLADHDYDQLPGCSQSVRTRTVFDLGYNTSAESIRCECGATYEGNPYLEGGCKEHEECVKCRLTGGNCIFDPVYNVDLKLIWNVTCLSNETNRSHGFGSSKTSLGVILGVSISMGLVFSTMLVYALYKVIKKTKSKRLKERFFKRNGGLLLKQQQATNIHLVEKTILFSSNELEKATNHFNENRILGRGGQGTVYKGMLTD >cds-PLY81477.1 pep primary_assembly:Lsat_Salinas_v7:5:334709325:334711676:1 gene:gene-LSAT_5X187960 transcript:rna-gnl|WGS:NBSK|LSAT_5X187960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAASPSCIFCQIVHNSTTTTLLHTDEKVVAFQDINPSAFRHYLVIPVEHIATVKSLRRRTEDYSLVSHMLNVGQTLLQRDAPNSTQYRFGFHQPPFNSVNHLHLHCLALPYVSRWKTVKYTSLGPLGGFIEARKLLEKLKP >cds-PLY74369.1 pep primary_assembly:Lsat_Salinas_v7:5:56879551:56880896:1 gene:gene-LSAT_5X26860 transcript:rna-gnl|WGS:NBSK|LSAT_5X26860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHPFPMILFARLTTVKRTTTTTTATATFSINTAFRTLKTAMTDQMSNGKMGNGRARDHETNDDYFATIHHISNIVRRDIYLERTLNKMCISRIVNSELVYRVLHSCSNSVNGWCSAGKMKEAQSFLEEMSQNGFNPPIRGRDLLIDGLLNAGYLESTKGLVRKMKKEGFVCDVTTFNTLSEAICESGEIDFCIGLYHDGCRLGLCPDIDTYKIMITATSKIRKIDEAFIVLYRSIEDGNKPFPRLYAPILKGLFRNGRFDDAFSFFSDMKVKGHPPNRHVYSMILKMCVRGGIYVEAGNYLMEMIEFKLSPMS >cds-PLY66955.1 pep primary_assembly:Lsat_Salinas_v7:7:20889470:20892902:-1 gene:gene-LSAT_7X17720 transcript:rna-gnl|WGS:NBSK|LSAT_7X17720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDIGNENCAIAVAKRGGIDVLLNDESKRETPAVVSFGEKQRFLGSAGAAFATKFPKSTISQIKRLIGREYKEQSVQEELKLLPFETSEGPRGGVLIHLEYLGKTWTFMPVEILGMLFAHLKQLTERNLESPVVDCVIGIPSYFTDLQRREYLYAACIAGLRPLQLMHDCTAIALGYGMYKTDFSGEGPTVVVFVDIGHSDTQVTFAAFRKGRMRILSHSFDQHLGGRDFDEALFKHFATQFKEEHDIDVYSNARASIRLRASCEKLKKVLSANAEAPLSIDCLIDDKDLNGFITREEFENLSLELLERVSVAFCKAGEDADVKIGDIHSIELVGSGSRIPAIMRKLTSVFGKEPMRTLNGSECVARGCALRCAMLSPAFRVQDYKVQDLFPYSIGVDNAENKRKTRLMLFPKGSSFPNDNLIRFNVDTTNYYQVLYTNKDFPAGITPHVGYFMVGPSETAGEEKAKGLVKVHLNIHGIVEIEHAIDEEARRGWSRRQSLAVSEFLDVAMTRDELHEAQEREQFLAQQDIKVEQTKDKRNTLESFIYDTRSKLLSCYRSFTTDSEQEIILKNLQETEEWLYEDGDDETEQVYIGKLEDLKKLSDPIENRYKDEMARKEAKEALQTCIQENREAADLLPPMQKEEVINECIQAEQWLTHISQLHDSLAKNAISIYCSTAISGITETFKRRCQVMMTSKPSLPKYDEPVDSDEKHDDPDGVQDDC >cds-PLY61681.1 pep primary_assembly:Lsat_Salinas_v7:9:137902427:137904186:1 gene:gene-LSAT_9X88420 transcript:rna-gnl|WGS:NBSK|LSAT_9X88420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSSCSHHHRHQEQHQEEVHLQADLSRPQPPEMVEHLRQHHNHEIQQVHHQEVEMMSRLSSYNPPSVYLRHLLLSCAHSISRSDYTAAHRITTVLSSSSSPYGDSSERLIHSFTKALSLRLLLHLQPLPSTPSSIRSSYSSNYLDENDDAILQSSYLSLNQITPFIRFSQLTANQAILDAIDQTHCLQQQQQHPHDIHILDFDIMHGVQWPPLMQAIVDRHQPPTLRITATGTNLDVLHRTGNRLSKFAQSLGLRFRFFPLLLPQTNINHTVDDVIDHLSAVHLLPNEILAVNCVLYLHRFLIDREKLCLLLRKIKAMNPKVVTLAEKEANHNHPIFISRFEEALNYYSAIYDSLEATLPPNSRERMEVEQVWFGREITDIVATEGEKRRERHERFISWETIMRIAGFQNIALSPFALSQAKLLLRLHYPSEGYNLEVVNDSFFLGWQKQPLFSVSSWH >cds-PLY69740.1 pep primary_assembly:Lsat_Salinas_v7:8:117884883:117885311:-1 gene:gene-LSAT_8X80780 transcript:rna-gnl|WGS:NBSK|LSAT_8X80780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMAKMIMHNDTMDGNDMVMMHMSFFWSKDVVMLINGWPNGKLGMYILALACVLVLTIVVEFLSVFPVVKLQGSSPFIGGLIHATFYGLRMALVYLIMLCVMSYNVGVFVFVVAGHVIGCFLVKYRMISRAASKSRMELDHV >cds-PLY73457.1 pep primary_assembly:Lsat_Salinas_v7:4:181507760:181515240:1 gene:gene-LSAT_4X106820 transcript:rna-gnl|WGS:NBSK|LSAT_4X106820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFELAVIPGVRLREAPVLLLLHFHNALREEVTDLRRTAAEALDSRIYGVDLIQELRRRFEFLKLVNKYHSVAEDEVIFRALDVHVKNVVSAYSLEHTSSEDILDSIFHYLDVLEKEDERSISKPFQELVYFICTLQSSICKHMAKEEEQVFPLLTQQFTTEEQASFVWQFICSVPMLLLEDFFQWMNSFLSSDERENVLQCLREVVPKDIFLQEVVISCLKAKEENTSVDFDKYGKGSLFLNGQANFRKILEVYSSEGDVEEHPIPGPIQYSPWDGARLWHAAFSKDLLDVLEELYSIQDSNDFSGLVPAIVQLKFFADAIIFYSNALDKLFYSMCIELAEDCPAPSYQRFLDDSQIEGLQLLLYSKNENVLSARDFLEKLCHKLKISAIGIRKYLTFVEKDVFPYMGMNCSRNMQRRLLYASLEMMPLGLLKCIFTWFSSRLSEEQSKSIMHSIKQGGLLINNSLSSLLHEWVRIGYSGKTSVEKFRMELREVFDKRCSFVSEHIKNDSGFPYFQLDTQLTRSNISNTSYSTGINYRVFFPQKPQITAPFSTYPIGNNNNNNHTESSFRYLESKPVDHIFFFHKALKKDMEHLASLSASLAGEKNYDLFSNFYKRFHLLRVLHKVHSDAEDEIAFPVLEAKEIIQNISQSYSIDHKMDIEYFNRISYVLDQISKFHFENGDDVIYRQLCVKLHDMCKCMNKMLSDHVDHEEIELWPLFREHLSPKEQEKIIGCMLGRTRAETLQEMIPWLMAFLTVEEQNAMMSLWRKVTKNTMFDQWLGEWWEGMKRYDVPNVEKSTQLTKLTRSTPDAMEIVSKYSPRGNMGDLSEMNTMNQKMIHHEDDRDRKSSKKQDVHDCKVCSEMNNTSTQISSEKGVNLVLSQEELEATIRRVHCDESLEPQTKSIMIQNLIMSPWINTQRKSDDMDANEEIIPGICPSYRDSDKLVFGCKHYKRNCKLLASCCNKLFSCRRCHDDATDHSMDRKATTMMMCMKCLIIQPVGPTCSTVSCNGLSMARYYCSICKLFDDERQIYHCPYCNLCRVGKGLGLDYFHCMNCNACMSKSLSVHICREKCLEDNCPICHEYIFTSSNPVKSLPCGHIMHSSCFKEYTCSNYTCPICSKSLGDMQVYFAMLDAMLAEETIPDEYSGRTQDILCNDCEKRGTTSFHWLYHKCPGCGSYNTRVI >cds-PLY74803.1 pep primary_assembly:Lsat_Salinas_v7:6:116160852:116169778:-1 gene:gene-LSAT_6X69660 transcript:rna-gnl|WGS:NBSK|LSAT_6X69660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKGEKKPEQGTESTAVDPKMSEKPKETTDAVPYHKLFAFADSTDYVLMIIGTITAIGGGISMPLQTLLFGELIDTFGDTTDNKAIVHAVSKVSLKYVYLALGSGIAAFSQVLCWMITGERQAARIRSLYLKTILRQDVGFFDQESKTGEIVERMTSDTVIIQAAMGEKVGKFIQLTSTFFGGFVIAFSKGWLLSIALLSTIPVLVISAAFMTAIMAKLTSRGQSAYSVGATVVEQTVSSIRTVASFTGEKQAIAKYDKSLQKAYMAGVQEGLVSGLGSGIFMFCLFGCYSLAIWFGGRLIIEKGYTGGQVINIMIAILLSSSSLGQASPCLSAFTSGRVAAFKLFQVIDRKSDIDPYNTNGRKLDDIHGDIELRDITFSYPTRPKEPIFDGLSLTIPSGTTMALVGQSGSGKSTVINLIERFYDPQGGEVVIDDFNIKEYNLRWLRGKIGLVSQEPILFSSTIKDNIAYGKDDATLDEIKAAAELANAAKFIDKFPEGLDTMVGDHGTHMSGGQKQRIAIARAILKDPRILLLDEATSALDTNSERVVQEALDRIMVNRTTVIVAHRLSTIQNSDVIALINHGKIIEKGSHSELLQDPEGAYSQLIRLQELKKHSQNHEKVDQEWAEITMASGSHSSQRISISKSITHESPNLNNSNRHLVNLAPTDNQKEPIPDKKTNSSLFRLAYLNKPEIPVILLGSIAALINGAILPLFGYLLSSIIKTFFQPAHKLRKDSEFWALMLLVLGLASLIATPLKTYFFGVAGCKLIRRIRLKCFERVVQMEIGWFDKLENSSGLIGAKLSLDAVSVRGLVGDTLSLLVQNTSTAVSGLIIAFVGNWQLALIIILLLPLIGLNGYLQVKFINGFGADTKKLYEDASQVASDAVGSIRTVAAFCAEEKVMKLYEKKCEKPRKTGIQQGLVSGAAFGVSMFLIYIVYATSFYVGARFVEAGRTTFSKVFQVFMGLSMAAMSVSQSGSFVPDSGKAKIAAASVFALLDQKSKIDYTEESGTTLQNVKGDIEFIHVNFKYPSRPDIQIFKDLCLTIHSGQTVALVGESGSGKSTVVSLLQRFYDVDSGEITLDGVEIRKLKVRWLRQQMGLVSQEPVLFNDTIRANIAYGKEGNATEAEIMAATELANAHKFISSLHQGYDTNVGERGIQLSGGQKQRVAIARAIVKAPKILLLDEATSALDAESEKVVQDALDRVIVHRTTVVVAHRLSTIQGVDVIAVVKNGVIAERGTHEKLINIKDGIYASLVALHTSASVS >cds-PLY78594.1 pep primary_assembly:Lsat_Salinas_v7:1:102623348:102624970:-1 gene:gene-LSAT_1X84200 transcript:rna-gnl|WGS:NBSK|LSAT_1X84200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSPSSQQEPTNPLAVQQSKSTAASRRIPPPCWSHDETVALIDAYRDKWYSLRRGNLRASHWQEVADGVTARCPLGNPPKTSVQCRHKMEKLRKRYRAEIQRIGNNPGGHRYPSSWVHFKRMDSMELGSSPSDPSNQDEEEEDGVGDENEDDLLLYPRGIKQAIALPLNRRYQSSIGNGVAGNGVRIKIPNLAGVPPPQPLNVYNSNISMDDYPPPMNPHYGSGKGSRDGYMKEAFGSEMNRKHGGGVGGGMKRRKDMENSESHLMDEMVAAIQKLGDGFAKVERMKMDMARELESMRMKMEMKRTEMILESQQKLVDSFAKTVMEKKNKKIKRMPTPES >cds-PLY71396.1 pep primary_assembly:Lsat_Salinas_v7:3:218088686:218094979:1 gene:gene-LSAT_3X126420 transcript:rna-gnl|WGS:NBSK|LSAT_3X126420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein VASCULAR ASSOCIATED DEATH 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G02120) UniProtKB/Swiss-Prot;Acc:F4HVW5] MAVATTSSSDNIAPPSQSMDKSPTTNLTDAAAVTESLSAVNGSSSASPADLADNSKSSYSPSRQLEFQPSLRSEEYRQLFRLPPEEVLIQDFNCALQENFILQGHMYLFFHHICFYSNLFGFETKKIIHFDEITEVKRAKTAGIFPTAIELTASEKKYFFTSFLSRDEAFKLINDGWLEHGSGSKAISDQQVSRSGLIDEEPEIVMSTSCENRSSTGDNTPEDPNRTPGSEADVSLRSSRVQDAVDDDTIVVQNTDCSSSGKSLAWEVGDSDAPIVPEGYTLAAESTFPIKVDEFFSLFFSDEALPFLESYHNKCGDKDLKCTSWKPHDQLGYAREVSFQHPIKIYFGARFGSCNEVQNFRVYKNSHLVVKTSQVINDVPYGDYFCVEGLWEVVADSNNGCRLRVYVNVAFSKKTMWKGKIVQATIEECRDTFAAWIELAHVLLKQKNIEKEANLIAAVNVEETRVPEHSEAPGQQGDITMMTQTVPVSQEVKRQVSSSGSSGTASNLRDSIPKLFASFKSQNHVPSFVVILMAVVILLMQISIVVLLLRPQTVQVVSDTSWMSNSNTRIDRRGETVNLLNKQIDHLKEEMLIVETLLQKMRHEHDMLNVQLKKLMLHKN >cds-PLY95354.1 pep primary_assembly:Lsat_Salinas_v7:5:267531869:267532465:-1 gene:gene-LSAT_5X139080 transcript:rna-gnl|WGS:NBSK|LSAT_5X139080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGKLTKLKSVLKKWHSFGKPSARSTGGNAIAADPRHCHAVYVGKSRRRYHITSEVAEHPVFQELVERSGDGETVDCEVVLFEHLLWMLENADPQPDSLEELVDLYAC >cds-PLY96185.1 pep primary_assembly:Lsat_Salinas_v7:8:101824173:101827073:-1 gene:gene-LSAT_8X69461 transcript:rna-gnl|WGS:NBSK|LSAT_8X69461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLAKAWRLGMKDMQILPPPRHRGHQLKKPTWILVLISLVCMFLVVAYIYPPQTSSACYIFSSSGCETFSSWLPPPIREFSDDEIASRVVISSILNAPPIHSKNPKIAFMFLSPGSLPFEKLWDRFFQGHEGRFSIHVHASKEKPVHTSRYFINKEIRSGSVDWGKISMVDAEKRLLANALKDPDNQHFVLLSDSCVPLRDFDYVYNYLMYTNISFIDSFEDPGPHGSGRYSEHMLPEVEKKFFRKGAQWFTMKRQHAVIIMADYLYYAKFRDYCRPGMDGQRNCYSDEHYLPTFFHMHDPNGISNWSVTHVDWSEGKWHPKSYGEKDVSEQLLKNLTSITESVHVTSEERKETMIMPCLWNGMNRPCYLFARKFSAETLETMIDLFSNYTSSSLSSSSSSSKTGERDS >cds-PLY76425.1 pep primary_assembly:Lsat_Salinas_v7:8:153981942:153983139:1 gene:gene-LSAT_8X103180 transcript:rna-gnl|WGS:NBSK|LSAT_8X103180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECRKLELTINSAHDLREVRRIFKMKVYAKVVIGGNKTLEKRTPVDKHGQTNPAWHNTMNYTITESWLQHHGTMLVIKLYCKRKLGDRYIGEVYQSLKQLYDHAYPLGGSAVMCFPVQMGSAESQGQICFSYKFGEKVTIEKLMLAESIASFLLTGPSAAT >cds-PLY61857.1 pep primary_assembly:Lsat_Salinas_v7:6:62415551:62416949:1 gene:gene-LSAT_6X46240 transcript:rna-gnl|WGS:NBSK|LSAT_6X46240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSFLLLAFLLICISVQLANVRADDYEKPDDDKKSPAPAPAPEQEKSSDADATNYDELTPDPETGRQRAYCKSNGKCNKKTLTCPAECPERKPKKNKKQKGCFIQCGSKCEATCKWRRAKCNGYGSLCYDPRFVGGDGVMFYFHGTKGHDFALVSDTNLQINAHFIGSRPNGRKRDYTWVQSISVMFDTHTLVLSAKKVQQWDDSVDVLLVKWDGQEVNVPFDGDSEWKTNTGVREVVVERTDDTNTVRVTVGGLVEIDMKAVPVTKEDDKAHNYQLPSNDAFAHFETQFKFWKLSDDVEGILGKTYRPGYVSPVKRGVAMPLMGGEDKYETRSLTSPNCKVCMFQKQAPGAGDNGAPGLADF >cds-PLY83801.1 pep primary_assembly:Lsat_Salinas_v7:3:50660926:50662006:-1 gene:gene-LSAT_3X39001 transcript:rna-gnl|WGS:NBSK|LSAT_3X39001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLRTLATAKTLGAISRFQQHVRGLETFTLPDLSYDYDALEPAISGEIMQLHHQKHHQTYITNYNKAIEQLDDAITKGDASTAVKLQSAIKFNVRGHVNHSIFWKNLAFTSEGGGEPPHGSLGSAINQSFSSVEKLIAKMNAEGAAVQGSGWVWLAVDKELKRLVVETTSNQDPLVTKGPCLVPLLVIDVWEHPYYL >cds-PLY86386.1 pep primary_assembly:Lsat_Salinas_v7:8:283235942:283236994:1 gene:gene-LSAT_8X160040 transcript:rna-gnl|WGS:NBSK|LSAT_8X160040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVDAAALVIQHPSGKIERMYWSVTASEIMKMNPGHYVSIIIPLPPVPKDGDDDHHQEDDKKTIRFTRVKLLRPTDTLVLGRAYRLVTTHEVMKVVRAKRHAKLKNKTNTNPPESMADNQSSTAADDHTVTNQVEVGHERMFRQRSGSSSSIFASSRSKSWRPSLKSISEAAS >cds-PLY85284.1 pep primary_assembly:Lsat_Salinas_v7:3:71994901:72000822:-1 gene:gene-LSAT_3X54160 transcript:rna-gnl|WGS:NBSK|LSAT_3X54160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNYASACNVMISPRIKSNKAARVIFPAGEIRQFQESVEAADIMLECPNFFLVNSQSLNINRRFSPLSSDEELESNNIYIMFPMRRLNSMVTPADMAVFWMAANSAAKRISGRISSELEKNGDVNMVETEHRSVVAEVPEFSYRLTFCVIPSKKDNSLFTHLEDNKIYSNPSGVVMGDHFVFLVDRLLTESTLEAAIESRNPSKNLGKDDTVIDCSSSSSHVDFTPKKLVECRICQDEDFDSNMETPCSCCGSLKYAHRRCVQRWCNEKGDTMCEICHQQFKPGYTAPSRVFRLGGIPLNLRGHWHVARRDINNNPRLITVVSPDRNFLDQDYDEYVDPTSRSFTCFRSVAAIFVVLLFLRHSLPAIVNGTWNYSFPMFVLWFLRTSAIVVPIYIMMRAMVALIHWRRRLALSASMSSLSSDDDEGEGSLTPPQQQPPVTRVH >cds-PLY83417.1 pep primary_assembly:Lsat_Salinas_v7:5:330456663:330458405:1 gene:gene-LSAT_5X186980 transcript:rna-gnl|WGS:NBSK|LSAT_5X186980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLIPATIFGSFKHIAHRKDFLCTSVPNIMPIIFTLKNLLEDHFIPEAKLMLITLLICLLLLGFFITRRTSRVVYLLDFACYKPPDAQKITKQYCIEKLKHMGNSSEETVQFMKTILGKLGHGDSTYIPEVFLKNDSDPCMKEARREMEMSVFGSIDMLLAKTGVRCEDIGILVVNCSLYNTMPSLSSMIVNKYKLKENIISYNLVGMGCTAGLIAIGLAQNLLQVHHDSYALVMTTEGVTENCYVGDDRSKLLSNGIFRVGGAAILLSNRPSDHRNCKYKLLHTVHTNISSSDLSYNCIFEEEDEAGIRGVTITKDLFKVAPTVIRSNVTVLGKQILPLSEKLRYLKNSIARKLRPTANIQPYIPNYSNSVELFLPHSSGKPMLDALQKNLGFDEIAMEPSRMTLYRFGNTSSSSIWYELAYAEAKGRVKKGYRVWQIALGSGFKCSSVVWRAMRTIDYDEMNPWTDEIDGFPVVLDCDCDDGPLPYFSEHSK >cds-PLY72803.1 pep primary_assembly:Lsat_Salinas_v7:6:22683480:22684489:-1 gene:gene-LSAT_6X17901 transcript:rna-gnl|WGS:NBSK|LSAT_6X17901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFPARTLPGTLFPADLSPNATWNNFVNFIDAGKGANFSGMSELKKYFHRFGYLQIPDNVVDNFTDIFDDSFESAVVNYQRNLGLMVTGKLDAGTVTQIMSPRCGVSDVRKGSTDKIHVTKHYAYFYGEPRWGRSAQVSLTYAFSRNHMIDYLSSSDVQDAFRRSFSRWSSVIPVNFTEADDYSSADIKIGFYKGDHGDGEPFDGVLGVLAHAFSPENGRLHLDEAETWAVDFKSTKSKVAVDLESVATHEIGHILGLAHTSVKDAIMYPSLSPRTKKVDLKIDDVEGVQALYGSNPNFRYSSLMESDLSSGWVITSSIKWSTYLIVLVGCLW >cds-PLY79443.1 pep primary_assembly:Lsat_Salinas_v7:3:78998189:79000311:-1 gene:gene-LSAT_3X60400 transcript:rna-gnl|WGS:NBSK|LSAT_3X60400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLVPEANLKSLTVVSNNQLSGSIPLSLANLSNLQLLYLDVNKLSGPIPTGLGNLNSLTDLDASQNKQKSDLSHNMLSGSIPNAFTNLHYGIDIDLSYNELTGPVPPSPNLLNASIQGNPCLCGNVTGVKLCTSQIKKKKNHPFRHKLILVIMLPLIGVVLLGLFTYGFIAYRQQWKKSPRKPLEEESGDYFHITSFDGKVVYDDILKATNDFSEAYCIGTGGYGIVYKAKLQPDNVVAVKRLHSSSENVDHSGFLNEVRALTNIRHRNIVKLYGYCSHPRHSFLIYEYLEKGSLGSILRSDVLAKELDLLKRVNIVKGVANGLAYMHHDCTPPIIHRDISISNILLDSDYEACISDFGTSKLLKLDSSNWTAVAGTYGYIVPELSYTMVGNEKCDVYSFGVVALEVVMGKHPGELITSLLTLSDDHLVLANVEDSRIPPPSSQVEKQVMSVLRVSRACLNSNPLERPTKHQVSNLLMKA >cds-PLY95982.1 pep primary_assembly:Lsat_Salinas_v7:9:42980489:42981139:1 gene:gene-LSAT_9X38801 transcript:rna-gnl|WGS:NBSK|LSAT_9X38801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLSLNDQADSDSDEIPNDTGDPDHRRSYEISSESNEYEAQNGSVNNQRVPLPRKRKACFFLSYFSFLILYLAFLLVTAFTYPLKPVCNISHIIPLDGAKTENESFNLVLEFINRNPLISVSYDSFLNISVYYISSSNVSYFAETTAPGFDQQNLKQTEVTVHIPAPESSQLSEMQNTSNPIGLLVNLDFIVGFRCISCKEKRRMTFNVTYYF >cds-PLY72323.1 pep primary_assembly:Lsat_Salinas_v7:4:60508316:60509326:1 gene:gene-LSAT_4X40440 transcript:rna-gnl|WGS:NBSK|LSAT_4X40440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAIIDAITKLRKKISREIYPDRTMSQRENRCFTTNHKFQVGHSIHTGNYDQGFLYQPTSTSEIPPETFFKYKSSVSSPELIKKMQGHLSAWLVKHGLIHRSLGFDYQGIETLQIKPGDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHLTRIEYGADQPEEVCIKVFAPRRDPRIPSVFWVWKSVDFQERESYDMLGISYDNHPRLKRILMPESWIGWPLRKDYIAPNFYEIQDAH >cds-PLY93616.1 pep primary_assembly:Lsat_Salinas_v7:2:174116921:174117984:1 gene:gene-LSAT_2X96480 transcript:rna-gnl|WGS:NBSK|LSAT_2X96480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVHQLQQYYRLGSLDNCSGKWNAMIDCLKLKTKRSHEVQTQLRELTRFKKFLKPVRRKRPTFGLLGRQKKRHLIGMTCLDM >cds-PLY84160.1 pep primary_assembly:Lsat_Salinas_v7:6:189923747:189924504:1 gene:gene-LSAT_6X116560 transcript:rna-gnl|WGS:NBSK|LSAT_6X116560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCPTGTSLWQARNISNLRSAFEVLDTDHDGKISREDLKTSYADADDEVIATMMTVADSNKDGYVEYDEFEKVLRSDNSGSGGVMADVFKTMDRDGDGKVGYGDLTSYLSSAGFDVNDDEINSMLGLGAVDGGADGITFDEFLKILAV >cds-PLY79088.1 pep primary_assembly:Lsat_Salinas_v7:3:8927182:8930495:-1 gene:gene-LSAT_3X8300 transcript:rna-gnl|WGS:NBSK|LSAT_3X8300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVGRLAKFVESQFKKLDILVNNAAESGIIVQYDEFRAFKDGAGYEQVFDENAPLLTGILEQPYDLGEDCMRTNYYGTKGVTEAFLPLLHLSNSPRIVNVSSNYGELHWIHNEKVKAEFQDIDTLNEDRIDEIIEWFLRDLKDNKLSENGWPLTVAAYKVSKAAINGYTRILARKFGNILVNCVHPGYVITDITSHTGHLTPEEGARAPVMVALLSDDGPSGVYFNQMNISSF >cds-PLY83870.1 pep primary_assembly:Lsat_Salinas_v7:3:51310409:51311709:-1 gene:gene-LSAT_3X40660 transcript:rna-gnl|WGS:NBSK|LSAT_3X40660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METAISRRLAPSPNHGLLQSKPGDKYLLHKQSTKWITVMTMAPPRLEKGAGVLDKPVIEKTTPGRESEFDLRKSRKMAPPYRVMLHNDNYNKREYVVQVLMKVIPGMTVDNAVNIMQEAHINGLSVVIVCGQPDAEEHCTQLRGNGLLSSIEPDSGGC >cds-PLY89507.1 pep primary_assembly:Lsat_Salinas_v7:4:149498285:149501020:-1 gene:gene-LSAT_4X91821 transcript:rna-gnl|WGS:NBSK|LSAT_4X91821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTDFFSEGLDSGMQINLSNKRKKLFLTAFALIILLASALIGVIVGVKSRNSGFDNSNPESITDSEAHAIVKSACSSTFYPELCYSTVTSHPDVTIKVKNLKNVIELAVNITVTAVEQTYFQIKKLTTRKGLTKREITALHDCHQMISETLHELLDVMKGLQKYQTKESLRHHANDLKTLMSSAITNQETCYDGFSHDEADKNVRKELEQGERRVEKMCSNALAMICNMTNTDLAEEMKLNARKLKEEVNTEWPGWLSAGDRRLLQSGTVKPNVVVATDCSGNYRTVADAVAAAPSHSRTIYVIKIKAGVYHENFEVPSTKTNIMFVGDGRKSTIITGSRSVKGGSTTFNSATVAVVGDRFLARDITFQNTAGPSNHQAVALRVSSDLSAFYQCDMLGYQDTLYVHRGRQFFINCYIAGTVDFIFGNAEVVFQGCDIHARRPGPAQMNMITAQGRTDPNQNTGIVIQKCRIGATSGLQPVQSRFPTYLGRPWKEYSRTVVMQSVISDVIHPAGWYEWNGNFALNTLFYGEYQNTGAGAETSGRVKWGGFKVITNYADVQRFTPESFIGGGRWLHATGFPYSLGL >cds-PLY91529.1 pep primary_assembly:Lsat_Salinas_v7:1:11414379:11423546:1 gene:gene-LSAT_1X9620 transcript:rna-gnl|WGS:NBSK|LSAT_1X9620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRKTTFKGANVFVSRNLVPPEIFDSLHDVLKDNGAEIFLCCDPSRNGPDDYHVIASRDHEKFNDLRQKGCNLIGMAVSLPFQNEIDKLVTAMGGLLQTKASPDINFVIVKNVLAGKYKWALNNLKKPIVSENWVHQCWKEHRVVPHDSYRVLPFSGLTISVTQMPSR >cds-PLY80151.1 pep primary_assembly:Lsat_Salinas_v7:3:41272501:41275416:1 gene:gene-LSAT_0X29161 transcript:rna-gnl|WGS:NBSK|LSAT_0X29161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCWSPSLNVDQEFAKLLNRMHPPRVTVDNITDRKATLIKVDSANKRGSLLEVVQVLTDLNILIKRAYISSDGEWFMDVFHVTDQYGNKLTEDNVADRIQQSLGPRGCSFRSLRGSVGVQSAMQHTTIELTGRDRPGLLSEIFAVLSDLKCNVVAAEIWTHNSRMASIVYITDNSTRLPVEDPDRLTKIKHLLVSILKGDKDKRGAQTAVSLGSTHTQRRLHQLMYADRDYDTDGSDSDLSTISNNEKPLVTVENFSEKGYTVVNARCRDRPKLLFDVVCTLTDMQYVVFHATIIAEGAEASQEFYIRHTDGCPISSEAERQRVIHCLEAAIKRRISEGVRLELSGEDRVGLLSDVTRIFRENGLSVTSAEVTTRGSKAINTFYVMDSSGDEVKSETIEAVRSEIGECILKVKEDSVSMVKNSNPNSPPTGRFSLSHLFRTRSEKFLYTLGLISSCSEVA >cds-PLY79523.1 pep primary_assembly:Lsat_Salinas_v7:1:33701543:33705134:-1 gene:gene-LSAT_1X33040 transcript:rna-gnl|WGS:NBSK|LSAT_1X33040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein AGL3 [Source:Projected from Arabidopsis thaliana (AT2G03710) UniProtKB/Swiss-Prot;Acc:P29383] MGKGRLELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIVFSTRGKLYEFSSTSSMLKTLERYEKCSFGPPEQRKPAKEDLQEQSSYQEYMRLKERYDALKQLERQEPLNYYGEEIDSLTTNELESLERQLNCSLKQIRTIRTQSLLDKLYEQQKMEHQLYESNKTLRLRLEEESQAEALQWDAHANGMIYGHHQHQMSQPTRDAFYHATGCKTTLQIGYQTEQMSGVTSTNVNHQMQGWPA >cds-PLY64438.1 pep primary_assembly:Lsat_Salinas_v7:4:249245003:249249741:-1 gene:gene-LSAT_4X132261 transcript:rna-gnl|WGS:NBSK|LSAT_4X132261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNGKSFLGWNLRFYKTRKLCDAMIFNHDPPKCFDYWHCQAFGFLCTMLAAGGDDDDIILINTIDGSVARVLKGHRGSITSISFHPKREYLAFVDSFGTVIIWELQSGTTLHTLKNISHNTPPDFTALSALSWSPDGEMLAVSGLKNDVAMYDKDTAENFFKLRGEHTQPVCFLTFSLNGKYIATSGFDKHVLIWDVTKTQDIERHNFEEVISSMTWKPHGNALVVIDVIGKYGVWDSIVPSSMASPTEGRPTLDSKKNDGLFFFEEEEKEISTSGSMSDHGEEEEDIFINSEQPTRKRLRNFKYDEDSNDHWWTRVCIGWFDETGSREKKVNKQKVKPVERTEQLEAMDVELDMNETDKSGQVNKTNLFGLLRDDAGQLKELEGKTLVLSTKEEPKFEEPKEKHLTGEFDKDEV >cds-PLY77164.1 pep primary_assembly:Lsat_Salinas_v7:8:25195708:25198687:1 gene:gene-LSAT_8X19220 transcript:rna-gnl|WGS:NBSK|LSAT_8X19220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKSEDNGVQTRTTTEPEPPLIQGVGSRTRVRFQLPGEKQLMEECDQLLQGLGPRSASLISCVVRRAKFDHVAAEGTTLVKQAEDVASNKKAEKRLHVDPATWPIMIFRVC >cds-PLY97715.1 pep primary_assembly:Lsat_Salinas_v7:8:7471108:7472490:1 gene:gene-LSAT_8X5900 transcript:rna-gnl|WGS:NBSK|LSAT_8X5900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGELVEFEEGTIGIALNLESTNVGVVLMGDGLLIQEGSSVKATGRIAQIPVSEAYLGRVINALAKPIDGRGEISSSEYRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQQGKNVICVYVAIGQKASSVAQVVTNFQERGAMEYTIVVAETADSPATLQYLAPYTGAALAEYFMYRERHTSIIYDDPSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSSLLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFASDLDKATQNQLARGQRLRELLKQSQSAPLGVEEQVLTIYTGTNGYLDSLEIGQVRKFLVELRTYLKTNKPQFQEIISSTKTFTEEAEAILKEAIKEQRERFILQEQAA >cds-PLY69962.1 pep primary_assembly:Lsat_Salinas_v7:5:118717770:118721224:-1 gene:gene-LSAT_5X52101 transcript:rna-gnl|WGS:NBSK|LSAT_5X52101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSSNQDANDAKQLPKKDYWEKGSYPHHPSILISDEFKFGDNLDHKGITFTKDVETAHGVVQFSFRELATATKNFCIESFMGEGDFGCVYKGRLERSGQVVSVQHRHRTCDQGIIEFFKEVIKLSLFHHANLVNLIGYCAYGDHLLLVHEFVPLGSLKDHLHDLLPDQKPLDWNTRMKIAVGVARGLEYLHKTSNPPVVYRDLKPSNILLDEGYHPKLSNFKLGKHTPFDNITSFPSFRVDGTYTHCAPEGPIIFDSDVYSFGLIFLELITGRKVIDYTRPYEEQCLVYWARPLLKDRKKYAEMADPLLEGRYPPERLKEALVVAAMCIQEGIAARPDIRSVVAALTYIASSTYDPNA >cds-PLY84587.1 pep primary_assembly:Lsat_Salinas_v7:1:29673560:29675591:1 gene:gene-LSAT_1X25260 transcript:rna-gnl|WGS:NBSK|LSAT_1X25260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGYPFEEDCEFIWPHTLVLVGKTGNGKSATGNSILGMQMFKSKRNSSAVTTTSESKTIALEDGQTLNTVNTDTLAGLFNSSLDIDFVGKEILKCISMARDGIDAFLVVISVCCLFVEDEKAAISRLLTLFGTKFYDYMIIVFTGGDELEDDDESLIRRKTLNLCGNRCVLFDNKTKDPTKKSNQVQKLLSLVNTVSKNNGGKPYTNEMFTELKVQSKLKETAMRHEQVMAEEQTARLKAVENTTTAQKNLEKMLQKVDSEIKEKTWTLEQLLTEERIPRLKSEENAKIAQKKSDEEIQKVESTFKETTLKLEQLYEKMLTEVLDLTEEDLSEKFANGVSMVTSLALAIHHPTMAAAPHMLINGYMNALSIAVATNYSEKKEVPEVESDDDMGFGLFD >cds-PLY79358.1 pep primary_assembly:Lsat_Salinas_v7:9:53909456:53911073:1 gene:gene-LSAT_9X50040 transcript:rna-gnl|WGS:NBSK|LSAT_9X50040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAFYASPTPTLQITNNHVPQSCPLSSSSSLSFSSNKSSFFNSSSQCFKIFVHSLPKNSITKQTPSLIRMSWDGPLSSVKLILQGKNLELSESVKTHVEDKVGKAIQKHSHLVREVDVRVSVRGGEFGKGPKVRRTEVTLFTKKHGVIRAEEEAETTYASIDTVSSVIQRKLRKIKEKDSDHGRHMKGFDRLKVRDPQILIDQDSLAVTQEEEEEEVEDASETDEIVRTKYFEMPPLTVSEAIEQLENVDHDFYGFRNEETGEINILYKRKSGGYGIIVPKENGETEELEDVDAEILRQL >cds-PLY70681.1 pep primary_assembly:Lsat_Salinas_v7:3:104661669:104662554:1 gene:gene-LSAT_3X76680 transcript:rna-gnl|WGS:NBSK|LSAT_3X76680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNSFSSSSYSSSWTPKQNKLFEKALAVYDTDTPDRWQKIARAVGGKSAEEVKRHYEVLIEDVKHIESGKFPIPNYRH >cds-PLY65885.1 pep primary_assembly:Lsat_Salinas_v7:3:108570002:108571105:1 gene:gene-LSAT_3X80201 transcript:rna-gnl|WGS:NBSK|LSAT_3X80201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHASSPGYTLKEEIDPSTYSFTNALKALQLRSINTWEYLSPEGFALNSKWNEAERYICNPLSGEVPVECLSSKTLMNGRSFRNIANRMTMSAPLIHHSSRILPHKLANIHPIQDENEAKDNKIEERLMMMMMKRDVGIQSNLVESSTVSPTSTPSIQERSMKFNMNLDSSNSSSKSESESKSNSNSNFKFKVNFLSTYFVYLCK >cds-PLY69849.1 pep primary_assembly:Lsat_Salinas_v7:6:3428614:3429337:-1 gene:gene-LSAT_6X3881 transcript:rna-gnl|WGS:NBSK|LSAT_6X3881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVGIYALASSSPPLTGDFSGDVKPGIEHIKCLLANNGFPLLITTYPYFSYIHEPSSIQLQFVLFTSPDVVARDESLGYMNMFDAMVDAVYSALEKVGAGGVEVVIYESGWPLQGNGDFTTTELARTYNQNLLSHVHGSGTPKKPDKNVEAYVFALFNENQKDSGVEQHFGLFNPDMTEVYHVDF >cds-PLY74759.1 pep primary_assembly:Lsat_Salinas_v7:6:116438119:116446068:-1 gene:gene-LSAT_6X69500 transcript:rna-gnl|WGS:NBSK|LSAT_6X69500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MASLVQEDGSDDVMVVLTCIDRNEQDDFSPNQDEDAMISTVDVYNWNFPNPDLEILVQWNQPTFLSLLASLFGSPVDVTSESFLSLFEGALYFGMETIISKCMMWLTKAISVNGVPLLQLNDLLSIWEFGSELVNNYLPELCTTYIAKNFIWAMSCSSFVDVPYDLLLSSTKHPNLTVDSEMQLCDALLIWISANKGQYTEDCYINLLKQIRISLLPLWFAFGKKTYESLSMCCNESYSGVITLLKQPFTPRMNALQDNELLNLRIRLTELTQRVDISCCTQMNPAILLLSVLPFSLNLEPQLRIKFEKSLINHESINAFPWTKWSNLTFEAVHEIDISNCPMLPLKVAIDIISYSFPSLRKLKAANHLSFKTLDVMQLVKKCPLLCDIDLTVDVSPVIPTQVSILSSFLPAIIPRSFSIHWHSNHSNITKLILEGRNDIEDFDLRAISNICVSISYISLRGCTSVSDVGISSLISKCLKLNSIVACDTSFGQNSILALCSSNASYDHHPSVKHSGRNIPHGCNLQMLHIGGCKGINLSCFSKLMSQAYMLKNLCLRDTEVVDDVLFNFLGSSLEVLDVSNTKVSSAVLAHVIGRNPGLKCLKTRGCNNLLHKETKNLFFEVCKLEEISVGWGFSYFSLQNLKPSLSSLKEIEVGLGASLGQEGLKWLPGISPSLESVVLYFQVISDDIIINMLESLKHLKSFSLCHCLGEISSLGFKVRMPNLRKIRLERVAPWMNNVDLVNLTHNCANLIELSLLGCPLLNSESQKIISSGWPGLISIHLEECGEVTKNGVVSLFDCFALEDILLRHNGSGIQKGFIHEAVTKLPMLRKISLDICDAKDRDKDFDIPEVDNRHFLSHVKIARCKSHTHRCIFDPQHVRASTVSIHRETLVLVWDSKHLTTTLVKERV >cds-PLY67019.1 pep primary_assembly:Lsat_Salinas_v7:5:282512048:282516644:1 gene:gene-LSAT_5X148481 transcript:rna-gnl|WGS:NBSK|LSAT_5X148481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLYLFPLLLTLSLIPFSLSQPPRALLIDCGATVASVIDNRQWVPDTAYISTGTSKHLTQTNLSHTLSTLRSFPLLDNNSRRRKFCYVVPVYRTAKYLVRTTYYYGGVNNQASPPVFDQIVDGTFWSVVNTTEDYANRSASYYEGVFRAGGKSMSLCLASNTYTESDPFISALEFVLLADSLYNSTDFGNFSLRLVARHSFGYNGPIIRYPDDQFDRYWEPYGENNPTSSRGENVSITGFWNLPPRKVFETQFTVGQPQPLELQWPLSPLQLSTYYIALYFADDTGTSRVFNITINGITYYSDLKVPQTGVALFANQWRLSGPTKIILTPAPGSTLAPLINGGEIFEVLRFGGRTQTRDVIGLKSLKDGFQNPPFDWNGDPCLPRENAWTGISCSNGSNVRVIGINLTSMNLSGSLSPNIVNLTALNDLWLGNNSLSGSIPDFSTLKMLKTIHLEDNHLNGPIPSSLENIDRLRELFLENNNFSGQVPRGLTTKPGLNFRFLPGNQLLVPPIA >cds-PLY70900.1 pep primary_assembly:Lsat_Salinas_v7:9:14731061:14733560:1 gene:gene-LSAT_9X12580 transcript:rna-gnl|WGS:NBSK|LSAT_9X12580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVMNSRRKEEDQFHIIHKVPSGDGPYVKAKHAQLVERDQEGAIVWFWKAINAGDRVESALKDMAVVMKQLDRTEEAIEAIKSFRCLCPKSAQESLDNVLIDLFKKCGKVDEQIALLKQKLRMIYRGEAFNGRPTKTARSHGKKFQVSVRQETSRILGNLGWAYMQKSNFMAAEVVYKKAQMIDPDANKACNLGLCLMKQARYEEACPILQHVVNGEIPGSDDIRARNRAQELLMEMEKCRHMSELLPALPGLDLDDDFVNGLEKLMNVWAPSRSKRLPIFEQIESFRDQLAC >cds-PLY73562.1 pep primary_assembly:Lsat_Salinas_v7:3:174140964:174146574:1 gene:gene-LSAT_3X103521 transcript:rna-gnl|WGS:NBSK|LSAT_3X103521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGLLLQACGLRVTSIKIDPYLNKDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVKLTRDNNITTGKIYQSVIDKERKGDYLGKTVQVVPHITDAIQDWIERVAAIPVDGKEGPPDVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLIHVSLVPVLNVVGEQKTKPTQHSVRGLRSLGLTPNILACRSTTELDVNVKEKLSRFCHVPEENILTLYDVPNIWHVPLLLRDQKAHEAILKVFDLPCMASKPMLGEWTARAQRCDMLNEPVRVAMVGKYTGLSDSYLSVLKALLHASVACRRKLLINWVSASDLEEATAIENPDVNRAAWNSLKSADGVLVPGGFGDRGVEGKIIAAKYARENNIPYLGICLGMQIAVIEYARSVLGLENANSTEFDPNTKNPCVIFMPEGSKTHMGGTMRLGSRRTYFQVMDSKASQLYGSQRFIDERHRHRYEVNPEMVLQLENAGLSFTGKDESGQRMQIVELGDHPYFVGVQFHPEFKSRPGKPSALFLGLIAAASGQLEALLKKNVNVGATNTNTKPNGFTNTNGSSSPHRFGNKIHTNGNTNGGLHV >cds-PLY62899.1 pep primary_assembly:Lsat_Salinas_v7:4:326857931:326858872:-1 gene:gene-LSAT_4X162900 transcript:rna-gnl|WGS:NBSK|LSAT_4X162900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCYPNATSSTVDQTLILLSPARISLATSTPLISPSPVAISPLKTTTRQSIRHLFIEQQEQSIIPIGLDRRFTIEFTPSHGSSSAFVNAIEAFTTPSDLFKPGVSYPRISPAGKIGDLENFESAYAFNPIYRVNVGGRTIGVDFDTLRRTWTPDDSFIYSIETAQKVTFSGSINYDVGVASSDDASDDVYKTAKQLNNRFVNITWNFDVNENAMYLVRAHFCDIISKALINSNDDFNFFVYSHHKEEIQPVSRTQALKAPFYVDLVVEPEFSGLVNISIGAIPGSNQPMFLNGVEIMELLKNPVCLIWRTSRRK >cds-PLY86928.1 pep primary_assembly:Lsat_Salinas_v7:5:261740180:261742298:-1 gene:gene-LSAT_5X134280 transcript:rna-gnl|WGS:NBSK|LSAT_5X134280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKASRWFKALLGMKKDKENIDNRKEKKRWSFGKPMKESPSPATVAVPVATNGNPPVNDSAWMLSYKEQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSHGRGTLFSDGRERWAAVKIQTVFRAHLARKALRALKGLVKLQAVVRGFLVRKRAAATLYSMQALLRAQAAVRSQRARRSFRDHRSQPEIRHRKSTERFDHDTRSEFHSKRLSTSYESTTTSYEESPKIVEIDTYRPHTRSRRIHTCTTDSSEESHHYHNMASPIPTRIQDSEWGFMGDEYKCSKTTQSTPRFARSNGQTTPAKSVCGDSFFRAYSNHPSYMANTQSFKAKQRSQSAPKQRPENGVKKRLSLIEIMASRSSLSGVRMQRFCSKAQETIKEL >cds-PLY92548.1 pep primary_assembly:Lsat_Salinas_v7:5:78996905:78999158:-1 gene:gene-LSAT_5X36401 transcript:rna-gnl|WGS:NBSK|LSAT_5X36401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVRSVVEAVSTDDDDAPLYQVESLCMRCGENGITRFLLTLIPHFRKILLSAFECPHCGERNNEVQFAGEIQPRGSCYRLEFSSGDQKMLNRQVVKSETATIKIPQLDFEIPPESQRGSLSTIEGILARSADELQALQEERKKVDPQTAEAIDKFILKLRACATGNSSFTFILDDPAGNSFIENPYAPSMDPSLTIDFYERTHDQQASLGYLVDQSQTREHENDESSNKNNQTSQPHGSVGAVAGRRAIAQGNTAEFAETLFRYTAPEEVMTFPSTCGACAVRCETRMFVTNSILPRSDCDGIYL >cds-PLY74350.1 pep primary_assembly:Lsat_Salinas_v7:5:325148715:325150326:-1 gene:gene-LSAT_5X179241 transcript:rna-gnl|WGS:NBSK|LSAT_5X179241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSFSEQNNLFGRRCVWVNGPVIVGAGPSGLAISACLRDQGIPFVVIERSDCIASLWQKRTYDRLKLHLPKKFCQLPKIPFPEEYPEYPTKKQFITYLENYAKKFDIRPQFNECVQSAKYDEACKLWRVKTVSTAGSVRSETEYICQMLVVATGENAEGVVPEIEGLQDFSGEVIHAKDYKSGEKFQGKKVLVVGCGNSGMEVSLDLSNHNAKPSMVIRNSVHVLPREMFGKSTFDLAMMLMKWLPLWIVDKLLLLFAWFIIGNIQSYGIKRPSLGPLTLKNHHGKTPVLDIGALEKIRSGDITVVPGIKKFTGKTVELVNGDILNIDSVVLATGYFSNVPFWLHESEFFAENGFPKTPFPNGWKGKGGLYAAGFTRRGLAGASADAMKISKDIGKEWKAELKQKKQKVPTHRRCISTF >cds-PLY77611.1 pep primary_assembly:Lsat_Salinas_v7:2:165018887:165019171:1 gene:gene-LSAT_2X86141 transcript:rna-gnl|WGS:NBSK|LSAT_2X86141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFHCTHAGQLDDLMESKAGKKSGSKSLFYEAPLGYGIEDVRPSGGLRKFKSAAFSNCTRKPS >cds-PLY93152.1 pep primary_assembly:Lsat_Salinas_v7:9:3105825:3106649:-1 gene:gene-LSAT_9X2880 transcript:rna-gnl|WGS:NBSK|LSAT_9X2880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNHISHGSGSGSAGRVILPDGTVEEYTKPVTVAELMLDHPQQLVVEFQTLTNGRTLKPLPADTKLENNRVYMMLPMRRGKPVGPGAMSSEELLLRANTVLNSDSFVTAYTGFLPLFARMCPAAVIKSSKKKEKEEKLSALPVMVKPAGLLDLGEMDSEGRADYYFSRQLSGKGSWKPSLDTIKEKGVKAKIRHWLH >cds-PLY84406.1 pep primary_assembly:Lsat_Salinas_v7:8:79071122:79072371:-1 gene:gene-LSAT_8X56701 transcript:rna-gnl|WGS:NBSK|LSAT_8X56701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANTKNPTKPISSFLGSPRLFNVFMAKTISDAESSPTSVLDTKQFFTTFRSNPFQFKQSPEKSRKISEEIKNPFEKFECENGIALALLQENPNNTIHKPNSISRKVLFGSTLRIQIPSHSEDPCDFGIKSRNLQSPGRVTTNGPGSPRASMDALSLSEMELSEEYTRVISYGSNPKITHIYDNCVVGSCCNAFHSDHSPKSPRQSFLSFCYTCKKNFEENSDIFMYRGEKGFCSEECRCQEMILDELMNSEQLCQ >cds-PLY87256.1 pep primary_assembly:Lsat_Salinas_v7:1:51269252:51270025:1 gene:gene-LSAT_1X42501 transcript:rna-gnl|WGS:NBSK|LSAT_1X42501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVITSEFEIPSSLPASKIFNAYSDFNNIAPKVDPETYKTLVTIEGDGGVGTIREITFGDGLPFTSGKSKLDIVDTNNFTIVYTIFEGDVLMGQLDSMTHHVQFIPSPDGGCVYKSTIVHKCKGETKLPEEAVNMTKEGFKKTFKAIEGFIHANPQAY >cds-PLY81048.1 pep primary_assembly:Lsat_Salinas_v7:6:132659620:132663129:-1 gene:gene-LSAT_6X81220 transcript:rna-gnl|WGS:NBSK|LSAT_6X81220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWFHQVEGRVCFSREDTLKFLKHKRLQRMKACTMNDAPFVSNLMTRSGGDSLRGSTSCGDRLFNNLDSYLQPPATNAMSKWKTDKFDPNNLDWTDKIPECPVYFPTKEEFEDPLVYLQKISPEASRFGICKIVSPLSASVPAGIVLMREKSGFKFTTRVQPLRLAEWNTEDKVTFFMSGRNYTFRDYEKMANKVFARRYYSAGCLPATYVEKEFWHEIACGKTESVEYACDVDGSAFSSSPTDQLANSNWNLKKVSRLSRSILRLLETTIPGVTEPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAAKTWYGVPGHAALDFEKVVRENVYTHDILSTDGEDGAFDVLLGKTTLFPPNILSDHSVPVYKAVQKPGEFVVTFPRAYHAGFSHGFNCSEAVNFAIGDWFLLGSIASHRYALLNRTPLLPHEELLCKESMILHSTPESESESSTDMISHQNIKAAFVNLIRFQHQIRWNLTRSKHCNGDSIHSHGTILCSICKRDCYIAYINCNCYLHPVCLRHELDMLGLPCGDGFTVSVRGDILELESVARRFEEERDVVNLVKEQSVIANDMILLSKMYPVIEGDSYVPYCEIGMESRKEWNRTDEKIFDTCKPESISLINHVHADNSNSYPVDVRNTYKETDDSDSDSETFRVKRRSKSENKNTQDSVPSNFENQGLKRLKKVQKANPTSISIKYKKTGTDDNVYHEPGKSSLETGPKRLKVKGPSIIIGLENVTGRVD >cds-PLY89721.1 pep primary_assembly:Lsat_Salinas_v7:7:42313630:42318038:-1 gene:gene-LSAT_7X31160 transcript:rna-gnl|WGS:NBSK|LSAT_7X31160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACMKLGSKADAFQRQGQAWFCTTGLPSDIVVEVEDMSFHLHKFPLLSRSGVMERLIAEASEQEDKICTITLPNIPGGAKTFELVAKFCYGVKHEITASNVVHLRCAAEHLEMTEEYGEDNLISQTETFFNQTVLKNWKDSLKSLQSIPDLPHAEELNITKRCIDSLAVKASTDPNLFGWPVVDHRGPMQSPGGSVLWNGISTGARPKNVSSDWWYDDASSLSLPLYKRLISAMEVRGIKQEIIAGSLEYYAKKYLPGLTRRKSAPAGHQAEPPPEEDQKILLEEIDRLLPVQKGLVSTTFLFGLLRTGLILRASPNCVSNLEKRIGLQLDQATLEDLLMPNFSYSMETLYNIDCVQRMLEHFMAMDQATGGASPCSIEDDEQLLGSPSLTPVTMVAKLIDGYLAEVAPDVNLKLPKFQSLAGAVPEYARPLDDGLYRAIDIYLKSHPWLADSDREQLCRLMDCQKLSLEACTHAAQNERLPLRIIVQVLFFEQLQLRTSIAGCFLVSDNLADGSRQLRSGVVSAQEGGGGGWNTAVRENQVLKVGMDSMRMRVSELEKECSNMKQEIEKLGRGKGGSGGGGGGGGGSAWGNMSKKFGFKLKSQMCSAQEGSVSKQNNGSGKIEKGREKQHKHKKDLSWAG >cds-PLY97234.1 pep primary_assembly:Lsat_Salinas_v7:1:43479537:43480082:1 gene:gene-LSAT_1X38341 transcript:rna-gnl|WGS:NBSK|LSAT_1X38341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRNRSRAVTKQSPIMADHQASSLSPPTPQNPTRSISSFLGSPRFFNGGFLPKNLSPTSILDTNKQLINFPSNPFGFSKNPEKPTNVVEKKHPSEKVGSEGIAISLVLIQENSNDNICKPSTTSQKVLLGSKLKIKIPDPFPNGSLESPGDFGIKTRNSQFSPVQLQLDLDRQDFLQVLCL >cds-PLY61905.1 pep primary_assembly:Lsat_Salinas_v7:6:60935152:60943332:-1 gene:gene-LSAT_6X45320 transcript:rna-gnl|WGS:NBSK|LSAT_6X45320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 28 [Source:Projected from Arabidopsis thaliana (AT5G60740) UniProtKB/Swiss-Prot;Acc:Q9FF46] MTNILIFTIFIAIIFGIKSPAPLTHLATAQQYPDPETIKRNPAKLDEATKLIYSRFNSISSLFHEDAMDDLGFCMKNITAEWNEAFDFSGDTKFLSNCIQKTNGNILQRLCTAADIKFYVTVLSEAEKQGFKITNYIKGNKNCNLKSWVSGCEPGWACSVEKDTEVDLKNKDEIPFRTSDCQPCCEGFFCPTGLTCMIPCPSGSYCPLARLNPKTNMCDPYRYQPPPGATNHSCGGADVWAAVVNSREVFCPAGFFCPSSVEKYSCQSGHYCRLGSTSQTKCLQLATCNKEASNQNITAYGLITCGGLTLVLLIFYNCTGQVISTREKRKEKSREAAAQSAKELAQERWKAAKENAKRKAVGIQTSLSRTFSRRKPAISDTSKDPIPSSRDENEDQNNLTMMIHDLEDDPESHDGFSVEIGDKHLKGSKPKKLHTRSQIFQYAYGEIEKEKAMEQQNGDLTFSGVISMATDTTMRIRPRMEVSFKDLTLTLKGKKKHLLRCVSGSIYPGHVSAVMGPSGAGKTTFLSALTGKASGCTVNGSILINGRDESMNSYKKIIGFVPQDDIVHGDLTVEENLWFSAKCRLPANLSRADKVLVVERVIESLGLQHIRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLKALRREALEAVNVCMVVHQPSYSLFRMFDDLILLAKGGLVAYLGPVKKVEEYFSGIGIDVPERVNPPDHYIDILEGMVKCSVNYKELPVKWMHHNSYPIPQDMRDSDSSESGQDSVGGSSTSAAHQSYAVELWQEFKLFVQMKNDDFLSYFKRRKDLSNRVTPGVLRQYRYFLGRVAKQRIREARLQAVDYLLLLIAGISLGTLAKVSDENFGAVGYTYTIIAFSLLSKIAAMRSFTLDKLQFWRERSSGMSCFAYFFSKDTIDQFNTVIKPAVYLCTFYYFNPPRSSIVDNYIILLCLLYCVSGFAYALAIYLEAGSAQLWSVLLPVVLTLIATQDNEKGSIIPFIKKFCYPSWALEAFLVANAERYRAVWLLTRCAAIAKRGYDLRDWKFCLIRLMVAGLAFRILALFLLVAFQKK >cds-PLY70381.1 pep primary_assembly:Lsat_Salinas_v7:4:99610691:99611008:-1 gene:gene-LSAT_4X65240 transcript:rna-gnl|WGS:NBSK|LSAT_4X65240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHHGLVSPASQTRKKWRYTGTKMKKEKKNTAGGGSGYSPFGTAAAGCYCEPHRRSLLFNGTRQRQKSRNAAATLFSEVACDEVFELDRQLGAIIASDAPVLLDG >cds-PLY93183.1 pep primary_assembly:Lsat_Salinas_v7:5:199880831:199884066:1 gene:gene-LSAT_5X89840 transcript:rna-gnl|WGS:NBSK|LSAT_5X89840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDYSGSELKVSWTLYKLCVCGVRLTWHSLSHGLSIFQEGYCGGKDTLKLETNAESSKGETEFNEPSVVHGASSCSDIAPTRKDINIMWYLLFNSPMTYCGNLTNFLNLDHVLGNAKGAVAVAVSILIFKNPVLVTWMLGYLQMVKNMVYAVNSYNIGKKSIILEVSSGQRSCIGITKSSKSGRKFGLESASYVLRNQKQQNRVMGPAALQKYIGGLGGIGLCFLQKFKKLYWVMWN >cds-PLY88202.1 pep primary_assembly:Lsat_Salinas_v7:5:122430686:122430850:-1 gene:gene-LSAT_5X53221 transcript:rna-gnl|WGS:NBSK|LSAT_5X53221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSQAVLCCRLKEEMLNPLRVIITNPSLDTEVVVANRVELSIYEVGVCVWKI >cds-PLY95885.1 pep primary_assembly:Lsat_Salinas_v7:5:80198607:80201876:-1 gene:gene-LSAT_5X37760 transcript:rna-gnl|WGS:NBSK|LSAT_5X37760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLEESDVGISCYISPLPGFRGILKQRYSDFIVNEVDLDGNVVHLTSLDAPMETAEEHESKTTNQPNGNHCSEIESFRALVGDSDANSLKEFIDKINSNDEDGVTVTNTSIVLSPSSDKVHRTAVHNFFKEKLKFLVTDTVDGPESSSKCIRVRLNSGGNNERGRGSKKRKGRDETPYDSRGSDHWPEHLGKFLRFHLCKENKDTQEALGVIGKMLGIQPRAFGFSGTKDKRAVTTQRVTVFKQRANKVANLNKRLIGIKVGDFSYVNEGLLLGQLHGNRFTITLRGVVADSEDTVKAAADALGKHGFVNYFGMQRFGSGSIPTHLIGAKLLKGEWKEAVSMILDPRDDDIRRIREYYKESQDIDGTLRQLPRFLVAEKAILQCLKKCPGNYLQALKAVPRTLRMMYVHSYQSYLWNHAASMRVQKYESCNEIKEVKVKVNDSEYEENNDSLENNDLDEGDALPSEGTCTSVVNKEDILSGNYTVSDVVLPLPGSRVIFPNNDIQQYYQDLADKDGVSLMESMHNVK >cds-PLY65538.1 pep primary_assembly:Lsat_Salinas_v7:9:143558269:143561676:1 gene:gene-LSAT_9X91780 transcript:rna-gnl|WGS:NBSK|LSAT_9X91780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEYKVNKEGSKSRKSVKLASNLKLPKFWLKSQNFLDQCVYTAVELLSAHFLQWSFHISVPELATIPLIRLKKFYERTTVESLMCVVKRLMDQVEQNVEFVQKKRDDVAFSLNDHESVDLFLQARKKLNQIELSYCEIYASCEPCPICFGDIHLSRIKMH >cds-PLY78012.1 pep primary_assembly:Lsat_Salinas_v7:9:44105358:44107840:-1 gene:gene-LSAT_9X40060 transcript:rna-gnl|WGS:NBSK|LSAT_9X40060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSGGSRPPPHCGGRRRVVATKKRDWTNWLSVNNSVKKIQRREISSKRQRSVSISNSVHRFRNIRLTEQYSTHDPKESSSFALPFLTKRAKVVEIVAGRGIIFALTSSGVCAAFRRDTKKRICFLNIFPDEVIRSLFYNKNNDSLITVSVYASDSFSSLKCRSTRIEYIERGKPDSGFPLFESESLKWPGFVEFDDVNGKVLTYSAEDSIYKVFDLKNYTLLYSVADKNVEEIKISPGIMLLIYSRAASHVPLKILSIEDGSVLKDFNHLLHRNKKVEFIEQFNEKLLVKQENENLQILDVRNADVKEVGRTEFMTPSAFIFLYENQLFLTFRNRSVSVWNFKGELVTSFEDHVLWHPDCNTNNIYITSDQDLIISFCKPTDSDDQSTIYGDGKVGSINISNIWTGKCVAKINWRNACLNEEDEDERRKVAGALEEVTALYYDEEHNAIYTGNAHGLVHVWSN >cds-PLY73869.1 pep primary_assembly:Lsat_Salinas_v7:3:38151453:38154067:-1 gene:gene-LSAT_3X28841 transcript:rna-gnl|WGS:NBSK|LSAT_3X28841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein POOR HOMOLOGOUS SYNAPSIS 1 [Source:Projected from Arabidopsis thaliana (AT1G10710) UniProtKB/Swiss-Prot;Acc:Q45GQ7] MAGPHPSNGSENEHQGSMSVTTTTAPIGNHWEVQYARFFKRPSLSSAAGAGGYHPSLVPQVKRSKGSWISSFTSLANLKLLTSTNDNSDISRSIILTVTLVDNVIEEHYISKLHFTWPHVSCLPGYPPRGSKIVFMSYKDHAGDIQKFALRFLTSDETERFMNFIKDIFGHEKIDRSTPDIPESKTPSQSEIVPSLQPVDRDAKRKIVLGLCSPNSLSKKSTQEWSPISSSPAYRPTLDWSPIGSNAETIFSQSSNPIEATLSQDVQEKLSAFPPSFTSLLTMATTQEILSEDVVLKNEIMKYLEDSSFQVMLSKVQKVVTEFEDIFPM >cds-PLY87251.1 pep primary_assembly:Lsat_Salinas_v7:1:51384226:51384804:1 gene:gene-LSAT_1X42361 transcript:rna-gnl|WGS:NBSK|LSAT_1X42361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVITSEFEIASSLPASKFFNAYRDFNNIAPKVDPETYKTLVDIEGDGGAGTIRDISFGDGVPFTNGKLKLDVVDSNNFSIIYTIFEGDILMGQLDSMTHHVKFIPSPDGGCVYKPTVVYNCKGETQLPEEALNMVKEGFKKTFNAIEGFIHANPQIY >cds-PLY91502.1 pep primary_assembly:Lsat_Salinas_v7:7:141272197:141275776:1 gene:gene-LSAT_7X84540 transcript:rna-gnl|WGS:NBSK|LSAT_7X84540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMTADLAFVSNYPMAKASCMDLGKRVFGVPVSPRIIWSNRRKLKSFATRAVMQVGSIVEESKKEECLGLDVVTETELREKGFLGLRKTKLVCTVGPACCSYEELEKLASAGMNVARLNMCHNTRIWHQDVIKKIKKLNHEKGFCVSVMIDTEGSQIHVADHGGPSSVKAEDGSIWFFTTEKFEGCRPFTVQASYEGFAEGIKEGDELVVDGGMATFEVIERIGNDLRCKCIDPGLLLPRAKLSFWRDGKLVEKHHELPTLSTKDWSDIEFGISEGVDFIAMSFVRDADAVKHLKNYLFNKNKSIKVLAKIESLESLHNLEEIVEASDAIMVARGDLGVEIPLEQIPTVQEKIISLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQYADALMLSGESAIGCFGEKAVCVLRMASTRMELWSREENQQPSFPQRELGVSLSDQIAEQICNSASRMANNLGVDAVFVYTKHGQMASLLSRNRPIPPIFAFTNEESTQMALNLQWGVIPIFFDLSDDMDANMAKTIDLIKAKGMLKEGDAVLIVSDAIPTVYQTLQVKVI >cds-PLY98638.1 pep primary_assembly:Lsat_Salinas_v7:1:39796336:39798950:-1 gene:gene-LSAT_1X34921 transcript:rna-gnl|WGS:NBSK|LSAT_1X34921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSAIEIKKISVLKSERVKSIDLHPTEPWVLVGLYSGNVSIWNHNSQVIEKTFEIGKSPVRTGKFIAHKEWIVVGSDDGFLRVYNYNNMENVGELKAHTDFIRSVVVHPSLPCILSASDDKLIKLWNWENGWECTKTFQGHEHYVMQVAFTPKDSSVFASVSLDCTIKMWNLESSSPHLSIEGHSKGLNSVEFFETDEKLYFIIGSDDYTAKVWDYETETCIQTLEGHTNNVTSTICVKSDANFIITGSEDKSVHAWNAVTYKLDHVFTSELGRVWTIGFIKDSSQIILGCDEGILVGQVITACS >cds-PLY71825.1 pep primary_assembly:Lsat_Salinas_v7:3:58621728:58621952:1 gene:gene-LSAT_3X45940 transcript:rna-gnl|WGS:NBSK|LSAT_3X45940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHEDNQFGRCGRTLLWISDHILHRALLSLPSPSSHYGRRRRRNREEGISNNWFYYGTAHNVHIDLLCASASSTG >cds-PLY73018.1 pep primary_assembly:Lsat_Salinas_v7:9:35830756:35834290:-1 gene:gene-LSAT_9X33460 transcript:rna-gnl|WGS:NBSK|LSAT_9X33460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMETQVVKRAKYKSSVKDPGVRGTLKMTRERFVFMPNDPSSSIRLNVEFRLIKGHKSSKEGSNRPALLNLTQDQGNYIFEFENFSDRELCRDFVAKAITFYGEGDSEKAVPLAHKDEQLSSAEMERRIKLLQEDSELQKLHKQFVIRGVLSETEFWATRKKLLDVNNTSSSRKAKQKVGLKSDMIFNVKPSSDGQSNKVTFNLTPEMIHQIFAEKPAVRQAYLNFVPNKMTEKDFWTKYWRAQYLHSTRNIVAAAAEAAEDEELAVFLRQDAILASEIKHKIRKVDPTLDMEADEGDDYTHIPGHGLATESGNDELEAQYEPFKRSFLQDINRHAAVVLEGRTVDFETEGDTRSVAQAIATCKRVELAKEASSDGNLVHQERLDRITRMAEIEDLQAPRDPPVAPLSIKDPRDYFDSQQQVVGMGMGDELGGGGRQFKSRMKTSETYASLRGFISEIRTLGLTDPVVRPEVAVKVLNGLTQTISSSKYQRGFGKNPHDSILDTLPTVTKDELLLHWTSIQELLKHFWSSYPITTSYLYTKVSRLKDAMSQIYPKLQEIKESVQSDSRHQVSLLVQPMLQALDAAFAHYDAVDQRKRSSANGYNV >cds-PLY85458.1 pep primary_assembly:Lsat_Salinas_v7:3:42346842:42350686:-1 gene:gene-LSAT_3X32700 transcript:rna-gnl|WGS:NBSK|LSAT_3X32700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHDSDLPCDGDGRCMICKISPPLEETLTCKTCVTPWHLNCLSARPQTLTDAAQWECPDCSILVSSHPPPSTVTSGSEPSAALIAAIRAIESDVSLSEQDKAKRRQQLLSGGGSDDGDKATNANNEIGVGGDSDVLKLLSGSLNCSFCMQLPERPVTTPCGHNFCLKCFEKWVGQGKRTCAICRTSIPPKMASQPRINSSLVIAIRMAKLTKSNAASGPPKPYYSVLNQNRPDKAYTTDRAKKSGKANACSGKIFVTVPPDHFGPILAENDPERGTGVLVGETWEDRMECRQWGVHLPHVAGICGQSHYGAQSVALSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKTQSFDQKFEKYNEALRVSCRKGYPVRVVRSHKEKRSAYAPEKGVRYDGIYRIEKCWRKPGIQGYKVCRYLFVRCDNDPAPWTSDENGDLPRALPFIKELKNATDVTERKNTPSWDYDVEKACWLWKIAAPESRKHIDNGDVEDGNSTRKVRRKTQTVSVSERLLKEFCCFICHKVMSLPLTTPCAHNFCKSCLQETFSGQTFMKERTCEGRRTLRAQKNIMKCPSCSNDISEFLQNPQVNRELMSVIESLQRQMKETEKSDDIIALEGVKDTNERTDDDKNVLVAKTSHKSNKVSVETGDEVTKGSTSGG >cds-PLY86454.1 pep primary_assembly:Lsat_Salinas_v7:8:3826023:3829675:-1 gene:gene-LSAT_8X2560 transcript:rna-gnl|WGS:NBSK|LSAT_8X2560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase PXL1 [Source:Projected from Arabidopsis thaliana (AT1G08590) UniProtKB/Swiss-Prot;Acc:Q9FRS6] MEASMITVGLLLLLPLVFAEGGVSTSSAPNDEISSLLSIKSAIVDSLSYLTDWNSSSFSSHCSWTGVSCNENGFVERLDLSNMNLTGNISEDFQNFHHLSFLNISSNGFTTTLPKSLSYLTSLVTIDVSQNDFVGEFPEGFGMGATRLKTVNASSNNFSGFLPEYLGNATSLETLDFRGSFFVGSIPKSFKSLKNLKFLGLSGNNLTGSIPPEIGQLSSLEVIIIGYNEFEGSIPPEIGNLTNLQYLDLAVGTLTGAIPQELGRLKKLTTVYIYQNSFEGKIPPEIGNLSSLVYLDLSDNRFSGEIPEEIGNLKSLKLLNLMCNQLNGSIPSTIGELPNLEILELWKNSLTGSLPVKLGMNSPLQWLDVSTNILSGEIPSGLCDSGNLTKLILFNNSFSGPLPIGLSTCSSLVRVRIQNNLISGMIPDGLGNLPELQRLELAHNNFSGKIPHDLTLSSSLSFIDVSSNHLVSGLPYSILSIPNLQTLLVSNNYLDGEIPSQFQDSPSLSVLDLSSNSFSGKIPENIASCQKLVNLNLSRNRLTGEIPTTVASMPMLSVLDLSNNSFVGRIPETFGSSPALETVNLSYNKLEGPVPNNGMLMTINANDLVGNDGLCGGILKPCSHTHTKDSTRKKLHLRHVIFGFVFGVCVIISVGILVFIGRWLYQRWFLYGFFDGWLMKTSKEWPWRLVAFQRLNFTSADIMASIKESNVIGMGGSGVVYKATTHHPPHSTVAVKKLWRSEPDIENGDDLFVEVNLLGRLRHRNIVRLLGYLHNEADVMMVYEYMPNGNLGQTLHGKQSGRMLVDWVSRYNVALGVAHGLAYLHHDCHPPIIHRDVKSNNILLDADLEARIADFGLARTMVRKNETVSMVAGSYGYIAPEYGYTLKVDEKSDIYSFGVVLLELLTGKQPLEPSFGDSIDIVEWVRGRMNRRDLEGILDQEIAGECNYVQEEMLLVLRIALLCTAKLPKDRPSMRDVITMLGEAKPRRKSVCNDLGAKEKPIFNNSPVIGLL >cds-PLY72594.1 pep primary_assembly:Lsat_Salinas_v7:3:199692733:199696957:1 gene:gene-LSAT_3X120601 transcript:rna-gnl|WGS:NBSK|LSAT_3X120601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPIIQPLFSNNNNNNSRISSFNASTSTSYFSFPSSVRNFFNKKKLATTITAMAQPQRSAMQYNQVGDSNLVVSEITFGTMTFGEQNTEKEAHEMLSYAVEHGINTLDTAEMYPIPMKKETQGLTDVYIGNWLKSRPRDQIILATKVSGYSDRLSYIRDNAEVLRVDAANIKESVEKSLKRLNTDYIDLLQIHWPDRYVGLFGEFFYDPSKWRESVSFVEQLRAFQELINEGKVRYIGVSNETSYGVMEFINAAKAEGLPKIVSIQNSYSLLVRCKFEVDLVEVCHPYNCNVGLLCYSPLAGGALTGKYLDSESEASKKGRLQLFPGYMERYNKSISKEATTQYIEMAKKHGLSPVELALGFVRDRPFMTSTIIGATSVEQLKEDIDAFLTTQRPLSPDVMTDIETIFKRYKDPTTF >cds-PLY75534.1 pep primary_assembly:Lsat_Salinas_v7:9:33666695:33668901:-1 gene:gene-LSAT_9X29601 transcript:rna-gnl|WGS:NBSK|LSAT_9X29601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRMTTFTRLFSSNGVVSTNRVTTFLGLGSGYSSYSSAVASLESEADSRLFGLKDYENYRKTLHGGITHKALLVDAVGTLVIPSQPMAQIYRQIGEKYGVEFSEDEILKRYRRAYEQPWGRSRLRYVDDGRPFWQHIVSSSTGCSNSQYFEELYNYYTTEKAWHLCDPNAEKVFKALKKAGVKLAIVSNFDTRLRPLLRALKCDHWFDALAVSAEVAAEKPNPMIFLKACELLGVEPEDAVHVGDDRRNDIWGARDAGCDAWLWGSDVHSFKEIAERIGVEV >cds-PLY81452.1 pep primary_assembly:Lsat_Salinas_v7:5:334050305:334053536:1 gene:gene-LSAT_5X184360 transcript:rna-gnl|WGS:NBSK|LSAT_5X184360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLENLDTLNSSGTDDKQGTENREDLDSRASGTKTNGGDSSDNEATSSVNDKGIKRSAGGDIAPTTRHYRSVSMDSFMGRMNFADESPKLPPSPGGHIGQLSPNNSIDSNSNTNTFSLEFGNGEFTGAELKKIMANEKLAEIALSDPKRAKRILANRQSAARSKERKMRYITELEHKVRRSLIRNMWNERIKGTKRNVEVWQALLVVRSLVFPPTDEDSETWLKFASLCRKSSRISQAKSTLIKLLQDLAIELSSSSGLQVSTPTGFGGVPHFLAETLDVVQDIDWVISLGNAFAKQYELYTYDDEHSALLHSFLNAGLFWCWIKWSSGLVCASGKEPTQCPRSSGTFHLYFLCIQVS >cds-PLY61772.1 pep primary_assembly:Lsat_Salinas_v7:8:76578767:76581837:1 gene:gene-LSAT_8X55141 transcript:rna-gnl|WGS:NBSK|LSAT_8X55141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSENNIVQKNESNSPLLTSKEKVQKSIKHRHKLVKKFSTEKSAPVESSSPLSIKKKTPNSLKAKSKIVKKSSDKNSKLGESSSPLLPSKEKTITSEKNKGKFVNKASDEKPIASSSKEAQNSENVEKTPDKESKPVVKNTKETQNSQNTKPEGAKKGFHHERNKDTKKLGGFIFMCNGKTKGDCYRYRVMGVQAHKKELVMGIKPGMKLFLFDFDVKLLYGIYTASSPGGMKLEPAAFGGAFPLQVRFEVYKDCLPLPESVFKKAIKESYDERTRKFKTELTIDQVKRLTNLFKPAPLLHSIPQKPPLLIQERKSPLLLTEQEYRSYGLRGERHHHHHHNLTTAPPPDPYRPGPGQEREREAARDDHPVILEQESMQFLNPNMVTEHDYRGYGVMYDPYSNRTTGQEREDDSGRRILPGQQYSRSYGAGGDQDNTLFLSEKDYRTYGLKRQHETQKPKIDTISNLAPAPTLGLYQSEPYFYEPTSSLVERYLPLPPHPSTSYQYDNISVENSEGRFRQETILSDRVERLYSVNEQQQNIGQGEYSRSGGAVSSRYAFVGPSVVHR >cds-PLY93467.1 pep primary_assembly:Lsat_Salinas_v7:9:59372050:59372598:-1 gene:gene-LSAT_9X52381 transcript:rna-gnl|WGS:NBSK|LSAT_9X52381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSGVGRLFTRSIASILSAETTLNRHKVQICFSGSGELNLTNFVAPIKTSNLTKMISNSLAINQYFLKQTQASEKVEDFPTWVTSKDRKLLQTGSIYSWANVTVSQAKGSKFRTIQSALDHATSIKRGDGRYIIYIKRGVYKENIDIGNDLKNIMFLGDGLRYTQWRMQPRIQVGCPVDR >cds-PLY97567.1 pep primary_assembly:Lsat_Salinas_v7:5:238650565:238654576:-1 gene:gene-LSAT_5X113361 transcript:rna-gnl|WGS:NBSK|LSAT_5X113361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g39710 [Source:Projected from Arabidopsis thaliana (AT5G39710) UniProtKB/Swiss-Prot;Acc:Q9FIX3] MNLLKPYRKTLHFSTLTSLPPPPTAPDVHLVGKAITILKRHHLINLDPLSSQFTPQSASYLLLQAQSDKTLILSFINWARRRPFFDLQCSCLSLHILTRFKLYKTAQIIAEDVAINTPGDENGDLVFTCLKDTYSDCTSSSAVIDLLVKSYSNLKLVKRGLNTIHLAISHGYMPGVLSYNSVLDAIIRSSEPIKVAEEMYQHMIRTGVSPNVFTYNILIRGFCGVRDLEKGLGFFAEMQKNGCLPNVVTYNTLIDAYCKSRRLDDAFKLFKTMPNKDLEPNLISYNVILNGLAREGRMNETDEVLEEMKHKRIIPDEVTYNTLVNGYCKEGNFHQALVLHDEMTRNGLSPNVITYTSLINSMCKARNLHRAMELLEQMRVRKLFPNARTYTTLIDGFSQQGFMDEAYKLLDEMKKKGFSPSIVTYNTLINGHTVNGKMEDALGVLDDMSKTGLTPDVVSYSTIITGFCRNQELDRAYETISQMVAKGVLPDTITYSSLIKGLCDQRKLTEACDVFQQMLRNGLPPDECTYTALINAYCVEGDTVNALHLHDEMLKKGLLPDVVTYSVLINGLSKKARTLEAKQILFKLYYDNGVPDDVTYETLIENCGNMEAKSVVALIKGFCMKGLMNEADKVFDKMLQRKEEPVEAVYNVMIHGHCKGGNLRKSFDLYKKMVSHGFVPHTATIIALIKELLRGEMVLESSEVIENVLRSCRISDGEVAKALVEINHKQGNMDAVFKILSEMAKDGLLPNSGKTAYAQ >cds-PLY85311.1 pep primary_assembly:Lsat_Salinas_v7:5:326150538:326154999:1 gene:gene-LSAT_5X180080 transcript:rna-gnl|WGS:NBSK|LSAT_5X180080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSCLDDLNTLSSSSLPSQIHQNDRNVLHLLARREIAPRTAKCLPKRQWGEVSNHKHQSFVKPESERVRNSRRELLSWVEAESLRCLSAKYCPLVPSPRSTIAAAFSADGKILASTHGDHTVKIIDCQTGKCEKVLSGHRRTPWVVRFHPLHPEILASGSLDHEVRLWNANTSECIGSRDFYRPIASIAFHAEGELLAVAAGHKLYLWHYNSRGETSSPTIVLRTRRSLRAVHFHPHSAPFLLTAEVNDLDSSDSTMAPATSLGYLHYPPPAVYMGNMHSIDRLSLAAELPLMPVPLFCSPDSRPQLHIGNRDNTQSESSDRLQVLANATELYEQMMASSVPTEMQMDVTEVQQPQLPDDYRENAPSNMDTSVSTGRPTDDLFRERVCWELPFMQGWLVGQSQSQSQNQAEHSGSGNRGEVVEASLVVPYGTRDVSSNTASTSSSNRVSGVMSNDGIGGDSFPIISRIQSQLSASLSATAAAELPCTVKLRIWPHDFKNPCASLKAEKCRLIIPHAVLCSEMGAHFSPCGRYLAACVACVLPQFEGDPGISTQHNNNHQERAGTSPTRHPISARQVMYELRIYSLEDATFGTVLISRPIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLKSIVINGETSLSIYTVLEVYRVSDMELVSVLPSAEDEVNVACFHPLAGGGLVYGTKEGKLRILQHDGGHAPRPDHFFEARAVEEAKTDAAR >cds-PLY72495.1 pep primary_assembly:Lsat_Salinas_v7:2:141255929:141266454:-1 gene:gene-LSAT_2X69421 transcript:rna-gnl|WGS:NBSK|LSAT_2X69421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFTPPSNPPMAIQQPNPISSIPSSGSKLPIKRKTPTSTLILSTPKLESDDDDADPDPDHDAIARQPPFKFHRIWTEPDEIRFLQGLLDCSSQGLSFPRDLGIFYSRFSHGMSQPYTKSQLSEKLRRLRKKFRVISSRLSKGLDKALLSPQDRALYDLSKQLWEPDHPFLPSGVSNSDSNPVNNHNCKPNLVGVKVSFSPTLPSTSMAVLALPSVIKETNNRSNRNRFNNLAAGAATAGGFNGDVKLNDVNVELKRNAEMARKEVRVKSGGDDVMHFANKTLADVLDQSLKEIKTMIDLQRNSNLEKEISFEKRWRDQHIAEFDVLAKRLKLIVEHSSLIHTLFHSIPFHSFTVSSDLDLVEERMAGGRSSYTALILVLSLVLLITFADVAQGYNKLRPQDCKPKCTYRCSATSHKKPCMFFCQKCCAKCLCVPAGVYGNKQTCACYNNWKTQEGKPKCP >cds-PLY71129.1 pep primary_assembly:Lsat_Salinas_v7:9:79745070:79745340:1 gene:gene-LSAT_9X64420 transcript:rna-gnl|WGS:NBSK|LSAT_9X64420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATHVARGHGGDGGERPPHECASKISVGCQSSNSIHRHGKGRCLNMRKKVHSQWSTFTCGN >cds-PLY67401.1 pep primary_assembly:Lsat_Salinas_v7:4:213483523:213484728:-1 gene:gene-LSAT_4X118581 transcript:rna-gnl|WGS:NBSK|LSAT_4X118581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKAARYTEPDPRDDLARTDIARNVIILVRECGLNLELSDTDIPSLVPDQLKQLPSFDTEIAEKQQATKEAGEVLRYVGVVDVTNQKGVVELRRYKKKHSFGKLSGSDNIIAFTTERYNKQPLIVRGPGAGAEVTTGGFFSDLLRLTSNLGAPSC >cds-PLY87762.1 pep primary_assembly:Lsat_Salinas_v7:1:213137708:213140526:-1 gene:gene-LSAT_1X130281 transcript:rna-gnl|WGS:NBSK|LSAT_1X130281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLASQEAINQFKALMDQVDEPLKRTFKNVHQGYIVETLERFLKAREGNVTKAHKMLVDSLNWRLQNGIDEILAKPIIPTNFYRGVRDSQLIGVSGYTRDGLPVFAIGAGLSTFDKASIHYYVQSHIQINEYRDRVILPTATKKNGKYIGKCVKVLDMSGLKLSALNQIKLLTTISTVDDLNYPEKTITYYIVNVPYVFSACWKVVKPLLQERTKLKVQVLQGNGREELLKIMDYPSLPHFCRRSGSGSSSGSGSGSDTISDCYSLDHPFHQELYSYMKQLSGVHEPKEPAKQGSVHVEVPLGDPENEEIHKTLESELKKLRNRKSLSGSFQNFKIGD >cds-PLY79862.1 pep primary_assembly:Lsat_Salinas_v7:8:15915765:15917605:1 gene:gene-LSAT_8X13141 transcript:rna-gnl|WGS:NBSK|LSAT_8X13141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDILSQTSNTSSLPMDPSRKKKTRSRRDAPKKVAATIAKWKENNNITRKAPAKGSKKGCMKGKGGPENSRCQYRGVRQRTWGKWVAEIREPNRGKRLWLGTFGSAIEAALAYDEAARAMYGPSARLNLPNCQSTNDYDCSRSMSKPASSCDSTTTTCDLLQMGHDEPLTLVKEEPIEEKKDVGFCIGEGYFQMLPEDEMFDMDQLLEDLDQRDGFVPNLNPNDAATYEPNNLDMWQGSCLDFLNTGRPEDDSFAMAELGLSFDHELLN >cds-PLY81989.1 pep primary_assembly:Lsat_Salinas_v7:8:263835206:263838077:-1 gene:gene-LSAT_8X152881 transcript:rna-gnl|WGS:NBSK|LSAT_8X152881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEDSDAMLSDVEEEDDLPVPIVIGTSPSTENVSVERFREVVAELDRERQAREVVEKSKSELQVSFNRLKVLAHEAIKKRDETSRQRDEHLRSNEKLSSELAEAVKEKEELSKQLAEFVKEKDELLKQKGDFAKQLEESVKAKDSSRSEIQTAAQMLVTGIDKISGKVNNFKNFTSGGLPRSQKYTGLPAVAYGVIVRTNEIVDELVSQIESTTKSRNQAREQMEQRNYEIAIEVSELEASISRLREDVSKRDSVLESLKKSMEEKDEMISELENELNEKQDLSSEYGNKLRVLESRMDSQKPLLVDQLNHVSKIHDQICSIIKIINGNNKEQSDLSDSLFLPQETDIEENIRASLAGMESISELSSLLLEKTKDLVVEKTREVKTLNEKVTQLSKEKEHIGSLLKSALSRRMSSDLSSKTNELFKVAENGLKESGINYKFNETLTEDDEIYNLAGALENIIKQSQLEIIELQHTVDELRAESSLLNEHVEAQRKELLQRKQEVEELEEKERIANENVEGLMMDIAAAEEEIARWKVAAQQEAAAGKGVEHEYVSQLSRIRKELEEAKQVVMESEKKIKLKEETAGAAMAARDAAETSLKLADTRATRLRERIEELTSQLEQFDTRKNSTNKNRPRYVCWPWEWLGLDPVGVGPTRQPDMPRNGANEMELSEPLL >cds-PLY90442.1 pep primary_assembly:Lsat_Salinas_v7:9:93760523:93761072:1 gene:gene-LSAT_9X70641 transcript:rna-gnl|WGS:NBSK|LSAT_9X70641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLQLRQDLPAIKLPASLKIKLPASPPLMDSTSEESCAIQLEDQEVEECRTPTTIQHRIPQLNTCPPPPKKQRPSAPSCRRRLSEFDFFESVARDEIESFFRSSYEIINQQSSTKKRRCSRL >cds-PLY85556.1 pep primary_assembly:Lsat_Salinas_v7:2:195825958:195826233:-1 gene:gene-LSAT_2X116661 transcript:rna-gnl|WGS:NBSK|LSAT_2X116661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVLKRKDRTFKLTDLAPEHCPPGEFVREIPLPTRIPEDAKLEAYGDESGTMLEIIVPKHREGPEEHEVRVCLRPSPWNERPYVDLSENLI >cds-PLY78832.1 pep primary_assembly:Lsat_Salinas_v7:5:307620947:307626438:-1 gene:gene-LSAT_5X166981 transcript:rna-gnl|WGS:NBSK|LSAT_5X166981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERKGGNTVPSSPSHTPRSTDKAVRDLRHVEGNMSGKHDKEKGVNVQVVVRCRPMNADEEKLHTPMVITCSENKREVCAVQNIANKQIDRSFLFDKVFGPKSQQKDLYHSAVSPIVFEVLEGYNCTIFAYGQTGTGKTYTMEGGGRKKNGEFPSDAGVIPRAVKQIFDILEAQNAEYSMKVTFLELYNEEITDLLALEEASKYPEDKSKKPIALMEDGKGGVLVRGLEEEIVSTADEIYRILEKGSAKRRTAETLMNKQSSRSHSIFSITIHIKERTPEGEEIIRCGKLNLVDLAGSENISRSGAKEGRAREAGEINKSLLTLGRVINTLVERSGHIPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHSLEETLSTLDYAHRAKNIKNKPEINQKMMKSAMMKDLYSEIERLKQEVYAAREKNGIFIPKDRYLQEEEEKKAMAEKIERMELDSESRGKQMMELQELYNSQQLITANLTGKLEKTFSHLFPLQIKLQETEHSLFDLEEKHKLANATIKEKEFLISNLLKSEKSLLERAFDLRGELENAASDVSSLFTKIERKDKIEDGNRILVQQFQSQLTNQLDTLHKTIAASVTQQEQQLKGMEEDMQSFVSTKTQATEELRGALENLKTMYGCGIKALDDIADELDGNSKSTFGLLNSQVSKNSSALGELFKGIASEAEKLLDDLEKILYVQENKLTAYAQQQRKAHSRAVETSRSISKTTMSFFDTLDIHATKLTKIVEDGQTVNDQQLFDLEKKFEECAANEEKQLLEKVAELLAVSNARKKELVRTAVDGLRESAVSKANKFEQEMSTMKDSSFCIRNEWKNYNEKAESNYLQDTNAVEIGKKDLDEILHNCLEKTKMGSQQWSSAQESLLGLEKNNVASVDEIVRGGLEANQILRSRYSSAVSSAVDDTNVASKNLLASINHSLQLDHEARENLDSIIVPCCGELRELKGGHYHKIVEITENAGKTLSNDYTVDEPTCSTPKKRPFNLPSITSIEELKTPSFEELLKYFWDSKSSSSLKLPNGTTRDSRLPLTAIN >cds-PLY94954.1 pep primary_assembly:Lsat_Salinas_v7:4:114128232:114128674:-1 gene:gene-LSAT_4X71520 transcript:rna-gnl|WGS:NBSK|LSAT_4X71520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLEAKSVAIVNVVVGLSASMAAQMEDHSNWRCLTVIQRIWDFNPDICSIKCSMVGGLLHGSSSCLGGVCYYYLNKERNSCGGGGGFTPDSKAMVAVQAASVATTSGFSAVDSI >cds-PLY70832.1 pep primary_assembly:Lsat_Salinas_v7:4:58521877:58522537:1 gene:gene-LSAT_4X40021 transcript:rna-gnl|WGS:NBSK|LSAT_4X40021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEIMNLVCTLAQDQYGNYVIQHVLQHGKPYERSAIINKIAGETVKMSLQKFASNVVEKCLTYGSPDERQLLVNEMLGSTDENEPLQAMMKDPFGNYVVQKVLETCDDQTRELILYILDIFPLFKSFIKFSLLDTELDERMRDLNLLSNSHFWRWP >cds-PLY89111.1 pep primary_assembly:Lsat_Salinas_v7:4:145674354:145676297:-1 gene:gene-LSAT_4X88620 transcript:rna-gnl|WGS:NBSK|LSAT_4X88620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT1G51640) UniProtKB/TrEMBL;Acc:Q9C8H6] MEHLIRTRDLLKTTLHQSTQLDLQIHQTTTNLSRINSSKSHITNIPCLSTLHHHLHRALPPVSAVFQVYQVVEELGSLLSDHHPSPDLHHHLSLLKRFSQALTLLTNTCNLAILWVEDINTTIVDNLYHSNVSKTLHILKQLQRFEERSLLKHGILNVAFENLKHEFTTLLIENSFPLQVPSSLFSSGDDESDVFDPLPEALPFPIVKNLQDIVACFSCNNLVDQCVHVYIKIRGTIVHRSLEGLDLDYLEITLTEFHSVQEVEGYIDEWGRHLEFVVKHLLELEYTLCEHVFSKSIEVNVWKYCFSKIALQSGIQSFIKFGNTITKCKKEAIKLFKLLDIFTTLNNLRQDFNRIFGGKPCSEIQSQTREFLKNVVNGACEIFQDLKAQVELQRLNDPPPDGTIPKLVIFVTEYANELLDDEYKHELEQVLKIHSSWHNESKFTKGIVSVEIKNIVKSLELNLETWAKRYEDASLSYIFLMNTSCYLSKHLKGTKLGDLMGESWIKRHEVHTEYYTSLYLKESWGRIPALLSNNDRNKMKGFNDVFDQVFRKQSEWVLCDRDLRWKTCQMIMEVVVSVYKALVESGDTHSPNSNKYLKYSDEKIENMLTSMFRSKLDKYGSSAKRTSLMGKIKNVVTGHFSPTNAAA >cds-PLY71543.1 pep primary_assembly:Lsat_Salinas_v7:7:29095435:29104810:-1 gene:gene-LSAT_7X22781 transcript:rna-gnl|WGS:NBSK|LSAT_7X22781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-DDT domain protein RLT1 [Source:Projected from Arabidopsis thaliana (AT1G28420) UniProtKB/Swiss-Prot;Acc:F4HY56] METGSEGESNRNINGQSDGSKKPKRQMKTPFQLGTLEKTYALEMYPSEATRAKLSETLGLTDRQLQMWFCHRRLKDKKEGTVKRAGGDGGESLKPSKQELVVVVSGGGGGGGSDHGSRSRSHRESWSRSRSASGSESESESDSNRFKEPLVHSRAYEVTQKKMMLRRVIECVEVQLGEPLREDGPILGMEFEELPPGAFGTPIVAKKHHGQTNRHSYDGNLFDQSDPRSIKTEVGGVREPVGPNIKHEPYGGVSRLYDSPIGYSSDQRLVVQNGQMPHTSYVSQGQLKGVSLITQKGEMAHLSSPTKDNDFIQPNEEVMQMWRKRKSEDVVGGREGQQSNEKRMRKELEKQDLMRRKREEQMKKEMEKQDRERRKEEERMMREKQRQEERFQREEKREMERREKFLQKESLKAERRRQKEELRKEREAIKLKAAIEKAAARKIAKESMELIEDERLELLELAASSKGLTSIVSLDYETSQNLDSFRDLLCVFPPKSVQVRLKRPFSIHPWIDSEENVGNLLMVWRFCMTFTDVLGLWPFTLDEFVQALHDYDSRLLGEVHIALLKLIIRDIEDVARTPSGGPGTNQYTVANPEGGHPQIVEGAYMWGFDIRNWLKHLNPLTWPEVLRQFALSAGFGPHLKKDKGKRSGLTENDESKGNEDVITMLRNGSAAENAFTLMQEKGVSLQRKSRHRLTPGTVKFAAYHVLCLEGPTGLNVLELAEKIQKTGLRDLTTSKTPDASISVALSRDPILFERIAPSTYCVRPAFRKDPADAEEVISSAKEKIQSYANGILAGVNAEDVEKDEDYECDVAEGTEIDDFGTSSAIEEVKTEEVDVDIKQEYENTGIGASSINQGSSEVDERISGEAWVQGLTEGEYSDLCVEDRLSALVALIGIANEGNIIRVVLEDRLDAATAVRKQMWTEAQLDKKRMKEEFISKFQDSSLGEGGQSPLMPTIDNKVNEGILQTGQDSSVGQPTGQNQIHNNGYNTAERSRLQLKAFIGHKAEEMYMYRSLPLGQDRRRNRYWQFVASTSSNDPGSGRIFVELQNGCWRLIDSEEAFDALLTSLDTRGTRESHLHVMLQKIETSFKENIKRNINFPKNTNRNVLISDSTEQSPSFNIELGRNEFEKKNAMKRYQDLETWMWKECLYSSNLSAMTHGKQRCVPLHGVCDFCHACYCFENVNCPICPRCCRPFSSFGGKLSYPEEIRENVGDFNDLCDWDVNDPLRIRLIKSLLTFLEASVPFEALQSSWMDNNRNAWGSKLHCSLSPLDLLQIVTWFESVIKRDHLSLNFETTEELLGTSGVPEKAVSGTGSVPVLPWVPQTTAAVTLRLLELDASISYTPEQKAEIHLVDESNDVLMEKAPLKFTFLKNIGKTVSDTEHGKLIKPPRGGVGGRNRGGKWRQRAAGGGSMSASGSRQNFRDSATMSQVMKQQAHGRGRRTIRRKRIEKTIEEEEEEEEEPMRNFENEEWVNEPVEKMETDDAVNFHISEGEDEEDEDESEDEGDDEHRMEFEMMGNEESLGYGVANNESRWAEVEMSEDEGFKGVEEEEEEEDDDDGIMNDDYVDGDDGRNFKVEDSDSFGSGDFSD >cds-PLY78332.1 pep primary_assembly:Lsat_Salinas_v7:2:181588873:181590473:1 gene:gene-LSAT_2X102541 transcript:rna-gnl|WGS:NBSK|LSAT_2X102541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSNPKFQTLKPSQFLTLTVANTLNHRCHLHTPRIRVAVLDSPIAAAVDRLPKIAAMIVPEHRETDWKFCTESGQLQLLFNFQDLSRLILIGNDLALNPQPCIYVRPPAIDTVDRKKLQKELRSLVTALHPKVCFQNGSPETVFLNYEDDLVCRVNIDKFFGAFVGEFVVEDVQLGSNSENKKELRRRLRFKRMPNLIQSQVSLVPILDDGDSIAELDLESLRKMKNVKFEVDTRVLVHPYLIPMVSGLFLIASHLNERIQQGITPRALCLGVGGGALLTFLNTQLGFEVVGVEVDDAVLIAAKQYFRLNNDNSIQLIAGDAIDVIQNFATKEEETDDSDSEVKIGGLDAKFDVVMVDLDSSEFQNGSCAPPQGFVKKSVFDAARLLLNDHGVFIMNVVPLNEEFYETLIAELKDAFHKVYETDVDKEDNFVLMATVSPPIFSNDDDNAFLEKLKSVIPEGYMDMESLHKL >cds-PLY80563.1 pep primary_assembly:Lsat_Salinas_v7:6:12386428:12387945:-1 gene:gene-LSAT_6X8481 transcript:rna-gnl|WGS:NBSK|LSAT_6X8481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPASPSGNRQLLPVSDGQSSLSNVVYELSQQVQAAMENMLKMITEIDQSSSGIIEEIEKCKYSAFERKKSLEEGKERFQKAAFSVLDMLNNNTESN >cds-PLY63652.1 pep primary_assembly:Lsat_Salinas_v7:4:131058796:131059428:1 gene:gene-LSAT_4X82360 transcript:rna-gnl|WGS:NBSK|LSAT_4X82360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSIHAYKSHAIKKHKRQQFVGTILLYSLSTLACTLFCSSPLWYPPFCATFNVLFFFSLPNICSFFFTSKVLFVVGNLIVIFLVGQSKFFASNSKASHASYSDICYDKYKCKTSKPRALSPPHANKGRGFPKCSSESLNEEKWLETKHNEDIARCSRVKIVHEKQKQEDLEKDDQEVILPAEELNKLADDFIARINRQRRLEAEFCMN >cds-PLY86233.1 pep primary_assembly:Lsat_Salinas_v7:8:57119946:57122971:1 gene:gene-LSAT_8X42381 transcript:rna-gnl|WGS:NBSK|LSAT_8X42381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPWNLPFLRNMFQRQEGRVREVDLGAFYIKSHGTKLARSHMHDWLILVLLAVIEIVLNIIHPFYRFVGKDMMTDLKYPMKENTVPVWAVPIYSVLLPILVFTFFYFRRRDVHDLHHAILGLLFAVLITGVITDAIKDAVGRPRPDFFWRCFPDGVDNYDTWGDVICHGKDKDIKEGHKSFPSGHASWSFAGLGFLALYMTAKIKVFDRSGHVAKLCILFLPLLMASLVAVSRVDDYWHHWQDVFAGGILGLFVATMCYLQFFPAPYHSEGWGPYAYFRALEETNSGTRGDAPVDGVGVGMQNVEIANLNQHSRRNSSNGFRQVSLSDSVQVDVEYGRV >cds-PLY79304.1 pep primary_assembly:Lsat_Salinas_v7:4:370666941:370670145:1 gene:gene-LSAT_4X182460 transcript:rna-gnl|WGS:NBSK|LSAT_4X182460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKKLAMKKSDPSSSNENAHYVPHKEQFARISPRSPLSTHTPDTDSIDLSIEIAGNSMDQLYNNICEMESSDQSSSSYISYSQESRIDSELRFLARGDFSNIGVKTEEKEQSESVVTDDDNKKTNQPNQSNQSPVVKPSSPAKIPKPISKTKSNTSSKKLGLKSSMKKNEEPKYLAPYLLKQARESMSTGDDPRKALDFAVRAMKTFESIQSEKPDLELVMCLHIVAALYSILGEFDEAIPIVERSIEMTNMNEGQKHSLAKFAGCMQLGDTYAMQGKMDTSILCYTAGLEIQKHVLGGHDARFGETCRYVAEAHIQALQFDEAKKLCEMALQIHGRNGTATSLQEAADRRLMGLICDSKGDYETALEHYVLARMSMSADGHDADVATIDVCIGDAYLSLARYDDAIFSYQTALNAFKVTKGEKNPSVGSVYVRLADLYNKIGKFRESKTYCEHALRIYKPIKKKNRNEEIANGLIEVSGIYESMNELDRALELLKKALKVYGSGPGQLSIVAGVEAQIGVLCYMMGSYEESYHYFKIAIQKYRNAGEKKSGLFGFVLNQMGLASVQIQSFEEAAEYFEEARGILEREYGPQHIVTLGVYSNLAGTYDAIGRWDDAIDILEYVVGMREEKLGTADPEVDNEKRWLAELLKESGRNRRKGSRSLGFLLDG >cds-PLY86095.1 pep primary_assembly:Lsat_Salinas_v7:7:140363136:140363759:1 gene:gene-LSAT_7X84300 transcript:rna-gnl|WGS:NBSK|LSAT_7X84300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESFKLSFTLVCFFTLAILHTINAQNSQQNYLDAHNYGARTQVGVADMIWNATVATYAQNYANQRKADCNLVHSSGPYGENLAIGSGNFTGTAAVNLWVAEKTYYDYATKTCASGHVCGHYTQVVWRNSNQLGCARVQCTNNNWWFVICSYYPRGNIIGQSPY >cds-PLY96595.1 pep primary_assembly:Lsat_Salinas_v7:4:6194754:6196519:1 gene:gene-LSAT_4X4221 transcript:rna-gnl|WGS:NBSK|LSAT_4X4221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSLNAPKPPTTAATIKFLCSYGGRILPRYPDGKLRYHGGQTRVLAVARSISFSELMAKLGELCGKTASLKCQLPTEDLDALVTITSDEDLVNLVEEYDRAACLQSSTLKIRAFLCFPKKCSPTPSTASASGSGSSSSTGTPTLEAASPQPPSPSSFYPASRFPVSATNACIHTAPLKPPVQESGHYPSLAIPWRYDHPTLLAAEVIKVYEESIVLGGILLNGK >cds-PLY96447.1 pep primary_assembly:Lsat_Salinas_v7:9:129592332:129593547:1 gene:gene-LSAT_9X84000 transcript:rna-gnl|WGS:NBSK|LSAT_9X84000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPTLDVGDRIMAEKVSYIFQKPEVSDIVIFKAHPILQEFGYSSGDVFIKRIVAKAGDWVEEVDPKGWRFCFETLWIMDLSSGIIQEVVKGSSKIIEICGQLIKEKSSLVKEILPSQQLPENNFSVEGISRAGLLYSVVTFQDNIVICDTDGQVVRKYNKTCEPMTSFEFSNFGMLGLPYWLLPLMKSAYDMSSVFHMV >cds-PLY62202.1 pep primary_assembly:Lsat_Salinas_v7:6:31043391:31044028:1 gene:gene-LSAT_6X23680 transcript:rna-gnl|WGS:NBSK|LSAT_6X23680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHVTEGVVSDLFGKPLFFSLFDWFIEHGSVYKLGFGPKAFVVVSDPIVAQYILRENAFSYDKGVLADILEPIMGKGLIPVDLETWKQKRRVISPGFHTLYFKAIVQMFASCQERTISKLENLLEGKKTHKENMRLS >cds-PLY67644.1 pep primary_assembly:Lsat_Salinas_v7:2:207730094:207731784:-1 gene:gene-LSAT_2X128341 transcript:rna-gnl|WGS:NBSK|LSAT_2X128341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKAIRVPEHGGPEVLKWENVEVPDPKEGEIRLKQKAIGLNFLDVYMRQGLHNTSPPLPYTPGMEGAGVVTAVGPGVTSCKVGDVVAYASLQVGGYAQERILSADQAVPVPSSVDPVEAAAVIFKGLTAHVLIHKGFKVEPGHTILVHAAAGGVGYLICQWASAIGATVIGTVSTKEKAKQAKEDGCEHVILYKDEDFMERVMEITSDKGVDAVYDSVGKDTFEGSLACLKFGGSMVLFGLASGEPEPVRVSQIALKSLNFTFSSIAHYTDEDRDAMRVAAEELFVNVAKGVLRVRVNHKYPLSLASQAHTAIETRKTTGSVVLIPGDE >cds-PLY67189.1 pep primary_assembly:Lsat_Salinas_v7:6:163573906:163576817:1 gene:gene-LSAT_6X99141 transcript:rna-gnl|WGS:NBSK|LSAT_6X99141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLQGVSPGIGNSGEAAATAVSVQPNETAAASAELASGGGGGGFSEDERGRIEEGGRNSGGNRWPRQETLALLKIRSDMDVAFRDSSLKGPLWDEVSRKLAELGYHRSAKKCKEKFENVYKYHKRTKEGRTSKADGKTYRFFDQLQALEANPTAGSHHQQPLSLPPPQPVLSKPPPQVSTMPNTSTITQILPSVVTPVNISVSHQNNVDPISVAAPAVAMPSMNINHVGGFPFSQLNISASTNSSSSSTSSDDEPPERRRNRKRKWKEFFGRLMKEVIDKQEELQSKFLDTLERRERDRMAREEAWRIQEMAKMKREHDLLVQERSMVAAKDAAVITFLQKITEQNPSTVIPQMPAMQLLQQQQQQNQPPPPLPPPSSVQPPPPMQQQHQQQSQPPPPPPPQVLQQQQQPISPLSAAVPLPAPVSLSTPAPPPPVVRNLDNGGGGENMLQPSPSRWPKAEINALIKLRTTLDTKYQESGPKGPLWEEISSAMKKLGYNRNAKRCKEKWENINKYYKKVKESSKKRPEDSKTCPYFHQLDAIYREKATSSNNNNNNNSNPAFAVKPENQMAPIMARPEQQWPLPAVVQEQHHHLQQQHHHHQQQQQQQQQQRHNQQMKTNESTGIEDHQHADDDDDYDEEDEDDDEEEGEGEGEGGEYEIVPNKTSSMAAVE >cds-PLY64173.1 pep primary_assembly:Lsat_Salinas_v7:8:125464727:125466934:-1 gene:gene-LSAT_8X87540 transcript:rna-gnl|WGS:NBSK|LSAT_8X87540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVDPQNPIKQQTLKFFTSDEYELAVNQSIQSLLDSLQNPSSDLSAFTSTFLELMQAKPIPLLESIWIFSGLTFHPKISSKDDILDQILAVKDLFQSITACSASCSSSTCIALIAPIIFKLYTVVVDSKRKVVDSKRGKKARREISKFLDVILGYFNVCSDGSNSDEDSALVRPIIDLVSIWVHGEATENRLKQFFPLLGDEIINWVTDESSCVIELLAGAVILESFMLKLCLKISEGISKEEVQNELRTWAVCSITGFHSFYFFDMLIKMLLEPNLTVTSLLSAEDDSFLRKLLYDVVILPDYSFLHIHKVNHLPINHIKNNILARIMVSHEAIELFRKNKDHSKAISYTNAFSGSHLPTLITKLATSELGNHGRTSQPKGSSPMAFLKWMLELEGQGLSFCDGFMSKHREKLVLYSSRSDFGQPSYKGDEGKTDEDLEFFIDNKGNDENEEEKIDDSMNDVFVAAAHAMRSDANGSGKKRKEISVEKKKDGVKFQRQNLVLVDHSGENSSLSEKEDDSESASEVEDPDSDSDE >cds-PLY72886.1 pep primary_assembly:Lsat_Salinas_v7:4:272339856:272344862:1 gene:gene-LSAT_4X139840 transcript:rna-gnl|WGS:NBSK|LSAT_4X139840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSTQFLNSKRMDVYEPMHQFSMWGDFKGNIYQDASAAMIIEMDAKLDNQSEDASHTTIGASHHFDQEASKPGDKVQRRLAQNREAARKSRLRKKAYVQQLEASRLKLLHLEQELEQTKAQAALLSGGVNANRLGIPGTTNSGIAAFEMEYEQWVEEQNKKTNALKTGLNAPLPETELDILVKDTLNHYANLFNIKAAAAKTDVCYLISGMWKTSTERLFLWIGGFRPSELLKVLVPQLELLDQQSHDLSNLIQACQQAEDSLSQGMEKLQQTLAEAVACARDLGGDCYEMSNPMEKLEELVRLVIQTLRYLTTRQAAQGLISLGEYFQRLRDLSSAWAMRLCEPA >cds-PLY93458.1 pep primary_assembly:Lsat_Salinas_v7:9:62191169:62192205:1 gene:gene-LSAT_9X53840 transcript:rna-gnl|WGS:NBSK|LSAT_9X53840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSISISTLIPEQTPKPDVYNVKFKSLEACKLGISRYPDFEYNAQGGKGSGVGKKMVGVDFNGETSVDFDVKSLYIPPLTSLTTKFLGLPLPPFLKIEIVPEIFRGIINIESGKVDLKFKAKFWFSIGSIYKAPPLLVETLLTSEESKGSLRSGNGDRLDEQGKCKLVGVATVEQIDDFLMNSFLDLPTECLAILNATIIFSED >cds-PLY62075.1 pep primary_assembly:Lsat_Salinas_v7:2:55938930:55947517:-1 gene:gene-LSAT_2X25180 transcript:rna-gnl|WGS:NBSK|LSAT_2X25180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRAFRVEDLPIESKMLTKALDEAQRKVENYFFDIRKQLLEYDEVLNSQRNRVYTERRKAL >cds-PLY91668.1 pep primary_assembly:Lsat_Salinas_v7:8:11369921:11371254:1 gene:gene-LSAT_8X7700 transcript:rna-gnl|WGS:NBSK|LSAT_8X7700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIGKTNYESEADDANNTVKILSTSDPTMLKEEPADSELGGSIPVAMVPVSMSQKQMTVAPPRRSSKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLEHAEPAIIKATGTGTVPALAVNVNGTLKIPTTPIEEGGDVKRRKRACNSEFYDLNVAATSNFAPIAPIAPRALVPLWTMCAPPTNGGTFFMIPPCGATGATTFHQSQLWAIPTGVTPVFNVPSRPMTNYLPEMKPVVDISGGEVQTPAVSSSSISISTRRTAEVVRDLSLELGDKRELQFMEGCSKDQTPPAS >cds-PLY68108.1 pep primary_assembly:Lsat_Salinas_v7:8:34464469:34465215:-1 gene:gene-LSAT_8X27561 transcript:rna-gnl|WGS:NBSK|LSAT_8X27561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIKVLSALDAAKTQFYHFKAIIIAGMGLFTDSYDLFCIPVVMRMLGRIYYPEIHRTIDQRKWFEVPSVIASTMIGVALMGTVIGQLVFRRLGDLVGRRHVYGVSLIMMVVGSIGCGFSFSVLTPMVFVTLGFFRFLLGVGIGGDYPLSATIMSEFASKRTRGTFIAGVFSMQGFGILLSSLITMIVCYIFRGFSDNLRTVSKSPESETTAPVPPESDLAWRIILVIGAIPAAMTYHWRMKMPETARY >cds-PLY79813.1 pep primary_assembly:Lsat_Salinas_v7:8:18565045:18566544:-1 gene:gene-LSAT_8X14561 transcript:rna-gnl|WGS:NBSK|LSAT_8X14561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKAQSFENVTRWLRELRDHADSNIVIMLAGNKSDLSHLRAVQETNGQKLAEQEGLSFLETSALEAQNVEKAFQMILLDIYQIVSKKALAAQEAAKAVVPTQGTTINVGDYGNNNQRKGCCSN >cds-PLY75210.1 pep primary_assembly:Lsat_Salinas_v7:2:204214818:204217394:-1 gene:gene-LSAT_2X125901 transcript:rna-gnl|WGS:NBSK|LSAT_2X125901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSNDNDGVEEEKSNGCRVKPIVNLHIETSRRGLLRDEGSQTRGDSTATTPTPLTNRTPSFLVFGNLGTPTAKFQRIAQERDDFSRTVPSSASQAIRDRFTRVFSKKIDWISLQKLAKEWIRNPMNMVLLIWIIVVAVSGAILFLVMTGMLNHVLPRKTQRDAWFEVNNQILNALFTLMCLYQHPQRLYHLVLLIRWKPEDISKLRKLYCKDGTYKPHEWAHMLVVVLLLNLNCFAQYALCGLNVGYRRSERPAIGVGITISVAIGAPAIAGVYSVVSPLGKEYDSAPSDEESQLKPESNRGKSFERRFSFTPKDESTIETSPKWSGGIFDFWQDISLAYLSLFCSFCVFGWNMERLGFGNMYVHIATFLLFCLAPFWIFNLAAINIDNETAREALGITGIFLCVFGLLYGGFWRIQMRKRFNLPPSDSCCGKPAVTDCALWLFCCWCTLAQEVRTGNMYDIKEDKFYKKPGEEVAVPIPLSTLPREQESGFHSGPSSPPQNSSARQPSDVEDSHARGKDVTLEPPIPSLIHREDN >cds-PLY64856.1 pep primary_assembly:Lsat_Salinas_v7:2:31261265:31263475:-1 gene:gene-LSAT_2X16040 transcript:rna-gnl|WGS:NBSK|LSAT_2X16040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSATNTKQSSSMVSSSQITYSNAPSYFPLPFHLQQPIPMTFPSATPLPPPPVAPHVQFHAPPPPVNVPVVPSVYTTSSSVTGVYLPQYQQAQQLFQRDAQTITPEALKSVKAALANSEIEHKAETKKKAIPRKAAGQAWEDPTLAEWPENDFRLFCGDLGNEVNDDVLSKTFSRFPSFNMARVVRDKRTGKTKGYGFVSFANPTDLAAALKEMNGKYVGNRPIKLRKSKWKERTDFEALEKQKQRAQKKSKLPKKSVLHK >cds-PLY71422.1 pep primary_assembly:Lsat_Salinas_v7:MU043955.1:125420:126415:1 gene:gene-LSAT_0X15001 transcript:rna-gnl|WGS:NBSK|LSAT_0X15001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQQGEIEGSGSSPSFSFYAADTSTSMAIAKVIHEEQAGRFHEFGASNEDDFRFSLDLSEEEVSAEQIDSRGWTVFPLFNRDLLVNNEAKSKDNEIHASDSITSSLRKLFIDEPEESSSCSSSEADELEALPSGTYCVWRPKTDGESSSVMTKIKKSSSTGSLLKKWKLRYMLRRSSSEGKDPVMLLTPKQKMNSGEISKVVGRLKAQTPVHELFYVRKRAENEVGKRKSFLPYRQVGLFTNVNGMGKMLPF >cds-PLY63681.1 pep primary_assembly:Lsat_Salinas_v7:3:203614699:203615871:1 gene:gene-LSAT_3X118741 transcript:rna-gnl|WGS:NBSK|LSAT_3X118741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSCEEPLSNGMRVDFRKGKGGGGGTDTVAMEIGGGDGGHNTQNMVAIVICTYEG >cds-PLY78722.1 pep primary_assembly:Lsat_Salinas_v7:9:48005047:48005620:1 gene:gene-LSAT_9X43500 transcript:rna-gnl|WGS:NBSK|LSAT_9X43500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKILSSNDSEDWLLIRMKEMMKHKMMNRFHKRNSSSASYVDFKSDKVWVKVVFFCVFSDGSFITTIGIDFKIRTVELDGKQIKLQI >cds-PLY99831.1 pep primary_assembly:Lsat_Salinas_v7:2:15746315:15761086:1 gene:gene-LSAT_2X7800 transcript:rna-gnl|WGS:NBSK|LSAT_2X7800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSIKSVAKSIGTEFQYPWREKLTKYKDELSKGVWGYWQLGAWKPLGISARRRARLRKEVLLAGQDWPFDPERKEMRTKRKGHKCDRISAEKRANTEELMKKMPQMLADYRKKKWEKKMNEEDAAAKKGRLKISTLFNGEKEIKADEEGNNDVYIVYMGAAATSRDNHDILLTSLVKSKKDAVVEKYQNSFLGFAARLTDKEAKDMAEKPGVVSVFPDPVLQLHTTRSWDFLKYQMGVETDFKPAKSSNAPSDLIIGVLDTGIWPEVESFNDEGFGPIPSKWKGTCMNGRDFTSSNCNRKVIGARYYRTIGDYNTPRDMNGHGTHVASTAAGNTVSNASYYGLAMGSAKGGFPGARVAAYRVCGARGCLGSVILKAFDDAIEDGVDVLSLSLGSSAGFGPNFHRDPIAIGSFHAVEKGIMVVCSAGNDGPNRESVVNIAPWILTVAATSIDRDFQADIILGDKTVVKGGGINFAQIDKSPVHRLLYGRSAKRNFSMYDEVDARNCIPRALDVNKVKGNIVLCEHRDGIYTVKQKLRRIKRLGALGMILVSNNARGVATSSGSFPMATVDRNAGSKIISYAKTKRKPMATILPSVSMTGYKPAPVVAYFSARAPGVAILASWPVKDSVATLNGKNPPQFYIISGTSMACPHISGLTAMIKSQNPNWSPSAIRSAIMTTATQTNNIKKPITTNFGSIATPYDFGAGEVASSPLQPGLIYETEIIDYLHFLCNIGYSISRIKRISSTLPPKFSCPSDSNYNSVSNMNYPSIAIANFNGKVRRVTRTVTNVDGDDTSYTVTVEAPKGLKIKVSPSTLEFTERNKKQTYEVIFKSNGKLGEDSFGAITWSNGKYRVRSPFVVSK >cds-PLY80820.1 pep primary_assembly:Lsat_Salinas_v7:3:191999046:191999327:-1 gene:gene-LSAT_3X114241 transcript:rna-gnl|WGS:NBSK|LSAT_3X114241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIKAGESSGVGRLETSSGRQDVGLASTPTVTSNSLRQRFSLAAHPEIVRAEQKDDQYASFALNTKLGLYLLCCKIVALRLDHISTRGSEYNC >cds-PLY64050.1 pep primary_assembly:Lsat_Salinas_v7:8:93095163:93096337:1 gene:gene-LSAT_8X65721 transcript:rna-gnl|WGS:NBSK|LSAT_8X65721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIALAFTALVAFASAHNSNTIPEEGSSVSVSKQQCSQQLRGQRFNQCLSHVISTQQEGNWCCNLPICFICDKDGDQGQGLEQCCNELQKVEEECQCEAMKEVYSEALKQQQHWSQQPKQQGEGGRQPQTQDLQQIVQNLRNQCKLQAKQC >cds-PLY84827.1 pep primary_assembly:Lsat_Salinas_v7:4:145236796:145238318:-1 gene:gene-LSAT_4X90461 transcript:rna-gnl|WGS:NBSK|LSAT_4X90461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNQHWEPSAGDDQTEPKDPYYIAYIIHFLLGAGYLVPWNSFITAVDYFQYLYPAKHISKVFSVAYMVAAMTVLFILTCWSSFFKMKLPALKTRMNLGYALFVLVLVVAPITDWVDHRDEFGRGSNFAFVVLVSMVAVTGFAEGLTGGSLVGATGKLPGRYMQAVVAGNASAGVLVCMLRIITKASLPRSRKGLRTSTHIYFFTSTLIELLCFICFNLLHRIPTIQHYMTKTTQTSHVDDHPPKTELRRPETFLVLKKLRLLAVSMVTIYVVTLSIFPGYLSENVNSTFFGDWYPVLLITAFNIGDFLGKCLTALYVLKRSRWVIWGCIGRVVFFPLFMGCIFGPNWLRKEVPVVLLTLLLGVSNGYLTSVLMIVAPKFVAVEESNIAGIVMSLFLAIGLVIGSALGWLWNIRRK >cds-PLY96228.1 pep primary_assembly:Lsat_Salinas_v7:1:212474453:212474683:1 gene:gene-LSAT_1X129500 transcript:rna-gnl|WGS:NBSK|LSAT_1X129500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSLVDLSNFSEFPYYSSSNNVAPPSRFLWPVTVVPPRLRAMSGVMSGDGGVREQGRVLADEGVLVMAVGLRQS >cds-PLY93548.1 pep primary_assembly:Lsat_Salinas_v7:2:174001296:174002456:1 gene:gene-LSAT_2X96940 transcript:rna-gnl|WGS:NBSK|LSAT_2X96940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHNQPTQLRLSLPLSFSAEPRRRRCSKAQMCFFKILNIISVFPTVDVTDNNCSSHREEICENKGFAVDRPPTKIEPFEAPATVDNENCVFEKNDRSKSESFVAEESELAVEEERATEHSEISHVGDQSSGIDYNGASEVVVAVVKEEEHRDEGYLGLLIEAAQLILGDEKKPAARSKQVEASTAARGGAKRKQQCWTAATEAEWYAEFEDTSPVVKSKRGRNQVLPYKYRDSVVEPLVRWTSSSTHRSISKAAVPSKRSADVSKSKSRE >cds-PLY80639.1 pep primary_assembly:Lsat_Salinas_v7:5:247133927:247136767:-1 gene:gene-LSAT_5X123081 transcript:rna-gnl|WGS:NBSK|LSAT_5X123081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSPNPTDQNFIVVNFHYNGQFAPNPLVYFDPDRASVRDVDFSGFGYEQFMEFLHKLTKSRSKDIYFCLPQESLGLGIHTLVNDVPSSSRGSGADPSLSRGNEGPPPPAAQQPPPPPPAAQQPPPPPPAAPMPRRRVPVSRSGRRKYSERIIKQALRRQIPGVGSNADNPSVID >cds-PLY66393.1 pep primary_assembly:Lsat_Salinas_v7:4:119427645:119429116:-1 gene:gene-LSAT_4X75180 transcript:rna-gnl|WGS:NBSK|LSAT_4X75180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLVGDDEGTSSSFGGDDEIRRPITEDSVSGGSSEDEDDSSSISDCESVISGSRIVEHTRIQPEGLVRLDESEKMYGIIEKKFISRLDVHGVKAQIQNIHRNLFNTSAITQARLRSFQIFSKAVEIKNEGVANIKYAWFGASKDEIHNIILHGFGHENIQKNGSFSHGVVLSPDHSPLESVESAIVDEDGVKHLLLCRVILGKLELVNPSSTQCHPSSENFQSGVDDLVSPKKFIVWSNQMNTHILPEFVVSFKTPSKPSNVNRSQSDGVRIQKPVSPWIPIPELIAALSKILPPKSMKEITQYRRSYIEHKISRRDMIQGIRECTGDSLLLMVLKDFTEQRKHGLN >cds-PLY95534.1 pep primary_assembly:Lsat_Salinas_v7:6:174519357:174524620:1 gene:gene-LSAT_6X106340 transcript:rna-gnl|WGS:NBSK|LSAT_6X106340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMPNSKNNSSDPKNSADSKSNDSASKNHAADSKNNHADQKNHTSASKNHADLTKSSSTCRKDNIEWKNRIDSINNADAPPLQGDGGGGNFPPPSGATQSLENQSPRSGNKVYKSGPLFLSSKGIGWTSWKKRWFILTETSLVFFRSDPNASSEKGGESNLTLGGIDLNSSGSVVVKADKKLLTVLFPDGRDGRSFTLKAETLEDLHEWKTALEEALANAPTAGTGIGQNGITKNDKGENADGSHEQSKDRSPAKSVVLGRPVLLALEDIDGTPSFLEKALRYVEEHGIEVEGILRQAADVELVERRIQEYEQGKTEFTAEEDGHVIGDCIKYVLRELPSSPVPASCCKALLQAYRTDRSTRVSSMRAAICDTFPEPNRRLLQRILITMEAVAENKEVNRMSVPAVAACMSPLLLRPLLAGEVELENEFNMGGDGSAQLMQAAAAANHAQAIIITLLEEYDNIFGEGDMLSDLYSDSDESGSESEELSDEDDDGDGDGDYSSEGSRTDEDSEHASSSCSESGEADTCQRDRKGKKKTAIDNIDVIVEERDEIFRLEALKTDLIKKVEAETKHNSILKEELLSKKDALGDRHQALEQEVATLKEQLQRERELRKVLEAGVTMSKKILPLPGSIDEKAYLLIKTKADLVDITQAEAEVISLQQKLDDLEMQLHRGQGKTKDKEKGRDISSSSRKIKDKQSSKERHEKPPFHSFSSKFNPNESTRKREPNMTKSNSITVKRSESRGEAANNTNSALSKITNRLSFLKEKRSQITNELETTTDKDKESDDESSLDNNQ >cds-PLY84790.1 pep primary_assembly:Lsat_Salinas_v7:8:23912517:23914633:1 gene:gene-LSAT_8X18660 transcript:rna-gnl|WGS:NBSK|LSAT_8X18660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTNLFIYLLLTFLTSTFIKTWAHLPHQHPDPEAIVLQLQRKLNASITSRRRLLDTNPLFTQSKDQTLCQTGNPVDDCWRCDPNWVNNRQHLADCAIGFGQGAMGGKGGRFYIVTDSSDDPENPPPGTLRHAVIQTEPLWITFAKDMHINLQTELIVSSSKTIDGRGAIVHITGKGCIVIENVGNIIIHGIYVHDCEPSGNAKIRVSPSDVVGRGKTDGDGLTIKGVRNLWIDHCSFARCTDGLVDVTEGSTAVTITNNYFTDHDKVMLLGHSDDYLADAGMQVTVAFNHFGEGLVERMPRCRHGYFHVVNNDYTEWKLYAIGGSAAPTINSQGNRFVAMANDRREVTKRMNAKGDEWKGWNWRSEGDLMVNGAFFVPSGAGLEVQYAKASSVPPMSAYLINQLTRHAGVLVGAPSSVGEVPPSGITPHGGDRPVITPPVVGQPSGENPPPGTLPPYGAGFSPGILPPYGAGCVPGNIQGGGSGGGDPGINPGVGYGFIPGSPPPVGVLQGSGPGMIPFCGGPGSRRISGGARSSIPTTIFLSSLVVLSLFMTMTPVYC >cds-PLY96646.1 pep primary_assembly:Lsat_Salinas_v7:7:44411476:44413052:-1 gene:gene-LSAT_7X30561 transcript:rna-gnl|WGS:NBSK|LSAT_7X30561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKIASASASAETSPKTAHRKTALRPKSSVAVKQNGAAKRPKTTAAAKKKASKLDANKPKKPPTAFFYFLEDFRKEFQEQNPDVKSMREVGKACGEKWKIMTYEEKVQYYDVATEKRAEFEKAMACYHQKKESGEYDEFDEDADFDE >cds-PLY92990.1 pep primary_assembly:Lsat_Salinas_v7:1:147775757:147777185:1 gene:gene-LSAT_1X102721 transcript:rna-gnl|WGS:NBSK|LSAT_1X102721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGRLRHVVLGIINKLVSSPTTEEQKFYLLNIVIQSYGYDAVAVLLFLVLSKYDFSIYCLKCFEHLDIIKAELENACPGVVSCVDLLVVAAYESVILAGGPFYLAHTGRKDSNRSFSQLSYELPSPLYDLSTNIARFATGVFTDKETVTLLGSLVGYILLSVSSWFMAISWRFAPYLFNPSGFKWQKTVEDFRDRTN >cds-PLY94752.1 pep primary_assembly:Lsat_Salinas_v7:2:177966902:177970175:-1 gene:gene-LSAT_2X97800 transcript:rna-gnl|WGS:NBSK|LSAT_2X97800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYTAMRENSSDSSSQEQSQDKESQSEEVLSEEEDDVSKETQNAQSFPSDSYGQEQHNYHQGVPNVLPSNPETLGQVPQLELVGHSIACAPNPYCDPYYSGMMAAYGQPMVHPQFLDMHQARMPLPLEMAQEPVYVNAKQYHAILRRRQSRAKAELEKKLIKDRKPYLHESRHQHAMRRVRGSGGRFAKKTQVDSSSKHGGDEDNNNNNNNTTGSGSGMSSSQSVNVNMNSTGMKRVRSNESDESLEAHNEKRRGELVNSLNARSTYHLHSSDRGDGGSLGQQWISISSNQASQRAVAMK >cds-PLY80812.1 pep primary_assembly:Lsat_Salinas_v7:5:240276865:240280425:1 gene:gene-LSAT_5X118620 transcript:rna-gnl|WGS:NBSK|LSAT_5X118620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALPASSVHPIMFFILCSFLLQFCSFSSSQSFIGVNYGLLADNLPAPEATAKLLQSTSIGKVRMYGADPAIIRALANTGIGIVIGAANGDIPALASDPNFATQWVNSNVLAYPNSKIIVITVGNEVMTAVDQSLVPLCLPAMQNVQNALNAASLGGKIKVSTVHSMAVLGASDPPSSAVFNSGFSDTMRSLLKFHSANGSPFMINPYPFFAYQSDPRPETLAFSLFQPNSGRVDSGNGIKYMNMFDAQVDAVRSALNAMGFKDIEIVVAETGWAYKGDPNEIGPSVDNAKAYNGNLINHLRSKVGTPLMPGKSIDTYIFALYDEDLKPGPGSERGFGLFKPDLSMAYDVGLSKSSQTPASPTTPASPSPVQAAGWCVPKSEASDAQLQSNLDYVCGQGFDCGPIQPGGVCFEPNTIASHAAYAMNLLYQTSGRNPWNCDFVQTATLTSNNPSYNSCIYPGGI >cds-PLY65939.1 pep primary_assembly:Lsat_Salinas_v7:4:140572083:140572993:-1 gene:gene-LSAT_4X86121 transcript:rna-gnl|WGS:NBSK|LSAT_4X86121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTLFQTLPFLPFEPAKPFSVLDQFPHFNFNNPLTPDPPSPETDLSPEYDHPAVLEGIAAVVGESVLFGKSANTAGNQTASGLVKDGDKEMKFHAVKHGCGVEKNYRGVRKRPWGRWSAEIRDRIGRCRHWLGTFDTAEEAARAYDAAARRLRGSKARTNFEIPSVFPLSTTTTSPTTSSSSSAEGKKRKSGSSTTITNKCHVVTSVAQLFSSSGPTIVKRKMNNGDVEVDLNLDGTLSKRSKTVCTLY >cds-PLY64777.1 pep primary_assembly:Lsat_Salinas_v7:2:101160261:101160530:-1 gene:gene-LSAT_2X44021 transcript:rna-gnl|WGS:NBSK|LSAT_2X44021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSGKLNPSPQFTFALRDTPPPLILFVLFKPPSAALTIQQQNRIKPHSAETTILWFRTAAGEDGFVVQHSAPLSSPTTSMIAGGASSEV >cds-PLY65932.1 pep primary_assembly:Lsat_Salinas_v7:4:141547626:141550141:1 gene:gene-LSAT_4X88181 transcript:rna-gnl|WGS:NBSK|LSAT_4X88181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFQKTYDGNTNPGMSSPECDGGHVSRNLDLEFQLSNHLTSVPDESIDLNVALLAASNKGDPVDICSSSNEISSIIKIGNEVGFQIGEDNTGILEDVLEVDANGEGGIHISK >cds-PLY94561.1 pep primary_assembly:Lsat_Salinas_v7:2:176147761:176151875:-1 gene:gene-LSAT_2X100500 transcript:rna-gnl|WGS:NBSK|LSAT_2X100500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLARNPRSSDYLDGMIGDPAGKQTKFRPQKSTSGRLVTLLTCLQFAFAVYATFLLYYMSPSIDLRTPKPDFAWASRIAQQWKHYIIPPHVISRYQETASNLILEPIPMISTPGEVCEHEKIEFEQKKSNDAVMIKIKRELYDEVLNFQSKNMGTESLTELMAMKSKWDMKGAGNIPKVTVILNHFKRKTLCSQLDSLLHQTLPFHHVWVLSFGSPNEIGLKRIVESYNDTRISFISSTYDFKYYGRFQMALQTEADLVYILDDDMIPGTKMLQILSHVAGTEKYKNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDKIVQVDFLSSSWFLSAELIKTLFIETPFTFMTGEDLHLSYQLQKYRNAGSFVLPVDPKDKETWGDSEHRLAYVAETTVIFKDVVQVRDDQWWRALSTGYITQWAAMYPQKVDAFFYAHSPDEVRALAPLLEKFRSTVGKKAYIVVPGGGFCSCEEATSALKWPKTVCRERRFKIFDLGVGAVSGINSNSDVPVVQSVYASMKGLIQIHNPSVVITVDDIDSNVRKALKMATEINNSNSTLVHLPRSSISKVLWMADLRSTALPNWNKMRISVNIITQNRVNSLTRLLNSLKDAYYLGDEVPISFNMDSRVDKSTLKLVKSFDWPHGPKILRRRIIQGGLIRAVSESWYPSSDDDFGLLLEDDIEVSPYYYLWIKYALLAYHYDPQVSLPELSSISLYTPRLVEVVKERPKWNATEYFKHIHPNTPYLHQLPCSWGAVFFPKQWREFYVYMNMRFTEDAKKNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQMSFSTNHMEPGAHISAKDNVVHHNKTDFEVPLLKDDFRNYLPNGKMPPASKLPSLNLFNQAVSLKGLKSAGSKLRQDVINCTVTEVVMVDHETGLPSHCATF >cds-PLY77786.1 pep primary_assembly:Lsat_Salinas_v7:2:169729866:169730755:1 gene:gene-LSAT_2X91781 transcript:rna-gnl|WGS:NBSK|LSAT_2X91781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRELETYPSETTTGISKRNNRNQLDDGGGVEWSGKSCRSCTGRVIADCVAVCCCPCAVVNFFTLTFLKLPWMMGKKCLGNMSKKKEKKKLKDEEKDGISRKEKGEKVASGKVNAIGEDDEQGGSRKYSARFEAERVWMELYRVDNLGFGRVSFNGIQSLE >cds-PLY76067.1 pep primary_assembly:Lsat_Salinas_v7:9:31766626:31768683:-1 gene:gene-LSAT_9X27420 transcript:rna-gnl|WGS:NBSK|LSAT_9X27420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTKSSIASVMAWNWVVQSLAMFKQVDPSILIGVVKKALAISDDLGKDSREVVSLRMLESMFTHGNEATIDTHSTQNAKISFDPSEQCEDVLHRILEETSEPMTESERQKWNVHPFVIHKRASLPKSPLEKLKEEILEGSHPLLASLKKRSYLENINIPENSLNTFPEVNVHFNDQTTVSKDDLASMNLRNENNKLPKSPLQNPDDVDNATRGNTEHIQRKENEIPKEPPAKGLEENTLMDHNDQTPSKNLEQNCDDLNADHGQQELTDEKCDDEMTNIAAMKEAFLNSQHTLSQDSMATIDSTEICLCMKCNNGGELLVCSSDTCQLRVHESCLGSTTTTLDKNGKFLCPFCAYSHAISMYLEAKKKTSLARKDLQAFMSSAVKHRPNKSTQTEALGQKVKGNVNGHTVRRDTEDRTPNEHKQKCNENESHVSKTHSRRCRDQKPQYTSPTIPLIRRNKLQWTMSEVEILKEGVQRFSSNNNSKGFPWKDILDFGGDVFHKSRTTIDLKDKWRNLCKGSPAKKKQRL >cds-PLY66479.1 pep primary_assembly:Lsat_Salinas_v7:5:327256928:327259850:-1 gene:gene-LSAT_5X182881 transcript:rna-gnl|WGS:NBSK|LSAT_5X182881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFDLEEEESFEHTLLVVREVSVFKIPPRSTSGGYKCGEWLQSDKIWSGRLRVVSCKDRCEIRLEDPNSGDLFAACFVYPGQRENAVESVLDSSRYFVLKIEDGTGKHAFIGLGFTERNEAFDFNVALSDHDKYVKRENEKEDGEASDANHIDIHPAVNHRLKEGETIRISVKNKPASGSGMLSSAGLSGGPAGTGNIKPLSLAPPPSSTSRIRSPLPPPPNDPAAVRMTSTKVSIAVEGTKETTRRSTNAFTDFSQLEKNLPGSTKSKTGAAGWAAF >cds-PLY80654.1 pep primary_assembly:Lsat_Salinas_v7:5:245105459:245106571:1 gene:gene-LSAT_5X122561 transcript:rna-gnl|WGS:NBSK|LSAT_5X122561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVRFRAVQDTKSLEKQSNGIRYKGRALENNLSLYGRKEKTYANDTRRRQEKPTLKHNMRAGLRDQETFTEVTKTQGKCIGTITPPASSPLISPPPPPPILLYQEQENHHWLRKTTLVGETLSLDYLGHFPKLLLVKKAPIREIKYLGGLKVLLIFENSKDTKEFLENKQKWKEIFKWVKYGEQLDFGFERVAWIRIVGLPPKLWGRRNFASINGRYGRTITPFDDVYHRDDLSCVKIGIITSRQDRINEEITVTKEEKLFKVCIVEFDEDWFPFRFDPTGDFYEEESVENEDGDEDISDTWMADDVEEPKEGEILQDDTSTVRKPDENEKETIMADNTKDEWVPKSQEVAGEAERSPDGQHTGMEDQNH >cds-PLY84641.1 pep primary_assembly:Lsat_Salinas_v7:9:103050683:103055488:1 gene:gene-LSAT_9X74980 transcript:rna-gnl|WGS:NBSK|LSAT_9X74980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAINTENANCE OF PSII UNDER HIGH LIGHT 1 [Source:Projected from Arabidopsis thaliana (AT5G07020) UniProtKB/Swiss-Prot;Acc:Q9FL44] MASSAAALSANSCSTNMRLRFFNNDPYRKQQKQNLNFFKIRASSSDEQDDCNVEECAPDKEVGKVSMEWLAGEKTKVAGTFPPRRREWSGYVEKDTAGQTNIYSVEPAVYVAESAISSGSSGTSADGAENTAALVGFSGIIAVAAASVILLQVGKNSPPPIKTFDYAGPSLSYYITKFKPSEIVPTQASDEIPESSIPTQASDAVMSSTSAETPESSVSVPVPVPQIDSEASIDSTTDAVTSTSTEKSEETAIATPQALEVEVGSG >cds-PLY65225.1 pep primary_assembly:Lsat_Salinas_v7:8:21190888:21192148:1 gene:gene-LSAT_8X16220 transcript:rna-gnl|WGS:NBSK|LSAT_8X16220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRNGGVESPSPRPRIHQTHPHDTPLFQSTVSERKLRRFNISIFIFRLAAFGSSISSAVFMLTTNTASSSDTPRWYHFGAFRFVVAANAIVALYSFFEMGASVWEISRGATVFSEFTQVWFDFGHDQVFAYLLLSAGSAATELARQLRAIATCTANNTFCVQSDIAVALGFAGFLFLLISSLLSGFRVVCFTINGSRFVL >cds-PLY91214.1 pep primary_assembly:Lsat_Salinas_v7:3:76652414:76659544:-1 gene:gene-LSAT_3X59501 transcript:rna-gnl|WGS:NBSK|LSAT_3X59501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRQVWSKPNNHIYTIVIGILGREGLLDKGYNMFEEMPSEGVPRTVFSYTSIINAYGRNGGSGKTSAARIFAAALNCLSHKGKNVKKPCGECQECTLFFARRSRDVKEVDSVSLNKAENLRYIIKNAMLPPVSSSFKAFIIDECQLMQGSTWSTLVNSLEEECHRIIFIMITPDLSKLPRGEIHGSQRFHFPKIKESDIVQRLEKICLEEGLDYDQNALEFIATRSNGSLRDGEMTLEQLSLLGKRITISLTYELIGIVSGDELLELLALALSPDTSNTVKRAAELMRSRIDPMQLISQLAILIMDILFGKFHEKISDVKRQFFERHTSEADLQQLNNALKILSETELLFVFTKEELGAPQESQHGDAVMEAIVKKRNGSVLGEGVDSTLQLCVCEDAAKWDELAPPVGEARRGSSSSSSSSPSYALSSLCSSTSVEYEWGKMYGMICQSVM >cds-PLY81879.1 pep primary_assembly:Lsat_Salinas_v7:8:123011593:123012873:1 gene:gene-LSAT_8X85161 transcript:rna-gnl|WGS:NBSK|LSAT_8X85161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFQDFDQITERRRLERKQKLKRRIILGVVVAVLILSAAIVAFLIFLNNAQQDEAEGDDVPNVESANNEDKDTSPGISGDDGNNDQKILQTQKAVKTVCQSTDYKETCESHLEKSLSSTKPSGIPQPEDLVNAAISVASDELNNAIEQTSKAMKDEEKGIHDVCKQVLEDAQDDFNRSRNAAMDGTAVLDLDSWLSAVIAYQQTCIDAITEDATRASVEKVLKTTKELTSNSLAIVSSFDKGAAPPATANRRLLSQSPDELPIWMTHETRRLLKADAPTQTPNATVAKDGSGDFSTISKALEGIPEKYEGRYVIHIKAGVFEENVIVTKKMVNVTMYGDGSQKTIITGKKNFVDGVPTFQTATFGMHASIQLLPTICLKLVSCYRYDEVAKLLMTERMQRLSGKDLSLSQLDLKTQQVLKNIKQSH >cds-PLY95309.1 pep primary_assembly:Lsat_Salinas_v7:4:299406927:299409105:-1 gene:gene-LSAT_4X149681 transcript:rna-gnl|WGS:NBSK|LSAT_4X149681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFSLGSATYHSQQDPISTSNLPSNPTPNSNPTESSSWFLYGSTRSTHDRPSTTTTTTTTKDFELWQQPTQADVSHNLLAGAASMGGGGGGGDGRLINFSDESTWGSAAFVMMGHGVIGGGSSGGSGGVSCQDCGNQAKKDCPHMRCRTCCKSRGFHCQTHVKSTWVPAAKRRERQQYSSGTQDQSRRRVHQNTKRTRSTQDHENPNMLNPITGAIAYNSSSGLEVGNFPSEVTTQANFRCVRVSSIDETDDQFAYQAAVNIGGHLFKGILYDQGPEGQQYMGGDTSSGGSAGGIPQLNLTTTTTTSPGNSTAAANYIGHSLYPSLGGNDYMSSTQFFHQISRD >cds-PLY65799.1 pep primary_assembly:Lsat_Salinas_v7:5:272325895:272329364:1 gene:gene-LSAT_5X143381 transcript:rna-gnl|WGS:NBSK|LSAT_5X143381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKGNLAPFLKLNLPPPDETSLAKFLTESGTFMDGDLLVNRDGVRIVSQSQSETPPLIQPSDNQLSLADFDAVKVVGKGNGGIVRLVRHKWTEQFFALKVIQMNVEESARKQIAQELKINQSSQCANVVVCYQSFYDNGAVSIILEYMDGGSLADFLKTVRSIPEPYLASICKQVLKGLLYLHHEKHIIHRDMKPSNLLINHRGEVKITDFGVSAILASTSGLANTFVGTYNYMSPERIIGGSYGYKSDIWSLGLVLLECATGQFPYTPPQAGEGWVNVYELMETIVGQPPPRAPFGQFSPQFCSFIEACVQKEPKDRASANELMVHLPNFAK >cds-PLY83798.1 pep primary_assembly:Lsat_Salinas_v7:3:52313147:52315470:-1 gene:gene-LSAT_3X39640 transcript:rna-gnl|WGS:NBSK|LSAT_3X39640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKIGREAFVNLTLILKYSQFPWTTGVAAVFVFISLTLSIFLLLQHLSAYKNPEEQKFLLGIVLMVPSYAIESFVSLLNPSISIAVEILRDCYEAFAMYCFGRYLIACLGGEKRAINFMEREGRAGLKGPLLEKRSSKGIITHVFPMNLFLQPWQLGQRVYQIMKAGIVQYMIIKAVTAVLAVVLEAFDVYCEGDFRWDCGYPYMAVVLNFSQSWALYCLVQFYEITKKELAHINPLAKFLTFKAIVFLTWWQGVAIALLYSFGFIKIPIAQESQFKSSIQDFIICIEMGVASLVHIYVFPSEPYTLMGDLFHGDFSVLGDYTSDCPLDPDEVRDSERPTKLRLPRHDPRVKNKTAIKESVRDVFVGGGEYIVSDLRFTVNQAVQPVGKGITKFNEKLHKISQNMKKNDKGRRTRDDSCIAKSREIRGIDDPLLNGNFSDSCGDGAVKKTKRQRRTSGYMSAESGGESSSEQRVSCDRYQVRGSRWVTKD >cds-PLY64086.1 pep primary_assembly:Lsat_Salinas_v7:MU045731.1:96908:98265:-1 gene:gene-LSAT_0X33321 transcript:rna-gnl|WGS:NBSK|LSAT_0X33321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRGLVLDKKVRKYIEVAYHGCRLLSKDEKVATYGNTLVLDAFDEPDYALVDTLFSLAEAYLFAQLTKIPSCFCSNFRMYRDVRAAVDLCHRDGTLKQMMLRTSGFAVFLVTHSAKPKFFHDENRANLFAVDTDSGMLIDTDNGSPMAQLQAAAVEVPD >cds-PLY80304.1 pep primary_assembly:Lsat_Salinas_v7:3:209367664:209368029:1 gene:gene-LSAT_3X123141 transcript:rna-gnl|WGS:NBSK|LSAT_3X123141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQGYIWNKVYDDIELLVTEMQINHFSLHASTCNLTNKIHDESLPTTLLEKLRPKEFVEDPFPVEKMMPGISSLSPNLEK >cds-PLY89743.1 pep primary_assembly:Lsat_Salinas_v7:1:58850396:58851890:-1 gene:gene-LSAT_1X50780 transcript:rna-gnl|WGS:NBSK|LSAT_1X50780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAGNLHVVFLPFFASSHIIPLVHVARLFASRGVRSTVITTVHNALIFKSSVDRDIFAGFPIAVQTINFPAWEVGLPIGIENFNTATTLETASKVYHGMMLLQTTIEQVIRDLAADCIFSDMFFPWTVDLADELNIPRLLFYPSCFLYHSVFHSLKVHKPHENVKSESESFVVPNLPDKITMKRSQVSDHFKFKNQWGEMIETIQESEKRSYGLVHNTFYEIEPDYADHMKKIKGTKIWHIGPLFQFFIHEGSSEKKHSCLSWLDDQKPKSVIYVCFGSLVKFPEAQITEIALALEESKQPFIWVVRKGEDEVIGGLPEGFEERIGRENKGLIMTGWAPQVEILQHPSIGGFLSHCGWNSVLEAMVTGVPLMTWPLYAEHFYNEKLVELLGIGVGVGAEVWNSGSEITSPIIGKRNIVEAIEILTGGSAVAEGIRCSSKEVAMKAKHVVEEGGSSLNDLMTLIEQLKAIKSSPKP >cds-PLY75538.1 pep primary_assembly:Lsat_Salinas_v7:9:33068240:33072546:-1 gene:gene-LSAT_9X31241 transcript:rna-gnl|WGS:NBSK|LSAT_9X31241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNRGLRSLPLFTIPSSLTPNGPPSPFFPTTTSLSYLSLLLIRQKVRSRIILSNSYRMRHDGLLSVSRNTSSKIIPSTYITSTSFVIDPSYAADKKPLVGKGSPLSFGRLSRTRSGISTLIRSFLTVISIPAIIPTFRWLTFPSQLSLSPSLGRKVTGTLFGNRRGRVSFAVQYDPRSTPVLIIELAVSTAVLVKEMSSGLVRIALECEKQQSRLGARHREGKLFNEPTWTMYCNGRKCGYASSRACSDSDWHVLSTVQSVSVGAGVIPMVEDGRKRNSGGESEGELLYMRARFDRVVGSRDSEAYYMMNPDGNGGPELKDLLEDRVLYKKSQEKVVSSTARSLISLFREIADSWKNMDTWIDVICLFYTIFARGKTDVLAEIIT >cds-PLY74059.1 pep primary_assembly:Lsat_Salinas_v7:6:100116881:100117393:-1 gene:gene-LSAT_6X64920 transcript:rna-gnl|WGS:NBSK|LSAT_6X64920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRERQSSPPIPIFLASGVVATIHPSVFRPPCAIDQPLSPAAAXGSSENRRGGLVPTEMKPNKPIAPAVAISANWRRCCYCSVAVNDGQGSCPDVLLLRLRHLLPSRRRLKTQDHRRNQSRLLCCRWLLVRTPFSRRQLCCRHRGNSAVPPPLQSLRQPQPAIIPAAAGVD >cds-PLY84416.1 pep primary_assembly:Lsat_Salinas_v7:4:289807331:289807738:1 gene:gene-LSAT_4X150041 transcript:rna-gnl|WGS:NBSK|LSAT_4X150041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKGLDLIDFVFPILRFNHYQHVAFFVSFVATEISPPYMFALILLVLESNHSPSSDMASKRDNDDTSSNDVKAKRMFYDVLQSITSMLLLYAKQTIIASKKLNNNTKIYLGVPKKLISYISNKSIKLRHRMKRT >cds-PLY73898.1 pep primary_assembly:Lsat_Salinas_v7:3:38079623:38083256:1 gene:gene-LSAT_3X28780 transcript:rna-gnl|WGS:NBSK|LSAT_3X28780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQFEDFRRMNQTFRPGEESKKMRLIRTHLMKINKPSVKSIESPDGDVMDCVLVHHQPAFDHPVLKGTKPFDPPERPDGYNHAGTESENYQLWSLSGESCPEGTIPIRRTTERDILRANSIQRFGRKIPKPVRRDSSNGGHEHAVGYVSGEEYYGAKASINVWDPKVTDRFEFSLSQMWVISGSFGDDLNTIEAGWQVSPELYGDNYPRFFTYWTTDAYQATGCYNLLCSGFVQTNNKIAIGAAISPTSSYNGGQFDISLLIWKDPKHGNWWLEFGSGVLVGYWPADLFTHLRDHASMVQFGGEVVNSQASGSHTSTEMGSGHFSGEGFGKASYFRNMQVVDWDNSLIPLSNLKVLADNPNCYDIRGGINNVWGNYIYYGGPGKNPRCP >cds-PLY97549.1 pep primary_assembly:Lsat_Salinas_v7:5:237023503:237035819:-1 gene:gene-LSAT_5X115600 transcript:rna-gnl|WGS:NBSK|LSAT_5X115600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIHVDEPLTPAGRVLVQPAMDQIINCVLGLDRPVGIQLVRSVISDSLILNHPRFTSLLVKDNHGRERWKKVELDIDRHIIFLPDAVDGSNDDDEAAVNDYIADLTVSCPLSTDKPLWEVHILPAHKCVVLRLHHSLGDGVSLLSLMLTMCRKVSDADKMPTIELPPSSRSDRRESAGKRFWKLLKMIWFTLIYTFEFFLRSMWVRDKKTVMRGGAGVELWPRKLATARFSLDDMKMVKNAFVNTTINDVLFGVISSGLSRYLDDHSPKPIKEGLRITGAAMVNLRPSQGLQVFTELMKSGSKSRWGNKFALMLLPIYYHKNGSDPLQYLKRAKMMIDRKKFSLEAFLSYKIGCFIMKCLGPKFVSSINYRIACNTSFTISNVIGPREEFMIAGVPVTYIRTTSSSLPHAITMHMVSYAGKADMQILVAKDIIPYPEKLAKYFEDALLEMKEATLKIRCNEYSMVKDPINW >cds-PLY99461.1 pep primary_assembly:Lsat_Salinas_v7:5:332852140:332852691:1 gene:gene-LSAT_5X185960 transcript:rna-gnl|WGS:NBSK|LSAT_5X185960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTSFPSAHSPFTRFSKQRRKGGQQVPRALCPTHLSRSKCSSPVPPNAPICRQRSCECAVMLRMLRHRIDRPSSRSFPVHSLYISDTQGRTRWEGRSPSLCPADHSAGISHSRPRLTAARGCSCRYVSLSGSLAPLVISFYDMLLSSPYSMCHLVISASLRVSTSERNGGLHSVTPRSLATCI >cds-PLY74955.1 pep primary_assembly:Lsat_Salinas_v7:8:102208647:102209599:1 gene:gene-LSAT_8X70061 transcript:rna-gnl|WGS:NBSK|LSAT_8X70061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLVERSIKTYGKGTLTSVASHFHFSDMDSSTPHSHHFIARKVAWSFEKELKGIRRLRLIELIVSAGKFWEVIYMHSRSSCLKIKD >cds-PLY90182.1 pep primary_assembly:Lsat_Salinas_v7:7:12847390:12848010:-1 gene:gene-LSAT_7X11281 transcript:rna-gnl|WGS:NBSK|LSAT_7X11281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSSRMPVILLLGLTLILAISRTTQANDPDILTDFVTPNTSSVDASFFTFSGLSAYYNPNPKNFTVLKATMNEFPALNGQSVSYAVLQFPAAAVNPPHTHPRSAELLLVMMGTLEVGFVDTKNVLYNQTLQTGDMFVFPKGLVHFQYNRNHNQSAIAISAFGSANGGLLSVPKSVFTTGIDDNVLAMSFKTDVPTVQKIELGLKK >cds-PLY72825.1 pep primary_assembly:Lsat_Salinas_v7:6:22034219:22036270:1 gene:gene-LSAT_6X17281 transcript:rna-gnl|WGS:NBSK|LSAT_6X17281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDEERGPRLSKRFSDKTADGEVDYKTKSGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQTNAQQERRAEEVAREYAQEQEFFRQAALVSKKEKEKMEMMKAVSFMYIRPPGYNAESAKAAEIAEEKKIQEPSQDPRSEDTEAAHMLQNPIPPDDQKKKPRPKDVFGRVLPTEEQFEALKNAPKLETGVAGRARPFGIEIRNVKCVRCGAYGHQSGDRECPLKDAIMPNEESRLKRDDPLTAIIAQTEASEPLKWELKQKPGMSPPRGGFRPDDPNQQIVAEDIFDEYGGFLGESVIPDLLANFSTSKHKKSSGKRKHKHKHKDKVRSPPTISHHKHNLSDDDDDDDDGGRRLKKSKSEQKKKKKQIQSESSSSEDDDRSRRRKGSGHRRRESSDSDQQQRYGSRHRSHHHRHRRRADSSH >cds-PLY76367.1 pep primary_assembly:Lsat_Salinas_v7:6:170559798:170560580:1 gene:gene-LSAT_6X103200 transcript:rna-gnl|WGS:NBSK|LSAT_6X103200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAERKVLNKYHPADFDPAKIPRRRIPINQQINVRMMLPMSIQCKNSGNYMSRGTKFNSRKEEVKGETYLGMKIFILYFKCSKCSAEITIKTDPQNSDYFVESGAHRNFEPIEKKQQCTKSLENKRQMEILSGLEEMKSLKSRHASVSVDAMLEALQQRSTPMVQQEEKLEEEVIKSIFQKRRKVSQHVSDHSPTFQYFKRSKTKTKTVSDSRVDAPKSMTMKLLCFWKEQLEAEYDQETSNGLQLLCQHYDNGQEEEEKE >cds-PLY82647.1 pep primary_assembly:Lsat_Salinas_v7:5:84465774:84466022:-1 gene:gene-LSAT_5X39560 transcript:rna-gnl|WGS:NBSK|LSAT_5X39560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLRSIFIWFHHRLHPHHQPPSSLPPPTSDRFEIRYHLQQTLIDSHHYRTSYLLLGSSYPPKTISITSIFDKNHHQPPKP >cds-PLY68399.1 pep primary_assembly:Lsat_Salinas_v7:8:23467733:23468430:1 gene:gene-LSAT_8X17941 transcript:rna-gnl|WGS:NBSK|LSAT_8X17941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLAQKQKFSSIFPLVKNSIKPLKHCLSSFPCSSPCRRHCCEATSRRPSIATAIPLQPTSGKLFDQMPERDV >cds-PLY77418.1 pep primary_assembly:Lsat_Salinas_v7:3:146943282:146946565:-1 gene:gene-LSAT_3X95040 transcript:rna-gnl|WGS:NBSK|LSAT_3X95040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIIGNLSFDPLQFFNYRATGMIEFIDSVVTRGYTWKFSQHGNCSVGSFQCTAIHFFQSRLSNCAVGIIFGIPLRGTHSHAFVSSFMRLSILMGVFGETNKSELAAFILYALAFPDNFLALVDTCDVAQGAKIRGASTIIGVDTNPEKKEKAKAFGVTDFINPNDIDETVQQAIK >cds-PLY69565.1 pep primary_assembly:Lsat_Salinas_v7:4:85036072:85037312:-1 gene:gene-LSAT_4X56301 transcript:rna-gnl|WGS:NBSK|LSAT_4X56301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVSMVTEAASANKVKRVKPPKPVKPVQEILTCKSRKSRCFMKRIKCPVECPKVKPKNPKDKACFLDCYSPKCEAVCKSRKPNCNGPGAACYDPRFIGGDGIVFYFHGKSNEHFSLISDTDLQINARFIGLRPEGRTRDYTWIQALGLKFGHHNFTLEATRTQKWDDDVDHLKLSYDGKELFIPEGHSSEWNSPEGDVQVERTATSNSVTVTIPDHAEISVNVIPVSEEDSKIHNYQIPTNDSFAHLEVQFRFFGLSSKVEGILGRTYRPDFENPAKQGVAMPVVGGDDKYKTSSLLAADCALCVFSPNEIKDEHGSTVMDFGMLDCTGGGNGITCKK >cds-PLY71655.1 pep primary_assembly:Lsat_Salinas_v7:MU043893.1:240724:242383:1 gene:gene-LSAT_0X24181 transcript:rna-gnl|WGS:NBSK|LSAT_0X24181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLQALWNHPAGPKTIHFWAPTFKWGISIANIADFAKPPEKLSYPQQFAVTATGIIWSRYSMVITPKNWNLFSVNVAMAGTGIYQLSRKIRNDYFNEEETATAIKE >cds-PLY66847.1 pep primary_assembly:Lsat_Salinas_v7:7:19277957:19278229:-1 gene:gene-LSAT_7X15501 transcript:rna-gnl|WGS:NBSK|LSAT_7X15501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVVIVSRPEMTKSGEAEADPLEPIVVVDVPDVDAATNQPIPNAGDQSETDDYEGFLDLGFMQQAVVYDVSLNIIYPSSCFEGEFSQEVP >cds-PLY63807.1 pep primary_assembly:Lsat_Salinas_v7:6:26790770:26792407:-1 gene:gene-LSAT_6X20580 transcript:rna-gnl|WGS:NBSK|LSAT_6X20580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRVANASEYLVITGFGIDDIKISKKAWVLPGQSCSKFDISPVNYTFDLHAMSAEKLPFKLPAVFTIGPRIDDDDSLHKYAKLISPHDKLSNHVKDLVMGIIEGETRVLAASMTMEEIFKGTKDFKKEVFDKVQLELNQFGLLIYNANVKQLVDEPGHEYFSYLGQKIQQEAANQTKIDVSEAKMKGEIGSKLREGQTIQNAAKIDAETKIIATQRQGVGKKEEIKVKTEVKVFENQREAEVAEANAELAMKKAKWAKDSQVAEVEANKAVALRAAELQKEVEIMNALTQTEKLKAEFLSKASVEYETKVQEANWELYRKQKAAEAVLYEKEKQAAAQKAMAEAALYSRQQVADGELYAKQKEAEGLVALAQAQGTYIRTLLDAMGGNYGALRDYLMINGGMYQEIAKINAEAVKGLQPKISIWTGANGGGEGGDGGAMKEVAGVYKMLPPLFNTVHEQTGMLPPAWMGKIAESKPPQPQWT >cds-PLY68506.1 pep primary_assembly:Lsat_Salinas_v7:2:213822012:213825045:1 gene:gene-LSAT_2X134221 transcript:rna-gnl|WGS:NBSK|LSAT_2X134221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrofolate synthetase [Source:Projected from Arabidopsis thaliana (AT5G41480) UniProtKB/Swiss-Prot;Acc:F4JYE9] MKFSGIVGNHRYLHRLHKSLIAAGNRTLVNDIGFKHKFSGLISEEESELKEFNDYLDNLKNHEKSGVPKGAGTDSDDGFDLGRMKRLMQSLGNPQSKFKAVHIAGTKGKGSTAAFLSNILRAQGYSVGCYTSPHIKTIRERITLGRLGDPVSAKTVNSLFQRIKLVLDQAVQLEKGHLSHFEVLTAVAFNLFAEENVDIAVIEAGLGGARDATNIISGSDVAVSIITTIGEEHVAALGGSLETITVAKSGIIKHGRPVVLGGPYLPHIELILRNKASSCCSPVISASDPGNTSVIKGITNVSGIPFQVSDIILHINRDLQLCFEAYDVKLRLLGWHQLQNAATATCAALCLRDQGWRISDGSIRSGLEITHLLGRSQFLTSEEIEALGLPGATILLDGAHTKESAKALADMIQITSPEAALVFVVAMASDKDHQAFATELLAVRRPVAVLLTEVSIAGDRYRSASLSLLRDAWMEACNDLGIKIYEEEEDRLKKHNDGNAMLLLNGGSLMESLRVGDEIIQSRSRDTAAAAGVLVVTGSLHIVSSVLCSL >cds-PLY82191.1 pep primary_assembly:Lsat_Salinas_v7:1:14412845:14415221:-1 gene:gene-LSAT_1X11940 transcript:rna-gnl|WGS:NBSK|LSAT_1X11940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFIRFKLFPSSLKIKKCLPPSPRKLPILGNLHQLGSHPHQSLHALSKKYGPLMLIHIGSIPTLVASSVDAAQGILKTHDSSFSSRPNMSIVKILFYGGKNLIFSPQGEHWRKMRSVLVTRLVSNARVKSFRKVREEETMNLIGALEDSHGSLVDLSALIVKLTNTIICKVAFGRVHSGPKFTNLLKEHVEMIATFSVGSYIPWLSWVDRLTGLEGRAKKGAEEFDVFIEGVIEEHVNKKGGMEAKGDDVQDVVDILLEFQNENTTDFTLDRDSLKAVILDVFAGGTDTTFNTLVWVLSELIRNPRVMKKLQQEVTKVAQGRSMLFEEDFEKMEYLKAVIKESYRLHPPAPFLLPRESVEDVKMMGYDIPAGTRVFVNVWAIGRDPTVWENFEEFKPERFMDSSVDFRGHHFELIPFGAGRRGCPAIHFSVTILELVLTNLIYKFDFRLPDGEKIEDMDMSERNGLTVHKKAPLLVVPTPR >cds-PLY87291.1 pep primary_assembly:Lsat_Salinas_v7:4:331576300:331578177:1 gene:gene-LSAT_4X164460 transcript:rna-gnl|WGS:NBSK|LSAT_4X164460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSVTQTLDPCQHTSESIPDNPDDHICSETTNPFSPESVANHESSLLDNPNGCLTTGARIERAWAHWKKLGEPKLIVAPMVDNSELPFRLLCRKYGAEAAYTPMLHSRIFSENEKYRSQEFTTCKEDRPLFVQFCANDPDTLLEAARKVEPYCDYVDINLGCPQRIAKRGNYGAFLMEKLPLVKSLVEKLALNLNVPVSCKIRLFPNLQDTLNYAKMLENAGCALLAVHGRTRDEKDGKKIRANWDAIRAVKNALRIPVLANGNIRHMDDVTRCLEETGVDGVLSAETLLENPALFAGFRTVDWVSDGGDGIRDAKLDQADLVVEYLKLCEKYPVPWRMIRAHVHKMLGEWFRVHPHVRADFNAQSILSFEFLYGMVDRLRELGVSRPLYVKDTV >cds-PLY74092.1 pep primary_assembly:Lsat_Salinas_v7:9:12678926:12679481:-1 gene:gene-LSAT_9X10461 transcript:rna-gnl|WGS:NBSK|LSAT_9X10461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGQISWLKEVPLKVNCFIWRAKMGRIPVAVELAKRGVVLENLTCPMCNEYEEDSNHVLVDCSYARSVCEGVSRWCNIQLGPLHTIKDVLGSISQWGARNEMVFKKNRLPPDKVTDIIKSVVYLWVKNRGNNDKLNWASWSQCPLLS >cds-PLY67517.1 pep primary_assembly:Lsat_Salinas_v7:6:70612041:70612637:-1 gene:gene-LSAT_6X48940 transcript:rna-gnl|WGS:NBSK|LSAT_6X48940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQTPHYSGTHGGSNDVDSNGSHRPFIIRKGYKFGRQSIHRAIVKIFWQSINEPWITYKKIPKEVVTQMFERFRTQYRWDPNEEGLIREGFENTLKDCYRGGMRDAREASVNSARKTGHVIAVINDNFEILANYNPAEIHIDV >cds-PLY86002.1 pep primary_assembly:Lsat_Salinas_v7:1:49402049:49402735:-1 gene:gene-LSAT_1X43281 transcript:rna-gnl|WGS:NBSK|LSAT_1X43281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEGIEFVRHIEESLNTNGELVKARKAARHVWTDVEVYCTWLQIDLQKKVCKGETSQKILEWLGDEAVNTVIQFKRSKDGSPDHSLRNFIAANSMYRISQTILLHCNQQQNWPTDEELFEFISTIIADLMCACFTNLPRVITLRCHADAIEKREESIRTAALLLGKSKKIMELLEARKLPNLDMNSMAYIDKWHALPKSLISACYFSYACRIHPASSSSCESIEITIV >cds-PLY87777.1 pep primary_assembly:Lsat_Salinas_v7:1:45772122:45773744:1 gene:gene-LSAT_1X40561 transcript:rna-gnl|WGS:NBSK|LSAT_1X40561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKYSQIRSCVFLLVLCLSFSNSWANLSSLVDVTPGTENFISCIQPKSNNVTSFSQQLIITPVNASFIPIWQVAVQNTRFLKPSTPKPSIIVTPVDETLVQKALFCAKKHGYEMRIRSGGHDYEGLSYTADVPFVMLDFTNMRSIDVDVANRSAWVQPGAVLGELYYSISQKTDTLYFPAGVCPTVGVGGYMGGGGYGNLLRKYGTAADNVVDVRFMDVNGNILDRKSMGKDLFWAIRGGGASSFGIVLAWKLRLVPVPEKVTVFILNKTLEEGATKIFHKYQYVAPTIDRNLHIRTQVFAEYIGNTTKKTIRIMFEGIYQGTRDTLLPLLDEKFPELGVRREICEEIRSIQSTVVFWGLPSSTPIEILTNRSAIAKLNNKSKSDYVRTPIPIRGLRKIWRKLMQNDGSALLMINPFGGRMADYSESAIPYPHRAGVLLQILKTVNFNGQTSDTTPTSLKRIMWLRSLDELLTPYVSKNPREAYSNYNDLDLGVGSSNYEEASLWGERYWKRDNFQKLIRIKAKVDPDNFFRRPQSIPVF >cds-PLY76094.1 pep primary_assembly:Lsat_Salinas_v7:9:31805451:31807360:1 gene:gene-LSAT_9X27361 transcript:rna-gnl|WGS:NBSK|LSAT_9X27361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDYGVRPDDHIFPSATKASAILSNYNVGRSVHCLALKTGYGFDVFVASSVVDMYAKCGKIEDARNMFDEMPMRNVVSWSGMIYGYAQLGEHEEALWLFKQALFEKLEVNDFTFTSVIRVCGNTTLLGLGQQLHGLSLKLSFDSSSFIGSALISMYSKCGIIKPAYQIFQETPVKNLGMWNAMLIACAQHSHTNKAFELFQEMETSGMKPNFITFLCTLYACSHSGLVEKGKYYFNLMKHYKIEPTDQHYASMVDIFGRANKLDEALSIIKEMPIKPTECVWGALLTGCRLHGNTELAAYAADKVFELGPVSSGMHVLLSNAYASVGRYEEAARARKMLRDTGVKKETGLSWVEEGNMVHTFAAGDRRHLRSKEIYEKLEDLEDEMVEAGYVADTSYVLREVGGEEKSMAIRYHSERLAIAFALITFKDKRPIRVMKNLRICGDCHTAIKFMSKCCGRVIIVRDNNRFHRFEDGKCSCSDYW >cds-PLY98046.1 pep primary_assembly:Lsat_Salinas_v7:2:20091767:20093278:1 gene:gene-LSAT_2X11461 transcript:rna-gnl|WGS:NBSK|LSAT_2X11461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDQIPFHIQEDIIKRLPVKPLIQFRSVSKAWKSLIDSSEFIAAHSALHTHPQHLLVKYEDLVETEDKYVSFIDDESLLQQRFVPFVPLSVKSLKESIIVGSDHGLLCLYGFHWGPGNSHRNLNYSSTVLWNPSVRKSIVVDMPYILCSGEETRVGFGVCPITNDPKIVEITELRFWVDKESEISKPWKVKVYTLSSGKWRNVSSNLPNRSIRVRWSQVVIDRFIYWRAFQKSTIHTRNLIISFDITDENFRVVDLPDSLAEHSQTELSVCKLRESLAMLQYRTDIGKHVCVVWMMEHGVQRSFTKLFSISAPHGSMTILGFRKSGQPIIEVKDDLSELSDLAVYEPNSEVQINDLEICGRNNLFSVNSYMETLLLLV >cds-PLY92717.1 pep primary_assembly:Lsat_Salinas_v7:7:4871463:4872466:-1 gene:gene-LSAT_7X4320 transcript:rna-gnl|WGS:NBSK|LSAT_7X4320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLEIDRLKNDLILLDDQKKTSEDLLNTKAKVEELTMKLSTLEAKTHGGDIDKAKLRMRLNGAQTRLDGMRVRCKEEMKEKEFMNKKFEEATNKLKEQLVCCRIENMKLKKHLLLKE >cds-PLY74743.1 pep primary_assembly:Lsat_Salinas_v7:6:119168093:119169557:-1 gene:gene-LSAT_6X71221 transcript:rna-gnl|WGS:NBSK|LSAT_6X71221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASCQLRTTPYPWLKELKSEERGLCLIHLLLTCATHVTSGNFDHANLALDQISHLASPEGDTMQRIASYFAEALANRILKSWPGLYKALHSTKISTGNDDILFRKSFFDCFPFLKIAFVIANQTIIEAMEGEKMVHVVDLNAVEPTQWRALLHEFAGRPGGPPHLRITGIHHQKKVLDHMASTLTEEAEKLDIPFQFNPIVTKLDDLDIDKLKIKTGEALAISSILQFHLMLTKFDKTARKSPPISKTEDSFTSCTVSSTKLDRFLNRLWGLSPKIMVVAEQESDHNQSDLMERLSESLYFYAALFDCSESTLPRTSPERLKIEKMFGEEIKNIIACDGEERIERHEKLDKWIQRLSLMGFGPVTLSYYGLLLAQRLIHGSSCNGCRIKEKNGCVVICWQDRPIFSVSAWNSRR >cds-PLY78395.1 pep primary_assembly:Lsat_Salinas_v7:9:10047908:10048237:-1 gene:gene-LSAT_9X7801 transcript:rna-gnl|WGS:NBSK|LSAT_9X7801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFFFDIPKLMNKNGGLLKQKWRFTVSLLSRSDEENKRGKAITFKLEWWLDREGRRKQYSEVAMVHSSGNNEVVVSNGWLAIAALLSRSLSIWQHTTGKTRKEERVWWW >cds-PLY91049.1 pep primary_assembly:Lsat_Salinas_v7:2:54909457:54909908:-1 gene:gene-LSAT_2X24820 transcript:rna-gnl|WGS:NBSK|LSAT_2X24820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPRKQGEFESVHRDLIVGMGKWEFDPMELENPFPNNEGSVHLWMGDQDRFVPVKLQRYIAKKLPWINYHEITGGGHLFSATDGMTDTILTTLLTVKD >cds-PLY93997.1 pep primary_assembly:Lsat_Salinas_v7:2:71221439:71224994:-1 gene:gene-LSAT_2X31840 transcript:rna-gnl|WGS:NBSK|LSAT_2X31840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVIDSKGELMMLAHAASAQVAEQVSGKDQHLLTFITNRYGTSGGYPSQQGIIRDAQASPDHLSQRIDIDTSQLVAFGRSLGGAVGVVVTKNNLDKPGDAKSVTLVKIGGMQVMESIHAIADSPVTDSNVKTVMESIRARGFGNSKDTSTKLRYQKLFLLPGDAKSVTLVKIGGMQVMESIHAIADSPVTDSNVKTVMESIRARGFGNSKDTSTKLRYQKLFLLVFLYKQ >cds-PLY99926.1 pep primary_assembly:Lsat_Salinas_v7:7:16363432:16366729:1 gene:gene-LSAT_7X14100 transcript:rna-gnl|WGS:NBSK|LSAT_7X14100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCASEQTFSGMRRACDFSGEKKPKSSLVIADSKKNKEPESSGLQIQEEKEEEDDDENFEIIWSGESSMCTRNLKHYQAFTKNGTTISVYSFVFILAGEGGYHIGYLEDMYENYRQKKMVKVRWFHRSEELSELISNPQPHELIITSHCQILSAECIDGLATILTPKHYNQCLAFVTQDFSDGIYMCSKQIKNDQISSFPFSKLRGYYTQIIFSVLNLPIGHNKNNQETSSKREEEEKGKEEEKGKGKEKEEGVQSFKQGVTISDNEVEKTQPFCVGEEIEVLSNDSGIRGCWFRCKILKISEKGLKVLYMDVEDAAGPGNLEEWVLAYKIAAADKMGMRWLGRNYIRPACPIMESSDDDFKIGSAVDAWWCDGWWEGVLIGINICGKNDFQVYFPGENRLHYFEKMNLRASKEWVDNKWIEIKPKPDIVSFLTSNLKLQKKSSLGGPSGSGSGSGSSSNTGANNVVMKKKKKKALMGFSKPKHSKMGGKW >cds-PLY66663.1 pep primary_assembly:Lsat_Salinas_v7:1:53571542:53574614:1 gene:gene-LSAT_1X46700 transcript:rna-gnl|WGS:NBSK|LSAT_1X46700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLENLDTLNSSGTDDKQGTENREDLDSRASGTKTNGGDSSDNEATSSVNDKGIKRSAGGDIAPTTRHYRSVSMDSFMGRMNFADESPKLPPSPGGHIGQLSPNNSIDSNSNTNTFSLEFGNGEFTGAELKKIMANEKLAEIALSDPKRAKRILANRQSAARSKERKMRYITELEHKVWQDLLVVRSLVFPPTDEDSETWLKFASLCRKSSRISQAKSTLIKLLQLHGVEAMCAELMQLHAGIKELTSTRQKLTSQVQETLDVVQDIDWVISLGNAFAKQYELYTYDDEHSALLHRFLNCDSPLQLSECRLILVLD >cds-PLY73263.1 pep primary_assembly:Lsat_Salinas_v7:8:212374521:212377321:-1 gene:gene-LSAT_8X132741 transcript:rna-gnl|WGS:NBSK|LSAT_8X132741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDRYFKNFMSDFVQEKATVPGIDEDKQEDSLLKLLALPYPTLSEKLKRAALDLKETIVVKTWGFTGQDIKDFSLYRGSLGTAFLLLKSYQVTNNKNDLDLASEIIKACDSSSSSSRVVSFLLGRAGVCALGAVVAKHQGNEEMVDYYLTQFKEIKLSEDVLDEVIFGRAGYLSACLFLNKNLGHETIPSSHTGVVIDKMIENGRKLGSKGKCPLMFEYKGERQWGVAHGLAGILNVLMHFELKSDVVLDVKETLKYMIKNRFPSGNYPKQEDMKSDLLVYWCHGASGVALTLVKAAEVFEDKEFLEAAIDASKVVWDRGLLKKVGICHGISGNAYVFLSLYKMTGSVEFLHKAKAFACFLLDKSHKLISEGEMHGGDNPYSLFEGIGGMAYLFLDMTDPTNAKFPTHEV >cds-PLY81674.1 pep primary_assembly:Lsat_Salinas_v7:2:25342651:25346759:-1 gene:gene-LSAT_2X14601 transcript:rna-gnl|WGS:NBSK|LSAT_2X14601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCGWEESVRRLYDVTVVPPSSSSVKRWVVRVILERILKVHLKACTGQFVGMFTNLNGAWNAYVDIQALAHVVELTRQGAVDSLKFAKGDLFQAFQNEVCRMRLDITMLDELIHEYCVYRGIVSSGAPNPSCEGMKIGHEPSESESALSVEARSGSNKLVDADMDSPGTEERYPCGTMSNNHEDCSTSGNK >cds-PLY83598.1 pep primary_assembly:Lsat_Salinas_v7:5:18486983:18490773:1 gene:gene-LSAT_5X9361 transcript:rna-gnl|WGS:NBSK|LSAT_5X9361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSWDEWVELALSKLESMKVLRSLRPIHYSSYPFEIKPKIDHAFKVFDDIRQWDRASVEIEIAESTFNRWLLDVPSSGDDLTCDNADLEGESGSFSKQLRKLLLFSGNDYLGLSSHPMVAKAATKAVQEHGMGPRGSALICGYTTYHRLLESCLAESKNKEDCLLCPTGFSANMAFMTVVGYVGSLSDINKTHLKEGVAIFSDALNHASIIDGIRLAEKQEIVQVFIYRHCDMAHLNVLLSNCMMRKKVVVTDSLFSMDGDFAPMVQLSKLRKKHNFLLVVDDAHGTLVCGKSGGGVPEEFGCSDDVDICIGTLSKAVGCHGGFIACSKKWKQLIQSRGRAFIFSTSTPVPIIAAAHAAVVVAKKETWRRKAIWNRVQDFRSLTGIPITSHIISLIVGSEDQALQASRHMLKCGFHITAIRPPTVPPNLCRLRITLSAAHTRNDIRKLTSALSQCISLRESGYFYTNATPKL >cds-PLY63978.1 pep primary_assembly:Lsat_Salinas_v7:4:371625139:371625336:-1 gene:gene-LSAT_4X182941 transcript:rna-gnl|WGS:NBSK|LSAT_4X182941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESPIEVESVKEPNMEPPIMELFSEALGGSSDSEGSGDSSDSDDTDFIMDEDNLLDDPEVDMHDF >cds-PLY97168.1 pep primary_assembly:Lsat_Salinas_v7:2:205895704:205902851:-1 gene:gene-LSAT_2X126780 transcript:rna-gnl|WGS:NBSK|LSAT_2X126780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKQTQPRRAQATVGNNGVSKEDFCNPDTSPALKTEHSGNNNPFYIEVDRDNWVSKEHYDISEVILTNLNVSEECYDRKYEDQNFINEEKYNFRFRLNGVIKPLHIPVLSTSDIYLEFIEKRVDMEAHVMVTGNFDGPSEGVSGLVHLVSMKFLTLRPTTGLTFSGNLSSIRLRVEIQKCTFEGSESFFGTTRQIWKKSMMNVMAWLRPEVTTSEARYGYKVPEDMEIGLQLNENTKGSRDNPMLMDDLPDLLPELRPYQRRAAFWMVEREKGTFRHLRESQQSQSVSPLCVPVDLVDSCFKIYYNSFNGSVSMNLENCSSYVVGGILADEMGLGKTIELLACIFAHRKSDIDADDIRNKTIQVAGEQKSNIKRMKKERVECVCGAVSESSKYKGLWVQCDVCDAWQHADCVGYQYKETNSEPIKDSESLNGDSRKRKSYKGSKVVICDGAHICPFCLELSQATGSPVPTGATLVVCPAPILPQWHAEITRHTSPGSLKVVVYEGVKPSSLYNNTTSVTKIDELINADIVLTTYDVLKQDLSHDSERNIGDRRLLRYQKKYPVIPTFLTRIFWWRICLDEAQMVESNAAAATEMAMRLPCMHRWCVTGTPIQRKLDDLFGLLRFLKATPYDVFKWWVDVIRNPYEMGDAGAVEYTHNLFKQIMWRSSKSHVAEELHLPPQEECLTWLSLTPIEEHFYQRQHETCLTYAREVIQSFKSSIPEEEASGNDSSDSFLTHVEAAKLLNSLLKLRQACCHPQVGSSGVRSLQQSPMTMEEILMVLVGKTKVEGEEALRKLVVALNGLAGIAIIKQDFPQAISLYKEALELAEEHSEDFRVDPLLNIHIHYNLAEILPLTTNSIQKFNSGEQNPGSCEGNMCKTCDEDRPFSLSSCTSYQSLQITCNNLKQKFLSVFNSRLSMAQLEFRKSYDLVSNGLKDRRHQHTAWWAEALQYIEQNKDSSTDFIRKIGDAVSETLNTSRTSRLASRFQSITALKYHIQSGLEKLEDSRRTLLDRLLEVDQSMENPSIEDVERVRYCPKCQVNGEGLICVHCELDELFQAYEARLFRFNKGHGGGVISSADEAVDLRKKVSALNRFYWTLSQPDKAPPPSSNEDEGKKRDVGEKVMVSKSPSELEVVLGVIKSYSKAQLGKEAMSAARKHLSLLEGMRKEYGHARSLALAQAQVLNAHDEISMATSRLRLRENEDDKSIDALSLEELETANVENSSEKFVALSSLLRVKGQLRYLKGLVQSKQTVDDPSHEVMMNSNTNLVKEGLGDDMCPVCQDKITTQKMVFQCGHLTCCKCFIGMSERGMSQADKKWMMCPTCRQPTEYGNVAFVDDEQKPPDVSVTAFKTSEASVTVNGSYSTKILAVTRRILCIGSTNPTDKILVFSSWNDVLDVLEHAFTANDISFIRMKGGRKSQVAISEFKGEKVDVKDGVNKKMKMKMKQRERNSIQVMLLLIQHGANGLNLLEAQHVILVEPLLNPAAEAQAISRVHRIGQTKKTLVHRFIVKDTVEESLYKLNKSRDGGSFISGNKRNQDQPVFTLKDVESLFKVSPSTIQQQKNQDNKDSDLMHLPPGVAAAIAAERRLMDQTKQVDEAGRGPS >cds-PLY74061.1 pep primary_assembly:Lsat_Salinas_v7:9:10933114:10934282:1 gene:gene-LSAT_9X9661 transcript:rna-gnl|WGS:NBSK|LSAT_9X9661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATEEPIVATEPTEVEPEVADAATEENPPVVADAKETKAKKAKKPSASRKPRKPSQHPTYFEMIKEAIVTLKERSGSSTIAIAKFIEQKHKNLPATFKKTLTVQLKKFVASGKLVKVKASYKLPSSKASTGSAPAKKKAAGKPAAKKAPAKKKPAAASKSKAPAKPKGKGKAKPAAKAKPAAKAKPAAKAKPAPKAKAAAPAKTKSAAKPKAATKPAAKVAKTSTRTTPGKKAAAPKPAAKKAPVKKAPAKKAAATKKAGATTRKTKK >cds-PLY65404.1 pep primary_assembly:Lsat_Salinas_v7:1:60780481:60782554:-1 gene:gene-LSAT_1X51641 transcript:rna-gnl|WGS:NBSK|LSAT_1X51641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKAKVDYEKIVRKTCKEIGFTSPEVGLDADNCKVLVNIEQQSPDIAQGVHGHLSKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNKTCSWLRPDGKTQVTVEYKNDNGAMVPIRVHTVLISTQHDETVTNDQIAKDLKEHVIKPVIPSKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVAEPLSVFVDTYKTGKIPDKDILVLIKENFDFRPGMMSINLDLKRGGNFRYQKTAAYGHFGREDPDFTWETPKVLKPKA >cds-PLY76759.1 pep primary_assembly:Lsat_Salinas_v7:7:60829422:60830957:1 gene:gene-LSAT_7X44460 transcript:rna-gnl|WGS:NBSK|LSAT_7X44460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYVEASVKGTFGYLDPEYFYTRKLTRKTDVYAFGVVLFELLSGRLAVDERNGEEECSLVRWAQKCVKERKLDQMIDANIRGTIFPKCLRGFAQIADRCLRSVLKERPTMAEVVVSLQALLELHCRHENPAEGSGITGFTWKIHKYLVSTSKHNSGVVAGHPLVTKMKRAAGIAQEIFFLHKTKDEVGAACSREGTVSESLFDRHKIFMDEVQSDLFHYKH >cds-PLY69461.1 pep primary_assembly:Lsat_Salinas_v7:6:121821644:121823738:-1 gene:gene-LSAT_6X73320 transcript:rna-gnl|WGS:NBSK|LSAT_6X73320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGLFSRFSVSRNGHRRSQSALDEREVVAEDTEAIETTGNVTGFMSTSHHGIEVAVEFKPVEHPIEPLDLDQPIQCPLPEPSILNDGRIWKERVSAGVQKRVDLPGMHDETGGPPEPETPRSKSRPRTNRMILPSISAPEHNILKLLEESGM >cds-PLY91285.1 pep primary_assembly:Lsat_Salinas_v7:7:188738768:188740338:1 gene:gene-LSAT_7X112441 transcript:rna-gnl|WGS:NBSK|LSAT_7X112441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTQKQQLNNNSNYSREVVDEEENVPLPGFRFHPMDEELVGFYLRRKIEKKPISIELIKEIDIYKYDPWDLPKGSNAGEKEWYFFCRRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSGDGSVCIGLKKSLVYYRGSAGKGTKTEWMMHEFRLPPHSDNQIDDKSIAPEAEVWTLCRILKRSPCYKKTLPEWREVATRKSPPVVDTSSGDSDYDMQSYISFQAPVINDNKPFANNHLPQQRYGTTNQLIMGQVTRSTLTSEPPSTTASCSSFSGLDMNEFIKHGDWEDLRAAVDQFSTADPFIFM >cds-PLY66731.1 pep primary_assembly:Lsat_Salinas_v7:4:79975980:79978139:-1 gene:gene-LSAT_4X54601 transcript:rna-gnl|WGS:NBSK|LSAT_4X54601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMALQEMDLEQKKQTRFNTKHFVFACAVFASLNSVLLGYDVGVMGGAIIFMQQDLKISEVQVEVIVGILNIVSLIGSLAGGKASDTIGRKRTMAFGAFIFQFGVIVMTIAPNFGILLIGRLVAGIGIGFAVLIVPIYIVEISPTTSRGLLTSFPEIFINFGILLGYVSSYVFRGLPVNINWRIMLGIGIIPSVFIGFALLVIPESPRWLAMNNRIDEARLVLLKTNDNEMEIDERLNEIRKVALNANDARLVWKELVNPTPGVKRMLIAGCGIQCFQQITGIDAIVYYSPMIFKSAGITGNSRLLGTTMAVGFTKAFVIVVAIVLIDRIGRKPLLYVSTIGMTLCTLSLGIAFSVLKNSSIGMESVVVFVCGNVGFFSIGIEPVCWVLSSEIFPLRLRSQASAIGVVGNRVISGIVAMSFLSIARRITMGGVFFLFAIISGFSVLFVHKCVPETKGKSLEQIETIFQSARRELEIEEQRLVMSKSKY >cds-PLY89113.1 pep primary_assembly:Lsat_Salinas_v7:4:145736158:145738845:1 gene:gene-LSAT_4X89221 transcript:rna-gnl|WGS:NBSK|LSAT_4X89221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDAASTSTITSRINTSITDISHDHLFSILLLLPLESILSFAMTCKKTTRLTQSHTLWKSICTRDWGHSTVDALVNSSSSPLSIDWKRLYQRLRNLDRVACYTLTDSETSPGPRASHSLNFFSGCLVLFGGGSEGGRHLDDTWVAYIGNSFRRKMNWQRVISEGPSGRFGHSCVVIGNCLVLFGGINDSGIRQNDTWMAQVETTTGALSWRLLDVGPITPPTRGAHACCLIDNRRMLIHGGIGLSGMRLGDTWVLDLSENLCFGTWHETVSHHDPVPSPRSGHTLTYIGGSRSVLFGGRGIGYEVLNDVWYFDSSEGHLKWVPIVFELRSIPNGLSLPRVGHSATLILGGRILIYGGEDSYRHRKNDFWLLDISQGCMWKRLKSEGYQPKNRSFHRACADESGRYLYVFGGMVDGILQPAEPSGLRFDGELFVVELVL >cds-PLY67120.1 pep primary_assembly:Lsat_Salinas_v7:5:292234955:292235338:1 gene:gene-LSAT_5X152780 transcript:rna-gnl|WGS:NBSK|LSAT_5X152780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIAKVIREEQAGRFHEFGDSDEDDFRFSLDLSEEGVSAKEIDSRGWTVFPLFNRDLLIKVEVKSKDNEIHASDSITSSLQKLFIDEPEESSCSSSEADKLEALPSGIFCVWRPKTESGSSPIMHVE >cds-PLY62863.1 pep primary_assembly:Lsat_Salinas_v7:9:130483504:130484829:1 gene:gene-LSAT_9X84621 transcript:rna-gnl|WGS:NBSK|LSAT_9X84621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDKLWEEITCYFEVHESGKQFVMNRLGILLRNFKRKLYADYIKPHLGNPKKLEKIPVRYRALITEQDDWKKFVTYTQSQEFNNVSQRTIKARKMSKYAHRMGRGGYTTLRRKLIEENVISKEEMPPRSVIWCKGCERTDAMTLVFVKEKGEFLKGVGTGVTYNRYFNVPRSKGSSKEEIKDLKVALHNGKLELEKKYVELKALSTKVNEQDQTLKLVLAYPNAKGVDFPNLSHIIGISSEKIVQSNEMSPISQNQ >cds-PLY96170.1 pep primary_assembly:Lsat_Salinas_v7:8:101639883:101643388:1 gene:gene-LSAT_8X69380 transcript:rna-gnl|WGS:NBSK|LSAT_8X69380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLKSVESVFTIMILLSVSIIGKSEVYIVTIEGEPVISYKGGVNGFEATEKLDVTSDLVTSYSSHLEQKHDTILDTLFDDGNYKKLYSYKHLINGFAVHVSEEQAEMLRRAPGVKSVDKDWKVRKLTTHTPEFLGLPTGVWPTGGGFDRAGEEIVIGFVDSGIFPYHPSFENQNTEPYGPIPKYRGKCEVDPDTKKSFCNGKIVGAQHFAEAAMAAGAFNPEVDFASPLDGDGHGSHTAAIAAGNNGIPVQVQGYEFGKASGMAPRARIAVYKALYRNFGGFVADVVAAIEQAVHDGVDILNLSVGPNSPPATTKTTFLNPFDATLLSAVKAGVFVAQASGNGGPAAKTIVSYSPWIASVAAAVDDRRYKNHLTLGNGKILAGTGLSPSTGPNKKFTMVAANDVLLDSSAMKSSASDCQRPEVLNGIMVKGNILLCGYSFNFVSGSASIKKVAETAKSLGAIGFVLAVENVSPGTKFDPVPVGIPGIVITDVSNSMELIDYYNVSTLRDWTGRVKSFKGTGSIGNGMEAILYKSAPMVALFSARGPNIKDYKFQDADLLKPDILAPGSLIWASWSPNGTDEPNYVGEKFAMISGTSMAAPHISGIAALIKQKHPDWSPAAIKSALMTTSNTLDRGYRPILAQQYSGAETLTFIPATPFDYGSGHVNPRAALDPGLIFDAGYEDYLGFLCTTPGIDSHEILNYTHQPCNYTLGHPYNLNSPSVAISHLVGTQTVTRTATNVNEEETYTITSKMGPAIAIETSPPAMTIGPGASQKFTVTLTVRSASGAYSFGEVLLKGDRGHKVRLPVVAMGYDR >cds-PLY63240.1 pep primary_assembly:Lsat_Salinas_v7:9:169331698:169332968:-1 gene:gene-LSAT_9X104441 transcript:rna-gnl|WGS:NBSK|LSAT_9X104441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKVIHFLFCILISNSILSLAQEDCSSYNFRNNEIYATCVSLPVQNSNLHWNYHPTNGTVDVAYRHTGVSTSTWVAWALNLNGSGMLGAQALVALPNSNGSVQGYTSAVTSYGTGLQQSPLNFAVPAIRAERLNGDVLIHATLVLPGGRTSFNQVWQSGPVSNGAPGAHALGSENRNSLGTVDFITGQTGAGAAVGGSLLHRRNTHGVLNAVSWGILMPMGAMVARYVKVFKVANPAWFYIHIACQATAYGVGVAGWGTGLKLGSDSEGIKYTSHRNIGITLFVLGTLQVFALLLRPKPDNKYRKYWNIYHGGVGYTVIILAIINVFKGLDILDPEKKWKHAYIGVLISLGAIAAILEAFTWFIVLNRKKEEKQVNGAHGANGYGHSHGQPA >cds-PLY95740.1 pep primary_assembly:Lsat_Salinas_v7:5:248748173:248748412:1 gene:gene-LSAT_5X123800 transcript:rna-gnl|WGS:NBSK|LSAT_5X123800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHWNNILLLLAMFTRILNISRAQNSPQDYVDAHNQARALVGVGPMTWDSRVASFAQNYANQRRGDCQLVRESCLWVRV >cds-PLY66013.1 pep primary_assembly:Lsat_Salinas_v7:5:107841520:107847457:-1 gene:gene-LSAT_5X45600 transcript:rna-gnl|WGS:NBSK|LSAT_5X45600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRYAILLLLAPLIVAVVHARVSADDFIRLPSDKLGSVAVEDDSLGTRWAVLLAGSNGYWNYRHQADVCHAYQILKKGGIKDENIVVFMYDDIANNEENPRPGVIINSPDGDDVYHGVPKDYTGEDVTVDNFFAVLLGNKSAVKGGSGKVVSSGPNDHIFIYYTDHGGPGVLGMPTNPYMYANDLIEVLKKKHASGTYKSMVFYLEACESGSIFEGLLPEGLNIYATTASGPDENSWGTYCPGDYPSPPPEYDTCLGDLYSVAWMEDCDVHNLRTETIRQQYHLVKERTSNGNAYYGSHVMQYGDLQLSNDEVYLYLGANPKNQNFTFVDGKPLTSRSRSSSKAVNQRDADLLHFWHKYRKAPEGSVRKMESAKQFAEAMSHRMHIDSSIKLVGNLLFPMESKLLPPAPAGQPLVHDWDCLKTFVRSFETHCGSLSQYGMKHMRSIANFCNAGITKDQMMEASSQACPTFPSNSWSSLTNGFSS >cds-PLY72627.1 pep primary_assembly:Lsat_Salinas_v7:6:48015890:48020156:-1 gene:gene-LSAT_6X35001 transcript:rna-gnl|WGS:NBSK|LSAT_6X35001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSQAVHDGGRHVLGVIPRTLMPREITGETVGEVKAVADMHERKAEMARQADAFIALPGGYGTLEELLEVITWAQLKIHHKPVGLLNVEGYYNSLLSFIDKAVDEGFISPTARRIIVSAPTAGQLVRQLEEFVPEYDEIAAKLTWDEVDRLNYVPEAGVPT >cds-PLY76958.1 pep primary_assembly:Lsat_Salinas_v7:6:66046429:66051466:-1 gene:gene-LSAT_6X47280 transcript:rna-gnl|WGS:NBSK|LSAT_6X47280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal P1B-type ATPase, Cu-transporting ATPase, Control of Cu accumulation in rice grai [Source: Projected from Oryza sativa (Os02g0196600)] MEGNANEDLIIPFLQQSNGETVKEAMEVAGFQIAEFLEQDIAVCRLKIKGMSCTSCSEAIESSLLIVEGVKKAVVGLALEEAKINYDPNITNTNQIIEAVVDAGFEADLIGPEIDANKVHIKLEGINFISPQDMASIKQSVESLNGVNHVETNTEECRLAIGYDPNFTGPRSIMSFISANYQASLYIPERKRDTERNHEIKVYRNLFLWSCLFSIPVFIFSMVLPMIPPYGNWLSYKIHNMLTIGMFLRWILCTPVQFFIGRRFYVGSYHALRRKSANMDVLVALGTNAAYFYSIYTIIKALFSDKFEGQDFFETSTMLISFILLGKYLESTAKGKTSAALAKLTDLAPDTACLLTMNNEGNILSETEINTRLIERNDVLKIFPGSKFPVDGIVINGQGYVNESMITGEATPVVKNPGDRVIGGTVNENGCLLIKVTHVGSETALSQIVQIVEAAQLARAPVQKLADQISKFFVPAVVLAAVVTWMGWFILGVAGIYPKSWIPKAMDEFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALEKAHKVNTVVFDKTGTLTIGKPEVVSAVLFSRISMEEFCDTAIAVEANSEHPIAKAVVKHAKNRLQNHKSQTTNSAAVKDFEVHPGAGVGCKVGSKTVLVGNKKLMRSFNVSLSPEVETYFSDNENLARTCVLLAIDGKVVGAVAVSDPVKPEAARVVSFLRSMSIRSIMVTGDNWATATAIAKEVGIENVFAETDPLGKADRIKDLQAKGMVVAMVGDGINDSPALVAADVGMAIGAGTDVAIEAADIVLIKSNLEDVITAIDLSKRTISRIRLNYVWALGYNVLGVPVAAGILFPFIGIRLPPWLAGACMAASSVSVVCSSLLLQTYKKPFAYTR >cds-PLY85762.1 pep primary_assembly:Lsat_Salinas_v7:1:47600070:47613259:1 gene:gene-LSAT_1X41161 transcript:rna-gnl|WGS:NBSK|LSAT_1X41161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMKTGDNYTNHEDGIDVAYDHSKASTTRGNISSPFGDFFSSKSAEDHFTRKLTIDYGYKSEKKPGIHKIFSSKADAWMGKKTSAWSHKGNVRVESFDTIFGRFGWQRLDIIYEQEPCLQMSSYASSKLDLKLLETTNKSNNKIEALGLLFSSLHVSRSITSNSNSSSNITSIKNNAIIKVERETDSFNYEIVWEDLITKEQIGQGSFGTVYRALWYGSDVAIKLFEYQEYPDDVMVSFKQEVSIMKKLRHPNILLFMGSVTSTPHLCIVTEFLPRGSLFRILQRNTTQLDWKRRLHMAMDIARGMNYLHRCNPPIVHRDLKSSNLLVDKNWTVKVGDFGLSRVKHHTYLKTKSGRGTPQWMAPEILRNEDTDEKSDVYSYGVVLWEITTGKIPWNDLNPMQVIGAVGFMNRRLEIPKDVDPLWAFLIESCWCRNVSIRSSPDLDISTNTSKVDQSISFSVMEINFSFNFSHQRQVQADQIQLY >cds-PLY80299.1 pep primary_assembly:Lsat_Salinas_v7:3:209810408:209812042:1 gene:gene-LSAT_3X122761 transcript:rna-gnl|WGS:NBSK|LSAT_3X122761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGIAAMDEQSNAVLAAKMSRSHRIRVSNTKKPFIFYLNLAKFRIFTLHDPFTNVNNSRSYLTIEFTVSVFARVSNIQFQSRNDFSSIPTVVVISEILKGNGIATQKMISISTIKTKDEFTGKSMQKAKIEIVLGKNEESDKPKAKGITLKKRNPKPKITFNKEKMNETVTSPEITSSEFQDESVSGKDDEKNVESVIGFVSLSLSDSSETVESETDVKNQENDPMIESEVLEEKVDMQ >cds-PLY76644.1 pep primary_assembly:Lsat_Salinas_v7:4:116660302:116664161:-1 gene:gene-LSAT_4X73880 transcript:rna-gnl|WGS:NBSK|LSAT_4X73880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIENSTADDSSATMAEVLPLASISQQPYVSELLSFTLDRLHKEPELLRVDAERIRRQMQEVAVNNYRAFISAADALVSTRHEVSSIDKHLESLIAEVPKLTSGCSEFIESAEKILEQRKMNQTLLANHSTLLDLLEIPQLMDTCVRNGNYDEALDLEAYVSKLSTMHPKLPVIQALAAEVRQTTQSLLSQLLQKLRSNIQLPECLRIIGYLRRIGVYSEYEMRLQFLRCRESWLCGILDDLDQRNAYEYLKGMVNCHRMHLFDVVNQYRAIFADDTSGSEENYDGGLLFSWAMHQIASHLKTLKIMLPKITEGGSLSNILDQCMYCAMGLGWVGLDFRGLLPPLFEEAVLNLFSKNMNTAVENFQLVLDSHRWVPLPAVGFSSNSVGDESQEDITPPPSLMEHSPLAVFVNGVSAAMNELRPCAPISLKHILAQELVKGLRTVSDSLLRYNTTRVLRENESVLFLSLCRAFIEVAYPHCGTCFGRCYPGGASLITDGKYVLEGLSRLLTTSSLRHLPKRVQNNANATGETKNVAENGNPPAAVENGSSVTDIVSVTTDGKEMENNNVVEEDDKNMVPQTHEKQSEQ >cds-PLY98435.1 pep primary_assembly:Lsat_Salinas_v7:3:228837937:228840382:1 gene:gene-LSAT_3X129821 transcript:rna-gnl|WGS:NBSK|LSAT_3X129821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAVPTPATTVSIAGNHHSRPPYSPTVPPFSSNFLTENFNFIDEKTANEYPYETNFGPVPSKIEVQNAISDLRRVMDVICATNSKSLGQNRLLDAYHLLQTDASVQKLVLSISSDIEVWDAILKNDEVRDLRRSLPYTANEEKDMGYKQELNSTSLLIKWIFSFMKLKITELIDRLEVFILERIQIVTRKSKSTSKVDDILEEKVRSSLLLSVVILLIVVITRSTET >cds-PLY90691.1 pep primary_assembly:Lsat_Salinas_v7:8:143326355:143327288:1 gene:gene-LSAT_8X96940 transcript:rna-gnl|WGS:NBSK|LSAT_8X96940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKSGCEGRNQVELRVYLMKRSLIWTLFFHALALLHQDKWFTWNELKEVYKCYIRFKCDPI >cds-PLY65589.1 pep primary_assembly:Lsat_Salinas_v7:4:269486853:269489743:1 gene:gene-LSAT_4X138241 transcript:rna-gnl|WGS:NBSK|LSAT_4X138241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G09820) UniProtKB/Swiss-Prot;Acc:Q5M755] MATKLLHPQTLTSHVLPFSIPSLPKMSRSHTQRPPSFNNSIQFFLPKSNFAIKVAEPSSNLVGANEDEDIATEESPVDYSTITRVKTDINKALEGYNRGIFGMPSEKKSEIEDMVKLLESLNPNPHPTLDLDNLGGCWKLIYSTITILGSRRTKLGLRDFISLGDFLQIIDIVKGKAVNVIMFNVRGLNLFSGKLIIEASFKISSKSRVDISYNTSTIIPDQLMNMFRKNYDILLGIFNPDGWLEITYPFKPHFFG >cds-PLY89955.1 pep primary_assembly:Lsat_Salinas_v7:2:192475899:192479456:1 gene:gene-LSAT_2X114381 transcript:rna-gnl|WGS:NBSK|LSAT_2X114381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G48850) UniProtKB/Swiss-Prot;Acc:P57720] MASTLLTKAFVGASSSCEPSRLASLRHSDLGSANLRISTHRRSPPKKLEIHAAGSTYGNYFRVTTYGESHGGGVGCIIDGCPPRFPISEADLQADLDRRRPGQSRITTPRKETDTCTIFSGVADGYTTGSPIMINVPNTDQRGKDYSEMSKAYRPSHADATYDFKYGVRSVEGGGRSSARETIGRVASGAVAKKILKAYSGTEILAYVSQAHTVVLPEDVVDHNTLTLEQVESNIVRCPDPEYAEKMIAAIDAVRVRGDSVGGVVTCIVRNAPRGLGSPVFDKLEAELAKAAMSLPATKGFEIGSGFAGTLLTGSEHNDAFYTDENGRIRTRTNRSGGIQGGISNGEIIYMRIAFKPTSTIGRKQNTVTRDKNETELIARGRHDPCVVPRAVPMVEAMVALVLVDQLMAQYAQCELFPINPDFQEPLKGPELYSAALF >cds-PLY95818.1 pep primary_assembly:Lsat_Salinas_v7:7:176834929:176835568:1 gene:gene-LSAT_7X104080 transcript:rna-gnl|WGS:NBSK|LSAT_7X104080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANHIRVVIIMLAITFPRFATSKPIILSSQPQWTVLNRLSGSHRRLVGDTIDIREEMMMESQSARRILAGRGYISYNAMQKNNVPCNQRGQSYYDCNSRGQANPYSRGCNVITRCGGR >cds-PLY83733.1 pep primary_assembly:Lsat_Salinas_v7:4:40866952:40868277:-1 gene:gene-LSAT_4X28260 transcript:rna-gnl|WGS:NBSK|LSAT_4X28260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALELSCSVHLFLIVSTTIPLCATAFSWPTLRQQQSQPYGVSMKLWCVAKNNADDTALQSALDWACGTGGADCAPIQQGGGCYDPADIRRTASYAFNNYCIKNGMTEDTCNFANTAALTSLDPSHSNCTFPSSSEGKSGEGTTAVGGGGGGGASTADLTSKGVNNGEHIKDLEDFIID >cds-PLY68488.1 pep primary_assembly:Lsat_Salinas_v7:2:214265864:214268980:-1 gene:gene-LSAT_2X135961 transcript:rna-gnl|WGS:NBSK|LSAT_2X135961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYAETGLMFPYFQNFSSDVQQFDDFCHSQKSITCLDNLIQTSTISDYDLGGEGDLFKAPEPIIEQPLISLDPMTSAIAMITCGEDTISPQELKVTDIESLQNEEFLNDVFYECKNILAQEAAAAATESSPLSEVLSFSFPVVATDENTVAKENVHPSCQIPKSMSADSLNSMDWIQGAQIKPSFLNFSELDFGNAYGMRRAFSDGDIKTLADANTNTGLIQYPLGLGQQQQPPLISERAIEDRMQKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIRGRFAKTDESEMLRK >cds-PLY61658.1 pep primary_assembly:Lsat_Salinas_v7:2:207906530:207910548:-1 gene:gene-LSAT_2X128681 transcript:rna-gnl|WGS:NBSK|LSAT_2X128681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHHHNHRPTCFFFFFFLCLPLSISSAATTLPLQLVSLLSLKSSLVDPLNTFKDWDPSPSLSKPGFEHVWCSWSGIKCDPRTFQINSLDVSNRNLSGTIPEAIKYLTHLHHLNISVNNFAGPLPTAIFGLTFLRTLDVNHNLFNSTFPPGISKLKFLTFFNAFSNSFTGPLPQELVRLRFLEHLNLGGSYFEGEIPKSYGGFQGLKFLYLAGNSLGGEIPAQLGLITSLQRLEFGYNGFSGELPTQFALLSNLSFLDISSANLSGDFPIALTNLTKLETLLIFKNNLYGEIPASIGNLQYVRILDLSNNKFSGNIPEEVSSLKNLMELSLMNNKFTGEVPRGIGELPALEFLFLWNNSFTGILPQKLGLNSKLQRLDVSSNSFSGPIPPNLCLGNKLVKLILFSNKLSGSLPSSLANCTSLLRFRVQNNRLNGSIPIGFGSLPNITFMEMSDNSFTGPIPADLADAAKLEYLNISGNSFDSGLPENIWSARSLQIFSASSSKLTGEIPKFQGCYNFYKIEIAGNELSGSIPWDIGHCMKLITLNLRHNSLTGIIPWEVSTLPSITEVDVSHNFLTGTIPSTFGNCSTLEGFNVSYNQLTGPVPSFGPAFSSIHPSSFTGNEGLCGGVLQKPCGTESETEIKPQQPKKTAGAIVWIVAAAFGVGLFILIAGSRCFRANYQRRFVVEEREIGPWKLTAFQRLNFTADDVVECLSMTDKILGMGGTGTVYKAEMPSGEIIAVKKLWGKNKETIRRRRGVLAEVDVLGNVRHRNIVRLLGYCTNRECTMLLYEYMPNGSLDDLLHGKNKSDNLMADWVTRYKIALGVAQGICYLHHDCDPVIVHRDLKPSNILLDGEMEARVADFGVAKLIQFEESMSVIAGSYGYIAPEYAYTLQVDEKSDIYSFGVVLMEIISGKTSVNSEFGDGNSIVDWVRSKIKTKEGTKDVLDQNAGASCGSVREEMMLLLRVALLCTSRNPADRPSMRDVVSMLQEAKPKRKSPENGVGTVVNGDAKPPPPPQKGTI >cds-PLY72921.1 pep primary_assembly:Lsat_Salinas_v7:9:194137618:194138349:-1 gene:gene-LSAT_9X118840 transcript:rna-gnl|WGS:NBSK|LSAT_9X118840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNHIFIVGVLFFLHFVQMVIGDAPLYHICSTTSGNFTRYSPYEHSLNKLMGELYYKTSPNGFGMGSMGQYEAHTSGLSLCRGDVSQKDCMTCVVNASAEIRRRCPSNKAGIIWYDQCLLKYSSNDFLGQIDNQNRLYMWNLNNVSDPSSFNAETKRLLSGLSNTAYNDPKMYAAGALDLDGLQKLYGLVQCTRDLSSVDCKTCLDGAISELPSCCDGKRGGRVLGTSCNIRYEIYPFAGV >cds-PLY63905.1 pep primary_assembly:Lsat_Salinas_v7:5:127332274:127336892:-1 gene:gene-LSAT_5X56941 transcript:rna-gnl|WGS:NBSK|LSAT_5X56941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G45890) UniProtKB/Swiss-Prot;Acc:Q7X6P3] MWVQCRELFMRLMLPEGFPNSVTSDYLEYSLWRGVQGIAAQVSGVLATQSLLYAVGLGKGAIPTAAAVNWVLKDGIGYLSKIFLSKFGRHFDVNPKGWRLFADFMENAAFGMEILTPAFPHLFVPIGAAAGAGRSAAALIQAATRSCFYAGFAAQRNFAEVIAKGEAQGMVSKSIGIMLGIALANCIQSSTPLALATFGVVTGVHMFCNLKSYQSIQLRTLNPYRASLVFGEYLLSGLIPSVKEVNDEEPFFPAFPLLSLKPAGKAEEHRVLSSEAKDAAATIEQRLVLGSKLSDIVKNRADAHSLLHLYTNQGYILTHHHGKFCVILKDICTPQDMLKSMFHVSYLYWLEKNVGLKSITTNDDCTPGGLLQISLEYVEREFNHAKHDGEVAGWVTDSLVARPLPHRIRVHS >cds-PLY74809.1 pep primary_assembly:Lsat_Salinas_v7:5:59044033:59047613:-1 gene:gene-LSAT_5X27561 transcript:rna-gnl|WGS:NBSK|LSAT_5X27561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFRDENEDGKDLRKPFLHTGSWYRMGSRQSSMMTSSQMIRDRSVSVLACVLIVALGPIQFGFTGGYSSPTQSEISRDLKLTVSEFSLFGSLSNVGAMVGAVASGQIAEYIGRKGSLMIAAIPNILGWLAISFAQDSSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNMRGGLGSVNQLSVTIGIMLAYLLGLFVHWRVLAILGTLPCLILIPGLFFIPESPRWLAKMGMTDDFEASLQVLRGFDTDITQEVNEIKRSVASSNRRAAIRFSDLKQRRYWFPLMIVFGLLVLQQLTGTNGVLFYSSTIFESAGISNSNVATFGLGAIQVIATGVSTWLVDKTGRRILLIVSSSTMTLSLIVVAASFFIKGFADDGSTTYTVMGILSLIGVVGMIIGFSLGMGPIPWIIMSEILAVNIKGLAGSVATLANWFICWVVTLTAPLLLAWSSGGTFTLYMVTSGITVVFVALLVPETKGKTLEEIQSSFR >cds-PLY62155.1 pep primary_assembly:Lsat_Salinas_v7:5:7191853:7192414:-1 gene:gene-LSAT_5X6201 transcript:rna-gnl|WGS:NBSK|LSAT_5X6201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIPPRFMFTTQLIGTLVVGMMNLAGLHPEIPWTSPKFKVTFDTIVIRGVIGPDRIFASRGVNLKCPTPFCIWLESAMSSRAAVAIYYGGRRPFQWLRLLCIGSESAEKVNVCGEGPDGGRNLWLRVVFLVGNSPPSLVVFSIQKKKKRRRGK >cds-PLY64875.1 pep primary_assembly:Lsat_Salinas_v7:3:17704237:17706844:1 gene:gene-LSAT_3X12700 transcript:rna-gnl|WGS:NBSK|LSAT_3X12700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein KINESIN LIGHT CHAIN-RELATED 1 [Source:Projected from Arabidopsis thaliana (AT4G10840) UniProtKB/Swiss-Prot;Acc:O81629] MPGLVSVKTPPRITIPEDSPSQNHQIRPTPERADSINTKTTSPAQRRPPSPSPSSSRAKPSPDRGSGKKKSPEKHSLLDESSLDNPDLGPFLLKLARDTIASGDGPSKALDYALRASKSFERCAVEGEPSLDLAMCLHVVAAIYCSLGRFEEAIPVLDRAIKVPEVSRGADHALAAFSGYMQLGDTHSMLGQLDRSIECYKEGLKIQMEALGDTDPRVAETCRYLAEAHVQAMQFEEADTLCKRTLEIHRVHSPPASLEEAADRRLMALICEAKGDYEAALEHLVLASMAMIANGQDNEVAAIDLSIGNIYLSLSRFDEAVFSYQKALTVFKASKGDNHPSVASVFVRLADLYYKTGKLRESRSYCENALRIYAKPVPGTTPEDIASGMTEISAIYESFNEPEEALRLLQKSMKLLEDKPGQQSTIAGVEARMGVMFYMVGRYEEARGAFESAVVKLRASGERKSAFFGVVLNQMGLACVQLFKIDEAAELFEEAREILEQESGPCHQDTLGVYSNLAATYDALGRVEDAIEILEYVLKLREEKLGTANPDFDDEKKRLAELLKEAGRSRNKKAKSLENLIDPNSKRTKKETTSKKWSAFGFRS >cds-PLY73708.1 pep primary_assembly:Lsat_Salinas_v7:8:170881039:170887433:-1 gene:gene-LSAT_8X112060 transcript:rna-gnl|WGS:NBSK|LSAT_8X112060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTTFVSRVFGTSPRKKPFYLLIISATGAAALTYHHTLNPHLLPSHSDRRSPLYYIATSLNGAVRSSRSLYTITANVVDYKYSLQGLSPDTDEYRRILSEVHLRSAKRILKLCEINQGFYIKAGQFVASMRQVPKEYSSTLASLQDQAVPCSFEAIKEVLISNLGSDLKEIFLSIDEKPIAAASIAQVHRALLKNHQEVVLKVQYPGLKDRMRMDIATMSLLSKCVTWFFPEYRFQWMVSEFSEVIALELDFIQEARNSVKTGMNFKHSSRIRIPKVFQELTTSQVLTMQYCKGRRVDDLEFIRDMGIDPKKVAKVLVEAFAEMIFVHGFVHGDPHPGNILVSPDEKQGFCLVVLDHGIYTSLDEEFRVNYCQLWKALILLDSHKIQQVGQHFGIGKYAKYLPLIFTGRTINSKAGFGNGMSVEEKTNLKQEVKSLTAGNISEFMESLPSQFLTVLRTDALIRSLTSKLGSPPRVRLLVFQSSRRESIGSRRRGGIEVMRSKILTDNEGRQEIWVIDDDIRAAALGLLDEKGE >cds-PLY87637.1 pep primary_assembly:Lsat_Salinas_v7:MU040392.1:812643:816025:1 gene:gene-LSAT_0X11681 transcript:rna-gnl|WGS:NBSK|LSAT_0X11681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSENAFFSASEFSLEAKWVIDPKLLFVGPKIGEGAHGKVYEGKYKNKIVAIKIVNKGDTPEEIAKIEGRFVREVAMLSKVQHKNLVKFIGACKEPVMVVVTELLTGGTLRKYLVNMRPNGLETRVAIGFALDIARAMECLHSHGIIHRDLKPENLLLTSDHKSVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSADDLPEDLAIIVTSCWKEDPNARPNFTQIIQMLLHYLSNNTQMGPTSIPPRIFTSQNTIFSPESPGTSTLMGAKDESVETPKTPVGNSPRGLFSCFYQCC >cds-PLY96565.1 pep primary_assembly:Lsat_Salinas_v7:4:368278387:368280284:1 gene:gene-LSAT_4X180741 transcript:rna-gnl|WGS:NBSK|LSAT_4X180741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEAPKEQLASTRQQIQPSQSTFCLPPVQVYPLFAAVGVAVGICGMQLFRNISGNPEVRLTKEKRAAGVLDNFDEGEKYAEHSLRKFVRNKNPEPNINSFFADPK >cds-PLY78994.1 pep primary_assembly:Lsat_Salinas_v7:3:10070703:10073327:1 gene:gene-LSAT_3X6660 transcript:rna-gnl|WGS:NBSK|LSAT_3X6660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVKLGANKYSERQPIGTSAQTDKDYKEPPPAPLFEPGELSSWSFYRAGIAEFIATFLFLYISVLTVMGVVKSPTKCGTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLLLARKLSLTRAVFYMVMQCLGAICGAGVVKGFQGKNQYTALGGGANVVAHGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKGHAWDDHWIFWVGPFIGAALAALYHQIVIRAIPFKSRS >cds-PLY90428.1 pep primary_assembly:Lsat_Salinas_v7:8:213114755:213116177:1 gene:gene-LSAT_8X132601 transcript:rna-gnl|WGS:NBSK|LSAT_8X132601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLTFNVSREWMPPFQLVTLQLDSCNIANGFPQWLRNQRKIEMLVLSNATVSGPLPTWLQKMPVIPFLDLSHNKLTGPLVNLPNGGNVNFFGQVFARGLLLQNNLFNGSIPRSLCTRTDLQYLDLAKNRLTGKIPNCFENLRNLVVMRFNSNRLCGVIPSSVALNSLKRLRLNGNNFTGELPRELGNLRDLQVLDVDCLEKYRVWIGGNLTSLIILRLHKNNFTGRIPRTLCKTPKLQILDVAYNNLRGTIPHCLGELAAMVRSEIEFLIDPDFDESLIQVMKGVELEYTKNLALLFNMDLSSNKLVGEIPDKLTALCMLVGLNLSNKHLSGGIPGSIGNLMALNSLDLSRNELIGRIPPSMAALTFLSHLNLSQNNLSGRIPTGNQLQTLIDPSIYMGNNDLCGPPLPKGCSNPEDPTTTTTTSKKKYEAADEDMVLREHNEWLWNRVLGCYWSIVVQEALETEAFHVC >cds-PLY98988.1 pep primary_assembly:Lsat_Salinas_v7:7:51693687:51694935:-1 gene:gene-LSAT_7X37541 transcript:rna-gnl|WGS:NBSK|LSAT_7X37541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIPMIDFSKLEGEERSETMALLHHACEKWGFFQIENHGVDKQLMEKVKKLVNQHYEEKMEKSFYNSEIVKSVEDKNKTTDIDWESTFFLWHRPNNKINEYTNLSEELRKTIDEYIDQLIKVAEKLSELMCENLGLEKDHMKMAFSGTKGPSVGTKVAKYPKCPHPELVRGLREHTDAGGIILLLQDDQVPGLEFLKEGKWVNIPPSKNNTIFVNTGDQVEVLSNGYYKSTVHRVMTDKDGSRLSIATFYNPNGESVISPAPKLLYPNRYTFQDYLKLYSSTKFEEKGPRFESMKNMVNGQ >cds-PLY98040.1 pep primary_assembly:Lsat_Salinas_v7:1:195435781:195437342:-1 gene:gene-LSAT_1X121641 transcript:rna-gnl|WGS:NBSK|LSAT_1X121641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDFWTARLAAAKRQFTMQHHHHHHHQSSHLDRLNVDDFEVEEEIRPDFPCPYCYEDFDIGSLCSHLEDEHSFESRATICPVCSAKVTRDMLSHITLQHGHLFKISFL >cds-PLY62782.1 pep primary_assembly:Lsat_Salinas_v7:4:28469312:28469608:1 gene:gene-LSAT_4X19200 transcript:rna-gnl|WGS:NBSK|LSAT_4X19200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVKISILLHLIFWLSLIFLIIFHVKSKSITVATTSPIHDHHALTNRKTLASNFDFTPFLKHHRHHNNSSELAGTSNIDPRYGVEKRLVPTGPNPLHH >cds-PLY61683.1 pep primary_assembly:Lsat_Salinas_v7:9:138128296:138130101:-1 gene:gene-LSAT_9X88600 transcript:rna-gnl|WGS:NBSK|LSAT_9X88600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKIIGDEDRIEERMIGSPSSDGKMVIKLKIPKLAAATEGEKSSEEDVIGSFSTSSSRIAKEGETMNQGKRVCPECKKEFSSGKALGGHMRVHVQAANKNTFLKHSSKTTKFNKDAYHDFNNGDENLKKQHQQQQEERKPYYASCVNDEGKPTCSLCGKIFPSMKSLFGHMRCHPERLWRGILPPPNTPTPTAVAPRRNLVRNKPSYFASSTSSSSLSENYVNEEGSNVVDDGGAGGDQVVDLTKFLRGWSVTERRGRRALKAADDDEVLLEAVEDLMSLAHADPSPAESDVTQRQLGVAPVVVLERSNSNSLTNKDHHKIDEKSPSIHLPVSTGKGKAAMVEEIEPVKMEFDGMIKNFSDNESDCRNTQHLLINYKYKNPFSNPSYNSPTKIKKRKKMKLMMELEQLPIVAGDPTLPPPLLLPLSLSIPEHHESKYKCTTCHKCFATHQALGGHRSSHNKTKLTSTTDHHHHHQTEPEDYESSLMNLSGEHVEKEVEFAADAMVTLGSNGILHQCKICDKIFPTGQALGGHKRCHWTGTIEAQAAPSSQITSTGEAASSGRRKGLDIDLNEFPPATMMEDEAGNVNGNGYASSSYNSNMG >cds-PLY77690.1 pep primary_assembly:Lsat_Salinas_v7:9:19915371:19917732:1 gene:gene-LSAT_9X18420 transcript:rna-gnl|WGS:NBSK|LSAT_9X18420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNYIPPDALKFRQDSHSRRAPIESPPRHHQVEDHIAVHHREIQSLLLDNQRLAATHVALKQELSIALQDLRRLSSVAGNVKAERDAEVREVYEKAVKMEAEARMTDELGAELIQVRGDVQKLSSERKELNEKLDKVHGDLAKERSKGHQVPMIKAEIEAMHKELSRGRAAVEYEKKVYASNLEQSQAMEKSLMSMAHEIDKLHKELADKRARAAAATATTTHGYGAGFVNHDMGYVGNAYTMHQGGVDPQYGSGALPNGPYEMQQHHMVDPQYGHGVVPHQPQGALAYDMQQQHPPNNASHG >cds-PLY87858.1 pep primary_assembly:Lsat_Salinas_v7:3:44038450:44040018:-1 gene:gene-LSAT_0X8841 transcript:rna-gnl|WGS:NBSK|LSAT_0X8841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVTFLFSVSWATSLSSSSSGDLISCLLSKSNNVTTVSQLIFTPVNASFLPIWQVAVQNTRFLKPSTRRPSVIVTPMEETLIQTCVYCAKKHGYEIRIRSGGHDYEGLSYTADVPFVMLDFTNMRSIDVDVAKRTAWVQAGATLGELYYKISRETDTLYFPAGVCPTVGVGGYMGGGGYGNLLRKYGTAADNVLDARFMDVDGNILDRKSMGEDLFWAIRGGGSSSFGIVLAWKLSLVPVPKKVTVFILNKTLEQGATEIFHKYQYVAPNIDRNLHIRTQVFAEYIGNTTKKTIRIMFEGIYQGTTDTLLPLLYRKFPELGVTREICEEIRMVQSTLVFWGLPSSTPTEILTNRSAIAKLNNKSKSDYTRAPIPIKGLKKIWRKLMQNDESALLMINPFGGRMADFSETAIPYPHRAGVFLQILKTVNFNGQPSDTTPTSLRRIAWLRSLDALMTPYVSNNPREAYSNYNDLDLGVGSANYKEASVWGERYWKRDNFKKLIRIKAKVDPHNFFRRPQSIPVF >cds-PLY64966.1 pep primary_assembly:Lsat_Salinas_v7:8:159719172:159720072:1 gene:gene-LSAT_8X106460 transcript:rna-gnl|WGS:NBSK|LSAT_8X106460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQDEPSPKPSPPQADTIPPSPSPITTVALHQGDKGESNSNFRTDVLCQLSLIVKLTQLMDKILTTFERDVATMNIVIALDDDDDMVVDDHPPNSPGDNPPLPSHPPPRTPSPLHNSPPLYDASKKGRIINRADFSQEVPQETNSDIDSNDGVQLNPRKRKVSFSKGVINYEVGSSYAVSDTSAPPKKKSRLIFYLNELEETWSLPIEEIKQIM >cds-PLY63996.1 pep primary_assembly:Lsat_Salinas_v7:4:13067000:13069339:1 gene:gene-LSAT_4X8860 transcript:rna-gnl|WGS:NBSK|LSAT_4X8860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSICSSFRAIIPQWTHTNKNFRYCLLLHCKRKHSFNFTPLTISSAFNDDSIPPTPNPKRSQMSYDPSEDLFGIYADLQPRKGLSSDSSPRSWFGPNGQYIRELPCPSCRGRGYTPCTICGIERARLDCSQCNGKGMVTCHQCSGECVIWEESIDERPWEKARSISPLRVKEDDEVDNLEIKLSVRKKSKRVYHSPSPEVGLKISKALKASFLNAKTGLFTNRMKLIHGNPKLHAQRVAAIKKSKGSVDARKHASESMKAFFSNPENRRKRSISMKGVDFYCKNCGRLGHRRHYCPEVDQTDRRFRCSLCGEKGHNRRSCKTNESNSDKTSFNPPCCSVCGKPGHNRRTCLELQEISPTTKTQGIKKSPVDLKKRGYTCRLCGGEGHNLRTCPSTNIS >cds-PLY69603.1 pep primary_assembly:Lsat_Salinas_v7:5:313848424:313850274:1 gene:gene-LSAT_5X172401 transcript:rna-gnl|WGS:NBSK|LSAT_5X172401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKWETDILSNSSSWMMEESLKSRIWTPLENKLFENALAKFDKDTPDRWQRVAEMVPGKTVADVMRQYKELEDDVSSIEAGLYPKYGYNNNTCPFTLEWGNNHGLNTSRSPPYGGGGKRSPVDVVAAGRPVEQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNYVVTRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNVNENQNSLPKNKRTSPEQCKFQWSHPGGGGGDAAMAFDQTNGSMFMSPRYKGNGGLHEFYGGSQSMVFQMQPAMHYPHG >cds-PLY94896.1 pep primary_assembly:Lsat_Salinas_v7:4:111323358:111324817:1 gene:gene-LSAT_4X70601 transcript:rna-gnl|WGS:NBSK|LSAT_4X70601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKIRADFPHNGIDFLNSRPTGRFSNGFNSADFLSKLMGRQRSPQPYLFLQKAGLTNRMFSGVNFASGASGLLDVTGKDLNIVSMSDQIKQFETVCSNLTLVKGQDAAKNMLAKSMIAISVGSNDIFGYFETRSTVDPVVFIGSLMAAYECHINALYNLGARKFGIISVPPIGCCPSQRIYNSTGGCLEIENTFARAFHSSLDALLKKLTSKLSGLKYSLGNSYEMTINVINHPQLFNFKSVDTACCGEGLLNAEKTCTPQAKLCSDRDKYLFWDLYHPTQYASELAATTLYNGGPQFVTPINFAQLAAY >cds-PLY81409.1 pep primary_assembly:Lsat_Salinas_v7:9:95635745:95637410:1 gene:gene-LSAT_9X73040 transcript:rna-gnl|WGS:NBSK|LSAT_9X73040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGKAKSLSEHYLLKDAQDKLDTITSAVTNAHKPKEIKLETAPGDYRFPTTNQSRHCFTRYVEYHRCVAAKGDGAPECDKFAKYYRSLCPGEWVDRWNEQRENGTFPGPL >cds-PLY95649.1 pep primary_assembly:Lsat_Salinas_v7:2:112383214:112383513:1 gene:gene-LSAT_2X50221 transcript:rna-gnl|WGS:NBSK|LSAT_2X50221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFNSALIASVATVSADVWQSVACISDRITSRELLDLVVCFPLQLLGRFMLCIWTCFCVPLSPTDSIFSYAYNDDDDWDSDFDSYMSSSEDEGFLDYDH >cds-PLY78925.1 pep primary_assembly:Lsat_Salinas_v7:8:3434920:3437778:1 gene:gene-LSAT_8X2021 transcript:rna-gnl|WGS:NBSK|LSAT_8X2021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALAPPLAPTFSSQSWNHDVFLSFRGEDTRKTFVDHLYKALLQQGIDTYKDDETLPHGESIGSSLTKAIEESQIAVIIKTMHSRRVRNQKQKYGEVFAKYEPEYKNKVESWRKTLVDACNLCGWEPKNVANGHESDVIKEIVEEISLRLGPVTSNANEKLIGIEARAQRLKSELQIKSGGVRMIGIWGVGGGGKTTLASSIYDELSREFDGCCFIANIREESRRRGLEELQEKCLLKMEANTVGRGRCLINKRFRHRKVLIVLDDVDQREQLEALAGSPDWFGEGSRIIITTRDEHLLKAHRVVVHEISLLNADEAIELFRKHAPQGSMPMEDYEQLSKEVVSYAGGLPLALTILGSCLCDKNIQQWRSALARLQQIPDANILEKLKISFDGLANVQKDLFLDIACFFRGWKKDRAMEILDACGFNPVIGVEELRQKALITISDGRFNMHDQVQEMGHYIVRGEHPKNPERHSRVWKKEDVLTLCAMDATMELDKIEAIQIDYSSFGVHEEPPPIVANIRNLRYIRWKGDPINHLVNNFPPRELCCLILRTGLQDHLWNGYKVSRCWKGVPLLRG >cds-PLY66449.1 pep primary_assembly:Lsat_Salinas_v7:5:320742923:320744848:-1 gene:gene-LSAT_5X176500 transcript:rna-gnl|WGS:NBSK|LSAT_5X176500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDTDSVATLLESTNSKIQQLQKAFAELESHRAVTLNLKWKQIEEHFHGLEKSLKRRFTELEDQEKEFEMKTVKAQQVLEKRRAAVMAKEEASLGRLQEKRDVAVNAIANVLGKHKNGHVESSTVNNEDQGGADQNPPESEEDDMKRLFENGDVKLLSNPQLAKLCEEMDAGGLHKFISDNRKNLASIKEEIPIALKAAGDPGGLVLDSLYGFYISEGSNSNLDGKKDANLLGLRRTCIMLMECLSILLVNLDANTVSKVISEDVKNRAKIIAQEWKPKLDDLDLDASNGNSLEAHAFLQLVATFGIDSDFVNEDLSKLIPMVSRRHQTADLCRFLGLSDKMPGVIDVLVNSGRHIDAVNLSFAFELTHQFSPVSLLKSYLAEAIKTPSTVKSGNSSPTPQNDVSERELSALKAVTKCIEEHKLEEEYPLDPLQKRIAELEKAKADKKRATEVVKPQSKRPRANGVAAAAVAGYGPRNTADKNFYAARYAPPTQYMYDSRPQYAYAGPPPPENHVHSFMGTPAYNLAPNHGTYFGNAYQYQAPYLH >cds-PLY70129.1 pep primary_assembly:Lsat_Salinas_v7:3:10530940:10532512:-1 gene:gene-LSAT_3X6500 transcript:rna-gnl|WGS:NBSK|LSAT_3X6500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTATLLSSNRCCPQHHIYIRPPTPPQTKTRQTALFSTTQTKKVEDLARLSFQTTPVYIDVDDRRTRWQVVVQQLSFVERSLPITNK >cds-PLY85741.1 pep primary_assembly:Lsat_Salinas_v7:1:47662321:47667140:-1 gene:gene-LSAT_1X41060 transcript:rna-gnl|WGS:NBSK|LSAT_1X41060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALNFSCHAAVLNTHLRCKLDATLNKSLEKVRDLLIKNKVPSITTFPQKSLQKGNWVKLICGASFEDVVDIRNLSLVYTLAGVDCIDCAADEAVVNAVNEGINVAMTILPLRRPWVMISVNDDEDLHFRKAEFDPDDCPKDCTRPCEKICPANAIFKAGVINERCYGCGRCFPVCPYDKIKAMNYVRDASETSKLLERDDVDAIEIHTNGRQTDSFKELWSGLGDSVNHLRLVAVSLPYNGDSTVSMMNKMYSILERDLCCLNLWQLDGRPMSGDIGRGATRECIAFALRLVSSKDKPTGFLQLAGGTNAHTVDGLRKHNLFQTTICENSERSMLSNSNALIGGIAYGGYARKIVGRILSCMESEGGLAHIESYPDLLLMAVMEALNLVGGVKSYTHTL >cds-PLY91965.1 pep primary_assembly:Lsat_Salinas_v7:7:125225913:125226533:-1 gene:gene-LSAT_7X76121 transcript:rna-gnl|WGS:NBSK|LSAT_7X76121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRARQSTTTPEPQPQPPPPPPPSSSTAISQTLTSTANLAKLLPTGTLLAFQLLTPIFTNNGSCDAATRPLTAALLVLLATSSFLACFTDSIKSDDGQLIYGFATLKGMWVFDYYDPKNVIDLRKYRLRLIDWVHALLSVLVFGAVALRDRNVVSCFYPSPGREGQEVLDIVPIGIGLICSALFVVFPTRRHGIGYPVTRDNITD >cds-PLY63366.1 pep primary_assembly:Lsat_Salinas_v7:7:147002086:147003037:1 gene:gene-LSAT_7X87020 transcript:rna-gnl|WGS:NBSK|LSAT_7X87020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACLNMQLNNDQQQGGFLGPRISFSNDFCDTQQTATNTKHTTSYREAPVTSDFEFSVPCLSSNSADELFFKAKVLPLKEKVVTLRDELLSANDDDDDIFLPKSSGWWKFGRSQNLNPAKKVEHKNHGGLETIDEGNQYIRK >cds-PLY91195.1 pep primary_assembly:Lsat_Salinas_v7:4:124610769:124611497:1 gene:gene-LSAT_4X78441 transcript:rna-gnl|WGS:NBSK|LSAT_4X78441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGPPIKQLMALDPNLYGNGMPVVFVNEMFILIRDGVEFEVDKILRAPGGMEW >cds-PLY65899.1 pep primary_assembly:Lsat_Salinas_v7:5:38205388:38210613:1 gene:gene-LSAT_5X19060 transcript:rna-gnl|WGS:NBSK|LSAT_5X19060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKPEVLEAVLHETVDLESIPIEEVFENLRCSKEGLTSTAAEERLAIFGHNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKILRDGRWNEEDAAMLVPGDIISIKLGDIVPADARLLDGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMVIEIIVMFPIQDRQYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIDVFAKGVDADTVVLMAARASRTENQDAIDAAIVGMLADPKEARADVQELHFLPFNPTDKRTALTYLDNQGKMHRVSKGAPEQILNLAHNKSDIERRVHAVIDKFAERGLRSLAVAYQEVPEGRKESPGGPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWRFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFATGVILGSYLAMMTVIFFWAAYKTDFFPRTFGVPTLEKTAHDDFRKLASAIYLQVSTISQALIFVTRSRSWSFVERPGWLLVIAFAIAQLIATLIAVYANWSFAAVEGIGWGWAGVIWLYNIIFYFPLDIIKFFIRYALSGRAWDLVIENRIAFTRQKDFGKEQRELQWAHAQRTLHGLEVPDTKMFGDRTNVTELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIETIQQAYTV >cds-PLY78003.1 pep primary_assembly:Lsat_Salinas_v7:9:43643429:43644835:1 gene:gene-LSAT_9X40501 transcript:rna-gnl|WGS:NBSK|LSAT_9X40501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLIVTKLKHLRFFGVRLSSLLSKSLFLIVTALIIRTLFLPESFRFRTRNLHEFDLLQSKSGNPRTRFLEVPQIVWGLNNQKIAFARACLTARLLNRTLLMPSLSASLFYKEIDQLQPISFDKVFQFDKFNSLCKGFVQLGRYSDLHNHSDIVTVQKGSGRRWTPERDLDQLHNLKHDESETIRVAGKNPFLWHDHWPVKDYAQVFECLVLVEEFSNEADKVVAKIREFGSKSKSKSKSNIDSPYVAVHMRIEKDWMIHCKKLQQRANVSEICSSKNEITTRVSNIPGLKTPTVLYLAVADALLEDGSILNGWGPGLHPVEKKKLGVVEIYKNHPYLIQSAIDYEVCLRADVFVGNSYSTFSSLVVLERSQKMVKMGVKRGCEIGMNVKWPSFAYNLKGEFGGPRPWMTDFLDSSLQAISYGSNNISC >cds-PLY65715.1 pep primary_assembly:Lsat_Salinas_v7:5:274432168:274432755:-1 gene:gene-LSAT_5X145461 transcript:rna-gnl|WGS:NBSK|LSAT_5X145461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFVKQTLTIIFISAYIISTIHVDARFAPWPTVGAPASAAADNEDSDIEPNPSDLLLLPDITEIIPDETGGTDADVANRVTKQMQVIGKKIDEFNASLKARMENPNASHGIEECLTECDEVFGAAVDDIRNTIDSLENLNLMKANFDVSAVATNVDTCNDCFKEMVGGDPEVEKFNDWVRSITGEALEALQKTTN >cds-PLY77036.1 pep primary_assembly:Lsat_Salinas_v7:8:152359648:152361819:-1 gene:gene-LSAT_8X102941 transcript:rna-gnl|WGS:NBSK|LSAT_8X102941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVQRLKIPLKDIKLATNNFGDDNFIAQGGFGKVYIGQITLSGQSSTIAVKRLDRRLGQGDREFMMEIQMLSRYKHKNLISLLGFCDEGGESILVYEHAKHGSLDKYLSDSNLSWIQRLQISLGAARGFNYLHNDVGLQHRVLHRDIKSSNILLNENWEAKISDFGLSKIGPSNVEFTFLVTNACGTFGYVDPQYVRTGILTKESDVYSFGVVLFEILCGRLALIERYQDEHRFLSSLAQVCCEDNRLDEIIDPNLMNQMKVCSLKLFSMAAYQCLRENRSERPTMGWIVEKLEKALELQASSKGFIRVGTWGRSGNPPNQWSFELEKDHKLVKITIDHGNVIYSLMFTSEFRGVFYNSEKYGGWAGGETVSEVILDGDEEIICINGTIGSRDGFTIISSLSFQTNRRTHEPFGRVTKTSFSIPWDKGSLVGFYGIAGYYIDSFGIYVKANEETIRVGTWGKTGTGSPQNVWSFQLEKNQNWKKITIDHDDLIYSLIFTTQYRGLTHTCEKAGGWNGGETVSEVNIS >cds-PLY75632.1 pep primary_assembly:Lsat_Salinas_v7:MU042964.1:51056:51514:-1 gene:gene-LSAT_0X37581 transcript:rna-gnl|WGS:NBSK|LSAT_0X37581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKLLEHPEFTGGISRIRHVAFVVGEESGWENLKAQVDVGTYDPSASDSWSSHSSALDDALLAFATMDFIGLLGLGHLDIVGVRALCTFDDGEECVGELVVGTGGDGVGRSGGDGAGGSGGDGAGGSGGDGVGGSGGDGAGGSGSDGACEIV >cds-PLY76921.1 pep primary_assembly:Lsat_Salinas_v7:2:199856237:199856437:-1 gene:gene-LSAT_2X122121 transcript:rna-gnl|WGS:NBSK|LSAT_2X122121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFAGLLGLGQLDVDGVSMLCVFEEAEEVMEGVAVDPAGGGGTRADGGDDPAVGVVGDGDSGPGGF >cds-PLY63186.1 pep primary_assembly:Lsat_Salinas_v7:6:86757913:86758329:1 gene:gene-LSAT_6X60221 transcript:rna-gnl|WGS:NBSK|LSAT_6X60221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELLGRFSRSLGYTSLGNWYHMLIGEHSGLSMVPILNDECLEPFKTLVRAHEFKEIEHLYVEHRPVFVPNNFPHFLMNSPAKRVEKLIHMFVTEHLTAWVDDGIAYIQHMLNMTIPREKMEDAMDMAKENVAAWKNIA >cds-PLY78091.1 pep primary_assembly:Lsat_Salinas_v7:1:6075086:6077180:1 gene:gene-LSAT_1X5261 transcript:rna-gnl|WGS:NBSK|LSAT_1X5261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLSVEGGGFFSSSALGYSKGLTLLLLGKKTKETPMRVTPWNQYQLVDQESDSHLHQSDSGLHHSDSGLHLASNKNRIIRGCASYLCFGRAAAGLDSPCPLKVGPTHHHHQDPQDPLKSPDLEKVKEKVIHLDVVDDDDDDINVRNTCLLRSSLRRPARTISVSVAVDKEGENEGEGKHVQIQQNETNGVDHIHKQKERRVQWTDVSGGDLFMVREFEPSEHSGSDDEFENGIERSCSCRLM >cds-PLY64376.1 pep primary_assembly:Lsat_Salinas_v7:4:25929719:25930992:1 gene:gene-LSAT_4X18540 transcript:rna-gnl|WGS:NBSK|LSAT_4X18540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRIRRKFDALFGRKFETSKFKATVNLAISRLSVLKNQRQARLGVARSDIIDLLKLNHHEHALNRVEQVIKEQNMFDAFVMMDGYCHLLLQMVNLIEQEKNCPDELKEAASSLLYAAPRCGEFPELQEIRAILTARFGKEFANGAIDLRGNCGVNTRMIQKLSPQKPFLENRMRMLQEIATENGIVLQLDDVSPVIKENQSEVGDVSTHGGIENTSNALGEEFVEVSSFSESRKGKKKFRDVQDAAQAAFESAAYAAAXPRWSSPGQDLSILIIPIVPIPDQEKHVILSQINQNSK >cds-PLY61651.1 pep primary_assembly:Lsat_Salinas_v7:2:47558105:47559068:1 gene:gene-LSAT_2X21060 transcript:rna-gnl|WGS:NBSK|LSAT_2X21060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSLATCYSEHAIKVSDSYCSGNSNNIYISPTFNPAIQDEVSILYKVKLSSQNLLFITLTWSTHNIDFAFTISINEPHSNSSKSFCLRKIKGSKKLEPFDSKIELIWDLSKATYEIGPEPVKGYFLAVLVNSEMISIGDIEDLELKKLVFDYPFAKSSLVSRSERFYGNAEYSTRAKFFETGTWHDITITCIADDRRARNSLSLCVSIDRKNVIQVKRLRWNFRGNQTMFLDGNLVDLMWDVHGWFFDSDSNMKSRKKGGIFLFRPRSGFDSRLWFEEKNLEKEQEKVGASLLIYACKNPD >cds-PLY84697.1 pep primary_assembly:Lsat_Salinas_v7:2:151504437:151506478:-1 gene:gene-LSAT_2X78060 transcript:rna-gnl|WGS:NBSK|LSAT_2X78060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKYYYQRLSQSLSLHQFRLLGLRSSNLIESHPPQCTNLHTIRGFCASPQQSNSNPSTPSSRVSDIVNELMNLTLLESADLTEVMRKKMGINEMPVMAVMMPGMGLKTGMKGGAGGAVKGGEEKAAEKTAFDLKLEGGFDAGSKIKIIKEVRTFTDLGLKEAKELVEKAPTLLKKGVPKEEAEKIIEKMKAIGAKVIME >cds-PLY77250.1 pep primary_assembly:Lsat_Salinas_v7:3:56647349:56647663:-1 gene:gene-LSAT_3X44440 transcript:rna-gnl|WGS:NBSK|LSAT_3X44440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLILSPTTNRSPFLSASKHRHRVTTISIKYLQQLNAPLSPHENSSGDSCEIHRTLLIRQTFLLHNSLKNLKTTIKGHIYTTITAHHHRKTQRNLHFYQRVTNP >cds-PLY71124.1 pep primary_assembly:Lsat_Salinas_v7:9:83590907:83593008:1 gene:gene-LSAT_9X65181 transcript:rna-gnl|WGS:NBSK|LSAT_9X65181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMSWEDVVLIEERKSSDEPTVVTVNCPDKPGLGCDLVRNVLEFGLYVTRGDFSTDGKWCYIVLWVVSRPCSLKIDWESLKQRLLSCCPSFLPAFYLNQLPDSSKPPPIYLLKVFSLDRKGLIHDVTQVLCELELTIQSLKVMTNPDGKVLDLFFITDHLDLLHTKIRREETCEHLSGVLGEYCISCELELAGPEYESQKGFTSISEEIADELFSCQLATKENDAVITVDNLMSPAHTLLQIQCLDQKALIYDILKISKDCNIQIAYGRISSSVKGYRSLDLFIQKDDGKKILDKENQVALCSRLKEEMLHPLRVIITNRGPDTELFVANLVELSGKGRPRVFYDVTFALKTLGICIFSAEVGRHSTAEREWEVYKFRLDETRGFVLMSKQAKMDVVDKVRRALMGW >cds-PLY93536.1 pep primary_assembly:Lsat_Salinas_v7:5:103730953:103739832:-1 gene:gene-LSAT_5X45360 transcript:rna-gnl|WGS:NBSK|LSAT_5X45360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGRSRRTQRKHFRDGRENVWKTKKSDSQEADGSNNNENDSNGNNTNKPTHWQPFAIENAAFDEYYKEQGVVRPEEWGSFIECLRKPLPAAFRINSSSQFCTDLRSQLEDEFMKALLAEGTDGNEAEGIKPLPWYPNNFAWMSSFSRMQLRKNKNLERFHEFLKQENEIGNITRQEAVSMVPPLFLDVHRDHFVLDMCAAPGSKTFQLLEMIHQESEVGALPNGMVIANDVDVQRCNLLIHQTKRMCTSNLAVTNHEAQHFPSCRWKKNNTTNGSDLGDMSMTELLFDRVLCDVPCSGDGTLRKAPDIWRKWSVGMANGVHCLQLQIAMRGLALLKTGGRMVYSTCSMNPVENEAVVSEILRKCGGSVELVDVSSELPQLVRRPGLKSWKVRDKGTWLGSHKDACKYGRSGIVPSMFPSGQPHVDTSSDENGDNNNNDNDDVLVTEVSSLPLERCMRIAPHDQNSGAFFIAVLHKISPLPVIQTKSSKHSRQPQSDSDEKTKQSEDIKAMDVDVKNEESNEATNPCKKLKGTEPEKTETLNDPKSRAEKANDKRKLQIQGKWFGVDPVVFFKDDAILTNIKEFYGIHESFSFTHRLITRNSDTNHVKRIYYVSESVKNVVELNFLAGEQLKITSIGLKMFERQTSREGTSSPCVFRISSEGLPLLLPHMTKQITSSPAVDFKHLLQYKSIKFPDIVDDRFREKVAALALGCCVVVLNKGNENEGEGASDRPKVDKSTIAIGCWKGRSSLSVMVTAVDCQELLERLLIRSETQKESLAEDAIKAKETATVG >cds-PLY68878.1 pep primary_assembly:Lsat_Salinas_v7:3:61773928:61774693:1 gene:gene-LSAT_3X48401 transcript:rna-gnl|WGS:NBSK|LSAT_3X48401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTLGFLSLPPISTTTASTSAWKEYSFSAAGIFLSKSPSPPRPRLPYPRIDGLHVNTYHAFLDSVNFYLRMHNISDIFHIRGMPLHHVHDRNQKWSRMVGDDLVYVYREGTMELSPTNKNAVQNKDQNPVLCVVPWTNINDKML >cds-PLY62997.1 pep primary_assembly:Lsat_Salinas_v7:5:28369586:28371029:1 gene:gene-LSAT_5X13821 transcript:rna-gnl|WGS:NBSK|LSAT_5X13821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAKPMSNPLSMANGCLVSSSPAIFAGKTLQHISLPLKPIKFHLSASFTPFSLRRKANSSRIVTFVQEEDNTLVLQTDEKLSWGDETEETEAAADTGVSDWEGGAVGDAATEGTEEFSEPPEEAKIFVGNLPYDVDSEQLAQLFQQAGVVEISEVIYNRETDQSRGFGFVTMSTVEEAEKAVEMLHRYEIGGRYLTVNKAAPRGSRPERAERSPQMSGPSYRVYVGNLPWDVDDVRLEQLFSEHGKVVNARVVIDRESGRSRGFGFVTMSSESEVNDAIENLDGQSMGGRAIRVNVAEERPRRF >cds-PLY97800.1 pep primary_assembly:Lsat_Salinas_v7:7:120265038:120265544:-1 gene:gene-LSAT_7X73801 transcript:rna-gnl|WGS:NBSK|LSAT_7X73801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSVEPESDQIPYRLSSSPAPMSSSVAPLMSSSAPAVGLMLTPVESNTNRRINTSTKLPTLPKTRNRTNISTPPGVDLMALCKNRLIKETIELISQGVPARSDVFELILELCNDLEPGKKVQHLLIRSPYYGFVNLNSKLIVLYIKCNNMRDARRVFDRMHERDDLSI >cds-PLY62812.1 pep primary_assembly:Lsat_Salinas_v7:4:29413704:29416906:1 gene:gene-LSAT_4X20501 transcript:rna-gnl|WGS:NBSK|LSAT_4X20501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVLEFETNVSSASSSSPRVPQVNTRMDNSTVHAEPPVSTTNMDPTLESGVANQTQSPLSQLPFLRESLRPITLKFEDLAYTIKLQSGDDHCFSSSESKRTRILLNGVSGVVRPGELLAMLGPSGSGKTTLLTALGGRLPGKTSGSITYNGQSFSSSVKRKIGFVTQDDVLYPHLTVLETLTYAAMLRLPKSLTIEEKVEQAELIIIELGLTRCRNSIVGGPLIRGVSGGERKRVSIGQEMLVNPSLLLLDEPTSGLDSTTALRIMATLKGLARGGRSVVTTIHQPSSRLYWMFDKVVVLSEGCPIYSGQTCGVMEYLASIGYVPGFSLMNPADFLLDLANGVAPNTNPDDHRQYNQGKADSHEDRNSIKQSLISSYKKNLHHLIKDDIHRNIHDVTRANRGPQSSRCCDNRWTTSWWTQFKVLFKRGLRERKHESYSGLRIFQVMSVSILSGLLWWHSDTSHLQDQVGLLFFFSIFWGFYPLSNAIFTFPQERPMLIRERSSAMYRLSSYYFARMAGDLPMELVLPTIFVTISYWMGGLKPSLITFVLTVLIILFNVLVSQGLGLALGAILMDLKQATTLSSVIMLVFLLAGGYYIQQIPPFISWLKYISFSHYCYKLLVEVQYSENEVYECGMGTQCRVLDFPGIKYLGMGHLWWDVAALGVMLVGYRIVAYVALRMSKSH >cds-PLY89012.1 pep primary_assembly:Lsat_Salinas_v7:3:73100435:73103400:1 gene:gene-LSAT_3X61721 transcript:rna-gnl|WGS:NBSK|LSAT_3X61721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTGDNNQQAPPRTSGEISGSLCSEALHINSLESSHEVRSYFDMVKVLSEVELPSPSPVQATSCTLRREDYFDRFHQGQYSSGTKNKSDDIEGNIIEKERELRSKQRDCQQSGSVREAAKSNITEKKRRNKISERIRTLQTPVPNCNKELNLQHLQKHKASILGDAIEYIKFLQMQLQMVQSMGIGHISQGLAREQSLQVPKFVDPNFTMNPLTGMQHGMPQFGSYFPINYPIFPTSFIGFSPLFPPTEVDTRSFPWGQPRHVLYPQQLQFPSQTSQSVYSATPSSDTIIPTTSSQGGSSVPGQPLYHVPVTSQVSISCLLLYNTVANSVK >cds-PLY86020.1 pep primary_assembly:Lsat_Salinas_v7:8:125901892:125905296:1 gene:gene-LSAT_8X88401 transcript:rna-gnl|WGS:NBSK|LSAT_8X88401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADKLIPEFELRRLRNKAGRMVERFRVGAAGVTQELVDSIHEKWKMDEVVKLKFKGPSAMNMKRIHESLESRTGGLVIFRSGSSVVLFRGMAYKLPCVQSFKEQKSNDTENKSSMNYPEQYIKDLSEEELLDLKEMNLVLDELGPRFRDWAGREPLPVDADLLPSIIEGYKRPFRLLPYGTKPGLQDKEMTFFRRTARTMPPHFALGRNRNLQGLAVAMAKLWEQSAIAKIAIKRGVHNTCNERMAEELKILTGGTLVSRNKDYIVFYRGNDFLPSNVTKTLIEAQDIRTNRQEDEDKAREKALTFIDLTHKNLVKGPLVAGTLAETMAATSRWGSERSREEIEKMRRDSAVARHASLVRLLEKKLALAKGKMKKAEKALAKVQEYLQPSQLPTDLETLTDEERFSLRKIGLSMKPYLELGRRGVFDGTIENMHLHWKYREVVKIMVERKSFAQVKHVAISLEAESGGVLVSVDKTTKGYAIIVYRGKNYERPKAIRPKNLLTRRQALARAIELQRREALKHHIVELLERIEKLKGELEDMKIVDEVDEETLRSRIEDDLDLDSESDSDDYGGMEEDEEAYLETYEDSDQR >cds-PLY98518.1 pep primary_assembly:Lsat_Salinas_v7:7:126366629:126369423:-1 gene:gene-LSAT_7X76800 transcript:rna-gnl|WGS:NBSK|LSAT_7X76800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylamine--glycine ligase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G09830) UniProtKB/Swiss-Prot;Acc:P52420] MACISHNLGASMKLGHLPVSNQRQFPNKISQLFFLQTNNLKSSKTFKSQNNSHRLNRFKSYTTIFNSISSDHLQERVTVLVIGGGGREHSLCYALKRSQSCDAVFCAPGNAGISNSGDATCIEDLDIFDSEAVIAFCRKWGVGLVVVGPEAPLVAGLTNDLLKAGIHAFGPSSEAAALEGSKNFMKTLCDKYEIPTAKYATFKDPVAAKTYIKEQGAPIVVKADGLAAGKGVIVAMTLHEAFEAVDSILVSGSFGEAGSSIIVEEFLEGEEASFFAIVDGENALPLESAQDHKRVGDADTGPNTGGMGAYCPAPVLTQELQSLVMDSIILPTVKGMSKEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMVRLESDLAQVLLAACKGELNTVSLEWAHGSAMVVVMASNGYPGSYVKGTKIRNLEDAEVAAPGVKIFHAGTGVDAEGDYVATGGRVLGVTAKGKDLEEARERAYRAVEEIKWEGGFYRKDIGWRALPLKQLSSK >cds-PLY70342.1 pep primary_assembly:Lsat_Salinas_v7:4:101038752:101039718:-1 gene:gene-LSAT_4X64620 transcript:rna-gnl|WGS:NBSK|LSAT_4X64620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQQKEDALINESIDSKEKDNNQDQDVVSSDDDVIDYSVKPELYDPNLDDKDQLWIQKKRKGQYSDAVLTCPACFTTLCLESQRHEKYVTQYRAMFVLNCKIKKGQQVSSSSSSEDKGSLKRKRFRKSLAVDGESFTPVCCSVCETEVGVIDDDEVYHFYNVLPSEC >cds-PLY66963.1 pep primary_assembly:Lsat_Salinas_v7:6:81251493:81252587:1 gene:gene-LSAT_6X57541 transcript:rna-gnl|WGS:NBSK|LSAT_6X57541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAELHGDVLPHILKRLNVRDLIRCKSPVANPSTREVQTLQEPQILDTKHLCWGFGYDSSTDDYKVVLGFLKRVGWTCFQVLSLKSNVWKLIGDVKYSFLSRIGILCNGALHWIMKDSSSPNKKRVIASFRLSEEKFIKLSEPDDEQYESGVASCPNMNLGIIKDCLCVSPCDGFNDNLWMLKNYNGKLSWEMFEKECDMNLALQCLKEQEHYIPNKRTLCRDMLFYKTREYICAPIYMESLVSPYVNGRPKRKTQESNSKKSCKVRSFCLSYTTNCGVISGF >cds-PLY98855.1 pep primary_assembly:Lsat_Salinas_v7:7:23934211:23935661:-1 gene:gene-LSAT_7X20121 transcript:rna-gnl|WGS:NBSK|LSAT_7X20121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTLHSHVSTSIFIFILSLLYPFHSLAIDTITFSQPLTINQTLVSKDEHFELGFFNPGNNNLYIGIWYKQIQQKTYVWVANRDTPITSSSGNLTIISNGNMVLVNQTGTIVWSTNQPTRAGNTVAQLLDNGNFVLRPENDENPENYIWQSFDYPTDTLLPEMKLGWDRKSGITRILRSWKMNNVDPATGDYSLMITVRQFPEILLMKNETIIRRSGPWTGRRFSGVAEMKGMSRMQFDVQDNSDEVYYSFKLVDNAIYSRLVVNSSGVNQRLVWANTTKTWIVYWSFPGDCDHYGECGPSGICAAIPAPNCSCMTGFRPKNKEAWDFHVGRDGCVRNSSLDCRSDSDGFKLLKNMKLPETSKAFVDQTINLKKCGEICKRNCSCAAYANMDVTEGGSGCVIWEGDLIDMRRYDDSDNGGDDLYVRVAASDLGTFSKSIQKSIMISALIACTTQISKGAFS >cds-PLY68320.1 pep primary_assembly:Lsat_Salinas_v7:7:97158249:97160444:1 gene:gene-LSAT_7X64800 transcript:rna-gnl|WGS:NBSK|LSAT_7X64800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGARGGGRSGSHISGRDARDRNASQSYNDAESQPSSSVRGSNILEQVPSNPSKRKFIEVDSEKEFTDQISVIRAITCILKTMFDGPWTSWKKVDKEHRDAMWEHFKGLYVWPEETDVLARKVWEDCMKKRFPDVMRRAREASLKLAKAANVNASLEGDLNLLKDYRPNWIKKEYWEKMINEVWTTSKWKRLSQSGKNNRNKLEDGSVSKHTGGSISIRQHKKRMQAMLKRPPTGVELYARLHTKRSTQEYITPKAAKVKEAYESAMVAKFGDDTSCHPLLDNETWCDVSGGVKKGRIYGFGSVSDPASFLEGTSSTITSQEVVYERVRNEMRGEMDAKAAEMEAKHQQMREEMDAKAAAIDAKQQQIDAKYEAMEKMYAALQNMMGN >cds-PLY80593.1 pep primary_assembly:Lsat_Salinas_v7:6:12320156:12322854:1 gene:gene-LSAT_6X8280 transcript:rna-gnl|WGS:NBSK|LSAT_6X8280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDESSSHCQIPSPKPTTPSSLNYYHPSPSRTIYSDRFIPSRSASNFALFGLSTPPPSDGGADNDTSSSSAAYTALLRKALFGSDLGFVPPSTPDKKNSPVTTTNPVNRNIFRFKSETRQSLHSLSPFGFDNELPGVSHRPAKARRIVPRSPYKVLDAPALQDDFYLNLVDWSSHNILAVGLSNCVYLWNASSSKVTKLCDLGIDETVCSVGWSQQGTTLAVGTSNGEVQIWDVFGCKKVRTMEGHRSRVGALAWNSSMLSSGSRDKSVLQRDPRVEQDFVSKLNGHKSEVCGLKWSYDNRELASGGNDNRLFVWNQHSTQPVLKYCEHTAAVKAIAWSPHLYGLLASGGGTADRCIRFWNTTTNSHLSCVDTGSQVCNLVWSKNGNELVSTHGYSQNQIIVWKYPNMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNAFPSPKSQNNESAIGASSFGRTHIR >cds-PLY72386.1 pep primary_assembly:Lsat_Salinas_v7:4:147556853:147560809:1 gene:gene-LSAT_4X91301 transcript:rna-gnl|WGS:NBSK|LSAT_4X91301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVASAVASPLCTWLVAAYISVSGEKTNHRPSSSPAMFSSSKRLSRSARRKLPAVTDCKNFSGGGGGLMSSLCGSSITNLMTSLEPCEEYYKSKPLSSSFSILGSENSFFIFGLKNSPPSSMNRTQKRIHRTAQTGKSKTMAIATQPSKEATTKKKPITKNRRVVVTGLGVVSPVGDVADVFYENLLEGISGISEIEAFNCDQFPTKIAGEIKSFSADGWVAPKLSKRADKFMLYLLTAGKKALEDGGVTKDVMKDLDVTKCGVIIGSALGGMKIFQDGIEALMVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHITRGESDMMLCGGSDSAIIPIGLGGFVACNSLSQRNSDPKRASRPWDVSRDGFVMGEGAGVLLLEELEHAKARGAKIYAEFLGGSFTSDAYHMTESRPDGTGIALCIEKALTQSGVAREDVNYINAHATSTPSGDLHEYEAIMRSFGNNSELKINSTKSIIGHLLGAAGAVEAIATVKAIQTGWLHPNMNLENPDVGVDKNVLVGAKKEQWNIKVALSNSFGFGGHNSSIVFAPYKDQ >cds-PLY67197.1 pep primary_assembly:Lsat_Salinas_v7:6:135188490:135190318:-1 gene:gene-LSAT_6X81681 transcript:rna-gnl|WGS:NBSK|LSAT_6X81681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNDSNRTSGAAGGGGGGGGGGGCGGAVSPLECDVGLGLNSEPSYPCKKMSLININQYRHHDFGGVYGNNGSQQFVHQGNPTNQLASGISFKSITDGVVGASGKVLFTATQWQELERQTLIYKYIMASIPIPPQLLLPSSTQSNRTSTGIRFSNGSDPEPWRCRRTDGKKWRCAKDVGPNQKYCERHAHKTRSRSRKPVDTTHNPTATSSNQQTRCHEWFIKSSETPLSQSKMDDCSLSLSMQSSGNVNGNGVEFGDQESFQMAFRMLEGEGGECGDGFRSNHQWLNQAAWEGSNQGSNPSMPGGPLGEALCLGMSGVDHMGPNVASSYGSYEGSDDRSWFL >cds-PLY61811.1 pep primary_assembly:Lsat_Salinas_v7:6:59291145:59291435:-1 gene:gene-LSAT_6X44520 transcript:rna-gnl|WGS:NBSK|LSAT_6X44520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTTDMKDGAKLGDGGCRMVLSVGGEIGVGEAGVVVGEGVEDIAETLMVTLAGSLVAMEMGLAVVLERYGIR >cds-PLY64126.1 pep primary_assembly:Lsat_Salinas_v7:1:2221696:2228923:-1 gene:gene-LSAT_1X1740 transcript:rna-gnl|WGS:NBSK|LSAT_1X1740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVRRDLGFPSLVFLVVVVVVPVIVLIIRRKLRHSVARSEEIKRLVVSASEELARAEFEARESYYYTANATPSARVSVLTPASVPTYVSIPLGSPLKSQYQCAVCFCPTTTRCAKCKAVRYCSGKCQIIHWRQGHKHECRPYVAVSPINDEGGSSYRQVDHQDQSDTFDTEAQQTFTSSVTGRFPENSDNKDDDESLAHQQGRSSTPTKFSAGVTVDNLVKSLHQSPTRARKSTGSGKNNLKRSNPSDEEDVQSSSSCWTNSESLFSEPCTTSSGFWDTTVDNKKSSINDHDDFASAGSYTANQHSNIVNGCIADKVIIDGLGNNKFNDSSDSSKDDTSKFRESKNSSSHRASVLKDDSLSCSNDISSNKSRISHTSKSREISSSPKAPDTSSRHASHATKPVKVDDDTVSSPKLTETCRIRSGSKTSKSKAVDQVKPSKSLEGVSDRYAFKGLFPYEMFVKLYASKKIELQPCGLKNCGNSCYANAVLQCLIYTPPLTAYFLEGLHSKACDKRGWCFTCDVEGLVMKAKDGNSPLSPIRMLTHIENIGSNLGHGKEEDAHEFLRYVIDALQAVCIKEAAGGNALNSLEEETSLIGLTFGGYLRSKIICIKCGGKSEMHERMMDLTVEIEGDIGTLEEALDKFTCTEILDGENKYKCSRCKSYEKARKKLTLLEAPNVLTIALKRFQCGKYGKLNKSVEFPEILDMAPYVSGTSDKSPVYRLYGVVVHVDTMNDAFSGHYVCYVKNHHNRWFKFNDTMVNEVDLQHVLTKGAYMLFYARCSPRAPRSIRSSIIQHQDPRKHKTFVHSTEPWEACNYQPASQPGHRQRSLEEESSSSSDNSGFFSESCSCSTDSSTQRDSSDHIPGDWEHDYWNNSSSNTNTNTNTIMNVTSSDSDTSSTSSFPSPLYSRLSHLYTSRTNEDECRKLDCSCNSCSRVRVTNLNLDRLGSKPGASVTFRRSATRGILD >cds-PLY64284.1 pep primary_assembly:Lsat_Salinas_v7:7:109817811:109818188:-1 gene:gene-LSAT_7X69840 transcript:rna-gnl|WGS:NBSK|LSAT_7X69840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKQQILENVSRKIVKVEEKLAKGWGGENAYHMKGYRYLLLDGDMSISRASPPRKVTTLTKESLLAINKVREDA >cds-PLY92835.1 pep primary_assembly:Lsat_Salinas_v7:5:329464269:329465332:-1 gene:gene-LSAT_5X181440 transcript:rna-gnl|WGS:NBSK|LSAT_5X181440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENYKYESSLSRFFFDTTIVTQEILNELKPEDMKCRLFATLLRIYINKFDVKPSFRDVALVFFPIVDDGKYYLLIFDPRSSLYYIVDHVKRTGTLERKYGMIPKLVKKLFCNY >cds-PLY72244.1 pep primary_assembly:Lsat_Salinas_v7:7:87592351:87593418:1 gene:gene-LSAT_7X60861 transcript:rna-gnl|WGS:NBSK|LSAT_7X60861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNTRSMTRNQNYHASSRKRIRGRVPSPWSDLNHDLLYLIMMRLGVVEFLLFSRVCKSWRSLALFNRSTFMASKPPMLLFISPRVYKKDCYLQDFEGRKFKTIIPKLVGRKCVGLTCGYLILFGKKTRDFWLVNPITTHELHFPNAPLHVSDGEKRKFRAILVFSPSINRWVFAMLHKWYYKVWFSIAGKGEWNHVSSTSPLVDLHVFKGRIYTLNWSFTSEGKHVSEMRLDPVPTLVPKLYFLHQLIAFDFITSDENLYLMEYMSMHTYKVHKLDFDEMNCVPCEKSTQEYAFFNSSLKFSAAVISEAWAASSSSYCQQRFARTDKHGKYKGRLYIAKRWYFPHECLNINLIDN >cds-PLY82566.1 pep primary_assembly:Lsat_Salinas_v7:2:184111067:184112765:-1 gene:gene-LSAT_2X107421 transcript:rna-gnl|WGS:NBSK|LSAT_2X107421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISTSYGLSFTFILTIWVVASVCLRADARAFFVFGDSLVDNGNNNYLVTSARADSPPYGIDYPTHRPTGRFSNGLNMPDLISQRMGEEPTLPYLNPQLTGRRLLVGANFASAGIGILNDTGVQFVNIIRIPLQFEYFRQYQQRLSGLIGANQAKKLVKNAVVLMTLGGNDFVNNYYLVPYSARSRQYALPNYVRFIISEYKKILMKLYDLGARRVLVTGTGPLGCVPAELAQRSRNGECATELQKAAGLYNPQLNKMLSDLNSKIGSHVFISVNSKKMHSDFISDPGAFGFVSAKIACCGQGPYNGLGLCTPLSNLCDNRDLYAFWDAFHPSEKANKIIVEQMMTGSTEYMSPMNLSTILALDSQK >cds-PLY96163.1 pep primary_assembly:Lsat_Salinas_v7:9:121110925:121125036:1 gene:gene-LSAT_0X47281 transcript:rna-gnl|WGS:NBSK|LSAT_0X47281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLQLSQAPAGATTALSTQTLHPPKFTHPVHPLFSNRRPKFLSTTTSTSFSNHRTVIVNADITNKSKPTTPFTDSEDQPISVVGQENVPLEGVIQFEKPDTSARFRKWGHVALLSGGDVLAILLFSAIGRLSHGFPVFDSETLRTADPFMAGWFLSAYFLGGYGDDGRGVNGKSNAITAAVKSWALGVPLGILVRATSIGHIPPARFIAVTLGSTAVLLIGWRAIISNILADDKSKKNDVYKRGNPFELFEVKEDVTEALEAIGEVLEAIDDLDVVLCATGFQDSWDLLGPW >cds-PLY81713.1 pep primary_assembly:Lsat_Salinas_v7:3:30010807:30011512:1 gene:gene-LSAT_3X23381 transcript:rna-gnl|WGS:NBSK|LSAT_3X23381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELPLSRCALSKKKKSSGAKRQKSIRAPGARNSSNSCRYFLCRQLLRASREKDLEVGTGKGEKQKQGLQVFVY >cds-PLY78448.1 pep primary_assembly:Lsat_Salinas_v7:2:167853446:167855448:-1 gene:gene-LSAT_2X88500 transcript:rna-gnl|WGS:NBSK|LSAT_2X88500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKDAKNSPNNGSAKSPDLSFQDLSINSSPRTDLSISTVSGSNNCSTCKASDIGVEEKDVIHLNEECEGSEKTSLSSSSFSYSVVDPNEARVKTFCPSKPHKANDIRWDAIQSVKAKDGGELSLSHFRLLKKLGFGDIGSVYLAELRGMGCLFAVKVMDKGMLVGRKKLIRAQTEREILSLLDHPFLPTLYSHFETEKLSCLLMEFCSGGDLHILRQRQPGKHFSEQAARFYASEVLLSLEYLHMMGVVYRDLKPENVLVREDGHIMLSDFDLSLRCCVSPRLVKSTNEPSCTATSYCINQSCIDPSCTLPGPTCFQPTSCFKPRLFNSSKTSKPKSDKHKPNNISLDSRPVLVVEPTSARSMSFVGTHEYLAPEIIRGDGHGSAVDWWTFGIFLYELLHGKTPFKGNGNRETLFNVVGQSLKFPEGSNISFAAKDLIRGLLVKDPQKRLGYKRGATEIKQHPFFESINWALIRGISPPEIPKPVDLSFLSQSQSQSVKSTAHQNDKKASDSDRSSGPYLDFEFF >cds-PLY97667.1 pep primary_assembly:Lsat_Salinas_v7:8:6045571:6048128:1 gene:gene-LSAT_8X5501 transcript:rna-gnl|WGS:NBSK|LSAT_8X5501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSSCEEDEYRFFDAPDSIVSVDSLPHPVSEPDEISHGGDDDDDSVPNDFQYDIWANAPTSVLQRKHTFLRLMGLSSEEDDHILCNDTVDDDVVIREIEVEIEIDDRIMQTSGAVLRTPVEEDEEQQEKEESPVSSSNSAWCDDATCSSSTISRIEDSNGGGECKEEEEEIGGKLLATTDIIPAPVVQNLTDRLKVAGTMVKTMNRVKGHFFGRLRSMTTRRSDSLALMLPPPPPPEPQWGKVQRVRVRQNKKRLKELSAVFIGQDIQAHEGSILTMKFSLDGRYLASAGEDMVVRVWHVVEDERSDEIDIPDVDSSCLYFTVNNLSELTPLMADKEKEKEKQKLSRMKSMRKSKNLACVIFPPKIFRILEKPVHEFHGHTGHVLDLSWSNNNFLLSSSVDETVRLWRVGSDQCLRVFPHSNYVTCVEFQPSNQNYFISGSIDGKVRMWSVSKCQVVDWIDLREIVTAVAYTPDGKGGVIGSMNGCCSFFSLPENQFQMEDSVYLNSKKKSQRIIGFQYYPQDPSKLMVICADSQVRILNGINVVGKFKGQRTSTNPICATFTSDGKHIVSSNEDSNVYVWNCYDQKGLSFDQNKTVTSYECFSANASVAVPWSGFAGSGGEVEDGWCRSLPPCYFSQYFTESIPKASATWPEEKLMASSPSQPPPSGMSKSLSKSLSMSMSMSMSTPLRKSDKKFVRSSSSCSYNCHTWGLVIVTAGWDGRIRSFLNHGLPATV >cds-PLY74490.1 pep primary_assembly:Lsat_Salinas_v7:7:41925037:41928600:1 gene:gene-LSAT_7X31301 transcript:rna-gnl|WGS:NBSK|LSAT_7X31301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTGAQKLMVIHDASRTDGLSAIISAFESLPVGSGDELTLLGVIHQLSSTLKIMSISGSNTKYKDDERIKKEEYHKREEVMQLSTICARNKIMFQIDVQYGSSPKMIAAMAAKSSRVTWVVLDRQMKKDRKFFMEKLTCGILRMKRDNTVEKLRGPITMEDKKLRSSKRTPSNEHVSYGEMIPVMSKRELSPKKTTNAQKPAFKPEGGGSSGAPPWDKSHFSPSPPSTNQISPSPSKASTSSFGTSEVSTMNSSWTNDDHEEEEFEYSVCSVCQNKRPSTRLKQLDFNYTELYHATDGFSSDNFLSEGGFGSVYEGELNIHGGLKVAIKQHKDVSFQGEKEFKSEVNVLSMARHPNVVMLLGSCSEGTHRLLVYEFVCFGSLDQHLSTAAENPNLLTWDKRIKIALGAARGLDYLHRINIIHRDMRPNNILVTHDYESLLGDFGLARTGCDDTDETSVVGTLGYVAPEYAECGKVSKKTDVYSFGVVLLQLITGCKTKEKKFDGKTLVEWARPLVEDQNYPDLIDERIVDSPDVQVFQLYLMVRLAEGCLKKDPTKRHTMAYVVKQLERIMGGNNTSSRDARK >cds-PLY76969.1 pep primary_assembly:Lsat_Salinas_v7:6:62552356:62557227:-1 gene:gene-LSAT_6X46900 transcript:rna-gnl|WGS:NBSK|LSAT_6X46900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 8 [Source:Projected from Arabidopsis thaliana (AT2G28470) UniProtKB/Swiss-Prot;Acc:Q9SCV4] MRRVSTEFLIVSFLVVAAAAATVASGVNVTYDHRALVIDGKRRVLVSGSIHYPRSTPDMWPGLIQKSKEGGLDVIETYVFWNLHEPVKNQYEFEGRKDLVKFVKLVADAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGIVLRTDNEPYKAEMKRFTAKIVGMMKEENLYASQGGPIILSQIENEYGNIDKDYGPAAQTYIKWAASMATSLDTGVPWVMCQQKDAPDPIIDTCNGFYCDGYTPNSNNKPTMWTENWTGWFLSFGGAVPYRPVEDIAFSVARFYQRGGTFQNYYMYHGGTNFGRSTGGPFIATSYDYDAPLDEYGAPRQPKWGHLKELHKAIKLCEDAMVATDPTTTSLGNNLEASVYKTSSTCAAFLANVDTKNDATVTFNGNSYRLPAWSVSILPDCKNVAFNTAKINSMATIRRFVAKKNGNELIASEEISSDWSYVSEPIGISSNNAFKKPGLVEQINTTADQSDYLWYSISAESEGDESETVLHVKSLGHVLHLFVNGQLAGSAIGNANNPTVSKDIPITLKPGINKLDLLSLTVGLKNYGAFFDTTGAGITGPVELEGLKNGSTIDLSSMRWTYQVGLKGEGLGLHTGGSSLWVSGVPKSQPLTWYKTSFDAPSGDDPIAIDLSGMGKGELWVNGESIGRYWPAYIAPNSGCSDCSYKGSYNSNKCLKGCGKPSQKLYHVPRSWLKSSGNVMVLFEEISGDPTKISFTTQVLQSLCSHVSESHPSSIESWSQEKSRRKRKQEPKVSLECPHPNQVISSIKFASFGTPQGQCGNFRHGECKSDNALSILQNACLGFKTCSVGVSTVTFGDPCVNVVKSLAVEASCE >cds-PLY78100.1 pep primary_assembly:Lsat_Salinas_v7:1:6690363:6691824:-1 gene:gene-LSAT_1X4600 transcript:rna-gnl|WGS:NBSK|LSAT_1X4600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRVGFIQEALRGFLKCLGLESTGGKDTPPVEGEVVNNPPPPLNPTPQTTYEPLPSVDDPFTTDPLVEALTSSIEKPVVSTRDIGGDESNFSMVTIDEIMNTSGGTTAEAHYVELLDNGTNNMSLLTTRLISSGGGGKNH >cds-PLY70865.1 pep primary_assembly:Lsat_Salinas_v7:9:15576887:15578693:-1 gene:gene-LSAT_9X14880 transcript:rna-gnl|WGS:NBSK|LSAT_9X14880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANDSRMLETKSVGIVDEDREENELMLELSIGGIFAKSKKTIRNASESREKDKQEEGDLNVHSKREIQAIRRRELRRKREEKPKKSVGFGSCGSVSGPLLENKEWLEKQIVGQNDENFENEPTRKKERIRNSPENVTSIPAAPITNGFLHPNVMPICGGGEVVPRIEDDLNTKNSVFRATACRSFKPYQGNTNLKPIEDETDGGGRRYSNVNSNGSAGYASSAVSDSQCSSRQGGGTDESRSNSSNSQVDHQPESTSAASEPFDPSGSDQPIGSSKPTGPAVNPNDRFRPPESGVPNPINPTPKLETSSSQNQQQASILARMPCVSTTGNGPNGKTVSGFLYRYTKNEVSIVCVCHGQSFSPAGFVEHAGGVGITHPLKHITILPTAFAS >cds-PLY62838.1 pep primary_assembly:Lsat_Salinas_v7:4:31563390:31564162:1 gene:gene-LSAT_4X20600 transcript:rna-gnl|WGS:NBSK|LSAT_4X20600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMAEDTTGTRRYCKRVHLHAAMGQEESEDIYYLHKIVKLVEEKMKLIDVLPCKKPVEERKRFKCTPTVEKNKKVEIVKNHITQELEKFITNKLKGTEAKVVIQKTLYKSDLEENQNRLNMPMKQVIKPNEFLRKNEKEDLENGKEFEGKLWGPRLEMHKKPMMLKMWHMNSTSNYVLKTEWNRFVKANEKDLEINKKIQVWSFRKKRSCALQLHVWTEMLTDKTTPLQHPSFSPLI >cds-PLY61652.1 pep primary_assembly:Lsat_Salinas_v7:2:47255732:47258639:1 gene:gene-LSAT_2X21421 transcript:rna-gnl|WGS:NBSK|LSAT_2X21421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDSITPGVDPQGQPLDPRKIQDHFEDFYEDLFEELSKYGEIESLNICDNLADHMVGNVYVQFREEEHAANALQNLTGRFYAGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRELRRQLFGRSRRRRSRSRSRSHSPHRHRGYDERGSRSSGRRAASPGRRGGGRSRSPGGKRNRSPVREGSAERRAKIEQWNREREQANSRPKTTTDNNSNEQNGDEYYDPRQQPHQQYDD >cds-PLY73273.1 pep primary_assembly:Lsat_Salinas_v7:8:206076581:206077062:1 gene:gene-LSAT_8X129541 transcript:rna-gnl|WGS:NBSK|LSAT_8X129541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLTKKDILGASDPCVKIKLIESKLPSKKTSVKHKNLNPEWNEEFNMVVKDPESQVVEFQVYDWERVGKHDKMGMNVVPLKEIVPDEPKDVTLDLLKNMDLNDVQNEKSRGQIVVDLLYKAIKDEDMLHLKM >cds-PLY75275.1 pep primary_assembly:Lsat_Salinas_v7:7:66619315:66619758:1 gene:gene-LSAT_7X47581 transcript:rna-gnl|WGS:NBSK|LSAT_7X47581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLVITTFLLTFNLVFFTLASSTNTAKGCPPPPKPSTPSKPQTPTKPPKPSTPSTPPKATCPRDTLKLGVCANLLNNLLPIVVGNRSETPCCSLIAGLTDLDAAVCLCTAIKADVLGINLNVPVSLSVLLNYCEKKAPSGFQCT >cds-PLY95414.1 pep primary_assembly:Lsat_Salinas_v7:9:188465669:188468457:1 gene:gene-LSAT_9X115661 transcript:rna-gnl|WGS:NBSK|LSAT_9X115661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCLIVCIFSRKSENGGFGTVYKDQLDSEKIDISELENLFSAAIPPNKNAAKSKSAPIANIPEKVQLIDHRRAYNCEIMLSKVNIPLHELMEEAADDKAPQSERGIQSRAILGRQVKGIVTEQVESGLGPYEIEYDEEWLAITRRKVHGGQQSMQVS >cds-PLY84705.1 pep primary_assembly:Lsat_Salinas_v7:5:37357250:37359117:-1 gene:gene-LSAT_5X17360 transcript:rna-gnl|WGS:NBSK|LSAT_5X17360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIDSSPEKLADEAQVFIPEEWEEAADVVAYDSITCPPPICVICGPPNSGKTTFSRHLLNVLIHRYRRVAYLDTDVGQAEFTPPGCLSLTLADKITPDLAIPCLKTPERCFFFGDISPRKDPQTYLKYIHELYDYYHQTIRGEHLKIGVPLVVNTPGWVEGYGLNLLMNMLKHIAPTQVIKVHVPTESKNLPTGAFWLPDPASHEVTLIDINSNRQDSSKKSVQSQDDARLLRDLRLLAYFRKCFPINMSLTTIQELDHALAVHAPYEILMSSVTIKQLHSQDMEAFYKSNCSIVALADSSLSCQNSPCCVGLGIVTEVDMSRKIMCLITPVPEHILEKVDILLHGLIKIPACLIKVQGHKTSSSVPLTTN >cds-PLY62824.1 pep primary_assembly:Lsat_Salinas_v7:4:30997395:30998330:-1 gene:gene-LSAT_4X16560 transcript:rna-gnl|WGS:NBSK|LSAT_4X16560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLAPDAKGRNKRAHSAFMGYEDDDDTDEYYLHAGEDEEEEDEAQREYYRKKIMELYEEKMKKFAREDALAEQIKCEERKKLWKRPTKKIKMEQPKPTTQIVSNQVTQQLKQFITNNEMNAVEERKRSKSTPTVEKNKKVEIVRNKITQELEEFVTNELEGTEAKVVIQKTLFSSDLRKNQNRLSMPMKQLKPDEFLRKNEKEDLENGMELKVGLLGPRLEMHANPMMLKMWHMKKTKNYVLKTNWNEFVMANEKDLEINKTIQVWSFRREEKLCFAIACLERDVDPQNGAA >cds-PLY83172.1 pep primary_assembly:Lsat_Salinas_v7:3:94966330:94968914:1 gene:gene-LSAT_3X71041 transcript:rna-gnl|WGS:NBSK|LSAT_3X71041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVYAYPSHFMQSSSLVSSFSFRNLVFKVKDFISSAVSMVIGNVFSAIFTFFFALVGTLLGALTGALIGQETESGFVRGSAIGAISGAVFSIEVFESSLVLWKSDESGIGCLLYLIDVIVSLLSGRLVRERIGPAMLSAVQSQMGAVETSFDEVQNIFDIGGSKGLTEYSVEKIPKVAITRDNDVDESGELVSCSVCLQDFQLGETVRSLPQCHHMFHLPCIDKWLMRHGSCPLCRRDL >cds-PLY73822.1 pep primary_assembly:Lsat_Salinas_v7:7:67123550:67129536:-1 gene:gene-LSAT_7X49320 transcript:rna-gnl|WGS:NBSK|LSAT_7X49320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVYPILMFFFSTLFVLISSSAALDTISPNQPIKDGNTLVSEGETYELGFFSLGKSQNRYLGIWYKHVSTFTIVWVANRDTPINDTSGKFQLSNEGKLLILSGNNTLIWSSKSSIRNVNLPVAQLLDSGNLVVWDETNTKEAPIWQSFDYPGDTLLPGQKLGKDLRTGIQKNLTSWKSPDDPSIGRYKVFLNTDGYPQTFEVEDEVPHSRFGPWNGEGFSGLPRENTNPIFSVEFVVNEKEMYYTYKLKSPVLQRIILTWDGTHLQFSWINRTQEWVLYGNVVVDNCSQYGRCGPYGRCSTKTYPPCNCMEGFEPKVLEEWNSGDWSSGCQRRKDFECGSTQEDGFQKISGVKFPDTQHSWYNVSMSLRECEMACRRNCSCRAYANLDVREGGSGCLLWLDELMDIREYDDNQDLYIRMATSELPGKSSFKRMKLIIVVVLSVSSVALLLSAVAYACRNKKKGRGYWTNTLNRDDQVENLDELPFFSLHKIVKATANFHIDNKIGEGGFGPVYKGVLKNGELIAVKRLSETSQQGPDEFKNEVMCIAKLQHRNLVKLLGYCIDGNEKILIYEYMDNKSLDSFLFDETTSLMLDWGQRFHIIHGIARGILYLHQDSRLQIIHRDLKAANILLDSEMNPKISDFGLARKFVGLDAMAKTRNVVGTYGYISPEYAVHGHISVKSDVFSFGVIVLEIVSGRKNRGFSQEGHSDNLLGHAWRLYKEDKSIELINASLLSSCVVSQVLRSIHVGLLCVQQHAEDRPTMSSVVSMLVSEVMLPLPKQPAFFTEESCRELEFGSSSNEYMITLLYAR >cds-PLY83022.1 pep primary_assembly:Lsat_Salinas_v7:5:51028017:51029722:-1 gene:gene-LSAT_5X24121 transcript:rna-gnl|WGS:NBSK|LSAT_5X24121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHAPERONE-LIKE PROTEIN OF POR1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23040) UniProtKB/Swiss-Prot;Acc:Q9FN50] MASLLLSNPIVSSSFLGKNLSFNGNIRKSTLSALVFRSPRCAAADATYGGNTPKYPRSNVWDPYKRLGITTDASEEEVWSSRNFLLDQYGSHERSAESIEAAFEKILMTSFQNRKKTKINLKTRLKKKVEESPPWVQNLLAFVELPPTIIILRRLFLFSFMAFWSVMNSAEGGPAFQVALSLFACIYFLNDKSKSIGRACLIGFGSLVVGWVCGSCVVPIIPAAILQPTWTLELLTSLVVYIFLFVGCTFLK >cds-PLY92943.1 pep primary_assembly:Lsat_Salinas_v7:3:113560102:113561734:-1 gene:gene-LSAT_3X83101 transcript:rna-gnl|WGS:NBSK|LSAT_3X83101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAAVDRLKKVNSLQKKLHPTPKILPSISIKGQFSFSSIPGMLEDKKVESLTPKTDHVAVGLSKGSRTLSSQSQSQTGTHMRNVKDVFLSAFSSSNGKKKT >cds-PLY77608.1 pep primary_assembly:Lsat_Salinas_v7:2:164773683:164776127:-1 gene:gene-LSAT_2X86480 transcript:rna-gnl|WGS:NBSK|LSAT_2X86480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPNPIDQLSIPTTNGNLHKPLITTNHFILPVITLALLITVHLYIGNFSDLRLLNTATPAGNSTEDLKCNIFQGSWVFDDERETYYTNETKCIIDDRQNCMKYGRPDTDFMKWRWKPDKCELPEFDAAEFLEVVRGKSLAFIGDSVGRNQMQSLVCMLASEAYPIDVSYTNDTRFRRWEFPSYNFTLIAIWSPLLVKTRDPNPTNFTRDSIMNLYLDEPDDAWTSEIENIDVIIFSAGQWFFRPFMYYEKGEIVGCHFCNKDNITDLTVYYAYQMAFKTAFTTLLNHQNFTGLAFLRTFSPQHFENGDYNNGGNCVRTRPFSKEEMKLDGYKLQMYLTQVNEFKLAQSEGELRNKEMKLRLLDTSDAMIMRGDGHPNHFGHPASDNKSYPDCVHWCMPGPVDTWNEFLLEMLKMEEGEGFIERAPQRNITKLNLR >cds-PLY81733.1 pep primary_assembly:Lsat_Salinas_v7:3:30411109:30411423:1 gene:gene-LSAT_3X22981 transcript:rna-gnl|WGS:NBSK|LSAT_3X22981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYGTSRCTKEELQCMNMAKYKLRSRRYNQHLKKMMTMIKKGKSEGLLTKLPTSDTRDLISLLQQIDGLVTELSASKRHVLQETLSVVCEEAAVVMNMLDCMKI >cds-PLY67476.1 pep primary_assembly:Lsat_Salinas_v7:6:70652096:70654513:-1 gene:gene-LSAT_6X48860 transcript:rna-gnl|WGS:NBSK|LSAT_6X48860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTELRHLDLSYNSLYGSIPPEFGNLTNLEVLDLSNVGRCRIENIEWLSHLSHLEILEMDGISLAKANQWVNVISTLPKLSFLSLDGCELSQVVHPYSSSFLNSSSSSIETLILSNNNLTSSMYRWLFPLTSNKLRFLSLSGNMLDGLPKYLGNLCSLEGLYFNYNSDVVNFPSFLYNLSGCTSLTLQSLYAERSQFTGSFSDDIQKFSSLGRLDLSDNHIYGTISEKLWELPSLEEIDLSQNHLSGAISENIGNSMASIINLSKNPLQGVPSTDHMSNLSYVKQLDLSSCNLGPHFPRWIQKLEKLTRLDISNTRISDTVPPEFWNMQFRYLNISFNNISGQVSDLSSRDFAKTIDLSSNSFYGPIPHLPPRLASLNLSENKFSGGISFICQFVDGLLQFLDLSHNSLIGQIPDCLWHFKELKVLNLGHNSLSGRLPPSIGSLIELEVLYLYNNSFSGQLPLSLKNCTNLNFLDLGANRFSGNLPAWIGENLSGLYALILRSNNFFGTIPLQVCQLPNLQILDFSRNNLHGSIPSCLSNLTRMAQEGLLPPPNVHPYTAPSYSHRYLSYTPKMYNGTREEYDEEEYVDHAMIEWQGDEREFTRNLGLLKSIDLSSNKLTGNIPHELTNLHELLALNLSKNALLGEIPQQLGEMKNLLALDLSRNSLSGGIPTSMSQMTSLCYLDVSCNNLSGRIPSSTQLQSFQPSRYDGNAGLCGPPLSKKCPGDEESQVGKSEGDEEDIDEDWGWFYTGGGTGFVTGFWIACGPLLLNRRGRHAFFQFYDNFKDWVYVKVVVFIAKLWRIAHM >cds-PLY65420.1 pep primary_assembly:Lsat_Salinas_v7:1:60887539:60889120:-1 gene:gene-LSAT_1X51681 transcript:rna-gnl|WGS:NBSK|LSAT_1X51681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSALSISTFPSTSRFNDVFPSSSSTLLHRFSSDRHSLPSQSRSFSSTAKSSSNSSGNFSADDPFGFYPWESSGGDSGIEWEQQETITLFTSDGLVQIGGLMVPKRVPSAHKKQSKVKTSPRFQQYKESNYMDPAQGLCLGALFDIAATNGLDMGRRLCIIGFCRSIEMLSDVVEDTVLEHGGEVVVAEKASKGGLNERLTMTVAVPLLWGVPPASESLQIAVKSGGGIVDKVFWQWNLW >cds-PLY85335.1 pep primary_assembly:Lsat_Salinas_v7:MU040991.1:474277:476025:1 gene:gene-LSAT_0X12661 transcript:rna-gnl|WGS:NBSK|LSAT_0X12661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSHCFLLAFFLLVATSVAHVGFGAPISISLSSTPSLPIGYPQPNTPSLTVVPGTPACNDTPPPSPTVSPPVYTPPPVPNPPVPAPTPSISPSPAPSVVACPPVATPPVSSPPPPVPYNRRLKPQPITPISPPIPTPPLLPTPAPSISPTPAPSVVACPPVATPPPVPYNRGLKPLPITPTPPPIINPPFLDPPTVAPSPANSPSISPGLPCNDTTLPPVAPPLPYPPNTPPYNPPDVTPLPPVIPPTIPPFPTNTPPPPVTPLVSPPPPPPPVPVLPPSPVTPAPAPLPCPTNPPPPPPPCITTAPPPTNSTPPSPNPPKMPPYIPSPSIPPNYPVIPIPSPPCNNDAVIGKQPIH >cds-PLY79685.1 pep primary_assembly:Lsat_Salinas_v7:5:253241208:253241438:-1 gene:gene-LSAT_5X129100 transcript:rna-gnl|WGS:NBSK|LSAT_5X129100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCRSEKNKVFRQSDSHKDEGDGDEDDNVVDLMKRSPSPAKRQSQQRDDSKEGEDTPVMEILVVVHIFGGGGGEYFR >cds-PLY95733.1 pep primary_assembly:Lsat_Salinas_v7:2:111591366:111593659:1 gene:gene-LSAT_2X50980 transcript:rna-gnl|WGS:NBSK|LSAT_2X50980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATEVGFESAPEVIGPPTPPIPIETPQISSSPPPQIPTEIVSDEEMSLIEAAFSFAFASRSSPTSLHVHRNPPSVTSITLLSKRNCVGDIEDGIGIGRTQKKKKFTESLLYRFRRKTGLFVTDITSTEWCEKQQEFFLLRGKPKASKAMKAGSARHAVLEAEVITTVEVLIRSSEERWALKFINFIHGTNQLFLEGLTRELPILGFVEGVCVVGVIDEIRETTLMENRHVPTLVETKTRSQNNLPSEPQQRNGRLQLMCYKYLWDHLVANPFPTSQFLKLFSLNPNYVLSKEIQESAIQAGVEAQTLHDVLTQYQYICSKLPKAHNELLLRYEYQKDQSLIGENQYLYDSDWVTGQIKSSIEFWKGEREANYVLEDERWKCCLCKYASMCPVNAKSEEHTAHTTKE >cds-PLY66936.1 pep primary_assembly:Lsat_Salinas_v7:7:19221769:19222188:1 gene:gene-LSAT_7X15460 transcript:rna-gnl|WGS:NBSK|LSAT_7X15460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNTLKKILITLIILLALAINLSATPIEDEEKDVFPTHGSLRGASRFLAQQSRGLLKCNKNPRLCRVKGSAGPDCCKKKCVNVKTDKQNCGLCGKKCKNQEICCKGKCVNPLADKRNCGGCNNRCKKGNSCVYGMCSYA >cds-PLY66161.1 pep primary_assembly:Lsat_Salinas_v7:2:4715446:4717021:-1 gene:gene-LSAT_2X3181 transcript:rna-gnl|WGS:NBSK|LSAT_2X3181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor, Endoplasmic reticulum stress respons [Source: Projected from Oryza sativa (Os06g0622700)] MEEQIDWDNLFDFDASFSDPSPNPILPISSPNHANSQSPLSVDDIEQLLLNDDDETHNADALNSVQDAVDVFFHDILLDSPLDVEKSGEVVDISDGDNSVEDQNNEAIVDHKEEKENSDDDPNDPLDKKRKRQLRNKDAALRSRERKKMYVKDLEMKSRYYEAECKRLGSMLQCFIAENQALRFSLHSSKAYNASTTKQESAVLFLESLLLGSLLWLVCIVCQLVLPSLHLQKLGAAQLETVVEEKLLPNLVVRKEGSKICREPLSLLVGKRFKSSRSRMRSSLCSLEFVSKILVVF >cds-PLY64165.1 pep primary_assembly:Lsat_Salinas_v7:2:20720892:20722514:-1 gene:gene-LSAT_2X8960 transcript:rna-gnl|WGS:NBSK|LSAT_2X8960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHSVVNAIFFCLYTSVYLCSSKDTINPPEKVSAVLAFGDSFLDPGNNNYIITPGKANYLPYGKDFMGGKPTGRFSNGKNIADFFGTFSISYTL >cds-PLY89128.1 pep primary_assembly:Lsat_Salinas_v7:3:22393045:22393583:-1 gene:gene-LSAT_3X16701 transcript:rna-gnl|WGS:NBSK|LSAT_3X16701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISSIISMLPISSSFTSTSIAIITTINWSITIRYKATAFLKKSSSQTHLNSKSPQFPVVEKALRVLEWDKVCDSVASFAGRATVFGSIIPREFVTISRDKCGSIKKTRKGYLVCDFMHLNRMARYH >cds-PLY84879.1 pep primary_assembly:Lsat_Salinas_v7:8:145107153:145107548:-1 gene:gene-LSAT_8X98280 transcript:rna-gnl|WGS:NBSK|LSAT_8X98280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVQILKLLQPGAFTVLFWKDIVWLKSISELSTLIKGVLTSKDGKTMSLSCLWEKWDFSKAKQVFSYINKRGYPPTVISNTTLKEIDQDHRVILNNEMIVL >cds-PLY64509.1 pep primary_assembly:Lsat_Salinas_v7:8:124472616:124475031:1 gene:gene-LSAT_8X85581 transcript:rna-gnl|WGS:NBSK|LSAT_8X85581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHRFRIPFNSRGSLHGVFSLVFLVFCFHQQTHKWNYKVINRVGLHSTPRNLGIKTPPDCTGLNQHEGYESVCEFLLANPQCKSDGFFNYMNFFYCSCQNHAFFGYIVLVLWLAALFYLLGNTAADYFCCSLEKLSNLLRLPPTVAGVTLLPLGNGAPDVFASIAAFVGTDNGDVGLNSILGGAIFVTCVVVGTISICVADQAVNIDKKCFIRDVSVFLFAVVSLAFILLIGEVSVGGAIAFVFIYIVYAALVAANEFLRLRDGILNIETISVPLLAVTQMDDYENKDLESINMSFTDSDDVPLLIESKVPHWMWGSNVSIYSEVLGHMEDNPKPLWGWKDEEHNNSPLSCSKLFSCLEFPLSLPRRLTIPIIEEGRWSKGYAVVSVTLAPSLLAFLWNNQYGNNRLATGLIYLACAVVGCFLGGVAFIFINTNHPPQKWVLPWVVGGFFMSIVWFYMIANELVSLLVAFGLIFGINPSILGLTLLAWGNSMGDLMSNVALAVNGGEGVQIAISGCYAGPMFNTLAGLGICMLIGSWSNRPESYKMGGDVGMFCNIGFLVVGLVWSLVVLPRNGMQPSKLLGIGLMGIYVVFLGTRLGMSIGDGSLDNTG >cds-PLY85962.1 pep primary_assembly:Lsat_Salinas_v7:3:201525996:201526559:-1 gene:gene-LSAT_3X119321 transcript:rna-gnl|WGS:NBSK|LSAT_3X119321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVSFIEIQFNPEEEDIHDDLIISGKNCVTRVEVDYLLKAQESRLRTLNGEVDKNHEERLVTHSSSFNHEITKLRDVAKARHEIIMENMTETKESLLLKVTKFQTSMSKEVKKLEDNYNFLHEKVDVVAGATTRLVELDKDYSKDLKARFEKYGNVFGKAEEFFV >cds-PLY88273.1 pep primary_assembly:Lsat_Salinas_v7:8:152815127:152816826:-1 gene:gene-LSAT_8X102760 transcript:rna-gnl|WGS:NBSK|LSAT_8X102760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPEELQSFLEHDFHQAGLYSPKMASSPCDIHDFYSSITSPEDSMISSESYFQTMSSCNFSTLSNLDDDMQVLVPIENISQHVLGIEGISGNELEDVLKWWGESEEMDNISSEGTSMDNVGVCGSPFIKSSDTTMMLMPPDDMEVEGETSLYHLLNAYGEAMEMRQRELANVIIGCINEKASPLGGSMERVAFNLFHSGTQGDYIKHESMKNFNVAFKAFYEIFPYGRFSHFVANSAILEAIMSNSRKIHIIDFDMGEGVQWCAMFEAIGKLGKDTKLTSIRTKEQSHSFEETKIRLLNCASASKLKLKVQEVTIEDLMKEIEGSQEYEFLAFNCMVGLPHMGRTRNRCEVMEFQRVAKQVLLMREGIIIFGDGEDVERTKYCGNYSSFFDKYLTHYHALYESMEQNFPENLTEARIAMESLFVAPYVSSLSWHQKWENIREESEFKENLGLMGWRLSKESVIEAKEMVKESQSSYNIRVEGKNGNEMVLEWRGTPLVRVSCWRYKR >cds-PLY79104.1 pep primary_assembly:Lsat_Salinas_v7:4:11793483:11794530:-1 gene:gene-LSAT_4X7760 transcript:rna-gnl|WGS:NBSK|LSAT_4X7760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSLKGYMEHLSVIYETMIRFFSLKNIPLQKSLTMLWQSRSLSHALCGSPVSDAFIMTFSNHFMCLRIRLHSYRDKSWVQGVNVDVDEVNEKEYEKVVAKSAHEKLLIEKLYMCIRIENLC >cds-PLY67801.1 pep primary_assembly:Lsat_Salinas_v7:9:165672624:165680140:-1 gene:gene-LSAT_9X103100 transcript:rna-gnl|WGS:NBSK|LSAT_9X103100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICRTQICRSNNQWCTLISVTLFAVAFVAAVSYASNRLSLLSLPSSLSPWRWPSSSSSSFSIHSFNLHHLQPPLPPPLNPKTALYSMFHCDSDACKKQNGKMNKVVSRLIKELKDETLLRRSKKKKRDEKLEKVEAELARARVLIRDAGMNLSRSSYPLHDRDYVPHGSIYRNPYMFHRSYLLMEKLFKIYIYKEGERPLFHTGPTTNIYSMEGLFLNSIENDPNFKVSNPDKAHVYFLPFSVVMILDTLFDPIIRDKAVLERVIGDYVHIVSSRYPYWNRSLGSDHFMLSCHDWGPRATWYVHSLYFTSIRLLCNANTSEYFNPRKDASIPEINLLRTETTTITGGLPPPNRTNLAFFAGGEFHGKIRPLLFQHWKDKDEDIQVYEKVPNNVSYLDTMKRTRFCICPSGFEVASPRIVEAIYAECVPVLISQNYVLPFSDVLNWDAFSVQVSLSEIPNLKKILLGISEDKYKKLQENVKKVQQHFLVNNPTKRYDVYHMILHSLWLRRLNLQIYS >cds-PLY68972.1 pep primary_assembly:Lsat_Salinas_v7:9:141826294:141832140:-1 gene:gene-LSAT_9X91461 transcript:rna-gnl|WGS:NBSK|LSAT_9X91461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSTSSIQKSFKYDVFISFRGIDTRKNFVDHLYHALKHKSIYTYKDDERITRGKRISDELIGSIKDSKFYIIVFSKNYASSSWCLDELVKIMECHKTNDHTAYPIFYDVEPTEVRNQSGAVGEAFVKHEMEEDARKWRQALKEAADLAGWELKNTADGHEARFIKKIVEELSLELRSINFSIDEKLVGMEIRIKDVMSCLATDIDDVRMIGIKGMGGGGKTTLARAVFDQISFHFEGKSFIDNVREVSNASLSGLKSLQSQVLSDVLNDRCISITSVHEGKNKMKQIMCDRKVLVVLDDVDHIDQLDALAGEPDWFKDGSRIIITTREEQVLVAHRVNVIQNVNLLSDKEAICLFSRYAFGREIPIEGYEELSREVVRYAAGLPLTIRVLGSFLCGKSELEWIDAQERLKTIPLTETMKKLELSYIGLDEDYKEIFLDVACILQGWRKESAIEFLESCGFHARNGLKVLEQKSLINTTLGFEYVKMHDHIKELGRNIVRRSHPDKPNKHSRLWIKDEIEDILVNDLGTKATRHIRLWSRSQKLNPETVLKGLRRTTELRSLHLSLRYFDASRSGCFNCNWKFNHASPYLPNTLQYLFWNGYPFRSLPETFQAYNLVGLDLMSSRMAQLWEGGAKKVLNKLRFLRLNCLKLRTLDLGLAPNLETLTVGECRDLLELYMPVACPKLKSIDLSGTKLRTLDLGPAPNLKVLILNECRDLVELYIPHGCLKLENLNFTRLKLRILELGSSPNLEALSLYECKDLVEFHFPDGCVKLRYINFTRTKLTSLDLGQALNLEILILDECEDLVELHMPSRSVNLISLLLSNSKSRTLDIGLSPNLEHLNLNNCYHLEELNMSDECQKLTSLEIRHSKLRTLDLQLTPNLKKLDLKECHNLVIHSHSIKGLEKLVYLNLTGCLSFGSFLFETRDYGTSCTVDESLEVGPLAELHLVAESLERCQFHPDNSLPKFEFTCFYKENRHLLTGNLEKLVSIGLCACTNLETFSQSICGLQHLRKLILKGNIPEAPRDLNQLECLEELTLLSTDIIRLPDSICMLKNLKSLELISCWCLEKLPEDFGLLKCLEELHLSCTKIKHLPDSICMLKHLRYLELVECLLLEKLPEDVGRLECLEELTLSSTKIEYLPDSICMLKHLESLELNHCLLLEKLPEDLGQLECLEKLNLMECKILRGIPNSICKMICLKELDLQDTCINYLPKSILLLKGLRIVGSRGLLQSCGFTSQIQISEIENFCYVEV >cds-PLY98591.1 pep primary_assembly:Lsat_Salinas_v7:1:40018114:40026285:-1 gene:gene-LSAT_1X35361 transcript:rna-gnl|WGS:NBSK|LSAT_1X35361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRETLATTSSSSSSSSTETNVIPPAEAAWVTTYERLSPRWKSFVLPSQSAIPIVISRVNQVDAARLDVEMSAMLKEQLVKVFSVMKPGLLFQYEAELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDEHAMTTRGEIRTGLEGPGLTIAQKIWYCVATVGGQYIWTRLQSFFAFRRGADSNQRSAGHRAWFIIQRIEGFYKAASFTNLLIFLYSGRYRNLIERALGARLVYGSPHMNRAVSFEYMNRQLVWNEFSEMLLLLLPILNSSSMKNLFRPFSKDKSSGSEGDDTLCPISNESFEKVASFGLLPNMIIYLMSDYHTSAALGTIILLIWSAATNLLPIAGAFLSDSLLGRFLTIFLGSIFSLVGMILLWLTTVIPGAKPPVCNVRVPGSCASSTPLQYGLLFLAFMFMSVGAGGVRPCSLAFGADQIDRKDNPKRERVLESFFGWYYATAIVAVLIAFTGIVYIQDHQGWRVGFGIPVVLMFLSTFVFVVAYPLYYNVKVEKSMFTSFCKVISMAWKNRNLTLPCLSVSDTNGKTMPTDTLRFLNKACISRKPEEMSKVCTVEEVEELKALIKVMPLWSSSIMLAVTMNQSSFPVLQANTMDRHITSSFEIPAGSFSFFVIITIMIWVTLYDRVILPLLSKINGQPVYISVKLRMGAGLIFGIMAMVLSGIVEHIRKTKAIEEGFLNDSKAVVNMSAKWLIPQLVLIGLAEALNIIGQTEFYYSEFPKSMSSIASSLYMLGSGVASLLASLILSTVDALTKRGGKVSWISTDINKGHYERYYWLLAILSAVNFFYYVACSRAYGPCADKVVPKGEKIDNSGEHVAPSSS >cds-PLY74608.1 pep primary_assembly:Lsat_Salinas_v7:7:38904532:38906028:1 gene:gene-LSAT_7X28421 transcript:rna-gnl|WGS:NBSK|LSAT_7X28421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSSTFEERLYQMEETRNQRLSLLQAEKELQQNKSQALASKLSGIRYIEQRCFKLDHKIASQQFIISSLKSQLDRLDSIYLNQIQQFRLLKSEVENLEGLDKEKDKYYALKACEIDDFRARAEIFATESQMRVDELRKCVNELQSSFIQLQHSAGYSNNPDLAAAEMRKSELQATKASLDRKLASNYNTRAQLQKQLKSLLLSQKRMEENLER >cds-PLY80910.1 pep primary_assembly:Lsat_Salinas_v7:8:134289853:134290182:-1 gene:gene-LSAT_8X92840 transcript:rna-gnl|WGS:NBSK|LSAT_8X92840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIISSGSFWKDMKRRSVKEGRFEVKHVEIEPNNVRFNDAFQRSIIAIIIKSPTAFVLPTPCAKLVGMETSRDWELSNEDVWRCATGVRGNSRRNDFSFFVLNHKEMNS >cds-PLY65694.1 pep primary_assembly:Lsat_Salinas_v7:5:273574227:273582309:1 gene:gene-LSAT_5X142561 transcript:rna-gnl|WGS:NBSK|LSAT_5X142561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLMVKYFFLVLQVCAIASTLVLEVSSGQTISPSASFSGAPPINEGQIISNSPGPTSQPNDPPHFESPNQPPPNAGGPIISIPESSPPSPPAVPPTVPVSVPPIPQPEKGPDSKSPVSPPTMSVQMAPPPQDMLQNPPPVAQVPPSSPQSPPIILPGPMASPPNKLPEASPPTNPTLPITPPNLPLPVDLPPSSPPTLPPSPPILPVPVDLPPSTLPSSPPTLPLPSPNLPAPSASPPSTLPINSPPTPPISTIPPPNSPAPMASPPITLPRNSPPTPPISAIPPPNSPVPIASPSPPSPVASSPPPSSAASPPPPPSVASSPPPPSVASSPLPSSVASPPPPSVASSPPPSPVASSSPPPSNLPGPVALPPRNLPGNLQPIHHNSSIPPPNLPVSPISTPIPRDISPVSSPPNRTTNITPPPHSPVKAPVVHHAPPPHSGYKSPPTKSHPPPSLPPLYPPPPPGPASRPMHHRLPPPPPGPASKPSHHTPPPPSLDSAVPPIENSISPSFSPSTTPPPVHSTMPILSPKVSPSRSPPMIPKPFQALPPPPPNEDCSSIVCVDPLTNTPPGTPCKCVLPMQVELRLSISLYTFFPLVSELAFEMASGLFLKSSQVRIMGANEDNQDQEKTIAIINLVPLGEIFENYTAYVVAQRLWMKQVPIKSSLFGDYEVLFVKYPGLPPSPPLPSSSYNGEPYGHGNNGRDVKPLGVDVSKQRHSNKLNSGLIAIIAFSVVVAVVLVGVVVWVLVFKDRNRAQSGSNPPATLPSVTKSSGVGASIGSGPESNSLSFRSSIAYTGSAKTFSSSEMEKATDNFNESGVLGEGGFGRVYNGVLEDGTKVAVKVLKRDDQQGGREFLAEVEMLSRLHHRNLVRLIGICTEEKNRCLVYELIPNGSVESHLHGIDKETSPLDWRARLKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEDDFTPKVSDFGLARTALDEDNRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSMPSGQENLVAWARPLLPSPEGLNLLIDPSLSPEVPFDSIAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNECEETQDLGSRSCSQEDLASMEFDQRAGPESGPTRSNYTGYESPLDVESGFSGLGLDVDSYRISSSSGPLRPRRRLQLWERMKRFSSGSMSDYGEVLRLLSRSR >cds-PLY65688.1 pep primary_assembly:Lsat_Salinas_v7:5:274923528:274926344:1 gene:gene-LSAT_5X145840 transcript:rna-gnl|WGS:NBSK|LSAT_5X145840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKIDRTVVFNSLLLNLLLCSSWIQISYSSKPVGVARKDDIPFIKCQVCEKLAKELYEQVRDKQAKISPKKISEYDIIEISENVCNLKKQEADWMLKIDIVEQGDRLELVEQDSEGQCGSECKTIERACQEVIGYYDTDVAEYIYKKKPQINSLVKYLCKDLTEACSKKPPPVPKGREPGEIFVAKSAKEAEMEKMLKSMEGMPGAPGMKMYSREELMSGKNFDDEDADDDDDDDDDESPIRSNLGKILREKENNKKKMDWKEKIRKGIIDASEATKHHATKIAFRMQKWWKAKKASFTHQNTKSNKVEL >cds-PLY77083.1 pep primary_assembly:Lsat_Salinas_v7:MU042616.1:597958:600277:1 gene:gene-LSAT_4X76880 transcript:rna-gnl|WGS:NBSK|LSAT_4X76880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTFYVGSEAGLKKLDEYLLTRSYITGYQASKDDLTVHAAFTKQPSSQYVNVSRWFNHIDALLRISGVSAEGSGVTVEGSACFPEEAIATPPVNGTKAAVDEDDDSDVDLFGEETEEEKKAAEERAAKAKASGKKKESGKSSVLMDVKPWDDETDMKKLEEAVRSVHLDGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDTLIEERLCEEPINEYVQSCDIVAFNKICVNNSTDLSQCCMILGL >cds-PLY71320.1 pep primary_assembly:Lsat_Salinas_v7:7:185162993:185164508:1 gene:gene-LSAT_7X111001 transcript:rna-gnl|WGS:NBSK|LSAT_7X111001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAGKRERVVHPAIEPLSYLLGTWRGEGEGGFPTISSFAYGEELNFTHPGNKPVIAYSQKTWKLSSGEPMHAESGYWRPKPDGTIEVVIAQSTGLVELQKGTYDAEKKVVKLQSELVGNASKVKEISRVFTMVDEELSYVVGMATSLTTHQPHLKASLKKI >cds-PLY89608.1 pep primary_assembly:Lsat_Salinas_v7:9:39465594:39467430:1 gene:gene-LSAT_9X35681 transcript:rna-gnl|WGS:NBSK|LSAT_9X35681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNETSIPLKVFVDDKKKKVMFAEAEEDFVEILFSFLTLPLGTIARQLSRIFPGDSTYTKLGSLTSLHESVVNLNITHFSSLESKGSLVHTINSSAHLCEKLKVNLNHDQRAPHEEIFLKKKAKFIITDDLNVVPIVLDKSIELLNSLGVDYIDELQEKTVNFGLKEFIHLLKWSLFTNNSLTNCVLGGRKPSSSFFTNSTLTIPSTTSGQIQTQNIKLLIQKSKKKVLCAQVENHFVELLFSFLTIPFGAYERLTKDIGSSPLMGISNLYNSISSLGEYLTSEDVKTMLLCPKIAERYACVTDLLPIYEVNKRQGSFLKKEQTAIFMVSNDLKVTTCPSIATISKFNTHGVPIHDMELLELSIGEQEALLLLKASLTSRSALTDWFRALLSMKKLKDLVPNNDFGVDGGSMSEEEVGSNSEVESHSDKLSVAGDAGRESEEEAVLISEEENRSDALSVISFD >cds-PLY72980.1 pep primary_assembly:Lsat_Salinas_v7:8:64641043:64641995:1 gene:gene-LSAT_8X46221 transcript:rna-gnl|WGS:NBSK|LSAT_8X46221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLTNLFNRKKNNGKDKSIVEETNPSKTTPVVSPVNSFDSRLRIEEELEHVFKKFDVNGDGKISSSELGSIMGSLGHRPSDEELDRMIVEVDADGDGFIDLGEFIELNTKGIDSSELLENLKNAFSVFDIDKNGAITADELQNVLGSLGEECTIGECRKMIAGVDQDGDGKISFDEFKVMMMMGSRFGEIESISS >cds-PLY73777.1 pep primary_assembly:Lsat_Salinas_v7:8:92344869:92346033:-1 gene:gene-LSAT_8X64341 transcript:rna-gnl|WGS:NBSK|LSAT_8X64341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVSAFEFYGKYETYVVNFKEEDLYKSLVSEYLIVSIEDSFHQDDWETYSKMTVECGLVKGKSSFYKNQKLTIMIKYLGLAIYELPNNDHAQVKHQIDNKHKLDYA >cds-PLY86284.1 pep primary_assembly:Lsat_Salinas_v7:8:54781296:54787863:-1 gene:gene-LSAT_8X40680 transcript:rna-gnl|WGS:NBSK|LSAT_8X40680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSNQKSFKYQVFLSFTGEDTRKTFVDHLYASLKQKGIHTFRDNEELEKGKRIDELFKSIEESRFFIIVFSKNYASSSWCLKEITKIMECQDGNQQIAYPLFYGVEPSDIRHQTGPVGRAIAKHKDNEQIKKWEKALEDAGNLVGWDLKNIANGHEAEAIKKIVEEISLKLGSIHLGNDEHLIGMEQRMQALEASLGIGLKDKARMIGIKGMGGIGKTTLARAIFDQISSHFEGSSFVADIREVSKKKGLESLQQQILSDVLKDERIVGSVNDGKRIMRTRLPYKKVLLVLDDVDDTKQLEALAGDWFKDGSRIIITTRDEKVLLSHRVNEKWIHDVDFLSDEEAIRLFSWFAFRRYIPDQGYEELAARVVHYADGLPLTIRVLGSHLCGENKDVWRDALKRLEKIPLQETLDVLEISYNSLEDDHKEIFLDVACFLNGISHEFAIRILESCGFHATYGLRILEHKSLVTISDGRLGMHDTIQELGKNIIRREHPHPHELNKHSRLWNEEEIVELLSDDEDKYPGFSLPHTFRAKNLVGLELTGSKITQLWESRERKVLEKLRFLDLKNSKVRTLDLGMTPNLERLGLERCRDLEKIYAPAGCLKRLIYIDLRGCPWSVSFPFVKQLEPHVLLSLPVLAVKVDPLEDFPKDHTNNLRFTFVYYKEPPLSREGIDYKTVFLDLQPCTKLESVSGSICGLQHLREVKFQGCITEVPNDIDQLKSLEQLILLSTHVKRLPDSVCMLKHLKSLNISDCQHLEELPENLGLLENLMELSLSTTSIQRLPDSVCMLKNLKSLELGDCQHLEELPENLGWLGNLEELVFISINIRRIPDSICMLSHLKSLHFDSCGGLEKLPEDIGQLESLEMLNLGKCESLRDIPNSLCNMKSLNHLYLRECRQVEKLPEDLGNLKLLQGLNIAFTGISHLPHSISSMNGLHVFGSISLLQSCAFATEIYTHEVLGPHCRIQAMDSTLARTELRSQSTQKYLTRFLENFMPAPEPEDLENMLQVITSFQGDNNGSSEMSEKETDMIIMMLNEELQKRSEMASSFNTQNPMETNRSNIPQSQLTNPDMREMTPDIKLSQEDAERAQQVMSSLSPETIDRLIKLADRIKTACEGAVKTKNWLLGRQGFLMVVFMLLFAIFLHWLGFIGN >cds-PLY89958.1 pep primary_assembly:Lsat_Salinas_v7:2:192660881:192664478:-1 gene:gene-LSAT_2X114681 transcript:rna-gnl|WGS:NBSK|LSAT_2X114681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PDIL1-3 [Source:Projected from Arabidopsis thaliana (AT3G54960) UniProtKB/TrEMBL;Acc:A0A178VF09] MAATRSLLLSLSILLLLCFNASCKDTHDLDEEDLSFLEEDEHDAAESSHHGYEDHDFENYDDLDDFGDGEGEYPDSESAPEAEIDESDVVVLNGSNFSDFLEKNRYAMVEFYVPWCGHCQALKPEYAAAATELKADEVALAKMDAGEETELAQKYNVEGYPTVLFFIDGVHKPYNGARNKDAIVTWVKKKIGPGLHNLTTTEEAEGVLTSESPVVVAFVENLVGPDSEELAAASKQEDEVSFYQTSSADVAKLFHIDPKGKRPALVLVKKEDEKVSHFGGQFVNSAITEFVSKNKLPLVIYFTRASSSQVFENPIKNQVILFTTLNETEKYLPIFQEAAKYFMGKALFVYVPMDEEDAGKPVAEYFGIEGSAPKVIAFTGNEDSRKFFLEGELTLNNIKSFGENFLEDNLKPFYKSDPIPETNDEDVKIVVGNNFDEIVLDESKDVLLEIYASWCGHCQALEPTYNKLAKHLRGIDSLVIAKMDGTTNEHPRAKSDGFPTLLFFPAGNKSFDPITVDTDRTVKAFYKFLKQHAAIPFKLQKPESTQKPQTESTQKLETESTQKPESTQESSNQDLKDEL >cds-PLY63547.1 pep primary_assembly:Lsat_Salinas_v7:9:150526227:150527485:-1 gene:gene-LSAT_0X5400 transcript:rna-gnl|WGS:NBSK|LSAT_0X5400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSMVMEMNLGENSGVKQSVNGGGGRRKTKGSELTQKKNKQPQRGMGVEQLERLRLQERWKKMTEVPSLHHPFALPAFSSSSCTTVPNPNTGVQFRPAQGAAPAMLFHGIGIHGTNLFSTAEHVMDPSVNVGFRFDNSKELSSIPNYVVKCASDGCGVCHKKKRIHGGSNSCSVNPFRNLAGNNSVVEMIDAAKTRLCSGQVTEVISVYRNGGSAMTEYEFFPEKGGRGTTSKTCCGSGSGSGSGGSVGGGTVALHGGDRSCVTAAITGNEEGSISSVDLSLKL >cds-PLY83007.1 pep primary_assembly:Lsat_Salinas_v7:1:17315147:17323224:1 gene:gene-LSAT_1X14961 transcript:rna-gnl|WGS:NBSK|LSAT_1X14961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQQSFSHNCGLRYSDLDIPPTEYELLAMETQVELEQMRQYLQAEMEAMRTELHGIKNILKAMIAIGISLLPGVVCGVFSCVFVVVDDDDGGDIDVDFNLESTNIEDRSFCSERNRRADCMDNLKEEKDAPWLSVPQFGDWDQKGPLPDYSLDFSKIREKRKQNKREISRTSIGNEEDFISSNKVKQDTPQPSAPLKQYNEDPHSPNVQKRRSGLYCFSCCMKA >cds-PLY70275.1 pep primary_assembly:Lsat_Salinas_v7:2:91178500:91180080:1 gene:gene-LSAT_2X39501 transcript:rna-gnl|WGS:NBSK|LSAT_2X39501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLENSRVSPPPATVGERSLPLTFLDMIWLSYFPVHQVFFYQSTHSKQYFLDTIVPNLKNSLSITLQHFFPFAGNIILFPNASVEKKPQIRHVQGDSVAVSFVECTLDFNDLTGNHPRKCDTFYPLVPLLGRVEQVSDYLIIPVFSVQVTLFPNSGFSIGITNHHSLCDANTRYNFLKAWSSIAKHGTDEFFLASGSLPFYERVIQYPSSLDEISLNIPGLPAINMEYQPPHLVSPTDKVRATIVLTKARISGLKNWLSTQLPKQEYVSSFSVACSYIWHCIAKSHVHIGERKGEDDVERFNCMVDWRTRLDPPVPQTYFGNCVGASLTSKIKSTILAGNNGFLTAFELFTKALSDTLKKKNGVIVDGERVIKTAFLPVPGFNVSGSPKIKFYDLEFGWGKPKRHETISLDYHSSISVNASKESDADIEIGVSLPAKQMDVLLSIFRELDGTLQ >cds-PLY72472.1 pep primary_assembly:Lsat_Salinas_v7:2:139126325:139127253:-1 gene:gene-LSAT_2X65940 transcript:rna-gnl|WGS:NBSK|LSAT_2X65940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYHTVSPANFTTVECHKQVRSWRLLRSILELLIQACTCTLVERPDFNDDNPPIHPYHHRKPSSLVFPTTATNITGTIFGSRTGKVSFCIQTNPKSQNPVLLLELTISTTFLAREMKSGNLRIALECSNDSEASPDKSLLATPLWTMYCNGRKVGFAFKRQPSASDLKVLKHMEMVHEGAGTLKAKDVERKQDIMYLRGNFSRVTGKSMARSESFHFIDPDGNIGQELSIFFFRPK >cds-PLY85070.1 pep primary_assembly:Lsat_Salinas_v7:7:7196705:7197900:1 gene:gene-LSAT_7X8101 transcript:rna-gnl|WGS:NBSK|LSAT_7X8101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRNSDGATTTTISLLQDTEAAEKNSGGDRPKEPWKGELVKSIVYSGLDAIVTSFSLISSISAGRLSSVDVLVLGFANLVADGISMGMGDYVSSNTEHDVAAKEKLVTEWDVTNRRRLQEQSLLDRYRALGMNHEDATMVVEIFAKYSDIMVEEKMVQNGILSPEEGEKPWKTGLVTFGAFLLFGSAPILAFIVLIPFTQNDTLKFIGACVLSALALAALGIAKAKIAGQNYALSVVGTLFNGAIAGFAAYIIGWGLRNVAGLEEE >cds-PLY90602.1 pep primary_assembly:Lsat_Salinas_v7:6:54870462:54871583:1 gene:gene-LSAT_6X40121 transcript:rna-gnl|WGS:NBSK|LSAT_6X40121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSNLLYDDQFLQQLQSCTNIDEGYRTSLGELVYKSYWQKRFSEPMTWIGIYIAFASLLCILAMAADLIHGLRHRKLWFPCKYFTLNAASLTVIAVAIKVPMDLSNQTQTSKLGSLAFMCSMMANLLPSLATMNNKELVANVIALGVLIITLVVNVCIQINTGAYSYRVYEVPKVVSPSHSPSETGDYVFRAIIIVAVLLMLLVLYACTSLAILKSKQILESKYQSVLKNEDLQPGGRLTVEKLKQYVRKYSIMAETGSPQFMTACSATTTASGVLCALSSVICHLNAHRKPDQNIVDSDYKWSIQAILIIQYIGAWLGAIAPISRCFAALRFKLSVKWIWNHFKVFKVESYWIQKLSDWKHSSIPFSSRRRK >cds-PLY94371.1 pep primary_assembly:Lsat_Salinas_v7:6:9711067:9714539:1 gene:gene-LSAT_6X6900 transcript:rna-gnl|WGS:NBSK|LSAT_6X6900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQLILFQLLCVTLGFFLPSYDAVQPIEVRALNSFKESIFEDPFLALSSWNSLDSDPCNWFGVTCSGNQITKLNISGSSIKGFIAEELFQLSALQELILHENKLIGPIPTSIGSLKFLRVLDLGKNQLSGPIPSEIRNLVSIVQINLQSNGLTGKLPLELQNLQNLQELRLDRNKLHGIIPGFCNSSSLKVADFSYNFFIGTIPKCLEHLPRINFRGNCMNSNNTKQRTHKKCGGTQPETAQIAGKSRLHPTEQDNYKIHHSKPPVWLLVVEVMTGVSITSLFLIALLTFVLRCKSKPSVLNLLKRSTNNKHDMSIHIDSNMLKGVTRYNRQELEIACEDFSNIIGSSSDSLVYKGIIKGGPEIAVISLCIKEEHWTGYIELYYQKEVADLARLNHENTGKLLGYCIDSNPFTRMLVFEYASNGTLYEHLHYEEGCNLSWSRRMKIIIGVAKGLKYLHTEIEPPFTISELNSNAVYLTEDFSPKLVDFESWKTIVTRSENNSRSISHEGDICVLPSSFEDRHLDIQGNIYAFGVLLLEIVSGRPSFCKDKGCLVDWAKDYIEKQEEMGSVVDPSLKYFKNEDLKVICEVVSLCIHLRPRDHISMKDLCAMLDDKIDTSSSSCSLAWAELMLSP >cds-PLY92083.1 pep primary_assembly:Lsat_Salinas_v7:MU039337.1:55364:56584:1 gene:gene-LSAT_0X34621 transcript:rna-gnl|WGS:NBSK|LSAT_0X34621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVDLQVSELGASVRSQERPSGVRSFRSVRSSGAFVRSQECPEFRCVRPESGVSGVSGVQERTSRVRSISPESGISRVQERSSGIQECSSGFRSVRSVQGLGVSVRNQEHLSGVRRVGSVQSQERPFRVRSFRSVRSSGAFVRSQECQKCPKFRCVRPDARMSGVHEHSSRFRSVRSVQGSGASGVRSVCLESRVSGVQERSSGVRSVRSVRGSEASFRSQEHSSGVRRVRSVRSQERPSGVKSVLPELGVLGVSGVQERSSGVRSVRSLRGSGAFVRSQECLEFRSVCLESRASVWIQERSSEVRRARSVRQESGASVWSPECQEFPKFKSVRPKSGASGVFEVQERSSEVRSFRSVWSSGAFIRSQECLGFRSVHPVSGVFGVQELPSGVRSVRSESGVSGV >cds-PLY64140.1 pep primary_assembly:Lsat_Salinas_v7:1:1997892:2010090:1 gene:gene-LSAT_1X1961 transcript:rna-gnl|WGS:NBSK|LSAT_1X1961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKFLFTFLFISIILWLLFISASKFVAWTLSRIMKASVAFKVSGWKCLRDVTIKFEKGAVESVCVGEIRFSLRQSLVKLGVGFMSRDPKLQLLISDIEIVIRASNKNAKKSESQKSRRSRSNPGRGKWMVVANMARFLSISVTDLIVKTPKATVEVKDLGVEISKDGGTKPCVLVKLQLVPVVVHLGDPRISFDQSSSFCNAESFTAGQTCFASIEKASAPFFCEEFHLSSEFGHDREAGIVVKNVDISIGEIALNLNEELIPGKKSTDTQVDDFLQSTIEHTFAKKKENKQSPLLAVTKYTSFIPEKVSLTLPKLNVRFVHKEHYIVMENNIMGIQLKSVKSRFVEDIGESTRLDLQLDFSEIHLLKEAENSVVDILKLAVISSVYIPLQPTSPIRCEIDVKLGGTQCNLFMERLNPLMKLSSSKKKKMVLGDENANPVPVTVQTSSSKAIMWTCTVSAPEMTIVLFNLSGLPIYHGCSQSSHVFANNISSTGTAVHLELGELNLHMADEYQESLRESLFGVETNTGSLLHIAKITLDWGKKDRESQSPQEDSSKFILVVSVDVTGMGVNLTFKRVQSLLSTALLFKALLKASSQSLKTSTQSRAGRSTKTSGKGIRLVKFNLERCSVNLSSEVGLENEVVQDPKKVNYGSQGGRVTFSALADGTPRTAKIASTVSDEHKMVKCIVGLDIFHFSLCLNKEKQSTQLELERARSVYQEYLEDNSTRTKVTLFDMQNAKFVRRAGGLKEIAVCSLFSATDITFRWEPDVHLSLVDLGLRMKLLIDNQKRQSQEDKLLKGMYGSGNNGHTKEDSVGSFESEKNKKKKESLFAIDVEMLTLTAEAGDGVEAMIKVQSIFSENARIGVLVEGLMLSFNSAKVFKSGRMQISRIPHTSKDSGGKWDWVIQAFDIHIIMPYRLQLRALDDSIEEMLRALKLVTSAKTKAIFPSKEDAAKPKKPSSSSSKIGRVKFYIRKLTADIEEEPLQGWLDEHYRLMKNEAREVAVRLSLLDAVTAKGTQSSAVGDTDDSVYEVGGEEMDASSIEKLKEEIYKQSFRSYYRACKSLVTTEGSGACSQDGFQSGFKLSTSRTSLFSITATELDLSLSAIEGGEAGMIDFVQKLDPVALEYKIPFSRLYGCNINMQTGSLVIQLRDYTYPLLAGTSGKCEGRVVLAQQATPFQPQMLHDVYIGRWRKVQMYRSVSGTTPPMKTYLDLPLNFQKGEISYGVGFEPSFADLSYAFTVALRRANLSVRNPNASNTTPPKKEKSLPWWDEMRNYMHGKTTLCFSESTFNILATTDPYEKSDKLQITSGHMELRHSDGRIHVSATDFKMFTSSLENLLRNSTVKPPAGTCGAFLVAPSFTLEVTMDWECESGKPLNHFLFALPSEGVTREKIYDPFRSTSLSLRWNFSLRPIPSGNGFVAFDAALHDLSKLENDSISSPTLNVGPHDFAWLIKFWYLNYIPPQKLRYFSRWPRYGVPRIPRSGNLSLDKVMTEFMFRIDSTPTCLRHMSLDDNDPAKGLTFKMTKLKFEMCYSRGKQKYTFESKRDILDLVYQGLDLHMPKVFLNKDDTTSVIKVVQMTRKSSKSSSTDRVVNENSGNAKGTTERHRDDGFLLSSDYFTIRRQSPKADPARLLAWQEAGRRNLEMTYVRSEFENGSDSDEQARSDPSEDDGYNVVIADNCRRIFVYGLKLLWTIENRDAVWSWVGELSKAFAPSKPSPSRQYAQRKLHEETQLQNKNESQSFQEDASSKNPSVAQGASSSKQKEASGSDPSLANSTKAHSQSFGAIDKHGFDDSDEEGTRRFMVNVIEPQFNLHSEDANGRFLLAAVSGRVLARSFHAVLNVGIEMIKQALGSGDVNNPELTWNRMELSVMLERVQAHVAPTDVDPGAGLQWLPKIRRSSSKVKRTGALLERVFMPCDMYFRYTRHKGGTTDLKVKPLKELAFNSQNITATMTSRQFQVMLDVLTNLLFARLPKSRRSSLPKSAEDDEDIEEEADEVVPDGVEEVEIERVNLEHKERARSLLFDDIRKLSVLPDASGDVSSEKEGNLWMITCGRSTLVQRLRKELVNAQKSRKMAAASLRMAMQKAAQLRLMEKEKNKSPSCAMRISLQINKVVWGMLVDGKSFAEAEINDMASVETTNRSEGSCYETCFCLQMQIYDFDRDYKDVGLARFTTKYFVVRNCLPNARSDMLLSAWNPPPEWGKKVMLRVDAKQGAPKDGNSPIELFQEVWKVSTTAGLRRAKKGCAVNEASASTSHSTKESDGSSRSSAYGVALTSSIHGDAMHASKLQSAKNTAPELGRSCSFDRRCEESVAESVTNELMLQLQSSKNETIGSLELQQDNEYIKSNKSKDSSKTSAKTARSSHEDKKIGKPSDEKRSRPRVMREFHNIKISQVELLVTYEGSRFAVSELWLLMDTFHRLGFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKNKLLGQGKEAASSLSGIHSTDLNLSDSDGPGGEQVEVPITWPKRPPEGAGDGFVTSIRGLFHSQRRKAKAFVLRTMRGEGENNSNNNNNESERMSGGVGGEWSESDNEYSPFARQLTITKARKLIRRHTKKFRSKKGIIISPHSHSQRIIDSSLPSSPIETTPYETNSSSGSESDPYEDFLEFQQKSLTSPPPQTT >cds-PLY68091.1 pep primary_assembly:Lsat_Salinas_v7:5:292811459:292814061:1 gene:gene-LSAT_5X153900 transcript:rna-gnl|WGS:NBSK|LSAT_5X153900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILIQPRVLLLLLLLAGAAGTTVSAADDGFSFLFDDSQLDGVREIQSDGRVVHTNDTEMFGVGHTFYSRPFRFKNSTTGDAYSFSTSFVFGIIPENPLFTFHGMTFAIAPSKEVIDASSSQHLGLFNRTNDGNASNHVVAIELDTFRNLELGDMDGNHVGLDINSIVSVLAATAGYYENGVFQNLTLASSREIRAWIDYDGVKKTIDVTLAPLPLKKPEKPLVSWKKDLSPFLLEEMYVGFTSATGVLLQRFYVVGWSFQMNGEAQEIDISKVPRLPLKKKSTKKKTMGLAIGLSLGGLLVLSLISLVAFVLFRRRKRKFAEVLESWEVQYGPHRFSYKDLYTATKGFKESELLGKGGFGQVYKGTLPDIGAQVAVKKIWHESGQGMKEFVAEIATIGRLRHPNLVRLLGYCRRKGELFLVYDYMPNTSLDNFIFSSNPEFTLTWKQRVKIIIDVAEALAYLHEEWCEVIIHRDIKASNVLLDAELNGKLGDFGLARFGNNNGTDAKTTHLAGTLGYIAPELARKGKATTATDIFAFGAFCLEVVCGRRPVELQGRQEAVILVDYVLDCWFKETLSQAVDPKLKDDLDVEEMDLVLKVGLLCSHSVPAVRPSMSQVLKFLTGKEPLPADFDTVLEIRDDYSGRLGEASSSAYFSQIQYSTASAPITESFMSSGR >cds-PLY63761.1 pep primary_assembly:Lsat_Salinas_v7:6:23638261:23638593:-1 gene:gene-LSAT_6X19001 transcript:rna-gnl|WGS:NBSK|LSAT_6X19001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDLDMHDIENNHLDEENHQFGYTDSEFLHNEENQSETKDMNDIGNDHHDEENHQFRSGYSEILDNDGNQLEIQGNSVTDIGYSL >cds-PLY81657.1 pep primary_assembly:Lsat_Salinas_v7:2:24420886:24428546:-1 gene:gene-LSAT_2X11701 transcript:rna-gnl|WGS:NBSK|LSAT_2X11701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein IQ-DOMAIN 32 [Source:Projected from Arabidopsis thaliana (AT1G19870) UniProtKB/Swiss-Prot;Acc:Q9FXI5] MGRSSGYCFKIIACGGSSESVDRDDLDASTENKVNPDKRGWSFRKKSSGHRVLSNTVNVITEIPSSDDKKSSEPVIINSEEIISEKTSTNQWTETEEFSRVSTSNTKDNVVTNLVTKPADEDEIKFDSSPDESSIIIIQTAVRKFLAEREVLRHKKVVKLQAVVRGHLVRCRAAGTLRCIQAIVKMQTLVRSRHSIKRLSIGEKGIKDSNTKPHPTYISIEKLLSNKFTNQLIQSTPQTKQINIKCDPSNQEEDPTWKWLQRWTSFSSPVSSPEVKPLTTAPTPPVSEAEPPKRPPKRAATEQADFDSEGRKSVFGSRKTTNPSFIAAQSKFKELTTEPPPPPAAAAAKTTAPPVYESLKAVRTAGSECGTELSVTSMLDSPDPSESENIETTESTHDLLGTELTHSITIPPETNNLITNQITKSPLEPELQQTPSSERKSSSDIKPRKASSEKEKPKMWSNSKKLLSPRGSLENLPKEGKSGKRRNSFGSQSSEIDNQEARDSSYSNSNSNYIPSYMQVTESARAKALNSSPRLSPDVQGKEKDAYLKKRHSMPGAVNSSRHDSPRLKRSSPQSQQGQQTTKGNESRGATGTQNDESWLVRFLGGKVGYPRNNLITDGGKSGIPVVAGRIHGEGGRMFAFFWN >cds-PLY89893.1 pep primary_assembly:Lsat_Salinas_v7:8:68219253:68221377:1 gene:gene-LSAT_8X49361 transcript:rna-gnl|WGS:NBSK|LSAT_8X49361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNKIEEFRLLKQANPEAKKVHKYIVPGFMHPFKIWILEMFPEATKFYIPMSTELPQMRSWRSKTPLSWDNCRQIINVSVPNNEPIQVVADAMELMLSFYVRYVNWTLNHEESPPREHSPVRNSPPVVSSPPPWQDSPVQNSPPVVASPRRRKMYKSETCSIESDTSASSSQPPKIERTYTSSDTSTKVVKKKKISTKALVKHLLEDEVEEEEEEEEEMINRDEEEAYYHGTQFEYGGLEGEVGRTTTHGEPSSDLGEHHSKTVTPIGRPQRKRVVAWRPANARWTIFPQEINLEPGKSFLFRNIANGLGGHPKWKDVDMVLFVINVVGAHWFMTVLHLDTWKVDIYDSARPMDYFSQYLTGGEFKSFGDSIISELDAIEYWNDFPVGHKDKANVEFIDVVDAPQQ >cds-PLY94580.1 pep primary_assembly:Lsat_Salinas_v7:8:180932195:180934519:1 gene:gene-LSAT_8X117141 transcript:rna-gnl|WGS:NBSK|LSAT_8X117141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISSISKSHTKFLTNHFISPLPQFTFNQRSLQMARSALDEMSDSGAFIRSPSTFRSFISRDPKSTFPAEPGRYHLYVSYACPWASRCLSYLKIKGLDKSIGFTSVKPIWERTKESDEHMGWVFPDTETEQQGAEPDPFNGVKSIRALYELASENYSGKYTVPVLWDKKLKTIVNNESEEIIRMFNTEFNEIAENPSLDLYPSHLQSQINELNGWIYSGINNGVYKCGFAKKQGPYEEAAKQLYEALDKCEEILGKQRYLCGNSVTEADIRLFVTLIRFDEVYAVHFKCNKKLLREYPNLFNYTKDLFQIQGMSSTVNMEHIKKHYYGSHPSINPFGIVPLGPDIDYLSPHDRNRFSS >cds-PLY83066.1 pep primary_assembly:Lsat_Salinas_v7:3:81222768:81224156:1 gene:gene-LSAT_3X63100 transcript:rna-gnl|WGS:NBSK|LSAT_3X63100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNKIPLKHATKDETQSSGGSGRKTSSLRPPEQTVKCPRCDSTNTKFCYFNNYNLTQPRHFCKTCRRYWTKGGALRNIPIGGGCRKNKKTSKTSTSKFTIGDSSSDNGVLKLLDRHSPPLMDFQLGGINFPPRINQFSSSYGDTSCNLPFMNLDPLGVNFGLSTNVTKQGDHHHNQSGGATGNFQEMGFTYTNLHQNTNLASSIESLSSLNQDLHWKLQQQRLAMLFGGDAGEEHGHQQKETGLQPILFQNLEISKPIASSMGGESRKVGSSSGDGGVGAMATEWFFGNSYASGNVNPASTENDQNGDINTWNRIQAWNHMNQYAALP >cds-PLY96400.1 pep primary_assembly:Lsat_Salinas_v7:2:87588464:87589602:-1 gene:gene-LSAT_2X37100 transcript:rna-gnl|WGS:NBSK|LSAT_2X37100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIENFKQKSEIVLLRIVFRLGSSLIFSKPMLLELTSFSQAFQEIRTNVRGGKTGEEGTTAGG >cds-PLY79349.1 pep primary_assembly:Lsat_Salinas_v7:9:55017999:55019222:1 gene:gene-LSAT_9X49721 transcript:rna-gnl|WGS:NBSK|LSAT_9X49721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RKD4 [Source:Projected from Arabidopsis thaliana (AT5G53040) UniProtKB/Swiss-Prot;Acc:Q9LVU8] MDPPANHQNPIDDLDWFQYFDHQDLFSGDMFDHHDYKLSEKLHEVLDQNVSTILKKDQNIISRNEFHDFDPLEDISLWDCWCDGLPFLSNNDDNSDCHSEVAAATTNLLGFQDFKPIEDLSFWDCWEDGNLPLVSFNEDSKSGGEATCSSSAATTDHGGRKVAKRLRRLEFEEIEKYFEMPIIMAAKELGIGLTVLKKRCRELNINRWPHRKLKSLQSLIQNAKELGLKEEIETLEGHKKMMEKLPGMELTERTKKLRQACFKATYKKRRLNNP >cds-PLY94871.1 pep primary_assembly:Lsat_Salinas_v7:2:180403805:180406277:-1 gene:gene-LSAT_2X103821 transcript:rna-gnl|WGS:NBSK|LSAT_2X103821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLYLCVFAMLATCSWAIIVKSHVKYKDPKQPIGVRIMDLMSRMTLEEKIGQMTHIDSYVATPEVMRTYLIGSLSIGGASPPSKEPYPEAWINTINTLQRGSLATRLGIPMMYGIDAVHGNSKVYNATIFPHNIGLGVTRDPELMKKIGAATALEVRATGINYVFAPCVAVCRDPRWGRCFESFSEDPNIVRVMTEIITGLQGDIHSKGQIGFPFVVRQKKVAACAKHYVGDGGTTRGINENNTAIDFHGLKSIHMPPYHDAIRKGVASIMVSYSSWKGVKMHRNHELIAGFLKNALKFKGFVISDFMGIDKLTDPPHADYTWSIQQSISAGLDMIMVGFNYPEFISGLTHLVKKKFIPMSRIDDAVRRILRVKFMMGLFENPFTDFSMAKYLGEQDHRDLAREAVRKSLVLLKNGKFTDVPMLPLPKKSTRILVAGTHADDIGNQCGGWTIEWHGKSGNITKGTTILAAVKNAVDPTTEVVYEEEPSPDFIRSNNFSYAIVVTGEYPYSESVGDSQTLMIPEPGPTTIMNVCGSVECVVVLITGRPVVIEPYVPIMDALVAAWLPGTEGQGVADVLFGDYGFTGKLAHTWFRTVDQLPMNVGDPNYDPLYPFGYGLTTEANRS >cds-PLY79458.1 pep primary_assembly:Lsat_Salinas_v7:9:4115445:4116061:1 gene:gene-LSAT_9X5801 transcript:rna-gnl|WGS:NBSK|LSAT_9X5801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVNDATLEIVEGDARNILCDAVERYHATILVVGSHGYGAIKRAVLGSVSDYVTHHAHCTVMVVKKPKT >cds-PLY86614.1 pep primary_assembly:Lsat_Salinas_v7:1:3467477:3467701:1 gene:gene-LSAT_1X2680 transcript:rna-gnl|WGS:NBSK|LSAT_1X2680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHATKERVESDTSTVYSISLYESTITTRDEPWFGELKLALGVIGLPVTVRDRLLRCSPPVVGTTRAGPGLDSER >cds-PLY68712.1 pep primary_assembly:Lsat_Salinas_v7:5:279748847:279750394:-1 gene:gene-LSAT_5X147721 transcript:rna-gnl|WGS:NBSK|LSAT_5X147721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEANKEQMEQICRADWTTLAPNVEDYSTKAAKLIVAGSGLLVKGILWCGDVTVYRLIKRNEILKLKIGPASNTAVNPELLKAIHRVNKVTKMTETVVGGLLSSVLKITGFFTSSVACSKLGKKFFKFLPGEIALATLDGFSKIYDAFEVSGKNVMSTSSTVTTELVSHKDMIEIVAIRLDSMVVAEVILLMATALNVQISKPTSEGVVDMFSTALRLRQ >cds-PLY88581.1 pep primary_assembly:Lsat_Salinas_v7:7:9077513:9079747:-1 gene:gene-LSAT_7X6120 transcript:rna-gnl|WGS:NBSK|LSAT_7X6120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAARVGEGQRRGGGRPLPPSARGPPGPPVHGKPGPRFEPVDREKTCPLLLRVFTKIGGHHNQADFAVRGKEPKDEVQIYTWMDATLRELTDLVKEVAPEARRRDAMLSFAFVYPTKTGHFTVKEVGKTLSYPNARRPDDGSKALGSLSFEIGDYLDVAIL >cds-PLY88396.1 pep primary_assembly:Lsat_Salinas_v7:5:62594250:62596076:-1 gene:gene-LSAT_5X29260 transcript:rna-gnl|WGS:NBSK|LSAT_5X29260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METCGEINNASSLKPHVAFLASPGMGHITPLFELALRLLTQHNFQVTFLVITTDSTVAQYNYLHATPHPDLHIVDLPPADMSGLLFDDMTAVARLCVIVQESIRSLRSVLLSGLKNRPKLQAFIIDIFCTGVFDACKDLSIPVYSFFTASAALLAFSLYLPTLDREVEGEFVDLPEPIKIPGCNQIQTHDLLDQVRNRKIDEYKWYLMHVSRLSMATGIFANTWHDLEPVWLKALEIEPFFADIPTPPVYPIGPLTKRIESASDGNADTKEVMAWLDRQPKDSVLFVALGSGGTLTNMQLTELALGLELSQQRFILVVRTPSDSAFAALFTAGVDSDDPRGYLPDGFVERTKEVGLVVSSWAPQVAVLNHPSTGAYLSHCGWNSTLESIKHGVPMIGWPLYAEQRMNATMLSDEIGVAVKMPVVGERGETVVVGRKEIEQVVRVVMEGEEGRKIRKRVKELEASARETLSCGGSSYEILAGVAESWKRQGCGMTTRTCIEPD >cds-PLY89060.1 pep primary_assembly:Lsat_Salinas_v7:9:28461276:28461940:1 gene:gene-LSAT_9X25041 transcript:rna-gnl|WGS:NBSK|LSAT_9X25041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRWYLRPDDSEIFFDPKRASAAAIFHFLTALLLYTYLIPISLYVSIEIVKVLQTIFINNDIHMYYEEADKPAHARTSNITEELGQIDTILSDKTGTLTCNLMEFIKCSIAGITYGRGVTEVERAMAKKTGSPLVVNGRVLDDNNDDEDDDSGLSVKGLLAICHTAIPDVDEETGIAIPDVDEETGRVTYEAESLMKLLLL >cds-PLY72860.1 pep primary_assembly:Lsat_Salinas_v7:5:169386405:169387013:-1 gene:gene-LSAT_5X73400 transcript:rna-gnl|WGS:NBSK|LSAT_5X73400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILGVIQVWHSMRWALSLFRLKTSLLSLDAITMLGSEDRMDPTTLVAVWANIICINVLIGCCQTSIPKAKGLKGFNVNIGSFDNHMNVWSFNPCGFVFLAEENHFRFGGARDLYSASKLSLDSHVHILVDWAIGRGKRLQNARQIDSAWMMKILMDIVAAATKVTRAILIFIMAAKVSFVFRTKSVPIKIIFDTNYWSQKPE >cds-PLY77817.1 pep primary_assembly:Lsat_Salinas_v7:8:13435405:13435818:1 gene:gene-LSAT_8X10041 transcript:rna-gnl|WGS:NBSK|LSAT_8X10041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIILSNSIFQPQKQGEAAIEDYVTDCFETSSINSQAESVIFQESVQEASSPNKSKVKAEAKLPLKIIKKGELVGSIKKRKL >cds-PLY72000.1 pep primary_assembly:Lsat_Salinas_v7:8:147805033:147808733:1 gene:gene-LSAT_8X99881 transcript:rna-gnl|WGS:NBSK|LSAT_8X99881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGKTVDLGHRKGCTFIAIATSPLKTNGNGADCKGSEPVVVYALTDSGVLCLLHGGLAITHSVDLKVEKGYGLSASQEFIACACNNGVVKLYTHGSLEYAGDLHYSEVKVSQEGIQQLPTFPDAIACQFSTSRTLVVVYRDHSLYIWNIHGKYEATKCCVLVSHGGCIWDIKNLSCENNHDPSLACVARGCTGGVSFATCSSDGSIRLWDLALQSLSTDQHPPINEPLATSSCLVSGGTFERESIVSGVVTQGYRSMAVSSDGKHLAAGDSHGNLHVFNLNTSHYTCIQNVHEGEILSLNFSFPIESYYFLASGGSDKRIHLFDVNRSFDLIASVGDHSSAVNSVQLIGHGNKLITCTSDRSLIFRDVDISNDYNISHSHQQKTSHGNVHDIAIDPITHTAVTLGQDKKINMFDIASGEVIRSFKQSEEYGEPIKVVLDPSCSYVVCSYSDRSICMYDVTSGEIVARAMGHGDAINAIIFLPDCKHIVSVGSDSCIFVWKVPSLMTLRMLQKMNGSSCPLSPSAITQLPSVNQIKFNKEKYLRSQATGANFLKCPQQQTSSAFRFSVSRLPQWAKSKVTSPLVIPMDPISSEHSSPSKSTTCVEDADVDFTFALQLHTPRNDSNTDSTKRLSTSSSDASNSKDCRSFALDKRWVTIHTVCLDVLNSPEVYSLMKEQMTPMKKSYSRRFSITDHEDSGRGSNTQHQQEMVRSSNSTTEHEMENIKDQKDDNDMKRNGKQNTLFDACKEALKTLEASSKTTLDLFSKLTHENLEGQEGNFYSEAAEMLPSIAKNVNEIAKIVSSCGGDKVEIPGFEPLLGKFAESLSQRVIELLKENCPNL >cds-PLY92818.1 pep primary_assembly:Lsat_Salinas_v7:2:149778805:149781827:-1 gene:gene-LSAT_2X75801 transcript:rna-gnl|WGS:NBSK|LSAT_2X75801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCCYSRLEKEEMVSRCKARKRYMKQFVKARHAFSASHSMYLRSLRSTGSALLQFATAETALHHPQDHHLPPTLPSPPPPQTPTPQPPPPPPPMSPTSETWTTSTTNTASTPLPPPPPPPSSTWDFWDPFMPSSTRSGTVDDEEWEETSTTIASETAVTTTIGAASIAAPPSAVSGFSKISASTATTSEMAVVVSTKIKDLMGIIKELDEYFLQAADSGGKLSALLEVPACTFPGQGSSGKVHGYGKNLSPLFSSWSSSQKLNMFGKFGCDDMAGVSAIGGGLISGSHCSTVERLYAWEKKLCQEVKSVESLKMEHSKRAEQLRKMEIKRADYMKTEKAKKEVEKLESRMMVSSQSIESTSDEIVKLREEELYPQLVELVKGLMGMWRSLYESHQVQMHIVQQLKYLHIIPSTDPTSEIHRQAVLQLELEVQQWHISFCNLIKSQRDYVHSLTGWLRLSLFQFGKTPLTQTKQDSAIYTLCEEWHLVVDNAPDKVASEGIKALLTAIHAIVVQQAEEQKQKKRSESSFKELEKKMGELRALERKFGPFSGSSNGKDPVGDKRAKVEMLRAKTEEERGKFEKLIGVTRAMTLSNLQIGLPHVFQAVTGFANVWTHAFESVYNHANSPEVVNNVKRLML >cds-PLY87655.1 pep primary_assembly:Lsat_Salinas_v7:1:169071223:169073298:-1 gene:gene-LSAT_1X113040 transcript:rna-gnl|WGS:NBSK|LSAT_1X113040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENQNNIAINFGRSLIVPSVQELSKQSITKIPPRYVRQDNQKPLFKSSDDTSTLSVPVIDLHTLFSTDPGSSTYSSELNRLHTAAKEWGFFQVINHGISESLLEDFKREVLSFFKLPMEEKQKLWQKEDSHEGFGQLFVVSEEQKLDWCDLFYVITLPHNLRKSQLFQNLPPVLRKKLEAYSTEIKKLAKGILGEMAKALGIDGEEMSELFDDGVQSIRMNYYPPCPEPESAFGVSPHSDAGGLTILYQLMETEGLQVRKDGKWVSVKPLPNALVVNIGDIMEIVSNGVYKSIEHCATVQSTKDRLSVATFYTSNMGLEVGPARSVVAQHNVANYRRVMFEEYYKSFFARKLEGKSNLEFMKL >cds-PLY88812.1 pep primary_assembly:Lsat_Salinas_v7:4:91294121:91294564:1 gene:gene-LSAT_4X60681 transcript:rna-gnl|WGS:NBSK|LSAT_4X60681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEPELSVSHLIERLMEMPFLSGFTTTRPESDSRCDSEHPDHESTNSLHHQGSSLNRIILINPVTQGMVVIGGSATTSFKSLMNDLMRKDSQPPAPQTSIDAMPTVEIKGTDEIESLGGECVKNSSVQQFSRQWKPASTFLYSSRL >cds-PLY87225.1 pep primary_assembly:Lsat_Salinas_v7:4:308683617:308685345:-1 gene:gene-LSAT_4X155040 transcript:rna-gnl|WGS:NBSK|LSAT_4X155040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIDMTIILMISSFILSIYYIFNRKPKSSKPLNLPPGPPKLPIIGNLYQIGLALPHRAFLDLSKKYGPIMSLQLGQISMVVVSSPRLAEEVLKNHDLALASRPYALLADILLYGGIDIAFGRYSDYWRQMKKIVTMELLSVKKVQSFMGFRATEIDRFTEVVQSCVGKPVHIRKRVMKMNNTVVCKCLFGNDCRQQDVLIELAEQVVALSSGYYVADLFPTLTFLSTISGMKSRLTKIHKSLDNIFDEIFEERRIRRQTVGPTEDDLIDVLFNIKERGGLRFPVTDNNIKAIFLNMLIGGTDTSVVTIEWAMTELMRNPDVMMKAQAEVREAFKGEKTIAETELHKLVYLKHIIKETLRLHITIPLLLPRECRQQVKIGGYDIPVKMKVVVNGLACGTDPEYWDDAQTFKPERFENTSYDFFGTSFEYIPFGSGRRICPGIAFGLVSIELTLARLLYHFNWQLPNGLSPKDIDMTESHGVTAIKKDSLEVIPTVFIPF >cds-PLY98856.1 pep primary_assembly:Lsat_Salinas_v7:7:25325183:25326707:-1 gene:gene-LSAT_7X19701 transcript:rna-gnl|WGS:NBSK|LSAT_7X19701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVALDFADQLPSYYRFCPTDSELILDCLNAKLESREPPKCRLHEVNIYNHTPEQLAEQYRSHENKWYFLTSGDRKYPKGNRPDRALSVPGKHGCWKTSEKLMPVYDATSGQMVGHKGTLTYYENGRRTMWVMHEYTINGPNLPFENGEELSEWVLCKIYKREMEEPKIPLRKRRRVSENDSDSASSSVSVEPPASSAQPLANGAYTTATTEHGLISIQPMQIRELCYHTNMLSLSTPSDGFLFSNGALNSSSMKPLIDYIDYPPVLVQNSCEYAPTQLDVQNICDQNDAADDFHVHDDSNETTISAPVEVEWSFKSPDNYSDQSMVVPQDDATGHPKTQLNVQTCSNHHMVDVPHDDAADHHIPQPDGDFNANVWPLDDAHKLILNTVSFDFKRIRLL >cds-PLY77536.1 pep primary_assembly:Lsat_Salinas_v7:2:164320954:164321810:1 gene:gene-LSAT_2X87120 transcript:rna-gnl|WGS:NBSK|LSAT_2X87120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKRDVGINFLFVVAHNDCANDITFIPLPFKYCEIFRDLQSHSTNEYVSRAVEGDPVGGAPTIKLLVGDWLYHMVVWVWFTSYLRKAVLFLMYVGFNVPPLLEARGLRTTRLFLATSFHSLLVHVEQRQSDVVLINGNKISISGMGAILPLTSVPCILNHASSLCQQGINLEGYIVLLAS >cds-PLY83681.1 pep primary_assembly:Lsat_Salinas_v7:4:40291027:40291629:-1 gene:gene-LSAT_4X26020 transcript:rna-gnl|WGS:NBSK|LSAT_4X26020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLCFCGKFVVVKCSWTPKNPGRRFYACPQKDSACRFIGWVDPPMCERSKVIIPGLLRNINRMQAWCTALKIMLLASWVKERLM >cds-PLY89303.1 pep primary_assembly:Lsat_Salinas_v7:2:50678767:50679218:-1 gene:gene-LSAT_2X23280 transcript:rna-gnl|WGS:NBSK|LSAT_2X23280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVTVKKTLYMILFVLTFFCMTSVLILAASTSQLPMLHSKRSLSSLVTSSSTTNAYPQCALDHVKIAENALTFVVPITEQLLYVVLIKTLSMVIVKEYHHLSVVVIYLGYLR >cds-PLY99527.1 pep primary_assembly:Lsat_Salinas_v7:1:115308464:115309396:1 gene:gene-LSAT_0X16180 transcript:rna-gnl|WGS:NBSK|LSAT_0X16180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGCNWDCFLSVIVILENILVGLKLDDALSFLQAEFSQQVGAERFDKEYAYGIRHNFGKEGKRTSEENLRVALGKIGLSRRELEQVVGIAKGRHYQLACTLTFEAIHGASSDSGMNHPNRYFKASQNILQALTCDPCLVDSRP >cds-PLY63340.1 pep primary_assembly:Lsat_Salinas_v7:9:134360153:134360629:1 gene:gene-LSAT_9X86261 transcript:rna-gnl|WGS:NBSK|LSAT_9X86261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADMKHIMTLDDDDDMVGDDTPPDCTGDNPPPLSPPSSNRHPPIPPPSHPTPCTPLPPRGSPPQTDDAKKEENSQESIDQQMAVIASTPSKPKMFESVRIDNRKALVVAEQKKNIPYIDATKNDQPILDAGDQSETDDYEGFIDLGLIGEAIVPLRVV >cds-PLY87030.1 pep primary_assembly:Lsat_Salinas_v7:5:266822963:266823295:-1 gene:gene-LSAT_5X138560 transcript:rna-gnl|WGS:NBSK|LSAT_5X138560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEKGVTCVIDEVIESTEFASGIQGVRKACEALGFEKGRQVGGCSTIFGEFEALNPGRVVRRDEEVDIALTSFAETDFEGLFHLGELDYDSFRQFCRRPGPGRSSSDFED >cds-PLY61760.1 pep primary_assembly:Lsat_Salinas_v7:5:302411372:302411919:1 gene:gene-LSAT_5X164201 transcript:rna-gnl|WGS:NBSK|LSAT_5X164201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAREDGSGEEEKLPVKRRGRPTKAQKENNEEEEEAEKMDYENKDTKVESSLENGSKRKKQSLETEEESMEMVKDEENGDVLTKSVGFRHNGSRRKNKPRRAAEVGVSACQVCSWVALKS >cds-PLY73550.1 pep primary_assembly:Lsat_Salinas_v7:9:77636100:77636840:1 gene:gene-LSAT_9X63001 transcript:rna-gnl|WGS:NBSK|LSAT_9X63001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASFKLVYLLAVLLVIAAWSEVNGAGECGKANPDMEAFKLAPCASAAQDVNASVSSSCCAQVKRIGKNPKCLCAVMLSNTAKSSGIKPEIAVTIPKRCNIVDRPVGYQCGAYTLP >cds-PLY88858.1 pep primary_assembly:Lsat_Salinas_v7:MU039998.1:49358:49845:-1 gene:gene-LSAT_0X28240 transcript:rna-gnl|WGS:NBSK|LSAT_0X28240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVVHGNLLDCCLFSTPQGMTVLRLFRELLILVDQLAIAKELVDVLEWDATISALPIEELIEKANGFARVFLNLFFFLFILMDLEFDIPITFTSSIHLKNIIYEPNNP >cds-PLY92930.1 pep primary_assembly:Lsat_Salinas_v7:3:113599788:113601128:-1 gene:gene-LSAT_3X83141 transcript:rna-gnl|WGS:NBSK|LSAT_3X83141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSYIPILLIYESGSLTNTDVLKETLSQTLTKYYPFAGRLRKDGITVDCGDQGVVFVEAKISGCGVADFLQNPMYETQKLLFPEGFLWKGSCIDQSFLAAQITSFEGGGTAVTVSISHKVADGTTSATFLSDWASMTRGEVRPLPMILARSIPSSDLGYTVPEIVLDNSTSCVTKRYVFDAKKIAGLKNSVMGLVENPTKVQVVTAYLYKCAIAASLEKTGCFRKSTLIQLVNMRPRMMPQLPENSIGNFSWYFTISNSDQSERSLSSLVLDLKEGIKEVCNGGDHVDLSDWLMDVMEYSGNVKQLFDDLEVYRCTSLCRRPFYQMDFGWGYPKWVTMADVHVKNTFVLFDTPDGDGIEAMVSLENDDMRLFQCNQYLLH >cds-PLY93756.1 pep primary_assembly:Lsat_Salinas_v7:6:143309841:143326974:1 gene:gene-LSAT_6X86400 transcript:rna-gnl|WGS:NBSK|LSAT_6X86400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGPKEIFLKDYKLPDFYFDTVDLSFSLGEEKTIVCAKISVVPRVDGVPAPLVLDGIDLKLISVKINGNELEGLYKSSGNFCTQCEAEGFRKITYFQCFEKIGYPDWWENRQRRAPNDQKKLIKPKPKAVAVGVEFSPIPGLNVEQYKRLLQQLSSETKHEANMAGKISFHRPWVINSGATEHITCDDSVLINLKESPDGAPVKIPNGDSIPVQGIGSACLPSDLSVDNVLSIPDFKCNLLSVSKFTKNHNCFVTFFPDFCYIQDLHSRKLIGMGRCKDGLYKMEEMDASIKAMAVKVDAEIWHRRLGHASNSRLQHISFLNSLGETDHCDSCIKAKQTRLPFKDSFIKTSAPFDLIHCDIWGGYRVPSLSGARYFLTIVDDYSRSTWVYLLKHKSDASSGLVTFYKMIKTQFERKIKRIRSDNRGEFVSNYMQKFYQEHGILLETSCIHTPQQNGIVERKHRHLLEIARALRFQASLPIEFWGECVLTATYIINRLPSRSIQNKTPYEILFKSKPSYDHMKVLGCLAYVKENKARKDKFEERGRPCVFVGYPQGKKGYRVFDIQNKGIIVSRDVKFVEGIFPFQNIEDKNQNRNHTGSNPFDNTYDNETVAILDTADHAPVVDQPVPNNTSSVSASPQHNPDRTSSTDGPTLSQTSQSDIDPARHPAQNTRPERTRTKPTRLQEFEVELPPSIDHSHSHVNTASSTVHPLSHCVSYKNFTNSHKAYLSAISSQDEPKHFSKAVKNQHLRDAMQRVTCRDWFQLSLKEGLTVFRDQEFSFDLGSRTVKRIGDVSKLCTYQFSRDAGPMAHPVRPHSYIKVYEKSAEVVRMYKTLLGSEGFRKGTNLYFERHDGQAVTCEDFFLAMRDANNVDFANFLLWYSQAGTPVVKVTSSYNVEAHTFSLKFSQTVPPTPGQPIKEPMFILVAMGLLDSSGKDMPLSSFYQDGKLVSITSSGQPVYTTVLRVTKAEEEFVFHGVAEKPIPSLWEGGQILARKLMLNQVVNFQKYNKLVLDPQFVHGIKCILLDSSLDKEFIAKAITLPSEGEIMDMMEVADPDVVHVVRSFIRKQLALELKQEFINLVKENRSSEKYKFDHVNMARRTLKNTALGYLASLEDEEMSELVLNEYKSAGNMTDQFSALTTIAQKPGNAREDALADFYNKWHHDFLVVNKWLSLQSASDILGNVENVKKLLDHLSFDLTNANKMFSLIGGFRSSYVNFHAKDGSGYKFVGDLVLQLDKLNPQMASGVVSSFSRWKRYDDTRQNLAKAQLEMIVSANGLSENVYEIALKSLA >cds-PLY63243.1 pep primary_assembly:Lsat_Salinas_v7:2:14666526:14667252:1 gene:gene-LSAT_2X7621 transcript:rna-gnl|WGS:NBSK|LSAT_2X7621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMISLFLALFLPCAGMSVVFLVYMCLLWYAAHHLPEMTAPVKPTTGTGLSAAELDKLPRTTGNELGLGTECSVCLEDIEAEQPARVVPGCNHGFHLQCADTWLSKNPACPVCRNKLEPDFFRPSETSPC >cds-PLY70841.1 pep primary_assembly:Lsat_Salinas_v7:8:116743717:116745504:-1 gene:gene-LSAT_8X81460 transcript:rna-gnl|WGS:NBSK|LSAT_8X81460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLLLCIISFSTISYSLGATTPFLDGFLANGNFEQGPSSTKIKNTVILGKYSLPKWEISGIVEYVHGGPQPGGFYFAIPRGAHAARLGNEASISQNVDVKPGKVYSLTFAATRTCAQDEVLRVSASGQSSDLPIQTLYSSDGGDTYAFAFKATSSQIKVTFHNTGVQEDPTCGPLLDAIAIKEMLPLTYTKGNLVKNGDFENGPHVFKNYSTGVLLLPKIHDIVSPLPGWIVESLKPAKYIDSKHFMVPHGLAAIELVGGRETAIAQIIRTVPNKSYVLSFTIGDAGNNCHGSMMVEAFAGNETVKAKHESKGKGDLKIATLKFIATSIRTRLTFYSAFYHNKHNDYGHFCGPVLDDVKVLSVRKK >cds-PLY89392.1 pep primary_assembly:Lsat_Salinas_v7:4:122642676:122643835:1 gene:gene-LSAT_4X77460 transcript:rna-gnl|WGS:NBSK|LSAT_4X77460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHDPIRIPACFSASGSDESHSTTVTKSGQTLFMSVYKTKLAGDCRCITITWFKHLLLHGLSVSIDGPESHSPESDQYTCKVELKPWCFWRKQGSKRFSIEGKALDVFWDLKAAKFHGETEPISEYYVAVVCDQEVVLLLGDLKKDAYRKTGCRPALIDPVLVSRKEHVFGKKKFSTRLKFQEKGRFHELSIECKNRSGGDGVDPAMEIRIDGQLMIHVKHLQWKFRGNESIHFSKVKIEVYWDIHDWLFSPGLRHALFIFKLSVPATSPAISTPSSPLSSQSSGLEDWLHEFCLFLYAWKVE >cds-PLY96423.1 pep primary_assembly:Lsat_Salinas_v7:4:342436682:342438214:-1 gene:gene-LSAT_4X169241 transcript:rna-gnl|WGS:NBSK|LSAT_4X169241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLKRLLTQITKVTESFLEDDITESKFCVNGEEDEHEQGMWMEEHVASGMLTSKSHWREYCNKVKELPAYLAVASNSSGASPKDLFEDVLDEPEK >cds-PLY64684.1 pep primary_assembly:Lsat_Salinas_v7:7:138993319:138998020:-1 gene:gene-LSAT_7X83961 transcript:rna-gnl|WGS:NBSK|LSAT_7X83961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQFLYHVNLTCKMAEYRISIYGSKKSEWDNLASWIVNNELYTTLALQHMQRNGYVTSFQTILDNVFLPLFEVTINPDSHLQLHAFLKQVTPLKLNMSHNRSHHMRVVRISPEENFPTNTRVLVKVWLHGYVFGITHVPRLEDWFVMQVERIGFMLQPHGFFNCSHAVDVPPSPRACESDVKEGHVKETIATKSVSIILRGANDFMLDEMDRAVHDALCIVKRTLESNILSSACGRLVISSDGVLDALSTESAPECSRGLAPESAVAQIVKVRKQKMSNL >cds-PLY69727.1 pep primary_assembly:Lsat_Salinas_v7:9:105556587:105558293:-1 gene:gene-LSAT_9X75820 transcript:rna-gnl|WGS:NBSK|LSAT_9X75820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase AGC1-5 [Source:Projected from Arabidopsis thaliana (AT3G12690) UniProtKB/Swiss-Prot;Acc:Q9LTW5] MPNTARGRGNQNDKDSHHAHSDSMESSSSSNAPVLRPHTGGDVRWEAINSIISRDHDIGLSHFKLLKRLGYGDIGSVYLVELRGTNAFFAMKVMDKASLASRNKLLRAQTEREILGLLDHPFLPTLYSYFETDKFYCLVMEFCSGGNLHTLRQKQPHKHFSEEATRFFASEILLALEYLHMLGIVYRDLKPENVLVRDEGHIMLSDFDLSLRCSVNPTLVKSSSVHGGNHIGANGGSGGGGGGSGHVVEDDSVVQSSNQAMNFFPRILPSKKNRKAKSDFGLFVGGSLPELMAEPTNVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGTTPFKGQGNRATLFNVVGQPLRFPENPHISHMARDLIKGLLVKEPQKRIAYRRGATEIKQHPFFEGVNWALVRSAHPPHIPDPVDFSQFASKETAGSQSSDKKVSAGNAGASTKSSSTDPSYTNFEYF >cds-PLY90507.1 pep primary_assembly:Lsat_Salinas_v7:2:42110904:42113870:-1 gene:gene-LSAT_2X19801 transcript:rna-gnl|WGS:NBSK|LSAT_2X19801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METPDAETPPPTSNAARLAIMELANMISVPMSLNAVVRLNVADAIWQNGSNKPLSAAEIIPLILPSGAGDPENLQRILRMLTSYGVFNEHIISGDILQRRYSLTEIGKTLVTDENGLSYGAYVLQHHQEELMKAWGVVHEAVVDSSTEPFVKANGGETAYGLYGKKPEMNDLMLKAMSGVTVPFMKVVLEGYDGFNGVERLVDVGGSAGDCLRMILQKHSHIKEGVNFDLPEVVAKAPKIDGVSHVGGDMFDSIPNGDAIFMKWILTTWTDDEVKAIMTNCFKAIPIGGKLIACEPVLPDETNDSHRTRALLEGDIFVMTIYRAKGKHRTEEEYCQLGKSAGFKSFRAVYIDHFYTLLEFGK >cds-PLY88040.1 pep primary_assembly:Lsat_Salinas_v7:6:181329913:181332369:1 gene:gene-LSAT_6X109640 transcript:rna-gnl|WGS:NBSK|LSAT_6X109640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSLPSAILTIDSGKVSVFSLDLESYSSNAKFSHSYQPSNNKTAKFTTSKRWKTQSTRRTQEIILTEEDTKTWEACRQSLSQFKFTVEEEDKILGKAFGFLHSPYWGEEREKVVPKSDQINAILDYLKGLGLLDDDISKVLKKFPEVVGCSLENEVKVNIEILEKQWGIKGKSLKNLLLRNPKVLGFIVDCKGDCMALCTRCWVRF >cds-PLY93829.1 pep primary_assembly:Lsat_Salinas_v7:6:145295408:145297268:-1 gene:gene-LSAT_6X87921 transcript:rna-gnl|WGS:NBSK|LSAT_6X87921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSYARNIQMLPWENLSVLRKHEVYRMPSIASQLQFNWLFEKGGFVLHPDETFSVDFDKSHGRNLLVKAFCRFNF >cds-PLY92239.1 pep primary_assembly:Lsat_Salinas_v7:2:211019735:211021753:-1 gene:gene-LSAT_2X133120 transcript:rna-gnl|WGS:NBSK|LSAT_2X133120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52110) UniProtKB/Swiss-Prot;Acc:Q9FJ81] MNHSCTLFLIPSTLCSNFAPKFNGLNTFKIVTNTRKSSKISCSGADNSQNQQQQLNLSVLRFTLGIPGLDESYLPRWIGYTFGSLLILNHFLGSNSTTVTPAQLRTELLGLSLAAFSVMLPYIGQFLKGATPVIQANIPEGAEQIFAMSQHISETMKEDLAWGSYTLLRNTNTISVLISIQDVICVRGYWNTPKTMNLSKEQAVEWFKEQIQRIGLFNLTDTLYFPQRSDSELWDMLPEETRSVLVLPVLKGNNSKDEVTGFVLLASSITYAYNDKDRAWISAIAKKF >cds-PLY68666.1 pep primary_assembly:Lsat_Salinas_v7:5:167089874:167094343:-1 gene:gene-LSAT_5X74381 transcript:rna-gnl|WGS:NBSK|LSAT_5X74381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKNPSHQDIDSSTYMTILKKFKPFKLFEPSLGILGFLFITVCIVFGFVYLDYRNVVTGGVFRFSNGIERLKWLKFGSNSEVYSTKKIDFLSVDGDGCDVFEGDWLWDEKYPLYQSTDCRFLDGGFRCSENGRPDLLYTKWRWQPKDCNLPRFNGTRMLESMKNKRIVFVGDSIGRNQWESLLCMLSSTITSTKETDNDSIYEVNGNPITKHKGFLVFKFRDYNSTIEYYRAPFLVLQSRPPPNSPLDIRTTLKLDQMDWSSAKWRDADVLVFNTGHWWNNEKTIKGGCYFQEGEKVNMEMKVETAYKKALETVIAWVDKQVNKTKTQVFFRTYAPVHFRRGSWRSGGNCHQETLPNLGSSPEQPSTTSPQYHIFKDIISNSSKNSSMNVLNTTIMSARRKDGHPSLYYLGPMMSPAAVHRQDCSHWCLPGVPDAWNEILYAFVLKQAAVSG >cds-PLY73020.1 pep primary_assembly:Lsat_Salinas_v7:9:36545289:36548070:-1 gene:gene-LSAT_9X34461 transcript:rna-gnl|WGS:NBSK|LSAT_9X34461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLYIGRETSKLWKRISAETTTEVNLLAENWKYVLGGLICQYMHGLAARGVHYIHRPGPTLQDTGFLLLPELGEERAYVSETVFTFIFLSFILWTFHPFVFKTKKIYTVLIWCRVLSFLVACQFLRVLTFYSTQLPGPNYHCREGSRLATLPRPDNPLEFLLIMPRGVLYGCGDLIFSSHMIFSLVFVRTYQKYGTRRFIKQCAWLTVVIQSLLIIASRKHYTVDVVVAWYTVNLVVFFVDRKLADMPERNIGAPILPLSKDVRMKEENTKLVNGNPGDPVDWRLRNQANGKVNEDSNGLHVDVLDGV >cds-PLY87952.1 pep primary_assembly:Lsat_Salinas_v7:4:339080684:339082797:-1 gene:gene-LSAT_4X168001 transcript:rna-gnl|WGS:NBSK|LSAT_4X168001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERRSWPKFGDAVQEDVGAKLTMVSTEEIIFERPRAPALLKQQGAFAKGQTKTAPVEEEVPPLLGENGKIEVWRIDGEEKTELPKEDIGKFYSGDCYICLYSYHSDEKKEDHYLCCWIGKDSIQEDQKTAVQQTTSMFNSMKCKPVQSGVPVKFSREGKESLAFWLALGGKQSYSSSKINDVPLKVRKLVVKICDVVTRRAARLAAAGIGGILKKIGRDGTAVITSRRVKSGKNGKMRRTVVAIEGGLY >cds-PLY63748.1 pep primary_assembly:Lsat_Salinas_v7:8:294439529:294444482:-1 gene:gene-LSAT_8X162440 transcript:rna-gnl|WGS:NBSK|LSAT_8X162440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKASEARDTMKLRVNWNEMHGGWICDWGVVAGLATGVLYKTAAGPRSTAVAGAIGGIAVGLVVTGKQILKRYVFVALLVDDYLFSAAASSNLALKQPTIRVVAIIAEGVPESDTKELIIYARANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSLVRTRYNFYQSTSTKLARENYFLHLGQVSSFS >cds-PLY92296.1 pep primary_assembly:Lsat_Salinas_v7:2:210621304:210621876:-1 gene:gene-LSAT_2X133380 transcript:rna-gnl|WGS:NBSK|LSAT_2X133380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANRRRNSSAFQLPQNDQTASQSTAAAGGSSPVYHGIRLRAGKWVSEIRENKSSRIWLGSYPTPEMAAAAYDVAALAIKGKYAVLNFPESILENTLPECPTADDIRAAAARAAAARSPAYEYGGGSMTVGGTNTAPPAGPGMYVDDEAVVGMPSLLSDMAEGMLLSPPRIDSNPPDDGTYYSGGGNLWNY >cds-PLY63563.1 pep primary_assembly:Lsat_Salinas_v7:9:150665045:150665968:-1 gene:gene-LSAT_0X5360 transcript:rna-gnl|WGS:NBSK|LSAT_0X5360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDHGSKRKKKKKRGKSLIFKNFFKPATPGDDPSSGSGRKALASDDNLIYCDVSGNCVDPDIKKSSSSRMSRIFKAVLFDTAVKKKIHGNDSSETPNESQSSSPVRDDKIEDIQKIQKIEKIDDSINVKKSDVMKIDKIEKNNGNPINEIEKNSNSEVDRSIQLPLPSKPVETLVPLSTTTTDSQTLTERKVNAIDKKPPLPSNPRPSAKPIPAEESKQVTSNNPPLFILVGFLVVVVVLWKIFAAITGGSSDVTIVGDEL >cds-PLY95037.1 pep primary_assembly:Lsat_Salinas_v7:5:226890104:226893058:-1 gene:gene-LSAT_5X106681 transcript:rna-gnl|WGS:NBSK|LSAT_5X106681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYNNLKIDLNAAFVCNDDLSKNYDYGDTTLRLASRSNISFVNSREQHTKSTPKHGSTLVLGLGPTPTTCSSGINRNKKQADEDSVLELSLSYNSNASKSHLNRFSNHYSGSFEANNGFGISVFDEGATSAKKSGGYIPSLLLAPRISSTTSKTLLQRFELSEHGEKSDHGCPSESSVVSGYSTGTASGQTESFIPHGGRRRCRHPTGCSNAARGKWDFCIKHGGGKRCVVEGCSRSAELQPGLCISHGGGRRCWFQGCTKGAQGSTNYCKAHGGGKRCVFSGCTTGAEGSTQLCKSHGGGKRCLYEGGGICPKSVHGGTNFCVMHGGGKRCVVVGCTKSARGRTHCCVTHGGGKRCKFENCTKCAQGSTDFCKGHGGGRRCGWVGGGGEGNCQKFARGKGGLCMAHGTMVQESEGGIGPRLFHGVVAKSASASDNNSLSGVSVVSSSIGWLERPAKRRQLIPPQVLVPSSMKTWAFSSFTPNHKEGDANGVMVPEGRVHGGSLLSLLGGSLKDAVIDGSDRS >cds-PLY82223.1 pep primary_assembly:Lsat_Salinas_v7:1:69216413:69217534:1 gene:gene-LSAT_1X60541 transcript:rna-gnl|WGS:NBSK|LSAT_1X60541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGTLPSCLGILCKTLMALKLRRNNFHGNMMNAFLTGDPLTKLDLSENRFSGQLPRSLTNCTNLEILTLEDNSFHDTFPSWLGTLSKLQVLVLRSNKLYGSIQGSTAVSLQFPKLRIIDLSNNNFSGQLHQNYFQTWHAMRSANLENLEALDLSRNKLSGEIPQQLVQLGYFSIFNVSFNHLDGHIPQGKQFDTFENDSYEGNPRLCGQPLSKKCQYSKVSTLPPTSNVSESLLPSERIDWIIILCGVGSGLAVGIVIGSILYTRYSDRITKRKDRWVRPLRNTRRN >cds-PLY69918.1 pep primary_assembly:Lsat_Salinas_v7:4:67858435:67862889:1 gene:gene-LSAT_4X46221 transcript:rna-gnl|WGS:NBSK|LSAT_4X46221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRSNGIKLLSWLARRSLSNDPLYESLARRGYRKFGSSIHGHYKISNCYNNKNAVNSRYWSGLGTINAHYGNIRSIHGTSACMASKDYYDTLGVNKNATASEIKKAYYGLAKKWHPDANKDDPEAETRFQEVSKAYEVLKDEEKRAQYDQLGHETFEASASGGAGPDAGHWRNPFQDLGDIFGFGPFARNFTGKDVKVSLELSFMEAVQGCTKNVVFQTELPCETCGGSGVPPGTKPETCRRCKGAGMTFSQTGPFRIQVTCTQCGGSGKYVKNLCKSCNGQRVVRGPKSVKLNIMPGVDTNEELRMSRSGGADPDGNQPGDLYVVIKVREDPVFRREGPNIHVDALLNITQAVLGGTIQVPTLTGDVVLKVRAGTQPGQKVVLKGKGIKTRNSYSYGDQYVHFNISIPTNLSERQRELIEEFAKEEQAAEYEKGAAASR >cds-PLY70628.1 pep primary_assembly:Lsat_Salinas_v7:4:227086922:227089109:1 gene:gene-LSAT_4X124021 transcript:rna-gnl|WGS:NBSK|LSAT_4X124021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGMERLQRMFAGAGGALGHPPPDSPTLDTSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNKLEEKMLLNLHKKKWTDGLTLERFDTHSKTNEQTVQEMLGLAVKYNKAVEEEDKLSPEKLAIANVGRQDAKKHLEEHVSNLMSSNIIQTLGTMLDTVVF >cds-PLY71854.1 pep primary_assembly:Lsat_Salinas_v7:3:60520704:60522052:1 gene:gene-LSAT_3X47800 transcript:rna-gnl|WGS:NBSK|LSAT_3X47800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIFLYFLFTLPVIASTNACDRCLHQTKALLFSNASALSYGACGYGSSAPSFYNGHLAAAVPNIYKFGSGCGACFQVRCMDAKLCSKVGTQVIVTDLNSNTQTDLVLSSRALMAMANKGMEQKLLKLGAANVEYKRVPCDYKGKNLALRVEESSRKPHYLAIKFLFQGGQTEIVSVDVAQVGLSNWGFLSRKSGAIWETSRVPAGALQFRLAVTSGYDRKAIWAKSVLPADWNVGVVYDSGVQIDDVAEEGCGRCD >cds-PLY88995.1 pep primary_assembly:Lsat_Salinas_v7:3:72651704:72657589:-1 gene:gene-LSAT_3X56980 transcript:rna-gnl|WGS:NBSK|LSAT_3X56980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELRLRRNLPAEAFMLFLVVLLRNSVVGAIASKHPCDFPAIFNFGDSNSDTGGLSAAFGQAGPPHGESFFHGPAGRYCDGRLIIDFIAESFELPYLSAYLDALGSNFTQGANFATAGSTIRPQNQTLHQSGFSPFSLNVQWYQFNDFHRRVQNFRTKKVDEVFKRLMPKSEDFSRALYTFDIGQNDLTAGLFQNLSLRQVRESVPDILGQFKTVIKDIYNQGGRAFWIHNTGPFGCLPYVLDRQPVTTGQVDKYGCVGPFNELARYFNLRLKQTVDQLREHLPKAAITYVDIYSVKYALITQSINHLRFKHALRACCGHGGKYNYNVHIGCGGKIKINGTEILVGKACADPTTAINWDGVHYTQAANKWVFDQIVNGSFSDPPIPLGLACRRQH >cds-PLY95320.1 pep primary_assembly:Lsat_Salinas_v7:8:242553674:242558284:-1 gene:gene-LSAT_8X144901 transcript:rna-gnl|WGS:NBSK|LSAT_8X144901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTFVDGVLRWFHHHNRRSSSVPNPDGHVNLDSETKSLPSSSSSSNQLTITEDFDFSALKVIRVPKRISFPPVFPSPSAPMDHNRKIEAEFFTEYGEASRYQVQEVIGKGSYGVVGSAVDTHTGEKVAIKKINDVFEHVSDATRILREIKLLRLLKHPDIVEIKHIMLPPSRREFKDIYVVFELMESDLHQVIRANDDLTPEHYQFFLYQLLRGLKYIHAANVFHRDLKPKNILANSDCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPGIDIWGIGCIFAEILTGRPLFPGKNVVHQLDLMTDLLGTPSSESIARIRNEKARRYLSNMRKKAPVPFTHKFPNVDPLALRLLERLLSFDPKDRPSAEDALADPYFQGLANVEREPSTHAISKMEFEFERRKLGKDDVRELIYREILEYHPQMLQEYLRGGEQTSFMYPSGVDRFKRQFAHLEEHYGKKGEKSSTPPLQRQHASLPRERVPAPKAETEKEKETSDDTEKRNAASVATKLDTSSPTGVSDNPSAAADEQKGNMSARSLMKSASISASKCIGVQGTRTDPQEEGTTEHQEEIDGLTQKLAVLKA >cds-PLY89459.1 pep primary_assembly:Lsat_Salinas_v7:8:30580078:30582510:1 gene:gene-LSAT_8X21800 transcript:rna-gnl|WGS:NBSK|LSAT_8X21800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLSCFRVHAILTIRYISHRLNLSHSNSRVRCSKRNMSQAEMVKMVNGSNCCSSKVVINDPKLLHQKKTAIRLAGPSKFQVIADFDNTLTKFWVDGFKGHSSHALLQQENPEYNAKRDELFNYYHPLEFDPEIPIDEKTKLMEEWWGKTHGLLIEGGLTYDAIRNSVAGAMIAFREGERDVPILIFSAGLADIIEEVLRQKLHRTFKNVKIVSNRMKFDETGNLVAFTGKLIHSLNKNEHALDMAASLHDQLGEIDDHVIDSASVKKRTNVLLLGDHMGDLRMSDGLNYETRISVGFLNNNIENSLDNYRKGFDIVYLDDAPMSGVVKLVSELFSSASD >cds-PLY87092.1 pep primary_assembly:Lsat_Salinas_v7:5:259675436:259676079:1 gene:gene-LSAT_5X129740 transcript:rna-gnl|WGS:NBSK|LSAT_5X129740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHIQSESSPSTEVQEDEYVPETPPLALQIDNDDHISETFPHNQGADIETGEDGYQSPLGNDQQPPPDSFGAPPKVGPTSTITPLLPLGSPPSNSSPPNNTPPSSSTP >cds-PLY72593.1 pep primary_assembly:Lsat_Salinas_v7:3:198283175:198287853:1 gene:gene-LSAT_3X116941 transcript:rna-gnl|WGS:NBSK|LSAT_3X116941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAKRRYLDEDWELVGDLFAEMEKKYRLIALKMSIKNNLGDRLHSYYVGEMKMLMFNCKKWVGKAGRT >cds-PLY70705.1 pep primary_assembly:Lsat_Salinas_v7:4:259148717:259162430:-1 gene:gene-LSAT_4X134440 transcript:rna-gnl|WGS:NBSK|LSAT_4X134440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDTDGKTAKELIRDVGKQLAAKQKCPHKDSLVKLLRQAASALPKLQAQSHLLKPAIKPLSDSLLKHGLLKQKDKDLRLLVAICVCEILRILAPNPGFSDEDFRDIYQLFLGMFVELADTKSPYFSKRVALLETIARYNFCVLMLDTGCEDLVLKMFNIFFSVIRKEHPSNVYGAMSSIMSLIFQEKVSQALLEVILQSLLKDSKDASFQLGVSVIEGCSQVLQPVVCRFLNCCILDRDGVNSELKESYHTIIYEIFKCAPEMLIAVVPNLTQELLTDQVDVRITAVKFVGRLFSIPGRHVAQEYHRLFIEFLNRFSDKSAAVRVNAVLCAKPFYFTNPSGEESIAVLSALEGRLLDFDDKVRIQAVAVVCDLAKSNLRPLPLEIIAQAAKRLRDKKVTVRKKVLQMLLDVYHHYCNRCSEGIIKLSLEFEQIPCGILMLCYDKDTDFGPQNIEHILEESLFPISLSVEERTRHWLFLFSHSASASDHRNAIFTSAHEKALTAILCQKKRLQIEMQSYLELRTNEKYFSVRKEERIKKLFAKMSTCFPIPIKAEESFHKLHLVKDADIFDSLKEILVELKFGSSRIMRDNCVSKSKMKDMQLDFEFLQSLTTKCSYNIFSFDHVSCILDHLSEDKFQEAKLKNACVSLLMVIVNAFPILLRGSEEQFCTLLLEDKSLFCNELLEMLAKAGPHISIDLSVIYPFLERICLDGSRAQAKLAVSAISGLMVTSEHSSLSDLCKKLVDALKSGPPTPTVLQSLGCMAQHSVSAFEPHAEEITRYIVENILLVNEVGMSDDIVSSECSSTSCNLKIFGLKALVRSFLPHQRTGVARPIDELLTLISRMLQRAEVSGGTLSGEDDKDHLRLAAATSVLRLSQMWDSHISPHIYHQTLLTAKDHSCMVRRLFLKKTFKLLKNNVLHCKYLCAFALAAASDSSQKDESLNYMAEIIRKHHREPKVADVTDDPVCSLMFLIHILAHDTDFPSQEDKYDSFFSPIVFTLEALLNPSFVDGDMNRIGKVVSDLHNTFNAIKRAEDALDVHKTSRLHAVAIFGAKYLVETKSSGMSHSHIPTPTSILLPSLLYKKSDSNPKQSARNHGKRVEDPDPHDGELCKRQKQIISSHNFISPESVTCDVEKTSLFQMEDSS >cds-PLY74751.1 pep primary_assembly:Lsat_Salinas_v7:6:121176124:121179168:-1 gene:gene-LSAT_6X73561 transcript:rna-gnl|WGS:NBSK|LSAT_6X73561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGELAPRTRGNRRSEAHGATAGSDARRWQPDTPYQWDPNEEGRIREGFENMLKDRYRGRMKDAREASGKQSNTLLLIXCNIDSGGAPRHTGGSIGFEEHRLKLKELTGEDP >cds-PLY78130.1 pep primary_assembly:Lsat_Salinas_v7:2:62592552:62593181:-1 gene:gene-LSAT_2X28280 transcript:rna-gnl|WGS:NBSK|LSAT_2X28280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISPVLEKLVIENCPNIILLDECHLHPLVSLSIFYCTGLASIKSIQGLTSLKSLVIGTCPSLSVIANLPNECHSLKTLSIRHCTNLTSLPHEMFDYFTFLNELELGPFSKEVDSFPSLQGIENLRNHLHSLTLYGVDQWESIPEEIQHLTSLTQLYIFGFGIREVPMWLTNMSSIGHLIFYNCKGLNKETVRRGAPQEATVVRLNDEEC >cds-PLY98485.1 pep primary_assembly:Lsat_Salinas_v7:5:209689465:209690129:1 gene:gene-LSAT_5X95160 transcript:rna-gnl|WGS:NBSK|LSAT_5X95160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVHRLGNAVTQILENTRKKPMRIIFYRDGICDGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFPNNHNDRKCTDKSRNILPGTVVDTKICHPVEFDFYHCSHAGIQV >cds-PLY82287.1 pep primary_assembly:Lsat_Salinas_v7:1:67517200:67517562:1 gene:gene-LSAT_1X57420 transcript:rna-gnl|WGS:NBSK|LSAT_1X57420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKFIGCPNYQDVLKDCKLFHWVDPPLPNQWYANLLLEFHNNVNLENNAVFGDYGQQQVEGSFFEDIVEQPMEQPPVQIQPVEGVIIQVQNGQEGGKWKSFFMCSWFLLFCVGDVEGFEG >cds-PLY63763.1 pep primary_assembly:Lsat_Salinas_v7:6:24937455:24940454:1 gene:gene-LSAT_6X19821 transcript:rna-gnl|WGS:NBSK|LSAT_6X19821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCRMIPRKKKTGTVPVYLNVYDLTPINGYAYWVGLGVYHSGVQVHGVEYAFGAHEHSTTGIFEVEPRKCPGFTFRKSILIGRTDFGPREVRGFIEKLAGEYSGNSYNLITRNCNHFCNDMCLRLTKRPIPSWVNRLARLGFLCNCVLPAGLNETKVRQIRAEDGSNNEKKLRSQSSRYTSASKPEPQLSSRSSSSSTRKSQSMGTKSKTLFNQKV >cds-PLY63568.1 pep primary_assembly:Lsat_Salinas_v7:9:149443259:149447548:-1 gene:gene-LSAT_9X95301 transcript:rna-gnl|WGS:NBSK|LSAT_9X95301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDFSFINTKAFANLKGSGGNIWEVFEVLDDVRRAIFRDTVFGYFIDVPRLQGDALLFHKMFLHQIQPDPVLSSDGIKRLYFRVSNTKMVYGPEEFCLITGFNFGEYPKNIGRKGSEKLISSKKRCLLRERLFPDHTNSSVKIGDLKRLILNRTFLALDDVDAVRVCLIYILCEGFLGKEVNDRVPQDWFFLAENLDLWNIFAWGSSLWDFTYVDLEDTWNKIHNYLSLPERGQTLKYSVSGFTAPIRIWIYEMIPAVRACGFASRKNKDLPRMKRWSGTKKLKWVDVNKIWSKMQEGQPPRQNMLSGDGEMTSCYYMSFQEYVYAEGKAVLSPVRDHFRRQDEFSSSMSSSGRSHGRGRGSGKHKLDEVLKRLHALEQHVFMNRQPTEVFVEEVNNDQFWNDIFFDDTTVSQRNYDEQVVQDEVMNKNNTTENVFGDTQDDKVLEERNEYAGNKFDDDVFDEWEERNDNAGNKFDDDVPDEDELIITGNVDYFLDDDDDKEVTPDKPRTRKPSQYLCPPYTELHTTPKQKRRTKKKVDIKSTSPVPPPVFGVAHDFSMLRLQPYVAGGEVVIQNFWSSLFGHTHDGWLESTSITKLSCVQHITIWYRLLMERRFESDRHTIMPPNFFVSHALEEGQDWRAFMAGIATYPNFMVAWWDVDTVLLPIHSSPNHWLFGELRLASMEVHIYDSLGRGAYEKFKSEGIFSKFERRVANYLDKIKYWARRNIPRIPLNMQFIYEENVPQQSSHLGDCGVFLCMFMEQLVSGQPIRVLIDPKNAALEFRLRMAKIIWGSSLGPM >cds-PLY79819.1 pep primary_assembly:Lsat_Salinas_v7:8:15088055:15089268:1 gene:gene-LSAT_8X11180 transcript:rna-gnl|WGS:NBSK|LSAT_8X11180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSKSAIGSVPTLVWVLSHEEHELYGVPDGCDLVAAAAIPVAYGTSHQALVHRANLKSDQVLLVLGAAGGVGLAAVQIGKVRGAIVIAVARGTEKVEFLKSMGVDHVVDLSKEGVIESVKSFLKTKKLKGVDVVYDPVGGKLMKESMKLLNCGAQILVIGFASGEVPVIPANIALVKNWTIHGVYWGNYETNRPGVVEDSLKELLSWLARGLITIYMFRSYKLQEAYLAFLEIKDRKVIGKVMITVDDPKTIPSSRL >cds-PLY73101.1 pep primary_assembly:Lsat_Salinas_v7:9:22655589:22655890:1 gene:gene-LSAT_9X20441 transcript:rna-gnl|WGS:NBSK|LSAT_9X20441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCVIVERLKNLLKQGFQKTTRVIPSNENERRTLFQKKKKNKSQVERGYGYGVYIISINQLSPVSVIDLI >cds-PLY98726.1 pep primary_assembly:Lsat_Salinas_v7:6:103435465:103436371:-1 gene:gene-LSAT_6X68620 transcript:rna-gnl|WGS:NBSK|LSAT_6X68620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVFKPSKLPANADFHLFKAGVQPKWEDPECASGGKWTVTSSRKPTLETMWLETLMALIGEQFDEADEICGVVASVRQRQDKLSLWTKNAANEAAQMSIGRKWKEIIDVTDKIIYNFHDDSKTRTSKGRYNV >cds-PLY68736.1 pep primary_assembly:Lsat_Salinas_v7:3:139394140:139404617:1 gene:gene-LSAT_3X91981 transcript:rna-gnl|WGS:NBSK|LSAT_3X91981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFVVIAIGLFLFNALFGAALTIFSLRKPKNLPPGPTPLPIIGSLHLLGDKPHQSLAKLSKIYGPIMFLKLGRATALVISSAAAAKEVLQKQDLAFSSRHIPDAVTAHNQSLHSVVWLPVATQWRILRRILNTNIFSSSSLDANQHLRSLKVQELVAYCRKASQSGDSVNISRAAFRTTLNLLSNTLFSKDLTDPYEDSGKEFKELVDNIMVEAGKPNLVDFFPVLKKIDPQGIRRRMTNYFGRINEIFEELIEERLGMSESKHDDVLDVCLKISRENPDEINRTHIKSLFLDLFVAGNDTTSNTLEWAMTELLRNPHIMTKAKKELEQVVGKGKIVEESHISKLPYLGCIVKETLRIHPPVPFLIPRKIQIDVKLNGYIVPKGTQVLVNAWAIGRDTTLWDNSMKFIPERFLTSSLDVRGRDFELIPFGAGRRICPGLPLAVRILHVMLGSLLNNFDWKPDGLGHDDLDINEKFGITLQKANPLCVLPVPLN >cds-PLY95919.1 pep primary_assembly:Lsat_Salinas_v7:8:244836346:244840417:1 gene:gene-LSAT_8X146120 transcript:rna-gnl|WGS:NBSK|LSAT_8X146120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWGIIRQNVGGARDLSSQVLGSSLMRLKPITSAMRHYSSATKEMTVRDALNSALDEEMAADPNVFVMGEEVGEYQGAYKITKGLLDKYGPERVVDTPITEAGFAGIGVGSAYHGLRPVIEFMTFNFSMQAIDHIINSAAKSNYMSAGQINVPIVFRGPNGPAAGVGAQHSQCYGAWYGSVPGLKVVVPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEALDSSFCLPIGKAKIEREGKDITITAFSKMVGYALKAAEILEKEGISAEVINLRSIRPLDRGTINASVRKTNRLVTVEEGFPQHGVGAEICASVVEDSFGYLDAPVERIAGADVPTPYAANLERMAFPQIEDIVRAAKRVCYRSALPLAATA >cds-PLY91391.1 pep primary_assembly:Lsat_Salinas_v7:8:45610819:45613846:1 gene:gene-LSAT_8X34920 transcript:rna-gnl|WGS:NBSK|LSAT_8X34920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSRSDHLASYISFVFTIFLTFNIAKAQSNVTRGSSLRPTGATTSWLSPSQLYAFGFYPQTGGYAVGIYIAGIAERTVVWTARRDTLPLSNNSTLTFTTDGRLVIVDQTQDQQISIYSSGSASIASMQDSGNFLLYGSDRRTILWQSFDHPTDTLLVGQRLVPGQDLISCVSETDYSIGIFKLSMQSDGHLVLYPNSGFPNGPTTAYWGSGTFDKGPNVTLNLDSGGFLYLLQNSTFYIMNLTQEGYSTEDAIYRMKIDVDGIFRIYFHNLSSTSHNESVIYEFSTDKCIGRGLCGVNAYCDVMNDAARCRCLPGFDFVKPGSWSSGCKRYYTAETCKIPEGDKGNFSQMTRLPNNTQWEDAAYALPKASNQEECSLACMNDCKCEAALFTPPESCRVQRLPIRYIRVGDSESNVGLIKVYVASVNNGSDPTNYPSDQVRKVRQVKFLVIGVSLVSVAVLVLLLSGVIMWRSHVWAYKKISEHVNVQLFEDVGLRAFSYAELERMTNGFKEELGRGSFGIVYKGIIESHMKMVAVKKLKKELAQEGEREFQTEMKVIGRTYHRNLTRLLGYCCDGPERLLVLEYMTRGSLADVLFHYKESKPCWTERIRIALDIAHGIFYLHEECETPIIHCDIKPQNILMDEYGCAKISDFGLAKLLEHDQTKTSTLIRGTRGYVAPEWHKKLPITVKVDVYSFGIVLFEILCCRRKLDNDLPYEEAILEEWVYECYKANELGKLVNDEDVDRSSLDRMIKIGLWCIQEDPSLRPSMKRVVLMLEGTVKIPIPPKPTSFLSII >cds-PLY91194.1 pep primary_assembly:Lsat_Salinas_v7:4:125062827:125066206:1 gene:gene-LSAT_4X78521 transcript:rna-gnl|WGS:NBSK|LSAT_4X78521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEGTMFPIVYAPSFLLLVFFLFIFYYFTRPSSKLNLPPSPPKLPVIGNIHQLGAVLHRSLDYLSKRYGGPLTLIHLGTIPTLIVSSAEAAREIMKTQDLTFATRPEVRRWRQVMYDLKEVSVAPYGENWRQYKSIMVLNFLSKSKVESYREVREEEMAIAVEKIKKSCKLQEAVDLSDLFLTLTNDVVCRVTFGKKYSEGESGRKFKKMLKEFWDVLSELNFEDIIPYLGFIDQLRGVSGRVVKVVKALDEFLDGLVEERLRKHAAGGGGDADGREDFLEILLKIQKENTNSVLDRDSIKGLLLDVYTAGTDTTATVLEWAFAELLKQPKLFKKLQDEVRMVLQDKEQISQQDIDNMTYLKAVIKETLRLHPPAPTLIPRASSQDTKVMGYDIKKGTRVIINGWAIQRDPKVWDEADEFKPERFLNSTIDYRGHDFDLIPFGAGRRGCPGLAFAIAIDEHVLANLLHKFDWELPNGEKAADLDMEEQPGITVHKKVPLMVKPKPISS >cds-PLY83663.1 pep primary_assembly:Lsat_Salinas_v7:4:44727045:44729246:1 gene:gene-LSAT_4X30080 transcript:rna-gnl|WGS:NBSK|LSAT_4X30080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPHKQSSKASNKSKTHFKSFTNTDKYHHLEWTNQITESPVYHPSLEDFKDPFQYLQKIAAQASKYGICKIVPPITSTTPTGVIMMKEKPGFRFTPKVQPLRVAKWTTNNVNNTFYISTKSYSLRDFEVMANRATANKYCLSGCLPSAYLEKEFWHAMTRGNKGTVEYGVNKLPRLQKSALHWIKNSVPGLTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAPKTWYGVPGSAAHEFEEAIQHHVYSKQIISKNGAFELLAEKTTMFPPNILLQNHVPVYKLVQLPGEFVVTFPRAYHAGFSHGFNCGEAVNFATRDWFPFGEAANERYTLLKKQPVIPYEEILCKEAMHLFLSSKKDDNPFVKISFASMIRKYDNALTRLKSLDKSICISSNLKETVSCGLCKRDCYVAHVNCKCHFHNICVFHEKELSNCSCGSNRFLFVRSDLPKMKEVAKKFEEQQPIKKVGKQKNNSKADVATSGNRTGTRGKLGISSMSNKRTRSSCRLKEFKKCKKRASQCHLCAKIVH >cds-PLY65821.1 pep primary_assembly:Lsat_Salinas_v7:5:136541254:136541553:1 gene:gene-LSAT_5X60261 transcript:rna-gnl|WGS:NBSK|LSAT_5X60261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILFPRIIQAKQILRRSLSNGSSTTKSMETPKGYLAIYVGEQEKKRFVVPVWLLSQPAFQELLDQAEQEFGYVHQMGGLTIPCSEYTFSDIASQLGAL >cds-PLY86061.1 pep primary_assembly:Lsat_Salinas_v7:3:195088541:195089346:-1 gene:gene-LSAT_3X116560 transcript:rna-gnl|WGS:NBSK|LSAT_3X116560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLQHLNSPLSSILLLITAQRCPRKIIRRGPLSPISPRNYKINMKVDKSTFMGISRGFSPLPTSCI >cds-PLY75879.1 pep primary_assembly:Lsat_Salinas_v7:1:172491687:172493218:-1 gene:gene-LSAT_1X115140 transcript:rna-gnl|WGS:NBSK|LSAT_1X115140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGSDDQKSSSIYNRSYTINSASTDFPVKPYPYSSIQRTASVKKVSDSSFDSIKGKVRALCSIFEARKASKPSSDDSQSQTKLKSEKSFSSSDSKLSSLSSPYESTPIRLPGTEDRVVIYFTSLRGIRRTYEDCYAVRMILKFFRVHIDERDISMDSSYRKELLSVLGEKQVSLPQVFIKGNYIGGADVIKQLHETGQLAKLLKGLPVRAIGPWQVCDACGDVRFIPCANCSGSKKVFDEDEDQLIRCPDCNENGLIRCPTCCS >cds-PLY76267.1 pep primary_assembly:Lsat_Salinas_v7:8:33408753:33413862:1 gene:gene-LSAT_8X26440 transcript:rna-gnl|WGS:NBSK|LSAT_8X26440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKQRPSSAYNSPFWTTNAGLPVYNNTASLTVGNRGPILLEDYHLIEKLANFTRERIPERIVHARGASAKGFFEVTHDITHLTCADFLRAPGVQTPTIVRFSTVIHERGSPETIRDPRGFATKFYTREGNFDIVGNNFPVFFTRDAMAFPDVIHAFKPNPKSHIQEDWRILDFLSHHPESLNTMTFWLDDVGIPTDYRHMEGSSVNTLTLVNKEGKTHYVKFTWKPTCGVKCLMDEEAIKIGGANHSHATQDLYDSIAAGNFPEWKLFLQVIDPDHEDRLDFDPLDGTMVWPEDVVPLQPVGRMVLNKNIDNFFAENEQLAFNPGLVVPGIYYSDDKMLQGRIFAYSDTQRHRLGPNYLQLPVNAPKCAHHNNHYDGNMNFMHRDEEVDYFPSRYDRVQHAQKYPINSARVTGTRERTVIPKFNDFKQPGERYRSWEPDRQERFIHRMVKMLSDPRVTHELRSIWISYWTQADQSLGQKIASRLSVRPSY >cds-PLY78790.1 pep primary_assembly:Lsat_Salinas_v7:8:60351843:60360490:-1 gene:gene-LSAT_8X43581 transcript:rna-gnl|WGS:NBSK|LSAT_8X43581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADGDNMCCQCEITVSESCNYCTNTKVTQKIEDHKIYPSDSTNETSSSNFINERFDGRCLQAVSVRHSSGRSDEDEAEDSAKNFFSPYSHDTSDIDSSSVSTRHEFNSFMSLNSSPSDSPSKIQEHMAVLKSHEHIGPEYSQSYDDFSIFQEQCEKSHSHSHSHKPLDFETNDLIWFPPPPHDQNDDDDDDDNNFFSYGDDDDEMGDTNTSVVFSSSGEQEPLKTVVQGHFRALVSQLLQSEFNSTEKWLEIVTSLAWEAAHYVKPDTSRGGSMDPGDYVKIKCIASGNPSDSVFVKGVVCTKNIKHKRMTSEYKNARLLILGGALEYQRSSDQLSSIETLLQQEMDHLKMIVSRIEALRPNVLLVEKSVSSYAQEYLLAKEISLVLNFKRPLLDRISRCTGAPITPSISHISTTRVGQCDLFTLEKVSEEHENPNQFNKKPQKTLMFFEGCPRRLGCTVLLKGSSREELKKLKHVVQYAIFAAYHLSLETSFLADEGASLPKMTILPLVPQPEMTNQEMTITPLGLELSESDVAVESRRFFAPMEEDKDVERVCDLMQNDEGDKDVERGEVIIEGSEEYYSGADNNQSILVSFSSHCVMNGSVCERSRLLRIKFYGCFDKPLGRYLQDDLFDQASLCQSCKEPSDAHVKCYTHQQGNLTINVRRLQSLKLPGGKDGKIWMWHRCLRCTHVDGVPPANHRVVMSDAAWGLSLGKFLELSFSNHATANRVASCGHSLQRDCLRFYGMGDMVAFFRYSPIDILSVHLPPSVLQFGDHFQHDWLKKEVSELLNKIEALYDEILDVLNGIESKSTSFGNDLTDSDELSNHVMELKEQLSKERQEYIDLLQSADEENGAEIDVFELNRLRHSLVINLHFWDRRICSLNLYNRSSNLKPSSPDSKSWRSDSIPEDTIYHESELNQDPDSVPDSLAMSFDLCKHEELQVDIERISSSLERAPSAASVLSDKIDSAWAGPLKSHEPQTNQNPNSHLTRLPQSPTRVYSFDSAIRQQERNRKGLPPSSLYLSTLKSFHASGDYVHMVRDPVHNIQRRSYSQAFLHEDFSITSKQSLISSTSFLPEGARLMIPNGGQFQTNLVISVYDNEPTSIIAYALSSKEHDDWITDRVNLSRNGSTAWQSFGSVDLDYAYYAQEDAIGPLFSDRKSSNHVTIPFEDESGGDGKVKFSVTCYFAKNFDALRQKCCPNELDYVRSLSRCKRWSAQGGKSNVYFAKSLDERFIIKQVTKTELESFEEFAPEYFKYLMDSLNSGSPTCLAKIMGIYQSIDVIDYSLLVGVDEEKKELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNAAPTIISPKQYKKRFRKAMTSYFLTVPDQWSS >cds-PLY94486.1 pep primary_assembly:Lsat_Salinas_v7:2:156510501:156510985:1 gene:gene-LSAT_2X82101 transcript:rna-gnl|WGS:NBSK|LSAT_2X82101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGQLFLIKHLLILREQITPSDIEFSVTHKELDFSQLLEHLRRILRGQTSLFDWSRSTSLARTLSPRVLESQIDTKKELEKNLKATCESLSCQSLS >cds-PLY78206.1 pep primary_assembly:Lsat_Salinas_v7:4:226587983:226593405:1 gene:gene-LSAT_4X124900 transcript:rna-gnl|WGS:NBSK|LSAT_4X124900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVKENKITKLNKLTESRRSENPPAGEPWTEEDISNFSPVLSIEPQQGSKEADRVDRLPGQLQVDFDHFAGYITVDLKAGRALFYYFVESPHNSSTKPLLLWLNGGPGCSSFGYGAMEELGPFRVNSDGKTLYRNDYAWNNVANVLFLESPAGVGFSYSNKTSDYTTAGDKQTAKDSYTFLINWLERFPEYKTRDFFITGESYAGHYVPQLASLILSENKKTNQKIINLHGIAIGNAWLDDNISNQGMFDYLWTHALISDETNAGIKKYCDYISGNFPEKCNQYLNQGISELGRIDIYNIYAPLCDNTTQKLGSGSVKNFDPCSSLYVSSYLNQAKVQEALHARNTSWRHCSSFDWPDSPTTVLPIINQLIGYGISVWIYSGDTDGIVPVTSSRYSINKLKLPVESAWRPWYYNKEVGGYVVGYKGAVLTTVRGAGHAVPSYQPERALLMISSFLEGKLPPSLTE >cds-PLY79225.1 pep primary_assembly:Lsat_Salinas_v7:5:299999660:300000085:1 gene:gene-LSAT_5X160300 transcript:rna-gnl|WGS:NBSK|LSAT_5X160300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRYELIQSYEFDLIRFLFNCGADSQSEKGCLYVFGLGYNPSDKEIEKTVVIHYNGNLKPWLEIGIPKFQGYWNRFLDYDRAYMRDCNSMFCL >cds-PLY91603.1 pep primary_assembly:Lsat_Salinas_v7:7:11533061:11534090:-1 gene:gene-LSAT_7X9941 transcript:rna-gnl|WGS:NBSK|LSAT_7X9941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDVERVCCMCGDVGFADKIFRCIKCHHRFQHSYCSNYYSESSEPPELCDWCQTEVIKTTKHTGSSKKSNLKSEVGIGNRSEYSGDKIKQHDREEGFEKGKSSSSTGAPSPKTSTRRYKLLKDVMC >cds-PLY98271.1 pep primary_assembly:Lsat_Salinas_v7:7:169958764:169960498:-1 gene:gene-LSAT_7X100961 transcript:rna-gnl|WGS:NBSK|LSAT_7X100961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVALSKPSIEQFFMKPSKPNTNPLIFPTIPLIDLSKPESKQHLVKACQDFGFFKVVNHGVPTKFIKKLESEALKFFSSPLSTKEKAGPPDPFGYGNKRIGRNGDVGWVEYLLLNAKPESDYQRYLSVFEENPEIFQGVVNDYVTAVKKMACEILELLADEMKLQPRNVFSKLLMDEQSDSVFRVNHYPPCPEFQENERNGRKLVGFGEHTDPQIISVLRSNNTSGLEISLRDGSWMSVPADSDSFFINVGDSLQVMTNGRFKSVKHRVVANSTKSRVSMIYFGGPPLSEKIAPLPSLIQGEEDSLYKEFTWFEYKKSAFNTRLADNRLGLFEKITAT >cds-PLY86316.1 pep primary_assembly:Lsat_Salinas_v7:9:34810517:34816453:-1 gene:gene-LSAT_9X32901 transcript:rna-gnl|WGS:NBSK|LSAT_9X32901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHVDGNHNHNVSSCLTNVVVSRANMNDDNIGDVGDSSASNKVVVNTWQTIERRILVDSTLCDGTPGIAFLLLKFFPVTGNKNDLNTSTCIIEACDSASASFRDVTFLRGSAGACALGAVVSKNIGERECYMGVLNTYGHVNTKLVHRRLQPCYTPLCTNYHISGLMKANSSGVRRGKESSS >cds-PLY64350.1 pep primary_assembly:Lsat_Salinas_v7:4:23772493:23773546:1 gene:gene-LSAT_4X15920 transcript:rna-gnl|WGS:NBSK|LSAT_4X15920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEITELNLIGDFEAGMKCLQNPSLLSTVSLIDKVPRFYSYWTWGALILAVFATFTSVFNRIKLFIYQIRLKLLTSCQKSCPQQIFGDDDLDFDFSDDDGDDDTPSSVAESDDEELDSEDGHPDEVFRAEGSSFWGNNRGSDGNFTLRRRNGFSLSDFSAGKSVVQLWDSFGLGLDFEDDESEYGSEIAIWDLDRDVKLSSGRRCEVAAVADNVVLTAEMNDNNGEVGFRTYDSRVDGKSPAIYATWRPRHRQIWADDESVTGQKEE >cds-PLY63337.1 pep primary_assembly:Lsat_Salinas_v7:9:133454612:133458550:1 gene:gene-LSAT_9X85960 transcript:rna-gnl|WGS:NBSK|LSAT_9X85960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14S [Source:Projected from Arabidopsis thaliana (AT5G27550) UniProtKB/Swiss-Prot;Acc:F4K4C5] MADKTRELETITMSMKLLKLDPDQTIEGSPDYISETVECNGGECNSDMHSDQEQRTLPIFEKFDKLSNKVKNLRKEHTALCNEVKNITADSFPGSEVFTALQNLGEEHENLKKKYQEECQTLKNRFHLECSERRRLYNEVIELKGNIRVFCRCRPLNHDEITKGSTSVVDFESVQENELKIIGSDSSKKQFKFDHIFKPEDNQEAVFTQTLPLVVSVLDGFNVCIFAYGQTGTGKTFTMEGTPEHRGVNYRTLEQLFKESEKRSDIMRYELFVSMLEVYNEKIRDLLVEETEHPSKKLEIKQSAEGTQEVPGLSEVAVYKTDEVWELLKSGSRVRSVGSTNANELSSRSHCLLRVTVRGENLRTRSHLWLVDLAGSERVGKIEVEGERLKESQFINKSLSALGDVISALASKTSHIPYRNSKLTHILQSSLGGDCKTLMFVQISPSSSDLGETICSLNFASRVRGVEHGPARKQTDVTELFKYKQLAEKAKHDEKETKKLQDNLQSVQLRLSAREHICRTLQEKVRDLESQLAEERKARQKQENRALAVVSSSQSSLKQSLTTISEKRPPLIPSTSKLRLPLRRITNFVSTHPPSSATSKLKSNYSSLLSDEKENLSKPPQTTKPLSRARRGSIASKPTPAANQVLKPKRRASIAAFHSESSNTPVAGTTGGGGSRLRDDGNRVVARQSFVWDRQRVLRTSRVESPLDKEAAVEGTPGVVEAEASDGGGVAEETVGLESVEHKKVYESRISCCHARYKVVRSFPYYVAEPLH >cds-PLY77137.1 pep primary_assembly:Lsat_Salinas_v7:7:184385820:184389869:1 gene:gene-LSAT_7X110060 transcript:rna-gnl|WGS:NBSK|LSAT_7X110060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFPIFDGNVYLLKGIPNFLAKEDNSPAHVEVNNNIIPSSAVLKQLAIGFQTTKGLRSMKDLLASSRDSSPVKERSGLSLSAMKSFVVGEREDDKFSSEFGRNEKVMSFIHSLLNAEGNFSRKKVGSCFKTNKMITNFSKELHGAPAESFVTELAAVVGSLKTLRKMALFWSRVVLELRRLWCEGQYIPGIPPDDIPNLNSCLLYQDSQVINCCITRKQRRVIATQSLDAVLKQANRDPDLYAKISSGERVLRLGAHKRLENTTLLKTGEPVYTPIMQEGPLLTEEVIRETEELVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWTETSTDDEGKESINGDLSSSKGQLSSRMQKEGNLWRELWEAAKSIPAVKQSPLYDEDLSVEGILHGFENISPSGFFEQLFLSLLSSGCTIAEARISTNEDLYKLFKECKEYIIITCQGKRWVEKADDICKVYETVAMMALCPNGVIRITKPQPDEPTASDEPKGSFGKVGVLFGAIDNSPWKTPPKGPKKQEDDTAAQASLMFSKKPPKPTEKQVGSIENIPLPQ >cds-PLY84926.1 pep primary_assembly:Lsat_Salinas_v7:6:15875370:15876412:1 gene:gene-LSAT_6X10301 transcript:rna-gnl|WGS:NBSK|LSAT_6X10301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNRSSIILFVALMVAAIASSVTAKEFIVGDDKGWTLNFDYQAWAYGKKFVVGDKLIFNYAAGKHNVFRVNGTVFQQCMIPPVNEALTSGYDVITLQTTGRKWYICGVGKHCEQGGMKLFITVFPQSTPPPAPWVSPVPSSSKVFVVGDDKGWTLNFDYQAWAKGKQFFVGDKLVFRYAVGKHNVFRVNGTSFQQCTIPAATEALTSGYDVITLETPGRKWYICGVGKHCLMGLKLFINVLPQSTYPPPPYYGARKLAPPKF >cds-PLY69624.1 pep primary_assembly:Lsat_Salinas_v7:5:111259733:111261560:1 gene:gene-LSAT_5X49301 transcript:rna-gnl|WGS:NBSK|LSAT_5X49301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRRASGRIRTPAPPSSSSQLNKPLDRSQPVAPVNNNLPSGGNVDPISDVPPRNSADDVIEERDSQYDAMLNKMVGRIQTKPGGKLETGEAYVVDKYNRPMPKLRNTTPESGRYEHKPAAPGTLNVGQLRHIILLYQGKAEDHNGPMDVNQIAKRYRLDVSQVQRAVQFLSLPPEFLNKPKRDPR >cds-PLY86210.1 pep primary_assembly:Lsat_Salinas_v7:8:224778358:224780154:1 gene:gene-LSAT_8X137601 transcript:rna-gnl|WGS:NBSK|LSAT_8X137601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPDLAKMTRCVKDGHPEKMDPARLIWHMVEKELTQGDKLVDCYYASHLQYLIRSQRPELFMEEDEGVANGIAEDLEEKQEKQEEVEKEEEEEKERKEEEKERKQEETEGKQENEEKSLIVEEQGIELTLGSDVKEIVQEEVKKDDEVMVDAEEHKEDEAVAEEVEEEVVEEVEEEEEEEQGNWPLFGKNELGNHFLQRCNSDLNNYEEPKIEELEDEDEDEQIQQVEDEDEEEEEEEEEGGERADEGFNMEMEANDDSLDRDGLTGNFLQGVEASHNPFNGMDLFGSREGSFMSHGGPSSFFNGGKRVMETEEHITHVDPNHKRLKTDEIWGQKPTDFNTCMDQMQQWMEKAKMLHESKEQAFEHSQYNHELAINQLQERQNYMEMLIKSKDEELSKKHTEVFRLERELYLMGDLVAGYKKALNDTRFKFSEYRKRYSLHEEPIYKDAGPGGLVLSTRELEKQRVKQEEDRVKILKMLKDHEEECVSKLGMHHDKVLKMADKLVSVENEVKNLKGMSVERKSTRDKPELVVESEEKRDEGELLGEAEVHQKSAEEDQEMSVEPEMDQKVEDEVMENDNVESDPLDADESKVNISCKL >cds-PLY93711.1 pep primary_assembly:Lsat_Salinas_v7:2:200940129:200941000:1 gene:gene-LSAT_2X121620 transcript:rna-gnl|WGS:NBSK|LSAT_2X121620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEGMSGFGLKASRSAGGGAKCGRWNPTNEQVKVLTDLFRSGLRTPTTDQIQKISSQLSFYGKIESKNVFYWFQNHKARERQKRRRVYVEYDQNDHNVAKQHFAEVTDTERVIETLQLFPVNSRAFTNDECKENTSPYPTMYTCGTEMENHYPQRHPSLDLRLSFM >cds-PLY87765.1 pep primary_assembly:Lsat_Salinas_v7:1:45887014:45889566:-1 gene:gene-LSAT_1X40420 transcript:rna-gnl|WGS:NBSK|LSAT_1X40420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIIIFLSLLVFSMNVEGRYHFHKNQKKASSDPPSNATIPQPQPPVYPPSVPSDPGSAPTNPGDDTDSGPCVFDVTDYGAIGDGSTDDTPAFKAAWKAACAVASATILVPSGCTFMITSTIFSGPCKPGLVFQVDGILTPPAGPDCWPEKDSKKQWLVFYKLDNMTLTGTGTIEGNGQDWWDLPCKPHRGPGGTTLPGPCDSPTLIRFFMSSNLHLSGLRIQNSPMFHVKFDGCEGVMIDKVSISSPKLSPNTDGIHIENTKSVGIYNSMIGNGDDCISIGPGCVNVDIEGVTCGPSHGISIGSLGVHNSQACVSNITVSNVIIRDSDNGVRIKTWQGGAGSVTGIAFENIQMENVRNCAIIDQYYCANKNCENQTSAVYVRDISFRNIKGTYDVRSPPIHFACSDSVACTNITMSEVELLPFEGELVDDPFCWNAYGVQETLTIPPIDCLQDGMPQSVSDEGVYECS >cds-PLY81855.1 pep primary_assembly:Lsat_Salinas_v7:9:181690627:181691392:-1 gene:gene-LSAT_9X112160 transcript:rna-gnl|WGS:NBSK|LSAT_9X112160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKISFFLLSLVILSALQLSSAVDYTVTNRAATTPGGVKFNNVIGAAYTTQTLGSATSFIWTTFQQNTAADRKNVAQVNVFIDDMDGVAYTSGNEIHVSARYIQGYSGDVKTEITGVLYHEMTHVWQWNGNGQAPGGLIEGIADYVRLKAGYAPGHWVRPGQGSKWDQGYDVTARFLDYCNGRRSGFVADLNKKMRNGYNDGYFVDLLGKSVAQLWAEYKA >cds-PLY97342.1 pep primary_assembly:Lsat_Salinas_v7:4:287268701:287278277:1 gene:gene-LSAT_4X146300 transcript:rna-gnl|WGS:NBSK|LSAT_4X146300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRNYTNGTVSDDVLNDKSQGRTIERAESIVGGIDLQTTSSVDKGFATKMEDEDDDSLLNLTASNATTTRKTSGRWGSTFWKDCQPMHQTEESKSSSAYNNENGSGNDLSEVDKANKGQNVDEMLSDDYYELDGDDQSDSKLLNNAAAGGYNSMPLRVVSVNNLNSKDSSRGAYVEEDADFEDDDEEEEDEDDPADADFDPDLVTTSVGRGKKVQDDDWDAEDLDEEDNSEDNEIDISEDDFYKKPSGMQRQKRKPSREPKPSTSTSFGRRKRGRASFDEDDSSPDDDLEEDFKSTRRKSTKASGRMNEVRTSTRSVRKVSYVESESEEHDDDIKRKGHKEDMEEEDGDSIEKVLWHQPKGMAEEAAQTNKSTEPLLLNQLFDLEPDWSNTEFFIKWKGHSHLHCQWKSFSELQNLSGFKKVINYTKKVMEDIRHRRTVSREEIEVIDVSKEMDLDLIKQNCQVERIIADRIGKSSEVPEYLVKWQGLSYAEATWEKIDDIAFAQATIDEYKAREAAVTAAHGKMVDFQRRKSKASLRKLDEQPDWLKGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNSQQIHGPFLVVVPLSTLSNWAKEFRKWLPDMNMIVYVGTRASREVCQQYEFFSDKNTHSGGTTKFDALLTTYEVVLKDKMVLSTIKWNYLMVDEAHRLKNSEASLYTSLKEFSTKNKLLITGTPLQNSVEELWALLHFLDSDKFNSKDEFVQNYKNLSSFNEIELANLHMELRPHILRRVIKDVEKSLPPKIERVLRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGYGGDSAISGSSKLERVILSSGKLVILDKLLDRLHQTNHRVLIFSQMVKMLDILAEYLSIKGFKYQRLDGSTKAEARHQAMEHFNATGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGSAFDKNELSAILRFGAEELFKEDKNEEESKKRLLSMDIDEILERAEKVANFCSAEDDGSFWSRWIKPDAISQAEEALAPRAARNSKSYAESIPSERNNNKRKKKGVELQLQERGIKRRKAAPDYSTYQPPPLEGASAQVRAWSYGSLPKRDATRFFRTVKKFGNDSQMRMISEEVGGSVEAAPVDAQVELFDALLDGCREAVKGGGTMDPKGPLLDFFGVPVKADDVLNRVEELQLLAKRVNRYNDPISQFQALMYLKPATWSKGCGWNQKDDARLLLGIHYHGFGNWEKIRMDEKLGLLKKIAPVELQHHETFLPRAPQLKERASQLLQMELVAVGGNAKGGRKATKKQKDQFPITNPTSHTRAKQWKPGETKLPKNKMKMTRGTGKKNKPLVKEEGEMSDTEEVYEQFKEVKWMEWCEDVLTEEKKTLERLHRLQTTSADLPKEKVLSRIRNYLQLLGRRIDQIVIDHEEDLYKQEKMTTRLWNYVSTFSNLSGAKLQQIYSKLKQEKGLAAGVGVGPSETNRGGFRNNNQSSSTSTLVHKGGLDTAKFEAWKRRRRAESDPNTHAHFQRPFATRLPDPSTGILGAAPSDTRPAFGQRQGGFT >cds-PLY76138.1 pep primary_assembly:Lsat_Salinas_v7:9:29902331:29902561:1 gene:gene-LSAT_9X26460 transcript:rna-gnl|WGS:NBSK|LSAT_9X26460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVAITDGLEVDGSDTVDINIRCSGITKFVVHVSLDSSVESFKSVIAKHCYVPTEQHRLIYKGRLLSSQRRSDT >cds-PLY90653.1 pep primary_assembly:Lsat_Salinas_v7:6:52156093:52157472:-1 gene:gene-LSAT_6X38241 transcript:rna-gnl|WGS:NBSK|LSAT_6X38241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIIKRPGRSCIALRNNLEKCGVATSPELVVEVLAQVRNDWELAYTFFLWAGNQPDYAHSLRQYHSMIGILGKMRRFDTAWTLIDEMKRGGKNGGESMVTPHTLLIMIRRYCAVHDVGKAINTFYAYKQFNFEVSINSFQDLLSALCRYKNVKEAESLMLCNKDVYPLTTKSFNIILNGWCNIICSPREGKRIWWEMNNRGIHRDVISYSTIITCYSKSSETKEVIKIFDELIASDINPDRKVYNAVIHSLAKSGLVVKARNLMKSMEEKGISPNSITYNSLIMPLCKAQRSTDAREVLDEMLQRGLLPTVRTYHAFFQASRTAEEALLILKKMNVMGCCPNHDTYVMLIRKFSRWGDLENVSKIWNEMISNGLDPDRSSYVARVHGLFLNGMLEEAYKYHVEMKAKDLLPEPEIEERLDEWMKIKCSNS >cds-PLY72898.1 pep primary_assembly:Lsat_Salinas_v7:6:165306107:165308766:1 gene:gene-LSAT_6X100761 transcript:rna-gnl|WGS:NBSK|LSAT_6X100761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRTDAPINENEIRITTQGRMRNYITYAITLVQEKGADEISLKAMGRAINKTVMIAELIKRRIAGLHQITSIGSADITDMWEPLEEGLLLLETTRHVSVITITLSRKELDTSSIGYQQPIPADLVKPLVEYDHEGDGSPNMRGRGRGRGRGRGRGNYNNGGIEYNGDGGWEDGQGYGGGWDDGRGFGGRGRGRGRGRGGYRGRGGGGGGYRGGAPPQEFGGYNDYGGSGQMPPPGRGRGRGLWRGRGGGRGRGGGGRDFRSDAPPVQAVA >cds-PLY69801.1 pep primary_assembly:Lsat_Salinas_v7:4:244910438:244910842:1 gene:gene-LSAT_4X130661 transcript:rna-gnl|WGS:NBSK|LSAT_4X130661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPETGRVEADLQKENVVNIPDADATIDDHQIPENSDQSETNDYEGFLDLGFMPPVVVRAVSLNVIYPDSYFKGRFLKELIVILTLISSILNGSSIFGKVRLPPQGESMTLKLEVLLLVILQHLLFPRKEISFFI >cds-PLY74951.1 pep primary_assembly:Lsat_Salinas_v7:8:102270539:102271673:1 gene:gene-LSAT_8X70001 transcript:rna-gnl|WGS:NBSK|LSAT_8X70001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLISSDPKSSSPSSSKTAQVSSPSIQSPRSPFKKSATPVTMGDRKSKRSLTHTQNAPVSSGKIAVHVPDGKKPVSYALLARSIHELAATSDQKKSQRQLVYYVFPKLAIYNSVDPSLAPSLLMLGQQCKDRTILRYVYYYLARILSDSGAQGLTSGGVIPTPNWDALADIDALGGVTRADVVPRIIDRLATEALNDDVECK >cds-PLY73232.1 pep primary_assembly:Lsat_Salinas_v7:8:178861606:178865023:1 gene:gene-LSAT_8X116060 transcript:rna-gnl|WGS:NBSK|LSAT_8X116060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSLRNTRNVYQALEIRKTSHLCGNWRSYSNPGIEAPEIINKRNPYCFKVQNCLPSFIHGGNKILHTAKIAELSIFLNGSRNLSTQVKAPAQARKMGALKVSMLSPGIIYEPYGPREPISFLRRWFTRTGWRRTKDDIFLELKNAYAIAKLRKSGYSKKQFYAEAVNLYKEINILMANGDKTTLRKMVTEHMYSALKSEIKQRESRWSNVYWELIEPIGVDRDDLSKIFIQLTLEFLSKQKFEAYDSKGNVVAGNRDKEVLVRDIWVFEKSQFHPGAYWRLCGRIPVKPGDK >cds-PLY78938.1 pep primary_assembly:Lsat_Salinas_v7:8:3103169:3105271:-1 gene:gene-LSAT_8X2340 transcript:rna-gnl|WGS:NBSK|LSAT_8X2340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAAASVFLHPLSSAAMGDDSSMDAVQRRLMFDDECILVDENDKVVGHDTKYNCHLMEKIEKGNMLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIDENALGVRNAAQRKLLDELGIPGADVPVDEFTPLGRILYKAASDGKWGEHELDYLLFMVRDVGLDPNPDEVKDVKYVNREELKELVRKADAGEEGVKLSPWFKLIVDNFLFQWWDRLHKGTLTEAIDMKTIHKLT >cds-PLY94374.1 pep primary_assembly:Lsat_Salinas_v7:6:10430037:10431970:-1 gene:gene-LSAT_6X7321 transcript:rna-gnl|WGS:NBSK|LSAT_6X7321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTGIGSGNGKAYPGNLTLNVFITCMVAACGGLIFGYDIGISGGVTSMSPFLKEFFPAVYEKESKLVASSNQYCKFNSTTLTMFTSSLYLAALVASWFASVITRSCGRKISMLIGGCVFCAGALLNAFAQNVLMLIIGRILLGIGIGFANQSVPLYLSEMAPYKYRGALNMMFQLSITIGILIANAVNYGFAQIKGGWGWRLSLGGAVVPAIIFIVGSLFLPDTPNSLIERGKVTEAKAMLLKIRGVDSVDEEFNDMVAASEESKKIKHPWFNLLRRKYRPQLTFAILIPLFQQLTGMNVFMFYAPVLFKTMGFGDNASLFSALITGIVNTLATFVSIFTVDKFGRRVLFIQGGIQMFLMQVVITVAIAFKFGLQGNPGELEMWYSVLVVVAICIYIAGFAWSWGPLGWLVPSEIFPLEIRSAAQSVNVSVNMIFTFFVAQIFLQMLCALRFGLFIFFMFWVVIMTGFIYKYLPETKGIPIEEMAGVWKEHPVWKRFVPVVDEELAPVGDNKENDDKEKNIS >cds-PLY70177.1 pep primary_assembly:Lsat_Salinas_v7:9:1577589:1579478:1 gene:gene-LSAT_9X4121 transcript:rna-gnl|WGS:NBSK|LSAT_9X4121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVSIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVSLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTAGRPVGRGRGRGRGRGRGRGR >cds-PLY91360.1 pep primary_assembly:Lsat_Salinas_v7:8:45427580:45431803:1 gene:gene-LSAT_8X34720 transcript:rna-gnl|WGS:NBSK|LSAT_8X34720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALNNKTTTILLVVYTVVATVNVVAELQRFDHRPTKADGSLDILVVGDWGRRGLYNQSDVAFQMGKMGEKMNVDFIVSTGDNFYDDGLTDDNDPLFVESFTKIYTAKSLQKQWYSVLGNHDYRGNVFAQLSPALKQRDSKWLCLRSFIVNSGIVEFFFVDTTPFQDKYFTEEDHDYDWRGILPREEYLSNVLKEVEMALEESSATWKIVIGHHTIFSAGHHGNTQELVDQLLPILEEKEVDLYINGHDHCLQQISSPNSQLQFLTSGGGSKAWRGDINQWNPNELKFYYDGQGFMTLRITEDEIDVAFYDVFGEILHEWSTSKYTLKDS >cds-PLY78037.1 pep primary_assembly:Lsat_Salinas_v7:7:193016633:193018298:1 gene:gene-LSAT_7X114860 transcript:rna-gnl|WGS:NBSK|LSAT_7X114860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIFAGKCIYGAAFELQSRVFVKPCRAMMIIVRCCSNSDESSMNSPVRYTPKKSLKYNKVNDYTPAAKESKNFEVRRFTNPDSLYVEFSNAGERSSIGFSVHEKSLDNDFKEGHVISKEKKTYNSNVRLEVGEPDYKWRSADSKVMEEADEFDAMEGLDEDLDEHDSLNGEYEIKNLTIKTKEEAEKTAIRLLGARAYTALELKKKLIGKKFSDEVVNAVISDFQNRGFINDLLYAESFSRSRWSSSSWGPRRIKQALAKKGVNDLDAQKAIKVVLEDGESVPKFGLSKPSMDHLFAQVSKQWLRAKDLPTEKQKSRIINWLQYRGFNWGVINFILKKLQSEHPVKTDDG >cds-PLY85733.1 pep primary_assembly:Lsat_Salinas_v7:1:48017856:48019172:1 gene:gene-LSAT_1X42081 transcript:rna-gnl|WGS:NBSK|LSAT_1X42081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKKEVFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRVHGVNYGNFMHGLIKENIQINRKVLSELSMHEPYSFKALVDVSRTAFPGNRPLSTTPKKEGLAILV >cds-PLY77366.1 pep primary_assembly:Lsat_Salinas_v7:1:208197381:208201588:-1 gene:gene-LSAT_1X124100 transcript:rna-gnl|WGS:NBSK|LSAT_1X124100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRVSELATRMKIVHVTLWVFMCKEYTKESLSKSIAQQLCLLPTTYEEWEDGDENKELKDNDDKVQDSETLIKEIKKKLEEKEILLILDDVHLDHETEHDSNETKFWSLWNEMFPNENFKTLLISRLKREHEDISDAIEVEAFPKEESDALLIGKLDAQLRKKERILNLGKTLIEKSDGFPGTVIMIAKSLNYFGVDESRMSILEKELEETSREYSVNKLLCMKHDVLPISILKDLWWSGHHFFCDSASVHYNELITYWILEGYLGFGSMTSLYNKGHGIVMELMDYGVLKGLEGDYVFMDKSLINVDDLYQYVDQNANLGLATVFTSDVEGFGRITHEDGMLKTPRRTRTKVKNQEQKESSSKEVGQNLSTLLLDGTHFSDQVMMNFLKSEKELQVLALFNPTIKSLPNSLDMMEKLRVLVLRGCEFLQDVKLPLKALRVLEISGGRSLRSLKSIFFKNMVNLQSIHLSGLQISYLPQAFYNLLELRWLVIKDCRRLKKLESLSKLEHLLVVDLSGNIVLDTVDKNFLKFKNLQSLNLSNTLVSTTPLLKNIESLTHLLCRGCKGLGRLRGLTSLTSLQTLDLSGSIEFEEFHDSSLQSLRSLKTLDLSETAIDRLPSNISDPRSLYLKSCPLLERLPCIESLRDLEVLDVSGSKNLKEFEKGFFDRLTDLRVLNLSETNVVDLPSLSWLSNLRELYLSRCPSLKRLPSLESATKLEILDASWCIGLDDIGNQSFEGKTRLQKIDLSETKIESFPSLSNPSHLRQLLLKNCKALKSFELNVSLPNLEELNLSGVTLKPNGAEFMKDMSNIQILDLSNTSLEQIPSISKFTNLTRLSLAGCSCSDAELDLKPLSKLEVLNLSGSSIKRLTNLTGSHTLQKLLLQGCSIAESSKDDEFKDLLGSNPKIPDAMSELSHLDHIEFPNVNYDTSHEESSSKETNQEQCSICRLSEDDKAPIFTSGSQFLEILKENPLQEKSHLCAVPYMVEGEIGDRYLQRHELVFRDVYLQACGFPQYKGNKSLQIRGFNHFPKGIENIICKVNMVFLIDNKFNGLPSEFDVSKLKEVKGCWIDRCDETITIFTEKEGDESSNSPIFLENLGISNNRRLESIYNGKQASGSFDSLKSLYLESCPKLSVVFQSSWLPKNLEVLEIKHCDKIVSLFDQTDQGILPSLKTLHLWELSELESIGLSFPKLQTLKIWECPKVKQIERIFQSSHTLETLSITGATSLKSLDGISCLRFLSTLILESCPMLEYVASFLDSVKTIEIKSCEKLQILFTRMYYMPDLDTLHFEDLPMLKKIGVTFPSIVTIITYECPNLNLKGTIVD >cds-PLY96722.1 pep primary_assembly:Lsat_Salinas_v7:6:76687136:76687345:-1 gene:gene-LSAT_6X56260 transcript:rna-gnl|WGS:NBSK|LSAT_6X56260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSNDKVLEDTTKACQASEKKIPEATEHVLLLQKDVKEFTADFRTSSDKNTTDMNKVIEGFRTSLKS >cds-PLY90209.1 pep primary_assembly:Lsat_Salinas_v7:9:20437032:20440865:-1 gene:gene-LSAT_9X18980 transcript:rna-gnl|WGS:NBSK|LSAT_9X18980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G30070) UniProtKB/Swiss-Prot;Acc:O22397] MFHPRTSLESIEHGLQNQKLNKASCSAVLSLAYQSLGVVYGDLSTSPLYVYKTTFSGKLSLHEDDEEIYGVLSFIFWTFTLIALLKYVFIVMSADDNGEGGTFALYSLLCRHAKLSMLPNQQETDEKLSAYGVAKTAETWQSFELKSFFEKHRKFRKGLLIFVLLGTCMTIGDGVFTPAISVLSAVSGVRIKVAGLHENYVVGISCILLVGLFSIQHHGTHRVAFLFAPIVTAWLLSIAGIGIYNIIQWNPQIFHALSPVYMVKLLKRTRREGWLSLGGVVLSITGVETMFADLGHFSTLSVKIAFTFLVYPCLVLAYLGEAAFLSRHHEDIQRSFYKAIPEAVFWPVFIVATFAAVVGSQAAISATFSIISQCCALNCFPHVKIVHTSRKIYGQVYIPEVNWMLLSLCLAVTIGLRDTNMIGHAYGLAVTTVMFVTTCLMTMVMIIVWKQQIVTAALFLLLFGSIELFYLSAAFFKVPEGGWIPLLLSLTFMSIMFVWNYGKLKKHEFDLENKVSMNRILSLGPSLGMVRVPGIGLVYTNLITGIPAIFGHFVTNLPAFHQVLVFVCVKSVQVPYVRAEERILVGRVGPREYHMFRCIVRYGYKDVPHENYNFENRVVSALVEYVETEGKDGDTDSENFDVDVDVSVPGGPAFKLQDYETKMVNSLKEIEESFVGCLETHSALKDESIQILKARESGIAYILGHSYAKAKKSSSIFAKFAIDVVYSFLSRNCRGTDVVLNVPHTSLLEVGMIYYV >cds-PLY99505.1 pep primary_assembly:Lsat_Salinas_v7:4:56937478:56938572:-1 gene:gene-LSAT_4X38201 transcript:rna-gnl|WGS:NBSK|LSAT_4X38201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative 60S ribosomal protein L37a-1 [Source:Projected from Arabidopsis thaliana (AT3G10950) UniProtKB/Swiss-Prot;Acc:Q9SRK6] MKLQKTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >cds-PLY90074.1 pep primary_assembly:Lsat_Salinas_v7:6:17007665:17009314:-1 gene:gene-LSAT_6X7760 transcript:rna-gnl|WGS:NBSK|LSAT_6X7760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIPTDMRDAWVSHRKKSSSFMIPSPEEDLKFLRSKMCTQEGVRAGMKSASIACVASAIPTLIAVRTIPWAKANLNYTAQALIISAASIAAYFITADKTILECARKNTRTLYDKSA >cds-PLY84062.1 pep primary_assembly:Lsat_Salinas_v7:6:186545347:186546263:1 gene:gene-LSAT_6X115781 transcript:rna-gnl|WGS:NBSK|LSAT_6X115781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTALLLIILFNLLFKGFCQCELKDITVGTERTSALIEGKQEWKVSFINTCKCPQQALTVSCDGFKTVEKVDPDVFAPVGNNCTVNGGRPIAPFATVQFLYAWDPPFIFVPVSSQVNCEGAFK >cds-PLY67929.1 pep primary_assembly:Lsat_Salinas_v7:5:298974975:298976706:-1 gene:gene-LSAT_5X160961 transcript:rna-gnl|WGS:NBSK|LSAT_5X160961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLVSGRTSTLNPNAPLFVPAAVRQVEDFSPEWWDLVTTSTWFHDYWLSQQQGEDGFFANTVDDFDFTDLLPDSIEADEDTLTMEAQYEQFLLLSEMERTNTFTPSPTFKQIPTNGLELESEVMRGLTLSKSMKEWGPKSPVEVARYWEKPAKAVSMSPKSRGQRIQQPR >cds-PLY98815.1 pep primary_assembly:Lsat_Salinas_v7:7:22847154:22848700:-1 gene:gene-LSAT_7X18221 transcript:rna-gnl|WGS:NBSK|LSAT_7X18221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMKSRNQNKSSANNNQAVDVSEWEIVESIKPYLFTEAAMKIKEEELNSTISKLEKKISSLKESLANEESQKLNAIDFLNKEKEARMALEKNHDSLKEELLQAKQNASTAEEEVKTQQDMNKILQEYNRSLQQYNTQLQNQLFTAIDANKHFVKEKAAILENHITLKEHYNFLQQCCAQTEKISVLEHQLAAANEKLKMVDLSSLEIRRKYEDQKRIVSKLEDQLLEAGLLFEEERLRAQLLKGYIS >cds-PLY98074.1 pep primary_assembly:Lsat_Salinas_v7:4:78605848:78606156:1 gene:gene-LSAT_4X53361 transcript:rna-gnl|WGS:NBSK|LSAT_4X53361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRILEKTLTAVFYFFVFIAPCTAHIIALKLQSDNRHMIPFSDFEFSNVGYVSFVISSVTVTSTSSLPPDPSRIGFLFQSHKPDEEQPFKFQQTLQFATWISN >cds-PLY88361.1 pep primary_assembly:Lsat_Salinas_v7:9:18079912:18082288:1 gene:gene-LSAT_9X15660 transcript:rna-gnl|WGS:NBSK|LSAT_9X15660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDLPNVSSLLELDVKDDDQHMASATNSTEKTNGKSKEENDSVEDVIEENDQRFDMLKEHYKLPLVWIDLEMTGLNVEVDRILEIACVITDGKLKKLIEGPELVIHQTKDCLDNMGEWCQNHHATNGLTEKVIQSTISEKEAEKQVIDFVKRHVSAYTPLLAGNSVYVDFMFLKKYMPDLASLFSHVIVDVSSIKALCRCWFPQVKKGFRKEKKHRAMDDIKESIAQLKYYQQNIFISPKSKR >cds-PLY82530.1 pep primary_assembly:Lsat_Salinas_v7:2:185078229:185083351:1 gene:gene-LSAT_2X108480 transcript:rna-gnl|WGS:NBSK|LSAT_2X108480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Type II inositol polyphosphate 5-phosphatase 15 [Source:Projected from Arabidopsis thaliana (AT1G65580) UniProtKB/Swiss-Prot;Acc:Q84W55] MAARDHVVDDLFSSLNSSPASPQINFGSNSPNLISFDDDGEEDYDNTTTTSKPLMVFDRFYDSSSEDGLYSTGSGGGGSTGGDNSLVQAAGNRLDYMMQYLDRKLLSVDGKDNELKQALPEIIGSGGGRGMFKLPIRAAVHPGRPPSLELRPHPLRETQVGCFLRILEGTADGGQLWAGSERGVRVWKMKDMYAAGMGEENDEAEVPFRESVKTSPTLCLVVDDGNKVVWSGHKDGKVRCWKMYQPSDEIEVPFRECLSWQAHRGPVLSMVFSSYGDLWSGSEGGAIIVWPWEALEKAQLLTTEEKHMASLTIERSYIDLKNQVTSNGISCSILAADVKYLLSDHSGGKVWSSGPLSFALWDAYTRELLKVFNIDGLAENLTAIQDLTPEEEAKMKFASYPKKEKPQTTISFFQRSRNAIMGAADAVLRVAAKGAFFEDNRRIEALIITTDGNIWTGSANGVIIKWDANGNRLQEFQFHPHPIRSLCTFGLRIWIGYTSGYLHVMDLDGSLLGEWVAHKTPIIDMAIGPGYIFTLANDGVTRGWSISSPGPLDTILLSELSRKESQYTKLENFRILASTWNVAEGRATQDSLISWLGSAVKDVDFVAVGLQEVEMGAGFLAMSAARETMQVGLEGSSAGQWWLDMIGKTLDEGSSFYRVGSRQLAGMLIGVWARNNIRSNVGDVELAAVPCGFGNAIGNKGGVGLRMRIYGRIICFVNCHFAAHLDAVNRRNADFDHVYKTMSFTRPSNLLNTTSAAGVTSMVRIANAMGSLSVDESPELSEADMVVFLGDFNYRLDDISYDEARDFISQRSFDWLREKDQLHMEMKAGSVFQGMREAVITFPPTYKFERHQPGLAGYDSGEKKRIPAWCDRILYRDSRLDSSDTCNLNCPVVSSILQYEACMDVTDSDHKPVRCIFNVEVARVDEPKRRKIFGEIIKSNKKIRNILKEQNNVPDTIMSTNNIILQDDDKSILRITNKCGEDKALFRVICEGQCTIKDGKQESDHQPRGSFGFPRWLEVKPTSGIIEPNHIAEICVHHQGYETMEEYVDGEAQNSWCEDVRDKEVMLMVEVRRGSCTVEKKCHRVRVRHTQSLTGRSMPMEPKHEELNRVHGNVLHRADAKWLSGSRDVVDHIRDLHTP >cds-PLY83955.1 pep primary_assembly:Lsat_Salinas_v7:8:38374273:38380096:-1 gene:gene-LSAT_8X30500 transcript:rna-gnl|WGS:NBSK|LSAT_8X30500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARFMAYKMIGSFLTRGLVMVFGYAYPAYECFKSVEKNKPDIDQLRFWCQYWILVAVLTVSERIGDTFISWVPMYSEAKLAFFIYLWYPKTKGTGYVYESFFRPYISKHEREIDRSLMELRTMAVDAAVLYWQKAGNYIQTKTFDILQYVASQSTPKPQPDNSTRQHDLNLKAQKVVAHEETKEPSSPVASSSSSSGSNTGTGTDTGETEKPDVGPTQDPPLPDSDVLTKEKESKKESVVEEAKESVRVTRARLRKATSLQ >cds-PLY92864.1 pep primary_assembly:Lsat_Salinas_v7:5:329000418:329000633:-1 gene:gene-LSAT_5X181860 transcript:rna-gnl|WGS:NBSK|LSAT_5X181860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLKKDLRVSRVGPGGSLNAFFFLLIGVISQRLAMVRKKGGTGTLGERSTTESCMLRSGRMNRSRKGIY >cds-PLY74258.1 pep primary_assembly:Lsat_Salinas_v7:1:78723322:78726063:1 gene:gene-LSAT_1X66240 transcript:rna-gnl|WGS:NBSK|LSAT_1X66240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNWLLQTEDPRISTGIQYQSSESFMDFLEGLTYEHVNFIFSGTTYPQEITNSTINTYAYKFGYSEAGTFSYYDYCDDYPIYNQTMGNNEYTRQLDVNQRTTTQQDEQLSSSFHANPAECLERNHNHGVHVWEDNVDLDNMTYEDLIELGEIVGSQSRGLSHEAISLLPISKFKCGFFWRKKSKVEKCVICQMEYKRGDKQITLPCKHVYHGACGSRWLSINKACPVCYKDVNVNIGGSKSEERFRKW >cds-PLY75806.1 pep primary_assembly:Lsat_Salinas_v7:3:67586187:67589237:1 gene:gene-LSAT_3X52541 transcript:rna-gnl|WGS:NBSK|LSAT_3X52541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSNHKKRNFQIEAFKHKVVVDPKYADKTWRLLEHAINEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYTGLVSTMTHHLTSMSKSIQDTHGETFLEELNNKWTDHNKALQMIRDILMYMDRTYVPSSHKTPVHELGLNLWRDNVIHLTGIQTRLQTTLLQLIHTERTGQIINRGLMRNIVKMLTDLGPSVYKLDLETPFLEVSAGFYRVESQEFIECCECGDYLQKAERRLNEEIDRVSHYLDPKTEIKITNVVEKEMIANHMTRLVHMENSGLVNMLVNDKYDDLKRIYTLFHRVPHGLDTIRELMTSYLRDTGKNLVTDPEKLKDPVEFVQSLLNEKDKFDKIIGFSFNNDKTFQNSLNSSFEFFINLNPRSPEFISLFVDDKLRKGLKGVSEEDVEILLDKVMMLFRYLHEKDLFEKYYKQHLAKRLLSGKDSSDDAEKSLVLKLKTECGYQFTSKLEGMFTDMKTSQDTVQGFYATHGSDLPTGPILTVQVLTTGSWPSQPGASCNLPSELTSICEKFKSYYLGTHTGRRLTWQTNMGTADLKANFGKSQKHELTVSTYQMCVLMLFNKSERLSYKEVEQMTEIPSVDLKRCLQSMACVKGKNVIRKEPMSKEILEDDVFFVNEKFASKFYKVKIGTVVAVKEEPEKMETRQRVEEDRKPQIEAAIVRIMKARRVLDHNNIIAEVIQQLQSRFLANPGEIKKRIESLIERDFLERDASDRKLYQYLA >cds-PLY95070.1 pep primary_assembly:Lsat_Salinas_v7:5:227665749:227668422:-1 gene:gene-LSAT_5X107901 transcript:rna-gnl|WGS:NBSK|LSAT_5X107901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSTKGLIWFKYIADTQSFTGDINLLNEWWNGVDGSQKWQEGVFYALCAAYALVSLIALVQLVRIQMRVPEYGWTTQKVFHLMNFVVNGLRAVQFGFYWRVFHTKPKVLDMILLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRPAYFIINGIVYVLQICIWMYMRFSKTAAAVEIAELFFSVISLGAAVGFIVYGGRLFVMLRRFPIESRGRQKKLHEVGFVTGICCTCFLIRCVMVAIAAFDQNADMHVLNHPILDFAYYMVVEILPAALVLFILRKLPPRRVSDQYHPIK >cds-PLY91229.1 pep primary_assembly:Lsat_Salinas_v7:3:77752808:77756771:-1 gene:gene-LSAT_3X58321 transcript:rna-gnl|WGS:NBSK|LSAT_3X58321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITMAATAKPIFSLLLLARLSATLVAILLLSWALYFTTSFLPHTLSQRDLIYSVLHPLLMVIGFILISGEAILVHRWLPGSRKRKKRVHLWLQGVAFTSAIFGIWTKFQGREGVVANFYSLHSWMGLLCVSLFGVQWLMGFLTFWHRGEARMIRIQVLPWHVFIGLYTYGLAVVTAETGLLEKLTFLQTNGVVLKHCNESLIVNGLGLGLAMLCGVVILTTVSSKQYQSIPKTKVMYSDNKYLTP >cds-PLY75346.1 pep primary_assembly:Lsat_Salinas_v7:4:204917825:204923610:-1 gene:gene-LSAT_4X116101 transcript:rna-gnl|WGS:NBSK|LSAT_4X116101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMESISGDEYELDDEQESPAHEEKIFVAVRLRPLNEKEITKNDLSDWECINKTTIVYKSNQSDRPMYPNAFTFDRVYGFDSTTKQLYDDGVKAIALSVLNGINSSIFAYGQTSSGKTYTMSGITEFAIADIYDYINRHNDREFVLKFSAIEIYNECVKDLLSSDGTQLRLLDDPEKGTVVEKLTEANLRDCNHLKELIYVSEAERQIGETALNEMSSRSHQILRLTVESSALDYRGGDSGRTLSATVSFVDLAGSERASQTLAAGTRLKEGCHINRSLLTLGTVIRKLSKGGNGHVPYRNSKLTRILQNSLGGNARTAILCTVCPAHSHAEQSRNTLLFGSCAKDVNTNAQVNVVMSDKALVKQLQQELARLERELKVSPPSNDSTSIIRDLEIQLEKMEEKMEELTEQRDLAESRLEHLMQVNGIDRNSLPWEEDKDTYEAVEPPRVGASLRIHGQESQRSPDENSPRIFLKEYSVPDPYQETDNMYQKIRINPEDIEKTSFTYDKIRINSEDDFNEDQHIDNTDYEFRIHPERGFKEDQHFEKTPFIEDRDFEEISINDDDVTNEEKAKISTLHDDVTSDDDVINTQESEDMNETKLEQSHSPCSSDSEGVINGFTRSKSCNEFASSAPPSDDEMVIESRNSNESEKDFSVIKQIIPKSKSEADVKTINLKEDTHNPLTIIDEKETENSIKSSNEGDVSDHNSPTDKPIRKLEDVFNDEREAEVKGDESGEVNNEVMAITPYKDWSMVFEKQRGDIIKLWDECNTPLIHRTYFFLLFKGEQSDSVYMEVELRRLAFLKNTSSLATSERALSRERIMLSKKLLKKYSSTQRDLIFRKWGIPLDSKHRRVQLSRLLWSKTNDIDHIKESADMVAKLVGIVELNQTPKELFGLSFLPTPDHIKTSFWKASISFT >cds-PLY99144.1 pep primary_assembly:Lsat_Salinas_v7:2:11763183:11766831:1 gene:gene-LSAT_2X6481 transcript:rna-gnl|WGS:NBSK|LSAT_2X6481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSTGIGYGLKYQARCIADVKADTDHTSFLTGTLSLKEENEVHLIRLSSGGTELVCEGLFSHPNEIWDLASCPFDQRIFSTVFSSGESYEAAVWQIPELYGQSNSPQLECIASLDAHSSKIKCVLWWPSGRHDKLISIDEQNIFLWSLDSSKKSAQVQSQESAGMLHHISGGAWDPHDVNVVASTSESSIQFWDLRTMKKTNSIEYSHVRNIDYDSKNKHMLVTAADESGIHIWDLRMSKAPVAELPGHSHWTWSVRSNPEYEGVILSAGTDSAVNLWFAAPASNDELTSDSLSNSTTKWTESLLHTYNDYEDSVYGLSWSSREPWIFASLSYDGRVVVESIKSHLPRK >cds-PLY95269.1 pep primary_assembly:Lsat_Salinas_v7:8:137201380:137204042:1 gene:gene-LSAT_8X94761 transcript:rna-gnl|WGS:NBSK|LSAT_8X94761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAAADDFVKGKLFPNGVAVLTLDRPKALNAMNLDMDLKYRSFLDEWEVNPKVKCVLVEGSSPRGFSAGGDVKQISTKKQLSDIIEVFTAEYSLICKISGYKKPYISFMDGITMGFGIGLSGHGHYRIITERTVLAMPENGIGLFPDVGFAYIAAKDPGEGSVGVYLGMTGNRVSTPADALYTGLGTHFLPSENLSSLKETLLSTTFCKDPYLEVEEILGKYSRNPESEPRLKLLLPHIVSTFGSNKSVKEIMEDVEKNQKNDDPLVAEWAKDALLGLKKGAPFSLCLTQMHFSRVASSFKNKKNELSNLNGVMKTEYRIALRTSLRNDFAEGVRALLVDKDQNPRWNPSSLDEVKLSEVEAIFKPLDSGVDELDV >cds-PLY69690.1 pep primary_assembly:Lsat_Salinas_v7:5:210801928:210802134:1 gene:gene-LSAT_5X95580 transcript:rna-gnl|WGS:NBSK|LSAT_5X95580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMVYYGTVFVFLDDWLGLQSSAGWINAVIFTSLVAFTLFSFFVSILTDPGGVPYGYFPDIEDNDGSD >cds-PLY68788.1 pep primary_assembly:Lsat_Salinas_v7:3:159305677:159309745:1 gene:gene-LSAT_3X100120 transcript:rna-gnl|WGS:NBSK|LSAT_3X100120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESQKQEEYSVTIPLLLASDKETKAEDHQIAAAAATTGTSSFFNACFNGLNALSGVGILSVPYALASGGWLSLLLLFTIAISTFYTGLLIQRCMDTDPTIKSYPDIGHRAFGKTGRIIVLVTMNIELYLVATGFLILEGDNLSNLLPEMGLEFYGINVSGKKSFVVIVAAIILPTIWLNSMSILSYISASGVLASLIILGSILWVGEFDGVGFEEKGKMVNWKGMPSAISLYAFCYCAHPVFPTLYTSMRDQRQFKKVLLLCFIFCTITYSSMAILGYLMFGSNLNSQITLNLPTDKLSSRIAICTTLVNPIAKYALMVTPIVDTLEERFLSFYNTRKFSLLIRTLLVISTVIVALSLPFFGYLMSLVGAFLSVTASIILPCLCYLKISGSYRRFGFESVGIGLIVVIGVVVAVVGTYTAVVDIVREM >cds-PLY73547.1 pep primary_assembly:Lsat_Salinas_v7:9:77233390:77234523:1 gene:gene-LSAT_9X63241 transcript:rna-gnl|WGS:NBSK|LSAT_9X63241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHSLSLLLLISVVSTASATTIGVTYVPAPSQPPPEEVASTLRSLKITAVRLPVAEPSVIRAFFYTNISLFLSIPNSLIHSISTNRSTASLWLYNHVVPFYPRALITAISVGTNVLADGNASSADVLLRAVRNVHQSLVDLGIRKITVSTTFSFVNIMMTSFPPSSAEFEEPTNNVILKPLLQFLSETNSSFFVNLYPYFVYKLRPEIPIGFALFQEETYNFRDDAITGVRYRNLFDLMVDAVIAALTISGHENIPVVVTETGWPSCDSANDVEARPIYAEMYLRGLVYHLQSGRGTPLRKEGVAEAYIYEVFDTNTTFSNLPAMRVGTGQNWGFLHPNMSMKFEIDFSGCFSTTIGALTEITVLGFLLLVSALLQF >cds-PLY84635.1 pep primary_assembly:Lsat_Salinas_v7:5:10913786:10916833:-1 gene:gene-LSAT_5X4701 transcript:rna-gnl|WGS:NBSK|LSAT_5X4701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIDGECPIELIGSCRNKVQVYRSVRMPQLHTSLHFHLTPIVMINGSSRRDLLLNSQNFCRSIPAGAENPSLSRLCYRRLWGSRNRRALILGWAYYLDAFSSYPLRTWLPSVYRGHDNWYTRGCSILLLRLAARRLYCSPTTPFSRFRLLPFRSPLLRESLLLSFPLATKMFQFARLSLACPWIQQQFERLTYSGISGSMLIFNSPKHFVNYYALPRLWVPRYPP >cds-PLY87743.1 pep primary_assembly:Lsat_Salinas_v7:1:4997121:5000703:-1 gene:gene-LSAT_1X3521 transcript:rna-gnl|WGS:NBSK|LSAT_1X3521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPFPVEWTFVVQIFIFMLATISLSPIVSFGSSYEFIALLKWKANLQQGNNNPVLSSWISPLPDRQNRSLPQTMPTMFWCTWYGVSCNSDGSVRALNLSSSSLIGTLNSFTFSSFPNLTLFDLSVNNLFGTIPAGIANVSNLVYLNLNYNNFSSIIPPEFGLLAYLESLSLSRNQIYGSIPHEICQLKYLTRFGLENSIVSGSIPHCLGNLTNLSYLFLNGNKLFGSIPYELGNLSNLIELQLQMNFLTGTIPNSLASLGKLTKLNLFTNQIQGPIPAEIGRLSSLQQINLYQNHLTGSIPNSLGRLRSLMVLSLHTNNLSGPIPEELGNMVSLVNLEAGKNYLTGSIRKSLGHLRSLMVLSLHTNNLSGLIPEELGNMVSLVNLEAAKNYLTGSIPNSLGRLRSLTILSLPTNKLSGPIPEELGNLVLLVTLDVSKNQLNGSVPKSIGNLLHLQVLYLSKNKFSEHLPQELGNLKLVELELSRNHFSGSLPDTICNGGRLTMLLVRLNKLTGSLPKSLYNCSSLVRVRLDGNQLTGNISQIFGVYPSLLFISLNDNKIYGELSDNWSKCKNLTSIRLDGNAISGSIPPSLGNATQIQRLHLSFNQLVGEIPKEFERMNRLESLILSHNKLSGALPLELGSLTAIDFLDLSMNNLEGPIPYTLGNCSKLIELKLGNNKFTREIPIQLGQLSRLSILDLSHNLLTSKIPSQIASLTDLMKLNLSHNKLSGNIPKSMEAMRVLSSIDVSYNDLEGPIPNSNGFLTASIDSLQGNKGLCGNITGFHNATIIL >cds-PLY65779.1 pep primary_assembly:Lsat_Salinas_v7:5:270271310:270273956:1 gene:gene-LSAT_5X140700 transcript:rna-gnl|WGS:NBSK|LSAT_5X140700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Aleurain-like protease [Source:Projected from Arabidopsis thaliana (AT5G60360) UniProtKB/TrEMBL;Acc:A8MQZ1] MAALSTVSALVVLIIACAAGVRATEVRSTFADENPIRQVVSDGLRELETTVLRVIGQTRHARTFARFAHRYGKRYETADEMKHRFSIFLESLETIRSHNKKGLSYTLGVNEFSDMTFEEFSKQKLGAAQNCSATKSGNHKLTDVVLPLTQDWRKTGIVSPVKNQGSCGSCWTFSTTGALEAAYAQAFGKSVSLSEQQLVDCARDFNNFGCNGGLPSQAYEYIKYNGGLDTEESYPYTGKDGVCKYKSENAAVKVIDSVNITMGAEDELKHAVGVVRPVSVAFQVINGFHQYTGGVFTSDVCGNDPMDVNHAVVAVGYGVENGVPYWLIKNSWGADWGLNGYFKMEMGKNMCGVATCASYPVVA >cds-PLY65974.1 pep primary_assembly:Lsat_Salinas_v7:4:138163446:138164765:1 gene:gene-LSAT_4X86800 transcript:rna-gnl|WGS:NBSK|LSAT_4X86800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVMIYSCVSLSTSSSSSSWGKLRNSHKKSARGSGGGDRRFRVRCVSTSPLASDPYKTLSVRPDASESEVKKAFRQLALKYHPDVCRGSNCGVQFHQINEAYDVVMSNLRDETSVAEMEYYGSSDAGIDEPMRGMEDPEWDMWEEWMGWEGAGIRDYSSHINPYI >cds-PLY92703.1 pep primary_assembly:Lsat_Salinas_v7:7:5090015:5094632:1 gene:gene-LSAT_7X4761 transcript:rna-gnl|WGS:NBSK|LSAT_7X4761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEPDVIKPEMMKSYIWLQTADGSIQQVEQEVAIFCPLICHEIHAGMGSSKTYPISLPARVNPVTLSLILDYCRFHQVPGRSNKERKSFDEKFIRMDTNRLCELTSAADSLQLKPLVDLTSRALARMIEGKTPEEIRETFHIPDDLTEEEKLEPLKNITDDPRIRLLNRLYARKRKELKEKEKLKNVEVEAEHVDDRSVDELLSFINGENGESKEVKTAKSKKKNRRRKEQHKNNAPVCAISDTSSTNNATKESNGIGSVGHDDDDNRVKSRCLPNINELLKLQDSEDDRFGLDDEFDDCDIGDGIDPEIKEQIDREVEDFARRLNSDWPERVQELLSLGQERRPTLYSVSVNGNGNGCSAKFSNSTRLEMEKHEK >cds-PLY68505.1 pep primary_assembly:Lsat_Salinas_v7:2:214210457:214215396:1 gene:gene-LSAT_2X135900 transcript:rna-gnl|WGS:NBSK|LSAT_2X135900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G49160) UniProtKB/Swiss-Prot;Acc:Q9M3B6] MREYVEFQVIKTSHHNNIIDHPPIIYLHYPKPKPFNNRNHMHLFVIMSMQRSGSGWFESLLNNHMNIRLNDEIFGPKNRRQNLSSNFNTLDRVYSMGLITYSSQNSCSAAINFKWMLHQGPYTAEALVLASSNLHEKPLHLSCGLLVSKDKFIQPLRRRKRALPLSYIVSATRNGNDEPESSVGAYAADDQSLIISESSRKSTEENDSEKEIIASSVYLDDNHLQHAQILGNQGNLLDKLKAVHMHALAMEQWNASRLKLCHRYRRHAVSAANLIHYLALKSLDVDQLKDELSSVGLLNLETINPYVIAGLSTGIQLLENLKSKSSENFNNQLTASMMRKRVNSNRDFLIGPVEDKRSHIMVTLGEEAITNETFITDILKAGTTAVRINCAHGDPSVWSETIRRVKINSQMLEKPCRILMDLAGPKLRTGRMKSGPCVMKISPKKNASGNVINAAQVWVAQKGAGSPPAHVSPDVVMYVDGQQLLEKLQVGDTLRFRDARGKQRSLKISKKFPVFSGVGFMAGCTNTAYVENGTKLYIKLKGNKKRSLFGSVVDVPPTETFVRLRVGDLLVITRDDFEQLPQLTSSVVGSGSPRVTCSSGYLFDSVKPGEPIAFDDGKIWGVIKGTSISEIVVSITRAGPRGTKLGPEKSINIPESKIQYEGLTCKDIVDLDFVGAHADIVGVSFVRDVDDIILLGKEVKKRKLDGLGIVLKIETKDGLKNLPLLLLEAMKLSNPLGVMIARGDLAVECGWEMMGDIQEEILSICSAAHVPVIWATQVLESLVKTGVPTRAEITDVACGARATCIMLNKGKHILEAIATLDTILKGSCAKVKPDVRPLVLPNLVS >cds-PLY86620.1 pep primary_assembly:Lsat_Salinas_v7:1:3793557:3796294:1 gene:gene-LSAT_1X3100 transcript:rna-gnl|WGS:NBSK|LSAT_1X3100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVGTQGTIKGLTTPQLEEIGCEIILGNTYHLALRPTSELIDEFGGLHSFMNWPRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRIEEAMHRTLRWIDRCIAAHKRPNEQNLFGIVQGGLDPVLRDICVQGLVERNLPGYAIGGLAGGEDKDSFWRVVAQCTASLPESKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHQSMAEDTRPIDSTCECMVCKKYTRAYLHCLVTKDAMGSQLLSYHNLYYMLQLSRNLHSSIIEGTFPEFVCNFLRKMFPKGDVPEWVCNAMEVAGIDISSCSTSTSTPVNSNKD >cds-PLY85697.1 pep primary_assembly:Lsat_Salinas_v7:7:159063101:159067643:-1 gene:gene-LSAT_7X92741 transcript:rna-gnl|WGS:NBSK|LSAT_7X92741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKTMMMKRKPSLSFLHHCCFLLSLFSIFASFRCSPSHNYADALTKSLLYFEAQRSGRLPYNQRVTWRDNSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSIIEYSEYIAGAGELEHAMEAIKWGTDYFIKAHTSPNVLWAEVGDGYTDHYCWQRPEDMTTSRQAYKIDENNPGSDLAGETAAAMAAASIVFKKTNPHYSHLLLHHAQQLFEFGDKYRGKYDESIGVVKNYYTSVSGHEDELLWAAMWLYKATDNHQYLSYVIDNADSFGGVGWSITEFSWDVKFAGIQVLASQLLIEEKHKKYKDVLEKYQSKAEYYICSCLNKNNGTKHNVRFTPGGLLYVRQWNNMQYVSSGAFLVSVYSNLLQKLNQKNLKCHGGEVTPHELFQFTKSQVDYILGSNPLNMSYLVGFGPNFPKRVHHRGASIVSYRENKGFIGCTQGYDNWYGSTDPNPNIVVGALVGGPDHNDEFTDKRGNYMQTEACTYNTSPLVGIFAKLNYLENMVLHASY >cds-PLY79774.1 pep primary_assembly:Lsat_Salinas_v7:1:179907983:179908204:-1 gene:gene-LSAT_1X116900 transcript:rna-gnl|WGS:NBSK|LSAT_1X116900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEKKSTKRGVDPLEIETTMLQGGFLPISHCHGIRWRSPSSLGWHSGGLVVGDDIAVALAGRNKGGEVAAGNS >cds-PLY69757.1 pep primary_assembly:Lsat_Salinas_v7:5:232489772:232491118:-1 gene:gene-LSAT_5X113881 transcript:rna-gnl|WGS:NBSK|LSAT_5X113881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFVATTQKCAVCDKTVYLVDRLAANQRIYHKACFRCHHCNRTLKLSNFNSFDGVVYCKPHFDQLFKRTGSLEKSFDGTPKFKIEKPVNAENAAKVSNLFGGTREKCVGCSKTVYPTERVTVNGSAYHRSCFKCCHGGCTISPSNYIAHEGRLYCKHHHIQLFKSKGNYSQLEVNGEESAPAPAPES >cds-PLY71506.1 pep primary_assembly:Lsat_Salinas_v7:2:122296366:122297345:1 gene:gene-LSAT_2X56521 transcript:rna-gnl|WGS:NBSK|LSAT_2X56521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARGFRKKGTIHLSTYLRTYHVGDYVDVKVNGAIHKGMPHKFYHGRTGQVWNVTKRAIGVEMNKQVGNRIIKKRIHVRIEHVMPSRCNEELKQRIKKNDQLKAEAKAKGVVISTKRQPLGPKPGFMVEGTTLETVTPIPYDVVNDLKGGY >cds-PLY81668.1 pep primary_assembly:Lsat_Salinas_v7:2:25337889:25341819:-1 gene:gene-LSAT_2X14581 transcript:rna-gnl|WGS:NBSK|LSAT_2X14581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKDLIGIKSRLDELYPLLDVEATEEVLMIGILGMGGIGKTTIAQALFRKIACDFEGSSFVQDVRKNSSSKEDKCALQQKIIGEILGSRNILTSKLSRVDPVYGAQMIQRRFCNKKILLVLDDVDDVEQLEFLAATREWFGPGSRIIITTRDKHLLSDTDAIYKPDFLLMNEAVELFSRHAFGKSGPLDGYEELLYRAIHYASCLPLALKVLGSFFRGRQVGVWEDALNRLGKTSDDKILETLKLSFDGLKGSEKQIFLDIACFFKGKDEEHVTRVLDSFGFHPVIGISILIERSLITVSNKKLHMHDLIQEMGWEIVRESFPNSRLFQLEHVHDFIKGNKSPKSIEAIMLMDNEYHTIDYDAKLCLSADVFASMKKLRLLDIDRNFTSTQPTFLPDELRWLCWNEYPFLSLPIADMCKLVGLEMAKGDIKHLWKGRKILPHLKFIHLKSLYNLRSLPDVSGAPNMERLILSDCDSLEEVHKSLGSHRGLVYLDINGCSELRRLPSSIEMESLETLILSGCECLEMFPEVSPCMVKLSHINLHSCSQIKDLPSSIRYLTNLNYLNLTNCNNLKVIPDSICELKYLKCLLLHNCVKLKDFPEKLQNMQMLEELWLGFERDHIIGRPLKSVGFHSFTNLLSLRKLDLSWREIEEESFPNNLDVLSSLEELFLSGNSKLVQLPVSIFHLSRLKRLEVNECRQLRRLCVLPSSIQVLKANHCISLEKIRDLSKEGEWLYKIWLIQCKKLLEDEESVRCLDKMLQLSFIKKCAAVNHRLSINVPGSKIPSWFTEEKHGCRVTLKLPHKWDAQVMGFVLCGVFHGNQWSEATVPRIVFRIVRDGKGIPMSEVNCMKNANKTYENGNMWISYIPIGFFQQMYHDLQPEDWSHIEGNLHMTIMLSNGRRAVRCGAHIVYKRDVELHQQFTTCISDYGPVVHVSDEDLGYDNVISGNTYVYEEKSDEKMLMPLRSRTSARRSTKSICYMQASTMASPELFTMANEPGI >cds-PLY64964.1 pep primary_assembly:Lsat_Salinas_v7:8:160738702:160740368:1 gene:gene-LSAT_8X107401 transcript:rna-gnl|WGS:NBSK|LSAT_8X107401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQIDSINLLLLIDLPGFYYDAEKNRYFPVKSPIPGSSSRNSSSASTSAQKPPPKPSNKTKYAAKVVKMLHVRELCANSISCNKKKVNFQEQYQKIQTSKPIIWKYQGTQRIADAALEHICLDVQTPNGLIKTEILLTGGLNGTFCCYEVGSIGEHAINGLQCMPNLVHPMNIEKETASLKSPGLIWRPIGALVQMPSNVSCINLPRNYHSIDSSPSHALITTLGSESSGGSVYSMNISDPLEYDLGVAMLSRRIDEISRFKSTIWTSDSNGNQAVIGTNVGVALVNIDSGRKSWICRSKSDVLSLQFDSSGNNVLCGFRNGSIVNIDIRQKSQDFHDTNTILPRHQIPLPSRGQKREKQWFEVNLLVCPSFPSS >cds-PLY75762.1 pep primary_assembly:Lsat_Salinas_v7:3:69155167:69156875:1 gene:gene-LSAT_3X55981 transcript:rna-gnl|WGS:NBSK|LSAT_3X55981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNDKERETQVYRAKLSEQAERYEEMIESMKSVAKLDVDLTVEERNLLSVGYKNVIGARRASWRIMSSIQQKEESKGNENNVSLIKGYCKKVEDELTKICNDILTIIDKHLLPSSKSGEATVFYHKMKGDYFRYLAEFKTDDDRKEAADQSLKGYEAASTTANAELPSTHPIRLGLALNFSVFYYEIMNNPERACHLAKQAFDDAIAELDSLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGDENSKNEEGKPAEQEKK >cds-PLY66799.1 pep primary_assembly:Lsat_Salinas_v7:3:203233523:203236444:-1 gene:gene-LSAT_3X119200 transcript:rna-gnl|WGS:NBSK|LSAT_3X119200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRQQLQVLNALDTAKTQLYHFTAIVIAGMGFFTDAYDLFAISLCTKLLGRIYYHVEGAAKPGTLPPGVNSSVTGVALVGTLAGQLFFGWLGDKMGRKKVYGMTLAIMVICSLASGFSFGRERRSVMACLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILTSGIVALVVSASFDHAFSAPSYATNAILSTPLQADYVWRIILMFGAIPAALTYYWRMKMPETARYTALVAKNAKQAASDMARVLQVDIEAEEQKVEVISQDTRNSFGLFSKAFLRRHGLHLLGTTSTWFLLDIAFYSQNLFQKDVFSAIGWIPPAAKMNAIGEVFRVARAQTLIALCSTVPGYWFTVAFIDIIGRFAIQLMGFFFMTVFMFALAIPYHHWTLHDNRIGFIIMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAYGFLYASQSSDPKKTDAGYPPGIGIRNSLIVLGVVNFLGMVCTFLVPEPNGKSLEEMSGENEEDEVEQTTTHRTVPV >cds-PLY79778.1 pep primary_assembly:Lsat_Salinas_v7:1:181976278:181976484:-1 gene:gene-LSAT_1X118480 transcript:rna-gnl|WGS:NBSK|LSAT_1X118480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVASTGEQQHHPPILKLPTTQALHLDPEFTDNRRDHRSCNGMEVAAEKTSNGCWWLENDARARVTRG >cds-PLY83678.1 pep primary_assembly:Lsat_Salinas_v7:4:39601915:39603852:1 gene:gene-LSAT_4X26421 transcript:rna-gnl|WGS:NBSK|LSAT_4X26421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGVQASLPCTWALGGQDHVMKVGCRIFPIPCFSDSGLGSSGSKSLFFQKQCIVHGSCIGKRWHPIVCSSKMDDDADTNDSDDDINENKSSESVNNEILRENLERIIGVDDSAFSGIDLATLIRKKYGRSYDVQLIKKEFMGKNLLALNVMWKYMEQRSFPLTEEEYLLRLDDVANTLKCWGAVSHIRNSLEKLKERPRIGKAVSIFIDMDESGGRTNEWIYK >cds-PLY80266.1 pep primary_assembly:Lsat_Salinas_v7:1:210326959:210327683:1 gene:gene-LSAT_1X128800 transcript:rna-gnl|WGS:NBSK|LSAT_1X128800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLKQKSLELQIADLKLQQHEEKLVKEQSQMKMYAEQVSQLLATEKNLRLQLTADGEKFQQFQDALVKSNEVFETFKQEIEKMGKSIKELKKENSFLKGKSEKSDMSLIQLVEERERMKKQLEKTKNQKEKLESLCRSLQAERKQHNPTTPTPTPTAAADDSLPV >cds-PLY87384.1 pep primary_assembly:Lsat_Salinas_v7:4:12416273:12416968:1 gene:gene-LSAT_4X8221 transcript:rna-gnl|WGS:NBSK|LSAT_4X8221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSRNQLQVTHVQSQEGVKVCDCVVPAKERTCWKIINPGRRFWNCQNSMTRLRKCSFFEWKDEEQADGYYKNLLYSLKQKLDAKDEMSEMNRLRRMIVEVQFLLSQEQYKVAKSEKEVHDARKAIGRYRMIVALLFACLALCVLKLGGSM >cds-PLY88660.1 pep primary_assembly:Lsat_Salinas_v7:7:47455855:47456655:1 gene:gene-LSAT_7X34481 transcript:rna-gnl|WGS:NBSK|LSAT_7X34481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSPFAGQAVKTSPSSSELFGNGRVSMRKTAAAKKAAPSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFSKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVANNAWSYATNFVPGK >cds-PLY69537.1 pep primary_assembly:Lsat_Salinas_v7:8:83218180:83221295:1 gene:gene-LSAT_8X59160 transcript:rna-gnl|WGS:NBSK|LSAT_8X59160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKVGLTVVDAVDYKGLPADRAKTGGWVPASLILGIEICERLSTMGIAVNLVTYLGGTMHLSSSASANVVTDFMGTSFLLCLLGGFLADTFLGRYKTIVIFASIQTLGTCALALSTKIPQLRPPPCHSLENCKQASGLQMGILYLALYLIAVGTGGLKSSVSGFGTDQFDEKNEEEKIQMAYFFNRFFFFISLGTLMAVTVLVYIQDEVGRSWAYGICSVSMFTAILVIVSGTKRYRYKKSAGSPIVQIFQVIVAALKKRKMDLPYDSGLLYENSPEVSRIKHTNQFRCLDKAAIVAEGDFEKNSSSSWNPWKLCTVTRVEEVKMMVRLLPIWATTILFWTTYAQMITFSVEQAATMRRTVGGFQIPAGSLTVFFVAAILLSCAVYDSLIIPIWKKWKGTPGFTDLQRIGLGLALSALGMAAASLGEVKRLSVARRHPTQTTEPLPISVFILIPQFFFVGSGEAFIYTGQLDFFITRSPKGMKTMSTGLFLTTLSLGFFLSSLLVTVVKNVTGNNGEGGWLANNINNGRLDLFYGLVAALSGLNFAIYLVCAAWYKKDKGKSTDVVEMENC >cds-PLY87059.1 pep primary_assembly:Lsat_Salinas_v7:5:261953753:261956077:-1 gene:gene-LSAT_5X134160 transcript:rna-gnl|WGS:NBSK|LSAT_5X134160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLPKWISVILIFSIFKPQISIGVSDISKQFLKLAKEDEVFDWMVGIRRRIHENPELGYEEFETSKIIRDELDKLGIDYKYPVAVTGVIGYIGSGEPPFVAIRADMDALLMQEMVEWEHKSKVSGKMHACGHDAHVTMLLGAAKILKDHSHLLKGTVVLVFQPAEEGGGGAIRVIDSGVLENVKAIFGLHVSPGLPLGEVSSKSGTLLAGSGFFEAVITGKGGHAAIPQHSIDPILAASNVVVSLQHLVSREADPLDSQVVTVAKFQGGGAFNVIPDSVTIGGTFRAFSKESFMHLKQRIEEVIIGQATVQRCNASVEFSSKDKPFFPATINDEGLHKHFVKVAGDVVGASNVKSMLPLMGSEDFSCYQEVIPGYFYFLGMKSESNKNPVSVHSPFFEINEDILPFGAAMHASLVANYLIDVQTSETHDEL >cds-PLY68923.1 pep primary_assembly:Lsat_Salinas_v7:2:193848724:193851266:1 gene:gene-LSAT_2X115180 transcript:rna-gnl|WGS:NBSK|LSAT_2X115180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSARIGVEDIPETSGLAPASPTLGELLKYVNEVNGDETSGHRVLEMNQMSNEPQPLPLPFVLAFSNLTYSVKVPSKFGIPAVLGGRNRPAALPAMAAEPVGGEKLVSRSKILLNEISGQARDGEILAVLGASGSGKSTLIDALANRISKGSLKGNITLNGDQLESRLLKVISAYVMQDDLLFPMLTVEETLMYAAEFRLPRSLSKTKKKLRVQALIDQLGLRNAAKTIIGDEGHRGVSGGERRRVSIGADIIHDPILLFLDEPTSGLDSTSAYMVVKVLQRIAQTGSIVIMSVHQPSYRLLGLLDRLLFLSRGQTVYSGSPANLLSFFADFGHPIPEKENRTEFALDLIRDLEGSPGGTKSLVEFNKSWQLLKRSRHTQTTGNETPTHGLSLTEAISASISRGKLVSGATSDPNRSSMVPTFANPIWTEMMVLSRRAFKNQWRTPELFITRLGAVVVTGFILATVFWKLDDSPRGVRERLGFFAFAMSTTFYTCADALPVFLHERFIFMRETAYNAYRRSSYVLSSSLVAIPTLIFLSLAFAVITFWAVGLAGGGKGFLFYFAIILASFWAGSSFVTFLSGVIPHVMIGYVIVVAILAYYLLFSGFFINRDRIPDYWIWFHYISLVKYPYEAVLHNEFQDPLRCFVRGTQIFDGSPLGIADEATKLRLLQSMSQTLGVNITAGSCLTTGSDILKQQGVNDLTKWECLWITIAWGFFFRILFYFCLLIGSKNKRR >cds-PLY89346.1 pep primary_assembly:Lsat_Salinas_v7:5:113370194:113373337:-1 gene:gene-LSAT_5X50560 transcript:rna-gnl|WGS:NBSK|LSAT_5X50560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCSLGGGQMTTLLEGGSTSQIMAEDVGCWKLAAQYIQRELKEADEVNLLDEEDMHVFGLRPMMDPLILVCCDSCKKPLKVSQYATHAEICKSLSSVTDNTTEIIQKKPPRKERKKLQNTISTEVSKPQRSELFNSAASNSHVDEQTLKPTSFPIKAKPKGISGVPAPLATKIFYSQRNQRLRAAISHMFYTSSSSSSSSSSCFDHGLNNSDNNNMPLRTSTHAYFHHNKTDDQCEKEKLENHVTCNMENCDQIPAKRSEAISDESRTLLPMNFSDQFHANNHLGPQRIHVGSLTNDSL >cds-PLY71742.1 pep primary_assembly:Lsat_Salinas_v7:3:46787871:46788602:-1 gene:gene-LSAT_3X36000 transcript:rna-gnl|WGS:NBSK|LSAT_3X36000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRQKVKLAFITNDSARKATYKKRKKGLMKKVNELSTLCGIDACAIIYSPYEAQPEIWPNNIGVQHVIARFKRIPEMERSKNMLNQESFIRKQVTKSNEQLKKQMKENREKEMTEVMYQCLTGKGSITNLSLPDLNDLGGLVDQTLKHISRRIESLKKPVSGKAPSPTEMLALEKRYMTVDNVSGYVDGMQRGTQTQWFTDWINNPSEHNLGFASAYSFTQLADNPNPLCPNSSDQESVQGSI >cds-PLY76303.1 pep primary_assembly:Lsat_Salinas_v7:7:124085591:124088036:1 gene:gene-LSAT_7X74920 transcript:rna-gnl|WGS:NBSK|LSAT_7X74920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDKSLARNLFPVRPSSGGRPSSLPDRSGLQRIPLTGGSLPGARSSPFPDPNGLPRIPLTGGSVPGARSSPLPDPSGLPRIHLTGGSIPGANSSPLPDPSGLPRGGFVSGATSSPSPNGLPRIPLSGGLSRTSVRTTRSGFSGSSSMGDINTNMGLTDAIADTGVVQNVRNGIAYGYEMTGNNEDPASDFLYNGSEHENEPEHGHESMHEPESPMVQTPHYSGTHGGSNDVDSNGSHRPFITRKGYKFGRQSIHRAIVKIFWQSINEPWITYKKIPKEVVTQMFERFRKNNRNTDSGGAARHTGGSIGLEEHRLKLLTGEDPSFIDLYYKTHLTAESKKIYFRGDKEAQVDFVNETSGVAIESYNTTLSKKYGDDTTQHNVNDPELWTQTQLLRKGGKQKGPIYGAGYSDLHFLMTGAYXN >cds-PLY87741.1 pep primary_assembly:Lsat_Salinas_v7:1:4371853:4375750:-1 gene:gene-LSAT_1X3881 transcript:rna-gnl|WGS:NBSK|LSAT_1X3881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGCSIVWFRRDLRVEDNPALTAGVRAGNVIAVYIWAPEEEGHYYPGRVSRWWLKQSLALLDSSLKNLGTSLVTKRSTDSVSSLLEIIKSTGATQLFFNHLYDPLSLVRDHRMKEILTSNGISVRSFNADLLYEPWEVLDDEGRPFNTFTQFWDRCLSMPYDPESPQLPPKKIISGDLSKCCSETLIFEDESEKGSNALLARAWSPGWSNADKALAAFINGPLLEYSKNRRKADSATTSFLSPHLHFGELSVRKVFHLLRIKQVLWANEGNTTGEESVNLFLKSIGLREYSRYMSFNHPYSHERPLLGHLKFFPWVIDEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVASFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYITGTLPDGREFDRIDNPQFEGYKFDPNGEYVRRWLPELARLPTEWIHHPWDAPEYVLQAAGIELGSNYPLPIVKMDEAKARLQEALTQMWQHEASSRAILENGIEEGLGDSSETAPIAFPQDMEMEMDENTLRTNPTTTTIRHYEDQMVPSMTTSLFRGGEEESSSEIGNFREDSRAEVPINQGGDGDGEGQTVRTGNMQPPIDITRALRITDDLPADSSNSSSSSSRERDGGVVPVWSPSTSSFSETFVGEDSSYLQRRTQSHQLINNWRRLSQTG >cds-PLY73475.1 pep primary_assembly:Lsat_Salinas_v7:2:98813921:98817793:-1 gene:gene-LSAT_2X43220 transcript:rna-gnl|WGS:NBSK|LSAT_2X43220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDVDSILKVMDFMDIDQVVEVPDTPERFIAACNTDENTPGNHSDGSSSCRVITKQSINENPRNEPREKGKSVNFHSNRRLFVRPDNHIHSNSSGIMLENLSTSKNLALDNAYHEKGKSLYNSNVQRSNFQENSSFVDLTEQNGCGHSSRKDISGFSEVYALDTSSKSSSGLTNTDKFGQRVDHGKGVIRHKRLVRNGYISPHNIAKSKEVTEKKHDYVDIMDLTTESKVSNGFKEKGVSFHSSFCEDTDAKNKHLSQRNSLISKEQSNNSSLETSKPSKDSNGWISTRNPLTKVNLPLPDGKAASTSTINQSINTDMPRVSDSGEPSTSRSTRKNKNKNKNKNNSRGGGSLDLDPVIEIDDVSNDESRGSRLDDEDPGVRALQVEADEMLARELQEQLYNEELAIASAFGVHERGGSSVSNMYPNSRSNSSRNPSASSQRGVHGQSQSQNQSQSQTSTSTRLPRFRGRFPGRPRTLSSPGNSIFPPNMDLDMRMQILEALEAINDVDLPMPNDLFQSGRDFNDLLHVGREFNENDYEMLLALDDNNHQHGGATHAQISNLPQSTVQVENLQECAVCLEKPTIGETIRHLPCLHGFHKDCIDEWLRRKTSCPICKSSVT >cds-PLY70060.1 pep primary_assembly:Lsat_Salinas_v7:3:238972632:238976523:-1 gene:gene-LSAT_3X134220 transcript:rna-gnl|WGS:NBSK|LSAT_3X134220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQCLTRHLMDQKRHRGIDKPSQQKLKNTNFSKMMVGHGKGVLKRSNLQKSLSHKRPTNLMLQDEELPTYETMESLSPRQSHMRRVSSLTMRKIMKKRNMKLILFEMRTLVQLLMKVSIRNKKNKSFNQVPPAVGIVSIVRIIDMNELLDEEASSSYLSAGIYMKKLHRILGYIKGRSVSTKQVLVTEKLQMEWNWKRKNQKPWRRKFV >cds-PLY86135.1 pep primary_assembly:Lsat_Salinas_v7:4:230804625:230806358:1 gene:gene-LSAT_4X126200 transcript:rna-gnl|WGS:NBSK|LSAT_4X126200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGLYDRLREEQYYQIYAMVEGEEDDEDELKWPDGQILTQISKLRFSLLQICQQSVFIAPHPGRLKVLGGGEHQLYNKVLVLICSMLMLQGYVVQPCDLGLTMKLMLDKQTYGTSFKFKAKSKDNTLFPNMVPVSKISKSMVVVI >cds-PLY85001.1 pep primary_assembly:Lsat_Salinas_v7:4:224459993:224462748:1 gene:gene-LSAT_4X123221 transcript:rna-gnl|WGS:NBSK|LSAT_4X123221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTWQTSLMLKKRKNDPPLGFKNLSNTCHLNTVLQCLTYTPPLSNFCLRLQHSENSGSMTHDVIRDLFGVKLDMTKYANLINQKQFQKPIEEAAQQTQDFIAMALIASSVSKGNYRSVKDITYFVLTIGFVMGVTLAAILGVSFGSIVTLYTKDIGVLAIARTGVLMLVGILSSAFLFYAPSGFGLHGVWLGLTLFMGLRMLAGLIR >cds-PLY87173.1 pep primary_assembly:Lsat_Salinas_v7:5:256804198:256804518:-1 gene:gene-LSAT_5X131201 transcript:rna-gnl|WGS:NBSK|LSAT_5X131201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGATRVEMLMVVSVVEGQVLGGDRSKSDVCFCYVDPKWRIADPTRPTAALAGPNAPPDDYGSPEIQKCVKQHPYKSLLPLAGGSCNGGLSVQTRPCRCCDREKGMG >cds-PLY95429.1 pep primary_assembly:Lsat_Salinas_v7:9:190369463:190372192:1 gene:gene-LSAT_9X117540 transcript:rna-gnl|WGS:NBSK|LSAT_9X117540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTFGTATASNTNPNKSTEVVSPPTDAVSSLCFSSKANYLVATSWDNQVRCWEVTKNGTSLTTVAKTSMAHEHPVLCSTWKDDGTTVFSGGCDKQVKMWPLLSGGQPTTVAMHDSPVTQVAWIPEMSLLVSGSWDKTLRYWDLRQQNPVHTQQLPDRCYGLTVRHPLMVVATADRNLIAFNLQNPQAEFKRIVSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDEQQSSKNFTFKCHREGNEIYSVNSLNFHPVHQTFATAGSDGAFNFWDKDSKQRLKAMSRCNQAIPCSSFNNDGSIYAYAVCYDWGKGAENHNPSTAKTSIYLHLPQDSEVKGKPRAGTVGRK >cds-PLY62560.1 pep primary_assembly:Lsat_Salinas_v7:9:76838603:76840660:1 gene:gene-LSAT_9X60641 transcript:rna-gnl|WGS:NBSK|LSAT_9X60641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHNASWIISTKSPIQTSSFGLDLVSSQKRRTGAIVSCIKSSDVAVADKSNGSVEKTTSTGSFPSGFEELVIGVCDETQIAELKMKIGGFEMHLKRNIKSTGGGIVVASPTVAPPIPSEPMTGSTPVAPPLPPKPAKKSNPFTNVPVEKMKKLAALEASGATGYVLVSCPTVGTFRKSRTLKGKKQPILCKEGDMIKEGQTICYLDQFGTELPVKSDASGEVIKILFNDGEAVGYGDPLIAVLPSFHDIK >cds-PLY86905.1 pep primary_assembly:Lsat_Salinas_v7:5:259812363:259813415:-1 gene:gene-LSAT_5X129861 transcript:rna-gnl|WGS:NBSK|LSAT_5X129861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSETSKITSEITTAADYGLNHNETALILGLPGDSRGRKLGVKRRFSETIDLKLSSNEDSEANQSDTECSDATKPPSETERVVGWPPVNMHRKNAVNSNFNFVKVAVDGAPYLRKVDLGSYTGYQQLLFALEDIFSCFTNSVLNEKKLMEKEYVTTYVDKDGDWMLIGDVPWTMFFDTCKRIRLMRSSEAINGLGENEIFIQSITKTMKPSHAIITGLIFSFCLFLIQFQVGKNTFKILHL >cds-PLY91390.1 pep primary_assembly:Lsat_Salinas_v7:8:45380663:45383159:1 gene:gene-LSAT_8X34660 transcript:rna-gnl|WGS:NBSK|LSAT_8X34660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLTIPPVLSSPRDDAMHLYKAFKGFGCDTTAVINILAHRDSSQRALIENEYKLMYSEALTKRLSSELSGNLKKAILLWMPDPARRDAMILRDALTTDIDLKAATEVICSRTPSQLQHLKQLYHALHGGYLEHDLQAQTSGDHGQLLLAYLSTPRSEGLEVDRRMVDLDAKSLFKAGEKRLGTDEKTFRMIFSGRSRAHLAAVSSAYHSTYGNALKKAVKGETSGYFEDALVTILQCAENPGKYFAKVLHRAMKGLGTNDKTLIRVIVTRTEIDMQYIKAEYHKNHHKTLNDAVHSETSGHYRTFLLSLLGPNHR >cds-PLY89832.1 pep primary_assembly:Lsat_Salinas_v7:4:323285866:323286255:-1 gene:gene-LSAT_4X161201 transcript:rna-gnl|WGS:NBSK|LSAT_4X161201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTKTTRFLPFRSTATILHFLPTLSPLIPPFGAFSAASLSVEAEVDHHCWSRKTTAMAPMAAEDEDGRGRSLFRHKWRGTNGQCHFRRYLRPMASPLAVSVEDNVSVAAYTVRTSRSGYPAPFSSTITS >cds-PLY75136.1 pep primary_assembly:Lsat_Salinas_v7:4:61459938:61462335:1 gene:gene-LSAT_4X41600 transcript:rna-gnl|WGS:NBSK|LSAT_4X41600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIDDKENPNPNATNSPTQTTVQIAPVESPIPLIPTAITPPIAPIPVLPPPPSSIPRPLAPLPSIRPPNGDAAKTTNENADSDEEGDESGPEYEISEESRLFREKQEKAKQDFLMKQRAAALAVPTNDKAVRSRLRRLGEPVTLFGEREMERRDRLRMLMAKLDSEGQSERLMKILEEEEAAMNAAGMDDGGYEVEGEAIQYPFYTEGSTALLKARYEIAKDSVVKAAMRLKRARRKRNDPDEDEDAEINWALENAKTFSLDCSEIGDDRPLSGCSFSSDGKFLATCSITGVAKIWSMPEIKLMSSLKGHTERATDVTFSPTNNHIATASADRTAKLWNTKGTLLHTFKGHLDRLARVAFHPLGKYLGTTSFDKTWRLWDTETGEELLLQEGHSRSVYGIAFHPDGSLAASCGLDALTRVWDIRTGRSILALEGHVKPVLGVSFSPNGYHLATGGEDNTCRIWDLRKKRSLYTIPAHSNLISQIKFEPQEGYFLVTSSYDMTAKVWSARDFKPVKVLSGHEAKVTSVDVVGDGQSIATVSHDRTIKLWSSKNAEKEKAMDLD >cds-PLY89994.1 pep primary_assembly:Lsat_Salinas_v7:3:84257713:84258722:1 gene:gene-LSAT_3X64681 transcript:rna-gnl|WGS:NBSK|LSAT_3X64681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSHTNMWFYISLVFLFTYNVMGSVPIDTTLGFVSQPLNQSNFDIQKPYNLPVDQRYNFFREVHKLWVFKTDKPHSRKSKTNPRTEIRIRGYDYSSGVWQFEAYGYVPSDTSGVCIMQIFGSKPPKASTTMLTVYNSSLYYYRNQVILSDLYNKWFRLNVIHDVEDNNVKMGFSNMKDVYGLAPTYTITSSVEFTRKRKAPSIWSPVFFNLHVHDIEKS >cds-PLY62760.1 pep primary_assembly:Lsat_Salinas_v7:9:106641735:106646714:-1 gene:gene-LSAT_9X76440 transcript:rna-gnl|WGS:NBSK|LSAT_9X76440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKNPESGFSGMESVFSGFVLDPEKCNQLSLEEKRKLVHRIAHWSEDAPKILSSLTRKELLEIICAEMGKERKYSGFTKLRMIQHLLKLVCKNTKKPTTENSLDFSPSKKQKHEAQAPLQLPSTNSTMFENTRKDQRVCQNLACKAIMWPEDAFCKRCSCCICYRFDDNKDPSLWLTCDWDSDCGSEGDDHEPCGMSCHLQCAVGHDRAGITTSGNYPKLDGGFYCVSCGKLNGLMRTWKKQLVFANEARRVDALCLRVSLSHKILEGTHKHEKLLKIVESAANILENEVGPIGLASVKMDRRLVNRLSCSTEVQKLCASAIQAFDMSSLSSCSNSTFQPNTTPTCRISFEESTPTSTTIVLDYEPNSFQDFFGCRIWHKKSTLKTYPKEATYIVLNPEKRFKITNLDPSTEYSCMVSFFSNTKVVGFWESKWTTNNATNTQTDSTTDFPCTPCKSDATKKAGPTKKNEYEYAVGVIKSLEDDGFLSKDFRVKFLTWFSLKATMQQRRVVNVFVDALIDDPPSLAEQLLDTFSDEICGH >cds-PLY82180.1 pep primary_assembly:Lsat_Salinas_v7:1:13937601:13937792:-1 gene:gene-LSAT_1X12081 transcript:rna-gnl|WGS:NBSK|LSAT_1X12081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGKPWVDHSKDFPPLPKKKTSMAEKYEKTKEGAIFWSKGLLQAGYAGAQWIKDKYQKTTPKR >cds-PLY92057.1 pep primary_assembly:Lsat_Salinas_v7:5:324238675:324240807:1 gene:gene-LSAT_5X180701 transcript:rna-gnl|WGS:NBSK|LSAT_5X180701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLKAVQTAAAATATNNGVFKDNTRVVTHPRSIDFFSIRRRNTGFLCNSSSRRVAPVMVVKTEEQPTTVAIGLNEAEEEKSLADQLRMGSLTEDGLSYKERFIIRCYEVGINKTATVETIANLLQEVGGNHAQSVGFSTDGFATTTTMRKLHLIWVTSRMHIEIYRYPAWSDVVEIETWCQSEGRIGTRRDWIIKDHSNGEVIGRATSKWVMMNEDTRRLQKVNDDVRDEYLIFCPKTPRLAFPEENNSSLKKIAKLEDPAEYSTLGLVPRRADLDMNKHVNNVTYIGWVLESIPQEVIDTHELQTITLDYRRECQHDDIVDSLTSSELEGTNGSASSGQHLTQFLHFLRSSGDGLELNRGRTEWRKKPVKR >cds-PLY87992.1 pep primary_assembly:Lsat_Salinas_v7:6:172661568:172662930:-1 gene:gene-LSAT_6X105361 transcript:rna-gnl|WGS:NBSK|LSAT_6X105361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGREELASPIVATKVSPSAKKALLILNCILLSLGNCGGPLSMRLYFLHGGNRVWLSAFLETGGWPFILIVLLVLYFCRRCSTSPTSTTVVFMRPRLFFAAAVIGVLTGLDDFLYACGVSRLPVSTTSLIIASQLGFTAFFAFLLVKQKFTPYSINAVMLLTVGAAVLALHTSSDLPNGESRAEYLKGFFMTVAAAALYGLVLPMVEMTYNKAKQAITYTLVLEIQMVICLFATIVCTTGMIIDNDFKAIPREAKEYELGETKYYAVLCINAIFWQSFFLGAIGVVFCASSLLSGIIIAVLLPVTEVLAVVFYKEHFQAEKGIALVLSLWGFTSYFYGEYKVMKTKDIERESLHDRSVDLPQINYSSV >cds-PLY84995.1 pep primary_assembly:Lsat_Salinas_v7:3:123020675:123022269:-1 gene:gene-LSAT_3X85440 transcript:rna-gnl|WGS:NBSK|LSAT_3X85440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSKVTCNSHGQDSSYFLGWEEYEKNPYDEVKNPNGIIQMGLAENQLSFDLLESWLQKNPEIAAFKKHNNDQSIFKELALFQDYHGLPAFKNALVRFMSEIRGNTVTFDPNNLVLTAGATSANETLMFDRDLKWRTGAEIVPIHCSSLNGFRITKPALEDAYKQAEKQNLKVKGVLVTNPSNPLGTSLSLHELDLLVNFISTKNIHLISDEIYSGTVFSYPSFTSIMEVLKNRNLMNTEIAKRVHIVYSLSKDLGLPGFRIGAIYSNDERVVSAATKMSSFGLISSQTQYLLSEILSDKKFTKTYLSENRRRLKQRHEKLVKGLQKTGIRCLQSNAGLYCWVDMRQLLSSDTFEGEMELWLKIVYEVGLNISPGSSCHCSEPGWFRICFANMSEETLAVAMQRVKLFVESIVKKNTQSRHQQLLKRDSRRSKSLPKWVFELSFDHQREVGDER >cds-PLY76033.1 pep primary_assembly:Lsat_Salinas_v7:5:318362028:318363279:-1 gene:gene-LSAT_5X175460 transcript:rna-gnl|WGS:NBSK|LSAT_5X175460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRRLQARARVNPSSETSRSDVQSESLESSLLRLVNDHQNSAIRLREHTEKSKKKAIKSASRVSDLLVEAVNGGVEECFVNEKRIEVEIRALKTTIKRFAKQTDQWLASTHAINNAIKEIGDFENWMKTMELDCKSIAAAISNIHQS >cds-PLY90369.1 pep primary_assembly:Lsat_Salinas_v7:2:199516670:199517704:-1 gene:gene-LSAT_2X120801 transcript:rna-gnl|WGS:NBSK|LSAT_2X120801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVSYSQLLKATNGFSQANLISEGGFSSVYKGILNRNDRFVAVKVIHLQNRGAHKSFIAECEAWRSIRHRNILKIITSCSSVDFQGNDFKALVYEFMPNGSLHDWLHSSVSKSRLNLLQRINILIDIASAHDYLHNHCLPTIVHCDLKPSNVLLDDDMVAHVGDFGLAQFPGINSNQKNTSGIRGTIGYAPPEDGVGSEMTSSGDEKGQQTTSLLKVLTFISLCALPLPHSITNVIDDDLLNKLQEDATSKQFTLTNANKIEQCLASIVNIGLSCSVDSPQRTNIANVVHELQHILGKLQNI >cds-PLY67187.1 pep primary_assembly:Lsat_Salinas_v7:6:164032608:164035523:1 gene:gene-LSAT_6X101180 transcript:rna-gnl|WGS:NBSK|LSAT_6X101180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLVQAIYAGMALLSKASISSGMNPFIFVVYRQAFATLSLAPFAYFFERKDVPHLSYTLIWKIFFSSLIGLTITLNMYYHGLNHTSATFAAASTNLIPAITFVIALILRIERIYIKELHGSAKLIGSIVSVCGALVFAFVKGPSLNFMTWYTSGENSEVASTTNQFSSTGEFIKGPLTILFANILWSCWSIMQAPIMKEYPAKLSLITLQCFFSMIQATLWAGVMERNLSSWKLGWDVNLLSVAYCVSIASISSCIVVTMLSHVGLRGVIVTAFTYWLQLWSIQKRGPVFTSMFTPLALIITAVVSSFVWKEIIYVGSVCGAVLLAAGLYLVLWGKSRELDGKISEQKPEEETTLESIKTQE >cds-PLY69052.1 pep primary_assembly:Lsat_Salinas_v7:3:206572526:206573821:1 gene:gene-LSAT_3X120981 transcript:rna-gnl|WGS:NBSK|LSAT_3X120981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAATASDVSGYDRPTELKAFDQTKTGVKGLVDAGIRQIPRIFIHPPETTPKTSTTFEIPIVDLGSTDRASTVEKIRAASENLGFFQVVNHGIPVTVMDEMLQGVRRFHEQDMEVKKRIYSRDFSRSVVYNSNFDLYSSAAANWRDTFYSFMAPSPPPSDELSEVCRDIQIEYSNQVMKLGSLLFRLISEALGLNANHLRDLDCDKGLAFLGHCYPPCPQPDLTMGTTKHTDAGFLTVLLQDEIGGLQILHQNQWIDVPPTPGALMISNDKLRSVEHRVVANEKGLRVSVACFFTTALAPSTKVYGPIKELVSDENPPRYRETTVQEYSQYSFSTGLGVPRLDHLKI >cds-PLY82025.1 pep primary_assembly:Lsat_Salinas_v7:9:157503697:157507206:1 gene:gene-LSAT_9X98620 transcript:rna-gnl|WGS:NBSK|LSAT_9X98620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSSDFPSADSDYQSGFGNHFSSEAIAGALPQGQNSPLVCPLGLYAEQISGTSFTAPRKLNQRSWLYRIKPSVTHEPFKPRAPKHEKLLSEFSQVNSAINPTQIRWKPVDIPDEPTDFVDGLYSVCGAGSSFLRHGFAIHMYTANISMENSAFCNADGDFLIVPQTGRLWVTTECGKLQVSPGEIVILPQGFRFAIDLPDGPSRGYVAEVFGTHFQLPDLGPIGANGLASPKDFLVPTASFEQESRPGYTIIQKFGGELFTARQDFSPFNVVAWHGNYVPYKYDLSKFCPYNTVLVDHGDPSINTVLTAPTDKPGVALLDFVIFPPRWLVAEHTFRPPYYHRNCMSEFMGLIHGGYEAKADGFLPGGASLHSCMTPHGPDTKTYEATITGGDNVGPHRIRDTMAFMFESCLMPRICPWALESSYVDHDYYQCWIGLKSHFNPNNTRNSHNNNGHPEIQE >cds-PLY71179.1 pep primary_assembly:Lsat_Salinas_v7:2:132305302:132308199:-1 gene:gene-LSAT_2X62541 transcript:rna-gnl|WGS:NBSK|LSAT_2X62541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQLQVFHIVISILLTAASTEALDYAKTGCKDICGAMQIPFPFGIGPNCSVNEWYAIDCNSSKPYLSAFHNLEVLSVRLKEQIVTVKVPKISGCQNPLQNNTDLIPSVDLGESPFLFSKTHNVFIVEGCGHAAILDDQNHFTGCSTTCLNESISEEKNYSGISCQTTIPHYLQSYTVDLSRLQRLDGGGHCGSAFLVDETYVDYWYEDYGVNNKSFIPISLMWTLKNDEIPNCWEGNFRRPSLDLGNGSNVYYMKCYCGFGREGNPYLYNQCQESKRCKECRDSGRICQYDEGYADDLDYRGNFFCVYPSVYSTQDLARSKSPIGVILGTSISIGLIFLAKIIFELYKLINKIKTKRRREKFFKHNGGLLLKQREATDTSLVDKTILFTSTELEKATNHFDDNRILGRGGQGTVYKGMLSDGRIVAVKKSKVVDESQLEQFINEVVILSQVNHRNVVKLLGCCLETEVPILVSEFIPNGTLYELIQDHTNEFPLSLNLRLQIAAEVAAALSYLHSETSIPIYHRDVKTTNILMDETYRAKVSDFGTSRLVSIDQTHLTTLVKGTFGYLDPEYFQSSQFTEKSDVYSFGVVLLELLTREKPISFTRFGENRNLATHFMLAMEKGHVMSIFDAMVVKEGSRDELLAIANLASRCLDAKGRNRPTMKEVAMALEGMRTSHVPSTVQMNFGKVNSSTNIFMLPNDVSTSTTINFRESISK >cds-PLY86414.1 pep primary_assembly:Lsat_Salinas_v7:1:162600842:162601084:-1 gene:gene-LSAT_1X110740 transcript:rna-gnl|WGS:NBSK|LSAT_1X110740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIERLTSGQRNDRWRMAAAEIGEEGEWRTSRTSSPAWSSSARKMRQFTAATMEGKVVERQWWRGGAGEGGIRMERWRL >cds-PLY82820.1 pep primary_assembly:Lsat_Salinas_v7:1:87332965:87336824:1 gene:gene-LSAT_1X72440 transcript:rna-gnl|WGS:NBSK|LSAT_1X72440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNEISGSSGTYVYNGITRRESDLDSRIRNLSVQTGEEFSPEFIRERTPRRIIQTTEECPQKVYIKTRSSRNLLENLEDFPLEYHQDHNIYTDPYQQKKAGFHFNPNPQILYEDHPHIGLQLPVEVEKYAYSDYSSSGKMKFLCSFGGKILPRPSDGKLRYVGGETRIISIRKDLNYQELINKTSYICNHPHTIKYQLPDEDLDALISVCSNEDFLHMIDEYHELEKGSQRLRIFLINLNDPESSDSNDSSSIQYVVAVNGMNDQCVHKISSRESLTLQPITGSSLNLMNLTNQNQVIQTPVNVMTSYMSPQMPRQLNYGDRVDQQPKYGSSQHLDLTSYHGNDHGNVDIQTPVNNESAIQPKKFVFPHDKSDYMVQSLMPTRHENPFFVHQEMIKETSQISEADFPPTIITSDFSNQYTEWSQDIKDPHQNQHNIVYPPSNNQSYVVRTESSNAIFNLSSGWHHDTSAEMGIADVYAENCVLLSSNGSGNGSISRSNYENTPRMNDVIITSPAVSAAISNDVSRFGSFVENDSVQEFPVIVEDVTDNRPPGIPSSATVMPYVQDESSDGVLVIRNRENEIVAQSHSKDVKDDKGVKGEHHTTVAEVEAGMHGLQIIKNADLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFSAKKSEQERLTKDFWREAQILSRLHHPNVVALYGVVPDGPGGTLSTVTEYMVNGSLRHVLLRKDKSFDRRKRLLIMQDAAIGMEYLHWKNIVHFDLKCENLLVNMGDPHRPVCKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSTRVSEKVDVFSFGIAMWEILTGEEPYADMHCGAIIGGIVSNTLRPSIPERCDHGWKTLMEECWSYDPTDRPTFTEITHKFQIMSKRYNHAKR >cds-PLY71555.1 pep primary_assembly:Lsat_Salinas_v7:7:29824694:29825277:-1 gene:gene-LSAT_7X22380 transcript:rna-gnl|WGS:NBSK|LSAT_7X22380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFMCSQSEHNKVCILQTRADNIKKSITVGVVGLPNVGKSSLINSLKRCHVANVGATPGLTRTMQEVQVDKNIKLLDCPGVVMIKSGENEAAVALRNCMKIEKLD >cds-PLY83219.1 pep primary_assembly:Lsat_Salinas_v7:9:122883619:122883810:-1 gene:gene-LSAT_9X82201 transcript:rna-gnl|WGS:NBSK|LSAT_9X82201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDSVNIKVVELKSQLSKDVQKMQQNYTLLHSKVDAIVIAITKLVEFNTEYLNKLESEVRKGF >cds-PLY98328.1 pep primary_assembly:Lsat_Salinas_v7:7:167089855:167091273:-1 gene:gene-LSAT_7X99221 transcript:rna-gnl|WGS:NBSK|LSAT_7X99221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G61520) UniProtKB/TrEMBL;Acc:A0A178W5Y6] MATQALVSSSSLTSSVESARQILAARPLHTTSSRKVSFVVKAASTPPVKQGANRQLWFASKQSLSYLDGSLPGDFGFDPLGLSDPEGTGGFIEPKWLAYGEVINGRFAMLGAAGAIAPEIFGKLGLIPPETALPWFKTGVIPPAGTYNYWADPFTLFVLEMALMGFAEHRRLQDWYNPGSMGKQYFLGLEKGFSGSGDPAYPGGPFFNPLGFGKDEKSMKELKLKEIKNGRLAMLAILGYFIQGLVTGVGPFENLLDHLADPVNNNVLTSLKFH >cds-PLY65687.1 pep primary_assembly:Lsat_Salinas_v7:5:269230848:269231228:-1 gene:gene-LSAT_5X140061 transcript:rna-gnl|WGS:NBSK|LSAT_5X140061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNFTSILYVLPSTLSFQSTFPSPYEVKSFQSSIHEVEEQPTIFSKTKAVKTDGRAWIGGRASGASTVPRWRAIPELQTSKGDFVYMQSGGSKSIGKRSGGRGSGKGKGTIKCSRAGEVDEINDDI >cds-PLY84546.1 pep primary_assembly:Lsat_Salinas_v7:1:30707772:30711384:1 gene:gene-LSAT_1X26580 transcript:rna-gnl|WGS:NBSK|LSAT_1X26580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cyclic nucleotide-gated ion channel 16 [Source:Projected from Arabidopsis thaliana (AT3G48010) UniProtKB/Swiss-Prot;Acc:Q9SU64] MNKMKYIRLSSTSSIPKTTTSNLITANNQKASWWTQIFDPGGQFISRWNHMFLITCLMALFVDPLYFLVPEISKEKYCMRSDYGFAAIITVWRSLIDVISFIHIFIKFRTAYIAPNSRVFGRGDLVMDPRKIALRYLRTGFSVDFAAALPLPQLFIWFVIPAVRSPTAAHANHSISLVIILQYIPRLFVIFPLNQRITKTTGVIAKTAWAGAAYYIMLYMLASHVIGATWYLLAIERQYSCWKEECAKEKNLSNPCNRVYFDCDHVDEPGRDAWLKQTQVLANCVSENSPINFTFGMYGPAFAEGVTSAPFFEKYFFCFWFGLKSLSSYGQNLETSTSTGETLYGCGVCLGGLVIFCLLIGNMQTYLQSTTARLEEWRVKRRDTEEWMRHRQLPPDLQDKIRRFVQYKWLATRGVDEEDILRALPLDLRRQIQRHLCLALVRRVPFFSQMDDDQLLHVICEHLVQSLSTKGQYLVREGDPVNEMTFVIRGQLESSTTNGGRSGFYNSITLKAGDFCGEELLTWVLTPDSNVNLPSSTRTVKALNEVEAFALRAEDLRFVAKQFKRLHSKKLQHAFRYYSHQWRTWGACYIQAAWRRHKRKKLADELARQEGLFQYYYGDDSNDQNVQHLGATILASRFAANTKRGISHTETVVGSGSSSLEMPKLFKPDEPDFS >cds-PLY77718.1 pep primary_assembly:Lsat_Salinas_v7:9:18833092:18834764:1 gene:gene-LSAT_9X13820 transcript:rna-gnl|WGS:NBSK|LSAT_9X13820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSHGHGHGQHGHVMEKSMAYDDMSMMSGMSMTSMYQEKSARRRPGIPHSNICTVCSVYIYMFRNRCLVCGRVYCKQCLNIGMGEMTEGRKCVDCVGRKFSQRYIHRAGNVGCWSSCFNGYPNTVKIQELKWAERGPRRSGQNMYNKSAMMSTQKSPLGTPRTPNRAHFTSGPPSFVTTPEYSPYGTPSRHHLPF >cds-PLY65202.1 pep primary_assembly:Lsat_Salinas_v7:7:60035902:60037442:1 gene:gene-LSAT_7X45281 transcript:rna-gnl|WGS:NBSK|LSAT_7X45281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCALSFTPISSFSSPSKTRLISGNPNAPKVILINNLTNQKSKFERFQSLEVQATDGTSSTTKVNSIVCADCEGNGCIQCKQCKGNGVNSEDHFGGRFKAGGLCWLCRGKKEILCGGCNGAGFRGGFMSSSDD >cds-PLY65558.1 pep primary_assembly:Lsat_Salinas_v7:4:235726379:235727964:-1 gene:gene-LSAT_4X127200 transcript:rna-gnl|WGS:NBSK|LSAT_4X127200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLLCKSIETLTLSLNSPPPPLSPRSHQLPLYSFKFTTTTTFRQCRRSITPSSASDHPASPASMSEIDMVQTKEGVFAAKPKKVVILWDLDNKPPRGPPYDAAMALKNIANHFGELVDVSAYANRHAFIHLPHWVVEQRRDQRRLDILERKGVATPSEPYLCGVCGRKCKTNLDLKKHFKQLHMREREKKMNRMKSLKGKKRQRFKERFITGNHKYTEAARTLVTPKTGYGLAAELRRAGVFVKTVEDKPQAADWALKRQMQHSMSRGIDWLVLVSDDSDFSEMLRRAKASNLGTVVVGDWDRALGRQADLWVPWNRVENGEITEEDLVLRTRSDEFLDDVDNGVFVSSFDGDMDENIGPLDGILDELVAKRSGFNQSKISAFSEGEDEDEDEEDDYLSDSDDEAEDWYT >cds-PLY92254.1 pep primary_assembly:Lsat_Salinas_v7:2:209960211:209961401:-1 gene:gene-LSAT_2X130281 transcript:rna-gnl|WGS:NBSK|LSAT_2X130281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIKFMLLFKFVLKLLNMLNGHPKAASLKTVPLLFPELCAELFDGNSASGNLSYATSQTPSGHGSSSFHVAPLHLMDAPSINIDEDDFFSNHTSEHFTQPSPSAASPSAASPSAASPSGNPNKRAKPSTPRPRAPSASPDPPSSASPKASITADDLALDMQKALHHLTKGPTIPQCLEKLELLELDPIDPLRFAAYHIFGGTMSMREMWVNLPNDPRILRGWIEMTATSLGVLKDGKIVR >cds-PLY85129.1 pep primary_assembly:Lsat_Salinas_v7:9:146247566:146247787:1 gene:gene-LSAT_9X93740 transcript:rna-gnl|WGS:NBSK|LSAT_9X93740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYDSIECVSTSDGLGNEEIPHHHHHFTSSKTHNVNVIPSKIHPTSVHELLECPVCTNSMYPPIHQVLYLFSS >cds-PLY77306.1 pep primary_assembly:Lsat_Salinas_v7:3:212538579:212541487:-1 gene:gene-LSAT_3X125080 transcript:rna-gnl|WGS:NBSK|LSAT_3X125080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSQETEISFCYLIQVVLTSTKHIQFFTKDASTTTFQNETNGYLGLRTFNLFKKIECRDIVTVYLAELVGTNSLFAIKCMDNEFSERRKKMPRADIKREIWIHPFLPTLYVHFVSENLSCLVMEFYVAEVLTLAYLHMLGIVYQDLKPKNILF >cds-PLY74366.1 pep primary_assembly:Lsat_Salinas_v7:5:56806062:56808833:-1 gene:gene-LSAT_5X26820 transcript:rna-gnl|WGS:NBSK|LSAT_5X26820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQVEPPNGVRSEGKHYFSMWQALFEIDTKYVPIKPIGRGAYGIVCSSVNRETNEKVAIKKIHNAFDNRIDALRTLRELKLLRHLRHENVIALKDVMVPIHRRSFKDVYLVYELMDTDLHQIIKSSQALTNDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTRTTKDQFMTEYVVTRWYRAPELLLCCDNYGTSIDIWSVGCIFAELLGRKPLFPGTECLNQLRLIINILGSQREDDIEFIDNPKARKFIKSLPFSQGTSFNRLYPHAHPLAVDLLQKMLVFDPSKRISVTEALHHPYMSSLYDPRCDPVVTCPLDMDIDEDLGEEMIREMMWKEMLHYHPEVVANGNA >cds-PLY62619.1 pep primary_assembly:Lsat_Salinas_v7:8:108035317:108038166:-1 gene:gene-LSAT_8X73061 transcript:rna-gnl|WGS:NBSK|LSAT_8X73061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable GTP-binding protein OBGM, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G07615) UniProtKB/Swiss-Prot;Acc:F4HSD4] MWSRCKNPLHYLEALQNCSKSPWILQISRFSDTPFKKPKLAPLQERRMIDCRRLWAKGGDGGNGCFSFHRSRHDRRGRPDGGNGGRGGDVILECSPTIWDLSSLQHHINAKKGGHGASKNMIGSRGDDKVVLVPVGTVLHLVEGDMPSVVQKVQSTPLNPWEIPDNTLESTQHATCQQSNGSAIEIEKPKDSESFSFWNQETINTWEARVTNNGGSEETMEEREEEEEEEEEHIEYNIAELTEPGQRIIIAHGGIGGLGNISLSKPFKNSKKDEKVNNFDFQENEIDNPSHTNGSPGSESVLILELKSIADVGLVGMPNAGKSTLLGALSKAQPKIGHYAFTTLRPNLGNLTYDDLSITVADIPGLIKGAHENRGLGHAFLRHIERTRVLAYVLDLSAGLDGRKGVPPWEQLRDLIMELEFYHEGLSGRPALIVANKIDEIGASEVYDELRRRVSGVKMFPVCAVLEEGVGELKDGLRMLVSGEELSGVSLDEIDVD >cds-PLY78927.1 pep primary_assembly:Lsat_Salinas_v7:8:3314698:3317438:1 gene:gene-LSAT_8X2121 transcript:rna-gnl|WGS:NBSK|LSAT_8X2121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein CBSX6 [Source:Projected from Arabidopsis thaliana (AT1G65320) UniProtKB/Swiss-Prot;Acc:Q8GZA4] MASVFLYHVVGDLTVGKPELVEFTENETLEAAIKAIGESTECGIAIWKRRSVQKGLIENAEMRHQRFVGILNPLDIVAFLARDDCLNDQEKALKTPVSEVVVPDNTLMKEVDPGTRLIDALEIMKQGVRRLLVPKSIGWRGMSKRFSILYNGKWLKNIDSNPNITIPNINNRPSSSSSTPTPTALSRDKYCCLSREDVIRFLIGCLGALAPLPLSSISSLGAINPNYCSIDASSPAIEASRQIPREPSAFAVVDRTPDGQHTILGEISASKLWKCDYLAAAWALANLSAGQFVMGVEDNLSSRSLPDIVLAGTNGGSLVNGGGARPLRQRTFSSRSVGFFNSNPSSHSFGANRSMYRGRSVPLTCKATSSLAAVMAQMLSHRATHVWVTDAENEEVLVGLVGYADILAVVTRPRAAPITEGQST >cds-PLY87111.1 pep primary_assembly:Lsat_Salinas_v7:5:263808168:263808467:-1 gene:gene-LSAT_5X135541 transcript:rna-gnl|WGS:NBSK|LSAT_5X135541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKYRSQSCRDGGMMEIKSNNEEFPSNMKNMRSYSTSNRNIKKHVSNLKKLSIDDPELQRKKRVAAYNVYSIESKVKGSFKKSFGWIRQTYTQLVYGYR >cds-PLY81381.1 pep primary_assembly:Lsat_Salinas_v7:4:35061107:35062946:-1 gene:gene-LSAT_4X23720 transcript:rna-gnl|WGS:NBSK|LSAT_4X23720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLKLIRLRTLQISQFASAASQELSTPKPVSTISPKGLNFYISTLQTCVSVDQLHQLHAEILKTRFSQNVFLMTRLAHAYLKFDCLNIGERFVVSIIKNPPLFLWNETIKCYARKGCYRESIDLYYEMIRSGYKPNAFTFTFVLPACAGLKSVKDCQRVHNDVLLFGCEYNEFVITALIDVYGKCGELSSARQLFDNMPVKKTASCNALMAGFVLDEKFDDALSLFNEMKKLEIRPDTMTMVKVLQSCASLGALQQGRWVHEQLIRTQMVVNVQLGSALINMYARCGSIEEAHHVFEEMPQKDLIAWTAIICGYGMHGLAHLSESLFLRMVSHGLRPDAITFVGVLSGFSHNGMVEKGWYYFKKMSNEFNVKPVLEHYSCMVDMLGRSGRLNEAENLLKHITVKPDSKIWGGLLNACKIHKNVEMAERVVSKILELDPTNAGWHVLMSNIYATCEKWDQVAKMRGKIKDLKLEKTPGWSSIEISGQIHTFLVFDQSHVMSREIYKYLKYVKERMKVEGYVPETSVVFEKVDEETKEEMVFCHSERLAIAFGILSTLDGDVLRVMKNLRVCVDCHNVIKFISRIECREIVVRDAKRFHSFKNGACSCGDYW >cds-PLY76284.1 pep primary_assembly:Lsat_Salinas_v7:8:32867041:32869693:-1 gene:gene-LSAT_8X26061 transcript:rna-gnl|WGS:NBSK|LSAT_8X26061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRGLPPKPPSTTPLIRHRKTTSNNKNNNRSSSSTGTVVDHRSPSPIPKASDALPLPLYLTNGIFFTLFFCVAYFLLHRWREKIRSSTPLHVVTLSELAAIVSLIASFIYLLGFFGIDFVQSFIGTSRVDEDNFTLEDDVEHHSKPKPRIMDPLPTAATLTSKKDEDIVKSVVSGSIPSYSLESKLGDCRRAASIRREALERKTGRPLLGLPLEGFDYNSILGQCCEMPIGYVQVPVGIAGPLLLNGGEYMVPMATTEGCLVASTNRGCKAIFASGGATAILLKDGMTRAPVVRFGSAARASHLKFFLEDALNFDALSIVFNKSSRFARLQSIQCSIAGKNLYIRFTCSTGDAMGMNMVSKGVQNVLDFLQIEFPDMEVIGISGNFCSDKKPSAVNWIEGRGKSVVCESVITADVVKKVLKTTVPALVELNMLKNLTGSAIAGSLGGFNAHAANIVSAVFIATGQDPAQNIESSHCITMMEPVNSGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKESPGANARLLATIVAGSVLAGELSLMSAISSGQLVKSHMKYNRSNRDMTVISS >cds-PLY85514.1 pep primary_assembly:Lsat_Salinas_v7:2:195811524:195812775:-1 gene:gene-LSAT_2X116621 transcript:rna-gnl|WGS:NBSK|LSAT_2X116621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSQASLLLQKQLKDLCKNPIDGFWAGLVDESNIFEWSVTIIGPPDTLYAEGFFNAIMTFPQNYPNSPPTMRFTSEIWHPNVYSDGKVCISILHPPGDDPHGYELASERWTPVHTVESIVLSIISMLSSPNDESPANVEAAKEWREQRDEFRKKVGRCVRKSQELL >cds-PLY93524.1 pep primary_assembly:Lsat_Salinas_v7:1:110326351:110326854:-1 gene:gene-LSAT_1X86041 transcript:rna-gnl|WGS:NBSK|LSAT_1X86041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVILSDTEGGSSSSSSPIDGHMYDVLLTFNGTDSRYSFPYHLYKALWAAKITTRFDNKQFQIGARLKLESETLTKTYRVSLILLSENYANSRWCLEELVLILEQCSTSNHTVLPIYYYVEPSDVREQQGSFGDAMARYRQEMEGETDANKKSQLAEKIEQCSPYVFQ >cds-PLY96452.1 pep primary_assembly:Lsat_Salinas_v7:MU038438.1:422338:427684:-1 gene:gene-LSAT_0X14341 transcript:rna-gnl|WGS:NBSK|LSAT_0X14341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMFGHSGTGGGFLPAKLQVFPVDYEADVSQRLLEASSCSDLKSAFDCIDDPYLDVNFVGAVSLKVRMAEVHCRDESENVVRFEYQEVKTDVTALFVAVHTGNATLVRKLLSRGADVNQKLFRGFSMTAAVREGHVEIFEMLLKAGASQACCEEALLDASCHGRGGKFIELLMASDFIRPHIAVHALVNACCRGFVDVVEDLLKCGVDVNASARVLLCSSKPSLHTNINCTALVAAVVSRQVAVVRLLLQAGANTNTTVQLGAWSWDITSGDEFKVGAGLAEPYDITWCAVEYFESSGTILQMLLQHISLDTCHNSRTLLHHAILCGNTGAVKTLINLGSDVESPIITAKQNETRPIHMATRLGYPSILLELISSGCDINSRTKHDNETAQMIAAKFKQEECLRVLTNAGADLGLLNSAGQSAESIAESTRWSFTFQKTVLDVISSGTLPTSSNPAVFSPLMFVAHSGDIRALKALLARQETNLDEQDKNGFSAVMVTAKRGYLEAFRILVYAGCDVKLTNKSGDTAISLSKNHKNYDMFEKVMVEFTLEKGNENARGFYPLHYAARHGDVHAVKLLVNRGYDVNIPDGDGYTPLMLSAREGNGQMCRFLISCGSLCDFKNLKGENALSLARKNKNDDVECVILDELARVLVVGGGDVLKYTKGGRGVVHRKTLRMVVGEGVLRWGSSRCRNVICREVEVGPSGSFEKCRKKKGDADMAGVFRVVTMKGKEVHFVCEGGVERAELWVRGIRLVRGRI >cds-PLY69967.1 pep primary_assembly:Lsat_Salinas_v7:5:118069329:118069997:-1 gene:gene-LSAT_5X51861 transcript:rna-gnl|WGS:NBSK|LSAT_5X51861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVLHPQDFLPKVAPVIVWKTYKNDKSYPTPINNNTLRKPQSLRFLEKTGSTPRRERELKPNHEDGDDSYAGSGFFHSPSPRSLPLPSFFNKKQDSMAMAADHDGFDDSATKHLRQLLRLG >cds-PLY99371.1 pep primary_assembly:Lsat_Salinas_v7:7:145861305:145864904:-1 gene:gene-LSAT_0X4261 transcript:rna-gnl|WGS:NBSK|LSAT_0X4261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METDCLLRQKPQSFNLDKLLANSPPWPPIINRVAASISSNPNLLWQFNQSKLLTLQQKTNKQPTSQVNDSETWAISITKIDRPGLGSGFAARYFAWLEIEDGVMLNTVVAAILVVIGPQHLKEMNEEDEFNGCKFRKEYTPQQFNDESINYHLQSHDE >cds-PLY94372.1 pep primary_assembly:Lsat_Salinas_v7:6:9272053:9272946:1 gene:gene-LSAT_6X6201 transcript:rna-gnl|WGS:NBSK|LSAT_6X6201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQVPAIVDGKLKLFESHAILIYLSCAFPGVAIGYMHRYPGDPAERAKIIIQAEEILLRSLSKLENVWLKDGRFLGGSTQPSIADLSLACEVMQLQLLSEKDYNRILSPYKKVKKWIEDIRSATAPYFDEVHEHLFESQKGIREKMVTQSGKNNVRSKM >cds-PLY91617.1 pep primary_assembly:Lsat_Salinas_v7:5:297999475:298002718:1 gene:gene-LSAT_5X159001 transcript:rna-gnl|WGS:NBSK|LSAT_5X159001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGFVGLKLLFIGVVFFLCLSSCYSQFNPADKYSIDCGSPSNTTIGNRVFLSDSLASSFLSTPQNVLVDTSANSIPSSEYSPLYKTARIFTQTSTYTFRITQSGRHWIRLYFFPFSASNYNLTTAVFSVSTPDHSLLSDFKAESVQTKEYSVNVTSGDLEITFTPTGSSFAFLNGLEVVSVPDPLIPDDAMSVNPPTNFKGMLNKALETLARVNMGGPVVTSRNDSLWRSWVTDLSYSKNRNFESNVSNPTAVRYPPDGATQDDAPVSVYGTAATMASENDPKANFNVTWEFPVDRGFQYLVRLHFCDIVSTSANTLYFNVYIDDSNVLPDYDLSTKFGGSLAMASYSDFVTQSLNKNQIRISIGPSSIKDVNPNAILNGVEIMKLSNSDGSLSGGNIPSSNSSSKKSIGLIIGVVVGVLVAILAGVIFFCVYKKRKQEQLNQSKIWIPLSTNGISQTMGSKYSNGTTISAGSNFNYRCPFAAIQEATNNFDESWVIGIGGFGKVYKGVLSDGTKVAVKRGNPKSQQGLAEFQTEIEMLSQFRHRHLVSLIGYCDEKSEMILIYEYMENGTLKSHLYGSGFPSMSWKQRLEICIGAARGLHYLHTGYSKAVIHRDVKSANILLDENLMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPSLPREMVNLAEWAMKWQKKGQLEQIIDVTLKGKIRADSLRKFGETAEKCLSDFGVDRPSMGDVLWNLEYVLQLQEAVLQNDPDENSTNVIGELSPVIHDFNRGGDGGGDGAAQFEMSSGDDISGVSMSKVFSQLVKSEGR >cds-PLY70230.1 pep primary_assembly:Lsat_Salinas_v7:9:465389:469167:-1 gene:gene-LSAT_9X5160 transcript:rna-gnl|WGS:NBSK|LSAT_9X5160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEMVVVPRGAGCAAVCGGGCAGDDTTKGGSSKEHQNHLSLVALIIAALRKSMTACRVDDQREESKSTKPATLHHMEIGWPTDVQHLTHVTFDRFHGFLGLPVEFEVEIPCRAPSASVSVFGVSAESMQCSYDSRGNSVPTILLLMQERLYAQGGLKAEGIFRINPENSQEERVREQLNRGTVPENIEVHCLAGLIKAWFRELPSGVLDGLSPDEVLQCNTEEERVELVKQLNPTQSALLNWAVHLMSDVAQQQESNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLIAKTLREREETTAGVSTVSCPSDHHQEMKTRCEPGRQPSASSSSKEQEQDDDDEAESSLSEIEENFLKRIEENKKAKDRFKRELDHLVTQRSSPTSGFDFQEESSGASLNSLVSKKTETAIHIVGRHGRRNGNV >cds-PLY92382.1 pep primary_assembly:Lsat_Salinas_v7:3:125006316:125006684:-1 gene:gene-LSAT_3X86861 transcript:rna-gnl|WGS:NBSK|LSAT_3X86861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVTPDKEPRYELFQNEQLPIVSEGKSEEITNSKEEWIVQFSRLFKSHVGFDADPYLDLHELGMEIYSEAMEDTITTESSQNLFDIVGSKFQEMSALALFNLGNVHMDKARKSIAIQKMITR >cds-PLY73139.1 pep primary_assembly:Lsat_Salinas_v7:2:190743129:190745759:-1 gene:gene-LSAT_2X113040 transcript:rna-gnl|WGS:NBSK|LSAT_2X113040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVTTALSTFHISKPYSIACNHLRYHTLFTYSLSSRSSEFITTSVSSLTDKKLVGQWRGDPCSVRILHVMADASAVNSPAEKKLRSSRGLRSTRELTSEPRYSKAARRFYNENFKETSSQRLSKVLAAAGVASRRSCEELIFEGRVTVNGSVCNTPQTRVDPKKDMIYVNGNRLPKKLPPKVYLALNKPKGYICSSGETQTKSVISLFSDYMKSWDKRNPGQPKPRLFTVGRLDVATTGLIIVTNDGEFAQKISHPSSGLSKEYIATIDGAVNKRHLLAISEGTMVDGVKCIPDAVELLPQQPDKSRPRIRIVVHEGRNHEVRELVKSAGLQIYSLKRMRIGAFRLPPDLLFGKHMELKPAHLKALGWEDK >cds-PLY97287.1 pep primary_assembly:Lsat_Salinas_v7:1:42590091:42590459:-1 gene:gene-LSAT_0X37440 transcript:rna-gnl|WGS:NBSK|LSAT_0X37440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCKDIIAPFCVLGFLFLFKPIINILKLLFITFFRPPKNLKNYSSCAIITGETDHINNAFAFQLAQKGLHLILVSKNLSKLKEVSNEIISVHPTTKIKIFYVDFSGENMVAGVREMHKVIYGE >cds-PLY85334.1 pep primary_assembly:Lsat_Salinas_v7:MU040991.1:44043:44750:1 gene:gene-LSAT_0X12841 transcript:rna-gnl|WGS:NBSK|LSAT_0X12841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHCMVWVWGGEFKLEVMDHALGLLTCRDSGVMELDTRLFLSCVLNHHPGDHGMSGSGTCVGRGYGSFLVGFINSIWCHIGARHILIFICSSTWDTTWYGFGDEYSNSRLWIMYCKFRPAITPADRNTSIGGTLLCRGYQLDLVYYDFETILDFYMFFDMRPCMVWVWGCVFKLDVVDHALQFPSGHQPRFMERDTWFFLSYVHDHHPADHDTVAGGTSLGIGYGSFEVGVISSI >cds-PLY96410.1 pep primary_assembly:Lsat_Salinas_v7:2:87567748:87568059:-1 gene:gene-LSAT_2X37120 transcript:rna-gnl|WGS:NBSK|LSAT_2X37120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVASVVNLTGNDAKVVVDVVHSIVYPKMAAEKKEKEADAAKTGEKEEQLPDDDDDDADSNAGIFRYGYDFL >cds-PLY85375.1 pep primary_assembly:Lsat_Salinas_v7:5:242989413:242991261:-1 gene:gene-LSAT_5X120660 transcript:rna-gnl|WGS:NBSK|LSAT_5X120660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKILNRTQMFLAETLDVVQDIDWVISLGNAFAKQYELYTYDDEHSALLHRFLNCDSPLQLSECRLILVLD >cds-PLY87516.1 pep primary_assembly:Lsat_Salinas_v7:8:95459409:95462972:1 gene:gene-LSAT_8X67421 transcript:rna-gnl|WGS:NBSK|LSAT_8X67421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTRNMERKNSIRERGKRGLEGGEDEQQQPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARLSNGRPSSPKRLEGPDGRNLQLHFKSRMSLPLFTGGKVEGEQGAAIHIVLIDANTGHVVTSGAESSIKLDVVVLEGDFNNEDDEGWTQEEFDSHVVKEREGKRPLLTGDLQVVLKEGVGTLGELTFTDNSSWIRSRKFRLGLKVASGFCEGIRVREAKTEAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKRLNNAGIFTVEDFLRLVVRDAQKLRNILGSGMSNKMWEALVEHSKTCVLSGKLYVYYHDDSRNIGVVFNNIYELSGLIANDQFQQVDSLSDSQKVYVYTLVKKAYDNWSQVVEYDGKSLVSFKQSKRSNVSRNEYSVGSIEYPRSSNNQLMPPRVPLVGSSDSTSVDSNLLLGGYNENLDTVYQTQQQLPQNQYDMNFTTNNRHISNPNPLQTNGYDSRSAGLALGPPQPSSSFPAMNPFDDWSHNRMGGGSGGHGGGDFMSEEEIRMRSHEMLENEDMQHLLQLFSMGGGGGGGGGGHGGNVAEDGFSFPSYIPSPAPNFFDEDRSRPGKAVVGWLKIKAAMRWGFFVRKKAAERRRAQIVELDDDE >cds-PLY91143.1 pep primary_assembly:Lsat_Salinas_v7:1:3323457:3331601:-1 gene:gene-LSAT_1X2061 transcript:rna-gnl|WGS:NBSK|LSAT_1X2061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDNHEETTTSSIPMPAKVNPAAKKALLILSCILLSIGNCGGPLIMRLYFIHGGNRVWLSSFLETGGWPFILVVLIILYFHRRAAGKDGDNKHTTFIYMRPRLFFAVAFIGVLTGLDDYLYAYGVARLPISTSALILAPHLAFVAVFAYLLVKQKFTPYSINAVVLLTVGAAVLALHTSSDRPEGESKKEYAMGFVMTVAAALLYGFVLPLIELTYNKAKQEITYTLVVEIQIVICLFATLFCTVGMIANNDFEVIPREAASFELGRTKYYTILCLSALIWQCFFLGVIGVIFCASSLLSGIIIAALLPVTEILAVVFYKEKFQAEKGVALVLSLWGFASYFYGEYKLKKRSSYQKSSQEQMEMSQPNYP >cds-PLY73585.1 pep primary_assembly:Lsat_Salinas_v7:6:128374143:128374595:-1 gene:gene-LSAT_6X76661 transcript:rna-gnl|WGS:NBSK|LSAT_6X76661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGLRGIQNPSSSLTVDSSQSCNEYDDFLTNHNVKNVVDLLEPQEVGKYIIVGTIYGIRQDIDWYYDACSKCGRKVEPRNVFSGPDSGDASVVVECYNSKCKKRRYLQFQGF >cds-PLY82285.1 pep primary_assembly:Lsat_Salinas_v7:1:69055069:69058843:1 gene:gene-LSAT_1X60921 transcript:rna-gnl|WGS:NBSK|LSAT_1X60921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHLKFLQTISLLYVLVTLTCTGSSTSHDEECSALFQFKQTIIHQHDAACAAHGSQVLHSWNTSFDCCSWDGVACSNDHDQYYGHVIGLDLSESSLCGYINSSSTLFNLVHLQRLNLAMNYFGESQIPSEIGRLKQIRSLDLSYSGFSGQIPNGISQLMRLSSLDLSGNSLKLYSPSLKKLVQNLTGLAELHLSGVEISSSVPHFLANFSSLRSIKLRSCSLQNEFPAAIFELPKLQVLDLANNTDLTGSFPEFHGNTLLEEVILPLTGFFGIIPESISHLKHLTVLSLYNCSFSGHIPRSLSNLTQLTVLTLGGNKFTGSVPSLVSLLNLDVLALYGNKFEKGPFPNWLGKLGKLSELYVSDMNTNSTEIPLFLANLTNLNVLSMGKNSLIGRLPSWLFNHTQLKVLDLQINQLQGPIPNTFSSFKSLVYLNLGRNNFSGRVELDMFLGLNKLQTLELGYNKISLIVTNNYTNTTLPEFEWLGLSSCNLKEFPAFLRFQNKLTALLLDHNNIDGLVPVWIWNNSRETLQFISLSGNSITGFDQHPHFLPWTNLEGFFIRNNQLQGQLPVPPQTTVEYSVSNNNLTGEIPPWICELKSLQLLDLSFNNMSGTLPSCLGILTNSLMYLRLRRNNFQGKMMNSLTPGCQLTQLELSENRFTGQLPRSLTNCRNLEILSLEDNSFHDAFPSWLGSLAELQVLVLRSNNFYGPIQGSSQFPKLRIIDLSNNSFSGHLDQNYFQTWHVMSSENLGISSAMKSIISSKSVFTNVQYEVTLIHKGVKTEYNRILTVVMSIDLSCNQFEGEIPASLQDLQGLQSLNLSNNHFTGSILPSLGNLTNLEALDLSRNELSGEIPQQLVQLGFLSIFNVSFNHLQGRIPQGKQFDTFDKSSYIGNPQLYGRTLSKEGQDLKVPRVPPASNVSESFFPSERIDWIFVFCGIGSGLVVGVVIGNFLYERYSYRFTKRKDRWVRPLRNTRRN >cds-PLY68336.1 pep primary_assembly:Lsat_Salinas_v7:4:156978375:156987413:-1 gene:gene-LSAT_0X11160 transcript:rna-gnl|WGS:NBSK|LSAT_0X11160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) UniProtKB/Swiss-Prot;Acc:Q93Z24] MAKGRSLKSTKIIVLLLCLVAFSTVFAPLPSPLSNSLKPHNHHHHHHHHHQVVNARKFEIADDMFWKDGEPFRIIGGDLHYFRTLPEYWEDRLTRAKALGLNAIQTYVPWNLHEPKEGQLDFNAIADIVSFLELCQKLDLLVMLRAGPYICAEWDLGGFPAWLLTKKPPIRLRSSDPTYLALVDKWWGVLLPKIAPLLYHKGGPIIMVQIENEFGSYGVDKDYLHHLVNLARSHLGDDLILYTTDGGTRDTLIKGTINGDAVFSAVDFSTGEDPWPIFNLQKEFNTPGKSPPLSAEFYTGWLTHWGENIAKTDATSTASALEKILSRNGSAVLYMAHGGTNFGFYNGANGAQESEYLADLTSYDYDAPISESGDVDGAKFKALRRVIAKYSAASLPPVPKNNEKTGYGRIQLEKSSSLFDILDTNVHIKAIEAENPISMEAAGQMFGFLLYTSEYIANGKGSTLSIPKVHDRAQVYVTCLSKNKKERPQYVATINRWSNKPVNLPNTGCASTSKLLILVENMGRLNYGQLLFDSKGILSPVYLDGKPLLKWKMLPIPLSNLNEPKKINPVFDKPYSTFIRASTRKTLKSSLRVDLNEPDFYTGDFVVDKVTDTYMSFNGWGKGVAFVNDFNIGRFWPSFGPQCNLYVPAPVLRRGKNVLVILELESPERELVVNSVNQSDFTCGSKHTRVRQL >cds-PLY69143.1 pep primary_assembly:Lsat_Salinas_v7:5:284875544:284876689:1 gene:gene-LSAT_5X150561 transcript:rna-gnl|WGS:NBSK|LSAT_5X150561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSEGGVGEWTEVRRKRQTTISNDNTNIVTNVYVAGFQNGTMKSELWKIFAKHGMVVDVYLGRKKDTKRQNFAFFRFKRVADERKLEEKLHGIGCRAWLKVLGLLLQLWDERNFSLIAKRSGRVVAPFNEIAQQRDYSMGKIGIPTSQRSWINDQVTIIVDGKTYNIGVVEYTDDWSPFHRVPFDKVEDESEDDSDVDDLSNDDDEEEEAVSETWVMEW >cds-PLY90656.1 pep primary_assembly:Lsat_Salinas_v7:6:51293993:51296033:-1 gene:gene-LSAT_6X37021 transcript:rna-gnl|WGS:NBSK|LSAT_6X37021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQALNINHIFIRSKQKLSPKTPTQAVFKQHTATNARNPTMQSRLFPVPTSIYGTDTGSGTIVSLRRSSNRNLIFLIGAAPTRQRSRISVTCAISNSHQNHYAVLGVSAEATTSDIKKAYRLLARKYHPDVNKDSQASEMFKGIRLAYEVLSNSTTRHQYDNSLHFQPSNFQPSTGPPWRTYNIDLDDINLHKWSHFKQKMNHQKWYDSKYRYYPFDDESEDEEEDANTLTNERHSFTEVLKSTFLSIFLLKTIGAKLSLTFSSLMALLDPKLDGGYKVGYVVAWMLGGKSGIVLTLCLSFASWVCGKTSSNVVALVVVSMWVGSYIARFAPIPHGALLALLYMSIKLQAHLH >cds-PLY96581.1 pep primary_assembly:Lsat_Salinas_v7:4:368246640:368246837:-1 gene:gene-LSAT_4X180780 transcript:rna-gnl|WGS:NBSK|LSAT_4X180780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKEEALESLKAMTPVEENAPLLPLQALLGGLFAGIIAIIMYKFTTTIEASLNRQTIYDKIIPNS >cds-PLY87902.1 pep primary_assembly:Lsat_Salinas_v7:MU040310.1:731801:732118:1 gene:gene-LSAT_0X27060 transcript:rna-gnl|WGS:NBSK|LSAT_0X27060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVMLKDYYDSMERAQNSADLEEGLTHMETEILVDEMEKRGAEVSSYTNTDVDEDIVDTQEAHNANFTSTSSNITPGQDYSNPDQEVPYSDDNYNFWNFPPDMTF >cds-PLY96910.1 pep primary_assembly:Lsat_Salinas_v7:4:170228841:170231128:-1 gene:gene-LSAT_4X101080 transcript:rna-gnl|WGS:NBSK|LSAT_4X101080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEEDEHVVDKRMENATVLHLASRLGHVEMVSLILELRPQMVTEENNINSETPIHEACRMGQYSVVRLLMEANKWMAAKLNSENQSALFLACDYGHLNIVNFLLDHTYTSLWLLNIFDHAACLYAAASRGQTDIAKRLLERCPNLANQKDRNGSLALHGACRSGHLEITSILLRKDPNYQAFQFDNSGYTPLHVAAIHGKLAILEEFASVAPSSFQTLSKHGENLFHLTIRFNQFDAFKFVDGILKGATYLFYRPDRSGNPIQDLAQIRGLNHQFTEYIKGERKEQINHQIIWNESSSTDTNINTLSEIRIEAPPLENETCFCSQTTAEEEKHIETYKKSPERKQMIKLHREALQNARNTIAPVAILIIAVAFTAGMNPPGGVYQDGDQKGKSIMGRKRAFKIFAISNHIALFISMCVVMVLVSIIPLREKPLNLILAAIHKVTWVALAFMAVSYVAGIWVISPLPNESHLKDWVLEASLSICAGIFVSTFFGLGLMHIRHRLSKYKWTKHLEEVEIN >cds-PLY62113.1 pep primary_assembly:Lsat_Salinas_v7:5:38967347:38967526:-1 gene:gene-LSAT_5X18880 transcript:rna-gnl|WGS:NBSK|LSAT_5X18880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGSLATALGFNPTDVDIIRKPPRKSSDALIDSWVLFRLLVIGCYVGIATVGVFVVWYT >cds-PLY87886.1 pep primary_assembly:Lsat_Salinas_v7:5:3819209:3821748:-1 gene:gene-LSAT_5X1841 transcript:rna-gnl|WGS:NBSK|LSAT_5X1841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQATVENVQLLPAKNDDHGGVVVELEEHMDSDVFLTLLKTSLSQWTMQGKKGVWIKIPIRLVNLVEPAVKEGFCYHHAESDYVMLVKWIPETTSTIPANASHRVGVGAVVLNHKREMLVVQEKNGPLRGKGIWKVPTGIVDVGEDICTGAIREVKEETGIDTEFVEVLAFRQWHKSFFEKSDLFFVCMMRPLSFDIQIQEVEIEAAKWMPLEEVAAQPFAQKESLLRYITDLCIAKVERDYSGFSPLPVTSGVIYLNKRDLN >cds-PLY86743.1 pep primary_assembly:Lsat_Salinas_v7:5:60659590:60662974:-1 gene:gene-LSAT_5X28800 transcript:rna-gnl|WGS:NBSK|LSAT_5X28800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFKKLGFPIARFGRQSKKSYGFFIKFASVTILGLCFVFVWYIFSSSASSVTYQRSTFGEIAEPVSERGQIKNSKSSILIKNEPDLNSGKVEEKKRINESSRLDPVVPKNHKKEEKSVEIVKGSKKDEKQEDENGSEVAESESENENEKEDEVEEGEQERDLVVDVDQLDESDEVKAEHGKKKKFKGPLFDPKAEYNWKLCSTRSKHNYIPCIDIEVASKRIQMYRHHERSCPKNPLLCLVPLPHEGYQTPVIWPESKEKIWLKNVAHPKLAQFIKTQNWVVESGDYLTFPQNQSELTGGIAHYLESIQETVPDIEWGKNIRTILDIGCIDSSFGAFLFEKNAFTLTLGLKDDLVDLAQLALERGFPAIITPFGTRRLPFPSGTFDIIHCGECQIHWQSNGGKLLMEMNRILRPSGYLILSSKHDSIEDDEAMSKLTASIGWNILAHKTDDVSDIGVRIYQKPESNEIYSLRRKKIPPMCKDNENADATWYVPINSCLHPIPSAIEERGTEWPEEWPKRLHTFPDWINNKEKLDSDSRHWKAIVDKSYITGMGINWSTIRNVMDMHSVFGSFAAALVEHDVWVMNVVPVYASDTLPIIFERGLVGIYHDWCESFATYPRSYDLLHADHLFSRLKNRCRQTVSIVVEMDRILRPGGWAIIRDKAQILKSLEKIYKSLHWEIRMTFVQEKEGILCVQKTMWRP >cds-PLY91165.1 pep primary_assembly:Lsat_Salinas_v7:4:162021079:162024354:-1 gene:gene-LSAT_4X96541 transcript:rna-gnl|WGS:NBSK|LSAT_4X96541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPFFPIFPKQIDASYFSTLASSCFASLLLSIGRRQVAATLIADDTAPNNVAFLFRRKVCHLCLVLPTAVANRNSQQQEMGERKRTYFPNVTIEGGNENALALEWNGIPLVKSFCLYTLQYSPLVHPSISCHSSFDLHATWIRGYHLSELDYRPLNGFVDITIPNAAKVFHNSPFLIP >cds-PLY85296.1 pep primary_assembly:Lsat_Salinas_v7:3:71225654:71233589:1 gene:gene-LSAT_3X54941 transcript:rna-gnl|WGS:NBSK|LSAT_3X54941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLNGLLLCNISKYFSTSNHKLTQNVFHKADSYGSACRLDRRKLLDKVSATMYQDSFDELIDEGKHVFDASLVRKQFPSIILGQSSPVELYDGISDAPEKSNILTADIYSGSWDSIPNEFNQFSSYKIESISDQSTLDSTYSSKEENSSSSSSSSSSTLPSYAKSPNPVKSDLQCSISDPFELILDKSISCIPVLSKKQCTQLENCGLHTIRKLLSHFPRTYADLQNAQFTIDDGQYLIFVGKVLSSRGIKASCSLSFLEVVVGCEVAESGSSSACMVSEHNNGSKKTIYLHLKKFFRGTRFTYQPFLRSLQEKQKEGDIVCVSGKVKTMRTKDHYEMREYNMDLIRDDDDESACAEGRPYPIYPSKGGLNPKLLSDIIARVLDTLPPGIDPIPNNTLQMFGLKSLRDAYIGIHQPSNFKEADLARKRIIFDEFFYLQLGRLFQMLEGLGTWIEKDGLLNKYRNPSTNAVFTDEWCSLTKKFLNSLPYSLTSSQLTAVSEIIWDLKRQIPMNRLLQGDVGCGKTVVAFLACMEVIGSGYQAAFMVPTELLAIQHHDRLLSLLENMEDVDSRPSVALLTGSTPVKKARLIREGLQSGEISLVIGTHSLIAEKVEFSCLRIAVVDEQHRFGVIQRGRFNSKLYSNSVNSRLAEIDSNGSSKGDTSMAPHVLAMTATPIPRTLALALYGDMSLTQITDLPPGRKPIDTYAVEGNEAGFEEVYQMMKVELESGGRVYIVYPIIDQSEQLPQLRGASADLEVISNRFQGINCGLLHGRMKSDEKDEALKNFRSGETQILLSTQVIEVGVDVPEASMMVVMNAERFGIAQLHQLRGRVGRGVRKSKCLLLSSTSSGLPRLKVLEKSSDGFHLANMDLLLRGPGDLLGKKQSGHLPEFPIARLEVDGNILQEAHLAALMILSESHNLEGFPKLKAELSMRQPLCLLGD >cds-PLY77636.1 pep primary_assembly:Lsat_Salinas_v7:5:174204358:174250168:-1 gene:gene-LSAT_5X76861 transcript:rna-gnl|WGS:NBSK|LSAT_5X76861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHFINAVQLIRMVTSPSSNSMLGAEIEFGSAMFYVYVGISCLLVIFAGIMSGLTLGLMSLGLVELEILQRSGTPVEKKQAAVIFPVVQKQHQLLVTLLLCNAASMEALPIYLDKIFHQVVAIVLSVTFVLLFGEVIPQALCTRYGLAIGSSFIWLVRILMIICYPIAYPISKILDLVLGHNDALFRRAQLKALVSIHGLEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPRNVIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKTKGKSQRPPTLEEEPKQSENVTIDMEPRTFAKQGDVGTNGVPYLTEDIEEGEVIGIITLEDVFEELLQEEIVDETDEFVDVHKRIRVAAAAAASSVARAPSVRRLTAIAGAQNKQGQTPKKSSEDDSSSSKFQKALVEPLLRKET >cds-PLY67739.1 pep primary_assembly:Lsat_Salinas_v7:9:165729022:165729240:-1 gene:gene-LSAT_9X103040 transcript:rna-gnl|WGS:NBSK|LSAT_9X103040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPVEVIDNILFPLGAACNVVTNCQKWLEAWRNHLHTVSFNSKYGPVYHEITTSRLKILITQTIFQTTDL >cds-PLY94663.1 pep primary_assembly:Lsat_Salinas_v7:1:41380218:41384234:-1 gene:gene-LSAT_1X36841 transcript:rna-gnl|WGS:NBSK|LSAT_1X36841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGADALPSPRQHGVTKPLSLAGPSEADILRTKKLNKFLVDAGLYESQEEAAKREMVLGRIKQIVIDWVKQLTRLRGYTEQMVEDANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNRNEDFFFVLHDILTNMEEVTELQPVPDAHVPVMKFKFEGISIDLLYASISRLVVPDDLDISDVSVLYNVDEPTVRSLNGCRVADQILKHVPNVEHFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQFYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIQEAELGFTVWDPRKNPKDKTHHMPIITPAYPCMNSSYNVSISTLRVMTEQFQFGNQICEEIEVNKAQWPTLFEPYMFFESYKNYLQVDIIANNPDDLRAWKGWVESRLRQLTLMIERDTHGKLQCHPYPHEYIDPSNQLSHGAFFMGLQRKQGEAIQEGQQFDIRGTVEEFRHSVNMYMCWRPGMEIYVSHVRRKQIPLYVFPHGYKRTRTTRVSNIENGEVGRKRKDECTPVKQDSPSLKRQSMSPLSHCSDSVDNDKIQVFEVEPNDEHGMELNSRGSGDMDMDQNSIMRRASTFFSNISVSTLVNMVWWFLFYRYDVTSTA >cds-PLY86363.1 pep primary_assembly:Lsat_Salinas_v7:8:27562495:27563106:1 gene:gene-LSAT_8X17840 transcript:rna-gnl|WGS:NBSK|LSAT_8X17840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAAGKKPVAEKAPAEKAPAEKKPKAEKKLPKDASAAGADKKKKRHKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASKLARYNKKNTLSSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSG >cds-PLY84378.1 pep primary_assembly:Lsat_Salinas_v7:8:79266435:79270352:-1 gene:gene-LSAT_8X57021 transcript:rna-gnl|WGS:NBSK|LSAT_8X57021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGRLFSWAMALLPHWSLSLKLIQFKSYVFFPLASVLPLPRTSSFMAKHSPRDDNYDQLNINALFDSLFAVSDSALIDASFDGFIESRSTDSHQNDDDFIERAIHLSSVLLEAAKRSARERSSVHNASVWPLTPDLTIKVFSMLDTQSLFCAGATCSIFKMCAIDPLSYININLGTLIPKIKPKINNNKDAMTVESIRSVRSHLTHNAGRPDLVETWVCKEFVVNCPKITTLVLQACKLFPSEAFELVKGFNELKYVDFSNCYSLTGAFLEDLATKGGGNSLEVMILRRVYNLDKVEVIKFMRALLAGKFRQLRHFDISTSGGLVDTGDGGDLRYGVRYGG >cds-PLY66942.1 pep primary_assembly:Lsat_Salinas_v7:7:21042897:21045315:1 gene:gene-LSAT_7X17541 transcript:rna-gnl|WGS:NBSK|LSAT_7X17541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEDWYKQMPIITRSYLTAAIVTTIGCSLEIISPYNLYLNPRLVVKQYQIWRLITNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGKTADFFYMLLFGATVLTTIVLVGGMIPYVSESFAKIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLGMIAGHAYYFLEDVYPKMTGRRPLKTPSFIKTLFADEAVVVARPQEVRFAAPPVEEMRR >cds-PLY73735.1 pep primary_assembly:Lsat_Salinas_v7:8:170449779:170450761:1 gene:gene-LSAT_8X111400 transcript:rna-gnl|WGS:NBSK|LSAT_8X111400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAVSNSERNFNEENAGGSDTDTIPDESPEYYEPISSSAIEDDDSSDQNSDTDHELNFHRLPNGEARCVQNGINSLDLSDEDDEEDEHEEEERMREAMQRAFREDESRRRAPLTPENTTRVMEAMRGISFPGMAPDWAGRVPEDRWIDQLTGIRQPPSSTAS >cds-PLY66759.1 pep primary_assembly:Lsat_Salinas_v7:8:71959718:71964311:-1 gene:gene-LSAT_8X52660 transcript:rna-gnl|WGS:NBSK|LSAT_8X52660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:GALT1 [Source:Projected from Arabidopsis thaliana (AT1G26810) UniProtKB/TrEMBL;Acc:A0A178WHF1] MKKWYIYVLSASLLTLTILGYLIIQNPIREAYISSPPYFNTTNPLEWINSSSPPVQNQTPNPQIVSTDEIVSTLFIHRNISFNEQQPLHTWNHLRHLISHDRVLPNTVEAIKDGGIAWNNLMTTVEDDKRDMNRSSGGKKKEKQCPHFLSKMNATELNDTGYKLKVPCGLTQGSSVTFIGIPNGLLGNFRVDLTGEPLPGEPDPPVILHYNVRLHGDKITEDPVIVQNTWTIAHDWGEEERCPSPEPDKIKKVDELDQCNEKVGKVVNTGANSRSYFPFKQGYLSVATLRVGSEGIQMTVDGKHISSFAFRETLEPWLVSEVRISGDLKLVSVVASGLPTSEDLDHIIDLDSLKSPSIPVGKKINLLIGVFSTANNFKRRMAVRRTWMQYPAVKSGKVAVRFFVGLHKNRLVNEELWNEAKTYGDIQLMPFVDYYSLISWKTIAICIFGTEVISAKYVMKTDDDAFVRVDEILNSLNRINVTNGLLYGLINSDSQPHRNPDSKWYISPEEYPEENYPPWAHGPGYVISHDIAKAINNKHKKGDLKMFKLEDVAMGIWINDLKKNGLEVRYEQEERVYNEGCKDGYVVAHYQGPREMLCLWQKLQEGNRAFCCGS >cds-PLY95124.1 pep primary_assembly:Lsat_Salinas_v7:1:92176150:92177913:-1 gene:gene-LSAT_1X73901 transcript:rna-gnl|WGS:NBSK|LSAT_1X73901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRNHQVILKDYVNGSPKESNMLLKTSSTIPLKLPQDSNGVLVKNLYLSCDPYLSLLMSKGQVGYLESFTPGSPITGFGVAKVVDSEHSNFKKGDIVWGLTGWEEYTIITTPETLFKIQDADVPLSYYTGILGMPGMTAYYGFYEICAPKKGEYVFVSAASGAVGQLVGQFAKLTGCYVVGTAGSNEKVEMLKSKFGFDEAFNYKEEQDLDAALKRYFPEGIDIYFENVGGKMLEAVLLNMRVNGRISVCGMISQYNLNQEEGVRNLSSIIMKRLLVKGFIIFDNFDLYPNYLEMVIPLIKEEKICYIEDVANGLESAPTAFIGLFLGKNVGKQVVFVARE >cds-PLY91923.1 pep primary_assembly:Lsat_Salinas_v7:8:202958091:202958640:1 gene:gene-LSAT_8X128901 transcript:rna-gnl|WGS:NBSK|LSAT_8X128901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNADIDKVEILLKLPDILKSDNLGNVHVILLKGTDSSQVPIVRLMDLTIGIRCDICVNNLLAVINTKLLHDYSKIDVCFDVHCVKECVPVTGDRKK >cds-PLY83514.1 pep primary_assembly:Lsat_Salinas_v7:8:187978983:187980000:1 gene:gene-LSAT_8X122381 transcript:rna-gnl|WGS:NBSK|LSAT_8X122381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIDHKIPTHNMQIDPSRSCYPCCIVWTPLPVFSWLLPFVGHIGIGREDGVILDFARPNFVCVDNFTFGAVTRYIQISKDKVLDLPAYEA >cds-PLY67554.1 pep primary_assembly:Lsat_Salinas_v7:3:54175256:54177490:1 gene:gene-LSAT_3X41801 transcript:rna-gnl|WGS:NBSK|LSAT_3X41801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:FH [Source:Projected from Arabidopsis thaliana (AT4G03240) UniProtKB/TrEMBL;Acc:A0A178V3B4] MASSSSKFLRRLSTSLNSIQNHSRFLSSSSNSRIVLEHLSFALHPSSSLSYNDNHSRIGSRDFCSQTSNLNQVLSQGPAAIDYSSLLQEDEFHKLADATIHDLLEKIEEYGDSVDIDGFDIDYGNQVLTVKFGDLGTYVLNKQSPNRQIWMSSPVSGPSRFDWDQNGEGWIYRRTKAKLLETLENEIHQLCGEPIRLS >cds-PLY93453.1 pep primary_assembly:Lsat_Salinas_v7:9:59569871:59572975:-1 gene:gene-LSAT_9X52561 transcript:rna-gnl|WGS:NBSK|LSAT_9X52561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGKIDATMEEVIAAATAAKAHNFIRQLPEGYEMKAKRTNEPDVAEFISAIAAGNNAQLMVVACAEAAGSTTQGLIAASHQTGGRLICIVKGIEELHSSKQALSSDASQVEFVVGNAQTLLSTDYKSADLVVIDCNLENHEGILGAIQTEREKSTIVLGYNAFWKDSWVWSRLNSHLLPIGEGLLLMRIAGQSDNGGGKNGGHGGNKGRRPSHWVVKVDKCTGEEHVFRIRSPGGRVVRA >cds-PLY66189.1 pep primary_assembly:Lsat_Salinas_v7:6:164792399:164794232:-1 gene:gene-LSAT_6X100161 transcript:rna-gnl|WGS:NBSK|LSAT_6X100161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRRATEIELDTMEGFASREKLHHHLNDQEPTLMMFKLDEKLKLIESRLESKVPMAPKQPNTGLFVGLNKGHVVTKKELAPRPSDRKGVSNKS >cds-PLY64557.1 pep primary_assembly:Lsat_Salinas_v7:6:34471872:34474581:1 gene:gene-LSAT_6X25301 transcript:rna-gnl|WGS:NBSK|LSAT_6X25301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSRRVKWHLTPPPPSPKIINLPRRRSTHRKKPRATVNKQPISATTGSGMIQTYNYKGKLESLFGADREFTRDQVVLLSSSERRERVEAEVVEEEDAGGRLAEEKWRFQAEILRAECNFLRMERKFALKKLEKNRVRIEKTLKSALQNLASGRKKLFEGKNMEVVLEEEMKELAEKLEELQSSYNGSDDRELQKCKNFDKKASHLQRRLEKLGGLPEDEYKERKNESSFHDQSNSEVNENTSILNCKSQPKSTDVEMLERKMEGLSKGVFDVMMEKEYGSIINSSVASSASTSKRIDFPDHFSFSNRFANHTKESLEANNKCSGRCKVLVKRVVEQVRAETEQWTQMQEMLGQLRHEMEELQTSKDFWESQALASNNQIQTLQSDVEEWREKAIKYETKANKLETEVVLVKGELEKLKKDEIISTPKKPVVSLGKQIEKEAKIGISCRMKGQCDVIDSNRHEKVELSKKDSQPLSLGKQLAKEKRILISRLKENRTRDNEMSSEGRRKGYNYLVRSPFKDIGNSSSNSNAVYPLH >cds-PLY61662.1 pep primary_assembly:Lsat_Salinas_v7:5:90827784:90830549:-1 gene:gene-LSAT_5X41161 transcript:rna-gnl|WGS:NBSK|LSAT_5X41161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNEESFRRELASCTGALQTSINQHMSKEEEQVFLLLVEKFSFEEQASLVWQFLCSIPINMMAEFLPWLSASVFSEERHEMRNYIAEDKVIFPAVLPLSHKHFTLKRQRELLYQSLCVMPLRVIECVLPWLVGSLTEEESNSFLHNMHMAVLSICFIDTQVLKQLNFPLQLSLCDDKGDIKKAKTPSEFIPIGHKIGTPVPLFKELMKWSFSGINFAGSQADRVDWAFKEEAEAKKLTEKLKNTKISGHYYFSLKTLNL >cds-PLY98890.1 pep primary_assembly:Lsat_Salinas_v7:5:20625499:20628570:-1 gene:gene-LSAT_5X10420 transcript:rna-gnl|WGS:NBSK|LSAT_5X10420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTAAREHVNEIRKTKFSIGGKANPLTEDLHQAVKNLSAELYAKDVHFLMELIQNAEDNEYPEGVVPSLEFVITSNDITNTGAPATLLVFNNEKGFSVKNIESICSVGRSTKKGLRKRGYIGEKVTSGIGFKSVFLITTQPYIFSNGYQIRFNEKPCEHCNVGYIVPESVEKDPTLSAIQSVYGSSKTLPTTTLVLPLKPVKVKPVKDQLSSIHPEVLLFLSKIRRLSVREVNENPKLNTVSAISISSEKNFVTSKSMDAVSYTVHLTADETGDVIDTECGYYMWKQRFPVKPERKVDARMEVDEWTITLAFPNGTRLKRGSSLPGIYSFLPTETITNFPFIIQADFLLASSRENILWDNKWNQGILDCIPLAFLNAFTSLVKSTENAPVSSLPNMFRFLPVYESSHPKLNHVRDAIKAKLMNEAIVPCESHTEQKLFCKPNEVGRLKPAFWSILNKARRQGVSFSNISSHGAYLLASSFDKSDYNVILDFLEIKPVSHEWYAKCIGSSRLVLGVTEDVYIQLLVFIAESWESCFQNSNMKNIPLIKYIGRDGKDDVFTPASGTNKLMAADSVCISWLHNCNTEFRNSIGNFFLPKATQEAIRSCSQKSTLVKWLRDEMKMKFVTVCEYAKLVSHSLNNDRKLVVTYAHFLHNSFKKEYLGKHEVEELCIDIPIVDNYGNVTKTRSGVLVPAKGSRWVELIGSNPWRQHNYVEMGEDYTRGACYFGMVTSGE >cds-PLY71969.1 pep primary_assembly:Lsat_Salinas_v7:3:24649240:24651252:1 gene:gene-LSAT_3X18360 transcript:rna-gnl|WGS:NBSK|LSAT_3X18360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGPDEEEQKWPPWLKPMLRESFFVQCKLHADSHKSECNMYCLDCMNGPLCSLCLNHHKDHRAIQIRRSSYHDVIRVSEIQKYLDISSVQTYIINSAKVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIVGTSTNFERKRKISPEKKHLMMAISDSEDSYSSSSHGRQSNHNNGRTHSFTPSTPPPTAASFRTAKRRKGIPHRAPMGGLVIEY >cds-PLY89872.1 pep primary_assembly:Lsat_Salinas_v7:3:252284861:252287310:1 gene:gene-LSAT_3X139161 transcript:rna-gnl|WGS:NBSK|LSAT_3X139161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQWYVVASLLTVLTSSQGILTTLSQSNGGYKYDYATIPFLAEIFKLIVSSIFLWRECKKSPPPRMTTEWKSVRLYPIPSIIYLIHNNVQFATLTYVDTSTYQILGNLKIVTTGILFRLFLKRKLSTLQWMAIVLLAVGTTTSQVKGCGGASCESLFSSPIQGYMLGVLSACLSALAGVYTEFLMKKTNDSLYWQNVQLYTFGAIFNMARLFADDFRGGFEKGAWWERLFNGYTITTWLVVLNLGSTGLLVSWLMKYADNIVKVYSTSMAMLLTMVLSVFLFTFKPTLQLLLGIIICIMSLHMYFAPPTTLVDLPLKSTPVEVSVDRRTDTDS >cds-PLY96989.1 pep primary_assembly:Lsat_Salinas_v7:2:32204170:32205558:1 gene:gene-LSAT_0X28540 transcript:rna-gnl|WGS:NBSK|LSAT_0X28540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKKFEDHTSNHPTKAIVYILSGFVILLKGPSSSKTSYGNSQSSKSTERTHTVEINKKTTREIVASLESLEKEIRGFKNKCGTRDGDDLEQFFNDAFEGNEDVVYSPQKSNRVNEDDHVCNPPEYDSRKQIAKQEVVASDIVDAENHIPRLVVKEGHPVRQLKPSQYLSYPYVSVQNAPRYRTGGVIHSEQPPPVFASDPSALLFKPYVNPGCNAPALYM >cds-PLY85817.1 pep primary_assembly:Lsat_Salinas_v7:8:174335853:174340012:1 gene:gene-LSAT_8X114040 transcript:rna-gnl|WGS:NBSK|LSAT_8X114040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein 55 [Source:Projected from Arabidopsis thaliana (AT2G34260) UniProtKB/Swiss-Prot;Acc:O80775] MEINVKNIAFDLDFHPSNQLVAAGLITGNLYVYRYAEDSEPQRVLKVRAHSESCRAVRFINEGRVILTGSPDCSILATDIETGSPVARLENSHEKAVNRLVNLTETTIASGGDEGHIKVWDTRQQTCCNSFKVHEEYITDMTFEPDSMKLLGTSGDGTLSVQTQSEFSEDEPLSIAIMKNGRKVICGTESGTMLLYSWGFFKDCSDRFTGLSPNPVNALLKLDEERVITGTENGLINLVGILPNRIIQPIAEHSEYPVERLAFSHDRKYLGSISHDSILKMWDIDSLLQGTGKKAEGKSAAADSDDDMDMDMDKDDILPKSSKGTKRKNNGAGLDTTSDFFADL >cds-PLY78783.1 pep primary_assembly:Lsat_Salinas_v7:8:59989194:59991341:-1 gene:gene-LSAT_8X43401 transcript:rna-gnl|WGS:NBSK|LSAT_8X43401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENALSTYLVCLELGNHRREMSQCVVFGGLKCIKQVVKTKRFDVAMKHNIQLKKLMNTYVILMRFHSLLFNKKRFKKKNLWWKKKKMPLRIKVYTFSSIES >cds-PLY63248.1 pep primary_assembly:Lsat_Salinas_v7:4:201372034:201375626:-1 gene:gene-LSAT_4X111480 transcript:rna-gnl|WGS:NBSK|LSAT_4X111480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQKARKYSFPDDISSADFEPGFVWGSATSAYQIEGAALEGGRQPSVWDTFCLTKTNAIVNEENGNNAINAYYKTKEDVQLMKKMGLTAYRFSLSWSRILPGGKLRLGINQEGVDYYNNLIDELKRNEIEPFVTLWHWDTPNALEEDYLGFLDKQIINDFADYAEFCFWEFGDRVKHWITLNEPHSYASAGYGYGIHAPGRGGVQVDPELLAGNNLGTRSINVAPRTFDNKGVGDAATEPYTVAHNLLLAHAAAADIYKKGFKDSQGGVIGITLNQQFNEPFNPKIVEDQRAADRAMDFIFGWYMEPLFSGKYPDTMRNLVKDRLPEFIDDEPNLLMNSYDFIGLNYYTARYATDAEPTDVVSYLTDSNVHQTEVGLDGELIGPEGGVDWFFSYPLGIYKSLMQLKDKYGNPLVYITENGYADAMDIKLKIEEARIDNERIVYYNTHLQNVLRAIGDDCNVKGYFAWSMMDNFEWHFGYTVRFGLFYVDYSHGKYTRYPKHSAIWFKKFLNPPAQEEKLGEGDAN >cds-PLY82226.1 pep primary_assembly:Lsat_Salinas_v7:1:69076317:69076811:1 gene:gene-LSAT_1X60861 transcript:rna-gnl|WGS:NBSK|LSAT_1X60861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNFGDSQIPSEIARLKQLRSLDLSYSGFSGQIPNEISQLIQLSSLDLSGNSLKLHSPSLKDLVQNLTGLEELHLSGVDISSSVPHFLTNFSSLKSLKLRDCSLKNKFPAAILELPELKVLDLAFNTDLTGSFPICHNISLLEEVILDFTGFIGIVPESLSRPP >cds-PLY83486.1 pep primary_assembly:Lsat_Salinas_v7:3:234264724:234270715:-1 gene:gene-LSAT_3X131160 transcript:rna-gnl|WGS:NBSK|LSAT_3X131160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGRVPKKSDNTKYYEVLGVPQTATPDELKKAYRKAAIKNHPDKGGDPEKFKELSQAYEVLSDPEKREIYDEYGEDALKEGMGGGGSSSESPFDIFEQFFGGGGGFGGGFGGGGSSRTRKKQGEDVKQVLKVSLEEVYNGITKKLSLSRNILCKKCKGKGSKSGASSRCFGCQGSGMRVTTRQIGPGMIQQMQHVCPECRGSGESISERDRCQQCKGKKVTQEKRVLEVHVEKGMQNGQKIVFEGQADEAPDTVTGDIVFILQLKEHPKFKRKQDDLYVEHNVTLTEALCGFQFVLTHLDGRQLLIKTNPGQLIKPDQYKGINDEGMPQYQRPFMKGKLVIHFNVTFPEAGALTPEKCKILETILPSSTSSSSSSMSVDECEETILHDVNMEEEMRRKEHQRQQEAYDEDDDDEPGMQRVGCNQQ >cds-PLY66253.1 pep primary_assembly:Lsat_Salinas_v7:1:134601547:134605018:1 gene:gene-LSAT_1X98521 transcript:rna-gnl|WGS:NBSK|LSAT_1X98521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEGVMASSDEGGCEEQVKDLFDNEDIDDDSLVDMMCTFEASLSQPKDNYQKSDGFQDAMDAIIQSILHANDDKGVEEVEPDLTKQLDEVEDAMDAILKGTDEKSQSENEGNPEPKFTEGNASDVLPDMVMLDLESVADLLGAGYSMAEIESLRGIKVELDDMPAVEMDVNEVEDIPYVDGVMEGNEDDGLINDGVEGNEGHGEGDDADEVAGEGDGEVDGDGAGEGDGEGNGAGAGEDDATDMEGNDADDEGHVPPRRTRKPSERIILQKLKKPCFDKDGRGSTSSYPVDLE >cds-PLY98963.1 pep primary_assembly:Lsat_Salinas_v7:7:49225195:49229412:1 gene:gene-LSAT_7X35121 transcript:rna-gnl|WGS:NBSK|LSAT_7X35121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive protein 4 (ORG4) [Source:Projected from Arabidopsis thaliana (AT2G06010) UniProtKB/TrEMBL;Acc:Q8VY85] MSSDRHAASNSASPQDSNLFLDISNEAPLSGQRKRTGIMGSIFYCLLLASYAALAVAAPWIFRSKQKLLSQWLCTSTIILLVVTGIFQQYMVYQVKKIRLQGYYIFSQKLKHIIRLPFATMSYGTCAMLLVMVWEPHISILPISMLLRIIMVAEAIFAASFMSIYIGNVHQYNSLDTQPDVLKSLYSPLQQSSSLEGLSYYDGGRLSDQQMALLQYQQENLNFLSEEILRLQECLLKYERSSDGVTPQVDLAYLLAARDQELRTLSSEMNQMQSELKLARSLIAERDSEIQRVHITNNQYVEENERLRGILGEWSSRAAKILKMDAGSNGEEPKSWDELYSIDLMPSELFLKFREELQGFRLGLNLEFYNAPMNECQAKLVVKPLSNERRWKFIYEPLHHDIRLVSKKIPLTKFLNLQVGIGHSFQLHATGWKWKLTTCLGGDGISRIRNKTSLGLFPGVDLKFGWRADYVLPEFTGQGVGTGEPLINMNSGRLEASLDRIETILTHRSSGDEV >cds-PLY83415.1 pep primary_assembly:Lsat_Salinas_v7:5:330922436:330923900:1 gene:gene-LSAT_5X185180 transcript:rna-gnl|WGS:NBSK|LSAT_5X185180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAGKTTAAAAAAANKDKDKKRPTSRSSRAGLQFPVGRIHRHLKTRTSANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKE >cds-PLY85513.1 pep primary_assembly:Lsat_Salinas_v7:2:195613673:195615891:1 gene:gene-LSAT_2X117740 transcript:rna-gnl|WGS:NBSK|LSAT_2X117740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGGIDMTLDDIIKNNKKSGKADTDTRSRGGGRGGGGGRCLGSEFGPGPTRRVDNRGTPRMSPYFAPQAFRVQETLVRGHSNSESGTKLYISNLDEGVTNEDIKVLFSEVGELKRYSVHYDRSGISKGTAEVVYMRESDAVAAMKRYNNVQLDGKPMRLELVGLNIVTRVPMPSVQKVILGNPTNPSPSFGGRIAGRGQRRGGFGGGGRSGSGRSGGSPKIVSAEELDADLERYRMEAMRIK >cds-PLY82398.1 pep primary_assembly:Lsat_Salinas_v7:2:183834799:183836881:-1 gene:gene-LSAT_2X106281 transcript:rna-gnl|WGS:NBSK|LSAT_2X106281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKTAMARAAGALRSPLQGITSTPFRSHYSSSSKSTSSAVVNSMILRSLKEHYTEVSKMAPPPKVSPPSPFTVVKGALDSGGPVLKRMYGDEEISISVMRMVNILPGLDPAEIDGDDEINQLFLHADISKPGQDFVLHFLCGLYPDALGIHSVSLRHKNETSGLLEVPSKYNGPDFEGLDDRMRDAFHGYIEERGINDALFPFLQAWLYVKDHRSLMHWFKSVGTCVKKTN >cds-PLY94173.1 pep primary_assembly:Lsat_Salinas_v7:5:33650999:33652388:-1 gene:gene-LSAT_5X14700 transcript:rna-gnl|WGS:NBSK|LSAT_5X14700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLALLRSIRMRLIGPLQIRSFQPDFIPADPKSKPKRYKLPASYDPYGPRPPPSDKIIQLAEKIAALPPEERMQIGPTLRERLRHPKMQSISVEGVDLGARGGAGGGAGKVEEKVEKTAFDIKLEKFDAASKIKVIKEVRAFTSLGLKEAKDMVEKVPVVIKQGVTKEEANEIIEKIKAVGGVAVME >cds-PLY70919.1 pep primary_assembly:Lsat_Salinas_v7:9:16083599:16086527:1 gene:gene-LSAT_9X17540 transcript:rna-gnl|WGS:NBSK|LSAT_9X17540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLSFQSLLTAHEDPSYKDIQVGVILDMDSWVGKVVYSCITMAVSDFYRINPHYTTRITFTTRDTKGEPLHALSAALDLLENSKVQAIIGPESTAEARSLEVLELGDKANLPILSFSTTPFFNQNPNLLRIAQDETTQFKCIASMVESFKAKNVVVICEDTANGREMANYMVSAFHEKNIHVAYTSLISTSANHEEIMEELLKLQTMQAVVYVMHTAPSLASNLFSRAKELGMMGEGYMWIVTSKTTNHLDSMDAEAIESMQGAVGFRSYFPASRELHEFVSKWRKEHYSLNPFMEFKEIDPNGIWAYDAVYALAMAVENIQTTNGMSLIRTSLLDEMLRVKFHGLGGEFNLMNGRSISKAMEVVNVIGKGGRKVGFWMMANGGEFVKEIGKPNSSSNHDLESIMLPGGTSTFAKRRVLQTNSKKLRILVPDFSTFPNLVQLNIDPRTNDSGVSGFCWDVFNAAFNALDYGVGIDIIPYPYKDGRSYNDLIDKISFKEYDAAVGDISITSNRSLYVDFTLPFTDLGIGTIAHNPKKNMWIFLDPLSADLWITSACFFLFMGFVIWFIEHRTNKEFQGSTKQQIGITLWFAFSTLVYAHREKLQSNLSRFVVTVWVFVVLVLTSSYTATLSSLLTVQQIAMKETSVGFLGLSSVGVVFNNLNFGGTKIEKLYTPEAYAKALTSGSVDAIVDEILYIKSVLSMYPDSDFSLISTASTTNGFGFAFQKGSPLAREMSTEIAKMREHGTLKALENKWLKRQSTVISKDFSSPSPKILNIYGFRGLFLISGVTMAFALLVSMVLIIRENLHVKIKMQIWRCILTRSSELYAQDSDAELTV >cds-PLY97761.1 pep primary_assembly:Lsat_Salinas_v7:4:375385147:375388540:1 gene:gene-LSAT_4X185241 transcript:rna-gnl|WGS:NBSK|LSAT_4X185241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHICRDYWTTLAPNVEDYSTKAAKLIVAGSGQLVKGILWCGDVTVYRLIKGNEILKLKIGPASNKAVNPELLRAIHSYLYAKCFASTLWEIVCKEDPLSLEIGTVIRTKLLQHGDLGPVYGFQWRHFGAKYTNMHADYSGQGFDQLLDVIDKIKNNPDDRRILLSAWNPSDLKQMALPPCHMFAQYLASLQADREKELLEQLAKEAAMEEERRKEEESHIKLEEEQEIDKLQLVFYIYNAEMLANLGVPWVILGHSERRALLNETNEFVGDKVAYALSQGLKVIACVGETLEQREAGTTMEVVAAQTKAIAGNYFKTKLASTVCQKSKILFYL >cds-PLY88087.1 pep primary_assembly:Lsat_Salinas_v7:6:181190073:181190468:1 gene:gene-LSAT_6X109500 transcript:rna-gnl|WGS:NBSK|LSAT_6X109500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEDADTIVDVHYNGAFTPTLLMYFNGVNASVPYTVVNKMKFPDFIPFLEKRTKGRCRDVYYCLHEVRLSEGLHVIQNDCDFNDFLENINEKKRLDVYVDHHHEPLFDLIQEEEVDLEDDNLVSVDDVDSI >cds-PLY73243.1 pep primary_assembly:Lsat_Salinas_v7:8:45126189:45128947:1 gene:gene-LSAT_8X34420 transcript:rna-gnl|WGS:NBSK|LSAT_8X34420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHHHHHLSIDDDDDHRLEPQSRNLQDILSAESTGTVSISGAANLEYANYAIPTATATATYPNNDPYGGILRTNNRLPLHCPSYYFGLYRGDQTSHFAPEDLEVLRPPDLPSLLLDARICFLGMPIRNSNIVPELTELLVAQFMWLDYDNPPKPIYLYINSSGKQVIFSFVLFLLRMTKMETVGSETEAYAIADIMAITNTNFFQTTEDLEFNWVIEGDGCKLDSGTLSLPTLEFNWVIEGDFGS >cds-PLY78977.1 pep primary_assembly:Lsat_Salinas_v7:5:35875231:35875668:1 gene:gene-LSAT_5X16081 transcript:rna-gnl|WGS:NBSK|LSAT_5X16081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRQWSTGKLFLILDAVHWNPEAPPRTLSCVRLRCGKAPPCCASSQLRCVSLVILIKPLTWCLVSQLVDGSFSPQINEEGRSHLILPKNRKRSAMPGRGDGKRNGSEERNLGSQCFSTLNEMRQPRDALLLTPQGSETELNLSLG >cds-PLY86219.1 pep primary_assembly:Lsat_Salinas_v7:8:224732400:224734777:1 gene:gene-LSAT_8X137641 transcript:rna-gnl|WGS:NBSK|LSAT_8X137641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPPKVPQNWSENYHHHQKLPSTEHLPPPTTAAATTQVDPSWVDDFLDFSSTKRGSHRRSVSDSAAFLEIPMMGEDNCRRSSAPGSRSGNGGGTATEFDRFDEEQFMSMFIDDENGTGPTVSCSNPSSPSDHNSMNDQQQIEEEESSWKSDTQFNPHAGTIMDNSNDKIFDPKRIKRILANRQSAQRSRVRKLQYISELERSVNSLQAEVSVLSPRVAYLDHQRLVLNVDNSVLKQRIAALSQDKIFKDAHQEALKGEIERLKQMYYQQNMNKKMENGSNATHHHDSPISDHANAQEQLMIN >cds-PLY65028.1 pep primary_assembly:Lsat_Salinas_v7:1:118815312:118823229:-1 gene:gene-LSAT_1X92640 transcript:rna-gnl|WGS:NBSK|LSAT_1X92640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKTDYLPLSRAIMRGDWEEAREIFNRDKDALTAKLNVKGQSALHIAVDACKHIQFVENLLKEINPESLLTLVSYNKINALHRAAMVDNTMAAKMLVEKNPYLLFSLDNMNTLPIHRAIIGSHETTFQYMLDACMHHIALSQEDGYHNPFEGRHGVRLLINVINVGLLDVAYDLIKKYPAMVTENVGPYIPLLSIASKADLYFSGTQYNFYQKFVYALTHVKHLHEDKVKHNKAVMLLKCICKEVGKIDKGIDICQIYGEAFNLAVQNDTPEAVEEIMESFPQAIWTRNSDGYLATQAVIKDRCLKRFAGK >cds-PLY66407.1 pep primary_assembly:Lsat_Salinas_v7:9:38334198:38338002:1 gene:gene-LSAT_9X33941 transcript:rna-gnl|WGS:NBSK|LSAT_9X33941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHITQLPPDILNVILVLVAMSSDGAGDLARAALSCDALMYHAKQSVVLKAVNFQRLTSNTEDFRKHRNRKGLLCLCARAGNQSAQAMMGKALLVQDPWFWGMIFGDSLLVHYWNVAPSEVLHHIDLVRAFILHASSLDVAAMCQQLVNYVITYAGYKAARESGVVCAIYDMCAYKVSTVRAGRLRNNQFTISSVASVWVRSEPPRDKELNRDTVITFFDELFSSVRG >cds-PLY62317.1 pep primary_assembly:Lsat_Salinas_v7:3:210284642:210286575:-1 gene:gene-LSAT_3X123820 transcript:rna-gnl|WGS:NBSK|LSAT_3X123820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSRQPSPESAINITSSATSKLPNFLLSVKLKYVKLGYHYMISHAMYLLLTPLVVVISIHLSTLTSQDLINLWNQLRFNLVTVVICSALMVFLATLYFMSRPKKVYLVDFSCYKPDDERMVTREIFMDRSTQIGTFTEENLAFQKKILERSGLGQKTYFPEAVLNLPPNPCMSEARKEAEMVMYGAIDDLLAKTGVKAKDIGILIVNCSLFCPTPSLTSMVVNHYKLRGNIMSYNLGGMGCSAGLISIDLAKQLLQVNPNSYALVVSMENITLNWYFGNNRSMLVSNCLFRMGGAAVLLSNRTSDRRRSKYQLIHTVRTHKGADDKCYNCVFQEEDENKKIGVALSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVARKVFQMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLDLSDWHMEPSRMTLNRFGNTSSSSLWYELAYSEAKGRIRKGDRSWQIAFGSGFKCNSAVWKALKTIDPAKEKNPWTDEIDDFPVHVPKIERIGS >cds-PLY92745.1 pep primary_assembly:Lsat_Salinas_v7:8:66708863:66710104:-1 gene:gene-LSAT_8X47061 transcript:rna-gnl|WGS:NBSK|LSAT_8X47061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIALNYKSTMQDDLVDAIFNPSEDLIGLQDVAYLNIPLRKLVMETNNFSKEYVIAKGGFGLVYKAQSEHGTIAVKMLDPRFGQGEREFMMEIAMLSAYTHKNLVSLVGFCNEGDKKILVYKYESNGSLDQHLHRKDLTWIQRLRICLDVAQGLKYLHDDIGTQHRILHRDMKSANILLDENFRAKISDFGLSKIALANVPCTILISEVCGTPGYCDPEYYKHGILTQKSDVYSFGVVMFEVLCGKLVGVSKHLDEPFSAELPQRHYEKGTLDKIIDSNLRNQMSSASLNIFSAIAHQCLKCRGRDRPRMNVVVKELEKALVYQQASSGFCVGSCPNSRSACLVAV >cds-PLY90855.1 pep primary_assembly:Lsat_Salinas_v7:9:162829638:162831355:-1 gene:gene-LSAT_9X101000 transcript:rna-gnl|WGS:NBSK|LSAT_9X101000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPFSSPLLQQWNGTYLHYHKVDPKQAYYLSMDFLQGRALTNAIGNLDNQDAYSSALNKLGHELEEITEQKEMAAMRAAVGRSVTPVIEKAVTTPISEAFEEALKSSMEASVLPAYEMSCKTMFDQIDSTFQKGMVEFIHSPLAFALRDTINFDMRILDHNKSVVCMENFCVVSGHMVNGVAQFHSDILKAELFADYVSIRPNKFQNKTNGITPRRWLKSCSPKLSQIITKWLKTDKWVTNLDLLMCLWEEMSHEERKATTSRTIMIGGKAFATYTNTKRIVNLMDDVGVVVNTNPEVNEY >cds-PLY92114.1 pep primary_assembly:Lsat_Salinas_v7:9:192648264:192651213:1 gene:gene-LSAT_9X116241 transcript:rna-gnl|WGS:NBSK|LSAT_9X116241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKTEPTFVPEWLKNNGSLSTSSSLHSDDQRVSKSLRNNDLGRTSVSDRTTSSYFRRSYSNFGRNHRDRDWDKDYSDPLANILPSRFEKDGLRRSHSSLSAKKSESWPRKFVGERNGHNNGKVLFERDFPYLGVEEKQADSEIGRVPSPGLTTAIQSLPVGNVVVIGGDGWTSALAEVPVMVQPTPVVATSRNMAETLAQGPPRAHTAPQLSVGTQRLEELAVKQSRQLIPVTPSMPKTLAMNSSEKSKLKVGQGQPSPRPLSAKSDVSKVSSTVGKLHVLKPSRERNGTISKETLSPTSGGKLPNSPLAVPSAVTSNIPLRNTGSSVNLEKRPSPQAQSRNDFFNLVRKKSMATNSATESSQTQTESDSTDGAPKGGAGDTVDRSTSNGKSNLGNDVILYSEEEEARFLKSLGWEETTEEGGLTEEEINSFYRDVSKVSKKLFIFLFLT >cds-PLY74597.1 pep primary_assembly:Lsat_Salinas_v7:7:36842948:36846751:-1 gene:gene-LSAT_7X27241 transcript:rna-gnl|WGS:NBSK|LSAT_7X27241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-mannosidase 3 [Source:Projected from Arabidopsis thaliana (AT1G30000) TAIR;Acc:AT1G30000] MSSKTLPYSIKDIQYDNAKFRRRSLFQVISQTFLTNKMKRECMSCSTGKFLAVLMIFGLTYLVITHASPIHSVTSGVARAIGSKQGDNSIMDGGGIGVKRFWRKPPRLPPHLSPDEMNISNKSTHNPAKSNLDSEWVAKQESVKKAFIHAWSGYKKYAMGYDELMPLSQKGIDGLGGLGATVVDALDTAMIMRLDDVASEAGSWIEKNLPERICNKGQVNLFETTIRVVGGLLSAYHLSEMNPNSTKNGPKPSVYLENAKNLADVLLTAFTSSPTAIPFSDVVLQDHKAHPAPDGLSSTSEASTLQLEFNYLSFLTGDPKYSVESMKVLEHMKTLPKVEGLVPIYISPSSGEFSGNNIRLGSRGDSYYEYLIKVWLQQRQNNWSYLHEMYEEAMKGVKHLLVKKSKPNGLVFVGELPGGVEGGFSPKMDHLVCFLPGTLALGATKGITKAKAMEENLLTFEDLENLKLAEDLAKTCYEMYSVTSTGLAPEIAYFNSEGYSEENLDGGNKSSEYIHDIVIKHADRHNLLRPETVESLFVLYRITEDSKYREWGWSIFEAFEKYTKVESGGYTSLDDVTMLPPRRRDKMETFFLGETLKYLYLLFGESNVIPLTQFVFNTEAHPIPIITTTTKKE >cds-PLY79386.1 pep primary_assembly:Lsat_Salinas_v7:2:46825213:46827153:-1 gene:gene-LSAT_2X21280 transcript:rna-gnl|WGS:NBSK|LSAT_2X21280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCYRGKYADELIANATYIGTPGKGILAADESTGTIGKRLSSINVENNESNRRALRELLFCTPGALQYLSGVILFEETLYQKTAAGKPFVDVMKEANVLPGIKVDKGTVELAGTDGETTTQGLDGLAQRCAQYYAAGARFAKWRAVLKIGPNEPSQLAINENANGLARYAIICQENGLVPIVEPEILVDGSHDINKCADVTERVLAACYKALNDHHVLLEGTLLKPNMVTPGSDAKKVAPEVVAEYTVRALQRTMPPAVPAVVFLSGGQSEEQATVHLNAMNQFKGKKPWTLTFSFGRALQQSTLKAWGGKEENVKKAQEAFLARCKGNSEATLGKYQGSAAFEGASESLHVKDYKY >cds-PLY88886.1 pep primary_assembly:Lsat_Salinas_v7:4:254068087:254069917:1 gene:gene-LSAT_4X135761 transcript:rna-gnl|WGS:NBSK|LSAT_4X135761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNLMRELGLWVVAASLLWLTAKGYSQVDRQVPCFFIFGDSLVDNGNNNRLVTLARANYRPYGIDFPLGASGRFTNGRTFVDALAQLLGFRTNIPPYARTRGRAILDGLNFASGAAGIREETGNNLGDHISMNQQVRNFGSTVQQMGRYFRGDVNAVGSYLGKCIFYSGMGSNDYLNNYFMHDFYNTGSQFTAQAYADALIHDYSVQLSALYNLGARKVIVTAVGQVGCIPYQLARYNGTNSRCNEDINNAILLFNSGLRKLVDRFNKGELQGAKFVFLDSYQATKDLILNAQSYGFDVVDKGCCGVGRNNGQVTCLPLQQTCRDRSKYIFWDAFHPTEAANIVTAKLSYSSKSCTYPINIQQLASL >cds-PLY91276.1 pep primary_assembly:Lsat_Salinas_v7:3:77724903:77725568:-1 gene:gene-LSAT_3X58241 transcript:rna-gnl|WGS:NBSK|LSAT_3X58241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLHLWGQCNFRIITDGFGKTIAPFEDIPHRVDLSHAKIGLLTSRRTRINNEIHTAFEGKVYKLGIIEFDEDWFPFRFDPPEDGKEKLVVDDQISAKNDEREEGEIWPETNELGGQEPEKTRVPASEPNRMEMEDEEPHCETTILTKTSREAAEATPRNMEQLKPNGMMNQPSHVNEACQMDPSTPKSLHGGPSNGLPPLSCFGPFHLQLHAMKPTYSK >cds-PLY74971.1 pep primary_assembly:Lsat_Salinas_v7:3:154141756:154143323:1 gene:gene-LSAT_3X97880 transcript:rna-gnl|WGS:NBSK|LSAT_3X97880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHVLVIPYPAQGHVIPTMELAQRLVEEGLKVTFVNTEAIHKVVTSNLVQNDGSKDLMDMVSIPDGLEPWEDRNDQIKLAKSIVDSMPSKLEELVEMINKKDSNKLTCIIADDSMGWALRVAKKIGMKRAAFWPAAATTLATMMCSQKLIDDKVINKDGKPLNDDMIHLSDSMPPIKPSNLPWMSAGDVTTIELSFQFAIGVAEGAMLAEKVICNSSLELEPATFNQFPQLLPIGPLLASNRLANQTGHFWQEDSTCLKWLDQQPACSVIYIAFGSITILNQKQFEELALGLELSCKSFLWVVRTGMVKETTTSFPYGYVERIDSRGKIVSWAPQQKVLAHPSVACFMTHCGWNSSLEGVINGLPFLCWPYFTDQILNETIICEIWKTGLGFRKDEEGVISKEEIKSKVEQLFGDESFKDKALQIKHKVRSSVNPGGLSHQNLCNFIEWIQENHAYANEETASV >cds-PLY65126.1 pep primary_assembly:Lsat_Salinas_v7:1:157595053:157595325:1 gene:gene-LSAT_1X110280 transcript:rna-gnl|WGS:NBSK|LSAT_1X110280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRSMATLIEAMDQARKIKKDIEVHDGTSSRGGDKIKWDGLSESSKNKKDHQDKKDDRSSFCMRCHSSHKGSVTASSLSCRCCGKLVHKQ >cds-PLY69954.1 pep primary_assembly:Lsat_Salinas_v7:4:68875588:68884202:-1 gene:gene-LSAT_4X47500 transcript:rna-gnl|WGS:NBSK|LSAT_4X47500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRDQLSLFLRILIFVGLLHSQASCKPQVPCYFIFGDSLVDSGNNNGLKTAVKANFPPYGIDFPESVTGRFTNGRTVADIIGQYLGFVNFIPPYATVTDQVISTGVNYGSGAAGIREESGNFVGDRISLDRQLLNHVTTISRIYALQRNKTFTNEYLMKCIYLADIGSNDYVSNYFIPSIYNTSNIYTVEEYAVVLAEQYYEQLTKLYNLGARKIIVFGLGQLGCMPGEIALHGTNGKPCVEWINDAVKLFNDQLKHIVEKLNKEHNCDAKFTFINITSMSAPQEDIPNPNVPCCQVTNGQCVPNSIPCPDRDLYMYYDSFHPTEVANTIIAKRSYISLSTMDASPYDISHLARL >cds-PLY65130.1 pep primary_assembly:Lsat_Salinas_v7:2:75786022:75788190:-1 gene:gene-LSAT_2X33180 transcript:rna-gnl|WGS:NBSK|LSAT_2X33180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWSSAPSARQINYGLPEMGMLGLRDVFVVAPSSHHNLSDHHSINSSVANANAATALGVGVIPLLSTTPCLNVEEGMLNNRGSGRNSNANNNSNYSIQFWQQHPQTQPHNYLNKPMISDHGFLQGSVGGGGSTSTSGSTMTCQDCGNQAKKDCTHRRCRTCCKSRGYDCATHVKSTWVPAARRRERQLMTSTGAATVSSASTSGPKKPRLTSHTTTNSHTSTSNTTPPRSFETSSSHHQDASFKESLPGQVRAPAVFKCVRVTAVEDGDDEYAYQASATIGGHVFKGFLYDQGVETRDHSNIPNFSELHLGGGGGGGGSGGRNVDGSSSSPLLDPSEVYGSSGGGLLAGSTYGNPIN >cds-PLY96269.1 pep primary_assembly:Lsat_Salinas_v7:6:157211277:157234066:1 gene:gene-LSAT_6X96300 transcript:rna-gnl|WGS:NBSK|LSAT_6X96300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamete expressed 2 [Source:Projected from Arabidopsis thaliana (AT5G49150) UniProtKB/TrEMBL;Acc:W0TY53] MAAQWLFCNALIALLILFIAPHLSKSDDRSTPSPGFAYSWMDDDDEFYPGDIATIEVKILGNYNSEEYKYPFDPNITVNGSMGNSSYVTDVHSDFGNDTNNWRILFKPIMVGVFYMVIDDEHFRVHDNSLHFHVSPAKSYIPPHFMFRWLNSKSEFKAGDNATIQVIVSENLNTKTYKYPFNPNITVSDYNGKNEKMGNSSYITGVSYTLGPDTNKWELHFMPIMVGMFHVLITQENLNVFDASMSFSVTPAFIYKPAGTVSWRGEGNHFVAGTTAKIMVLPKDAFGNNATRKSEGSNVIFDIYATTEEGEDATVLDVSQNGWNTFGGLSTNFIAATSGNLLLHVKDKNQHLIGSPLTFMVDPGPLDVGNCVPHWGIESKSFQIFSIMETFITQRDKYGNLIPGFYPFDFDVIEKGTNLSLPIGDLKYQEVVPGVQSLSFKLLQHGEFSLIITDKDKKKQILNMPYEFSIYIGYCDGMKSIVNGSGLHNSVAGEVSKFSIFLRDAYQYPSPIEVHRLRVEITLPSLSLHVDPQIYPMDPDNGTQSTGMFNFGALEVPSIYLHNNKSDEDWKTWNSNFEVVYIPEKSGVYEIRIYCGNIPLNEGKPFTKFVSAGKVNASVSRVVKYKARVSKAVLHSVDLQLMDSFSNPVLLQESELSKLTLEPDSINKPFFMVVLFVDNRDGTYTGFYMPMNLGTYKICASFDGMSISPCPFEVTTYNREDFPIAYGLDVSVWEDESIVFNALVNDYFVGGKAKVVEYERPGHGSLLQYGDLFIYTPFKRFYGNDSFPYSMSDANGNNASSHVNISVRCIPPQFVSFPTQLQADEDTFSPKFGGYSGFEITYSDSTENISIMFTAQHGSVFLSPLQMQLWDPMWKEISVTKREGTSKELIIRGRLEVINFAIKSLKYIGEGNFSGEDTVKVTTMNKHGKYDWDIPVIVNPRNDPPFINVPEFILLENVTKDEGFLIFDRQRDNFNFSIGDPDHLHFTGNKSHFRVMFSVEVSSGYFSANLPAELTSTTELKLKNSNSNQWQPLLTFIEISRRFSLKAKAIRFRGTIEDCNTLLQQILYYGDEDGGVLTVSVNDMGWYGCYPEDCQEMMSVPLISEASINLIRKMPVDPVVAHSLISAIVIESTILSSLTTILIFYTSKCVFALLHKKFKDQPQPQSQNFQLHKLQSSHEHMSSTKDSSENITGQPSSLSNPLNEESKIGLSVDSHTSFPSGQINGTLSVNSSSLVKILH >cds-PLY85184.1 pep primary_assembly:Lsat_Salinas_v7:9:146718437:146718771:1 gene:gene-LSAT_9X94280 transcript:rna-gnl|WGS:NBSK|LSAT_9X94280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKPESGSSPEKMAAKQHKNPISLLFVVLDGELKPRNRSERSGEWWSSGMLQPTSRGVGGPNEAGGGRTPFYDNLKPRISIIDSVHGR >cds-PLY96112.1 pep primary_assembly:Lsat_Salinas_v7:3:99325617:99326189:-1 gene:gene-LSAT_3X74200 transcript:rna-gnl|WGS:NBSK|LSAT_3X74200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVIGPIETENFPNAKFKVASGSARQACEFTLADDWMVIFNILLREKEEYEPVFAHLQIMIKSYIQEVGSMDVEIVAVLRQKPSVVPKEALKDFQKLKPGMIYQEGWFVVYTARDRPSADRRKLYCHLEDKLLFATSCLEFILELVAKFKGNNKDDVKCFTDVITWYIHVCKLLLSFIPKVCEVQKRILN >cds-PLY98285.1 pep primary_assembly:Lsat_Salinas_v7:7:173849320:173849640:1 gene:gene-LSAT_7X103041 transcript:rna-gnl|WGS:NBSK|LSAT_7X103041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGGGGGSGWAEGGGGRGCWATGGGGGGGWDAGGGGGGGWTTGGGGGGCWATGGGGGGGWAAGGSGGGGGGCWAIGGGGGGGWVAGGGGGGGGCWDTGGGGGGG >cds-PLY69640.1 pep primary_assembly:Lsat_Salinas_v7:5:111840317:111840651:-1 gene:gene-LSAT_5X48940 transcript:rna-gnl|WGS:NBSK|LSAT_5X48940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHNSDSEIDIDKLADIDASKESWNIRVQVVMIWKQTYNNNPNMVNSLDMILMDQEDTRIYATIKKKYHKCISSPPGRRSCKANH >cds-PLY81154.1 pep primary_assembly:Lsat_Salinas_v7:9:67035708:67035989:1 gene:gene-LSAT_9X57961 transcript:rna-gnl|WGS:NBSK|LSAT_9X57961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDLDRWADPARVRKTTIITKINRKTDPSKLNSNRNLQTNSLPSLSLEYYPFLLCCLYRKMSSGSRVLDRSIFQICYGERLSDSVMVGFFRRR >cds-PLY77941.1 pep primary_assembly:Lsat_Salinas_v7:1:24152868:24156932:1 gene:gene-LSAT_1X20800 transcript:rna-gnl|WGS:NBSK|LSAT_1X20800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEPKGDCPKINEQGSKQPATVTTSQVSDGERLPLAVKELGTCDLYPQVLFFSDDEETNSTPKAYALFIPRENPRAEKLKNASSPAQTNGDYTGFASSPPLNGRSTENGNRNHSENGTLKEQTPIKTPQKQNGGCDGGEMEDGFEFIVKNKGINTEVAYPYQATDGTCNTKEEAVHAATITGYEKVPANSESALLQAVANQPVSVAIDASGMGFQFYSGGVFTGDFGTDLDHGVTSLLNQMELYVVVYILAILGLDSLQGIMLDQGSCIDTCCTFCRKFFKLSPEESSKDPLYLLRDMDAMGYKRGHFVLIAKIIISALGYLMLGTFIYVLIVDGSTFNANVLSRCMIATTTDFYFCIVIVAVWIAYKESRWISAFFWILSLLSFWRESWYEKLQRWDDALKAYTAKSAQATSQRLILDATLGNSYCSVFIPNPKFFGWRKGSQELSEQGFNISLKEV >cds-PLY68720.1 pep primary_assembly:Lsat_Salinas_v7:5:279400192:279402207:-1 gene:gene-LSAT_5X147421 transcript:rna-gnl|WGS:NBSK|LSAT_5X147421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGSNTYLSHTGGGCNNVYFGDESTDPYVRPPPSSYPFPSISTIPVHRNPSHFTTSGARFEIPKVKIVGGGDLRQRRNHSRIVKMEDKSKQKVKRTMGPKCLYNPTSRTNKNTNQVKKKNIQQIENGMFSSFGDYCFDDRNSIPYPNQQGMRVYSSLWNADNWATRGGLVKIDRTSAPFVANYRRFRARA >cds-PLY75499.1 pep primary_assembly:Lsat_Salinas_v7:9:33720876:33721863:1 gene:gene-LSAT_9X29700 transcript:rna-gnl|WGS:NBSK|LSAT_9X29700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSPVNHVFFTTIFILIAIATVCRSDDPDCVYTVYVRTGSVWKGGTDSKITLTLYDAAGYGIRINDIEAWGGLMGSDYDYFERSNLDIFSGRGPCLTGPPCEMNLTSDGTGDHHGWYCNYVEVTTTGAHTPCAQQEFTVEQWLATDTSPYELTAIRNYCEYSSTRSRVGGGHVILESNSSSVLRMRK >cds-PLY78089.1 pep primary_assembly:Lsat_Salinas_v7:1:6431048:6432926:1 gene:gene-LSAT_1X5440 transcript:rna-gnl|WGS:NBSK|LSAT_1X5440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADTQIRFGILGCAEIARKVSRAISLAPNAALYAIGSRSLEKATKFAASNGFPESAKIYGSYDAVLDDPNVDAVYVPLPTSLHIKWAVLAAEKKKHILLEKPVALNVGEFDKILEACESNGVQLMDSTMWMHNPRTAKMREFLSDPDKFGELRSMHVVFTFAADPDFLENDIRVKPDLDALGALGDAGWYCIRAILWANNFHLPKTVTAFPGSIFNKAGVIISCGAALQWEDGKTATFHCSFLANLTMSVTASGTKGSLHLDDFVIPFQEKEGSYSTSTEAGFTELVTGWVPLPSQHTVMTDHPQEACMVTEFSRLVKSVKSGGSVAEKKWPTLSRLTQLVLDAVKISVEKGGESVSVS >cds-PLY82384.1 pep primary_assembly:Lsat_Salinas_v7:4:337321809:337324751:-1 gene:gene-LSAT_4X166060 transcript:rna-gnl|WGS:NBSK|LSAT_4X166060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVAEEDSFPVGYSDPPLAKDYLGSPNSEEDYSIFDQGLPLPFCRSFLPKQDCIMILEDEIGEKWEVKYIANKNGLSAGWKIFVVAHDLIEGDVLVFHLIETYKLKIYIIKASEPNEVDGAIGLLNLEPQENETEFPPDTPPPKSKKHKHVEVLDPLPINMVKKNKKKSTLVKPIEVLEHSGTNSEEIGSEVLEPFTSIEDLKSFDHFRIKVNGQCIDSELPEEVKLGYYKLCIHKKQILHDCIRENIYDKLVVGMIGETVTIAKEIKNCKLTISKEELEAWDNSLKSFEILGMKVGFLREKIRTLVGLVFESEFGVDVKRYVEAKKEEKGIQDEIIRVKGKLLELKIKARDFEGELAGLKDKAEKYMMEFRDEVDVPW >cds-PLY86915.1 pep primary_assembly:Lsat_Salinas_v7:5:265845569:265846457:-1 gene:gene-LSAT_5X137940 transcript:rna-gnl|WGS:NBSK|LSAT_5X137940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANIASSSSLFLIHHASAPIKKTSKRVLMLAKSDSSPSSSSSSSSLIRVGSSIKIQKVFEDKSRGIVCYLDDKGEITCEGYDEGPRHQGVPTFSCYQRGEQHIVDLLNRSLLRVVDGGKVN >cds-PLY76898.1 pep primary_assembly:Lsat_Salinas_v7:6:29900872:29903302:1 gene:gene-LSAT_6X22941 transcript:rna-gnl|WGS:NBSK|LSAT_6X22941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLSDIMAQNNNNNSNASDDVKPTILHDFLGRGCAPVTKIAAASDVASASSPSASVSGGGGGGRGGGPVSTTSDLGSERQAGLHLEGVPFYGQKTDFSTSQIRYSGTKRSNSDSIFMAPSREAIPQLRPDFPETSHLMKMLRNVGGERHRWPHEDESFMGMHQMRPTSSSSSLMLQQQQQQPGKPDHANSSKWERAIPVNVGPVLQYPPPAAAQVVQYKYQPVQNRFKDANSNMNVNVNVGPSLISQSAADEGSRTGIKGSGILSSSGNGGGGGGVAEPGGSKQKSVIGNTDSGSTPTRQMTIFYGGQAHVFDDVHPNKADVIMALAGSNGGSWSTSFLPNPPVKPSGGQVMKDGEQKSEEKQ >cds-PLY80278.1 pep primary_assembly:Lsat_Salinas_v7:3:215500848:215502020:-1 gene:gene-LSAT_3X124620 transcript:rna-gnl|WGS:NBSK|LSAT_3X124620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQLVMWDELITDSYLHFASRSSLFCISFFQRCISIFTIEAATKYLLSKLSDLQFFVGESIHDDSNIVFSYCEEGATDPTFLYFGVGLKC >cds-PLY83760.1 pep primary_assembly:Lsat_Salinas_v7:4:41937635:41941550:1 gene:gene-LSAT_4X29701 transcript:rna-gnl|WGS:NBSK|LSAT_4X29701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGESGKRQRNKRERERDRDRGREKDGGNGDHKNQRRRGNERDDRSNNNNRGNDELVAYRILCPGRFIGSVIGKSGKVINSIRQDTRAKVKVVDPFPGTKNRVITIYCYIKEKEEIEPDEELGDRDPLCPAQDALIKVHNAIANVAETAGDSDKKWKDKEECQLLVPASQSANIIGKAGATIKKLRSRTRANIKVTPKDISDPNHSCAMDFDNFVVITGEPEAVKKALFAVSSIMYKFTPKEEIPLDTSVHEAPPNIIIPSDVPIYPASGLYPGVDPYVPSRPLPSVLGGSHVPELQGYADSGNTWPIYSSALPVVSGYGGASASGELLVRVLCPFNKIGRVIGRGGAAIRSVREASGARVDVDDTRRDECIITVAATESLDDIKSMAVEAVLLLQGKISEEEEENVSFRLLVPSKVIGCIIGKSGSIINEIRKRTRADVRISKGDKPKCADPNDELVEVIGEVGSVRDALVQIVLRLRDDVLKDRDSGGHNQSSGNDSMYAGGSGLPVPPVLPSVPPSLGYEHSHRGEPGSSMGMGMGMLPSGYGYGSLPMSDNGYGSLSSSYPSKFYGGLPPPDGSEMLIPAHAVGKVMGKGGANVDNIRKISGAVVEISDSKSSRGDRVAIISGTPEQKRSAENMIQAFIMAT >cds-PLY64592.1 pep primary_assembly:Lsat_Salinas_v7:6:35554290:35556004:1 gene:gene-LSAT_6X28240 transcript:rna-gnl|WGS:NBSK|LSAT_6X28240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRGQRKPSKTDTDVYDQHTPTGNHSSASTTAADGDTPPPATSGALEPLPMFREVPVSERQKLFLKKCHVCRFQFDFTDTTKMAIEKEIKRLNLLELVDYVQTDSGKITESNQEEMIRMISVNIFRCLPPGPHEITGSIPLDTEEDEPYLDPSWPHLQLVYELLLRYIVSTDTDTKVAKRYIDQTFVLKLLDMFNTEDPREREYLKTILHRIYGKFMVHRPFIRRAINNIFYQFIYETERNSGIAELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKQIGFYHQQLSYCITQFIEKDNKLSDTVIMGLLKYWPVTNCQKEVLFLGELEEVLEATQGQEFKRCMVPLFRRISKCLNSPHFQVAERALFMWNSDHIVGLIAQNRTVVLPIIFQALDTNIRAHWNPAVNGLTVNVRKMLMEMDLEFFEEYQREFAEKQALVKVEESQREEKWQKLQETADMDQIG >cds-PLY91168.1 pep primary_assembly:Lsat_Salinas_v7:4:162471761:162473475:1 gene:gene-LSAT_4X96641 transcript:rna-gnl|WGS:NBSK|LSAT_4X96641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASISTPSRTTPWAAALLLSLLAIAITSGEAQDSTTLVPAIITFGDSAVDVGNNDYLNTLFKANYPPYGRDFANHQATGRFCNGKLASDITADTLGFKTYPPAYLSPEASGNNLLIGANFASAGAGLDDRTSIASHAIALSQQLQYYKEYQGKLAQVAGSSKAASITKDALYLLSAGNTDYVQNYYVNPFINKVYTADQYGSYLVGIFSSFVKDLYGLGARRIGVTSLPPLGCLPAVRTLFGSHEKGCVSKINTDAQSFNKKINSAATQLGSQLPGLKIVIFDIFQPLYDLIKDPASHGFVDASRGCCGTGRVETTVFLCNPKSIGTCSNATQFVFWDSFHPSEAANQVLADTLIVAGIALLG >cds-PLY95975.1 pep primary_assembly:Lsat_Salinas_v7:9:40452096:40454759:1 gene:gene-LSAT_9X37200 transcript:rna-gnl|WGS:NBSK|LSAT_9X37200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDYSFEGCDLFNGSWVYDNKSRPLYREKECSFMADDYACQKFGRKDSEYQFWRWQPHGCDLPRFNGTALLEKLRDKRVVFVGDSLGKNHWISLLCLIDSWIPEPSHKVAEWHGSLITFRASEFNASIDFYWEPLLVESNCDDPVHHRVHDRIMKIESIERHAKQWIDADMLIFDSYTWWLEPNMTLLWGSFENPNRTSIESGRVRRYEMALKTWSNWLDTHLKRTKTKMFFISLSPDHKEGGDWGKPMGENCFNETEPIMEQGYWGKGTDTDLMRTAQSIVQDLEKKGIEIELLNITQLSQYRKDGHPSIYKRHWVPPTESELANPIPYSDCTHWCLPGVPDVWNEILYTYIRYKL >cds-PLY76711.1 pep primary_assembly:Lsat_Salinas_v7:3:140164271:140166220:1 gene:gene-LSAT_3X92301 transcript:rna-gnl|WGS:NBSK|LSAT_3X92301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQCPICSRKAAQLNVSEDFLTEFLLFVLTATIGGMFLCGANGLITIFVAPECFSLCSYLLSGYTKKDVRSNEATIKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSPAPSHQWTPDVYEGVRFVKQVRNDESLYDKQIRFASSLLRVVPTKYQTNDMLHSWFSSFRDYECNRSIRRQKDHPKMIISWLLRTNQIRWFYFLTCSYGTKIEKIEKISHSQPLMKDSSKKVRNPLFDSNSPTPVVAFLSVTSKVAASASATRIFDIPFYFSSNEWHLLLEILAILSMILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNDGYASMITYMLFYISMNLGTFACIVLFGLRTGTENIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLYLFWCGWQAGLYFLVLIGLLTSVVSIYYYLKIIKLLMTGRNQEITPHVRNYRRSPLRSNNSIELSMIVCVIASTIPGISMNPIIAIAQDTLF >cds-PLY69512.1 pep primary_assembly:Lsat_Salinas_v7:6:42586799:42589053:-1 gene:gene-LSAT_6X33120 transcript:rna-gnl|WGS:NBSK|LSAT_6X33120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFDTMSLASDLPFLGPRSGPSSMELKQLSRRPKHHISPTLGELLQFVGDANSSPNAGHGVSDPLHASPFVLSFNSLTYSVKVSRKTAVPSSLGGGKVEMSNTKVLLDDISGEAREGEIMAVLGASGSGKSTLIDALANRIARGSLKGTVTLNGDILESKLLKVISAYVMQDDLLFPMLTVEETLMFSAEFRLPRTLSKSKKKARVQALIDQLGLRNAAKTIIGDEGHRGVSGGERRRVSIGTDIIHDPIVLFLDEPTSGLDSTSAYMVVKVLQRIAQSGSIVIMSIHQPSYRLMGLLDKLIFLSRGQMVFSDTPSKLPDFFAEFGNPIPENDDRTEFTLDFIRELEMSDSGTKQLVDFNKSWQRNKSQDRRSVAEAKLSLKDAISASISRGKLVSGATNIDSNLSSSVPTFANSFWVEVLVIAKRSMLNSWRSPELYAIRLGAIIVTGTILATMFRKLDNSPRGIQERIGFFAFAMSTMFYTCAEAIPVFLQERYIFMRETAYNAYRRSSYVVSHSIMAIPSLIFLSFVFACITFWAVGLAGGTMGFFTFLLFIFASFWVGSSFVTFLSGVVTHVMLGYTVVVAVLAYFLLFSGFFISRDRIPAYWLWFHYLSLVKYPYQGVLQNEFDDPMECFVRGTQIFDNSPLGAIPDTMKLKLLKSMSQALGVPLTSSTCLTTGADILKRQGVTDISKWGCFWITIALGFLFRILFYFALLVGSKNKRR >cds-PLY77741.1 pep primary_assembly:Lsat_Salinas_v7:9:19277668:19282476:1 gene:gene-LSAT_9X14440 transcript:rna-gnl|WGS:NBSK|LSAT_9X14440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLRFAFSPLIHSQNSSRLLFSIPNYQTKSIRILGFSTSSPLPDTTLETLAPPPPPSHSVNNLNPYKWEPYRKKKVVMRVGYVGTDYRGLQMQKDDSISTVEKELETAIYKAGGIRDSNLGDLQKIAWARSSRTDKGVHSLSTMISLKMEIPEYAWTGDPNGVALANLVNTYLPKNIRVFSILPSQRSFDARRECNIRKYSYLLPVEVIGVTSNLSTSEIEHHLSDFNTILNSFEGEHPFHNYTIRKNYRKKYSSKRSPNSGRIADRRAKSSIEPPQAILEKNDEEESSDGEEALETDETIDESDANGDTLKDVPIPILAKWLHEPDDKDRISASHFRRIFQCSCGKLEQLFGARYVEISICGESFMLHQIRKMVGTAVAVKRGLLRKDVITLSLNKFSRIVVPIAPSEVLFLRSNNFSMRTRIGTRPEIVTLVESEEILKDVDDFYKSIMLPQVSEFLDPSRPPWKEWVELLDRNTGIPDSQLDEVKNAWIAWKGQFRSRDTIAPL >cds-PLY76403.1 pep primary_assembly:Lsat_Salinas_v7:8:84544374:84546075:-1 gene:gene-LSAT_8X62401 transcript:rna-gnl|WGS:NBSK|LSAT_8X62401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDARRNVTKRGFIRYTFLLLLILVTLSFIAIFNHTQFQNITSFLRPLWDTPPPPFQYIPHYYAENASINNLCNLHGWTLSSEPRNVFDAILFSNELDLLELRWRELYPYVTKFIILESNMTFTGIPKPLTFALNRHRFAFAEEKIIYGFLPGEVPPSDVHIDPFLIESHHRSIMNELIRRSGISDGDLLIVSDTDEIPSSHTVNLLRLCDGLPSVLHLEMRNYLYSFEFPVDYNSWRATAHIYSRWSRYRHSRQTDLILSDAGWHCSFCFRHLSDFVTKMTGYSHADRVKRKEYLDYSRIQRKICGGDDLYDMLPEEFSFKKLIGKMGSVPRSSSAVHLPGYLIENAEKFKFLLPGGCQRSPE >cds-PLY95025.1 pep primary_assembly:Lsat_Salinas_v7:5:227597443:227600037:1 gene:gene-LSAT_5X107360 transcript:rna-gnl|WGS:NBSK|LSAT_5X107360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNNTHWCYQCQQPVRLRGRNPVCPYCSGGFVQELSEVVEPGPQDIGTGPTHSHEPSEYGFMEPYPDPRNRIMDAFAELIRQRMSGRNPNFDVRRRSEQSHGVVPWFIFDGQAPARMSANDRFEFFFNGAPPGPRRSNVSNIFMGPGLQELIEQLTVNGGGGSQGPPPATRSAIDSMPTIRISNRHLNTDSHCPVCKDKFELGSEARQMPCNHIYHSGCIEPWLVQHNSCPVCRVELPAHGMSRGSSSGGGGGGEESGGHGRGRRSPLSFLWPFRS >cds-PLY89679.1 pep primary_assembly:Lsat_Salinas_v7:8:42169195:42172847:-1 gene:gene-LSAT_8X32421 transcript:rna-gnl|WGS:NBSK|LSAT_8X32421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLEAPLVRPKRKKVWVDYFVQFRWILVIFVVLPISFTLYFLTYLGDVRSNWKSYKKRQEEHEENVKKVVKRLQERNPSKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDQERMIAKCEPLVNMGQITRATVPMNLALAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVAYEICLADGKVVRATKDNEYSDLFYAIPWSQGTLGFLMSAEIKLIPIKEYMKLTYKPVRGNVRDLAKGYIDSFAPGFGDEDNEMVPDFVETMIYNPHEGVCMTGVYASKEEAKMKGNKINSVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFADQWWFRYSLGWLMPPKVSLLKATQGEAIRNYYHEMHVIQDMLVPLYKVPDALEWVDREMEVYPLWLCPHRLYKLPCKTMIYPEPGFEQERRQGDTPYAQMYTDIGVYYTPASVFRGEVFDGVDAVSRLESWLIENHGFQPQYAVSELDEKKFWRMFDAGLYEQCRNKYGAVGTFMSVYYKCKKGKKTEKEVQEAEKAQLETPYAEVEQAE >cds-PLY89927.1 pep primary_assembly:Lsat_Salinas_v7:8:68840208:68840711:1 gene:gene-LSAT_8X48501 transcript:rna-gnl|WGS:NBSK|LSAT_8X48501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSRSALAFSSQPWKYHVFLSFRGEDTRKTFVDHLYTALEQQGIHTYKDDETLPRGESIGPSLEKAIEESQISVIIFSKNYVDSSWCLDELAYIMKCKDRKGQIVMPIFYDVEPSIVRKQKQIYGEAFSKHELENNKKVESWRKALVDASSISGWEIKLMANG >cds-PLY89589.1 pep primary_assembly:Lsat_Salinas_v7:4:366033710:366034460:1 gene:gene-LSAT_4X177861 transcript:rna-gnl|WGS:NBSK|LSAT_4X177861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRQSSTGASKITDDEIMQLLSKLQQLLPEITNRRSNKASASKVLQETCSYVRSLHREVDDLSDRLSQLLSTIDAGSPEASIIESLMI >cds-PLY96305.1 pep primary_assembly:Lsat_Salinas_v7:8:156372023:156376144:1 gene:gene-LSAT_8X104461 transcript:rna-gnl|WGS:NBSK|LSAT_8X104461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPVESAANLRSQFVEVLRSRRSPIPLQTLPAKCVEDPLYQGTPCDYKAMKSIPEARTHNFNEEDFYLLTEEGEQGRLPVLILSMKESTPSKRPAVVFLHSTGACKEWVRPLLEDYASRGYIAIAIDSRYHGEQARIDLGKEAIDKEVVEKVCSIKIAKCVVDMASNWHLLLMFGYYFDQVWNRIAPGLASEFDSLHTVPVIAVRPLLIINGEDDPRCPVEGLDATISATQKAFDALSLTHFKVIVEAGIGHEVTLSMFKEASDWFDKFLKM >cds-PLY88142.1 pep primary_assembly:Lsat_Salinas_v7:MU040217.1:49838:51888:-1 gene:gene-LSAT_0X36001 transcript:rna-gnl|WGS:NBSK|LSAT_0X36001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNCFRGILSTVFLITFLLFLHIESSSVVAEDDVQCLRGLQKSVHEPGSTLSTWNFANTTIGFICSFFGVTCWNDQENRVITLTLREAGLAGPVPSDLQFCRSLQNLDLSGNNLTGSIPSEICTWLPYLVSLDLSNNEFTGEIPASLGNCSFLNSIVLSGNKLSGSIPVQFSSLGRLNRFSVADNDLSGSIPPGLSNFDSSNFDGNNGLCGKPLTKCGSLSKKNLVIIIAAGVLGAVGSLLLGFGLWWWCSTKSTRKRKNGIDRDDDSNSWADRLRAYKLVQVSLFQKPLVKVKVVDLMIATNNFSRENVIISTKTGTTYKAILSDGSALAIKRLNTCKLHERQFRLEMNRLGQLRHPNLTPLLGYCIVEEEKLLVYKYMSNGTLSSALHKNGSLLDWPTRFRIASTAARGLAWLHHGCRPAILLQNFTSDVIFLDEDYDARIVDFGLARLLTSSSDQANENDLCNEYASEKGDTYGFGVVLMELATGQKPLEVNASEEGYKGNLVDWVNKHSSSGRMKDTIDKNLVGRGHDDEILQVLKIAGDCVASEPQVRLSMYRVSEMLSKISQEPGLSQHYDEFPLLFNTHEMDGIV >cds-PLY84822.1 pep primary_assembly:Lsat_Salinas_v7:8:23837278:23838196:1 gene:gene-LSAT_8X18560 transcript:rna-gnl|WGS:NBSK|LSAT_8X18560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLIISFIFVNLFIFITTLSLLSAASSIIYDSTGNKLLRGIPYYILPLLRGSGGGLTLSQNTKDACPLNVTQESFEVNNGAPFTFNPIILDEEIIRGAYPVSIEADVVNPCHGSNIWKVTTATAAKDDEDDLLTNIKHKKKKDGDKVVSVQIVTTGGEFNKPESCFQVVEDDVMPGLQSYQIQHCPFKCGTTSTDLTCYNVGVVRDSDGKGYLGRTDAIFPVVFTNSLRSSSQLMVKVSTSSQPGKLMRSE >cds-PLY82562.1 pep primary_assembly:Lsat_Salinas_v7:2:188163779:188164739:1 gene:gene-LSAT_2X109820 transcript:rna-gnl|WGS:NBSK|LSAT_2X109820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIRAMSIGSLTSSWRNQKPPKPCYCSSRDPPPRRPPSPQNGDDRNNNGKDKSSTDWDKAWSSFKKQGKKSLFSQFTPNKYVSWNPRRSNYPLSEEVDPIKRAERSNLSLWTSPGFTLGGAIVIVTVLLVYTILFPVK >cds-PLY70089.1 pep primary_assembly:Lsat_Salinas_v7:4:204027908:204030762:1 gene:gene-LSAT_4X115121 transcript:rna-gnl|WGS:NBSK|LSAT_4X115121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVSMAISSSFHDPHFYSLSISVIVKASSGPRYVVGFIIDSILMSKQGSDISSSHLFELAPVNTEKSRKIKGFRKSSEVACVILPPKVFKILEKPVHEFHGHGGEILSLPWSRKGAMDEIKNSEIQKFYIQGHEKKPVAGLDSNLHEKADLVAEHGKPRQVPDFRDAKEGKHFRSMGPHDSPWLAPDSVKKYPDSSYYGPKRQQVIT >cds-PLY85566.1 pep primary_assembly:Lsat_Salinas_v7:2:195123999:195125685:1 gene:gene-LSAT_2X115560 transcript:rna-gnl|WGS:NBSK|LSAT_2X115560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTLPYADVDSSLKGMAGRAEGFGRSAVGGAHGPVHRVTSLADDGPGSLREACRMKEPLWIIFEISGTIDLSSYLNVSSHKTIDGRGQRIKLTGKGLRLKECENIIICNLEFEGGRGHDVDGIQIKPNSKHIWIDRCSLRDYDDGLIDITRQSTDITVSRCYFAKHDKTMLIGADPSHVDDRCIRVTIHHCFFDGTRQRHPRLRFGKVHLYNNYTRNWGVYAICASVEAQIYSQCNIYEAGEKKKAFEFYTEKAADKEEGRSGLIVSEGDLVLNGAQSCPVKEANGEKMFHPSEFYPKWTMEPATDALKQTLQVCTGWQSVAKPAEQGTS >cds-PLY68733.1 pep primary_assembly:Lsat_Salinas_v7:3:139306776:139308266:-1 gene:gene-LSAT_3X92020 transcript:rna-gnl|WGS:NBSK|LSAT_3X92020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSRELWIPFLEQLFTISKFQLLCMFQWSPDKSSIFGSSAEDGVLNIWDHNKVGERSGPASKFAPGLLFRHSGHRDKVVDFHWNSHDPWTIVSVSDDGESTGGGGTLQIWRMIDLIHRPQEEVLLSFIPRFSSHLWKALVLKTKQDMDIQDRELVNDWLMIIVYKERGFG >cds-PLY61826.1 pep primary_assembly:Lsat_Salinas_v7:6:61512208:61515177:-1 gene:gene-LSAT_6X45621 transcript:rna-gnl|WGS:NBSK|LSAT_6X45621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSISTPNPDGLYPIVELNFKGVFLRNPFSYNHGINFTFNDHDFSGMTYGECITFLEQFMQESIKKLYYCEPAEALNEDESNQSNAVNAGGAVNDGGEAVNDGGAVNDGAEAVNEVHVQQDYDEVELTPLEFDASANGEPSQVHVQEQEARETPLATLLKKIRRKKSERIIKLKLGKKVGGNDAPGNSEAKPVTLE >cds-PLY63738.1 pep primary_assembly:Lsat_Salinas_v7:9:83982250:83984636:1 gene:gene-LSAT_9X66501 transcript:rna-gnl|WGS:NBSK|LSAT_9X66501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEPMSGDEDHGGSEGEFLLKEINIGGGGGSGGTGGRDVSWRLNFDGFQFSSEHKEKPPRGFHDCLGVLGPEDNVAEYYQQQVEMLEGFTEMDVLAERGFVPRLSEEEKACLARRETLAIRISNIANMILFIAKVYASIKSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYQYPIGKRRMQPLGILVFASVMATLGLQIILESVRTLASNEKDFNLTREQEQWVVGIMLSVTLVKLVLCIYCRSFTNEIVKAYAQDHFFDVVTNLIGLIAVLLANYISDWMDPVGAIILALYTIRTWSLTVLENVNSLVGKSAAPEYLQKLTYLCWNHHKAIKHIDTVRAYTFGSHYFVEVDIVLPSDMPLQIAHDIGESLQEKLELLPDIERAFVHLDYEFSHKPEHAQTHL >cds-PLY84494.1 pep primary_assembly:Lsat_Salinas_v7:1:28829505:28830993:1 gene:gene-LSAT_1X24280 transcript:rna-gnl|WGS:NBSK|LSAT_1X24280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESFGNFFDEEWHSLSKMFVEDQDYDRFSGQGLLSSEHDHDHCSNVECETIYFPSSEHVFSNAKSFVADEESLVYVSDNVNPNFYHFFSQESSICSSGASTDTVSLPYPSHENFQLCPSIIPPSPPNDACDQSIPFYMLDEINNSPLPTHAFANDAMSRSLYMTQDVAAVEKVKIDNSLVLDRATPLKRNLEMRDDDEVNNEKTNKNPKKRSRVSKENKSKKNVQPKKNQKMNDADECNNNNGQSSSSCSSDDNLTGSQDLNGAINPINGKTRASRGTATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVEYVKFLKLQIQLLSSDDMWMYAPIAYNGMDMGLYQNIAPNL >cds-PLY94464.1 pep primary_assembly:Lsat_Salinas_v7:4:143582166:143584426:-1 gene:gene-LSAT_4X90081 transcript:rna-gnl|WGS:NBSK|LSAT_4X90081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGDNLHHRSVPQTTTLLKTPNNISINKINLKKTPNYVSSNGKMSDQWHTQWWSLADASFLRWTAADIIGVFKYHPVPCFFAVTLLFFMGVEYTLRMIPPSSPPFDIGFVATVYLHRILASSPTLNTVLAGLNTVFVGMQTTYIIGTWVIEGRPRATVAALFMFTCRGILGYSTQLPLPEGFLGSGADFPVGNVSFFLFYSGHVAGSVIASLDMRRMQRWELAILFDTLNILQVMRLLSTRGHYTIDLAIGVGAGMLFDSLAGKYMKNASSNVAEQDGLCTNRPLLS >cds-PLY77338.1 pep primary_assembly:Lsat_Salinas_v7:5:143814917:143817443:1 gene:gene-LSAT_5X63041 transcript:rna-gnl|WGS:NBSK|LSAT_5X63041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKSLATTGGNEMPSATASASMSHSPASGSDSKSERIVPPVLAQAPVSNLTYSSSMSSSSPTRKNTRIRSSLIRNLLALLCVVFASYAITLRNEVRKLQEDNYDLHRTCLNKYIPHDEIMEIFKLESDTDRRNFVLYTILFTLGTPFVLYKYLDDLPKIKNLSKRSNNNKQEVPLKKRIGYMVDVCFSIYPYAKLHALLFATIFLIAFGGLALYAGSDGSLAEALWLSWTFVADSGNHADIVGTGSRIILVSISSGGMLIFAMMLGLVSYDILEKVDSLRKGKSEFIESNHILVLGWSDKLGSLLKQLAIANKSISGGVVVVLAERDKEEMEMDIAKLKFSFMGTLVICRSGSPLILADLKKVLVSKACAIIVLAADENADQTQVTWQEDEEMLTCGNESGSIGCGVVMASQRLQLWERVTELLVAHFIWLDYDNPAKPIYLYINSSGTQNDKMETVRSATEAYAIVDIMAVRN >cds-PLY78386.1 pep primary_assembly:Lsat_Salinas_v7:9:9660815:9661596:-1 gene:gene-LSAT_9X7561 transcript:rna-gnl|WGS:NBSK|LSAT_9X7561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFFTTVRRNTISRPQRKGRSVSLIKDKPKPKLVHLQIEFDELGQTIGNIRFEFTTYCGVTVRTRISILKQWNEVPQPEIDELWLNIKTHWNIPNDDYKAQVLKVCNQQWRSYKSKLVKFMDKRIDSLEKYTPA >cds-PLY68266.1 pep primary_assembly:Lsat_Salinas_v7:3:189287492:189288136:-1 gene:gene-LSAT_3X112861 transcript:rna-gnl|WGS:NBSK|LSAT_3X112861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFELHTSEKPWNFFCWFISSLIFLFFLHGLDIYRRRRLPPGPVGLPIIGNLLDIGPKLHVSLVKLSKKYGPLMTIRLGSITSVVASTPDAAREILQLNDEACSGRVVSDAVTGMQNHDSAILWISANDEWRTIRKALNTYLIHRNKLDTLQYLRQSVVEGMLEYLRESGQKNVAVDIGKLAFAVALNMMSNTILSQDVTNYESEDIGGGGREV >cds-PLY78662.1 pep primary_assembly:Lsat_Salinas_v7:9:51405281:51407290:1 gene:gene-LSAT_9X46021 transcript:rna-gnl|WGS:NBSK|LSAT_9X46021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPLVSIFIFLAFGLVLGIESKSNTNQQTENSHRAFFVFGDSLVDNGNNNFLATTARADSPPYGIDYPTHRPTGRFSNGLNIPDIIGQHIGVDPLLPYLSPELKDEKLLNGANFASAGIGILNDTGVQFINIIRMPSQLSDFETYQKRVSDLVGVEKTKKLVNEALVLITCGGNDFVNNYFLIPNSIRSIQYKLPDYVPFLISEYEKILMRLYELGARKVLVTGTGPLGCAPAILALHSKQGECANELQQAANLFNPQLVDMVTALNKKLGGDIFVTANTNYMHLNFINDPKAFGFETSKDACCGQGPYNGLGLCTPLSSLCENRDAYVFWDGYHPSERANRIIVDEIFNGIKYVEPMNLSTFMALDSKRV >cds-PLY87921.1 pep primary_assembly:Lsat_Salinas_v7:4:32824579:32826378:1 gene:gene-LSAT_4X21220 transcript:rna-gnl|WGS:NBSK|LSAT_4X21220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNGETLNTNTISPADLQPASISTPDHPLNNAFGSLNDICYELSSLQDLACRGSWKTILDKVARARTQSLLSKPHEHLIYLSYNTIALTKLRRFSDAVTELDSLENGIDNVIYTYENYPHHYPNRYGSMAPFSLRWLYAELPSRVGNRQETLDRFYILLHFVREKTKTTKDSSGKSDDIWKKREGLVINSIISHHLSHKEFVVCLDLIKDLIKRDSNSTARAVLISKLGYIQMQLGDLEGAKGSFGIVEGISTEETVEMKNLVNRNKALMFMVGKDYVSAVREYQECIDRDDSDVVAINNKALCLMYLRDLSDSIKVLESALERVPTAALNETFVVNLCSMYELAYVNHTDIKKTLSNWIARVAPDDFDSSSTRV >cds-PLY70197.1 pep primary_assembly:Lsat_Salinas_v7:9:1851778:1852478:-1 gene:gene-LSAT_9X3600 transcript:rna-gnl|WGS:NBSK|LSAT_9X3600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLMIQLSLMAIMVKGEVYKVGDSSGWVANTNSNYSNWASSKIFRVHDILMFEYNSTNDNVIRVTHSDFRSCNTSSPIKTFNSGNDSFTIKAHGHYYFTSGFPGHCVTGQKLDVRVPKSSHVAATPPPKPTTSLSPSPNPSNSTMSPMESKAMAPSPVDNAVASHEPKWLLMSTIGVAISGFVVLVCS >cds-PLY64115.1 pep primary_assembly:Lsat_Salinas_v7:1:1061205:1062216:1 gene:gene-LSAT_1X361 transcript:rna-gnl|WGS:NBSK|LSAT_1X361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSKFKPRFKGILRNPSLITIKHVPAKSMGYLGDVGFYLQLSIPPPFTGLILCSLIDFLSKFNYSVIQDGHGIEYDSGQICLEPPYP >cds-PLY62463.1 pep primary_assembly:Lsat_Salinas_v7:1:82579215:82580151:-1 gene:gene-LSAT_1X71681 transcript:rna-gnl|WGS:NBSK|LSAT_1X71681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METSSYESNSTFSTTPYPQSQSSNQSLHHETRSGFFKNEDVWPKTQIKRKKNQVFLQGYVETSDEEELVRARSLTDEDLEELKGCLDLGFGFSYDEIPELCNTLPALELCYSMSQKFLDDQQKSPESTSTVTDSSPPPSGGKANWKISSPGDDPEDVKARLKFWAQAVACTVRLCS >cds-PLY62839.1 pep primary_assembly:Lsat_Salinas_v7:4:30551202:30552095:1 gene:gene-LSAT_4X16801 transcript:rna-gnl|WGS:NBSK|LSAT_4X16801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFQFLTNCPLLEEFTWARKYTNTELTERDLVKLYKCLPLVQVLKVSKLYIKDLGVGSNSMPHKLPISLPHLRILVLGVCFVDLSTVLCVISSSPNLEKIKVEDHDCQCIRQTFNNLPDIQEDYSGINLDHLKELDITNFHNHGVEMEFVKLIMGKSPVLKKARIELHYRVSVNEEVKMLRGLVHMPLPRPSPAVSFTIERRKY >cds-PLY81494.1 pep primary_assembly:Lsat_Salinas_v7:8:157351342:157352244:-1 gene:gene-LSAT_8X103941 transcript:rna-gnl|WGS:NBSK|LSAT_8X103941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLWPFNVIEGTNDNPNIVVTYNGYEQRFSAEEILSMVKTKMKEVAEAYIGDTVKDVVITVPAYFNDCQRQATKDAATIAGLNVLRMINEPTAAVIAYGVGNMSSFNRKRNVLVFDLGGGTFDVSLLTISKQGTFKVKAVAGDTHLGGEDFDNRMVTYCIEDFKRTWDLDVTGNKRAMTRLKDECEKAKRVLSYATQTSIELDSFHEGIDFSMKITRAKFEQLNMNLFKKCINHLETCLTDAKMEKSSIQEVILVGGSTRIPKVQHMLQEFFDGKELCKSINPDEVVAYGGSSYGFKVKW >cds-PLY99635.1 pep primary_assembly:Lsat_Salinas_v7:6:81619523:81621678:1 gene:gene-LSAT_6X57841 transcript:rna-gnl|WGS:NBSK|LSAT_6X57841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGVRRIKLGSQGLEVSAIGLGCMGMSQGYGLPKPEEEMIKVIHHAINSGVTHLDTSDVYGPHTNEILVGKALKGVKREDVQLATKFGVKFGESFDKAEVHGEPEYVRACCEASLKRLDVDYIDLYYVHRIDTTVPIEVTMGELKKLVEEGKIKYIGLSEAGPATIRRAHAVHPITAVQLEWSLWTRDAEEEVIPTCRELGIGIVPWGPLGSGFFASGPKLVDNLTDNDFRKILPRMQGENFDHNRVIYERINEMAQRKGCTSSQLALAWVLHQGDDVGPIPGTTKIENLNQNLGALDVKLTAEDLAEIESISFKGARMPEFLLVHSYTNSDTPPLSSWKSK >cds-PLY86878.1 pep primary_assembly:Lsat_Salinas_v7:8:49463021:49466965:1 gene:gene-LSAT_8X37620 transcript:rna-gnl|WGS:NBSK|LSAT_8X37620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIVDVLNSINFEHESYPTYGDFAALPVFAIFFPTVRFFLDRLVFERVGRWLIFNKGQQKLDVETDDRNKKIRKFKESAWKCVYYLSAEILALAVTYNEPWATNTNNFWIGPGDQRWPDQKMKLKLKGLYMYTGGFYTYSIFALIFWETRRSDFGVSMGHHVATAILIAMSYICRFARAGSVVLALHDASDVFLEVGKMSKYSGAEALASFSFILFVISWVLLRLIYYPFWILWSTSYEVVQTLDKEKHVKEGPMYYYVFNTLLFCLLVLHVYWWVLMYRMLVKQIQDRGKLSDDVRSDSDSDKEHED >cds-PLY70938.1 pep primary_assembly:Lsat_Salinas_v7:8:228440286:228442407:1 gene:gene-LSAT_8X138940 transcript:rna-gnl|WGS:NBSK|LSAT_8X138940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFPPSFFKGFTSSEEYALKEMMMMRGGCGRTTSSSSLVLDNERGEIVRALVRPGSIHHHHHHHNSHVHHHQKGAKAEKALMALRNHSEAERRRRERINGHLSMLRSLIPGTTKMDKASLLAEVISHLNQMRKTATESTKGVLIPMDIDEVKVEQQDDTIGLNGSSYSIKASLCCEYKHEVLSDLKEAIDGLHLKTIRAEIATLGSRMINLFVITGSKDDVNIKDVVSSVRQALKSVLDKFYASQEFSENNALSNKRRRVSFFTPENSSSLGDFW >cds-PLY68461.1 pep primary_assembly:Lsat_Salinas_v7:2:213602654:213604513:1 gene:gene-LSAT_2X134381 transcript:rna-gnl|WGS:NBSK|LSAT_2X134381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYDFRNRLNPPYSSQSSVYNRPTPSSSGSPMPPSSHPMYGPSSLYPKINQSGGHSVVHAPARNNINSSSSGMGIRVTLKPEFRITPPPQLSPHIGEIPRSTFQFDFELERKILAEAEKENPNWSKLGLENLPHKTAKPISRANSSSGSVDPVVGKYLATGLNREAVPIAVAKYGDNPTKVREFVNGYTVLREMGFEANSVAEALFTHDNDKEAAVNFLSTS >cds-PLY70960.1 pep primary_assembly:Lsat_Salinas_v7:2:44143440:44145602:1 gene:gene-LSAT_2X20040 transcript:rna-gnl|WGS:NBSK|LSAT_2X20040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 57 [Source:Projected from Arabidopsis thaliana (AT3G17730) UniProtKB/TrEMBL;Acc:Q9LSH5] MAPAGLPPGFRFHPTDEELVNYYLKRKIHGQEIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVTSQGQNRAIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKDCQDTLGIQDSYALCRVFKKNGVCVELEDNGHTSMSMSQYSPTITNDYEMMSPDVPIASSSCLDEDDKDDSWMQFITDDPWCSPNSPHATLTN >cds-PLY84218.1 pep primary_assembly:Lsat_Salinas_v7:7:79284298:79290758:1 gene:gene-LSAT_7X55701 transcript:rna-gnl|WGS:NBSK|LSAT_7X55701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNVEHIFTISILFALLIITKSEVYIVTIEGDPVISYRGGIDGFEATAVESDQKLDVTSDLVTSYSQHLETRHDNLLESLFDDETYTKLYSYKHLINGFAVHISPEQAETLRRTPGVKSVDQDWKVRKLTTHTPQFLGLPTGVWPTGGGFDRAGEDIVIGFVDSGIFPHHPSFRNSDSEPYGPLPRYRGKCEVDPDTKRSFCNGKIVGAQHFAKAATVSGAFNPSVDFASPLDGDGHGSHTAAIAAGNNGIPVRVHGYEYGKASGMAPRARIAVYKALYRLFGGFVADVVAAIEQAVHDGVDILNLSVGPNSPPATTRTTFLNPFDAVLLSAVKSGVFVAQAAGNGGPFSKTLVSYSPWIASVAAAVDDRRYKNHLTLGNGKILAGIGMSPATRLNQKYTLVAANDVLLDSSGKSSTSDCQKPEVLNKNMVKGNILLCGYSFNFVIGTASMTKVAQTAKTLGAIGFVLAVENTSPGTKFDPVPVGIPGILITDVSKSMDLIDYYNVSTSRDWTGRVKSFKAVGSIGDGLEPILHKSAPMVALFSARGPNIKDYSFRDADLLKPDILAPGSLIWASWSPNGTDEANYIGEHFAMISGTSMAAPHIAGIAALVKQKHPRWSPAAIKSALMTTSNTLDRAERPILAQQYSGSETMTFVPATPFDYGSRHVNPRAALDPGLIFDAAATRLNQKYTLVAANDVLLDSSGKSSTSDCQKPEVLNKNMVKGNILLCGYSFNFVIGTASMTKVAQTAKTLGAIGFVLAVENTSPGTKFDPVPVGIPGILITDVSKSMDLIDYYNVSTSRDWTGRVKSFKAVGSIGDGLEPILHKSAPMVALFSARGPNIKDYSFRDADLLKPDILAPGSLIWASWSPNGTDEANYIGEHFAMISGTSMAAPHIAGIAALVKQKHPRWSPAAIKSALMTTSNTLDRAERPILAQQYSGSETMTFVPATPFDYGSGHVNPRAALDPGLIFDAGYQDYLGFLCTTPGLDSHEIQNYTHQPCNYTLGHPYNLNSPSIAVSHLVRTQSITRTVTNVDEEETYTITARMSPAVAIETSPRAMTLKPGATRKFTVTLTVRSVTGTYSFGQVLLKGNRGHKVRIPVVAMGYDR >cds-PLY71615.1 pep primary_assembly:Lsat_Salinas_v7:2:103194059:103195312:-1 gene:gene-LSAT_2X45281 transcript:rna-gnl|WGS:NBSK|LSAT_2X45281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLGSRRTYFDVMDSKASQLPKLNVLYECFSSLGFKNDEIVQAWNEMYEVKRWQTGVPSFRLEPLFRRHVSKLHSLMEMENRWDFHFYLCCDLVMYGNHYGWKLFLLLRESNSDFAAQLVGFMATLLVGAPNQAHWILELAKFDFSIVVRHLVASVPGIYSPKRPYISDPLHYLTALFMCIVSSKVAWGVVKVGNKFL >cds-PLY65464.1 pep primary_assembly:Lsat_Salinas_v7:7:60535930:60537172:-1 gene:gene-LSAT_7X43640 transcript:rna-gnl|WGS:NBSK|LSAT_7X43640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTPNIQTFETEDQVAIALAVYILHLSAKFIAANGSFSVVLSGGSLIDTLRKLTEPPYVDSIDWSKWSIFFLDERVVPLDHPDSNYKLAYDGFLSKVPIPESNIYPIKERLSPEEAADEYEQRLKDLVANKKLRTSEITGFAKFDLMLVGMGPEGHVASLFCWHFQRFEKIKWVTFIRDSPKPPSNRITFTFPLINSASEIAMVVTGEDAADAVKVALGPHASYGFPLPVQKVSPEGGLTWFLDKAATSEL >cds-PLY93153.1 pep primary_assembly:Lsat_Salinas_v7:9:3163056:3172469:-1 gene:gene-LSAT_9X2800 transcript:rna-gnl|WGS:NBSK|LSAT_9X2800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELGHHASFEKGSSTSTSMQGVGFLDRLHAKPQHEAASEMGSRGVVADIDVDSREIYFLIMHFLSAGPCQRTFTQLCNELMEYQLLPKRYHAFYSRTGEVTGDETDDGISFPLSYQGAAQRYSHIEKDHLVKLLKQLIMNVAPSRGIYGRTAPTAADVPTLLGIGPFSLLGVGVDAANKKTLGVPAYLRWPHMQADQVRGIGLREIGGGFPKHHRAPSIRLACYAIAKPSTMVQKMQNIKKLRGHRDAVYCAIFDRSGRYVITGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVNLNNSLVASASNDYNIRVWRLPDGMPISVLRGHVGAVTAIAFSPRHSSVFQLLSSSDDGSCRIWDARYSQCRPRIYVPKRTDAVAGSNNNGGASSANGPSSSSSVPQNNQILCCAYNANGTVFVTGSSDTFARVWSACKPPNTNTDDSQPTHEIDVLAGHENDVNYVQFSGCAVASRSLTSDTSASTEEKVPKFKTSWFSHDNIVTCSRDGSAIIWIPRSRRSHGKAGRWTRAYHLKVPPPPMPPQPRRGGPRQRFLPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGRLVHSLTGHSESTYVLDVHPFNPRIAMSSGYDGKTIIWDIWEGIPIRIYDIGRFKLVDGKFSPDGTSIVLSDDVGQICLLSTGQGESQKDSKYDQFFLGDYRPLARDAHGNAIDQETQLPPYRRNIQDALCDSSMLPYPEPYQSNYQRRRLGALNLEWRPPSLKFAIGTDIGLGGQEYQILPLADLDVVAEPLPEFLDAMFWEPENDVIVDSTDSEYNVTDECSSEDGRDGNTSTDDEADTSPSGGGGGGGSHMDHHDDSRRRSKRKKPKDLEWMTCLGRERRGVRKRNSNEQQHEGRSKRTKKTKNKSKKKEQVTTPSRPQRAAARNGKKLSSQSSEDDEDEDDDDVSEDDSSESETFMEEPLPLPLISQSVPPPAPESRKKLVLKFSLSKKPQASENTNTLRLGEEEEEEESSRPIIISEPEPEPEAEVDPSTSTNGLDGRRPTKIRIKPMIKVGGMKTEADDNEVGQKRNTIKFKLNQHNSGGRQIISSNANDSSEPEGRTRSLKFKAICRETDEKKPNLIPFSSSKSDGKTNWLLLSAQEEGCRYIPQLGDEVVYVRQGHQEYCIRHSSSERGPWMLYKGNSIRDVEICWVDDVVYATLAGSGESCCKIKLRFTDPSSGVFGKTFTLTLPELVDFPDFLVERSRYDASVERKWSVGDKCFVWWRNTEGGGSWWDGEVVSEGDKSAEFPGSPWERFVVKYADEADDAEINRHSSWELHPHKDTQWEQPPQPALHPHIRSTMLSLLSKLHHSASARRGNQDQFGIIKLKHNSQRIDFINRFPVPLTLEIIELRVNNYYYRSFEAMKHDITVMLSNVRAYFSNNAEMSAKMARLSDWFERKLSKLYNATQDLL >cds-PLY70741.1 pep primary_assembly:Lsat_Salinas_v7:8:168336755:168337084:1 gene:gene-LSAT_8X110600 transcript:rna-gnl|WGS:NBSK|LSAT_8X110600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSSENIKLVKSKFMVTIYPNVDYAFVVTLIAIVEAMKSSNTKGEVAAEATGSGVAEVLLGAIFS >cds-PLY64660.1 pep primary_assembly:Lsat_Salinas_v7:7:137523249:137530714:-1 gene:gene-LSAT_7X82321 transcript:rna-gnl|WGS:NBSK|LSAT_7X82321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTMGMVMFTLRYFAGPDVPRHILFTVGYTWFCSLSFIILVPADIWTAMIDHDKGGISFFWSWSYWSTFLLTWAVVPILQGYEDAGDFTVAERLKTSIHVNLVFYLILGVVALFGLTLLILLHKNWGGGILGFAMACSNTFGLVTGAFLLGFGLSEIPKGIWVNSDYNTRQKVLSHKVARMAVKLDDAHQDLSNAIVVAQATSKQMSKRDPLRPYMDIIDKMLIHMLTQDPSFKPQGGRLGENDMEYDTDEKSMATLRRQLRIAQGEYLRYKSEYMNFVTEALELEDTIKNYEHRDSTGWKYISSFRPKRSGKLGSILDTMELIWRCILRKQLKKVSAIILGCLTVAILLAEATILPSGVDFSLFSILINSVETNEVLVQVVGFIPLMYMCVCTYYSLFKIGMLTFYSLTPSQTSSVSLLMICSQVARYAPPISYNFLNLIHLPRDATTLFERRMGKIDDAVPFFGENFNKIYPLIMVVYTILIATNFFDRVMSYFGNWNIFRLKNESEADDLDGFDPSGLMILQKERSSLEKGHKVGELVIPLARHFNGTNTNTDVESGNGNDTTDSRATTTLINNGNNRGYKEVSTTNIRDKITRKYESSRANSSKKEPDSTSSSIDINESQSMESGQSSKIASTWVSMKSNFQNFKAKMEAKKFIPLQQYQETQGSQGSSDTLDDIFERLKKPPQDDNTM >cds-PLY75405.1 pep primary_assembly:Lsat_Salinas_v7:6:180390123:180392602:1 gene:gene-LSAT_6X110620 transcript:rna-gnl|WGS:NBSK|LSAT_6X110620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFLVRHHKNSIFFFFFLSYLLFSVHGLKGGSSLSVENDDDVLVSANGLFTAGFHQVGENAYCFAVWFTDQPTTGGPTVVWMANRDAPVNGKYSKLILFEDGNLALTDAEQYITWSTHTKSTSSNSLQLQLHDTGNLILFEGQQSLWQSFDYPTDTLLPGQALTMNTQLVSSRSCTNYASGFYKLFFDDYDSILRLHYEGPVSSSVFWPDPRFLPREVGRYQYVYNRRASLSSDGGFKSSDGLEFFSADYGIGPQRMMKIDIDGNLRVYSFIEHQTRKEWQVQWQAVSRSCRVHGICGPNSLCTYSQDAGRRCICLHGYKMVNFEDWSYGCAPEFEGCSPDNEGFIKLTQAEFYGYDIRYHPNYTLDSCKKDCLDDCTCKGFQFSYDCHKGIYYCYMKNSLYNGYQMGFYNTMYIKLPKMLVLSFHQKTINDQNVSCVGQAVTPMIRSYEKKHHNKLLNSMAVLGCVIGFIEIIGIVFFWYKSSKHSITIDQCYFPAATAFRKFTYSELKKASRNFSEEIGHGGTGVVYKGILSDNRVAAIKKLKNTNHQGEDEFQTEINTIGRLNHMNLIETWGYCAEGKHRLIVYEYMENGSLAGNLRLGKLNWETRFEIAKGTAKGLAYLHEECLEWVLHCDVKPHNILLDSNYNPKVADFGLSKLFDRDRKGKSNFSTVRGTRGYMAPEWVFNLPITSKVDVFSYGVVILEMITGRSPTGKEQKSDENGDTEPALIDWVRDRINGNGMESWIQEIVNTSMSEEYDQITMENLVNIALQCAEEDRKVRPTMKQVVNMLQHPENYR >cds-PLY68280.1 pep primary_assembly:Lsat_Salinas_v7:1:27902002:27904686:1 gene:gene-LSAT_1X23241 transcript:rna-gnl|WGS:NBSK|LSAT_1X23241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGFFSTLKEEVVRGLSPGRSRNRTPKPGRSRSGSPNSGLLRRRKGHHVAQTEPIMSRSGSLRPLEALSPLREGPDPNETTVDAAGDSSKVERWGHWMKGQLCRAPSAATSSGSGSASYQRSDLRLLLGVLGAPLAPVHVSNAEPFPHLSIKDTPIETSSAQYILQQYMAASGGQKLQNSIHNAYAMGKVKMLAFDIETATKVIKNRNSSKTAESGGFVLWQMNPDMWYVELALGASKVHAGCNGRLVWRHTPWLGAHAAKGPVRPLRRALQGLDPRTTASMFTHARCTGEKKINDEDCFILKLCADPHTLKARSEGPAEIIRHVLFGYFSQKTGLLIHLEDSHLTRIQTNGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSVVTLFRFGETAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPAELRFGNVSEASEFGQEDRVKTAAMAAAAYRAKVVALERSRREI >cds-PLY98010.1 pep primary_assembly:Lsat_Salinas_v7:8:43535062:43539712:-1 gene:gene-LSAT_8X34120 transcript:rna-gnl|WGS:NBSK|LSAT_8X34120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKGSSFEKKNGYAQNHDFAQLIFSWSIDNILNDKLYEHQMKKIPLTFQSERHYFGSFVYPLLEETRTELASSMNMMHRAPFAKISSFTNAKGKEKMMYDVTVRNWRNTERPKESYQTLPNDLLILTHREPGSVSDLQSVGSKCVFLLVNYTEDDASGTPVQFKVTASQNIELHDEMFVVFLMNITTQKRIWSSLHNHANLDIIKEILYPNSVVKEKCNMCSLRCNSSLQRFDQSLVSKLNESQKAAIMASIGKVECCHKSSVEQIWGPPGTGKTMTVSVMLFIFLQMKCRTLTCAPTNVAIVAVASRVLSLIKESSKTITASGDSFCSTGDLLLFGNKERLKVGTEIEEIYLDHRIERLTQCFGSLTGWKHCMKSMIDLLEDCVSQYHVYVDNEKFKEEQLRKEKEKEKKSESETSNVYVDNKKFKEKQLRKENKSQTNKNMKVKSFMEFMRERFNSCLLPLKRCIITFCTHIPRSFIKQENFQSMVLLLDKLSSLKSLLSQKNLVSQELERLFVSKPMEHDFVKSGHMSSSINSVRIISLSLLKTLQTSLGGLKLPSHDPSNPSAYRDAMTRFCYERASLIFCTTSTSFKLQKFKMEPLKLLVIDEAAQMKESEAIIPLQILGMKHAILIGDECQLPATVKSNVSSECGLGRSLFERLSLLGHSKHLLNVQYRMHPTISSFPNRKFYQNKILDAENVTCKSYGRQYLSGPMFGSYSFINIVGGREEGDDVGSKRNMVEVSIVVKIVQKLYEEWQQSKRKVSIGVVSPYAAQVVSIEEKLRYKYEKRDGFSVNVKSIDGFQGGEEDIIILSTVRSNSHGNVGFTSSHQRTNVALTRARHCLWILGNERTLARSDSIWQDLVNDARNRRCLFDAAADKCFKEIIIDVKKEVKPLNHTVEGRSKENRIEKNKGSNIPNTFACNEQQRSNKNTQDAKIDVKGKGKMSEMNKDNSSLSTLTTVLVDRQHNVRETTTLLRANNDMKPKPPPFEFDTRTETLEWVKGGSILGLATGLLFLGIRIWGNI >cds-PLY65143.1 pep primary_assembly:Lsat_Salinas_v7:9:159025085:159025981:-1 gene:gene-LSAT_9X100200 transcript:rna-gnl|WGS:NBSK|LSAT_9X100200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPPPSPDTINSNNSISSTDELNLNDLHTIFCDRGEKIAEQKGQVERIKDEMGRDYLHSRVNVLNMQQRFKNAEKQIKAIALLVASVIVVILLIMFFIVHMIISNGEGKSNNIFTLLMNPHNVRGDMEICCVIGIINHHIHQVKTLKE >cds-PLY92982.1 pep primary_assembly:Lsat_Salinas_v7:3:254998110:254999179:1 gene:gene-LSAT_3X141021 transcript:rna-gnl|WGS:NBSK|LSAT_3X141021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTLAFLQIPTIPSKSSSPSQCLIPSVDSSKIHVSNHPINALHLHLHHLKSVSLPLSAVTLPFFLNPQDAFAAGGEYGILEGRSLALIHPIVMGGLFVYTLYAGYLGWQWRRVRTIQDEINELKKQEKPVPVTPEGTPLQSTTPSPLQVKIQQLSEERKELIKGQYKEKHFNAGSILLAFGVFESIGGGVNTYLRTGKLFPGPHLYAGAAITVLWAAAAALVPPMQKGSETARNLHIALNVLNVLLFISQIPTGWDIVLKVFEFTKWP >cds-PLY83894.1 pep primary_assembly:Lsat_Salinas_v7:3:48553811:48554155:-1 gene:gene-LSAT_3X37240 transcript:rna-gnl|WGS:NBSK|LSAT_3X37240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYIINGTPDNIFMHVRSKDDDLGKHNVTSNDYYHWSFCDRLDSTTTFDAEFWWGQSYDCLEVFAKLARRTCDRFGGIGFRVVYCYWLLRSDGFYISPVNVSFPNPYGAASAAPS >cds-PLY64571.1 pep primary_assembly:Lsat_Salinas_v7:6:33529850:33534948:-1 gene:gene-LSAT_6X25840 transcript:rna-gnl|WGS:NBSK|LSAT_6X25840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRFSPRSLLIWAIIIIIIIIIQSKILPSSSSPCQHHHTHDHHTNHQFQQKTTIFWEFEEESETWVQVNSPYDLVSCVNDTICTKVGVIDQIELDQEQKSKKDGDQQKKKIKKDDKKIGGLASRKRISLIKMSEDSIWVTGVSGSIYERFWNGVQWVIAPHELPVQAGYAVSVFLINHTVLALSEAGILYQMQLSENSQPIWIEYTPIQDSSTTMFIKSGVISHIRESIYFCTKNGLLLELTEADPPRWINHGKPPGADVAAIVNAPGIRAQVVFTISLSGDLYEFDRNSKPLWKKHIWSKESGQDIALTPSSGGSVHRRTGPHSDSLFLLTKGGNLVERKLHRRKWKWLLHGSPKDEHLTSITLVTPDETHTNPFSLFLTSASGSVFEYNISKQQDVDKEWVNHMHPPQTKVAKDVSGLNYQAGRLVFPLDDGRLAELHQSKTGGDVAGPTGVVSTRRRAYNNKYTWSIIDAPESEGGNAEYCTEDRGPLNCISGVKDDKWLRKRAGSKPQDQYYLTPPGKSKDVTYLPLERNFRLRVMHEARSFFLVSESGLVYEYLNVENVWFWLHHVYPMGMKGVVGNYNGSLFLVDENSNLLIRERFGNELTWINCTAIKKGRQVTGGPPWNLSPGKVALRKLKWKDCRNPWNVKIEGILDQETFRENIVFVVGKDGRLYQYNKVTGLWHGHHQSQHMVLSRQPGTAMRPWSKSLTGSLFMISEDGRLIEYHWNQIDGWGWVEHGAPWLEVTLVGSTGPCVGGTHLFLIGSNGNVYIRYLDLDQETWKWSDCGFPNQELKNETDNCDSKVSSTRPIQFMENSVIFELRDGRLAEMQRTEDLHWVWWQTIGTPVSRCNVVYWTAVAA >cds-PLY91200.1 pep primary_assembly:Lsat_Salinas_v7:3:76919451:76920585:1 gene:gene-LSAT_3X59640 transcript:rna-gnl|WGS:NBSK|LSAT_3X59640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYSVGDEFEDAGTRTSLSSIADAFEEISDLIIAGRNGDFAVLKLKPFCDACSFVSVLFGSLGIAFKFAEMEYTSKVRDLEEASERYVSLTSVVDYDVKCKTVKSHGSHTRNLRRVRQGLDLIRELFQNFLSTNDYSLKDAASSAYQQVCAPYHSWAVRTAVSAGMCALPSREQLLLNLNETEQSAAVQMRRYIKASLPVIKYIDNLYISRGINLDW >cds-PLY91942.1 pep primary_assembly:Lsat_Salinas_v7:8:201576759:201577710:-1 gene:gene-LSAT_8X128540 transcript:rna-gnl|WGS:NBSK|LSAT_8X128540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDESDTVTTEESGWTAYFEDFMVAQQHDHHNNHSVSDHDYYHHHQQQQQYQHQHQHGDHHHQQQDHDGPLLSDVASHVEWNTIDNSMSGVAPKFTKKLNLFKKTSRRTREILYDDSLEDTASSPVNSPKVGSQHMGFNQIKVDDIIENSLGKRGAFEDQSMERGFEEHNNGSTDLRKRGLCLVPLSMFVNYI >cds-PLY71103.1 pep primary_assembly:Lsat_Salinas_v7:5:195452958:195453464:-1 gene:gene-LSAT_5X86961 transcript:rna-gnl|WGS:NBSK|LSAT_5X86961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGRCKRLSENAQKWVGAYQEAYRRKRSGMSEKDIENEAHKYYEANGKGKFNDLVAFNEVMCKILKWAIQKDRGTTRSHPAYEEDNEESGGSTKICRTTKEGDYSNPETQSSGGTTTHRPTGRDAAKGKGKGKVSNETVEELRAIRLSRETEVEVMKKNSTWINKGNK >cds-PLY96685.1 pep primary_assembly:Lsat_Salinas_v7:8:251502891:251503221:-1 gene:gene-LSAT_8X148040 transcript:rna-gnl|WGS:NBSK|LSAT_8X148040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLYRVTSSYGLLLIGNGSTKIQLVYVADVASAVVVVVALKDDANSIGKVYELGGPDVYTLHQLVIDSHDFFCPVQNSN >cds-PLY64581.1 pep primary_assembly:Lsat_Salinas_v7:6:34218003:34219685:-1 gene:gene-LSAT_6X25540 transcript:rna-gnl|WGS:NBSK|LSAT_6X25540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLKLSSSFHDTTHTFQQPFPMQIMPKTLKLHVQTPNNSITVSSLPSLTSKTHIQNSQKHENDDELQVFTEDHRPLHQIWPEVQGSSNWNGLLDPMNSHLRREIIRYGEMAQACYDSFDFDPRSKYCGTCKYIGTHFFEKLDMADRGYSIHRYLYATSNINLPNFFQKSKLSSVWSQHANWMGYVAVATDENEIKRLGRRDVVIAWRGTVTYLEWIHDLKDILHPAHFSDDHSIKIESGFFDLYTSKEHSCHYCSFSAREQILSEIKRIKERYEGEKLSITITGHSLGAALALLSAYDIAEMKLNFTNTGEKIPITVFSFSGPRVGNLKFKERCENLGIKVLRIVNVHDKVPKVPGIFANEKNKHQKYIEDKIAFPWSYAHVGTEIELDHFDSPYLKRTKDISCAHNLEALLHLVDGYRGRGRGFSSVTKRDIALVNKSCDFLKEEYGVPAYWRQDENKGMVRGGDGRWILPERPRLDSHPPDTAYYLEQVLKFATKTTLNAL >cds-PLY73284.1 pep primary_assembly:Lsat_Salinas_v7:8:284683087:284684421:-1 gene:gene-LSAT_8X162580 transcript:rna-gnl|WGS:NBSK|LSAT_8X162580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASHPCDLVTLEPNRVVETLKNLTTRFCDYRVVDVVNLLPTANDGTITHLYMQGTVLDTAKSLIFPLPLVAAAHQQFLAGCRHADANGLDGLKVQIILCFN >cds-PLY86987.1 pep primary_assembly:Lsat_Salinas_v7:5:259679887:259681614:-1 gene:gene-LSAT_5X129761 transcript:rna-gnl|WGS:NBSK|LSAT_5X129761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTIPKLLVVDFTNDNLTPGTTTWSSTCNDIRVALENHGCFIALYNGVSTKLQESVFQAADELFDLPTETKIKNIVSKPYHGYVGQMPIVPLHEGLGIDYATDHEGAQSFTNLMWPNGNQSFCETSMLFSRAVKELENMVIKMIFESYGVGKYSDSHMDSTTYLLRYLKYRAPEMNETTMAFPSHTDKSFLTILHQNQVSGLEIRARNEEWISVEYPPSSFVVMAGDACKAWSNDRVLSPNHKVTMDKHGKETRYTIALFSFLSKKVEVPDELVDYEHPLKFKAFDHVDLLNYYVTENGRKSQNILQDFCGV >cds-PLY99875.1 pep primary_assembly:Lsat_Salinas_v7:4:45689050:45690221:1 gene:gene-LSAT_4X31100 transcript:rna-gnl|WGS:NBSK|LSAT_4X31100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFQRSIVDQVSGWLTVYNDGFVDRTWTGPPQFKFMSDPVPPHHNFINGVATDDLFTHPGSDLRVRVYLPEVPDSGKLPIILHFHGGGFCISKADWFMYYNTYTCLAREAGAIVVSTYLRLAPEHRLPAAIDDAYSTLLWLQDLANGKVHQPWLSSKGDFNRVFLIGDSSGGNIVHQVAKKAAGENLHPLRLAGAIPIHPGFLRSEKSKSELEKPESPFLTLDMLYKFLKLGLPVGSTRDHPISCPMGEVLQGVDLPPYMLCVAEEDLVIDTEMEFYEEMKKAGKKV >cds-PLY87910.1 pep primary_assembly:Lsat_Salinas_v7:2:28243904:28247978:-1 gene:gene-LSAT_2X14861 transcript:rna-gnl|WGS:NBSK|LSAT_2X14861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDLFQEITTPKASIFEIPTRLSADPSIVDLSVEGCCFKPPFLWVSSNCFGVEDGTVLKTFNHLLHRNRKVDFIEQFNKKLLVKQENENLQILDGKCKYWKWLDVEPAQMPVIDVVEGMKEDLVALKIEVDKVKEDMK >cds-PLY73969.1 pep primary_assembly:Lsat_Salinas_v7:5:104860974:104864318:1 gene:gene-LSAT_5X46160 transcript:rna-gnl|WGS:NBSK|LSAT_5X46160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose/UDP-glucose transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G31600) UniProtKB/Swiss-Prot;Acc:Q94B65] MDKDTTPPYLSLFAALSYGIASMAMVFINKAVVMEYAFSMTLLTLQQMATALLIHFGRIMGWTRAKPLNVDTAKKLILVSLFYNGNVAFALASLKGVNIPMYIAIKRLTPLAVLIAGLFYKKGKHSKQVILSVSLTAAGVMIAALGDFSFDMFGYMMALISVFFQTMYLVLVERSGAEDGLSSIEIMFYNSLLSLPFLAFLIIITGEFPSSISLLYAKSASLYFLVMFVLSLVMGIILNYTMFLCTIVNSALTTTIVGVLKGVGSTTLGFFLLGGVQVHFLNVSGLVINTLGGLWYSLAKYQEKLRKTTLPR >cds-PLY83829.1 pep primary_assembly:Lsat_Salinas_v7:3:50272707:50273153:-1 gene:gene-LSAT_3X38280 transcript:rna-gnl|WGS:NBSK|LSAT_3X38280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSYVSRLAWSNELKQTVLDFIKNGCVLWFEINNDLYISLDQLLMFFFSVSVDCGEKPYLTIVVVSYVYAYGSHSKKIDILNGRVGMIIGKGGETIKYLQPQSRTKIQLVKDMDSNPHSLTRTAKVIGSSKSITKDAQLIKNLLAEV >cds-PLY63630.1 pep primary_assembly:Lsat_Salinas_v7:4:131254550:131255196:1 gene:gene-LSAT_4X82440 transcript:rna-gnl|WGS:NBSK|LSAT_4X82440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKKDCLKDFQGINGLELQSPLITINNIYNSRQKIKNGEPDWYGVLHLISKPATIKEIYANYYKLHAKVEEGRNNVAGAEGAFKILMEALCMLTQTFWTRCPGCKFRFHYSNARNNKELVCIKCGNIFVAAPLTCDNYPTHFTMCRDVVLALSASSSNPEASDDQSEIKMMLMDKARTEILKQLYK >cds-PLY67492.1 pep primary_assembly:Lsat_Salinas_v7:6:73108528:73109172:-1 gene:gene-LSAT_6X52460 transcript:rna-gnl|WGS:NBSK|LSAT_6X52460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLLHWSKGSLACLAMIVMVFFESSKGDINKDKEMCENQLIRLESCLPYMGGEAKDPTKDCCSDLKIVLTKNRACLCIMIKYYKHSLGFKFNDTLALSLPDSCNTFANVSECLSLLYLQPGSTYSKIDEDHAKSTESKKKTIALPGETHLKNL >cds-PLY84820.1 pep primary_assembly:Lsat_Salinas_v7:8:24312045:24312623:-1 gene:gene-LSAT_8X20580 transcript:rna-gnl|WGS:NBSK|LSAT_8X20580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHPNYEAKIAKQKSAYSQVKAQGRPITDGRTVIDQREIRNSKYILANAEYKPKPRGMKEIGTRSTESRGRVPIYKLPYGRKLTGTPDALNGTPCNDMRRKADIHNRQCGWHSHQATGRRFPRLHPALCREERKVHAPPQYEQQSSSLSRWAPPAATGRNPIKKHFAADEAQKHNRSEVAIAEHSIQNKAEA >cds-PLY66200.1 pep primary_assembly:Lsat_Salinas_v7:2:168127974:168130946:1 gene:gene-LSAT_2X90520 transcript:rna-gnl|WGS:NBSK|LSAT_2X90520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CW7 [Source:Projected from Arabidopsis thaliana (AT1G59520) TAIR;Acc:AT1G59520] MLGDGGESPSRYELLSMVKKHSNLIGKTVVDEQDASDVEMDQKFWHDVLDLYFIGGRDSKEQQDDDLLFFVRKMGLHGYGFNDNLEGNSPYFVRRWAAKLDDLVGESSLIIDWRRSLYLNLIAHTSYSVTVAICSRQSLQNYQSNQGTPLSPIYKILKTVYASPSRVNFHLDSRKEVETKPAYPDICFAVDDFDSTFDAVVLTDTDHCYCVLLNAVGGAAFPTQIPPQDDTSSSETGQINKTKLTLFSGFVSYQMVREAYDAGKSGFGSLLAFGHSSGKTKTDRIYMKGPGGRGEVEVAVSGVADHSLDEVGFGSPHSPLHISEGGFKIGAMVRKAASVAQVAAKNAYAAAAATRNNSDGGMDMVPLKCCLMSISLPWEHIAHDLLFKLKADVFQ >cds-PLY99551.1 pep primary_assembly:Lsat_Salinas_v7:4:70702395:70705301:-1 gene:gene-LSAT_4X49140 transcript:rna-gnl|WGS:NBSK|LSAT_4X49140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLTRRFPATTIGLLAWGLMSKRKEYRVWPEDVLPEQLTVTPLNYSTMANKHLSIISQKRCSFFLISWEIFIREDHRKQMELEEARKAGPAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRRAKIYQADKYRKGACENCGAMTHTTKTCMERLLKLRAKWTSKNIAPDKKIETFELDYDGKRDRWNGYDATSYAHVIERYEARDEAKKKFLKDHQLKKLEEKDAQYYGEIGIQYGTGAISGIFSQESAQFGDLVVKEHDFIEATKEPASLSSQPSLMVFLALDFKKSLFEMLFLCGGNQNRVSGQALEFNQLNIHAWEAFEKGNDVHMQAAPSQAELLYKNYKVKK >cds-PLY88432.1 pep primary_assembly:Lsat_Salinas_v7:8:87516593:87526969:1 gene:gene-LSAT_8X63241 transcript:rna-gnl|WGS:NBSK|LSAT_8X63241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFLQKLTRTTIKDIYFYLPKESLSHGIHILVNEGDYKEFLDLAYANERRMNVYADHQNELILKWIEEEETKDEDYNYEEDEDSVLLDTYFVNHEEDDVEYPFLANKSMGDRGSGAGPSSSRGNGVAAGQQPPPPTSATQPSPPTAASATQPPPPPPSVAQPPPPTT >cds-PLY97449.1 pep primary_assembly:Lsat_Salinas_v7:2:43508583:43515180:-1 gene:gene-LSAT_2X19161 transcript:rna-gnl|WGS:NBSK|LSAT_2X19161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAQYDLSFKILLIGDSGVGKSSLLVSFVSNSLEDISPTIGVDFKIKQLTVGGKRLKLTIWDTAGQERFRTLTSSYYRGAQGIILVYDVTRRDTFTSLLDIWAKEVERYSTNQDCVKMLIGNKVDKDSERFVSKEEGVALAKELGCLFYECSATTLENVHKCFEEIALKDLIFSRIYLIIKVKTDLFFTVYDVTRRDTFTSLLDMWAKEVERYSTNQDCVKMLIGNKVDKDLERFVSKEEGVALAKELGCLFYECSATTLENVHKCFEEIALKDLIFSRIYLIIKVKTDLFFTVYDVTRRDTFTSLLDMWAKEVERYSTNQDCVKMLIGNKVDKDLERFVSKEEGVALAKELGCLFYECSATTLENVHKCFEEIALKVKLNL >cds-PLY80831.1 pep primary_assembly:Lsat_Salinas_v7:4:283856712:283857147:1 gene:gene-LSAT_4X144561 transcript:rna-gnl|WGS:NBSK|LSAT_4X144561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDDFLQVKSSVRFLKPKECEREGEREKMGLISEDRKQEIASFKKNTNKVKDDDEQKSWFIGPEDGRKLAKEYSRVLMRKHRAMQAAETGLLILKMQRLTRSHACHMIPVLVLFAFYMFIF >cds-PLY74147.1 pep primary_assembly:Lsat_Salinas_v7:9:13041102:13047113:1 gene:gene-LSAT_9X10100 transcript:rna-gnl|WGS:NBSK|LSAT_9X10100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGETRSESISPERRYVWRWFPTVGRVKTGGMVNNQRERRCNVKRRCNDRWVLIFMCHVSSISVAIKKGNPVLYKYNFPFSESTPGVLISRGWKESMRNSNPIPTRERNQNRPNLRFRKVVQCLCSCKRSNSPNEMIPSSESTGTKDYSISLYSSQTVGENDKKPDTVSIEEAELSLRESGSLNYEEARALLGRYEYQKGNIEAALHVFEGIDIATITPKIKISLAIRAQPRKKRSHNYATPPLSPHALSLLLEAIFLKSKSLQILGRYKEAARSCKVILDIVESSLPEGLPENFGADCKLQEILNSAVELLPELWQLSDSPQEAISSFRQALLHHWNLDDETKAKIELDFAIFLLYSGDKEAAPPNLRSQMDGSFIPRSNIEEAILLLMILLKKINLKKIKWDPSVLDHLSYALSVSGGLGALGKQLESLIPAVVDRRERYLLLSLCYYGQGDGLSALNLLKNIYKQEDPNCVPALLLASKICGENPDSDSDSMEEGVRLAKRIIHLENNCDEMVGVAYSLLGVSLSGYSKSALTDSERVERQSEAMKALETAGRLTGMNDSRVVYELSLQNAEQRKLDVALGHARHLIKLEGGSGLKSWLLLARIFSGQKRFLEGETIINAALDQTGKWDQGDLLRMKAKLQIAQGQVKNAIETYTQLLAVLQVQNKSFATQMTNLKVAGKRHKDLELETWHDLAMLYISLSQWRDAEACLLKSEAISYYSSSRWYITGLLYEAKGLEKEALKAYEMALDIDPSHVQSLVSMAMVLKRLGGGLGSGSGSGSGPVVRSLLTEALRVDRMNSWGWYNLGQFYKEEGPMFIKEAVECFEAASVLKETEPIEPFR >cds-PLY88500.1 pep primary_assembly:Lsat_Salinas_v7:5:46343556:46346274:-1 gene:gene-LSAT_5X22480 transcript:rna-gnl|WGS:NBSK|LSAT_5X22480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEKRCLYEVLGVHRDCTADEIRSAYRKLALQRHPDKLVKSGVSEAEATASFQELVNAYEVLSDAKERAWYDSHRSQILFSSSNSNNSSPAIVPDLFGFFSNSVFSGYSDKGTGFYKVYSDVFDKIYRNELNFAKKLGLGDVVKEAPLMGNLDSPYAQVNAFYGYWLGFVTVMDFVWADQYDAMAGPNRKSRRLMEEENKKTRKKARREFNDTVRGLAEFVKKRDKRVIDMQVKRNEEIERKKEEERLKKKEMEREKAERARAYEEPEWAKVEDDEISEYEEEIEKKNELYCVACGKKFKSDKQWKNHERSKKHKEKIAALREVFGDEDRENKYTEEVASDKDEFASADEVHEEVDNLKNPFAGGYENKDYDQESELTEDEENVDVDNGGKGRKPVAPFKKAYIEVEDEEVDLMEYNNNKKSRRKKGNQKEKNRTAEEEEEEKHQNNGNDDHDQPSSSSQLHSENVDKDDKGDNVPSQSINVSKQAPTKKVANKKETAPKSKNASKGRKQKGTSKSSSLTCDTCGEDFESRNKLHKHLSDSGHATLKSR >cds-PLY68345.1 pep primary_assembly:Lsat_Salinas_v7:8:298596085:298602062:1 gene:gene-LSAT_8X164701 transcript:rna-gnl|WGS:NBSK|LSAT_8X164701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNAPIVVTTCDASQSFSKLLIRTPTQMLLKAFYKHPTDFPYQKSTHALNLGFFIPQIMIHILPTSILIASFLTRNRTLYIHRATKSTIAGTWVSVPTEIEGFLVAAHGLPMVATKNGGPVDIHRVLSRPEQLNKQARTLNKQCLQSLISGTTKWVMVINHQQYDEKADLFSFVIVLWELVTAKVPYEKMTPLYATMGVRQRMLRRSHYKRMLRCSSHHRSSPKDGVRSDRDKQRGTRETRDRDRDKDRSKEDRNGKGRDKDRERERDRGGDRDRERDRVRVKREHGREPEKEREDRDQEKEKEHERPHRSGSKIRKTCECKGQGKEP >cds-PLY63800.1 pep primary_assembly:Lsat_Salinas_v7:6:24355083:24355981:-1 gene:gene-LSAT_6X19421 transcript:rna-gnl|WGS:NBSK|LSAT_6X19421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVKNQKVNTLIFIFITSNFLFAAQSNSWIGSKYQIECTMCAACDNPCSPPTFSPPPPPPPSPSPPPPSIPKPSPPTSIDCPPPPASPSSGGSGGGSGYYYPPPPNSDQGPYYTYPPPYSNYPTPGPPNPIMPYFPYYYYSPPPPGPSAAVSLPGATTLFLLSFILLFFF >cds-PLY75010.1 pep primary_assembly:Lsat_Salinas_v7:1:111846417:111847492:1 gene:gene-LSAT_1X90541 transcript:rna-gnl|WGS:NBSK|LSAT_1X90541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGQTFGFMPRDIRALVVDASASLIPINGSSFEKLGDCKEFMVKALERSKKRNASTLGNPKVANVKWEDVGELEDVKKSILDTVQVDELEKTIFVAAKDPSLYGIKQVELEKRRKWTTTSPIQVGNIKKAVIVAGRSSNFGGMRQKLMRIPKSHQQHDKDRTRTRSYAVVDNDDFISSESDT >cds-PLY90447.1 pep primary_assembly:Lsat_Salinas_v7:9:93092835:93093380:1 gene:gene-LSAT_9X70880 transcript:rna-gnl|WGS:NBSK|LSAT_9X70880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANPFHALLYLVFMLTACALSLFSKTWIEVSGSCARDVAKQLKEQQIVMLLRYNFGSNPAGSFSVMLRLGFGQPIKVNWAYVTVQREDTSGHYNIFVGDLSPEVTDAMLYARFSVYSNCS >cds-PLY96411.1 pep primary_assembly:Lsat_Salinas_v7:4:344305211:344308338:-1 gene:gene-LSAT_4X169921 transcript:rna-gnl|WGS:NBSK|LSAT_4X169921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETNGGSAAAAPPPQQQRRVPPMIALPPQTSFETLFTGGPGPGFSPGPMTLISNFFSDHNTDIDCRSFSQLLAGAMASPASQIPPTSMFDDCSSSKQPDSEKKQGGFKQNRPMDLVIAQSPSGFMFPSTFSPSGLLNSPGFFSPLQSPFGMSHQQALAHVTAQAALSQSYFNQIQPENQNKSSQSHEEPQIVNTKSDLSDSQIGSPDDVSFSQSDISEPRHVITAPTDTNDGYNWRKYGQKQVKASEHPRSYYKCTHANCPVKKKVGQSFDGHISDIVYKGQHNHDPPLVNKRGKESADVSKPNNYQFETRVVEAAAQPARDIPRSNELIRIDESDDEPNPKRRNTEVGPVAAPVESNFSHNKMVAEPKIVVQTRSEVDLLDDGFKWRKYGQKVVKGNTNPRSYYKCTYAGCNVRKHVERAPSDPKSVVTTYEGKHNHDIPVSRHRAYNTEKGTNVKSSSQRKETKFGNNERPVLLQMKEEKITA >cds-PLY83237.1 pep primary_assembly:Lsat_Salinas_v7:9:122910039:122912813:1 gene:gene-LSAT_9X82220 transcript:rna-gnl|WGS:NBSK|LSAT_9X82220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFCSWFNERLGFLTVGSPLTSDGKERLLIYEYMINKSMDTFLFDPKKRMQLDWATRFNIIQGIGHRLVYLHWDSSLRIIHKDLKCSNILLDGKMNPKISDFGLALLFLKCGLIGSHLCGSITRTVRRGNPIGIKDLNEHSLKLGVASHNLWLSSPHVADSCSFFLSSARSLFQQIILAHEKSFEAANYSLIKSTFFSAHSLIRPFIEPLLHALYVKNSIDSLFDLGCAWLRIGGLRYHLLLCCDDVDPAVKKKLKYYQPTERIASLELDIEALSALQFLLNRVRNLQETVSNLDDFIRTSNIGEFRKHVQLVSAFHGHISTDISQGSNLSNVMIKVKVCMWRGLPVTHAVGNGVKRLVVIVSSVIFFQTLISPINSLGTSLALAGVYLYSRVKRIKPTPKPKAA >cds-PLY67793.1 pep primary_assembly:Lsat_Salinas_v7:9:165466994:165470574:1 gene:gene-LSAT_9X103341 transcript:rna-gnl|WGS:NBSK|LSAT_9X103341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPQPEPVTYICGDCGQENTLKVGDVIQCRECGYRILYKKRTRRIYPVILGFAPLGLSDPEGTGGFIEPKWLAYGEVINGRFAMLGAAGAIAPKIFGKLGLIPPETALPWFQTGVIPPAGTYSYWADPWTLFFMEMTLMGFAEHRRFKDWYNPGSMGKQNFLGLEKGFSGSGDPTYPGGPFFNPLGFRKDEKSMKELKLKEIKNGRLAMLAILGYFIQGLVTGVGPVQNFLDHLADPVNNNVLTSLKFH >cds-PLY69510.1 pep primary_assembly:Lsat_Salinas_v7:6:44439045:44442475:1 gene:gene-LSAT_6X31540 transcript:rna-gnl|WGS:NBSK|LSAT_6X31540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35220) UniProtKB/Swiss-Prot;Acc:Q949Y5] MSTLTSCSFTSTLNLGFRLRPNRIDLAERYRLYNRRNDGTIKNSYILSSRELLSKKRRRIIGLDSDNKRFIGGFECSASGRDNNNGNSGNGGDDDDMSKDSNLATISPEETTVGGEADSDKKPVPPPPTSISSRPPTISPISSSYTNFQVDSFKLMELLGPEKVDPSDVKLIKDTLFGYSTFWVTKEEPFGDLGEGILFLGNLRGNREEVFTKLQTQLSEIMGDKYNLFMVEEPNSEDPDPRGGPRVSFGMLRKEVSEPGPTTLWQYVIALLLFVLTIGSSVELGIASQINRLPPEVVKYFTDPDAIEPPDMQLLYPFVEAALPLAYGVLGVQLFHEVGHFLAAFPKKVKLSIPFFIPNITLGSFGAITQFKSILPDKKTKVDISLAGPFAGAALSLSMFGVGLLLSLKPDAAADMVQVPSMLFQGSLLLGLISRATLGYAAMHGATVSIHPLVIAGWCGLTTSALNLLPVGCLDGGRMVQGAFGKEALVGFGLTTYSLLGFGVLGGPLSLPWGLYVLICQRSPEKPCLNDVTEVGTWRRTVVTVAIFLVVLILLPVWDELAEELGIGLVTTF >cds-PLY62961.1 pep primary_assembly:Lsat_Salinas_v7:2:212178636:212179724:1 gene:gene-LSAT_2X132401 transcript:rna-gnl|WGS:NBSK|LSAT_2X132401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVGSIACENLNKDSCAFAVSSSGKRCVLEKNVRRSGEEVFVCSTSQIDTNKLASWIETDECLDACGLNRNILGISSDSLLESRFMQKLCSTQCHNGCPNIVDLYNNLAVGEGVYLPSLCQAQGNIKRRGMSEIRSSGYIGAPGPMVPVKFMVDGSLAMVPSPSGSC >cds-PLY71532.1 pep primary_assembly:Lsat_Salinas_v7:7:28951019:28951670:-1 gene:gene-LSAT_7X22681 transcript:rna-gnl|WGS:NBSK|LSAT_7X22681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMRLSVEGVVDAREPNTFHGPCSSFSSFSSSNLDTESTVSFFQDNSVSLGRLIGIKPRDNRTLYFTRASCIPPQQRSQSSKVSSNDHQIENSHGVCVPNLLNILVKMSRSKSHSR >cds-PLY75856.1 pep primary_assembly:Lsat_Salinas_v7:9:195567021:195567314:-1 gene:gene-LSAT_9X120120 transcript:rna-gnl|WGS:NBSK|LSAT_9X120120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLDEISPRYTQEMYSLLSHNYNNFSSEVAQFLVGVSIPYCILNLPNVFMSGPMGALINAYELLWILILHMKFGMNNNAYDT >cds-PLY84674.1 pep primary_assembly:Lsat_Salinas_v7:2:151388941:151392712:-1 gene:gene-LSAT_2X78240 transcript:rna-gnl|WGS:NBSK|LSAT_2X78240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDLAILMLAAFAIFFSLQHEGDFSFKEAWYHLSDEYPIKYEGERLPPPIVSDLNGDGKKEVLVATHDAKIQVLEPHSRRVDEGFSEARVLAEVNLLPDKIRVASGRRAVAMATGVVDRTFKRGQVQKQVLVVVTSGWSIMCFDHNLQKLWETNVQEDFPHNAHHREISISVSNYTLKHGDAGLVIVGGRMEMQPHMHLDPFEEIKMAEESADQHRRSASEKESADASAAVDLRHFAFYAFAGRTGSLRWSRKNENVEAAPSDESPLIPQHNYKLDVHALNRRQPGEFECREFRESVLGTMPHHWDRREDTMLKLAHFRRHKRKSVKKTPGKSSTYPFNKPEENHPPGKDSSKKISNLIGKAADFANSAKTKKASPYVPTITNYTQLWWVPNVLVAHEKEGIEVLHLASGRTLCKLHLQEGGLHADVNGDGVLDHVQAVGGNGAEQTVVSGSMEVLRPCWAVATSGVPVKEQLFNVSICHHSPFNLFPHGEYSRSFGRGLDVTSLEVATPILIPTNDGHKHRKGSHGDIVFLTNRGEVTAYSPGLHGQEAVWQWQLLTGAIWSNLGSPAGMMDAGYVVPTLKAFQLRMMHDDNNQQQHLILAAGEQEAVVVSPRGSLLATIELPAPPSHALVDADFSNDGLTDIIVVTSNGVYGFVQTRQPGALFFSTLVGCLIVVMGVIFVTQHLNSIKGKPRLPSGGHI >cds-PLY86143.1 pep primary_assembly:Lsat_Salinas_v7:6:156056569:156061091:1 gene:gene-LSAT_6X95060 transcript:rna-gnl|WGS:NBSK|LSAT_6X95060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 26 [Source:Projected from Arabidopsis thaliana (AT4G17570) UniProtKB/TrEMBL;Acc:F4JP80] MGKQGPCYHCGVTSTPLWRNGPPDKPILCNACGSRWRTKGSLVNYTPLHARSEPDDFEDHRVSRVKTISIKNKEAKLLKRKPNYDNVVGGGTASFMLGSGSGGGGGGGSGGGGGSGGGFGYDHHNQGFRKGFDEDTSNRSSSGSAISNSDGYMQLGSADASDLTGPSQSSIVWDTMVPSRKRTCINRLKQSPVEKLTKDLHTILHEQQSYFSGSSEEDLIFESDTPMVSVEIGHGSVLMRHPNSTTREEESEASSLSVDTKNEAYSRITSFPVYNVNKNGNFSSRPKNPITQDHANRDNDDKFQILMNHNSPLCKIDLKDVINFEEFTNHMTNDEQQRLLKYLTPVDTVQVPNSLKSMFDSPQFKENLSSFQKLLEEGVFDLSLKNENGRSLKKLALCNAMKSEWVEKYNMVQDTKCKYDNGGSVVGGGRNGMIPGHSVNIKRLRDAQFQPYQGPKTTMKSPKRTSMKASYEQQQKEIIDNDAPGFSPRSLFALPPDNTSLMLDSFKYADENCDQDLLLDVPSHTSFPQAELLRPTSTSSSSIYQNFGGP >cds-PLY62657.1 pep primary_assembly:Lsat_Salinas_v7:1:35465208:35465950:1 gene:gene-LSAT_1X30300 transcript:rna-gnl|WGS:NBSK|LSAT_1X30300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEISKEQQHQQHHHQTAVNPPNPDGSKKSPVGQREEDEYLLLKLGGGEEVATRPSKRVRSGSPGSGCVGNYPMCQVDNCKKDLSTAKDYHRRYKVSEVHSKAGKALVGKQMQRFCQQCSRFHPLSEFDKGKRSCRCRLADHNRRRRKTQPEDVASQLLIPANGDNANDMDIVRLLIVLARAQGNIIYTVIPLLFDFKLSVGSISHLTLGCGNDVLFI >cds-PLY71911.1 pep primary_assembly:Lsat_Salinas_v7:3:24368592:24369828:-1 gene:gene-LSAT_3X18001 transcript:rna-gnl|WGS:NBSK|LSAT_3X18001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFYLDEEEVWKCPKHPSKRRRSGICPTCLRERLVTLCPECANTRPCACCPAPADSTSSSSSSSSSFSIFQFSRGGSLRDGFLSSAAAGEVGRVSNLIESEPAFRKSRSLAIPFLRSRSKYVGGRFDQQFVEEDKKPLPRVSRSKINFWSVFKVNKTKKCDAHGGEQDDESNKSDISVATEDYSRMARSRSVAVGASHSFSPVAAKRRGWYFPSPMKAFRQSKTSKLQLHERSPMHRG >cds-PLY84955.1 pep primary_assembly:Lsat_Salinas_v7:2:123839603:123840031:1 gene:gene-LSAT_2X57141 transcript:rna-gnl|WGS:NBSK|LSAT_2X57141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSMNRLRKRCYCGDPVGRWTSWTPFNPIRRFIGCPNYQNGLKDCKYFRWVDPPLPSQWYADLLLVLHNNVDLENHRIFGEFGQEQPAGNFFGDVVEQPMAQQPIAQQAVEGGRWKSLLYVSVVSFVYLLVMLMDW >cds-PLY89997.1 pep primary_assembly:Lsat_Salinas_v7:3:83883849:83885813:1 gene:gene-LSAT_3X65241 transcript:rna-gnl|WGS:NBSK|LSAT_3X65241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METSVITTLGLAAIIFILFKLVTRPKSKKNLLPEPWRLPIIGHMHHLIGTMPHRGVMELARKHGSLMHLQLGEVSTIVVSSPRWAKEVLTTYDITFANRPETLTGEIVAYHNTDIVLAPYGEYWRQLRKLCTLELLSNKKVKSFQSLREEECWNLVKHIRSTGQGSPINLSENIFKMIATILSRAAFGKGIKDQMKFTELVKEILRLTGGFDVADIFPSKTLLHHLSGKRAKLTNIHNKLDNLINNIMAEHPGNRTSSSQETLLDVLLRLKESAEFPLTADNVKAVILDMFGAGTDTSSATIEWAISELIRCPRAMEKVQAELRQALNGKERIQEEDIQELNYLKLVIKETLRLHPPLPLVMPRECREPCVLGGYDIPSKTKLIVNVFAINRDPEYWKDAETFMPERFENSSITVMGSEYEYLPFGAGRRMCPGAALGLANVELPLAHILYYFNWKLPNGKTFQDLDMTESFGATVQRKTELLLVPTDFKTLEASSY >cds-PLY69261.1 pep primary_assembly:Lsat_Salinas_v7:2:128404066:128406055:-1 gene:gene-LSAT_2X60101 transcript:rna-gnl|WGS:NBSK|LSAT_2X60101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDQKIHPQSYWRWSKEDFFPEESFRDWSTYRYALSHTYSRFKDRLLSRSEDSDEIEKLKKQSENEMKRCLNWWDLMWFGFGAVIGAGIFVLTGQEAHDNAGSAIVLSYLASGLSAMLSVFCYTEFAIEIPVAGGSFAYLRVELGDFAAFITAGNILLECILGGAAVARSWTSYFTSLLNRESNSLRIHTNLAEGYNLLDPIAVCVLAIASIIAVSSTKNTSKLNWIASAINTIVILFVIIAGFMHADTSNMKPFAPHGPEGVFRAAAIVYFAYGGFDTIATMAEETKNPSRDIPLGLLGSMSIITIIYCLMALSLSMMQKYTDIDPNAAYSVAFEKVGMKWAKYLVALGALKGMTTVLLVGALGQARYITHIARAHMIPPWFALVHPKTKTPINATLLITICSGCIAFFSSLDVLASLLSISTLFVFMMMAVAIIVRRYYVKGVTPRSSLLKLVGFLVIIIGSSIGTSACWGLDPSGWVGYTVTVPLWFLGTLGISLMLTQQRTPKVWGVPLVPWIPALSIATNLFLMGSLEYMAFIRFGICTVIMLIYYVLFGLHATYDMAHHQYEKEPNVIDEDSVGKP >cds-PLY84085.1 pep primary_assembly:Lsat_Salinas_v7:6:190871864:190872352:-1 gene:gene-LSAT_6X117680 transcript:rna-gnl|WGS:NBSK|LSAT_6X117680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCMGKGASGFSTGMYGDEIVEMITTVMSYAFGNGLVQTTQPCRIGLLLWSCKTLLEDLSGFIRKIIDILVVQFEEQVAAIQSLDEIMEASQDRELSYEIQLGRRKWVQDLNLLVTIKKV >cds-PLY71749.1 pep primary_assembly:Lsat_Salinas_v7:3:45383109:45385249:-1 gene:gene-LSAT_3X35520 transcript:rna-gnl|WGS:NBSK|LSAT_3X35520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASASFKFSGMSDMSGTTSRDHRVSFPANNVFSVQFQRSFNYLKCVSRSSFVSSVIGVACVKSKSEVVTIKEEAKDIIEAEAPVVIVTGASRGIGKAVALALGKAGCKVLVNYARSSNEAEQVCKQIEEAGGQALTFKGDVSKESDVASMIKTAVDAWGTVDVLVNNAGIIKDGLLMRMKTSQWQEVIDLNLTGVFLCTQAAAKIMMKKKKGRIINITSVVGLSGNVGQANYSAAKAGVIGFTKTVAKEYSNRGINVNAVAPGFISTDIIANLGEDIKKKLLETIPMGRYGQPEEVAGLVEFLALNPAAAYMTGQVITIDGGMVM >cds-PLY69292.1 pep primary_assembly:Lsat_Salinas_v7:4:104947537:104950330:1 gene:gene-LSAT_4X67401 transcript:rna-gnl|WGS:NBSK|LSAT_4X67401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLACTLRTRRMVALNSFEGFFVLQVFATGSKSVVLHLYFDGYGYHGTSFEQTYRCYPASFIDKPQLENGDKIIMPPSALDRLASLHIDYPMLFELRNAATERISHCGVLEFIAEEGMIYMPYWMMENLLLQEGDIVRVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNFSCLTTGDSIMVAYNNKKYYIDIIESKPSHAITIIETDCEVDFAPPLDYKEPERPVASIPSTKPPSEGEAAPVEEPKFNPFTGSGRRLDGKPLKYQPPPVSAASSTTKDKQVAVSGGGVPPPSTGSSSQSSSRQSQGKLVFGSNANRSAEPQKQKEVAKESKQEQDPKKDEPKFQAFTGKKYSLKG >cds-PLY76150.1 pep primary_assembly:Lsat_Salinas_v7:4:53213909:53216826:1 gene:gene-LSAT_4X36480 transcript:rna-gnl|WGS:NBSK|LSAT_4X36480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVSDHINQRFFVAVHVGAGYHSPVNEKALRSAMKTACLAAASVLRTGSGGCADAVSAAIETLENDPCTNAGRGSNLTEDGDVECDASIMDGSSGAFGAVGAVPGVRNPIKIAALLVKEQILGSSLLGRIPPMFLVGEGARVWANSKGINIFETIEKANEWLITRKAKEQWKKYKAMLDDAKAEITLVKSSSSHQQIDNSSDKGEDNIMDTVGVICIDKQGNIASGASSGGIALKVSGRVGLAATYGCGCWASSNVGCCVSGAGECLMKLFAAHQCCISPLLSHAGPVAACSKVLESVIEDNSDTSAGFLLVQAEAPLVGPGSSRELKAVEIAAGFTSLSFGIGYFGNSMDHPKVSILRSTKQDNTRDICQFAALVNLSSSPIRYH >cds-PLY91021.1 pep primary_assembly:Lsat_Salinas_v7:7:178279519:178280200:1 gene:gene-LSAT_7X105480 transcript:rna-gnl|WGS:NBSK|LSAT_7X105480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRSAMLNIIKRQMAYQKARPTSHPACDVFINHRGIDTKRSIASLLYHQLLLSRIPSFLDNKNMKPGDKLFENIDSAILGCKIGVAVFSPRYCQSYFCLHELALMMESGKKVIPIFCDVKPSELRVSDNKICSGSEIKRFGYAIEEAKNTVGLAFNTTKGNWSDVVTYATELVKESLIEIENEKHATRQAQILY >cds-PLY93501.1 pep primary_assembly:Lsat_Salinas_v7:9:87075492:87080935:1 gene:gene-LSAT_9X68580 transcript:rna-gnl|WGS:NBSK|LSAT_9X68580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKWRAWEEETKTVEYQYHHDPERFRFARETTFGRRHLRVWSNSTVLLWIGCFFRQFLRSVPKVDYLTLRHGFINTHLPAESQQRFDFQKYISRSLEEDFKVVVGIRTHEMADVVKGTPMVQPGDDLFWFGRPKLVLLLINFVLFQNAFQLAFFLWSWVSIVTPKWAIINFLNYLCTKKDMDPFMRNKVVIQFLCSYVTLPLYALVTQMGSRMKPTIFSEDVAKALKTWHHTAKKNIKHGHSPSNSPFSSRPGTPLHGSTSPMHLLHRYPDNSLDSLSNSPKGSHFEHEGWANESPQRHDQRYEDAENIRKDEIRDIEEGEIQESTSSTQLPIGPRPVRGQHEVDISDFSFGREK >cds-PLY73399.1 pep primary_assembly:Lsat_Salinas_v7:8:305855256:305861981:1 gene:gene-LSAT_8X166941 transcript:rna-gnl|WGS:NBSK|LSAT_8X166941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLHKVYHRFSLFSLTSPPFLPHPSATLFSSYSPSFLSSKRCRISSSTPPSTLNPFLQTMSTSRLHNLVPLAAIATEDAAGPNGSTATPEDDELNSGYRLPPAEIRDIVDAPPLPALSFSPQRDKILFLKRRSLPPLSELAKPEDKLAGVRIDGKSNTRSRMSFYTGIGIHDLRDDGTLGPEKLIHGFPDGSKLNFVTWSTDGRHLAFSIRQDQEEEDDVGSKLRVWVADVETGKAKPLFQSPDVFLNAIFDNYVWVNDSTLLVCTIPASRGKPPKKPLVPSGPKIQSNEQKTVVQVRTFQDLLKDEYDEDLFEYYATSQLLLVSLDGSVKLFGEPAVYTSLDPSPDQKYILLSSLHRPFSFTVPCGRFPKRIDLWSAADGKFLRTLCDLPVAEDIPIAFNSVRKGMRSLNWRADKPSTLYWVETQDGGDAKVEVSPRDIVYTQAAEGEDHPSIFHKLDLRYGGISWCDDSLALIYESWYKTRRVRTWLVSPGKSSNENPPAPRLLFDRSSEDVYSDPGSPMLRRTPDGTYVIAKFKKEDKQATYLLLNGNGATPEGNIPFLDLFDIDTGEKERIWHSDKEKYYESVVALMSDQKEGELQVNELKVLTSKESKTENTQYYIQRWPERKACQITRFPHPYPQLASLQKEMVRYERKDGVQLTATLYLPPGYDPTRDGPLPCLVWSYPGEFKNKEAAGQVRGSPNEFAGIGPTSPLLWLARRQFAILSGPTIPIIGEGKEEANDRYVEQLVASAEAAVEEVIRRGVAHPGKIAIGGHSYGAFMTANLLAHAPHLFSCGIARSGAYNRTLTPFGFQNEDRTLWEATDTYIKMSPFMSADKIKKPILLIHGEEDNNSGTLTMQSDRFFNALKGHGALSRLVVLPFESHGYSSRESIMHVLWETDRWLQKFCVSNSCEEKEDADETKATVASGGGAVAEIEDIGVDTLHYNTRSSLWFGFICILLGNFVLSLGDFCGTGSMVGDGDRSCCRALILWTETVTGGGVGHRICERG >cds-PLY90693.1 pep primary_assembly:Lsat_Salinas_v7:8:142985660:142986781:1 gene:gene-LSAT_8X96580 transcript:rna-gnl|WGS:NBSK|LSAT_8X96580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEALNSETESTTTTISDPFSKELSLEDPNRKWIKRSRENAEDDDGNSSSSKATKNNSKHPVYRGVRRRAWGKWVSEIRQPKKKSRIWLGTFPDPEMAARAHDVAALSIKGKSAILNFPELSDILPRPISCSPCDVQAAATKAASMVHLNQITTAMTPSTSASSTCSAASTLTSYSGKPPSEELSEIVELPSLGEGFNSNDFVFVDSGWDYFSSSPWVEGGDGGCFGGEPLSVMPSTSGHVISPGSFFFDALLWQY >cds-PLY68558.1 pep primary_assembly:Lsat_Salinas_v7:2:21447343:21450976:-1 gene:gene-LSAT_2X10080 transcript:rna-gnl|WGS:NBSK|LSAT_2X10080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGVEQLYLFRSYAAASNSELGKCLSWFPVERSTNQFTLIAWDPKVTIGNLIYEVDQDSGGAAKFVPTRDFWGTSSTENALGSNHRLSNYVATNVSAPTIDDSKLYTTARLFPLSITYYVRCLPNGNYTVTLHFAEIVFRDNVFSESWNTFVLCIYPGHDNSSLVLDWPTRQNIYVGVVRGLTFLHEESILRMVHRDIKAANVLLDRKLTPTIADFG >cds-PLY89170.1 pep primary_assembly:Lsat_Salinas_v7:3:20739514:20742100:1 gene:gene-LSAT_3X15500 transcript:rna-gnl|WGS:NBSK|LSAT_3X15500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCCYSTAGRRVPAREDPRTLASQTSFSVSEVEALFELFKSISSSVVDDGLISKEEFLLALFKNKRKKNLFANRIFSLFDVKHKGVVDFGDFVRSLDVFHPKAPLEDKINFSFKLYDLDGTGFIERHEVKLMLIALLRESELRLADETIEMILDNTFSDADANRDGKIDKSEWQAYVTKNPSLLKIMTLPYLRDITTTFPSFVFNSQVEEEITS >cds-PLY91847.1 pep primary_assembly:Lsat_Salinas_v7:6:32699022:32700468:1 gene:gene-LSAT_6X26321 transcript:rna-gnl|WGS:NBSK|LSAT_6X26321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGKKVVDVAFKASKTIDWDGMAKMIVTDEARKEFSSLRRAFEEVNSTLQTKFSQEPEPIDWEYYRKGLGSRIVDSYKEYYDSVEIPKFVDKTTPEYKPKFDALLVELKEAEQKSLKESERLEKEIADVQDLKKKLSTMTADEYFEKHPELKKKFDDEIRNDYWGY >cds-PLY63741.1 pep primary_assembly:Lsat_Salinas_v7:9:85682051:85682389:1 gene:gene-LSAT_9X67740 transcript:rna-gnl|WGS:NBSK|LSAT_9X67740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNFDQNATGDQPQMFIKEVGKRKFVDRYGDRSGIRMWGFEADKNMWVVKRRSRIIEYYEKKVDFLFWTKLNLVELVHAPFHNPTNDPNAWAFKTFLEDKSRHNFVGVSTAS >cds-PLY91050.1 pep primary_assembly:Lsat_Salinas_v7:2:55146964:55150265:-1 gene:gene-LSAT_2X24640 transcript:rna-gnl|WGS:NBSK|LSAT_2X24640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVTRKISAASARSHTRKSKPTSSSVLPKGIFKKLLLIFFMGFLAWAFKAFRPPPPKICGSPNGPPITSPRIKLRDGRHLSYMEHGVPKETAKYKIIVIHGFDGCKLHNLFNRASQALIQELGVYTVSFDRPGYGESDPHPERTLKSLALDIEELADQLQLGSKFYLAGLSMGGNLVWPCLKYIPHRLAGATLISPVVNHWWPSFPSNLSNEVYYEMFKPDQWSLRVAHHLPWLTYWWNTQKWFPSSSVASQSLDMFSPPDMEVLSKFTPPTEQEKTLPRQQGEYESLHRDLMIGFGKWEFDPMELENPFPNNEGSVHLWMGDDDRFVPVKSQRYIAKQLPWIKYHEVTRAGHLFSLADGMTDTILKTLVNVKD >cds-PLY63457.1 pep primary_assembly:Lsat_Salinas_v7:7:147015114:147017830:-1 gene:gene-LSAT_7X87081 transcript:rna-gnl|WGS:NBSK|LSAT_7X87081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSNKQTFVEQDSEMKQNLTSETKKKKRKRGSKGTWEAKSKDKQIVSNKPKKASGKGKRTKVCDIYNDAEDQYMCMERAAILLERLDAKSPKFAKCMLPSNVLYSFWLILPKKFCVMHLPDNDTTVILVDESGKEFKTNYLKVRHGLSAGWRGFSIAQRLLQGDILFFHLVEPCKLQVNIVRRYGVEAIEAAVCLMEMHPRAKKTRTSNYFDKAVDSKKEKLKHKKVKEEEENKVENEIQVHVQTKKGKRTRRSPKKFIRKLSLDFCSNQEHVEEDVERGSCVDQCDNISDELTSEVVQGSMSEMTTQTQPDEIGSCALNLNLGYLEDTIDRRIFHSSTVAT >cds-PLY93588.1 pep primary_assembly:Lsat_Salinas_v7:2:173249964:173252419:1 gene:gene-LSAT_2X95981 transcript:rna-gnl|WGS:NBSK|LSAT_2X95981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGVLFCVHWYRQRHNLPPLSLFFSPTPPPAPLESLPLCRYRLTINTIAGFNRSVQSKLQSLLSSFCSVSRLSQRFKPAQSTANHAQSPPKPAQSHLTVHLILNNRKQTQNNLKSFFEFKSQVLQVTNEFNKKRANRKIAISRFRVREVLVTSRANKRRSPSSEGVVATGESTTDQLRLFSVCRDSQGTTKSLGDSRSLHMKLDTGGVALCRRRGTPPAGCRWKASERRGSAGWLSLESAGRQGGVGRRSKVGGVGTERNVGDGRSCRRRELRRRERVLSK >cds-PLY74465.1 pep primary_assembly:Lsat_Salinas_v7:7:33294736:33295137:-1 gene:gene-LSAT_7X24380 transcript:rna-gnl|WGS:NBSK|LSAT_7X24380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGGCARRKMEIWERRGSISGKKGSHWDRREGKGDRDSVSVVIDEQKGEGQPSSGYCRYTREQEQFSCS >cds-PLY72340.1 pep primary_assembly:Lsat_Salinas_v7:5:97359876:97360585:1 gene:gene-LSAT_5X46700 transcript:rna-gnl|WGS:NBSK|LSAT_5X46700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKYSFMWQAYMITGYPTHLISNSADVMLMWTSDPSGIAYIQTMNLDGESNLKTRYARQETSLMPLGSISGIMIPISLYITMELV >cds-PLY76142.1 pep primary_assembly:Lsat_Salinas_v7:4:52685616:52688735:-1 gene:gene-LSAT_4X35861 transcript:rna-gnl|WGS:NBSK|LSAT_4X35861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSGGGAQQGGGGHGRNMVPGPPSSSASPSSSSSAVSAPHLGFDPMKQQHQQQRQSLQQQLLRNPDGREALLAYQSGIRQGGVLGGGAASGSGSMQMQRVAQQHGQEEGQNMRQGFDQHMMNPMQQAYMQYALHAQQAQQAQQAQQKSSPVMQAQQQMKMGMMGKPPDHFGLGGKQPMEEGHQTVSDQSQSQNQNQKHFAIPTSLGNNIRPMQTPQAQQGVHNMGNSQMSMAAQLQAMQAMALERNIDLSLPQNANLMAQLMPLMQSKMLGQQKVNESNMSPQVSMSKQQVTSPQLTNENSPRSDVSGHSGSTKARQTMSPGHLGSTSNASQGQGQQFPIHGRENMNQLPPRQPTNNGIGSGVSSMPPPQSPANMSLGPDHLLQLQHARQQMNRSSPQPPAASANGVGLENPLTSQKQQTPQGQPHPGFTKQQLHVLKAQILAFRRIKKGDRTLPRELVQAXNAHRCGLHIRNEGG >cds-PLY98316.1 pep primary_assembly:Lsat_Salinas_v7:7:171390172:171390402:1 gene:gene-LSAT_7X101740 transcript:rna-gnl|WGS:NBSK|LSAT_7X101740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPRKDGASHGALVRDSLRLSASVLAPPQPVGRSTDSRSQLGSNASVTPTAMSRPPFEPTADTMVDSKVGITASIH >cds-PLY90608.1 pep primary_assembly:Lsat_Salinas_v7:6:53049862:53052370:1 gene:gene-LSAT_6X38921 transcript:rna-gnl|WGS:NBSK|LSAT_6X38921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLHSPSSSSSSSLQTLETTLYHSVNQEPGEESGEGVSNSGVTDSQEEDEDVKNSRDRHNRHLNLLALLLTLFRKSFWPASNNKNTVAEEKLDLSGMEIGWPTDVRHVAHVTFDRFNGFLGLPVEFEPEVPRRAPSASATVFGVSTESMQLSYDSRGNSIPTILILMQERLYSQGGLKAEGIFRINPENGQEEHLRNQLNSGVIPEGVDVHCLAGLIKAWFRELPTGVLDPLSPEQVMQCQSEEDCATLLRRLPPTETALLDWAINLMADVVQHEHSNKMNAHNIAMVFAPNMTQMIDPLTALMYAVQVMNFLKTLITRTLREREQPAVEPPRPPPQGPPLKPAIEESTHECKPGIGFVSFGEVEELKDERYVREEWKMKTRSVVSSVVICGGRRRSRVTGAELLPPISSIGRSGRPWVWLEAFRQDGRFILKEVVIPGQEFLYACREYGRLKLKVVQCHDLKIDEEYRDNVDH >cds-PLY75095.1 pep primary_assembly:Lsat_Salinas_v7:2:93229675:93236431:1 gene:gene-LSAT_2X42041 transcript:rna-gnl|WGS:NBSK|LSAT_2X42041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQPPKKKQRKRNIVRQSAGQLERFDSLPWTSSLPDTDDDPFSALAGLNDFEGGVLSLEEIDESEYGLELPKGFQKGGKKPEKLTKRKREESNSDEVVKEEVKNDETVEEVIDGETEKEVGKTKKKQKKKKKKKTKKPKESMDTEEETATVAETNDQVDKEEDQVDDSEYHAWYELRLHPLLMKSISRLKFIEPTPIQKACIPAGAHQGKDVIGAAETGSGKTLAFGLPILQRLLEERDKLERQVEEKGEADEKVVSKGLLRALIITPTRELALQVTEHLKQVAKGTDVRVVPIVGGMSTEKQERLLKTRPEIVVGTPGRLWELMSGGEIHLVELHSLSFFVLDEADRMIDNGHFHELQSIIDMLPMIREGHQEDSENCVTASGFQKKKRQTFVFSATIALSSDFRKKLKRGSVKTKSDGELNSIEALSERAGMRSNAAVIDLTNASIMANNLEESFIECPEEDKDACLYYILSVHGQGRTIVFCTSIAALRHISSLMRILNVNVLTLHAQMQQRARLKAIDRFRANDHGILVATDVAARGLDIPGVRTVVHYQLPHSAEVYVHRSGRTARASADGCSIALISPKESSKFSSLCKSFSKESFQQFPIDSSYMPEVIKRLSLARQIDRVLRKESQDKADKTWFQRNAESIELIVEENDSEDERANTIRKTKANSAKLKNLQQELKSLLSRPLQPKSFSSRYLAGAGISPLLQQQFEELAKQKLAEKENPRNNNNKRKMVVIGHDCVEPLQALRSSGHEVSMDLKGAGEKRRSLDNLRRKRKEDKKRLHDQKRKQRKKLKSGGD >cds-PLY82076.1 pep primary_assembly:Lsat_Salinas_v7:8:52277427:52279409:1 gene:gene-LSAT_8X38900 transcript:rna-gnl|WGS:NBSK|LSAT_8X38900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSDSAEGIVLNFVNEQNRPLNSQTVADFLQKFNLKKTAIQKALDTLADSGKITFKEYGKQKIYLARQDQFQIPNTEELNQMKEENSKLQQILEEQKKANSQLEGEIKSLQSNLTLEEILSNDAKLRNQVKEMEERLAKLRGGVTLVSPEERKAVEKTFSEAISHWRKRKRMFKDVWDTITENSPKTPNEFKEELGVEYDEDIGVSLQTYSTLMPHGKKRLRG >cds-PLY79137.1 pep primary_assembly:Lsat_Salinas_v7:9:90188454:90188633:1 gene:gene-LSAT_9X69541 transcript:rna-gnl|WGS:NBSK|LSAT_9X69541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRGKRISRRGGGIDLCRSHWGLILFAGGSKHEGGRETGRRHYTWRLRGFARQSEKG >cds-PLY95961.1 pep primary_assembly:Lsat_Salinas_v7:9:42104859:42105017:-1 gene:gene-LSAT_9X37860 transcript:rna-gnl|WGS:NBSK|LSAT_9X37860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDGRRRKAIDGVDRRSLVYASNSILNVAVSLAEIGGRKISWRDIHRKEADD >cds-PLY91550.1 pep primary_assembly:Lsat_Salinas_v7:1:11595589:11597942:-1 gene:gene-LSAT_1X9861 transcript:rna-gnl|WGS:NBSK|LSAT_1X9861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTSESSFLRQLSEKEGWKSTSKRWGGYSTYNKKASSSYRESHLMQMEGVGMYGSNAGRSGSGTTGMMEKRKRVMVVVDQSLHSKHAMLWALTHVANKGDMLTLLQISPNLNKESQGGSSSSAPTNLLVTSLGTLCKACKPEVEVEALVIEGPKLATVVSQVKKLEVSVLVLGQKSSSFLQCLGGMSSTERFVEQCINTVECLTIGVRKQSKGIGGYLISTRWRKDFWLLA >cds-PLY71142.1 pep primary_assembly:Lsat_Salinas_v7:9:79714249:79716517:1 gene:gene-LSAT_9X64380 transcript:rna-gnl|WGS:NBSK|LSAT_9X64380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIHMFCSRRLGLFFSVTKNPTNISSNIQQAVISCFNSFVVDVFKPEVGFPIKKTVSTNVLQDALTGNVTIKPLPLGSPVSGKVHAFLFPFRTDATNKVFTVLILRPTKEDLKIWPHSFEYQLRITLGPGTNLMLTLRIRNTNTNGKQFTFTFAYHTYFSVSDISEVRVEGLETLDYLDNCQIRERFTEQGDSITFE >cds-PLY84856.1 pep primary_assembly:Lsat_Salinas_v7:9:126143963:126145153:-1 gene:gene-LSAT_9X83041 transcript:rna-gnl|WGS:NBSK|LSAT_9X83041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLLLPDGKCNDVSVNCIPEGTLIDNKVCHPRNNDFYMYAHADMIGTTRPTHYHVLSDEIGFSADDLQELVHSLYYVYQRSTATISVDKLKFKPICYAHLEATQMSQFMNFEDHSETSFSHGSTGVTSVGAVAVPQLPKLQEAVSNSMFFC >cds-PLY75813.1 pep primary_assembly:Lsat_Salinas_v7:3:68613405:68614008:1 gene:gene-LSAT_3X51821 transcript:rna-gnl|WGS:NBSK|LSAT_3X51821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEKEALMRIRARSFPLQDDECAHIKPGETVLVNRDSESEGGLFDAKVEKVIRVRHSKRTKCRCTFMIKWLNRDVNDGSLTVPSPLVMRLANKSINHHPIISAFIDAVQLSNSSDSDMSPHMDIVGDFDLDLHDLLEKQIEGIRNSVHDSKTKESSIMHFKHILNFRILD >cds-PLY91107.1 pep primary_assembly:Lsat_Salinas_v7:MU039484.1:663424:663775:-1 gene:gene-LSAT_0X6040 transcript:rna-gnl|WGS:NBSK|LSAT_0X6040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRCNCGAEAMIHTSWSKNNPGKRYYACSDPARGCKFIGWVVEDQKCACMNIRMKLEQQNLKLKLYLAISWFLFVSILVYNV >cds-PLY97743.1 pep primary_assembly:Lsat_Salinas_v7:1:20966917:20969497:1 gene:gene-LSAT_1X19040 transcript:rna-gnl|WGS:NBSK|LSAT_1X19040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATEISKILVIGGTGYIGKFVVDASAKAGHPTSVLVRESTVADPVKGKLVQSFKNSGVTLVYGDLNDHESLVNAIKQVDVVISTVGNMQIPDQTKIIAAIKEAGNVKRFFPSEFGVDVDRQNAVEPASSTFAGKVQIRRAIEAAGIPFTYVASGCFAGYFLPTMVQAGATAPPRDKVTILGDGSPKVIYNEEHDIGTYTIKAVDDPRTLNKILYIKPSKNTLSFNDLVSLWEKKIGKSLEKDYVPEEQVLKLIQESGFPINIFLAINHSIFVKGDLDNFEIEPSFGVEASELYPDVKYTTVEEYLDQFV >cds-PLY97717.1 pep primary_assembly:Lsat_Salinas_v7:8:8071490:8074152:-1 gene:gene-LSAT_8X6281 transcript:rna-gnl|WGS:NBSK|LSAT_8X6281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVAQDIGMKKEEAIPSLIRSIDANEIAGFDFALMDSGGNNNEGECSNLHLNHHITPSISISMPPSPMKTHLQTPKRVAFSPDVELDRKSQLPTTNHATRFHSQPIPTGVGFEAAVAAGKSPNLPVRNPRIEKLKDERYNSFKTWSGKLERNLSTLRGKPPTDQPPVNTPRENLPVDRYFDALEGPELDTLRPSEEIILPDDKQWPFLLRYPISSFGICLGVSSQAIMWKNLASTPSTHFLHISPHVNLILWCISVVLFVVVASTYLLKMVLYFEAVRREYYHPIRVNFFFAPWIALLFLASGVPPSVTTNLPHFLWYILMTPIFILELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPIFFFAVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWAKINGSFDYGSRLAYFIAMFLYFSLAVRVNFFRGFKFSLAWWAYTFPMTGAAIATITYTNEVSNIVTKSLSTTLTVIATLTVFGLLITTILHAFVMRDLFPNDIAIAISDRKPKTVRKWFHRRTGSTEKDIEHYLKFVTSDVEGSVEPTSTEENCTKDVESQVPLP >cds-PLY97048.1 pep primary_assembly:Lsat_Salinas_v7:4:351417776:351421570:1 gene:gene-LSAT_4X173381 transcript:rna-gnl|WGS:NBSK|LSAT_4X173381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEKLRLAITKTWHQFMKEQLLSEVLEALIVVRGLIVQKTKLISDCSRLLKDLLDSLLLESAENKKHFIQACIRGLQTHGEDKRGRSNLFILEQLCNLICPSKPEAVYHLILNKAHTQEEFIRGSMTKNPYSSAEIGPLMRDVKNKICNQLDLLGLIEDDYGMELLVAGNIISLDLSIAQVYEQVWKKSSSQSTSALAAATLLSSNAATSARDSPPMTVTYRLQGLDGEATEPMIKELDEDREESQDPEVEFAIAGAVRECGGLEILLEIVQRLRDDLKSNQEQLVAVLNLLMHCCKIRGNRTALLKLGALSLLLEIARRAFSVDAMEPAEGILLIVESLTMEANESDNINITQNALTVSNEEAGAGEQAKKIVLMFLERLSYPLGVKKSSKQQRNTEMVARILPYLTYGEPAAMEALVQHFDPFLKNWVEFDTLQKQHAENPKDETVFLQASKQRFAVENFVRVSESMKTSSCGERLKDIILETKITESAVRHLKDSFAFTGESGFRSRPEWGSGLKLPSVPLILSMLRGLSMGHLATQKCIDEGGILPLLHALEGVAGENEIGARAENLLDTISDKEGKGDGFLAEKVSALRHATRDEMKRLALMKRKDVLQTLGMQEEMASDGGKRIVVSQPLLEGLEEMEEEEEGLACMVCREGYSLRPNDLLGVYTFSKRVNLGVGSSTSGGSSSTRGDCVYTSVSHFNIIHFQCHQEAKRADAALKTPKKEWDGAALRNNETLCNNLFPLKGPAVPVAQYTRYVDQYWDYLNALGRADGSRLRLLTYDIVLMLARFATGASFSTDCRGGGKESNAKFLPFMIQMARYLLDHDPSQRHNLAKSVASYLASSTPDSKSPPPTTATEETVQFMMVTSLLSESHESWLQHRRTFLQRGIYHAYMQRTHSRSTHRPPTAAKPEELLAIIQPMLVYTGLIEQLQRYFKVEKKKDLVEKSNSNDKDLERWEVVMKERLVNVKDLVGFSKEMLSWLDDMTSVADLQEAFDVIGVLADVMSSGKCEDFVYAAIDAGKG >cds-PLY84321.1 pep primary_assembly:Lsat_Salinas_v7:5:188145365:188145571:1 gene:gene-LSAT_5X83800 transcript:rna-gnl|WGS:NBSK|LSAT_5X83800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLQYVCEEEEKELSRQEAPGACPKCGGKVEAVDCAHKWRFCFVPVCNIVKRRYICTLCSKRLVFLYS >cds-PLY69322.1 pep primary_assembly:Lsat_Salinas_v7:7:81853235:81855305:1 gene:gene-LSAT_7X57480 transcript:rna-gnl|WGS:NBSK|LSAT_7X57480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVAHAYAHLFHVLIYISILRHVNSSESDTLLEFKNSVTNGNKLTTWNPSTIPCNGNKPNWEGILCTNDAISGIRLEGKGLGGIIDMNILTKLPSLITISFQNNSFEGEFPEFKKLRGLRSIFLTGNKFSREVPSNAFEGMRRLKKLYLADNHFKGRIPFSLTTLPRLRDLMLQNNQFEGAIPVFVSDKLMIVNFANNHLRGTIPKRLQKFPASQFSGNSELCGPPLKKCTAEIPISTIILIASVVVAALAAITAAFLILRHFGPSAKDSFQVPPTYVKGATPSDHHDKMEQGCGKTAAKKIDSSHKLTFFRNDIEKFDLADLLKASAEILGGGVFGSSYKAALTREKVIVVKRFKHMNNVTNDEFVKHMRRLGKLNHPNLVPLIAFYYQDEEKLFVANYVQNFSLAVHLHGRRSNVRQTLDWPTRLKIVKGIARGLLHLYNELPSLIAPHGHLKSSNVLLNPEFDSLLTDYGLVPITNQEQARDVMISFKSPEYKQHGRITKKTDVWSLGVLILEIMTGKFPANSFQQSKGGDTELATFMDSVMKEEPTSDVLDKEMGGFDKRNEGQMLTLLNIGLNCCEPNVEKRWDIKEAVNRIEEVREKNDEDDNIKHSKRRPWLSFKKN >cds-PLY88717.1 pep primary_assembly:Lsat_Salinas_v7:7:193940887:193946517:1 gene:gene-LSAT_7X114141 transcript:rna-gnl|WGS:NBSK|LSAT_7X114141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 26 [Source:Projected from Arabidopsis thaliana (AT3G13220) UniProtKB/Swiss-Prot;Acc:Q9LK50] MDSGSQDEIEDLSSLSPPPVGPMHITGNNGFVHNLDLMAAQTYLRNRSSSQIDIQDDASPIKDRPLPIFLKFEDIEFKVKVSKATPGNPVKAVMSKVVLQGKSEEENNYKQILKGITGSLGPGEILALMGPSGSGKTTLLKVIGGRLGENVKGTVTYNDVPYSPALKRRIGFVTQDDVLYPQLTVEETLIFAAFLRLSGSMSQRQKYERVEVILKELGLERCRKTRVGGGFISGISGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSANKLIQILRENAKAGRTIITTIHQPSSKMFHMFDKILLISEGHPMYYGKARESMSYFSSLRFIPDIPMNPAEFLLDLATGQVNDITAPDDIVTPKGTSEYEKAVIKYVQNRYKSELETKEKEAHHRTGKAPEHLRLAIQVKKDWTISWWDQFLILFKRTYRERWRDYFDMLRIVQSLGVAVLLGLLWWKSSFATEAHLRDQIGLLFYVCIFWTSSSIFGAVYVFPFEKFFLVKERKADMYRLSAYYACSTLCDMIAHVTYPTVFMSILYFMVGFKKTLQCFFMTLAAVLLIAITSQGAGEMFGAAVMSIRRAGMVASLILMLFLLTGGYYVQHIPKFMQWMKYISFMYHGFRLLMKVQYSGDDLYECESIGGCKSLQTSPSFDTVNLKGGWKEVWILLGMGLVYRVMAYICLRQKISLINL >cds-PLY87480.1 pep primary_assembly:Lsat_Salinas_v7:2:134131110:134134336:-1 gene:gene-LSAT_2X63840 transcript:rna-gnl|WGS:NBSK|LSAT_2X63840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYRSNIVPGELPGYGAIFMSNSGTKKECFQRKLFGLPLAQSDFVLHVKKGMFLFLFEFEKRQLHGVFRATSNGEIDIEPHAFRSSQKCFPAQVPFTSVWNCYPLYEHEFKDAIRDNYFSWKKFKFGLSKDQVYKLMALFRSKRISKNNPYPPRTCLRYDDNSGKRDTRYGDNRKKVRERMKFIEEENGHGFEIDEVKEIDDQGYKTLMKKRAQKDNKEVFLTNCMKEIDDFDYRDYRKLDRRLDHSLRGLRRVSDESLHFQCDINNGNENILEDGIAYKNKYFRDYNRVHDEYHVLNDFSQNHSALNNLGNTPNKGTQKIVDGWFFPTVESQQRRLTNHPKGVTLTTDVCQNPNYEPRTPPFKSREEDFGIKLCSDEEFDLKNVNQNLSDFIPVSEASKHFQNPFEANNIENSYEHKFLNLTSCDPSIYPSETIPPHFRKVRSKKYVRKASVFDRLNSAPKPLIHKQEHESDEKSELDASTMLEKVVREEKESTFKQDDKNVDYEALKKESDVKVECEGIDIVEETRVVDFKRRKRTNKKNLDDEFKENNTSGTDSDVGMCCKRRRLVRPVFVKKESNVSNDENVPLKDDSSLRKACESVKKIEGQKDNIESCLQVEQNGNAVDPEKHEEIWGFDDMAWVVSSKYEHKMCGWIDE >cds-PLY86997.1 pep primary_assembly:Lsat_Salinas_v7:5:265482602:265487112:-1 gene:gene-LSAT_5X136860 transcript:rna-gnl|WGS:NBSK|LSAT_5X136860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEPVNLNEYQQLARQALPKMYYDYFTGGSEDQHTLRENTAAFKRITFRPRILVDVSKIDMSTTILGYKTSAPIMIAPTAMHKLAHPQGEALTARAAGACNVIMGLSFMSMCTIEEVASSCNAVRFFQLYIYKRREISALMVKRAEANGFKAILLTVDTPKLGRREADIKNKMIAPGLKNFEGLLSTKVEDDEGSNLEVLAARSLDPSFSWKDIAWLRSITKLPILIKGVLTREDAIKAMEVGVEGIIVSNHGARQLDFVPAAIDALEEVVLAVKGRIPVLFDGGIRRGTDVFKALALGAQAVMIGRPVIYGLAAKGEYGVRRVIEMLKDELELTMALSGCPTLTHITRNHVRTELDHRHCRL >cds-PLY80438.1 pep primary_assembly:Lsat_Salinas_v7:3:178467211:178468950:-1 gene:gene-LSAT_3X107581 transcript:rna-gnl|WGS:NBSK|LSAT_3X107581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAITSSFNIQIFIFPLLVVLLCTQSSETSTSITDRFTQCLNNQADPGFPISGQLYTPHNSSFPSVLQAYIRNLRFNESTTPKPIVIITALHPSHIQAAVVCAKTHRLLMKTRSGGHDYEGLSYISYLNQPFFVVDTFNLRSIRVNIEDETAWVQTGATLGEVYYRIAEKSNMHAFPAGICPTVGVGGHFSGGGYGNLMRKYGLSVDNIVDAQLINVNGKLLNRKSMGEDLFWAITGGGGVSFGVVLAFKIKLVRVPPVVTVFNIQRTSEQNLSTIADRWIQVANKLDNDLFLRMILQVINTNGEKTIGGIFPTLYLGNSTALVTLLNKDFPELGVQISDCIEMRWIESVLFYTNFPIGTPTTALLSRTAQGINPFKVKSDYVKNPISKEGFKYIFEKMKELENQMLIFNPYGGTMSEISEFAKPFPHRSGNIAKIQYYVTWDELGVEAADRYLNFTRMMYDYMTPFVSMNPREAFLNYRDLDIGVNNHGKNAYVEGMVYGHKYFKETNYKRLMMVKRRVDPTNFFRNEQSIPTLSS >cds-PLY79925.1 pep primary_assembly:Lsat_Salinas_v7:8:18922768:18926389:1 gene:gene-LSAT_8X14760 transcript:rna-gnl|WGS:NBSK|LSAT_8X14760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDPTRGFLKDVKRIVIKVGTAVVTRDDGRLALGRLGALCEQIQALNSQGFEVILVSSGAVGAGRQRLRYRKLINSSFADLQKPQAELDGKACAAVGQNGLMALYDTLFSQLDVTSAQLLVTDNDFRSSEFRKQLTETVDSLLSLKVIPVFNENDSISTRRAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVDGLYSGPPSDPQSKLIYTYIKEKLENTITFGDKSRLGRGGMTAKVKAAVYASQAGIPVVITSGFAGDNIVKVLRGQRIGTLFHRDAHTWIPSGELNAREMAVAARESSRLLQAMPAEERCKILLAIADALEANEKMILHENEADVATAQDDGYESSLVSRLALKPGKASHVFKFMVSSLAKAIRVIAKMEEPIGQVLKRTELSDGFILEKMSSPLGVLLVIFESRPEALVQIASLAIRTGNGLLLKGGKEAKRSNAILHKIITQCIPDTVGKGLIGLVTSREEIPELLKLDDVIDLVIPRGSNELVSQIKSATLIPVLGHADGICHVYVDKSADMEKAKNIVLDAKTDYPAACNAMETLLVHEELLQNGGVNELLIELQTKGMIFSLFYKF >cds-PLY67971.1 pep primary_assembly:Lsat_Salinas_v7:2:12614948:12619942:-1 gene:gene-LSAT_2X5940 transcript:rna-gnl|WGS:NBSK|LSAT_2X5940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G76150) UniProtKB/Swiss-Prot;Acc:Q8VYI3] MTSSSFMASSCKSVSSSSNTHISETKRSPGGAIMSDDSMINPELVIDHKFPETTYTYNERDVAVYALGIGACSTDALDDKELKYVYHEDGQQSIQVLPTFAAIFSIGLINNLEQLPGFMFDPRLLLHGQQYIEICKPLPSNCSMRNKASIVGLHDKGKAAILEFEVLSYEKETGELLSMNRTTVYLRGAGGFSKSSPPYSFSKYPKDQTASKTPKTPPFVVHEERIQPSQALLYRLSGDYNPLHADPNIAEVAGFSRPILHGLCTMGFTVRAIIRTICNGDASLVKAISGRFLLHVYPGETLIIEMWPQGSRVLYQTKVKERNRAVLSGFVDLNRSRTSSL >cds-PLY86177.1 pep primary_assembly:Lsat_Salinas_v7:3:242151483:242155838:1 gene:gene-LSAT_3X135541 transcript:rna-gnl|WGS:NBSK|LSAT_3X135541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSNPVSISVISTISLLLLCIYVYNQHNQRKKTKTKKHHPIAGTMFNQLLNFNRLHDYMTDLAKKHKTYRLISPFRYEVYTSDPVNVEYILKTNFENYVKGTYTHDILKDLLGDGIFTVDGDRWREQRKVSSYEFSTKVLRDFSSVIFRKNAVKLAHILSEAASNNQILDINDFFMKATLDSIFKVAFGIDLDSMCGSSEEGVRFSNAFDESSAMTLKRYVDITWKIKKFFNIGSEAKLKESVKVVDEFVYKLIKTKTEQMHKNQDDFSWKREDILSRFLQINDTDPKYLRDIILNFIIAGKDTTSTAMTWFIYMLCKHPEIQDKVAKEIKEAINFKNDVTNVEVFSNVVTEEALEKMHYLHAALTETLRLFPAVPVDAKICASDDVLPDGYNVNKGDMVAYQPYAMGRMKFLWGEDAQQFKPERWIDENGCFRPESPFKFTAFQAGQRICLGREFAYRQMKIFASILLGCFVFKLSDENKTSKYKTMLNLHIDGGLHVRVFSRYV >cds-PLY74912.1 pep primary_assembly:Lsat_Salinas_v7:3:130082843:130083479:1 gene:gene-LSAT_3X89001 transcript:rna-gnl|WGS:NBSK|LSAT_3X89001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVADRDHDKDGSEITDSGCLEDDDDDLIRNSHSLTPVTMVANLIDNYLAEVASDVNLKLEKFQSLVATATDFARSIDDGMYRAIDIYLKVHPWLTDSDWELLCRLMACQKLSLEANTHAAQNERLPLRFIVQGSLLRAASAADICQN >cds-PLY70930.1 pep primary_assembly:Lsat_Salinas_v7:8:227825797:227826177:1 gene:gene-LSAT_8X138501 transcript:rna-gnl|WGS:NBSK|LSAT_8X138501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKHPNHSYPCGGSCRSEEKKRWNSLLVVEQAMAAATSCTHDDRWRAAAARLXQSGGANDREIVSTATRERPTTGVVFNENGSSASTVLIRPATVVLPPLYTTSGDLDSPVSVFTAARRLRRLEER >cds-PLY77010.1 pep primary_assembly:Lsat_Salinas_v7:6:64986560:64986895:-1 gene:gene-LSAT_6X47860 transcript:rna-gnl|WGS:NBSK|LSAT_6X47860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSGVPNFCVVLLALNDLGYKAKGIRLDFGDLAYLSCDKRKFFEIIGMEFGVPRFGKTGITAGNDLNEETLDALNKQASFL >cds-PLY73121.1 pep primary_assembly:Lsat_Salinas_v7:9:22383259:22386534:1 gene:gene-LSAT_9X20661 transcript:rna-gnl|WGS:NBSK|LSAT_9X20661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SRP54CP [Source:Projected from Arabidopsis thaliana (AT5G03940) UniProtKB/TrEMBL;Acc:A0A178UD49] MEALTFSTVASRHFSTAFPRKTHPNHSATSWTGSTSPHALSFRFSNSSSTRELWGIVNSQRVIPVRREKRGVIRAEMFGQLTSGLESAWNKLKGEEVLTKENIVEPMRDIRRALLEADVSLPVVRRFVQTVSEQAVGVGVTRGVKPDQQLVKIVSDELVKLMGGEVSELTFAKSGPTVILLAGLQGVGKTTVSAKLALYLKKQGKSCMLIAGDVYRPAAIDQLVILGKQVDVPVYAVGTDVKPAEIARQGLQEAKKKNVDVVIMDTAGRLQIDKNMMDELKDVKKTLNPTEVLLVVDAMTGQEAAALVTTFNLEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKLVGRGERMEDLEPFYPDRMAGRILGMGDVLSFVEKAQEVMKQEDAEDLQKKIMSAKFDFNDFLKQTRAVARMGSMSRVLGMIPGMGKVTPAQIREAEKSLQIMEAMIEPELLAESPARRKRIAQESGKTEQQVSQLVAQLFQMRVRMKNLMGAMEGGSVPALSNLEEAIKSEQKAPPGTARRKRKSESRKQFAGSAGRPNPRGFGGGN >cds-PLY73923.1 pep primary_assembly:Lsat_Salinas_v7:3:38622411:38624965:-1 gene:gene-LSAT_3X30420 transcript:rna-gnl|WGS:NBSK|LSAT_3X30420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFILTITVGILFGFFIGASFPAYSPSNIKLIPPIDTYIWDKNSGHSTQTILNVISVTRDKISKGAENLPHPSKIWVSSNPRGAERLPPAILASESDLYPRRLYGQPSEDLKGKPKYLLTLTVGYNQRYNIDKAVKKFSDNFTILLFHYDGRTTEWDEFEWSKRAIHISVAKQTKWWYAKRFLHPDIVAPYDFIFMWDEDLGVDHFNAEEYIKLVRKHKLEISQPGLDSSSKGLTWQMTKRRGDREVHKVTEEKPGWCSNPHLPPCAAFVEIMAPVFSRESWRCVWHLIQNDLVHGWGLDFALQKCVEPAHEKIGVVDAQWVVHQGLPSLGNQGKGENGKAPWQGVRERCQHEWNLFRERVSNADKDYYKSIGADPLNITRH >cds-PLY83709.1 pep primary_assembly:Lsat_Salinas_v7:4:42268855:42270392:1 gene:gene-LSAT_4X29521 transcript:rna-gnl|WGS:NBSK|LSAT_4X29521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSLLLLLLLNVLMAFKTGDGGEMSSMEDDELSGLFEVMGSLLDDPTWAQVHPQPCTDTPWPGVQCELMVQEDGDGQQDYNPTIFHVTKIHIGTDILTPPCKPTATLSQRGLTKLPYLKTLSLFNCFTLSPFSLTSSLFENSSLSALEHLALVSNPSLHGSIPSSLGHIQSLRVLSLSQNKLTGEIPQQICGLVNLQQLDLSYNQITGSIPQKIGGLKSLTIFDLSYNMLEGQLPSSLGQLQSLQKIDLGFNDITGRVPQEVGNLTKLVLFDLSHNSLSGPLPESLFGLKQLEYLVIQDNPINTGMPLFIGSLGRLKVLSFSRCGLMGPMLSSLSSLKNLTALSLDSNSLNGTVPSDLGSLPNLEQLNLSQNQLSGQMLVSEEFINRIGMRLDIRGNNGLCIKNITSTDVKSSSCISARSRTGGNKSSWDEGGECGRMNSTLFQSNRCPRNHHGYGFGLDLVFLLMLFLLFFV >cds-PLY84734.1 pep primary_assembly:Lsat_Salinas_v7:5:230166882:230171274:-1 gene:gene-LSAT_5X110441 transcript:rna-gnl|WGS:NBSK|LSAT_5X110441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPPGSFLDREELIQHVGEFGVSQGYVVTIKQSKKEKVVVLGCQRGGVYRNRRKTDDDGTGELVRKRKSGSRLTNCPFELVGKKDDGFWVLSVKNGSHNHEPLSDITQHPSARRFTESEVLLIKEMTEAGLKPRQILKRLRQHNPELLSTPKHVYNIKTKLRQGNLTVRRYKTLRPQSSAQGNSNSTTTSEPSWRQRYPPRVPNFIGGMFIDSQSRTSIDVINPATQQVVSQVPLTTGEEFRAAVFAAKCSLPSWRNTPVMARQRIMFKFQELIRRDIDKIAQNITSEQGKTLKDARNEMLRGLEVVEHACGMTSLQMGEFVSNIRSGVDSYNIREPLGVCAGICPSNFPALVPLLMFPIAVACGNTFILKPSEKDPGACMILAELAMEAGLPNGVLNIVHGTNDIVNAICDDDDIKAITFVGPDSSGSHIHARASSNGKRVQSNIGAKNHAIVMPDAHMGATLDALAGAGFGGAGQRCSSLSCVVFVGGSKPWEEKLVERVKSLKVNVGTDPDADLGPAKEKVIRLIQVGVEAGARLILDGRHLEVPKYEMGNFVGPTILSDVTQGMECYKEEVTGPVLLCMQADSLEEAIAIVNRNRYGFGASIFTKNGATARKFQTEIEAGQVGVNVPVPSPLPFFSFTGTEASFSGDLNFQGKSGVQFYSQIKTVSQQWKDFRCSDEVGGAGLLEMPPTTFQTTDGTSLLLPTHNFPDSDRGGPLESPSDNHHDHLQLDNGPEFGMQQHNTGEAEGEAVSLGLQLRDFQNSDGGVSPALLMSDGSQDPKFLTHFLNW >cds-PLY73628.1 pep primary_assembly:Lsat_Salinas_v7:5:203088212:203091118:-1 gene:gene-LSAT_5X94020 transcript:rna-gnl|WGS:NBSK|LSAT_5X94020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLWVVRFFLFFTFANTNLTSGCFEHERKALLRFKCSIVSDPSGRLSSWHGNKCCHWQGVGCDNATGHVTRLDLEGDRSFLTRRYTKLKGNELNSCLAELSHLSYMDLSGTYFGGSPIPEFIGSLTQLRYLILYSAGFSGMVPHFIGNLSNLRVLDLGDMDLLVVDDFRWFSDLLSLTYLDLSRVSIVKAPNFDKVLLYMIPSLLELRLSGCDLSNSHFYRTHLDPNLTLSIIQKLDLSSNSFQGEFPLFLQNLTSLRVLDLSTNELNSSVPVMKKVVDLNLRQNNFKSIEDTRVWRLCQLKRLDLSFIFMEGGFTGPSTNGSECAQYALEALILDENNFGGQIPKSLGRLTALRELNLAGNDLTGTIPEALGNLTSLRVLYLCENTLTGSIPMSIGNMLLLQTLDLSSNQLNGAIPLSLGNLSELTVLYISDNFLQGPFPAIGQLSKLDSLDISNNSLSGVVTEAHFSNTSMLKYFDANSNYRLRFKISPDWKPPFKIGGLALQSCKIESEFPSWIRTQTSLRKLILSNTSISGPLPYWLRELPITMLDLSHNFLNGPLTNLPSNQIIKHKLKPPYRAIHSLLLKNNLFNGSIPDSVCNYTDLLILDLSGNMLSGGFPDCLGNVRSLNVLILSSNRLSGVIPNSLGNLGSSLQWLALNNNSFHGELPKTLANCTSLALLDLGENMFYGSIPKWIGEYMDLLSFLRLHKNSFTGPIPVELCERPRLQIMDLGENKLTGTIPRCFQNFGKMTEDDYSFFIPGYFEQSLIQIMKGVAREYTMTLKYVVNIDLSSNKLVGEIPKEMVLLSGLLSLNLSNNHLTGRIPDRIGDMNSLESLDLSINHLSGMIPQSLSALTFLSHLNLSHNNLSGRIPTGSQLQTLTDPSIYAANNELCGSPLPINCNHDEVPETGRNAEEDEDDDGDEKIWIYGATGGFTTGFMGIVAILVLKNRWRLAFFKFVGYYIGKKL >cds-PLY66678.1 pep primary_assembly:Lsat_Salinas_v7:1:54392832:54394276:-1 gene:gene-LSAT_1X47161 transcript:rna-gnl|WGS:NBSK|LSAT_1X47161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHTRLIKPLIFISIFFFPNVLAQQNSTDSNPRRMHAVHPSVVIVIGVLCTMFCLTFLVLACMKFCQSDVLQQIPHQLPSSRSRFSGIDKKAIESLPFFRFSSLKGSKEGLECVVCLSKFEDSEVLRLLPKCRHAFHMNCIDQWLESHSSCPLCRYKFVLSDITNFTCTNSLRYTQDEGFNNLEVFVKREHDGSSRSSRFSIGNSFRKFAKSPKEDVLIQYGDQMLDKFKHRIIVSDIVCKSRWSDVNSSDLMFLNSKMLNEVSKKHFSPSGSGRFEIDVEQAMKFKEDMERRRLYESKVAKTLDSGETSISNLQFPRFQTDEEADGMQKRSMSEITNVSRFRETRSSYWASNAKDVKLQSVWLPMAKRTIQWFTNREENSFEMRS >cds-PLY90166.1 pep primary_assembly:Lsat_Salinas_v7:7:12828209:12833728:1 gene:gene-LSAT_7X11220 transcript:rna-gnl|WGS:NBSK|LSAT_7X11220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable helicase CHR10 [Source:Projected from Arabidopsis thaliana (AT2G44980) UniProtKB/Swiss-Prot;Acc:F4IV45] MNYEQRLIAAAKCVFEGDARAATGEAQVNCSDYGVTATLKPHQIEGLSWLIRRYLIGVNVILGDEMGLGKTLQAISFLSYLKFSRGLHGPFLILCPLSVTDGWVSEVNQFAPKLTILRYVGDKQHRRTLRREMFEHVQKQSSPPNVQALPFDVLLTTYDIALIDQDFLSQIPWNYVVIDEAQRLKNPSSVLYNVLRESYMMPRCLLMTGTPIQNSLTELWALLHFCMPSIFGTLEQFCSKFKEGKGASVDKEKFKSLRYILGAFMLRRTKSRLIQSGTLSLPPLTEITVMAPLVTLQKKVYMSILRRELPKLLALSSGTSSHQSLQNIVIQLRKACSHPYLFAGIEPEPYEEGEHLVQASGKLVVLDQLLQKLHTSGHRVLLFAQMTHTLDVLQDYMELRKYPYERLDGSIRAEERFAAIRSFSRKSGIGNSNSEADSDSAFVFMISTRAGGVGLNLVAADTVIFYEQDWNPQVDKQALQRAHRIGQMNHVMSINLVTERTVEEVIMQRAERKLQLSHDVIGEDDNTDKEKECKDMVGVAPTDLRSVILSLHILDPKQNSDHQLDMSKLNDIIDKVIAFRHGGNDPTVEIDSNDVLQLGGHGRRDVDFDPGVDEASYLSWVEKIKETSEKCDESALEEERNKRSLMVMEEKRVKAEAVRKKAEEKKLAKWEAQGYESLNVKDVVGPTNNMLLDSGLVNFVYGDCTQPSKVSPSESSIIFSCVDDSGKWGHGGMFDALAKLSPEIPSAYERASEFGDLHLGDLHLIQVTGGDVDNNNNNNKGREWVGLAVVQSYNPRRKVPRSSISISDLEQCLSKASFSAAKNSASIHMPRIGYQDGADRSEWYSVERLLRKYAALYGLKIYV >cds-PLY63938.1 pep primary_assembly:Lsat_Salinas_v7:4:76414135:76415601:-1 gene:gene-LSAT_4X51400 transcript:rna-gnl|WGS:NBSK|LSAT_4X51400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLTGIYNNPLPEEEGSKVLKEAFNRGVTFFDTVDVYGVQHANEILVGKGKFLVDLACHGDKLFQVVFKERIKNRKFYQNQYAFCNQLLTAPATPLFPSLESESHKIFMNQNGDSKDHHPNAPKFRVRTTINEGILLG >cds-PLY82241.1 pep primary_assembly:Lsat_Salinas_v7:1:66023038:66025308:1 gene:gene-LSAT_1X56540 transcript:rna-gnl|WGS:NBSK|LSAT_1X56540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESESTHPSTSLVKCCDCSCSSCDTTTGSYSRSWIRSVKRKLDEYEGDGGKFFIPGLQIHDVARVEIENECDVLREMVSNQQRTIQDLISDLEEERYASSSAANEAMSMILKLQREKAEIQMEARQFKRFSEEKMAHDQQELMALEELLYRREQSIQSLTCEVQAYKHRMISYGLTESEADGEKSFITRENSVATNLESQFQFDFPSYDYPPLKCNSNENQPYQEHDNETVDIEKYAFGETPRSLKDIEERINQLEKSPTHSQPVLEKVIVGHSPIKKHSRRFSCDSAGTYYATVREDLSGNVKKMEDDFGNFKKVETVSEFGDDTSDRIYTIDSIHNNDQKGNIGSYDDFMSSTPKESSSRTDIEDPEVKKLYMRLHALEADRESMRQALISMRTDKAQLVLLKEIAQQLAKDMSPPSRMIGKKASGRIDSSFFSLFKRIVTFGYFGRRAQRNKQIYSALADNAGLLMLLNKGPQMGQWRCLSTTQV >cds-PLY93899.1 pep primary_assembly:Lsat_Salinas_v7:7:180274122:180283469:-1 gene:gene-LSAT_7X106760 transcript:rna-gnl|WGS:NBSK|LSAT_7X106760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKATLFVVLFVSLHIQKVYTAEIDMISDSRFLTEADTLVSPAGIFKLGFFRPGSSENKYVGISYKKISVETVVWVANRDFPVNGSSSGKLRIVSPGNLVLMKGNDTNDVIWSTNTTSSGNVTVHLEDNGNLVVRETIEEKILWQSFDYPTDTLLPGMKVGRNFLTGKEWTLSQWKSNQDPAPGEFTWSADTSGYPQIILKQGTTVKFRGGPWNDVWFSGDSASTRNIITGDMVINESEAYYSYSLLNSSVISRLVLNSSGQLERWVWVNDVNKWQMFFQLQRDFCDGYNICYGYGTCSVLTSQRCSCLDAIRFVPRNENGWEITDWSGGCVRRTPLDCKNGTDGFIRYSNVKLPDTESSWFNISMSLNECREKCFMNCTCMAYANIDIREGGSGCLLWFGELLDMREIPDGNGGQDIFVRMASSEIEIVTTAQPVSENKERANLKIILPVVFLGVLLIGLGSTWFLFACRKRRDPQSMRQGEFLHVGESQRDSMELPLFSFSTIAKATASFSLDNKIGEGGFGSVYKIWLKFIFTVSIVFDMATVDDNQGMLEEGLQIAVKRLSKTSNQGLVEFKNEVIYISKLQHRNLVKLLGCSIQGDENLLIYEYMPNRSLDSYIFDKTQSMLLDWTKRMNIIKGIARGLLYLHQDSRLRIIHRDLKASNVLLDLDMNPKISDFGMARSIGGGETQPNTERVVGTYGYMSPEALKGVFSIKSDVFSFGVLVLEIVSGERNRGFMHPDHDNNLIGHAWRTHNEGRSMELIDTALGQSSNSSEVIRSITVGLLCVQQNPEDRPNMSSVILMLGNEGALLKPKQPAFFSKTNTLGADFSSSTCPTSSTNGLTVTEVVAR >cds-PLY84819.1 pep primary_assembly:Lsat_Salinas_v7:8:24469840:24471679:1 gene:gene-LSAT_8X20501 transcript:rna-gnl|WGS:NBSK|LSAT_8X20501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMSKGSKMLQFINYRMRITIQDGRQLVGKFMAFDRHMNLVIGDCEEFRKLPPAKGAKKNEEREDRRTLGLVLLRGEEVISMTVEGPPPPDENRAKAVGAAALAGPGLGRAAGRGIPTAPLIQAQPGLAGPVRGIGGPAPGMMQPQISRPPVPNMSAPPMNYPQAPVIRPGQMPYPGQGPPPQMPRGPPPQMPPQFAQRPPGQYPPPPGQYGQRPMAPPPQMMRGPPPPGGAPRPGMPGPPPPARPGMQMPGFGPPRPGMPPPPSNQQQQQQ >cds-PLY82653.1 pep primary_assembly:Lsat_Salinas_v7:5:84543053:84543415:1 gene:gene-LSAT_5X37960 transcript:rna-gnl|WGS:NBSK|LSAT_5X37960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKNEFLETILVDNMELPPALIEKLKEIKLKTLKVHVYESDCLQVGDLKYVGNLTKQSVVGFGEVFGHEHSYVTLYSVADFLNQHIKKGESRKGRMGVRVLENVFRSLNIFTYWGAAY >cds-PLY77253.1 pep primary_assembly:Lsat_Salinas_v7:3:56978622:56980348:-1 gene:gene-LSAT_3X45040 transcript:rna-gnl|WGS:NBSK|LSAT_3X45040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIEGKSGCSKIKRRKLAINWKQLLPSSSDEDNRPTELQVTSKRKSKCGRSESDAQENHKEDLELQTKSDDEITEYIARSKRFLVTLIDKLPDRGDKLKATLQRYEDELERRNKLQLEKVLPEAKGKVTRNLHHQQCLPNFLTEC >cds-PLY74463.1 pep primary_assembly:Lsat_Salinas_v7:7:38073187:38078852:1 gene:gene-LSAT_7X28060 transcript:rna-gnl|WGS:NBSK|LSAT_7X28060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCERLCSEFPSEANLQLLAACYLQSNQAYSAYHILKGTQMPQSRYLFAISCFQMDLLNEAEMALCPSNEPSAEVPNGAAGHYLLGLIYRYTDRMKSAAHQFNQALSLDPLLWAAYEELCKLGAAGEATSVFGESASECIQKQYMSHDVGPPGDDHNLVSGRQLAESVSPRQLRLLHGNNVKDNSLNHNAAVFAGNAAATQPNNGVHANISFYNTPSPMATQLSGVAPPPICRNMVPNGPNSSSGGADASPRSTVKSTIQAPRRKFVDEGKLRKISGRLFSDSGPRRSTRLAGETGSVANITGNGTTTNHHSSKYPVTSKITSAAFRAVTVRKEKSWSSESFNEGIRSEVDDTRSNATTTSGSSPSPDTVSVSCDGLIMSMGSRASMSVSKIATGASDVLSLLKILGEAFRLSCLYRCQDALDVFLQLPHKQYNTGWVLSQVGKAHFELVDYLEAERAFSNARLASPYSLEGMDIYSTVLYHLKEDMRLSYLAQELISTDRLAPQSWCAMGNCFSMQKDHETALKNFQRADFENGIKSYQNALQVDGRHYNAWYGLAMIYLRQEKYEFSEHHFRKALQINPRSSVIMSYLGTSLHALKRNEEALEIMENAIIADKKNPLPMYQKANILVSMEDFNGALKVLEELKEYAPRESSVYALMGKIYKRRLMYDKAMLHFGLALDLKPSATDVATIKAAIEKLHVPDELEDNL >cds-PLY97830.1 pep primary_assembly:Lsat_Salinas_v7:5:197682753:197684119:1 gene:gene-LSAT_5X88481 transcript:rna-gnl|WGS:NBSK|LSAT_5X88481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L35, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24090) UniProtKB/Swiss-Prot;Acc:Q8VZ55] MTTMASSTFFNCTSLSTPVKPLRKTPSCTSSVCLSQFAKKSTPLNLSSSHNISVFSPLLPITISSPNRKPQSLTIVAAKGYKMKTHKASAKRFRVTGTGKIVRRRAGKQHLLRKKNAKRRTRLSKVLQVDRCDYNNVIGALPYLKVNRSN >cds-PLY85602.1 pep primary_assembly:Lsat_Salinas_v7:2:119803782:119807760:-1 gene:gene-LSAT_2X55140 transcript:rna-gnl|WGS:NBSK|LSAT_2X55140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAPVLEVRTEGEPAVAEELGQNVDQIIVKVDEVENALDPLLLETVGVNTKSLLILQPNSAENLLCIVGTLTQNGAVDVIAIDSVAALIPQCEITGVISDNIIETQSRIIT >cds-PLY76465.1 pep primary_assembly:Lsat_Salinas_v7:5:198320446:198320998:-1 gene:gene-LSAT_5X88821 transcript:rna-gnl|WGS:NBSK|LSAT_5X88821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTWMYRHAVKHMKHMKPGSNIINTTSALGFSGSPKLIDYTSTKGAIVNFTKSLAIFLVDKGISVNGVAHGPIWTPLEATSLNDDDIATFGSEVPINRAAQPIEIAPSYVFLTLKDSSFYTGTFLHPDSGELDNAIPSVNNNNKVNP >cds-PLY65164.1 pep primary_assembly:Lsat_Salinas_v7:5:249988399:249988699:-1 gene:gene-LSAT_5X125100 transcript:rna-gnl|WGS:NBSK|LSAT_5X125100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLPIGKKRHVDPLWFQSSYRLIAFLVQLALAFKFESMLTPVKRKCEEMEVKRVIFVEDLFQCKWCINLNFKLI >cds-PLY63123.1 pep primary_assembly:Lsat_Salinas_v7:4:65430058:65431542:-1 gene:gene-LSAT_4X44440 transcript:rna-gnl|WGS:NBSK|LSAT_4X44440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSNMVISPRKLRYDVYSYSYQQDSKTPLVISVLASLIERTLARNERISRKCGLNVNHSGSKSRVRIFDCNETPDMTIQSYLERIFRYTKAGPSVYVVAYVYIDRFCRLLPEFRITGRNVHRLLITTIMVASKYVEDMNYRNSYFARVGGITTNEMNTLELEFLFLMNFKLHVNVTVFESYCCHLEREVSIGGGYHIEKTLRCAEEIKSQQRGYSQIARISL >cds-PLY76444.1 pep primary_assembly:Lsat_Salinas_v7:5:198597808:198598397:-1 gene:gene-LSAT_5X89141 transcript:rna-gnl|WGS:NBSK|LSAT_5X89141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEDPVKAYNSAFSTTNNELHDNPDIDDSMSDTTAIIVLVVNDQLYVANVGDSRALISVKPDHQDVSFAVNINEYSMRLVREEQGKQPRQCR >cds-PLY98385.1 pep primary_assembly:Lsat_Salinas_v7:5:315685160:315686814:1 gene:gene-LSAT_5X172701 transcript:rna-gnl|WGS:NBSK|LSAT_5X172701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNDPEHKEEETVAAEDEDTGAQVAPIVKLEEVAVTTGEEDEDAILDLKAKLYRFDKDGNQWKERGAGSVKFLKHKQTGKVRLVMRQSKTLKICANHLVIPTMTVQEHAGNEKSCVWHAADFSDGELKDELFCIRFGSIDNCKKFMETFQEVAESQQGKEENKDASNAAGLLEKLSVGDEKKEEVKETEKAGESEEKKEVEAASST >cds-PLY80880.1 pep primary_assembly:Lsat_Salinas_v7:8:128016071:128017564:1 gene:gene-LSAT_8X87921 transcript:rna-gnl|WGS:NBSK|LSAT_8X87921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPFFFLTFFSLLTTHLSHAQLSCLTLQSINKIVYNHCNELPHLNSYLHWSLDASKNTLSIAFVARPATTDGWISWAINPTGDGMPGAQALVAFKASNGSMVVKTFNISSYSSIMEGKLAFNVKDTRADYFDGVMRIFATVELPEKGMTSVNQVWQVGPSVSADGFPAKHAFQPANLGAKGRLDLLSGQSSSGPSGGIGGGDSRTKKRNIHGILNAVSWGILFPVGVIIARYLRAFPSADPAWFYLHAFCQVSAYAIGVAGWATGLKLGSELKGVTYNTHRSIGIALFSLATVQIFALFLRPNKDHKFRFYWNIYHHGIGYSILVLGILNVFKGLDILSPESKWRSAYIITISTLGALALLLEVITWIVIMKRKSSKSTKPYDNKQQPLAP >cds-PLY75767.1 pep primary_assembly:Lsat_Salinas_v7:3:68719133:68720236:1 gene:gene-LSAT_3X51661 transcript:rna-gnl|WGS:NBSK|LSAT_3X51661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKALVKVGSIKAKAGSYWIAKKAKQELSNISDDLTTLSTTVEEKAKWIFNKLKGKPEKSLPELLREFNLPPGLFPDNIVSYEFDETKGKLVVHLPSACEVSFKDSSVIRYATRVKAILLRGKLSNIEGMKTKVLVWVKITNIAVEGYRSDKVWFTAGVKRSKPKDAYEIPSVAIRCQEF >cds-PLY67934.1 pep primary_assembly:Lsat_Salinas_v7:5:298457298:298457645:1 gene:gene-LSAT_5X158841 transcript:rna-gnl|WGS:NBSK|LSAT_5X158841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLVWSLIVEESMRDANKKSGLGGQFLKMKGLVEDDITSMDTDLDKRKYAAIVLPVNRKKNMKMKMGLLIEPGPLEDYQLMNTFELKWQRRKELKVMKLIFLMTSLTSFFSRSTN >cds-PLY87085.1 pep primary_assembly:Lsat_Salinas_v7:5:265303000:265303209:1 gene:gene-LSAT_5X137081 transcript:rna-gnl|WGS:NBSK|LSAT_5X137081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIVGARKHLGKDHPHFPQADHVVPPHAQPRGTGHDAGGTSGTHLGDTDDDSKEITEDKDGEYKSSDK >cds-PLY95670.1 pep primary_assembly:Lsat_Salinas_v7:2:111937970:111939417:-1 gene:gene-LSAT_2X50420 transcript:rna-gnl|WGS:NBSK|LSAT_2X50420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSFLLFFTHAFLFMVSGILARTIQDEGSILGANSTRRGLHTACEATNKIDKCWRCKPDWATNRQLLADCALGFAKGTTGGKGGEIYEVTDPSDDDAVNPKPGTLRCGVTQNRPLWIIFKKDMTITLKHELVMTSDKTIDGRGACVQIANGAGITIMKVKNVIIHGIHIHHIKETPGGVIKDSDTHSGPRAKSDGDGICIFGSSKIWIDHCTLDHGADGLIDVTMGGTDVTISNCIFNDHDKVMLLGVGNELEMNMKVTVAYNKFGCGCVQRLPRCRCGFTHVVNNDYDKWQMYAIGGTDKPTILSQGNRFVAPDDKQYKQVTRRAEATEAEWKTWNWKSEHDILLNGAFFIPSGGNIQHPPGMLEVETKIPVETLTRCAGLLKCTPGQPC >cds-PLY82454.1 pep primary_assembly:Lsat_Salinas_v7:2:185104292:185104663:-1 gene:gene-LSAT_2X108521 transcript:rna-gnl|WGS:NBSK|LSAT_2X108521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTHNMLSSNIKGVINGFPLRIEVEKVVEKQVDFNPDFLKNMFSKIDWKALADASKIMGYAELPEEVPDASVLDSDEFLQKFHHALLELHLEEGALVCPETGRRFPVNKGIPNMLLHEDEV >cds-PLY62746.1 pep primary_assembly:Lsat_Salinas_v7:9:106145880:106146392:-1 gene:gene-LSAT_9X76760 transcript:rna-gnl|WGS:NBSK|LSAT_9X76760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPIFTERERIQVLLSDLGLLAVFYAIKIAVTTKGAAWVACIYGVPVVGVHVFFVIITYLHHTHLSLPHYDSSEWNWIRGALSTIDRDFGFLNRVFHDVTHTHVLHHLISYIPHYHAKEARDAIIPVLGEYYKIDRTPIFKAMWREAKECIYIEPDEDNKHTGVFWYHKM >cds-PLY65128.1 pep primary_assembly:Lsat_Salinas_v7:1:157779460:157779687:-1 gene:gene-LSAT_1X109160 transcript:rna-gnl|WGS:NBSK|LSAT_1X109160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRVWKRSGREKNVVEEIWTDLEVEKFSRYAFIGSTFDGVGQRSGGRWWCRRSHYSFSFLFCFLILGSKFALWVF >cds-PLY77188.1 pep primary_assembly:Lsat_Salinas_v7:8:26604848:26606416:1 gene:gene-LSAT_8X21341 transcript:rna-gnl|WGS:NBSK|LSAT_8X21341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RDM1 [Source:Projected from Arabidopsis thaliana (AT3G22680) UniProtKB/Swiss-Prot;Acc:Q9LUJ3] MKRSVPFALDISSDDDDDDDKPSPSNGNKNLSITKRPKIETTLINPIPAKEVITEELLRKSAKMYQEFMKEIPIPAQHRSIIPFKSWTGLANSMKQMYQQPLHYLTNIRMKEWDEMRDDPLPLDTMIHPCKAETNIWLIEEVHRLTSSHQFLAKLWIADPMYYTFIDSVFPQL >cds-PLY63359.1 pep primary_assembly:Lsat_Salinas_v7:7:150544447:150548750:1 gene:gene-LSAT_7X89621 transcript:rna-gnl|WGS:NBSK|LSAT_7X89621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHCALLPKIQSMDNIVDALNSAYLEFVSAIANTLETKDAAGGQVTAATDAALENLKQRWELFRVACDQAEEFVESVKLRIGSECLVDEATGSVAGKPGQPVTPGLPPISAVRLEQMSKAVRWLVIELQQGSGSGGNLGIPQHNSAPFDARFHEDSTQ >cds-PLY80244.1 pep primary_assembly:Lsat_Salinas_v7:6:124719599:124722757:1 gene:gene-LSAT_6X74301 transcript:rna-gnl|WGS:NBSK|LSAT_6X74301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTTIASMGTSTCGSMELAASSFTPKYLSSKLQHKEPHWFGFSWNKIRNLNASSRSLRMISNGYSGRGVYRVKKDKDDDKIYRRLDSCLVIPPPKGKKPKAIIKFLGGAFIGAVPEVTYSYLLGLLANEGYLIISVPYNVTFDHSKAATEVYDKFHLCLDSILTSGLPNDDVSSAQLVDLPLYSVGHSNGALLQVLSGSYFSERIPKANAIISYNNRPATEAVPYFEQLGPLVSQLMPVVEASPVSSMAKGAADAWRVFLDTAESMAPDYDPEARVSLNKFVDQLPSVFNQVAQGISEFKPTPNENRECCKNSYNIRKTLLVKFNSDAIDETDLLEETLRPRVEAIGGTLDKISLSGNHITPCIQEPKLQVGDVYTPADAIAQVLKTLSLNETKVLATTISDWFSRIDE >cds-PLY93957.1 pep primary_assembly:Lsat_Salinas_v7:8:110703785:110706355:-1 gene:gene-LSAT_8X75581 transcript:rna-gnl|WGS:NBSK|LSAT_8X75581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQMKESEHLKISFREIEIATNNFETCIGKGGYGRVYRGELLISGKLITVAVKRLYEQFGQGSKEFLTEIALLSGQKHPNLISLLGYCEEGKEKILVYEYVERGSLDQYLTHHNTTYNILTWPERLKICVGIARGLEHLHNHVGKHQAIIHRDIKSANILVDHNWVAKISDLGLSKLSLSGLDRSAIITHACGTPGYCEPEYITTGIVTKESDVYSFGMVLLELLCGRLCTIRDDKGLLLLDYFKMNNFHTIIDPSLRKQMSSYSMNKFLKIAYGCLREDRQQRFPMDLVLKELEESLNIELSLRNGEKSNLIPISRGLQNETPKSSFATLIKHRTNVGKIFDLFDINRDGGLSREELVAFLVVTNVNVEYGHEQISALVDEVLCLYDKYLDDKKGLTYHGLLQYYDDGHGDLDSDIAKLVSDSYSNNDDSSRRHKLITIFQKHNHDFAYDGLLKLVLDSKPSDDDEAALWFGSPKSSVMIKLLTNKPVLSWLVITLFILLITTILVKLSFLWFVFLILSNSIIAFMNKSKNMRALN >cds-PLY80546.1 pep primary_assembly:Lsat_Salinas_v7:6:14460737:14462826:1 gene:gene-LSAT_6X8420 transcript:rna-gnl|WGS:NBSK|LSAT_6X8420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEISFTFSDYEYFKLLSLFLALMLPFFCSLLFFFPFIILAFSQSNKRKACKCVCSSSDLMARMLNGGGELMVVERERQQTVGASMMEQLVPEITTHVLSYLDYRSLCSLSMTNSSMRRAANDDNAWKTLYHKDFTTEQDGIRPGNGWKAYYAATRAIVNINHRFFDIIRERSIIQMGQLWLNADYVKCVHASGDIFTGYTRVIRSWQLAFNWELGIDFQIQDVSARVMSDMAWVTMKAYIAMDHSGLNLTNVFEFHNGHWFLVHHHSSEMMFNDGELPPLLG >cds-PLY95812.1 pep primary_assembly:Lsat_Salinas_v7:7:176185184:176185955:1 gene:gene-LSAT_0X35401 transcript:rna-gnl|WGS:NBSK|LSAT_0X35401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRTVGSCNGTFCLKTKNGLTLWNPSIRRKVRVAECPRSSELALGGIGFGFDPISDDYKIVWISYEKDTSFVYAVKTGTWCEIASPKPEFTYVRREAFLFKGVLHWEVNHFDLDFSCILTFDLSTHVFVGFWYGEMLLGRGFXKLPVDGAFQFQPQPQTTNECNLLLTTYGEGFQIYNPKTGLRSGVLGFNASSSLIGFWECVETLHLLDMGEAACETTQL >cds-PLY95752.1 pep primary_assembly:Lsat_Salinas_v7:5:248634889:248636695:1 gene:gene-LSAT_5X123640 transcript:rna-gnl|WGS:NBSK|LSAT_5X123640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFIVGRLAAGKEGAYFLQESKHAVGRLVEKTNKSTTAATNRPPAPTIHEVPDNQSDILPEILKHNLPSRIFRPPSDSTLSNGSKWLLNSDESNGVSSVSRDAINPLRAYVSLPQVTFGPKRWQFPNTEHSFSPSTANELRNDKYTPINPEKLKAAAVGLSQIAKAFAAATVIIFGGATLTIGLAVSQLELHTTDDIRTKGKDIIQPKFESIKEQMGPLRTWAEGVAKEWHMEKKEDIKEKPLIKELSRMLGAKRST >cds-PLY63292.1 pep primary_assembly:Lsat_Salinas_v7:3:89845280:89845564:1 gene:gene-LSAT_3X69260 transcript:rna-gnl|WGS:NBSK|LSAT_3X69260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGEWTHARRRRWKQLSKSGEYPRWNHAGVTSMFVLNLPLEVRKDVLKDNFSKYGEVIDVYMATKKAVNRKSFAFVRFKKANDELELEKALQGI >cds-PLY89525.1 pep primary_assembly:Lsat_Salinas_v7:4:159629985:159633970:1 gene:gene-LSAT_4X96000 transcript:rna-gnl|WGS:NBSK|LSAT_4X96000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSAMDDISMIQQRHHLVVRELGGEIDLEIGPGDDDPSFSHTPLITVPPQESSADDHEENKNMAIVSHLQSEDQELLKSLPAKRKKKVVKRWREEWADTYKWAYVDVKEGTTRIFCSICREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKIIADKPIYVKALMSKSAGSIVEAALKRDPNELEFIQSVQEVIHALERVISKNSGYVNTMERLLEPERTIIFRVPWVDDRGEMHVNRGFRVQFNQTLGPCRGGLRFHPSMNLSIAKFLGFQQTLRTALSPYRLGGASGGSDFDPKGKSDNEIMHFCQSYMDELYRYMGPDKDLPSEEMGVGTREMGFLYGQYRRLAGHSQGSFTGPRLNWSGSSLRTEAMGYGLVFFAQLILADMNKELKGLRCVVSGSGKIAMHVLEKLIAYGALPITVSDSKGYLVDEDGFDFMKLSFLRDIKAQHRTLRDYSKTYARAKYYDEAKPWNERCDVAFPCASQNEIDHNDAITLVNSGCRILIEGSNMPCTPEAMDVLKGANVLIAPSIAAGTGGVVAGELELKEYNLNWAPEDFESKLQEAIKQTYQRALKTAAEFGYQKESPEALIHGAVISAFLTIASGMSEQGCV >cds-PLY85295.1 pep primary_assembly:Lsat_Salinas_v7:3:71945774:71948651:1 gene:gene-LSAT_3X54221 transcript:rna-gnl|WGS:NBSK|LSAT_3X54221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIRNSYAKLNLLIIGKDNFGHWRNGILSSTPATEAPSPSNYPSNTLVLAGSRTKRPDILNHFKHYQAGWDITNKHYWASVGFTGVAAFILAILWFVSFGLAMIIHHCCGWRIDIKGQQSHSSQRLCLILLLVFTCASAIGCILLSVGQDQFHGKAMDTLNYVVNQSDYTVQTLVNVTGYLSLAKTVNVAQVFLPSDVKDDIDHLNVDLNNAANTLRLKTNQNSHTIKTVFDTVRLSMIAVAVVMLLVSLLGLFLSILGHKNTIYIFIISGWLLVAVAFILCGAFVIINNAITDTCMAMGQWVDNPHAETALSNILPCVDQATTNDTLYKSKLVVNDITNIINGFIGSFANSNAPPGGNSNYYNQSGPLMPYLCYPYDSQLHELECPPQLVSMANASVVWQNYICSVSESGYCRSTGRLTPEMYQELVGAVNISYALQHYAPPLLSLQDCNFVRETFRIITSDHCPPLEDRLRMVNAGLALVSVGVMLSLALWIMYANRPQREEVFGKISCKMMGKCNGSNMSIGVESRSMKMARGEV >cds-PLY91026.1 pep primary_assembly:Lsat_Salinas_v7:7:179139328:179144012:-1 gene:gene-LSAT_7X106341 transcript:rna-gnl|WGS:NBSK|LSAT_7X106341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:sirtuin 2 [Source:Projected from Arabidopsis thaliana (AT5G09230) TAIR;Acc:AT5G09230] MTTSAIDPENSIGMSKTDVVHPASKYCKFNGKSKTTTISFRGSVKHIQTVCRITVPGSSLETVVNPSSNSNLLKDRKVVPDSDPPTTKDVDLLYEFFAKSTKLVALTGAGISTECGIPDYRSPNGAYSTGFKPITHQQFIRSSRARRRYWARSYAGWRKFNEAKPSAAHTALASLEKANRISFMITQNVDRLHHRAGSTPLELHGTVYTVGCVDCGFLFPRELFQEKLKSLNPKWAEAIESLDYDSNSDKSFGMKQRPDGDIEIDEKFWEEDFHIPTCSKCNGVLKPDVVFFGDNVPKDRANMAMEAAKGCDAFLVLGSSVMTMSAFRLVRAAHEGGAATAIVNIGNTRADDFADLKINARLGEILPRILNTGSLSIPAI >cds-PLY69008.1 pep primary_assembly:Lsat_Salinas_v7:9:141867494:141867985:-1 gene:gene-LSAT_9X91501 transcript:rna-gnl|WGS:NBSK|LSAT_9X91501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSFAHRRSSKYDVFLSFRGKDTRKNFVDHLYHALQRQEICTYKVDKRITKGKRICGELIRTIKDSKFYNIVFSRKYASLSWYLNELVKIMEYHNDKTTENTAFPVFYDVAPKEVSHQRRVVRKAFAKNKNKEAVGKWREALKEAIDLAGWELKNTADG >cds-PLY85689.1 pep primary_assembly:Lsat_Salinas_v7:7:158333993:158334402:1 gene:gene-LSAT_7X92600 transcript:rna-gnl|WGS:NBSK|LSAT_7X92600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTGGTFNHSYVKSNPREVENTTWMLTVFHCFGQYFCLHFEAFQLGMTPVYMALLRFMGDEADARNYNYRLEVGTNGRKLIWEGTLRSIKDRHRKVRDTHDGLII >cds-PLY68935.1 pep primary_assembly:Lsat_Salinas_v7:9:195177668:195180014:1 gene:gene-LSAT_9X120581 transcript:rna-gnl|WGS:NBSK|LSAT_9X120581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQLQQDGYQIDIGNLMAYDPQHQFQSIPDKREDLVKECIAHATKLIQAVADNLFSLPSTEDASGPIVPLPPPTTKLPREKPLPKPAPPTKWEVFAKKKGIQNRKKDKVVFDEQTSSWKRRYGYDRVNDDNDLPIIEAKMTDEPGVDPFATRRSEKKQRVEKQEKNRLHNLKEASKVGALPSHVQLAATSLPITGTQAPPRKVSKDELQNVAGMAATSTASGGKFDKKLAGEKPPKHDKKYRKFLPVVEGSGMGSLERQQSDKILNKLMAKNSHEIFNVSKAVDMYNVKNDKKRKNQQGKNKSFSTSNKLKVKKSPYKSASKGSSKKGSSSKSKSK >cds-PLY65394.1 pep primary_assembly:Lsat_Salinas_v7:1:60187990:60189892:1 gene:gene-LSAT_1X51321 transcript:rna-gnl|WGS:NBSK|LSAT_1X51321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGQYDLSFKILLIGDSGVGKSSLLVSFISDAFEDLAPTIGVDFKMKQLTVGGKRLKLTIWDTAGQERFRTLTSSYYRGAQGIILVYDVTRRETFTNLSEIWAKEVDLYSTNQDCVKMLVGNKVDKDTERFVSREEGIDLAKELKCLFLECSARTRENVNQCFEELALKIMEVPSLLEEGSTIVKRNNILKQKPENNHVASTQGCCS >cds-PLY83146.1 pep primary_assembly:Lsat_Salinas_v7:3:94178474:94179401:1 gene:gene-LSAT_3X71001 transcript:rna-gnl|WGS:NBSK|LSAT_3X71001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCSTSYSSSSSTIIRHIRSISLPNRSHPSTLHFEEEFINFKNLEASISSVQTTDTICDALLGLERLHTYVNDHINLPLTRQALSHEKHQKFVDKLLDQSMMLLDVCGSVRDAMQQFKQHLRDLQSAQRRGKGDMSINTSFFNKMRKDTRRTLSSLKQISNKMGDTSLLNLDHQLSDVIRSLRDTSLVSISVYESIMSLTSALVSKPKPLRWSIVSNLIHKDTIESVDHPQVSIEALECHIDVIENGLECVFRSLIKTRAGLLNTHSH >cds-PLY96893.1 pep primary_assembly:Lsat_Salinas_v7:2:109678072:109681307:1 gene:gene-LSAT_2X51180 transcript:rna-gnl|WGS:NBSK|LSAT_2X51180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRLFTFAFIVSVASFTINADESLKLNDEVLGLIVFKSSVTDPSSHLSSWNQDDETPCSWMFVTCNPVTSRVTGLSLDGLSLSGKIGRGLEKLQNLKVLSLANNNFTGYLNHELSLLPNLEQLNLSRNGFSDRIPGSLMSSGSIKFLDLSENSLSGPVPEEFFINCLSLRSLSLSGNNLEGPIPTSLSKCTTLNHLDLSNNRFSGNLDFKPGMWSLIRIRTLDLSHNSFSGSIPNGVYALHDLKELSLQGNHFTGALPSDIGLCPHLKKLDLSNNLFTESVPESFHRLSSLNYLNLANNMLSGDFPQWIGSMGSLEYLDFSGNGLTGILPESMGDHLSSLSYISVSGNSLSGSIPSSLVSSSKLSVIRLRGNKLNGSIPDALFELGLDQIDFSRNELTGSIPQGSRKLFENLESLDLSGNRLTGDIPAEIGLNSKLRYLNLSWNNFETKIPPELGYFQNLTVLDLRYGSFQGSIPGDICDSGSLGILQLDGNSFTGSIPDEIGNCTSLYLLSMSHNSLTGSIPGSMSQLKKLKILKLEYNQLSGEIPQELGELENLLAVNISYNRLQGRLPTGGIFQSLEESSLEGNLGICSPLLKGPCKMNVPKPLVLNPFAYGNQMGRHRGEESDESSKSSGNHRFLSVSAIIAILAAVVISIGVLIISLLNISARRRLAFVDNALESCSSSSRSGASLSMGKLVWFDSKAGPDWGVNPESFLMKAAEIGGGVFGTVYKYIEDGSNNLAIKNLVVSNMIQYPEDFDREVRVLAKVRHPNLVSLKGYYWTPKLQLLVTDYIPNGSLQTRLHERPPSSPPLSWQSRFKILLGSAKGLAHLHHSFSPPIVHYNIKPSNILLDDNLNAKISDFGLTRLLSKLDKHVMNNRFQSALGYVAPELACQSLRVNEKCDVYGYGVLILELMTGRRPIEYGEDNVLILNEQVKVMLEEGNVLDCVDESMGEYPEEEVLPVLKLALVCTSQIPSSRPSMAEVVQILQVIKTPIPQRMEPF >cds-PLY72190.1 pep primary_assembly:Lsat_Salinas_v7:7:56755596:56755799:1 gene:gene-LSAT_7X41020 transcript:rna-gnl|WGS:NBSK|LSAT_7X41020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLDIGGVGVYRSGGTPPAGCRWKAPERRGSTNWVSLESTGWRGGAGQRSEVGGVCAKRNVGGERSC >cds-PLY89904.1 pep primary_assembly:Lsat_Salinas_v7:8:68672956:68677091:1 gene:gene-LSAT_8X48980 transcript:rna-gnl|WGS:NBSK|LSAT_8X48980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKSFTLCQLEAWLAEYKVRRGATRTVEVEEIDDNDDSCGLCGDGGELICCDNCPSTSHLTCLCVQVYFGLHSRIGIMNSISDGFSWTILKCIHGDQKIHSGLVALKAECKLKLADALTIMEECFLPMVDPRTDIDMIPHVLYNWGKYRCQGMCRRLMNAIEEGNAKDHFISFNLSHSDIWRGFVPWLNWEKKFTMQLPHDWYDYFCGILMFVKSEEHDVRVNITIKQGIDEDFHSLFGQESNETDISFYGAVCVGYVSFSSLKKTECLNSTYNMLSFSVHCKDKEDECRFRAVLIPRKNKDDLMQTTDTSEFWDDGKTFRVQHDSESSIKILWTPHNNMHFGMNLFDPDIYPRVPQILGDFLY >cds-PLY75469.1 pep primary_assembly:Lsat_Salinas_v7:7:71804788:71807866:-1 gene:gene-LSAT_7X51941 transcript:rna-gnl|WGS:NBSK|LSAT_7X51941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKRTKAAEFSHGDEASNPKSFQEDRNQFPSSKKIFLICLIFRITNSLFVQTYFNPDEHWQALEVAHRITFGYGHLTWEWTKGIRSYLHPMIFAVLYKVLSLLHLDTPLFMIKAPRLFQSLLSAFGDLYLFKLSHVLYGGHVANWALFAQLTNWFMFYCITRTLSNSLETVLTVVSLYYWPSLRTNLTKMPPGSRTWALLTAALACAIRPTSAIIWVYVGITEFFGSHNKLKFIFLQVVPIGSVVLGLTFILDRLMYGSWVLVPLNFLKFNFLSSGGDYYGTHPWHWYFTQGFTVMIFTFLPFMVLGIIQSKNWKLSGLILWVLALYSVLGHKEFRFVLPVLPLALLFSGYSLAKLSQQQHSSNKKSNKNKSFWKIKPALFFLLLTNIPMALYMTMVHQRGTEDVMNYLAKEANQRNVKSILFLMPCHSTPFYSTLHHNIPMRFLDCSPSEIKGIQDESDRFVMDPIGFVSEYVKNWAPPSHIVLFDTEEKYIKDFLFSHSFKKIKRFFHAHFKVDRDLQASVVVYALLDQ >cds-PLY79702.1 pep primary_assembly:Lsat_Salinas_v7:8:125285801:125286085:1 gene:gene-LSAT_8X86880 transcript:rna-gnl|WGS:NBSK|LSAT_8X86880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METIMQKLIGYLQMMKTSLTNLQQTYKTVNTEMQALLHDAPEELPYKELTVAIQVIADLDNITVLMLDMFGMMQENMSEAIDVCSKIQDDHHAP >cds-PLY76373.1 pep primary_assembly:Lsat_Salinas_v7:6:170605019:170607352:1 gene:gene-LSAT_6X103260 transcript:rna-gnl|WGS:NBSK|LSAT_6X103260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVKLQHLEKPVDIKDFDQKSKSKSTFSVDVPFSVPKTSTCNVKVVSHESPVKAQLQEEEEEAYEGEDEYERDYFNSDHHRSCEISICKTPIQEPEEYRDTDIDNHQNNSSDIEMDEDGHLSDPGASKTPFLASPKLKRSCSNLETKRVLPMNRIAPCESFEDLQKLGDGCISPVSVTSNYSADKVILRKCSSSQILPSKSRKLWWKLLLWSHRNLHEPIKGVQNMLLLTNQQGGYSSDLEPNRRLEKSKSKLPKSENINNVGDLRWNSFHQGSGFWPQNQWMAFPAGSPSSSLTRVDEWVQEIPTEPGNKEEEENEITFPPSPENGKAPATSRNNDLPEEIAYANRVIQSLNSSSTVAYISGIGLKVIPTISVFSSLRSVNLSGNSIVHITPGSLPKGLHILDISRNKISAIEGLRELTRLRILDLSYNRISRIGQGLSNCTLIKELYLAGNKISNVEGLHRLRKLTIVDLSFNKITTSKALGQLVANYNSLQALNLLGNPSQSNMSDDQMRKTLCGLLPKLAYLNKQPVNPQKAREVAREAVVKAALGNGSLSGRRRSVKRIGSVGSSSSFSRHRGGGGGGGGQKGSGRHGVKPSRGRHRSIK >cds-PLY81831.1 pep primary_assembly:Lsat_Salinas_v7:3:34483249:34486433:-1 gene:gene-LSAT_3X25601 transcript:rna-gnl|WGS:NBSK|LSAT_3X25601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISASEFSFQDPGTVEWIPYSASRFSEVAKIEGTHNLQIEVDIETILLSPGITYAAYLVFKFFHRFNDVSHLNESSEITSSQPTFVGLQYKFKDADESSFSHFADWTENGWMMIELCQFVSYGKVAKLELLLEGIPSYNIMLLEGIQFLPVEMQAIEEEPVVVVADNSNTNWEQKLPTDYQYIIKSAKDRVPYNITNKEIYMLLSNGILTNRGQMLFSLDKDGIKCCMASARAVLEDCDEESRRFSQLACLRWISVHESRFEKVAECESGRVLRINCHINFHMVSLNTPYATYLVYKLPDVRQGTLECPVDVRDINRDSPNFSEVGLIYLTSPTILFIMLTDGDQDSNQRQNKNPLYRPKIDSLPRLRKDGWMEVEISQFKADTPTSLNLAFEFTFNEREQLTGLIIQGFEIRPK >cds-PLY88308.1 pep primary_assembly:Lsat_Salinas_v7:8:237099418:237099719:-1 gene:gene-LSAT_8X143280 transcript:rna-gnl|WGS:NBSK|LSAT_8X143280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVGLIKMMEKCEAYYHTYCLTPPLSQIPKGNWFCPICVPPQQDNEAGLENILQFVDKNCEENIKLLDIATALKEKEYWELDADKVSFLSLSLD >cds-PLY64585.1 pep primary_assembly:Lsat_Salinas_v7:6:36227626:36229383:1 gene:gene-LSAT_6X28660 transcript:rna-gnl|WGS:NBSK|LSAT_6X28660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMVAPVTDFHVVMFPLMAQGHMVPMVDIARILALRGAMVTIFTTPLVANRFRQVIERANESKLMIKLLELHLQLAEVGLPEGCESFDTLPSFESSNKLFAAINLLEQPAENLLRELSPPPSCIISDFCFPWTGDVAQRLNIPRLVFHGPGCFWLLSMHVVLTSKMLDTIESNSERFVLSGLPDRVEFTKPQLTGSFKARTADQMEFWSRAAAAEKTAYGIVVHTFEELEPEYVKELKKVKDTKIWCIGPVSLCNKDNSDIAERGNKATINEHDCLKWLDAREPGSVVYVCLGSLTRASTQQSIELGLGLESTNKPFIWCVRDKTEELEKWFSEEGFEERVSDRGLIVHGWAPQVLILSHRAVGGFLTHCGWNSTLEAVCAGVQMVTWPHFADQFINEAFIVEILKIGVRIGVDIPVPFGEEDKMEVLVKKEDVKRAVECLMDEGEEGKERRKRVSELAEMAKRAMEEGGSSYLNVSSLVQHLTDMQLKTR >cds-PLY80354.1 pep primary_assembly:Lsat_Salinas_v7:7:154293443:154293964:-1 gene:gene-LSAT_7X90460 transcript:rna-gnl|WGS:NBSK|LSAT_7X90460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSQLDAQTVDVDAPQTVDVDEGDDEEVADNKGGGHRVSWVWQHFDRDAVKKGAKKVKCPYCLTMTREKLVSIETYEWGIGEEIYMNVD >cds-PLY73078.1 pep primary_assembly:Lsat_Salinas_v7:6:92910621:92914134:1 gene:gene-LSAT_6X62021 transcript:rna-gnl|WGS:NBSK|LSAT_6X62021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEKFSATLRIGDLNDFIAPSQGCVVSMKSGSARLVDKPKKLVKTTPVKETLETDPVKISLKDCLACSGCITSAETVMLEKQSLDEFLSNINNGKTVIVSLSPQSRASIAVHYGLSPLQVFKKLTTLFKSLGVKAVFDTSCSRDLSLIESCNEFISRYKQSNSDDKESSRSFLPMISSACPGWICYAEKTLGSYVLPYISSVKSPQQSIGAIIKHHLCHKLGVRASEIYHVTVMPCYDKKLEASRDDFVFHDESGEMTTEVDSVLTTGEVLDLIQTKAAVDFMNLDESPVDKLLSNVSEEENLFGVRGSSGGYADTIFRYAAKTLFDQDLKGPLDFKTIRNSDFQEVSLQVEGKTVLKFALCYGFRNLQNVVRKLKMGKCEYHYLEIMACPSGCLNGGGQLKPKSGQSGKDLIQALETTYMQNVLVADPFENMMVKRLYESWLEHPGSEKAKQHIHTQYHPIVKSITSQLNNW >cds-PLY91413.1 pep primary_assembly:Lsat_Salinas_v7:3:56337127:56338619:-1 gene:gene-LSAT_3X43840 transcript:rna-gnl|WGS:NBSK|LSAT_3X43840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFSQGEEATSSSKTAQCTVSCMYQAHIGGFWRTVTAHWTKNIMHHSLNILVDSVESPDRRHHCKVDLKPWHFWGKKSYKTFEVDSLQIDVYWDFRSARFSSSPEPSSNFYVALICDEEVVLLIGDLEKKVYKRTKSRPGVDTILFFKKEHVFGKKSFTTKARFDKERKDYEILVESSTSSGSKDPEMWISIDGVVLIHIRNLQWKFRGNETVLVNKQPIEVLWDVHAWLFLNPGSNHGSFIFKPGQPNSESDKEDDSQDGTEEGDNNSVNKDYSNSPQCCVFLYAWKIE >cds-PLY83070.1 pep primary_assembly:Lsat_Salinas_v7:5:117138113:117140020:1 gene:gene-LSAT_5X51501 transcript:rna-gnl|WGS:NBSK|LSAT_5X51501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSLFVKGLLLKSTADEEYSPTNLKQRRRCENGVLRVLDHHHQRPFLRWIRHGNMSIARRAESQVGGSVPLRAVLNPVSPPVMTTKKKNLVTHDKILLMRGVTDVPLM >cds-PLY83396.1 pep primary_assembly:Lsat_Salinas_v7:8:772606:773190:1 gene:gene-LSAT_8X1281 transcript:rna-gnl|WGS:NBSK|LSAT_8X1281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSFFIFAVLPDTCKLIEEWISTRKFSRYPIKDEYAQRIVSSQRSLLEVMEAFPSAKPPLGVFFAFVAPRLQPIYYSISSSPK >cds-PLY67967.1 pep primary_assembly:Lsat_Salinas_v7:2:12121181:12124717:-1 gene:gene-LSAT_2X6220 transcript:rna-gnl|WGS:NBSK|LSAT_2X6220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRVCVTGAGGYIASWVVKLLLSKGYMVHGTVRDPCDEKKNGHLKKLENAEERLKLFKADVLEYENLCAAFAGCIGVLHVASPVPGGPVSNPQVELLDPAILGTKNVLNACLNAKVEKVVVVSSASALLGNPKWPTDLEMDESCWTDIEYAKSIEHWYAMSKTVAEVEALEYGKRDDLSVVTICPSFVIGPMLQSTINATSLILLSYMKEEGRTGGDTIENAERPYVDVRDLSEAILLLYENPESNGRYICSPYSLRTRDFVAMMENMFPGHTYPKIFTEKSGRTLLSSKKLVNLGWSYRPLEETIVDTVNNYEELGFLEKGKPYPATIKF >cds-PLY73265.1 pep primary_assembly:Lsat_Salinas_v7:8:211397595:211400806:-1 gene:gene-LSAT_8X132021 transcript:rna-gnl|WGS:NBSK|LSAT_8X132021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHSLNIAVIGAGTSGLLTTRELLRENHRVTVFEKSNRLGGTWLYEPQVEAGDVLHLDPNRSVVHSSLYSSLRTNLPRPLMGFSYYSFEDKSYGDPRMFPGHEEVLKFLQDFANEFGITEVIRFNSEVVRVESLDSDFVVEWRTMEVGSVAEVFDAVVVCSGHHTEPRIADDVPGIEEWSRKQTHSHNYRVPEPYRDQVVVVIGNGPSALDISREIATLAKEVHLSSRSLNVKVSKLDVFENIWQHSKINRVYDDGTIFFQDGDSIEADIILHCTGYKFHFPFLRTNNIVHVDDNRVGPLYKHVFPPQLAPRLAFVGLTYNQGVHFQMFELQSKWVALALSGKISLPSEDEMLADVHKHYREMEENGNPKRYTHSLGFKLEYLDWLAAQVGLRIDDRLKVICRNLLEQWISHPNDFRDVFQL >cds-PLY97446.1 pep primary_assembly:Lsat_Salinas_v7:4:13421552:13424991:-1 gene:gene-LSAT_4X8760 transcript:rna-gnl|WGS:NBSK|LSAT_4X8760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKETFIRISSNLVFLAFFSLISLPSLFSQLNTGDSRILFQVQQKLEYPQVLQEWGNWTNFCFLPSNPSLMIVCSGNRITELTIVGNKTKPLSPSFSIDSFFTDLTKLSTLKKLTLVSLGLWGTLPPKVDRFWSLEVMNFSSNFINGEIPSTISTIKNLTVVNLSNNLFNGSVPDLKGLQNLEVIDLGSNRLGPKYPSLSYNLVSITLRNNSIRIEIPSDFVKFVHLERLDISSNKVVGPIPSSLFSLSSIQYLNLGNNQFSGALPANLTCTKNLGFVDLSNNLLIGKLPSCIGSTNRTVISTWNCLTNSTSKYQHPKKFCQKEAIAVLPPKRNGENKKEETTLKLGVVLGIIGGIVGILGLIGLLILGIYRRRVAKRAKQFRSDSFALDKNAVRTPSSVPKDGRRPQTMRTAALGLPPYTIFTLEEIEDATNNFDSSNLMGEGSQAQLYKGWLRDGTIVLIKCLKLKQKHSTQNLQQHMEVISKLRHRHLVSVLGHCIVSYVDHPNSGSTVFVVLENVSNGSLRDHLTDWRKKEILKWPHRMGITMGIARGIQFLHTGTQHGIFGNDLSIQSVLLDDNLTAKISSYNISLSSKVGSESPLHGHDTSSQVSQRPMNLEKDDIYQFGVIILQLITGKPVDSEDEITELKNELEIGLMESPTKLKEAADSSIRGTFAYESMKTAVQIAINCLNEDVNVRPSIEDVLWNMQYSVQVQEGWNSSGNLSTNL >cds-PLY72827.1 pep primary_assembly:Lsat_Salinas_v7:6:22776875:22778141:-1 gene:gene-LSAT_6X18081 transcript:rna-gnl|WGS:NBSK|LSAT_6X18081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDEVVVNGAGADVDVEIAAKEEDSDGTMNLTVQKLMQKLAILEQEKQELINDKESATAKIKELEDEIKTSESDMRALTSIAGRAAELETEVSRMQHDLISSMSDAQESNAEVSELKRVVEELKKSESEKAEKVDVIENERNLLLERLNKEAEKLKEEENQIRELERKIESLETRESSQKSERLKVEEEAKAKISKKDDQIQSLSMMVDEFQSVIVKNREDMEKSEKEKEELEIRKNDLEALLKNSESKVKEMEDRLDQLQKELEAYEKINSVLKEKVVEDTNGIEAKRGIVLNGDEKKLDWPIVAVGAGAIATVALVYFRHANR >cds-PLY93216.1 pep primary_assembly:Lsat_Salinas_v7:6:158466398:158467619:-1 gene:gene-LSAT_6X96960 transcript:rna-gnl|WGS:NBSK|LSAT_6X96960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDREQEQMQFLGLFGIFKESFKIIHSWRKIFTQITLTLILPLTFIFLGHLEISNSLFQDIKHTEFEKDFTRPGTRTYNKLSDTLSSEWITFLLFQIAYFTILLILSLLSTAAVVYTIASIYTGQELTFKKVMSVVPKVWKRLMVTFLCMFAAFFVYNFIAVLVMFISMAIFPFNVAVVVLFVVLIIYLIGFVYMTVIWQLASVVSVLESSYGFKAMKKSNDLIKGNQGVAILIFFMLNMFFILVKYLFEIFVVHGSSLNMEAWKRVGFGFLCSLLLLTFFLFGLVIKTILYLVCKSYHHENIDKGDLSNHLESYLGDYEPLSGKDVQLEQYQV >cds-PLY78541.1 pep primary_assembly:Lsat_Salinas_v7:1:107938908:107940310:-1 gene:gene-LSAT_1X84501 transcript:rna-gnl|WGS:NBSK|LSAT_1X84501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSGRFANDPVYIKENSNGIAVVARRLFNERTIYEMVDPRLMEETHENTVNLHKGPDPHSLDAFSKTAYQCVAERQAERPIAKVIIKKLEELLSFQKPILVAHLDFVAYTIPELVIGEAYDFLLELQILLKYKHKYIVGLVGYCKEMGENIIVYDYASRGSLDMHLKDNDLTWMKRLEICIDIAKGLDFLHGSMLTQEVVIHRNIRSSNILLHEEWKAKITSFRISLITPINKDTDFVTDNYRVTNGYCDPQHAEFGFFTKESDIYSLGVVLVEILRGRLIHEFFMDYFQNLTDLFKLYHEQGKLDELVFEGIKEQIVPKSLYTFQMIAYQCLHREREKRPMAGEVQLQLKKAFEFQVSFIFLGNCSLF >cds-PLY77707.1 pep primary_assembly:Lsat_Salinas_v7:9:19626398:19636866:-1 gene:gene-LSAT_9X18201 transcript:rna-gnl|WGS:NBSK|LSAT_9X18201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVWFWVTTGSVIAVRRDRGPPDGGVATREGGRPPDDGAAAKESGGMALLQKKVAGHRIMALLQKKVLSASNDAIRCIRLTKKIAYFDEMLVLFARDRASGAHAETAKERNARLNKNENIQVETIKEVDDMLANNEIHLENEYVDLDDNIQDVIPPPFSQEQSSCAKKCKSKKRKFEDDEEEEDINSKIMKSVDNVAGAIREGNIIFDRAYPREYTGEEIYRELELVGLEPHELPRALNFLATNQAKARTLFSCPLQIRMGVLKDMMGARD >cds-PLY96402.1 pep primary_assembly:Lsat_Salinas_v7:2:87438665:87438895:-1 gene:gene-LSAT_2X37180 transcript:rna-gnl|WGS:NBSK|LSAT_2X37180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKSVPIPGRIPANTAGLGTAGPSGAGPSVVGPRAPPPQQVIGIPVRQPGMTPEESRMMHSIAADLHHSLTVIAGQ >cds-PLY80383.1 pep primary_assembly:Lsat_Salinas_v7:3:237731373:237734568:-1 gene:gene-LSAT_3X133380 transcript:rna-gnl|WGS:NBSK|LSAT_3X133380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SICKLE [Source:Projected from Arabidopsis thaliana (AT4G24500) UniProtKB/Swiss-Prot;Acc:Q9SB47] MEESAQRKERLKAMRMEASSQEGPTYNEGGEPSAVSLSNPLLEPMANPNSQVHPSGQTFNYYTNPMAAYSGNKQKTNVNPQMSNEYSSILPRPQTNDMFPSPSFRPHLNHSSIPRMQPPPHGQYQNPNFSYTPNTPQPQGGYPGPGPYRGPHFTSGPGRGNYPSPGPRYPFPGPSPGRGYRYPSPGPHLNHGSGPGYPTSTSGPNQGRGQWFGNNMSSGRGMGRGRWSGQGQGQGQGQGGGRGAHYDVTAEDRPDLFYNKSMVEDPWKFLEPVIWKSLKKPKPWLLYSSNTKKQRVSPSRHQQQQSSSQPSLAEILAASFNEAATGEQDAAQ >cds-PLY93757.1 pep primary_assembly:Lsat_Salinas_v7:6:144221920:144225795:1 gene:gene-LSAT_6X87061 transcript:rna-gnl|WGS:NBSK|LSAT_6X87061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKSARWKSEKNKIKGVFKLQFHATQLTQLEGDSLMISVVPTDVGKATSRLEKSKVQDGNCYWEKPLYETVKFSHDQKTGKIHEKIYYFIVAKDSSRFGGVGEVSIDFASYVEATKLFSLSLPLKSANFAAILHVSVQRVQGSFDREIDRSESAKNHDQSLMRHFSNGSFEGNIRNINFSRSSSNSTLNTPQKKEPKKIKQTRESSIKEDKSLQWDWLNGSNPKLSTDDSSTSTLGETSEESSSDVIIQKLKAEVVALTRQNDVSELELQTLRKQIMKEIKKGNELSREVAQLKEERNVYQNRTEEVKVKVKDDPWALLDELQKELNHEKELTSNLQLQLEKKQESSTDMVLPLEKSKSKSKSETDDEDDDEEQRVLEEIVKDHSGIKETYLLEQKIIDLYGEIELYKRDKDELEMQMEQIALDYEILKQENHDISYKLERSQLEEQLKIQYEYTPYSNANELESKIESLENELKSKSKELSNSNLVIKDLETHVKNLEEELVNQEKRFEGNLEEFTRGKIENQKRAIRAEENLRKMRLQNVNLASRLQEELRRLSQKMGSAFEVNEKAAMKAIEEADKLRVEKRALEDMFVKVKIDLWNFCDCFEENVIGFLDYMSLKLKQLEKMKDQIENMNGILRVNGKMQNLEGERLNGNLFLLKKKDLQLEIKEFEKKLDSLVHKTEKSQVFYLEFCSQ >cds-PLY70011.1 pep primary_assembly:Lsat_Salinas_v7:8:146640301:146641190:-1 gene:gene-LSAT_8X99640 transcript:rna-gnl|WGS:NBSK|LSAT_8X99640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSMKGYRVISVDIPRLWNNQEWVQAFEKFLDVIDVHHTPFFLLKRYVLIGIPNGPHEPFIADSVDFVIAQVKTLTRGDLASRLTLISDVASMGPLLLSDSLITIMDVSFHNS >cds-PLY98128.1 pep primary_assembly:Lsat_Salinas_v7:1:142484683:142497253:1 gene:gene-LSAT_1X104541 transcript:rna-gnl|WGS:NBSK|LSAT_1X104541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANKRLLALFLSLFLSVLVHQTHANKQLHSLVRLNKAKLSKNPSVNTSHFEHPKHLHKERVVLPQQGLKAKDKIEKLPGQPYVKFDQYGGYVTVNESAGRAFYYYFVEAEKSKETLPLLLWLNGGPGCSSLAYGAMQELGPFRVNSDGKTLYRNKFSWNHAANVLFLESPAGVGFSYSNTSSDYKKGGDKSTAADNYVFLLNWLERFPEYKGREFYLSGESYAGHYVPQLAHTIIYHNLIANKTLINLKGILIGNAVINDETDTIGMYDYFGSHAIISDETASIIRNDCNFSPNAITQPDTCIDATNDADYNIEALDIYNIYAPLCFDGNLTRKPKKTSWKNIDPCSDYYTYAYMNRQDVQKAIHANVTKLNHDWEPCSEILKGWVDSATTVIPLLREFMKFKLRVWVFSGDTDARVPITSTKYSINSMKLSIKTKWHPWFHQGEATGFVQVYKGDLTLATVLGAGHQVPSYQPKRALALIKHFLSGKPLRDSSKR >cds-PLY89586.1 pep primary_assembly:Lsat_Salinas_v7:4:366590301:366592905:-1 gene:gene-LSAT_4X177200 transcript:rna-gnl|WGS:NBSK|LSAT_4X177200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLSPSSPPSSPLITITGKSTTRRLINCAVRSNCGKRISRFRSGTVVTMSTEKKKKKEVWIWTENKQVMTAAVERGWTTFIFSSIHRQLATDWSSIALINPIFIEDKSLFDNKAKLVATISEISSPQELEQLQPAYEHADNIIVDLLDWQVIPAENIVAAFHGTHKTVFAISKGLSESQIFLEALEQGLGGVVLKTEDVETVLELKEYLDKRNKEGSLLDLTKATITRVQTTGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHAYVAVPGGKTCYLSELKAGKEVLVVDQSGIQRTAVVGRVKIETRPLIIVDAKVDSDSDKENCYSILLQNAETVGFVPPIKGGGNEATAIPVTSLKIGDQVLVRVQGGARHTGIEIQEFILEK >cds-PLY62751.1 pep primary_assembly:Lsat_Salinas_v7:9:105971477:105972613:-1 gene:gene-LSAT_0X40001 transcript:rna-gnl|WGS:NBSK|LSAT_0X40001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMSNDPFDGKKILERVPVDPPFSLSDLKKAIPAHCFKRSVIRSSYYVVHDLIVAYVFYFLANTYIPFLPAPLAYLAWPVYWFCQASILTGLWVIGHECGHHAFSEYQWIDDTVGFILHSALMTPYFSWKYSHRNHHANTNSLDNDEVYIPKRKSKVRWYSKLLNNPPGRVFTLVFRFTLGFPLYLLTNISGKKYGRFANHFDPMSPIFTERERIQVLLSDLGLLAVFYAIKIAVTTKGAAWVACIYGVPVVGVHVFFVIITYLHHTHLSLPHYDSSEWNWIRGALSTIDRDFGFLNRVFHDVTHTHVLHHLISYIPHYHAKEARDAIIPVLGEYYKIDRTPIFKAMWREAKECIYIEPDENNKHTGVFWYHKM >cds-PLY94700.1 pep primary_assembly:Lsat_Salinas_v7:3:151915262:151916483:-1 gene:gene-LSAT_3X96640 transcript:rna-gnl|WGS:NBSK|LSAT_3X96640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMETDFEDDGTLSPIQTEDTDFASYQTEVVMETDFADDGTLSSIEATRSPGGNMKASNMDITNTMGDPSNSSIPEQTQLVTPEVSMVESVSKEVVEFDNSLLTKVDMNSESDSKVFAKLEELLGSLKESLSKLEVSPSTSISQ >cds-PLY99217.1 pep primary_assembly:Lsat_Salinas_v7:4:367741870:367742693:-1 gene:gene-LSAT_4X180540 transcript:rna-gnl|WGS:NBSK|LSAT_4X180540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTKHDSRNPLSSSTREALRAQSSKRKAGMEKTLSLEGLMNLPNNVIDISSLKQKRTIRGGEVKNRGLLLSGSQLSSKSIDLVLSLEPPATCTPHECLVIIDHGLKHAEENLPSPDPEAPGSGIHLHHTLNVLTIPKFGLFQAFNFESTTITVDPLHTGSSVPGSSNLTPVENFDATPLFGGDSHPELVVDVSQRTSKQIDDPMPTLVTLATSFP >cds-PLY84684.1 pep primary_assembly:Lsat_Salinas_v7:2:151205865:151209182:1 gene:gene-LSAT_2X78501 transcript:rna-gnl|WGS:NBSK|LSAT_2X78501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVSVIFVKFLFMILIFNACNGLSTRIIERIHSNSNSSNKKNYLTTDELWFNQTLDESAGPECKAVLQEVTQLVEQKLASNSNALKTTFGATQLIDMETVFDFMNCHLKIDGDFMYFLAEAASIAFQYGNPDKLCTPMTEAKKAGEDLKAYAKYVKEYYIGSYGADVELYNQENLKKTNPSVAINPSYIISCHNCGHGSDLRGCPQFPSAPEGSSKSCSSPDAVEKVRHEMIQQIDLWLAECHAIDPPLITMDASITCWVEKWNGIREQEDE >cds-PLY78227.1 pep primary_assembly:Lsat_Salinas_v7:6:7929553:7930871:1 gene:gene-LSAT_6X4781 transcript:rna-gnl|WGS:NBSK|LSAT_6X4781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATCRMPISAQSLFLPMYRQQIDKHTMQLCMKKKKKDENVRYRTHNLKKIMSSVITNSIIEQDPTPSDTITQFYKCINEKNIKQLENYISNDCFFEDYSFSNPFKGKQEVLRFLEQLITGMGQNVEFHVAHIYEDDDDLTAGVDWHLEWKNRQVPFTRGCSLYRLTREGERLMIRKAQVFVESPIKPGDLFLILLKIVTSLFDAFPEATECMKLTSCMNDYTLSRFFRSQHFIVQVLLKAYCIFIVPFISPILSFYVNLGKLIVRFVSIALKILEYCSRLFTTGKR >cds-PLY61660.1 pep primary_assembly:Lsat_Salinas_v7:5:90175919:90176670:-1 gene:gene-LSAT_5X40921 transcript:rna-gnl|WGS:NBSK|LSAT_5X40921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGALSRFIAHVYTLFLVFFTVLFLELVILVRSVAGSIGYTTAADDHPMTTAQYLKLIDEKNPASRYKTAGLERKECSVCLSVFDDGDEIRRLKCKHTFHKGCVDKWLEQDRATCPICRSLVLPEAIVVKYSQRHQIPLHRRRREFYGGSDEELILLLTSLHGNYMRRFM >cds-PLY95480.1 pep primary_assembly:Lsat_Salinas_v7:4:264564961:264571440:-1 gene:gene-LSAT_4X137160 transcript:rna-gnl|WGS:NBSK|LSAT_4X137160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLGCPKKILMLCGDYMEDLEAIVPFQALQAYGLSVDAVCPDKKCGDICRTVLYRVSPHQTFSEDRGHNFTLNATFDKIDVCSYDGLVIPGARAPEYLATYESVVEIAKHFARTGKPIASICHGSLILAAGGVLKGRTLTAFPTLGPVLVTAGANWVQPETMASCFVDGNLITGASYEGHAEYIGHFIKALGGTVTGFNKRILFLCGDYMEDYEIYVPFQSFQALGCHVDSISSKIKKGDRCYTAIHEFEGDQNFSEKNGHEFKITATFEDIDASSYDGLVIPGGRGPEYMALDQDVIKLVKYFMDARKPVASISYGQQILAAADVLKGKKCTAYPAAKLHVVLAGATWLEPHSMHRCFTDGNLVTGVAWPGHPQFIAQFMEVLGVKEIGDRRPVLARAVLSRVELAMSELGIGGHSSVVGVNFMEVGVISEVV >cds-PLY84421.1 pep primary_assembly:Lsat_Salinas_v7:4:289614856:289615422:-1 gene:gene-LSAT_4X147101 transcript:rna-gnl|WGS:NBSK|LSAT_4X147101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLQLTVAALIFVVMVVAKKLTMMLQREDPNGHNRFLGDGIGIIARFRRVQARHVELQSWSHEDSEEFKPDMIEKPQFFCSTPFSVSGDDNFGAIASHSGGGGRTDRGVEVGPRQEQESGGDTAGAAGGIRIRRGSNNSTDGGEAAIQILRQEAEIQRLKQELASVVVNMKLMLIVVGVLVSAMIYAL >cds-PLY84426.1 pep primary_assembly:Lsat_Salinas_v7:4:290187442:290191291:1 gene:gene-LSAT_4X149841 transcript:rna-gnl|WGS:NBSK|LSAT_4X149841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEERGAEAKDEKTLNIDGGGKPLNAEEYDKDSDARETTKNGDVVVTGRKLVAKKRSYEFTPSSLSCDCFHNKDFGRDTSRLDLISFRYFDVYGENPDMGKGSYGGGGGRKDSGMTQQVTVVSYNGDVVQGSMKEGSGDGGEPVSHKLEQEKCNIDVKPVIKRSQEKKGSGGADVDGDQDGGCMVAVGCGETWLWSWVCGKKSFK >cds-PLY82235.1 pep primary_assembly:Lsat_Salinas_v7:1:67722220:67722768:-1 gene:gene-LSAT_1X57701 transcript:rna-gnl|WGS:NBSK|LSAT_1X57701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNNAATLDPSKDRIIDNEKTEFERVLSVNITGVFLGMKHAARVMVPARSGSIISTGSVASNIGGVASHAYCCAKHAVVGLTKNVAVELGQFGIRVNCVSPYAMATPIATSFVGLEGEAFENLVNSLANLKGVTCVTDDVAKAALFLASDEAKYISGQNLFIDGGFTIVNPSFNMFQYPENS >cds-PLY95895.1 pep primary_assembly:Lsat_Salinas_v7:3:185763695:185764320:1 gene:gene-LSAT_3X110581 transcript:rna-gnl|WGS:NBSK|LSAT_3X110581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCLGPNEAELQQIEGLGLSEPELQQIEGLGLSEPELQQIEGLGLSEPELQQIEVEQLPTTQALRDGERMNEEDDIDEPGMGEVTMNEERMDGEREIPFTQQLNQVRRSPIKRSKVNQVRRRKPPERITKIKLQKVVVFKNGKGMSSSNPLSLE >cds-PLY75479.1 pep primary_assembly:Lsat_Salinas_v7:7:72673566:72674278:-1 gene:gene-LSAT_7X52881 transcript:rna-gnl|WGS:NBSK|LSAT_7X52881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIRVATENQQGGVAAIDHGEMPNNFAGGNKSLYNDRVESFAAGAGEGQDRKPPSPDPEGVAEGHNSKTGGGGGKNKGKKGRQIDPTLLGFKVTSNRIMMGEIQRIQD >cds-PLY89120.1 pep primary_assembly:Lsat_Salinas_v7:4:145870862:145876438:-1 gene:gene-LSAT_4X89080 transcript:rna-gnl|WGS:NBSK|LSAT_4X89080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFIGDSIGSLKSINVRQALEQAITLGMIVSSALILWKFLMCVTGSESPVVVVLSESMEPAFQRGDILFLRMGEDPIRAGEIVVFNIDRREIPIVHRVIKVHQRNDTSDIDILTKGDNNPTNDRGLYAPGQQWLQRHHIMGRAVGFLPYVGYITIVMTEKPIIKGRLGGGNESSKGCLSSSCASSVTEGRCQGGKSKRGCRLRCFLRGNTHFGGGFKPGNEEEMEDERGKGNNDHNSLHEGSSMTTIDRWKGWSNKGLLSRSLVEIGHHPKEKT >cds-PLY98375.1 pep primary_assembly:Lsat_Salinas_v7:5:315943360:315943956:-1 gene:gene-LSAT_5X173201 transcript:rna-gnl|WGS:NBSK|LSAT_5X173201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDHFCCRKLEDDDDDEGNKAKSSESSGNNSVVDQVEGEKMDASTRVRQYVRSKMPRLRWTPELHLIFVRAVERLGGQERATPKLVLQLMNMKGLSIAHVKSHLQMYRSKKIDDQGQGIYVEKLMSLTIV >cds-PLY63356.1 pep primary_assembly:Lsat_Salinas_v7:7:146602480:146604880:-1 gene:gene-LSAT_7X86920 transcript:rna-gnl|WGS:NBSK|LSAT_7X86920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLHHGHHVHPIFFLLWLHILPFFSSAAGPPSSSPRGYLINCGSRNDIKQEGITYLRDEGYAIAGNLTTLNRTDILPVLTTLRYFPSGPGKHCYQFLVTKGGKFLIRTTYFYGGFDGGIVPPIFDQIIDGTKWSTVNTTEDYKNGLSSYYEIIVTANAKTISVCLASNEHTKPPSSPFISLLELINLDPSLYNSTDFEKYGLITVARTNFASDGDFIRFPDDPFNRYWQPFIDGNPTVQSQTNVTSSEFWNRPPVKVFLSASTTSRGRNLTLHWPPCALPSNRYYVALYFQDNRSLSPYSWRVFTVSIDGETFYQDLNVTTRGVTVYGTEWPLSGTTEIVLSPRSDMPIGPLINAGEIYQILPLGGATLGRDAMALRMLKQSLKNPPDDWNGDPCLPKEHPWSGIGCSKGSRIRVISLNLTGLSLVGILSKEIIRLTALRELRLSMNKLTGPIPDMSPLKALEVLHLDENEFEGPFPDSLGTLPNLRELYLNNNNLSGRLPEILTNRKGITIR >cds-PLY82498.1 pep primary_assembly:Lsat_Salinas_v7:2:183299707:183300709:1 gene:gene-LSAT_2X105660 transcript:rna-gnl|WGS:NBSK|LSAT_2X105660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLFRMCMEPVEMCLKDANMNKDSINEVVLVGGSTRIPKVQQLLQKFFNGKLLSQKINPDEAVAHGAGILAAHLSCMGDEVVQSLELIDVTPLSLGLDVKGDIMVVLIPRNSPIPTKKENTFLTVYDNQTSVGFHVYQGERDIASQNYRLGKLSLSGIPAAPRGVEINVCYEIDADGILNVSAREITTGANNTIKITDNGSLSKAEIEKMIQDAERYKFEDEAHLKKAMAHRALDHCVSMLRTKIKDYKVRLSLRKMRLSVKDLEDLEDQIDETIEWLDLNPGAEECELEDKKAELYNICTEKKLNRAVKASLNNLFGCQQGFCHGL >cds-PLY84117.1 pep primary_assembly:Lsat_Salinas_v7:6:189083715:189085307:-1 gene:gene-LSAT_6X116940 transcript:rna-gnl|WGS:NBSK|LSAT_6X116940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSREQKWSLKGMTALVTGGTRGIGYSIVEELAGFGASIHTCSRNQKEINERLEEWKGKGYVVTASVCDLSFKEQREELMNTVSSIFDAKLNILINNAAISRLRDATEYTTEDCSYIMGTNFESPFHLTQLAHPLLKSSGNASIVFISSVAGVTGLPSISVYAAAKGAINQLTKNLACEWAKDNIRTNAVAPWGVRTTIMNFEKVDDKIIEAYAPLMARTPGRPIAEPDEISPLVAFLCLPAASYITGQVIVVDAGYTAGGFKF >cds-PLY74940.1 pep primary_assembly:Lsat_Salinas_v7:9:14588986:14589165:-1 gene:gene-LSAT_9X12740 transcript:rna-gnl|WGS:NBSK|LSAT_9X12740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIGLTTITSIGGSSAASFLSSGCELRVERVWGDTGDGGDVVVQDREEMGGMAVFLGGM >cds-PLY78761.1 pep primary_assembly:Lsat_Salinas_v7:9:47797683:47800254:-1 gene:gene-LSAT_9X44040 transcript:rna-gnl|WGS:NBSK|LSAT_9X44040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKITHSKSQLLGIVSVFAMFIFSNGRTINSNNELIRAVNLGGWLVAEGWMKPSLFDSIPNKDFLDGVALQFKSVTTGKFLAAELGGGSIIVANRTSASGWETFRLWRINETSFHVRVFSKQFLEIESMGAKVVATSTDSETSGIFQIIRKSDDPSRVRIKAPNGLFLQAKTEDLVTADSNGNGQWGNNDPSVFEMTIGQGFQGEYQVTNGYGPLKAPKIMKDHWDTFIVEKDFSFIADSGLNAVRIPVGWWTAKDPTPPKPYVGGSLEVLDRAFLWAKKYNLKVILDLHAAPGSQNGFEHSSTRDGSVEWGQTDESIEESVRVIEFYTARYATNPSLYAVELINEPNAFGVSLSVLTKFYNDAYTVVRRHAPNAFVILSNRLSGEPKELFPLASGMKDVVIDVHYYNLFWDIFNDMTIDQNIDFIKTNRSAELQDITTSNGPLTFVGEWVAEWQVRGATKEDYQRFSEAQLQVWGQASFGWAYWSLKNINNNWSMDWMIKNGYINL >cds-PLY89288.1 pep primary_assembly:Lsat_Salinas_v7:2:52399669:52401028:1 gene:gene-LSAT_2X23021 transcript:rna-gnl|WGS:NBSK|LSAT_2X23021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEGHPASPVALIVGVTGMVGVALTEALNKPTALGGPWAIYGVSRRSLPPWFPSSLLNNYLILDTLNQEETQKLLSPLSSKVTHLFWVAISVNESEEVNISLNSTMLSNVLNALTSSQDSKLKHVTLQTGTKQYMGPVFDPSLSAKLVPHDPPFREDYPRIPFPNFYYALEDIVASYPESFTYSIHRSSIIIGASSRSFFNELLTLSVYALICKQENHPFRYFGNKYCWEHFWDTSDARVLAEQQIWAAVTDKAKNQAFNCTNGDVFTWKQLWRVLCDAFDVEFVPFDERAKFDFVDYMKDKGEVWDRIVEENGLYKTKLEEITCVDASNAILKFEFQHVCSMNKSREFGFLGFENTLASIVVWVERLKQMNILP >cds-PLY93939.1 pep primary_assembly:Lsat_Salinas_v7:6:6893815:6896419:1 gene:gene-LSAT_6X5680 transcript:rna-gnl|WGS:NBSK|LSAT_6X5680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVALNNSQKFLSKIATNDGHGENSPYFDGWKAYDTNPYHPQNNPTGVIQMGLAENQLCFDLIQEWIEKHPEASICTPEGGFDFREIAIFQDYHGLPKFRMAIANFMSRVRGSRVRFDPNRIVMSGGATGAHETVAFCLANPGEAFLVPTPYYPGFDRDLRWRTGVELLPVVCGSWNNFKVSLAALEEAYEKAKESNIKVKGLLITNPSNPLGTFFDKETLKTLVTFVNDKNIHLICDEIYAGTVTKDNEFISIAEILEESPTICNHDLIHIVYSLSKDMGFPGFRVGIIYSYNDTVVSIARKMSSFGLVSTQTQHMIASMLSDDDFVENFINESRNRLANRHDLFTRELAQVGISSLKSNAGLFFWMDLRRFLKDATFESEMTFWRIIINEIKLNVSPGSSFHCSEPGWFRVCFANMDDETVTIAVRRIKSFVLKNKLFEIKTKSKKQCWQNNLHLKLSSRRLEDIMSPQYSPLNSPLVRAQT >cds-PLY82336.1 pep primary_assembly:Lsat_Salinas_v7:6:109319337:109322570:1 gene:gene-LSAT_6X65941 transcript:rna-gnl|WGS:NBSK|LSAT_6X65941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNRRHVLTKDNVGCAPQGYVPISANGSLEYLPFQLMTFDFKGVDILIEMVFSAKDSTIIDEDIDRIIPKGEEATAELDAKGSA >cds-PLY76959.1 pep primary_assembly:Lsat_Salinas_v7:6:63986416:63990709:-1 gene:gene-LSAT_6X46320 transcript:rna-gnl|WGS:NBSK|LSAT_6X46320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLVTSCKEKLTHFRIKELKDILTQLGLSKQGKKQDLADRILAIISDDRVSGIWAKKSVVRKEEVAKLVDDMYRKLQIPGATELASKGQGVSDVTINNNNTTFKEEVEDSYQSEKVRCPCGTSLQADSMIKCEDKKCNVWQHIACVIIPEKPMEGILPPPPPVFYCEICRLGRADPFWVTMGHPLYPVKLSIANVPTDGTNPVQSVEKTFQLTKADRDLLAKPEFELQAWCMLLNDKVSFRMQWPQYADLQINGMPVRALNRPGSQLLGANGRDDGPLIMPYTRDGSNKISLTGVDARVFCLGVRIMKRRTVQQIMNMIPKESDGERFEDAVARVCRCVGGGPATENAGSDSDLEVVADSIPVNLRCPMSGSRMKIAGRFKPCAHMGCFDLDVFVQMNQRSRKWQCPICLKNYSLENVIIDPYFSRITTKMRNCGEDVTEVEVKPDGCWRVKAEKDIKNLGELSQWHSPDGTLCIPMEAESQPKPKQEALKQVKQEGVSEGHTSLKLGIKKNRNGIWEVSKPENPLSLSSGNKQPDNLNGIIHSGIPMSSSATGNGRNSEDPSINQSAGGGGHFGHSTTNGSLSPNYGFNFNPSFADVIVLSDSEDETEHEPEPEPEPVYKNKNNGISFSTPLPNPEDPSLIPGGSSCLGLFNSNEDDFGVPFWSLPSTSQVGPSFQLFGSDENQMDPMGSVPLLPESSIYQNTLDINDGLVDNPLAYGHDDPSLQLFLPTRQSDVAAEQAELRDPPSVSNGLQGDDWISLSLGGGGGGGGGGSGVNFESTAANGVNSGLQPPRGDGGLDSLADTASLLTGMNGSSKRERSDNPFSFPKQKRSVRPRLYLSIESDSEEER >cds-PLY89142.1 pep primary_assembly:Lsat_Salinas_v7:3:20639152:20641818:-1 gene:gene-LSAT_3X15401 transcript:rna-gnl|WGS:NBSK|LSAT_3X15401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRRQIDSEPTRWVSLILLVMGLFSCSLVYMFMSSILRPNEDAKFSLIPEVESMEVEENDGGCCRGIANFELWGAAVKWGSDHKFNSSIECCNACKAMCNVNDGPCLCDSWVFCGNPDACGSKFGECWLKKQKDPMAPDRQEAGENTIWTSGLIFGKGEGIVKMETKYGVLRIKLLPECAPRSVMYILELLTMRHCVGCQFYRAEGRGQSWNSKGHHLKSASFGPPFALIQGSLEAEGVIFNKIPTEECPIIQRGSVAWIGSGPDFFISLANHEEWKKSYTVFGQVLPEDMEIAEKIATLPTIPDVWNNINVSVLEKPVSFSVRKFNKVSIKA >cds-PLY70331.1 pep primary_assembly:Lsat_Salinas_v7:4:98786893:98788401:-1 gene:gene-LSAT_4X63901 transcript:rna-gnl|WGS:NBSK|LSAT_4X63901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTLNNLLTSGLFNRQNYQVKIARMDAIAADDQNLVVNHSGDSASHLSPPPQPLSKNAQKKLLKQQRFEAKKAEKKAAIKEEKKRQGERKRKEWEEKLSNLSEEEKLKMIETRKGMRKERMDQRTEERESKALRLSEAKKHGQNVVIDLEFSDLMAPNEIHSLVKQIMYCYAVNGRSVIPSHLWLTGYEGEIGNQLQKIPGFDKWIIEKDNGPYINTFETQKEDLVYLTADSETMLDELDPKKIYIVGGLVDRNRWKGITMKKALDQGIQTAKLPIGNYLKMSGSQVLTVNQVMEILLKYLETKDWKASFFHVIPQRKRCETEAQGSHEEEEGEEEEKLDQEIKRQCIET >cds-PLY86435.1 pep primary_assembly:Lsat_Salinas_v7:1:10216654:10223603:-1 gene:gene-LSAT_1X7800 transcript:rna-gnl|WGS:NBSK|LSAT_1X7800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGCGGSDGASSALLNGGGRQRFEVELRPGETTIVSWKKLTKDANKTANNSLPPPVPAPPMPPAVAVNQDLDPLNAPPGEPAENEGNDAAPGSRFGAVIEKIERLYMGKNSSDEEDLNDVVPDDDEYDTEDSFIDDTDLDDYFQVDDSQIKHDGFFVNRGKLERTTVSKDEPTALPNHQRKKRRKDSEKVSGGNDSGQLNTQKVKNKEARKVHAPVDKNPIISSQSKASSTIIPSSSEAIIMAKNIDKQKAVVCQPKDHGSKLKNGSPSSVTPLQKSNDKSSNVKSSSHSGLLLNNSEELNQPLLIREKNVIREQSKAKATRTTQQAAQKSPLMVRKEGSNTKPKSTMLEKAIRDLEKTVVESRPPNMGVADADTCSPAVKKRMPPDIKHKLAKVARLAHANQGKISKELLNRLMSIVGHLIQLRSLRRNIVNMINMGLSAKEEKDARMQQLKKQIEEMVKIRAPMMMSKAMEQQLVGESDDFQESGTKEKEILKRKITMDDALEDEICILYDLFVEGLDEDAGPQVRKLYADLAELWPQGLMDNHGIREAICRAKDRRKSLHKHKGQEKLKRKKITSPKTNTTIDIEASSVASEYKFSTAVTLAKRKMEVE >cds-PLY70848.1 pep primary_assembly:Lsat_Salinas_v7:8:117250852:117251894:-1 gene:gene-LSAT_8X81521 transcript:rna-gnl|WGS:NBSK|LSAT_8X81521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNHDRFLLPRTSSCKSTTAIIPSNYISSTSFIIDPPSVNDDKPLVIGRRSSVDYGGCLPRFGISIFLRSILNIVSIPSILPSCRWLNLPTQLSLTPSPGRKVTGTLFGNRRGHVSFAVQYDMRSEPIFVVELAVSTAALVREMSSGLVRIALECEKQNKRSAAARGGGGNAKLFHEPTWSMYCNGRKCGYAQSRACSKSDWFVLSTVQSISVGAGVIPVVEDGGKKNSEGELLYMRARFERVVGSRDSEAFYMMNPDGSGGPELSIFLLRI >cds-PLY71340.1 pep primary_assembly:Lsat_Salinas_v7:7:185923460:185925040:1 gene:gene-LSAT_7X110360 transcript:rna-gnl|WGS:NBSK|LSAT_7X110360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTDVTYLCTIMNQQQGIMNDSKSTALITRDLLGGGGGCDHDSSKELDLDLHVPSGFEKRLDLKSGKVYLQRCKSPNTSSSSSDQNQNHNDQTVSKLQDLNFPPSSKKPLNLFDDSSLDLNLVNLSSSSSSPPAYRSVCTLDKVKFALERAERETFKKRSVSISTSKSCSSPTSNSSSSMKETMIIDDDDDNERSSQAYAAGCPSCLLYVLISRSNPRCPRCNMTVPSPTSMKKPRIDLNISI >cds-PLY66605.1 pep primary_assembly:Lsat_Salinas_v7:4:314090401:314090580:-1 gene:gene-LSAT_4X157901 transcript:rna-gnl|WGS:NBSK|LSAT_4X157901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVRSEERTERESGGVCDGRCLVMSFDGEEADEGITPGDCRYVDEGMLRQPPVLLPLVR >cds-PLY79256.1 pep primary_assembly:Lsat_Salinas_v7:9:182271677:182273782:-1 gene:gene-LSAT_9X112241 transcript:rna-gnl|WGS:NBSK|LSAT_9X112241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRKFVKPFNKCLGHPNGDELLWHTDLKPHVSGEFSIAVVQANSILEDQSQVLTSPSATYIGVYDGHGGPEASRFVNRNLFPLIDKYATEEGGISADVIKKAFQTTEDGFFQLVKLSMPIRPQIASAGSCCLLGIISNNELHIANLGDSRVVLGHKVLVNRKPKLVAERLSKDHNVSIVEVRKEVQAQHPNDSHIVVYCHGVWRIKGIIQVSRSIGDFYLKKPEFNRDPYFMQHGNPITLNRPVLTAEPSIISRKLRPQDLFLIFASDGLWENLSDQEVVDIVQKYPRMGITKRLVGIAIDKATRKRDLRYNDIKKLQKGIRRRFHDDITVIVIFLDQQTEPDNGTKLGSTSAPIDIFSCKEEKHKHLVEHK >cds-PLY75176.1 pep primary_assembly:Lsat_Salinas_v7:4:270796288:270800358:-1 gene:gene-LSAT_4X139181 transcript:rna-gnl|WGS:NBSK|LSAT_4X139181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLLNFLICSSSFPSAAIPCRLLPSPVMSSPNRQKNECFEPHLKKLGHVLSLTKPTIEDFSTPQSPPSLLAFFLSKPPSTSPSISDILLLPCTFYFSPEVEGIKVLQLETAAGAAITSDLYTEKDGYVVRNPARIDQANPSIELGPEFKKVGDFLKRFKSIPSIIELDSLKVSGDMWFGSSVVLKGKLVTAAKSEDKLEIPDKVVIQNKEVHDAGDI >cds-PLY76204.1 pep primary_assembly:Lsat_Salinas_v7:4:53074177:53075598:1 gene:gene-LSAT_4X36280 transcript:rna-gnl|WGS:NBSK|LSAT_4X36280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIPNRPQNLQANPKKRPSDCNVNIQDSNYFKIRAVLKDLRPHFLEVLRTPDFQTSKAAHEISQQMKVLMKLYKDMTTDTITMEKCKNGIDGVHDQKKPPPPEVKPAEPPQPPPAEKEKVVTRKASEDLPTANKHHPEEVTPGSYVVGGSAFGWNFVTFPGGKLAAYYGRTKEDFRAANPIPDHPDIAES >cds-PLY89863.1 pep primary_assembly:Lsat_Salinas_v7:4:315764272:315771165:1 gene:gene-LSAT_4X158941 transcript:rna-gnl|WGS:NBSK|LSAT_4X158941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-8B [Source:Projected from Arabidopsis thaliana (AT3G49650) UniProtKB/Swiss-Prot;Acc:Q9SCJ4] MPSIRAPATKKATTLTVAVKCRPLTEKERGRDIVRVNNNKEVVILDPDLSKDYLDRIQNRTKERRYAFDHAFPPDSTNSDVYERSISSTISGVVHGLNATVFAYGSTGSGKTYTMVGTEGDPGLMVLSLHTIFNFIKNDKSSDEFEVTCSYLEVYNEVIYDLLEKSSGHLELREDPEQGIIVAGLRCIKVNSADRILELLNMGNSRRKTDSTDANETSSRSHAVLEITVLRKQKKKYRSQVIRGKLALVDLAGSERASETNSGGQKLRDGANINRSLLALANCINALGKQQKKGLAYVPYRNSKLTRILKVGLSGNSQTVMIATISPADNQYHHTINTLKYADRAKEIKTHIQKNIGTVDTHVSDYQRMIDSLQNEVSQLKTQLAEKESQLSTKPTERHVDDELSWLNILSQETSENVQERINLQKALFELEETNLRNRTELQHLDDGIAKQQAIENDGTVVRALMLRRQLVLDNIRDNDETGVIYQKEIEANEKRRCELQEMIDEAISNNANKTYLRILSQYRLLGMTNTELQYEMAMRDQVIHNQRETQRNLWNLVMGLGLDEKQMLKLAAKQGITIEDWATVPSSSQQKMGQERSTGPRPGPSGYRDMPHTYCREEYHSSYYFTSNGSPSAYMRLSSRSSENWVGHRRSSFGEDGDHGSNRGPCGCNRLTDCKHMVMQPNVLNVSEIGPS >cds-PLY68781.1 pep primary_assembly:Lsat_Salinas_v7:8:65918402:65920456:-1 gene:gene-LSAT_8X46300 transcript:rna-gnl|WGS:NBSK|LSAT_8X46300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRRRVFSLFRYFSSEPETPSPPSVYSFLQPSIFAVRKPQSNQPTNQTPKSSSIDNQLNPNLESTLQLSLQENNTDEAWKCFKSLSSSSSLLPSKPLINSLITRLCSAGNDTHNLKRAFATLMFVLETNPDVLDPKSVKTFLISIKDTNAAPAFALVKSMLKNRYFIPFGIWGDTIVEISKKNGRFCDFFEVFNENCRIAREEKLESLKPDLSACNAALEGCCHELESVSDAHKVLDIMSLLGVHPDETTFSYLACLYATKGLENKIIELQDLVSRFNFPNKTMFISNLISGYVKSGYLESVSTTILQSLIESDQKGLNFLEETYLKIVKGYLDHGSIKDLARLILEAQKLESTSVTVENSIGYGIINACVNNLGLLEKAHNILDEMNILGGSIGLGVYVSILKAYTKEQRTAEASQMVSEMCSLGLELDVNIFDALIDACMSSQDFESAFSLFRDMREARIHELTGSYLTIMTGLTENHRPELMAAFLDEVVDDPRVKIGTHDWNSIIHAFCKAGRLEDARRTLRRMIFLQFEPSEQTYVSLVNGYMNAGNYFSVLMMWNDVKKRGDKGLKLDHGLVDGLLYGLVKGGFFDAVMEVVERSQEMKIFVDKWRYKQAFMETHKKLKVSKLRKRSVKKMEALIAFKNWAGLNT >cds-PLY97135.1 pep primary_assembly:Lsat_Salinas_v7:MU038306.1:191193:193440:1 gene:gene-LSAT_0X47341 transcript:rna-gnl|WGS:NBSK|LSAT_0X47341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFATKNLQKRCFQTITSRFITNCSRDVKLLRGASFPYITPRYAIDSSFVIRDETFLSSISSRNCFDGYRTYSTSKGRSMRSKVEMRMKKESGKTLREIRRAKKIQKKLMTDEERLIYNLKRAKKKVALLLQKLKKYELPELPPPRHDPELLTPEQVQALKKIGFRNRNYVPVGVRGVFGGVVQNMHMHWKFHETVQVCCDNFPKEKIKEMATMLARLSGGIVVNIHNVKTIIMFRGRNYRQPKNLIPINTLTKRKALFKARFEQALDSQKLNIKKIEQQLRRMNVNPDDPIAMASIQRVASTFFNAIDKREGSPYVFHGDNNKPKELNGLMIKESESGEEDSDQEELDKFIAEIEDAADKEWEEEEAAEKEEVNRIRYLYREDYDGRYTKPDMGRKAPQRGGGSENDEDDENDENAPGYVYGAPKVERWKEGRKGKFGNRGRFKGRDEGSGSGSEIDEVNEDDENDENAPGYVYDVPKVERWKGGRNGKFGNAGRFKGRDEGSGSGSEVDENDENAPGSEDMLDELESVMFESDEENMNKGNNESKLFSKTESKVKTAKNMDEDWDSD >cds-PLY86993.1 pep primary_assembly:Lsat_Salinas_v7:5:265844051:265845764:1 gene:gene-LSAT_5X137961 transcript:rna-gnl|WGS:NBSK|LSAT_5X137961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLESFRSLGDDGILSISMFGSYASLMSSDPLSHTKSLHMRVEFTDDSPLGKVKYAVTIYYLKRFEALKRICCPSEMDYIRSLSRCKKWGAQGDRTRILHKFAPAYFKYLSESIGTGSPTCLTKILGIYQVVTKHMKGGRETKNEHNLLYGRNLTRLYDLKGSSISCYNLDCNESNKVLLDQNLIETMPTSPIFVGNKANVYLKELSGTIQLFLLLSVDVMDYSLLVGVDEEKHELVLGIIDFMRQYIWDKHLETWVKAFRILGGPKNAFPTVISPKQYKKRFRKTMTTYFINGLLLLLYVQYPKIITLERVAMAYGGMTM >cds-PLY84727.1 pep primary_assembly:Lsat_Salinas_v7:5:229329167:229332262:-1 gene:gene-LSAT_5X109081 transcript:rna-gnl|WGS:NBSK|LSAT_5X109081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWDSDVGARNYDNRVLSGRHSVQTDKFEQRGQSWYAITDIPSDLLIQVGEISFNLHKCQLLSKSGRMNRIIHESREVDIKKVILDELPGGIEAFELVAKFCYGAVVKLTATIITGLRCAAEYLEMTEDLEEGNLILKTEAFINYVVLSSWKDSISVLKSCEEFSPWAENLQIVRRCSESVAWKTSSDPKYVRWQYTGKHNNDSSPSTDQVLSDWWCEDVSVLRIDHFVRVITAIKVKGMKCELVGSAIMHYAMKWLPGMIKEGSSGSVEEGTGSSNGVASSNRGGLHMIIAMSKGDHSSSPEGNRQRTIIESLISIIPSENDSVSCSFLLRLLRIATMVNVAPALVTELEKRVGMQLEEATMADLLIPSYNRSETMYDVDLVQRILENFLIQEQMEISSPGEPMMRKSYDMNAKMRVAKLVDGYLSEVSRDKNLSLTKFHVLAEALPESARSCDDGIYRAIDSYLKAHPMLSEHERRRLCRVMDCQKLSKDACMHAAQNERLPLRVAVQVIFSEQMKISNTIARDTHELHYQPIIENRKTLLEETPQSFQDGWAMAKKDIDTLKFDLETVKTKYVELQHEMESLQSRYDKVAKLKQQSTWLNGWKKLSKITKISTFENMGSGSQRNSEQLVKTPRRRRYSIS >cds-PLY92250.1 pep primary_assembly:Lsat_Salinas_v7:2:209101472:209102663:-1 gene:gene-LSAT_2X129401 transcript:rna-gnl|WGS:NBSK|LSAT_2X129401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGYWLAENNTEAYLKSMKMGKMVNEADVADFISAIAAGNNAQLMVVVGGATSRSTTLGLLAAADQTGGHVISICSGKEDLQSSKESLGPDARRVGFIVGDAETLLLNNYRDADLVVIDCNLKNHERILGAIQGNGREKGTIVLGYNAFWKDSWQWSRSNSHLVPIGEGLLLMRIAGKSGNSGGGGGKHTRNGSYGGSKKGHWVVKVDNCTGEEHVFRVRS >cds-PLY85258.1 pep primary_assembly:Lsat_Salinas_v7:3:72476407:72477532:1 gene:gene-LSAT_3X56800 transcript:rna-gnl|WGS:NBSK|LSAT_3X56800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVILHPDGSSVLKRVIDENDIFMDLIKKVTNNPLPPNHLTSICAVVNLFKNSSFHLWLQNHRVEIFNAFDSCYSSPNKNVQISYSTLVLNYAVLLIEKKDEEGQSHVLSAALVIVEGETLEVDAKYRALLAIGSLPSFAGRRLVISSDGVWDALSGGQQPSTVHQVMTN >cds-PLY79783.1 pep primary_assembly:Lsat_Salinas_v7:1:179664429:179665037:1 gene:gene-LSAT_1X117501 transcript:rna-gnl|WGS:NBSK|LSAT_1X117501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLRTTMAALFHPSTKLYSLPLKAFVSHSLHKPMRLIIRQSYPRAVKGSYPSTHLVLYHLQQFPKFRTKRLLILIWRTVTCLAQCLIASALSKFRFFSVVALIAVSHFASSTQPDTTAPLSTLKSGSKFRELLV >cds-PLY62676.1 pep primary_assembly:Lsat_Salinas_v7:7:101269703:101273831:1 gene:gene-LSAT_7X65980 transcript:rna-gnl|WGS:NBSK|LSAT_7X65980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein subunit alpha, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G50940) UniProtKB/Swiss-Prot;Acc:Q9C6I6] MLRAITLRHLIAHAPRSIYSCRHVLVADSDKFKHTLAEPWAKLIHLVQQKHEYSHIVTASSSFGKNILPRAAALLDVSPITDVIEISEPRTFVRPIYAGNALCTIRYTGSNPCMLTIRSTSFPASASLDSKTNAAPIHQVDLSSFGEEGSCRYVKQSKQESERPDLGSARVVITGGRALKSAENFKIVEKLAEKLGAAVGATRAAVDAGYVPNELQVGQTGKIVAPQLYMAFGVSGAIQHIAGMRDSKVIVAVNKDADAPIFQVADYGLVGDLFEIIPELIEKLPEKK >cds-PLY65539.1 pep primary_assembly:Lsat_Salinas_v7:9:143058888:143063987:1 gene:gene-LSAT_9X90921 transcript:rna-gnl|WGS:NBSK|LSAT_9X90921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRFEGVLISDHWLQSQFTQVQLHSLKSKFIALKNQNGQVLVEDLPALFLKLKPFNDMFTEDEIRDVLQSSGSDTSNKVEFEEFLRAYVTLQSKVVNKSGDSKNSSSFLNATTTTLLHTIDESEKKSYVAHINSYLRDDPFMKQFLPIDPATNALFELARDGVLLCKLINVAVPNTIDERAINTKRILNPWERNENHTLCLNSAKAIGCTVVNIGTQDLIEGRPHLVLGLISQIIKIQLLADLNLRKTPQLVELVEDNNDVEELMGLAPEKLLLKWMNFHLKKAGYKKPVTNFSSDLKDGEAYAYLLNVLAPEHGNPATLDAKDPVQRADLVLHHAEKMDCKRYLTPKDIVEGSSNLNLAFVAQIFHQRNGLSTDNKKISFAEMMTDDVQMSRDERCFRLWINSFGISSYVNNLFEDVRNGWVLLEVLDKVSPGSVNWKQSSKPPIKMPFRKVENCNQVIKIGTQLKFSLVNLAGNDFVQGNKKLILAFLWQLMRFHMLQLLKNLRSHSQGKEITDGDILKWANKKVKNTGRTSQIESFKDKQLSSGIFFLQLLSAVEPRVVNWNLVTKGASDEEKKLNATYIISVARKLGCSIFLLPEDIMEVNQKMILMLTASIMYWSLQRSRDKSESELELELESSPASVLEIPEASPESSSVNGDRDNFTGVEISKLSIDDNGVMSMVENSDTLLQ >cds-PLY77019.1 pep primary_assembly:Lsat_Salinas_v7:6:175646047:175649852:-1 gene:gene-LSAT_6X107660 transcript:rna-gnl|WGS:NBSK|LSAT_6X107660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFHHHHTHGFLEEILDSNISFGINDIDDQFDQNALFSTPTTFLESSPLSITSQTSCSTNDFSLPFIFDSQTLNCSSFGQHFIHPFVDHDQSSAGLHDDTTNIKIESSPLIHQDYYSVFSMLDDVENCHFLHDVGNRVDNLEMPDPSVAMGELEHQRRNGINMGKNSKNCKVEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELIDKINHMQEEMDISSDQLNLMTTKPKEIFIRNSPKFDVDRRNADTHVQVCCTSKPELLISTITTLEALGLEIHQCVISCFNDFAMHASCTEEMEQRVILNSEDIKQALFRNAGYGGKCL >cds-PLY81500.1 pep primary_assembly:Lsat_Salinas_v7:8:157444784:157447122:-1 gene:gene-LSAT_8X104041 transcript:rna-gnl|WGS:NBSK|LSAT_8X104041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:FBX2 [Source:Projected from Arabidopsis thaliana (AT5G21040) UniProtKB/TrEMBL;Acc:A0A178URR1] MAFESKLSTEIYSEDTTTEVDSNHILSSEKILLVDSETQITDSRKPNYNRVITTKSPVHRTITDLPPALISEIFNCLDPKELGVVSCVNPSLYQIASDHHVWKQFYCERWGLPAAGGTATSRPVAEFSGEKSWKELFVEREFHSKTFMGHYNVEILYGHTETVRTVFLLPSRKLIFTSGYDSVVRMWDMEDGICISASRPLGCTIRALSADSKLLVAGGSDGFIHGWRAEEGHPRLFDINGSQDQDRNRNQNRNRNQEFRLWEHQGPITCLSLDLMRIYSGSWDMTIRIYDRVSLKCLTVLMHNDWVWALVPHDTTVVSTAGSDSYIWETNSGSLIDVFKDTHVGNAYSLARSHTGSFVFTGGEDGAIHMFEVITDRVKSWRPIATWNPHSAPVHSLSFEFPWLVSGSGDGKLSLIDVRKLLKGIRAMKPNRSRKALSVEPPQRMLNGLGKSVFSVSIGADRIVCGGEEGSVRIWDFSRALEREKRAAALRGLRAENRMRRQKLQKEIESKGGRVDQCLIAAKSQMNGERNGVWYNKRGLKVKG >cds-PLY97011.1 pep primary_assembly:Lsat_Salinas_v7:2:33249135:33255935:-1 gene:gene-LSAT_2X16740 transcript:rna-gnl|WGS:NBSK|LSAT_2X16740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTPKPNEEGAAKRFWDKFSKESNLTLYTPFVVSLASGNLKIDTFGHYVAQDVHFFKCFAQAYELAEDCADDDDAKDSIVELRKNVLYELRHHASFVQEWGVDLSKETTPNAATTKYTNFLLATASGKVEGVKGPGELATPFEKTKVAAYTLSAMAPFMRLYVFLGNELKSFVDVDGDEHPYKKLIHKYSSESYQATMLQIEDLLDKLSVSLTGQELDTMQKLYHQALKLEMEFFLAQPLHQPTVLPLSKQHIPSENQLMIFSDFDLTCSVVDSSAILAEIAIVTAPKSDQSQPESQSQSQIVRMPSADLRNTWEMLSKEYTEEYEQCMESMLANEKVESFEYEGLKKAVEELVEFERKANMKVIESEVLKGLNLEDIKRAGERLIMLDGCMGFFQSIINNQNLNVNVHVLSYCWCADLIRSAFSSGGLHQINIHANEFEYQNSLSTGEIIKKVESPIDKLQAFTNILKNNPQTGPTNLTIYIGDSVGDLLCLLEADIGIVIGSSSSLRRIGTHFGVSFVPLFPSLVKKQKECIEGSSFKWKGLSGVLYTVSSWAEVHSFIIGL >cds-PLY99074.1 pep primary_assembly:Lsat_Salinas_v7:6:151021575:151023624:1 gene:gene-LSAT_6X91561 transcript:rna-gnl|WGS:NBSK|LSAT_6X91561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSELAELERLQTQILHRIAKLELSILPSNNSPNKDLPTTTEARLSALLFDAGVKDFSFKRVPSDYYDWSFEARRDILGAASIHHLCKSIVLVNTQAASNITDCSDRQNSKYYVVVVQYTARFSAENVKNFLYTLNNGKIPKKRFNLRLAPEEISHNLTGFEHNGVTCVGMKTDIPVILDEAIAKLNPDFFWLGGGEIDLKLGIRTSEFINFAKPFIVNCSGS >cds-PLY79491.1 pep primary_assembly:Lsat_Salinas_v7:3:248879861:248883579:1 gene:gene-LSAT_3X137280 transcript:rna-gnl|WGS:NBSK|LSAT_3X137280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFDDPNFVNVLREALRGLQEGDRRQARRGQFRVTKDCKVNKLHEFIGVADPEVYLDWERKLPPYPFYGFTAPNGEHPMFPIMYPMLVPPQQGQDQMDHGAGIYAVPTFPNSFMGPVAGIPTNTLIPFTYNIPTGQSPTEGGTGGEEVGQVGQQQQGAGQQRQVVVRRFQIAIHLDLMLILKLAAVIFLFNQDGSRKRLVLLIFFASLIYLYQTGALAPLIRWLSQGMQRAGAPPQQGRPGVRAADLIPPAARQEHENPPLPDGQVGGENENQNREGDVNEEGEVDNGNNNRWWGIVKEIQLIVFGFITSLLPGFHNID >cds-PLY74210.1 pep primary_assembly:Lsat_Salinas_v7:5:145839847:145840287:1 gene:gene-LSAT_5X64721 transcript:rna-gnl|WGS:NBSK|LSAT_5X64721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRVGSSKGKTMHGDEGNDSAEVRYRGVRKRPWGKYAAEIRDPNKNGQRIWLGTFDTAEEAARAYDQAAFSLRNHLATLNFPTEYLSKLPDPPSFRPPSKSYSSSSGVERGSGSSVGKEKHVIVLEYLDDRVLQDLLLETSKEKKK >cds-PLY69745.1 pep primary_assembly:Lsat_Salinas_v7:5:231892286:231892594:-1 gene:gene-LSAT_5X112021 transcript:rna-gnl|WGS:NBSK|LSAT_5X112021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPPPSPDSINSWEFLNLNNLHYIFCDYGDKIAANQIEMQKLKDQLGNDFIVFRIDHISLQHKLEDHERKLKENVVVMGCVMVAMRGMMVIGFKVVMKLG >cds-PLY76117.1 pep primary_assembly:Lsat_Salinas_v7:9:30136207:30138343:1 gene:gene-LSAT_9X26680 transcript:rna-gnl|WGS:NBSK|LSAT_9X26680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARPNHEREPDDNKGSPPEAAAWGTWEELLLAYAVNRFGTNSWDSISSELRKRSTAQIVFTPKHCKQKYQELERRFGQSNGDDVDIDDGSGTAAAAGVPWIEELRKLRVAELQRELQNFDIYISSLQLKVKKLTEDGEKNGCAAERNKKPELGQKIDEIEIKKEEGEDNKSADLVISGEEHVPGVDESYRDNQSMNGSNGNLETASPVRTVDGKSESSPHSPIRPEGSNKIRPDSNEPVKTEPSQEPDSTVKDNSDVQSSASKSMKERNDRVRRGSSKGDEDQSRNSIPVKSLPLIDILQKVQKLGSAFLDRRLDRQEKLKYKNLIREHIDFEILQTRLKEGWYSDGNKNFFRDLLLLVNNIFIFFPNESQESISAIHLRKFIMNEMASKKKQKSESQPPKLIVCKKRSSIAAKETTNEKELSNEKERELELSSISKKISKNNKPVELEEKKKSAAKFLNRMKESLLVSKNEAAEVAAGGGSGNDGGGGGQKRVGEGKRSSGQRKEADSPTKKNVGRPPKKAVAQPSAGGGLGKRNRDDGESESLVSKQGKKKSKKL >cds-PLY92540.1 pep primary_assembly:Lsat_Salinas_v7:5:78851668:78853830:1 gene:gene-LSAT_5X36260 transcript:rna-gnl|WGS:NBSK|LSAT_5X36260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase IMPL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31190) UniProtKB/Swiss-Prot;Acc:Q94F00] MGTFPVSSSRIFSSRFNQIPNSVHSFIDPVKPYNFTSNSQHHRPGQFSLTTSNPVCLCLKSRLSCRSTTTKAALSEISYQNLTYSKIGASSTGPIPSSQLLESVEIAAKTGAEVVMEAVNKPRNISYKGLTDLVTDTDKMSEAAILNVVRKNFPDHLILGEEGGVIGDSSSDYLWCIDPLDGTTNFAHGYPSFAVSVGVLFKGKPAAAAVVEFVGGAMCWNTRTFSAVSGGGAFCNGQKIHVSQTDKVERSLLVTGFGYEHDDPWAANMNLFKEFTDISRGVRRLGAAAVDMCHVALGIVEAYWEFRLKPWDMAAGVLLIVEEAGGTVSCMDGGKFTVFDRSLLVSNGVLHAKLLEKIGSATEELKGKGIDFSLWYKPDDYNTEL >cds-PLY76443.1 pep primary_assembly:Lsat_Salinas_v7:5:198773342:198773674:1 gene:gene-LSAT_5X89300 transcript:rna-gnl|WGS:NBSK|LSAT_5X89300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGRWWPVVELAFPVSKFGQMDYRSVWLEVFGKEFTNKFLILMGGGGEMKLFSIFRRVREMVAGVLITGGKRVHGGRKWLGVRLVGCSRGSSRWGGCGGEMAIFGDKG >cds-PLY85251.1 pep primary_assembly:Lsat_Salinas_v7:2:207193899:207194235:1 gene:gene-LSAT_2X127780 transcript:rna-gnl|WGS:NBSK|LSAT_2X127780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTVEEAEKDVEKFNGYDLSGRALTVNKAAPRGSQPEKRVVGTSFKIYVGNLPWQVFHESIKINSISYKFKSFTIHTVKVPRF >cds-PLY72547.1 pep primary_assembly:Lsat_Salinas_v7:2:143623927:143625021:-1 gene:gene-LSAT_0X22301 transcript:rna-gnl|WGS:NBSK|LSAT_0X22301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDIDMLSDIPEALQLRILSCLDAKQAVQTSMLSRTWVSLWTKIPVLEFRRLSFNKLDVFNTFVNKVLCRRDHLAKLDTLKFIAHKTSTQILKSVFDYAFSHGVKQLEASIVFGGNIAWPLSVCDSLRSLKLVSWSDRIKCPFLQGSTSFKNLTVIYLKGALITDVEPFSGFPMLESLTLLSCGLCNSNKTLRIHNLRLWHLIISTGMSCMKLETPRLRFLKYRGYDFPKHEGLSVLETLVIGYWGFYNRKHIYKRQEKRVLEDLLFFFSGLHNVKSLTLYSPIALLLNFYPDELMKRSSPFRVLKNVKMEFPVHCGRALDVCDDSCKESAFKCVKDYLLQHVPDAEFTITLLKADEWYDDDI >cds-PLY82404.1 pep primary_assembly:Lsat_Salinas_v7:2:185312961:185314593:1 gene:gene-LSAT_2X107241 transcript:rna-gnl|WGS:NBSK|LSAT_2X107241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36890) TAIR;Acc:AT2G36890] MGRAPCCDKANVKKGPWSPEEDRKLKDYIETHGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFSDDEDKVICALFASIGSRWSIMAAQLPGRTDNDIKNYWNTKLKKKMMNSLITLPELRKPLQHLQSFSSSTNYSYPSQSIFQNSNINVNAPLSSSISSSPSYLYSTNTSSYHDQTLSIPSSPRINAANRQHPVLQSQDHGFLGLVSTETYQQGVKDSSTLVFFGGDQASCSSNSDGSCHYEYRSTAGVYDNHRNNLSDSYKEFGGHYDKEKGYYEDSSLDSSLEEIKQLISTSNIDNNLNFFVDETKVEKSMYY >cds-PLY76061.1 pep primary_assembly:Lsat_Salinas_v7:1:121003737:121009958:1 gene:gene-LSAT_1X93321 transcript:rna-gnl|WGS:NBSK|LSAT_1X93321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRKSCTAIGIDLGTTYSCVGVWQNDQVEIISNDQGNRTMPSCVAFTNGGRLIGEGAKNQIAMNTTNTIYDAKRLIGRRFNDTKLQEDMKLWPFDVIKGSNNIPMIVVTYNGEKKEFSAEEISSMVLIKLKEAAEKFLGKTVRDAVITVPAYFDDSQRQATKDAGHVAGLNVLQIINEPTSAAIAYGLDMKNDIVRDINVLIFDLGGGTFDVSLVTIDKKGTITVKAVAGDTHLGGQDFDNAMVDYFVEELKRKHSIDVSVNKKALSRLRGACERAKRVLSSIIDTTIDIESLQDGVDFSMRISRAKFEKLNEDFFSKCIEMVEKCLSDAEMNKRNIDEVVLVGGSTRIPKVQQLLKDLFQGKELSKKIHADEAVAYGATVLAAKLTGCTGKKVDNLVLIDVVPLSLGVAIHDGSLSVIVKRNSSIPAKKEINYCTVYDNQDSITFNVYQGERSRAIDNNWLGKFEVAVPCAPKGKSKVRVVFDIDANGILNCSGVELTTGLKRGIIITNYKERLSTRNIEKMLDDAHKYKLQDEEYKKTTSVRSALEDYIYDVKSKIKKIGKTTKTFNKKDLEIMETAIEKASEILKESPLADFDEYQKALNQLEKVCLPIIAQHV >cds-PLY62787.1 pep primary_assembly:Lsat_Salinas_v7:4:30687147:30687737:-1 gene:gene-LSAT_4X16720 transcript:rna-gnl|WGS:NBSK|LSAT_4X16720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAQHQSLDRISTLPQDTMEKVLSLMPIRDALRTSILSKKWRYCWTGMPTLVFDDKIVKLSSNVEKEIVKYKLVNAIFHVLLLHKGPISELNIFISNAEIANEIDQIILHLSWSKNIKKFYFGIYKSYKLPFSFFSLQGLECLELLFCKIEIPLMFNGFSMLKYLRFYDVKITAKMLQQFLTACPLLEGITFVRSI >cds-PLY95317.1 pep primary_assembly:Lsat_Salinas_v7:9:3680650:3682207:1 gene:gene-LSAT_9X761 transcript:rna-gnl|WGS:NBSK|LSAT_9X761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENWFPFLLSDIVEGKIVAQDRGYDDFNLQIIDDNFNYSGRNGSDFQNDEDEDSESSIKSHDSYDDKNDGHTYPVTTIPMIVYDGDGNDVSGEQPLVRRTRGSDSTASAALVAVLADGECSSGMVLKRSCSFELGAFHDFVNSLSMSHPTSYVRRLNMNRPPQDVDALYPTGPIYVPAWKYRLEESLIEPKASIYFFENVASPGTHSSVSQGGREHVQDMHMINLVEKSKSLPRDHFLTICIDFGRRAGCKMMNIEYNMGLYETELPLYDPTCMQKMEEEFSSLFYVDYLSEFGLPLIDVEHLRALVGRVDGNGASTSGHGGSGEP >cds-PLY96441.1 pep primary_assembly:Lsat_Salinas_v7:4:344198852:344202871:1 gene:gene-LSAT_4X169820 transcript:rna-gnl|WGS:NBSK|LSAT_4X169820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILSALLTSVGINFGLCLIYITLYSILRKQPVNAEVYAPRIVAEGKYDQRSDFNLERLLPSTSWMMRAWHPPEEELLMRAGLDGVVFMRIFIFSLKMFCFAGVIGLCVLLPINYMGSQIFIDFSDFTNKSLESFSISNVNDGSKRLWIHFGAVYAFTAFVCYLLYDEYNYISLKRLAFYSSSEPKPNEFTVLVRGIPKSPTDTLSETVEKFFSEFYPSTYLSHYMVFHTSKIWKLIHEGDALYKRIAYLKSTKQRSQRFGRVGFFGLCRPKVDLVEYYEKKLDDLEEKTRTQQSLLHGKELPAAFVSFKSRIGAAVVLQIQQGAKPTEWLTERAPEPRDTYWPFFSASFLSRWIGNVVVVIACVVLTILFFIPVLIVQGLTNLNQLETWFPFLTGILNITFVSQVITGYLPSLILKTFLQYVPPVMIILSSIQGYVARSQIEKSACNKVLWFTIWNVFFANALSGSVLYRVNIFLEPKEIPNILAVAVPVQATFFITYVVTSGWTNTSSELLRLMPLIYSYTRRIFSTESGEDFEVPSLSYSSVIPSILLFGLFGITYFVLSPLILPFLLVYFCQGYIVYRHQLLNVYSPKFETGGEFWPIVHNSTIFSLVLMQLIVVGIFGLKKLPLASSLTIPLPIITLLFNSYCRKRFLPVFKGYPAELMIKKDRRDENAPGKSDFHEKLITAYKDPALQPIQFSRRTDSNNAPLLASES >cds-PLY93460.1 pep primary_assembly:Lsat_Salinas_v7:9:63524083:63525917:1 gene:gene-LSAT_9X54361 transcript:rna-gnl|WGS:NBSK|LSAT_9X54361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNSETEKTQLWRLKKSQSEMLAKTEVVQRNALKFFKSGNTIRSHFLTLSLDGMPHSCVQGKVITGRSVVDESMLTGESLPVFKEEGLFVSAGTVWMGCTSKSKQSLNLHNLAI >cds-PLY88483.1 pep primary_assembly:Lsat_Salinas_v7:1:149740337:149742568:-1 gene:gene-LSAT_1X105840 transcript:rna-gnl|WGS:NBSK|LSAT_1X105840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSIHKQIFILFLFSLVLYTTSATSDSENKTTTTERLITAPLKDTIGSVIDGNNLGEVFNTINEELDGKKSGNSNSNSNNRVSVTTVALFTLAMAAATGLGALPFFFVELDAQWAGICNGMAAGVMLAASFDLIQEGRDHGSGSWVVMGILTGGIFIWLCKQFLEHYGEVSMLDIKGADANKVILVIGIMTLHSFGEGSGVGVSFAGSKGLSQGLLVTLAIAVHNIPEGLAVSMVLASRGVSPQNAMLWSVITSLPQPIVAVPSFICADAFNKMLPFCTGFAAGCMIWMVVAEVLPDAFKEASPSHVASAATLSVASMEALGAMFQNFGLNYNSEGASGFLVSLLFGLGPLLGGNILVAFALAFHLHHTLLTGISSGIAFLLATWRPLQLLFTSKLNFFTLAFLLATGATFTHITTTAATKLAARKKTSANTLPDSRYSPTFTISPTTLQSILSCLTIALHALVEGLALVASCIFGATDSWYGALAGSGLIGIVGPVSAIGAILAGIDYSGLDHLMVFACGGLIPCFLSMFKRAVRLDRRKSMAGVVAGVGFATVCLMSTKLVCLHTPYCNSAPEAIR >cds-PLY61952.1 pep primary_assembly:Lsat_Salinas_v7:5:160568921:160570564:1 gene:gene-LSAT_5X71741 transcript:rna-gnl|WGS:NBSK|LSAT_5X71741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMLMVVIIVVMFRHSNGLNMNYYIMTCPMVEFIIKNSVNSALRADPTLAAGLIRMHFHDCFIEGCDASVLLDSTKDNTAEKDSPANLSLRGYEIIDDAKQQLEMQCPGVVSCADIVAMAARDAVFFAGGPVYDIPKGRKDGTRSKIEDTRNLPPPILNSSELIKMFGQHGFTAQEMVALSGGHTLGVARCSSFKNRLKSFDSTHEVDPSIDNQFVKTLANTCNAGDNAEQPFDASRNMFDNAYYNALQRQAGVLSSDQTLMTDPKTRPIVNGYAMNQAMFFFDFQRAMIKMGLLDVKETGQVRQNCRKIN >cds-PLY65962.1 pep primary_assembly:Lsat_Salinas_v7:4:137627766:137630524:1 gene:gene-LSAT_4X85000 transcript:rna-gnl|WGS:NBSK|LSAT_4X85000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEADNIKRRKDGNLIWRPVNWIRMLSDELSWRFVLAVVIVYGINQGTSIGLYRVSVQYYMKDVQKLQPSEAQFYSGIIQIPWIIKPLWGLMTDIVPIFRLRRRPYFIFAGLIGSMAMLVPAFSTNLSLVWAILSFMTASAGVAIADVTIDACVTENSISHPSLAGDMQSLCGISSSIGQLVGFALSGVLVHMIGPKGVFGVLSIPAGLVMLVGFMLEEPLVTGYSHKRVSQKFFDAIKTMVTALKSPDVWRPSLYMYLSLALGVSIHEGMFYWYTDAKAGPSFSQEVIGSIFSVGAIGSLLGVLLYQNVFRNYPFRSVLFWTQLLFGASSLLDLALVLRLNLKFGVPDYIFVVMDEAVSKMIARLKWMPLLVLSSKLCPSGIEGTFFALLMSIDHVGMLSSSWAGSLLLHALNVTRIEFDNLWVAILIRSFARLFPIALLFLVPRNDPNSSILPSELLMTKKGDDVLESESAEMMSLVNNGV >cds-PLY75896.1 pep primary_assembly:Lsat_Salinas_v7:9:198700985:198701515:1 gene:gene-LSAT_9X122800 transcript:rna-gnl|WGS:NBSK|LSAT_9X122800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHSEQNALDDHRKMFFRETRKKFIDKYGDRSGIIMWGHDADKRMWVVKHKSGRIKYYEKKVHFLSWTKVDLSELIHAPLHNPTNDIMAWSFKNFLEIKAKNNFEGLKTASSFTKKAKGVNDPRINKTMVNVIWPPKSKLNRFLFPNAYLKALWIHHGSRVYDEATATVVIKLKKN >cds-PLY67931.1 pep primary_assembly:Lsat_Salinas_v7:5:299341039:299341629:-1 gene:gene-LSAT_5X160100 transcript:rna-gnl|WGS:NBSK|LSAT_5X160100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWLDERFKMDGDRSKEDILVNDIFKGCKRENHIFTLGAAETNEVVIRGVLRAERPELLSVIIQESLKKQPSENQALLSKVMNMTENPHNDHLPLIKARKLCNMDIVS >cds-PLY92218.1 pep primary_assembly:Lsat_Salinas_v7:6:74120363:74121758:-1 gene:gene-LSAT_0X36301 transcript:rna-gnl|WGS:NBSK|LSAT_0X36301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRETGDICDMGPFKRLILSPLYVKGLGRSSSGGILSSITYGANKIASSVLASITSQSKKNKVNFNRVNLNKDKGIGEPSTESTPDGNINVKDDGVGGNSNSDMVNKKLTFKKDQRDESQKVQMMQRYELVNLRPDARPLLFFINKKSGAKRGDSIRLRMNILLNPVQIFELSSTEGPEVGLYLFRRVPHFRILVCGGDGTAGWVLDAIEKQNYVSPPPVAILPAGTGNDLTITSGISFVCNNISEYGGDPNRIYLMGQSAGAHIAACALTEQTIIECDATQRTPWSVSQIKAYLGLFG >cds-PLY80339.1 pep primary_assembly:Lsat_Salinas_v7:7:155434481:155436649:-1 gene:gene-LSAT_7X92161 transcript:rna-gnl|WGS:NBSK|LSAT_7X92161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLYSIHFEKVTSSNLPQSPIESPMNPQPVLPLLAPSPLMPFTNISMPNLSGNCPLNFSNAETALTTTAIDCWGSLAPYLANTICCPQLDATIKILIAQSSFSSGTLSLNKTHSKNCLSDITQLLEAQGSNHQLLEICSIHPSNLSDSSCPFVHLADIENTINIPTIIESCEKIDPVKECRDKICQSAITDAAVKIASRKIGSRLSGDDVAMVDDCKKIVLRWLASKFDSSYANKVLRGISSCKINKVCPLVFPDMKNISNECGNKIRSQNTCCDAMEKYMTYLQNQSFITNLQALNCAHSLAESLQKLNVSNNIYNICHIKLKDFSLQGSGCLFPSLPFDVTYEQSTGIDFRCDLNDNVEAPWPSSYTYSPSPINIPALPKATSDQSGELISSLLLK >cds-PLY77932.1 pep primary_assembly:Lsat_Salinas_v7:1:26523198:26526062:1 gene:gene-LSAT_1X22320 transcript:rna-gnl|WGS:NBSK|LSAT_1X22320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPMAIFLLFALFVVSSAMDMSIIGYDATHMTTSDASSSSWRTDDEANAMYESWLVKHRKFYNALGEKEQRFQIFKDNLRYIEQHNSGDHSYKLGLNKFADLTKEEFRLGYTGAKTVGSRRKSNNLKSDRYAPRSGDALPDFVDWRTKGAVAAVKDQGSCGSCWAFSTIGSVEGINQITTGELITLSEQELVDCDTSYNEGCNGGDMDYAFKFIIKNGGIDTDTDYPYTGKDGRCDSSRKNSKVVSIDSYEDVPVNDESALLKAAANQPVTVAIEAGGRDFQFYTSGIFTGQCGTDLDHGVVVVGYGTEDGKDYWLVRNSWAADWGEEGYIRMERNIKEKVGKCGIAMEASYPIKNGQNPPNPGPSPPSPVKPEIICDQYATCPQSTTCCCVYNYHGACFAWGCCPLEGASCCDDNYSCCPHDYPVCNLRRGTCSKKKNSPLEIQALKRILATPTDLKRNYA >cds-PLY88619.1 pep primary_assembly:Lsat_Salinas_v7:5:139068456:139070292:1 gene:gene-LSAT_5X60661 transcript:rna-gnl|WGS:NBSK|LSAT_5X60661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRGADRIGVSLGSHRPTVILKLPCLVEKKRTKALTVAPISPMPRTLSGPTKSHIIIIVDTSKTQPYDVC >cds-PLY67311.1 pep primary_assembly:Lsat_Salinas_v7:8:235725898:235727074:-1 gene:gene-LSAT_8X141701 transcript:rna-gnl|WGS:NBSK|LSAT_8X141701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPMKNILKETHEFSFLCLLCPNTIRHPHITHALWFKPPATSACSSTTLWNSFVRATNSSPSSTNNAAEACPNLIFELKSLVIGSYLHKDSKIPDSLVLLGLKFLFNLFLSFLISLRSTSFDHTWSSLVFYLCSNFFTHFP >cds-PLY90586.1 pep primary_assembly:Lsat_Salinas_v7:6:54927996:54930208:1 gene:gene-LSAT_6X40021 transcript:rna-gnl|WGS:NBSK|LSAT_6X40021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVADLLHGLRNRKLWFPCKYFTLNAATITVIAIAIKLPMDLNNIMYGIVDQEAKLGSMSFMFTIMANLLPSLATMDSKELVSNIIALGVFVITLIVNVSIQIHTGILFYFEENSNVVVSVAYRYSIGPVLMCVIYASISVVPLLMLLIIYVCSSLAIVKSKQILESKYQAAHVTALRDQELQQPERLNVEKLKQHVSNYWIMAETGSPQFMTACSTTTSASGVICALSTGLQIFVVILNFKFLRDYRSDYKWSTPLIFIIQFIGVILGTIAPLARFFAALRFKLSAKWIWKHLKVYKVESYWTRNLYDWKHSSIPFPSNGRKCKVVIQHMKVLILSICIGFQKAFVVSCKMISVIPIFFVICALCCLRCWKWLKGLFHASHVESVENPEETQLGEDEDLSQYVLQLEEDMEFAERTLKGILKSVNHLIRKAEKQQPKNLMKLLAESRGFEEVEKFDSRHVLSLGSEEYRNCWSLSLVTLTTIAMSLSNIQKKLVDCLLIRGVSEGLVYVKLVEESLNATDDQVWIQKAAQTLWVEVEVYNKWLGNKLQNPDSQLHTAGRILQLLRDKAMSMVMEAQGTSIGVSNDNTKRRSISANSMYRITETMLLSYHTNIDELSQEELFVQLSLMISDILAACLTNLPHVILMKCHTSVVERRESSVHAAAQLLGETTQIINTLQERELPSLSPADLASIDKWRAYLKDRYA >cds-PLY92154.1 pep primary_assembly:Lsat_Salinas_v7:8:5070784:5071276:1 gene:gene-LSAT_8X4241 transcript:rna-gnl|WGS:NBSK|LSAT_8X4241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEELLRRRNEELERELKRSLEREEKMKMELQKTWARLRVAEEAEEHLCSQLGELEAEAMDQACAYRERLTTLMEQLSAAQKLIESASVHIPSMDL >cds-PLY77659.1 pep primary_assembly:Lsat_Salinas_v7:5:175366340:175366873:-1 gene:gene-LSAT_5X78101 transcript:rna-gnl|WGS:NBSK|LSAT_5X78101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGRNKHTTTEEDATLIETLLELHVSGKYGGADNGFKPGYLKAVQQLLDVSLHNSGLKAEPHIKLRMKTWKNHFNIVQDMSHKGAACFRDKPFPQFDNLCKIFWKDRGTELGEDVT >cds-PLY81097.1 pep primary_assembly:Lsat_Salinas_v7:9:68481682:68483203:-1 gene:gene-LSAT_9X57501 transcript:rna-gnl|WGS:NBSK|LSAT_9X57501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMNVIQDQNFSVQFNGVKTVQKNRVGGLGGRKALNDISNSGKPPALNTSRKQNPKNVIPIGEDLGVSKKTQVSGRKALGDLTNSVKPLIQQQQSLKKKKNSSIAVAEERFLHNHDECVKSQKKSLDLDFDQFLKNIGLHEDVCCESVRRNQVVRKPRDENVFVEMEMEEILEPIIEDDAFGERSPIFGSPNSPRMWSYMKKDYDEFPSFILTETPRGRGSK >cds-PLY88847.1 pep primary_assembly:Lsat_Salinas_v7:3:193019920:193021263:1 gene:gene-LSAT_3X115521 transcript:rna-gnl|WGS:NBSK|LSAT_3X115521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase-like protein ACR4 [Source:Projected from Arabidopsis thaliana (AT3G59420) UniProtKB/Swiss-Prot;Acc:Q9LX29] MEESQVGKGSFSCVFKGILKDGLVVAVKRAILSPDMKKNSMEFHNELDLLSRLNHAHLLNLLGYCEEGEQRLLVYEFMANGSLHQHLHGNPKVLKSQLDWVKRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVADFGLSLLGPANSSSPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQFEEGNIVEWAVPLIKSGEIHAILDPALTPPSDPEALKRIANVACKCVRMRGKERPSMDKVTTALERALAMLMGSPSNDQPILPTEVVLGSSRMHKKSSQRSSNRSELEGDVAAETEDQRIEFRAPSWITFPSVASSQRRKSSVSEADVVDPKVSENKHLGLGDGLRSLEEEIGPASPQEHVFLQHNF >cds-PLY77195.1 pep primary_assembly:Lsat_Salinas_v7:8:25402131:25404563:1 gene:gene-LSAT_8X19320 transcript:rna-gnl|WGS:NBSK|LSAT_8X19320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKIVDEMDCRYRLYSILMNHLRSAMCNAQKKAQDRACQIECLIEKSKFYDLGIIQIDVSFKLIKEEEQEDQIPETNQKKILTDLIELKDLFLSRLNDTKCSIIQKDEELMEKLDYEVFPDMIMMEQMSSDLDMLKRTRDHAFKQIQKSEVEPLEKQWRLLIEKETILIAIKGYVHDTKVKLKSSIKFPVSKNQKSNKIDGVGKKDVIISRLMEERHESKLQTMAIDEHYMVLVNECCDEFWCYEIETKVKEDMLLYILHEVAKDWTEYQENESIKTQLKDEIYETVFLETINDIRIKLDFELQKIQNKISKCCIQNAIRLEHIKQQVKSILGSVATIKKEELIYKKAFARRCQNLLLAETEVLNHMRMLSIILIVMDILKLIERKLGF >cds-PLY70604.1 pep primary_assembly:Lsat_Salinas_v7:1:90774460:90776077:-1 gene:gene-LSAT_1X77441 transcript:rna-gnl|WGS:NBSK|LSAT_1X77441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:APO protein 4, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G21740) UniProtKB/Swiss-Prot;Acc:Q9LSZ0] MKNPRLWCNTIMVQGLIGITRHYRSNPKVDFKKLRPMILKRIENRAKYYPVKDMIPVAYDVVRSRSLLIQGVSTLLQVIPIWSCKFCQEVYIGEKGHAIKTCHGYKRHSKNKPHEWIKGGINDILVPVNTFHLKTMFQNVIKHDERFDYNRIPAILELALQAGADFDFDHEGISGNKIECLNVESLSPNDLRVISEGTLKAWEVVRSGVQKLMMVYSAKVCKYCSEVHVGPSGHKARLCGVFKYERWRGGHFWKKAEVDDLVPEKVVWFRRPQDPVVLEDKWRSFYGHAPAVVDLCTKGGAVAPIKYYCMMKLQGLSADVAFKTR >cds-PLY70389.1 pep primary_assembly:Lsat_Salinas_v7:4:97713079:97713846:-1 gene:gene-LSAT_4X63260 transcript:rna-gnl|WGS:NBSK|LSAT_4X63260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLSGKLVKQVAIRLDGDLFYEIYKYKQHRISEMSPGSIKRVDLHDGEWGVVGSVIVWNFIHDGKEKVVKEVIQAIDDGRRSLCFKVIGGDLMQDYKTFLVTIHVDTMGEGNLVTWTFDYEKLKENVEDPHTLMDLCLSVTKDIENSNIVNSN >cds-PLY62100.1 pep primary_assembly:Lsat_Salinas_v7:6:96218390:96220149:-1 gene:gene-LSAT_6X66280 transcript:rna-gnl|WGS:NBSK|LSAT_6X66280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTPDTPVMPHTPLTPHGSASRDISGDHGSNDGDFDDSSLPIIHKEGDKFGDHSIHNAVIDLFWQSMDDAWPTYKSITEKTRKQMWYTTENEAIYTTFNNVLKERYKDRMRDLRKLSARMARRDGLPFKKDFSKYFPEIYQYRPQMVPESVWPRLCDRWSTEKWKKLSDAAQTNRNTPDSTGKTSRHTAGSIAHAKHRRRLKAQLGKDPEFCDLYVKTHGTSESKKRYFKGESENIEYCSKTAKDAKDAYLEGLVMKYGEDPANHRHDAQVWVESQIRRTGGKKKGHIYGIGALDANVVVSRITSSESTRSTQSNNNTQEEVDRLREEVSNMRQLQEQMVQQMERMVRMMNGTTNQANDPPHTPPEDGV >cds-PLY86784.1 pep primary_assembly:Lsat_Salinas_v7:5:15413948:15419502:-1 gene:gene-LSAT_5X8840 transcript:rna-gnl|WGS:NBSK|LSAT_5X8840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTQAPVPAPLAGWMSNPNPNPNPNPPAISHPAVSGSGIGLCGPSMPAALKHPRTPPTNPSLDFPSGDSDHVSKRTRPMGITDEVNLPVNVLPVSFQGHGSSHTQQPFNASVSVSSSASDDLPKTVARTLNQGSSPMSMDFHPIQQTLLLVGTNVGDIGLWEVGSREKLVLKNFKVWDLSSCSMPMQAALVKDPGVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGGDDVRQHLEIDAHVGGVNDLAFSHPNKQLCVITCGDDKTIKVFDAATGAKQYTFEGHDAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNMGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKEGESHIVEWNESEGAVKRTYHGFRKRSLGVVQFDTTKNRFLAAGDDFSIKYWDMDNVQLLTSVEADGGLPASPRIRFNKDGTLLAVSANENGIKILANSDGLRLLRTFENLSYDAASRAQEPAKNGDTRSMPDVKPRITEEQNDKSKIWKLTEISEPSQCRSMKLPENMRVTKISRLIYTNSGNAILALASNAIHLLWKWQRSERNSSGKATASVSPQLWQPSSGILMTNDVAETNPEESVACFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNVLVSSGADAQLCVWSSDGWEKQKTRYLQLPPGRTPTAQSDTRVQFHHDQIHFLVVHETQLAIYETTKLECVKQWLARESSAPISHAMFSCDSQLVYASFLDATVCVFTASHLRLRCRINPSAYLSPNVPSNANVHPLVIAAHPQEPNQFALGLSDGVVHVFEPLESESKWGVQPPAENGSTSNAAATPVGGSGADQAQR >cds-PLY71404.1 pep primary_assembly:Lsat_Salinas_v7:4:239534092:239534448:-1 gene:gene-LSAT_4X128381 transcript:rna-gnl|WGS:NBSK|LSAT_4X128381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHIGWGQLLSFVYRESNSLTFSYSLCIFGSTSGLPPECTGFLSLACREVRVKRKQVPHRAWAILSNVLTIPSPHRVLVLPLDPTHDPLLALFPCNRDLAVGDSVSSLPEPHFPLRSS >cds-PLY83133.1 pep primary_assembly:Lsat_Salinas_v7:3:96143392:96146747:1 gene:gene-LSAT_3X71881 transcript:rna-gnl|WGS:NBSK|LSAT_3X71881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLSDTFLWGDKMIKTLMSARAPVLAEKYSKEIENARRDLRALIVIKKCAPIMFSLALHDAWTYDANTKKGGPNGSIRNYIHGENTGLKTAIDLCEEVKDKHPRVTYADLYQLAGVVAIELIGGPTIDYAAGRKDSKESHNEGLLPDAKEGPSHLRDEFYGKGLSDKDIVALSAIHKLVNAHPERSGFGEKPLKFDNSYFVELLKGDSDSDGSLQHATDVFLKDYAESHKKVSELGVTLPLSQDASFEARGAVNLASSATLVFLNFSSMLLVYIQQINRKCKE >cds-PLY90429.1 pep primary_assembly:Lsat_Salinas_v7:8:214139970:214140128:1 gene:gene-LSAT_8X133280 transcript:rna-gnl|WGS:NBSK|LSAT_8X133280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCKGDKKGLSCEKIDTSTSKKGDKSQAATMCIKSPWVMIMGGVMVFVLEFFG >cds-PLY86252.1 pep primary_assembly:Lsat_Salinas_v7:8:57374195:57375849:1 gene:gene-LSAT_8X42221 transcript:rna-gnl|WGS:NBSK|LSAT_8X42221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNYTGFPFSGDDDDNKLWTSFIEQSDGSAYDDFDAPLYSPIFPTSTPPHHFPGTPNTTPLSLPPIVMDYSHRHSMDFAPFQDLIDRRNWCLSNLRLTAKEAEALRQENVNLQMANSELNKQLSHLQQQAASASSLQNYANATVFPSANSLSDCFGRIRIGEKVPPATDKRSARGVENVDPVKKTEVERVKLPKSISVRSNGYLKTVQTGDGTASRARVADRVKSTSDTQRVYVRGKKQEEPLELQVYNQGMTKTELCNKWQQTGACPYGDHCQFAHGIEELRPVLRHPRYKTEVCRMVLAGDPCPYGHRCHFRHALTQEEKLMSRRRVNS >cds-PLY76069.1 pep primary_assembly:Lsat_Salinas_v7:9:30211218:30213159:1 gene:gene-LSAT_9X26740 transcript:rna-gnl|WGS:NBSK|LSAT_9X26740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTKVNPPLHRLFIFLHKDKNLKRSSFLRWVFVDLTGNRFISSTKSIRPNGSYHDTQNPRFYTTKAACKTKNGQNLNDLLPDDGNGGLWSRVACREAQDALLDYLHSTRSLQFVDAENISRNSPKFLGKLLKGVKNKENVGQFVTRYLRYHPINEFEPFFESMGLEPYEFSTFLPRNLMFLSDDNVLLENYHVFCQYGFAPNKIGKIYKEAKDVFRYNHGVLSSRLHDLQNIILDQSTVIKLVGLCPSLLIPDAIHDVLKVVKELKSSGIMNGWFIENLSEENSYDWRHILELLCLFKRLGCENEQLGRLVNQHPTLLLNNSGTTSVLIIGFLTKFGATKTNILSFFMKFPEIKGEECLYNLRRSYHFLLDIEMEVDNIAKLFCTHPYLLGSWSLKGVKTALNSLNSGKKRLCGIIMKNPQELKNLAIGTKVKPLPSSKDCTEEKTKFLLDLGFVEDSNEMKRALKRFRGRAGELQERFDCLVNMGFDRKDVVEMLKSSPQIVNQTKEVLEMKIGFLVNEMGYELTCLCGYPSSLSYAIETVKLRCMMYNWLKEQGVTNTMALSTILACSEKQFIKDKVNRHPKGIQVYEMLKTQIYSDCVI >cds-PLY95206.1 pep primary_assembly:Lsat_Salinas_v7:3:221758965:221759276:-1 gene:gene-LSAT_3X128441 transcript:rna-gnl|WGS:NBSK|LSAT_3X128441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVPYVYGIAMILFGTLFLVKTQGGITSLYCDIEKLIPCLQYGDPAVRPPTRDSECCRNIHEQEPCLCMFWRDPEFQEEFDTPGAARVARFCRITLPNAAICG >cds-PLY86299.1 pep primary_assembly:Lsat_Salinas_v7:8:57339642:57341488:1 gene:gene-LSAT_8X42261 transcript:rna-gnl|WGS:NBSK|LSAT_8X42261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRYCEFCVNLRSVVYCKADAAYLCLSCDTKVHSANPLSNRHYRNLICESCRRRPSYVRCYDHQKFMCRGCDLSQHNASSKHRKRVVKSYVGCPSARDLGVLWDFDLNPFVDCSSRILDQKFGLESNEYIKAIDGGIQGFSVLEQLVDLLKVQTSNIDHISSIMQCQEHEMDVDQFSQPFLVKGEHSNDHNISLDPCSSPFTQLDSLESSETNETTLQGDSIWQCKSSVSSSQLWSQNMQDLGVCEEPSCLDDLNIPDIDLSFRNFEELFRSEKEPTSVDQNKSMAYLNLTESSPKKAPYTSQIMSFSFSRSSAESSDTTCIDSGISPCGSRRIRNKTNVATERLDQTHENVQNPKVGR >cds-PLY70478.1 pep primary_assembly:Lsat_Salinas_v7:1:72804071:72804729:1 gene:gene-LSAT_1X64721 transcript:rna-gnl|WGS:NBSK|LSAT_1X64721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLLQNLEDVDLVCLHILLASLLFLVGGAGAGFLRKLLPGLGFFLGRGFFSAGFFSAFGAIVVICVSK >cds-PLY70637.1 pep primary_assembly:Lsat_Salinas_v7:4:226811409:226815873:-1 gene:gene-LSAT_4X124240 transcript:rna-gnl|WGS:NBSK|LSAT_4X124240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHVSGQLLMQREGQNGQLGHVNDMRSFLCLQKVISKDESVKTSKTAVDVQNFSRLQKKRDVKLVPCGLDFGRPRFVRFRECTFYRSLEKPRRFYPHVHNMDGFFVAKVSHYFY >cds-PLY66254.1 pep primary_assembly:Lsat_Salinas_v7:3:143618835:143619867:1 gene:gene-LSAT_3X93420 transcript:rna-gnl|WGS:NBSK|LSAT_3X93420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKQEKTKITTLQNTVGIASETSTLLVDSARNQVFLDWEVKDQIKKVKAEISEYANNPKTLLLDAIHSGALANPLLASEGSLNRLNNSILEEFVAADYVAPRIVFVASEVELEELLKYAEPLLSDLPSGVHVEEQKPVYVGGDHRVMADTWEWFCKFGLCWK >cds-PLY93033.1 pep primary_assembly:Lsat_Salinas_v7:5:2732162:2733043:1 gene:gene-LSAT_5X1461 transcript:rna-gnl|WGS:NBSK|LSAT_5X1461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKGGQQPIPKDQIASIKRKRFRRIKSAPIADSFPSQSKTADSSFSRPKSVFNYLHPSYRKVAIILSIYLGAGTLCFYLVRHQINGRKTNAVLDALYFTVVTMTSVGYGDLFPGSTLTILLACLFVVLGMLLIGLVLSKAADFLVEKQELLLARALHLNQTLGTAETLKQMETNKVRNKCIILVALLVVLMAAGTTVLVAVEDLDFIHAFYCVVATITSLGYIDKCFSTKGGRVFALFWILSGTIYLGQLLFTFAMLHTQRRQRSLVKWALKRKTTPADLEAADLDDDGVVV >cds-PLY84732.1 pep primary_assembly:Lsat_Salinas_v7:5:229217662:229224626:1 gene:gene-LSAT_5X108940 transcript:rna-gnl|WGS:NBSK|LSAT_5X108940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPYHHKQSPRATAVVTNRSSFFSPKLSVYLCSICVTLFVVFHIQILQTPLPSSPNTLSFLHNWQEKLTTNDDSPSSCAPDLESMGDKLRESVTFLPLKDLRFSNAALVGHTWFMSSLYDTHEEGEVQYQQFPSKSSDGRILCIKGHDNHDGSWNYYALAYPGFLPKNATLVTGRTFVSYNHYDFSNIWHGLSSLFPFVAWHLKHQCALPTRWILYHWGEVRTGMSPWLNSLMEATFGGEMNIEKFDDGGDEQSTFCYEEAVVMRHNEGGMSRERRMEVYDLIRCKARLMCGAEVDRTDGEIGLTLLMRTGPRSFRNETAVVEIFEKECEKVENCRLRVAYSSNLTICEQVKLMGLTDILVSPHGAQLTNIFLMDRNSSVMELYPKGWLKLAGVGQFVYKWIASWSGMKYEGSWRDTDGEKCPFPDDDRRCMSIYKNGRIGYNSTYFREWTSKVLNEVKIRKFEEASKGIIIPTKCACS >cds-PLY73749.1 pep primary_assembly:Lsat_Salinas_v7:2:96134033:96136319:1 gene:gene-LSAT_2X40700 transcript:rna-gnl|WGS:NBSK|LSAT_2X40700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSWKDKFTEKIANFFYEPSSSSSSSPSSSDHDQGSTLKHGISLRTLIRNTFEVHGPCLLITGDNKGVVFGGLINSPLTPTPQRKYQGTVQTFVFTTLYGAPTLFRPTGANRYFYICSNDMLAFGGGGSFALRLDGDLLTGTSGPCDTFGNRCLAHNEEFELKNVEVCNTLNVCIT >cds-PLY69600.1 pep primary_assembly:Lsat_Salinas_v7:5:314151647:314153118:1 gene:gene-LSAT_5X171961 transcript:rna-gnl|WGS:NBSK|LSAT_5X171961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFTKNDLGFQLVVSCLLLLITGGQSSQGHFNKHVALFIFGDSLFDPGNNNYINTTTDQQANFWPYGESYFNQPTGRFSNGRLISDFIAEFAGLPLIPAYLDPRYHNNEFLYGVNFASAGSGALVETNAGLVIDLKTQLQYFSNLEKRFRKDLGDVKTEQLLSNAVYLFSGIVNDYGVLIGDNQSSYYHEQFVEMVIGNLTNMFKEIHEKGGRKIGIGLSPPLGCLPMARALHPRNTCNEEFNTIASLHNRLLSKKMEEMTKEFEGFMFANYDHFTALSKRIKNPSKYGFKVGDTACCGSGPFRGIFSCGGKRGIKEFELCDNPDDYVFFDAPHPSEAASRQFAQLFWDGDSNVTTPYNLKALFQGTYRLNLRYVTLLKQSL >cds-PLY63376.1 pep primary_assembly:Lsat_Salinas_v7:7:147465404:147466524:-1 gene:gene-LSAT_7X87321 transcript:rna-gnl|WGS:NBSK|LSAT_7X87321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLEFPIHFHGLIIHSDGRWVRSFPNDTIPAGTNTLTGVQSKDVVISHESNVFVRLYIPKTPIPTRKLPTLIYYHGGAFMTESAASPTYHRTLNLITTESNVIVVSVNYRLAPEYPLPIAYEDSWEAIKWVASHVGGNGPETWLNDHADLQNVFLAGDSAGANIAHNMAIRVGLSHEKVFNVSGVIMLHPYFGGKDPVGAEAKRFKLHKEFIDMMWLLANPSGNGLDDPLFNPGMDPNISAFGSSKILVCVAEKDALRERGLNYKKVMERSGWKGKVELMESKGELHIFFLFNTSCENSCLLRNRICNFMNPIRCKA >cds-PLY92981.1 pep primary_assembly:Lsat_Salinas_v7:4:259660972:259670685:1 gene:gene-LSAT_4X135361 transcript:rna-gnl|WGS:NBSK|LSAT_4X135361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYDCDSVHHNRLKVWEWRKQWFCQNCRLVMYAFILYTNNSATQLIIEALSYVPAPANALRLYLQSVEAANSCDLERVAYEFFTQAFVLYEEEIAVIAQSPNILCPCSLCNFCSFDTFLSLDLCISFFVPVSLQHSFPFTDRLPAQGRKQQRLIVAFCHHWPAADDKFRPPSCSS >cds-PLY70402.1 pep primary_assembly:Lsat_Salinas_v7:4:353008411:353008572:1 gene:gene-LSAT_4X174241 transcript:rna-gnl|WGS:NBSK|LSAT_4X174241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRWSSSRWTLPPTESFPGWAGCYTEKITLLEAPADVFGLPNVSVNRHVPVQEF >cds-PLY68410.1 pep primary_assembly:Lsat_Salinas_v7:8:22685020:22688148:-1 gene:gene-LSAT_8X17180 transcript:rna-gnl|WGS:NBSK|LSAT_8X17180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITLKLLVHKGTQKVLYAEAPKEFVDFLLHLFSLPLGTINQLLGSKHMVGGLGKLKESVESLNPIYFQPGINKDNIFSSKTAFNGNMFLLLDDVSANERLPVTSTKKVYTCSYFGISRNGYQHQYCLSTTENPATLCPTCSRSMNVPLNLIGTPVVNLKEADQEKPKAGFVKEVVTYMVMDDLVVKPMSTISSITLINSFAVKDLSQLEEKTLPFGKDEALKLLRASLSTNEVLTTLYQKTNDA >cds-PLY89800.1 pep primary_assembly:Lsat_Salinas_v7:2:138380969:138386407:-1 gene:gene-LSAT_2X67861 transcript:rna-gnl|WGS:NBSK|LSAT_2X67861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:XRN4 [Source:Projected from Arabidopsis thaliana (AT1G54490) UniProtKB/TrEMBL;Acc:A0A384KRW9] MGVPAFYRWLADRYPRSIADVVEDEACQGNGVELLKPNPNNIEFDNLYLDMNGIIHPCFHPEGKPAPATYDDVFKSIFDYIDHLFSLVRPRKILYMAIDGVAPRAKMNQQRSRRFRAAKDAAEAEAEEERLRIEFEAQGAALSPKEKLETCDSNVITPGTQFMSVLSVALQYFIQCRLNHNPGWQYTKVILSDSNVPGEGEHKVMSFIRLQRNIPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVITLPGQQEKCFQCGQVGHLAAECRGSVGNHVDANGKPVNDIPIHKKKYQFLNIWVLREYLQYDLEIPNPPFEVNLERLVDDFVFLCFFVGNDFLPHMPTLEIREGAISLLMQVYRREFVTMGGYLTDSGEVLLDRVEQFIQAVAIHEDQIFQKRARIQKSYENNEEMRRKARQEVVEEPVTPVVDKIKLGEPGYKERYYKEKLNLTDPKEIEEVKRKMVLHYVEGLCWVARYYYQGVCSWQWYYPYHYAPFASDLKDLADLEITFFLGEPFKPFDQLMGTLPAASSNALPDNYRKLMTDPSSPIIEFYPFDFDIDMNGKRFSWQGIAKLPFIDEKKLLTETRRLEDTLTEEEQARNSICSDLLYVSPHNPLAPQIIAYYNFFSQVHPTQRCLWPIDVYASGGMNGYLWLCERNGFRMVLPSPINGLGDIMNNHVINATYINPAPHKHIPEPPKGVAMPKKILKPVDIKPLPILWHEDNSGRRGQGSYNRPQVPGAIHGNMLGEAAHRLLKNSLNIRSSGPSSGYYEQSNYRNTPGSNNLQHRPRPAGPSGHGRNPNPNEDQFFYQNYPSNPRASMPRPKFGFSRPQGNNNNYNNNNNNYTYQEQYQDLSNGMAGLSMNNNGIRNRQYPVRAAAAPPQSSGNLPTPPSTWISRPSSSAAMGGDGNGNGNDKDVKKVYQIKSRVPLSQEDSVSGNNGGI >cds-PLY64674.1 pep primary_assembly:Lsat_Salinas_v7:7:136265859:136274479:-1 gene:gene-LSAT_7X81581 transcript:rna-gnl|WGS:NBSK|LSAT_7X81581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKPHAICIPYPAQGHINPMMKLAKLLHFKGFHISFVNTHYNHKRLLRSRGSSSLDGLPDFRFYSIPDGLPPSDVEATQSIPALCESVPKQSFEPFCELISRLKGGEESDVPPPTCIISDGCMSFTLKAAQKFGLPEVLFWTPSACGVLAYTHHHDLVERGYTPLKAIPRGSTVVLNTFDALEQDSLNPLIVLNPRTFTIGPLHLMQQHIENDQVKHIGSNLWKEDESCISWLDTKDPGSVVYVNFGSITVMTKEQLIEFGWGLANSKKDFLWITRPDIVGGNEAMMPPEFVDETKGRGMVTSWCPQEQVLKHPTIGAFLTHSGWNSIIESISSGVPVICWPFFAEQQTNCRYSCVEWGIGMEIDSNVKREEVEAQVREMMDGKKGKMIKCKALESKKKAEEAIAIEGSSYLNFDKLVTEVLLRK >cds-PLY72751.1 pep primary_assembly:Lsat_Salinas_v7:4:372981903:372983216:1 gene:gene-LSAT_4X183980 transcript:rna-gnl|WGS:NBSK|LSAT_4X183980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKKFQEEVHSDDGSYELIDFNDYAGDMEHLNTTTNDHSGFQDSSNKDDGNDEEEDDNEGEEEVSGMDFADSRKITVIPLSTDYDEDTPIKEVSKTYILQYLPAKSLARCRLVSKEWDSWISSPFFKHSQSQHFSQTSGFFRDEDKTTTHFISLDYFSYGVPYPCLSFLPKKAFLKSSCNGLLLCQSFEDDENYVCNPANKQWIELPKYSFYHGKEPKGVLAFEPSSLEFEPYYQVKCPFSIPGEEPILYFDIYDSKTKSWRICDEICTDLNESDIKTDGIFVNGVVYWETTGGKLLAFDMKNEIYGIQTLPVFGGVLSKVHGEICYVKGYYRYSDKEFVLNVHHGGGLMSLKNTITFGVPCDDVIVESGNKKVVKCEILGNSCDDVVAVILKRSQWHESLFAYHVKDRRVEGPWFLQRYFKSKLFPYVNSLVSLAA >cds-PLY93320.1 pep primary_assembly:Lsat_Salinas_v7:9:60320994:60321767:1 gene:gene-LSAT_9X53541 transcript:rna-gnl|WGS:NBSK|LSAT_9X53541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDGMCKTRKRAGCRASSKPTLGSTCGGGDGEDDQTQKKMVHREIERQRRQEMSQLYASLRGLLPIEFVKGNRSVSDHMNQAVHYIKQKEESIKEISTKRDRLKKPFDTNESSMNQLSNTVSVKFCNGGVEILINSCSIEEGFALSQVLDAVMEEGLNIVSCSSTKVNNRLLHSIRSEVHPTHHSL >cds-PLY73041.1 pep primary_assembly:Lsat_Salinas_v7:9:35221376:35221777:-1 gene:gene-LSAT_9X32820 transcript:rna-gnl|WGS:NBSK|LSAT_9X32820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVGRGVAQLDLNSCGDVSRRLREKRWRQMRCVTGARSGHSISPEGRIGGANHRFLGKSHRPVVRDHRSTTGYHRSIMNHHKSDETKAFRGQRHVSTVGGGGGGPDLNKSNCVSWRSRKKEGGWRCVTEAKSK >cds-PLY75105.1 pep primary_assembly:Lsat_Salinas_v7:4:11255802:11257019:-1 gene:gene-LSAT_4X7400 transcript:rna-gnl|WGS:NBSK|LSAT_4X7400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHMGYEKPSAIVLKAIREQVEGSDPSSETRSKIADLLSLRTNQELLIEAVALENLKENAEQAEKIGDLEYIEEMIALVTHMHDCFVEMKQSESSNPVPIPPDFCCPLSLELMTDPVIVASGQTYERGYIRNWIDLGLNVCPKTMQTLVHNNLIPNYTVKALIANWCESDNVKLPDPVKQPLRLTLNQPTSPRSNGIHQEEASSPVHRHVHSSSEDSGKGNEFNTVESGEKSLDSGGPGLGPSGVDEGSPPEAPAIESSSSAPTTAYNSDALGELAAEPQAAIAASQHAVSPRFGNRARNQIWRRSSFGPRVVSSATEARTDLTELETQVKKLVSDLSSASIDTVRNATGELRLLARQMKLKGRGSTLLFLFVSPHINTAGLICSEDGIKDLVILRFCCGRKEDL >cds-PLY70881.1 pep primary_assembly:Lsat_Salinas_v7:9:14931389:14933661:-1 gene:gene-LSAT_9X12441 transcript:rna-gnl|WGS:NBSK|LSAT_9X12441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYNNNDNTEMWDWEDEDYGLQTNASNSLWSNANENEDDLTYVFNETTPAKSFEDLAYQVTDNENINKGKEPYREAYSQAKRRRMLQFDNEILDVDMIPVCGKDFSSTYLKSKEREASLNGALSDINQWVDGFTDDTPASGSGYEGLDQSSEGWLDDCLINDTEMHLCTNDLNPSVQIELTESKNSQSKNDEEIPTPTRKNIILKGKKSFIKTRTTKIASSVVLPFAFVKPCGMQGAVTLKDINQKILTPPPSKSKKSHENENENPEKSYPTSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >cds-PLY89828.1 pep primary_assembly:Lsat_Salinas_v7:4:324006574:324008872:-1 gene:gene-LSAT_4X160741 transcript:rna-gnl|WGS:NBSK|LSAT_4X160741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCGPGLFSDIGKKARDLLTRDYISDQKISVSTTTATGVAITSTATKKGGLSSGDVGTVYKYNNILVDVKVDTESKIATTLTFTEIVPSTKTIASFKLPDFNSGKIEVQYFHCHATLASAVSLNQTPTIDLSTTIGTPSFVIGAEAGYEPSSGRLTKYTAGIGVNKPDSNASIILGDKGDTIKASYIHHLDELKKTACVGEITRRFSLNENTFTVGGSYAVDSLTMVKAKLNDHGKLGALLQHEIIPKSLVTLSSEMDTKALDKTPKFGLALALKP >cds-PLY73478.1 pep primary_assembly:Lsat_Salinas_v7:2:99323330:99325101:1 gene:gene-LSAT_2X43640 transcript:rna-gnl|WGS:NBSK|LSAT_2X43640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALRNPNGANSSPLTPLGFLERAATVFGDSPSLVYNHLTYTWSDTFRRCLRVASSISILGIGKGDVVSVLAPNIPATYELHFAITMTGAVINTINTRLDGRTVSIILHHSESKLVFVDHHLTRLIQEAVSLLPDGCAHPPQVLITEDGSLSDGFINTYEGMVETGDPGFEWIRPESDWDPMTLTYTSGTTSSPKGVVHSHRGVFIVAVDSLIEWGVPKQPVYLWTLPMFHSNGWTYVWGMAVVGATNVCLRRFDASIVYTAIRDHNVTHMCGAPVVLNMLSNGEPLDHTVHIMTGGAPPPGKVLLRMESLGFDVTHVYGLTEMGGPVVACSWKKQWNQLPATERARFKARQGVRTMGMTAVDILDPVSGVSVPRDGLTQGEIVMRGACVMLGYLKDPESTAKCIRDRWFYTGDIGVMHPDGYLEIKDRSKDIIISGGENISSLEVESVLYMHPAVNEAAVVGRPDEFWGETPCAFVSLKDDDEGKPLPTPMEIIEFCKAKMPGYMVPKLVVLKDELPKTSTGKIQKYVLREFTKTM >cds-PLY70202.1 pep primary_assembly:Lsat_Salinas_v7:9:870972:874125:-1 gene:gene-LSAT_9X1881 transcript:rna-gnl|WGS:NBSK|LSAT_9X1881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGIELSLNQTQKLRLQRALELLESLSSGTNSDASVTVADSIPVNQEDGVLKGHGTTELEGEVVATVCGVIERVNKLVYVRTLRARYKPEVAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRSIFEENDVICAEVRDFMRDGSLQLQARSQKYGKLERGQMLTVSPYLVKRRKQHFHHLQQYGIDLILGCNGFIWVGEHVETKDNMVEDELIKPPNKSQTTLEEQEQAYTPLETRQNICRIANAIRVLSTLGFSITLDVIQEVVDMSSSKGLNIHEMLAAEFSVLVAEREAERRSSSTSTRRKR >cds-PLY73983.1 pep primary_assembly:Lsat_Salinas_v7:8:62539721:62543235:1 gene:gene-LSAT_8X44300 transcript:rna-gnl|WGS:NBSK|LSAT_8X44300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTMQTWRKAYGALKDQTKVGLAHVNSDFKDVDVAIVKATNHVECPPKERHIRKILAYTSAVRPRADVQYCLHALARRLAKTRNWTVALKTLIVIHRTLREGDPTFREELVNFQQRGRVLQLANFKDDSSPIAWDCSAWVRTYGLFLEERLECFRVLKYDIEAEKIPRLAQGQENKGYSRTRDLESEELLEQLPALQQLLYRLMGCRPEGAAVGNYLIQYALALVLKESFKIYCAVNDGIINLIDKFFEMPRHEAIKALDIYKRAGQQAGSLSDFYDVCKRLELARNFQFPVLREPPQSFLATMEEYIREAPRLVSGPTQTLEYPERLMLTYKPEAEAEEEDKHEEEETYSTSDEIKAVPINDFLASNHVHEPPPPPPPQPTFNSRDPDDLLGLNFDDPLPNGSAIEETNALALAIIPSDTTSGFQSKDFDPTGWELALVTTPSTNISSIQDRQLAGGLDSLTLNSLYDEGAYRASQQPVYGSPAPNPFDTPDPFGAPPGQLTPYHLPNPNPNPNPNPFGPYQPNLMMGPAPPNPFFDSGFGQFPVNNGAHPHTNNPFGTTLL >cds-PLY86807.1 pep primary_assembly:Lsat_Salinas_v7:5:16725865:16727475:-1 gene:gene-LSAT_5X7581 transcript:rna-gnl|WGS:NBSK|LSAT_5X7581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWALLKVGLRLMDQICHWRMAGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKISSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKTPASGNKKEGEEAEGATEETKKSNHVVRKIEKRQEERKLEQHVEEQFSSGRLLAAISSRPGQCGRADGYILEGKELEFYMKKLQKKKGKSGATA >cds-PLY85106.1 pep primary_assembly:Lsat_Salinas_v7:1:45704050:45706259:-1 gene:gene-LSAT_1X40601 transcript:rna-gnl|WGS:NBSK|LSAT_1X40601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNCSTTFATNTTISTTDLFFETPSGSYKVESIDYASKSLTIYDPSMSTCTILQPHHDFVLSDVQSALIPPSPDTVFALMNCSIDSPVLNHYKSLCFNFSDDHSCDELYGSCTSFKIFQMLSNDTPPACCFTSYNTVKFMSMNILDCTHYTTFYDADKLKGEKPLDWSYGMKLSYGLPDTGCDRCRKSGGTCGFDVETEGTLCICSAAVNSTRECAAGTVEGGIGEAHTGSVLPSLLSLSTILFAFSTFFFL >cds-PLY74784.1 pep primary_assembly:Lsat_Salinas_v7:6:117737438:117741693:1 gene:gene-LSAT_6X70780 transcript:rna-gnl|WGS:NBSK|LSAT_6X70780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTQPQKQSSEQLQTLMQAGQISGSLSFSGLMSKEDEEMSKSALSTFKAKEEEIEKKKLEVKERVQAQLGRIEEETKRLASIQEELESLTDPMKKEVSVVRKKIDSVNKELKPLGQTCQKKEREYKEALDAFNEKNKEKVQLITRLMELVSESEKTRMKKLDELSKSIETIQ >cds-PLY83416.1 pep primary_assembly:Lsat_Salinas_v7:5:330447281:330448044:1 gene:gene-LSAT_5X186900 transcript:rna-gnl|WGS:NBSK|LSAT_5X186900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPQAEIVPIVTAAFHQDNQEESNSNFQTVVLSPLSLMVKITQSLDKRLSKVERDVATMQQLMALGDDDDAMVVDDTPPNSPGNNPHPPPPPHPPPSTNLPLPPPPPSNPPHITPLPPLNSSPRSDVAKKGENNQEGPHPMQKHVDSTTDQPIPDTGDQPKTDDYEGFLDLGFMQQVVVPTIPLNVVYPGSYLEGEFFNRFLKELIVTLTLTMISWIL >cds-PLY63980.1 pep primary_assembly:Lsat_Salinas_v7:4:371666104:371667682:-1 gene:gene-LSAT_4X182961 transcript:rna-gnl|WGS:NBSK|LSAT_4X182961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERIKSNTAVHDVEVRKGPWTMEEDLILINYIADHGEGVWNTLAKSAGGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLIMELHAKFGNKWSKIAKHLPGRTDNEIKNYWRTRIQKHMKPTVNFNGETSSCHNNLPQMTSIQTCSVINPMELSHYSTCDSVINFPSPFDTETNENTWSMEDLWSLQLLNND >cds-PLY92356.1 pep primary_assembly:Lsat_Salinas_v7:3:125355925:125357613:1 gene:gene-LSAT_3X87080 transcript:rna-gnl|WGS:NBSK|LSAT_3X87080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTDKTSDDGENEKLRYGVSSMQGWRTLMEDAHVALLDLDNSTSFFGVYDGHGGQAVSKFCAKYLHQQVLKQEAYAVGDIGTAAHKSFLIMDETMCGQRGWRELAILGNKMDQFSGMIEGLIWSPKGNDLKGLNDNWSTEEEYKIMTCLPSLSQKEILVKLFQSVGTYNTYPGWIPSTCGPIS >cds-PLY82003.1 pep primary_assembly:Lsat_Salinas_v7:9:157849846:157853047:-1 gene:gene-LSAT_9X99540 transcript:rna-gnl|WGS:NBSK|LSAT_9X99540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAVMIIDVLRRVKTEVVVASVGDNLEIVASCKVKLVADMLLDEATKLSYDLIVLPGGLGGAQAFANSETLRELSIYYRAIRASPALVLEPHGLLMGKKATAFPTMCEKMSDTSEVESRVVVDGKVVTRRGPGTSLEFSLAIVEKLLGHEKALEIGKAMLVFKAEA >cds-PLY85197.1 pep primary_assembly:Lsat_Salinas_v7:9:146025033:146025275:-1 gene:gene-LSAT_9X93641 transcript:rna-gnl|WGS:NBSK|LSAT_9X93641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVKDNLQDNREAIGVGMMMAAAAVWGAQELYGYRSVDDECCGYAALERPISDPVQPTAALVGPNALLASYGSPKNQK >cds-PLY89641.1 pep primary_assembly:Lsat_Salinas_v7:8:221205379:221213550:-1 gene:gene-LSAT_8X133600 transcript:rna-gnl|WGS:NBSK|LSAT_8X133600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-DDT domain protein RLT2 [Source:Projected from Arabidopsis thaliana (AT5G44180) UniProtKB/Swiss-Prot;Acc:Q9FFH1] MDGGASGHGGGGSEGENKNSAEGGEPKVKRKMKTAFQLEILEKTYAVEAYPAEALRADLSVQLGLTDRQLQMWFCHRRLKDRKTPPVKRPKKEISSSGGGGGDDVMVSGEGLPNEHGGSGGSGSGSSPFGHTGRPVPAMVATASGRVPAVVAAPIDMPPVKRYYEPPHAVSEVRAIAFVEAQLGERLREDGPILGMEFDPLPPGAFGAPIVQQKSAVRPYEAKLYEHPDAKPVKGASKALHEYQFLPEQPSVRSDSYERAMPPQYYSSPNDMASSRTPVTTTTTTTRSFTHGNDQMASGYVIPPPQVRSGSGHLLPPAAGEFDGGGGGGRKNLTVSVGVDTNLGAHPITGIENPFCTPIEKRVTHEEDIARIERKRKSEEARIAKEVEAHEKRIKKELEKQDMMRRKREEQVRKEMERQDRERRKEEERLLREKQREEERYQREQRREMERRVKFLQKESIRAEKLRLKEDMRKEKEAARLKAANDRAAARRIAKESLELIDDERLELMEIAAATKGLPSMLALDSDTLENLDSLRDMLPEFPPKSVPLKKPFKVQPWTDSEENIGNLLMVWKFVITFADVLGLWPFTLDEFVQALHDHDPRLLGEIHVALLKSIVKDIEDVARAPSSSLGANQTSAPNPGGGHQHVVEGAFAWGFDICSWQRHLNPLTWPEILRQFALAAGYGPKLKKRNVDQPHPHEENEGVDGGDIISNLRSGVAAEKALAIMQERGFSNRRSRHRLTPGTVKYAAFHVLSLEGSHGLSILDVAEKIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCLRSPYRKDPADGEAIINAAREKIHIFKNGYFDGEEAFDAERDDPEKDDDSESDVAEDPEIDDIGIDGIGIGIGIGNSGVKSETLEDTKVGTSIDKVSGTHEGEDTVVDESISGEPWVQGLMEGEYSDLSVEERLNALVALIAVANEGNSIRVVLEERLEAAMALKKQMWAEAQVDKRKMKEDFMIKIQYPSTDNQTELASSNNHHQETSGDHPTTAERLPEYSIGPDSFQLQQPAYAVEKSRSQLKSFIGHKAEEMYVYRSLPLGHDRRRNRYWRFITSASQNDPGSGRIFVELRDARWRLIDTEEGFNSLLASLDVRGNREAHLHSMLQRIESDFKEAINKKRVRVGVRVGVDSSSPISTLSITNTNISEFSSSFSIELGQSGKEITNALKRYQEFEKWMWGECLNLRAMKFGNARCQHVVGICDNCRDLSFFEGSNRCFSCGKICDIFIGSNFAFSKCISEFKEKLKGNSGFYFLNRESGRPVRFRLVKAQLALIEASIPVEALRPSWTEECRKSWCVKLVKAVNPEALLEALTLLESAIKRDFLAMDFETTDELLGSNNSATLCGTSDFSMVSVLPWLPETTAGVALRLMELDTSIHYLLTQKEDAEKDKGATSFLTFPLKYAVTKNGEEGDNSEQLYGSGNIQDPWADPGTSGRGRGRGRGRGRARGGRSQRTMGSRSRGKAADIRLGRGRGGGRGGRKRGVRPKQQKTVNISNEIDSGREFLYEESPVPGFQEWNAEETADLIVEENASSSEYENENDNNGQEYDDEMMVDDGYRNVYDDVVGQGYIGEYGLEGEEEYDVEDEDEDEDEDEQGGVDVDGFFNDDSDENREVDVGGQIGNNAEDETELSSSGYSD >cds-PLY63744.1 pep primary_assembly:Lsat_Salinas_v7:9:86457049:86459584:1 gene:gene-LSAT_9X67461 transcript:rna-gnl|WGS:NBSK|LSAT_9X67461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNTSSSPPKPPLNPSEITETLTKILATNTPSTSLTSSISEFIPYLTPGIIHTIISSKTLKSNPQALLNFFKISQTHAPNFVNGSPTTLPSLFVVLQTLFAHNKWSDAKALLLSFIGADTRHRLLRSILHPTRDIPRHSKALYDTAIGAYVKMGYPQLAMIVFRRMKRLRICPKLITCNTLLNSLVKHSSSRSILYSREVINDAILLGVVPNVNMFNIMINGYCLENKFGDAKDLMKKMRESNCLPDNVTYNTLLNALCKKGRLNEVRELLLDMKNQGLFPNRNTYNTLVHGYCQRKGCLTEATHIFDLMTQNNFLPDLWTYNTLVSGLCDEEKIEEAIKLINKMENLKLFPDIITYNTLIDGCFKCKKSSEAIKFLDLMSERGIKRNEVTYNILIKWYCREGDMDKVLETINDMEASGFSPDSVTYNTLIKGHSKVGNLKEALKIMKEMSGRRLKMDTFTLNTILHALCLENKLDEAYEFLKDAKKQGYIIDEVSYGTLMMGYFKNENFEKGMNLWDEMKDKEIVPSVITYNTVIFGLCKSGKMEKAISKLNELLENGLSPDEKTYNTIILGYCWEGDVEKAIQFHNDMVKNKFKPDVYTCNILLHGLCSKGLVEKAFTLFNTWVSNGKTVDLVTYNTLVSCLCKEGRFEDVKELVLEMKEKNLGPDRYTYNAVLGVVNDGGKNEEVEDLMEKMIEWGRFDDLDSSGGVEELDESSGGYSENIDRLCSEGKYRDAMCVFGEVLEKGVALKKSTCINLMCALVKREIRIFASEDPRNGVWITSESLIPVE >cds-PLY95406.1 pep primary_assembly:Lsat_Salinas_v7:9:191240288:191244658:-1 gene:gene-LSAT_9X118000 transcript:rna-gnl|WGS:NBSK|LSAT_9X118000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQNKPHIPFLVVTFFLLICFSCRITVFAQYTPRYACDTDKNPNLKKFTFCDTKVDIKTRVADLLKRMTLQEKAGSLVSVADPIPRLGIPSYGWWSEALHGVSDTGPATWFNKTVIPGATSFPQVILTAASFNESLFYKIGQVVSTEARAMYNNGVAGLTFWSPNVNIFRDPRWGRGQETPGEDPVLASRFGATYVRGLQEREDGDRERLKVGACCKHFTAYDLDNWTSVDRFHFDAIVTKQDLEDTYNAPFKSCVFDGNVASIMCSYNMMNGKPTCADKELLEDTVRGEWKLNGYISSDCDSLQFMFEDQHWRKTPEEVTADALHAGLDLNCGMSLKNFTAKAVEKGLVKESVVDRAVTNNFVTLMRLGFFDGNPRNQFYGKLGKKDVCTQATQELARETARQGIVLLKNSFGSLPLPRRAMTSLAVIGPNANATKAMIGNYAGVPCKYTSPLQGLSEYVKTIYVEGCDIKCNSTSNFEEANKVAAEADAVVLVMGTDLSIEHEALDRTEIFLPGQQNLLVSEVAHAAKGPVILVIMSGGGMDLNFAKCDQKISSILWVGFPGQEGGGALADVIFGRFNPSGRLPMTWFPRSYVDIVPMTNNKMRPDPASGQPGQTYRFYKGETVYPFGYGLSYSAYVYRLIKAPSIMKIPLNQAHSCRSSSTCDAIDAGDSVCKGLQFNIDVMVTNDGKLTGSHSVLLFSSPPAVFNAPQKELVDFKKVWLAPWQRTVLSFRVNVCKQLSLADEEGGPTTPLSPVNSTTTTPLLSTAASMSLNPSKTFFFGYEFNVKCGLWNGYVNVDCVFDI >cds-PLY82973.1 pep primary_assembly:Lsat_Salinas_v7:1:17106970:17107676:1 gene:gene-LSAT_1X15201 transcript:rna-gnl|WGS:NBSK|LSAT_1X15201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYTKLFCFMLVAVLLASVSVARKRNATHIQFYMHDTPTGPNPSAVRVAGQPINATDSNMGAMFGFGSIYIMDNALTATPDINSTLIGRAQGLYAMSSQENEVSLLMTLTYNFVSGVYNGSSVSVVGRNPVMDEVREMPVVGGTGVFRLARGFALAKTHSMNLVDAIVGYNVTIIPYY >cds-PLY65325.1 pep primary_assembly:Lsat_Salinas_v7:4:250701214:250703083:-1 gene:gene-LSAT_4X132961 transcript:rna-gnl|WGS:NBSK|LSAT_4X132961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLEQLPIYTSPLFFGAAFLLFRWYNSPSACSKKLPPSPPKLPVIGNLYQLGALVHHSFLSLSRRYGDSLMLLYIGSVPSLVVSSTEAAHEIMKTHDIAFASRPNTRMFRTISYNLKEITVAPYGEYWRQAKSILTLQLLSNKKVQSFNGLREKVIADCVDKITQCFLSNNPADLSDLFSSLTNDVTCMVTFGRTYNEGEIGKKFKKILQEFSEVLGSFYFEDSIPQLAVVDRLRGLTAKVDRVAADFDEFLQGVVDETITKISNNPDRIGEDGVETFIEGLLKVQKEDINGITIDADVIKALLLDAYVAGTDTSSSVLEWAMTELLLHPDNMKKVQDEVRSVLKGKKDISDEDLDQMRYLKAVIMETTRLHPPLPILPPRVARHDVNVMGYDISEGTRVYVSVYAIMRDPKVWENAETFLPERFLESSVDFVRHNFELLTFGAGRRSCPGRVFAMAINEKVLATVLSKFDWSLPQGVMPKDVNMDETFGLANHRLVPLLAHGKPVSIVSS >cds-PLY62052.1 pep primary_assembly:Lsat_Salinas_v7:5:331295774:331296025:-1 gene:gene-LSAT_5X185000 transcript:rna-gnl|WGS:NBSK|LSAT_5X185000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKYLMKNQMNVGKNKQTTILFWKLIRAYKTTEFEQYWGRLFTLRPDVAAYLSQIPRDKWIGYHFSTMRYNYLTSNSALVQSP >cds-PLY72996.1 pep primary_assembly:Lsat_Salinas_v7:9:36096803:36098206:-1 gene:gene-LSAT_9X33220 transcript:rna-gnl|WGS:NBSK|LSAT_9X33220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISRSFVTLYFFTLFFKFLIANPDTSFSLNNFGKNSTFHSFLALYGDAKVVNDGSSLQLTAPLPASAGRVIYKKPIKIYGGIPKKLVSISTYFSFSISNGNHLAFVLFPYGYPMNLFDYNYSSVPLSVEFENRTGIDSSRFMSVKIMNVSSVNLVINGSEKMQTWIDYEPGSKRLEVRLNRFGSNRPIDPLLFVQNDLSKIWPKIEGVYVGLSSSNGNSSETCKIDSWKFKAYRAPDWMHSQPLDPTGEIQKHDMKVPKESDCVMKILAALITGIGFGCLGTLLVMFMWTVFGNRRPVMPEEFAVKSLQEDEHKKLKIVLDEACANEN >cds-PLY61653.1 pep primary_assembly:Lsat_Salinas_v7:2:47043581:47054244:1 gene:gene-LSAT_2X21541 transcript:rna-gnl|WGS:NBSK|LSAT_2X21541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTIPAIKIPLTSFTNGGDDITGRIMVIGGQIKAPLVVPLLRMLMYVSLAMSVMLFMEKVYMSLVVAFKKLFGKKAEKRYKWESFKDDIELGNSVYPLVLVQLPMFNEREVYQLSIGAACGLSWPSDRIVIQVLDDSTDPVIKGLVEMECERWANKGINIHYQVRDNRKGYKAGALKEGLKHRYANECEYVVIFDADFQPESDFLWKTIPFLHHNSELGLVQARWKFVNSNECLMTRMQEMSLDYHFKVEQESGSSTHAFFGFNGTAGVWRMAALNEAGGWKDRTTVEDMDLAVRASLKGWKFLYIGSVKVKNELPSSFKAYRYQQHRWSCGPANLFRKMIFEIMINKRVTLWKKIHVIYSFFFVRKIVAHIVTFVLYCVVIPATVMVPEVMVPKWGTVYIPAIITLLNAVGTPRSIHLVAFWILFENVMSLHRTKATLIGLFEAQRVNEWVVTEKTGDPSKAKTKAKQHKNLGFKLSERLLTLEICVGLILFICACHDLVFGKYHYYIYLYMQAIAFIIIGLGYVGTDVPNS >cds-PLY68328.1 pep primary_assembly:Lsat_Salinas_v7:4:157821106:157822109:-1 gene:gene-LSAT_4X94601 transcript:rna-gnl|WGS:NBSK|LSAT_4X94601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKESWVTLGKALKEKFDMDTSQKQLKNAFDNLKAKYVGWKYLRNKSGNLYNAQTNSVALVNTEWEEFKKGHPKAGSLRTHPLPYPNLCASLFDGSSASGSIKWTSTQTTPADTSSSSHRVQRLLIDDNPFNGLEDDDDDDASNDTSARAPSDKAHGGSTERPDKRSKTTDASTERPDKRSKTTNASTERPDKRAKTSDASTDRTDRTDMDKASKTSVSLDDLSLDMQKALQHMVNSKEGPTVEECYERLKLVELDPMDPIFLAAFHLFGMSMNMREAWMTLPPIPGVLKGWIKMTGTTLGMFK >cds-PLY81286.1 pep primary_assembly:Lsat_Salinas_v7:2:27720832:27722219:1 gene:gene-LSAT_2X12880 transcript:rna-gnl|WGS:NBSK|LSAT_2X12880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETPPPSQSQPSPPPSQPPSPPHPISAAVPNNPHTEYWCYHCEKSVAVEALPDHPDVICFECKLGFVQSISIPPSHIGDLMDDEIPPLSNEIVQFLRAIASPEDENDLPLPDSAVDVSVHVFGGVEESDENTDNHHEDDADENRNRGNRDREGSDDENEEDEEDELRQRQRRHHLRLRLHDHGLSAGRHDRILDWAELLLQLEDQSVTFGQQRLESEDDYIGNPGDYIDATGYDSFLQTLAESDSNDKRGPPPASKSAVQALQTVEVNAMTSSESCAVCKDGMFNNEQMIVKQLPCGHMYHGVCIMTWLDSRNTCPICRHELPTDDPEYEEERKQRLMAMASTIDHGCSSSSGSGV >cds-PLY69605.1 pep primary_assembly:Lsat_Salinas_v7:5:314118783:314120720:1 gene:gene-LSAT_5X172021 transcript:rna-gnl|WGS:NBSK|LSAT_5X172021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSDLSLVNPSLRLPVTLITHTGVSRSMSGQRLCSPISSYVTSSSYVSPPTPLSPPSVSIVTASQRQTTNGEQITIKSLQIQQEMKMNN >cds-PLY85312.1 pep primary_assembly:Lsat_Salinas_v7:5:326225326:326228776:-1 gene:gene-LSAT_5X180141 transcript:rna-gnl|WGS:NBSK|LSAT_5X180141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDGIRAHFPLSFGKKSKSQTPIESIHNATRRPTTGIDGSQSSSSLHTNNKNKDTPFPSLSSSSKSWLNSLQKNPKPSTVSDDDVAIGPPRPPPSYDSVDGEDDAMIGPPRPMPAEDDDDEDDDDEPIIGPPRPPLGSDSEEDFSDGEQEVQHRIPLSNEIVLKGHTKVVSALAIDHSGSRVLSGSYDYSIRMYDFQGMNARLESFRQLEPSEGHQVRSLSWSPSADRFLCVTGSAQAKIFDRDGLTLGEFVKGDMYIRDLKNTKGHITGLTCGEWHPKTKETILTSSEDGSLRIWDVNDFTSQKQVIKPKLVKHGRVPVNTCAWDREGKSIAGGIGDGSIQIWGIKPGWGSRPDIYVPNAHSDEITGLKFSSDGRTLLSRSFDCTLKVWDLRQMKTVLKSFEDLPNHYSQTNVALSPDEQLLLTGTSVERDSTTGGLLCLFDREKLELVSKVGISPTCSVVQCGWHPKLNQIFATAGDKHQGGTHVLYDPTLSTRGALVCVARAPRRKSVDDFQAEPVIHNPHALPLFRDQPSRKRQREKMLKDPLKSHKPEAPMNGPGFGGRVGVTKGSLLTQYLLKQGGMIKETWMDEDPREAILKYADVAKKDPKFIAPAYAETQPNTVFAESDSEEEDK >cds-PLY97258.1 pep primary_assembly:Lsat_Salinas_v7:1:43786636:43788539:-1 gene:gene-LSAT_1X37980 transcript:rna-gnl|WGS:NBSK|LSAT_1X37980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAGVTSIGVRGKDSVCVVTQKKVPDKLLDPTSVTHLFPVTKFLGLLATGTTADARTLVQQARNEAAEFRYKYGYEMPVDVLARWIADKSQVYTQHAYMRPLGVVAMVLGIDDENGPKLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKNDPQFTYDETVQTAISALQSVLQEDFKANEIEVGVVRKENAVFRVLSTEEIDEHLTAISERD >cds-PLY68885.1 pep primary_assembly:Lsat_Salinas_v7:2:194105320:194105704:1 gene:gene-LSAT_2X115360 transcript:rna-gnl|WGS:NBSK|LSAT_2X115360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVYVILVLQLLFIVTEVEATMISVDDKVCKKVKWIGPCLKSKCSDSCERSGYMDGICEPGPVLSFLYK >cds-PLY89503.1 pep primary_assembly:Lsat_Salinas_v7:4:150106681:150107049:-1 gene:gene-LSAT_4X91780 transcript:rna-gnl|WGS:NBSK|LSAT_4X91780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFADIPDVDATTDQPILDIGDQSKIDAFDGFLDLGFMLQVVFHAIPLNVFNPGSYFEGKISQDIPLGTDSEIDSENDQLNPLKRKASFSGELMMLKLEALMLLLVVILQHILLKRKANSSLI >cds-PLY72942.1 pep primary_assembly:Lsat_Salinas_v7:4:232648441:232648967:1 gene:gene-LSAT_4X126560 transcript:rna-gnl|WGS:NBSK|LSAT_4X126560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METHLHLTHSHFFLPSYKLLLTAAPEPRLCQFSVCHRRCLLVTDCASSPNETRVASRKPVRTNADLCNDLQEFMFDDGFPDGHVPSLKELSNHVGLVSVALYYSSLFPIPCFALEYMSADMYALLIMENIPDTRNCLHP >cds-PLY81090.1 pep primary_assembly:Lsat_Salinas_v7:6:132006168:132007788:-1 gene:gene-LSAT_6X80320 transcript:rna-gnl|WGS:NBSK|LSAT_6X80320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEMTTTKRFSIGYGLLMKKQKSFIQDSLVNLAKERGIDLIKIDTDKPLVDQGPFDCVLHKLYGEEWRKQLREYLVYNPNAIVVDFPDAIERLHNRISMLDVVSEIEEVKSDEMASFGIPKQIVIYEPEKLKEAKTWGEGLKFPVIAKPLVADGSAKSHKMLLIFSSEGFDKLKPPTVLQEFVNHGGVIFKVYVVGKYVKCVKRKSLPDIAEEKLESLHGSLSFSQVSNLTSHDRNDDKYYKRMDLEDAEMPPMSLITNIARGLRKAMKLHLFNFDVIRDTKVGNRYLVIDINYFPGYAKMPGYESVLTDFFWDVLNKKEARSFNSDKELMKLVGNNGAGSDNESSA >cds-PLY83805.1 pep primary_assembly:Lsat_Salinas_v7:3:51469619:51470184:1 gene:gene-LSAT_3X40521 transcript:rna-gnl|WGS:NBSK|LSAT_3X40521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSRVWMVAATVGLVEALKDQGFARWNTTIRAVHHHAKSNLRSISQTKKLSSPAALASGGGVEERAKQSEESLRKVMYLSCWGPN >cds-PLY70622.1 pep primary_assembly:Lsat_Salinas_v7:4:228048815:228050066:1 gene:gene-LSAT_4X125561 transcript:rna-gnl|WGS:NBSK|LSAT_4X125561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVLNMRDKVENEQSYMKKQEAILQESIQTSSPPPTATSSPPSASASPTHEFSFTISLHPHPTQKSQQDGYNSGNYDDNNHRKHGNTTTPPSEPLTAIDLSPADDIFFHGHLLPLHLLSHLPISPRTSTTSMDSFTLPTKDILKDQHNPIGNTSFHYHHRNTFSEFNLTSNNVNQIRPKSKSFSLFGRNKGKKGCADERERDHEDQDKERNNSNSKKKLKLEVAQLIKRYMKMVRPLLSFPKAKRSNNEFNRQPHSFSGNLVSSKSSLPLQVTRGGGRRGEFSAPASMRTSPANSGILLASGTVSPAKSTSSDSTMEELHAAIQAVIAHCKNSIAMEDKIQA >cds-PLY71731.1 pep primary_assembly:Lsat_Salinas_v7:3:47373443:47378847:1 gene:gene-LSAT_3X36161 transcript:rna-gnl|WGS:NBSK|LSAT_3X36161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTMATEKSSVSLAEEIKIQANEAFKANKFSQAIDLYTQAIEINGENAVYWANRALAHTKLEEYGSAIQDASKAGYYRRGAAYLAMGKFKDALKDFQQVKRISPNDPDASKKLKECEKAVMKLKFEEAISVPTSEQHSVAESIDYRTIGTGTGSSYHSSQVTAAAVALVAVVMLVVGPIAATVVAFSTITTFLVVKFNNSGWTWWEDGSTPGFLTKSQVLNIDVEPQYTGARIDGDVVTLDFVKKMMDDFKNQKCLHKRYAFQIVLQTREILMALPSLVDINVPNEKHFTVCGDVHGQFFDLINIFELNGLPSDENPYLFNGDFVDRGSFSLEVILTLFAFKCMSPSAIHLARGNHESKSMNKIYGFEGEVRSKLSDKFVELFAEVFCYLPLAHVINHKIFVVHGGLFSTDGVKLSDIRAIDRFCEPPEEGLMCEILWSDPQPNPGRGPSKRGVGLSFGGDVTKRFLKDNNLDLVVRSHEVKDEGYEVEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPTMEPKIVTFSAVPHPDVKPMAYASNFLRMFS >cds-PLY91547.1 pep primary_assembly:Lsat_Salinas_v7:1:10898484:10900945:1 gene:gene-LSAT_1X9200 transcript:rna-gnl|WGS:NBSK|LSAT_1X9200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNPPNSSASSSSQFTYSNNNGSYFPMPFHLQQPQTYPAAAPPPPPSVVQFPAPPPAYATPSTSVPGVYFPQFQQAQQLFQRDAQTITPEALESVKAALASSEVEHKAEVKKRAIPRKAAGQAWEDPTLAEWPENDYRLFCGDLGNEVNDDVLSKAFQRFPSFNMAKVVRDKRTGKTKGYGFVSFSNPTDLAGALKEMNGKYVGNRPIKLRKSNWKERTDVEALARQKKHTQRKKQLPKKSVLHK >cds-PLY74250.1 pep primary_assembly:Lsat_Salinas_v7:1:79020689:79021378:-1 gene:gene-LSAT_1X67140 transcript:rna-gnl|WGS:NBSK|LSAT_1X67140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEASEAYLVGLFEDTNLCAIHAKRVTIMPKDMQLARRIRGERA >cds-PLY64950.1 pep primary_assembly:Lsat_Salinas_v7:8:160037448:160039671:1 gene:gene-LSAT_8X106801 transcript:rna-gnl|WGS:NBSK|LSAT_8X106801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQTGVQLAPIDEQKPITPQQQHEIIVPSQSRPPQPNLPASDLLTGSREVYIDIGVPLYEAAIKGDWKAAEAILEKDPKLVRSSITENHETALHVAASAIRTKQLQEFVENLVDKMDEKDLELQNNSSNTALCLAAAAGNVEMVKIMVNKNKKLLSIPGSQAMMPLYMAALFGQRDMVKYLYDKSKNLSDDGWTPQNRGWLLLKCVEADLFDIALKIVQDRPELASNGNVLGVLARKPDAFIETKTNVIWRKINSCFASIGLKMGAPEKENEALQLLRIIWKDIAKKPKNQIDDIIRGPPDPIKQDDKLHLGKEDQALQLLKLISENIVKMPIEIQKLVGSGGGTTSKPITRMPSMVNVKRTYSSRILFLAAEMGNTKFVVELIRQYPDLIWKVNDNNQSVFHIAVKHRHEGIYNLLYEIGSMKDLITPLKDQNENNMLHLVGKSAKRKRLQDVSGVALQMQRELLWFKVRFT >cds-PLY88776.1 pep primary_assembly:Lsat_Salinas_v7:4:166985432:166993856:-1 gene:gene-LSAT_4X99760 transcript:rna-gnl|WGS:NBSK|LSAT_4X99760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEWNSSFRRSVARSIGRSFSSAAGSLRMEDAFSGVGGGSHDGRSSRHSMEDEEALRWAALEKLPTYSRLRTTIFKSYIPEDQQELQSDQMLMDVRELDPVARQNFINKIFMLPEEDNERFLRKFRDRVHKVGISLPTVEVRFQNLSIEADCHVGDRALPTLTNAARNIVETLIATIGINFSEKAKLRILKNVSGVIKPSRMALVLGPPSSGKTTLLLALAGRLDKNLKVDGEITYNGHKLNEFEPRRTAAYISQNDIHAGEMTVKETLDFSARCQGVGSRLEMLTELARREKEAGIIPEAEVDLFMKATAIEGDESSLITYYTLRILGLDICRDTFVGDQMRRGISGGQKKRVTTGEMLVGPAKTLFMDEISTGLDSSTTFQIVKCLQQIVHLTESTILMSLLQPAPETFDLFDDIILLSEGQIVYQGPRENVLEFFESCGFVCPERKGTADFLQEVTSKKDQEQYWVNKNIPYKYISVNGFVERFKSFHVGEKLKNELSIPYDKNESHKAALVFQKYLVPKMELLKASWDKEWLLMKRNAFVFVFKSIQIVFIAFIITTLYLRPTMNHRNEQDGVIYIGALLSSLLINMFNGLADLSLIIMRLPVVYKQRDLMFHPSWCFTLPAFLLRIPISILESVMWCGIIYYGIDLAPDASRFFKHLLLVFLIQNVAAGLFRLIAGVCKTMNIANTGGSVVLLLIFLLGGFILPKTQIPNWWEWAYWLSPLSYGFKGFAINEFLDPRWTSKTSSDGSTNMGFAVLRTLDIPTKESTYWICAAALLGFTFLFNILFTITLMYLKAPGKPQAIISKEEAAAMAGQDTNQEQTPHHAARNQVSSNANGVAKKGMVLHFTPYAMSFDNVNYFVDMPVEMREQGVTEDRLHLLSDVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDTRISGFPKVQETFARISGYCEQTDIHSPTITVHESLIYSAFLRLPKEISKEDKMSFVREVMELVELDNIKNAMVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFESFDELLLLKRGGKVIYAGPLGRHSQSIIDYFQEVPGVPKIPEKYNPATWMLEVSSAAAEMRLGVDFAEIYSSSALQQRNKDLVKELSVPPPGAVDLHFETQYSQNIWGQFTSCLWKMWWSYWRNPDYNLVRFFFTLLCALMVGTVFWKIGKKTSSSTDLNRTIGAMYAAVFFVGVNNSQTVQPVVATERTVFYRERAAGMYSSLPYAMAQVFVEIPYVFLQTTYYTLIVYAMVSFEWTAAKFFWLFFINFFSFLYFTYYGMMTVSITPNEQIAAISAAGFYLLFNIFSGFYIPQPKIPGWWVWYYWICPMAWTVYGCIVSQYHDSTDTIMVPGMEQGPLLTSYIKDYYGFELDFMGPVAAVLIGFCVFFAFLYATFLRTLNFQIR >cds-PLY79224.1 pep primary_assembly:Lsat_Salinas_v7:5:300585480:300588240:-1 gene:gene-LSAT_5X162080 transcript:rna-gnl|WGS:NBSK|LSAT_5X162080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADAYCSDCRKSTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPTNVLLNDGGLSTVISKPNGVTSDFLSSSLGRWQNRGSNPDRSLIMAFKTIATMSDRLGLVATIKDRANEIFKKVEDQKSSRGRNQDAILAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLELEMGQSVEMGTIHAGDFMRRFCSNLGMTNQTVKAAQESVQKSEEFDIRRSPISIAAAVIYIVTQLSDDKKPLKDVALATGVAEGTIRNSYKDLYPHLVKIIPSWYAQEEDLKNLCSP >cds-PLY65564.1 pep primary_assembly:Lsat_Salinas_v7:5:311932869:311935089:-1 gene:gene-LSAT_5X170900 transcript:rna-gnl|WGS:NBSK|LSAT_5X170900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METPLPTQAKNNTLKRHKSVDRFDYINNENLKLAIPIGPRFQAHVPEWTGPPHKKTPHNSLTSSKWLGTVTWSSTETTTEGDVIVIGKGRPESCNCASSGSIMCVKRHIAQKSSELQKDLGPAFHKWKFDQMGESVAKLWKQPEQQKLTHLMKRVPVSKDMDFIKPALECFPLKSKKDIVSYYLNVYVPRRMSVQTRSGCIMVDTDDEEKSKGGPCSKVSRKRARADATPLTSSKLVLTKYLTGRR >cds-PLY97868.1 pep primary_assembly:Lsat_Salinas_v7:2:216743058:216743435:-1 gene:gene-LSAT_2X135500 transcript:rna-gnl|WGS:NBSK|LSAT_2X135500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNESLSLPFFIISVVVIAWALPSTANRDFLRLPSDDISASLCPDPITPGSCPVKCFRTAPVCGVNNVTYWCGCAEAVCAGTRVAKLGFCEFENGGSGPVSGQALLLVHILWLILLGFFVLLGLL >cds-PLY84167.1 pep primary_assembly:Lsat_Salinas_v7:4:369751781:369757054:1 gene:gene-LSAT_4X181301 transcript:rna-gnl|WGS:NBSK|LSAT_4X181301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHEINVQLPVQFGDFYILSFGKIIASPLYYGANYIWPIGYKSCWHEKLTGSLFTCEVSNNGHGGPLFKITRLSCSELPLQYGQTILCRADLEEHDNVVTELTEIDQDIGDEKVYEDIIGDQYFEGSSLSSTWELLLKMIVDGHEEIINWNGGLQCYCRHAEDLSFLSSVHFAQKVSIDLENFLHSQQCVSVPTVVHGDKETREFSKLLINWLRGYRFGLDIEFVQEFIEQLPGVEACSGYILCKDRLRDSSSTISNKKIKIKDVEPFPVGSLISSRLSSNATGDLLQVYEFLCRFKEVLEVEEDFLSYKDLENELHLTSWPVTYGYGAGAFLETVEMNCVKHKVDKLTTVHMALLPWLVSKLLRKITKAFKVTGDAKDTEKDKDKKVNLEMFPINPLTWPEVARRYILACLLTGSKKTAVGSKTKLIRCLQGDDAIFSGSPAGVAGSDVDAQLLGRAVEKVFGKRKRERSIFPSGTKVDNLENYRLETDFSIPEWAKVLDPVRKLPTNVGSRIRNCVRESLKKNPPEWAKKLLEASISKDVYKGNASGPTKKAVIDVLKRVSDGLQTASPPGMGIEDIKTSKMLSNTVMKKCHVVLRQVAEAYDKKEKVLVDKKKKGLFNLVGRDLNCNDNYLKIVFGSVSMRPIDLRTIDLRLFHGAYGASHEAFLEDVKEVWINLRRKFKLVDKMSCDFKLRYEKEVGTLFRRFYEDNMNRKPIEEIEKELEEILTSTEIPKAPWETGICNDNEAGLENILQFVDKNCEENIKLLDIATALKEKEYWELDADKKTFLLKFLCDELLKTSLIRTNVKEPVVNVMQQKHSLNETSTNLKEPDVNHEELSLRNEFLGIDSDNRFYWVFQNTNTSTHHGIVVNDTGMCDSDSRAWCLFQSDEQIKSLINYLKRNDPSRRELRNSISKWQKSITKHGQQTGANFVKGETVFSHNNGLATKASELLEAKYNTDPDSVKKPRRKNMAKWHRCECLEPVLPCRYHCVKCHETFFTNVEFEQHKKNKCDCGVDLGLLNRPGPGRLSLGCDPLRWLKMNLLDMEAALPDEAKRGSRASSELRSEWCAFVKSANTVYEMVEATMVLETMIKTDYINNTWWWYWSSMAAAAKTSTISALALRIYTLDAAIDYQKTNTTTAATSSSQKLSKKRKHPDDKPNE >cds-PLY72956.1 pep primary_assembly:Lsat_Salinas_v7:8:135434236:135435410:1 gene:gene-LSAT_8X94060 transcript:rna-gnl|WGS:NBSK|LSAT_8X94060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFHQSSSFFPNLLCFPPYSLQSPVALVTTNLLTLSLPTFIGICRPLLPYVATRNPNSISLPPSTPPLYTRNHIPKVAPLSPPDISQYKPDRDFSTLTENPSASERCS >cds-PLY63814.1 pep primary_assembly:Lsat_Salinas_v7:5:4118073:4120158:-1 gene:gene-LSAT_5X2000 transcript:rna-gnl|WGS:NBSK|LSAT_5X2000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDIASHTTLKPRKDGQDTQDELERRDLKEELVERERRHFSSKDKGYSEDRDRRKSGHHLLEGSRREIEDRIVPRTADADDADLDIKSDDESDEDDDDDDDEDDTEALLAELEQIKKERAEEKLRKEREEQEEELKLKEAELIRGNPLLNNPTSTSFNVKRRWDDDVVFKNQARGETKAPKRFINDTIRSDFHRKFLHKYMK >cds-PLY78992.1 pep primary_assembly:Lsat_Salinas_v7:3:9557546:9558124:-1 gene:gene-LSAT_3X7700 transcript:rna-gnl|WGS:NBSK|LSAT_3X7700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAKTRDTEEETRYEVGGLTYYRNRVASGCAANASAIAATGDDVDVLSGGDSGASYVIGDPAG >cds-PLY71154.1 pep primary_assembly:Lsat_Salinas_v7:9:83179845:83182256:1 gene:gene-LSAT_9X65381 transcript:rna-gnl|WGS:NBSK|LSAT_9X65381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGKSEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPMNTVFDAKRLIGRRFSDASVQDDMKLWPFKITPGPGEKPMIGVDYKGENKQFAAEEISSMVLIKMHEISEAFVGSSVKNAVITVPAYFNDSQRQATKDAGAIAGLNVMRIINEPTAAAIAYGLDKKASSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKSTAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDITGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGVDFYSTITRARFEELNMDLFRKCMDPVEKCLRDAKMDKRSVDDIVLVGGSTRIPKVQQLLQDFFSGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQISVCFDIDANGILNVSAEDKVGGKKNKITITNDKGRLSKDEIEKMVQEAEKYKAEDEEHKKKVEAKNALENYSYNMRNTINDEKVASKIPASDKKKIEDAIDQTISWLDANQLAEVDEFEDKMKELEGICNPIIAKMYQGGGGGGPETAAAANSGTAPAGGPKIEEVD >cds-PLY65449.1 pep primary_assembly:Lsat_Salinas_v7:9:184878117:184879835:1 gene:gene-LSAT_9X113841 transcript:rna-gnl|WGS:NBSK|LSAT_9X113841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPYVRILVGSLGLKFSGGTTPPSTYYCKIKLKNDLTQFADISSIADGDGNQVNDQVQACFNLKKSEFDKLVDKSNVLKMEVYSGRRSNPICGFGSSKLLGVVTVGLDSEAVSGGGNRGCSVVRNGWVGIGDRSSKNPVQLHLSIRVERDPRFVFEFDGEPECSPQVFQVNGNLRQAVFTCKFSFRNNREQKLRSDSSLSETKNSKIWLRSIRNDKEKPVKERKGWSITIHDLSGSPVAMASMVTPFVPSNGTDSVCSSNPGAWLILRPGHSTWKPWGRLEAWRESKGKDPLGYRFELLPDAAVSGLDPVTLSNSTISSKTGGKFTIDMSNGSSPNSTPNGSFGSGSGSGSDFEIGSWAHLMYQGFVMSSSVAGGGRCSKPEVEVGVQHVTCTEDAAAFVALAAAMDLSMDACQPFSRKLRKELRHDGEESIF >cds-PLY82203.1 pep primary_assembly:Lsat_Salinas_v7:1:69081654:69082432:-1 gene:gene-LSAT_1X60800 transcript:rna-gnl|WGS:NBSK|LSAT_1X60800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLTSPLTLTLKLSGKEYTIRMCADDSVDDSTLLSGLPIKSSPKMTMIGIVKDHIIVDQADAPEIVADFEIGDIKDKEVNKQKLTPRSQVQLKERKERKWVEMRRGVRRRVDQYKIEFQNPNPLELMSPYLLEFL >cds-PLY71300.1 pep primary_assembly:Lsat_Salinas_v7:8:103644118:103646905:1 gene:gene-LSAT_8X71460 transcript:rna-gnl|WGS:NBSK|LSAT_8X71460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSRPMFQLDVLHHDHRSEPQSRNLQDRLSAQSASTISNSGAANLEYDNYATATSTYPNNDPYGYGNIGYEGYSSSSCQQQQPNQSYPQQMSSAAGKAPAPGQAVYSASKFALNGYFHSLRSKFYQKGIMVTVVCPRPIVTSNAPATSTTSKEKRVSSERYAELVLVATSHGLKEAWISYKPVLVVMYLVQYMPFVGFWLMDKA >cds-PLY88066.1 pep primary_assembly:Lsat_Salinas_v7:6:183812724:183814991:1 gene:gene-LSAT_6X112221 transcript:rna-gnl|WGS:NBSK|LSAT_6X112221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAISWSSSHIYLNNRTHVSLPFHLSSTNPSILSFHYRHTTFSALKISRGDTSPQQGGAAYSAADLLRKPAVEVKDYDRGFSKGESEFEDDEAMERVEESWVDWEDRILEDTVPLVGFVRMILHSGKYESGERLSSEHENIILQRLLAHHPESEKKIGCGIDYIMVGHHPDFESSRCLFIVRKNGEMVDFSYWKCVKAFIKKKYPLQADSFINQHFQRRRRHD >cds-PLY83779.1 pep primary_assembly:Lsat_Salinas_v7:4:41780161:41783148:-1 gene:gene-LSAT_4X27900 transcript:rna-gnl|WGS:NBSK|LSAT_4X27900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNFNKPRTQPRPNRSYALGGMDHTEPNKKKGFVRKIIFASFLIALCIFMLKQSPSFRSPSPFSRHETGITHVLVTGGAGYIGSHATLRLLKDSYRVTIVDNLSRGNIGAVKVLQDLFPQPGRLQFIYADLGDAKAVNKIFSENAFDAVIHFAAVAYVGESTLDPLKYYHNITSNTLVVLEAMSVHNVNTLIYSSTCATYGEPEKMPITEETPQNPINPYGKAKKMSEDIIQDFHQNSDMAVMILRYFNVIGSDPDGRLGEAPRPELREHGRISGACFDAARGITNGLKVRGTDYKTPDGTCIRDYIDVTDLVDAHVKALENAKPGHVGIYNVGTGKGRSVKEFVEACKKATGASIKVDYLPRRPGDYAEVYSDPSKILRELNWSARHTDLEKSLEVAWKWQKSHHNGYGSIKTIF >cds-PLY87834.1 pep primary_assembly:Lsat_Salinas_v7:2:66488325:66494513:-1 gene:gene-LSAT_2X30041 transcript:rna-gnl|WGS:NBSK|LSAT_2X30041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRSNVNSTVQGNAHNLAQENGVNDFLPLGNLEKAIKEIVDQPLPLVDGIEDQLVEFSEAMRTVGKVLREAAEAKAAAQAEATEWKHKYELEREKNLQLETKGPTFAENPGNFEQKVGGSDSIEHLAIEPETGEKSQRCCGENGICSHEVLRDRGPDSYPNIHSKITRKASFKLKWCSKSKKDDQHKHDIVSFEKGNITTAERSSKQISLKWETPPQTVLILTKPNSTSVKILCAEMVSWLKEKRSMNIFVEPRVRNELLEESSYFNFAQSWIDDKEMWRLHEVVDLVVTLGGDGTVLWAASKFKGPVPPIVPFSLGSLGFMTPFYSERYKECLKSILEGPISITLRHRLQCHVIREGSENENENEGPILVLNEVTIDRGISSFLTNLECYSDNSFVTTVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRIQVPLNSRGHAWASFDGKDRKQLAPGDALVCSMAAWPVPTACRGDSTSDFLHSIHDGLHWNLRRTQSFDGPRES >cds-PLY94135.1 pep primary_assembly:Lsat_Salinas_v7:5:35456575:35458015:-1 gene:gene-LSAT_5X17040 transcript:rna-gnl|WGS:NBSK|LSAT_5X17040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARWLLTQENGIWADKLPAPSEITVSPALLWLIVSVAAIFFISGILHILLRFFKKKPSYNNPSVLITQSDRYTQQSLGRQLQQLFRLQDSGLDQSFIDNLPVFNYKEIIIIGSQQYPFDCAVCLCEFSPDDKLRLIPICSHAFHTHCIDTWLLSNSTCPLCRVNLGIQVVEHHRLCNNNRNVRPREENVISVPIPTTNNNIQRVLSVRLGKLESFNGEERSSGVEGSSRRCNLDERRCFSMGSFRYVVNNMDLEVSLTSNVKKDDVIGCGKSEEDGDHMDERKIKYDQSFSVSKVWLFSSKKPKISVSSSETQMGGVSSVVPFAL >cds-PLY92416.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:362961:365318:1 gene:gene-LSAT_0X14820 transcript:rna-gnl|WGS:NBSK|LSAT_0X14820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLPKSLILLLLFTLLIVSNSSFQSDELLVDDEEFGLEGGRSPDIDVTVSSPPVISLPHSPPQPTRKRSADSESDSRVQFALEHAFEDSDEFSAAGTFTARLKTSAHGGQILTKLRFSRNDLTATEKEKFKQLLESDDFYRIRLPSNVLHPSGKEYVISSVKARCLPKGSLDEHIIIHMEGVNILAVNYGSLGGCQYPRQLSLPSKWSFNSHTVLKYSELAPRTPSFSEDIIGGVEAGVGNEEGVKPLEERSFWAKYWMYLIPLGLVVMNAVTQAMNLPEEQAAGGGGGAPTPQAVGAAPRGQSAAVRRR >cds-PLY79531.1 pep primary_assembly:Lsat_Salinas_v7:1:33836512:33838348:-1 gene:gene-LSAT_1X32860 transcript:rna-gnl|WGS:NBSK|LSAT_1X32860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNTGILCAVMLNTKTDSKDPSGRMHAYLQAKPSRHHESNFGLNSLRSSIRQRRNPKETADLTSVQKLIDEFECGCHSSLLDSVRNCTYVGMADDDFALLQHNTHLYLANVVNLSKELMYQLVLQGFGYLNAIQLSEPAPLQELIMPALTEEDPNSQGVENDDLKGKISQMNAKLLMLSLWF >cds-PLY77516.1 pep primary_assembly:Lsat_Salinas_v7:4:51238069:51239300:-1 gene:gene-LSAT_4X33880 transcript:rna-gnl|WGS:NBSK|LSAT_4X33880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDKKGHGVIYSLSFVSLLLSATVYGVLYSSSFWVHMLSGALLGLAWMQIAYLGHDAGHYQMMSTRGWNKFAGIFIGNCITGISIAWWKWTHNAHHIACNSLDYDPDLQHLPMLAVSNKLFTSLTSVFYGRQLTFNPLARFFISYQHYTYYPIMAVARVNLYLQTVLLLLSKRKVPDKVANILGTLIFWTWFPLLVSCLPNWGERVAFVLVSFCVTGIQHVQFTLNHFAASVYVGPPKGNDWFEKQTAGTIDISCSSWMDWFFGGLQFQLEHHLFPRLPRCHLRSISPIVRELCKKHGLPYVSLSFYDANVTTLKTLRTAALQARDVTNMNPQNLVWEAFNTHG >cds-PLY71944.1 pep primary_assembly:Lsat_Salinas_v7:3:25396172:25397727:-1 gene:gene-LSAT_3X18841 transcript:rna-gnl|WGS:NBSK|LSAT_3X18841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTEKRFSVGYALLQKKQRSFVQCSLLNSAKERGIDLIRIDTDKPLIDQGPFDCVLHKLNTEDWRKQLKEYSIRNPSAYIIDSPDAIQRLHNRISMLEVVSEIEAAEVKDGESASFGTPKQTVIYESEKLKDTTCWSEGLKFPVIAKPLVADGSMKSHKMSLVFSREGLDKLKPPTVLQEFVNHGGVIFKVYVVGEYVQCVKRKSLADITEEKLGSLHGSLPFCQVSNMTSHPKNDDIYYKILEDAEMPPMSLITNIARGLRKAMKLHLFNFDVIRDTRIGNRYLVVDINYFPGYAKMPGYESVLTDFLWDVLTKEEARSFNNKKETTKLVEKIDVYGDQKGSLPVLSIEKDEQDPFQV >cds-PLY77963.1 pep primary_assembly:Lsat_Salinas_v7:1:22495408:22497176:-1 gene:gene-LSAT_1X19681 transcript:rna-gnl|WGS:NBSK|LSAT_1X19681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYSVELILLLIFLVFLFYVVVVRRIKSKSIVGEAPELGGAWPIIGHLHLLGGDQLLYRTLGAMADKYGPAFNIRLGTRRAFVVSSWEVAKECFTINDKALISRPKTAAVKHMGYNYAVFGFAPYTPFWREMRKIATLELLSNRRLEMLKDVRSSEINSGIKELYGRWAENGRLPVAVELNKWLEYMMLNIVVMMVAGKRYFGVGGGGQEADRCQKAISNFFRLIGIFVVSDAIPFFWWLDLHGYEKEMKKTAKDLDLVLGGWLDEHRQRRKSDAERNKDDVKDFIDVMLSLEDEGQLSGFEHDSDTSIKSTCLALILGGSDTTAGTLTWAISLLLNHPDALKKLQQELDDEVGKDRQVEESDIKNLVFLQAVIKETLRLYPAGPLLGPREAIEDCIVSGYNVKAGTRLIVNVWKIQRDNTIWTDPSKFNPERFMGVEYEHVDLRGQQFVLMPFGSGRRSCPGATFGLQVLHLTLARLLHSFHLDLPGGLPVDMAESPGMTIPKKIPLEVLLTPRLPSELYA >cds-PLY69104.1 pep primary_assembly:Lsat_Salinas_v7:3:227885224:227886491:-1 gene:gene-LSAT_3X128240 transcript:rna-gnl|WGS:NBSK|LSAT_3X128240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloendoproteinase 4-MMP [Source:Projected from Arabidopsis thaliana (AT2G45040) UniProtKB/Swiss-Prot;Acc:Q8GWW6] MLYSFFFLVLFYPTCFQARPTPPVTTTTSTLNRQNFTWGNFGQFLHARKGSNFSGISELKNYMQRFGYLKDTNISSGDNFDDEFQIAVIQYQQKHALAITGKLNSETISQMTLPRCGVRDTSPPPIFHEIRHYNYFNGKPRWSRAIPTTLTYAFSPNCMVSNLNLSDIKQAFRRSFSRWSAVIPVNFTESDFYEFSDIKIGFYSGDHGDGEPFDGVLGVLAHGFSPESGKLHLDAAETWAMDFESEKSKVAVDLESVATHEIGHVLGLAHSFEKDSVMYPSLRPRQKKMDLNVDDIEGIQQLYGSNPNFNIEALSQSDTSSNQSIDSKIELRSSRWIITLAILLIMSIIFKA >cds-PLY99214.1 pep primary_assembly:Lsat_Salinas_v7:4:367996136:367998140:-1 gene:gene-LSAT_4X180301 transcript:rna-gnl|WGS:NBSK|LSAT_4X180301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKNPRSMARFRGIFDSVILITVAVFLCTQSSSVVAEDDEKCLRGVKDSFRDPELKLHSWNFNNNTPGFVCTFDFVDCWNDQENRLLTLNPINLGLAGTISPSFEFCMSLQNLELSGNRLTGSIPRDLCKWMPYLVNLDLSDNQLSGELPDLANCSFLNKLILSGNQFSGSIPPQFSNFGRLSDFSVANNALSGPIPPGLSRFGSSNFDGNSGLCGEPLSRCGGLSTKNLAIIIAAGVFGAAASLLIGFGLWWWCVTKSKQRRRYGIGGDDDSSSWADRLRTHKLVQVSLFQKPLVKVRLVDLMAATNNFSKESVVISSRTGTTYKAILSDGSALAIKRLSKCDLHERQFRAEMNLLGQLRHPNLTPLLGFCTVEEEKLLVYKYMSNGTLSSTLSKQSSLLDWPSRFRIALGAARGLAWLHHGCRPSILHQNVSSNAIFLDEDYDARIVDFGLASLMNSNEGSFINGDLGEFGYVAPEYSMTMVPSMKGDAYAFGVVLLELATGQKPTNVTAAEEGFKGSLVDWVNQLSSCGQMENAIDKNVHGTGHDEKIVKFMGIAGNCVTPQARSRWSMYQVYEALKNMGQELGFSEQYDEFPLLYGM >cds-PLY98245.1 pep primary_assembly:Lsat_Salinas_v7:7:171761364:171764141:-1 gene:gene-LSAT_7X102101 transcript:rna-gnl|WGS:NBSK|LSAT_7X102101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRMPTWKERENNKRRERRRRAIAAKIFSGLRMYGNYKLPKHCDNNEVLKALAGEAGWTVEPDGTTYRKGCKPLERINISGSTSASPCSSYQPSPYTSYHPSPIASPSHNPHPDSLIPWLKNLSSSSNSTKLPNLYIHTGSISAPVTPPISSPTARIKPDITWGMGPTSPTFNLVASNSFGFKEGVISHGGSRMWTPGQSGTCSPVIPSGFDNNGDIPMAELVSDEFAFGCNVGKKVKPWEGERIHEVCGSDDLELTLGISKMR >cds-PLY98140.1 pep primary_assembly:Lsat_Salinas_v7:1:140777893:140778225:1 gene:gene-LSAT_1X102020 transcript:rna-gnl|WGS:NBSK|LSAT_1X102020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVHFLSSSSFQKIPNPPFPLCRLYHRLRPTTVCLLRQLQPPLSLFFTNVSTLQHLGSKLKSKWILLAIRNNSQVKLKSTTTILFRQSQPLLPLFLFFIAISLLQQLGSK >cds-PLY74642.1 pep primary_assembly:Lsat_Salinas_v7:7:39029401:39030901:1 gene:gene-LSAT_7X28281 transcript:rna-gnl|WGS:NBSK|LSAT_7X28281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANDLSLDLEELRHLQSIAKRPRVVSLISSEIRNLEKQLNDAALVAPTPIPISTNLKMASEPALKYTTLGSFSWDQDTDKVKIYVFLEGVDQEKVDTEFNRMSVDVKFHDVNGKNYRCAIPKLNKEIVPEKCKVLVKPTKVIITLVKASKGNWMDLHFKDDKIKPSLDKERDPMAGIMDLMKNMYEEGDDEMKKTIAKAWTDARSGKGADPMKSF >cds-PLY64444.1 pep primary_assembly:Lsat_Salinas_v7:3:15418525:15420663:1 gene:gene-LSAT_3X10900 transcript:rna-gnl|WGS:NBSK|LSAT_3X10900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSQIFRSASRAARSFISSASKQKPRLFSEGRSAAAATAVSIKGVLPAVASFGRYKSGNTSGTWIAGAFALPAAAYMLQEQEAHAGEMERTFIAIKPDGVQRGLISEIIARFERKGFKLVAIKLVTPSKSFAQKHYHDLKERPFFDGLCNFLSSGPVLAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEINLWFKPEEVSSYTSNQEKWVYGDN >cds-PLY96931.1 pep primary_assembly:Lsat_Salinas_v7:4:9557647:9564002:1 gene:gene-LSAT_4X6481 transcript:rna-gnl|WGS:NBSK|LSAT_4X6481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRTSDATRLDDATASDKGIGAFPRAAQTQNQSLETSSGDKDEHSTLKAGLRKVKIFKEYVSIKRCKKASVEDDNEISESRNDEGDYSNSNSNLVDSESGDDSDESARCSPENDPTASSSEQIITQIPKRSILPLKKRKLNFKSPPKGEPLLKKDYGEEGGDDIDFDRRQLSSDESLVNLPQVILPASEFGDDSFAIGN >cds-PLY82514.1 pep primary_assembly:Lsat_Salinas_v7:2:183183899:183186597:-1 gene:gene-LSAT_2X105561 transcript:rna-gnl|WGS:NBSK|LSAT_2X105561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIGVPTRGPSPQPPTSFSLLTPSSFGPQFHRGLDHPGSVSMPEYETSTSTPQVRQSICGMQGVGIMGSLGSNSSLRPSGVPASYPQRPLIISKRSIHEIVAQIDPGERLDPEVDGILVGIADDFVESYGKSTLPNASPSSPDLLTSNLEYKQYQDGFRSEKKKALLFPTLSIPKQGHQWSGHVEA >cds-PLY67768.1 pep primary_assembly:Lsat_Salinas_v7:9:165521339:165522466:1 gene:gene-LSAT_9X103221 transcript:rna-gnl|WGS:NBSK|LSAT_9X103221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDFTEKELCVLCERGGKLLVCNDNGCPISVHEDCMGCSPRFNETGNFCCPYCVYRRLTTETCQLRDKAMLAKKALSSFLGENVAQNQSKSFSSPCESKHETSVSEETDRIPNRNGEFSGVCDGSTCRIMVVYDGDACKEQGMNAKRVPVEDEAGSSEKKTTAFNGKPPLLKGKRKRWSEEEEDMLKEGVQKFSATANKNFPWKKVLEFGRHVFHTSRTPSDLKDKWRNISK >cds-PLY70678.1 pep primary_assembly:Lsat_Salinas_v7:3:105431246:105438806:-1 gene:gene-LSAT_3X76420 transcript:rna-gnl|WGS:NBSK|LSAT_3X76420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVTCKCTWLGDSMEIYGVFEPLTPAKPVPARSGHNTQVASTSGRGTEKENINDEFLCTSSLGTTEYLEDNGNKGSSVLGVAGKDPISTTTDQNNTSCIQEETKSNESQYGIDNSIPSVPSPSETKDSRKRRNNGIDLNKKPNKRTRMKKHRPKVYDDSKPKKVQKPKTPKPKTPKPKTPKPVTPNRVHEKSVRSRKEKFKEPTSCMQKSTSYVDQIDSHHMSKLHEEAICMQNTMNYNIEDVEQASRVSRNALIPMIPCKRRLDLNYEGESKSHDKHLSFNFDNGDYDFFGRIVTSKRNTKRRSRFQKKSVELEVSEDLLGDNNNKQHCGLDFSVIENLKQTKKHVRRFVYVYKCQKKRNSKTSTLQVNQRKCRLDQCLQSSRKSGPNFPKLFKKQRKMRKKVTINPNWLLKFLDNNKKKKEPHKKLKKKVAKNNLLLLPIFSPMKKKRSILQTRRRENLVDFPISKAISLYDERFLLCQEENFLQMTECLPLQEVPIHQIDSFTSLPLHVQGVENTLAALDWLQAQEVPLLESQSLKKDRNRKSQSRKRDQSRKSQSLIPKQKDSKLYLREMTKIITKKLAKLDINAECKAIVVRNNDNRALVKTKPKKEKEKEKKILVKVDLDEESERVWKIVMENDGSQPFEEMDNDKKEWWERQRNIFRGRVDSFIAKMHLIQGNRRFSPWKGSVVDSVVGVYLTQNVTDHLSSSAFMCLAARFPVKSKNKEVVDHDEEVNTSQESVKSNTEIVEGFSNNNKMEENMSISYADEINMIPTKNKEVVDHFEVNISQESVKNNTEIYEGFFNNNKIDQNTITSYDNEINVTQNTQLSQTEASNLEDKSCIDENPKSFRNLLDLIEVDCLRNFYNIDESALDECKVVEESPLGEEKSKSKESEASNLEDKTFVDESLNTFRKVLETEEADYLNNFCSKSALNESHVQEKSTLEEEKSTLEEEMPTLEEEMPTLEQEKSTFEEKKSTFEEEPRIVAPISSLQSKIIMEQGVKVVNNHESNKKGKSTIEEEKSTIEEEARIVAPISSLQSEITMEQGVKVVNKKKGKKKSKKEQKEEVKIDWEKFREIYSQNGKKEINENFMDAVDWEAVYNTPVQEIANLIVERGMNNVLAIRIKDFLNRMVKDHGSIDLEWEFLLSIPGIGLKSVECVRLLTLHHNAFPVDTNVGRVATRLGWVPLQPLPEQVQIHLLNSYPMVDTIQKYLYPRLCTLDQKTLYELHYQLITFGKVFCTKLNPNCNACPMRAECRHYASAFASARLALPGPKGSSTVTTAIIPAPAPAPPPPTLPPVEPPPPLVVADMDMKDCDSNSYVENCEPIIEIPPSPEPYVEVKLPDIEDYFCESEDEIPTIRLSTQEFEETLKETINKKNNLSFPETDDVSNAIVALSREAASFRVRVRPIKHTGKLRTIHIVYELPDFHPILAGFDEREEEDPSRYLLAIWPEISSSKNIEEETVKGTILIPCRTANRGKFPLNGTYFQVNEVFADDETSDVPMDVPRHFLWNLSRRDLACGTSATSIFKALSTGDIQHIFWRGSICVRGFNRKTRQPRPLHRRFHVSTIVNSTGNKKTPKK >cds-PLY63573.1 pep primary_assembly:Lsat_Salinas_v7:9:150711770:150714730:-1 gene:gene-LSAT_0X5300 transcript:rna-gnl|WGS:NBSK|LSAT_0X5300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRLLAVSSIRRAANSLLPIKANNHTLQLTVAFRHSYRLYSQHKALNRGLSCYESKKSLWSCRNFQRFYFISTSSKVSHHAQMAWKRFSQIYTYNVPIQPMNRITCAISFALTRSQLIAPGILAFLVGELTWKRKTLAEAEGFYKNDLFTFTQDGHVYLTSFIFSFIELILLCLRAIYLSFLFTPCIAMAPFADSFGPKFRLTWLQCVHHTLEKAGPAFIKWGQWAASRPDLFPNDLCIKLTELQTNAPAHTYAHTKNTIEKAFGRRLFEIFENFEEDPVASGSIAQVHRATLKFRHPGQKIKPILVAVKVRHPGVSERIRRDFIILNLFAKISSFLPNLKWLRLEESIQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPRPLYPLVHPAILVETFEQGENILHYVDALDGNVGIKTGLAHIGTHALLKMLLVDNFIHADMHPGNILVRVTQGKVTDTGLFKSKPHVIFLDVGMTAELCKKDRLNLIEFFKAVALQDGRAAAEHTLKFSKHQNCPNPTAFIKDVEKSFMSWSCGEGELLNPAQCMQQLLEQVRRHRVNIDGNVCTVIVTTLVLESWQRKLDPEYDVMNTLHNILFKTDLVESLFYTIEGLMAP >cds-PLY91863.1 pep primary_assembly:Lsat_Salinas_v7:8:202753585:202755135:1 gene:gene-LSAT_8X129300 transcript:rna-gnl|WGS:NBSK|LSAT_8X129300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIQKHVALLLQFLLVALVFDIANGYPLKLGFYQKTCPRAEAIVKRTTANYIYRAPSLAAALLRMQFHDCFVRGCDGSVLINSTRKNQAEKDGIPNLSLRGFQVIDAAKTAVEAACPGVVSCADILSLVARDAIHQIKGPYWPVPLGRRDGRVSIASESFTLPAPFANITQLKAQFVSKGLSVKDLAVLSGGHTVGISHCSTIATRLYNFTGKGDTDPSLDPRYVPQLKRICFPTDKTTLLAMDPGSSKSFDEDYYSVVLKRRGLFQSDAALLNDKTTAAYVKLQAKSHGYTFFKDFQASMVKMGQIGVLTGKAGEIRRHCALIN >cds-PLY68944.1 pep primary_assembly:Lsat_Salinas_v7:9:195028500:195031026:-1 gene:gene-LSAT_9X120880 transcript:rna-gnl|WGS:NBSK|LSAT_9X120880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANSKGPSTTRNMVYNGRHSLLPPKCPFPTTPPSYSDYISNPAIGPKGIPKYRDINSHHQRASSESLLIEEQPSWLDELLNEPETPVQRGHRRSSSDSFTYLEAANSVNVEYGVKDEHKIRNLTSVPSWGSHDFDFYKDARSVPNNPLMNMNPKSRAWDSPSPQNGLQRSVSLGASNEIRGIVSSIREKQDGGESGPHDSSEDANHERKDSSETDTKRAKQQFAQRSRVRKLQYIAELERNVQALQADGSEVSAELEFLNQQSLILSMENKALKQRLENLAQEQLIKCLEHEVLERELGRLRALYHHQQQPQPPQSHHRRTASRERLDSQFANLSLKNTDSTSVHNNPVSSHQQPPRI >cds-PLY74288.1 pep primary_assembly:Lsat_Salinas_v7:3:128294506:128295327:1 gene:gene-LSAT_3X87620 transcript:rna-gnl|WGS:NBSK|LSAT_3X87620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVVPFSKNITFGVAGWKAMNASSEVDNTGKVQNGFEAALDLQRSQTIGQRLMDNIFLRSFTSNPKNPASYNVGQATSS >cds-PLY62910.1 pep primary_assembly:Lsat_Salinas_v7:4:328347216:328348345:-1 gene:gene-LSAT_4X164101 transcript:rna-gnl|WGS:NBSK|LSAT_4X164101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRIKGPWSPEEDEMLQQLVEKHGPRNWSLVSKTIPGRSGKSCRLRWCNQLSPQVEHRAFTPEEDETILRAHARFGNKWATIARLLSGRTDNAIKNHWNSTLKRKCSSMTNEEFNEFSIQQPSLKRSVSAGSGVPVSGFCFNPSSPSGSDVSDSSVHVYRPVARPAAPVNDPPTSLSLSLPGAESFEASSVSATPTVTHPPPVPKPAPMAISAAMQQLQVSRPPIEQPEKVVPPFSAEFLSVMQEMVRMEVRNYITGVELQQASNGGG >cds-PLY78489.1 pep primary_assembly:Lsat_Salinas_v7:7:175802673:175803074:-1 gene:gene-LSAT_7X104340 transcript:rna-gnl|WGS:NBSK|LSAT_7X104340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKPDRKQNQVIAKAWEGKTGKHSAKEDTDRRLLPTERAHNWQKLSLGWHPVQNPAKNRINNNNARPDDTKPPKDTNQITRTREWKRLKIIFRRTIVPETPSHMNANIRELVSTGKAHSKKDHEDEELIPMT >cds-PLY85953.1 pep primary_assembly:Lsat_Salinas_v7:5:108279543:108281242:1 gene:gene-LSAT_5X45501 transcript:rna-gnl|WGS:NBSK|LSAT_5X45501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKRVNYACRSVISLDPYLVVNEIGVPPYFSLRLTYPKRVTPWNVVKLRDSIINGSDIHPGATHYADKVSTVRLPQSKKMCFMVLNISFNSYNADFDGDEMNVHLPQDGGHDYAMVEQVGISNHKREMNFVIGVSEIGNTFSLSFMQWEPCHVVVATPLLIAFELLLCIYLENSHGASLAVVADAVRKGAAA >cds-PLY63685.1 pep primary_assembly:Lsat_Salinas_v7:9:201662999:201669947:-1 gene:gene-LSAT_9X124401 transcript:rna-gnl|WGS:NBSK|LSAT_9X124401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSPINNSKGDEVCTTSDTNNVFFDVYGPQAKAGVEFKTPEANSTLNLEDVQGLITWVLAEGFMPSWVFIKNKPLIPKVVMLYVPGLDAAIYLSQSKILHSLKECCGIPRAVLAPSCVSDGMQTIDALLTCKVKRKREADTFTKKSRPISEQGTWPIRDDLAFSELMKNIPFPISYYTLTTKELEDNGYIHDQSDFLSTLPATSGKPTHEIVGVDCEMCITNEGFELTRVTLIDFKGQVLLDKLVKPTNAITDYNTRYSGITSEMLNGVTTTLKDIQEEFLQIVNRETILVGHSLENDLLALKICHNLVIDTAVLYKHSRGGTYKIALRVLTRKFLEREIQGSGNGHDSIEDAKAAMDLAFLKIKNGPDFGRPPSFTRKKFLTVLGDSGKASSFIDNISIVKRYASESSHSIPVTCDDEALSKAIKEVKNEKVHFVWAQFTELSSYYKKQADDDVALNTKVAEMIALLTCSNNKSKARKSIKYTVTPDLKQVLNQLNSKIKNIYSNLPNNAMLIVCSGHGDSAIVRRLRKMLAEKADINMPREHLVKVLEELQAQAEVGLCFVGVKH >cds-PLY95219.1 pep primary_assembly:Lsat_Salinas_v7:1:212336811:212337351:1 gene:gene-LSAT_1X129340 transcript:rna-gnl|WGS:NBSK|LSAT_1X129340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTLTRFLIALAIFMTLATTLSSTPTEEKWFDINDNEADSLVSSTPLGVGGVLDDTRGLKCNRNPRLCLAKGSPGPDCCNKKCVDVITDKQNCGLCGRKCKYMEICCKGSCVNPMVEKHHCGGCNNVCKRGDFCLHGMCSYA >cds-PLY98193.1 pep primary_assembly:Lsat_Salinas_v7:2:83621456:83623256:1 gene:gene-LSAT_2X36340 transcript:rna-gnl|WGS:NBSK|LSAT_2X36340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSQLNSSVFLFVLILSFSVSWAALSSILDVTPVSQDFLGCLQSNSNNVTSISQLTFTYGNASFLPTWQVHVQNTRFLKPSTPKPSVIVTPVDETLVQTTLHCAKKHGYELRIRSGGHDYEGLSYTADVPFVMLDFTNMRSIDVDVANRTAWVQAGAALGALYYAISQKTDTLYFPAGVCPTVGVGGYMGGGGYGNLLRKYGTAADNVIDVRFMDVKGNILDRKSMGEDLFWAIRGGGASSFGIVLAWKLRLVPVPEKVTVFILNKTLEEGATQIFHKYQYVAPTIDRNLHIRTQVFAEYIGNTTKKTIRIMFEGIYQGTSDTLLPLLDENFPELGVTREICEEIRMVQSTLVFWGLPSSTPTEILTNRSAIAKLNNKSKSDYVRTPIPINGLKKIWRKLMENDQSALLMINPFGGRIADYSETAIPYPHRAGVLLQMLKTVNFNGQSSDTTPTSLRRMAWLRSLDELLTPYVSKNPREAYSNYNDLDFGVGSATYEEASLWGERYWKRDNFKKLIQIKAKVDPLNFFRRPQSIPVFSTPLSDM >cds-PLY69986.1 pep primary_assembly:Lsat_Salinas_v7:8:63401360:63404513:1 gene:gene-LSAT_8X45621 transcript:rna-gnl|WGS:NBSK|LSAT_8X45621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TIFY7 [Source:Projected from Arabidopsis thaliana (AT1G70700) UniProtKB/TrEMBL;Acc:A0A178WMP7] MERDFMGLNSKDSVVVVKEEAGEGCKESVFSMNSAVHWPLSDALDASKNRQSGEIQKSFGVSRQGGTHFSMAAYPMQQNAVPISMSNPFFRTQFGGAPLKQHGVSVIPPNGSFLAGTTEPWYSSKTSCAPAQLTIFYGGMVNVYDDISPEKAQAIMFLAGNGASVTTPQARVPTQVATPSPINVQPCSAISSPMSVSSHPVGQSSGGPTNKDEVGKPVGGSVPPASKVDTPRVINSLEQVMQSAVPQARKASLARFLEKRKERVMASSPYSINKSSSDYITNPGPNAIVATGEH >cds-PLY95623.1 pep primary_assembly:Lsat_Salinas_v7:4:124540759:124544125:1 gene:gene-LSAT_4X78320 transcript:rna-gnl|WGS:NBSK|LSAT_4X78320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQPPVTNHTAGVNTKPQLSSVTTVAQEESFKAPSRPHPPKIVVLADLNVDPPTDTEALDSFPRLNNEENNQEKMSLLGKDSETVELEGKQSKKLVKSRSRSGKMEFPMDCGADAEGDHNGPGVPSSREEKVSSLKTGLVHVSRKMPKNAHVHFILGLMYQRMGQPQKAVSAYEKAEEILLRGDEDIDRPDLLSLVQTHHAQCILLGSSEDYGSGKELEGKELEEILFKLNESMKSDVRQASVWNTLGVLLLKAGHLQNAISVLSSLLVVAPENLDCIGNLGMAHLQNGNLELSAKCFQDLIFKDQNHPCVFINYAALLLCKYGSIVAGAGANGDDGGCEDRVNGVNVAKECLLAAAKADPKAAHVWTNLANAYFMAGDHRNSGKCLEKAAKLESNCLATRYAVAVHRIREAERSQNPSEQLSWAGNEMASILKEGPGESVGVELPIAWAGLAMVHKAQHEIAAGFKIEQNELMEVQERALYSLKQAISEDPEDPVQWHQLGLHSLCTQDFKTSQTYLKAAVARDMESSYAWSNLGICLQLSDTSSSQAEQVYKRALSLAKAQQAHTIFCNLGNFYRQQKQYECAKAMFTKSLELQPGYAPAYNNLGLVFVAERRWEEAKFCFDKALQADPLLDAAKSNMIKAMAMCRVCAPLLS >cds-PLY63862.1 pep primary_assembly:Lsat_Salinas_v7:1:124930498:124932867:-1 gene:gene-LSAT_1X93381 transcript:rna-gnl|WGS:NBSK|LSAT_1X93381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQIKALLENVGKSFCPNYVDWFGFEAAGIPPRSADKSVVSKFLQTNPSDHTTFKLKEMVRLMNEKRFPAAFKCYYNFHKINSISSDNLHYKMVIHVHSDSTFKRYQKEMRFKPDLWPLYRGFFVEINQFKASKEKSAEISKNTPGIGKNYKDGDETSTKNSLANDDANLMFKLKFLTYKAYFIPSMLCIKAKPRSISYAVVAAHADQTSRRKLAPFQVPLLLGIGEEDTALTKAIESGDTDLVYLVLFHIWQKRLALELFGMIQARPIAHDLFIRDLRYHILAWLKALEETRLKKK >cds-PLY82299.1 pep primary_assembly:Lsat_Salinas_v7:1:68402336:68402755:1 gene:gene-LSAT_1X60200 transcript:rna-gnl|WGS:NBSK|LSAT_1X60200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDDPLTVGPERTSKIVGRAQGIYASADLNQVGLLMVMNYVFIEGKYNGSTLSILGRNSVFLPVRELPIVGGSGLFRFARGYALAKTHSFNISNGDATVEYNVYVQHY >cds-PLY83633.1 pep primary_assembly:Lsat_Salinas_v7:4:43233847:43234575:1 gene:gene-LSAT_4X29061 transcript:rna-gnl|WGS:NBSK|LSAT_4X29061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEETEGGLSFDFEEPTASLAAIHQSADRNSNNDNNVSSASAVNVNSASGDNPASNFPGRRSYRQTVCRHWLRGLCMKGEACGFLHQYDKSRMPICRFFRLYGECRQQDCVYKHTTEDIKECNMYKLGFCPNGPDCRYRHAKLPGPPPPVQDVLQKVHQLNSSHGNPNRFFQNRNSNHSQQSEKSQFPQGVNNSVNQFIIPTSTDSSTFSQTA >cds-PLY68149.1 pep primary_assembly:Lsat_Salinas_v7:7:71204596:71206255:1 gene:gene-LSAT_7X51000 transcript:rna-gnl|WGS:NBSK|LSAT_7X51000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQLEEELFPSTPGRIKSDRMHYNTMNRTFHRCFASTSTMFLWALFLIALTASYISFQSFITSGSRYLHHTSHTSIGDLHWEKQIRSSAQIRRQNGLTVLVTGAAGFVGTHVSLALKKRGDGVVGIDNFNDYYDPSLKKSRRSMLESYHIFIVKGDINDQKLLAKLFEIVPFTHVMHLAAQAGVRYAMENPNSYVHSNIAGLVTLLEQCKSADRQPAIVWASSSSVYGLNEKVPFSESDQTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTKNILQGKPITVYRGKDRVDLARDFTYIDDIVKGCVASLDTAGKSTGSGGKKRGPAPYRIYNLGNTSPVTVPALVSILEKNLKMKAKKNMVEMPGNGDVPFTHANISLAQRELGYKPTTDLSTGLRKFVKWYLTYYGYNQGKGNSVNL >cds-PLY73835.1 pep primary_assembly:Lsat_Salinas_v7:7:67605684:67609540:1 gene:gene-LSAT_7X49061 transcript:rna-gnl|WGS:NBSK|LSAT_7X49061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSSNPLPLPSPPYASEQIQDHNYLYASIANVSNFVSVKLSSERNYHIWKTQMLCLMKSHNMVGLVDDSIVGPRASTNEIMDQYDTLLKGWIFGSVSQNVLGAVVDLISAKDVWDKLKSFYDTTQQDKTPTKLEEKMKTEDIDHRVATESKPNVKDTITIDVETNDSDKETKTEGLSKEELEELNKAVVKGYWHRAEPILKKNKDAVRVAIKNDGSTIIHLAVGIGDTYFVKRLLSYVSDEDVLEKRSSDGSTPLHIAAIVGNRYAARLLLEKNKELLRIKDNKGDEALHKAYEHMHLDTIGYLFKAMDDGGKTKSASFHLGDTAHPDPGVEVGVDLLVNAIFAKEYNLASELIENFPKFAVINDGVLMAMAKTFPSGLDYGETLIYPSLSNLWQTTRYAAVMVGSAVLAIPMMIYESIWGDPSEDFILEVLIIVTLAIPTFFYYSIYLLLLMVCFLFLLPYFALWKAASKLVAPIKHIEKKKKECEEGEKVLRLVCDEIDKLGYSGTHHPYYTRPILEAACQNTYKVVHEILSRSPEAIQCTNKSGYDIIQLAVIHRSVEIYNLIYDIGERKNLYRTIVDSSKNNILHLAGKLAPSYELNRRTGAALQLQRELQWQEEVKKLVFPTYITQENIFKETPDMVFTREHENLLKEGEKWMKTTAESCSITAALITTIVFAAAITVPGGTHQETGTPLFRSDIAFTIFAISDAISLFTSSTALLVFLSILTARFSEKDFLVSLPRRLVIGLCALLLSTTAMMVAFSATLFLVFCDRKPWMLAPICALALIPISSFVTLQFPLIVDLFWSTHVRIFGMKKKSGFKRFDPDNNFKIEFW >cds-PLY69286.1 pep primary_assembly:Lsat_Salinas_v7:7:131085899:131087387:1 gene:gene-LSAT_7X77960 transcript:rna-gnl|WGS:NBSK|LSAT_7X77960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKGGGSGRGEGGAKELAAQISKTLKEGEKLLAPTRRPDGTLRKPIRIRAGFVPQDEVAVYKSKGSKEETVPPGYDPEQDVVTKPKSKSAKRNERKKEKRLQGKNEASSTGDEEQALESVESIASHINEITISGNPCVPTTTPPSTSMESSATTEHVPDIDKRIRALKKKIRLMEAQQQKAGHDHDLKQEQVEKAAKLEDWRRELKLLQETSQGSL >cds-PLY99350.1 pep primary_assembly:Lsat_Salinas_v7:1:81111862:81114514:1 gene:gene-LSAT_1X67481 transcript:rna-gnl|WGS:NBSK|LSAT_1X67481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLKIPSPEENELFNAKKNESTMQLSSPNHEAATKLQKVYKSFRTRRKLADCAVLIEQNWWKVLDFAELKRSSISFFDIDKHETATSRWARARTRAAKVGKGLSQNSKAQKLALQHWLEAIDPRHRYGHNLHFYYLKWFQSQSKEPFFYWLDIGEGKEINLVEKCPRSKLQQQCIKYLGPMERKAYEVMIESGKFFYKQTGEFIDTTGETKGAKWIFVLSTNRILYVGIKKKGSFQHSSFLSGGATLAAGRIVAEKGVLKAVWPHSGHYHPTKENFQDFISFLHENDVDTSHVKMDSIDDDDKEYLGKQSSIIAHIATHSSEDEDHTFEKTVEDQDMPLVSTKKAKFIGGFTLNALEIPNNNDLYVKLKTENRSFKESKSVLDGYSDDEHEQEMEESIIQRINSHKETKSFQLGRQLSCKWSTGVGPRIGCLRDYPSKLQSHALEQANLSPKSLRSFDRRNRTQSSPLTFKSY >cds-PLY62732.1 pep primary_assembly:Lsat_Salinas_v7:8:48119350:48121927:1 gene:gene-LSAT_8X37041 transcript:rna-gnl|WGS:NBSK|LSAT_8X37041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHMTIAMMTMMLWIRVSVLMTVDAAFVHNHQMQAFHELGYDQHVLSPVISRKLMIQEMVEGNGDQDLLFDNDQKEAPLDGSQKRKEETTENGANGFDPTGFTTMDYSHVRRRRPIHNNSSPKPTNSP >cds-PLY63308.1 pep primary_assembly:Lsat_Salinas_v7:3:91569513:91569740:1 gene:gene-LSAT_3X68400 transcript:rna-gnl|WGS:NBSK|LSAT_3X68400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSAFTDHWQPKESGSRKSGPQRSQMFRSGNQESSKHISSFYVTNFPDYVINSDLWRMCNRLGKVVDVFIANKKY >cds-PLY80859.1 pep primary_assembly:Lsat_Salinas_v7:4:325359344:325361191:-1 gene:gene-LSAT_4X161921 transcript:rna-gnl|WGS:NBSK|LSAT_4X161921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCRSSEYYTLLNLSIDIMILKGSLAFMFEVPHLNEESLQEYDELELCYMETGVVYRCHVFNDFLPHVIFFSLIPNIVNNAGKQKEFRGIDHGDDQAVTLNLNNKLSQFLDDDSSWSLNLDNTFFHVKLRLFR >cds-PLY72089.1 pep primary_assembly:Lsat_Salinas_v7:9:196690821:196691898:1 gene:gene-LSAT_9X121460 transcript:rna-gnl|WGS:NBSK|LSAT_9X121460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYLNSSVSSAIAGQPNGESPVSGGGLSQDSKFSYGFASSPGKRSSMEDFYEARIDGVDGETVGLFGVFDGHGGARAAEYVKQHLFTNLIKHPKFISDTKAAIAEAYSHTDTEFLKSENNQNLSRAFGDKLLKQYVVADPEIQEEKVDNSLEFLILASDGLWDVVTNDEAVAMVKPIESPEEAANRLMMEASQRGSADNITIVVVRFLDNNNNNHGGCSTSERT >cds-PLY69815.1 pep primary_assembly:Lsat_Salinas_v7:6:3224510:3229217:1 gene:gene-LSAT_6X3740 transcript:rna-gnl|WGS:NBSK|LSAT_6X3740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLGKNLWGEELVILAEASMEPTVIYVKQVLDIISKASNKGIKGIAHIPGGGFIDTICRVFGIGLGALVYNDSCSVPPVFKWIQKAGGIEDGEMKRTFNMGIRMVLVVSKEVSERVVKEEGEMVYRVGEVFSDSPRDSVQFIECSPSSCPRALPGEVRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAYTQVEADVKVEEPGKDNVHNNTFYTQETLNHKQCGIVIRYLLAIGSVDESE >cds-PLY81715.1 pep primary_assembly:Lsat_Salinas_v7:3:30135145:30136438:1 gene:gene-LSAT_3X23261 transcript:rna-gnl|WGS:NBSK|LSAT_3X23261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCLELYEDAIHHINHSTTYISPTDVEKWLSVAIVNHQACQNGFKDLKKNLLQNFSKSLVDLLADNKPSTSIAYFSKAIETNLVVAQDGSGDFKTITRALKASQHRRTGTDRFVIHVKSEIWGSGFVAIGITFENTAGPRKQQVIALLSASDLSIFYKCSFKGYQDTLCLLKYRQFYRECDIYGTIDFIFGDAAAVLQNCNIYVRNPLPGQQNTITAQGRTDARSTTGFVMHNSHFTAAPDLILAQESGVGSIRTFLGRPWKDYSRVVFIKCYLDSLIDSLGWMPFQGNSDSVFDKVYYAEYMNTGNGANTGGRVRWPGYHVLSTASEVEQFSVQSFFDGELWIPSTGVPFDSSL >cds-PLY71410.1 pep primary_assembly:Lsat_Salinas_v7:4:238012481:238012738:-1 gene:gene-LSAT_4X127701 transcript:rna-gnl|WGS:NBSK|LSAT_4X127701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGISGCFKWKQIPVMEYINSSKPTYSYLQATKALEENEGGYTKPIRREKEKLMGFFQGSRLRETEKKMGFVVPWQQRKHRRRR >cds-PLY81777.1 pep primary_assembly:Lsat_Salinas_v7:3:30645587:30646307:-1 gene:gene-LSAT_3X22660 transcript:rna-gnl|WGS:NBSK|LSAT_3X22660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELTLDQLKQYDGTDPSNPIYIGVKGRIFDVSTAKSFYGPGGSYAMFAGKDASRALAKMSKNDEDVIGSLHGLTEKELGILDDWEKKFEAKYPIVGSLAA >cds-PLY85121.1 pep primary_assembly:Lsat_Salinas_v7:8:109966812:109967109:1 gene:gene-LSAT_8X75800 transcript:rna-gnl|WGS:NBSK|LSAT_8X75800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTWKIQPLEIIIIHYGEQVIVLDRRDLELHYMSTNDLSGWKNHVPSIIFLRI >cds-PLY96848.1 pep primary_assembly:Lsat_Salinas_v7:2:109144085:109144701:-1 gene:gene-LSAT_2X48680 transcript:rna-gnl|WGS:NBSK|LSAT_2X48680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMPRIIQARQILKRSLSNGTRTTDTDLPKGYFAVYVGEQEKKRFVIPVSLLSQPSFQDLLRETEEEFGYDHPMGGLTIRCSEQTFYDLASGLGAF >cds-PLY67514.1 pep primary_assembly:Lsat_Salinas_v7:6:69118711:69120013:1 gene:gene-LSAT_6X50480 transcript:rna-gnl|WGS:NBSK|LSAT_6X50480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHGPTLKSHFVQRVVDLKDSVEQKEILVAQAIIGLAMDKRELVWESKEGFGMHLEYARTIATKVNIHSNVIDCWSVLLNKLEESKAESSCSILFFTASTLRESMYDEKVNEDVRYKEFEIMVSSSIKYLTTDSELKNMDLVFFRIVDGNYYLICFNLKSFSILIIDQRRLVGTVESVYGNIPRVLCELEKEYAKFGNMDKAKRKKIVQGNLKVVFDEAIG >cds-PLY64274.1 pep primary_assembly:Lsat_Salinas_v7:7:3826765:3829960:-1 gene:gene-LSAT_7X2581 transcript:rna-gnl|WGS:NBSK|LSAT_7X2581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTISPPPPPITSPTHYYLTNIGLGYAIAIALGFLVLFATLLLASYICFRHRYRYQYQHRHQNQNPSDNGVILPSIIFVDENNNDNDDEEQNAVVGLDQAVINSYPKFPFSKGIDSLCAICLCEYREGEMLRMLPDCKHCFHLTCVDAWLKLNATCPVCRSSPLPTPLSTPLAEVVPLSQYSDGRRRSPFIFLDTKCMYDSNPHVTYNPEIKILETVIKKIEQEIIDIKVSHKNSSHALRYGSFLADILGLIESVKFVENEVVGLPERDVNQSNSVQPVHVSQDFFLTEEIRKYVRMKPNRLGKQNFMGANGTFTSIGHACFSMKQELEEYMNYDVGDICNDDWKLAQRLMVHGCDPLPRRRCFSRAPRFYTEPYPIPESIWRLPDDKNVRWSHYRCKGFACLAASKTGKGFFKCADCFNLTHHERPRWVGPVYLGPTNSTPDFLLTEILDLKPGEIRIGLDFSVGTGTFAARMRDHNVTIVSATINLGAPFSEMIALRGLVPIYMTINQRLPFFDNTLDLIHTTRFLDGWIDFVLLDFVLYDWDRVLRPGGLLWIDSFFCLKEDLEDYLEGFKMLRYKRHKWVVVPKVDKDDDREVFFSAVLEKPPRPF >cds-PLY77720.1 pep primary_assembly:Lsat_Salinas_v7:9:19252881:19255766:1 gene:gene-LSAT_9X14340 transcript:rna-gnl|WGS:NBSK|LSAT_9X14340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPLCKPPHSILGYILSTLDSKFLTLKQLQQIHSLILTNGHLASTPLITTFLHSCYHSRNPSYALQFVYNLPASFSKPSLWGSMIKTSLESNNLRDFFTCYDVMMRCRNYDANIPSVGMFASIFQYGAKVGDAQLGRLFHCVVVKWGFDSDLVLQTGLLDFYAKIGDLRSAKKVFEEMSQRDVVACNVMISVLGKHGLIKDARVLFDSMLEKDSYSWNSMVSCYFKIGDIDSARLLFDKNPVKNTISWNVLIDGYSKSGYLNNADNFEDFVTLYNKMRSSDVCPSQTILSLILHCCASLCASQLGKTVHGEIFKWNFNHDIVLQTGLLDFYSKIGDLSSAKKVFDEMSHRDIVANNAMISALSKHGFVKDAQKLFNSMHEKNSVTWNSMITCYSKIGDIESARFTFDSNPIKDIVSWNAMIDGYCKSGHLEHAEELFHKTEVKNPVTWNTMIAGFVQGKEFLKALRFFDHMQDKRVRPTEVTMVSLLTACAHLGALDMGEWIDGYIKKNKLKIDVILGNALIDMYSKCGSISDAVDVFHKLQSKNIYCWNSIIVGLAMHGYGNEAIDYFLSMKKEGVKPDGVTFIGLLCGCSHSGLISEGKTYFSTMETDYGIEPGIEHFGCMVDLLGRSGLLLEALELITKMPLKPNAVVWGSLLRSCHIHKDTELGEHVTQRLLELDPYDSGNYVFLSNLYASLSRWKDVDRCRKLMMENGVQKVPGWSSIEVENVVYEFVAGDSLHPEFEEINGVLVEIGRRLKEGGYEADTGGVLHDIDEEEKEMSVGYHSERIAVAFGLLRIPFGKVIRVVKNLRTCDDCHNAMKIISKVYEREIVMRDRNRFHHFKNGVCSCKDYW >cds-PLY89322.1 pep primary_assembly:Lsat_Salinas_v7:2:49728871:49729613:1 gene:gene-LSAT_2X21940 transcript:rna-gnl|WGS:NBSK|LSAT_2X21940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPISLGIKLASLASIKELIDLEKWLSSNLSTYRDTFFEECLRFLKEVEFGVQESSNRLHSPGNIWTVYAETTSVFFKVLFKNLNVDLRDVIRSSILMEKVREVEGNPDFSNKDIGSTQQY >cds-PLY87918.1 pep primary_assembly:Lsat_Salinas_v7:4:33176239:33177642:-1 gene:gene-LSAT_4X21781 transcript:rna-gnl|WGS:NBSK|LSAT_4X21781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRRYFFEFKSSTLLHRVRIASLFFISFVFSYVLLHSALDSCQIRLPEYLVSSTDMLSSSLSFNSLSERADSSLETVLKEASMNDRTVILTTLNEAWANPENSILDLFLASFRFSQETNRLLNHLVIIALDQNAFATCKRVHTHCFSLSSEGVDFSQEAYFMTPDYLKMMWRRVDFLRSVLEMGYNFLFTDADVMWFRDPFPHFYSDADFQIACDHYSGNSTDVETNIPNGGFSFVRSNIRSIEFYKYWYSSRVIYPDMHDQDVLNRIKFDSILTDINLKLRFLDTTYFGGFCEPSKDLNKVCTMHANCCVGLENKVHDLRIMLQDWNNFMALPHSMRSETNFTWRDPKNCR >cds-PLY68536.1 pep primary_assembly:Lsat_Salinas_v7:4:21029413:21030800:1 gene:gene-LSAT_4X14581 transcript:rna-gnl|WGS:NBSK|LSAT_4X14581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSKQGSPSYYDVLGISMDSSDDQIRRAYRKLAMQWHPDKWTKNPSLLGKAKRKFQQIQEAYSVLSNQKKRSMYDAGFYDPQDEEDEGFADFLQEMSSLMENVKKEEKSYSFGELQSMFKEMEQSFNHLDSFGFDYSVEYKEPVWSHEVLTSDDDSRSSKRAKADINPVPKFHQSGISIQETQVCIE >cds-PLY61673.1 pep primary_assembly:Lsat_Salinas_v7:9:158510012:158515542:-1 gene:gene-LSAT_9X99720 transcript:rna-gnl|WGS:NBSK|LSAT_9X99720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHSVKRISDSPKSVTFDVGNTNKPDFRELNLGSPVSPLRPGPAASSSSSSSGSVSGRNENRIHFRSASRRADSGGRIKNHSGELSVNSSPKASAGGSGSSASKKSGHTRSNSCGGTSGHPPINSGGSSATSPAGNVLPTGNICPTGKILKTGMMTSRSSKSDVLSLGTSNYGHGSILRGGSTTKPAGIEPPVSVISNSNTSRRLSMDPEELRKVGNEHYKRGNIKEALSYYDRAIAISPEKPAYRCNRGAALMGLNRLNEAAKEYEEALKIDSGYMRAHRRLGSLLISLGQVENARRHLYFPGFQADPNELKKLQAVEKHLNKCTNYRKVCDWGNVLNECDAAIDSGADSCLQLFACKAEALLKVHRLYDADVSMSYVATFEASCSLSCPQINFFGMHPEAYLLFVRAQIDMAMGRFENAVTSIEKSGQIDPRNVEIALLLQNFRSVSRARARGNDLFKSERFTEACSAYGEGLRFDPLNPVLYCNRAACWFKLGQMERSLDDCNQALLIHQNYTKALLRRAATYSKLDQWDESVRDYEVLRRELPNNNDVAESLFHAQIALKKSRGEEVYNMKFGGEVELITSLEQFKSAVASTGTSIVLFKSSSDIRCKQISTFVDTLCSRYPSIIFLKVDVEETPLIAKAENVSIVPTIKIYKKGNRAKEMVCPSKEAVESSVKHYSS >cds-PLY99856.1 pep primary_assembly:Lsat_Salinas_v7:4:47409019:47410165:1 gene:gene-LSAT_4X32640 transcript:rna-gnl|WGS:NBSK|LSAT_4X32640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQTQMLNQTGFREEEEMINEEEEEKYHHHTYFDYNDISTHGVEGEFRPTPTHVEQSSDVGVDYTKEMTPIGRPQRKRGVPWFQRTPFTVVQNSYFKFEHIAIWGALFMERRSANARWTIFSQELTLQNGKTYFLRNMENGVGGHPKWKDVDKVLFPINVPHAHWFLAVLHLKIWKVHIYDSARCMNYFTKYLAGGEFKSLGDSIIEELDAIDY >cds-PLY73581.1 pep primary_assembly:Lsat_Salinas_v7:6:127844529:127844849:1 gene:gene-LSAT_6X77620 transcript:rna-gnl|WGS:NBSK|LSAT_6X77620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSILRFFFVVGDNGSSGDNNVTVEWVGGRRRATLGYFIGEQGANVMMASSDAIGVDRSRQGGEVSNDVGGQWNNDVRGPPLHDDLELSRRGEGRRSTTVSLRGLR >cds-PLY86297.1 pep primary_assembly:Lsat_Salinas_v7:8:55748076:55750186:1 gene:gene-LSAT_8X40961 transcript:rna-gnl|WGS:NBSK|LSAT_8X40961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKGYIGNEMYLDVLILFRRMININVKPNYFTIPIVLKSCAKLSALKDGERLHCFVVKVGFKSNPFVGTTLIDMYCSGGIISSAYKVFNEISLRNVVTWTSMIRGYISSGDINSARQLFNLAPERDIVMWNTMVSGYIESCNMNAARELFDVIPNRDLMSWNTLLNGYANNGDMKGCKNLFDEMPERNFFSWNALIGGYAHNSQFMEVLDTFKTMLNESNVQPNDATLAIVLSACSKLGALELGKWVHVYAQNNGYKDNTYIANSLIDMYAKCGVITNAIDVFRSMSKKDVISWNSVINALAMHGHGSNALKIFHEMKNSKQKPDGITFIGVICACSHMGLVKDGFNHFNSMINDYLIVPQMEHYGCMVDLLARAGLIDEAMEFITKMPLKPDNVIWTNLLSASRIYKKIDVAELCLERLIEIEPDNPSNYVMLSNIYGDVKRWDDLAKSKVAMRNTGVKKLPGCSLIEVDDGVVEFYAFDERHLKREEIYSALRGLMRLSKVEQVMEYEVGEN >cds-PLY90670.1 pep primary_assembly:Lsat_Salinas_v7:6:51648306:51649834:1 gene:gene-LSAT_6X37720 transcript:rna-gnl|WGS:NBSK|LSAT_6X37720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDFFSYGNEVLQKAIELATNAYRKQSEDDEQMTRKKQKVDCFDFLSDDILIEILKRLPDDFLRYKAKHICRRFFNVITNGLLLDHTSFIFQEFGKPTVRHVDIREEQQELELKEKNLDLPKKGWVMSWCNEFLLITNQERKEYVFNLITKEGSYLPSCTYCRGRYTYECGVSLSFDGFKGVYKVLHMFIGPPMQCHILILKRNILSRFSSKWKKIEIPSCMDEGWGSWGYPVSVQARYIHWDVHGDGGYLVSMDMVKEKMIRMSLPIPEFSFRYTVFEMGGFLTLIHQVSFEQTDMWILKDFEKMKWEKLELTVPNCFFGEYDDFLITPMSSLISKRYMMCIKTAIRNCGVCSYDLKHGVVKKLDIDFGQNDRCVVYSSSPSFI >cds-PLY76336.1 pep primary_assembly:Lsat_Salinas_v7:5:224873533:224884453:1 gene:gene-LSAT_5X109460 transcript:rna-gnl|WGS:NBSK|LSAT_5X109460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of separase [Source:Projected from Arabidopsis thaliana (AT4G22970) TAIR;Acc:AT4G22970] MEDSATITESSLLSKLQSSSDLSSIHQLFSLYLHPFSAIINKPNKQSKASKTNAETSTIIRSLAKKFLSFLSKSLSLIPKRLNETPKIDSCYASELFEAYKLCLRCLESVASELSCKPHSVQIQRVRLIHCYENWGRYEDAQNEGFSVLEFIGKLSDKGSVKLKREVIPELRNENCDKEVAMLILEVAVTLIKCVSNGRSKDKEDYPRLLSMVDEIQPWLRVVDVDAYEKLQKMLVTYLNKCTLFLVGELASFDGSLVHRFCVLTFSEFRKSSMNDQMEKFGHRICSSVFSQLDDKIMCRVEILTCVLDAMAHECKNGKDKSHVEFLELAEYCAIKCRNSNVDFCNAVATHFDKLATEFSQVNLSPVDLIMRLYAITLSMSDLTSYTNEGNNKISKSGKDILLKVEDQLQTLNTTDGLLTQMTYVPLYFTALKFLCGPLSELIISERKDILCGLEEVSFSIKLPNIQDAFHQFGLVFLTYRASEKERDVYEDNRRTVLAVAAASFTLSFATQKNVKESTKFLKHLISADWVKVNGLQYLFATLHNIGIVLYRSNRLKEATKSFKLCCKAAWNCVLHFCKTFTSSRDGFSSDLTEDTIVGFVTEACAKSAFLLDILYQCGSNKISKIFMDCLGSWSVGQSLFDQIPTPIALIKQWVKIQCKQIKDTEAEHMIPTIYSLMSSINISKEAFGILLEQELESYKEMKFLNPTLCKTMQMTITNILLEEIYSTKDNCLQKSRILIANARESRAHGVEGLNDCSKYLSEAISIMSDYKSKDDSGSACYVLAEAYCLHALCTQEADPNSKNFIQDVGNALKLWLSQEHFQSDEHAQNTLILLYHVADFLSLKGYMEDHSNIYETMIKIITWKNIPLNKWLTMLWQSRSLSHALCASPVNDAFIMTLSNHCNLSKSMEFWISCMKGSKSLEVGFQQSLSLISTLSSPDSCKRNHAIQPHITTDEVKQAASDLIDNVPLSTNSLFLAAHLYYDLGERMIAQGLMIEALCYAKEAHRLRTKLLQKSFMYSIEQQNDMVGVNDGDTIQKHKYVLKTFHMHPSVATSAWSSEKGSFDFEDCVVTPWNVLRVYLESTLQIGTLQEIVGNGSEAESLLLWGKNIATFQSLPIFIVGFSCVLGKLYGKQHHWHLAEKELESAKHMLADSCRLVSCLKCRLVLQVTINQQLGDLFRIRFKSTNKLLEGLSKAEAFYRSATDKLKVSEWKNCVSDCEESSARNTMFCDALSIGENQAEQNDESQINGKETIRPKVTRKSKKSAKPLPEKNTTSRITRSSKQRGEVTCVSGEGKCWHCLPSEVMKSKSLTNILQMKWECIRRRLLLRLLTGIGKCLGIRGEIQRAHEVFMESISVLVHRSTFHQPHFSVSIAFLAELIEKNVIGDVFAVEHASILYNICWFSLKSLCDKGTRHDGSDMSTIPITTIVSGLKSSFILCREVPVLFQKVSRLLAMLYTLSSSNNALSMLSSSSSVLSESQWASFFHQASLGTHLNHQLVSRIGKHKDQNTTTDIHGLLRVAPESILDLEGFVLKFYKGLPCTTIICISMLGDDYTTLLRELLPYNPSTHAWIILSRLNSDTIPIITLLPINSILTESSEGNEDSSSSFLSNKKSCNKSWHCPWGHTIVDNIAPLFKTILEENYISSSVYPLEDTKKNRSLWWGQRRKLDQLLGDLLRDLEDLWFGPWKVLLLGEFSDNKHIDSVHKKLMNDLKFESKVDVHESILKVIIGGAGPHVASQHEEWVSEMMMKKGCYVGGIKCEERIDTLSSSVSELILNAIREIEEEDREAVILVLDFDIQMLPWENLPILRNQEVYRMPSVASICYTFDRCCEYEEKSAFFPMIDPLDAYYLLNPGGDLPSTQAEFQHWFKDQNLEGTTGTSPTVDELSMALKKHDLFMYFGHGSGVQYIPGDEIQKLDGCAATLLMGCSSGSLSLNGSYSPKGAPLYYLFAGSPVIVANLWEVTDKDIDRFGKAVLDAWIKTRSSSSTDCAQCTQVSISDRLNNMNIVDDDDGDKRKGGKKKTSRKKSVNNNNSNSNCKHRPKIGSFMGQAREACTLPFLIGASPVCYGVPTGIRNKDL >cds-PLY70248.1 pep primary_assembly:Lsat_Salinas_v7:9:561916:562842:-1 gene:gene-LSAT_9X4960 transcript:rna-gnl|WGS:NBSK|LSAT_9X4960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRVNGRWKFRKESRQTIHAIDYVSEEGRFRDYDEKVVKSLRVSCWLNVVACGLKLNDHKNAIILCSKVLDVEFYNVKALYRRAQDYMETYDYELTEVDIKKALEADPQNREVKSIHNILKQLEPESNKRDATLHTNMFA >cds-PLY65721.1 pep primary_assembly:Lsat_Salinas_v7:5:273506333:273506554:-1 gene:gene-LSAT_5X142580 transcript:rna-gnl|WGS:NBSK|LSAT_5X142580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVSNLTLSDVKFTGREKREQNPNHSVDLFRCRLHFDSCGHRLSIFTAICLDLPSSFSCSSSVFLMPPESRFT >cds-PLY70856.1 pep primary_assembly:Lsat_Salinas_v7:8:116743077:116743403:1 gene:gene-LSAT_8X81481 transcript:rna-gnl|WGS:NBSK|LSAT_8X81481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGAKIVGSVAGAFVLAFACDYIIADKKIFGGTTPSTVSNKEWWEETDKKFQAWPRTAGPPVVMNPISRQNFIVKSRD >cds-PLY83322.1 pep primary_assembly:Lsat_Salinas_v7:1:63344184:63347046:1 gene:gene-LSAT_1X54021 transcript:rna-gnl|WGS:NBSK|LSAT_1X54021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 57 [Source:Projected from Arabidopsis thaliana (AT3G09720) UniProtKB/Swiss-Prot;Acc:Q84TG1] MTDKDSSFLFSGITFNRKRFSSDFARFKEKKEINDSEETLPENKSPEVEVEVAAKPAKKRKRKSTVSDPVEGFNVFKSSKTEPVLEENEESENASEGKKELYRQMERDAIFRKQHNIHTSGSNIPSPLHDFSELRSRYKCKPYILRNLAELGIKEPTPIQMQAIPLLLSGRECFACAPTGSGKTLAFVCPMLMKLKHASKDGVRAVILLPTRELASQTARECKKLAKGKKFYIRLMTKQLANTGEFSKLPCDILISTPLRLKSAVNKRKLDLSRVEYLVLDESDKLFDLGLLKPVDAIVKACSNPSIIRSLFSATLPDTVEELARTIMHDAVRVIIGRNEEGKLMALRQTFEESLNPPVLVFVQNKERAKDLYKELRFDDVRVDVIHSDLSQEQRENAIDNFRAGKTWVLIATDVIARGMDFKGVNCVVNYDFPDSSSAYIHRIGRSGRAGRSGEAVTFYTEADIRYLKNIANVMKASGCEVPEWILSLPKLKWKKHRPKREFLSTKPHDEEEEEE >cds-PLY99846.1 pep primary_assembly:Lsat_Salinas_v7:4:47169142:47170483:-1 gene:gene-LSAT_4X32481 transcript:rna-gnl|WGS:NBSK|LSAT_4X32481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFPECDYEHIIVNEAVDIVGPIDVLVCNQGVFVAQELENQEMKEIRDMININLIGTFNLVNAALPAMKSRTDRKPVSIAFMSSQAIIFPPDTDTPGLAEEYKRKPRLTSIIAALSGAMKADEVAQKAWSGIKSRSFFVPCNLEGFMLSVATVGLSPQRAYLTAFVEVISAGLMRVAGLCFQWSWYVIHM >cds-PLY92269.1 pep primary_assembly:Lsat_Salinas_v7:2:211251556:211252359:1 gene:gene-LSAT_2X132921 transcript:rna-gnl|WGS:NBSK|LSAT_2X132921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPFRLQNPNLSDTEDQQPGSQKEDMLMRFGVILNGKRLMGLIRLYTTIVGRY >cds-PLY86138.1 pep primary_assembly:Lsat_Salinas_v7:6:156928751:156930408:-1 gene:gene-LSAT_6X94921 transcript:rna-gnl|WGS:NBSK|LSAT_6X94921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >cds-PLY70561.1 pep primary_assembly:Lsat_Salinas_v7:1:88857193:88859550:-1 gene:gene-LSAT_1X75260 transcript:rna-gnl|WGS:NBSK|LSAT_1X75260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2B [Source:Projected from Arabidopsis thaliana (AT2G33385) UniProtKB/Swiss-Prot;Acc:F4IVU1] MAFFERASPALKHILLQLYSADKPFAVEHHLHEFGSLQYHIQSYASEPHHAYLSVSTPLLSTGSSILCELPSSTMETVTRICPEVVEIVDPPRIGYQLTLKLNFAKIPRKKAKIITEISSVHTVILSSQLRELLKNVNDQEITQGTYKPIKLVYHQWEPFYVVKQPEKLTAVFPIRIKEDSDVVIAKAFFQELVEVGSSGRFAKAPACYWSAIPPAELRGESMQDLSTNGGFVSFEIMARHVEGKKLEKTVWNLLNFNALVKYHVKCTKGFVQRRMRSRLDSLVEALQHTNMKEDEDEHIGKVKGRTRVKKVMRFMRCKVVMRRWNLKKQIKRIRSRIRIHGFGKFQRRWLKLPSFSSVMRYQKLEKS >cds-PLY76260.1 pep primary_assembly:Lsat_Salinas_v7:8:32820975:32822932:1 gene:gene-LSAT_8X26701 transcript:rna-gnl|WGS:NBSK|LSAT_8X26701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTKTAMEAFEKLEKVGEGTYGKVYRARDRATGKIVALKKTRLHEDDEGVPPTTLREISILRMLSRDPHVVKLMDVKQGVNKTGNTVLYLVFEYMETDLKKFIRSYRQTGENIPPQVVKSLMYQLCKGVAFCHAHGVLHRDLKPHNLLMDKKTLMLKIADLGLARAFTLPIKKYTHEILTLWYRAPEVLLGATHYSTAVDIWSVGCIFAELVMKTAIFAGDSELQQLLHIFRLLGTPNEEMWPGVSKLKDWHEYPQWKPKMISTSVTNLDEVGLDLLAKMFEYEPSKRISAKKAMEHPYFDDLDKTYL >cds-PLY89875.1 pep primary_assembly:Lsat_Salinas_v7:3:252277331:252282302:1 gene:gene-LSAT_3X139181 transcript:rna-gnl|WGS:NBSK|LSAT_3X139181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SPA1 [Source:Projected from Arabidopsis thaliana (AT2G46340) UniProtKB/TrEMBL;Acc:A0A178VSM9] MNFSNFLFRQSRKGKGFLCKSSEISNEPNVAEMTNNKGFMGRMSSNGSLKLSEMTLDFPQESAYKGMNLRKWLKLNSIQVNKAERLQIYRQVVQVVDMTHSQGNALQDLWLSNFSLLPSNEIIYFDSSINYEVINSSQSNRKHIQQERESYSVTIELEKKWYASPEELYGMESLSANIYNLGVLLFELLSSFASLEMHSAAMLDLQYRILPPRFISQNPQESAFCLWLLHPHPSSRPTTREILQSELLSGTKEFYSKNTYSPIIDKTEDSDFEILFNFLVLLKEQKEKHALELYKNIQLLETDIKSFHHVYYDSKNIFETRMKTNMSHLESAYFSKRSQLQLCNSTTASNDRNDLDLLGNHERCCDDFLKGVCKFIRYSKFEECGNLKIGNLLNSANVICSLSFDRDENYIAVAGVLKKIKIFEFVSLLNDSVDDVNYPVVELVNDSKLSCVCWNKYLKNYLVSADYDGVLQVWDAFIGQGLSHYIEHQRRAWCVDFSRVDPTQFASGSDDCSVKLWSLNDKKSTCTIRSAANVCCVQFSPCSSHLLAFGSADYQIHCYDLRHVKIPWCTLAGHEKAVSYVKFLDSDSVVSASTDNTVKLWDLKKTSLEGISTDACCMTYKGHTNEKNFAGLSVLDGYIACGSESNEVYAYHKSFPMPITSYKFGTTDSISGGNNGEFVSSVCWREKSNMIVAANSGGHIKVLKML >cds-PLY99680.1 pep primary_assembly:Lsat_Salinas_v7:9:55727756:55729260:-1 gene:gene-LSAT_0X9420 transcript:rna-gnl|WGS:NBSK|LSAT_0X9420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANSQSRGIASLGKRFVSQIRSSSARDSSLNLRRGVHVSVYDKNIDEQVRPTLVPEEAITRKDSEQYWAPHPKTGVFGPVDEGDSGGAAGSEAAGVDGSVLEEKAFFRPSENLDKPVHP >cds-PLY86072.1 pep primary_assembly:Lsat_Salinas_v7:7:139710766:139713219:1 gene:gene-LSAT_7X82981 transcript:rna-gnl|WGS:NBSK|LSAT_7X82981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLTGRVEEKRRWSEGIHLAVEAKEGLPIQADSVVVAQITYQSMFKLYPKLSGMTGTAKTEEKEFLKMFQMPVIEVPTNMANIRHDLPIQAFANARGKWEYVRAEVESMFRVGRPVLVGTTRYYYFNEIHSSLVILCVENSEYLSALLRASKIPHNVLNARPKYAAREAKTVAQAGRKYAITICTNMAGRGTYIILGGNPKMLAKEVIEDSILSHMSHDTPDVEVEDPKSQKVLSKMKIGPSSLALLAKTALMG >cds-PLY80400.1 pep primary_assembly:Lsat_Salinas_v7:3:80591242:80596926:1 gene:gene-LSAT_3X62800 transcript:rna-gnl|WGS:NBSK|LSAT_3X62800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIQIKKIDNATARQVTFSKRRRGLFKKAEELSVLCDADVAIVIFSSTGKLFQYSSSSMKEILERHSLHSKNLQKLDQPSLELQLVEDANYAKLSKEVAERTLQLRRLRGEELHLLSIEELHQLEKSLEAGLGRVVAKKGEVIMNEINRLQEKGTELMEENDRLKKEMMEISKVREQVHGDTENVIGEEGQSSESVTNISDSADPGQDYESSYTSLKLGFFLIIKHSKVRLGQSGKSMLSSSSSNIRRSYYGDTIGMWISWTRKNPSRRFFGCPNYMDEEKDCGYFRWIDPPLLNKWYIEKIYELGVVANDGVVLPFNNHVNEVEILVNDSNALEAANQIAMPVNAHIHANVLGF >cds-PLY72901.1 pep primary_assembly:Lsat_Salinas_v7:1:209302791:209306963:1 gene:gene-LSAT_1X126900 transcript:rna-gnl|WGS:NBSK|LSAT_1X126900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNQKRTKTVDRVDPVDPAAVSPESTPVYPFPDEVLEPVLSLINSHKDRSSVSLVCKDWYNAERWSRRHVFIGNCYSVSPEIVAGRFPQIRSVTLKGKPRFSDFNLVPEDWGADVHPWLSVLAKAYPFLEELRLKRMAVSDESLEFLATNFPEFKALSLLSCDGFSTDGLKAIATHCRNLTELDIQENGIDDLGGDWLSCFPETLTSLEVLNFASLNSEVDYNALEKLVTRCKSLRVLKVNRNISLDQLQRLLLRAPQLTELGTGTFMQDLVTRSVSELEGSFGNCKNLLTISGLWDTTTLFLPVIYPACAKLTFLNLSYATLRSVELAELLTHCKSLKRLWVLDTVGDSGLEAVGSCCPLLEELRVFPADPFDQEVAGVTESGFVSVSRGCPKLHYVLYFCHQMTNAAVATIARNCPGFTHFRLCIMNPGQPDYLTNEPMDEAFGAVVKTCPNLQRLAVSGRLTDLTFEYIGKYAKNLETLSVAFAGSSDLGLKYVLGGCPKLRKLEIRDCPFGNAALLSGLTKYESMRSLWMSACNLTMNGCRVLAKEMPRLNVEVMKDEDSEDSQAHKVYVYRTVAGPRRDAPPFVLTL >cds-PLY82614.1 pep primary_assembly:Lsat_Salinas_v7:8:175713369:175716292:-1 gene:gene-LSAT_8X114940 transcript:rna-gnl|WGS:NBSK|LSAT_8X114940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSSSFPTCHGCTSPSATSSYFPSLPTTYLRCRHGVTKNHLPFSSTSTSKPLLSISLPHPSLPFTTLKISSNHIRISFKTRASDSETQFDTLSSPTSSEIDCVGTGTDVECVFPSEGKGDYEITEPVTASLTDVVLEWALLVSPFFFWGTAMVAMKEVLPKTGPLFISSFRLIPSGFLLVAFAASRGRKMPSGLNAWLSIALFSLVDATCFQGFLAKGLEKTSAGLGSVIIDSQPLTVSILASFLFGETIGLVGIAGLILGVVGLALLEIPAISFNENNFSLWGSGEWWMLLAAQSMAVGTVMVRWVSKYSDPVMATGWHLVLGGIPLAVLSILAHDPAVSGGLNELTTNDVFALLYTSVFGSAISYGVYFYNATRGSLTKLSSLTFLTPMFASVFGFLYLGETFSPIQLTGAVVTVGAIYMVNYKNVVK >cds-PLY66227.1 pep primary_assembly:Lsat_Salinas_v7:1:175750275:175753518:-1 gene:gene-LSAT_1X116221 transcript:rna-gnl|WGS:NBSK|LSAT_1X116221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVEDRNATSQNTMSSSSPQTAVNCGENECVWVWNEIKAKARCDAESEPSLASPSNLTSLSLKRNTNITAKGLSMLSGFLNLSKLDIERCSGIHGGLVHLRGLHKLALLNMERCPITATCLDSLSDLVALLLLNVSRSNITDDGCDKFSCLVHLKCLELSDTEVGNNGLRHLFGLVNLESLNLSFTLITAGGLRHLVVKRIFALISQPLEDFKDLYYPSFA >cds-PLY71652.1 pep primary_assembly:Lsat_Salinas_v7:9:134586421:134586684:-1 gene:gene-LSAT_9X86841 transcript:rna-gnl|WGS:NBSK|LSAT_9X86841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEENYYKDQLHKKRFDLHGNEDYSKLVKVRKKLVKMQQAREAEKEVFESKLMELKMENVMMKTYLGCAKCLIFVFFIAIVGMWLKCA >cds-PLY61641.1 pep primary_assembly:Lsat_Salinas_v7:2:47292142:47303286:1 gene:gene-LSAT_2X21401 transcript:rna-gnl|WGS:NBSK|LSAT_2X21401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIGRESSYEGYPVNVCWTPTTPANPDVSDPKSNCKNGSEEEQLDMNVAMYNTFLMDNAWKSIPCSDLLALADAAITTKVSGGGCGGIGGGDTGLIMQFGNQDTVSSSSSFTQQWQPDGTRYLFDLNSPPIATEQEELITSISTQVTPVTPKQTIRTDEHKEQQDEMRFDVEQLQDDGWELEVNEEFEEGSSDDFNLKKTPQPKQKRRKHRPKVVTEGRPKITKKPLASSTKRKYIRKIVNETITVPKTRNTCRRKINFEEEEDLHTGIWSTGQLTGDTIHGSQTLGPITPNKSEKPEKGIKHTWSRAKRHISFSQKDARNDTNACFSNGEEERGTKREFESLEAYLHFPESYKKKRTTKCRSSITSRAISSIWFPKNDSFSFQALRFKARERSTVYKHMWGFGHVGSVRKKRSKGVKRMRDLASFGGIWLDNKRALTCMEALSADFGVNIATKKRTKRNSIVSSSYHNHYFLTNHLGFHPGFGWKTTYDINSLINQFKNLDINNQRVEKDRHALVPYLTRFQEKNGIVLYQQDRSVVPFEDDYNPLKRRIPRPKVDLDEETSRVWRLLLEDINSEGIDGIDDDKTKWWEDERNVFKGRAVSFIARMHLVQGDRRFSCWKGSVVDSVTGVFLTQNVSDHASSSAFMSLAAKYPLKSKSIHKPFEEDKSIKPIKESCDFDIEETITWHEENPDQKLAQDLNSMTLKNSDSYEEKEVVHSNEYIDQNSFHDHSGSEQSEISTESTTQETQNLNLNIIFNQETQENNCHVQKAISEVTENNNIEESSRGLNEDGKLVTKKGKTGKEKKIKINWDSLRIKAEINHKRERTPNNMDSLDYEALRLADVNEIADTIKDRGMNNMLAERIKDFLNRLVRDHGSIDLEWLRDVPPDKAKEYLLSFRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKNKPNCNACPMRGECRHFASAFASARLSLPAPEEKSIVTATENKPPTGIITHHHLLPPPNHHLPPDSDIQNHHPIIEEPTTPETEKMEEFDMEDFCEDSEEIPTIRLNMEEFTQNLQTYMENNMELEEGDMSRALVSLTSEAASIPTPKLKNVSQLRTEHQVYELPDSHPLLEGLDKREPDDPCSYLLAIWTPGETAESIQPPGGQCSSQESGNLCHKETCFSCNSIREANSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIDVPRSWLWNLPRRTVYFGTSIPTIFKGLTTEGIQYCFWRGM >cds-PLY83676.1 pep primary_assembly:Lsat_Salinas_v7:4:38711265:38712250:-1 gene:gene-LSAT_4X27280 transcript:rna-gnl|WGS:NBSK|LSAT_4X27280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVIEEANNGEVFEAKTNRLLDFEEICPPGGSDLVILYTTSVKGIRKTSKDCSRVHSLLKSLKVLYQERDIAMYSDFRDELQNLGKLSALPRLFIKGRYIGGADDIFLLHEQGKFQPLVRDILNKSEGPCKRCAGVRGQNEVYGM >cds-PLY99024.1 pep primary_assembly:Lsat_Salinas_v7:6:150446902:150449053:1 gene:gene-LSAT_6X90240 transcript:rna-gnl|WGS:NBSK|LSAT_6X90240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSHVPPGFRFHPTDEELVDYYLRKKIAAKRIDLDVIKDIDLYRIEPWDLQELCKLGTEEQNEWYFFSHKDKKYPTGTRTNRATKVGFWKATGRDKAIYSKHNLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENAMTQEEGWVVCRVFKKRITTVRRMDEHDSVCWYDDQVSFMPDFESPSRVSHSHPYTSNSSFQHQFPAKSELDDQIHYNFPREHSFLQLPQLESLRFPQSSAGNINASYATTFQPPTDHQLNPNLLYGYNNNGDGGGNDVQVMTDWRVMDKFVASQFSNDQDAVTKENNYLNPPTSSLQMAAEQVNMILSESKSDEMASEGASISTSTCHIDLWK >cds-PLY63849.1 pep primary_assembly:Lsat_Salinas_v7:2:84433863:84444901:1 gene:gene-LSAT_2X34681 transcript:rna-gnl|WGS:NBSK|LSAT_2X34681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVISRRVLPLCSICCVCCPALRARSRHPVKRYKTLLAEIFPRSQDGEPNERKIGKLCEYASKNPLRIPKINDYLEQKFYKNLRNENIAAVKIVLLVYGRLLSTCKTQMPLFASSFLGIVGTLLEETRQDEMQILGCHALVNFINNQVDTAYMFNLEGLVPKLCQLAEEVGDDERTLRLRSAGLEVLAFMVRFIGEQPHVSMNFENIISVTLENYSDQQQEHNPPFPKPQDVLPVDAYKNPCYWAQVCLHNMAQSAKEVTSVRHVLEPLFHKFDSDKLWLPEKGVAFSVLKYLQKMLEESDDRSHLLLAILVKHVDHKDVVKQPVLQLHIVNVATQLSKHAKQQDALVSIVGAIADLIKHLRKCLQRLSGDASDTCYIDLQCALENCISTLSCKVGDVGPILDTMAVLLEKLPISSAMARPSISALYRTAQALTSMRLSGHQVSLVLTSIWIQATSMENTPANFEAMAHTYTLALSFILAKVDPYLVLDEDIRLQAICTEPGDKNCYGSQADEGFAVNALSSVDLKDQQLKDTLSMHLVSRVGRSSEEQEVSNMKAQLSQCFLPDEEYPLGAPPCPQMELQPIHQNMPHATASTEEDEFSDESDHKTSLDIISVHQLLESVVETAFGVENTGDESSPAPCPYDQIKNQCEDLVTGKQHKMSLLQSFKEQHEALASSNHDHNKTKNQLEVEDESLTSIDRAVHVPPLSPNRQQSFRLPPLSPYDKFLKATTY >cds-PLY87590.1 pep primary_assembly:Lsat_Salinas_v7:8:112766633:112767557:-1 gene:gene-LSAT_8X79061 transcript:rna-gnl|WGS:NBSK|LSAT_8X79061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRQPTAPKSYLFSEYIGAEDNNVKFSDVPINPNVEFHYILAFAIDYTNSSSPSPTNGEFKIFWDTHNLSPSQVSSIKTQHTNVKVALSLGGDTVRGKTCNFTVSSVDSWVSNAVSSLTKIIQEYNLDGIDIDYEHFVSDQVTFVECIGKLITALKNNGVITFASIAPFDDDDEVKKKYNSTASGFKYEKQSQALLATRHNNFNV >cds-PLY72611.1 pep primary_assembly:Lsat_Salinas_v7:5:44493774:44503226:-1 gene:gene-LSAT_5X21360 transcript:rna-gnl|WGS:NBSK|LSAT_5X21360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCVTSKQTVPVTPAVDHSGGFRDNAVGGSGRNFDGNGDDVVAQLETKKRRKKKGNTRSESGLNGSELGESGRTNFTIGGGESVSFRLGNLHRYVEVEQVAAGWPAWLTAVAGEAIHGWLPLKAESFEKLEKIGQGTYSSVFRARDLESGKIVALKKVRFDNFEPESVRFMAREIVILRRLDHPNIMKLDGIITSQLSCSIYLVFEYMEHDISGLLSSPKIKFTESQFEIWMKQIKCYMKQLLSGLKHCHSQGIMHRDIKGANLLVNNEGIIKIGDFGLANFCNLAQDKRPLTSKVVTLWYRPPELLLGSTDYEASVDLWSIGCVFAELLLGVPLLQGRTEVEQMHKIFKLCGSPCEDYWKKSKLPHATLFKPQRPYKSCLWETFKDLPKNTVNLIETLLSIEPYKRGSASSALESENLPIRTEVRHKINGISLDHDKESDIIIGFEPRVNKINRGSHEEIPFSGPLQVSGSSGFAWARRRLDDPSSIRSHSRSSSKSRISEHSTLHPREPIFSEKIERISSRGRFDGYPSQDLPIFKKIDLTAILAMNDELIDSDQLEDCLKFYPTNEEMEQLKKFTDDYETLGKREQYFLELMKVPRMDAKLRVFLFKIKFNVQLSEFKTSLNTVNSACDEVRRSTKLKEIMKRILYLGNTLNQGTARGAAVGFKLDSLLILTDTRASDSKMTLMHYLCKKIASKSPSLLDFHEDLVSLEAATEIELKVLADEMQSITMGLENAKQELAASANDGPISQGFHKTLKEFIGQAEAEMTSVMEFYSIVCRNADALAIYFGEDPTRCPFEQATMTLLNFVRLFRKCDEENRKQEAEMEEVKGVNVK >cds-PLY94029.1 pep primary_assembly:Lsat_Salinas_v7:7:100081633:100084514:-1 gene:gene-LSAT_7X66440 transcript:rna-gnl|WGS:NBSK|LSAT_7X66440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METVESLLLGSGTSHPPSSAFNPKAKAVAAFPETAPGLNPRAIMSFAQDLEQVTPFILIIEVEFMKYFHSYIVRVSSMLPNQGFNELDRLRHEVQVPWHLQMLCQMFLGVGLVARMVFHQRLLNLVIIRILWASSFIPSSSLSRENLLHFS >cds-PLY78637.1 pep primary_assembly:Lsat_Salinas_v7:4:151394582:151396296:1 gene:gene-LSAT_4X92980 transcript:rna-gnl|WGS:NBSK|LSAT_4X92980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFGEPDPNSSSDHISDWLEDSISYLPSFLDDPYDSNNLIDDAWWVPVQELEQELFSGPGPTSSSILTSNSDTSTKTTATPAIVSDQENQLRSDLSKKRKAPEELRTTVQVKKPVNKRGNGKTTKDGGNVKNKDGRWAEQLLNPCAAAITAGNVTRVRHLLFVLRELASLTGDANYRLAAHGLQALTHHLSSANNRPVKVAVPPVNFSTSKPRFFQLSLINFNDINPWFTIPNNIANNSILQVLSECDHGSGNLHILDIGVSHGVQWPTLLEALSRRPGGPPPLVRLTVLPPTSDDHQIPFANSPPGYNFISNILRFANEYKINLHINRIDNCPLQNLNANIIKSSPDETLIVCTQFRLHNVNHNNPDNRTEFLKQIRNLEPKGVILSDNNMDCSCKSCSNFETGFAKRVDYLWSFLDSTSVAFKGRETEERKLMEGEASKALINVCEMNERKEKWGERMRGVGFVGDVFREDVMDGARALLRKYDNNWELRTDESDKCVGLWWKGQPVSFCSLWKLDVNTSDN >cds-PLY65217.1 pep primary_assembly:Lsat_Salinas_v7:8:19873207:19875331:-1 gene:gene-LSAT_8X15220 transcript:rna-gnl|WGS:NBSK|LSAT_8X15220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRNEYASILSDQNFLNAMNLNESSIDWNSITSLPDSAASNHILPSSLNDKGDHHEDLDFSDLVLKYINQMLMEEDIEEKNCMLQKSAALHATEKSFYDALMKKELPYDKYATSESITYINGRDLSCDVTDSPLLPNPLIDFDSQSRFHPSVSSTSNVVDSPVSILSFPDTVKVEIKHEINSIPDESRGKKNHHSKDHSDDERTSKQSAVYAEPTVRSKMFDEILLYGGGNKTHPFKSQPKESKPVKSRGKKQGSKKEVVDLRTLLTLCAQSVSTNDQIGVTDLLKKIRQHASPTGDGMQRLAHYFSIGLEARMAGSGTGIYNSLISKPTSAIDILKAYHLFLGILPFTKLSHFVSNKTIIQTSQNKTKLHIIDFGIFYGFQWPSVIQSLSSRPGGPPELRITGIDFPCPGFRPSQRVEETGSRLSNYAETFGVKFKFKAIAQKWETITLQDLELDSEETLIVNCPYRLRNLLDETVMADNDSPRNKVLKLIKETNPQIFIQGIVNGSYNAPFFVTRFREALFFFSSLFDLLEANVGREVEERMLIERTIWGREAMNVIACEGGERIERPETYKQWQVRNQRIGFRQVAFDREILEVAKEKTRLLGYHKDFVIDEDGGWMLQGWKGRILYAISCWKPC >cds-PLY65082.1 pep primary_assembly:Lsat_Salinas_v7:6:102435621:102439212:1 gene:gene-LSAT_6X67601 transcript:rna-gnl|WGS:NBSK|LSAT_6X67601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQTRLMIQVVESLVHIGVDFFHQQLKLSYALKIGYGRVKSYVVLLMMMMYIPMKTKSELRDDIQMSMNEVLKEINGYRRCSELMKSTPKVKKITKPRKKKRVESPKKAIEDIVNEQSNDVSNHLLLDSLYVGSTLGFWK >cds-PLY93249.1 pep primary_assembly:Lsat_Salinas_v7:6:158922415:158926472:1 gene:gene-LSAT_6X97200 transcript:rna-gnl|WGS:NBSK|LSAT_6X97200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASASFVASGAREFLPRTLRSDLYMPLVGFEIDAPSHALFVREGIVHFVMHCHENRGGSLWLRLDGLGNPIPSARGVRERLLLWDRGHVGCVSTICGVAVTIATYGIWSVAGRHRLAVGVAAITTVELGGVCETCVRFDVCSS >cds-PLY62488.1 pep primary_assembly:Lsat_Salinas_v7:1:82251297:82253188:1 gene:gene-LSAT_1X71160 transcript:rna-gnl|WGS:NBSK|LSAT_1X71160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLLNSPLFYYSCIVLIIIISFKWISTTLSKTNKNLPPSPPRLPIIGNLHQLGLNPHRSLEALSKKHGPLMLMHLGNVPMLVASSPEAAKEILKTHDLKFASRPKLRIPDILLYGSNDITFSPYGEYWRQLKSIAVVHLLNNTRVQSFQQVREKEVALMIDKIKNSDGSLVDLNELFFWLTNNIVCMASLGRKYGGSTFADIMDRFVHLLSGFDVGDYIPWLAWIDRFSGLEEKAHKVAKEFDDFLECVVEEHLDKRRGVDTLYSEDQDLVDTLLDVQRDNATGFTFHRDVIKALILDVFTAGTDTTYASLVWSISELIRHPSIMEKVQQEVTEIAQGRSMILEKDLEKMNYLKAIIKETLRLHTPVPLLVPRESTQDVKVMGYDIPAGTQAIVNAWAIGRDPTLWEDPEEFRPERFFNSSTDYKGLHFEFLPFGGGRRGCPGIQFAIVIIELALANVIYKFDLALPDGVKGKDLDMSEKYGLVVHKKSPLIVVATSRF >cds-PLY67436.1 pep primary_assembly:Lsat_Salinas_v7:6:72771706:72772908:1 gene:gene-LSAT_6X51820 transcript:rna-gnl|WGS:NBSK|LSAT_6X51820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVGHLAPGFWFLLKGFWHLLNHIKLHVQNPKTYHSLPWFPSTKIRYLELYVIMVGCSMFIVMELFIGPNRHQPFDTDGTIPSNHLHTFEHSLISLAFLVYGAFGILLDKFVPIAQYELTHLIEGIAFGQQLLLFHFHSADHKGVEGQYHMLLQILILISFITTLMGIGYQKSFIVSFIRSISVLFQGLWLIVMGFMLWTRSLIPKGCFLNLEVGHHVVRCHGEEALERAKSLVNFQFCWYLIWVTIFAVLIYLAIHKIYAGNVDYQSVTTYDLEKVHENIEAQRKLCESQSFLVMKKSFSPVDMEE >cds-PLY93020.1 pep primary_assembly:Lsat_Salinas_v7:4:193417410:193418597:-1 gene:gene-LSAT_4X110920 transcript:rna-gnl|WGS:NBSK|LSAT_4X110920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVALLLKQFKREGEGMLFPSISGIFSSKILVTLLTGNHLFDYDEFSGKETKRMVEKSKHGENEERTVNKVLQNYSKSIKYPVATNCLMKFP >cds-PLY89422.1 pep primary_assembly:Lsat_Salinas_v7:4:63521172:63524248:-1 gene:gene-LSAT_4X45620 transcript:rna-gnl|WGS:NBSK|LSAT_4X45620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVAVRAAVSAFRREEESQVHHSSKRRRERSDQDRVNYDDSMFMETPRGKGLRLYIGNLPSHMDEVLVQTTKSRRIRMVERKTRKRSVDKPKTKHLEARHTMDSKEKKKRSVTLIRDHSKEYLSNLTVEFDQFDRAIGPNRFKFTSYHGVTTRKMISILIDSWDLVDQCDKDQLWLNIKNYWHIRDDNHKAQVLRDCNTQWKAYKSALLKLWEKGVNPVKEYPYLDKAMWKKFIVLKSTEEFEAYTELATKGK >cds-PLY71352.1 pep primary_assembly:Lsat_Salinas_v7:4:346020056:346022384:1 gene:gene-LSAT_4X170461 transcript:rna-gnl|WGS:NBSK|LSAT_4X170461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGKNVGAVPLTPSKSKHSSKSQLPENVHPNVTSPNLFYFTMQSSDSSSSSFGGSRWKRTLKATSQRPFKLRTECLVKPPVKVRTKRINLVLHSDVRAVKRAEFDHYMQEKLSYVEQYKLEREKLQKEELKRLRKELIPKAQPMPYFDRPFIPRR >cds-PLY66316.1 pep primary_assembly:Lsat_Salinas_v7:5:290520393:290523799:-1 gene:gene-LSAT_5X156781 transcript:rna-gnl|WGS:NBSK|LSAT_5X156781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDGLGLECQVCGFVGLDDGADGFFYCQRCGSQADGIRDTAVDDDEMLLTKENVGGIVQRRVAVVKPEPLSQSQPPSQFWETLRTQENDDDDDGVGPTEPIDFGRGPRTLSYEDYYSEIRMRYVMGLQIMIELQVKALVENFNVCPIIVDMLEPIWLRFVASTKLFTDDWADEVINESESQVQGETEAVAPNAKHKSEPHNILGKRSVMIWYRSVSKTIPLPYSLAISFLLCHLAREPILSTDIAKWALEGKLPYFTAFLEIEKQIGSPTNACPLSSSRMFRPLHCISIQKLESLAASIAHSIGLELPPVNFYGIAARYLKQLSLPVETILPHASRIYEWSMPPELWLSGNEFRLPTRACVLSILIFSIRIFYKIHGFGKWEKGLGLSSWKRKDGKESKILDKKAESELESESECKMSSLSNNLVSESYDKRLPKQSNLDATEILLLLESKYSQLIDTSVDGRDLETYLEYCKNVVFAGVELSFEDHEEDQIIEDLWNYYHKEEEDHKPSSPSSNCGSHKRSLDFSKTNTNMNKVKKPKDENASETSNETQKEKAIRRMISNMEEKRFCYIPPRTNIKRPDDYLHYTRKKDDGNHTYASHADYYILLRSCARVGRLDVRIMHGAVLSFERRLAWLEKNIDQCVKEMPSFQVSCELCQQDDMNGDESMDFSKLNL >cds-PLY91607.1 pep primary_assembly:Lsat_Salinas_v7:5:297639685:297641344:-1 gene:gene-LSAT_5X159381 transcript:rna-gnl|WGS:NBSK|LSAT_5X159381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISIQNQDEAWVIDSIVKAMPPPSLSARIPRVTKILVSAKSDIYEKYYVPQVVSIGPYHYGKPKLELVEKLKPVFTKKLLARPEYEVNLSLSSLYKKLGEAKMVKELRGFYEENSTEHLSDKVFTRMMLLDGCFILYYILFIHGMTAENCSEKVESSSDLIRSHQAVFIHHDLFLLENQIPFIVLNEVMDLIKLDRRDKIRSFFADNILSPGSQKSRWFCFRSGSVQSDQNSGRESHDHLLHRLHSALTGPRPKNESHWIQILSCCPKNTRKDEISTRCTFRNVSELADVGIQFKPSNVMSLAHVEFIGGCCRWSFSADVKLPPIIVDDSTKPMLLNLIAYEMCSNDTHAWVTSYISLLDSLIDHPEDVKALRKAGVLDNSLGSDQEVATLFNEIGTGLVPNILAYSKAKYQIQCHYQSLRNTWFSQLKHEYGRSPWSFLALLGALMALFLSGVQTYFTIWSPS >cds-PLY62653.1 pep primary_assembly:Lsat_Salinas_v7:1:35323955:35325703:-1 gene:gene-LSAT_1X30241 transcript:rna-gnl|WGS:NBSK|LSAT_1X30241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMGNIDIAKKIFRKMPKRDLISWNSMIGGFVKNVQFQEAFGIFKKMLSSNIEPDKFTFSSIITACARVGALDQAKWIHGLLTEKRIELNFILSSALIDMYSKCGRIETSKAIFESVRHDHVSVWNAMINGLAMHGLAMDAIETFSKMEADNFLPDQITFIGILTACSHCGLTQQGREYFDLMRTKYKITPQLEHYGSMVDLFGRAGLLEEAYEVIQEMPMDPDVVIWRAFLSACRTHRNLELGEFAVAKISNLDSGDYVLLSNTYCSVNKWDKSEKVRSMMKRNGVNKSKGKSWIEVNGVIHQFKAGDKSHCETESLYKVLEALIGRIRHEGYVPVTELVLMDISEEEKEENLNYHSEKLALAYGILKSTPGSEIRVSKNLRTCLDCHSWLKLVSKVLKRVIIVRDRARFHHIEDGVCTCGDYW >cds-PLY89104.1 pep primary_assembly:Lsat_Salinas_v7:4:145518796:145520833:-1 gene:gene-LSAT_4X88760 transcript:rna-gnl|WGS:NBSK|LSAT_4X88760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQGDYIELHRKRNGYRHDHFERKRKKEAREVHKRSQTAQKALGIKGKMFAKKRYAEKAQMKKTLAMHEESSSRRKVDDDVQEGAVPAYLLDRETTTRAKILSNTVKQKRKEKAGKWDVPLPKVRPVAEDEMFKVIRTGKRKTKQWKRMITKVTFVGQGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLEMIGVKKNPNGPMYTSLGVVTKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >cds-PLY68568.1 pep primary_assembly:Lsat_Salinas_v7:2:20834989:20836532:-1 gene:gene-LSAT_2X10601 transcript:rna-gnl|WGS:NBSK|LSAT_2X10601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDEVPFHIHGEIMMRLPVKSLIQFRSVSKAWKSLIDSSEFVAAQSVLDTQPHHLFVWYKDPRGEIKYVSFVDDNSFPQRFVPTLPLSVKLPRIVGSSHGLLCLDGYHWDLETSEINFKKRLAVVCNPSIRKSISFVLPDILHVRHEMILAFGVCPVSIDPKIIQITQLPSWMDKKTEINNLWKVEVYSFRSGKWKSLSTNLPRKSIRIRQPQVVIDRHIYWCASCLDSGIRTHNVIMSFDITDESFKVIHLPDNLASHPSSWATMSKWLSISKLGESLVMLEHDLNTEGQVCCEVWKMENGVQISFTKLYTINAPKESIKAVGFRKTGGPIIEVNDDISEPTQLVVYEPNSGHCDDPIRGYSFNVNSYMETLLMFGRSDCSSY >cds-PLY89908.1 pep primary_assembly:Lsat_Salinas_v7:8:68936743:68942524:1 gene:gene-LSAT_8X48740 transcript:rna-gnl|WGS:NBSK|LSAT_8X48740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSSPSVPAFSYQLWKYHVFISFRGVDTRKNIVDHLYKALLQRGISTYKDDETLPRGELIRQSLMKAIKDSYIAIIVFSKNFANSSWCLEELSYIMKCRDTRGLIVIPIFHKVSPSVIQKCGEALFEHKLKNEKKAKSWRKALVYACSISGLETQRIANGHEAKCIEVIVDTILERLHQDVSNLNISNVNGNLIGIEARKQGLISAFELGFDGVCMVGIWGVGGGGKTTLASSVYDDISSNFDGCCFIKNVREESCNKAGLERLQEKILCGVLKQEQVHVTRVEEGKRMIKDRLHRRKVLIVLDDVDHLDQLEALAGSENWFGEGSRIIITTRDQHLLVAHRVNVIHDICLLNDDEATNLFRKHAPRNGRPIEDYELLSKVVVSYAGGLPLALNILGSFLCDKDMNEWRSALARLKEIPDANILEVLKISFDGLTKVEKELFLDIACFFMGREKDKAMGILEACGYHSVIGIKVLVQKALITISEDGEFDMHDLVQEMGHYIVRGEHPNNPEKHSRIWKKEDVLKICAMDATMVSANKKQRRWFGLNIKQRVKATRLLPEMFRPRELSGLTLSELVQKQLWDAYKAGDSVMLLPENNEMDYYNSVTRLDCGTSHGYDQTADSDDEDEDEDGDTRLNPVPKEAEKNDRIDREILKMLEKGKNKVEVEVVDQMETMEDRIVNRMKGFLHERIDRLEDKMVERMDMLENRMMKMEGIVVKRMERLTNKTVEMEGGVVQRIERLTNKMVERMDMLENRVGDVDK >cds-PLY70812.1 pep primary_assembly:Lsat_Salinas_v7:4:58861131:58868100:-1 gene:gene-LSAT_4X39461 transcript:rna-gnl|WGS:NBSK|LSAT_4X39461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT3G48110) UniProtKB/Swiss-Prot;Acc:Q8L785] MQEYWASVGCTIMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYAEPSIRPDDSRYGIDVNEHDIRFVEDNWESPVLGAWGLGWEIWMDGMEITQFTYFQQAGSLQLMPVSVEITYGLERILMLLQGVDHFKKIQYADGITYGELFSENEKEMSAYYLEHASVDHIQKHFDFFEAESRALLDLGLAIPAYDQLLKTSHAFNILDARGFVGVTERARYFGRMRSLARQCALLWSNTRESLGYPLGVISQANNLVVPNEVIESEAKKVSDEPRLFLLEIGTEELPPNDVASAGQQLKDLIVQLLGKQRLTHSEISVFGTPRRLVISVENLCSKQVANEVEVRGPPVSKAFDNQGNPTKRLIVFQAAEGFCRRNSVTVDSLYKKTEGKTEYVYVRVMESAQLALEVLSKELPGAISKISFPKSMRWNSEVIFSRPIRWILALHGDAFVPFSFAGILSGNVSHGLRNTKSSTITIDSAESYATLMQQAGISVDTEERKKIILERSNHLAKSVNGCLVMKTSLLDEVVNLVEAPVPVLGKFSESFLVLPKDLLIMVMQKHQKYFALTDNEGNLLPYFIAVANGAISESVVAKGNEAVLRARYEDAKFFYELDTSKRFSEFRGQLNGILFHEKLGTMEDKMIRVESTISELGLALGLTEDKFPIIQEAASLAMSDLSTAVVTEFTSLSGIMARHYALREGYSQEVSEALFEITLPRFSGDILPETDAGTVLSIADRLDSLVGLFGAGCQPSSTNDPFGLRRISYGLVQVLVEKDRNLDLQHALKVAASVQSLKIDAVTIREVHQFVTRRLEQFLVDKGINPEVVRAVLLERANWPNLAAKSAYKMDVLSRGEVLPKVVEAYSRPTRIVRGKDVDVDTQVDEALLETNEEKALWSTFLSIRSKIHPGIEVDDFVEISLQLVEPLEDFFTNIFVMVEDEKIRNNRLALLREISQLPRGIVDLSVLPGF >cds-PLY85140.1 pep primary_assembly:Lsat_Salinas_v7:9:147679963:147680346:1 gene:gene-LSAT_0X7300 transcript:rna-gnl|WGS:NBSK|LSAT_0X7300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAGPQYSDESSASNDEEIKDTATNKETIKFVMLDNINVMEIILAYKERAGVFPFDSPVYFERFCYPYVRRGIGNTEGWTQKVREIKNKFNSKSAPKGDVEKKEFKLWKKIWGNEQKGNDPGEGSSK >cds-PLY67898.1 pep primary_assembly:Lsat_Salinas_v7:1:55638654:55642298:1 gene:gene-LSAT_1X49841 transcript:rna-gnl|WGS:NBSK|LSAT_1X49841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEANVIIHQHPPPQPPPPPQPRYDLPRQDLLADNRRKDFIDICVPLCEALIKADWQTAKHIIGSPEYLLRYSINENCETPLHIAASAHSNTDSIEFVRYLVGKMSRADLQLQNRNGNTALSLAAIAGNVEMARIMVKRNGDLPTIPNGENMMPLYVAVLFGNIEMADYLYEESQQMNAPGWTPINRSWVFLKSIEMDFFDFALKVLEHHPLLAQRGNGLCALARKPSAFNEIKPHYMRSIVNSIFHLKAESVDNKAVQLLREIWTSIEEKPKAEIDTILRGPIVLVDGRQTYPSRVLFVAAETGNTGFLVELIRRYPDLIWKRNENNQSIFHVAVSHRHEGIYNLLKWSL >cds-PLY69881.1 pep primary_assembly:Lsat_Salinas_v7:4:67380897:67381387:-1 gene:gene-LSAT_4X46660 transcript:rna-gnl|WGS:NBSK|LSAT_4X46660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLPDKNSQQPDDLTIFEMKRHDQINEKNNQTESSTPVAITKSTSMIPTKDEDFKVKKHKNVDEQNPPKFSISISKSEIEEDLYAITGKRNLRCRNKRDKKKEGLNDIFPGCSLDDHDIESLKKRYDSVSSNQQ >cds-PLY89591.1 pep primary_assembly:Lsat_Salinas_v7:9:39067902:39071845:1 gene:gene-LSAT_9X36000 transcript:rna-gnl|WGS:NBSK|LSAT_9X36000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTYSLSLHPSLSLPSSSASSSSSCSSIVTTSRISCFNTFETKPICFSPFKNRSFHYKRQTRTPIIVSSANKGTIEELRKDDEEGPPSQVESEISSKPRRIALFVEPSPFAYVSGYKNRFQNFIRYLREMGDEVMVVTTHEGVPQEFYGAKLVGSKSFPCPWYQNVPLSLALSPRIINEVKQFKPDIIHASSPGIMVFGALIIAKMLCVPIVMSYHTHVPVYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSAAIAKDLRQARVTAANKIRLWNKGVDSESFHPKFRCHEMRVRLTNGEPHRPLIVHVGRLGVEKSLDFLKSVMEKIPEARIAFIGDGPFREELEKIFIGLPVVFTGMLQGEELSQAYASGDVFIMPSESETLGFVVLEAMSSGLPVVAARAGGIPDIIPEDQEGKTGYLYTPGDLEDCLNKVIPLLHDAKLREDIGQAARMEMEKFDWRAATKVIRNQQYNAAIWFWRKKRAHLLKPVQWLMKIFFSIQMQHKLMAGDL >cds-PLY81785.1 pep primary_assembly:Lsat_Salinas_v7:3:30711536:30712282:1 gene:gene-LSAT_3X22641 transcript:rna-gnl|WGS:NBSK|LSAT_3X22641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIAVAQMCQSVGYQGAQISALKTLTDVAVRHIRSLAKYSTTSAGSTGRTQCNLYDIVRALEDLHSDIGFHGNSDPKRRLYILSGSSILRDTMKFVYRSREIPFAKPLPRRSPTLPSNSPCFPNQNTKWNHVPRWLPDFPKISDAREQPIVTASNEGETAWEKKMQPTESDKEISKLPEKRKKISFKIGGGKNETDMTFGVDLKSGICNWGRRISCQIYDVDRFSDASSSSKKKLEESSSRTKMIVMH >cds-PLY73237.1 pep primary_assembly:Lsat_Salinas_v7:8:177787693:177790011:-1 gene:gene-LSAT_8X115261 transcript:rna-gnl|WGS:NBSK|LSAT_8X115261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELSITTSIALATIVFFLYKLATRPKSTKKQLPEASRLPIIGHMHHLIGTMPHRGVMDLARKHGSLMHLQLGEVSTIVVSSPKWAKEILTTYDITFANRPETLTGEIIAYHNTDIVLAPYGEYWRQLRKLCTLELLSVKKVKSFQSIREEECWNLVKEVKESGSGKPINLSESIFKMIATILSRAAFGKGIKDQREFTEIVKEILRQTGGFDVADIFPSKKFLHHLSGKRARLTSIHKKLDNLINNIVAEHHVSTSSKANETLLDVLLRLKDSAEFPLTADNVKAIILDMFGAGTDTSSATVEWAISELIRCPRAMEKVQAELRQALNGKEKIQEEDIQDLAYLNLVIRETLRLHPPLPLVMPRECREPVNLAGYEIANKTKLIVNVFAINRDPEYWKDAEAFIPERFENNPNNIMGADYEYLPFGAGRRMCPGAALGLANVQLPLANILYHFNWKLPNGASHDQLDMTESFGATVQRKTELLLVPSF >cds-PLY85893.1 pep primary_assembly:Lsat_Salinas_v7:5:97155771:97156076:1 gene:gene-LSAT_5X45101 transcript:rna-gnl|WGS:NBSK|LSAT_5X45101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKVLDKGTTKSLGDSRSLLMKLDIGGVALCRRRGTPPAGCHWKASERRGSTGWLSLESVGWRGGVGRRSKVGGVGTERNVGGGRSCRRRELRRRERVLSM >cds-PLY62438.1 pep primary_assembly:Lsat_Salinas_v7:3:196264283:196268672:-1 gene:gene-LSAT_3X117501 transcript:rna-gnl|WGS:NBSK|LSAT_3X117501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNLNERRERRNVLEKKLADSEVSEEEQNNLLKHLEKKETEYMRLQRHKMGADDFEPLTMIGKGAFGEVRICREKTTGNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDKSGHMKLSDFGLCKPLDCSNLQEKDFSSANNLSGALQSDGRPALPKRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLICKLLCNVEKRLGTKGAHEIKAHQWFKGIDWEKLYQIEAAFIPEVNDELDTQNFEKFEEGDNQIPSSTKSGPWRKMLSSKDVNFMGYTYKNFEIVNEHEVPGIEEETDSTSSQQTQGSFLNLLPRQLEVSKKEESK >cds-PLY76254.1 pep primary_assembly:Lsat_Salinas_v7:8:33630345:33630587:-1 gene:gene-LSAT_8X26481 transcript:rna-gnl|WGS:NBSK|LSAT_8X26481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRASRAQYKRMEWNLPLKKDICLTQAFRSHFLLKEDRKKLKVEKEGSDVYEQNEPQIEKADQGEIPLSIGVLRWERK >cds-PLY87376.1 pep primary_assembly:Lsat_Salinas_v7:5:94202978:94206518:-1 gene:gene-LSAT_5X42800 transcript:rna-gnl|WGS:NBSK|LSAT_5X42800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIANSKADGCEALRLCKERKKFIKKAIDSRYDFAVAHLAYVQSLRSIGIALRRFAEAEVLLDASPATEIENPQTHSSNPSPSPPHLGGVDAGASDGSPAPHQPPVSSLSYMRSGGTNAVTVSLNPSKIDISTRVYVDDVDSMSFTMPPPPPPPPPPESASSWDYFDPTDNHESFSFVGHDGFHVNFNNMSVQKQFPINDSIINEGSITPPESLKTDHNGHDDGIPIAPISPTTVESDTGNDAVSGALICRTSQAESKDDSCLGEGESEDPSEFITHRAKDFLSSIKEIESRFFLASESGKEVSRMLEVNKIQVGYSEAKGSSTASTLLCGNCCKVKPAHVSHESPHGPRIITWNRSMSSHSSSSRNPLAAASKDDNDDSGSDFIEEFCMIAGSHSSTLDRLYAWERKLYDEVKEYDRKCDRLRHQFAKDLKSHVIDKTRALVKDLHSRIIVALHTVDSISKRIEKMRDEELQPQLLELIQGLNRMWKAMLESHHTQYITIQLAYHLKNSKIKNHQQDSKLQIISDLQHEIECFGLSFTNMVNTQTSYVESINNWLQNCIILPKERVKGRRPFSPRRASGPPIFVICRDWSSGIQTLPAQQLSDAIKGFLTNLHRDSVVEEVDLKPEDGEEVNDDMAAVNLGTMHLSLTKVLDGLTKFSEASLKMFEDIKEKSEKAQNVYLNYRPPPRAYSI >cds-PLY61866.1 pep primary_assembly:Lsat_Salinas_v7:6:58828365:58828991:1 gene:gene-LSAT_6X44821 transcript:rna-gnl|WGS:NBSK|LSAT_6X44821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSRNQLQVTHVQSREGVKLCDYGVPAKERTCWKITNLGRHFWNFQNSMKLDAKEDLSEMNNLRRRIAEVEFLLSQEQYKVAKSEKEVYDARKEIG >cds-PLY63698.1 pep primary_assembly:Lsat_Salinas_v7:9:85057706:85061640:1 gene:gene-LSAT_9X66141 transcript:rna-gnl|WGS:NBSK|LSAT_9X66141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAKSPILNSPIVTLEHKRDAYGFAVRPQHVQRYREYSSIYKEEEEERSDRWKDFLERQSESAQASGQETVDSPDKVTEGDDEAQKEEAPATHQAQIWAHIRPSLRAIEDIMNARVKQKIAVAKTEKLDETKNPALFEASIPVKPSIEDDSEEEFYDMERSESDPTPQLPSTVNASTEPSIPWKEELECLVQGGVPMALRGELWQAFVGVKARRIEKYYQNLLDSDTKNDNILENQISQTENGSNGSKTSSVESVICIPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWALMGILDDYFEGYYSEEMIESQVDQLVFEELVRERFPKLVNHLDYLGVQVAWVSGPWFLSIFMNMLPWESVLRVWDVLLFQGNRVMLFQTALALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGYQNVDESRLQVLRNKHRPAVEAALEERSKGLLMLKENKPGVKLSNGVLSRMSSESSNGDELYLGVSEDVEINSLPDLQEQILWLKNELCTILEEKRSATLRADELETALMEMVKEDNRRELSAKVEKLTREVAELHQALACKQEQENAMLQVLMRVEQEQRVTEDARVYAEQDAAAQRYAAQVLQEKYEAATTSLGEMEERLVMAESMLEATLQYQSGQHKAQPSPRVKK >cds-PLY98338.1 pep primary_assembly:Lsat_Salinas_v7:7:169368534:169370523:-1 gene:gene-LSAT_7X101100 transcript:rna-gnl|WGS:NBSK|LSAT_7X101100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQSLATFTSITTRTLPNSPSNRRSNSYPKQTHRLKVSCNVAPEDNEKLLVVPETQKLILPKTSLDTLNVDRRNLLLGLGGLCTTVNFTSIPTAFGRPITAPDISSCRASTDGLDLKNAIRTNACCPPNLSKKVKDFVFPNDKSLRIRRAAHKAPEDYITKYKAALKAMRALPDDHPHSFVSQAKIHCAYCNGGYTQIATGDSDKIIQIHNSWLFFPFHRWYLYFYERILGKLINDPTFAIPYWNWDNPAGMTLPAFFEEGNNRKEKLENPAFDAFRNTSHFAPTIVELDYQGEDSGAPSAKQININLTQMNSQMIRNAHDTRSFFGGKYVAGSDPIPNGDRCVGSIEAGCHTAIHRWVGDSRTFNNEDMGNFYSAGYDPLFYVHHANVDRMWVEWKGLDKRNKEPKDEDWLNASYVFYDENEELVRVYNKDCVRNDKLRYAYEFSPLPWLNNRPTPRTLKSKIALKSVGTVKQVEDTKFPLKLDKITKVLVKRPATNRSQEEKEKAVELLLIKDVKYNGGKFVKFDVFVNDQDDVRASSAEESEFAGSFAQLPHGPGDDMLMTSGARFGLTELLEDIQAEDDELILVTLVPKAGCEEVTVGEIKVELVPLDD >cds-PLY95708.1 pep primary_assembly:Lsat_Salinas_v7:2:113972453:113972626:-1 gene:gene-LSAT_2X52680 transcript:rna-gnl|WGS:NBSK|LSAT_2X52680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVATDALTIANSFLPEGKEVTTLNGSVKQGTDVTVAVVHSSRLAVAGDGGNKSGGRK >cds-PLY72522.1 pep primary_assembly:Lsat_Salinas_v7:2:142788858:142790210:-1 gene:gene-LSAT_2X70541 transcript:rna-gnl|WGS:NBSK|LSAT_2X70541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCREGFMSPQTETKASVGFKAGVKDYKLTYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYGIEPVPGEENQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPTTYAKTFQGPPHGIQVERNKLNKYGRPLLGCTIKPKLGLSAKNYGRAIYKCLCGGLDFTKDDENMNSQPFMHWRDRFLFCAEAIFKSQAETCEIKGHYLNATAGTCEEMMKKAIFSRELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGIHFRVLAKALRMSGGDHIHSGTVVGKLEGEREITLGFVDLLRDDFIEKDKSRGIYFTQDWVSLPGVLPVASGGIHVWHMPDLTEIIGYDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNYMTNIVSSVRG >cds-PLY84501.1 pep primary_assembly:Lsat_Salinas_v7:1:28674505:28676267:1 gene:gene-LSAT_1X24200 transcript:rna-gnl|WGS:NBSK|LSAT_1X24200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTLKISFTVLKKPTTYFFSGNSVISLKSLTPPSSVKPASISGGPRVYSTFAGAGVRTDFKVNSYSNMAEPDSDHKQGDVAVKDDRIARISSTIRVIPDFPKPGIMFQDITTMLLDPVAFKDSIDLFVERYKDKDISVVAGVEARGFIFGPPIALAIGAKFVPMRKPNKLPGAVISEEYSLEYGSDIMEMHVGAVEAGERTLVIDDLIATGGTLVAAINLLERVGANVVECACVIELPDLKGRDRLGDKPLFVLISST >cds-PLY72443.1 pep primary_assembly:Lsat_Salinas_v7:2:143375372:143378821:-1 gene:gene-LSAT_2X71101 transcript:rna-gnl|WGS:NBSK|LSAT_2X71101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQPAVQLALIDEDKFCSQHHQREFKIFVASQADSKPCPDLLNGSRKDYIKIGIPLFEASITGDWGTATKILEKRKELVRYSITENGETALHVAASAKSTKQVEDFVENLMGYMEDKDLELENNSSSTALCLAAEAGNVKIVKIMVKRNRNLVSITGSQGMTPLCRAALFGNYDVVKYLYKESQKMHYDYWTPQKPGWLLLKCVENDMFDIALKIVKDHPEVLGSSGSVLGVLACKTDAFAETKLNIFMRTINWVFTVICPKIGAEKESEASQLLRVIWQNIAEKPVNEIDDILRGPPDFINEKLASDKEDQTVETQNINKEPAATTLKDPNAPWQRNEDRTYSSRIPFVAAEMGNTTLVVELIRQYPDLMLKVNDNNQSIFHTAVENRHEDIYNILYEIGSKKDRILGLKDKNDNNMLHLVGKCAKKKRLGDVSGFAAPFQLQGELLWFKEVEEMVPPSCREQRNKDGLTPHELFTMEHNDLATKSGDWLKGMSSNCLVVAVFIASVTFAALLTVPGGYNQNDGLPIFSRKIAFMIFFLADAISFFSASGSVIMLLAILASCYAEHDFCKSLPKKLMTGLATLLLAITTMMVAFSSIFFILCNKDHKWMPVLASLLSIMLILLFATLQYPLLKDVISSTYGSRYLFRPKKRVLYENNCRFPFAFRYFSRCTSKILKLL >cds-PLY66639.1 pep primary_assembly:Lsat_Salinas_v7:1:53407049:53410202:-1 gene:gene-LSAT_1X46581 transcript:rna-gnl|WGS:NBSK|LSAT_1X46581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCSCFHRLLFLSSASVFSRQSFLPSFLSVNKPKSSGKRTIEIWNRKTTSIGFASPLVIEGHIETGPADGTDVCFGSPFPLAFFSIRLRFYRFHSIDQFCSGELALLINFAHHMFDKMPLRHSQRLNCMDYIKEFFPLPTHQVVGRGVVPVEFKDCIVGSDADKPLELNEDDYARLCQIPKIKVR >cds-PLY65015.1 pep primary_assembly:Lsat_Salinas_v7:1:119526616:119531925:-1 gene:gene-LSAT_1X92080 transcript:rna-gnl|WGS:NBSK|LSAT_1X92080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSELPEGSSSSSSTHGPSSSTCGPSSSTQDHRYDVFLSFRGVDTRLSFTNYLYEALLDANINTFLDDEEIETGEDLKPELESAIKASRASIIVLSKNYASSTWCLDELVLILEQRITSNHIVIPIFYHVEPTHVRKQQSSFGDAMAKHKQKMDEETDENKRSQWAQKIEKWNKALIEVANLKGNDVNGRFETEFIKEIVKDIYRRLHVPLLQSFQPLLIGMKSSINFITSWLKDGSSHTVDILTISGIGGMGKTCLAKHVYGLYWHEFHKSSYIEDITRRCDGKYTGLLDIQIQLCGDISKTSSIQIYDVSKYTSIIENAIARKRVFLVLDDINSIDQLDALLGSKDFHPGSKVIITTKDRWLTESCALFKKNIKPKHTKHFLHGLNETESRQLLCSHAFMCKHPKEGYEEVSNKLLEYCQGHPLALEVLGKVLHNRGVAYWEGCIKGLKKETNDHINNVLRMSFNSLPSKNDKELFKHIACFFVGTDRYVSETILEACDIDTRSGITNLIDRCLLSIGWNNELKMHQLVQEMGRFEVHQESPDKPWKRSRLWCHMDSLRVLKRKKGKGNLLGLALDMRMLEKEKLGASYELKTDALSNMDNLMLLQLNYVYMNGSYENFPEEIKWLCMNGFRFKSMPLELPMQNVVALDMSYSNIESFVSCYSNPQRLENRKKLDGSCLKEKRLFGSLKILNLSFCKQLHSVGDFDQFPALERLMLRNCIGLVDVCESIGQCVGLILIDLSYCMKLEKLPRNIGMLNKVETMLLDGCNLGESRIKNIDMDSLEMCTVTNIGINRAFVGGIPRDLKSSAMSLPRSLVRLSLENNKLSNESFPMDFSCLSMLKELYLDENPINSMPSCVRTLPRLEILSMEDCRNLKSVEYPPRTLKKLFLDVTNYYHTDKVAFLPEMSPLRLSIDWVDWIYRTIGLNDYEIEGIIKIQEMMTVEEKVLHSLGWTNLDFLNERRVGTNSSESEIQVLSS >cds-PLY86904.1 pep primary_assembly:Lsat_Salinas_v7:5:259056079:259057237:1 gene:gene-LSAT_5X129320 transcript:rna-gnl|WGS:NBSK|LSAT_5X129320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASETQIQIPVIDFSQLNMHNPDNQSIWESIKTDVLKALQDYGCFEASSVVSIDLQESVNDALKQLFNLPLETKLQNTSETAFHGYVQSPKVPLYESMGIGNPFIPENVDNFTNLMWPHDNPKFRWSGFHGSESIKIYSKKLRELDEIVKRMVFESLDLEKYFDEQMKSTNYLLKLMKYRAPEPNESNIGLHTHTDTNIMTILHQDEVGGLEIQTKNNEWIRVKASPNSFVVVAGDTFNVWLNGRLHVPFHRVVMNETMSRYSLGFFSVQKSSNLVKAFDEMVDKERPLLYNPFDYGEFLKFFYKEGGIQSKFALKTYCGVSEGS >cds-PLY79189.1 pep primary_assembly:Lsat_Salinas_v7:8:163610339:163610759:1 gene:gene-LSAT_8X108641 transcript:rna-gnl|WGS:NBSK|LSAT_8X108641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFNGKRTKTTRSQGKKFHVSSEATRLNDNYIEAEDAYMRALVIAPDNNKKCNLGICMMKQGRPGEAKGTLRLVKPAVMDGPRRVDSHLKAYKEHNKCYMIWNRR >cds-PLY93314.1 pep primary_assembly:Lsat_Salinas_v7:4:300363815:300365785:-1 gene:gene-LSAT_4X150481 transcript:rna-gnl|WGS:NBSK|LSAT_4X150481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPYSSTSSIRNNFKYDVFLSFRGEDTRSSFVDNHYYTLQQKNIHTYKDDEGIKKGKRISDELIRSIEESKFYIIIFSKNYASSSWCLDELMKIMKCHQTTEHTAYPIFYDVEPSEVRRHSRTVEEAFSKHKMEEASGKWREALKEAADLAGWELKNTTDGYAFGRDIPIQGYDNLTREVVRYASGLLLTLRVLSSFLCGRNELEWIDAVKRLQMIPLTETLKKLELSYIGLEEDYKEIFLDVACILKGRTKEVTIKVLESCGFHARNGLRVLEQKSLITISNYNLCVGMHDHIEEMGRNIVRRMYSDKPYKHSRLWISDESKIYWLTIWVPKQQDL >cds-PLY89613.1 pep primary_assembly:Lsat_Salinas_v7:9:39755781:39758152:1 gene:gene-LSAT_9X37481 transcript:rna-gnl|WGS:NBSK|LSAT_9X37481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 4 [Source:Projected from Arabidopsis thaliana (AT3G51780) UniProtKB/Swiss-Prot;Acc:Q8RX71] MMEESGGAAAAAAPTTTTTTTGNEVEHTGASNIKVQVSYGSNNFDVFVLPQSTFGDLKMEIAKATGLEPEAQNLLFRGKEKDENERLDMAGVKDNAKVILTENSPTIDKDKDKDEEEEENVEKVEEEVKEISKGVEAVSLVRKENDEFAEQVGSLEAVVCSGTQVSDKDFLFLTEMLMRQLLKLDGIDAQGEGRIQRKLEVRRVQGLVETLDDLKVKNSNPNPNPNPNPISNVPEASATKATQEWEVFE >cds-PLY94434.1 pep primary_assembly:Lsat_Salinas_v7:6:9074096:9077403:1 gene:gene-LSAT_6X6541 transcript:rna-gnl|WGS:NBSK|LSAT_6X6541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSQSRTSDKPSINYRYNPHGGPFFDLVDVTREDYAPSSHDSRKQPSQKAESRSSSIVSTTDLISGAGQLWNFATSSLPVLRHRTSTQNNDNLQKANTFYYPAEEGNVIASIPSETQNVSINLKAKTHVSPTVDVQKDLDSLHVAKKLSSFDCFSDSHNFYHLLRSCKEKRVSSVMISYEFQNVYGWMTDIQLSGATYKKRTDYCSNAGEINGVETINPDDATRLNDVTTSHENTNNMIKSSASVISLCSDYLLHPIEEKENESSEMREGQDSKFINQEVCTSTSYKPQHGVAKQEHAFAGAFAGIFVSLCLHPMDTVKTVIQSCPRDQRSIPYISKSIISERGLSGLYRGITSNIASSAPISAIYTFSYESVKGALLPLFAKEHQSLAHCIAGGCASVATSFVFTPSERIKQQMQVGSHYHSCWNAFSGIVGKGGFSSLYNGWGAVLCRNVPHSIIKFYTYESLKKMMSLHQAHAQPTTTSTLLCGGIAGSTAALFTTPFDVVKTRLQTQIPGSVNRYHGVFDTLKDIAQHEGPKGLYRGLTPRLAMYMTQGALFFASYESFKRLFSLEAEPRPIRLKSSS >cds-PLY71111.1 pep primary_assembly:Lsat_Salinas_v7:5:196006309:196007889:-1 gene:gene-LSAT_5X87400 transcript:rna-gnl|WGS:NBSK|LSAT_5X87400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRITSFIPTTLATLEKHVIPTSSPVRISKQKPIKRTIIRKHKHKKHTTKDLFMNQVVSYLISDSYMYNPLVSPQPTFDLLPPKQLYSTFAGGYGDVALPIRGRNKKLIEKVVDFLEADCYLYSPLLTNEHVCSKSLPANPSSGHFKTLGGSEKSTETQGRPVVTGTVAYRETMKHMFRQNYGTKPIRGAMLETETKSSVE >cds-PLY85989.1 pep primary_assembly:Lsat_Salinas_v7:3:132761590:132762369:-1 gene:gene-LSAT_3X90000 transcript:rna-gnl|WGS:NBSK|LSAT_3X90000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDISFTVGVVEYTDDWSPFHPVPFNKVVEEPEVEAETENEDDEDGISDTYMQDDANEPEEGEIRVETTMNHQSNDGAESSSLATDDVGAEQETEALPFTKENCGVAINGSNSLPDAMNLKNANIKSKEEAIKVSNVNENGNTLVAEPNYSTSGEPIVGSTSPSTNIFGPIQNLMSSGCFGPFPNTIPIQFSSLAAHIGDSVSGSNQTLVDQNPGNARELKLLPVHYRVLLTLFHYLIYPFLFLIHNQAIILILVQFQ >cds-PLY82663.1 pep primary_assembly:Lsat_Salinas_v7:9:154109324:154112298:1 gene:gene-LSAT_9X98060 transcript:rna-gnl|WGS:NBSK|LSAT_9X98060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRLKEIKSFHTEKRWAFPLAITSIVSLFLLATCFNMGLLPPLYKLNSYFPIFNSRISPNQSTTPHFAEDKFKSPPVSSSLPSTPRFAYLISGSKGDLNKLWRTLRALYHPWNHYVLHLDLESEPNERIELASRVEKDPMFAEIGNVYVIKKANMVTYRGPTMVSNTLHACAILLKRNQDWDWFINLSASDYPLVTQDDLLSVFRGIKRDWNFVEHTGQLGWKEDQRAMPLMIDPGLYENKKSDIFWVQPNRPLPTAFKLFTGSAWMVLSRPFVEYCIWGWDNLPRTMLMYYTNFVSSPEGYFQTVICNVPEFIPTVINHDMHFISWDNPPKQHPHVLNTNDTSNMLNSGAAFARKFDQDTLVLDTIDNELLNRTNGSFTPGGWCKGEPNCSKVGKVTRVKPGPGAKRLKRLINKLIKSAKNGNQCR >cds-PLY69391.1 pep primary_assembly:Lsat_Salinas_v7:8:214934729:214935704:-1 gene:gene-LSAT_8X136421 transcript:rna-gnl|WGS:NBSK|LSAT_8X136421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGMPAFFSPGQLEDMLLLLLEMEQMMPLLYMRLIYVLLWASKELKLQKKAVTSKEQMMPTFIQFVFSPLMQSRESKQMLEDLLQKWSEWHNPHQSSPHDSNVEVESGEWMYFPALNVGLDKPSTLESIQYHHFVKKTKIVPPMLPSETCVLFCVVVLDGRAN >cds-PLY89393.1 pep primary_assembly:Lsat_Salinas_v7:4:122557250:122560487:1 gene:gene-LSAT_4X77340 transcript:rna-gnl|WGS:NBSK|LSAT_4X77340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OSIGBa0116M22.9 protein [Source: Projected from Oryza sativa (Os04g0479000)] MEISVQIVTNGDAPHEQTDAVPDSAVDSSPPPAVAEDKILISVEVCLKPSSTARTEDVKLAVERMLENRNLSYIDGPIDIPQDDTFLKDNVQRICICDTEERVTNHGILLFWQVKPLVHVFQLSEEGPCEDLDGDNQLSSFSEWMLPAKDFDGMWESLVYESGLKQRLLKYAASALLFTEKGVDPLLVSWNRIILLHGPPGTGKTSLCKALAQKLSIRFSSRYPHSQLVEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEDNTLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQMDKLKSSPNVIILTTSNITAAIDIAFVDRADIKAYVGPPTLQARYEILRSCFQELLRTGILSTSQDDDNELILPNYASLKDKLNSATPQGSEYHLNIWRHLMEAAEACEGLSGRSLRKLPFLAHANGECDDLTKFLQTMISTINRERSEIPD >cds-PLY86422.1 pep primary_assembly:Lsat_Salinas_v7:3:252007005:252008227:-1 gene:gene-LSAT_0X42241 transcript:rna-gnl|WGS:NBSK|LSAT_0X42241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAQIDEPETMILEINLISAQGLKIPPSAMMRRMHTYALAWVNSNTKLCSDLDCVGGENPTWNEKFIFRVSQDFVKGDTSAVQFHIYAVGYIRDYFIGTVRYLLSSSPNYTPKSGPTIDVPAFSALHIRRPSGRVCGILNIAATVHNSSDFASLTGISAICFRDLMGKHNKIFNQSRQVSRRLNHVGVKSNEQSSEPESCNSSCEESVCFSDDTESIASNSSCSTTVTAFSDSNGVRSNLIVAGKKERKSDGASLLCGLTLQRRSGLSDQNIETDGQDLD >cds-PLY65683.1 pep primary_assembly:Lsat_Salinas_v7:5:272736355:272739445:1 gene:gene-LSAT_5X143161 transcript:rna-gnl|WGS:NBSK|LSAT_5X143161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT2G19430) UniProtKB/Swiss-Prot;Acc:Q8L4M1] MKTTVAEGDCRQWNEDAYRDSILEERESHSLTIFRTVFSPSPTNQNPKFIVAASSDGSIATYSLSSLISSTPLGFGNSRAQNLFVAEPNCLLHGHDGPAYDVKFYGDGEDSLLLSCGDDGRIRGWKWMDVLEADQDSLPQSGDLKPLLDLTNPQHKGPWGALSPIPETNAIAVDTQGGSIYAAVGDSCAYCWDVEESKIKMTFKGHAGYLHSIIARNSCHQIITGSEDGTARIWGKSGKCSNVIEPGKDKKSKEFFSYVSCISLDATEKWLACGSGRNLSVWNLSASERISGVSTRACIQDICFDDNQILAVGAEPVVSRYDMNGVVLSQIQCVPRSTFSVNLHPSGVTCVAGYGGVVDVISQFGSHLCSFRCQAYK >cds-PLY97740.1 pep primary_assembly:Lsat_Salinas_v7:1:20998617:20999351:-1 gene:gene-LSAT_1X19061 transcript:rna-gnl|WGS:NBSK|LSAT_1X19061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVRTSLVILFFWAALTIVTPILVRISSSNYSDKLEEVEGMTFLPRRTLVSIASPPAPAPAPCGSGRVQIAVMQRYPRKALVKH >cds-PLY83850.1 pep primary_assembly:Lsat_Salinas_v7:3:52831658:52834996:1 gene:gene-LSAT_3X39500 transcript:rna-gnl|WGS:NBSK|LSAT_3X39500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEIRNLNKLWHPWERERVEFFTLGDLWNCFDEWSAYGAGVPINVDDAGDETIVQYYVPYLSAIQIFTSNSSLNCQREETDSETRDSFSDSLSEESESEKVSRWDGCSSDECVFDQETSSHLNDRLGHLYFQYFDRSTPYGRVPLMDKVCALSKRYPGLMSLRSVDLSPATWMAVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQELDENGLSKRKRKEGICVPAFGLATYKMQGDVWISSKQDQEKVVSFVSVADSWLRQLGVQHHDFNHFMGNRRG >cds-PLY76535.1 pep primary_assembly:Lsat_Salinas_v7:5:12602583:12603416:-1 gene:gene-LSAT_5X6981 transcript:rna-gnl|WGS:NBSK|LSAT_5X6981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQWMRKRAEMFNGNDNGYTYASLVHIKTGVYIEATIMAMSSLQKQQGAYIPDSWICFRCNSACTCSKCKKHDTVDVDVQQNISRNDQEATIMRLNEENDMLRKELEDVKKYMADFQGTFQQVIDEKDKTISDLRDCLRGGEGKILKGENERKRLRKSQYR >cds-PLY83471.1 pep primary_assembly:Lsat_Salinas_v7:2:80523821:80530898:1 gene:gene-LSAT_2X35201 transcript:rna-gnl|WGS:NBSK|LSAT_2X35201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSKTTSFADQSASIIMLNVKPKQNIILDLDSSRYNETIRPMIKFLWFSPLVQAFTMAESVPLVHLSKAYLSANYSQSEGVIHFEVVQESTSLPVEPYTGTKSGKPKIKGVSMIELFTLEQVREHITGLRQWVGQSKAKVEKNQSLEHSMSENSCQLCAIEKLSFEPPPIYCTPCGARIKRNAMFYTVGTGDTRHYFCIPCYNDSLGDTINVDGTNVWKARFKKKKNNEETEEWSVVLGAKDLPKTETILNDHIESRLFGKLKQERLERARFNGKTYDKVPRAEAVVVRVVSSVDKKLEVKQRFLKIFQEENYPMEFGYKSKVVLLFQKIEGVEVCLFGMHVQEFGAECLQPNHRHVYLSYLDSVKYFRPDIKAVRGEALRIFVYHEIKAVTGEALRTSLVEYALTQSNFENYSSKARDSLSKLPFYPLMPLKTLLVCCRHAYHKHLLAKEGVGQGVCNQGNVSRAISYKLTNFYVALPSMCL >cds-PLY77436.1 pep primary_assembly:Lsat_Salinas_v7:9:108282205:108282516:-1 gene:gene-LSAT_9X77780 transcript:rna-gnl|WGS:NBSK|LSAT_9X77780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPPNSQGDNHHLPPPPPINLPPPPPPPFQPPRTPSPPPESTPQSDASKKGENNQRSFDRQMKIVMISPTPSQPDMPETGRVEVDLQKEILVVDIPDTDTTTD >cds-PLY93966.1 pep primary_assembly:Lsat_Salinas_v7:8:234101124:234102586:1 gene:gene-LSAT_8X141900 transcript:rna-gnl|WGS:NBSK|LSAT_8X141900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKELPKDVIIDILSRVPIRYILRFKSVSKSWYALFKNPKFITKHFENQATSSDVTALDASFLFTPHKSSSPPTAAANRNVGLILSNSEKPIKIPIEIDIPFLSISKPLRVCGSCNGLICLSILPIASIILLWNPATRVFKDLPVSPIDRPQAGPIKVVLGFAFDDVTNDYKVLRIVYYGYPLNQVEMYSLNTNTWKEIKTRVQFLIFESSCSVFLKGRFHWTAIGFEEMHGKKLIVCFDFREEAFHYIMPPEFDFGGWDAEGEDSSMSKVSWTAVAFKDSLAVIGSAGNGSGKRFEVWVMKEYGVVSSWTKYRSFELQTKVGRPLGCGLKGEFLLEKDNNQLVLYDSDSQRIKNLGNHGVAYWSDVFNYVGSLLPINGGKVAQRTNLSSVVPDIFFVRKMDLTIE >cds-PLY99602.1 pep primary_assembly:Lsat_Salinas_v7:5:242139140:242142918:-1 gene:gene-LSAT_5X119461 transcript:rna-gnl|WGS:NBSK|LSAT_5X119461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGSSGNDERSERRERRSDFENSEDERRTRIGSLKKKALNASTKFKHSLKKRGSRRKSDGRVSSVSIEDIRDAEELRAVDKFRQSLLLDELLPEKHDDYHMMLRFLKARKFDIEKAKQMWADMIHWRKDFGVDTIIEEFEFQELTEVLKYYPHGNHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYIKYHVREFEKSFAFKFPACSIAAKRHIDSSTTILDVQGVGLKNFTKGARELIQRLQKIDGDNYPETLHQMYIINAGPGFRLLWSTVKSFLDPKTTSKIHVLGCKYQNKLLEIIDASELPDFLGGTCTQCLDKGGCLLSDKGPWNNPEILKLALNSEARRARQVVKVLNSEGKIVAYAKPQLHALRSSDTSTAESGSEAEDIASPKAMRSYSHLRLTPVREEAKTIGGTSYAGPFSGYDEYVPMVDKAVDSGWKKQPSAPKPYDPRGQSQTRTLTFIEGQKGVEKANGMWVMLVAFLMTVFTVLNSVLKRVTKKLPDTRSEDAKRTEEFSFDGPGNEEFRPPSPIPSFKEAEVFNSVLKRLGELEEKVDTLNSKPSTMPYEKEELLNAAVCRVDALEAELIATKKALHEALLRQEELLAYIDGQEEKKLRKKKYSCW >cds-PLY88640.1 pep primary_assembly:Lsat_Salinas_v7:5:140249243:140249948:1 gene:gene-LSAT_5X62001 transcript:rna-gnl|WGS:NBSK|LSAT_5X62001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTSQNRATRTFMDYESISQAMDGICALYERKLRELSPAMRNITYDIEDLYNFIDGLADMSALVFDHSIQAYLPNDRQWIRNRMFNHLRKLAH >cds-PLY81261.1 pep primary_assembly:Lsat_Salinas_v7:4:306524785:306526318:-1 gene:gene-LSAT_4X155700 transcript:rna-gnl|WGS:NBSK|LSAT_4X155700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNSPSLVGLKWFTVAVMALLLSTGYLQHTEAQRVRCDPVELSWCLQAIVSNMPPSSTCCQRLKGQEPCLCRETTDPTFGGYLRLPGARRVAAACGSPSILQVISTALFGVGEIPCRRRGYQICTWRIVKEQIVQKNLVSWRGSFALKHNQMVGDTTKKNVADASSILGGDFGCNQVLISNVTP >cds-PLY73570.1 pep primary_assembly:Lsat_Salinas_v7:4:320572780:320574823:-1 gene:gene-LSAT_4X160081 transcript:rna-gnl|WGS:NBSK|LSAT_4X160081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWWARSIANTLQFNADDDEDSEQHRMNNNNNNNNTEKDLSTDQQSEDDDSPLTPGRGVKEDLSEITKTLTRQLWGVASFLAPPPPPAEYSNEQSDPSDPEDASPEGISGIRRDFAEIGGRFRSGISKLSTNIDVSEITKMATNFLQLSPDGDDYQLSRDANAIGVTDEVVAFVRDITMHPETWLDFPLPEDEDDDEDFELSDAQQEHALTVESLAPRLAALRIELCPGYMSENSFWKIYFVLLHPRLESQAAELLSTPEIVKARASLTREMKDRSKLQTNEEEASGNKFPFSEQKPKPEDVSLPSGPQPESESESESELTEKHPIPIPTEEVQVIDKSVIQEEPRNDTKPEAHNVVESNDEDDWLKEETSENVIPIPIENDEDVSFSDLEEEDGPESSMKESREWVQLGESSGKQGNKGKVEMKESNDWLDVDDIDVA >cds-PLY64189.1 pep primary_assembly:Lsat_Salinas_v7:7:2111387:2111755:-1 gene:gene-LSAT_7X861 transcript:rna-gnl|WGS:NBSK|LSAT_7X861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEWTEVRRRNPSDNRKENESESSYFVTNIPDRATKLEFRKIFSKFGRLSDVYFGGKKGVNGKCFGFIRFQGVEDTKEMESMLNGTKCRNNTLKINIAKHERKIPGQSRVRKFQWWLVEP >cds-PLY64288.1 pep primary_assembly:Lsat_Salinas_v7:8:222003136:222006503:1 gene:gene-LSAT_8X136860 transcript:rna-gnl|WGS:NBSK|LSAT_8X136860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKMNLNNVKVPRMPNGGAASALVKFGVVIGIGLYAAGNSLYNVEGGHRAIVFNRIVGVKDKVYPEGTHIMIPWFERPIIYDVRARPHLVESNSGSRDLQMVKIGLRVLTRPVADELPSVYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERAANFNIALDDVSITSLTFGREFTAAIEAKQVAAQEAERAKFVVEKAEQDKRSAIIRAQGEAKSAQLIGQAIANNPAFITLRKIEAAREIATTMANSSNKVLLNADNLLLNLQEMNLEKK >cds-PLY86763.1 pep primary_assembly:Lsat_Salinas_v7:4:296531855:296535894:1 gene:gene-LSAT_4X147380 transcript:rna-gnl|WGS:NBSK|LSAT_4X147380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSIHKSFKYDVFLSFRGKDTRTNFVDHLYHALQQKSIHTYKDDERIKKGKKISNELIGSIEDSKFYIIVFSKNYASSSWCLDELVKIMECHRTTEQTAYPVFYDVEPSEVRKQSGAVGEAFAKYEMEEAAGKWRVALKEAADLAGWELKKTADGHEAKFIQKIVEELSLVLRTISFNIDEKLVGMETRIKDLVPSLGICCDDVRMIGIKGIGGGGKTTLARALFDHISFQFEGKSFVENVRENASLSGLKSLQKQVLSDILNYKDISISSVHDGKQMMKRMMPSRKVLVVLDDVDHIDQLEVLAGEPNWFKPGSRIIITTRDEQVLVAHRVKLIYDVNLLSNNEAIFLFSRYAFGTDIPAQGYEELCRQVVRYAAGLPLTIRVLGSFLCGKNELEWIDALDRLKTIPLNETLKKLELSYIALEEDYKEIFLNLACIMKGWRKDDAIKALESCGFYARNGLRVLQQKSLITIYKNYGYDHLGMHDHLEEMGRNIVRRSHPDKPHKHSRLWKVDEIEDTLANDLGSTKATKYIQVHRKKFSLPIFIKGLRKMKELRFLCVRGNCSIDLEFSIVGPDFPKALRYLHWDFYPFRSLPTTFQANNLVALEMANSRIVQLWEGGERKVLNKLRFLDLSSPVLSTFDLGLTPNLEMLTLQRCHDLLELHMTVGCLKLISVVLEGSRLRTLDLGLAPNIEELILVGCEDLENLHLPGRCLNLRHLLLTKSKLRTLDIGLTPNLEKLNLKKSYCLEELHMANECQKLNELIITYSNLRTLDLGMTPNLEKLVLIECHKLVELHTPFGCLKKLVHVNLSGCLRFRSFKFNIKDYTSCSVDESLEVGPLAELYLCVESVKRCLLHPDNKLPKFQFFCDYKEDGPSLTRNLERLFSVGMCACTNLETFSQSICGLRRLRKLVLQYSFVEVIKDLDQLECLEELILYYTKIKHLPDSILMLKHLKYLELYDCSLLEKLPEDLGQLECLQKLHLTDVKIIKHLPDSICMLKHLKYLELYDCLLLERLPEDLGQLECLEKLHLTDAKIIKHLPESICMLKRLKNLQLYGSLLEKLPEDLGQLECLEELDLHGCARLQDIPNSICEMKCLKHFFLYNCIRVEKLPDELGRLGCLEALYIHGTSISDLPQSIFLLKDLRIQGSRQLLQSCGFTPEIEAHRYIDETMCYARVPIMQEPTVK >cds-PLY84613.1 pep primary_assembly:Lsat_Salinas_v7:1:31621869:31622120:1 gene:gene-LSAT_1X27981 transcript:rna-gnl|WGS:NBSK|LSAT_1X27981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTENRQGIPLITGRFDSLEQLDEFSRSF >cds-PLY78148.1 pep primary_assembly:Lsat_Salinas_v7:4:96841432:96843118:1 gene:gene-LSAT_4X62041 transcript:rna-gnl|WGS:NBSK|LSAT_4X62041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKSKLTIRTMGMLVRKYHIDPKFHPYLPEANDAITDTPEGFVGVYRVFFKSRLCLPAFNFIETILDYDGLYIAQINPNAFHKVLCFTLLCIALDVSPSITLFCYFYLLMSNGDCLSLSIRHGLVDLCAGLPTSIKYWKEEFFFVHASAFSGPMAYGETANRVSDPVLELSPDEMLITERLESNFVRWEDPNKAVLEELSQCQVVFDEALEGLKQLRVLDEQTKKEDKELKVEVVGLSKRNRSLVADLSQSIGQQEEVKKLNQYFQMRIDDALSHHALAIKELEDFSQ >cds-PLY92652.1 pep primary_assembly:Lsat_Salinas_v7:2:160067282:160068807:1 gene:gene-LSAT_2X83701 transcript:rna-gnl|WGS:NBSK|LSAT_2X83701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKLLRKEREPPVKSVVWALFGAIYLCFGMPEVYRVLFEVFGMDPEDEECQPKLRRQLEDVDFVSAEFEGKKLTWQEVAAYKPPEDALFAHPRLFRACVPPGMHRFRGNIWDYDSRPQVMNTLGYPLQVKDRIPEITNARNIELGLGLQLAFLHPSKHKFEHPRFCFERLEYVGQKIQDLVMAERLLIKHIDAPGRWLQEKHRRLLLNKFCGKYLREKHLHRFIIYSEEVQDSYEHNRRLRNPATTAVQQAIHGLSYTVYGKPDVRRLMFELFDFEQSQPKAV >cds-PLY76154.1 pep primary_assembly:Lsat_Salinas_v7:4:52442762:52446075:-1 gene:gene-LSAT_4X34641 transcript:rna-gnl|WGS:NBSK|LSAT_4X34641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX prenyl protease 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G01320) UniProtKB/Swiss-Prot;Acc:Q8RX88] MAFPYLEAVVGFMIFMYIFETYLDVRQHVALKLPTLPKTLEGVISEEKFKKSRAYSLDKSNFHFLHGFVTILMDSAILLYGVLPWFWKKSGDTLESIGFDSENEIYHTLAFLTGVMIWSQLTDLPFSLYSTFVIEERHGFNKQTILLFFRDMIKGMFLAVVIGPPVVAAIILIVQKGGPYLAIYLWGFMFVLSLVMMTIYPVLIAPLFNKFTPLPDGTLRSKIENLASSLSFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKNEEEVVAVIAHELGHWKLNHTMYSFIAVQVLTLLQFGGYTLVKNSKDLFLSFGFDTQPVLIGLILFQHTIQPIQHLVSFGLNLVSRAFEFQADAFAKKLGYSKPLRDGLVKLQEENLSAMNTDPWYSAYHYSHPPLVERLAAIDEADKKAD >cds-PLY96767.1 pep primary_assembly:Lsat_Salinas_v7:2:170913552:170914544:-1 gene:gene-LSAT_2X93741 transcript:rna-gnl|WGS:NBSK|LSAT_2X93741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKKGRVPCAYSGGFFSTIESTGAGSSGLTGQGSMKWMKLRSALPVQVVPLYKISFAEKKKWLLQLTMELDKVRALHKRLDLHSAQAVTISSSRMQPRGAKKKGTFSPVLRKQCENLLKKLMLHRYGWVFNKPVDIIEFNVPDYYDVIKNPMDFGTIKEKLGSDKYSSPMDFLADVSLTFTNAMTYNPPGNHAHVKAVALSKFFEQRWKPIEKKIPVNDSQQDKFIEEMDLAKVMPPSKKSMITMAMTVEEKHNLSRDLEVHLENLPDQILDFLKEHASNGNESGKDEIEVDIDDLDYDSLLKLRKMLDEHVQDKGNYTEVETSAISIGA >cds-PLY93650.1 pep primary_assembly:Lsat_Salinas_v7:1:188039081:188042740:-1 gene:gene-LSAT_1X120500 transcript:rna-gnl|WGS:NBSK|LSAT_1X120500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSKIGYTIPNIVFLLFLINVVSLLYQFCNAESEKVSAVFVFGDSTVDPGNNNYLPTVARANFPPYGKDFVNHKPTGRFSNGRLVTDFIASFLGVKENLPPFLDPTLTIDDLMTGGALTTSQQLDLFRDYKRKVSMAIGKERTDDLIRKAVYIVSSGTNDFAFNYYGAIVVRRTAYPTISSYQNFLWQNIESFLQGLMVEGAQKIGVVSAPPIGCLPAIITANSKDPIHSRKCIERFNSISIDYNKLLENNLKGLQRWNTRIIYADIYKPVMDMVTRNRQIDFEEVHRGCCGSGLIEADFMCNQNSPVCTNVSKYVFWDSFHPTERAYNFIFKSLETLIRQYIG >cds-PLY92354.1 pep primary_assembly:Lsat_Salinas_v7:3:125527800:125529322:-1 gene:gene-LSAT_3X86160 transcript:rna-gnl|WGS:NBSK|LSAT_3X86160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQVKNHSLIFNFSLRRNLIEAQSTKVGFVNFPATSLNCRRNLTSTSTNLSHIKAKETPRMSSLKSGIEVKSNESGIINNSDDSNGSIRHEISTKGKRSTNIVWHKCSVEKIDRQELLQQKGCVIWITGLSGSGKSTLACALTRALHSQGKLTYVLDGDNVRHGLNRDLTFKPEDRAENIRRIGEVAKLFSDAGIICIASVISPYRKDRDAIRSILPDGDFIEVFMDMPLRVCEARDPKGLYKLARAGKIKGFTGVDDPYEPPLNSEIVLEYEGDVCPSPEVMADKVICYLDAKGYLKA >cds-PLY97925.1 pep primary_assembly:Lsat_Salinas_v7:4:83098454:83099700:-1 gene:gene-LSAT_4X55461 transcript:rna-gnl|WGS:NBSK|LSAT_4X55461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCFVSTNKTPRSPEFNRHSSKSSRAPPPVDEETVKEVLSETPNHKHFLKIEDEPRKSIPRRSLHKIQDQQHNNLDDLNLSEICSTMSENVSTTTFEEENEVIRRRVTDRSPAKRRNQQQNSGELRAVRNSPVRGKQQSPGRIRSVGEINNRGSGFGSNGRQRPVSGTGQESRSRSPANRTTVRGGGGGTRNGIGRSPSKRKTENSPGRFRSGLPESVPKPDLGSGIEIEESNWAPPDRNDDESFENPLVSLECFIFL >cds-PLY82916.1 pep primary_assembly:Lsat_Salinas_v7:6:133292834:133297059:1 gene:gene-LSAT_6X80880 transcript:rna-gnl|WGS:NBSK|LSAT_6X80880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLQASRSNPKGISDILIPSFNQANKIGKINLVAIGKDLMDDEKQGDVLLLFAGAVSSGQEGHKLENTSGTTSKKPALNSIGVGGQSQTPIGVSQPNMQGIAHQIVVQQNCLLMLVAYHNQQLWQLL >cds-PLY78041.1 pep primary_assembly:Lsat_Salinas_v7:7:192766978:192768473:-1 gene:gene-LSAT_7X116520 transcript:rna-gnl|WGS:NBSK|LSAT_7X116520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAFVGKYADELIKNAKYIATPGKGILAADESTGTIGKRLSSINVENVESNRQALRELLFTAPNAVTYLSGVILFEETLYQKTADGKPFVDVLLEANVLPGIKVDKGVVDLSGTNGETTTQGLDGLGARCAKYYEAGARFAKWRAVLKIGATEPSELSIQQNAQGLARYAIICQENGLVPIVEPEILTDGSHDIKKCAYATEMVLAAVYKALNEQHVLLEGTLLKPNMVTPGSDSPKVTPEVIAEYTVTALRRTVPAAVPGIVFLSGGQSEEQATVNLNAMNKLEVLKPWTLTFSFGRALQSSTLKTWGGKKENVAAAQAVFLARCKANSDATLGKYEGEGAGGLASESLYVKGYKY >cds-PLY82575.1 pep primary_assembly:Lsat_Salinas_v7:2:182875316:182877134:-1 gene:gene-LSAT_2X104460 transcript:rna-gnl|WGS:NBSK|LSAT_2X104460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMEKGVKRWLVNISQWNPTPNEFSIAMSVLPQEQHSSITRFMKTEDQKRALVSRLLQYALVHEVLEIPFEEIIIHRTPEGKPYLESHKNSIKFPNFNFNVSHHGDYVAIASEPICLVGLDIVCCVNPGKETVSEFIRNFSSYFSSSEWEKIVNAGSDDHVLDVFFRKWNRNWCLKEAFVKALGTGVGYKLDYVEFQHKDWADIYVKVDGDTLKDWNFWLFELQGRHRVAVARGNPRMASESYKKTLKQTHFDNDLYKLGFHLPNPRFLTRTVEELCALFHLCSYKTSF >cds-PLY63912.1 pep primary_assembly:Lsat_Salinas_v7:5:127895416:127899387:-1 gene:gene-LSAT_5X57281 transcript:rna-gnl|WGS:NBSK|LSAT_5X57281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRTPKLRRYIAILCVILFLNIECSEEVDITIIESAVSKGAVCLDGSPPAYQLDRGFGDGVNNWLVHIQGGGWCHTVDDCVYRKTMDNGLGSSKLMPVMDFYGILSNKQDQNPHFYNWNRVYMRYCDGSSFTGDVEEVDPGTNLHFRGARIFTAIVEELMRVGMYDAQNAILSGCSAGGLASILNCDKFHGFFKSGTRVKCVPDAGYFAHVKDYSGGYHFEQYYDKVVTLHGSAKNLPSGCTSNMNPALCFYPQYAVPYIQTPIFILNSAYDTWQIYNILASYEADPSGSFTKCKTTLNECSTSELQRFKDFRSEFLGAVSAIGNSSSKGMFINTCYTHCQSEDQSAWFGKPTSKLYDKTMAEAVGDWFYEKYDWRMIDNENVLPHYC >cds-PLY69062.1 pep primary_assembly:Lsat_Salinas_v7:3:206965616:206967909:1 gene:gene-LSAT_3X121460 transcript:rna-gnl|WGS:NBSK|LSAT_3X121460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSFLGRLLFASLFILSAWQMFNDFGDHGGPAAEELAPKVASIQRFLASKIGNGVPKIDVKHVVLASMALKGVGGILFVFGSRTGAYLLMYYILFMTPLLHDFYDYETDDPKFHVLLPEFVQSLALLGALLFFLGMKTVLPRKLIKKKPIKTKTI >cds-PLY79118.1 pep primary_assembly:Lsat_Salinas_v7:2:17058143:17058400:1 gene:gene-LSAT_2X9881 transcript:rna-gnl|WGS:NBSK|LSAT_2X9881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAYWTANWRDRRWSGEKADMGERRGIIGTCSGGGDCFRRGEMAKMQIRRERKGTDLMFPTELWWLRRRNNDSGKWSGDSMVVEK >cds-PLY71094.1 pep primary_assembly:Lsat_Salinas_v7:1:145441374:145442205:-1 gene:gene-LSAT_1X103420 transcript:rna-gnl|WGS:NBSK|LSAT_1X103420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMDSKFNVGDAGVIKAKTRTCSMKEGLRLPHEYCRSTNGGMASAGEVLLPHQLSKSTGINRFSEGGHAINRPVSQKKDKNLMLPHEFFGGNGSSIAGEEILLPHQPCESVGSSWFSDDEINRKTSAKIDNTVVLPHEAVGRRRSRIAGEEVMLPHQMCESMGGGRFSDRASKHDNTPKKDKTITEEFTDRCQSRCSGGEKNLLPHEVLGNKTDVSDSAIKLSISNKNDQNLLLPCNLSIEMKIPEGNFSKDMIMLSVNLAGTAFELSLGR >cds-PLY88371.1 pep primary_assembly:Lsat_Salinas_v7:9:18009955:18019206:1 gene:gene-LSAT_9X15540 transcript:rna-gnl|WGS:NBSK|LSAT_9X15540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDLDSLNSLRPVYGLIFLFKWRAGEKDERLVIKDPNPNLFFASQVINNACATQAILSILMNCPDVDIGPELSALKDFSKNFPPELKGLAINNSDAIRTAHNSFARPEPFIPDEQKSAGKDDDVYHFISYIPVDGVLYELDGLKEGPISLGQCSGGQGDMDWLKLVQPVIQERIERYSQSEIRFNLMAIIKNRKEMYTVELKELQRRRERLLQQLAALQAERVVDGGNVEALNKSLLEVNVGIESATEKILVEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLRPLIEKAKQKTSGPRGHVNLLCIVPILSDVPEGTTIDEELRHIEE >cds-PLY98762.1 pep primary_assembly:Lsat_Salinas_v7:1:7883138:7889789:1 gene:gene-LSAT_1X6540 transcript:rna-gnl|WGS:NBSK|LSAT_1X6540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKPTAREEDGGAVGSKSRKKAVVIDDDEYSVGTEVSEEKSVQEEEHVAPVAGKKKGKKGKNSRIKEEEEDVEDGDNPQESQFTGKKKSKSKAKKGGSSFGASTSFALLGDEDDETSEVTKDEEDDVSEEEDISLVFTGKKKPSKSKKGGNSFAGSAFAAIGDDDDDDDEDLLDESGSKQQSEDEDEPVISFTGKKKSSKSNKKTSGSIFSAAHLLDEENEKESDEDDDVPITFSGKKKSTKSSKKKTSPPDVALAGGGTDEDVSVSGLTDEDSSTIKFSGKKKPSKKKNSNTVNAVDEQEQPSTSITNKEADVVPETSKNKKKKKKGGRTAQEEDDLDRILAELGETSAPASAPPPPSATVEQPQEEKAQPEAEPADEGGEKDTNEEAVESAAAKKKKKKKEKEKEKKAAGLKTAAAPVVEEKQAESKSKVPDKKVPKHVREMQERLARLKEAEEKKKREEEEKLRKEEEERLKQEELERIAEEKKRLKKEREKEKMLKKKQEGKLLTAKQKEEARRLEAMRNQILANAGGLPVSAPTKRPKYQTKKSKPHANGKGPSTNANEVTEAEKVDDVEVEKSDDMEMEKIEAPSVEENGNKEDEEEEDDDEWDAKSWDDADLKLPGISAFADEEESTAPQPKPESAPVVKKEIKSSNDSGATNVPKEMHQNHDSKENQPATVVANKGKGKKEEKKEEEDGEKTLRSPICCIMGHVDTGKTKLLDCIRRTNVQEGEAGGITQQIGATYIPAENIRERTKELKADAILNVPGLLVIDTPGHESFKNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLRMRNTEFIVALNKVDRLYGWKTCRNAPIGKAMKLQSKDVQLEFEHRLTQIITEFKEQGLNTELYSKNKDRGETYSIVPTSAISGEGIPEMLLLLVQWAQKTMIEKLTYSSDIQCTVLEVKVIEGLGTTIDVVLVNGVLHEGDEIVVCGFQASANTLLLHSSYFLFGPIHTTIRSLLTPHPMKELRVKGAYIHHKEIKAAQGIKITAQNLEHAVAGTALYVVGPDDDVDEIKESVMDDMRNVMSRIDKSGEGVYVQASTLGSLEALLEFLKTPAVNIPVSGIGIGPVHKKDVMKASVMLEKRKEYATILAFDVKVTPEARELADDLGVKIFLADIIYHLFDQFKAYIDNLKEEKKKEAAEDAVFPCVLKIMPNCVFNKKDPIILGVDVLEGIAKIGTPICIPQREFIDIGRISSIENNHKPVDIAKKGSKVAIKITGSNAEEQQKMFGRHFEMEDELVSHISRNSIDILKANYRDELSNEEWRLVVKLKNLFKIQ >cds-PLY87573.1 pep primary_assembly:Lsat_Salinas_v7:8:186003267:186006336:1 gene:gene-LSAT_8X119901 transcript:rna-gnl|WGS:NBSK|LSAT_8X119901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMENAKMEILRSNEGDESNDLVAEMIEIIETVGSYMGFRRTQRKECLKLVRRLKLLIPLFEEIKEMNQSIPTRAFQSFVTLKKALLSAKKLLKHCNFGSKIFLALESDAMMSRFLNVYDKLNEALDGLKYQELGISEEVQEQIELLRKQLQGAKRRTDTLDIELAMDMMVIFSKNDERNADIAILERLAKRLDLYSISDLEIETVAVKKLVKERGPTKHVESTEQIVDLLKKFKKVAGFDAINGGNKAFDDSSSLRCFDRCRSMLIPHEFLCPISLEIMTDPVIIATGQTYERESIQKWLNTHRTCPKTGEILTHLALAPNFALRNLILQWCEKNKYELPKKESFSISENQNAFMDELSSLIQNLSSSQLATQTQAVEKIRMLSKENPENRILIANSGAIPPLVKLLYSRNHKVKEHSVTSLLNLSLDESNKRLIAREGAIPAVIEVLQNGTDEARENSAATLFSLSMLNENKVMIGSLKGIPPLVKLLQNGSIRGKKDAATALFNLSLNQSNKTRAIKAGIIEPLVRILNEKSLGMVDEALSILSLLAKQPEGVTGLGQLPVIKMLVGFVKDGTPKNKECATAVLLELGMNKSCLILAALQYDVYDDLVELSKSGTSRAQRKANALLQQISKCEHIM >cds-PLY71131.1 pep primary_assembly:Lsat_Salinas_v7:9:80143477:80144457:1 gene:gene-LSAT_9X64040 transcript:rna-gnl|WGS:NBSK|LSAT_9X64040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQYSQYLMWVKSKNSILKNSWEEQAFAEDARGPLGGFVWPPRSYSCNFCRREFRSAQALGGHMNVHRRERAKLKQSINGTQQNPKSLLESTNPISFLSTDSPFRVSSIPILGQESTTPGSNSDIKSCVCDEAFVFGPVETNLSLGFDGCDDEEVMNCKRQKKVVWPLFMHSMEDHVDLELRLAR >cds-PLY88092.1 pep primary_assembly:Lsat_Salinas_v7:6:181312985:181320324:-1 gene:gene-LSAT_6X109621 transcript:rna-gnl|WGS:NBSK|LSAT_6X109621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHGRWWFGEMTKGPCQGLRKMMGRSANGGCGTEEKHCPVSTSRVPTKFSPEDKDAPLARLVGIDFYAQACKALAVLSPFDLEDGQKKSSAIVSTLPGGLATCLSKHSDSRKRHKKSHLGSDTKKNKSSSRQEKSRGTTVWSETEDYFRELKVDDIERLNDASSFRDNKFISIPYPGNNNETNNHSGVVVAAGEEQDANHFMEVDDTGANEISNNQQEDDTACSLPQSSSGVEWLLGSRSKIYLTSERPSKKRKILGGEAGLEKLFVALPVKDHDQGPSTSCHYCSLGDTGNQLNRLIVCTSCGVAVHQRCYGVQQDDEAGCWVCSWCRLKRDEDKSTDSHCLLCPQQGGALKPVRKRGAGNDEGGLMEFAHLFCCQWMPEVYIEDTKSMEPIMNIEGIKETQKKLICYICKVKCGACVRCSYGSCRTSFHPVCAREAKHRMEIWGKFGCDDVELRAFCLKHSGPQKDHSPHLENLSVGVEDVKVNDYSNFTLLLKKLIERGKVNLKDVASEINVSANLLASNLADDSLPPDLHGRIVKWLKSHAHVGGLQKNLKLKLMSPCISKVEKESVALENNVGNSPVKSAPHWRRTKGNIRVLKDNSLILSLKRSSGDDGVVMDGDKNGGLEESSPDSKEKISAESCPASDLLVNNSDSGQTEAIESKCDTRVNSNLGNHDCLNAMNDTSDTIRTEALHDSYVHPLILLQNQVLSKINADKEDALPCISQNQNSPSTESSCDSDKTKLINMSPIDELEGELVYYQHHLLCNAVSRKHLSDDLISKVIKILPEEIETLRKRNWDAVLVSQYLSELREVKKQGRKERRHKEAQAVLAAATAAAAASSRLSSFRKDTLEESAHHENKLKIKAFGGRSAVHAQPKETHPKLSVMWTPPEMNIDSSPSSLDYKGHSRVCDVCRREETVLNPVIICSSCKVAVHIDCYRSVKDSTGPWFCELCEDSSSSRSRGVPSFSYSEKLSRVAECCLCGGTTGAFRKSTDGQWVHAFCAEWVLESTFRRGQLKPIQGMETISRGNDVCLVCSRKVGACIKCNYGHCQSTFHPTCGKSAGFFMNVRTSGGKIQHKAYCEKHSQVERTKAETQKHGVEEWNSLKKVRVELERLRLICERIIRREKLKRELVICSHDMLRWNRESVSSSSSSCIIPTRGVCTGFVSPDVSSESATTSLRGGYTNSYKSCSETIIQRSDDITIDSTTTVAGKRRIRFPIAAMDNDQKTDDSSTSQIFTQVPSVNVRPMLSGKQIPIRPSSVASRISTSTDDGDKFFRHGKHTETFEKELVMTSDQATMRNQRLPKGFVYVPIRVLSNENENETVPAAVAPTPKVASASSEENSGQDG >cds-PLY83853.1 pep primary_assembly:Lsat_Salinas_v7:3:49596084:49597061:1 gene:gene-LSAT_3X36980 transcript:rna-gnl|WGS:NBSK|LSAT_3X36980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAITGKISREVEIKCHRHLVYELYKHKPHHISVVDPERVEACHLISGQWGVPGAVIQWHYYHDGKKETAKEIIEEIDDELHKIVFKVIEGDILDVYNSISVIFMTKDVGDKKFVIRTIEFEKANDSIPDPTSYLDSLCGLAGNMDSHFLK >cds-PLY63139.1 pep primary_assembly:Lsat_Salinas_v7:4:65046349:65049810:-1 gene:gene-LSAT_4X45560 transcript:rna-gnl|WGS:NBSK|LSAT_4X45560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPITPFEKEGIVCHISGHEGFTNAFVYCVKCLEFVIHSQGCPLLQHKANKTKEKDQRVHEPEGQFQTFNPTLRVEEMVSSS >cds-PLY79652.1 pep primary_assembly:Lsat_Salinas_v7:5:251667908:251675526:1 gene:gene-LSAT_5X125941 transcript:rna-gnl|WGS:NBSK|LSAT_5X125941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDSSLRRPATATAGLKFLTVTLFLLPFLYDFRSDAQLLPAEEVEALQSIASSLQYNNWRVSSDSCSGGGGLNNTNSDNGQGLMRFGSNVTCSCNSTVCHITRIQLKGLNLTGVLPEEFANLTFLQEIDLSRNYINGTIPARFGQLRITILSLLGNRISGPIPREIGDISTLEELVLEDNLLSGQLPPSLGRLTRLRRILLSANNFTGTIPESYGNLTNLEDFRIDGSTLSGRIPSLIGNWTRLIRLDWQGTSMEGPIPSTISLLTNLQELRITDLTGPSTTFPNLQNLTRMVRLHLRNCLLTGSIPEYIVQMSGMKNLDLSFNRLTGIVPDSIGRLSFDSVFFNNNSLSGDIPGWAFAFNNRKIDLSYNNFTQSQQRNCQPSSLNLVSALSPTEASNSNSWCMTDGLTCSRNPNRYELYINCGGTSLEFEGKEYEQDLTDERSYFNPAGERWAYSSNGVFMGNDNAPFVTRTNNVAGGDVYRSARLSPTSLRYYGLCLRTGSYKVRLHFAEIMYSDDMTFSSLGRRIFDVSIQGDLKLKDFNIMEAANGTGRGIYRDFDNIIVNNGSTIEIHLYWAGKGTTAIPDRGVYGPLISAITITPNFKVSTGGLSAGAIAGIVIGCCAFVLLILAVLWKLGYLGGDKEDKELRALELQTGYYSLRQIKAATHNFDSANKIGEGGFGPVYKGVLTDGSTIAVKQLSSKSKQGNREFVTEIGMISALQHPNLVKLYGCCIEGNQLLLIYEYLENNSLARALFGKEDQRLNLDWKTRQKICTQVAKGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEGENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGIVALEIVSGKSNTNYRPKEEFVYLLDWAYVLQEQGNLLELVDPILGSHYSKKEAMRMLDVALLCTNPSPSLRPAMSAVVNMLDGKIPVQPSVVKRNGMDDAMRFRAFEIISQDSQTQTRSSIVSPESQLPRSTTIDDPWVDSSV >cds-PLY72950.1 pep primary_assembly:Lsat_Salinas_v7:5:9602208:9604453:-1 gene:gene-LSAT_5X5280 transcript:rna-gnl|WGS:NBSK|LSAT_5X5280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT5G54840) UniProtKB/TrEMBL;Acc:Q9SUJ6] MAHLYRRIFRLDVRWSVLLQKVSVFREIIRLIWDRFLACSMGKPVRYRRLSQGSSSPAEELESGFDLENPTTTCNGYQEDSDLVTLKISLLGDCQIGKTSFMIKYVGDEQENGRLEMAGLNLMDKTLSFGGARIAFSIWDVGGDKRSNDQVPLACKDSVAILFMFDLTSRCTLHNVLGWYMQARKWNQTAIPILIGTKFDDFVMLPPDLQWTIVNQENVSIEMFPLGFRVANTI >cds-PLY66000.1 pep primary_assembly:Lsat_Salinas_v7:4:139080039:139081918:1 gene:gene-LSAT_4X87261 transcript:rna-gnl|WGS:NBSK|LSAT_4X87261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDFTDYRHGSSGGRNIIFGKYEMGRLLGQGTFAKVYYAKDLVTSESVAIKVIKKDQVRKEGLMEQITREISIMRLVRHPNVVELKEVMATKRKIFFVMEYVTGGELFAKVLKGKLKEDVARKYFQQLISAVDFCHSRGVSHRDLKPENLLLDENGDLKVTDFGLSALPEQLTNDGLLHTQCGTPAYVAPEVLRRKGYDGAKADIWSCGVILYVLLAGFLPFQDENIMRMYKKIFKAEYECPPWFSADTRRLISKILIVDPSRRITIPAIMRLPWFLKGFQRPLAFSIKETEDQGTETEQLPKLKSSPPFYNAFEFISSMSSGFDLSNMFESKRKSGSLFTSRYSASAILNKLDSAAKKLNFKILNSKQNEYKIKMQGISEGRKGKLSVTAEVFEVAPEVSVVEFSKSAGDTLEYKKFCEEDVRPALHDIVWKWQGEDNNT >cds-PLY84234.1 pep primary_assembly:Lsat_Salinas_v7:7:76848147:76855089:1 gene:gene-LSAT_7X54680 transcript:rna-gnl|WGS:NBSK|LSAT_7X54680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMYGRDPWGGPLEINTADSATDDDRSRNLNDFDRAALSRPLDETQQSWLLGPGEQKKKRYVDLGCIIVSRKIFIWTIGTILAAGFIAGIITVIVKNVPRHHKHPPAPDNYTIALHKALMFFNAQKSGKLPRHNNVSWRGNSCLNDGKSDKSGAVMKDLVGGYYDAGDAIKFHFPQAWAMTLLSWSVIEYSGKYEAVGELAHVRDIIKWGTDYFLKTFNSSADSISQLVAQVGNGDTSGGTSDPNDQTCWMRPEDIDYPRPVTTCSSCSDLAAEMAAALASASIVFKDNKIYSKKLVHGAETLWKFARDQRGLYSGGGSDAATYYNSSMYWDEFVWGGAWMYYATGNQSYLYLASHPTLAKHAGAFKGGPNYGVFSWDNKLPGAQVLLTRLRLFLSPGYPYEQTLKTFHNQTSIIMCSYLPYFTSFNRTRGGMIQLNHGNTQPLQYVANAAFLATLFSDYLDAADTPGWYCGPNFYSTDVLRKFAETQIDYILGKNPRKMSYVVGFGNHYPKHVHHRGASIPKNKIKYSCKGGYKWRNSKKPNPNIIFGAMVAGPDRHDGFHDVRMNYNYTEPTLAGNAGLVAALVALSGGRTVKVDKNTIFSAVPPMFPTPPPPPAPWKP >cds-PLY87001.1 pep primary_assembly:Lsat_Salinas_v7:5:262027340:262028902:-1 gene:gene-LSAT_5X134040 transcript:rna-gnl|WGS:NBSK|LSAT_5X134040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLELAGNAARDNKKTRIVPRHIQLAVGNDEELSKLLGDITIANGGVMPNIQNLLLPKKIDIIDISVSEIG >cds-PLY73114.1 pep primary_assembly:Lsat_Salinas_v7:9:23034642:23035453:1 gene:gene-LSAT_9X21201 transcript:rna-gnl|WGS:NBSK|LSAT_9X21201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATFPAPPVKKPTAAFPPRRGRVKAQIFEEVAETVASAASRAGDFMGFIKKENEGSAPEPPPKTTKTYGEDGRTV >cds-PLY64423.1 pep primary_assembly:Lsat_Salinas_v7:5:37533455:37534218:1 gene:gene-LSAT_5X18480 transcript:rna-gnl|WGS:NBSK|LSAT_5X18480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLKGATTQACAACKYQRKRCTPDCTLAPHFRPEHPVVFKNAHKLFGVKNILRILQQIEPHHETEAMRSIIYEANMRDQFPVYGCLTAIYDLRYQIRQAEEELHDVLTQLAFCKQCHQKQHATNLSNVVSHDSLQLGMTVVQPDNAPLIHDHEEMLPVFDNIENCSHT >cds-PLY89290.1 pep primary_assembly:Lsat_Salinas_v7:2:52253399:52254832:-1 gene:gene-LSAT_2X23100 transcript:rna-gnl|WGS:NBSK|LSAT_2X23100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGLFAKYSWIGAEKCWSVDTNVGRIVVRLGYPVLETIQKYLWPRLCKLDQLTLYNLHYQMITLGKVFSTKSKPNCNACPMREECHHLICNARLALPGPEEKKTIPSVPNATESNPIPPLFTTPMSLPPSENNYTSNTQSFGRQCEPIIEEPTTPEPETTELSLSDIEDQYYDDDDDGDGDEIPTIKLDMNEFTMNLQKMQESMDIQGDMSKALVALNPQVASIPTPKLKNVSRLRTEHQV >cds-PLY89326.1 pep primary_assembly:Lsat_Salinas_v7:7:177640189:177642686:1 gene:gene-LSAT_7X105101 transcript:rna-gnl|WGS:NBSK|LSAT_7X105101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDRLRSATFDDLPSHLILEILTSGARLGGSDLVCLELTNTTFRGTHGLVPHKLRSLVDFAAFQLSGSHPIYVSLSYRAQEELLARCSGNWKKVLRFLQSVHQSSDIVETSSGNMQIRSGRYHTLLIKDSKIYSCGSSLCGVLGHGPETTNCVAFTPIKFPYPVNVTHVSASHNHAAFVAKSGEVFTCGDNSSFCCGHSDTNRPIFKPRLVEALKNVPCKQVAAGVSFTVFLSTEGQIYTCGTSGHGQLGHGDTLDRPTPKLVEPVGPIGSVVQISAGPSYTLAVTNDGGVYSFGSGSNFCLGHGEQHNELRPRVIQSFRRNGIHVVRVSAGDEHVVALDSNGFVYTWGKGYCGALGHGDEIDKTTPALLTSLKNHLAVQVCASKRKTFVLMDSGCLYGFGWMAFGSLGFPDRDVSDKVLKPSMLYSLKDHHICQVSTGLYHSVVVTNRGRIFGFGDNERAQLGHDELRGCLHPTEILVEE >cds-PLY79837.1 pep primary_assembly:Lsat_Salinas_v7:8:15968691:15969314:1 gene:gene-LSAT_8X13081 transcript:rna-gnl|WGS:NBSK|LSAT_8X13081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSVQVQRIQENNNLKNTVNHRNHSSTTTTIRRVTPSVYLPEDLHHHHTHAVGQNQCSSTITLSISAPMETVWSVVRRFDNPQAYKHFLKSCHVIVGDGGVGSVREVQVVSGLPAGSSMERLEILDDERHVMSFSVVGGDHRLKNYRSVTTLHSLPTCGGNTIVVESYVVDVPPENTKEETCVFVDTIVRCNLQSLKQMAENLTHN >cds-PLY80403.1 pep primary_assembly:Lsat_Salinas_v7:8:171662435:171666717:-1 gene:gene-LSAT_8X112540 transcript:rna-gnl|WGS:NBSK|LSAT_8X112540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLYSITGCVELGYLGYMAMNQLKRLLVVLVFFNVCFIEAQTSQAQGSNKPMKTSVQSRPYVVNIGSILTFDSMIGKVAKTALKVAVEDVNSDPMVLNGTKLKLTIHDSNFSGFLSIMEALQFMENESVALIGPESSVLAHMISHVVSELQVPLLSFTATDPTLSSLEYPFFIRTTNSDLFQMAAIADIIDYYEWRQIVVIYIDDDHGRNGITSLADQLAGKRCKVSHKSPIKPDATREEIQNVLLQIAFIESRVLVVHTYADSGLDILEIAQNLGMIGSGYVWIATDWVSTAIDISSPLPEKSIAAIQGVITLRSYIKDSKLKRNFVSKWRNSTKLGLSTYSLYAYDTIWLIARALEAFFDNGGNVSFSKYPNMGRFLNLDSLSIFNGGKMLLENILHLKMNGTTGPIEFTSDKNLVFPAFEVINVIGTGFRRVGYWSNSSRLSISPPETVTQSSSSKRLDNVIWPGETLKKPRGWVFPQNGNQLKIGVPNRVSFEEFVEEVNGSDSYQGYCIDVFTSAINLLPYAVPYKFYSYGDGHKNPKNTDLVSSIKDGVYDAAVGDIAIITNHTRMADFTQPFIESGLVVVTPVRRLNSGTWAFLKPFSTKLWCITGIFFLVVGIVIWILEHRKNDEFRGTPKQQVVTTLWFSFSTLFFSHKQNMMSTLARIVLLLWLFVVLIISSSYTANLTSILTIQKLSSPIEGIDSLIKSKDPIGYQQSSFVRNYLVEELGILESRLVPLNLPQDYEKALKDGPNNGGVVAVVDERPYIELFLSTRCQFSIVGQEFTKNGWGFAFPRDSDLAVDISTAILKLSENGELQRIHDKWLLRSACSSQGSKYSVDQLEVKSFKGLFFIIGLACLLALFIYFVLIIHQYIKHKPDPSESTGRSLRSGRIQTFISFVDEKEESVKARSTKRVKEASSCRRNGDDVAVNGY >cds-PLY65917.1 pep primary_assembly:Lsat_Salinas_v7:4:137848801:137850395:-1 gene:gene-LSAT_4X86521 transcript:rna-gnl|WGS:NBSK|LSAT_4X86521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLKKGNEKKRSLISSESWVVNDERKRRKQEHEVLEQSKYGDGMAWFRGCLIGKGCFGSVFLANLKKPKSRYSSYPPIMAVKSAEVSVSSSIQNEREVLNNLRGCRNVIRCFGEEITTGENGQMVYNLLLEYGSGGTLADLIKKSGQNGLPESDVKRHTRSILHGLRHIHHNGYVHCDLKPENILLIGSSSNGDFTAKIGDLGLAKRAKQSKKSKVVRYQRGTPIYFSPELQTDGVQEAPSDIWAFGCIVLEMFTGKPPWNSNMETNNDESPSIPSSISREGRSFLKSCFSRKACFRWTAEMLLAHTFLEGVGDDDDDEDVKVKELGEVLDINGICSSIMSDDDDDEMSMLSFSDGLSYFSEDELHCWSEEDVSCFSVEENGTTVPLNEVHQYPFTFSISSGV >cds-PLY77814.1 pep primary_assembly:Lsat_Salinas_v7:3:35037343:35040269:-1 gene:gene-LSAT_3X26160 transcript:rna-gnl|WGS:NBSK|LSAT_3X26160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRKSIRQCGRDGGSGIGGIAASDEGLPEIGVVTKLKSKPHQFYSRILPRKSEGMPISPVKMLAGREGNYSGRSRFTSADRCHLSSRYLPVNGPSVIDRMTSCAYVSQFSADGTLFVAGFQDSDIKIYNVDNGWKVKKNIRARSLRWTITDTSLSPDQRFLVYSSMSPIVHIVNIGSAMTESVANVTEIHEGLDFSGHDDDEEDDYSFGIFSVKFSTDGRELVAASSDDSIYVYDLAANKLNVRIPAHRSDVNAVCFADEAGHVLYSGSDDHLCKVWDRRCLGTRGIESGVLTGHLEGITFIDSHGDGHYLISNGKDQAIKLWDIRKMSSNARSNISIAPPRHIEWDYRWMEYPSHLRNRKHPNDLSVCTYRGHRVLRTLIRCYFSPSYSTGQKYIYTGSADAHIYIYDMVSGAQVARLEYHDQIVRDCSWHPFDPMIGSSSWDGVIASWELKRN >cds-PLY91787.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:260810:262038:-1 gene:gene-LSAT_0X1360 transcript:rna-gnl|WGS:NBSK|LSAT_0X1360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEGRKELMELMPESFHELSLKDIVMKDSDQSKKLETMEEIIDHTKLDPKRKKKGTKNRPISRSVSLDTGVYILKMFVPSSLGSKKHKVSRSKSMDGLMKHSVDVRKRRTGLFVGSTKISSGLCNNNSSCTTANQNRYGDGMLKPSNGCLFRSKPTNQRGCIFF >cds-PLY65912.1 pep primary_assembly:Lsat_Salinas_v7:4:136107135:136111945:-1 gene:gene-LSAT_4X84480 transcript:rna-gnl|WGS:NBSK|LSAT_4X84480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSGDGGGQSAIGGSSSHPNANVHNDAVDGFLKFRGYNGLYTEIELSLSASNLRDRDVLSKSDPMAVIYTKGRDGSLQEIGRTEVVLNSLTPQWIKKVIITYHFEMVQTLMFRVYDVDTQFHGLEEKMLKLEEQQLLGEGTCLLSEIVTRQNRTLPIDLMKKVESTSSTHPMKLGQLIVHAEECAVSKTTTELVFRCMDLENKERFSKSDPFLVISKCVESGHAVPICKTEVMQNDLNPMWKPVSISISQVGSKETPLIIECFDFNSNGRHELLGKVQKSLVQLENLFSSGEGEHLFVPINIGKDHQTKVLKSCLFVDKFSESVHHTFLDYLDSGFEMNFMVAIDFTASNGNPRLPDSLHYIDHSGRPNAYQKAILEVGEVLQCYDSDRKFHAWGFGARPIDGPVSHCFNLNGSSGHSEVEGIQGIMNAYASALFNVTLAGPTLFGPVIAAAAMIASQALAANEKKYFVLLIITDGVITDLQETKDALVSASDLPLSILIVGVGGADYKEMEVLDADKGERLESTTGRVATRDIVQFVPFRDVQDGIQHVAKNHTMDIN >cds-PLY74710.1 pep primary_assembly:Lsat_Salinas_v7:5:25139091:25140630:1 gene:gene-LSAT_5X12521 transcript:rna-gnl|WGS:NBSK|LSAT_5X12521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKKIFVTVIPPFIFSPQLLLRRFLDASTMDAIDSVIDPLRGFAKDSARLVKRCHKPDRKVHACTYRLPFYLTFRFLTIRTEAIRLRSVFVVYDDRTILYILIICRRGIAGLLMKFYIHSPFYFMFLSATEQHGLEIEAFKGENNMNTNVLNLYAYVSYGFLCYLALLLISEDRVRVVEGVQSVSYVFLFIVTLHYS >cds-PLY69084.1 pep primary_assembly:Lsat_Salinas_v7:5:277304584:277310261:1 gene:gene-LSAT_5X143841 transcript:rna-gnl|WGS:NBSK|LSAT_5X143841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVDAIIKQSPPSSSLRFAFGNVLAFFILLLIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLTKNGIYDFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWKVLQSLNIPLSVETVCVFTAPVFSAFAAWATYLLTKEVKGTGAGLTAAILLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNSISYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVVLGTLLAALVPVVGFNAVMTSEHFASFLVFIVIHVVALAHYIKGILSPKMFKVAATLVVSVGLVVCCAVIAVLVAVVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFTPLSDASSFVILYLVMSVYFSGVMVRLMLVLAPAACIMSGIALSEAFDVCTRSIKYYISSLSDSLLTDAGDIVSPQNDVIKPEKKEDLLKERPSRKNKKKEKENIEKPSVKPQITKMPLVLPVEASIFALFLIVLLGAFYVVHSVWAAAEAYSAPSIVLTSHSHDGLHVFDDFREAYAWLSHNTEVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKDAWQIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDSQATPTMLNSLMYKLSYFRFVETDGGKGYDRVRRTEIGKKYFKLTHFEEVFTTHHWMVRIYKLKPQKNRIRGKTKKLKSKTSSATPRSGTTLKKNPWH >cds-PLY83950.1 pep primary_assembly:Lsat_Salinas_v7:8:37606077:37609375:1 gene:gene-LSAT_8X30001 transcript:rna-gnl|WGS:NBSK|LSAT_8X30001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKFVFLHVFFFFIFNFECSNGFSDYDALMKLKASMVASNSSRILDDWKVENSHCSFSGVSCDENFRVTSLTISYVPMFGTIPPEIGILNKLVNLTLVSDNLTGPLPVEMSNLTSIRFINISSNVFTGELPGEIVAGMAELEAFDVYNNNFSGRLPVEFVKLKNLKILYLGGNFFNGEIPEDYSEFQSLQILGLQQNELSGRIPASLSRISTLDQLLIGYANSYEGGIPPQFGSFKSLKLLDLGGCNLNGEIPASLGNLKMLHTLFLQFNNLTGEIPPELSGMVSLMSFDLSNNNLTGGIPETFSELKNLTLISLFGNRLAGPLPPFIGDLPNLEVLHIWENNFTFELPQNLGGNGRLLMLDVTGNHLTGPIPKDLCKGGKLRLLILMENYFFGPLPEELGSCKSLTKIRIMKNFINGTIPAGIFNLPELTKLELDDNYLTGELPDRMYSQSLQSVSMSNNWITGKIPPAFGDLPNLTTLSLQSNKFVGVIPEEILKLKKLYEINVSDNNLSGEIPASIATSVQLTSIDFSRNNFIGEFPRGILSLFNLNILNVSRNQLKGEIPTKLGHMKSLTVLDLSYNQFSGRVPIDGQLKDFSNTIFSGNPNLCLPQIAHCPIISTSQNNNRSITTSKLMIVIIAVITAISLFILTFIKIKNKHFERSKVWKLTTFQRLDLKVEDVLECLKDENIIGKGGAGIVYRGSMANGVDVAIKRLMGRNHGFDAEIQTLGKIRHRNIVRLLGYVSNRENKLLIYEYMSHGSLGEILHGSKGAHLQWETRYKIAIESAKGLCYLHHDCSPMILHRDVKSNNILLDSDYEAHVADFGLAKFLRDNHSGASECMSSIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELIAGKKPVGEFGDGVDIVRWVRETIAEHSEPSDETSVLAVLDSRLKVYPLATVVNLFKIAMSCVEDESTARPTMREVVHMLTNPPKSQPQTQPCLLTP >cds-PLY88508.1 pep primary_assembly:Lsat_Salinas_v7:2:154757089:154762058:1 gene:gene-LSAT_2X79721 transcript:rna-gnl|WGS:NBSK|LSAT_2X79721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPCVGYPTPCNHMAMAPICFKMCYKHRTVNNDQEFIGGISSVSNHKLKNTNLCYNSVATFMKTNKTMLKCSNFSRYISPNASEREKPLVSSSREKQLRSLDSYFKKFKNDMNHKDSHNISGQSSKETYPVKNMQETQTKTDSDETSGLYIICTMVSINIAVYLFEIASPIKNSDLELFSLPALYGAKINHLILYGEWWRLLTPMFLHTGILHIGLGCWALLTFGPRVCRAYGPFTFFLIYILGGLSGNLTSFLHTPDPTVGGTGPVFAIIGAWLIYQYQNKDAIEKNVFESMYQKAIFATAIGFVLSVYGPIDDWTHFGSVFTGIAYGFMTCPTLLQIDNSSSSSSSSSSSSSSTLDTSKENGMTLISRNVDPCKSLVMFSIFVLVLSCLVFVFEPPLGSLDVDTLV >cds-PLY84829.1 pep primary_assembly:Lsat_Salinas_v7:4:144737242:144737919:-1 gene:gene-LSAT_4X90261 transcript:rna-gnl|WGS:NBSK|LSAT_4X90261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFAFVRFKGVGDEKELEDKLQVTTLQERPLTINLSRHPRKSSNLQRTRPKRNIVRMAPPSSQSGIRDDRSFTQVASGRGNSFQMSPITINSATVMNEWLKKSVLIGEAHSLDHIANLSASLLMNEGTEYLGGLNIALHFDKSSEALEFLNDNTRWRDWFNRLVRADQHKIPYERTAWLKILGLPLHPWDDENNIFFGRFGKIVSPFNKLYTRGDYSMGKVGVLT >cds-PLY75109.1 pep primary_assembly:Lsat_Salinas_v7:2:37549608:37551618:1 gene:gene-LSAT_2X18221 transcript:rna-gnl|WGS:NBSK|LSAT_2X18221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMKQAEDRHLDDDGAANNGDDPAAGLSYHPPINFATVEDRIYRSGFPQPSDFPFLDTLQLRSVIYLCTESYPKENLEFLHSRNIRLFQFGIDGTKDANIPKSIITEALKVLIDVRNHPILIHCKRGKHRTGCLVGCLRKLQNWCLSSIFEEYKIYAGEKSRDADLKFLEAYDVSYLRQCLHSIIYQYHGYGSKKRRLLYKEDVQHKARISSV >cds-PLY97663.1 pep primary_assembly:Lsat_Salinas_v7:5:236343483:236344964:1 gene:gene-LSAT_5X116141 transcript:rna-gnl|WGS:NBSK|LSAT_5X116141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHLFAINGEVAPEETLQTIAVGGGGAPLTEPLLATGGFQTDRLAQAYKVIQAFKKKVTYDPKNYTKTWVGKNICRDYKGFICDVVPDLNKTTVAGVNFNNNNFNGPNLTVSELLIGLKDLAFFHANSNNFTGIIPSDISKLRYFLELDLSNNNFSGKFPYEVLHAKKLVFLDLRFNTFLGGVPPQAFLLQLDLLFINNNNFRQTLPRYLGFTPALYLTLANNKFVGEIPRSIGRAANTLREVLFLSNKLNGCLPFEIGLLKKSTVFDVGFNNLTGPIPHSFQCLKKMELLNLAHNKFYNEVPEAVCSLPGLYNFTASYNYFTQVGPQCRKLIKNGVLNVKMNCISGLPNQRTQADCAKFFSNLPTCRREKSMHYVPCTRGNSANELESSDVESTTPAPAPAPLGRSYGALTPH >cds-PLY80937.1 pep primary_assembly:Lsat_Salinas_v7:8:256913264:256913695:1 gene:gene-LSAT_8X151781 transcript:rna-gnl|WGS:NBSK|LSAT_8X151781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMGVIIIDGSTVQAFVNDETQFKTSVDAQFDSLDVNNGGVLSRSEIRKAFESMRLLEAHSGVDTALPPEELTRLYDSVFLSFDEDSNGTVDLEEFRSEMKKTMLAIAVGLGSSSIQMVVEDDDQSFLKKAADLEATKISESS >cds-PLY74113.1 pep primary_assembly:Lsat_Salinas_v7:9:12478861:12479825:1 gene:gene-LSAT_9X10300 transcript:rna-gnl|WGS:NBSK|LSAT_9X10300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHDPKADLSIKNEMSLPETKSIPTGNGNSVETHDKDIMVEGFRSVEVKPNSKAPVTVTPCARHSLIPWEGNKLISIVGHSKDPSEAANVKAFDLQTNTWSTMKTYGKPHVCVLIFFY >cds-PLY72600.1 pep primary_assembly:Lsat_Salinas_v7:5:44590129:44591547:1 gene:gene-LSAT_5X21700 transcript:rna-gnl|WGS:NBSK|LSAT_5X21700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWGFASNTIAGSSGLKNNPSRPHHPSSDCSDDDISITNSGEEGFECPICWESFNIVENIPYVLWCGHTLCKNCVLGLQWAVVKLPTLPIQLPFFISCPWCNLLSFRLVYKGNLKFPRKNYFLLWMVESMNGDRIKSHSSCPDHHLPPVAAWSWNRGWAATRGSSAVGPCTRESDHNNQDASGTHSNSERLQSSIRKSLIFFVHLTAKFPLVFIFLLIVLYAIPASAIILAVYILITILFAVPSFLVLYFAYPSLDWLFVELSVLVDLSLYLNV >cds-PLY73278.1 pep primary_assembly:Lsat_Salinas_v7:8:284673443:284675110:-1 gene:gene-LSAT_8X162600 transcript:rna-gnl|WGS:NBSK|LSAT_8X162600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALCATSSYYEPQEIVARILPNVVVLTIDPHSDVRSKAFQAVEQFLQIVKQYHEKTFGGGSSEGMGSTISSLPGNACILGWAMNSLTTKGKPSEQTTQAMPPKSTSPLVSVVPTTLVSSTHTQSTTTLVRGGSLDYGGDMADQPAPVSPTSTDDWGELENSIGIHEDEEIEKDGWDDMLPLEDEKLPPALANIEAAQNGLSSIPRPKSTSHVSKDAYDDLWGSITAPDPAPAPKLASRSSNLNVKAAAAGGSGSGCVVDEDDPWAAIAAPAPAKGYSYKTSLIVGVPDAVQKESLHFCGEVQYGVIFLEKCNMESLINVSFCEVMFYGCHLMSI >cds-PLY69458.1 pep primary_assembly:Lsat_Salinas_v7:6:121777650:121778114:-1 gene:gene-LSAT_6X73340 transcript:rna-gnl|WGS:NBSK|LSAT_6X73340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDIWDWVSHPYLVEDQVVPYTPDIGSDYDPTMPLLPTPVSAEEEPFKDEEETSEEEQLSDEIGGVPADGSPYPDFSSHQETQVEDLTDLESSPETVSQLTPSFLSIQIPGRKIITTPRKSIPIPSHKRAASPPSSSIPSKKSCPTHKWTPLGR >cds-PLY67325.1 pep primary_assembly:Lsat_Salinas_v7:8:236779309:236779656:1 gene:gene-LSAT_8X142881 transcript:rna-gnl|WGS:NBSK|LSAT_8X142881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLLHTHLSFFAFFEIYSDMKSSVECTTVFAVTVLVVILAGSQIATAATCSVTQLSPCLPAFTSSARPSAQCCSQLKQQRPCLCGYIRNPSLRSYVTSPNARKVASTCGVSIPKC >cds-PLY77373.1 pep primary_assembly:Lsat_Salinas_v7:1:208281956:208283068:-1 gene:gene-LSAT_1X123181 transcript:rna-gnl|WGS:NBSK|LSAT_1X123181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNIQILDLSNTSLEQIPSISKFTNLTRLSLAGCSCSDAELDLKPLSKLEVLNLSGSSIKRLINLSGSHNLQKLLLQVCSIAESSEDDEFKDLLGSNPKIPDAMSKLSHLDHIEFPNVNVDTSHEESSSKEANQDQWSICRLSEDDKAPIFTSGSQFLEILKENPLQEASHLCAIPYMLEGETGDRYLQRHELVFRDVYLQACGFPQYKGNNSLQIRGFNHFPKGIENIICKVNMVFLIDNKFNGLPSEFDVSKLKEVKGCWIDKCDETITIFTEKEGDESYNSPIFLENLGISNNRRLESIYNGKQASGSFDSLKSLYLESCPKLSVVFQSSWLPKNLEVLEIKHCDKIVSLIDPTDQGILTSLKTLHL >cds-PLY84195.1 pep primary_assembly:Lsat_Salinas_v7:3:102752116:102752910:-1 gene:gene-LSAT_3X74801 transcript:rna-gnl|WGS:NBSK|LSAT_3X74801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEYGDQTYGREGRQTDEYGNPVQKTNEYGNPLHSTTDETMGDYGVTSHQGLGHATGETESYQNQPSATPLSSSNIGIGTGAGYDQHREEGHEKKGVTEKIKEKLPGGHNTDEHSTTTAGGVGGGGYGEGETHEKKGVMEKIKDKLSGH >cds-PLY73437.1 pep primary_assembly:Lsat_Salinas_v7:4:180547974:180549666:-1 gene:gene-LSAT_4X105300 transcript:rna-gnl|WGS:NBSK|LSAT_4X105300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENSKLIATVASIWIQCTCGPSYAFGIYSAVLKSSQGYDQSTLDTVSVFKDIGTSIGVLAGILYQSVADNKSGSRPSSCFGLGLRVVYMAGAFQFFAGYFLMWLSVTGILEKPPVPLMCFFMFMAAHAQTFFDTANIVVAVQNFPGYSGTSVGIMKGFLGLSGAILIQFYHTFFDGNPSTFLLMLAVFPASLSLLLMSLVHVNPSNTTNDKNHLNGFSIVALAVAAYLMIAIIFENMFAFPSWAHILTTIGLLILVSSPLKIALTAQRNEQPPPSSTMDPLIVASEADEVALVEMNALQAVSTINFWLLFVIMLFGVGSGLATINNISQIGQSLKYTTVEINAMVSLWSIWNFIGRFGGGFVSDLFLHKYGLGRPLFISLTQAGMVAGHLIIGSCGNLLIGSVIVSICHGSLWSLMPTITSEIFGVKHMGTIINTIGVANPIGSYILSVGVIGSIYDKEAEAGGGSCYGIHCFMISYFLFAGGCVLGILVSLVLFFRTKGFYALIFQRRLKELQV >cds-PLY68577.1 pep primary_assembly:Lsat_Salinas_v7:2:20878184:20881577:-1 gene:gene-LSAT_2X10621 transcript:rna-gnl|WGS:NBSK|LSAT_2X10621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRLEASEIRKRKWKRHQIIEFEEEKKGLITKLQGHVWARFGVELSSWFNSCESAARKISFFFTETFSVSFLASIKLNDSLLQPLQGAQPFFVLAGPNVIESEEHILHMAKQIKAITSKLGLPLVFKSSFDKANKTSSKSFRGPSK >cds-PLY79489.1 pep primary_assembly:Lsat_Salinas_v7:3:248197632:248198078:1 gene:gene-LSAT_3X136880 transcript:rna-gnl|WGS:NBSK|LSAT_3X136880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAPTVILLVLLSLLITTAQSRGKNVTSNYSLTKHSALDSGGTPAIMTINSFEKGGDGGGPSECDGEYHSNNFLIVALSTRWYNKGERCFDYINIYYNGNSARAMVVDECDSNRGCRDNIVDASYAVWLALQVSQSDWGQTGITWSDA >cds-PLY62716.1 pep primary_assembly:Lsat_Salinas_v7:6:56640139:56644564:-1 gene:gene-LSAT_6X42601 transcript:rna-gnl|WGS:NBSK|LSAT_6X42601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLRMQFGIQWDLIKTPLIVPLLQLAVYICLAMTLMLFIERLYMGVVIILIKLFWKKPEQRYKWQPIDEDTESGNSSFPMVLIQIPMFNEKEVYKISIGAACNLSWPADRLVIQVLDDSTDVNIKDLIEKECRRWANKGVKIWYQIRESRGGYKAGALKEGLTHDYVKNCKYVTIFDADFRPEPDFLRRAIPFLEFNPKIALVQARWRFVNSDECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTGGVWRIAAINEAGGWKDRTTVEDMDLAVRAGLKGWKFLYLGDIQVNSELPSTFKAFRFQQHRWSCGPANLFRKMVLEIIRNKVRAKVTFWKKVYVIYSFFFVRKIIAHMVTFFFFCIVLPVTILVPEVKVPTWGAIYIPCIITTLNSVGTPRSIHLLFYWILFENVMSLHRTKATFIGLLDAKRSNEWVVTEKLGDSVKNKKSNLKQIKPDPISKPFKFNLSNRIHLTELGFAVFLFFIGCYDFMYGNHRYFVYIFLQVVTFLIVGFGYVGTVVPS >cds-PLY66372.1 pep primary_assembly:Lsat_Salinas_v7:1:201400201:201412602:-1 gene:gene-LSAT_1X127601 transcript:rna-gnl|WGS:NBSK|LSAT_1X127601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyubiquitin 10 [Source:Projected from Arabidopsis thaliana (AT4G05320) UniProtKB/Swiss-Prot;Acc:Q8H159] METSSKKVGVLVPLNANLEEDTSNSIPRVEISKCINLLGRDVIPITDKRLSRKHVSIIVSADDSAEVLVEGTNPVVIRSQDQRRKKLLSGQKLTIRDGDIIELIPGHYLFKYKALDDVRKKSSPNKQKRPLAEGDQDEPLTQKRLRQVSEDEALARRLQTELNEDTQDTKNSAEAIRNFKPSEDKIPQTFRLMKVKGLQPWANTSSVSIADVIQGNVVIAVLSNYMVDIDWLMSACPNLKNIPHVLVVHGESDGRQANMKKHKLENWIFHKPSLPIAWGTHHSKAMFLVYPEGVRIIVHTANLIYVDWNNKSQGLWMQDFPWKNQDCLNKASGFETDLIDYLSALKVRLIGSVPGYHTGSNIKKWGHMKLRSILQDCVFDKEFQNSPLIYQFSSLGSLDEKWMSEFAFSMSSGVSDDNKKPLGLGDPLIVWPTVEDIRCSLEGYAAGSCVPSPQKNVEKPFLKKYWAKWKATHTGRCRAIPHIKTFTRYNGQNLAAIEGIHVFCTLSSRSTFSIMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGCF >cds-PLY90228.1 pep primary_assembly:Lsat_Salinas_v7:2:89681821:89682081:-1 gene:gene-LSAT_2X38481 transcript:rna-gnl|WGS:NBSK|LSAT_2X38481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSPNPIDQNFIIVDFQYNGHFAPNPLVYFDPDRASVRDVDFSAFGYEQFMEFLHKLTKSRSKDIYFCLPQRVFRSGNSYTGERG >cds-PLY87982.1 pep primary_assembly:Lsat_Salinas_v7:6:172675097:172676441:-1 gene:gene-LSAT_6X105381 transcript:rna-gnl|WGS:NBSK|LSAT_6X105381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSHKGSNYYGAGSYRSREGLSTRPVTNSDEIQLRIDPVHADLDDQIGGLYKQVRQLKNVATEIELEAKNQNEFINQLQMTLIKAQAGVKNNMRKLNKSIIQSGANHVLHVVLFALFCVFLVYMWSKF >cds-PLY63341.1 pep primary_assembly:Lsat_Salinas_v7:9:133685897:133686289:-1 gene:gene-LSAT_9X86700 transcript:rna-gnl|WGS:NBSK|LSAT_9X86700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTTIHNIEFALGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGSKRWLGKRPVVRGVVMNPVDHPHGGGEGXEGGPHVVENNAQPLGLILHLENEVEK >cds-PLY97099.1 pep primary_assembly:Lsat_Salinas_v7:4:75304258:75304881:1 gene:gene-LSAT_4X50700 transcript:rna-gnl|WGS:NBSK|LSAT_4X50700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCALADQNYLEVSCTPHGWDLLLYIFQFMMIVLFYFVIMLTGSGWCFWKPCLQGRDKLVFMTVILIQWSPRARFARPESWLVMSIVYFNIWSILLRRGPDYAAYQCPWVFNIVLDETVNLVLCMVLFYVFRPSEKDECIVDEKAANMSFRDDEEELGLLNSIY >cds-PLY79731.1 pep primary_assembly:Lsat_Salinas_v7:5:178575721:178576508:-1 gene:gene-LSAT_5X82360 transcript:rna-gnl|WGS:NBSK|LSAT_5X82360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVVQTSEVFVWVLIKSHNPNHKETITAHISYVASTRTPNIHVPDVPEGRKESHEAPLFLKVFVPGVFSGFVETVGSALKHYRIASVQIWQIWI >cds-PLY91938.1 pep primary_assembly:Lsat_Salinas_v7:8:202801528:202802672:1 gene:gene-LSAT_8X129320 transcript:rna-gnl|WGS:NBSK|LSAT_8X129320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKRTICKIYSYAYYVVKYTQVDTFIKGPYWPVPLGRRDGRVSIASESFTLPAPFANITQLKAQFLSKGLSVNDLAVLSGGHTVGISHCSTIATRLYNFTGKGDTDPSLDPRYVPQLKRICFPTDKTTLLAMDPGSSKSFDEDYYNVVLKRRGLFQSNAALLNDKTTYAYVKLQAKSHGYIFFKDFQASMVKMGQIGVLTGKAGEIRRHCALIN >cds-PLY75795.1 pep primary_assembly:Lsat_Salinas_v7:3:67633620:67637849:-1 gene:gene-LSAT_3X52480 transcript:rna-gnl|WGS:NBSK|LSAT_3X52480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRQHNTPSQLTTVEALSRRSSLPSATIEVVFLSCPNHCRKSSINMLICRVQFTDSVSPIPSHTHSYHCSLSWPRGNGTGNRHEEIEGIDVINRNPRPPISTTMFREWNGERKREGEGWCNPKRSKITIKKGQQAPDPSATKGPRKGQLEQMIDDCLQAKAPVRFLKPKEREREAEREKMGLVSEDRKQEF >cds-PLY98226.1 pep primary_assembly:Lsat_Salinas_v7:7:169426297:169428968:1 gene:gene-LSAT_7X100720 transcript:rna-gnl|WGS:NBSK|LSAT_7X100720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGENALIEDVPILEMEEEDQDGGSDLDDSEHEDTSDYTSSDSDSNTETTKKTRKRGLTRLLKLRTEHTNSSGRKKRVKFDEFGRLAGNYRSQLPSYLGDLVQERVGVSVFNWKKVTKEVKEKLWEELTVSNKVLAKDEISXMWCKGRENKACELEDANVKVMAEKLVFLVDKNVESHVTPVTNTIIEKTPIIDKASTNETVTRAMEKTTKITLESKSANTNSHPKTKDTHSPKLPQTMKIMKCIL >cds-PLY90103.1 pep primary_assembly:Lsat_Salinas_v7:6:16941952:16945050:-1 gene:gene-LSAT_6X7820 transcript:rna-gnl|WGS:NBSK|LSAT_6X7820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRVPRPTPLNIFFSFLFIVAVEFSCANSQQFLTSERATLLSLKQQWGNPPSLNNWNATSSPCNWPGVLCNSNSSVIILVLQSKGLIGRIPPFICDHLRNLENLDLTNNFLTGEFPRVLYNCSKLVEIDIAYNGFVGRLPDDIDRLSSRLKTIDIGGNNFTGDIPPAIGNLSSLISLYMYGNLLNGSIPPEIGNLSNLLTLNLAYNRFTAAEIPSEFGKLSNLTYLLMHQSNLIGKIPESLANLSSLECLDFSSNNLEDEIPSGLFLLKNLRILFLYFNALSGKIPAMIESLNLTEIDLSENKLNGSIPDDFGKLQQLEVLSLFLNQLSGNIPAGISQIPTLRIFKVFSNKLDGELPSEIGLHSKLEAFDVAGNKLTGKLPENLCGGGTLFTMVAFSNNLTGEIPNSLQSCEKLRSVQLYNNSFTGELPPGIWTLSNLSSLRLTGNFLSGELPITVPWNLSRLEISDNKFSGQIPEGISSWTKLNVFKASNNMFTGEIPATFTHLSQLSVLHLDGNSLSGQLPSEIKSWNSLTTLHLARNKLSGPIPPAISYLKRLLDLDLSENQLSGTIPPELSRLRLNTLNLSSNKLTGRIPFTFDNLAFQNSFLNNPNLCASSQISNLHKCYSKSSSRPSKIIVLSAFIILVTILCTLFVVLFRRHLKHKHIHDLTIWNLTSFHILDFTESNILSSLTENNQIGSGGSGKVYQIEIGRRHGEYVAAKMIWNPKKLDDTLEKEFLSEIHILGSIRHSNIIKLLCCISSEDSKILVYEYMENRSLDKWLHGKKRKTQGGLVHPTILDWPRRLQIAIGAAQGLCYMHHHCSPAIIHRDIKSSNILLDSDFKAKIADFGLAKILTSQTNTMSAIAGSFGYIPPEYAYSSKVNARVDVYSFGVVLLELVTGKEAHEGDEDMNLAEWACRNYSEGKSVVEALDPEIKQDDSYMEEIRIVFKLGLICTSTLPSSRPQMKEVLGILLKLNLISRNKVLKEGTDVFDDKSVKGC >cds-PLY86584.1 pep primary_assembly:Lsat_Salinas_v7:1:8994957:8996756:1 gene:gene-LSAT_1X8140 transcript:rna-gnl|WGS:NBSK|LSAT_1X8140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPIFYIFFSIIFVIWITKVALGKIRNLPPSPFPCLPIVGHLYLLRSRPLYRALGKLSAHHGPMLMLRLGARRVFLVSSPEVVEECLTTNDLTFANRPRLLAGKHLGLDYTTINWSSHNDNWRSLRRVVSHELLSSCQVRTLSAIRAEVVHHLVKNVMYPRVVRDGMMEMKSVLFEVVLNVVMMTIAGRRCDVEGGEAGRFMELVQELFIVMGATHVSDYLPWWKWVGGKHLEKEMVTLNEKWHALMQDFIEEQRRKTVVEAEGGSSDEKKNLIEFLLMSQQKEPENHSDEVIKGLLQVLIKIRTYLTWYWEHNNDERQHFNTIVSSTNISRXXXXXXGSNTSSVTMEWMLSLLLNNPEALKKVQTEIDNCVGEDRLVNESDLTNLPYLRCIINETMRIYPAAPLLLHESAKDCMVGGYHIPSGTMLLMNVWAIQNDPKNWKDPTMFKPERFLGLKGSGNGYTYKLMPFGTGRRRCPGENLGMRMIGLTMATLIQCFEWERPSNEMIDMTAGVGLIAPKAKPLVAKCQSRGVMAKLLSQM >cds-PLY99821.1 pep primary_assembly:Lsat_Salinas_v7:4:256502233:256502463:1 gene:gene-LSAT_4X133841 transcript:rna-gnl|WGS:NBSK|LSAT_4X133841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAELGEWMLIPLPEEDQVVSNSPEPKDTHFPKVLVAVFPLTSDEVPYEEDEDSLNPAEEPKRQAYEPFNSFQVVPT >cds-PLY70343.1 pep primary_assembly:Lsat_Salinas_v7:4:101573151:101574487:-1 gene:gene-LSAT_4X66161 transcript:rna-gnl|WGS:NBSK|LSAT_4X66161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMGTNQIKVVRKLALVEDFSKLNWCKYMLDCLGSRKKLWKRDDKSSYYSGPITLLILVYVYNMKYSIKIDKRLPFIGYINGAKLLEIQQLEISLGGFGRQFWDGHDDVDTTDETGGEEQQMLSFKRDFGNEEAYAAVIEHSYGVILTEKSTMEVALKDGLEKFPDSVVLNEWMEKMIELFKEVQEGESNKKVHEPECYNELNMNDVGNGGEVNSSPVRGLILTEVSVEKEVNYSTPVDTNSLTMTQFHRLPGVNEEMIKLLDETEFQVYRRKQLMSGISGDNVVGRNIGEAIDNAAEDDDNDKREKRIPKKAKIFHSPYIERIVKVGDKLTRDETGICNLVFASNRDDGFIYI >cds-PLY76587.1 pep primary_assembly:Lsat_Salinas_v7:5:222440633:222442745:1 gene:gene-LSAT_5X103680 transcript:rna-gnl|WGS:NBSK|LSAT_5X103680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERTDLQSNNKQSSTTTPTSNTSSSHHHHHHHLSHHLHHQPMVVPFDARSVTPFMGSISIQPGPANTPTTTSGASSSLSSPSNQHPTTASTSSATQLSPPHPHLVDASLAIATRSEALTDLAKENPQPVAVVPPPKRSTKDRHTKVDGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAETAIIAATGTGTIPANFSTLNVSLRSTGSTLSAPPSKSAPHQFHGALALAHHPFDQEGFSQMMGFHQHHHQQQQQQHHHHQNPHILTADQIADAISTGGNGGTGGDSTDNYMRKRYREDLFKDESNQNQGEVTVGSTSPSNKQFKGAMEMPKQQQEAGGPSSGNNILRHHANMMPATAMWAVAPAPTTATGNTLWMLPVSTSVSSSSGQHSHLAGAASESQHHHHQQMWPFPTAQAVGGGNTLQAPLHFIPRINIPAANLEFQQNSRANPLQLGSMLMQQQQQQQQQQQQQPSQHLGLGMSESNLGMLAALNAYSRGGLNMNSDQNHPLDHHHHPNQNQNQHHSQTTDSGDEDQNDST >cds-PLY94034.1 pep primary_assembly:Lsat_Salinas_v7:7:99716772:99720959:1 gene:gene-LSAT_7X66681 transcript:rna-gnl|WGS:NBSK|LSAT_7X66681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTQTMGSNGGTQKPVFDGFSRQGSLHNLTLDEVQQQLVDLGKPFSSMNLDEFLKNVWCAEANQGINNGSSPDYSELTQLASASSFPRQLSLTLTKDLTKKTVDEENLTFCHLQLITGYGVAKVVESGHSNFKKGDLVWGFTRWKEYIIITAPDTLLKIQHTDVPFSYYTGILGDIAVSYENLIANVAVGSLQINFYLLDVVQNAVKSSGGMRERLFNNVKRKELLKVEGSIQLKQQVYVDLEHYCPQHFILASSSPTLDLNLIGERTKSQFRIARAHFSSSSVLEIGSDPVYSIECLREENDAVILAVGATKPRDLPVPGCELSGLHFAMEFLHANTKSLLDSNLEDGNFMRGTKRSTFYTHLFSNYNNILPFS >cds-PLY99131.1 pep primary_assembly:Lsat_Salinas_v7:2:11620159:11622569:1 gene:gene-LSAT_2X5161 transcript:rna-gnl|WGS:NBSK|LSAT_2X5161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKQTAVLYHYPCPDGAFAALAAHIYFTASSVPALFFPNTVYSPIKVEDLPLDQIGDAYLLDFVGPPGFVQQLSPKVDRVIILDHHKTALKMLDEGEFSYKNVTKVIDMGRSGATIAYDYFKEKTLSLKNGNNLSNHELGIHKFESSRRIFEYIEDADLWRWRLDNSKAFSSGLKDMNFEFNITLNPLLFQQLLSLDLEHVINQGNQSLSQKQTLIDEVLEQSYEIVLDDGASGHCLAVNADSVSDLRSELGHQLAEKSRNQNLRGIGAVVYRVPDLKDDEILKISLRSIEDEDTTVISQKHGGGGHRNASSFMLKCGEFEQWKVGSNDSRDVKQK >cds-PLY93993.1 pep primary_assembly:Lsat_Salinas_v7:4:274274812:274275420:-1 gene:gene-LSAT_4X140900 transcript:rna-gnl|WGS:NBSK|LSAT_4X140900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKLWKIAYKSGLTVVMTSGCNRSAYSDDNQSWSCCWSVKSSEGVVVLGCFDSLLDELGPTGNGSGDLLPEGLNGVWSKLISKNFLVKKMIQLLSTGNDKLLPTGNEGNCSVVLWASPAA >cds-PLY80169.1 pep primary_assembly:Lsat_Salinas_v7:8:116178528:116181692:1 gene:gene-LSAT_8X79700 transcript:rna-gnl|WGS:NBSK|LSAT_8X79700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCATPSTTDYENKKGKNKPNPFSLDYDGPNTSRPTGYKSYVLENPTGHEIEQTYVLGKEMGRGEFGITYMCTDKSTGEVLACKSISKKKLRTRIDIEDVRREVEIMKHMPPHQNIVSLKGTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAGVIRTIVEVIQMCHKHGVMHRDLKPENFLYANKKETAALKVIDFGLSVIFKPGERFNEIVGSPYYMAPEVLKRNYGPEIDVWSAGVILYILLCGVPPFWAETEQGVAQAIIKSVVNFKRDPWPVVSDNAKDLVKKMLNPDPNLRLSAQGVLDHPWIQNAKKAPNVSLGETVKARLKQFSFMNKLKKRALRVIAELLSAEEVEGIKQGFDLMDTNKQGKITMVELKVGLQKLGHQIPDSDLQMLMDAGDVDKDGYLNYGEFVAISVHLRKMGSDDHLKEAFEFFDKNKSGYIEEEELREALVDEIETDNEDIIAAIILDVDTDKDGRISYEEFEAMMKAGTDWRKASRQYSRERYNNLSLKLFKDGSLNVDNEGT >cds-PLY98794.1 pep primary_assembly:Lsat_Salinas_v7:7:22460284:22462097:-1 gene:gene-LSAT_7X17861 transcript:rna-gnl|WGS:NBSK|LSAT_7X17861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIHIKRLSKLINSSKSIFNPIYQKSNIRVSQASSPEKFISTFASCRNFSSFSAGVTGHITSEDAFESDDLEFLKWHKGGGMFHNSASIDPTASIDFGAVVHSGSLVGANVHVGSGAVIGPNVTIGESTKIGYNVALANCMIGDSCIIHHGVCIGQDGFGFFLDEKGAMVKKPQALKALIGNNVEIGANSCIDRGSWRDTVIGDHSKIDNLVQIGHNVVIGKCCMLCGQVGIAGSVTIGDYVTLGGRVGVRDHVTIVSKVRLAASSCVTKGITKPGDYGGFPAVRIFITYSSIF >cds-PLY96932.1 pep primary_assembly:Lsat_Salinas_v7:4:8971872:8972207:1 gene:gene-LSAT_4X6720 transcript:rna-gnl|WGS:NBSK|LSAT_4X6720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEPELLVSRLIERLMEMPFLSGFTTTRPESDSSRDSEHPDHESTNSLHHQGSSLDHPDQPDDARNGGDRRWRNYWFRIPDERSDEEGKPATNTSNVDRRHADCGDKRYG >cds-PLY87037.1 pep primary_assembly:Lsat_Salinas_v7:5:263814712:263819603:1 gene:gene-LSAT_5X135560 transcript:rna-gnl|WGS:NBSK|LSAT_5X135560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFATHIFRHSKQLRNASGAIRQDHAILVRWFTNSTRSITNKGDDVSKLRHINNVSGENICGCKSCSGSISSTPMFTRSYRVNPTKGQNGLAVRAEGTSVSGMMFSRAISCSDVQVKRSFSSSSGLPPHQEIGMPSLSPTMTEGNIARWLKKEGDQVAPGEVLCEVETDKATVEMECMEEGYLAKIVHGDGAKEIQVGEVIAITVEDKADLDKFKDYKPQASDAAAPEAPPAPTPPKEDVVEKPVSTPEPKTVKPTAASESDRVFASPLARKLAEDNKVNLSSIKGTGPDGSIVKADVEEYLASGKKDVPAAPASKGDKSTVSAINYTDIPHTQIRKVTASRLLLAKQTIPHYYLTVDTCVDKLTKLRGELNSLQEASGGKKISINDLVIKAAALALRKVPKCNSSWTNDYIRQFHDVHINVAVQTDNGLYVPVIRNADKKGLSTISEDVKTLAQKARENMLKPSDYEGGTFTVSNLGGPFGIKQFCAIINPPQAGILAVGSAERRVVPGSGTAEFKFASYMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPETMLL >cds-PLY63480.1 pep primary_assembly:Lsat_Salinas_v7:4:231913596:231913943:1 gene:gene-LSAT_4X126740 transcript:rna-gnl|WGS:NBSK|LSAT_4X126740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSEHVSFVDQSASIIRLTVKPKQYLILDLDASRPDAQIKPMVECVKFSPLEKALTMVEEVPMVHLSKSFSTSIYNKAEDVIHFEVANHKTSITKPHFSRLLGLTLTEVLVNQ >cds-PLY73093.1 pep primary_assembly:Lsat_Salinas_v7:1:163645579:163649401:-1 gene:gene-LSAT_1X110800 transcript:rna-gnl|WGS:NBSK|LSAT_1X110800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGRKRGRQDGGWNGAPKRPRDEMESFTPGVGSKSKPCTKFFSTSGCPFGEGCHFLHYVPGGGGGKQLTNMGVGPALGRKPPFAADTPVKSKLCNKINTPEGCKFGDKCRFAHSEVELTLSSKNKPFYQDPRAQLSTAAGPGPGPVSYGGGGVAAANFGQSATAKISIDAALAGPIIGKGGVNSKQICHLTGVKLAIRDHETDQNQRNIELEGTFDQIKQASAMVHELIVNLGTNGAPPRGGGGFQQQQGHAHAGVNKIKTKICENFVKGQCTFGERCHFAHGQNELRR >cds-PLY67631.1 pep primary_assembly:Lsat_Salinas_v7:2:207713262:207714408:-1 gene:gene-LSAT_2X128301 transcript:rna-gnl|WGS:NBSK|LSAT_2X128301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDVEIPDPKEGEIRLKQKAIGVNFLDVYMRQGLHNTSPPLPYIPGMEGAGVVTAVGPGVTSCKVGDVVAYASLQVGAYAHERILSADQAVPVPSSIDPVEAAAVIFKGLTAHVLIHKGFKIERGHTILVHAAAGGVGYLVCQWASAMGATVIGTVSTKEKAVQAKEDGCEHVILYKDENFVERVIEITLGKGVDAVYDSVGKDTFEGSLACLKFGGSMVLFGLASGEPEPVRVSQISLKSLNYTFSSIAYYTDEDRDAMRVAAEDLFSNVAKGVLKVRVNHKYPLSQATQAHTAIETRKTTGSVVLIPGEE >cds-PLY91441.1 pep primary_assembly:Lsat_Salinas_v7:7:134008087:134009533:-1 gene:gene-LSAT_7X80100 transcript:rna-gnl|WGS:NBSK|LSAT_7X80100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNTGPTVFHQKVMMKEDSNNAVSSSFLLDDDSREIEPPPLIQENSDDDMAMLPKEYLEQHIGVKTRRQVLYKGYVEGILATGMQSNHGFDGQVNACWTHRISKKEVEVIGNHGLLISVIHGRCDVIAQISHPKRLAHRLYPLAKMVELPGGHLVSHERSKEVNEALPDLIKASETKTRPFDWTNLSPKPNTSTSSSGCWTSSFSTSSRLISETESTNSGIAETN >cds-PLY92478.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:585737:586423:1 gene:gene-LSAT_0X10941 transcript:rna-gnl|WGS:NBSK|LSAT_0X10941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAEKAPAEKKPRAEKKLPKDASSTDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >cds-PLY63118.1 pep primary_assembly:Lsat_Salinas_v7:8:74453234:74454372:-1 gene:gene-LSAT_8X52041 transcript:rna-gnl|WGS:NBSK|LSAT_8X52041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVESGATVSCLMADDLGSSGVFAVAVVVKNDLGPSENTHESNGVKRSHTLVRGRGSSPFRCYWKNTLLNGLLFDFSLLQESASTRKDMKDEVEKLFPDLRQVQHELKMKTTQLDDMLIRYEKLEFCLSDTRSALLASKTCLQHAEETMNALSYQNDELRSLLEDLYHNKSETEEKLKEQKEIVKSLEKEIDCEASSVQEQILFSLEGITYDLNRASSERYRLCEQVNSLQEKLEMAYTRGKKMYAEQNDEKIKILENSVEELDNAINVLEKRVNEMEEELQRQHKIRDSLEVELQSLSQRLLTVESFRDCMDSNNSNLDQYEYQI >cds-PLY92994.1 pep primary_assembly:Lsat_Salinas_v7:1:148004255:148005598:1 gene:gene-LSAT_1X102541 transcript:rna-gnl|WGS:NBSK|LSAT_1X102541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFAPLPLSHPEEIHHDLSPFILIHKNGRIERLVGEETTPPSTDHTTGVLSKDVQISPETGLSARIYLPGAITSQEHKLPVLIYFHGGGFVVGTAFSAMFQPFLNRLALEAQTIIVSVDYRSAPEHLYPTQYDDSWEAIKWAAFHATTNGMESWLNDYADFERLFFGGESAGGNIAHQMGMRIGLGKDLDAFGDRVKLSGIILIHPYFWGETLIGGEVNADVKERNILEKLWRVMNPSFSSLDDPLINPSKDPTLWMLGCEKVLVSVAEKDLLRDRGRYYYEVLGKSGWNGRVDIIKAKGEGHAFHLFPPFRENATTLFKSMCSFINGDE >cds-PLY98635.1 pep primary_assembly:Lsat_Salinas_v7:1:38517497:38519590:-1 gene:gene-LSAT_1X34401 transcript:rna-gnl|WGS:NBSK|LSAT_1X34401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Post-illumination chlorophyll fluorescence increase [Source:Projected from Arabidopsis thaliana (AT3G15840) UniProtKB/TrEMBL;Acc:Q9LVZ5] MATTSAPIFQSWAQIVSASRASSSSSKQFMVSPLQEHQKWRNPVKTSRKIRAAAVVATPAAEEVKEAVLPSWADFDIGRAPVYWKTMNGLPPSSGERLKLFYNPAASKLAPNEDFGIAFNGGFNQPIMCGGEPRAMLRRDRGKADPPYYTIQICVPKHAVNLIFSFTNGSEWDGPYRLQFQVPKAWRNRELDFFNRGLTEELSREGACEKAIYPDTSVIIDRCQLMGNLTSEGADRCELDLVTGCTDPGSPEYNPLANVDDGSCPPYSDSESED >cds-PLY73015.1 pep primary_assembly:Lsat_Salinas_v7:9:35704951:35705339:1 gene:gene-LSAT_9X31541 transcript:rna-gnl|WGS:NBSK|LSAT_9X31541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEECTTPKQQESRIPVATECPPPPRKKAAVRRKKREQSKVGYFHPPELDTFFAGEATRRIWV >cds-PLY66521.1 pep primary_assembly:Lsat_Salinas_v7:4:340294922:340295239:1 gene:gene-LSAT_4X167301 transcript:rna-gnl|WGS:NBSK|LSAT_4X167301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNEIYAFGVVLLEMLTGMKVYDEERPVGKEDFVKWAIPLLADEVNLRMIMDPQLHQHNDFPPKGAFKLAQLVSTCLHPEKDKRPSMEDILHVLNHCYQEEIKTV >cds-PLY77409.1 pep primary_assembly:Lsat_Salinas_v7:9:200665705:200673786:1 gene:gene-LSAT_9X123961 transcript:rna-gnl|WGS:NBSK|LSAT_9X123961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTFAKLENALKRAEELINVGKKKDALQALHDLITSKRYRAWQKTHEKIMFKYIELCIDMRMGRFAKDGLIQYQIICQQVNVNSLEEVIKHFMDLSTKRVELARTQDEALEEALDADDLEVDKRPEDLMSSYVSGEKGKDHSDRELVTPWFKFLWETYRTVLEILRNNSNLMALYANTADRAFQFCKQYKRTIEFSRVCEIIGNHLDNLNKYRDQRDRPDLNAPESLHFYLDTRFKQLKVAIELELWQEAFRSVEDIYGLTSMVKKMSKSSLMAIYYAKLTEIFWISSSHLYHEYAWFKLFQLQKSFNKNLNQKDLQLIASSVLLAALSASPYDHLKTDSHLQLEHEKERNLRMYNLIGFNTDAKIETRDVLSRSSLLVDLVSKGMMTYVTQEVKDLYNLLENEFLLLDLASKVEPLLTKIAKLGGKISSTSYVPEVQLSQYIPALEKVATLRLLQQVSQVYQTMKVETLSKMVPFFDFSAVERISVDVMKHNFIAMKVDHMKGAIIFGDLGFESDILQDHLSVLAINLNKSRSLIYPSQSKASKLSAMLPSLADIKKAEEAEQKRLASEFEERKHQRILREIEEHEREEAQALLNDVGKRIKKKGKKPIIEGEQVRERQEMEKKMRKLIKTMDHFERAKREEVAPLIQAAFQRCLAEEKLHHERDQRVELSRERHEGDLKEKYRLAHVMEHKMELEERVVRSRKAEFDRMRAEREERIGQILKARREERDLKRKMLFYLKTKKERLNRLLEEEETRKRKAVGAQGIWKKFYSSSAPFDSADEADGNPADTIDDDDFLPQKPELQMQGVDPRKGWGFRGVHKAILCGKVGQAPLQKILRNGRTVTIFTVGTGGMFDQRTIGAKDLPKPAQWHRIAVHNDTLGAYAVQQLAKNSSVYVEGDIETRVYNDSINGEVKNIPEICIRRDGKIRLVRSGESMTSISFDELRESHFS >cds-PLY94355.1 pep primary_assembly:Lsat_Salinas_v7:5:170001559:170001964:1 gene:gene-LSAT_5X74821 transcript:rna-gnl|WGS:NBSK|LSAT_5X74821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENQSRFRKAAKSNVALQAKNKTDEQIQELMSQLEAKNKEIEKLESYIKVITEYPIKEEGASEIEKRQLPRREDVPITGNETSDKLTTENVRLKVIQLNNYIIYIF >cds-PLY68518.1 pep primary_assembly:Lsat_Salinas_v7:2:214809661:214814266:1 gene:gene-LSAT_2X136400 transcript:rna-gnl|WGS:NBSK|LSAT_2X136400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLGCRRVSMSPPSTIRQLEGERLSPSVRFLHRSCNPKTKGTAVYRVLGVTFDCLHHSFKSSGSGCGVIFDIEEKENSGMGMGEWECEKGLILKVMNIDGELVPLSEEMIWNLGSPDPNFTLDAHLKGVNCVDYFTSGDKPYLITGSDDHTAKVWDYQTKTCVQTLEGHTHTMFLLLENTLNYGLERVWAVGYMKGSRRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTINIKSVGADHEVSDGERLPLAVKELGTCDLYPQSLKHNPNGRKYHPKNDGPKVSFFSDDEETTSTPKADALFIPRENPRALVIRPLKQWPGKSSAEKLKNASSPAQTNGDYTGFASSPPLNGRSTENGNRNHSENGTLKEQTPIKTPQKQNGGCDGGEMEDGFEFIVKNKGINTEVAYPYQATDGTCNTKEEAVHAATITGYEKVTANSESALLQTVANQPVSVAIDASGMGFQFYSGGVFTGDFGTDLDHGVTSLLNQMELYVVCAIKAPGFGENRKSNLQDLATLTGGEVITDELGMNLDKMAPERLGTCKWITNTNFFQTTEDLEFNWMIEGDGCKLDYGTLSLPTLEFNWVIEGDVGS >cds-PLY85200.1 pep primary_assembly:Lsat_Salinas_v7:9:147417738:147418421:-1 gene:gene-LSAT_0X7121 transcript:rna-gnl|WGS:NBSK|LSAT_0X7121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEADPDPKHLPHDGSEAPNKRKKITNAIISGGSSQWKHPNESEDTATSKPENEEIQEVDYDTIEIMQEILAYRNRKGVWPCESRDDLQRFCFPYIHVGIGNEGGWLKKMEEMKNKFNNESAPMEDADKKEFQLWKKIWGNEQKGDDDDDDDPSAVSCK >cds-PLY64881.1 pep primary_assembly:Lsat_Salinas_v7:3:17616876:17617390:1 gene:gene-LSAT_3X12600 transcript:rna-gnl|WGS:NBSK|LSAT_3X12600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFCMTRARFSPPLPSSLIFIDSVRFKEGIVTRRSRPRGVVPVATCFIVKGVEDVLNKQFGFELSTRSDTMYFIVNSEKEKEDWINSIGRSIVQHSRSVTGNEIVDYDSNK >cds-PLY80454.1 pep primary_assembly:Lsat_Salinas_v7:4:175772163:175780561:1 gene:gene-LSAT_4X103400 transcript:rna-gnl|WGS:NBSK|LSAT_4X103400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANIPTASMVEIPSQPSRNYPAKTRILASLYSGTVCIWNYQTQIMVKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKSWFCTQIFEGHSHYVMQVTINPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKTCVQTLEGHTHNVSAVCFHPELPIIMTGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIKSVGADHEVSDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEIVWSSDGEYAVRESTSKIKIFNKSFQEKKSIRPTFSAEKIFGGSLLAMCSNDFICFYDWAECRLIQRIDVNVKNLYWADSGDLVTIASDSMFHILKYNRDVVSAHFDSGRSVDEQGVEDSFEPLYDINERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLTLIEYKTLVMRGDLERASDLLPSIPQDHHNSVARFLESRGMIEEALEVATEPDYRFELAIQLGKLDIAKDIALVAQSESKWKQLGELAMSTGMLDMAEDCLKHANDLSGLLLLYSSLGDAEEIIKLASLAKENGKNNVAFACLFMLGKLEDCLQLLIDSNRIPEAALMARSYLPSKVSEVVALWRKDLNKVNQKAAESLADPDEYPNMFEDWQVALQVESRSADTRGSYPPATEYVNYVDRSHVNLVDAFKHMQLDVHENGELDQEDVEEENEKEEEFVGNKDKDKDNDSLDGGVLVNGHEAEAEVDEEWVTDNAGNPSAA >cds-PLY63083.1 pep primary_assembly:Lsat_Salinas_v7:8:72739974:72740969:1 gene:gene-LSAT_8X51520 transcript:rna-gnl|WGS:NBSK|LSAT_8X51520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIHLIFICVFLFAATYTCLGVGNVSVLCSEQERLALLNFKHSIQDPFEMLSSWVGNECCLWEGIQCDGVTGNVQGLHLKGDWPYSYNYLAGNKVSSSLAELRHLKYLDLSGNDFQGSQIPEFIVSLKHLSYLNLSYANFDGIIPPHIGNLSNLRVLDLSSYDSENFLKADDMAWAFGLSSLELLNLSYVDLSGAQNWDMMLHMIPSLKELSLSHCRLSNVNLGPFLNSSRILPNIKHLDLGYNSFKGPLPGLLQNMTSLTFLSLSAFNHSLTWNFPNLLSMIPFLSELHLSGCGLDKTHLSSPHLNYSTLSNIQHLDLSNNPLGGIFPSC >cds-PLY68686.1 pep primary_assembly:Lsat_Salinas_v7:7:84210772:84212270:-1 gene:gene-LSAT_7X59220 transcript:rna-gnl|WGS:NBSK|LSAT_7X59220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGYLPVKLKRKDLDEVNDDFCDFSLSSPARKIRRLDADLPPIIEEEEMGNPVVFEQSVPSQATSMEQVMTSSPVAINELNGSLPENEERAIVLYDPMNNIPSFQSRSPFSISVNSDFLSGYKSKFFAPYIIKSSDDDKTEKLEGGSEPSKGSLAVVPWVAPPSHHRGNPEVEFPEASEMMDADDMEAITMDIEDANSQQIPRNEMNQWQQHCMIPEAPMNLSTPISWSGTTGV >cds-PLY66825.1 pep primary_assembly:Lsat_Salinas_v7:7:20074998:20079611:-1 gene:gene-LSAT_7X16440 transcript:rna-gnl|WGS:NBSK|LSAT_7X16440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDSGTADFFYREAQRLGYVARLAFKLLQIQKQYKLITPGSSVVDLGCAPGAWLQRVKSDQRSQKELPLLIEAMGDSCECSSRVVCHRMDGYLPENTSKLRKPAVRSYNRSRVPRLKWTDELHQFFVNAVQKLGGEDNIPKTKGLESYNYHGVSVNIGLGPHEQYYKHNSFSTSAVNMKMADEPLLALNPEKLTSIVLGQMERSIYSRSQQFVHAPKSKFHHGSPNSYHGTHEGKKDKVYNQVSEATNVYLSNKENEDTENYEDAIVATLSKTPSVNTKLTLGGP >cds-PLY67225.1 pep primary_assembly:Lsat_Salinas_v7:6:136675740:136681665:1 gene:gene-LSAT_6X82240 transcript:rna-gnl|WGS:NBSK|LSAT_6X82240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDQSSELQNGDANPQPDSELHLPEDTAIHSTNNDEGDSSKLNQPIQSGDNDIAPEGVDPKPQGGAEEESDKERTIESKDNAELPKEEGSRTFTMRELLNELKNGDEASEVSEVVATPAAAPRHSQESTKQHTEKNSAAMDLINSITGSDEEGRSRQRILTFAAKRYASAIERNPDDYDALYNWALVLQESADNVNSDASSPSKDALLEEACRKYDEATRLNPTLHDAYYNWAIAISDRAKMRGRTKEAEELWKQATNNYEKAVQLNWNSPQALNNWGLALQELSAIVPAREKQTIVKSAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTSRTGGQDVSPNELYSQSAIYIAAAHALKPNYSVYTSALKLVRSMLPLPYLKVGYLTAPPPGNPIAPHGDWKRTQFVLNHEGLQQIQNGERATVKVEIPDIVSVSPCADLTLPPGPSICIDTIHGQIFLIADSWEYLDTWLDAIRLVYTIFARGKTDVLAGIITG >cds-PLY97523.1 pep primary_assembly:Lsat_Salinas_v7:5:235756956:235760733:1 gene:gene-LSAT_5X114741 transcript:rna-gnl|WGS:NBSK|LSAT_5X114741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASASSSLLSSRSPIKLTSLSSLTWRLPVSVSLPRHQRRRASPVFVVLSMDAKPTVLVAEKLGEAGLDLLKEFANVDCSYNLSPEELCTKISLCDALIVRSGTKVSREVFESSGGRLKVVGRAGVGIDNVDLGAATEHGCLVVNAPTANTVAAAEHGIALLTSMARNVAQADASIKAGKWLRNKYVGVSLVGKTLAVMGFGKVGTEVARRAKGLGMHVIAHDPYAPADRAHAIGVDLVSFDEAISTADFLSLHMPLTPATSKILNDENFSKMKKGVRIVNVARGGVIDEDALVRALDAGIVAQAALDVFTVEPPPKDSKLIQHENVTVTPHLGASTMEAQEGVAIEIAEAVVGALRGELAATAVNAPMVPAEVLTELKPYVTLAEKLGRLAVQLVAGGSGVKSVKVTYASARGPDDLDTRLLRAMVTKGLIEPISSVFVNLVNADFTAKQRGVRITEQRVLLDGSPESPLEFIQVQIANVESRFASAMSESGEVTVEGKVKDGVAHLTKVGGFEVDVSLEGNIILCRQVDQPGMIGTVGSILGEENVNVSFMSVGRTAPRKQAIMAIGVDEQPSKAALKRIGEIPAIEEFVFLDL >cds-PLY90238.1 pep primary_assembly:Lsat_Salinas_v7:8:14029166:14035070:-1 gene:gene-LSAT_8X9821 transcript:rna-gnl|WGS:NBSK|LSAT_8X9821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFLSYSRCSDPMCRHEARAHLSQEEQTAAEESLSVYCKPVELYNILQRRAVRNPSFLQRCLHYKLQAKQKRRQVQISVSISGATNDGLQTQSLFPMYMLLARAVSTTNVETQCTTVYRFNRACKLTAFGGADNTSSAKFILPEMNKLSTEVKSGSLAVLLVSCADTTNLQGIDLTEDHMFSASLNRVGYCLFGKIPMDLLQSSWEKSPTLSLGGRAEMMSTVIMQSCFMKLSCLDGGKCVSFHFPYNSEAVQVQVIVSAEEVGAKNMSPYDMYSYNDTPRPGIMRLRSGNVIFNYKYYNNMLQRTEVTEDFSCPFCLVKCASYKGLRFHLTSSHDLFRYEFWVTEDYQVVIVSMRTDICSSEIIPENVDPKQQMFFYCYKSARHRKPKAPTQNAKHVHPLVLDSAMSATLNELIDNTDCVAECMEHDTCSPDASATCHSFAELESVQSVPENNLQPPMLQFAKTRKLSAERSDPRNRALLQKRQFFHSHRAQPMALEQVFAERDSEDEVDDDVADLEDRRMLDDFVDVSKDEKQMMHLWNSFVRKQRVLADGHIPWACEAFSKLHGQDLVQAPSLLW >cds-PLY99310.1 pep primary_assembly:Lsat_Salinas_v7:7:179599561:179605156:1 gene:gene-LSAT_7X106141 transcript:rna-gnl|WGS:NBSK|LSAT_7X106141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQTKNKENWEYKKPRRGALGIASRTCRKSRKLSSWYKFLSNTETRLTRPESSETTYQKSSKREFEEEVFEKQQDEAEPIAVLEEEWRRMFNSGVFKKEEMDKMVMELFISHGFADVAKSFEHESGQKAGVDLVKLKAQKMITDLIDAREIEKATNLIYCSSTTCCRGEYVERPRSR >cds-PLY77466.1 pep primary_assembly:Lsat_Salinas_v7:4:47918766:47921838:-1 gene:gene-LSAT_4X30821 transcript:rna-gnl|WGS:NBSK|LSAT_4X30821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKFNETGANMEPDYVEKDPKGRYIRYREVLGKGAFKTVYKAFDQIDGIEVAWNQVRINDVLQSPDDFGKLYSEVRILKSLKHKNTIKLFDSWIDTKNKTLNMITELFTSGNLRQYSKKHKSVDMKAIKNWARQILHGLDYLHSQNPPVIHRDIKCDNIFVNGNHGEIKIGDLGLATVLQQPTAKSFIGTPEFMAPELYEEEYNELADIYSFGMCMLEIVTFEYPYSECKNPAQIYKKVTSGIKPASLEKVSDPKVKEFIEKCLVPANQRLSAKELLKDPFLEIMNLRKPMQSPLPRSLSHVSTANHAVVELCRVYEGNEFKLKGTKNDKNSVSLTIRIADPSGQVRNIHFLFYINTDTALSVSHEMVEQLELQKHHVSFITDFINEAITRILPSKTLGFEDLNDVSKGRAITRSRSFLSLCESDEIKEMVELKATESGYKRWCKEMKRGRKKGVEATRKRCIMIS >cds-PLY79207.1 pep primary_assembly:Lsat_Salinas_v7:5:125598485:125599248:1 gene:gene-LSAT_5X54861 transcript:rna-gnl|WGS:NBSK|LSAT_5X54861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEPELSVSRLIERIMEMPFLSVFTTTRPESDSSCNSEHPDHESTNSLHHQGSSLDRIILINPVTQGIVMIGGGATIGFESLMNDLMRKDGQPPAHQTSIDAMTNVEIKVTDEIESLGGECVKNSSGQQFSRQWKSAPTVLYSSRL >cds-PLY74029.1 pep primary_assembly:Lsat_Salinas_v7:7:10337185:10339856:-1 gene:gene-LSAT_7X8961 transcript:rna-gnl|WGS:NBSK|LSAT_7X8961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 1-3 [Source:Projected from Arabidopsis thaliana (AT4G00170) UniProtKB/Swiss-Prot;Acc:Q84WW5] MSTGDFLNLHPSELKFPFELKKQSSCSLQLTNKTDQYIAFKVKTTNPKKYCVRPNTGIVLPRSVCNVTVTMQAQKETPSDMQCKDKFLLQAVIAPIGATNKDITANMFNKEENKVVEEFKLRVVYIPANPPSPVPEESEEGSSPRGEDGSQNSSWPDVTTRSVDPKEKLSAESVSMVSRLTDEKTAALKQSQKLQQELELMRKQTVKNQSGGYSILFLVVVGIISFVVGYLIKQT >cds-PLY74997.1 pep primary_assembly:Lsat_Salinas_v7:5:86255014:86257323:-1 gene:gene-LSAT_5X39840 transcript:rna-gnl|WGS:NBSK|LSAT_5X39840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRQNTCVPVPLITFHLQFPPYFQASKISPSSSILHSSTHSTQCTPSSFHGSVTFIYKKQLLIAFKFGSKDILQRSLMGSFNRIKKFLVISCLIFEFGHGYYLPGSFPHKYDVGDQLSVKVNSLTSIDTEIPYGYYSLPFCKPPDGIKDSAENLGELLMGDRIENSPYRFKMFKNETEIVMCTTNPISNDEYQTLKERIDEMYQVNVNLDNLPAIRYMQRDGFYVRWTGYPVGIKVQDAYYLFNHLKFTVLVHKYEHDNVVSVVGTGDGELITPTNETMGDGYMVVGFEVVPCSVNHDHALLKNVTPYGTYPAKIKCDPVSVGMMLKENEPVSFTYEVSFVKSDIKWPSRWDAYLKMEGAKVHWFSILNSLMVVTFLAGIVLVIFLRTIRRDLARYEELDREAQAQMNEELSGWKLVVADVFRPPENSQLLCVMVADGCRILAMAIVTIFFAALGFMSPAARGTLITGMLMFYVFLGVVAGYVAVWLWKTLAAGEPRGWFSVSWRVACFFPGISFLILTILNSLLWGSGSTGAIPFTTFLVLILMWFCISVPLTLIGGLVATKGRYMEYPVRTNQIPREVPPQRFPSWVLVLGAGTLPFGTLFIELFFIMSSIWLGRVYYVFGFLFIVLILLVTVCAEVSLVLTYMHLCVEDWRWWWKSFFASGSVSLYVFLYSINYLVFDLKTLSGPVSAMLYLGYSLFMVTAMLLATGAVGFLTSFFFVHRLFSSVKID >cds-PLY80613.1 pep primary_assembly:Lsat_Salinas_v7:6:12272906:12274225:1 gene:gene-LSAT_6X8220 transcript:rna-gnl|WGS:NBSK|LSAT_6X8220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPVHPLWGLCVFVASIMIILSTLDSVTAATYTSRVPRTTRVPRTAPVVYTPSPWTLAHATFYGDESAASTMGGACGYGNIVTNGYGTDTAALSSTIFTDGYACGQCYQIKCVQSPWCSKGVATITATNLCPPNWSKDSNAGGWCNPPRTHFDMAKPAFMKIAQWKAGIVPVQYRRVPCSRAGGIRFSFQGNGYWLLTYVMNVGGAGDIGQMWVKGTKTAWISMSHNWGASYQAFATLKGQALSFKITSYTTKQTIVCNNVAPANWNLGLTYQASVNFH >cds-PLY84619.1 pep primary_assembly:Lsat_Salinas_v7:1:29220264:29220536:1 gene:gene-LSAT_1X24660 transcript:rna-gnl|WGS:NBSK|LSAT_1X24660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVNQPLPIRQEAGSRRCSAADEDGLPRGKCVADAAAIFLGCAAFLPSYLPSATPPPSSADNLAFVGVVWWRCRCCGEDFPFIHFFSAVA >cds-PLY68651.1 pep primary_assembly:Lsat_Salinas_v7:2:79967353:79967691:-1 gene:gene-LSAT_2X35261 transcript:rna-gnl|WGS:NBSK|LSAT_2X35261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRQQRDNEHNSPFGVATMTGANRSEPATEKWIWVVLLVIGNNHELKLSRFLTTHRMNIGLAILDFAGSNQRHSNGRSRSVNGDEQHDDDKPAALHLLRRPRNPAVFSLSSM >cds-PLY89180.1 pep primary_assembly:Lsat_Salinas_v7:3:21963336:21963623:1 gene:gene-LSAT_3X16200 transcript:rna-gnl|WGS:NBSK|LSAT_3X16200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRISIENLIQQHQQTKNILEITYAKIYQQLQRKGKTTNSMSCQLSLISQSLTLQHLSTLRSAGIEGLRCIRMSILLTKKEIKMYEEMQEVIQEET >cds-PLY73445.1 pep primary_assembly:Lsat_Salinas_v7:4:181010184:181011071:-1 gene:gene-LSAT_4X105020 transcript:rna-gnl|WGS:NBSK|LSAT_4X105020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDDKEPSPLHQQVVENVRFMLRDNHLTIEPFNDDIDHISEMIKEYVTNAEYCVSYYKDELGDTQFRLEELRCRMAKLENDLAINTEAHLTSEIMGLNTQPELLACDASHFTGDNEDHEEVVTCNLDVIATSSLSIPLEVTSSHDLPDSGAGSDSLVEFSLECPTMESFNSEDATSEKTNIPDSKSSDKKPISYEPVGYSKTEPIEFLSQETFPSLSASVSGTKDLGCKYFK >cds-PLY71251.1 pep primary_assembly:Lsat_Salinas_v7:1:154523909:154524115:1 gene:gene-LSAT_1X107381 transcript:rna-gnl|WGS:NBSK|LSAT_1X107381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHLFFAVGFSAVPLTLFIPPVRNFNLFVASMEDLWRESSLYTNRMYPRLRYACSRVLDCLLCNSSTR >cds-PLY75447.1 pep primary_assembly:Lsat_Salinas_v7:7:72615044:72615830:1 gene:gene-LSAT_7X52800 transcript:rna-gnl|WGS:NBSK|LSAT_7X52800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKLSRLSDLLKGLPNIISQNAKFMVITTSVFLIHYSIPFILPTSCAKFMDLSFKLYTLVYAHPHTTEYNELLIAIREDIDIFLTIETFNSVFYFFVAQITIIVIASSDYRGSTLSLKEFMIKVSRKWTRPFFTSFYVKLLPLGYTSFFFLPRLIPSLLLLDHPVILITILLFLAYFFIKLNLYLSIVWSLSVVVSVFKDTDELSAVGNAREVASARG >cds-PLY73433.1 pep primary_assembly:Lsat_Salinas_v7:4:181599929:181600135:1 gene:gene-LSAT_4X106860 transcript:rna-gnl|WGS:NBSK|LSAT_4X106860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVVGVMQHLGMNRPPFPQAGPASPPSAQSGVSSYDGAGTLGMYPGDTDDDSEESSEDEEGEYESSD >cds-PLY87602.1 pep primary_assembly:Lsat_Salinas_v7:8:112714086:112714391:1 gene:gene-LSAT_8X78960 transcript:rna-gnl|WGS:NBSK|LSAT_8X78960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERTAPIYQHESPHPEWLGCSPVSECRCSERWRTSWRTGQRMYGGNMLGFRVPPVAATDTEVGQNVMEGRGSGHDDTSAAAPKVGQNGSPPQGKPSVGVSR >cds-PLY99266.1 pep primary_assembly:Lsat_Salinas_v7:6:184572123:184573116:1 gene:gene-LSAT_6X112760 transcript:rna-gnl|WGS:NBSK|LSAT_6X112760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSSAINNHHVHPHVAEPPANPMVGTRVAGILLKWINCGKRWRPRWFVLQDGVLSYYKIHSPIATKEPDKECRIIGTSSHNHNPRHVNPHHRKPLGELHLKISSICESRSDDRRFSIFTGTKGMHLKAHTREDQMEWMEALKAVKRMFPRMSNSELLNPITSVTTVSTEKLRARLLQEGVNETIIQDAERIMRNEFITMQDQLALVRKKVGLLIETLDMS >cds-PLY89027.1 pep primary_assembly:Lsat_Salinas_v7:6:98768901:98770826:1 gene:gene-LSAT_6X64520 transcript:rna-gnl|WGS:NBSK|LSAT_6X64520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDREQNQSPSQNQNENQNPSQNHVGFESYVVIHNIAKRHNVGTLARSATAFGVSELILIGRRDFNAFGSHGSTSHLRFRHFYSLSDARLFLKEKDCDICGVEITDNATAVNGHPFKKNTAFLLGNEGSGLSAKECEFCDYFVYIPQYGCGTASLNVTVAASIVLHHFAVWAGFPERTRDGNKFVVAERPARKVGRNFSTETAESVTEERKLKKENDAINGFFDESLEDDSSKLLDSVFGD >cds-PLY92533.1 pep primary_assembly:Lsat_Salinas_v7:3:253378799:253379148:-1 gene:gene-LSAT_3X139561 transcript:rna-gnl|WGS:NBSK|LSAT_3X139561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRSNASMDKLMSLLLNPTREWMHNADQIRKSRPCLLPLTYLNQIWRCILNILVIEDYNHELGPLCIG >cds-PLY80067.1 pep primary_assembly:Lsat_Salinas_v7:4:349422276:349422809:1 gene:gene-LSAT_4X172140 transcript:rna-gnl|WGS:NBSK|LSAT_4X172140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQMQVMIVPTPSHPETTRRVESKFDPQKHIVVVDIPNADTTTDQPIPDTGDQSESDVFEGFLDLGFMPQAVVPTVPLNASFTGGAHNAEAGNSAAAGGDPSTPPPKKKSKLIFDLNELEETWRLPTKEVREILLEYNAAI >cds-PLY97293.1 pep primary_assembly:Lsat_Salinas_v7:3:177190806:177193977:-1 gene:gene-LSAT_3X106840 transcript:rna-gnl|WGS:NBSK|LSAT_3X106840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINQRPIKSRPFPSSSSSSLISTSASSASVSSLPPSSSLIHKSDQTIPKMGKRSILKPTLATILLIFALYALFNTSIYPHTDNTLPTQTRFQVPQKAVPIYMYDLPRKFTYGVIDSYTLARGGTPNEDVTKITFPGNQHAAEWHLFSDLNNPKRSGSYVTRVSDPAKAELFYVPFFSSLSLVANPNRGGAGFRETYNDEETQESLMEWLEKQLYWKRNNGWDHVFICQDPNALYKVVDRVKNGVLLVSDFGRLGRDQASLVKDVILPYSHRINSYKGEIGVENRKSLMFFMGNRYRKEGGKIRDLLFQILESEKDVIIKHGAQSRESRRMATQGMHTSKFCLHPAGDTPSACRLFDAIVSLCVPVIISDYIELPFEDVIDYRKIAVFVDTSSAIKPGYLVKLLRGVKKDRIIEFQRELKKVQHYFEYDERDGTVKEIWRQVSLKLPLIKLMINRDNRLVKRQLMEPDCSCICSNQTGIHTSL >cds-PLY72137.1 pep primary_assembly:Lsat_Salinas_v7:7:54439762:54446313:1 gene:gene-LSAT_7X39580 transcript:rna-gnl|WGS:NBSK|LSAT_7X39580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase VPS15 [Source:Projected from Arabidopsis thaliana (AT4G29380) UniProtKB/Swiss-Prot;Acc:Q9M0E5] MGNKIARTTQASATEYYLHDLPSSYNLVLKEVLGRARFLKSIQCKHDEGLVLVKVYFKRGDSIDLRDYERRLSQIREIFSRMENPHVWPFQFWLETDKAAYLLRQYFFNNLHDRLSTRPFLSLVEKKWLAFQLLYAVKQSHDYGVCHGDIKCENVLVTSWNWLYLADFASFKPTYIPHDDPSDFSFFFDTGGRRRCYLAPERFYEHGGEIQVSQDAPLRPSMDIFAVGCVIAELFLEGQPLFELSQLLAYRRGQFDPSQHLEKIPDSGIRKMILHMVQLDPDSRCSAESYLQTYAGVVLPSYFSPFLHNLYSNLNPINPDSRVAMCQLSFPEILKQMLNNKSGEDTIGINNFSTNVVTNRRLMDSKQNVSFPKIPSHTEDKKNSQNNQQFGIRSTKKLLRTISNVFERNHHPHTREITVSDLNSFMSDYDNQSDTFGMPILPLPQDNISCEGMVLIASLLCSSIRNVKMPQLRRTAVLLLKSCSSYIDDEDRLQRVLPYVIAMLSDPSAIVRSAALETLCDILPLVRDFPPSDAKIFPEYILPMLSMLPDDPEESVRICYASNISKLALTAYGFLIHSISLTEAGVLNDGQKPGVPGVASGRLQNQKNDAQLAQLRKSIAEVIQELVMGPKQTPNIRRALLQDIGNLCWFFGQRQSNDFLLPILPAFLNDQDELLRSVFYRQIVYVCFFVGQRSVEEYLLPYLEQALVDQTEAVIVNALDCLAILTKSGFLRKRVLLEMIEHAFPLLCYPSQWVRRSVVTFVSASSESLGAVDSYVFLVPLIRPFLRRQPSSLASENALFSCLKPPVSRTVFYQILENNRSSDMLERQRKIWYNSSAQGKQSDDVYNKGVRELEKMKMWSDRRPDFQDHKHVSNSIQSFGLGEIPGLPSFSRTGSGTVDILDPLFSDKLKFSGFMSPQISGANSLVGEKSSDGIPLYYFKYDNKRSPGSASSSAPESSSQLDGLDSIPSPSLVSSSIGITNSTPQFHRVVHELEDRESDQTVHLSNKFQDMGVSSTLKGGNPIVENDTSPTEIPSLPSFSRTPGIPDSGWRPRGVLVAHLQEHRSAVNDIAVSTDHSFFVTASDDSTVKVWDSRKLEKDISFRSRLTYCLEGSRALCATMLHGSAQVVVGSSDGTIHMFSVDYVSRGLGTVVEKYSGIADVKRNGIGEGAILTLLNYSSHGDDGKMILYSTHNCGIHLSDTRQNSNAWNTKVIPEEGYVSALVTSPCGNWFVSGSSRGVLTLWDLRFGIPVNSWQYSVPCPVEDMCLFVPPQSTTLSTTVRPLVYVAAGCNEVSLWNAENGSCHQVLRVANNESDGEISDMPWALGRASTSTSSKTNSKGDSRRNVNYKYRVDELNEPPARSPGIRSLLPLPGGDLLTGGTDLKIRRWDHCSPDRSYCICGPSIKGVGNDEFYETKSSFGVQIVQEAKRRPLATRPTGKAVLASAATDTGGCHRDSILSLASVKLNQRLLLSSSRDGTIKVWK >cds-PLY84777.1 pep primary_assembly:Lsat_Salinas_v7:8:23914752:23917049:-1 gene:gene-LSAT_8X18681 transcript:rna-gnl|WGS:NBSK|LSAT_8X18681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKIIKGMKKGSKPEVIEPPPGPNAPSDVTVNHASRSAIPPAQLTNTKSQAISRNPAPQTGVIEVTPLLKDVPISERHVLFIRKVNMCSASFDFSDPLKKVKEKEIKRQNLQELVELVQSGSSKMNEIMQEEMINMISVNIFRALPPQAFENTGAIDGDPEEDDMYLEPSWSHLQLVYELLLRYVVSPDTDTKVAKRFIDHSFVLNLLDMFDSEDPREREYLKTILHRVYGKFMIHRPFIRKAINNIFYRFIFETERHPGLGELLEILGSIINGFAVPMKEEHKLFLVRALIPLHKAKFINYYHQQLSYCIIQFVEKDYKLADVVIRGLLKYWPVINCGKEILFLGELEEILDATQPAEFQQCMIPLFRQIGRCINSPNFQVAERVLFLWNNEHIVDLIAQNRDIILPILFEPLEKNIKGHWNTAINGLTGNVRKMFIEMDSDLFEECSNQFLEKEAMANKMLEKRELTWKKLEAIASEGGGDDMVMVN >cds-PLY69746.1 pep primary_assembly:Lsat_Salinas_v7:5:231212128:231212908:1 gene:gene-LSAT_5X111420 transcript:rna-gnl|WGS:NBSK|LSAT_5X111420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFNRTSRFSSSVFVVVRASILSDNGLYHLHSSLENPMLERLLLRKVITLDMGLLVAGTKYCGEFEEKLKKLMEEIKQSDEIILFIDEVHNLIGAGAVEGAIDAANILNMQDSIGP >cds-PLY88890.1 pep primary_assembly:Lsat_Salinas_v7:3:223315939:223316803:1 gene:gene-LSAT_3X129101 transcript:rna-gnl|WGS:NBSK|LSAT_3X129101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYQFCLHLCNLLFLDSCHIKLLGDVGFQVQFMPMGSFFIFAVLPDTCKLIEEWISTRKFSRYPIKDEYAQWIVSSQRSLLEVMEAFPSAKPPLGVFFASVAPRLQPRYYSISSSPKMAPERIHDTCALVYEKTPSGRVHKGVCST >cds-PLY73495.1 pep primary_assembly:Lsat_Salinas_v7:2:99503669:99504916:-1 gene:gene-LSAT_2X43721 transcript:rna-gnl|WGS:NBSK|LSAT_2X43721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDFREAQRAKGPAAIMAIGTATPPNCVPQSTYADFYFRVTNCEHKTELKEKFKRMCDNSKIKKRYIHLTEEILKEKPNLCAHMAPSLDERQDIAIAEIPKLGAKAATQAINEWGQPKSMITHVVFSSVYGVDMPGADYQLTKLLGLSPSVKRVMLYQQGCFAGASVLRLAKDLAENNKGARVLVVCSEITTPNFRGPDEAHVDNLVSQVLFGDGAAAVIVGSDPIPDVEMKLFEIVSASQTIVPDSDGAIKGHIRQAGFITRLHRDVPELIGKHVEKSLLEAFQPLGISDWNSLFWIAHPGGRAILDQVEENLCLRPEKLRASRHVLAEYGNLSSPCVLFILNEMRHSSVTQGAITTGEGLEWGVLMGFGPGVTVDTVVLHSVSI >cds-PLY71137.1 pep primary_assembly:Lsat_Salinas_v7:9:81849292:81849546:1 gene:gene-LSAT_9X64901 transcript:rna-gnl|WGS:NBSK|LSAT_9X64901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVSVTTVDAPPPPQPQFTCSDNNQKLSKKGSISRSRSSSATSSHRRCTFTRKCARLVKEQRARFYIMRRCVSMLVCWRDYSDS >cds-PLY83440.1 pep primary_assembly:Lsat_Salinas_v7:9:89742079:89752557:1 gene:gene-LSAT_9X68321 transcript:rna-gnl|WGS:NBSK|LSAT_9X68321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSNEHTTNWSLYMNDSLNWGAAAAALSGSHLDEVKKMVEEYRNPVVKLGGATLTISQVAAVATRHANDVQVELSESACDGVTASSDWVMNNMLKGGDTYGVTTGFGATSHRRTKEGGALQQELVSQKEMERSNGHTTNGSLYVNDPLNWGAAAATLSGSHLDEVKKMVEEYQNPVVKLGGTRLTIAQVAAVATRHANDVHVELSESTRDGVTASSDWVINNILKGSDTYGVTTGFGATSHRRTKEGGALQHELIRFLNAGIFGTAVGSSDHTLPQSTTRAAMLVRINTLLQGYSGIRFEILEAITKFLNHNITPCLPLRGSITASGDLVPLSYIAGLLTGRPNSKAVGPTGELIDAASAFNHAGINTGFFELQPKEGLALVNGTAVGSGMASVVLFEANIIAVLSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYVKEAKRIHDIDPLQKPKQDRYALRTSPQWLGPLIEVIRTSTKSIEREINSVNDNPLIDVSRNIALHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMAAYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVEILKLMSTTYLVALCQAVDLRHLEENLKSSVKNLVSQVAKKVLNTGPNGELHASRFCEKDMLTIVDREHIFSYIDDPCSATYPLMQKLRQVMVSHALANGEAEMDTSTSIFQKIGAFEDELKALLPNEVESVRVDHEGGKLAIFNRIEKCRSYPLYKFVRKELGTSYLTGEKIMSPGEEFDKVFSAISAGKLIDPLLDCLKMWDGTPLPIS >cds-PLY75085.1 pep primary_assembly:Lsat_Salinas_v7:2:93951561:93952800:-1 gene:gene-LSAT_2X41841 transcript:rna-gnl|WGS:NBSK|LSAT_2X41841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTDLPLELKNILRNVHVSKEQRKPYVVVFVGVNRVGKSINLAKVAYWLQQHDINVMMAACDTFRSGAVEQLHTHARMLQIPSYIYNEINTYY >cds-PLY98221.1 pep primary_assembly:Lsat_Salinas_v7:7:171154810:171157736:1 gene:gene-LSAT_7X100181 transcript:rna-gnl|WGS:NBSK|LSAT_7X100181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTYTPKNILITGAAGFIASHVANRLIRSYPDYKIVVLDKLDYCSNLKNLNPSKSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTRQIRRFIHVSTDEVYGETEEDAIVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKPLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVIDVAKDMCNLFNMDPESSIKFVENRPFNDQRYFLDDEKLKSLGWAERTTWEEGLKKTMEWYISNPDWWGDVSGALLPHPRMLMMPGGVERVVDVSENIQSDSPHSAVNPSQFRKVGPVTKPNKPSFKFLIYGKTGWIGGLLGKLCEKQGIQYEYGKGRLENRSQILEDIQKIKPTHVFNAAGITGRPNVDWCESHKTETIRTNVSGTLTLADVCKESGILMINFATGCIFEYDEKHPEGSGIGFKEEDTPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLNNPRNFITKIARYDKVVNIPNSMTILDELLPISIEMAKRNLRGIWNFTNPGVVSHNEVLEMYKEYINPGFKWTNFTLEEQARVIVAPRSNNELDMTKLKEEFPELLSIKESLIKYVFEPNKKV >cds-PLY93908.1 pep primary_assembly:Lsat_Salinas_v7:7:180793708:180794301:1 gene:gene-LSAT_7X107421 transcript:rna-gnl|WGS:NBSK|LSAT_7X107421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSNQSQSQFQDFLPVMADKLGGDGLIEELCNGFQLLMDREKGVITFDSLKKNSSLLGLQDLSDADLLSMLREGDFDGDGALSQMEFCVLMFRLSPDLMQQSEFLLEEALEQEFNNFQH >cds-PLY86168.1 pep primary_assembly:Lsat_Salinas_v7:6:156773761:156777044:1 gene:gene-LSAT_6X94740 transcript:rna-gnl|WGS:NBSK|LSAT_6X94740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCFHSTVRKPVPGHENPTILASQTAFSVSEVEALFELFKSISSSVIDDGLINKEEFQLALFKNRKKENLFANRIFDLFDVKQKGVIDFGDFVRALNVFHPNAPQEDKISFCFKLYDMDGTGFIERQEVKQMLIALLCESELKLADDTIEVILDKTFSEADVDQDGKIDKSEWHNFVTKNPSLLKIMTLPYLRDITTTFPSFVFNSEVEEIAT >cds-PLY98490.1 pep primary_assembly:Lsat_Salinas_v7:4:361751092:361752862:-1 gene:gene-LSAT_4X179341 transcript:rna-gnl|WGS:NBSK|LSAT_4X179341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g15300 [Source:Projected from Arabidopsis thaliana (AT5G15300) UniProtKB/Swiss-Prot;Acc:Q9LXF2] MVVNGFNTSKFNLRELIYVSALVIPSGISYAHEVFDHITQPDRFMWNTMLRGSAQSPNPANTVSLFTQMERRGIQPDRYTFPFVLKACTKLSWSILGCAVHGKITKHGFDENTFAKNTLIYFHANVGEIEIASALFDSSATKQVVAWSALTAGYARRGNLGMARKVFDEMPEKDLISWNVMITAYAKMRQMESAKALFTQVPNPDIVTWNAMIAGYVTSGMHTHALQMFDEMTRVGEQPDEVTMLTLVTACTNSGDLDIGEKIHRSVLSLGGGKLSILLGNALIDMYAKCGEIDKAFKIFNNMKEKVVTTWNSIIGGLAFHGSSEEAINVFKRMRKIKITPNEITFVGVLVACSHAGRVQEGQEYFNLMKKEYKIKPNIRHYGCMVDLFGRAGLLNEAFEFIDQMEIEPNDIIWRTLLGACRVHNHVELGKLANEKLLKLRKGESGDYVLLSNIYASQGEWSGAERLRKVMDDKGVRKEAASSLVDADERMLMRFLFDSKPQMEVDKFKTKVHMKSFDVK >cds-PLY91989.1 pep primary_assembly:Lsat_Salinas_v7:7:91432020:91432280:1 gene:gene-LSAT_7X63281 transcript:rna-gnl|WGS:NBSK|LSAT_7X63281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMKTRAFLFVDVVMTENKLTALLDTRASHNFLDEKEAGRLGVHYSPSHGTIIKTANLGAKKILGITTLQVRIDDWTNDIVSESNM >cds-PLY78669.1 pep primary_assembly:Lsat_Salinas_v7:9:50600620:50602600:-1 gene:gene-LSAT_9X46700 transcript:rna-gnl|WGS:NBSK|LSAT_9X46700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSISVDWSDQPPELLETIAKELKIHQDYVRFRAVCLAWRSATPRIPKHLPCQLPWLMLPRRTNQNPQSNTRSFLNVSDDKVHLIDLPEDSDSNRRCGSSYGWLVILEETPSISIVNPLTQAKHHLPPLSSFPNVTSFEPSEIGKEYTLKTSEGEVYTCNSKEMRDSFIKKVIFSSSPSNENMDYYAVAILNQTGDLAFCKKGDNCWKFIDDANSFCEDVVFHKECFYAVSKHGTVAICDITGSSPNVSFIETPRQVGGDMQYLVIWEEELLLVTRYMEVEFNMDQHKVDIVYKTTDFRVFKLVLNGPKWESMSGLDGCALFLGENSSMAFRASDFHGCNGNCIYFTDDYSEWNYDGANGDHDLGIYDLENGSVVGLPGYEKLSNGRRWPPPIWISPSLH >cds-PLY86710.1 pep primary_assembly:Lsat_Salinas_v7:4:8570964:8572171:1 gene:gene-LSAT_4X5640 transcript:rna-gnl|WGS:NBSK|LSAT_4X5640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTTLSSSTSHDDQHPHPVDHVSQSAAEDSFGFEHLQINAYDGSHTQQLMPESAEIDDEDQEEEYDDDDEAEAEEGDFTFMCIGDNDSAITEDVEGGQIRPVFPLFDQTLLSGSEYDEGRRRLPISAQVDKVFIESPRLSPSSGNEETDGMATGTFCSLSKESDNGTKEMNLKSNSTGFSKLWRFRDKMNRSNSDGRDAFVFLDGPDRTTTSESKADAVDRSNVKVNAAGKEKVVKKVSKAKKGTASAHEVYLKQKVGQTEERRRSYLPYRPGVMGFFTNVNGGLSKNVHPF >cds-PLY98060.1 pep primary_assembly:Lsat_Salinas_v7:4:78212016:78212396:-1 gene:gene-LSAT_4X53540 transcript:rna-gnl|WGS:NBSK|LSAT_4X53540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLFVFAPAANVIRCPNAPNAPIVIQENNVGFSDVIPNQHQNSGIKDFVNYVKSCPLCHTFYDVLDPFYPKQVCEFFYYHTVYVDAQTISITVGDGHSRVVITATSVHHGSTSSSIESLFCSPF >cds-PLY73878.1 pep primary_assembly:Lsat_Salinas_v7:3:38756860:38757631:-1 gene:gene-LSAT_3X30360 transcript:rna-gnl|WGS:NBSK|LSAT_3X30360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SufE-like protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67810) UniProtKB/Swiss-Prot;Acc:Q9FXE3] MNSASTSAAVFRSPTRFILPPPPSNSSNPNLSRIQYKRNKSNRRSNSTRSLQISHPKHVTPPDPPNFSNSLTVGEKLEILVSEFKSLTEPIDRVKRLIHYASLLPEFEESGRVESNRVTGCTAQVWLEVMMDVDGTMRFRVDSDSEITKGFCYCLIWLLDGATAGEVIGIRLDDLGEMNVGILPIRASSRVNTWHNVLLSMQRRTKALIMETYGDPSLPLLQ >cds-PLY92892.1 pep primary_assembly:Lsat_Salinas_v7:3:181156966:181157955:-1 gene:gene-LSAT_3X108261 transcript:rna-gnl|WGS:NBSK|LSAT_3X108261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWYGFCPAVRSALFFGVLLAIIEWARSILSIFMSAQQQMPVLIEEAPQSAPNMKSPFLHFLNKIFCLVQGIGYLVASWQPSHLALVGFHLYQLESQTSTNYQRMIFVSIGQAAARIININETEIVCGRFEQLQVSAKFKHKRHPFAIGLTLAKLAAFTVDEKGNETFDTSGALDKLRKSLQLERLAMYHDSSQPPWKVDNKWVDLSPKEWVEV >cds-PLY71475.1 pep primary_assembly:Lsat_Salinas_v7:7:190251830:190255352:1 gene:gene-LSAT_7X115921 transcript:rna-gnl|WGS:NBSK|LSAT_7X115921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSICHFLHSLHKKTHRQEGRKARFDQKEFRVGLLLLPTSPSTVLLLLPSAADDFCLCSFFVNYHRCWRIGFWVSAISGGLLSAYDLSGDNVFLEKAKDIADRLLPAWNTPFGIPNNIINLAHGNAHNPGWTGGDSILADSGTEQLEFIALSQRTGDPKYQQKVENFILELNKTFPADGLLPIYINLTEEQHLIPPLLLGPWGTVSMNIYLKFGYKETKQQREMWETSMKGPLSLVRRTAASSFTYISEKIGNSLIDKMDELVCFAPGMIALGSSDKCAIFGFICSLHGHAITFISRHQQSWHEKIISFIQGSVGTSWNILRPDTVESLFYLWRLTGNKTYQDWGFEPPFTWRSYVTLAMWPPPQNEEYPTTD >cds-PLY70661.1 pep primary_assembly:Lsat_Salinas_v7:5:70772178:70773387:1 gene:gene-LSAT_5X32960 transcript:rna-gnl|WGS:NBSK|LSAT_5X32960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIFINLIYSGTTTPAAFTRATVVVAVKYSIVERPEKIDAVRYPKISSFLMLIKDQDRGKLPGGKEIAVKRLSSLSGQGLQYGTREGKEVTLERRVRFDLLQVISSNKCY >cds-PLY77900.1 pep primary_assembly:Lsat_Salinas_v7:1:21361725:21365464:-1 gene:gene-LSAT_1X17721 transcript:rna-gnl|WGS:NBSK|LSAT_1X17721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent tRNA 4-demethylwyosine synthase [Source:Projected from Arabidopsis thaliana (AT1G75200) UniProtKB/Swiss-Prot;Acc:Q8RXN5] MSSTAATASISTTSLTTHLTILAFLSATTVYCFYKSSRLRHLKLSSPSTNPNLSSATRKGKLFFISQTGTSRTLAQRLFNLLTSNNLPFELVDPNVYEPEDLHQENLVLIIASTWEDGNPPSNGVFLSNWLSESAEDFRVGSLLLSKCKFAVFGVGSRAYGDNFNAVGRGLSTKMRSLGASEILPLCEGDVDEGELDKAFGIWSKEVIKVLKAGELRGNGNDLIEENGYEMIDGSDYEDDDDDYEDEDEENGMESDIVDLEDIAGKAPSKKDMGAITSNGKKNGQKEMVTPVIRASLKKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWQWKMDDPLMIVNSALEEHKKMIKQMKGVPGVTAERLSEGLLPRHCALSLVGEPIMYPEINSLVDELHKRRISTFLVTNAQFPEKIVSLKPITQLYVSVDAATKDSMKAIDRPLFGDFWERFGDSLKALKDKQQRTVYRLTLVKGWNTEDVDAYSSLFGIGNPDFVEIKGVTYCGTSATSKLTMENVPWHADVKAFSEALAEKSNGDYEIACEHVHSCCVLLAKVDKFKINGQWHTWIDYDKFHDLVASGEPFGSNDYMAPTPSWTVYGANEGGFDPDQLRYKKERNHKSTR >cds-PLY79638.1 pep primary_assembly:Lsat_Salinas_v7:5:254383630:254384913:1 gene:gene-LSAT_5X127660 transcript:rna-gnl|WGS:NBSK|LSAT_5X127660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFFTNQFDKNADSQLPPGFRFHPTDEELITCYLLRKVLDGSFTCRAIAEVDLNKCEPWELPQRAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIFSSKTSSLVGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFAYHFLSKSSKDEWVISRVFQKSGTPANVGGSCTPGGMKPVAGGMNCYQELNSSTSVSLPPLLDSSPYASATSAYTADHDSYSYDSNAASKEHVPCFSTASASNYDSQYVFDLPPPPLSTSTFTGPAMDYQKNVGVSSFPSLRALQENLQLPFFYSSVASPQPPMHGDGTMCSYPNSSGGNWLPAVSSAAEIQKPGPTELDCIWSF >cds-PLY93038.1 pep primary_assembly:Lsat_Salinas_v7:9:27221545:27225083:-1 gene:gene-LSAT_9X23881 transcript:rna-gnl|WGS:NBSK|LSAT_9X23881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMSNSVLLVLSLLLGIGNYADIRFFFVYGVVDEYRRYDFPSEFVFGAGTSAYQVEGAVQEDGRSLSIWDTFAHSGVPWYNGENGDVAIDGYHKYKEDIELMADTGLEAYRFSISWSRLIPNGRGSINVKGLQFYNDFINRLIAHGIEPHVTLHHIDLPQILEDEYGGWISRKSVKDFVAYADVCFREFGDRVLHWTTFNEANIFALFGYDYGYSPPGRCSSPFGTNCSKGDSTSEPYLVAHHLLLAHASTVRLYHRKYKAMQQGFIGINVLGFWFEPYTNTTEDVKAAQRANDFYLGWFLNPLVNGDYPEIVKKNAGNRIPTFTKLQLERIKGSFDFLGINHYSTLYVKDNPMSLETDTRDAIADMAVTYKFRTTGGENFPTQFPMAPLSLQKLLNYVKEEYGNPPIYIHENGQKQPHNVTLMDTPRVEYLHAYIGALLDALRNGSNTKGYFVWSFLDLFEATGGFTYGFGLYYVDLDDKEFTRYPKLSAHWYANFLKGNVGPVPIRYTNTRRR >cds-PLY94558.1 pep primary_assembly:Lsat_Salinas_v7:2:157325582:157327107:-1 gene:gene-LSAT_2X80401 transcript:rna-gnl|WGS:NBSK|LSAT_2X80401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEAHLLTPVPQFFSQIEEIGWEHLVRLAEDLSFVTFRVIDKKERVHILEISFDKSYPNTPPSVSADVPYIFNLQWSKNSRLKDVVNQFKQHLENLQQFWSTLEDIDQSLCLFDSSNLHRAMSLRHINIGNDCSIIVLIHANEPKSLPECRFMGLNENVNSLRDKWRKNCKKWRKEKTFGENLSNLLEIQLPKPQGVEKNEQQIECGICYSQFLPIDDEFGANSGGKTDYSCENSICNKAFHSVCLGDWLRSITTTRQSFNVLFGNCPYCSEPVAVKIGTRK >cds-PLY76163.1 pep primary_assembly:Lsat_Salinas_v7:4:55549992:55550294:1 gene:gene-LSAT_4X37620 transcript:rna-gnl|WGS:NBSK|LSAT_4X37620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALCPLLSSHSSHYWCHFFPPSSPPSEDQIEAVEAVEAPPSIKALKPSDTFVHRHNSATPAEQTKMAEFVGFSSLDSLIDATIPKSIRLNSMKFFKFDEA >cds-PLY72888.1 pep primary_assembly:Lsat_Salinas_v7:4:273472778:273473002:1 gene:gene-LSAT_4X140640 transcript:rna-gnl|WGS:NBSK|LSAT_4X140640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAEVPAMEAAKKRKLEMTMDVRNHTHLLLDHGGDEGGGSDGGGDAHQRRKEDRETQGLDKKQRKEEPGRID >cds-PLY96415.1 pep primary_assembly:Lsat_Salinas_v7:4:343319303:343321671:1 gene:gene-LSAT_4X169500 transcript:rna-gnl|WGS:NBSK|LSAT_4X169500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain gene 4 [Source:Projected from Arabidopsis thaliana (AT5G15330) TAIR;Acc:AT5G15330] MKFGKEFGIHLEQTLPEWKDKYLCYKPLKKLLKNLPNPTVDDANDHPQPYRNPTGVNADRNLPPLPHHLAEIQHWFVRILNEELDKFNDFYVDKEEEFVIRFQELKQRIELVKEKSCKDGVFTSESEFSDEMMEIRKDFVTIHGEMVLLKNYSSLNFAGIIKILKKYDKRTGGLLRVHFTQLALRQPFFTTEPLTRLVHECEENLELLFPLEAEVIESTSRTTTTTTPLALGEETSDVYRRTVDAIKTIKGLKKESSTSNPLSFASIFGNQDIDTGAVTAEDSRSDSSGCDNIEQDYDDGHSA >cds-PLY85366.1 pep primary_assembly:Lsat_Salinas_v7:5:244834979:244836520:1 gene:gene-LSAT_5X121520 transcript:rna-gnl|WGS:NBSK|LSAT_5X121520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQEEEVILLNLWASMYGMRVRIALAEKGVSYEYREEDLRSKSQLLLDMNPVHTKVPVLIHNGKPICESNIIVEYIDEVWKDKAPLLPSDTYDKARARFWADFIDKKVFQIGRKLYTTIGEEHEAARKEFINSLKLIEGELGEKPYFGGESFGYVDVSLIPFHSWFHSYETYGKLNIQQDFPKLIAWGKRCFENKESVSNSLPDSLKVLAFVQFLRRRFGLDE >cds-PLY67612.1 pep primary_assembly:Lsat_Salinas_v7:5:117836456:117837217:-1 gene:gene-LSAT_5X51680 transcript:rna-gnl|WGS:NBSK|LSAT_5X51680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNLMSLESGNWRSQVKAVSRQRMVNRIMNAMKRHYTTISGHEALKELEKIIVRFEEKIFTVATSPSDYLCKISLKMQTLENR >cds-PLY68913.1 pep primary_assembly:Lsat_Salinas_v7:2:194184237:194187340:-1 gene:gene-LSAT_2X115481 transcript:rna-gnl|WGS:NBSK|LSAT_2X115481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDKAIEQDEGLPPALLGSCNDRAKQLHASPSGRLLTALICEYLDWAQLGHTLKVYLPECNLQKDAWKTELRDFSNKNGYDINRNGDSGPLLLDVLEGFLKFKNQSQGRGSGRRSMIPEQDSDSRNRRPSSSSVIGGLAPLGRQGSGSQASDRRGGGSSNSSYKKDDYNWRYENDDTSEDINRASIALENLQLDRKARNLTTSWRHGGEGSNED >cds-PLY99861.1 pep primary_assembly:Lsat_Salinas_v7:4:46016254:46019923:1 gene:gene-LSAT_4X31280 transcript:rna-gnl|WGS:NBSK|LSAT_4X31280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46910) UniProtKB/Swiss-Prot;Acc:Q8W4F1] MDLRLPTTTALFRPPTTSNILPSSSTFSFPFSYSRNRFRCSMTTTFTSSPQINETELENKKYDLLKAVQDTQRGLTTTPNQRSEIEESLVDLESCRVDNEPIDLEKLDGTWRLQYTSASDVLVLLDSSSRLPFFQVGQIFQKFECKGKDKDNGGYIRNVVRWSIPRLLEDNEGATLLVSAKFSIVSRRNIYLQFEEISLQNINISDELQALIAPALLPRSFLTLQILQAIRSFKAQVPVSTTSPGRCHNPIGKLIMTSPAIFSAATPLNLPPSTANNRVIPPLFCASASVYDCSLTRSITNNKRSNLRLRIKAAASDSTAIVDNPTVASTSEKEEGIKAKIGARVRVKVPLKVYHIPKVSEVELNGKEGKIKDNISVWKGKHISANFPYKIEFFEKLEGRGDAPVKFFAHLKEDEFEYID >cds-PLY75392.1 pep primary_assembly:Lsat_Salinas_v7:6:180394241:180395527:-1 gene:gene-LSAT_6X110641 transcript:rna-gnl|WGS:NBSK|LSAT_6X110641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLQFLLSSSIITLSLISIITISSSMAEADSSVPKSVSAAVHIVYTERPQQEDLEIYHLRTLSSVLGSEEAAKGALLYTYKHAACGFSAKLTPEQVEDLSKQPGVLQVVESRTVQLHDSPVKLSHF >cds-PLY78853.1 pep primary_assembly:Lsat_Salinas_v7:5:304661889:304663198:1 gene:gene-LSAT_5X165420 transcript:rna-gnl|WGS:NBSK|LSAT_5X165420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGGVCKDVIESHPKTLKYDVATTKMDKNITRVATGKHGIQSTNGVHELLECPVCTTLMYPPIHQCPNGHTLCSNCKVRVHNCCPTCRVEMGNIRCLALEKVAESLELPCRHQTLGCHDIFPYYSKLKHEQNCRFRPYNCPYAGSECSITGDIPYLVTHLKDDHNVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCYGRQFCLHFEAFQLGMAPVYISFLRFMGEDSEAKKFSYSLEVGGYGRKFTWQGVPRSIRDSHRKVRDSQDGLVIPRNLALFFSGGERQELKLRVSGRIWKEQ >cds-PLY68177.1 pep primary_assembly:Lsat_Salinas_v7:8:118850009:118851240:1 gene:gene-LSAT_8X82500 transcript:rna-gnl|WGS:NBSK|LSAT_8X82500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLFLKQAKQYSQTRPGYPQQLFDFIVSKTPKHDLVWDVGTGSGQAATSLASVYKNVIGTDTSIKQLEFAPKLPNIRYECTSPNLSMSELEKKIGTGSSVDLVTVAQALHWFDHDIFYDQVKWILKKPNGIIAAWCYTIPEIDDEFDPIFRKFYSESKPHWDLLRGIVDDKYRSIEFPFEAVDGCDDTGPFEFRSKKMMSLDEFCTYIRSWSAYQTAKEKGVELLNNGVMEEFRRAWKEDENGEKSVTYPVYLRIGKVGDSV >cds-PLY66696.1 pep primary_assembly:Lsat_Salinas_v7:6:80176040:80177738:-1 gene:gene-LSAT_6X57060 transcript:rna-gnl|WGS:NBSK|LSAT_6X57060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMTTCTILWNSKRSSDPKKVSITESIQGANNAVKWVDSCEASLEDGKKPCNKCNHEVNEVQFEVKNEINDGDTHASDANSSFLQSVVNMIGMLIGLGQLSTSYALENGGWISSFLLLALGIACAYTSHLLGKCLENNKKARNYTDIGHHAFGSRGRAIAATFIYLEIFMALVSYTISLHDNLKMVFFGTNVQFSWVHHLSTSQVLTVFAVLVALPSLWLRDLASISFLSTSGIIMSLMIFVTVACTAVFGGVTANHTIPALRLRNIPHISGLYVFSYGGHIVFPDIHRAMKDPSKFTKVSIVSFTFVTSLYASLAFMGAKLFGPEVNPQITLSMPRGLVFTKIALWATVLTPMTKYALEFAPIAIELEHRFLYSMKSRTKTIIRGTIGSILLLLILVLALSVPYFQYVLGLTGSLVSVAIVLIFPSVFYIKIFWNQISKPILALNVFLIAIGCLLAISGTISSMKLLVENFMRLH >cds-PLY70533.1 pep primary_assembly:Lsat_Salinas_v7:1:72097861:72098849:1 gene:gene-LSAT_1X61481 transcript:rna-gnl|WGS:NBSK|LSAT_1X61481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPGEKEMNTLLRNEASSLFFFKAPICSRSSLLTGSRLISLPLATHMFQFAKFEKSKERRLATTQSIQSINQYVQYTGLCDMFFQIDHRSSRLAALLSELFCPLSLPLFFG >cds-PLY71586.1 pep primary_assembly:Lsat_Salinas_v7:1:115981818:115984052:-1 gene:gene-LSAT_1X87921 transcript:rna-gnl|WGS:NBSK|LSAT_1X87921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTYRCRTVELSVNLAVKVLRAVNSNIPGANIHLIVQIADMYQPAGGYLGLLQQMDPDGGLHSDEGIDETLYDLDSNEAEQIRENFENVIQDRYKDSMGTFRNRSADMARAAGHDIPKDKKNFDIMQNFVPNGMQSERWKDLCRDWNTDAWLKRSAIGKSNRNTADSGGKIARHTGGSISYDEHRIRFIAQKGRPPTFLDLFLITHLDKTSKKKYFDGDVEGKQFCTERAREAYEAYSRALLEKYGDDLVDHPIDDAELWVKTQREISGASRSSYIYGVGSSDINSLFNGKSFVGAGCSSSSCGSQQEVKELRIQLENVERGRVLMQQKQEIMEQQLTQLMRRFGNPPEDRC >cds-PLY82103.1 pep primary_assembly:Lsat_Salinas_v7:1:13616407:13616722:-1 gene:gene-LSAT_1X11761 transcript:rna-gnl|WGS:NBSK|LSAT_1X11761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMDIICLNAKMPKGEAQSGLERLHQCAKKELHTLREHARCIYHQEQSRTFAICILKYNQSCTKVFGA >cds-PLY93593.1 pep primary_assembly:Lsat_Salinas_v7:2:173342864:173345832:1 gene:gene-LSAT_2X95901 transcript:rna-gnl|WGS:NBSK|LSAT_2X95901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWQTSGGWGRIDRDGAVDAMLKYADAEDTKVSVVKNGKDSLEICRVVNGMWQTSGGWGKIDRDNAIDAMLKYADAGFSTFDMADIYGPAEDLYGIFINRVRRERPPEFLENVKGLTKWVPPPVKMTSSYVRKNIDISRKRMDVASLDMLQFHWWDYSNNGYLDALKHLTDLKDEGKIKTVALTNFDTERLQIILENGIPIVSNQVQHSLVDMRPQQKMAELCQLTGVKLITYGTVMGGLLSEKFLDTNLSIPFAGPPLNTPSLQKYKRMVDAWGGWSLFQALLKTLNQVALKHGVTIPTVAVKFVLDQPSVAGSMVGVRLGLSEHIKDCSAVFSLKLDEEDVSSIREVISKGKDLMRVIGDCGDEYRRA >cds-PLY62288.1 pep primary_assembly:Lsat_Salinas_v7:5:165172416:165173393:-1 gene:gene-LSAT_5X72760 transcript:rna-gnl|WGS:NBSK|LSAT_5X72760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTKAAVAKKAPSSTKPKTHPTYAEMIKEAIVSLKERTGSSQYAIAKHIEDNNKDLPANFKKLMSVQLKKLAASGKLTKVKSSFKLGAVEKKPTVKKAPAAAKKVAVKKAPVAKKATAPKAKAAASVKPKPKAKAKTPVKAKKAVKKATPAKKAKSIKSPVKKVGVKKTAAKKAKK >cds-PLY85457.1 pep primary_assembly:Lsat_Salinas_v7:3:41824679:41825880:-1 gene:gene-LSAT_3X31500 transcript:rna-gnl|WGS:NBSK|LSAT_3X31500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSSSPPKATPPPSSSEDTEKWGTHVMGQPANPSEHPDNQKAASWNAAEHQQFHHQQSPYLIYSPVDKPTSNPLEPVIHFFNSWATKADTISRNIWHNLKTGPSVTGAAWGKVNLTAKAITEGGFESMFKQIFSPSDPNEKLKKTFACYLSTSTGPVAGTIYLSTARIAFCSDRPLCFTAPSGQETWSYYKVIIPLGNLGAVNPVTMREKSSERYIQIVTVDGHDFWFMGFVNYEKASMHLMNSLSEFNGRVAAAGDV >cds-PLY66673.1 pep primary_assembly:Lsat_Salinas_v7:1:53272683:53274867:-1 gene:gene-LSAT_1X46361 transcript:rna-gnl|WGS:NBSK|LSAT_1X46361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKADSIRRASRNQPNPLLVLAILAKDINELATKEKKMLSPILKRQHPLAAGVAVVTLHVCYGKKLKQFISRITELTPDAVQVLRAAYKLDKDLVQIAVEDSVDSDDGGKAIIREMPPFEAEASIVFTIQDSRGIEDDFKVIKDLFFANGDGLSMDVINKFSIVVRDVILLFGMETEAVVERFRWLTLEAYRSSPKSRLPLPATTGQWSLNDLNTLLRVLCYRNDDSVSKFFKKADNFPKKI >cds-PLY88703.1 pep primary_assembly:Lsat_Salinas_v7:5:69325688:69329811:-1 gene:gene-LSAT_5X32821 transcript:rna-gnl|WGS:NBSK|LSAT_5X32821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRFKQQYADLEEQEGKEGRIYPLKGDTHCCEGEEIICVLLNETAFPLIGYTVDELVNKSLLEVDKFNMSPHYVRLFTVTKYNGDIKHVDNSFKNTPTIDNSTVVLRNDEDDYIVEDEYESLSKISDYEWELSDLALWGPCFDFIPSTISTMVGHENIETYICTTPTSSYTLQQVEDIQVASTQIEVDFLEESCIGSSITPKKRQLPKLCEDSTDDDIPT >cds-PLY81467.1 pep primary_assembly:Lsat_Salinas_v7:5:334738619:334739576:1 gene:gene-LSAT_5X188020 transcript:rna-gnl|WGS:NBSK|LSAT_5X188020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTTMAVVMAVFLAAFTVAVGGEVYKVGGSAGWTNIGHVDYKTWASTKTFRVGDTIVFEYNKDFHNVARVTYTEFLTCKGSAAPYATFTSGNDSFRIKYPGHYYFICTIPGHCEAGEKVDIRVPVAGRHSTLPSSSAPIQPLPFPYPPPSVPSLSPVKHSPIKSPSPAMSQSTSPAPSKFPSAAPSKSPSAAPPKSPLPMPSQSPSPPPSQSPSAAASKSPLPAPSVPRKSSPSSPASPSPVVSPAPTKNSASQFVGKVKLWSTLMAVFFSLIGFSF >cds-PLY75674.1 pep primary_assembly:Lsat_Salinas_v7:1:101304797:101311613:-1 gene:gene-LSAT_1X82261 transcript:rna-gnl|WGS:NBSK|LSAT_1X82261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNSCSQFPLRGKAISMLLFVDTVKFELIKAERKHPVIRHWTTNKMKMIETYEKKELGDYGTGKLNEEFIEEELSEEGCKEMLMTKFKNLQMLSDKGVDKFPKNVTINLLKNSLEDIFDDDDEDGEEEKEVMMMKN >cds-PLY72158.1 pep primary_assembly:Lsat_Salinas_v7:7:57289335:57290527:1 gene:gene-LSAT_7X41620 transcript:rna-gnl|WGS:NBSK|LSAT_7X41620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVTRNDDDASSSSSRKRFKTFDNGGVASWSDVNHDVLFIVMMKLGVVDFVAFSGVCKSWRSLAVSNRNTFMASKPPMQISIGPHVNKEDSYYFLEDLIEGRFKTIIPHSSCRAYFGLTCGYLILFGRETRDFWLVNPITRDELHFPNYPLIVTSHEVQMRAIKGILVFSPSISGCVFVVLHRKNISFSIVGKQGWNHISSPIPTPEILDLHVLKGKIYSIHTDSSICELRLDQNQNLKWTSFETKNYPKKDWFPPEFVSSGEKLYMIYRSSSSRRKVMELDFGEMKWVPPKKTTGECVFFLSRFTSCVAIKPESWPELQTLYRSCGYFLDDDKSRQCMFCYEWMWYFPHDCLNVTVLDE >cds-PLY89558.1 pep primary_assembly:Lsat_Salinas_v7:4:159580614:159581347:1 gene:gene-LSAT_4X95980 transcript:rna-gnl|WGS:NBSK|LSAT_4X95980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEISVMSFKLPSSFRSIQVTAANPIYTPPICDTKRAARCRRISVVVNGIGGGSAKYKGTQMREQKLTELIESKVAEATQVCEGDRDSDECKVAWDEVEEVSQAKADLRRKLEENRDPLQSFCEENPETDECRIYED >cds-PLY86370.1 pep primary_assembly:Lsat_Salinas_v7:8:29168794:29170551:1 gene:gene-LSAT_8X23420 transcript:rna-gnl|WGS:NBSK|LSAT_8X23420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTSEQDKSKHKMLLHLQDIEDTKLMITLWGHNAYYMHDFLANNNSLAPVVVIVQFARVKFINGRPFSSTYFDVSRVFINNDIDEITIYKNKLVSENGQQLSSSEIKMIASKQDTEHDDFLKNHLFSNIEDLFEPLEEKTVIIVGTVKGIRQNIRWYYLACSNCKKSAKEKESSTDKVDGSHEVTEIVTYECTNLNCKNIKISVIPRFKIPLRVQDNTGTLTLTLFDQEAKKLFKYTAWLQFGIVSNGVESCVGQKVGN >cds-PLY93115.1 pep primary_assembly:Lsat_Salinas_v7:8:253578298:253578519:-1 gene:gene-LSAT_8X149240 transcript:rna-gnl|WGS:NBSK|LSAT_8X149240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHQKKAPICISGYQACYVREKVTNATSYGSFSIFKRNENVAASAVAKNMREVGKQMKERIGDIFHPSRRPPK >cds-PLY83168.1 pep primary_assembly:Lsat_Salinas_v7:3:95160992:95163753:1 gene:gene-LSAT_3X71281 transcript:rna-gnl|WGS:NBSK|LSAT_3X71281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASINLQSRDGGGDDSSRSPIRSRGVSSPWSKIVRGDSGELPLPVVSVTPPAVSPSLSIQEHIANPSSDCSPPKAVADSASSPDDSGTECQSEGSGSGGGGSNAVKKPVWSKPSNGVVEVVSPVMGAVSWPALGESTKPSPKSSSSESLQSLSDGSLVPAIQVTGNPPPSSHKLALPNHVNPTSTPNNVAPSGQRSLRRGGGNSGGYISSNGGFSQRSPAIQDPKVEAPRNTTTGKPANVSMDSYPKDQRGSFGSQPNSGNNTNTNTNDHHHQRNSYRRGNGGQHPRGNQEWNQHRSFNNMQPQRGGFRRGFVRPSVHSSTPFIPPQMHVQVRPFGNNFMYPVADMGAAAMFYVQGPTPPDPLRSIPLVSPMQPPIYFPVPDPDLHKKIEKQIEYYFSNENLVRDIYLRQKMDDQGWVPVNIIASFKKVSILTDNVQLILDVMRTSKAVEVQGEKMRRRNEWMKWVLPSSINQDSLASQLRGIGLDDVATANQVHMENFSGQQSQQQGTVA >cds-PLY99028.1 pep primary_assembly:Lsat_Salinas_v7:6:151634061:151637753:1 gene:gene-LSAT_6X90981 transcript:rna-gnl|WGS:NBSK|LSAT_6X90981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAALSPATVSLRLKPLSSTVRFLSLYQHHQPKPTKLKYQIILAPLHHPPLPQAHFNYLNNSTTHRRCFSTVVSATVSPGEVVEKSKTESIEERVGEFRKRLRIVDIKGGESEGVDRLGQTLTVRGWVRTLRIQSSVTFIEVNDGSCLSNMQCVIDSDAEGYDQVESGFIATGAAVCVQGVVVPSQGKKQKIELKIHKLVTVGKSDPSFPIQKKKVTREFLRSKAHLRPRTNTFGAVSRVRNALAYATHKFFQENGFVWIASPIITAADCEGAGEQFCVTTLIPSSKEAIDSPASSIPKTENGLIDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLNDDMACATAYLQYVVKHVLENCKEDMDFFNNWIEKGIIDRLSDVVEKRFVRLTYTDAVELLLKSKKKFEFQVKWGCDLQSEHERYITEEAFNGCPVIITDYPKDIKAFYMRQNDDGKTVAAMDLLVPRVGELIGGSQREERLDYLENRLDEMNLNKESFWWYLDLRRYGTVPHAGFGLGFERLVQFATGIDNIRDTIPFPRAPGSAEF >cds-PLY79409.1 pep primary_assembly:Lsat_Salinas_v7:3:78795402:78797973:-1 gene:gene-LSAT_3X60560 transcript:rna-gnl|WGS:NBSK|LSAT_3X60560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPHTTGRYQARRFRKAQCPIVERLTNSLTMHGRNNDKKVVVVRILKHAMEIIHHLTDANPIQIIIDAVINSRTAGLSFANKGRKLCAISTDGKTCEMNSETGELFKGMKISNKLISSSVYIFLASLPNTWSLNCLKRFSSKVCFLVLIDDKILPASNTKIRVLTLDDGEELLKFSTDVVCSYLLVGRFGCRLSIGVGIDGILFEVAGFRSLCFGGLSQSYQFGAYQEGSCGKWSCVAKPYLSQSTRIRKV >cds-PLY69795.1 pep primary_assembly:Lsat_Salinas_v7:4:245135383:245135760:-1 gene:gene-LSAT_4X131020 transcript:rna-gnl|WGS:NBSK|LSAT_4X131020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTPIITAALHPGDQEESSSNFQNSMLFQLSLIVHITQSMERRSTKVEKDMATMKRCMALGYDDDMVFDDTLLRSPGDQPPPPQPPSTNIPPSSYPPLSTPPPPPRNSPPQSDVAKKGENNQGDA >cds-PLY68048.1 pep primary_assembly:Lsat_Salinas_v7:5:292828291:292830865:1 gene:gene-LSAT_5X153940 transcript:rna-gnl|WGS:NBSK|LSAT_5X153940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVRMVESPAPSNSSGANYGQTCLQPCSLLSVGQAFSGTQNVSSPQKDEAWRVNVRIQGCDLDHGYLCGTMEALNVPMADTPVVTFWEGEIVDTKNYTFFTNKWKATSEVDIEHWTKFPSFSPLLSQVEVDGGKSLDLSNYQYIFMRWKEQYFVNVGADCGLTIAGFYYVCFSCVDGSINGYYYDPNSSPFQKLELKTTNEGRSGFSFSSYELQ >cds-PLY74411.1 pep primary_assembly:Lsat_Salinas_v7:2:14277232:14283610:1 gene:gene-LSAT_2X6701 transcript:rna-gnl|WGS:NBSK|LSAT_2X6701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRVASAGNTSNSSRPRKEKRLTYVLNDADNTKHCAGVNCLSVLKHSEADNHNFLFTGSRDGTLKRWELGEEDATCSSTFESHVDWVNDAVLLGKNTLVSCSSDTTVKIWDSFSDGTCKRTLHQHTDYVTCLASSEKNSNIVASGGLGGEVFIWDLEAAVTPVIKNTETPAVTDSSNGNSMPTSLRAVSSSNGITSLTNTPQGYVPISAKGHKESVYALAMNDTGTLLVSGGTEKVVRVWDSRSGSKLMKLRGHTDNIRALLIDSTGRFCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALATTPTFSHVYSGGRDLSLYLTDLSTRDSLLLCTKEHPIQQLSLDDDGIWVATTDSSVDRWPSEHLNPEKLFQRGGSFLAGNLSFSRARASLEGSTPVPVYKAPTLTIDGIPGIVQHEILNNRRHVLTKDNGGSVKLWEITKGVVIEDYGQVSFEEKKEELFEMVSIPAWFTADTRLGSLSIHLDTPQCFSAEMYAADLSITQKAEDDKINLGRETLKGLLAPYFSKKKVRFVSQPPVNGEAPSKDISSKNIPLSRPEADANVQSDSRVYPPFQFSIASPPSIITESSQNGIWRKKITHLDGIEDDDFPRWVLDAVLLNRMPPREHTKCSFYLHPFEGSAAQILTQGKLSAPRILRMHKVVNYVVEKMVLDKSSDTLISDGAFAPGLKPWKLKPSIEILCNNQACKTDLFVCQVLAPEMSLATVRTYIWKKSEDLVLNYRIVSGK >cds-PLY64998.1 pep primary_assembly:Lsat_Salinas_v7:8:89446490:89447004:-1 gene:gene-LSAT_8X61861 transcript:rna-gnl|WGS:NBSK|LSAT_8X61861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCPYEKVVETLFKFVRMFNQARDENCKQIEAEKKVAQKAAEQERLKAEGKKKSKVQNHSKMESELIRNKTPIESAEYLFCFSPFSVIKNWWKNKSGLLVATSSACVPAFFDEKNGNDLVLIEEEEGFQLIVLEDHQTEG >cds-PLY76330.1 pep primary_assembly:Lsat_Salinas_v7:5:296261005:296263266:-1 gene:gene-LSAT_5X158300 transcript:rna-gnl|WGS:NBSK|LSAT_5X158300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSPLFSTTYGWPSEDPIKNNVQPDCNNISIEAEPNSCKSLLDFDTYEDIQHDFSTENSNSSGGFVNGYLANPMVKKLNHNASERHRRKRVNDLYGFLRSLLPISSDQKKKVSIPGTVSRALKYIPELQKEVEKLKHKKKKLSSYSSSKVHLSQEVVKMQKSSLVSSVSVLGATEAVIQLISSNDHMSKRKEIVFLSKVLEYLEQEEDGLVLLNATNFKCSGEEMALCTLHIQVQGDNDIDAERLKEKLSSFHQP >cds-PLY62292.1 pep primary_assembly:Lsat_Salinas_v7:5:162518933:162520765:-1 gene:gene-LSAT_5X71281 transcript:rna-gnl|WGS:NBSK|LSAT_5X71281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSFLGRVLFASLFILSAWQTFNDFDDDGGPAADELAPKVAILHRFLTSKFGHGVPRIDVKHFILAGMALKGLGGIVFVFGSSTGAHLLMYYLLVSTPILYDFFNYKIHDPMCHMLLADFLQNVALFGALLFFVEMKKLSPRKMLRKKLPKSKTT >cds-PLY65463.1 pep primary_assembly:Lsat_Salinas_v7:7:60639264:60641403:1 gene:gene-LSAT_7X43581 transcript:rna-gnl|WGS:NBSK|LSAT_7X43581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSGPNDEVVSLELPAPPGWKKMVLAFPISLLFLPKVGGTPKKNEITFTAPTGEEISNRKQLEKYLKAHPGGAKMSEFDWGSFETPRRSSRISEKVKSTPPLQETEPMMKRARKSSGSKKGKKEKEDFPEPITDIDVELKEAGKDMKDDEKQKENDKIAPEEENDEIAPEETEGKENNEDETEEEVNEIPKMPLLDEATNKVNEEKRADHHAAIANNEGESRDAEQTVAVREAEGQKGNFEDVSDKKVEEEEGEMGIHGGKWCQAARALGKYVCM >cds-PLY84024.1 pep primary_assembly:Lsat_Salinas_v7:6:186378015:186379176:-1 gene:gene-LSAT_6X113401 transcript:rna-gnl|WGS:NBSK|LSAT_6X113401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFGEEASRPTTQSSSFSNNNGDGGNFECSICLDLAQDPVVTLCGHLFCWPCIYKWLHMPSRSQECPMCKASIEEQKLVPLYGRGKNPDARSESESVPNRPAGQRPETSRQRNRNAYHHVQHQHGSGIIGGFGPAFTATYGNFTFSFGGFIPSIFSLHMHAFNGPHMYGNGAHELRHHRDHHHEVSPLIVIGLLFLFALLWEPNI >cds-PLY83613.1 pep primary_assembly:Lsat_Salinas_v7:6:165005052:165005870:1 gene:gene-LSAT_6X100900 transcript:rna-gnl|WGS:NBSK|LSAT_6X100900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEERVESVYDSGYGNPCRYLQQVLNGFLRCLGLERSVGSGGGGGGSKEEGGGAGGDGGGEMDDPLTTPISDDSSSIDPVDEPASTTYIFASCDNMDLPWVNRVQPNGGHGRYNAYQ >cds-PLY84325.1 pep primary_assembly:Lsat_Salinas_v7:5:190630109:190636099:1 gene:gene-LSAT_5X84981 transcript:rna-gnl|WGS:NBSK|LSAT_5X84981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSIRSEADQVIFEDILGGRKVILNRPKKMNTLNYETIRRMYEKLKTYESDPTIKIVILKAYGKAFCAGGDVTSAVNLAAFGHWSFVMSFYCKQFSLDYLLATYKKPMLAILDGSVMGGGVGISIHSTFRIVTENTIFAMPEASIGLFPDVGASYFLSRLPGFFGEYIGLTGVRLDGAEMLALGLGTHFIPSKNLQSMEKAIEKMVATSDAISVATMSMVINKFAQEVNVKPDSVTHRLKMINQCFSGETCEEILSSLERLSMQVEEKWINHAITSMKTASPICLKIFLKTIREGRSMQIEQCLGNEYIALSHILRKTISNDFYEGARAMLIEKDKKPQWGPSKLEKVSDQMVAQCFSRSFSVDDDWLPLRLPPRFDETNDRRSKL >cds-PLY62675.1 pep primary_assembly:Lsat_Salinas_v7:7:101063174:101065973:1 gene:gene-LSAT_7X65860 transcript:rna-gnl|WGS:NBSK|LSAT_7X65860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNCVKCYLGVYLLQSFRRPKMSTWQQGLFEESLKAVGFDNGARLNVSNLDIGVTNEDFRELFSEIGELKRYAIHYDKNGRPSLRCCLLEEVNKTEPSTNQTYEAFLYFVDNGRFSVDGVLFDNPVTPSAAFRKGKPLIISFEGAIGEFPGCSDSTYRGLCKQQIHQEQEKHKQFLGFLVPYYKPAE >cds-PLY84482.1 pep primary_assembly:Lsat_Salinas_v7:7:128492566:128494680:-1 gene:gene-LSAT_7X79220 transcript:rna-gnl|WGS:NBSK|LSAT_7X79220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSNPFSFRRSSSTTRRRRTTKKVGESQQSANAPSPPANVGDVVAAKPKKKAGGARLWMRFDRSGESELFECDKNTIIKRAGIPARDLRILGPVFSNSSNILAREKAMVVNLEHIKAIVTAEEVLLLDPLRQEVLPFVDQLRHQLSHKNHVNTSGIQQGGRQLALRDTESNLSASGRWQPVPETAEGLQAELPFEFQVLEIALEVVCTYLDSSVADLERDAYPVLDELAKNVSTKNLERVRSLKSNLTRLLARVQKVRDELEHLLDDDEDMTQLYLTRKWLQNQQSEALLGGVGSNSFPNNVLHLRRLSSVKSGSMLTSGNLNDDDVEDLEMLLEAYFMQLDGTRNKILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFAIAFETLVAGLFGMNIPCRLYDIEGIFEPFVGGITAASLVLFLLVLGYARWKKLLGS >cds-PLY65062.1 pep primary_assembly:Lsat_Salinas_v7:1:120030654:120030848:-1 gene:gene-LSAT_1X91601 transcript:rna-gnl|WGS:NBSK|LSAT_1X91601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRQWYLQSLNHQSCNSKNLKPESSNKSHKDDWIQTKKLQGRKYKTLYRPSKYQSKGNYIEN >cds-PLY66150.1 pep primary_assembly:Lsat_Salinas_v7:8:303008473:303011993:-1 gene:gene-LSAT_8X166560 transcript:rna-gnl|WGS:NBSK|LSAT_8X166560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDVTDFLNTEHRLHHPHNKTLIHLCRLRIHSSSSQILQRRALMIWGLLFSQRGFHLRLVMIVSAENGESEKEKRIRKIGRRRVGSRLVDVFFCGVCEYRMKNH >cds-PLY82096.1 pep primary_assembly:Lsat_Salinas_v7:1:128425295:128444226:-1 gene:gene-LSAT_1X96501 transcript:rna-gnl|WGS:NBSK|LSAT_1X96501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAHALLQSSPSQWVSLTSPPRKQSLFIINKLPARITAIRTHLLSNHASITAPKREKDPKKRVVITGMGLVSVFGNDVDTYYERLLAGESGISLIDRFDTSNLPTRIGGQIRGFQTHDFIDRKVDLGLDDCQRYCIVAGKKALENAALGVNKRSKIDKERAGVLIGSGMGGVSVFSDAVESLIDEGYEKISPLFTPYYITNMGSALLTIDLGYMGPSYSISAACATSNFCIYAAANHIREGDADLMIAGGVEASCIPVGFGGFAACNALSKRNNDPKTASRPWDKDRDGIVMGEGAGVLVMESLEHAMKRDAPILAEYLGGAVNCDAYHVTNPRFDGLGLSYCIQSCLMNAGVSAEENVEPRVEFDTVANQKQQHEINVGQILKLEGEISYIPVFMDINNKLGNEEGKIERINNYWSKGSIDKRII >cds-PLY81725.1 pep primary_assembly:Lsat_Salinas_v7:3:31183272:31184594:-1 gene:gene-LSAT_3X21921 transcript:rna-gnl|WGS:NBSK|LSAT_3X21921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEMEKPRVTEIQVRVDCNGCVQKIKKALHGINGIVDIYIDIPQQKLTIIGWADPEKIVKAIKKTRKAAIICSETQPTEPPSEDGVPPPDPSNPPQAEPVPPPEPVLEPPKQPENLPPEATPEPAHSPPPPPAAAQEPPSTDSTPTHIPEEAEEVHVVSHYPPDHGYRNSHDRVYNASLEHYSNSPSPGYKHDQLPQRHPIHVNHRYSTYNPTPYITEYKHIRQPPQFTHYSRPEPPQPSTHYRKPEVPLPEHTHHTRSEPPQRYTHYNRPEPPPQDTHYAKSEPPQRYTHYSRPEAPPEYRRYSRHEASPEYTHYSRPEPPVQYTQYARAQQPQPFTDYCRPEPPTRYTHYGDEYSSNVNGNITSIFSDDNPNACTIV >cds-PLY96748.1 pep primary_assembly:Lsat_Salinas_v7:2:172357457:172358286:1 gene:gene-LSAT_2X95121 transcript:rna-gnl|WGS:NBSK|LSAT_2X95121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFFQDSIAIASFAAIQKGIFVSCVTGNSGPFNGTATNIAPWVLTIGASTTDRKIKATKKLGNNKEFDVKFNEYSSVVVSAGYDRSLRAWDCRSHSTEPIRIIDTFLDSVMSICLTKTEIIAGSVDGTVRTFDIRIDGTVRSWHVRPTLVLKDDK >cds-PLY63703.1 pep primary_assembly:Lsat_Salinas_v7:9:86773292:86774748:-1 gene:gene-LSAT_9X67140 transcript:rna-gnl|WGS:NBSK|LSAT_9X67140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARSILLQLVRSQTRHHLSSSKIHTGFHPHRLATWQIQKSQTTTPHFNPMNHLPFTQTRFASQSTTIEDNNKITIGPKKGIESEKQEKDSGVIYYGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPDMNVIIKGAVASSVIFLSASTTLALHWFVSPYIHKLRWQPGSDTFEVDMMTWLASFVTKTIKFSDIRIAETNRPYVTFKANGEFYFVDADHCHNKALLARLTPTAKPMPDSALKNL >cds-PLY73142.1 pep primary_assembly:Lsat_Salinas_v7:2:191112632:191114592:1 gene:gene-LSAT_2X112861 transcript:rna-gnl|WGS:NBSK|LSAT_2X112861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFQEQDPDVVRWGLHHLMEVCSVTNGGSPAVFTHYDKDISSVEYVSEGYCVPNYVNVENDEISNYVENDEMIAQALQEELSRLALEENHGSSGNNQQKESVLAQDWVSPSQRHDNFTTESRQDQDYANEMGTSNSLFSTEERSGDDEDQSCSPEMADESTLDGEVGKRINQMVPIRHVPKVNGEVPSADEATSDHQRLMNRLELYDLVELKVSGDGNCQFRALSDQIYRSSEHHKLVREQVVHQLKFYPELYAAYVPMAYDDYLKKISTAGEWGDHVTLQAAADAFGVKIFVLTSFKDTCYIEILPCAQKSNRIIFLSFWAEVHYNSIYPEGELPSMEQSKKKKWWMLGG >cds-PLY94650.1 pep primary_assembly:Lsat_Salinas_v7:1:41520104:41521573:1 gene:gene-LSAT_1X37000 transcript:rna-gnl|WGS:NBSK|LSAT_1X37000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFTLYIRYYILISLSIWQILEMENLYRKRGKEVQQQEFCEELATKFSCSGNRDGKSSITWDQVHTWFLDRQLFSAVKSKTPVAHSNEVPKQPAIINSSPNALKNLVALSKAWAASEKPKTPKAQRVAELSDLIFEALSAKDCAWYDVAAFTNFRVLYHGELEVRVRFSGFSHDQDEWVNVRKGLRERSIPLVPSECHKVKVGDLLLCFRANEDHALYSDAQLLRVERQLHDTDSCTCAFLVRFEYDNAEVNKINFTYCRKGISTHLNFISYLVYGCALFSFF >cds-PLY67913.1 pep primary_assembly:Lsat_Salinas_v7:1:55753859:55755315:-1 gene:gene-LSAT_1X49660 transcript:rna-gnl|WGS:NBSK|LSAT_1X49660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTHQPKLKPPPRPLFSCGFFRYCTQSVISPTNPTAPVLPLSEPTPPPPPPQPPQTHSESSSSSNTSQSFTQWRFSHTPISTTNFLTDTSPETTQVHHQSPPPPPPPPPVVISDLQELFHVAELQLSTGLDSDRVKAIYMLQHSLVNPRAAAEGGESVSCPPAVMRGVLGCLKDKQLAKPATRVLLALCLGECNRHVAVEEGAVGKVVEALVDLDGSAVAERALAALELLCTVAEGAAELRAHALVGPMMVEVMRRMETTRGRENAIGILAVIFGGTGSGDAMGFAPQEEVARVVMLAMQGACSARGRRKGAQLLKILQENERLDLSQEVG >cds-PLY63682.1 pep primary_assembly:Lsat_Salinas_v7:3:203382238:203384491:-1 gene:gene-LSAT_3X118780 transcript:rna-gnl|WGS:NBSK|LSAT_3X118780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVANKLTEKTEVVDNLKGKTEVVDTLKEKVVAIDDNLSACTLDYDRKAELIAFDETKSGVKGLVDAGITEVPRIFRLPSPENPNSDQKSPPEHSLPVINLEGIKEDPIRRKEVVKEIKDALGSWGFFQMVNHGVPISVMEEMKKGVLGFFEQDNEVKKHWYTRDSSGKTRVVYNSNFDLYSAPVTNWRDSFLCTMYPNPPQPDELPPQCRDILLEYSNHVMNLGRAVLELMSEALGLDSNYLLDMGCAEGLSIGNHYYPPCPQPELTIGTLEHTDAGFITILQQDHIGGLKVFYQNEWTDVPTIPGAFVVNAGDLLQLVTNDNFVSSRHKVMANKAGPRISMASFFMRYLTDTGKVLGPIKELLSEDNPAKYRETTEKEFSSHYHQKGLTKISALMHFKI >cds-PLY72653.1 pep primary_assembly:Lsat_Salinas_v7:3:183090675:183092151:1 gene:gene-LSAT_3X109980 transcript:rna-gnl|WGS:NBSK|LSAT_3X109980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSQIQTVPPFHLDETTAARGYSPQNLQFYTAPSSPMQLDSDEFEFEITNQMFSSRCEFQQTYPAFAWAKRNYNTEHKYWLPARAKSFGSGHVLPLKLPPRLQTSVSSSPRSPNSVVRSPFSRSCAWNDDFDPFQFALEKVSEETRARMSFHRRSHSYSAYRTSSVSHWLEDKQGLNPSHDGSRIFNQGEYRGFHVGLPMKPIEQLKPRGPTLAKLMKRKESAGVPKESTVKPRSKSNPMFRTRSVWMGADVDHEAMKTRNESRPCAESKRERVMSFLFKLKKGTNYESKSGWKLRRCLGYGLGSPPSMK >cds-PLY76522.1 pep primary_assembly:Lsat_Salinas_v7:5:12375020:12375178:-1 gene:gene-LSAT_5X5600 transcript:rna-gnl|WGS:NBSK|LSAT_5X5600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNDFSILLVETKGGYGDAGREWFLVCACDQIWLKEAAKVMLGGGVDGEGGI >cds-PLY89112.1 pep primary_assembly:Lsat_Salinas_v7:4:145898641:145899399:-1 gene:gene-LSAT_4X89020 transcript:rna-gnl|WGS:NBSK|LSAT_4X89020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPKMMKSVCLVVVLFAFISKSSSQTRSIIQNPQPNPNFNVNSTNQQNAQTCSFTVDISTSCSSVKYTRDEISISFGDAYGNQVYAPRIDDPSTKTFEQCSSDTFEIYGPCTYQICYLYLYRSGYDGWKPESVDVYGYNTRAVSFYYNVWVPADTWYGFDYCSGYSASSHNQIGSITILSCLIFFISILWF >cds-PLY89847.1 pep primary_assembly:Lsat_Salinas_v7:4:324489053:324490604:1 gene:gene-LSAT_4X160980 transcript:rna-gnl|WGS:NBSK|LSAT_4X160980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAVRCFSRIDTFEIKVEIGKRLGAQKAEKYFNLLTRYLSQKLRKPEFDKHCVALIGRENLHLHNELIISIIKNASFSNTPPQKHFKSNTPLTLKLPNGSHPRTSLQSLCAFPQSPKKGRTPNLRERKFKDRSLHENTRTDFQTIKLQQQQQQQSVSLSSKPPPNNSDEDGEEVYSRSPVRAPFGINLHSKETRKVLNTYTHSDSAYHTETCHYSGQLPASNSLNNRLKHNLKTEGLDISMDCVDLLNNGLDSFLKRVIKLTHLRSSSESISMLDFRVATELNPMILGDVSHIVHENC >cds-PLY97642.1 pep primary_assembly:Lsat_Salinas_v7:5:238355842:238356922:-1 gene:gene-LSAT_5X112981 transcript:rna-gnl|WGS:NBSK|LSAT_5X112981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSTNEIIKEIPGIIRIFEDGRIHKLQVPDIVPAGVDPSSGVNSKDVVFSPQNNVFARLYVPKTTTIIPHHKLPLLIFYHGGGFMIESAKSSLYHNFLNLVVSESNVVAVSVDYRLAPEFPLPIAYDDSWEAIKWVAEHVNGNGPEPWLNEYADLQNIFLAGDSAGGNIAHHMAIRVGSNTPAGLRFQGVILFHPYFWGKERVGKESDFLEPRLIALFNDAWAVAHPGSSGPDDPLINPGIDPKISGMGCSKMMVFIGGNDLMRERGLYYKDLVEKSGWKGDLEVVEDEGEEHVFFLFDPSCANACSLRTRVCKFINNA >cds-PLY93131.1 pep primary_assembly:Lsat_Salinas_v7:3:55652265:55656221:1 gene:gene-LSAT_3X43021 transcript:rna-gnl|WGS:NBSK|LSAT_3X43021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIVDVPLLLSTLSVVAVGIGGTTAWVASGGDRRRCGLQVSQIDCRVGKTSLMDQYVNKKFSNQYKATVGVDFLTKEVEFEDRLFTLQCKNEEEVVAVIAHELGHWKLNHTMYFFIAVQDFRVVLDKELGLILKLRDMMKGFRASSDTLMTSY >cds-PLY75886.1 pep primary_assembly:Lsat_Salinas_v7:1:172318912:172319821:1 gene:gene-LSAT_1X114461 transcript:rna-gnl|WGS:NBSK|LSAT_1X114461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGERPVHIGHKSERRNQFLEILEQIQKLQTEIYTTSSKTIFDETDLFLRNHIELQAKLQTHQKEKSDRLKHVLDHMSSLNSLCLVLGMDFNQTIHEIHPTLYEGANMSKTISNETISKLATSIQRLREIKIERKKNEIQDLATSLIELWTLMDTPIEEKQILDSVTCNITASEEEITEPK >cds-PLY85099.1 pep primary_assembly:Lsat_Salinas_v7:1:45713435:45715347:-1 gene:gene-LSAT_1X40641 transcript:rna-gnl|WGS:NBSK|LSAT_1X40641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >cds-PLY80446.1 pep primary_assembly:Lsat_Salinas_v7:4:176334301:176334582:1 gene:gene-LSAT_4X104400 transcript:rna-gnl|WGS:NBSK|LSAT_4X104400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWKMKMVEKKISCKNLQGPLHAHILPDVHHMIFQTIERFDQLEGSTRRLEQRLGSMKIGGDGEGWWLEEAMNIMNEEEQCMGGFSQRNLQRR >cds-PLY63333.1 pep primary_assembly:Lsat_Salinas_v7:9:132653697:132655636:-1 gene:gene-LSAT_9X84841 transcript:rna-gnl|WGS:NBSK|LSAT_9X84841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISTHLNNTTTTHSQSLTYSADQCSPYRLLLAFPWFANQIRFAKAHDSISNANPPFWIPIHIVIPERPTESTVFNVIADAHRISTVIDSDMVMVLSFGEMMEYDAPSKLMESDSYFSKLVAEYWSICRT >cds-PLY80851.1 pep primary_assembly:Lsat_Salinas_v7:4:326164287:326165000:1 gene:gene-LSAT_4X162180 transcript:rna-gnl|WGS:NBSK|LSAT_4X162180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLQGSFFSLPFRSPLRFRPPITHHHLPRKVSFAAVSFSASYAISDQELESRGLIFRRTIDDLNLDHLNSVFVAVGFPKRDTDKIKVALEHTDSLLWVEYEKTKRPVAFARATGDGVFNAIIWDVVVDPNFQGIGLGKAVIERVVEELLQKGITNIALYSEPRVLGFYRPLGFISDPEGIRGMVYSRKNKRK >cds-PLY85283.1 pep primary_assembly:Lsat_Salinas_v7:3:71405336:71406387:-1 gene:gene-LSAT_3X54740 transcript:rna-gnl|WGS:NBSK|LSAT_3X54740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTAFQIMFLIILAMAVIPSTIKAMNPRKLDETTNPVGTPDDQIKCGSCPCGTTCYTSPPPPSPSPPPPSPPPPPKKKPPPSSGANCPPPPYSGGGGGGGLAPPNYIYITGPPGDLYPVVQSISGGDRSFTVVLPLLVLSGLVGMVALL >cds-PLY96036.1 pep primary_assembly:Lsat_Salinas_v7:MU038517.1:206986:209094:-1 gene:gene-LSAT_0X17341 transcript:rna-gnl|WGS:NBSK|LSAT_0X17341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKVDKDCAVFVSTGYCSFGDFCKFRHPTLKTTDKVEKVQKEGNNGSSSGKESDKSSPIIPCKGQQDCAFYMRNGSCGYGVDCKYHHPDPIYDPYEQHGNGYGFDYQHQSFGNMNGEYYPNAPLMTDSTVQPTINAWNGNQGMAANPGFYGTDNYYPAKESSLVLNEEGLPLRPGRSVCWHYEKSGVCIFGIACAFDHPPIFSPAFYDDVIEGSTSQSAPHDDNNPETQE >cds-PLY83871.1 pep primary_assembly:Lsat_Salinas_v7:3:52167739:52173433:1 gene:gene-LSAT_3X39841 transcript:rna-gnl|WGS:NBSK|LSAT_3X39841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKPSHTIRHFGFLTSPPPYPSLLFLSAINVFASDFLHNHRSHCAINTISWVQHDPDAFQEMATAMEELGLIFDRVTYSRAMTLGVIDLGI >cds-PLY93799.1 pep primary_assembly:Lsat_Salinas_v7:6:145041964:145050737:1 gene:gene-LSAT_6X87700 transcript:rna-gnl|WGS:NBSK|LSAT_6X87700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSNEAPTSPIMDQRPPAYIDYQGMNIRNQAERKWALVLHDGFNRMTSNEAPTSPIMEQRPSAYIDYQGMNIKNQAERKWALGLQVEDQKSKVASQIWDRKSEV >cds-PLY74828.1 pep primary_assembly:Lsat_Salinas_v7:5:113682314:113683472:1 gene:gene-LSAT_5X51020 transcript:rna-gnl|WGS:NBSK|LSAT_5X51020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNDSAIPPHAHAAVSMEVPLKTSAPPPEYSATRGAGGSKKHAVVDVFFRVVLFATSVAAIVLLVISNQTELIPIAPGIAISRTGKFSHSPAHINLLATLCTAALYSIITGLISVFALRKPGGTSTKLNFHFVIVDSLLLGIMAAATGASGGVSYVGLKGNSHSRWNEICDTYDTYCLRVGASIALSLASSVTLLLLVWINVYALSKKIARR >cds-PLY75798.1 pep primary_assembly:Lsat_Salinas_v7:3:69899939:69901593:1 gene:gene-LSAT_3X53481 transcript:rna-gnl|WGS:NBSK|LSAT_3X53481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLRGAAVEAFKSSALISWRPTGKLQQTIGGCIEKTGRTLHSGNQSTVRIWPELAGKGRYFDFSSNLIPASIDFAEESPLCTTLRKDGHTVRTVEHLLSALEGTGVDNCRIEIVNSDNDPSAEVPIFDGSAREWVEAIEQVGVTVAMDSNGRNCEKLAPYLTQPVHVSKGDSVISAFPSKQISISYGINFPQVPDLSLQWFSSVFSTTDDSFYSKQIAPSRTFCIYEEVEKMRSAGLIKGGSLENAVVFREDMHYMPNLFVNYLDSGVVGDKTVE >cds-PLY99289.1 pep primary_assembly:Lsat_Salinas_v7:3:93308679:93308903:1 gene:gene-LSAT_3X70080 transcript:rna-gnl|WGS:NBSK|LSAT_3X70080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFYGRVPAAKEFQFLQDRFSFLPELGVMIPAKWVSIYDLPLEKFGVPISYLRQGMLADIQFPRHDRGPLWSFR >cds-PLY63348.1 pep primary_assembly:Lsat_Salinas_v7:7:146336562:146339485:-1 gene:gene-LSAT_7X86800 transcript:rna-gnl|WGS:NBSK|LSAT_7X86800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFINFRNFVDTSQPEMNGIRQMAPNSPLTRQSSIYSLTFDELQNTLGGGGKDFGSMNMDELLKNIWTAEETQSMALTSNFGIPNNGNGNIQRQGSLTLPRTLSQKTVDEVWRELMKSNGGGKGGDLIGEINLQAEQREPTLGEMTLEDFLLKAGVVTENNQIQTNEGSMRPQNPQNAQNSSYFGDVSQNVENNSFIFGFQNPNQNHGFQQQITENKNGLNLQPKPNPNQKSQPQPQPLFPKQAALDFTAPLNGKSENPMKINVVQANGVENGVMNMKKLGGPGAGSPRNLIPKTNVDSTPSPPFYAFDEGGFRGRRSSGTLEKVVERRRRRMIKNRESAARSRARKQAYTLELEAEVAKLKELNHELMKKQEEITEMQRNQIVEKMKLPWGSKRLCLRRTLTGPW >cds-PLY92306.1 pep primary_assembly:Lsat_Salinas_v7:2:209349980:209354424:1 gene:gene-LSAT_2X129760 transcript:rna-gnl|WGS:NBSK|LSAT_2X129760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NEET [Source:Projected from Arabidopsis thaliana (AT5G51720) UniProtKB/TrEMBL;Acc:A0A178UGQ5] MASIIGVGIPFGLSSPPHALKTSTRVGGVATQFSHSTRRMVAVKAEVGGINPEIRKSEDKVVDSVVVTELAKPVTPYCRCWRSGTFPLCDGSHVKHNKATGDNVGPLLLKKAGPSAGQVGPLPQGINLTGASVLVHLL >cds-PLY69367.1 pep primary_assembly:Lsat_Salinas_v7:4:114752812:114754700:-1 gene:gene-LSAT_4X72681 transcript:rna-gnl|WGS:NBSK|LSAT_4X72681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLLKRDTFAVLRSHHPQAAEVSQLQSRRQLHVEPGAREKALLAKDPALERFKSYRKGASRIRRIGDYLTIAVVAGCCYEIYVRAVTREEARKAASGSA >cds-PLY97706.1 pep primary_assembly:Lsat_Salinas_v7:8:8182352:8184342:1 gene:gene-LSAT_8X6380 transcript:rna-gnl|WGS:NBSK|LSAT_8X6380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPPPPPSTTTTTTVAPPIKTYTLKATSISYTKSITTAATTIPILFFIKPCTTTLSPTSILDDISLTAYPSQILAIVGPSGAGKSTLLDILAARTAPTAGTLLLNSSPLTPSSYRKLSAYVPQHDSSLPVLTVSETFAFAAGLLQPKATQISDVVTSLLLELRLTHLAHTRLGHNLSGGERRRVSIGLSLLHDPGVLLLDEPTSGLDSSSAYNVMQTLRSIAISRRRTVILSIHQPSFKILSTIDRILLLSKGKVVHHGTLPSLQDYLLSNGFTVPPQLNSLEYAMEILTQLQVTKPIIAPPSPIDPVGTRTKYNDEVRYRSSRVQEIIALYSRFWKIIYRTKQLLLTNTLQALVVGVVLGTIYINIGFDKTGIEKRFGLFAFTLTFLLSSTTETLPIFINERPILLRETSSGVYRLSSYLIANTLVFFPYLLVIAIVYSVSVYFLVGLCQTWQAFGYFVLVIWVIVLMANSFILFLSSVAPNYITGTSLVTILLAGFFLFSGYFISKESMPKYWVFMHYFSMYKYALDALLINEYSCLISRCLIWYDEKNQTCMVTGADVMQKRGLHENQRWTNIYVLIGFFVFYRVLCLLFLIRRVSRSKK >cds-PLY66279.1 pep primary_assembly:Lsat_Salinas_v7:8:308611222:308615967:1 gene:gene-LSAT_8X167261 transcript:rna-gnl|WGS:NBSK|LSAT_8X167261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYNAGDLSCSNDLIDPKGGQLTQLFHKVRHLLPLSKSAIPSEDEFIVSSLLEEKCIEVVKLLCDCHWTSSCIITMARFQVICEGPKEASVILSHFSKCGKAKYLAIRRQDLIEGVKVSLSPKTVPGIIPPDYEVLHLTWTAEKLQLQIDLIDQRCVKSKMSALASLKSGNKSSAMRHARELKLASESREKCHVLLMRVEEVLRAIADAQDSKEVFEAIQSGTKAIKDNKVTIEEVQLCLDELDGAMNSQRQVDEVIGSVSSYAEFDEDIEDELDKLQLEESRKSSHSHAPPDDTIRREHTTESLSNALSDLKLSNEVVMTKSQNVSKFLDPALS >cds-PLY91657.1 pep primary_assembly:Lsat_Salinas_v7:8:12729295:12732578:-1 gene:gene-LSAT_8X8740 transcript:rna-gnl|WGS:NBSK|LSAT_8X8740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMFSSSPMVRVSVEVLSGAVIPNHVALKIALELKKLLIDNSLLDVSQSDLEANLFKLMERRGYGQEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLGQRLNLPNVLQTDMVYELLRTSTDAPLTSSPIWARDFSSSEELITEFCRECRIVRKGLAGDLKKAMKDGKPIIIEGMHVDPSIYLMDEENKSPHKASKTDENDLKETENNAEISKTETIGEELDKVSDGVKSVHIVDQVADDNKPILRKEKSRVTEPVIIPIVLKMAEFDHKALLEEWISSRKFSDKYPIQEKDKVINNLKTIQDYLCSFKSHGLTVANISATTFPQTLDWLHNHLLQCIEQGISTASKGSSNQTTQHVEVKSRD >cds-PLY70755.1 pep primary_assembly:Lsat_Salinas_v7:8:166450048:166451471:-1 gene:gene-LSAT_8X108341 transcript:rna-gnl|WGS:NBSK|LSAT_8X108341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPEFLRGEPSNEKSDVYSFGVILWELVTMQQPWNGLSPAQVVGAVAFQNRKLTIPINTPPTLTSLMESCWADSDESEFESESSDDSDSSDEEVSQSEAPKKPAAVAKNGAAAATKKAK >cds-PLY87268.1 pep primary_assembly:Lsat_Salinas_v7:1:51248422:51249376:-1 gene:gene-LSAT_1X42520 transcript:rna-gnl|WGS:NBSK|LSAT_1X42520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFYHNQFAHLRIPLEEILSATNNFSSENISRQDGFGWAYKGQLLRSGELIDILARRLDRESNQGRKDLYMTEVSMLSTLNHENLVSVIGFCDEDHEQMIIYRHKSHGSLDEYLSEPRNLTWMQRLQICVGVVRALSYIHYDKGPDFSVIHRNIKSSKILLEDNWKPKLFGFQLSMKNTATRRHRLLLDDLKGTVGYIDPRYEKTGDAPHSKEESLADEILEHDQAVKQQYNNRMPEEDEIMEQPPGPVLDPLDMFTFINRRYKHIAAYARRGITSSIGHTPL >cds-PLY75412.1 pep primary_assembly:Lsat_Salinas_v7:7:72520829:72521689:1 gene:gene-LSAT_7X52680 transcript:rna-gnl|WGS:NBSK|LSAT_7X52680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNRRERRISVRRPTVPVDRRWSCSSSHHDSQLLFAGTPIPPWFLIHADQSSQSSTIFCPSSILSTRCVLIFSAQIPTSTSDLQARVEQMEDRLKEDILLEAARWSNGGSMGASHTDSVKTSLQKSKQENSLLTTNAFQQQMKNHPRNMILIRWLIEFQELILRQK >cds-PLY85337.1 pep primary_assembly:Lsat_Salinas_v7:9:111851540:111855307:1 gene:gene-LSAT_9X78860 transcript:rna-gnl|WGS:NBSK|LSAT_9X78860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate synthase alpha chain protein 3 [Source:Projected from Arabidopsis thaliana (AT1G09430) UniProtKB/Swiss-Prot;Acc:O80526] MARKKIREYDSKRLLKEHLKRLAGIDLQICSAQVNASTDLIELTDKEAWLSSTKLVVKPDMLFGKRGKSGLVALNLDVAQVADFVKSRLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIDIEENWEKVKTAFLPTEKPLTLDTCAPLIATLPLEVRAKIGNFIMGVYAVFQDLDFSFLEMNPFTLINGEPFPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVLSPTESFIHTLDEKTSASLKFTVLNPKGRIWTMVDGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGRKRALLIGGGIANFTDVAATFNGIIRALREKESKLKAARMNIFVRRGGPNYQTGLAKMRALGEELGIPLEVYGPEATMTGICKEAIDCIMS >cds-PLY88895.1 pep primary_assembly:Lsat_Salinas_v7:3:223105029:223110750:-1 gene:gene-LSAT_3X129280 transcript:rna-gnl|WGS:NBSK|LSAT_3X129280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MESKRQLIDSLTSHISLYNSNSPSSSSNPNPNPRSSILKWFSSLTPHERQSHLTIVDRSFTQLLIQMQFKLKDHGHGSFIILPDIPSSSSNPNIPSFCFRKSHGLLKRISQVSKPERSIHESVQLFDSKEGENFGSCCSTSLDSVTVSRDFVQNVDTFVEAMDGVSNGEFLRGEESVLSGSLDWVEFNWLKGKGYYSVEAFVANRLELALRLSWLHLNIGKKRGVKLKEKSVCAVAGVAANVYWRKKGCVDWWMKLDDQMKNKVFRTVLGKATRFLTQEILNGRSSGVEDDLQTINGGDSDKQSSRYKHPKWHQETIETLKDIESGKPLFISQHDKLSSSLSHKLNRLLLVCEISTMIQAFEHSQFDEGVLFFTSLGSINTISDCILRRIRGLLMVVSLDFTKLELLEDFGSTKSTKNPEPKLVSSKKGKVKKQIPVQRSFKSNSIPEKPSKGDKLGSIVHEKVIEKETLSTPEMVVNVTVQTTSKKGKRARKKHKKDGIIVNNPTKSIVSQTTPSKPVSQDLNSLPEIPDTKITPIAIPTDDNSTEAAINSSNSSPLEKIKETTKTTKKEEKPVVNNVIHGGSGIVNSSRIVNSSRNSPYEWPNNGPQLSFTNSHLPTTTDRLHLDVGHNWQNHYHQSSFLHTVRNRTIENGCTEAMVHHRPIPMSLDWPPMVHSFNGLLPFMPRRQSFKQIPTPQNDDTRRYSGESNFDSPEFVEEHDGQWVGEEDFAVSGMDYSQYFGGGVMYWDSSDHPVAGFSRPPSLSSDDSSWAWQEADMNRTVDDMVAFSSPSGASFCSAFDPIGYVIPGEVAGKVTDDNMNVNVSGSLDIEAPYPILRPIIISRDRSREFKRAHTYEHKSPCVPPSRREEPPPVKRPPSPVVLCVPRGGASVVGGDSRKQRGFPTVRSGSSSPRNWGVKGWFHDGINFEEACLRVDGSEVVWPSWRKKSQLPGALIAISQEKHPDLAIPLQSPESELDSTVRSSTIPVMHSLLNDEIDSFCKRVAGVNLTRKPYINWAVKRVTRSLQVLWPRSRTNIFGSNATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKIVENTAIPIIMLVVQVPFDDSIPELTGMELIRIDISFKAPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLILLITRFLQHEHHYGRPINQNFGGLLMDFFYFFGNVFDPRQMRISVQGSGVYINRERGYSIDPIYIDDPLYPANNVGRNCFRIHQCIKAFADAYSTLEDRLLSLSDNCDSSKLKLLPLIIPSVGNS >cds-PLY95770.1 pep primary_assembly:Lsat_Salinas_v7:3:27128166:27134567:1 gene:gene-LSAT_3X20820 transcript:rna-gnl|WGS:NBSK|LSAT_3X20820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTALLSSDPMQFGMFDSGPYRNADDSKAEENGGRWYFSRKEIEENSPSRPDGIDLKKETYLRKSYCTFLQDLGMRLKVYEVVVLWSYIDFGCYRPQVTIATAIIFCHRFFLRQSHAKNDRRTVATVCMFLAGKVEETPRPLKDVILVSYEINNKKDPEAIQRIKQKEVYEQQKELILLGERVVLATLGFDFNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVAPAQTSEVVDGSTGGGVNQKGAATRAVGMDEEHEHGANRSSSNPTSSRPPPDQDGPPRTSEVNESQHGGDDVNHREDGSEGHEGSRKEKEKEKYYKGQSPQDAIKKIDKDKVKAALEKRRKARGGDVTRRTDFMDEDDLIERELEDGIELAAESEKRKHNHNNNWSKTSAAAAGGGGSNDDDGYDDNDDDDVEDGQYHTSSKGQTSRSQEFEAVEEGEVGAMRRMFADDDDNNNNNGHDHDHDDVRLSPKSSNRKRKGGGSPSEKRRRN >cds-PLY62196.1 pep primary_assembly:Lsat_Salinas_v7:6:30796343:30796660:1 gene:gene-LSAT_6X23620 transcript:rna-gnl|WGS:NBSK|LSAT_6X23620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHNFYLQASPFIRFNNEGILLASSTSENGIKILGNDDGYRLLRTIDNRSIGDSRVASTSATKGSTAMMPTFGASNAPSR >cds-PLY81712.1 pep primary_assembly:Lsat_Salinas_v7:3:33684659:33687029:-1 gene:gene-LSAT_3X24900 transcript:rna-gnl|WGS:NBSK|LSAT_3X24900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFAKEISSSGPASSEIVADKRRNRDTGLHIHSGRAEVTANPDAATAGGGEAQNGVDQKDGAAKPRGERRRSKPNPRLSNPPKNIHGEQVAAGWPAWLSAVAGEAINGWTPRRADTFEKIDKASTYSNVYKAKDTMTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPTIKFTEPQVKCYMNQLLSGLEHCHNRHVLHRDIKGSNLLLDNGGVLKIADFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGIDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSDEYWKKSKLPHATIFKPQQSYRRCIAETFKEFPASSLPLIDTLLSIDPAQRLTATDALGSEFFTTKPYACDPSSLPKYPPSKEMDAKLRDEEARRLRAAGKSNADGVKKTRTRERPARAIPAPEANAEMQMNLDRRRLITHANAKSKSEKFPPPHQDGTLGYPLGSSHHMHMDPAFDPPDVPFSSNFSYGKAPIQTWSGPLVDSSRKNTSKSSKNKSKEK >cds-PLY98857.1 pep primary_assembly:Lsat_Salinas_v7:7:22857462:22868230:-1 gene:gene-LSAT_7X18261 transcript:rna-gnl|WGS:NBSK|LSAT_7X18261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNQNKPPVNVQKTRAVDDAPLDKRRKIGTGRMMASTTASRGRQALAPMSNQKDASIPAPVAPSETEVSECEDITFTKEEVIELLNDKFKGKKFDTKLKQEWMTKHIKKLHDCIKWFQKSLDDLLEEKDNMRTMLNSSETKYLEAEAAMKIKEEELNSTISKLENNILSLKESLANEQSQKQAAIDGHNTEKEVSMALTKDRDSLKEKLFVSEQNLSTANDKVKQQEDMYKRLQEYNTSLQQYNSKLQNELKTATDANKQVEKEKAAILENHSTLRGHYSLLQKEFTSAKDSLDNAVKQKEDATNEVKVLRSELQKLREDRESQLSEIQDLKSEILRYKDNTGRTAAEVHNLSLKSTALEETCSDQSKQISILEHQLAAANQKLKMADLTSSEIRTEYEGQKEMISKLEDQLREKEGQLNEGERLRKKLHNTILELKGNIRVFCRVRPMLSDDAPGADATVCYPTSVELAERGIEIVQNGQITPFTFDKVFKQNASQEDVFMEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGPEIPKESKGLIPRSLKQIFETSQTLAAQGWEFKMQASMLEIYNETIRDLLLKSNTGDSSSVEVVGGRTKGLTVVDVTSENEVSKLLKRASQSRAVGRTDMNEQSSRSHFVFTLNIDGVNPHTEQRVQGVLNLIDLAGSERLSKSGATGDRLTETKHINKSLSALSEVILALGKKEDHIPYRNSKLTYLLQPCLGGDSKTLMFVNVSPDPLSVNESLCALKFAARVNSCEIGIPRRQTSRPIDSRLSCGIHRFQVATLQGLGGVDLKTNGTQSMFIHTLFIVLNQIASGIFVNEAEMEGDLETPKPEVVKVESIESWDSLLQQSKSDGTPIVAHFAASWCIPSVAMNYFFEELALEFYDITFLIVDVDDLKDIASKYEVKAMPTFLLIKEGEVVGKLVGANPDEIKKRIETLLQSNTPYVV >cds-PLY87677.1 pep primary_assembly:Lsat_Salinas_v7:6:45694936:45697276:1 gene:gene-LSAT_6X34521 transcript:rna-gnl|WGS:NBSK|LSAT_6X34521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFSQAPSSGANLLDMLSELSVPASVNNPQMSLFGTNPPPPPPAAAAGAPPSVFVPGGGNNGWLKAMFISTSCLVVGQSQQAKEQPGKDKDLRGYVLQLQEDVQFTERTLKRLLKSVNRLIQKAEKQQPKNLMKLLSESRGFEGLAKFDSNHVPSLLSEEYVNCWSLPLVTLTSIAMSLPDIQKNMVDCLLNGVSEGLLYVTLVEESLNASDHDHVSIQKAAETLWVEVEVYHKWLGTKLPNPKSKLVNTPGHILQWLRDKAKKKVMKVESKDIRDRNDNSKYKSICANSMYRITETILLNVYHENIDQQVSQEELFAHVSSMIADIIAACLTNLPQVILMKCHESVIEKREASVQAAAQLLGETIQIINTLQDRQLPSLNPDELAFIDKWCAHLKNPFP >cds-PLY72225.1 pep primary_assembly:Lsat_Salinas_v7:7:54461272:54461868:-1 gene:gene-LSAT_7X39641 transcript:rna-gnl|WGS:NBSK|LSAT_7X39641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCSKSSKWLNRLRSSRGFPDSDNINLEHFLSNSLDKIDPQTSTDPSLPDKRPKLDKRDDSGAIQGREVMNNVLSELFQMGEFQDLSRIKRKKSCRKQQCPRICIVSTNSNVQNVPVGKDRVSSPPPSLSPFPLTLSNRRTAKDVNRELKVTGHVEEEEKGHWDLTAYSQTEVTVIDSRRCCTGERMYGRLGIRKVRD >cds-PLY68544.1 pep primary_assembly:Lsat_Salinas_v7:2:5334128:5334589:1 gene:gene-LSAT_2X2920 transcript:rna-gnl|WGS:NBSK|LSAT_2X2920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQIVSLSNTPVIKHVEFLKNCGFSLDQVKKMVVGCPQLLALNMDIMKLNFNYFESKMGREFDELADFPAFFAYGLESTIRPRHQMVAKQGLKCSLAWLLNCSDAKFEERMNYDTIDMEEMEDDSSFDMNTLMEPRNDGSDSDFDEDSDEDYL >cds-PLY82383.1 pep primary_assembly:Lsat_Salinas_v7:4:336702886:336703341:1 gene:gene-LSAT_4X166540 transcript:rna-gnl|WGS:NBSK|LSAT_4X166540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNPTINFDYENSAIVSALRYVICGGNVVIPSSSETGSSQNHPSPVEHEICGECRMRIPEHCLGCHMFTGGGGEETGKRTKKKVYRGVRLRPSRKWAAEIMVPGTKERKWLGTFETAEEAARAYDVANIQYRGNKAKTNFPVEEYQENPDV >cds-PLY76080.1 pep primary_assembly:Lsat_Salinas_v7:9:30233059:30234534:1 gene:gene-LSAT_9X26760 transcript:rna-gnl|WGS:NBSK|LSAT_9X26760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLRRHVIMVPFMAKGHLLPFLELAHRILHHNANLTITIVNTPLNINYLRSTIANDPTPPPQIHLRSLPFNSSDHGLPSNSESTEGLTFTQIIKLFYASTTLEAPLREFISDVIVKEGTAPACIISDNFMGWANEVARSFGTVNYTFTTGGAYGTTAFCSICMNLPQRNIADGGTHDHFPVPGFPEFFQFSITQHHPYYRAADGKDEWSIYFLPQLSHCLQSNGWLCHTVEEIETTGCEALRNFTKLPLWCTGPLLPSKMLKTNSGMDTGSGVNVIGQPEKCIKWLDSHAQGSLLYVSFGSQNTLSEIQMMELAKGLEESKKPFIWVIRPPIGFDIKSEFKPEWLPLGFEDRIRNQGLLVHNWAPQLDILCHQSTGAFLSHCGWNSVMESLSQGVPLIGWPLAAEQGFNAKMLVEEMGVCVVLRTGVVESTIGKEEVKKVIEVVLDKNEDGKGNDMRKKASELRKLMHASVNGSSYKAMADFVSTFSSI >cds-PLY90201.1 pep primary_assembly:Lsat_Salinas_v7:9:202437544:202440476:1 gene:gene-LSAT_9X125141 transcript:rna-gnl|WGS:NBSK|LSAT_9X125141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKDPNISQSISTLANLAISVAQTTTNFKPELKLLVLTLERIAPIIQDTVNMNQKLDRPEAEWKMLRDEMQRAIKLVKKCSKVKWNIIKKSSYRQKLKDINGNFLRFFEFEVQALQSRNIRETLLEVNNVKLKMDSLPSDLTKDTRLRTGGVRNRSGSLSSSFRIEQSRCENMEREKYGWRVPALPSGIVPFDELLEKLKAEVVAGIDGGGDGSSMDYDYRSVLVVAAAGGCGKTTLVKMLCHDPGIEVSETPNLMVIVNDLFNPNSSGLQVLFESNEDAKNKLESFLDEKVSGPMLLVLDDVWSDSFIENFQSTKRGCKILVTSRIAFPNYDVFQLDPLNQKDAKTLFCHSAFNECELRPSGIINDKLVSQMVTCCKKHPLTLSVIGRALNGKDESVWESMLRQLSQDSPVLDLHKDVLIGLERSFDALDDEFKECFLDLGLFPEDQRIPTSALLNMWVHLYKHDDDGVDTLAKVFELNHRDLVNLMTTGFGNESGALANYCNQHFVTQHDLLRELAIHLNAKLPLPQRSRLIINAQGEDLPTSIEKVQEPMQARILSISTGESFSSQWWNMKVPHVEVLVLNFMSNTYTLPHFLAGMQKLKILNLTNHCLYPTEIDNFHLLGCSYNLTRIRLERVAISSSILPLLNLQKASFIMCKIGNAFEKVGPNTLPGLLELEIDSCQDLDEFPGILCTSVRLKKLSITNCIQMSGLPEEFGNLTSLETLSLGSCMKLEKLPESISGLQKLSILDISDCLRLSKLPDEIGKLGGLRVIYMTGCKGLPPDHLPPSVKDLSHTHVVCNEQISYQWREFRNVEIHLVEED >cds-PLY99349.1 pep primary_assembly:Lsat_Salinas_v7:1:81795522:81803893:-1 gene:gene-LSAT_1X68720 transcript:rna-gnl|WGS:NBSK|LSAT_1X68720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLNTSPLAWQLPDGRHGTAEVPKSRRTIYLSCKMPERVHELEFDEFDKLLGEIPRATVTPNTEEFGVFNSSKSSKNLYTNPENGTFLDTLNDKKLNVRRVQFIEGECLNDQSFASVFQDLSIKDRPIMLSPPPPSSTPFNGVDFNGQQLVAIPMIPNQQQLFFNPQSNLTWRNLENEYNYHPMNMHQFCKNLESQPRSMHQPTPSLNRFMTHANQKGPEKILTRSRGLNPLGSLKFGSFEREDPVSNIVKSDDFGERIYCMAKDQQGCRSLQKKFSEGTREDLENIFREVIVHVVELMTDPFGNYLVQKLLEVCDKHQHMQILRVITRKPGDLVRISCDMHGTRAVQKVVETLKTREQCSMVVSALKPGIIGLMKNMNGNHVAQRFLQYLKPEFNEFLFEAATMKCIELATDRHGCCVLQKCLSHSDGEPRRRLVREITSNALILSQDPYGNYVVQYVFELQVPWATSAILDQLEGNYGDLAMQKYSSNVVEKCLKYAREERRICIVNELMGNPRLDQIMQDPYGNYVIQAALRSSKGALREALIEAIKPHVPALRTSPYGKKVLSSNGLKK >cds-PLY80857.1 pep primary_assembly:Lsat_Salinas_v7:4:326287711:326288490:-1 gene:gene-LSAT_4X162440 transcript:rna-gnl|WGS:NBSK|LSAT_4X162440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEILHLKAQQQRSQEKKGYISLGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGNECALLTDGRFSGGSHGYVVRHICSEA >cds-PLY76345.1 pep primary_assembly:Lsat_Salinas_v7:5:224888186:224889548:-1 gene:gene-LSAT_5X109481 transcript:rna-gnl|WGS:NBSK|LSAT_5X109481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSISLSFLQSTCSYSNLHQQQKLFVQTPLIASSSSSQPRRTSLVVEAKARTRQDNRQARHARLRKKVEGTPERPRLCVFRSNKHLYVQVIDDTKMHTLASASTMQKPLSEEFDYTSGPTIDVAKKVGEAIAKSCIEKGITAVAFDRGGYPYHGRVQALADAAREHGLQF >cds-PLY62340.1 pep primary_assembly:Lsat_Salinas_v7:4:86566437:86570544:-1 gene:gene-LSAT_4X58600 transcript:rna-gnl|WGS:NBSK|LSAT_4X58600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVDDSSQTPTRLEGKFGANMICWILGIGSLICWNSLTSIDDYYYDVFPDYHPSRVLTCVYQPFAFGSMAFLAYNESKVDTRKRNIVGYIMFFFATFALILLDLATYGKGSIGSYIGICLFVAAFGVADALIQGGMIGDLALMCPEFIQSFLAGMAASGVITSGLRLLTEAIFNNYENGLRKGALLFLSISTLFEFLCIFLYAFIFTKLPIVKHYRTKAALEGSQTVSSDLNAAGIQTDLTLTQKLLDGDPEHLDRLSNKELLFQNFDYALDLFLIFGLTLSIFPGFLYENTGKHQLGSWYPLVLVATFNFGDLVSRYIPLINCLKLESRKGLMILVFLRFLLVPAFYFTAKYGDQGWMIMLVSFLGLSNGYLGVCVLMAAPKGYKGPEQNALGNLLVLFLLGGIFLGAGLDWLWLIGNGSVLDQSAKEIVEYFAKSSYIHVL >cds-PLY69127.1 pep primary_assembly:Lsat_Salinas_v7:5:287000426:287004154:-1 gene:gene-LSAT_5X151941 transcript:rna-gnl|WGS:NBSK|LSAT_5X151941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWTKTTPFLVIAAVLLLLSPDTTILGVGSLNVALNDDVLGLMVFKADIQDPYLRLSTWKEEDDSACKWSGVTCDPYSNRVTELHLDGFSLSGHISKGLLRLQFLQTLSLSRNNFTGLIGNPILTQLIKSSQVIDLSENGFFGSIPDQLFVDCGSIRSLSLAKNKLTGVIPDSFGSCMTLQNVDLSSNQLSGGLPNNIWSLTSLRSIDLSNNFFDGEIPHEKIETLFDLRVLNLSSNNFSGELPEKIGECLLLKSVDFSNNYFVGTIPSSLQKLSLCNVLNLRGNYFAGDLPEWIGNLKSLEVLDISVNNFSGLFPTSLGDLEALNTLNLSLNQFTGNLPESLANCINLSVVDFSRNLFTGNIPVWAFSLLRLKGVSLSGNQLTGTLDFGEAAAAFDTLEIMDLSSNSFSGIIPSSIGNFSSLVFLNMSWNSLTGPIPSSLGQLKAVNVIDFSHNWLNGTIPDEIGGAALLEELRLENNFFTGEIPATIGTCSILTTLILSENNITGGIPSGIANLTELESVDLSFNHLTGGLPKELTNLTNLIIFNISHNDLEGELPLGGFFNTIPLSSVSDNPSLCGSIVNQSCPGAHPKPIVLNPNPSHSNHDSPPLTLGHKRIILSISAIIAIGAAVFIALGVIIVTILNLHVRNSLSRSPAGALTFSGGDEFSHTRSPSSDYGKLVMFSGDTEFVSGTHALLNKECELGRGGFGVVYWTALGNGRSVAIKKLHVPSLIKSREDFDREVKKLGKIRHSNLVLLEGYYWTPSLQLLINEYVSSGSLYKHLHEGNYENTLTWRDRFDIILGTAKGLAHLHQMNVIHYNMKSSNVLIDCSGEPKVGDFGLAALLPSLDRHVLSGKIQSALGYMAPEFACQTVKITEKCDVYGFGILVLEVVTGKKPVEYMEDDVVVLCDMVRGAVESGGAEGCVDGKLKGDFPVEEGISVIKLGLVCASQVPSNRPDMEEVVKILELIRCPPENQEEIV >cds-PLY76850.1 pep primary_assembly:Lsat_Salinas_v7:3:4794833:4795171:-1 gene:gene-LSAT_3X2421 transcript:rna-gnl|WGS:NBSK|LSAT_3X2421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRLEQLKSWRPFGAISEDGSGWVPVSDTAKHSDISPPRRRRAWNDTPEPESESEHISEVNSDMSPPCKQRAQNDTPEPKSDLSPPRKGRSRKSDISPPDGGIIIHMMIFLP >cds-PLY79029.1 pep primary_assembly:Lsat_Salinas_v7:3:7776396:7779975:1 gene:gene-LSAT_3X4241 transcript:rna-gnl|WGS:NBSK|LSAT_3X4241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDELDPYESVGLDDSLEDKRDLDQIMKYRKATEIELDTMEGVVSREKLPYLLNNQDTKPPFLDGRVVFTKQAEPIMPLKDPTSDMAIISRKGSNLVREVHERQSMNKPCQRFWELVGSKLGDILGVEKTAEQIDADTTVVGEVDFKEEEKFGKHMKKGEAVIRENQVVVVIGETGSGKTTQLTQKRFHLWFHVFHMSGVSIDADQRITQDLEKLSTDLSGLVTGMVKSFVDILWFTWRMKMLTSRRGVAILYAYMLIGLGFLRSATPDFGDLGNREQELEGSFRALSIRRMFCLPPCRFMHERLRTHAKSVAFFGGGAREKAMIESRFNELLAHAKIILRKKWLFGVLDDFVTKQLPHNVT >cds-PLY98304.1 pep primary_assembly:Lsat_Salinas_v7:7:170769723:170771455:1 gene:gene-LSAT_7X100481 transcript:rna-gnl|WGS:NBSK|LSAT_7X100481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVATGIGAFPRSVSLLPLPHNNFGSPHSISNPRNRIRFPERKSNNFNFNFSLFIPTNPPFFSIKAAASSSSEFASDYAEEPATNVKFPTLLNLPGCSSSLSLIGTGFREKVFAIIGVKVYAAGLYINPKIIDKLDAWKGRTSAQIQDDSSLFDLIYQAPLEKSLQIVLVRDVDGKTFWDALNDAISPRIKSPNAIDETALSDFRGIFQNRPLKKGTFIFLTWLDSSKILIHLSNEGIPSTSEATIESENVTRSLFDVFFGRDPVSPSLKASISNGLASILI >cds-PLY71843.1 pep primary_assembly:Lsat_Salinas_v7:3:60018792:60019919:1 gene:gene-LSAT_3X47680 transcript:rna-gnl|WGS:NBSK|LSAT_3X47680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSKKIVLKSSDGETFEVDEAVAVESQTIKHMIEDNCAGSSIPVPNVTSKILSKVIEYCKKHVDTPKTDDKTAEDDLKAFDTEFVKVDQGTLFDLILAANYLNIKGLLDLTCQTVADMMKGKSPEEIRKIFHIKNDYTPEEEEEVRRENAWAFE >cds-PLY88976.1 pep primary_assembly:Lsat_Salinas_v7:8:129159237:129159710:1 gene:gene-LSAT_8X88640 transcript:rna-gnl|WGS:NBSK|LSAT_8X88640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRVQLIFLVFLIVASMAVTELSIAGGEGSVPIEQCPSACSVRCSATHHRSQCMDVCVDCCGKCLCVPSGTIGNKDECPCYRDLKTKYGQPKCP >cds-PLY63959.1 pep primary_assembly:Lsat_Salinas_v7:3:172307075:172309452:1 gene:gene-LSAT_3X105460 transcript:rna-gnl|WGS:NBSK|LSAT_3X105460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYYKTTCPNAEAIIRKEMECAVLTETRNAAFILRLHFHDCFVQGCDGSVLLEDTVTFQGEKNAPTNLKSLKGFDIIDRIKEKLESECPETVSCADALAIAARDATVLVGGPYWDVPVGRKDSKTASFAEVETNIPGANIGLLSIIAKFIYQGLSVTDMVALSGVHTIGMARCTSYRARIYGDYQITSLMNPIADSNLKNLKSTCPEVGGRDNHVTALDYVSPNLFDNSYYHLLLRGEGLLNSDQELYSSVLGVETRKLVMKYALDPIAFFEQFSESMVKMGNITNPRTYVDGEVRKNCRFTNE >cds-PLY97897.1 pep primary_assembly:Lsat_Salinas_v7:4:89478616:89479329:1 gene:gene-LSAT_4X59061 transcript:rna-gnl|WGS:NBSK|LSAT_4X59061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNITYQKKWPLYLSTKNTILKKHDERFKDIFQEVYERNTKSKFEAAHVWYEHNLIDDVVAYALKSDERYVWECKNCDGDLQSDFLAQGFRSHELITSVWVCLNGKTIEVETTYPPVTRHYRVHQKRGKTSKISIASIYVWTCVLTHMTTLDNNSKLLDFNEKFEAACIDTFEFGKMIKDLAILTIWTVLQTLGLRTSLYFKMVVLIENVIKNGSSLKTREKWRDRIVILCAFRFALM >cds-PLY80331.1 pep primary_assembly:Lsat_Salinas_v7:7:155270156:155277293:-1 gene:gene-LSAT_7X92061 transcript:rna-gnl|WGS:NBSK|LSAT_7X92061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSRGRSSSPFHHRKPSSPFSSSSSTSSFNKQLIPRSCSSSATTSLYGASGNGYGSRSITPGVARSDSMYSKGGYGGRSPVGFPSADELIGEPVDSMPRSGGGDSISVTIRFRPLSEREYQRGDEISWYADGDKLVRNEYNPVTSYAFDRVFGPSAVTQEVYEVAARPVVKNAMEGVNGTVFAYGVTSSGKTHTMHGDHHSPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLLSSRSHTIFTLMIESSAHGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISVLKQELDQLRRGMLVGVNPEEIMTLKQKLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSSKNTIPGLTHQRSFSANEEDNNSLLLQSDNLNDTPSEMSHELKHRRNSSNLSAAGSTITESTQVGDLAGGGKLGVISIDQMDLLVEQVKMLAGEIAFSSSTMKRLVEQSANDPESSKTQIENLEREIEEKRRQMRVLEKQIIESNEASISNTSLADMQQTMMRLMTQCDEKGFELEIKSADNRILQEQLQTKCIENKELQERITLLEQQLAAANSEKSQSSSGQNVPEEYINELRKKIQMQEVKNEKLKLEHVHILEENSGLSVQNQKLSEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELVTARSNNRKYNNDTTTKPGRNGNGNGNGRSLSGSGRVNDFDLDPEDLRRELNVRKQREASLEAALAEKEIIEDEYRKKVEELKKKEGALENDLANMWVLVAQLKREAGVGGGGHVVTDANVNSERNENVNEVRVENGDFNNQVLKERQVLDVVQQVVHDVPKEEPLVARLKARMQEMKEKELNYNNGNGDANSHVCKCVNLVQLRVPSVRFAVPRLLIEFLLSHLDICILPPPLPSLQDL >cds-PLY72082.1 pep primary_assembly:Lsat_Salinas_v7:9:196714866:196720467:-1 gene:gene-LSAT_9X121521 transcript:rna-gnl|WGS:NBSK|LSAT_9X121521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRVQAKGLDQWSGNERPTLHQGARSVHQWVLWTFGHGLVLGFGLVYLGNELSVWVVVGSQDFFSEYLFGRHKMHPPLTLHRHPMCAEIIEQFQKCHLDHPYAKFFGECTDLKIKLDKCFRQEKAVKRKANFEESKKLKERLQAYRKEAAGTENIM >cds-PLY71076.1 pep primary_assembly:Lsat_Salinas_v7:2:162365444:162365929:1 gene:gene-LSAT_2X85260 transcript:rna-gnl|WGS:NBSK|LSAT_2X85260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSSFISSKRNAEFQPENPCYCGLPSRVRTSRTKDNPGKKFRLFPNSMNEGLKCKFWEWLEPETPENEVSSGKDKKELCNLTLKVSILQNEISICKMKMEQENLVVRQEFEKLKWKLFTRKVVMIVLFLLFVFKK >cds-PLY78593.1 pep primary_assembly:Lsat_Salinas_v7:1:105748902:105752095:-1 gene:gene-LSAT_1X83021 transcript:rna-gnl|WGS:NBSK|LSAT_1X83021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKLKGDLLLPPYSYLFDSTQKKIIWLIMIVMVPIAPSTIYRHGVIAFRKDPIINEKSTSLTRAYDEEMLKVITEVVCLAGQPGQ >cds-PLY85673.1 pep primary_assembly:Lsat_Salinas_v7:7:158799117:158800700:1 gene:gene-LSAT_7X92700 transcript:rna-gnl|WGS:NBSK|LSAT_7X92700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAYKAYKACAPIAWSPNLYITLVRGIPGTRKLHRRTLEALRLGKCNRTVMRWNTPTVRGMLQQVKRLVVIETEEMFKARKEKEAQHRACRPPLVVTHVPVPASNSSQQAA >cds-PLY65040.1 pep primary_assembly:Lsat_Salinas_v7:1:117157756:117159060:-1 gene:gene-LSAT_1X86641 transcript:rna-gnl|WGS:NBSK|LSAT_1X86641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLFEVLKALSLEESIEVPDEILEAHAHVAEMVKLSTHDILPVEPESSDQALVRYREIKKPNDFKIPLQTIKDCTQDFDERNFIGKGGYGSVYMGILSWGDHVNELVAIKRLDVKGFQGTKEFLTELTMLSQYQHENIITLVGFCDDNKEMILVYEYANHGSLDTYLRDTTISGGLSWLQLLNICIGVASALEYLHNYVAEKHRIIHRDVKSANILLDENWNAKLADFGLSRIGLANQENTFVITNLAGTHGYCDPQYERTGFLTKESDVYSFGVVLFEVLCGRLACALNYHDEQRFLHHLARTCYRNGELEKIIDPRIRKDIKPRALRKFSTIAYQCLQDTREERPIIAEVAFQLKEVMKNQVSINGVVIDFILGLFFN >cds-PLY76211.1 pep primary_assembly:Lsat_Salinas_v7:4:51460962:51462114:-1 gene:gene-LSAT_4X35081 transcript:rna-gnl|WGS:NBSK|LSAT_4X35081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKSYTTWTEVQWRQNSFPSEGFKSTDWRNSFPSITSFYVSNPPGDVTKKEIWKLCLLLGKLVDVYINGRRDAFGAFFVFVRFSEVTDPSTIEKNLNEIICSGRKLKANCAKHPHDGVKSNPIRNTFVAPTSLNHATRDSRSFVDVAIVKKKNDGVLTISLSSIHKVKITRIPLQYWDEPNFETVACNFRKVLVNTSSFWNRNDVSNGKLCILTTSRKRTNDEANVFFDGVSCRIGIIEIDDDWTPFKSFSQESIEKSDNKLEDDVDEISDTWIHGNMYRGDGEIDPKENGHAGGR >cds-PLY80071.1 pep primary_assembly:Lsat_Salinas_v7:4:348687198:348688085:1 gene:gene-LSAT_4X171720 transcript:rna-gnl|WGS:NBSK|LSAT_4X171720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTEVATVAPATDPHASPPITIILTTILLVIFFIGFFTIYFCRCFMQNVLYTWNTRHNPPGTQMSGPGSSAPPGLDPHIINTFPTFSYSDVKEFRRETYGLECAICLCEFENDNVLRLLTKCCHVFHQDCIDLWLESHKSCPFCRRGLETPLASPAKSPMSQNSTSMHEIHENELLEDTFTINIRDENERNNNTDTKEDNKKEKHVNIDIDRGEVKRTEKFPRSNSTGHSITKNSKASGYEDKFTLRLPEHIQAKLIHGHSWTRSCTEFGEFKTRTSASSTGFGEASTSRDVNKV >cds-PLY81675.1 pep primary_assembly:Lsat_Salinas_v7:2:25640890:25641066:1 gene:gene-LSAT_2X14221 transcript:rna-gnl|WGS:NBSK|LSAT_2X14221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVKKSLYMILVLAFFCIILVPILAADGKTSFFHYSFIYTTFELKAKMLEGPICID >cds-PLY79478.1 pep primary_assembly:Lsat_Salinas_v7:3:248134594:248134936:-1 gene:gene-LSAT_3X136801 transcript:rna-gnl|WGS:NBSK|LSAT_3X136801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDALVRILTPRCCIDILRNVHVSKEQRKPYVVVFVGVNRVGKSINLAKVASWLQQHDINVMIAACDTFRSRAVEQLRTHARRLHVCN >cds-PLY92170.1 pep primary_assembly:Lsat_Salinas_v7:8:5096073:5096414:-1 gene:gene-LSAT_8X4140 transcript:rna-gnl|WGS:NBSK|LSAT_8X4140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHPEYEAKIAKQRSAYSQARAPARPTIDGRAVPSHRAIRNSKYILANAKYEPKPCGMKEVGTRSTKSRGRVPILPPRKLSYGRTLTGVSDAPNGIPSDGVRRKVERHARKRG >cds-PLY93228.1 pep primary_assembly:Lsat_Salinas_v7:6:158574256:158575205:-1 gene:gene-LSAT_6X96720 transcript:rna-gnl|WGS:NBSK|LSAT_6X96720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSSGLGATLNVAKPKKGSSVAIFGLGAVGLAAAEGARIAGASRIIGVDLNANRFELAKKFGVTEFVNPKDYKKPVQEVIAEMTNGGVDRSVECTGHIDAMISAFECVHDGWGVAVLVGVPHKDAVFKTSPLNLLNERTLKGTFFGNYKPRSDIPSVVEKYMNKELELEKFITHELPFSEINKAFDLMLKGEGLRCIIRMGE >cds-PLY98833.1 pep primary_assembly:Lsat_Salinas_v7:7:24773719:24775155:1 gene:gene-LSAT_7X20660 transcript:rna-gnl|WGS:NBSK|LSAT_7X20660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNALKLSETICFHSSLFSRELTNTYIKNLNASIKPRKRTRLALRASVDEQKEKKSSEKRSFLTLEEAGLVEMSGLSSHEGFLCRLTISSLNLLRVIGEQEGCSIEELNAGKVCDWFLKDKLKREQNLDAVLQWDESNFQL >cds-PLY93068.1 pep primary_assembly:Lsat_Salinas_v7:9:25876958:25879163:-1 gene:gene-LSAT_9X23101 transcript:rna-gnl|WGS:NBSK|LSAT_9X23101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGKGGVVLKLIDLVSEISLISDYRCTVRKPFFNLARRLKLLSPLFEEIRDIKDPLPDESYRSLLSLMEALESAKELLRLGSQASKIYLALEREEIMKKYQDVTERLEQDLDGICFEKLDISDEVKEQVALVVAQFRRAKGKNDTPDVELTDDLSSLYNKGNDVVADPDVLKRLVEKLQLTGINDLTHESITLHEMVSASDGDPGESIEKMSMLLKHIKDFVQTDNPSTQDSHSPPPGADSSTSNPPKPNSKTTLIPDDFRCPISLELMKDPVIVSTGQNKGTKHVQKHNKT >cds-PLY97323.1 pep primary_assembly:Lsat_Salinas_v7:3:137034780:137040411:-1 gene:gene-LSAT_3X90700 transcript:rna-gnl|WGS:NBSK|LSAT_3X90700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNSEEIHKLHKRRLSIRSSPTLQIVSFILRCSTMENNFDQDLIYAIFKTVWSKKAIEREKNVDDEISKGEEGAGTSKKNRPTSANSNAVKLSCEKLRLFVSEAVHRAATIAEAEGGNKIEATHLERILPQLLLDF >cds-PLY77828.1 pep primary_assembly:Lsat_Salinas_v7:1:24713873:24716549:-1 gene:gene-LSAT_1X21041 transcript:rna-gnl|WGS:NBSK|LSAT_1X21041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFKVALCCCFIAMPSLGSTSKAVGQLITRKNGVKQLMRCLQNNPEVENHPQSRCFRSSIGNVETFPSSCQIVSSIHKDKHTPLLQNMSSGVVIQRRGFLGCGDGDEGSNVLSKVHEEKRIMGYSPEQLFAVVAAVDMYQDFLPWCKRSDIVQRHSDSAFDAELEIGFKFLVESYVSHVQLVKPKMIKTTSSQSSLFDHLINIWEFNPGPIPGTCDLHFFVDFKFQSPLYSQMASMFFKEVVSRLVGSFNDRCRLIYGPGVPLHGKNIERNA >cds-PLY81502.1 pep primary_assembly:Lsat_Salinas_v7:8:157352353:157352595:-1 gene:gene-LSAT_8X103961 transcript:rna-gnl|WGS:NBSK|LSAT_8X103961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRKGSPTIGIDLGTTYSCVAVWKDNQVEIITNDQGNRTTPSCVAFTDAERLIGDGAKNQIARNAANTVFSQFTFPMA >cds-PLY68726.1 pep primary_assembly:Lsat_Salinas_v7:5:279494501:279494716:1 gene:gene-LSAT_5X147480 transcript:rna-gnl|WGS:NBSK|LSAT_5X147480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLTCGNSGVLRLWDVETGTCKHTFGDNSFLVSSCASFPDSKRLVCRSSDPKKGICMWDCEGNEIKAWRRT >cds-PLY85627.1 pep primary_assembly:Lsat_Salinas_v7:5:1839758:1840519:-1 gene:gene-LSAT_5X861 transcript:rna-gnl|WGS:NBSK|LSAT_5X861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSAELAENQSLSEAWSKILEKLAFYDYIGNNLAKGGLFWAGLMDNEDGIVVGWLGHHIFRDKERRELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPVTVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTRRQIG >cds-PLY69293.1 pep primary_assembly:Lsat_Salinas_v7:4:105953937:105959835:-1 gene:gene-LSAT_4X68240 transcript:rna-gnl|WGS:NBSK|LSAT_4X68240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQTDGQCGEEEEATLMMFVGEKEDRFKKKMRHTYGRKGGVEFSILGLHVSSLGLFYLVLPASPPSRPMHLFHVPNHFPAAADALVNPVLHHRSRLQETIHVYHWLLPQDTTVCTVSILSPLFLVLSQKSPSRNNLLPLVRIQPVFWHTLTFFIYRLSPQCYVPHNKLHFLLHSFKMKLVSLLRISPIGCIIFNGFGAVWNTTMLNRAQNSFFKKLKQLRQLPRKKLAPFTIIRRCKYYSVLL >cds-PLY68234.1 pep primary_assembly:Lsat_Salinas_v7:3:102871529:102872367:-1 gene:gene-LSAT_3X76921 transcript:rna-gnl|WGS:NBSK|LSAT_3X76921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKIKEKLPGGHNNDEHQRVSATTGGVGDNYLEGGETHEQKGVTEKIKEKLPGGYSTDEHQRVSTSTIYGVGGGGNTYGEGGETHENKGMMEKIKERLPGDHNTDEHQRVPTTTVSSIDGGYVEGGETHEKKGVMEKIKDKLPGGNISEHQTVSSTTTRGVGGGGYGERGETYEKKGVMEKIKEKLPGGDNTDEHQGVSTTTSGGIGGGGYGEGGETHEKKGVMEKIKEKLPGHH >cds-PLY64937.1 pep primary_assembly:Lsat_Salinas_v7:8:133068774:133072073:1 gene:gene-LSAT_8X92300 transcript:rna-gnl|WGS:NBSK|LSAT_8X92300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRGLLNKLATKSINVAGKWQQQQLRRLNIHEYQGAELMGKYGINVPKGVAVSSVEEVRKAIQTTFPNEKELVVKSQILAGGRGLGKFTSGLQGGVHIVKIDQAEEIAGKMLGQTLVTKQTGPQGKVVSKVYLCEKVSLVNEMYFAITLDRTTAGPLIIACREGGTSIEDLAEKFPDMIIKVPIDVFKGITDEDAAKVVDGLAPKVADRDASIEQVKKLYNLFHESDCTQLEINPIAETSDNKLVAADAKLNFDDNAAYRQKDIFALRDPTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASEGQVVEAFKILTSDEKVKAILVNIFGGIMKCDVIASGIVNAAKHVSLKVPVVVRLEGTNVDQGKRILKESGMALITAEDLDDAAEKAVKALGSS >cds-PLY86226.1 pep primary_assembly:Lsat_Salinas_v7:8:54837978:54839818:-1 gene:gene-LSAT_8X40640 transcript:rna-gnl|WGS:NBSK|LSAT_8X40640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHCRIQQNTNFSSFGNGDHVVCPKPRRLNLFDTSMNEPVRPLRWLMCNQQETYESKAGPELLDLIFAKGGGYGSSEQTCTQAAASSPPFFCGSPPSRVSNPLTQDARFGDDKFSPISPESVIPPPNSGIQSSSPSPSSRKPGFLRSNYTNKPAVRIEGFDCLDRDNRRNCSIPTLA >cds-PLY79578.1 pep primary_assembly:Lsat_Salinas_v7:2:166404954:166405136:1 gene:gene-LSAT_2X87821 transcript:rna-gnl|WGS:NBSK|LSAT_2X87821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHNSGDVVVGAVLAGGGDVDTKGKDGQAVGLKQSKQQQHVAGGGGWNLGFTPINIKINK >cds-PLY73713.1 pep primary_assembly:Lsat_Salinas_v7:8:169960158:169962820:1 gene:gene-LSAT_8X110820 transcript:rna-gnl|WGS:NBSK|LSAT_8X110820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHHFRQLTRDVRVYVQKCLNKGKDTNLQFAIKAITITNGLKYSIATVNWGKANAAGTRAGVSQVASGLRNRSVEMVEAVYSLNKAYLSLPERTASVAGFTAMMTDYYSNMVRNLEDRAVASSLQ >cds-PLY84852.1 pep primary_assembly:Lsat_Salinas_v7:7:107672914:107683354:-1 gene:gene-LSAT_7X69241 transcript:rna-gnl|WGS:NBSK|LSAT_7X69241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIVCSILQFIDISYRVKIENNGGNSLIRSIFGGSETSTSDDCSRIQERSILEGISGMVHPGELLAVLGPSGSGKSTLLNALGGRLPRHQFTGTILANGRKLSKTVLRRTGFVTQDDVLYPHLTVRETLIFCALLRLPQSLTRGEKTEVADSVIAELGLSKCENTIIGNMFIRGVSGGERKRVSIAHEMLVNPSLLILDEPTSGLDSTAAHRLVSTLAGLAHQKGKTVVTSVHQPSSRVFQMFDTVLVLSEGRCIYFGKASEAMSYFESVDFRPSFPMNPADFLLDLANGVWQHDGAERPNIRQSLISAYNDQLASKVKDACLCLDTQTLMNEHTYSPIDKEYSNTKSKCVKGLNTWFIQFTVLIQRSLKERKHETFNPLRVFQVIATSLLAGFMWWHSDFRDIQDRLGLLFFFSIFWGVFPSFNAVFAFPQDRAVFMKERASGMYTLSSYFMAKIVGDAPMELILPTIFISITYWMCGLKPDFVAFLGTLLILLAYVLVSQGLGFAVGAIIMDAKQGSTVVTVTMLAFVLTGGYYVHKVPSFMAWMKYISSTFYSYRLLIHVQYGQGREIWYMLGCFQYGSKHASCRFIEDDIQGQISTFSCVGILVIMFFGYRLLAYLALWRIKA >cds-PLY69441.1 pep primary_assembly:Lsat_Salinas_v7:6:121893630:121898214:-1 gene:gene-LSAT_6X73200 transcript:rna-gnl|WGS:NBSK|LSAT_6X73200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVIASAPGKVLITGGYLVLERPNAGIVLSTNARFYAIVKPIYDELTPNSCLWSWTDLKLTSPQMGREAIYKIESRNPFVEYAVQYAVAAAYATFGNDKKDALQKLLLKGFDITILGGNDFYSYRNEIEARGLPLTPESLASLPPFSSITLNSSSGESCKPEVAKTGLGSSAAMTTAVVAALLNYLGVVNLSSSSGAQYQENLDVVHIIAQMAHCIAQGKVGSGFDVSSAVYGSHRYVRFSPQVISSAQNVIRALPLEEVVSDVLKGKWEHEKTKFSLPPLMNLLLGEPGTGGSSTPSMVGAVKKWQKSDPQNSSNTWKQLSEANTTLEAQLNLLSKFAEEYWETYKAVIYNCSMYQSEKWREQASETISQEIVKTLFGARDAMLNIRFHMREMGKAAGIPIEPESQTQLLDATMNMPGVLLAGVPGAGGFDAVFAVTLGDSGQHLSKAWSSHNVLALLVREDPRGVSLENADPRTNQITSGISSISIV >cds-PLY66397.1 pep primary_assembly:Lsat_Salinas_v7:4:119402832:119409791:1 gene:gene-LSAT_4X75221 transcript:rna-gnl|WGS:NBSK|LSAT_4X75221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDASERPLTNLIGNLRSWIPRRSEPANVSRDFWMPDQSCRVCYECDTQFTLFNRRHHCRLCGRVFCAKCTHNWIPTRPSTQNIIREESEKIRACNYCFKQWQQGGLAGSVDHGIQDSSLDLSTSPSAVSLISTKSSGTVESSSIAFTSMPQSVCSYNHYSTGVTSHQSIIMESNLDEQNELVARSNELFLDAGTRSPSGDDFDEEFAYQLATSGGHVLQMDNGYYDELQMDDMDNDYESHKVNPDAEPHDVTSVSSCSLQTAFDHTVSEAVRLEKKEDTHTHTHTRNVSDDCEASSSSLYVAQDVPEPVDFENNGVLWLPPEPEDEEDERGSLLFDDDDDDGDATAGEWGSSSNLGSGECRNREKSNEEHKKAMKNVVDGHFRALVSQLLQVENLLASEEDEKDSWLEIITSLSWEAASLLKPDTSKGGGMDPGGYVKIKCLASGRRTDSIVIKGVVCKKNVAHRRMASRIERPRFLILGGALEYQRVSNHLSSFDTLLQQEMDHLKMAVAKIDAHQPDVLLVEKSVSRYAQDYLLAKNISLVLNIKRPLLERIARCTGAQIVPSIDHLSSQKLGCADMFHVERFLEEHGSAGQGGKKLVKTLMYFEGCPKPFGCTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLNTTLTVALPDKASCIDRSISTIPGFTTPVSEKPQVNVNVNINHSYPEPQQVNSVSMSEMFSTIINQKSEAKQFPLEGMVDTTTSRALGQINTHLVGDCFEPPQAQGEGSLSHAASDASSLQLDVKNGKEDSATSKEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDDLFDQGYSCRSCEMPAEAHVQCYTHRQGTLTISVKKLPEFLLPGEKDGKIWMWHRCLRCPRTSGFPPATRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASIDVHSVFLPPHKLDFKYENQEWIQHEVDEVVYWSELLFSEILNSLSRMAEKKNGHGTGSVNGVTRIPQLRRQIADLEVMLRKEKTEFEESLKKILHHEVKKGQPIIDILEINRLRRQLLFQSYMWDHRLVYASNVDTNSPRSNLNDFESEHVDINNNNKVVDSCDVSDFGDIQNHENVEVDINQSLNQSPTEENEPSLFSEPSISSNPGVRRAISEGQFPVMASLSDTLEAAWTGNLQKDTTSVLSESDLTESSLLEKGVEEQSCGTKTSLVSPVFSNKGSEIMEDSTSWLGMPFLNFYRSLNKNFTTTSEKLDTLNGYNPVYISSFRESELQGGARLLLAVGVNDTIVPVYDDEPTSIISYALISPDYIAQVSDDDSIYSQSADSSAFQSFSNFDGMALESFRSLGDDGILSISMSGSRASLMSSDPLSHTKSLHTRVEFTDDSPLGKVKYAVTTYYAKRFEALRRICCPSEMDYIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSESIGTGSPTCLAKILGIYQVVTKHMKGGRETKMDVLIMENLLYGRNLTRLYDLKGSSRSRYNPDCNGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASVDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPTVIRSISQTDLSLSLSEENNTQTQAGD >cds-PLY65379.1 pep primary_assembly:Lsat_Salinas_v7:6:41924302:41924839:-1 gene:gene-LSAT_6X32301 transcript:rna-gnl|WGS:NBSK|LSAT_6X32301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCCSDLKLTNLGTGPWTEKGLATLLESLSGADNQWQIVFLAAAIARKPQEPLVIEEVIVAAPKPREVRIKIICTSLCHSDINYWKLERPPAIFPRHQN >cds-PLY67960.1 pep primary_assembly:Lsat_Salinas_v7:2:12828940:12830029:1 gene:gene-LSAT_2X5601 transcript:rna-gnl|WGS:NBSK|LSAT_2X5601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFIEFPLFDRTTQNSVISTTLNDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIVMGACGMFSTNSYSTIRGVDNLIPVDVYLSGCQPKPEAIIDAITKLHKKISREIYPDRTMSQRENRCFTTNHKFQVGHSIHTGNYDQGFLYQPTSTSEIPPETFFKYKSSVSSPELGHLSAWLVKHGLIHRSLGFDYQGIETLQIKPGDWHSIVVILYVYGYNYLRSQCAYDVAPGGLLASVYHLTRIEYGADQLEEVCIKVFSPRRDPKIPSVFWV >cds-PLY87160.1 pep primary_assembly:Lsat_Salinas_v7:5:266113724:266115655:-1 gene:gene-LSAT_5X137740 transcript:rna-gnl|WGS:NBSK|LSAT_5X137740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGSTRKSKILLVSASILLIVVGVNSSNIIKSSCSLTLHPDLCYSTLSGVPEKVNTPNDVIGLAVNKTKEIVQRNYFTIKKLTLRTNLTQREKIALHDCLEMVADTLQELNQVIVLLETYPTKKGIRLRRHVDDLMTLMSTTLTNQETCIDGFSHDKHDRQLRESLIDGEINAGRLCSNSLAMIKNMTDTDMANDQVNTIGRKLKEEWPEWLSAGDRRLLQSGTVTPNVVVAADGSGDFTTVSAAVAAAPNRSTTRYVIRIAAGVYRENVDIPSNKLNLMFLGAGRSSTIITASRSVAGGVTTFNSATVAAVGAGFLARGVTFQNSAGPSGNQAVALRVGSDLSAFYQCGMIAYQDTLYVHSNRQFYINCYIAGTVDFIFGNAAAVLQDCDIHARRPNPNQRNMFTAQGRSDPNQNTGIVIQRSRIGATSDLIPVQASFPSYLGRPWRNFSRTVVMQSTISDVINPAGWFPWDGDFALDTLYYGEYQNTGAGADTSNRVTWPGYRVITSATEAGGFTAGNFINGGNWLAGTGFPFSLGL >cds-PLY76487.1 pep primary_assembly:Lsat_Salinas_v7:1:109889259:109895753:-1 gene:gene-LSAT_1X87340 transcript:rna-gnl|WGS:NBSK|LSAT_1X87340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGKKAIGIDLGTTYSCVAVWQHEQVEIIPNEQGNRTTPSCVSFNEVGRLVGESAKNQMNMNPTNTVYGLYFIVFCYRQIEFIKVSSFVIDYYKLDFSDAKRLIGRRFNDTKLQEDMKLWPFKVIKGTNDIPKIVVDYNGEKEFFAEEISSMVLVKLKQVAEAYLGETVTDAVITVPAYFDDSQRQATIDAGLAAGLNVLQIINEPTAAAITYGFDMKTDITHDTNVLIFDLGGGTLDVSIVTINNNGKITVKAVTGDTHLGGQDFDNAMVEHFMMQFNQKHKTDMSVNIKAMGRLRVGCEKAKRALSSTTEITIEIDVLHEGIDFSMEITRAKFEDLNEDLFSKCIQLVEKCLVDAEMNKTEVNEVVLVGGSTRIPKIQELLSDFFNGKELSKNIQSDEAVAYGAAVLTAKLSGENSQKVKNLVLLDVVPLSLGVSIRDGSSSVIIKRNSPIPVKQERIYVTSVDNQSAITFDVYQGERSRAIDNDWLGKFQVAVPVEVKGKSRVNVVFEVDVNGILNCSAEELTSGLKKKIRISNDKQRLSKEEIEKMMKDAEKYKLDDEENIKKLLAHNVLEEYIYDVKAKIKSIGSIDNTKIPKEELEKMENAITSANQILDLHKLVDVDNYEKTLNELEKVCVPIIGQLV >cds-PLY98400.1 pep primary_assembly:Lsat_Salinas_v7:5:316047088:316047563:1 gene:gene-LSAT_5X173240 transcript:rna-gnl|WGS:NBSK|LSAT_5X173240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEISKEQQQQQHHHQTAVNPPNPDGSKKSPVGKRKEDECLLLKLGGSEEAATRPSKRVRSGSPGSGCVGNYPMCQVDNCKEDLSTAKDYHRRHKVCEVHSKAGKALVGKQMQRFCQQCSRFHPLSEFD >cds-PLY70990.1 pep primary_assembly:Lsat_Salinas_v7:9:70583031:70585864:-1 gene:gene-LSAT_9X59640 transcript:rna-gnl|WGS:NBSK|LSAT_9X59640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYKTVAVLAIVFLSTVSSARVVPLPIIEKPDGVANLFDNSKYGLLQLSNGLAQTPQMGWNSWNFFACNINETLIKETADALISSGLADLGYNYVNIDDCWEALTRDIKGQLVPDSKTFPSGIKALADYVHGKGLKLGIYSSAGVFTCQVRPASLFHENDDAATWASWGVDYLKYDNCFNLGIKPIDRYPPMRDALNSTGRTIFYSLCEWGEDDPALWAGNVGNSWRTTDDITDTWESMTSIADLNDKWAAYAGPGGWNDPDMLEVGNGGMSYLEYRSHFSIWALMKAPLLVGCDVRNMTKETLEILSNKEVIAVNQDPLGIQGRKVKVSGTDDCLQVWAGPLSGNRFAVVLWNRCSEAETIQVSWDTLGLDSSITLSIRDLWKHEDVVTDAVGSFGVAVDAHSCEMFILTPKLSHFKI >cds-PLY80494.1 pep primary_assembly:Lsat_Salinas_v7:2:136530860:136533359:1 gene:gene-LSAT_2X67541 transcript:rna-gnl|WGS:NBSK|LSAT_2X67541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METNTTDTEPPSRMHVNENHTHLHHHHQQRHQYHHRDILPESTILVVVIPIVLVILLLAISLIVALLRRVKSLKPNGNTKHNQNCMFISHSIITFDGGPDGKRGCIYGGSPCSLPLATSRGVQVFTYKELESATGNFTQANLVGKGSFGMVYRGVLRDGTVCAIKLLHREGKQGERAFRSEVDLLSRLQCPYLVDLLGYCADNQHRLLVFEYMANGTLHDHLHSSDGRSQALIWGIRMRIALNSAKALEFLHEHTTPSIIHRDFKSSNILLDENFRGKVTDFGLAKIGSDKLNGLISTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRVPIDTNRPPGEHVLVSWALPRLTNRAQVVEMVDPNLHGQYSRKDLIQVAAIAAMCVQTEADYRPLMTDVVQSLIPIVNNLSAGSASSSFRFSKRVSPRS >cds-PLY62654.1 pep primary_assembly:Lsat_Salinas_v7:1:35266712:35270319:1 gene:gene-LSAT_1X30080 transcript:rna-gnl|WGS:NBSK|LSAT_1X30080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHTHFVWSHGGNQVFLCGDFTAWIKYQQMVTVEGSSTTFMTICDLPPGLHKFKFLVDGVWRIDERQPISEDEYGVNNVVLVEQPQLMPQTLLFDDGLPVMDIDSYDDRNHADVASTSGSVPKEVEVELTNDDIETTCRRLFKHFSSYKAYELIPDSGKVFALDDNMTVEEAFLVMHEEGFVIAPLWDATNLQISGMLTPSDFIMVLIELQRNRAMGTNSVHQFSTISAWKEGKLQLQRRPLIKVDPDDSLSDVAIRILQNHISAIPVVYVQQGSTCPQLLHVACLSGLLEHICRHFERRINYLPLLQHSIGGLPLGTWIREIGGVRELRTFPPNYLLSDAYRLLIDERISSVPIVDDKGALIDIFSRSDVISLAKGNAYVHVQLDQTTISQALQLVDKNARARYGICTRSDSLFKVMNLLSDPGMRRVVVVEASNRCVLGLITLRDIFNLIFRDFS >cds-PLY86865.1 pep primary_assembly:Lsat_Salinas_v7:8:49492023:49495833:1 gene:gene-LSAT_8X37700 transcript:rna-gnl|WGS:NBSK|LSAT_8X37700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNRHLFRSAMAANSSKIRSSVRNVQTLSTVRWNTTNAAIADDDKYKGHGMLAPFTAGWQTTESNPLVIEKSEGSYVYDINGKKYLDALAGLWCNPLGGNEARLIAAANKQLNTLPFYHSFWNRTTKPSLDLASELLGMFTANKMAKVFFTNSGSDANDTQVKLVWYYNNALGRPNKKKIIARIKSYHGSTLVSASLSGLPALHQNFDLPTPFVLHTDCPHYWRFHLPGETEEEFSTRLATNLENLILKEGPETIAAFIAEPVMGAGGVILPPKTYFEKIQAVVKKYDILFIADEVICGFGRLGTMFGCDKYNIKPDLVTIAKALSSAYMPIGAVLVSPKVADVIYSQSNKLGSFSHGFTYSGHPVACAVALETLKIYKERNILDQVNSISPMFQDGIKAFSSSPIVGEIRGTGLISGTEFTDNKSPNELFPPEWGIGAYFGAQCEKHGMLVRVAGDSIMMSPPFIMTSNQVDELVSKYGKALKDTETKVEELKSQLK >cds-PLY84890.1 pep primary_assembly:Lsat_Salinas_v7:8:67574526:67579217:1 gene:gene-LSAT_8X48361 transcript:rna-gnl|WGS:NBSK|LSAT_8X48361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSSSSLGPVFSSQLWKYHVFLSFRGEDTRKTFVDHLYTTLVQQGIFTYKDDETLPQGESIGPSLVTAIEESQIAIIIFSKNYADSSWCLDELVHIMKCKDTRDQIVMPIFYDVDPSEVRKQKGKYGEAFAKHELEKNTKVESWRKALVDASNLSGWEPKHIANGHESKCIETVVYTISHRLRPISTSSVDDKLVGVEARMQDLISNLQIGFGGKRMIGIWGVGGGGKTTLASCIYDKISSKFDGCCFLKNIQEESSNKNGLTKLQAEILCDVLKLKQLKLKRVEEGRHMIKDRLQHRKVLIVLDDVDNLEHLEELAGSRDWFGEGSRVLITTRDEHVLTGHKVDVIHNISLLNNDESMKLFCKHAPLGHKTIEDYEQLSKDVVSYAAGLPLALRVLGRFLCEKETNEWRSALARLKEIPDASILEVLKISFDGLKPIEKELFLDIACFFRRGFKIERAILILDACGFHPVIGIKVLVQKALITISEDGTFDMHDLVQELAHYIVRGEYPKNPEKHSRIWNAEDVLTLCAMDATMELDKIEAICGHHFRSDDGCVLRVAANMKKLRWISLNFERLEDGLVETTHPLMPENFPPRELCCLTLCGFHGKELWEGYKYLPNLRMIELHELKTLIKTPDFGGLPNLERFMVYLCFHLEEIHPSFGHLEKLAFVEIDYSRNLKMPPDISRSKELETLTLSGFSSGCLRKLDLSQCNLGDGDIRSAVGWELPNLQQLSLEGNGFVRLSFRFFRLPRLKCLNVSYSYDLVELSELPSSVAVVLADDCTSLETLGDISNCKWLWKVSLLWGEKLAGDIILDSMLRGNAKDYFISINLSNMDIWSGPSALWVDLVKNYNMSLPHDWYNHFSGILMFFQSNKNFYSDPNIAIKVGVHENFQCELGQKSNETLKTHNPDTYVGYVSFSSLRHTGCLNSIYKIISFSLDPEGLYGDGYMFRAVLVPKDDTVQTTKVTTDSSEFWDEEELYERKTFTIQHDSNSSVEFLWHPFSHFFYK >cds-PLY64459.1 pep primary_assembly:Lsat_Salinas_v7:3:15453592:15456930:1 gene:gene-LSAT_3X10960 transcript:rna-gnl|WGS:NBSK|LSAT_3X10960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPPLCVLVTGGAGYIGSHTVLQLLLEGYKTVVVDNFDNSSHVAIHRVQKLAGDYGRNLSFHKIDIRNKPALEELFKSTKFDSVIHFSGLKAVGESVKKPLMYYDNNIIGTLTLLQVMNAHGCKKLVFSSSATVYGWPKEVPCTEDFPLSAANPYGRTKLMIEEMCRDIYASDSKWKIILLRYFNPVGAHPSGDIGEDPRGVPNNLMPFVQQVAVGRLPTLTVFGTDYSTKDGTGVRDYIHVVDLADGHTAALKKLSDPTIGCEVYNLGTGKGTSVLEMVAAFEKASGKQIPIVTAGRRPGDAEVVYASTEKAERELNWKPKYGIDEMCRDQWNWASKNPYGYLTKDEDEAKAKSKSKEK >cds-PLY83875.1 pep primary_assembly:Lsat_Salinas_v7:3:52395624:52400157:-1 gene:gene-LSAT_3X39221 transcript:rna-gnl|WGS:NBSK|LSAT_3X39221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISDQTRRQKSREREVSSRYLSPIATPSSPPQSPNHNHTLSNPKQSSSAKHKHTGFIRGLWPSSTSHSSKSQKENPPNTTTTAVTALSDNLGNERKDGVKRSGYSMFLSKQKSCREFRHSESHSSTKSSFKEHRTPVYSGIGKIIPGRSSISSSVSSSSSSKSSDFFDSHQVIQPGRLSVDENALRRRSPFYPMKLDSSTDNSEISDLGSGKNSLASYMSPTLSSMNSGYEVSSKYMNSSITSMHNSPKKCRVKTAMKRANSVSSPHEWDSSPSRPGSLSPIYPSFSSSKPPSSSKGKKNLLHMGLDLIKGKKGGSKLRSSLCSESSKDTIEDIHQLRLLQNSWMQWRYANARAQVVHDNLVVQCENDLLYTRESMEKLRQSVVQKRLQLQKEKLEMKLNLILHSQTKMLEAWGNIERGHIVNVSMMKDYLHAVVCRIPLIEGAKVDLESASIAFRYTSDLVDTIKSVLSSISPMAPNTIITLSELVEIVTLEKSLLQECLEHLRVISVLEQYLDLKKQAYLDDIEGGQGGGGVQNETVDLDKFFHDVENVKQDMMIVENLYKKLQDSNKESKTIQNASKMKQLRSKMDSDFTQVLKHAKNIKGKLEALEKSNLEHRKIAGCGPGSSADRTRTSVVGGLGKKLKIMMDDFQALRVQINDEYKETMGRRYFTITGEKPNDELIDSLIASGKAEDFVQKAIQEQGRGQIVDTISEIQERHDAIMEIEKNLIELHQIFLDMAVLVEAQGQQLNDIESHVAHASSFVRRGIDQLVETKDLQKSSRKCTCIAIGLILSIVFVFILFCSLQLSRF >cds-PLY97845.1 pep primary_assembly:Lsat_Salinas_v7:2:215665977:215668941:-1 gene:gene-LSAT_2X135660 transcript:rna-gnl|WGS:NBSK|LSAT_2X135660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEKTNKEYPHGLRYLPTRMKCDNITAAVMGMSSERKQAFLRMGFGSILQVNITSYLGQLSYYLLDVYDADSKRHVLQNSVIEIIEQTVHDMMGLPSGGEDINELPLCDKGNQILEEWRGQYSCDKFNGEEYLRRIQATRKDSLMFRLNFLTLFVNNFIESMLMGTNQIKVVRNLVLVEDFSKLNWCKYMLDCLGSRKKLWKKDDKSSYYSGPITLLILVYVYNMKYSIKLDKRLPFIGHINGAKLLEIQRLEISLGGFGRQFRDEHDDVDMGDEIGGEEQQMLSFKRDFGDEEAYVVVIEHSYGVILTEKSTMEVALKDGVNEEMIKLLGETELQVYGRKKRMSVISGDNVVRRNIGEAVDYAAGYDDNDKREKRITKKAKIFHSPYIERIVKVGEKLTKDETGICNSVFASKRDDGDEIWDIGTGHLLHQGFSYQFNHGMLLHSKIIDCWAAFLNKMENYKDESSLSRFFFTEDILNELKSEDMKYRLFATLLRIYAKKFDVKPSFRDVALVFFPIVDDGKYYLLIFDLRSSLYYIVDHVKRKGTFKRKYGMAPNLVKKQFCNYLTSQHHPMAKTLTFKAGRVMNISWLVEKAGTECGIYLMRHMESYMGENEGCWECGLTGKMPADVSATIKLRTKYMARLLTAEFNKFKTMIVKDFEAFRKLDILEQNMLLRESAENNKKKKKEKQRVVGKNLEWYLIS >cds-PLY73824.1 pep primary_assembly:Lsat_Salinas_v7:7:68642494:68644526:-1 gene:gene-LSAT_7X48580 transcript:rna-gnl|WGS:NBSK|LSAT_7X48580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNPIPNRHNEAKEDNGENYPLEGAFTWIVKIGLQVNEYPVPPFDFSVQGVTSISTDVHKYGLAPKGTSLALYRSKADCPEPEEMATVSVDGSVLDRIGKIMSYLRLGSSGKILKKKKKDKDVKGKFSAFSGNYDAEKFLKPEREFLPPPPPRSNHSDEIEKVEVEPTVARVEEDDIFVGEGIDYSVPSKDMSQSPLSEDMEESPKRK >cds-PLY74303.1 pep primary_assembly:Lsat_Salinas_v7:9:187940615:187940893:-1 gene:gene-LSAT_9X114960 transcript:rna-gnl|WGS:NBSK|LSAT_9X114960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQNIEIPLPEFTPMDQDFEKPIVEQEVLPSERAQATRSSFEAPELDISKGKSKLCKSEFVDFALLQHRVFDLEQSRTLESVSLKRRTPLRM >cds-PLY90671.1 pep primary_assembly:Lsat_Salinas_v7:6:52555112:52558242:-1 gene:gene-LSAT_6X38561 transcript:rna-gnl|WGS:NBSK|LSAT_6X38561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAVRRPNIDLSNSSPISSIYTKTPQTPTSSTFSCKYRLSSSNFNGYHSKCKVPTPPQASSCLIGLAAAVSVYLDLPTLAESLTIAFPGSRTHEVLYFCIPFHDLVENPAGFGNYNEMFTIVDEVLAAAHQFEMQAKKVAMGRNSSKALASPKVSKTQKKVPEDVNNQENKASQMITSSARKKTRPMHEITFSTIDIPKLLSQVLKIAPFALGLMHIYYLAYYFDLHLQEIRSEELHNGVS >cds-PLY90192.1 pep primary_assembly:Lsat_Salinas_v7:2:15044148:15047254:-1 gene:gene-LSAT_2X7301 transcript:rna-gnl|WGS:NBSK|LSAT_2X7301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRYHKVEKPRAEQPIDENEIRITSQGRMRNYITYAMGLLQEKGSSEIVFKAMGRAINKTVTIVELIKRRIVGLHQITSIGSTDIVDTWEPLEEGLLPLETTRHVSMITVTLSMKELNTSSIGYQPPLPADQVKVGPEFEYEGEGSPTTRGRGRGGRGRGRGRSRGISGNGYAQGGEYDDGGWDGPHDFPRGRGGRVRGRSFRGRGRGNYNNAPASYVDDHYDGGFNQEPPMQGRGRGRGRGPRGRGRGFRTNGPIQSAATVTAGGA >cds-PLY71123.1 pep primary_assembly:Lsat_Salinas_v7:9:82247547:82250681:1 gene:gene-LSAT_9X65760 transcript:rna-gnl|WGS:NBSK|LSAT_9X65760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAVSEREDSSMPTLTSQSSNCQAILNPYKYPKYLQIMVECMKCSFLNKALSTAEEVPRRIVTLAFTTAIVNKANDTVSFELQGGKRTTISKTDFAKLLCLPTEGPYVTPTSEELIDVFNSMGHEPYMKKVSDFKKSRLPAVWSLLFGFILRGLTSRTGGLDAGPKELLSLMYGLYKGVNVDFATVLWNEFVDSIKHSKRATELSAHRFWALIVSQAYEFHKIPIDESEVPKTLIHQISIPTKADQSHSSFVGQIPEEMLSLIKCPSRILDQYRASLIIPYPVRPTPQPLPQEDVQITRVTRMTAQKRKTPFVKVEAVRKSKRVKQPKIVEESVVEEEDEQQHHSDSNFEEDIPITTTQTIVKTSLVETIPISVSTTPDETTLVETVPISEPVFETHISKPISIPEPLTIFEEEEEVDRVVLKAGEGPSFDDVVDDFEMAALGEGLVDSDEEDDEDNNQSMSKRDFKKLNRKLNVVLRSLDSNTQSAQHSNQEKMFADWSVMLSDQNKKIDSLTSGFDLFKAHVNIEINSQMTKVQEVMFNECKKLLDEISKMREENEESLNMVFGDLKSQHENSLKSLNESLTEAKQREITLQNELTKALAHIEFLRSYTSVVNPIVSLSPLKQRGEGESQELNLKIQDIILTSSKGTSSFQPLTSVPVPSSEYALPSTISNTVVFPVSTQFIKSIPFPLSKSLLIGSTAVATMPLLGSEPTSKRKEKMEVLSKEELRQRKTEERNRSQLNFDAEYVKGVAEEEKMEAENRVKLIRSLGFSENIVFDLVPKESYSVINSLEKQFDFPISPRAYGYPIMGPKTNEKVGDLSYNERLVRFYAFVGKPSKYSWSPKMIRSVESVMKTESFEKVFQNFKFVVRRDIMDDITFTIADFPNLNPHDLVVLLKLSKEWGLKPNSVVMQSIKAFLCYYYRDIARTDIVLAGAINQKVKLPNKDAEGIDNIGGGEMVTEPTWGSTYSVRVAGGRSRKVFFRMNEKERFPNNVLEGMIQRIMLNSKNSEPERKKAVDMLRWWLKVRELLLELVPVLFPELKKD >cds-PLY67148.1 pep primary_assembly:Lsat_Salinas_v7:5:291468364:291468672:1 gene:gene-LSAT_5X155261 transcript:rna-gnl|WGS:NBSK|LSAT_5X155261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPPSPDSINSGEFVNLSDLHSIFCDCGDKIIANQIEMQTLKDQVGKDFIVCRVDHISLHHKLEDHERKLKAIALVMGGVMVAMLGMMMVGVKVLMKLG >cds-PLY92830.1 pep primary_assembly:Lsat_Salinas_v7:2:2944490:2955208:-1 gene:gene-LSAT_2X340 transcript:rna-gnl|WGS:NBSK|LSAT_2X340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFISAIISPFVQSLMDPVKKQLGYIFSSTKLVRNMNAKMKQLDGTILDVKKHMERNNISNLEIPARIPSWLEEVEKTKEKAQSIPGTGNGCFNMKMRYRAGRKAFKTMEEMESFIDENSKITWSDAQRPLGKVNSKIASSSSPSDAGAQNHFRSREKSFKEILDFLQQDHKSKVIALCGMGGVGKTTMMEQVKKTAEDKKMFDYVVKVVIGQQINMFSIQQAVADYMGSSLTEMSKEARADRLRITFEKLLEGKRKVLLILDDVWETIELKDIGLSPLPNGFKLLLTSRYENICKQIAVEADPDLKIVRVEVMEEPEAQNFFWKITGALKQHDKELNKIGTKIVRRCGFLPLAINLIAIRLKFEEKVAWRDTLRRLENKNLDYVQESIKISYEYIQEEEEKAIFLLCGLFPDDFNIPIEELTRYAWGLRLLNGVSTLGEARDGTETHVHSLKKANLLMDGDDDDDGCVKMHDLVLDFVLGRVSKGDHPWIINHGDNSKWCSAGMSESCNKISITCRGMFEFPRDFKYPNLALLRLMHGDKSLTFPEDFYKKMEDLEVVAYEKMQYPLLPRSLECSTKLRTLILHQCLLMFDCSAIGELLNLEVLSFAHCGIRKLQSTIGNLKKLKLLDLTGCVNLHIDDGVLINLVELEELYMRVADEEAIRFTDSNRVELAELSNHLSALEVEFFDNNGMPKNMLFTELKRFRISMGCGLVENTDKNMHSFKNTLRLVTNKNELLESSINELFEKTSMLYLEVDGMNDIEEVLVESVHLPQQSFNNLRVLDVIKCENIRYLFTVPIANCLMKLERLTVSECPVLEVLAHSENGGDGVIKFQGLKFLSLKMLPELIGLCNTANVIELPQLVELELDGLPNFSSIYXPEKHLQHLLCPMLIPKLEKLGIWSMDKLKEIWPYQFSSSDEVNACMLTKIEVKECDNLVNLFPTNPMSLLGRLEELVVEECGSIEVLFNIDMSCVGEIEEFSSNLRQIEVSNLGKLRELWRMKGESSSDILIRSFQAVKWIEISSCERFVNVFTPTVTNSDVRTVMKVSIDGRRTWEETRGNIELVQNNQEINVISKAEIISEVDGNIPDVESSIHPKPFHVNHLQILDVRGCKDVEVVFEIESSSNSSSSTDFTTTLHKYNHQPPPLLLPHLKELYLYNMERMSDVWKCNWKKLVIPQNQSQSYSFHNLTTIYMRNCHSIKYLFSPVMGKLLPNLKEVWIVECHGIDEVVSNRDINDENEEIISSTHTNTFSSFPLLEQLYLEDLPSLKSIDGGTRITTTSIHNQFQCSQVGVASWFLCQYSKKIDIRNCPALSRVFPSNVVGQLNKLEELRILECKSMVEIFESKEINKDGVDSTTNVGDGSDDTCTAITLPRSANMTLLQLPNLTILKIKRCEGLEYIFTSSTLESLKQLKELTVAECKAMQVIVKEDTEHTEKSKSIIVFPRLKSLTLADLPDLKGFFLGKNEFKWKALEKVKIYGCPQMMNFTSGHSMTPKLNYIHTELGKHSLDQYGLNFHLTNATQELQELQISDCKSMEVIVKQVEDSETRPTTEVVFPCLKSITLFKLPNLKGFCLGKEAFEWPLLDTLEIKNX >cds-PLY76620.1 pep primary_assembly:Lsat_Salinas_v7:5:223825832:223826341:1 gene:gene-LSAT_5X105561 transcript:rna-gnl|WGS:NBSK|LSAT_5X105561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLEEVDVKLVVLVMVVGVVVLVVKGWRGGDRGGGDGFSGRGDACGGFSDVISVMEGDDHGGGGLGGGGDKGGGSGDGGDGGGEGSNIGVGSGGGYGRMSIGGSDGGGGGDSGGDGCRCIGGGCGGNNNKDDCYGGRVEVVVAGMDVVIAAKVVALAIEVKIVVVLAE >cds-PLY84365.1 pep primary_assembly:Lsat_Salinas_v7:4:215615222:215615782:-1 gene:gene-LSAT_4X121860 transcript:rna-gnl|WGS:NBSK|LSAT_4X121860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSRSMKFLRVRNLRDDDPCPPCDCQDAISVERTAWTDDNVARRFWNCKNSLVSIHFVYLRRICYFELEMYTFSLIATLTLTLVFPFNQSDEGPKCKFFMWKDKEMEEGYYKEQLRKMRFELKMKEEFSEVSKVQNKLVKLQQAMEVDKQVSETQLMELTKQNKILKCGIFVMVIVVISMWLK >cds-PLY87338.1 pep primary_assembly:Lsat_Salinas_v7:4:256373931:256384817:-1 gene:gene-LSAT_4X135081 transcript:rna-gnl|WGS:NBSK|LSAT_4X135081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHDSLIIPSASSISPAVSLAKTDSSVSYYNDYLVDVPSNDRSLREESSSGIRILQQEHESCDGNGGIDSHIWFPPEPEDREADMEGSIGNYEDDDDDEEYGDGMKWGKPSNLSSLREEGCGSFRFREETKKAMDEVMNGKFKALVEHLLTSMGISCSGNDMDTDTDTWVDTVTALSWEAASYLKPDAFEGKAMDPDGYVKIKCFATGSRTQSKVFKGLVFKKHAAHKHMPTKYRKPKLLLIKGALSVSSDGLSSFESMKQENERLDYLIGMIEKLNPNVVLVEKTVSRDIQESILAKGMTLVLEMKLHRLERVARCTGSPILSSDMLLLNDQKLRQCESFYFEKIVEEHAALCDNGKRPKKTLMFLQGCPTRMGFTILLMGSHSDELKTIKCVVQFAVVMAYHLILESSFLVNQRAMLSTTAPNGMAILSTKPVFVYKGLDIPVASESSMETTTSDNLITTIDIPISNGFLQENGPDNLGLEGHSLSSYEPYDPIVLSGLSSLSASLQEVVGYPLFNATPQDQDHEEEEEEEETYLGYNTPLDRTETSSPDTETELLRRNLSLETKDSEERMQRKDDITAVLDSESILVLMSRRNVTRGTTCKQSSFSCIKFYRNFDVPLGKFLQDNLLNQKLLCGTCNELPEAHLSYYAHQNKQLTIQVKRLPSDKQLPGENEGKLWMWSCCGQCKPSNGSLKSTKRVLVSVAARGLSFGKFLELGFSNHSSWEIPSSCGHSSYADYFHFFGSGSMVAMFRYSTVATYSVSLPHWKVEFTNSIERDFLKEEVADVYQEGQSVFAEVENSLKKMEDKFGGSTLNIQGSCKRFSDIKEMLNKEQDEYEVNMKKLSESTSMTKWLYKPLHLNYIQWEVLLRSYIWDERIHSLLSSNLIRVTVDPQTVRESQRPHLQEKDTTTISITENGEPVSDNIKIYTHTPEPREANGLSVQPITTEAVVDDENGGVSSAFSSKSADPKGWMWTPFSHIESIYVKDLHRGYLPKYEASGSSRIHKMITQSQGEEGSKIHFPLGLGTENLLMVSDYEDELSSMIACALAFLKDGNSNTSLEFASDDSKSYESLPKMLSLSSPNWSSFTSMDSDVEDSRFSSFDGLELLDSVAANSRYLHPVISMGRLANRSKYSVACLFAKDFLDLRGQCGLSELDYISSLSRCKHWDAKGGKSKSFFAKTLDDRFIIKEIKKTEFYSFLEFASHYFGYMNQCFKLGNQTCLAKILGIYQVKKRKSGAKHDLMVMENISYGRKITRQYDLKGALYARFNAVVDGTGDVLLDQNFVNDMNVSPLYVNTKAKRNLQRAVWNDTAFLNSINVMDYSLLVGVDVEEKELVCGIIDYVRQYTWDKQLENWVKSFVVPKNQLPTIISPKEYKKRFRKFIDTHFFSVPDDWCSQRPSNRCTLCGSNTPNPKMK >cds-PLY93203.1 pep primary_assembly:Lsat_Salinas_v7:8:109472497:109478593:-1 gene:gene-LSAT_8X74960 transcript:rna-gnl|WGS:NBSK|LSAT_8X74960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable UDP-N-acetylglucosamine--peptide N-acetylglucosaminyltransferase SPINDLY [Source:Projected from Arabidopsis thaliana (AT3G11540) UniProtKB/Swiss-Prot;Acc:Q96301] MALTEGVGGGKEKGLTEDNGFLKATEPSLSVTDSTSTTSPIEMRYEGKDALGYANVLRSRNKFVEALVIYESILEKDKTNVEAHIGKGICLQMQNMGRLSFESFSEAVRLDPQNACALTHCGILYKDEGRLVEAAESYRKALEADSSYKPAAECLSIVLTDIGTSLKLAGNTQDGIQKYYDAIKIDPHYAPAYYNLGVVYSEMMQYDTALNCYEKAALERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGVAYYKRALYYNWHYADAMYNLGVAYGEMLKFEMAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQLALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIVANPTYAEAYNNLGVLYRDAGSISLAIEAYEQCLKIDPDSRNAGQNRLLAMNYINEGTDEKLFEAHRDWGRRFMRLYSQYTTWDNPKDPERPLVIGYVSPDYFTHSVSYFIEAPLIYHDYANYKVVVYSAVVKADAKTMKFRDRVLKRGGAWRDIYGIDEKKVASLVREDKVDILVELTGHTANNKLGMMACRPAPLQVTWIGYPNTTGLPTIDYRITDALADPPDTKQKHVEDLVRLPDCFLCYTPSPEAGPASPAPALSNGFVTFGSFNNLAKITPKVLQVWAKILCAVPNSRLIVKCKPFCCDSVRQRFLATLEQLGLESLRVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMGGSVHAHNVGVSLLNAVGLKHLVAKNEEEYIRSALELASNITALSNLRMDLRNLMANSPLCNGSKFITGLESAYRDMWRGYCNGNVPSLKRIEAFQKQKEDPFGSIKENGFNLAPPHLLSGEENGSKSKQSSSSSGQST >cds-PLY97804.1 pep primary_assembly:Lsat_Salinas_v7:7:120552740:120553635:1 gene:gene-LSAT_7X73420 transcript:rna-gnl|WGS:NBSK|LSAT_7X73420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLPHGNIVHLLSPPQRKKYNSETSSTASATNASSSQQPEVERTYMPSDTSTKTIKKKKTSTKALVKHLLGVVADLTSKKKDEPDTTFREEDDMVNEEEEETSQRKRGVAWYQRTSFTVMQSTPKLKKITKTREKAVKSPEKANEDIVNEESNDVSNHLLLDSLHVASTLDFGKNGI >cds-PLY86836.1 pep primary_assembly:Lsat_Salinas_v7:1:16651069:16652787:1 gene:gene-LSAT_1X14641 transcript:rna-gnl|WGS:NBSK|LSAT_1X14641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAAIYSTIPSASSVLSLELFLCTIVFVSIFAFVLAPGGLAWSLSKPRSKTVIPGPTGFPILGLVFAFTSSLTHRTLAELSKAFNARPLMAFSVGFTRFIISSHPETAKEILSSSAFADRPVKESAYELLFHRAMGFAPYGDYWRNLRRISATHLFSPKRVSGFGVFREKIGLKMVDQVSSSMQHEGIVEVKKILHFASLNNVMMSVFGKSYDDFHVGDGYVLEKLVSEGYELLGIFNWSDHFPVVSWFDFQGVRKRCRDLVSEVNVFVDKIIVEHREKRSENTREEAEVSDGDFVDVLLDLESENKFNDADMIAVLWEMIFRGTDTVAILLEWILARMVLHQDIQSKAQSEIDNVVGHGRAVADSDLPNLPYLQAIVKETLRVHPPGPLLSWARLAIHDTQVGAHMVPAGTTAMVNMWAITHDERVWSEPEMFKPERFMEEDVAIMGSDLRLAPFGGGRRVCPGKVMGLATVELWLAQLLQNFKWVAPTSGSVDLSECLKLSLEMKKPLVCKVEARVF >cds-PLY70522.1 pep primary_assembly:Lsat_Salinas_v7:1:71201838:71205538:1 gene:gene-LSAT_1X61800 transcript:rna-gnl|WGS:NBSK|LSAT_1X61800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIVDRVVHKTNFSSSCRKKIESAPDVLMDILKPVVDEVEEIYWPPRNPNALNLMEKLCIWNINGWEKRKSRNIQSPPGHPSSLVGETKVQFHNDQRHLLVVHESQIAIYDHQLECLKLSYTKFWNRNDAGLAIAELALAMEKHVLESGSIDTVGTVGILELHPGAINSIPSKAQMEIGVVGLSDDVGAGFIAGNSSQTTLPASSELTSTFELPPPSSDESVGPSIEWKPKTIAQSQRSIKVHVAVVPVEAHTPTTVSSASSTNLDSKEDELEKKLKENENVDGEEDHLERPTTSSSNVPENLPTEGDMSSNAGPEYREPKFSNRLIQQAIMLTFIMRVMDVCHLSTQPQSTMEMLNNLLTLLKRSGGSYGPCASTAPVGYNSTSAAANSTSNEDLGGSHSHSQFKETNVYTTGQQSEGPGVWIAGPGPGRDMSGSFYNLPQDAHLLLVDLPELKYLTNRAVVSIQTRSCCIYSD >cds-PLY97627.1 pep primary_assembly:Lsat_Salinas_v7:5:235376619:235378256:-1 gene:gene-LSAT_5X115020 transcript:rna-gnl|WGS:NBSK|LSAT_5X115020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSISAENEQTSVLLVTAHAQGHINPMLRLGKLLASKGLHVTLTTNDLALKTRSSSIGGVHLEYFSDGLPDNHDRLNGNIDTFMISMGKFGPGSLSALIRSSGRKFACLINTPFLPWVADVAAEFELPCAMVWIQACTVFHVFNCFYNRLNEFPTENNLDMTVNLPGLPSLCAEDLPSFVLPSNTMFTFDGILKELFYNLNKYKWVLGNSFMELEKDVITAVNDAGLPFWPVGPIVPATLFGKEDAIDGDLKGLNKFKSTTESNCLEWLDKQHPASVVYISFGTLVFSSKNHIENIATGLKISKRPFIWVVKLPENQETHQFEILQEIKEQGLIVRWSPQTAVLSHPSVGCFLSHGGWNSMIESVTAGVPMIVCPQWTDQPTNAKLVTDIWGIGVKLNKNPEAFFDGEDVARCVEEVLSGPRSEEFRKNGAELKRAAREAVADGGSSDKNIQLFVDAVSSCS >cds-PLY64712.1 pep primary_assembly:Lsat_Salinas_v7:7:135283437:135287846:-1 gene:gene-LSAT_7X80861 transcript:rna-gnl|WGS:NBSK|LSAT_7X80861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERVFSKVRNLDAYPKINEDFYSRTLSGGVITVVSSIAMFLLFFSELGIYLHTTTETKLVVDTSRGEKLHINFDITFPAVSCTLLSLDAMDISGEQHLDIRHDIIKKRIDSTGHVIEERKEGIGGPQIEKPLQRHGGRLEHNETYCGSCFGAEATDDECCNSCEEVREAYRRKGWGMTNPDLIDQCKREGFAQKIKDEEGEGCNIYGSLEVNKVAGNFHFIKSFHQSSIHIPDLLAFQEDSYNISHKINKLAFGSYYPGIVNPLDGVHWFQVSPNGMYQYFIKVVPTIYTNIRGYTIQSNQFSVTEHYKTPEVGRRSLPGVFFFYDLSPIKVTFTETHISFLHFMTNVCAIVGGIFTVAGIVDSFVYHGHKALRKKMEIGKLG >cds-PLY89494.1 pep primary_assembly:Lsat_Salinas_v7:4:150210483:150211778:-1 gene:gene-LSAT_4X91760 transcript:rna-gnl|WGS:NBSK|LSAT_4X91760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRAPPLPLRPTRNVGGLLFITLVHSTLFLFCSSSSIPDCRLSSTGAFANSPICNSLAAIVSVLHVTKFPASELTIGILQVQDSASPKFSIRDKKSKNADDASRLKCGFSMLDAEFFNDNKKVKGSPGHSFHNQPVILYTSLKKNPTHLPDQMPPNVYEQESMKLREKNHVASIAIIITSPQNSIHHFFSFFLIASSSSYSPPTPTPVIIPSQF >cds-PLY85274.1 pep primary_assembly:Lsat_Salinas_v7:3:71195697:71197316:1 gene:gene-LSAT_3X55021 transcript:rna-gnl|WGS:NBSK|LSAT_3X55021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTPLKLLLFLTLFHHLQPHLSASTLPKEALPTKSGYLPVNSSTGSAIFYAFYEAQNSSTTSLSETPLVIWLQGGPGCSSMIGNFYELGPWRVTASVKQNVEHLSLEPNPGSWNRIFGLLFLDNPIGTGFSIASTSEEIPRDQHAVARHLFIAIRKFIALDPLFKSRPIYITGESYSGKYVPSIGYYILKNNPLLPASKRINLYGLAIGNGLTHPETQVATHALHNYNLGLINEKQKTQMEKLQIKAIELTKAGNWSDATGARNNVLGFLESITGLATLYDFRRQSPYRSDWVEEFLKNPEVKKALGVNESMVFEECSDVVGAALHEDVMKSVKYMVEFVVKNTKLMLYQGQCDLRDGVVSVESWVKKMKWEGIEKFLDAEKDVWRVNGVVAGYVQKWDNLSHVVVLGAGHFVPTDQNVNSQAMIEDWILHKGSFAINNAQKLSVDS >cds-PLY86128.1 pep primary_assembly:Lsat_Salinas_v7:4:230722230:230723976:1 gene:gene-LSAT_4X126140 transcript:rna-gnl|WGS:NBSK|LSAT_4X126140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESISLLSSSLHIHLHHKNLISLHLSSINPSIVSFPYRFSSLPSQRLCAVKTSSNGGGSSQQDGTAYRGADFLRKPVVEVNDDVIDSSKSEDSDYEDNGGKRRGEDGWVDWEDQILEDTVPLVGFVRMILHSGKYASGERLSPEHERTILERLLPHHPESEKKIGCGVSYITVGYHPDFESSRCLFIVRKDGEMVDFSYWKCIKGLIRKNYPLYADSFILRHFRRRRHND >cds-PLY69475.1 pep primary_assembly:Lsat_Salinas_v7:6:44481913:44485803:-1 gene:gene-LSAT_6X31581 transcript:rna-gnl|WGS:NBSK|LSAT_6X31581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTYYSWQSAVVLLAILIVPIRCSDRHNISQEQTLLRIQQLLYYPVILSSWNNTTDFCNTVQSSSVTVICYEGVITQLHIINSYKTHQLPEDFSIDTFVTTLINLQSLKVLKLVSLGLWGRMPAKISHLSSLEILNITSNHFNGKIPSEITSLTNLQSLVLDDNNFTGWIPSRIGFLSRLSVLSMKNNSLNGLLPDSLGKLTDLRVLMLSHNNFSGESPDLSDLKNLRVLELGGNSLGPKFPRVPYKITSIVLRENKFTNGLPEELHSFYQLQKLDIALNRFVGPFPTSILSLPSITYLDINGNRFTGLLSEDLACNPGFQFVDLSANLLTGKLPECLVSSKVRNVVYNGNCLSTNDGNETKGQKPISFCRTAALAVGIIPRNHKTSNWTKAALALGITGGILLIGVAFLIFRRVHGKKMEKKPPARVIEENLTSSYSSKLLSDARYVTRVMKLGTVGIPAYHAFSLEELEEATNGFDTSTFMGEGSHGQMYRGRLKDGSYVAIQCLKMKKNHSTQTFTRHIELISKLRHQHLVSALGHCYEFYLDDSSVSRLFLVFEYMPNGTLRDWISGNKGKNLSWSQRIAAAIGIVKGIQFLHTGILPRVFSGSLKITDVLLDQNFGAKISTYNLPLLEKVEKEGYFKSFKETNRVKVSHPEKLDVYDFGVILLEIISGRPICTQKEVECLKEQFQSRMRADDGAMKEMVDVTIRDKCCDQSLITMMEVCNGCLLDDIADRPSVEDMLWNLQFAAQVQEAWHSGHGSPVSSSQPAITER >cds-PLY67897.1 pep primary_assembly:Lsat_Salinas_v7:1:54989729:54990012:-1 gene:gene-LSAT_1X49020 transcript:rna-gnl|WGS:NBSK|LSAT_1X49020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTNNVLSTAQQVWLRKLGGAKPVVDENAGGIISVWRAKRSSSQPSESSGARLKQLKEEEKRKSNKALPE >cds-PLY92633.1 pep primary_assembly:Lsat_Salinas_v7:2:160409772:160410356:1 gene:gene-LSAT_2X84540 transcript:rna-gnl|WGS:NBSK|LSAT_2X84540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTLPIALLLIAAALFFISSTVAADESTTTTAASSSPAPSPSISTFGCDAKCANRCSKAGYQERCLKYCGICCGKCQGCVPSTPDADKAECPCYRDLKNSKGKSKCP >cds-PLY85014.1 pep primary_assembly:Lsat_Salinas_v7:4:222378177:222380787:-1 gene:gene-LSAT_4X120300 transcript:rna-gnl|WGS:NBSK|LSAT_4X120300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELFLPFSATIAAIVFSFILKFLLESIKGKRVKNTEPPQAKGRWPVIGHLGLLGGPELPHRVLGGMADKYGPIFTMKLGVHNVLVVSNAEMAKECFTTNDKVFASRPKSMAVEHMGYNYAILALAPYGDYWRQVRKIMTLEVLSQRRVEMLGPLRASEVKASMEDIYKAWVKNKESGSSDMVKVDMKQLFQNLILNVVVRVVSGKRFSPDDKEGVRFQKVIRKFFVLLGTFVVSDFIPYLKPLDLGGYEKKMKMTGEEMYGIVTGWLEDHKRVRAEEKHAQQHERSQVFMDVLISVVEGAPQDEFRGFDHDTVIKAACLTILAAGLDTTSVTLTWTLSLLLNNPRALKIAQDELDEHVGRKRVVEESDLKNLVYLDAIIKETLRLYPPGPLGLPHESMKDCIIGGYNIPKGTRLMTNYWKIQHDPDKWSDPEEFQPERFLTSHKHVDVKGNNYELLPFGSGRRVCPGIPFALRALNITLATLIQQFVLKKPSNEPIDMSESAGVTISKAIPLEVLLAPRLSLDMYDVGA >cds-PLY97257.1 pep primary_assembly:Lsat_Salinas_v7:1:43866969:43868731:1 gene:gene-LSAT_1X37901 transcript:rna-gnl|WGS:NBSK|LSAT_1X37901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWAGPEDVYLSTSLASYLDKKLLVLLRDGRKLLGILRSFDQFANAVLEGACERVIVGDLYCDISLGLYVIRGENVVLIGELDLEKEELPPHMTRVSDAEIKRAQKVERDATDLKGSMRKRMEFLDMD >cds-PLY99503.1 pep primary_assembly:Lsat_Salinas_v7:4:57088489:57089047:1 gene:gene-LSAT_4X38280 transcript:rna-gnl|WGS:NBSK|LSAT_4X38280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPWRIRATMDEIDVSRRYEFTQLPGRKYIKGKQNYVDLLDNDIFSINNIDEMMEELGHIDSNQTLLYYYFLRPFGALDFGLFALGSDQDVHRVGTYVGNHKLIEVYIEHGKKLYTYSMSPNPSKVKIVEIKEPPTCSKRLFLE >cds-PLY63167.1 pep primary_assembly:Lsat_Salinas_v7:4:311095741:311100657:1 gene:gene-LSAT_4X156821 transcript:rna-gnl|WGS:NBSK|LSAT_4X156821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLSIRIFNAVSSKAMDDPFVVLESASTPVTSPLSVFIDPLETVHKMNKFGSTNVGVSRGVFDFDYIGPFHGFGKHAPAFPTETNNKEKDQSPYKEGLRSSTRRDQTDKSSFRFPETQSEKAHVEDFQDSQETVFDMPPPSDDIWLIVSEIAPFTQTQGQQARLLATLALGDLFQNETLARSTDANLVMYSRSNKRAVAEAGGVQVVLDLIGSGDTDTLIQATMFINLLFSNKTIQEYASSETVRAITAAIEKDLWATGTVHEEYLKALNELFGNFPRLRASEPATLSIPHLVTSLKTGSEATQEAALDALFIMRLACHYEHYLSTKFPFGSYTQVFIDPEMAVSSLSLGASMSIFSSQILYEEKIIDQIVPLKCWRGGLCLSRSIGDRDVGEFIIPVPHVKQVKLSSAGGRLVISSDGVADWLRNQQQHKLSKYGNKKM >cds-PLY82178.1 pep primary_assembly:Lsat_Salinas_v7:1:14000470:14007236:-1 gene:gene-LSAT_1X12161 transcript:rna-gnl|WGS:NBSK|LSAT_1X12161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRHKRLFITSTDIPIDSEINDQSYELSVTEGDQSELCAELFDGSSASGNLSYATSQTPSGSSSFHPKAASLKTVPLLFLELCAELFDGSSASGNLSYATSQTPSGSTSFHGAPLQLLDTPSINIDEDDFFSNHTSEHFTQTSPSAASPSADSPYAASPSGNPNKRAKPSTPRPRAPSASPDPPSCASPKASITADDLALEMQKALRHLTQRPTIPQCLEKLELLELDPIDPI >cds-PLY61693.1 pep primary_assembly:Lsat_Salinas_v7:5:218373495:218374242:-1 gene:gene-LSAT_5X100880 transcript:rna-gnl|WGS:NBSK|LSAT_5X100880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEFLLDIKFVPPEDFNIALLTLELEFVMKWNKEEQIVNQREAASNNIFRHKEFNIQSLGTGGLSNEFADIFRRAISSSVFPPHVARK >cds-PLY90754.1 pep primary_assembly:Lsat_Salinas_v7:3:36033905:36036873:1 gene:gene-LSAT_3X26781 transcript:rna-gnl|WGS:NBSK|LSAT_3X26781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNKTIAVAIDRDKGSQSALKWAVDNLVGKGQIVYLVHVKLKQKPNKSTDFSNDSFLINTDVQLKELFLPFRCFCTRKEIKSQEVVLEDTDVVKGLVDFATHSIIEVLVIGAPSKGGILKRFKTRDAPSTILKGAPDFCTVYVISKGKISATKAASRLPPFISPLHTHIPPEPSTAPAKVSTDKILPIKAMPGPRKSVDLSFPRQSMESPFSTFSNDSNTIKSPFTNRKGPNGKPYGELLPPDLDISFVSAGRPSADNVLFDSDIVGANSRISFSELENPGYDSYNLGRKSVDVISANDMSYSTFDSPRTSLSNNEDVEAEMRRLKQELTKTMEMYSTACKEALTAKQKAMELQKWKLEEQQKIEEARVTEESTTGVAEKENAAESTQRYDARYRRYTIDEIEEATEYFSAARRIGEGGYGPVYKCHLDHTPVAVKVLRPDAAQGKSQFQQEVEVLCCIRHPHMVLLLGACPEYGCLVYEYMSNGSLEDCLLRKNKTPPLSWQHRFRIAAEICSGLLFLHQTKPEPIVHRDLKPANILLDRNFVSKIADVGLARLVPPSIADSVTQYHMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIITARPPMGLSHHVERAIEKGTFAEILDPVVTDWPVEEALGFAKLSLGCVELRRKDRPDLGKVVMPHLQRLRLLADDDTM >cds-PLY62187.1 pep primary_assembly:Lsat_Salinas_v7:6:32244956:32246088:1 gene:gene-LSAT_6X24021 transcript:rna-gnl|WGS:NBSK|LSAT_6X24021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSHMLDSMLVCTKAQQDKKPKPPEDALKCPRCDSGNTKFCYYNNYSLTQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNKRSSSSSSSATSPISSSASALSKIRGPQDHHLNIQQHHQHPLNIITSSNSNNHLLSSGLGHLPYDSTDLSLAFARLQGQANTHLGFDQFDQNDPTSNHNLGFLDAIRGGFLENMHTSNGFHNVLYSGGNLGNGEMGSVGNLIMGLNNGTRNHDQDQEIMNPMFHDHQQHMMNNGSTCSATTAVTMTTVKQEACNMMKSSEFGESRPGGGGGGVLWGFPWQIGGGGGDQGNMAHDVESGRSQIGGWNGISSTAWHGLIDSPLM >cds-PLY75768.1 pep primary_assembly:Lsat_Salinas_v7:3:67633208:67633444:-1 gene:gene-LSAT_3X52500 transcript:rna-gnl|WGS:NBSK|LSAT_3X52500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKHRARQASETGLLMCKKEAIEALPEGLGLREAALVPDLTPFPVNRFMVTLTPPIEGYIEKINEAAKRQSSVKGKLR >cds-PLY72911.1 pep primary_assembly:Lsat_Salinas_v7:1:209135481:209136124:1 gene:gene-LSAT_1X126780 transcript:rna-gnl|WGS:NBSK|LSAT_1X126780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAACLVKDPKKRHSSKKLLKHPFFKHAKTADYLERTILDGLSPLDDRFRMLKAKEADLIVQNKDLYGDKEHLSQINDLDEISNVEDPKTTEQQNGVNGVTLPSEKLSPEIDK >cds-PLY66450.1 pep primary_assembly:Lsat_Salinas_v7:5:320691424:320692435:-1 gene:gene-LSAT_5X176620 transcript:rna-gnl|WGS:NBSK|LSAT_5X176620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEFDGHTKDLYDQQTGTRISSSSSRSTSTTSIHVTALDGLVNVNSLFTIAVFVGLSLTTPGQRSLENRSACDADISVAKKLLVFEVVSFSFFLFSSLVAQGLKLAINLLNSKDADEAFRAHINLKALRFGMLGSAVGSVMGCLFLMLSMVNVIEIRLGMLSCGSRSTVNAVASMIVLVTSALLVYISTAVYAFLH >cds-PLY72174.1 pep primary_assembly:Lsat_Salinas_v7:7:53441485:53442255:1 gene:gene-LSAT_7X38801 transcript:rna-gnl|WGS:NBSK|LSAT_7X38801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATVDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLFSKEATGGEKQFSSQGHQGNFSKNEGSGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIQPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGVNFNGNRQGYIPKSGARFQNQYYGLLLKSISLILYKKGTADLILYKNSRTDTYLSL >cds-PLY68898.1 pep primary_assembly:Lsat_Salinas_v7:2:194207346:194209959:1 gene:gene-LSAT_2X115520 transcript:rna-gnl|WGS:NBSK|LSAT_2X115520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRRYLSSSSSSSILSHFNSLNKFQSSPTHHLCHSRTLTTVDTTTTRDHSYADIPKPPKSKSERKPYPTPMKILVQRAKQEKEARKAQPCKLLEDPPENGLLVPELVSVAHQVYQARESILLGLSKLVNVIPVLRCRHCFEVHIGQVGHEIRTCSGPKSGLRNATHVWRKGMVHDVIYFPKCFHLYDRVGKPRVIHNESRSFKQLPAIVELCIQAGLNLENYPTKRRTRPVYSIEGRIVDFEDTQVDSSLDCSLNSELQPKGDLGNCDLNIREVSSMVLDSWFEMISGAKKMMERYKVHTCGYCPEVQVGPKGHKVRMCKASKHQSRNGMHAWQEATVFDLVGPNYVWHVADVAGEVPLCNDLKRYYGKAPAVVEMCVQAGARVPDEYRSMMRLDVVPPCRDELDLVT >cds-PLY65399.1 pep primary_assembly:Lsat_Salinas_v7:1:60577300:60579590:-1 gene:gene-LSAT_1X51421 transcript:rna-gnl|WGS:NBSK|LSAT_1X51421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTMKSKTILALGFLLFFLILSHPSPTQAQEVEDEREFDYARDGHMGPEKWGEIKKEWSVCSNGTMQSPIDMSSQRVKMMASSNKLFTNYKARNATIKNRGHDIMLEWEGDAGSIRINGTEYALKQAHWHSPSEHSINGRRYAMELHLVHLSTDGKIAVIGVLYNIGKPDHFLSKLMVNITAMIDQKGEHEHSGVINPKEIQMSNRRYYRYIGSLTVPPCTEGVVWTISKKIRTVSIDQVKLLREAVHDYAENNARPVQPVNQRDLRVYGPASTQ >cds-PLY63677.1 pep primary_assembly:Lsat_Salinas_v7:4:131410862:131414031:-1 gene:gene-LSAT_4X82541 transcript:rna-gnl|WGS:NBSK|LSAT_4X82541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3A [Source:Projected from Arabidopsis thaliana (AT2G47620) UniProtKB/Swiss-Prot;Acc:Q8W475] MESSQSHHQFLKPFLPADDHRFEFELYTIPGSSAWFSWDNIHETEKTSLKEFFDGASFTRNPRVYKEYRDFIISKYREDPSRRLTFSEVRKSLVGDVNYLLKVFRFLEKWGLINFSAPSPSSASGGEDNDLVTEDEEGRWKVKVEEGPPHGVRVVAIPNSLKPVSLPTTVSYINGSHLAESDFKMPPLSSHSDVYQELIDLVCGNCKELCESGHYEYTKDKTSIICIKCFENGAYGKNKSLDDYKLIDSTPDNKNNATPWTEAETLLLLESVLKHGDDWDLVAQNVQTKSKLECISKLIQLPFGQLMLGPTYDRFRHQDTNINPNNQKKGQVCPPVPPPQETKDIEPESKIEQNGDADSEAPPPKRICIEPISDSSQIDNKDEQVAPLLVAHEELKEKESQVSDFKIQKQQDGDLEDNFVVKNDCSKVVPNVSHSLMQQVARISAVVGPHVAASAAEAAVTALCEENEIPKEIFDNEENDNEPERISQGNDSEMESEKGVIPLPLRMRATSATALGAAAAHAKLLAIQEEREVERLVSTVINTQLKKLQYKMELLKEVEVIMEKEFSQMAEVEESLVVERMEVVEKAVDGGVSRWRDRTVVKSQVEIIL >cds-PLY99304.1 pep primary_assembly:Lsat_Salinas_v7:7:179693887:179695651:1 gene:gene-LSAT_7X106081 transcript:rna-gnl|WGS:NBSK|LSAT_7X106081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAITRSLFFIILATIVVSGVITQVKAYTSSYCNHESRCPGQYISCPSECPESNSNDPNTKVCRIDCYSPKCKAQCKHNKPECDTPGSACYDPRFIGGDSIVFYFHGKVNEHFSLVSDSNLQINGRFIGHQPTGRTRPFTWIQALGLLFNSHSFSLEAAKSATWDGGIDHLKFSYDGEDVSLAVGGLSSWKSPEGEIEVERTSEVNSVMVNIPGVVEILVNVVPVTAEDDKIHGYNVPSDDCFAHLEVQFKFTGLSDGVEGVLGRTYQPDFKNPAKPGVAMAVVGGEDKYRTTSLLTSDCVNCIYDSNNVAEKENSMIKEHGTLDCSAKGLFRGNGIVCKK >cds-PLY66779.1 pep primary_assembly:Lsat_Salinas_v7:3:67076938:67086608:-1 gene:gene-LSAT_3X52840 transcript:rna-gnl|WGS:NBSK|LSAT_3X52840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQDDEDSVGGDSLLESESEGGLTENLLRRKKTRFVLLRILYLALLGLLPARTHFDLFRGIATMNKDVDDKYSTTIGHTIKVIS >cds-PLY92024.1 pep primary_assembly:Lsat_Salinas_v7:8:241197779:241198587:1 gene:gene-LSAT_8X145561 transcript:rna-gnl|WGS:NBSK|LSAT_8X145561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCKHPTKPYVYTLSGFVIPLKENENVDATYRMLGPVSQQFGSPRKSNRVNEDDDVHNPPEFDCRKQKQIMKEKKKKKKVVDRDIVDADNHIPGPPIAKPSRPARLLKPS >cds-PLY68116.1 pep primary_assembly:Lsat_Salinas_v7:8:34336171:34340246:1 gene:gene-LSAT_8X27320 transcript:rna-gnl|WGS:NBSK|LSAT_8X27320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSSQQSLHKEEHVQRNDSFSSPLLDKQCRRFSLAEIRLATNDFDDAFVIGKGGFGNVYKGRVDFGKRIDVAIKRLNLEYSSQGATEFRAEIEMLSKFRHSHIVSLLGYYEGSTEKEMILVYEYMRNGSLGDHLHKRKGNVSNSSQLTWIQRLNICIDAARGLDYLHTGTGVESRVIHRDIKSSNILLDENLVAKISDFGLSRIGPAKLVGTTNVYTDQIKGTFGYMDAEYFTTQRLTRKSDVYAFGVVLLEVLCGRPALDFTLGEEQHSLSVWAKKCIRKGKIDRIIDPYLRGKTRAKCLKDFGKIAYECLLACSKDRPTMTKVLAKLELVLSWTLQSEMSSRDQKDIGKSIFIEKAWSLFLIKAPIKGRVGARKKLGQSINNIKKGMMMEKHATTFVEGGVATASQQVVLPGKETKIPVLKMFTFSELRSATRNFRPDMLVGEGGFGRVYKGWLDSVTFVPRKAGVGLAVAIKRLNPESGQGFSEWQAEVNFLGTFSHPNIVKLLGYCWENKEFLLVYEYIQRGTLASHLFGTIGVAQGLAFLHTREKSIIFRDEFNVKLSDFGLAKLGPVNGESHVSTRIMGTYGYAAPEYIATGRLYVKSDVYGFGVVMLEMITGLFAVDKSRPNSQHLVEWARPSLNSIKKLQKIMDPRLEQFYPSKGAMEVAKLILNCLEPDPVCRPSMEKVAACLEEINTIKL >cds-PLY70430.1 pep primary_assembly:Lsat_Salinas_v7:1:74191334:74191777:-1 gene:gene-LSAT_1X63201 transcript:rna-gnl|WGS:NBSK|LSAT_1X63201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSNEEILLERPRAPGSKGEEKATGDGLGQLSKGGAVLMVCRTYGKKGDHWTSQNLLPW >cds-PLY66806.1 pep primary_assembly:Lsat_Salinas_v7:3:202891414:202893222:1 gene:gene-LSAT_3X118661 transcript:rna-gnl|WGS:NBSK|LSAT_3X118661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPREQLQVLNALDTAKTQLYHFTAIVIAGMGFFTDAYDLFAISLVTKLLGRIYYHREGALKPGTLPPGVNSSVTAVALVGTLAGQLFFGWLGDKMGRKKVYGMTLAVMVICSLASGLSFGRERRSVMAGLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILASGIVALVVSAAFDHAFSAPSYATNALLSTPVQADYVWRIILMFGAIPAAMTYYWRMKMPETARYTAXKKAASDMARVLQVDIEAEEQKVETITQDKRNSFGLFSKAFLRRHGLHLLGTTSTWFLLDIAFYSQNLFQKDVFTAIGWIPPAATMNAIGEVFRVARAQTLIALCSTVPGYWFTVAFIDIIGRFAIQLMGFFFMTVFMFALAIPYHHWTLHDNRIGFIIIYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAYGFLYASQSADPKKTDAGYPPGIGIKNSLIVLGVINFLGMLFTFLVPEPNGKSLEELSGENEQDDDVEQTTSNRTVPV >cds-PLY92648.1 pep primary_assembly:Lsat_Salinas_v7:2:161389267:161391732:1 gene:gene-LSAT_2X85500 transcript:rna-gnl|WGS:NBSK|LSAT_2X85500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYQRMTWDALRKSINGLVNKVNATNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVVNTKLPEVGDLLLRRIILQLQRAYKRNDKPQLLAAVKFIAHLVNQQVVHELIALELLTTLLENPTDDSVEVAVGFVTECGSILQDLSPRGLHGIFERFRGILHEGEIDKRVQFLIEGLFALRKAKFQGHPAVRPELDLVELEDQLTHEVSLLDKIDPEIALDIFKMDPDFIENEKKYEDLKKTILGDESEEEEEEEEEGGGEDSDEDESSEEEEDEEQMRIRDETETNLVNLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLSEPVMQEDFESIFPRDNPKNTRFSINFFTSIGLGGITENLREYLKNMPRLIMQQKPASESDSTSGSDSSSDSDSDSDSSSESESESDHKSSSKRRKKR >cds-PLY63154.1 pep primary_assembly:Lsat_Salinas_v7:4:312105528:312105984:-1 gene:gene-LSAT_4X157100 transcript:rna-gnl|WGS:NBSK|LSAT_4X157100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIINLTCIAAIDGRLSNVSDCTSTSSISDQEDCTQINNGNVQKSRNWRKLMKKVIEGSKKSIYGSSKPLIFQYDAVSYSLNFDEGNHHDEYYSYGSR >cds-PLY91679.1 pep primary_assembly:Lsat_Salinas_v7:8:12144461:12145717:1 gene:gene-LSAT_8X9361 transcript:rna-gnl|WGS:NBSK|LSAT_8X9361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRWCVTNHRSPAPPLLILAVLSALLLIQPSIAWRPWPHHRNNATELELGGSKKYEGSSEFVKLRYHMGPVLTANITVHIIWYGTWPKAQKRIIREFINSISATSAQSPSVSGWWKTVQLYTDQTGSNISRTVRLGEEKNDRLLSHGKKLTRLSVQSVIKAAVTAKTKPLPISPKSGLYLLLTADDVYVQDFCQNVCGFHYFTYPSIVGYTLPYAWIGNSGKFCPGVCAYPFAVPDYIPGLKPMKSPNGNVGIDGMISVIAHEISELASNPLINAWYAGQDPVFPVEIADLCEGIYGTGGGGSYTGQMLNDRDGATYNMHGIRRRFLVQWVWNHVVNYCTGPNALD >cds-PLY88708.1 pep primary_assembly:Lsat_Salinas_v7:5:69761119:69764725:1 gene:gene-LSAT_5X32561 transcript:rna-gnl|WGS:NBSK|LSAT_5X32561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGNQDDDNAATDDDDDDDGQPFTPWNVSVASGHALLRSPQHNKGLAFSEKERDAHYLRGLLPPAVFTQELQEKRTLHLLRQYQVPLHRYIAMMDLQETNERLFYKLLIDNVDELLPVVYTPTVGEACQKYGSIYRRQQGLYISLKDKGKILEVLRNWPEKNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSVCLPVTIDVGTKNEALLKDEFYIGLKQKRATGEEYNELLEEFMTAVKKNYGEKVLIQFEDFANHNAFELLSKYRSTHLVFNDDIQGTACVVLGGLLSALKLIGGTLSDHTFLFLGAGEAGTGIAELISLEILKKTKVTVEESRKKIWLVDSKGLIVSSRKDSLQHFKLPWAHDHAPVKGLLEAVKAIKPTILIGTSGVGKQFTKEVIEAMASINAKPLILALSNPTSQSECTAEEAYTWSQGHAIFASGSPFDPVMYDGKEFVPGQANNAYVFPGFGLGLIMCGAIRVHDEFLLVAAEALASQVTEEEYAKGIIYPPFKKIRKISAVIAAKVASRAYELGLASNLPRPKDLVKFAESCMYSPTYRHYR >cds-PLY77698.1 pep primary_assembly:Lsat_Salinas_v7:9:18891117:18892469:1 gene:gene-LSAT_9X13940 transcript:rna-gnl|WGS:NBSK|LSAT_9X13940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFMTTSLVFLLTTALLHTATANYGGWQSAHATFYGGGDASGTMGGACGYGDLYSQGYGTNTAALSTALFNNGLSCGGCYEMMCVNDPQWCLPGSVIITATNFCPPNFDLPSNNGGWCNPPLQHFDMAQPAFLQIAKYKAGIVPVSFRRVPCIKRGGIRFTMHGHSYFNLVLISNVGGAGDVHEVSIKGSKTGWQAMSRNWGQNWQSNSYLNGQSLSFRVTTSDGKTTISYNVVGSGWQFGQTFQGDQF >cds-PLY94357.1 pep primary_assembly:Lsat_Salinas_v7:5:170902299:170903768:1 gene:gene-LSAT_5X75081 transcript:rna-gnl|WGS:NBSK|LSAT_5X75081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLTQLVAIAALAFISLQHEAIAQFVPPYSSVVVPVYKHTDAAKPLYSVQIMTTYVNMQFLHANFLIDLDAPFIWHDCILVWNTFAGSCPANTLCTYPVSCEEYQCTDVRTTYSYKNPSCPPVNNGSTLPGWGYCTCPVNVVNPITGSCDNALLDYDEFTVNTSNGKNVFTGLYGVYPNAACAPSSSFQSFPVNVSGVMALSSSPYAFPAYLNEPLQRIMALCLPSTLSSPGVFFYGNSSYYLLPQSNVDIRSFLSYTPLINHPDSFGYFIGLNSIVIKTRFIDIPGNATTKLSTIDPYTTLRTDIYKWVVRRFSLVTKRIPPANPVAPFGLCFNASVNGLRVPDIEFNLQDGKKWSISTANSIKQVTTDVACLGFVDGGATSEPAIVIGTFQFEDNFLVFDLENSSFGFSSSLLRKKTTCANFNFTLTN >cds-PLY99724.1 pep primary_assembly:Lsat_Salinas_v7:9:56014323:56021172:-1 gene:gene-LSAT_9X47401 transcript:rna-gnl|WGS:NBSK|LSAT_9X47401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLRDLSQPIDVALLDATVAAFYGTGSKEERTAADHILRELQNNPDMWLQVVHILSNTQNLNTKFFSLQVLEGVIKYRWNVLPVEQRDGMKNYISDVIVKLSSNEGSFRQERLYVNKLNIILVQILKHEWPARWRSFIPDLVTAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLATLHAFLSWIPLGYIFESPLLETLLKFFPVPSYRNLTLQCLTEVAALNFGDFYNMQYVNMYNIFMVQLQTVLPTTTNIPDAYANGSSEEQAFIQNLALFFTSFYKASICFNWSFYLCMWYMLALFILNHLFHIRVLESTQENINSLLMGLEYLINISYVDDTEVFKVCLDYWNSLVLELFEANHNLENANMMGLHMPLLGMVDGPGTQLLQRRQLYAGPMSKLRLLMISRMAKPEEVLIVEDENGNIVRETLKDNDVLVQYKIMRETLIYLSHLDHDDTEKQMLKKLSKQLNGEDWTWNNLNTLCWAIGSISGSMMEDQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGENEPFVSELLTTLPTTIVDLEPHQIHTFYESVGHMIQAESEATKRDEYLQRLMDLPNQKWVEIIGHARGNVDFLKDQDVIRTVLNILQTNTSAATALGTHFLPQITLIFLDMLNVYKMYSELISSGIAEGGPFASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKGAMIDDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFQALILLSPEQLKLVMDSVMWAFRHTERNIAETGLNLLLEMLKNFQKSEFCNQFYRSYFVLIVQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGSLTEPLWDASTVSYPYPNNGMFVREYTIKLLGASFPNIPASEVAKFVNGLFESRSDLSTFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQKERERQRMLSIPGLIAPNEIQDEMVDS >cds-PLY65685.1 pep primary_assembly:Lsat_Salinas_v7:5:269348667:269349110:-1 gene:gene-LSAT_5X140181 transcript:rna-gnl|WGS:NBSK|LSAT_5X140181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADINTSMANASPIMRIQNLEGPGETSHVTTVVRHQNATIEGVVEEGGATQRSKMVEEWDLDELKTFRLMNNMRRLQREKQLHKEKEWNRVSVHIDQTKDSDEEEEEVLKRCVRNFATVSYSKDDTNSRHIQYSLSNKGKENPIELI >cds-PLY72318.1 pep primary_assembly:Lsat_Salinas_v7:4:60413340:60416997:1 gene:gene-LSAT_4X40300 transcript:rna-gnl|WGS:NBSK|LSAT_4X40300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEFLDLETQDAVRMPWNVLPGTKQEAAQCVIPISAIYTPIKPLPNTTPLLPYSPLRCRNCRSVLNPFSIVDFSTKIWICSFCLHRNHFPHHYQSISDDNLPAELFPQYTTIEYEPQNERPASQAIPQVFFFVVDTCMIEEEIGFLRSGLSRVIGEIPENSLVGLITFGRYVCVHELGFFGRVPKVYVFNGAKEITKDQVLEQMGFFLKKPRPATGVVAGVRDGLSQESISRFLLPAADCEFALNSVLDELQKDPWPVPADQRAPRSTGTALTIAAHLLGVCVPGSGARIMAFLGGPTTEGPGSIVSKALSEPIRSHKDLDKDAAPFFNKAVKLYEGLAKQLIHQGHVLDVFACALDQVGVAELKVAVEKTGGLVVLAESFGHSVFKDSLLRVFQSGDTDLGLSSNGIFEVNFSKDIKIQGILGPCASLEKKGALCSDTSIGQGGTTAWKMCGLDKSTSLCLIFDIVKKESSDVIGQAANNQFYFQFLTYYQHSNGQMRLRATTLSRRWDLVAGFDQEAAAVVMGRLVSFKMETEAEFDPIRWLDKTLIHLCSRFGDYQKDNPSSFVLSPRLSIIPQFVFNLRRSQFVQVFNNSPDETAYFRMVMNRENVANSVVMIQPSLISYAFNSGAEPALLDVASIAADRILLLDSYFTVVVFHGSTIAQWRKAGYQNEPEHKVFAQLLQAPLDDADAIVKERFPVPRLVICDQHGSQARFLLAKLNPSATYNSEAPPTPGGDVLFTDDVSFEIFLDHLQRLAVQ >cds-PLY66396.1 pep primary_assembly:Lsat_Salinas_v7:4:118838822:118842637:1 gene:gene-LSAT_4X74900 transcript:rna-gnl|WGS:NBSK|LSAT_4X74900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLSGVLSRSLSLRPLSSSIVSRGKRSNLEGELRRFGTAAAFEQPITPSVRINYTQLLIDGKFVDSASGKTFPTLDPRTGEVIANVAEGDAEDINRAVSAARKAFDEGPWPKMSAYERSCVLLRFADLVEKHNDEIAALETWDNGKPYEQAAKAEVPMLTRLFRYYAGWADKIHGLTVPADGPYQVHTLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTIVLKTAEQTPLTALYVAQLLHEAGLPPGVLNIVSGFGPTAGAALASHMDVDKIAFTGSTETGKIVQELAAKSNLKPCTLELGGKSPFIICEDADIDKAVELAHFALFFNQGQCCCAGSRTFVHERVYDEFIEKSRARAQRRTVGDPFQKGIEQGPQIDSEQFEKILKYIKSGVESNATLECGGDRLGSKGFYIQPTVFSNVQDDMLIAKDEIFGPVQSILKFKEIDDVIKRANATRYGLAAGVFTKNLDTANRLTRALRAGTIWVNCFDVFDAAIPFGGYKMSGIGREKGIYSLNNYLQVKAVVTALKNPAWL >cds-PLY73446.1 pep primary_assembly:Lsat_Salinas_v7:4:180122573:180123790:-1 gene:gene-LSAT_4X105680 transcript:rna-gnl|WGS:NBSK|LSAT_4X105680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSVRWSKTKPSCLNTEEHHFKTYLRRAKNHQKLDPSACPRYHSILRNESIGIPKASQIEIWISSQFPVAGSEAPIFPLNDLPNDSKWRGHGVVTTDVKNQVQNFVAMAENIVTHEHDELTEALNDLFTNVSTLIKGDLQVSFQLLAIAIDSVLLIVEVFINHMSPFKLNNHFNY >cds-PLY93425.1 pep primary_assembly:Lsat_Salinas_v7:9:59095356:59098903:-1 gene:gene-LSAT_9X52241 transcript:rna-gnl|WGS:NBSK|LSAT_9X52241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSHVPPGFRFHPTDEELVDYYLRKKINSRRIDLDVIRDIDLYKIEPWDLQELCSLGTEEQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIYSKQDLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENATTTQEEGWVVCRVFKKRLPTMRRASEHESPIWYDDHQVSLMPEIDSPNQNNSQSNMLNHNYQYPYGSCKKELDQLQNYQITPDHFLQLPLLESPKLLPTCNSSMPMYGVHINQAGSINYHSSSLTQDKNNNNNNNNNIHDNQDQNVSNSVTDWRVLDKFVASQLLSQGDVSSKENNYSNVKVNSTPIFHSHNDHEESNSELITHLNKQEHELLSVPEDDASNSISSCHIDLWK >cds-PLY99008.1 pep primary_assembly:Lsat_Salinas_v7:5:28736451:28739464:-1 gene:gene-LSAT_5X14401 transcript:rna-gnl|WGS:NBSK|LSAT_5X14401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLESSMSDHEPDDSDTEFVEIDPSGRYGRYKEVLGKGAFKRVYKAFDELEGIEVAWNQIRVSDFLRNPEELERLYSEVHLLKTLKHKNIIKFYNSWVDTTHEHINFITEIFTSGTLRQYRKKHKHVDLRALKKWSKQILEGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRQARSAHSVIGTPEFMAPELYEEEYNELVDIYAFGMCLLELVTFEYPYCECANAAQIYKKVTSGIKPASLAKVKDPEVKSFIEKCIAKQSDRLSAKELLMDPFLRDEHDGMNRPGQSNSNSGPGSGSGSGSNPNSNPPDIARDFTVKGQMKDLNTVFLKLRIEDTTGNVRNIHFPFDTDNDTSIAVASEMVEELDLTDQDVSTIAEMIDAEIRSCIPDWAPRDYDDDQDDADSDSNSNSEVQNQSQSQSPITIKSSTSPGTLALERLPSGRRYWSDSPKGCFSPLRPRDSNASEPVSNDGKTEEEEEDKDDDDDDDYDDDDDDDDNVDTIVEKLEHLLDEQQKEVDELRKKHELVVSDILKKLRPETRQEVANICKFEICEEPEPEPNHEPEPEPEM >cds-PLY65708.1 pep primary_assembly:Lsat_Salinas_v7:5:268494953:268498398:-1 gene:gene-LSAT_5X139581 transcript:rna-gnl|WGS:NBSK|LSAT_5X139581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDTLTSPSPSMWRFHHRRWIPTLFSSYKTLFTLLWIVGFTSVFIWQHNVVEGFLIFRRPLVSRSFPQLRPFSFNLTDFGAVGDGITLNTKAFEDAVSAISKLGKRGGGQLNVPAGKWLTAPFNLTSHMTLFLAEDAVILAIDDEKYWPLMPPLPSYGYGREHGGPRYGSFIHGQHLKDIVITGHNGTINGQGQKWWKKFRQKRLNHTRGPLVQIMWSSDILISNITLRDSPFWTLHPYDCKNVTIRNMTILAPIFQAPNTDGIDPDSCEDMIIEDSYISVGDDAIAIKSGWDQYGIAYGKPSKNILIRNLVVRSMVSAGISIGSEMSGGVSNVTIENILIWKSRRAVRIKTAAGRGAYVRDIKYRNITFDNVRVGIIIKTDYNEHPDKGYNPKAFPVIRDISYRSIYGEGVRVPVRIQGSADIPVRNVSFKDMSVGIAYKKKHIFQCAYLQGRVIGSIFPAPCKNLHLYDEQGRLVRKSNSENASDIDYDI >cds-PLY74195.1 pep primary_assembly:Lsat_Salinas_v7:9:23665236:23666802:1 gene:gene-LSAT_9X21260 transcript:rna-gnl|WGS:NBSK|LSAT_9X21260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSNKTVNQIKAMYVLGDSSVDCGENTLFFPFLLNNLSLHPCDGPPSAILPHLLAKKIGLPYPIPFYSQNGSTEVLLRGVNLGSTGATIMSNPTNSVLQSLNQQLRQAFEIFQLLQLELGQETATNFIQSSTLFYLSFGKDDYIDIFRSKSAKSPGSNETQVSRILVDQMTNAIQYLYGSSVRKFVFMGILPLGCAPRFSQWTNNAVSGGGHSGDRVVCADEINNLILEYNAFLENRIISLNVELADAQLIFCDVYRMMMEIIKNPNAYGFENVNSACCGIGMDNGMSGCMSMNMVCKNPSTYVWWDLFNPGRVVSSLLADSAWSGQLLPDICRPTTLQKLVFS >cds-PLY77572.1 pep primary_assembly:Lsat_Salinas_v7:2:164503016:164504986:1 gene:gene-LSAT_2X87400 transcript:rna-gnl|WGS:NBSK|LSAT_2X87400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEACRFPAAGPLRFPFDPVSEKWSIGRPSNLRFCSSASAELRLFGNGDNQGTQNRMFILGLGFVGEFVAQDLVNQGWIVSGTCTNVSKKARLEKLGFNVHLFDAIEPKLEVINILNQHTHLLISIPPMKDIGDPMLQHAELLRKKLNNQHLQWLCYLSTTSVYGDCGGAWVDENYEPNPESELAKLRLAAEEGWLSLGHDVGVASHIFRLGGIYGPGRSAIDTIIKQGSLSDVQRGRSLKKYTARIHVSDICNALNESIRKPYPGRIYNIVDDDPAPRTQVFSFAHKLINEKWGSEIKKRDENESFSLKLKGEKRVVNTRMKNELGVKLIHPSYKSGLQTISDQMHHL >cds-PLY85842.1 pep primary_assembly:Lsat_Salinas_v7:3:188914499:188914726:-1 gene:gene-LSAT_3X113960 transcript:rna-gnl|WGS:NBSK|LSAT_3X113960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNGLGIGFGRTKQGTIFEPREQPHDITRKNYHVSPDVSSFYVTNFHNSIIPNDLWRTCDCLGKAVDVFIDKKRS >cds-PLY62802.1 pep primary_assembly:Lsat_Salinas_v7:4:28605063:28608623:-1 gene:gene-LSAT_4X19261 transcript:rna-gnl|WGS:NBSK|LSAT_4X19261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSRSSTSSADTYIGSLISLTSKSEIRYEGILYNINTEESSIGLRNVRSFGSEGRKKDGPQIMPSEKVYEYILFRGSDIKDLQVKTSPPVQQPTQPINSDPAIIQSHYPRPTLTPSTLPPTANTNSFLDQTTPNQQLGHSGMPLYQPDGNMASWGPSPPPPNSNSLQMPMYWPGFYPQSMYRPMQYPNFNSPLPGGLPNLPEYSSSLLPTSASSASTLLGSTLPSSLPPVPPLVLTTEPLPNFLQTNVPNTNPVVSTTTITNSNSTPDVNVSIAPVSNNSIESLTPSLVTHGQLLQPGKDTGVSFSSSQSSQAVIHKDVDPTPLSETPVEAQPPILPLPNQPRNAPKANGATYHNHHNYRGRERGRGSGVNGSRPVMKFTEEFDFTAMNEKFNKDEVWGTLGKTSSKDSDEDELQDENYNAALPKLDVKPVYSKDDFFDTLSSNSSDHQLNNGRTRFSEQMKLDTETFGEFSRYRGGRGGRGPYRGGRSRGGGGYYHGRGYNYVNRGRGGRILSRDY >cds-PLY80459.1 pep primary_assembly:Lsat_Salinas_v7:2:136434635:136437857:-1 gene:gene-LSAT_2X67580 transcript:rna-gnl|WGS:NBSK|LSAT_2X67580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine--glyoxylate aminotransferase 2 homolog 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G39660) UniProtKB/Swiss-Prot;Acc:Q940M2] MGVQRNLMNRKTLNHVANAMWVSNLKSNLPSLRRFSSLQPPELPPFDYQPVPYKGPLADEVFEKRKKYLGPSLFHYYQKPLNIVEGKMQYLFDESGRRYLDAFAGIVTVSCGHCHPEILKAIEEQNKLLQHATTIYLHHAIGDFAEGLAEKMPGNLKVVYFVNSGTEANELAMLMARLYSGNLGMIALRNAYHGGSAGTIGLTALNTWKYPIPQAGEIHHVVNPNPYRGVFGSDGIRYAEDVQDHIDHGTSGRVAGFIAETIQGVGGAVELAPGYLKRVYEMVRKAGGVCIADEVQTGFGRTGSHYWGFQTQDVIPDIVTMAKGIGNGLPLGAVVTTPEIAQVMSQKIQFNTFGGNPVCSAGGLAVLRVLDEENRQKHCADVGSHLFGRLKDLQQKHDLIGDVRGRGLMVGIELVTDRKEKTPAKGETGILFEKLRELGVLVGKGGLHGNVFRIKPPMCFNKDDADFLVDALDYSMSKL >cds-PLY86225.1 pep primary_assembly:Lsat_Salinas_v7:8:57724450:57730462:1 gene:gene-LSAT_8X41921 transcript:rna-gnl|WGS:NBSK|LSAT_8X41921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDIPRPSSSGSVYVPPHHRLRSVVTTSAPAPAPAPVPVPVPVPVPAPLPDISSTPHAVLDNKTSFVSSSQSNINNRFTVPYPYLPPHQIIKSQAVAVSQEGPRREFDFSFHPGAYTSDIESWKWKLTTLINSKDKQELVSREKKDRRDYEQISALATSMGLHSHLYAKVLVISKVPLPNYRFDLDDKRPQREVALPEGLQKRVDAHLKEYLSFKAESMKGSQDDLISKTNSNGNIGSDEGFFELPELSSQGKAAIEKLLWRRSVQLQAEQQAWQESSDGWRMQQLRKNLPAYKERNTILNAISQNQVVIISGETGCGKTTQIPQFILESEIDSFRGATCSIICTQPRRISTMSVSERIATERGEKLGGTVGYKVRLEGIKGRDTRLLFCTTGILLRRLLVDRNLKDVTHVIVDEIHERGMNEDFLLIVLKELLPRRPELRLVLMSATLDANLFSTYFGGVPVVQIPGFTHPVHSYFLEDVLETTGYRLTAENQIDDYGQERTWKSNKQFIRKRKSQIASAVEEALGYADFRGYSPQTRDSMSCWNPDCMGFNLIEFLLLSICGSEKPGAVLVFMTGWDDISSLKEKLQANHLLGDANRVLLLACHGSMASSEQRQIFEKPENGVRKIVLATNMAETSITIDDVVFVIDCGKAKETSYDALNNTPCLLPAWISKVSAKQRRGRAGRVQPGECYHLYPRCVFNAFAEYQLPEILRTPLQSLCLQIKTLKLGSISEFLSKALQSPELLAVQNAIEYLKIIGALDENEDLTVLGRYLTMLPVEPKLGKMLILGAILNCLDPILTVVAGLSVRDPFLAPLDKKELAEAAKAQFSRDHSDHFALVRAYEGWKVAEHALGGYEYCWKNFLSAQSMKAIDSLRREFLSLLKDTGLVDGNMVIFNAWSYDENLIRAIICYGLYPGISSIVHNEKSFSLKTMEDGQVLLHSNSVNSRDLRIPFPWLVFNEKIKVNSVFLRDTTAVSDSVLLLFGGSISKGDIDGHLKMLGGYLEFFMEPSLAEMYLNLRKELDELFQYKLLNPKLDLHTHHELLSAVRLLLPEDQSIGRFVFNRQVLYNNSKPYIASVPPPPPPPQQPQTQPPHLLPVSRVESGPGGDNSKSQLQTLLTRAGYPAPIYRTSQLPNNQFRSVCEFNGLQITGHPCHNKKQAEKDAAAEAIDHLIGGTHASMLKKSKTNHN >cds-PLY93026.1 pep primary_assembly:Lsat_Salinas_v7:5:2685882:2687176:-1 gene:gene-LSAT_5X1540 transcript:rna-gnl|WGS:NBSK|LSAT_5X1540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTKVSLLTSVLHHRLHSKSLNFVGSLPHFYPLSHPQIPFTDSPKQQDDQLAVCVHDSRAQVLDQFFCIPISTIHTESSSKHGTDKQDDDDIWLIMKDEARSDVDQEPILSDYYSRSILSHHTMESALSHHLSTKLGSSSLPSRTLHDLFMDVLTGDQDIVGAVKDDLKAVKERDPACLSYVHCFLHFKGFLGCQAHRVAHKLWSQNRKILAVLIQNRVSEVLALDIHPGAKIGSGILFDHATGVVVGETAVIGDNVSILHNVTLGGTGKSCGDRHPKIGDGVLIGAGTCVLGNVRIGEGAKIGAGSVVLKDLPARTTAVGNPAKLIGGKDNPVKLDKIPSHTMDHTSHIEFYDYVI >cds-PLY65076.1 pep primary_assembly:Lsat_Salinas_v7:1:119154340:119158498:-1 gene:gene-LSAT_1X92320 transcript:rna-gnl|WGS:NBSK|LSAT_1X92320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAAKSKEAPVERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARVHIPDERFEWLCQHFKPKSEVSAFLEIHDIAGLVKGAHEGQGLGNNFLSHIRAVDGIFHVLRAFEDPDIIHVDDSVDPIRDLEVITAELRLKDIEFMERRIEDLEKILKRSNDKQVKVEHELCLKVKTLLMEDKDVRLGDWKAADIEILNTFQLLTAKPVVYLVNMNEKDYQRKKNKFLPKIHSWVQGHGGEPIIPFSCALERNLADMKQNEAAKYCEDNKVQSALPKIIKTGFSAINLIYFFTAGIDEVKCWQIRRQTKAPQAAGAIHTDFERGFICAEVMKFDDLKALGSEAAVKAAGKYKQEGKTYVVQDGDIIFFKFNVSNGGKK >cds-PLY79191.1 pep primary_assembly:Lsat_Salinas_v7:8:163988152:163990761:1 gene:gene-LSAT_1X78141 transcript:rna-gnl|WGS:NBSK|LSAT_1X78141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRLPAIVSSEGYVPGVNEPEQMICQYLHMTGSMGCSWFGCDVSTFSLPLLMLQLSMIFIVSHTTFFLLKPLRQSILSCQLIGCIVFIWFVRLDNRLLNKLFPESGKLVLDTVASFGFMLHVFVVGVQIDTNILKSVHRHMVLIGCMSFFLPYAVCILCIVPLPNLIELDELSLHTLPFVAALTSMTTFPAVTSTLSDLNLLNSDLGRLSCSTALVTDSCSYVTTLALTTIGVALERSEWKALTNIFYIICFLFTICFIVRPFILWMAKRIPEGQQIKESQFVMVLVAVLLCGFLSECLGQNASFGGFTMGICVPDGPPFGSAMVNRVDWMATSILVPAKFAICAFKVNLRSLGGDNALASAITEVVITIAYLVKFMSNFLLAMYFNVSSQDAFHFAMIMCTKGIIDVAAFSLIRSNRVVTEQGYSLLILNMLLVTGSTRFLLWHFYDPSARYQSYKRTSILDSEPDDYLRMVVCIHNEDNVPSIINLLQASNPSRHQPIEVITMNLLQLEGRASAILVPSSEVKKIPSAQTRVFQVGNAFNYFRQRNRNSVVVEHFVSMAPYRTMHEDIFTISINKCANIIIVPFHKCWGIDGTIDATFPGIRSVNLKLMEKTPCSIGILVDRGQIGGPKSVLTRRTEVFHITQLFLGGEDDREALALSCRMAQHPYVSLLVVVLRPANADVDQTPDMLHEKKLDNEMIDRFRMECKGRDIFIQQEVVDDGVQTVHLLRAMEEGCDLFIVGRAHSLSGSKLTHGLSERPQCPELGRIGDLLASSEFQFSVLVVQTQPLERIDYDCEQIVFGK >cds-PLY78161.1 pep primary_assembly:Lsat_Salinas_v7:6:110896341:110902306:-1 gene:gene-LSAT_6X69040 transcript:rna-gnl|WGS:NBSK|LSAT_6X69040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKSFIDKINSEFTSSLHFGSPLPGSRTNEFDLVRGVLQILQGFSSCLFYWEKGIGQGYRARKGLYVAHLSQTSLGMVLDQFVYSATCLKLVELRLCEIEDMSGSSRSPPPTLRAFASSASAWLRKLRDIALTEELKMNNSNTGTTPTILGLGNSLTRLCGGAEYLLQIVHGAIPIEGDTPLSSAETAVHILNHLYEKLNDACLVQGGEEDSYRMLLYIFVGCLLPYIEVLDSWIFEGILDDPFEEMFFYANKAIAIDEAEFWEKSYLLRSTQHKKLSAAHVSDLFPLTQKKMSGKVATKTRGQNEYDLVVCPLFLKDIAKEIISAGKSLQLIQHIPITSTLVDRHHEKEGCMTHQLSLSEIFCLSLTALIGHGDHMSEIFWKNDKIVSATSTEKLLVAYSEKIWHKFLVDALNNDMKVEQNLDLVLSSFCPENPTITVCQKVLQAKANTHDWNSLNLSKNYILPPLNDDKLRKAIFGGMGEDFMTAKGTNYACGFPFGDSRSQHGIKVVESLFPFPTILPSFKEELMMSEVLPFQKNSTLVSKVLGWIQTVEPKSTPLPVVILRECLTFYIKKQVDHIGNQILSKLLYDWKLMAELGVLRDVYLLGSGDLLQHFLTVVFNKLDKGESWDDDFELNTVLQDSIRNSADATVLSSPDSLVVSLTKSDGDAQQTTTTTSSSSFIVSSPRKNHRAQVSGINDLDSLNFTYKVSWPLELIANAETLKKYNQVMNFLLRVKRAKFVLDKARRWMWKERGTTTVNRKHRWLVEQKLLHFVDAFHQYVMDRVYHSAWRELCEGMAAAGSLDEVIEVHEAYLLSIQRQCFVVPDKLWVLIASRINSILGLALDFYSVQQTLSSGGAVSAIKARCDKEVDRIHKQFDDCIAFLLRVLSFKLNVGQFPHLVDLVTRINYNNFYMSDTGNLITAPGSDSINFKLAKTL >cds-PLY78254.1 pep primary_assembly:Lsat_Salinas_v7:3:88023226:88026306:1 gene:gene-LSAT_3X67061 transcript:rna-gnl|WGS:NBSK|LSAT_3X67061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein 5 [Source:Projected from Arabidopsis thaliana (AT5G17290) UniProtKB/Swiss-Prot;Acc:Q9FFI2] MQGGEKEAQRYVWDGAIPLQVHLHDSEVTTLPPPPPALILAPRIGYLPLLSSQLKPFFSGALPPGVDTIWFEYKGLPLKWYIPTGVLFDLLCAEPERPWNLTVHFRGYPGNILIPCDGEDSVKWSYINSLKEAAYIIHGSSKNVMNMSQPDQVELWRSVMNGKLDTYNRISSKLKLGIIEDDFSEKVNPSLKAPHTVNETEATTTLKTSRIPVRLYLCNTNQDFENLETVPPFETWDQISYINRPVEINKEGKYFTLYDAVKSILPEFFHPADEEEGKDGVIKLVRIQGIEPKMEIPFSWVVNNLMNPDYFLHICVYINAGRPMGT >cds-PLY64871.1 pep primary_assembly:Lsat_Salinas_v7:3:17556718:17559740:-1 gene:gene-LSAT_3X12541 transcript:rna-gnl|WGS:NBSK|LSAT_3X12541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLETLKYLIGSAGPSGYGSKSTAEQVTDNCVDLRTSTAIITGATSGIGAETARVLAKRGVRLVIPARNLKAAEETKARITSEFPDSKIIVMRLDLSSLKSVRSFVSDFEALDLPLNLLINNAGKFAHDHGISEDGIEMTFATNYLGHFLLTKLLLKKMIATAKSTGVQGRIVNVSSGIHTWFSGDLIGSLGQLTRDKSHYDATRAYAISKLANVLHTKELARRLQEMDCNVTVNCVHPGIVRTRLTREHEGFITDFVFFVTSKLLKTIPQAAATTCYVATNQKLENVSGKYFSDCNEASNSEMGCNRKEAARLWSFSEIMVSTNWKDVFAPFR >cds-PLY83839.1 pep primary_assembly:Lsat_Salinas_v7:3:53029402:53031462:1 gene:gene-LSAT_3X41481 transcript:rna-gnl|WGS:NBSK|LSAT_3X41481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protochlorophyllide reductase C, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03630) UniProtKB/Swiss-Prot;Acc:O48741] MALRAASLLPSAISVHKEGKLNSSLKESSFNGAFVSKNVKSDFNSLVIGTKELRRKSSFGPIRAQTATVAPSVNDAVADGKKTLRKGNVIITGASSGLGLATAKALADTEKWHIIMACRNFLKAERAAKSVGITKENYTVMHLDLSSLESVRQFVDTFRRSGRPLDALVCNAAVYLPTAKEPTYTADGFELSVGTNHLGHFLLARLLLDDLKKSDSPQKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNSSAMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRYHEEAGVTFSSLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSDSSLTKSGVYWSWNKNSASFENQLSQEASDAEKARKLWEVSEKLVGLA >cds-PLY72544.1 pep primary_assembly:Lsat_Salinas_v7:2:138756669:138758460:-1 gene:gene-LSAT_2X66460 transcript:rna-gnl|WGS:NBSK|LSAT_2X66460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSITFQNLVTRHSHARISLRLNSPNLKTPNFDITVLPHYQTLNTRLVIPLKLRSIHTERHSSIRALESDGVVDKQYIVAADTFNIDAFLSIAEFFCLASSAVLSIGFVINSTFSTSQKPVLEWFGNRVTVWQSLLLVVGIVIGATIRRRQWRRICLGFSKPGSSGVNLVERIEKVEEDLRNSATIIRVLSRQLEKLGIRFRVTRKSMKEPIAQAAELAQKNSEATRALAMQEDILEKELAEIQKVLLAMQDQQQKQLELILAIAKSGKLFDNSKPVVAPQDQKKAETSPLTGKPDGKR >cds-PLY63498.1 pep primary_assembly:Lsat_Salinas_v7:9:137564936:137570472:-1 gene:gene-LSAT_9X88160 transcript:rna-gnl|WGS:NBSK|LSAT_9X88160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPIATKVAVIGAGISGAVCASSLAKNGISVTIFESARGPGGRMSRRRETSEDERELYFDHGAPYFTSTNNDVEEMIRGWEARGLVAEWKQNFGSFDFNTKKFVNFEKEGLSKKYVGIPGMNSICRALCQEPGIESKFGVMVGRLEWLENEDSWSLTDVNGQDLGMFNGVVASDKSTFSQRFTQVTGKPPPLDLNVIPEISDKIKDVPVKPCFALMLAFHNPLSSIPLKGFSFENSELLSWATCDSCKPGRSTSSERWVLRSTEKYAEGIIGKAGLEKPSNAALAEIAEQLFQEFQRTGLDVSLPFFKKAHRWGSAFPATSIARDEKCIWDRRKKLAICGDFCVSPNVEGAISSGLAAASMFSESFSCL >cds-PLY68758.1 pep primary_assembly:Lsat_Salinas_v7:2:211353603:211355390:-1 gene:gene-LSAT_2X131641 transcript:rna-gnl|WGS:NBSK|LSAT_2X131641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGQKIYPGRGIRFIRSDSQVFLFANSKCKRYFHNKLKPSKLTWTAMYRKQHKKDIAQEAVKKRRRATKKPYSRAIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVVSKQKTQGKSGNVAKGGKGPKIGGGGGKR >cds-PLY90335.1 pep primary_assembly:Lsat_Salinas_v7:2:199314937:199318012:-1 gene:gene-LSAT_2X120461 transcript:rna-gnl|WGS:NBSK|LSAT_2X120461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITNDPLSSWNDSFHFCEWSGVSCGKRHRRVTDLRMISQGIEGSLSPHVGNLSFLRSLIIWNNSIQGTIPHELGRLSRLRILDLGYNKFNGLIPTNLSRCSNIEKLGLYHNQLVGSIPKEISFLSKLTIVIVENNNLTGGIPAVLGNLTSMEYFSATRNPLGGTIPDTLGRWKSLTTLYLGLCSLSGTIPHSIYNLSLLTNFSLAGNQLTGSLPSAIGSMLPHLVLLQLWGNQLNGPLPPSISNCSKLEQFELIYNNFSGKLTIDFAKLKDLRVVTLGGYIGSNISELGEGDDMTFIDTLKNCSKFEVLQIYNCKFQGVLPTSIGNLSHRFYHLHLEGNNLYGYLPSSIGNLVGLDTLVLSRSWFTGKIPSTIGMLKKLQVVYLYDNQFSGPIPDSIGNLSLLINLDLGSNRLEWRIPSSLENCHHLLQLQLDHNNLSGKIPKQLVQLSSLAIVLNLSRNNLIGSLPIEVGQLKMLNALDLSDNNLSGNIPNSLGGCTSLEFLSLKGNLFQGTVPSSLSSMRGLSILDLSHNNLSGQIPHFLERISFVYVNLSFNDFEGEVPVIGMFANASAFSVLGNSRLCGGLAELGLPKCNETHDKHKKNFPLFAIFILIASILFTILCFAYVWCKKRKSQPSPASRDERFMKVSYAQLLKATNGFSEDNLIGEGGFSSVYKGVLDHDDTIVAVKVLHLQNRGAHKSFIAECEAWRNIRHRNLLKIITSCSSVDYQGNDFKALVYEFMPNGSLHDWLHSSASTSRLNLFQRINILMDISSALDYLHNHCLPTIIHCDLKPSNVLLDDDMVAHVGDFGLARFLGTNSGQNSTSGIRGTIGFAPPEYGVGSEMTSSGDVYSFGILLLEVMTGKKPTDNIFNEGLSLHKFADMALPDHVTDVIDDDLLNLLQEEVIATQCTEAKAKKIEECMALTVKIGVSCSMDSPPLRMNIENVVRDLQHILDMLQNM >cds-PLY98330.1 pep primary_assembly:Lsat_Salinas_v7:7:172057216:172058018:1 gene:gene-LSAT_7X102580 transcript:rna-gnl|WGS:NBSK|LSAT_7X102580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFHRFYETWYEQLHHQIHHLTKFPRPPTTDDDHHQLTQVVDKTMSHFSEFYRVKSLAANQDVLSIFSARWSTTLERSLHWIAGWRPTTAFHLIYTESSILFESRILDILHGIRTGDLGDLSPAQFTRVSELQCETVQQENAITDQLSEWQACKFYIFCFSLHFCSKKDEASELIGGSCGDLDKKIGRLVQIVEKADELRLRTLKAVVELLTPQQAVEFLIAAAQLHFGIHRWGLNHDRERAGK >cds-PLY98645.1 pep primary_assembly:Lsat_Salinas_v7:1:39075196:39078610:-1 gene:gene-LSAT_1X33541 transcript:rna-gnl|WGS:NBSK|LSAT_1X33541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Periodic tryptophan protein 2 [Source:Projected from Arabidopsis thaliana (AT1G15440) UniProtKB/Swiss-Prot;Acc:Q8VYZ5] MNYRFQNLLGAPYRGGNAIVVNNTLLISPVGNRISVTDLVKSETITLPCQASSNISRIAASPDGVFLLAVDDNSRCLFINLRRRAVLHRIAFKNPVASAKFSPDGSFIAVASGKLVQIWRSPGFKKEFFPFELVKTFANCNDKVTALDWSPDSDYVLAGSKDLTVRLFCLKKLSGSNKPFLFLGHRDIIVGAFFGIEKKTKQVSRVYTVSRDGAIFSWSYTEKDEIPSPGTPEQTMEVEQTSNVKKRKNSDAIIDEETSTLLHTAKWELSKKNFFMQTPAKLTSCDYHRGLEIVVVGFSNGVFGLYQMPDFVCIHLLSISREKITTATFNDLGNWLTFGCARLGQLLVWEWKSESYILKQQGHYFDVNTLAYSPDSQLLATGADDNKIKVWTVSSGFCFVTFSEHTNAVTALHFMASNHCLLSASLDGTVRAWDLFRYRNFRTFTTPSSRQFVSLASDQSGEVICAGTLDSFEIFVWSMKTGRLLDVLSGHEGPVHGLMFSPTSAILASSSWDRSVRLWDVFDGKGSVETFTHTHDVLTVVYRPDGKQLACSTLDGQIHFWDPIDGLLMYTIEGRKDIAGGRLMSDRRSAANSTSGKCFTTLSYSADGNYILAGGSSKYICMYDVADQVLLRRFQITLNLSLDGVLDVLNSKNMTDAGPLNLIDDDNSDVDDGVEKQTRVKSGYDLPGSMPNRGRPIVRTKCLRIAPTGRSWAAATTEGVLVYSMDDNFIFDPTDLDIDVTPEAVEAALNEDQPSRALILSLRLNEDELIKKCIISIAPADIRVVVASVPFKYLNRLIMAFAELLENCPHLEFILRWCQELSKCHGHSIQQNSRNLLPALKSLQKAITRLHQDLGDTCASNEYLLRYLCSTSSKP >cds-PLY63073.1 pep primary_assembly:Lsat_Salinas_v7:8:76197498:76203912:1 gene:gene-LSAT_8X54481 transcript:rna-gnl|WGS:NBSK|LSAT_8X54481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G02570 protein [Source:Projected from Arabidopsis thaliana (AT4G02570) UniProtKB/TrEMBL;Acc:B9DGE3] MKMAVETVVDQRMFERCNVVVAEEMRPECVDGFSELSALLWNQDVVRVAGTTHIKDVEDAMTGVIMTMSQMKTIELEEGWSFMQTGIQKLKKILEGLPEPQFSSEEYMMLYTTIYNMCTQKPPHDYSQQLYDKYKEAFEDYIGSTVLTSLREKHDEFMLRELVKRWANHKVMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRESVYEEAKGKAKDAVIALIDQEREGEQIDRALLKNVLGIYVEIGMGQMDFYEKDFETYMLVDSAGYYSRKASNWIVEDSCPDYMLKAEDCLRREKERVAHYLHSSSESKLLERVQNELLVVYSSQLLEKEHSGCRTLLRDDKVDDLSRMYRLFSKIPKGLDPVANMFKQHVTAEGMTLVQQAEEAASNKVFVRKIIELHDKFMAYVTDCFMNHTLFHKALKEAFEVFCNKIVAGCSSAELLASYCDNILKKGGSEKLSDEAIEETLDKVVKLLAYISDKDLFAEFYRKKLSRRLLFDKSANDDHERLILSKLKQQCGGQFTSKMEGMVTDLALAKENHSQFNDYLSGNAFANPGIDLTVTVLTTGFWPSYKSSDLSLPAEMVKCVEVFKEFYQTKTKHRKLTWIYSLGTCNVNGKFDQKTIELILGTYQAAALLLFNASDRLSYSDIKTQLNLADEDVVRLLQSLSCAKYKILIKEPASRTVSETDSFHFNSKFTDRMRRIRIPLPPVDERKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITREYLERDKENPNLFKYLA >cds-PLY69389.1 pep primary_assembly:Lsat_Salinas_v7:8:214919655:214924864:-1 gene:gene-LSAT_8X136401 transcript:rna-gnl|WGS:NBSK|LSAT_8X136401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELKVKVERVEDKEMSEPVSPTGQYFNSSVLSISVISILEFDNPIDDSSSLALINDVFLPINPRFSSIMVENKEGVKHWKRVEVKAEDHINVPCFPEGLSPKSYDHYFNDYLSKMAMDPLPQTKPLWEIHVIKYPTSNASGSVVFKLHHALGDGFSLMGALLSCLQRADNPSLPLTFPNFQKAVKPDNEEKSLISVVPQAISGALNTVMDFGWSVLKSSFLEDGRTPIRSGEEGVEFKPIDIMTMTFSLDQIKQIKSRLQVTINDVVTGMIFLGTRLYMEATNEESRNAMSTALVLLNTRSIGGYKSVDEMVQNEEAQIQWGNQFGFLHVSLPELHEHDHSLNPLKFVQETQNTIKRKRNSSGVYLTGMLLDSVRKYRGPEATAEYIHSTLKNSSMTVSNMIGPLEKMTLSNQPIKGLYFMVLNVPQSLTVTVMSYMDQLRIAVGTQKGFIDHVKFRTCIEKAFSMMSDAALQSK >cds-PLY98094.1 pep primary_assembly:Lsat_Salinas_v7:MU038094.1:130973:132808:-1 gene:gene-LSAT_0X22620 transcript:rna-gnl|WGS:NBSK|LSAT_0X22620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:N-alpha-acetyltransferase MAK3 [Source:Projected from Arabidopsis thaliana (AT2G38130) UniProtKB/Swiss-Prot;Acc:O80438] MEETTTPDGSIVDESEIEYVSYAGEHHLPLIMRLVDEELSEPYSIFTYRYFVYLWPNLSFLAFHKGKCIGTVVSKMGEHRNTFRGYIAMLVVLKPYRHKGIATELVTRSIKVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLFRYYLNGVDAFRLKLLFPHPELPPSHPYSHSNTVMSSVLESYEAHELGP >cds-PLY67665.1 pep primary_assembly:Lsat_Salinas_v7:4:3653777:3654479:1 gene:gene-LSAT_4X3481 transcript:rna-gnl|WGS:NBSK|LSAT_4X3481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPIVLTQIATGLSVLAGAALLKSVMDRNPMMGPGSDSGSGQRCSSCNGTGRVSCLCNRWSDGDRGCRTCAGSGRMACNSCGGSGTGRPLPVRISVRPPNQPY >cds-PLY72634.1 pep primary_assembly:Lsat_Salinas_v7:6:48238483:48250660:1 gene:gene-LSAT_6X35180 transcript:rna-gnl|WGS:NBSK|LSAT_6X35180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTASRSRLLSPAFPSEYGLSFPLHLGLIHEYGIQKIGTLKKKWTLCTCLCCLCSNEEKAKDVFEGVFCVSWWYIWMFRNKIIFGNFLPERDRIFDDIVSRSYFWFSDKSNGNIDWIMTLQNPYLAFLAGLSIDIEVVPESTSNISTVGLRRTSVIPPEFFYYCHAHPSVVAYTVIICSDSHFHSFTSLQNSRKTELVEIHATNPRFHVLFIPGNPGVVSFYTDFLESLYEQMGETASITGIGYISHSEKDWEHGRLFGLKEQIDHKINFIQQELQTIEVPLILIGHSIGSFMSLEIYKRIPEKVAYIFALYPFLAVDTKSQQQSIIKKISRSQLTSNVISAIVALFGFLPIWASRFIAKISLGKSWSTTALDALCTRVLKLVKVPDWDFMREKRNRIAFLYGDDDHWAPLHMHDEVVKQVPNAIIDVEKEGHTHAFSCTMVGSVWVARHVATLIKKTLI >cds-PLY64781.1 pep primary_assembly:Lsat_Salinas_v7:2:101903300:101903539:-1 gene:gene-LSAT_2X46400 transcript:rna-gnl|WGS:NBSK|LSAT_2X46400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVVGDMRSGGCCVDLGVQRDNSVFWSRFEAEKHRRGKRRRRKAEGGGGVLMEVGMKIKRENGVEGKNIGVGGGFMTGM >cds-PLY91399.1 pep primary_assembly:Lsat_Salinas_v7:3:56321603:56324461:1 gene:gene-LSAT_3X43881 transcript:rna-gnl|WGS:NBSK|LSAT_3X43881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSSSQLSPNPSYRCSFLPSSLAFFSLSSLFPSPSISGHVFTNSMKRTRALNHISINVIKLFLFVLQRFFALQYLQKSIPKLTRVNRRSKLTLKDNHVSALCLFSASITVSSSFIFSVFHQILHYLEIVRPHQLLEQMVCTAFRTAADTLNQNSFGGLKNMIDQLYITIASALKPLQANKLRGDMEIIQDVKRLYVVFEHVEKLLTLGSGSGNQWSHQQGEIETHRILLSDK >cds-PLY94564.1 pep primary_assembly:Lsat_Salinas_v7:9:202814494:202821002:1 gene:gene-LSAT_9X124621 transcript:rna-gnl|WGS:NBSK|LSAT_9X124621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEIFGGIDVKVEGRGIGAAHPLMIMNGGLSRSITFWIGNPNVVMSSGLLLMAVMCLLFPAIFHFTHTELHFGKSELALSRFSSCVMLITYGAYLFLQLTTQKNSYSPITEEDSPKEDNSDDDDDEESPNISKHDFHLEGASVAMNIPIAFISVILLPIVGNAAEHASAIMFVMKDKLDISLGVAIGSSTQISMFVIPFCVVVGWIMGRPLDLNFQLFETTTLIMTVLVVAFMLQDGTSNYFKGVMLVFCYLIDAASFFVHIDPLSILQSSGTTMTFVIVEGSVITVASVGDSRCILERERVPASGGEVGRHNVGGGAQIGPLRCWPRGLCLSISIGDLDVGEFIVPVPYVKRVNVSCIFEGMESIKRIGIGIGIGIKSEGHAWNIYKQKKIIPRNL >cds-PLY89247.1 pep primary_assembly:Lsat_Salinas_v7:5:311599448:311599699:1 gene:gene-LSAT_5X169301 transcript:rna-gnl|WGS:NBSK|LSAT_5X169301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGCEDETAVGVAVAVVEEGCATPKHEGCRIPSVPLVCPPPPRKKRWSAYGSGGGKREPPKDGYFRSPEIELFFARRHEACA >cds-PLY91317.1 pep primary_assembly:Lsat_Salinas_v7:5:250778724:250781028:-1 gene:gene-LSAT_5X125681 transcript:rna-gnl|WGS:NBSK|LSAT_5X125681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g53600, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G53600) UniProtKB/Swiss-Prot;Acc:Q9C8L6] MPVKSVVSWTAMLTAYAENGQINKARKVFDEMPQRNVATWNAMITAYMRNKNGIDKACELFSKSPEKNAVSYVSMITGYVRAGRLEDAEKLYYSMPIEWRDPFCSNALMNGYLKNGKLKVAVEIYNGMVEKNVVSSSSMVDGYCKLGEIKKAKELFDAIPDKNVITYTAMIDGYMKNTDFEEGFSLFLQMRHENGIKLVSNTLTVVFEGCGRFDRYKEGLQVHALVMLMGFNFDTFLGNSTITMYSRFGDSDSALKLFNIMETKDTVSWNSLISGYIQSENLNEAYKHFKMMPQKDVYSWTTMITGLSSKGHTEKSVELFKMMPHSQKDDITWTALISGFVSNQEHEESIRWFVQMLHTQIKPNPLTFSSVLSSSASLATLNQGLQIHSMESDLSVQNSLVSFYAKCGCVDDAYNTFHSITTPNVVSYNSMINGFAQNGYGEKAISLFKEMEEKNMEPNDVTFLGVLSACTHVGLVEKGQGYFNSMKCLYKIEPNPDHYACMVDLLGRAGFVDEAFDFINSMPFEPHSGVWGALLGASRSHFRLDVAEIAAQHIYELEPDNATPYVVLSDIYLVSRKKEDEEFVRRMKRLKGIKKSPGCSWITVKDDVHLFLSGDSSHMKFKEIKSTLWTLVKNHKYSTTKKEKTQFTQVQNQNHSNQPPQPPPPHPPPPHPPPLPQPPPPLALTITAAATPARITTPASFLVVVVVVLPISRSRAARIGGTGSLVLVFL >cds-PLY73657.1 pep primary_assembly:Lsat_Salinas_v7:5:207570825:207572744:-1 gene:gene-LSAT_5X96440 transcript:rna-gnl|WGS:NBSK|LSAT_5X96440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNQSGQSSTSRSHPYRRQDSGRSGGRESLLATRGEWEAAKCRICFDPPLNAVSLICTSFHTGCRVFMCWTCFKKFKTENTEMTCPRCSGQFLVKKRSKFWMWGTTASNLARKNGNGGQSSLADYCTYFVVFSDGSSTHTNIARELGRMLGEVRTRK >cds-PLY87475.1 pep primary_assembly:Lsat_Salinas_v7:2:134180586:134184463:1 gene:gene-LSAT_2X63681 transcript:rna-gnl|WGS:NBSK|LSAT_2X63681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLSPPPLFTHPQGSGKQSFCGVLWRRKQVLTKRMVVVKAGAKRVSFDKKCREGLVAGIDKLADAVSVTLGPKGRNVVLSESGTLKVINDGVTIAKAIELPDSIENAGAVLIQEVATKTNDLAGDGTTTAIVLAREMIKSGLLAVAFGANPISLKKGMEKTVKELIKVLKKKSIPVSKRDDIKAIASISAGNDEFIGNLIAEAIDKIGHDGIISIESSSSSETSVIVEEGMKIDKGYMSPHFVTNQNNLSVEFENAKVLITDQKISSVKEIVPLLEKCTQLSVPLLIFAEDISISVLETLIVNKNQGLLRVAVVKCPGVGERKKALLQDIALMTGADFLSGDLGLSLEYATSDQLGIAQKVTITSNYTTIVADSSMKAEIKARISQIKKDLSETDSSYLSKKLSERIAKLSGGVAIIKVGAHTEMELEDRKLRIEDAKNATYAAMDEGIVPGGGATYIHLLEEIPSIKKLMEDPDEESGVNIIASALQAPSKLIAANAGVDGDVVVEKMKKLEWEFGYNAMTDTYEDLVVAGVIDPCRVSRCALQNAVSIAAIILTTQAILVEKIKEKKPPVPLLPGIHP >cds-PLY67855.1 pep primary_assembly:Lsat_Salinas_v7:9:144262678:144263991:1 gene:gene-LSAT_9X92540 transcript:rna-gnl|WGS:NBSK|LSAT_9X92540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGVNNDEDKKPGTGDQGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVELNSIAFLFDGRRLRGEQTPDELEMEEGDEIDAMLHQTGGNSTNL >cds-PLY73902.1 pep primary_assembly:Lsat_Salinas_v7:3:39672346:39673938:1 gene:gene-LSAT_3X29540 transcript:rna-gnl|WGS:NBSK|LSAT_3X29540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPASSNLNTQIIGILDKINNLIHLKQLQAYLITTGHSQDQFFSFKLVRCCVLSLSNFDYARSIFSSLVSPNVYLYTAMITAYSSQPDHDSSLLLYRDMVRKKRPKVSHFIYPHVLKSCPEVLGSNGTKMVHTQIVRTGYEEYPVVKTALLDAYSRFSSDIAVARLLFDEMSERNVVSWTAMISGYTRAGEMRSAIELFEQMPDRDTPSWNSIIAGCTHNGMFSEAIHLLRRMIMNGKKPNHVTVLCSLSAFGHMGMLHLGKSTHNYLLRNGLGPNSHIANGLVDMYGKCGSLKEARRVFDETPKRNLTSWNSMINSFALHGQSESAIIIFEEMKQHGVKPDDITFVGLLNACTHGGLVEKGRSFFKSMANDYGMEPDIHHYGCFIDLLGRAGQFEEAMEVINAMKSPPDEAVWGSLLNGCKIHGRMDLAEIAVKKLIEIEPNNGGYGAMLANIYGALGEWDKARIVWRTLKEQKAHKIPGCSWIEVDDQVHQFYSADDSHCKIHDIHLILESIFGFSDENIFLHQHTSRL >cds-PLY63138.1 pep primary_assembly:Lsat_Salinas_v7:4:65125812:65128092:1 gene:gene-LSAT_4X45521 transcript:rna-gnl|WGS:NBSK|LSAT_4X45521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQANQSDSETEATGSDMTPRRKGNMGSENMTEYEKQRMKRIEENKARMKAMGLDKMASSFMGSVPVSRNANKKGKQKVGLEDDEEYKPPVEDEEFSSASESDGDDYDDEYYMSRTKPKVKKSTPSKKISKLSENSDFVGGDDDDALMKAIALSLQDSPGFLDVTSKTPPQKSDSKVSNVEKKQIVRKDDSGNRKRKNWFASRVQMTEDELILHFFQFDEVGKGGISLRDLRRVAASHDFTWTDEEMRDMIQFFDSNGDGKLSLEDFTKIVERCHMRQGSENTQLAS >cds-PLY75949.1 pep primary_assembly:Lsat_Salinas_v7:5:247332276:247332755:-1 gene:gene-LSAT_5X124461 transcript:rna-gnl|WGS:NBSK|LSAT_5X124461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGPATRKDLMIVNMGPHHPSMHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNAPEQLGNIQVPKRASYIRVIMLELPCSLEXNHSLEAHPLHHKRSACPEMTWTNREIPIHWAFRYNQIESPKGAIF >cds-PLY79511.1 pep primary_assembly:Lsat_Salinas_v7:1:33551218:33553833:-1 gene:gene-LSAT_1X29040 transcript:rna-gnl|WGS:NBSK|LSAT_1X29040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGPATPSKNKNSDGASKFLLDLPSKGLFSSSVISSNLGGMRVYITDHDTTPPENQLIKTDQVNILIRSLLLKQQQKSGTTSKNAKGAGTNEGSRKRAPERAADGRASAKRAASTTQNGSRQDVLKSQIPENLQSLTVERLRALLKERGLSVKGKKDELIARLRVRGTTTTGSASSSAAAKPLI >cds-PLY96831.1 pep primary_assembly:Lsat_Salinas_v7:2:108242940:108245248:-1 gene:gene-LSAT_2X49221 transcript:rna-gnl|WGS:NBSK|LSAT_2X49221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAEKSFASTAAGDITTPKDKEEDVSLTDLKKRMADFAKERDWDQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPDWKDEEKEHLGEELSDVLLYLIRLSDICGIDLGKAALRKLQVNAIKYPVNLCKGSSKKHNQTVNTSFPAATTSNGSGSIEDGVGVSHDGV >cds-PLY67040.1 pep primary_assembly:Lsat_Salinas_v7:5:282515879:282516568:-1 gene:gene-LSAT_5X148500 transcript:rna-gnl|WGS:NBSK|LSAT_5X148500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTLSSEPPPVTSSPRISFSADFLDDANFICITPHDKEKDKEKEREKEKTRNVGEFEFLSGDTNTPTTMLTADQLFFEGRLLPFRQKQFCETLAKINLKTEGKPEEVKEDSSNNNRMSWFIDEDPSPRPPKCTVLWKELLRLKKQRPSSLSPSSSSSSSSSASSIDDDSKKEKHQVKKVKKGLERTRSAGIKIRPMINVPICSQGGGRSNSLPPLFALRKSGSGVLDR >cds-PLY97482.1 pep primary_assembly:Lsat_Salinas_v7:1:194319423:194320731:-1 gene:gene-LSAT_1X127180 transcript:rna-gnl|WGS:NBSK|LSAT_1X127180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNTVVNNLAGAGGGIIAQIIMYPLQSQVIRSEGLGGLYSGHKSSLFGTATSQGIECIVYKPNMGSYDTYADTYSSRTKDSRSKERSSSLHDKLHDLDSMKPNLHGRLHMRFIMKME >cds-PLY73289.1 pep primary_assembly:Lsat_Salinas_v7:5:132642842:132644297:1 gene:gene-LSAT_5X57561 transcript:rna-gnl|WGS:NBSK|LSAT_5X57561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQMMMMRTWMKSHLLHLPFLIYIDPTTQSSSDMLGIDNQVHTWHTAVSCHFMTFSPLELF >cds-PLY89137.1 pep primary_assembly:Lsat_Salinas_v7:3:21993499:21994853:1 gene:gene-LSAT_3X16240 transcript:rna-gnl|WGS:NBSK|LSAT_3X16240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEHEPTRPKAISKKPRYSRFTQQELPAWKPILTPGWVIASFVTVAIMFIPTGLLCLSASERVVEIVDRYDDDCLPDSFRTNPDSFIQNNKSNKTCIRTLKVPRKMTAPIFIYYELDNFYQNHRRYVKSRSDKQLRDPGAAEETKDCMPEDIVGGDNDAPIVPCGLVAWSLFNDTYTFSKANKVVDIDKKDIAWKSDTRVKFGSNVYPKNFQKGELIGGGVLDESKPLSEQEDLIVWMRTAALPNFRKLYGKINMDLEANETITVVIQNNYNTYDFGGEKKLILSTASWIGGKNDFFGIAFLTIGGICLFMAINFIFLYVFKPRPLGDPTYLSWNRNPNAQ >cds-PLY87911.1 pep primary_assembly:Lsat_Salinas_v7:3:257796798:257798479:1 gene:gene-LSAT_3X140940 transcript:rna-gnl|WGS:NBSK|LSAT_3X140940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQQGAPSRPWFRLATMVRPPPPPAAPPPTDQAPPPPPRPAAFMRPAFSQTFRVPPTVPPPQTTATPPAVSPPRPAATLPAANGVAPTSPPQPPAGRASPPPPSPKETSSSTSSNSPMQQSRPPSPVTTPHVPPPQPSYSPPPIPRSSTPTYSPPKPSKPLEKKSPPSPPNPISPVSHPPSPLALPSPQLKPEYEQKTMVVQETKEIPKNLDKGFNGDIRRHSVNWGTRNPKKPETSKKHSHSDSEDGGMRIITIAGENKGAIMELSPFGKKTHSFGDNPHMKKDSPTPTSTKPSDGEKSDGKSKAIKSKSPLMSAVMNSNVQGVNNSILYNCSTSHHDPGVHLSLSRKPMAFNSKLKDHIN >cds-PLY97671.1 pep primary_assembly:Lsat_Salinas_v7:8:7584254:7584736:-1 gene:gene-LSAT_8X5961 transcript:rna-gnl|WGS:NBSK|LSAT_8X5961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGYCHYKRSQGSNGIVHLLVAWRIKSTTLAFQLVFFALIATSTILLISVPVIFASPDGWSSTSLWIGLVFLVCILNSLIS >cds-PLY94501.1 pep primary_assembly:Lsat_Salinas_v7:2:157175926:157179322:1 gene:gene-LSAT_2X81641 transcript:rna-gnl|WGS:NBSK|LSAT_2X81641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESCREPKMGFCLLFLLLLHLQLLPDSSAVGSAPLPEYRALLSLKTAITDDPQSTLSSWKISTSHCTWFGVTCDSNRHVIALNVSGLNLTGTLSSDIGNLRNLVNFTVASNNIVGPIPPELSLISGLRLLNLSNNIFNETFPRELASLKFLQVLDLYNNNLTGDLPVVVSEMTNLRHLHLGGNYFSGIIPVEYGRFPTLEYLAVSGNELTGAIPPEIGNLTNLKYLYIGYFNNFTGGIPPEIGNLSNLIRFDAANCGLTGEVPSELGKLQNLDTLFLQVNGLYGSLPKELGTLKSLKSMDLSNNIFTGEIPDSFLNLLNLTLLNLFRNKLHGSIPDFIGELPELEVLQLWENNFTGSIPQGLGKNGKLQILDLSSNKLTGELPPNLCTGNKLQTLITLGNFLFGPIPASLGECQSLNRIRMGDNFLNGSIPKGMLSLPELSQVELQNNLLTGEFPATNSVSISLGQVSLSNNHLSGPLPPTISNFSGVQKLLLDGNQFTGPIPSEIGKLQQLSKIDFSGNSFSGEIAPEISQCKLLTYVDLSRNQLSGEIPTELTGMHILNYLNVSRNHLVGNIPTSIASMQSLTSVDFSYNSLSGMVPGTGQFSYFNYTSFLGNPDLCGPFLGPCKDGVANGTHQPHSKGTLSPSTKLFLVIGLLLCSIVFAVAAIIKARSLKRASDARSWKLTAFQRLDFTCDDVLDSLKEDNIIGKGGAGIVYKGVMPNNELVAVKRLPVMSRGSAHDHGFNAEIQTLGRIRHRYIVRLLGFCSNHETNLLVYEYMPNGSLGEMLHGKRGGHLYWDTRYKIAIESAKGLCYLHHDCSPLILHRDVKSNNILLDSNLEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSYGVVLLELVTGRKPVGEFGDGVDIVQWVRKMTGGNKERVSKIVDPRLPNAPTHEVMHVFYVALLCVEEQAVERPTMREVVQILTELPKGGDAVAATTGGGGGDSMDSPILAAVTVESLESGVDNREQNQLRDLLSV >cds-PLY76882.1 pep primary_assembly:Lsat_Salinas_v7:3:3065692:3068514:1 gene:gene-LSAT_3X1441 transcript:rna-gnl|WGS:NBSK|LSAT_3X1441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRFRRRFLGRYLTIAIFTAVQATGVTILTISTAIPSLQPPKCSKTGGSCIPATDLQLAVLYLALYLTALGTGGLKSSVSGFGSDQFDETDKEEKAQMTTFFNWFFFFISIGSLAAVTVLVYIQDNLGRRWGYGIVACSIGLGLMIFLSGTRRYRFKKLAGSPLTQIASVFIGAWRKRHLELPSDPSLLFNVDDVPQGVGVDGKILKQKLPHSKQFRFLDKAAIKNSETVKEVNKWYLSTSTDVEEVKMVITMLPIWATTIMFWTIYAQMTTFSVSQATTMDRHIGKSFLIPPASLTVFFVGSILLTVPVYDRIIVPVARRVLKHPQGLTPLQRIGVGLVMSALAMVAAALTEIKRLRMAQTHGLVNDPSKVVPLSVFWLVPQFFLVGSGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFLSSLLVSIVHKVTGEREPWLADNLNKGKLYNFYWLLAILSVLNMGLYLIGAKWYVYREHRLAGDGIAIELEEDKFGA >cds-PLY66654.1 pep primary_assembly:Lsat_Salinas_v7:1:54172651:54174450:1 gene:gene-LSAT_1X47080 transcript:rna-gnl|WGS:NBSK|LSAT_1X47080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFARRFLLPLLRRLWFTFWVLVKKWYAFKERHANLVGPTRLFVAGKPVSFILLHGGQLKMKVEGRKWEEIIEEKLCTPIV >cds-PLY71405.1 pep primary_assembly:Lsat_Salinas_v7:4:238069501:238074081:-1 gene:gene-LSAT_4X128021 transcript:rna-gnl|WGS:NBSK|LSAT_4X128021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDVDHLIAKKVADRYLKREVLGEGTYGVVYKAIDTKSGQTVAIKKIRLGKQKEGVNFTALREIKLLKELKDPNIIELIDAFPHKGNLHLVFEFMETDLEAVIRDRNIVLSPTDIKSYLQMTLKGLAFCHKKWVLHRDMKPNNLLIGPRGQLKLADFGLARIFGSPDRRFTHQVFARWYRAPELLFGAKQYGPGVDVWAAACIFAELLLRRPFLQGSSDIDQLGKIFAAFGTPKASQWPDMIYLPDHVEYQYVPGQPLRALFPMANDDALDLLSKMFAYDPKARISAQMALEHRYFTSGPPPTEPALLPRPPPKRESIDSKPPSDGGGGPTVLSPTRKSRRVMPHQHDHGNERSGPAPMSLDFSVFAARPPARPTINSADRSHLKRKLDLEFQVPEED >cds-PLY75585.1 pep primary_assembly:Lsat_Salinas_v7:9:34763859:34766557:1 gene:gene-LSAT_9X32500 transcript:rna-gnl|WGS:NBSK|LSAT_9X32500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRETFLLFLLFCSLIESSASKNTIKDHLQRGSSLSVDDSSDVIRSPDNTYTCGFYGFQSDAYWFAIWFTNSKERTAVWTANRNTPVNGRGSKMTFRRNGAMVLTDVDGMVVWETNTTSTDVDRAVLLNTGNLVLQNQKGQILWQSFDYPTDTLLPSQTLTKRKSLISALRRGSFEPGYFVLSYNSINVLILTYDSPETTVLYWPTPDPGFNVWYYGRASYNSSRIAFFDDFGVFRSSDRWQFNASDMGFGIRRRLTMDYDGNLRIYSLNDSTGLWSISWQAIAQPCNVLGICGRNGICDNGALQSECSCPPHHQQTNPADVSQGCTPTFNTTCSNSTKFGFLEMPNTDYYGFDLNSIDAFLPTSFDACKDMCLRNCRCIAFSYRLTGEGLCFIKNALFNGFRSPNLPGSIYLKVPIGMETSESVSVLTRSNATCVSPSMYETSETEVKWIYLYSFAIAIGVAEAFVILLGWLLFYRKNALLTSLEEGYRMVSSQFRGFTYQELVKATQNFKVEIGRGGSGTVYKGVLEDERVVAVKRLRDVSEGGEFWAEVSTISKINHMNLVRMWGLCSQKQHRVIVYEYVENLSLDQRLFSSSFLQWKERFKVAVGIAKGLAYLHHECLEWVIHCDVKPENILLDESFEAKIADFGLAKLTQRGGQNSEFTRIRGTKGYMAPEWASNLPITAKVDVYSYGVVVLEMARGIRLSNIVVQEGEEEETELMRFVRVAKTKFQGEEMESWIEEIIDSRLGGLFSMKQAAKLVEIGVSCVEENRNKRPTMDSVVHDLIDCESE >cds-PLY93888.1 pep primary_assembly:Lsat_Salinas_v7:4:270280645:270281046:-1 gene:gene-LSAT_4X138800 transcript:rna-gnl|WGS:NBSK|LSAT_4X138800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGIRGCQKEAGHCLLLPATLRGPSLIVSDPQSRGKGSSDRVDRRRRTNGGQSNREGGKGVDLRWAPHGLLILVSGSNRTEKDVTAAVVQWRSPLMLDLTKKRRRTETKTREVLLVLDKPKGGRVEVGSRWLQ >cds-PLY71703.1 pep primary_assembly:Lsat_Salinas_v7:3:45622348:45623530:-1 gene:gene-LSAT_3X35360 transcript:rna-gnl|WGS:NBSK|LSAT_3X35360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMIKDCTTTKPSSTTVRSSFFLGCFGCSGEKIQRRDKVNLGCRRRWISKWNLSFKKSATKTVPVELTSTSGKSKSFQLLEKHAPATSDTTWEIQVVEEDATLTKKSVFMVEGNLAPVTSNEHNNRRKKWVSILKRQNASSRSSRKEMKAATTTATSAGLDPPGKKSVSISYPVVSFPLKPLTDATSLPPPKEKKPSHAPPAAGGADKVTFNKQPPLAGRFDSVIGMSVILVALLIMLLWGKICAVLCTSAWFFIAPRLVAGGERSALATAEKGRQESHINLDLESTEYKKKVVLEGLLQRNHRNVVGRL >cds-PLY98730.1 pep primary_assembly:Lsat_Salinas_v7:6:103434604:103435514:1 gene:gene-LSAT_6X68641 transcript:rna-gnl|WGS:NBSK|LSAT_6X68641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSSITATNSFSSSFHGSWGSTIAGEDYSTMLTKTTTVKFRKNPLSFGPMMGNVNEGKGLFAPLVVITRNIVGKKRFNQLRGKAIALHSQVITEFCKSIGADSKQRQGLIRLAKKNGERLGFLA >cds-PLY92073.1 pep primary_assembly:Lsat_Salinas_v7:5:323683354:323687379:-1 gene:gene-LSAT_5X177480 transcript:rna-gnl|WGS:NBSK|LSAT_5X177480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATVDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLFSKEATGGEKQFSSQGHQGNFSKNEGSGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIQPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGPHPTVAEGGEMQKKAAKGVEVAAGTMVGWHGATDLMDDMVEQTQNNGQSRRRQQQSIIDAHSERGEEEDKLFLPRISGDGMGHNVHSFIDDSIVLHTPSPAARLVVAASDDEVCCGRVAFDASKGGG >cds-PLY69774.1 pep primary_assembly:Lsat_Salinas_v7:5:232524599:232524874:1 gene:gene-LSAT_5X113920 transcript:rna-gnl|WGS:NBSK|LSAT_5X113920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQEVAEVGDTAKDYHDPPPVSFIEPKELSKWSLYRAVIAEFVATLLFLYVTILTVIGYTGQIDKGVDPCGGGGILGIASAFVSMIFVLRN >cds-PLY73113.1 pep primary_assembly:Lsat_Salinas_v7:9:22389482:22391134:-1 gene:gene-LSAT_9X20640 transcript:rna-gnl|WGS:NBSK|LSAT_9X20640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKHIKKDLKKEIHHVVSLTSSTYGALKLDKDQQPSPPITPSQQKITPPRRSHQGPPEIINTWELMKDLEDEPQVPIPVSEKKSPKLRRGFPEIDVKTPMKFLNQIGSSPKVSKRFSGKENKRVGSQKSEFSPRTTIIKASTLPPNLRISKKSGFESPVDSSLNSARRRNLGPLFVDSNGNKKFQEKEQIKKMVSGTSKSQKSRNSIESNSILEALEKKSPPGGEDAVVIYTTTLRGIRKTYEDCNTVRGIIESHHVRMIERDISMDSGFKEELRLLLGKKQVKVPIVLVKGRLIGGSDEIMKLEEEGKLGILLDGIPTVAAADAGCKGCGGVRFVMCTVCNGSCKLIGGDGRRSIKCVDCNENGLVQCPICC >cds-PLY84665.1 pep primary_assembly:Lsat_Salinas_v7:2:151500266:151503707:-1 gene:gene-LSAT_2X78080 transcript:rna-gnl|WGS:NBSK|LSAT_2X78080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQSTDWRWENATAGSVAGLATVTFSHPLDVVRTRFQVNDGRNPNLPTYKHTPHALFTIGRSEGLRGLYSGFCPAVLGSTISWGLYFFFYNRAKQRYLKNQQETTVHIHLASAAEAGGLVCLFTNPIWLVKTRLQLQTPQNTRAYTGLADALKTILKDEGWRALYKGLLPGLFLVTHGAIQFTAYEELRKLLVDRRDKQMASITTAADLLASFRKKLRDFRDKQRGLSSYTPESLTTVDYAVLGASSKLAASLATYPFQVIRSRLQQRPMNGVPRYMDSWHAVKETARLEGVRGFYRGITANLLKNLPAASITFIVYENVLHLLKLAKTTSERANN >cds-PLY86765.1 pep primary_assembly:Lsat_Salinas_v7:4:296701598:296702559:1 gene:gene-LSAT_4X147540 transcript:rna-gnl|WGS:NBSK|LSAT_4X147540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIYYQITKQFASSVGWRKDDAIKALESCRFYARNGLRVLQQKSLITIYKNYDYDHLGMRDHLEEMGRNIVRRSHPDKPHKHSRLWKFDEIEDTLANDLGSTKATKYIQVHRKKFSLHIFIKGLRKMKELRFLSVPGNCSTALEFRIVGPDFPKALQYLHWDFYPFRSLPTTYQANNLVALEMANNMLGPILDLKLIIKQT >cds-PLY73699.1 pep primary_assembly:Lsat_Salinas_v7:5:207587014:207588790:1 gene:gene-LSAT_5X96401 transcript:rna-gnl|WGS:NBSK|LSAT_5X96401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPFECVRRSWHSERHQPIRGSIIQQIFRVVHENHSPGTKKNREWQEKLPLVVLKSEEIMYSKANSEAEYVNPETLWDRLNDAIDTIIRKDDTMENGEFLPACVEAALNLGCVQVRSSRSQRNSNTRSYLSSRNQDSGRNLNVPNVQRPDSEANKDIRPNSNFTPPGSSFYPPSFDNFPRNMVPFENKTSSIYPLYHGFHLQPRPPHMGFHTTPQNSGSIILGTPVFRAARAACEPSHSERLFSFDRDTDDGNGSGSKKESGPELVECDLSLRLGPVSSSEKEKGLTTCVDDVDFGRLKGKEFCFFPLNSEGEGVENLGTDVRKRKMVGESGSFLQLHPDFNEQMKRRGL >cds-PLY90960.1 pep primary_assembly:Lsat_Salinas_v7:9:169798261:169799626:-1 gene:gene-LSAT_9X105660 transcript:rna-gnl|WGS:NBSK|LSAT_9X105660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDIKEGIVSSVRRTMAKRKVVQAIGEPSPGDGFGSTRKRIMKRHGFSCLMYSSSSDLGVVVVTPDDDFQQSKGFQGAPKQPIMSTTTVYGFPSTPFSLSGAARVVHREHVPMEGSHGLSGPDEEFGHAGLKGEINAENYDLEGSDSCSSHMSNLEDGLLEFLTMDYAVILGLGQLDMDGLRLLHDFDDGDDPMDGLGHDGGTVGLGVGMGGVGDGNGVGGAGEGDLEVVAGGVGGGGGAVEDGVDGACGGSDNGVGDTDGAVGAGGEGVRDNVGGASGVDGGGGVGGYGDGGGKL >cds-PLY73191.1 pep primary_assembly:Lsat_Salinas_v7:9:35029296:35031979:-1 gene:gene-LSAT_9X31681 transcript:rna-gnl|WGS:NBSK|LSAT_9X31681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRETFLLFLLFCSLIESSASKNTIKDHLQRGSSLSVDDSSDVIRSPDNTYTCGFYGFQSNAYWFAIWFTNSKERTAVWTANRNTPVNGRGSKMTFRRNGAMVLTDVDGMVVWETNTTSTDVDRAVLLNTGNLVLQNQKGQILWQSFDYPTDTLLPSQTLTKRKSLISALRRGSFEPGYFVLSYNSINVLILTYDSPETTVLYWPSPDPGFNVWSYGRTSYNSSRIAFFDDFGVFRSSDRWQFNASDMGFGIRRRLTMDYDGNLRIYSLNDSTGLWSISWQAIAQPCNVLGICGRNGICDNGVLQSECSCPPHHQQTNPTDVSQGCTPTFNTTCSNSTKFGFLEMPNTDYYGFDLNSIDAFLPTSFDACKDMCLRNCRCIAFSYRLTGEGLCFIKNALFNGFRSPNFPGSIYLKVPVDMETSESVSVLTSSNATCVEVVTVMLGSPSMYEPSETKVKWVYLYSFAIAIGVAEAFVMLLGWWLFYRKNALLTSLEEGYRMVSSQFRGFTYQELVKATQNFKVEIGRGGSGTVYKGILEDERVVAVKRLGDVSEGGEFWAEVSTIGKINHMNLVRMWGFCSHKRYKLLVYEYVENLSLAHRLFSTTFLQWKERFKVAVGIAKGLAYLHHECLEWVIHCDVKPENILLDESFEAKIADFGLAKLTQRGGQNSEFTRIRGTKGYMAPEWASNLPITAKVDVYSYGVVVLEMARGIRLSNIVVQEGEEEETELMRFLRVAKTKLQGEEMESWIEEIIDSRLGGLFSMKQAAKLVEIGVSCVEEDRNKRPTMDSVVHDLIDCESE >cds-PLY94973.1 pep primary_assembly:Lsat_Salinas_v7:4:108862982:108864152:1 gene:gene-LSAT_4X70241 transcript:rna-gnl|WGS:NBSK|LSAT_4X70241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCCLGTTAANHRQPDKSKLKTEPRPASHSPPPAFEEETVKEVLSETPIVPKTPPTVIVDRPQTLQSEERSAVDLTKKPSEEVQENVSEMSEMYSYSESFSAATTATVADAKKDEIEMDDEGEVTQKVKIRSPPAKRVVRKRPMVTSGELAGKKERITRPAARRQMAPSPEKKRQSPSRTTTNVQRQRNVGQPNDARREVTARRSRSPAVRGEAGQRRKVRDRSPAEKSSDVIPVNTVESEEKSTVEKADDGAASPEPQTTESLENPLVSLECFIFL >cds-PLY87429.1 pep primary_assembly:Lsat_Salinas_v7:2:134176597:134177785:1 gene:gene-LSAT_2X63701 transcript:rna-gnl|WGS:NBSK|LSAT_2X63701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRLWASKAASYLKISTFNRGFASVVKDLKYAESHEWVKVEGKSCTIGITDHAQDHLGDVVYVELPEVGAAVTQGGSFGAVESVKATSDINSPVSGKVVEVNEQLTGEPGLVNGSPYENGWIIKVEISDTNEVNSLMDSEQYSKFCEEEDKH >cds-PLY80024.1 pep primary_assembly:Lsat_Salinas_v7:9:45927867:45929560:-1 gene:gene-LSAT_9X42421 transcript:rna-gnl|WGS:NBSK|LSAT_9X42421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxanthine phosphoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G71750) UniProtKB/TrEMBL;Acc:F4IA25] MGSQMESHCIDDDILTVIWTAEQVIQRVCELASQINNDFSSPGSSSPVVVGVATGAFLFLADLVRNIKLPVTVDFVRVESYGSGTVSNGAPKISCDVKLDVVGKHVILVEDIVDTGNTISHLISYLESKGATSVSVCTLLDKPSRRKVHFKLLGDGKFYGGFECPDSFVVGYGLDFNERYRNLGYIGVLKPEIYE >cds-PLY78715.1 pep primary_assembly:Lsat_Salinas_v7:9:50230996:50231525:-1 gene:gene-LSAT_9X44980 transcript:rna-gnl|WGS:NBSK|LSAT_9X44980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKVERDKEQIKVVADEAAGSRKANEDRLSHPIISTMEPLTHEAYGGGMYGKDDDKPPPKNPRQPPASETQSADGPSDTTPVVLKHKPPPSSGDRDIDITGQSYIQ >cds-PLY85112.1 pep primary_assembly:Lsat_Salinas_v7:1:45681455:45683121:1 gene:gene-LSAT_1X39801 transcript:rna-gnl|WGS:NBSK|LSAT_1X39801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAAPSISFPFHNHNRNGNGNGNHHHNYGIAPPRFVIRCAIASASSPKKNKLWKQGEHPGRVSENSSNRRTPIKNIKKKLDRKANLNPWVNTVTEALSESVDVKQWSRALQVFEMLKEQPFYQPKEGTYMKLIVLLGRCGQPKHARHLFDEMIQEGLEPTSQLYTALLAAYCRSNLIDEAFKILNQMKTLPLCQPDVYTFSILMKACVDAARFELVESIYHQMDERSITPNTVTQNTVLAGYGKAGKFQEMEKVLLNMLDSDTCTPDVWTMNTILSLFGNMGDIESMERWYEKLRNFGIEPETRTFNILIGVYGKNKMYDKMSSVMEYMRKLSFPWTTSTYNNVIEAFSDVGDAKNMEYTFKQMQGEGMRADTKTFCCLIRGYANAGVFHKVASVVELANEMEIHENTSFYNAVLYGCVKAGDLMKMEEVFKKMKQEECRPDAVTYSIMLEAYKKEAMDDKVHDLEQERLKIGVC >cds-PLY87705.1 pep primary_assembly:Lsat_Salinas_v7:1:4263217:4264179:-1 gene:gene-LSAT_1X3701 transcript:rna-gnl|WGS:NBSK|LSAT_1X3701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATARRLVQLLFVVSIFHVSFAGRRLTELVSDASNLLQYHNGALLTGDISVNFIWYGNFKPSQKAIVSDFITSLSSGSGVSPKSQIEPSVATWWKTTEKYQMKSKKQRSLTLRLGKQISDPAYSLGKSLTDEHLVQLASKGESRNAVNVVLTASDVAVDGFCSSRCGTHGSSSSSSKIPNGKGKNNKFAYIWVGNSETQCAGQCAWPFHQPIYGPQAAPLVAPNNDVGLDGMVINLAGLLAATATNPFGNGYYQGDASAPLEAASACPGVYAKGAYPGYAGDLLVDSTTGASYNAHGTNGRKYLLPSLYDPSSSTCSTLV >cds-PLY76684.1 pep primary_assembly:Lsat_Salinas_v7:8:216545099:216545497:-1 gene:gene-LSAT_8X134521 transcript:rna-gnl|WGS:NBSK|LSAT_8X134521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHFLGLSGMPRRIPDYPDAYAGWNALSSSGSYIFVVWICCFFVVVTITSSSGNNKICAPSPWAVEQNPTTQEWMVQSPPAFHTFGELPAIKETKISVK >cds-PLY68141.1 pep primary_assembly:Lsat_Salinas_v7:8:232005560:232008608:-1 gene:gene-LSAT_8X140441 transcript:rna-gnl|WGS:NBSK|LSAT_8X140441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGPEHNPLQTTRIDGRSIFSASDNNVIMKVVMDTHYPDGTAVDVKSLLKITEDILPHATIKADPISSLKLPRDGHTTALAVLEKVSKFQWDAKLVLTLAALAINYKELWMLTQMHSSNQLAKSIQTIMAHTALLKPQLDALNKLVYSILELTRCIIQFNELPSMDITLDLPPINTIPIAIYWNIRGIIMCATMIADLTIKDHEIMVSSSALQLWELSTLTVKIDRINEFLRQKLDNYKRVVGDKKEIEFRRSFSQLFETSYMDNMKILKILITPRDDILPLFDGKTKKRVSLEFLRKKNVLLLISGVEMSSEELSILEEIYMESRIQGARGKALYEVVWMPIVSLSVEYTDEIDRKFEEMKNKMLWYSVSHPSIIDRAVIMSIGDRWHFRNRPIVVVLDPQGRELSPNAIHMMWIWGNNAFPFTSLKEELLWKDETWRLEFLVGSMDPTILNWIREDKYIFLFGGDDIDWIRKFTTTARAMAIAARIPLEMVYVGQKKEKESVRRAIDVINIEKLSESWQQLTTMRFFWSRLESMLYSKNQLKRADPEDPMMKQIMKLVSYDKEWALLCRGSKILTSGNGTTMMQTVDDFKNWEGDIEWKGFDLWFTEHHDKPQVAAKNCCRFEFPAAGRVPNNVRCPECDRLMPKQIAFICCHDQTYLH >cds-PLY79455.1 pep primary_assembly:Lsat_Salinas_v7:9:4186338:4189764:1 gene:gene-LSAT_9X3060 transcript:rna-gnl|WGS:NBSK|LSAT_9X3060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVNTMIKIADDAHKQTRESPFAEDFAFHPCLLSILGFCCGGAVQRFHRRLLWPGQTMVLQIAFLMSRCSKRLRSDGFSSKTNHSCETYSRFAKLVVEVENPKGELMGDGKNQSFTPNAHNIEARFLDKEI >cds-PLY66956.1 pep primary_assembly:Lsat_Salinas_v7:7:21157988:21164630:-1 gene:gene-LSAT_7X17340 transcript:rna-gnl|WGS:NBSK|LSAT_7X17340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWGLGWKRPSDVFHLSLYYGSEDALDDQTRSSSPPPQTSSGSSSTSQDNNNNSNNPEVGFRIDLDWNAGDDEDQVTLRLQSQVMVALPLPQDTVVIRLLECDDPGQVKPGEEVGESGGANEVRNVVSVDMKVVKQREPLRAVAMSRVGGSGQQNDGMGVLSKLLKSDFAAESGPGHAEGPRVECCTDHWRNVIVVSLYNCGLLTLPVEVTKLPLLEKLFLDNNKLTGLPPELGALKNLKVLTVDYNMLVSVPAELRQCVGLVELSLEHNKLIRPLLDFRAMAELRILRLFGNPLEFLPDILPLHQLRHLSLANIRIVADDSLRSLNVQIETENSSYFVASRHKLSAFFSLIFRFSSCHHPLLASALAKMMHDEGNRVVIGKDENAVRQLISMISSEDHHVVVEACSALTSLASDVSVALQLMKCDIMQPIKRVLTSVGPQELKSILQVVAKLGFVSDTVAQKMLSKDVMKSLKLLCAHKDPEVQRLALIAVGNLAFCLENRRILVASESLRDLLLRLTLSSERRVSKAAARVLAILGENENLRRAIKGKQVPKQGLRILAMDGGGMKGLATVQILKEIEKGTGKQIHEMFDLICGTSTGGMLAVAIGIKLMSLEQCEDIYKNLGKLVFAEPVPKDNEAATWREKLDQLYKSSSQSFRVVVHGSKHSADQFERLLKEICADEDGDLLIDSSVKRIPKVFVVSTLVNVAPAQPFIFRNYQYPAGTQEVPLTMSDNFSTTATTGAQVGYKRSAYMGSCRHDLWQAIRASSAAPYYLDDYSDGVFRWQDGAIVANNPTIFAIREAQLLWPDAKIDTLVSIGCCSVPTKARKGGWRYLDTGQVLIESACSVERVEEALSTLLPMIPEINYFRFNPVDERCDMELDETDPTIWLKLEAATEEYIQNNSTAFKKVCESGPSLGWRRNVLLVEASHNPDSGRVFNHARSLQTFCSGHGIRLTLLNAAAASASGPLKPDPGTSFPTPFTSPLFTGSFPSSPLLYSPDLGGIHRVGRIESVPHLSLDGFHSGRTSSPPESPTVPRQLSLPVRILHEKLQNSPQVGVVHLALQNDTTGSILSWQNDVFVVAEPGELAEKFLQNVKYNLLSMLKGRRRKYTSVISNISTISDLVACRPYFQIGGVVHRYIGRQTQVMEDDQEIGAYMFRRTVPSMHLTPEDVRWMVGAWRDRIIICTCLYGPSPALIKSFLDSGAKAVICPSSEPQEMQLTTFHGSATEFNDLENGKFEIGVDEGDDEGTEPVSPSSDWEDSETDKGGTGTDTDKGMLVWDDDEEELSKFVCRLYDSIFNGGARVNVALQQALASHRTIRYSCHVPRVP >cds-PLY89099.1 pep primary_assembly:Lsat_Salinas_v7:4:145485817:145489679:1 gene:gene-LSAT_4X88821 transcript:rna-gnl|WGS:NBSK|LSAT_4X88821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFSIMFFLFLFGLVYLSPSSLVSGINSDGLHLLSLMTHWTSIPPSVSSTWNASHPTPCSWVGVHCDITTNHVDALNLSSYLISGQIAPQIGYLNRLRSIDLSYNNLSGSLPSQLRNCTLLHHLDLSYNAISGRIPESLGNLISLKQLSFYSNKLTGSIPSQIGNCTRLEELDMSLNHLTGRIPESLWNLRNLKFLSLYDNSLTGSIPQFSFRNPQLETLYLSFNQLSGPIPFNIGNLSKLLDLELNDNGISGSIPSSIGNCSSLETLMLGNNQLTGTFPVTLNDLPNLTTFDVHNNSLEGSIIRFNGGNCKELVYLDLSFNNFHGVLPHELGNCSSLNQLAAVSCGLSGLIPSSLGQLTSLTTLHLSINRFSGKIPPELGNCNSLVDLELHANLLEGNIPNEIGMLSQLQILQLFNNHLTGEIPVEIWKIKGLKKLNIYNNNLSGELPGVLAEMKELTEITLYNNRFSGVIPQGLGINSRLMIIDFTNNSFTGKIPPNICFRKNLQRLLLGFNNLEGSVPRDIGSCSSLSRLILQHNNLTGVLPEFVENHNMLYMNLKKNGFNGEIPVTFGKLTNITEIDVSMNKLHGQIPFELGNLVELQVLNFSYNGLEGHLPSQLSNCSRLLEFDASHNSITGSIPESFRKLTRLSTLSLKENRFSGEIPHFISQLQNLISLDLGGNSFSGSIPSSIVELHSLSSLNLSRNRLTGDIPSDFGKMVMLEYFDVSFNNLTGDLASLSDTHGLVGLNVSFNHFTGPIPAILLSSSNISNSSFIGNPGLCGNFKPCVALQSNKKHLSKQQYVMIAIGICLSVAAVLLVLYLVLFLHRKQKQDIEMLGEGGVSTLMIKVLEATENLNDKYIIGRGAHGIVYKASLGHDKVYAVKKLAFGRTKGGHTSMIREVETVGKVRHRNLVTIEDFLIKKEYGLILYKYMKNGSLHDVLHERRSLSLDWSIRYKIALGTAQALSYLHFDCDPAIVHRDIKPMNILLDADMDPHLSDFGIAKLLDHSTASPPTTLLMGTLGYIAPENAFTSSKSMESDVYSYGVVLLELITRQKVLDPLLMERTGNMDLVSWVRSTWRDTEELEKIVDDEVLDEIDDTMIREQVENVLLVALRCTKWEATRRPSMREVVKCLEDTKSSFKSIG >cds-PLY89939.1 pep primary_assembly:Lsat_Salinas_v7:4:4621296:4625110:-1 gene:gene-LSAT_4X2981 transcript:rna-gnl|WGS:NBSK|LSAT_4X2981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLPILQFEDKILETVDHNPVVVVIGETGSGKSTQLSQILHRSGYTKAGTIAVTQPRRVAAVSVSRRVAQELGVRLGDEVGYAIRFEDRTSERTCIKYLTDGVLLRESLSDPELKQYSVVILDEAHERSLNTDILMGLMKRLIRLRASNLKVLITSATLDGEKVSRFFSDCPVLNVPGKLFPVEILYSAEQPKSYIESSLKKAIDIHVNEPEGDILIFMTGQDDIEKLVSKLEERIQSLEVGSCMDAIVLPLHGSLPPEMQVRVFNPPPPNCRRFIVATNIAETSLTVDGVVYVIDSGYVKQRQYNPSSGMYSLDVVQISRVQANQRAGRAGRTRPGKCFRLYPLAVYQDNLLEATVPEIQRSSLAGSVLYLKSLDLPDIDILKFDFLDAPSHESLQDALKQLFLIDALDENGTITPIGKTMSELPLEPSLARTLIEANEYGCLSQALSVAAMLSVEGTLLPGRSKNTDRKRKHPPSDLPDGSGLGDHIQLLQIYELWDEVDYSIDWCKDNNLQVRGMLFAKDVRKQLSQIMQKVAKGGLDIKRKERRRDREENYKNLRKALCVGYASQLAERMIRHNGYRTLGFKSQLVQVHPSSVLRTDEEGMLPNYVVYHELISTTRPYMRNLCEVEMQWVTPILQKLEKLNVNKLSGGSNQAEEASTTDDLGGPQREREKEVKNGDDSNARIQAARDRFLARKGNK >cds-PLY63410.1 pep primary_assembly:Lsat_Salinas_v7:7:150063809:150065117:1 gene:gene-LSAT_7X90061 transcript:rna-gnl|WGS:NBSK|LSAT_7X90061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLYRVTSNYGLSLIGNGSTKIKPVYVADVASTVVAALKDDGSSMGKVCLSFGATLIKGILDSFVPDEFCPDAIPEVVLEALDLEASSV >cds-PLY88974.1 pep primary_assembly:Lsat_Salinas_v7:8:128791056:128791391:1 gene:gene-LSAT_8X89721 transcript:rna-gnl|WGS:NBSK|LSAT_8X89721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERVHKSFLLSLAIFLIVFHSITTTTQSARELVEKNEAHDEHNRVVVKDQEERVVITGGHDDGKDGGNGRRYGSNSGGGGGGGGGGGSSGGGGGGGGGGGGRMRRMMSTTG >cds-PLY64020.1 pep primary_assembly:Lsat_Salinas_v7:4:296039317:296042307:1 gene:gene-LSAT_4X152781 transcript:rna-gnl|WGS:NBSK|LSAT_4X152781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLLTGSNIRYSSYFFTFTEAFGHGVIGIYSFKVTGDDGITTVSERLESSDPVLEKLNSLQIATSILTSEPSKSSLTDILVNRPSTSSDAVIVDPKVLMELYTTYQEWQEQQAHTFNKRQEEVENKIEIVNALAVKLLQTYTSSFTAMKTTSSHLWGVHELQVEVGELKGRLTEVISNCDGVCKRIVAEGPESLQSSIKPFTAASTTTSFSSTTSLIL >cds-PLY88834.1 pep primary_assembly:Lsat_Salinas_v7:3:232193644:232195765:1 gene:gene-LSAT_3X131361 transcript:rna-gnl|WGS:NBSK|LSAT_3X131361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKINGNGASGHRYSSATRRLPTPGKATVLAIGKAFPSQLIPQDCLVEGYFRDTNCIDHAMKEKLERLCKTTTVRTRYTVMSKEILDEYPELATEGTATISQRLSIANKAVTEMAKDASLACIKQWGRPAEDITHVVYVSSSEIRLPGGDLYLANELGLRNDVNRVMLYFLGCYGGVTGLRVAKDIAENNPGSRVLLTTSETTILGFRPPSKSRPYDLVGAALFGDGAAAAIIGADPIAKTESPFLELSYAVQQFLPGTHSVIDGRLSEEGINFKLGRDLPQKIDDNIEGFCNKLMEKANNVKDFNDMFWAVHPGGPAILNRLETTLKLRGEKLDCSRRALMDFGNVSSNTIIYVLEYMREELMNKEIGEEWGLALAFGPGITFEGILLRSLN >cds-PLY66041.1 pep primary_assembly:Lsat_Salinas_v7:4:284216301:284219509:1 gene:gene-LSAT_4X144040 transcript:rna-gnl|WGS:NBSK|LSAT_4X144040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKETLDDSSSTGGPTSESPETEIFVDRLSQVGLRYRSGTEKKVDAWKNKKSGKKSGGSSVSRANMYLPPMPLKEPISSPKCKGDDSRHSAEWNVVDNSTSSDGRLIFRLPVVFIPSRVPLISVHQGGVYCYASGGGRINACLEFKEMVKDLHLAGIEVIMDVVYNRTNEVDDKYPYTTSFFGITRISTMYWDDKYGTHGVSSETEMMSDAFKGKTNHASKKVIFVESLWSFQTSLPLLLSFVTCLNSVEHSGGQRVCLDLQKLDRFSLFPGQLLSSVPTPVELSLAPEAPSKSSIFLLAPLPEI >cds-PLY74185.1 pep primary_assembly:Lsat_Salinas_v7:9:23965556:23966705:-1 gene:gene-LSAT_9X21421 transcript:rna-gnl|WGS:NBSK|LSAT_9X21421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHWKLFLALIILHAHGDHIFTGAVVFREYIGANFDNVRFSDVPINPNIQVHFILSFAIDYTLGSSPTPTNGKFNIFWDSDNLSPSQVLSIKRQHSNVKVGLSIGGDSVGSRSVDFTAFSIDSWVSNAVSSLTRVIKQYHLDGIDIDYEHFDATPTIFAQCIGRLVTTLKNNRVISFASIAPFDDGEVQRHYLALWRSSARVFDYVNFQFYAYDRSTTVAQFLRFFDEQASNYDGRKLLVSFSTDSSGGLKPDKGFFTACQNLKSKGKLNGIFVWSADDSKASGFRFERQSQALLAKSYK >cds-PLY74537.1 pep primary_assembly:Lsat_Salinas_v7:7:40569172:40570357:1 gene:gene-LSAT_7X32521 transcript:rna-gnl|WGS:NBSK|LSAT_7X32521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTSAAEPSSAMNESPNWLEIPDEVMVNIFQRLHTSEILNSARKVCTTWLKICKYPAMWKVIHMVKRHATDWGWGKALTMELVDLSCGELIDISIGCFGSDELLDHIVQLSRNLKRLCLVNCFRITGGALSLAVERLPKLEELHLSKTYINAKDLEVIGRICPQLKSFKMSKVLLSESFDNHALAIADNMHELRHLDVSDTKMTNEGLESIVNGCPHLESLDVRMCYNLDLDGSLEKLCKKRIKDFKHSSTQNRPLYHQFSDSDDDQMYDDFSESDFFED >cds-PLY93678.1 pep primary_assembly:Lsat_Salinas_v7:2:201865254:201865502:-1 gene:gene-LSAT_2X123161 transcript:rna-gnl|WGS:NBSK|LSAT_2X123161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTLPPWNGNISYKKLTTTKPLPQMLKSTSSLLCCSYFSMAETDDRIAIENSSMAEDAKQSSQRFFVPLPSSAAKKIKGGDR >cds-PLY93836.1 pep primary_assembly:Lsat_Salinas_v7:6:143011762:143012145:1 gene:gene-LSAT_6X85360 transcript:rna-gnl|WGS:NBSK|LSAT_6X85360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKSPPPMFNTIKTLRSENTLIFSSHRNPSRHPFFFFRLRSLRPFVRPVIVVNLETLHRSHHYHHWPTPLPLLNLFENPSIWKPSSPIEEPMEKNEYMKDLGFSEEDREGERDGFSFEGFHICVRVS >cds-PLY83720.1 pep primary_assembly:Lsat_Salinas_v7:4:43353490:43355041:1 gene:gene-LSAT_4X28901 transcript:rna-gnl|WGS:NBSK|LSAT_4X28901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPLLPSHSKFIRTPSQLRHLAIENEISIPPSLTPSEFKDMLIFGSPRGDPSSQTVDALNLRLNIDNSSNSPLHFASSSNSNLGSNPLPIINPELQIQITPSRPVDADFPIKKKNLHRSKTAPAICNINELDQNSKNKPPQLAFPSIVRQSVVLLVVYLLLGVIIYWYNRENFIGKETYVVVDALYFCIVTMCTIGYGDILPNSPVTKMFSILFVLVGFGFIDILLSGMVSYVLDLQENYLLSSLSNGKRRDHPSYIIDYKKGRMRIRMKVGLALGVVVLCIGIGVGVMHFVEKLGWLDSFYLSVMSVTTVGYGDLGFSTTTGRVFASGWLLVSTLAVARAFLYLAEARVDKRHRRMVKWVLDQDLTVAQFLAADIDNNGSVR >cds-PLY75277.1 pep primary_assembly:Lsat_Salinas_v7:3:158435293:158436439:1 gene:gene-LSAT_3X98961 transcript:rna-gnl|WGS:NBSK|LSAT_3X98961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDSRSSIPVEMAKFEQSNQSKKIPISACEQSRSAFIDLIILIAVIGACGFLIHPYVTLLLSKTLETLTPIMIAIHQELMTAPMIYMCLGLTIFTTSMAVLAMTLCTNPKCGKPGCRGLSNGAAFDIQIETEESIKNSNSNSNSSSVKCGLKTGLFELPRDYHRELEAELKKMAPANGRVILVFRSRCGCSVGRMEVHGPKKSNRKIKR >cds-PLY84004.1 pep primary_assembly:Lsat_Salinas_v7:8:36477324:36477827:1 gene:gene-LSAT_8X28601 transcript:rna-gnl|WGS:NBSK|LSAT_8X28601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATISSSAVAIPSFTGLKSAGSATTSKVTVNLVSATPKFSIKASLKEAAGIATVAFAASALLASNALAAEILLGEEDGGLSFVPSSITVASGEKIVFKNNKGFPHNVVFDEDEIPAGVDASKISMSEEDLLNGPGDTYTVTLTEKGTYSYYCAPHQGAGMVGKITVN >cds-PLY95576.1 pep primary_assembly:Lsat_Salinas_v7:MU038651.1:6583:16715:1 gene:gene-LSAT_0X27260 transcript:rna-gnl|WGS:NBSK|LSAT_0X27260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLHAANPAMTPSSDPTIDVMMKRLILPYVDVRHQPVRPVSYELQKARAVAMTYEARTRSKMLGERDSNEEEKRRKWRLRLAEKDIGGGLWPVVEKAMGEDEGWDFLES >cds-PLY70917.1 pep primary_assembly:Lsat_Salinas_v7:9:14802852:14807111:1 gene:gene-LSAT_9X12200 transcript:rna-gnl|WGS:NBSK|LSAT_9X12200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTSAKAFMTEGKVATDPVDTTTESKEHQQQLHVMQQRNVHLQRRDPNHPPLGGSVNAMNMNGDGMMGKPSASSSTLGVKLQEEPMKHSYSMVSETSPALLDSNKMTLMKSATNQQGLLVPDNSPNVSSTLQQIQGRPQLNTEIKQEVNLGNTQKSLPTDPSSIYGQAIFQSNSGLASVGLNQGVPGLPLKGWPLTGIDSLRPNMGLQVQNPNMQNQNQFYLASQQQQALGASPNYGAVGLPRGSQSMKDGQPTRNDGSVGSPGQMNSPKMPQMQQSTSQQHDQLQQQVSNRKRKQQHSSSAGPANSSGTGNTPSSPASTHTPGPGTADGMTTIATSLHHLPKTIIMYPSSDQGTAALASSTNQLDDIEHFGDVSLDDNVESFLQHEGGGDGRQVYGSSMEHGYPVHLQTETNAFLAGIVNYLCWDMNGEYLASVSDEIVRVWSVASGECIHELTSNENQFYSCVFHPSYSALLVVGGMRVVLKLSFHYLLE >cds-PLY65373.1 pep primary_assembly:Lsat_Salinas_v7:6:41815557:41818156:1 gene:gene-LSAT_6X32141 transcript:rna-gnl|WGS:NBSK|LSAT_6X32141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTTIRRSANYQPSSWSYEYVQSLDSKYTGEKYMEKFQSLKEAVRTMIRNEYEKEENTSSALSLVDDLQRLGISYHFVNEIRDVLEKIYSNYFKSHDKWSKMDLNLTSLGFRLLRQHGYHIPQEIFEDLKDETGNFKGHLYEDIDGMLNLYEASYHSVEDESILDEARDFTRTHLNEILEYNNICDDQNMLSIISHALAFPLHWRVPRVEAKWFIQTYERRSVMNSTLLELANLDFNMVQAIHQQDLKKASRWWKDTCWEKLGFARDHLVESFMWSIGQNFMPHFQGRGTLTKVFAMITTIDDVYDVYGTLAELEQFTDIVNRWDVNVIEELPDYMRICFLALYNSINEISYNTLTNHGFFVLPYLKKTWQDLCNSYLVEAKWYNNGYIPTLNEFLNNAYVSIGVGVVAMHAYLLTLTSVSHEELQEIGRAENIIRHASVIVRLTNDLATSSEELETGDVPKSIQCYMQESGATEVEAREHIRLLILETWKKLNKERQTIGSSFPQEFIECVTNLARMGHFAYDVNKHTYPDMMRTHVLSLFVNPINGLPYA >cds-PLY92117.1 pep primary_assembly:Lsat_Salinas_v7:9:193229537:193232045:-1 gene:gene-LSAT_9X116041 transcript:rna-gnl|WGS:NBSK|LSAT_9X116041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGIGSLSRRGFEVRLSGHNRGKSQGSVNDLSDNNHSALVIQNSRWANLPPELLFDVIKRLEESESSWPARKHVVACAAVCRSWRSMCKEIVRTPESCGKITFPVSLKQPGSRDGTIQCFIKRDKSNLTYHLYLCLSPAALLVENGKFLLSAKRTRRTTCTEYVISMDPDNISRSSSTYIGKLRSNFLGTKFIIYDTQPPHFNTNTQPPPGRSSRRFHSKKVSPKVPSGSYNIAHITYELNVLGTRGPRRMHCIMQSIPASSLDPGGSVPGQPELLLPSSSSLEDSFRSISFSKSLDRSTEFSSSRFSEIIGAATSSDVPESEKSKMPLVLKNKAPRWHEQLQCWCLNFRGRVTIASVKNFQLIAAQPPPVPPPPAAAAAGGSGSHPEHDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >cds-PLY71055.1 pep primary_assembly:Lsat_Salinas_v7:3:160885547:160889393:-1 gene:gene-LSAT_3X101421 transcript:rna-gnl|WGS:NBSK|LSAT_3X101421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVALEQAAQSRSRRSKWKFMGTSDAGAKEELHRVYCVSERTEGNPSLGNASSFQKTTWEGGQYNRDEKSRLDALCLAMELGADHIDVELQTLICGLVGVPPSNGVLPQSTMHTRSLATLERQVPHIMQKKMVKIAKEGKKIEASSLEIYGKMHVAFVQMDPHAQVL >cds-PLY76134.1 pep primary_assembly:Lsat_Salinas_v7:9:31763932:31764765:-1 gene:gene-LSAT_9X27440 transcript:rna-gnl|WGS:NBSK|LSAT_9X27440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNCRRTTAPFKPWKKGPTRGKGGPQNAACEFRGVRQRTWGKWVAEIREPKKRTRLWLGSFATAEEAAMAYDEAARRLYGPDAYLNLPHLRSNFNPLNKSHKFKWFSSNKFMSIFPATGLLNLNAQPSVHVIHQRLEELKRTQVSSSSSSSSNSSSIVDQISHDQVQVTSENSKTHLKESVQKPQIDLNEFLQQLGVLKKDEQTDKVTSDESYCGFKEIELSSSSKAVVEESDLGEEDFNWDVLSEIHGSHDDYNNQIFDVHDHDQELVLPTTIWNF >cds-PLY82987.1 pep primary_assembly:Lsat_Salinas_v7:1:19233310:19236508:1 gene:gene-LSAT_1X16700 transcript:rna-gnl|WGS:NBSK|LSAT_1X16700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLENLDTLNSSGTDDKQGTENREDLDSRASGTKTNGGDSSDNEATSSVNDKGIKRSAGGDIAPTTRHYRSVSMDSFMGRMNFADESPKLPPSPGGHIGQLSPNNSIDSNSNTNTFSLEFGNGEFTGAELKKIMANEKLAEIALSDPKRAKRILANRQSAARSKERKMRYITELEHKVRRSLIRNMWNERIKGTKRNVEVWQALLVVRSLVFPPTDEDSETWLKFASLCRKSSRISQAKSTLIKLLQDLAIELSSSSGLQVSTPTGFGGVPHFLAETLDVVQDIDWVISLGNAFAKQYELYTYDDEHSALLHSFLNAGLFWCWIKWSSGLVCASGKEPTQCPRXCFYVFCASGKVDGRKEYEAT >cds-PLY68246.1 pep primary_assembly:Lsat_Salinas_v7:3:103013607:103018532:-1 gene:gene-LSAT_3X77061 transcript:rna-gnl|WGS:NBSK|LSAT_3X77061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKEDVHQLKMLNDDAHQLEMLEEDAHYFMMLIDDAQDDMATYVEPPNGFRQIGKHYYTIWQQLFEIDTKYIPIKPIGRGAYGVVCSSINRETNEKVAIKKINNVFGNPTDALRTLRELKLLRHIRHENVIGLKDVMFPIYRNSFKDVYLVYELMDTDLHHIIKSSQPLSNDHCKFFLFQLLRGLKYLHSANVLHRDLKPGNLLVNANCELKICDFGLARTSQGSEQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRKPLFPGTECLNQLKLIINILGSQNEDDIGFIDNAKARRFIKTLPFTRGICLSSLYPAADPLALDLLRRMLVFDPAKRITVTEALQHPYMAGLFDPRQNPGAQMAINLDLDENMNEEMIREEMLREMLHYHPEAAYQMVFQR >cds-PLY63631.1 pep primary_assembly:Lsat_Salinas_v7:4:131626586:131627490:-1 gene:gene-LSAT_4X81901 transcript:rna-gnl|WGS:NBSK|LSAT_4X81901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METVKRNTGKNNFLVKTWKRCRSFSHVHSYRGGVGGLPKSRSWNGKERMKKEIAPEGYFPVCVGPGKQRFAVKTKYASHPLFAMLLEDAEKEYGYHCDGPISLPCDVDLFYKVLSEMEAKDVQPLRWSFAYGSCSPFNPSRRLGSNGADEMAKLGYGYYGPLTPSSWINMS >cds-PLY85626.1 pep primary_assembly:Lsat_Salinas_v7:5:1830083:1830382:-1 gene:gene-LSAT_0X40381 transcript:rna-gnl|WGS:NBSK|LSAT_0X40381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFINEVVNGGVTIILFVVTNPVCVPKKRNLYIFRDLKGAWKHIRTLEWKWKRDGTPVPSEMVRSLAQKKGLIRIILTWFCFLYFFNNTGSASSPTRIE >cds-PLY69346.1 pep primary_assembly:Lsat_Salinas_v7:7:80151450:80155544:1 gene:gene-LSAT_7X56960 transcript:rna-gnl|WGS:NBSK|LSAT_7X56960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKRYPVATGGILHKIKKAACLDASSSDTGKGKSKSSDNQVSHGFHLVEGQSGHDMEDYHVAEYRNIKGHVLGLFAIFDGHLGDRVPSYLKDNLFNNILNEPSFWKDPMKAIKDAYRSTDQFILENSGQLGPGGSTAVTAIVIDGKDLWVANIGDSRAVLCERGSANQLTVDHEPHVERKRIEKQGGFVTILPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDIRHVPIDPTIELVILASDGLWKVMSNHEAIEMVKSIKDPLAAAKRLTSEALARKSKDDISCIVIRFG >cds-PLY89435.1 pep primary_assembly:Lsat_Salinas_v7:8:30360660:30360851:-1 gene:gene-LSAT_8X21681 transcript:rna-gnl|WGS:NBSK|LSAT_8X21681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDQETDRGRMAIIGGPSLERVTELSTEWFRFWNSISSWGFRFEGYKMWCGFISLDQFRFKGG >cds-PLY81173.1 pep primary_assembly:Lsat_Salinas_v7:9:20936697:20943888:1 gene:gene-LSAT_9X19960 transcript:rna-gnl|WGS:NBSK|LSAT_9X19960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQSTTSLTGESDILEHIADRYKKSRSPHHRQLYAAAAYTQCMIKSKSLPVTPLNYFVNIIDALYDSSYSHTLSNSTFNADAMSGMSSFLTFVLTMVPEKSISMSKAAEAVEIVVKCLEVEFVSLRVLRFMECLRVLLEICDLGDWDIVKLSFQTFIKYAVDNKNEKVRKCAEHCVLKVFKCFQNSIIKESATKLVLDTFRNYILAVEKADGSKDDEGSKCEHLKALHMLDLLKRFIPFLTKPEDMQEVILELQKCMTAKFSTLYVLDVMEEILALIESESELTFVKTVKISDKWKSDYSILIQSITGLLICEATAARASNILKEMFSRVLFSEVELNAESEQSNLVRCLCDSLLEVLRTYPNEHSLSVISALFLHLGLDSFIYMDRIFMKTVGFMSDASTCDVKHFEQCIGSAVMVMGPDKIHTLYPLSFDANEQTCSNTWLLPIYKEYVIYSHVRFFIRTIVPLSESFRKACKRGKKMAVKRKQMQSLSRTCWELLPSFCRYPHDLEESFGSLAEILIPNIKENASMLESIAIALQCLVKQNMSLSLSFPGSSGVIDMGIEKENAERNIKVMASWSEALLKAFTNVFFQVSPEKRSFLKETIGCLANIAEFPTSKAIFISSLERVKPDVSDQKNANIGLIHELASAIVADVGMDPIDFIYCCIGDCLKEDDEEAYANLYILLESFEFMSSRFEQLVDLLRDLKSPVDIISFRWRFLCLKTLLFHSFERTCDGENKYGVWVLNEIIVTLKDDKEDRREVAHDILLDTSSIMQSKPETYYKFITMMMGYLFGFSPCITSGAILALSIMLSNDSKICELKPDLVPEILELLDREEDIQVAEAVLWFLKMLVLSLEVAELKMVLCDILNGLQSWSFVSDLPEILLLERGDFRNVFEICTTSLEEGKETSRSPQHDFKANVNEIFENIVQKCGSASVESLVPEKYRLGFRICLESAPSSPEEGKANEALRALITSMFVSSQEEGFCCRQQLGT >cds-PLY88690.1 pep primary_assembly:Lsat_Salinas_v7:5:69137835:69139340:-1 gene:gene-LSAT_5X32440 transcript:rna-gnl|WGS:NBSK|LSAT_5X32440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 22 [Source:Projected from Arabidopsis thaliana (AT2G25620) UniProtKB/Swiss-Prot;Acc:Q9SLA1] MEDASVEILNQEDCKAEFVPLVRSGAWADMGFRGSMEDVYICADSFVHDYGGKNPIQGPGAFYAVFDGHGGKHAADFACHHLPRFIFEDNDFPMEVEKVISAAFTQTDTAFAEACTLDADLASGTTALAALIIGSSLVVANAGDCRAVLCRRGKAIEMSRDHKPICIKERKRIEASGGYVYDGYLNGQLNVARAIGDWHMDGLKNQTGGGGPLSSEPEFMTTKLTEEDEFLIIGCDGIWDVFMSQNAVDFARRRLQEHNDPVLCSQDLVNEALKRKSGDNLSAVVVCFQAEPPRNLVAPRGRVQRSVSAEGLKELQSFLDGLEI >cds-PLY66770.1 pep primary_assembly:Lsat_Salinas_v7:3:65659735:65661118:1 gene:gene-LSAT_3X51261 transcript:rna-gnl|WGS:NBSK|LSAT_3X51261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASISKMVSIFIIVIALLCTKAFSQCEDETNNPCNNKSKALSLKIIGIATILVTSIIGVCLPLITRSIPALSPDRSLFVIVKAFASGIILATGFMHVLPDSFDMLRSTCLSDNPWHKFPFTGFVAMLSTIFTLMVDSMATSMYTTKNNAISAEGGEPVAGDHEMAVAGGSGAAHFHGHHHGQKGPIGAQLLRYRVVAMVLELGIVVHSIVIGLGVGASNDVCTIKPLVAALCFHQMFEGMGLGGCILQAEYKPLKKALMVFFFSITTPFGIALGIGLAKTYKENSPSALITVGLLNASSAGLLIYMALVDLLAADFMGSKLQGSIKLQIKSFAAVLLGAGGMSLMAKWA >cds-PLY96939.1 pep primary_assembly:Lsat_Salinas_v7:1:142865873:142867711:-1 gene:gene-LSAT_1X104160 transcript:rna-gnl|WGS:NBSK|LSAT_1X104160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTACFNRLNKEDYSIYNFSPRKLQRLPELSPFLNPLLIHGFNHSGHLMFTINANNRILIRTDSSERKDNYHNIMDNFGDLWF >cds-PLY98409.1 pep primary_assembly:Lsat_Salinas_v7:8:306952606:306956982:-1 gene:gene-LSAT_8X166621 transcript:rna-gnl|WGS:NBSK|LSAT_8X166621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNSNREDSDIDDDFSDLYKEYTGPPRSNTNTSGPDTTITKANKRSYAGSDEEDGTRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPARDPQVKALFSEKVIRQIEKDIGCKIKMEEKFMIVSGKDRLILAKGVDAVHKIKNEGDKKVTRSRSPEGRSPISSRMGRSNNPSPRNPSHYNQRFSSSSRQEKMIEDVHRLPRGSPQLAYGNDGARGRSTHSKSPYSGGGGGGGSYDDERRAGAGAGAMQRNKGWVDGTDKQSSSNGPQTLEDLHLEYQRDAMDITEIRDKEEDEENNRHRQAVREMREDYMKRFGMVRAMHAKQWEEFLQVEAQRRQQPPPPASGFGGYKQDGYPEYESSGYGGGTGNMGMDSRVRYPDIDTYPSSRSHDSYGGEFQQRQSQRHEDYGKAYNNNNNNNNRY >cds-PLY72183.1 pep primary_assembly:Lsat_Salinas_v7:7:57383378:57385184:1 gene:gene-LSAT_7X41720 transcript:rna-gnl|WGS:NBSK|LSAT_7X41720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRSETRIQNHDDDASSSCKKRLKSFDKGDVAPWSDVNHDMLFIVMMKLGVVDFLAFSRVCKSWRSFAVSNRNMFMVSKPPMKIRFGLLDNKEDYYCCFEDFEGKRFKTILPHSAGRTCVGLTCGYFIYFSTETRDFWLVNPITSHELHFPDYPLYVGVDEDRIKAILVFSPSISGWVFVVLRTTLSGYRARKTKISYYITGKRGWNHVSSALPILDLHVFKGKMYTLHTHCSLGELRLNLNSKCKGKWRSLETKSFLKLDMFKPRLVSSNEKLYVMHWVSRPKKVMELDFGEMKWVLPDKTIQEYAIFYSYLKSCVVIKPELWAGLWTQSISNGCFLDTDESQQGVFCYQGMWYFPHDCLNVNLLDE >cds-PLY66422.1 pep primary_assembly:Lsat_Salinas_v7:9:38386854:38389072:1 gene:gene-LSAT_9X33841 transcript:rna-gnl|WGS:NBSK|LSAT_9X33841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANMKDLHLDILNVIVVMIATSSDGARDLARASAVFKNFKTQAQQPHILKMVNFQRLTSTTDTLRKHRERNGLLCMCARAGNQAAKSILGKAILLRDSWFFGMIYNDNQQAYYGCIASSQVLHHHNLVRTFILSAPSKEIVVMRQYLVKYVIAHAGYNAASECGLIAAICTLCNTEAARHRATRVGSDQNQATISSFIDILALLEPPPEAMFRDTVVILFDKLFPSARD >cds-PLY77545.1 pep primary_assembly:Lsat_Salinas_v7:2:165169888:165171810:1 gene:gene-LSAT_2X89480 transcript:rna-gnl|WGS:NBSK|LSAT_2X89480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDARASQLVKELRYPMNPSIKHLTDFTKGSGDASDSRSTDSPAESARTGSSHEEDEGRQLSYFGGSCSRNTSPIGRGLGLRNTSPSRQKAVKTKPRGLDEEMIATFPKAPHPDIHMEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCKRWNSILQDNSFLKFHSQVPSHGPCLLTFWKNSQTPQCSVFSLPLKQWYKIPFTFLPQWAFWLVGSSGGLVCFSGLDGLTFRTLICNPLTQSWRTLPSMHYNQQRQLIMVVDRKKRSFKVIAASDIYGDRSLPTEVYDSKADSWSLHQTMPAVNLCSSKMAFCDSRLYLETISPLGLMMYQLDAGYWEHIPARFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHAKVIWIEISRMPPRYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLFDVDKKVWSWIAGCALQSYNSQVCFYEPRFDASIY >cds-PLY81332.1 pep primary_assembly:Lsat_Salinas_v7:4:37505758:37507630:1 gene:gene-LSAT_4X24940 transcript:rna-gnl|WGS:NBSK|LSAT_4X24940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPLFFFLISAHQNLPPDPLRNRVLSPSLQSSASSPPPVVGVLSDSCTSDDFCRDISFKTQFLVRLFPPFLYSSTPADMEMEWMKKMGEIAHDKFKTNGISMHVAEKGDGPVVLLLPR >cds-PLY68344.1 pep primary_assembly:Lsat_Salinas_v7:8:298508449:298509102:1 gene:gene-LSAT_8X164801 transcript:rna-gnl|WGS:NBSK|LSAT_8X164801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRNATKIKKAGSTSLTGWFDEGEERKQKSCNRWLVCGWQPTELQGQQEAVILVDYVLDYWFKETLSQAVDPKLNDDLDVEEMDLVLKVGLLCSHSMPMVRSSMSQVLKSLTRNEPLPANFNTVLEIRDDYSSRIGEALQVLTFRKYSTQWRRCRSQNRSCPPAGDVHEHLCKMYLIYLFILSPPVLLMAKKNCYESVGEEHQRVKVKKNFKVESTR >cds-PLY96562.1 pep primary_assembly:Lsat_Salinas_v7:4:368426688:368428037:1 gene:gene-LSAT_4X180620 transcript:rna-gnl|WGS:NBSK|LSAT_4X180620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDTSHSSNQFKVDGDHNTVIQQFLPNNISIKREQLFWYDIRSFPDSLLVEILARLPLKSIFRFKRVYKHWHTLISHPSFCRFYFSILNSNAASFRILYRYIYLSNFKELLNRFRPEIHTCSEFSLLFLSSIEEHEGQRPYQFKVLAMSNGLILCCLWSSSPFVYYVCDPVTRQWIVLPTSTSQSQFFFGEGLITRVNQDHILTDYTVVRVNFPESQSNHLNLEMFSSETGKWVSYELHCPTPIKLRNIHGGAPIHCYEAFHWLTHNHGMVAFDPYKDPKSVRLIPLPRDRDLESEHNYDGLYRLFDEWHGTLRYFEVADDPTKLYFLTMWSMKDYEKGEWCCEFMVMRRDLELSNWLLKGKFLPISFHPLNLNVVYLRCIEIGCIVSYDVVNRRLDVVCKLNGGLEDEEVFSWRVVIPFLLPRWPQPLPATPRSATPPPPPPLRPW >cds-PLY67129.1 pep primary_assembly:Lsat_Salinas_v7:5:290993047:290995896:1 gene:gene-LSAT_5X154601 transcript:rna-gnl|WGS:NBSK|LSAT_5X154601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNAIRFFQLFNKTNIPSVGLGTWQSEPGVVGDAVTAAIKMGYRHIDCAQIYGNEREIGLALKKLFQDDVVKREDLWITSKLWCSDHAPEDVPVALDRTLNDLQLDYIDLYLIHWPVRMKKGSIEPKPENLAPVDIPNTWKAMEKLYDSGKVKAIGVSNFSTKKLGDLLDVARVPPAVNQVECHPSWKQTKLRDFCKSKGVHLSGYSPLGSPGTSYIKSDLLKQPILITVAEKLHKTPAQVALRWGLQMGHSILPKSTSESRIKENFDVFDWSIPDDLFTKISSDIEQVWMRAKNSTTCYFLSCTA >cds-PLY98583.1 pep primary_assembly:Lsat_Salinas_v7:1:38830971:38835023:-1 gene:gene-LSAT_1X33321 transcript:rna-gnl|WGS:NBSK|LSAT_1X33321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGTLHVTIYEVDKLHSSGGGNIFKKLVANIEETVGFGKGTAKIYATIDLEKARVGRTRKIENEVENPRWYESFHIYCAHLASDVIFTVKDDNPIGATLIGRAYVPVRDLLGGEELDRWVEILDTDKNPISAGSKIHVKLQFFDVTQDRNWNVGVKSGKYPGVPYTFFTQRQGCRVSLYQDAHVPDNFIPEIPLAGGKNYEPHRCWEDVFDAITNAKHFIYITGWSVYTGITLIRDTRRQKAGGDVTLGELLKTKASEGVRVLMLVWDDRTSVDVFKKDGLMATHDEDTENYFRGSEVHCVLCPRNPDDGGSFIQDLQISTMFTHHQKILVVDSAMPTPESQSRRVVSFVGGIDLCDGRYDSPFHSLFRTLDTAHHDDFHQPNYPGASITKGGPREPWHDIHSRLEGPVAWDVLFNFEQRWKKQGGKDILVNLRELDEITPPSPIMFPDDQETWNVQVFRSIDGGAAFGFPDTPEEAAKAGLVSGKDNIIDRSIQDAYIHAIRRAKRFIYIENQYFLGSSYGWEPDGIKGEDVGALHLIPKELSLKITTKIEAGEKFTVYVVVPMWPEGIPESGSVQAILDWQRRTMDMMYKDIIQALQAQGREEDPRDYLTFFCLGNREMKQEGEYIPSESPDPDTDYLKAQEARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDTEIAMGAYQPFHLSTRESARGQIYGFRMALWYEHMGMLDENFQNPENINCVKKVNQIAEKYWDLFASENLEQDLPGHLLRYPIGVDSEGNVTELPGTEFFPDTKARVLGAKSDYLPPILTA >cds-PLY88193.1 pep primary_assembly:Lsat_Salinas_v7:5:220781296:220782121:1 gene:gene-LSAT_5X102961 transcript:rna-gnl|WGS:NBSK|LSAT_5X102961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRDKDPTTPHQPLLSSLVVRPTDSGGGGGSDYEPGEVRRDPPPYSRSDRFAENNHAEKRRLVQELEVLRKPVILI >cds-PLY82236.1 pep primary_assembly:Lsat_Salinas_v7:1:66463312:66464227:1 gene:gene-LSAT_1X56861 transcript:rna-gnl|WGS:NBSK|LSAT_1X56861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIRKIVIRQYTVDGPQPLLEVQHPSNNRFAEVAGGTTAECAAVCCCCPFAFMNLLVLAVYGVPAGLFRKALRKKRRRRLLKKGLLVQGVDVDGDRSSSDAGELLIHHSISYNVTELLIDSPEERFMMKPMVFDSEVIELENEMWDKFYGTGFWRSISQRVD >cds-PLY98363.1 pep primary_assembly:Lsat_Salinas_v7:5:316853107:316854942:-1 gene:gene-LSAT_5X174401 transcript:rna-gnl|WGS:NBSK|LSAT_5X174401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEARLLFIFIICLFSVNTCSSSSSDFVEIIPIKEAEKVQVTVYYESLCPYCENFIVNYLIDVFTEGIDAIADVKLFPYGNAKVNANGNITCQHGEQECVFNTIEACAINAWPDVHDHFPFVFCVERYLYHDKADQWESCFQELSLDPKPVKDCYNSGYGNQLELQYAVDTNSLQPPKKYVPWVVVDGQPLYDDYIYIVNNICRAYKGPTLPKACLRCLALSENRMISAPQDKLIPLHPVTYPESANPLFSKIRSSLMSWIFMENNAV >cds-PLY76153.1 pep primary_assembly:Lsat_Salinas_v7:4:51535665:51538425:1 gene:gene-LSAT_4X35160 transcript:rna-gnl|WGS:NBSK|LSAT_4X35160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRSLYFTVQLFLRYPPPSLPTPTTSPKRTLYFRSLRRSISAVTATATATMDQNLIKKSSSPAEHIAGPWYSVPELRLRDHHFTVPLDYSLSQSPKISVFAREAVSVGKEDQALPYLLYLQGGPGFESPRPTESSGWLNKACEEHRRGTGLSTPLTTSSMLQFKSPQELVDYLKYFRADNIVNDAEFIRKHLVPDAAPWKLLGQSFGGFCGVTYLSFAPQGLKQVFLTGGIPPIKDGCTAETVYRACIDPIVLQNEKYYKRYPQDIQIIRDVVIHLAESEGGGVPLPSGGVLTPRGLQLLGLTGLGASTGFERLHYLFERVWDPVIVPGSKKQISYYFLNSFERWLSFDTNPLYALLHESIYCQGAASQWAAHRVRLEHESKLDAVKAAKENRPVLLTGEEAANLLAEKKDWPPLYNKTALNNNKVPVAAAVYYEDMFVNFNVAMETSKEIGGIRLWVTNEYMHSGLRDGATHVLDHLMGLLNGKKPLF >cds-PLY61705.1 pep primary_assembly:Lsat_Salinas_v7:5:217088317:217088577:-1 gene:gene-LSAT_5X100080 transcript:rna-gnl|WGS:NBSK|LSAT_5X100080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRISNKKKRKAVEDSLEDEVVPESPIRGDNMGVLSPTRYSPIKSNFEAIGGLDGSVKTSYVHITINQGDNPKVSTPKKTVVTPP >cds-PLY67876.1 pep primary_assembly:Lsat_Salinas_v7:5:5830094:5831321:1 gene:gene-LSAT_5X2821 transcript:rna-gnl|WGS:NBSK|LSAT_5X2821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHSLTTRQKGFIGSDLCKVVDKLKICFQGELRKTTRKHHRPPEIGFHLTLVITATICCWMMWAIVYLAQMNPLIVPILSEGE >cds-PLY89329.1 pep primary_assembly:Lsat_Salinas_v7:7:177592553:177596186:-1 gene:gene-LSAT_7X105200 transcript:rna-gnl|WGS:NBSK|LSAT_7X105200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline transporter protein 1 [Source:Projected from Arabidopsis thaliana (AT3G15380) UniProtKB/Swiss-Prot;Acc:Q94AN2] MRGPVIGKYPSSDGNGEVGAGNGIIKHNRKCRDIVFLVFFIAFWISMIVNSSFGFNQGNPLRLNFGLDYKGNVCGGKHSDFDLRELELKYWVNPNQVYQSGMKNSGVKLSNARTICLLDCPIPSEDSLNWVCDYPEGDIRLSLDDWIDMNYDYFADLTPELRNTSLQLQGPCYPVIFPSVNVYWSCQFIDRPSNVSLTNWKRMNGVTINDDMIIDKSIHNSINARSSVLKRYVADVGKSWPVLIVCGGLLPLFLSLLWLLMIRHFVTGMPWVTIFLFNGLIISVTMFYYMKAGWIGNDAISPIIGEHDPYYHVTSREQHHMRAAAFLMTCVMIISVLSSIAIVRRILMATSVAAKVIGEVHALIIFPVIPYLILAVFYMIWVSAALHLFSSGEIVRNDCSTNCCAYDLKAKRVSCDDCCGYSIHYTNHIAASILFHLFGCYWATQFLIASSSTVIAGSVASYYWARGESSTTIPFLPVFSSMKLLTRYSLGSIAIGSLIVSFIESTRFILEAIRRRLKVADIMPENFIKRMMFHTSRFCLKGIELTIKSVNRNAYIMIAITGKGFFKASEIATNLIISNILRIGRVNVIGDVILFLGKLCVSLASALFAFLMLDTHKYKSSHNKITSPLFPVMVCWGLGYVVATLFFGVVEMSIDTIILSYCQDAEEHQGTAHYAPPLLIETFEEDNEVQRIGQ >cds-PLY87969.1 pep primary_assembly:Lsat_Salinas_v7:3:178156275:178158173:-1 gene:gene-LSAT_3X107800 transcript:rna-gnl|WGS:NBSK|LSAT_3X107800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAITYSFNFKSYIFPLLLVLLSTHSSATSTSITDRFTQCLNNRADPSFPLNGQLYTPDNSSFPSVLQAYIRNLRFNESTTPKPILIITALHPSHIQAAVVCAKTHRLLMKTRSGGHDYEGLSYVTNSNQPFFVVDIFNLRSINVSIEDETAWVQAGATLGEVYYRIAEKSNSLAFPAGVCPTVGVGGHFSGGGYGNLMRKYGLSVDNIVDAQLIDVNGKLLNRKSMGEDLFWAITGGGGVSFGVVVAYKIKLVRVPTTVTVFNVQRTSEQNLSTIAHRWIQVADKLDNDLFLRMTFNVINNTNGEKTIRGLFPTLYLGNSTALVTLLNKDFPELGVEISDCIEMSWIESVLFYTNFPIGTPTTALLSRTPQRLNPFKIKSDYVKKTISKQGFESIFEKMKELENQMLAFNPYGGRMSEISEFAKPFPHRSGNIAKIQYEVNWDELGVEAANRYLNFTRVMYDYMTPFVSKNPREAFLNYRDLDIGVNSHGKNAYVEGMVYGHKYFKETNYKRLTMVKTRVDPSNFFRNEQSIPTLSSSWK >cds-PLY85677.1 pep primary_assembly:Lsat_Salinas_v7:7:158779156:158780984:-1 gene:gene-LSAT_7X92661 transcript:rna-gnl|WGS:NBSK|LSAT_7X92661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDTYTIKGTNKLVRPGDCVLMRPADSDKPPYVARVEKLEADHRNNVKVRVRWYYRPEESIGGRRQFHGVKELFLSDHYDMQSAHTIEGKCIVHSFKNYTKLDNVGTEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKLDQFLCSDCSSDDDAKGSLNSFPISPSTEAKMEAKRRKQR >cds-PLY71930.1 pep primary_assembly:Lsat_Salinas_v7:3:24850196:24851647:-1 gene:gene-LSAT_3X18441 transcript:rna-gnl|WGS:NBSK|LSAT_3X18441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TRN2 [Source:Projected from Arabidopsis thaliana (AT5G46700) UniProtKB/TrEMBL;Acc:A0A178ULL6] MAMSNNVIGGINFIAMLLSIPIIGAGIWLSTEADNTCVQILQWPVIILGILILVVAIAGFIGGFWRISWLLVIYLIAMLVLIILLTCLVVFVYMVTIRGSGHPEPSRSFLEYRLDDYSGFLKRRVRSHYKWDRIRTCLSSTTLCAELNQTYRMAQDFFNSHLTPLQSGCCKPPTQCGYTFVNPTYWISPINNAADMDCLNWSNEQTGLCYGCDSCKAGLLENLKKEWRRANIILIITLVALICVYVIGCCAFRNAKTEDLFRKYKQGYT >cds-PLY91490.1 pep primary_assembly:Lsat_Salinas_v7:7:141611617:141614062:-1 gene:gene-LSAT_7X84761 transcript:rna-gnl|WGS:NBSK|LSAT_7X84761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAILLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYEPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDDEGDEGEEY >cds-PLY93886.1 pep primary_assembly:Lsat_Salinas_v7:4:270557565:270562006:1 gene:gene-LSAT_4X139140 transcript:rna-gnl|WGS:NBSK|LSAT_4X139140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRLRHLKQNSIRALLSPLNTNNPFNYSTLAASTTTNPLSLNPLFPSFSSPSHKLQANDLVLTFREWFKSSGNPLLDQILEVLSSIENQDLIVEREAIDAALSSLNLHLTESLVLDVLSYGKDVLSCTKFFDWAGRQPGFHHSRATFHAIFKILSRAKLMSLMFYYLDSYSKLRGGHKSNFHNILVMGYAVAGKPEIALQLFGRMRYQGIDLDDFAYHVLLNALVEEGYFDGVESIATQIKNRGLESEITYSILVKSFCRKKEFDKAESYLRGVINSGIKIKSGGYIVGALVDGLCKNNEFDKAGKLVDEFGEFHVYDIWIRELVRARKLDGAMEFLQKTRNQKTAVYVPDVFRYNSLILRLLRENRLEEVCDLVIEMRENNIPPDELTMNIVLCFFCKAGMVDIAVKLYDSRTEIGLSLSSMAVNYLMNTLCRDGSVIDAYRILKNSLDQGYFPGKTAFSIMADALCKVEKLDIMDDLFRVALEHKIMLSDKFHEKYINALCRIGRLEDGYIRHVELNRLNEVTPKFAYNSLIDGFINRKRGDIAAMLLIQMQEKHHTPTRKLFCSVIQSICEMENPEKQFQKLLEMQLSIQKLGCWVFNHFIEGAGIAKRPDLAKEVYQMMKRNGISPNVSADILLLKSFLSSEKVSNSLSLFYDVSKKRKIGRKVFNTIVVGLCKANKPDIALSIFSEIREKEKTLRPSLECYEELVYVLCKYKRYDKVMDVISDMIRVGRPLSSFIGNNLLLYSLKDQNLYSTWVDSLPTESTESTQSSPMWKLGELVGLFSDRFRDDIDIDELEEVVGKCFPLDIYTYNMLLRKLIKKQVDDASRLFRKICEKGYKPNQWTYETLVHGFYRHGRAAEGKVWLEEMQKLGFTPSEATTVLL >cds-PLY89022.1 pep primary_assembly:Lsat_Salinas_v7:3:250260575:250262496:1 gene:gene-LSAT_3X137720 transcript:rna-gnl|WGS:NBSK|LSAT_3X137720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAMSIRITSLLLISLAVVSAFSPADHYLIDCGTTEPTVVDLDHRTFTQDGSSAVLSATKSFGLKNSNPDPSLSPIYHTARVFTKPSDYKFKIKEKGTHLVRIHFHRFGFKNIGGTCHDQFHVSANGYVLLHNFAAPKGSPIIKDFLIWVDDDELVIRFMPADRSSFAFVNAIEVISAPKDLIPDEYVSSDQNDRINIRHAYETMYRINVGGVKVTPFNDSLWRNWVPDDKFLKLSDASLKSYSKIHFDGRIQYHLGGASREVGPDNMYNSARMISSLNDSIPKLNMTWVFPVEKRFSYLVRLHFCDIASISLGMIYFNVYLNGNLAYENVDLSTLTNYVLASPFYADFVVDPEKFSDAIRVEIGPSNLSRSHAVDGILNGLEIFKMDNSWRSLNGEVCVDQLESKNRSNGQMSRLLSLTGAVCLLAIAFLVMRRKTEVKDSFGWSRVPVDVSEIDLKSSFPKSNGVEV >cds-PLY72284.1 pep primary_assembly:Lsat_Salinas_v7:3:167366065:167367648:-1 gene:gene-LSAT_3X103280 transcript:rna-gnl|WGS:NBSK|LSAT_3X103280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMASRLWASKAASYLKIATFNRGFASVVKDLKYADSHEWAKIEGKCVTIGITDHAQDHLGDVVYVELPEVGTDVTQGSGFGAVESVKATSDINSPVSGKVVEINEQLTSSPGLVNGSPYENGWIIKVEMSNTDEVKSLMDCDQYSKFCEEEDNH >cds-PLY91634.1 pep primary_assembly:Lsat_Salinas_v7:8:12351112:12351807:-1 gene:gene-LSAT_8X9000 transcript:rna-gnl|WGS:NBSK|LSAT_8X9000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHANFFSLFFLTFMATAMAMSMAADPQSSTTTTSTTTQAYNNFVKTSCNATTYPSVCLTSLLPYANSVKSNPVRLVKQALTATVKSASATRSVVSKLAKSKNISKGDAAILKDCIEELKDSIDEITDSLKAISSLGSSSSVNKRFAISNAQTWTSAAITDVYTCIDEFSDQKVSPAVKKKIRSSIVSIARRSSNALYLINHLNI >cds-PLY95369.1 pep primary_assembly:Lsat_Salinas_v7:8:227026480:227028622:-1 gene:gene-LSAT_8X138720 transcript:rna-gnl|WGS:NBSK|LSAT_8X138720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRRITSSDHAAQQDLCAYNSAKSKKKFTLWGSSWRHGDSLLLLYDVLSHVPHTPPVRVRINGGSKSYPVQTTIGSSPDPTSSTGSFVYDVETETPATFFQDRHGFCFDNSGALSDILEGDDADVDDRVNSKD >cds-PLY63823.1 pep primary_assembly:Lsat_Salinas_v7:3:230519803:230526140:-1 gene:gene-LSAT_3X131260 transcript:rna-gnl|WGS:NBSK|LSAT_3X131260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDSSPSFFSRFWSSALRAKPLSNSSDVVRINSGEGLVRRLGPFDLILLGIGASIGAGIFVVTGTVARDAGPGVTISFILAGASCVLNALCYAELASRFPAVVGGAYLYTYTAFNELTAFLVFTQMMLDYHIGAASIARSLASYIINILELVPFFKNNIPNWIGHGGKEIFGFISINLLAPVLLVVLTLILCRGVGESSLVNTIMTITKIIIVVVVIIVGAFEVDDSNWSPFAPNGFKSVLTGATVAFFAYVGFDAVANSAEESKRPQRDLPIGIIGSLVVCVALYIGVSLVITGMIPYKFLGEDAPLAEAFKAKGLNFVSTLISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPAIFARVHPTRHTPIHSQIWVGFIACLLAGLLNVHLLSHVLSVGSLAGYSVVAACVITLRWKDNIGNQISSSMLVSVTHRQEGIICIVVIASCGFAAGIVFRYVVSALSFIFLIIPIAIALLATAALRFRQEQTKASGFSCPWVPIVPSLCIFVNIFLFAQLHYEAWMRFIVLSIVAVGVYAFYGQYHANPVTDRETVIYQKAPDEEAL >cds-PLY93764.1 pep primary_assembly:Lsat_Salinas_v7:6:145194819:145195481:-1 gene:gene-LSAT_6X87901 transcript:rna-gnl|WGS:NBSK|LSAT_6X87901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGSKTTTTLRYAIDTLQSASLKEAGTKSSNSLEEETTLIGLTFGGYLRSKRHEPMMDLTVETEGDIATLKEAYMH >cds-PLY98887.1 pep primary_assembly:Lsat_Salinas_v7:5:20533922:20534556:-1 gene:gene-LSAT_5X10580 transcript:rna-gnl|WGS:NBSK|LSAT_5X10580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLAASSKLTREHVLSILKFIKYLGANHISPVEFIKVIKGERWIRTSRGYMTPNDSSGMESCFTISDIPFIDQKYYVNDLISFKKELALLGVVVNFNYQLILDNLNSSSSLVLLSSEALLLILNCIRNSQSPDKLVQAIKNNRCLKTNLGFKCPYECFLLNPKSQWGRLLHVFRSFSILDEKFYIFSMCNELKKISVMVDLDDAIEE >cds-PLY89645.1 pep primary_assembly:Lsat_Salinas_v7:8:221837325:221838406:-1 gene:gene-LSAT_8X136640 transcript:rna-gnl|WGS:NBSK|LSAT_8X136640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFTDSPVIDLTVNNSKLSFHQDNGSMHVGTTVWPCSLVLVKFAERWLSSTSTTDNPYATLLHFPNKRAVELGTGCGVAAMGLYLLGLKDVVLTDIAPVMPALKHNLKRNKPVLGKMLKTAQLHWTNSDQIKALKPPFDIVIAADVVYIEESVAPLLAAMESMVSDTGVVLLGYQVRSPEAHLLFWEQCNRIFDVEKVPHDHLHPEYAYEESDMYILRKKL >cds-PLY93595.1 pep primary_assembly:Lsat_Salinas_v7:2:175376512:175378851:-1 gene:gene-LSAT_2X99461 transcript:rna-gnl|WGS:NBSK|LSAT_2X99461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYLCSSCHDLETDIQKQLKHDQQLGHDFNGLGDFIGHVVSTEPMRVIKENAGETRLMSIVAQDLRVRVVIYVQDETGSASFILFDRHVKDLIHRCNHWLMEKISKDQGCQKIPDEFNTMLNRKFVFKVQISKFNLENNYHAYTIHKMTDDELEKSVSVEGDNINVVYLDAVTPTSTSLKCPIEIATTIESFEWSSSKEGVAPHTLKIQKMEKLE >cds-PLY94483.1 pep primary_assembly:Lsat_Salinas_v7:2:156259428:156264015:1 gene:gene-LSAT_2X82421 transcript:rna-gnl|WGS:NBSK|LSAT_2X82421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHVGINRNSLSLVAYAPWNASLHNKATANAWVSYNSSTKNLSVYWTYERNPSFQGNSNLSYQIDLKEVLPSWVTIGITASTGQYMERHTLQYWEFNSSLDIKDDNKTTSQKVKLGVGLAVPLGVLLAGGIIAYSIIYLRNHMSPSDETLETINLSSINDDLERGAGPKRFSYRDLALATNNFADDLKLGEGGFGCVYRGYLSRERKVVAVKKISSGSKQGKKEYITEVKVISSLRHRNLVQLIGWCHDDNQFLLVYEFMPNGSLDTHLFGKMDPLSWSVSSESETSDWLDSWTMSSVGPRTTRLVGTLGHLAPEYVTTGKTSKESDVYSFRVVALEIACGRKAMDNIDPNSDLGLVQWVWDFLEKRELLSGVIDPEPEQGIRWETSGVFDDGGVMVRSL >cds-PLY72747.1 pep primary_assembly:Lsat_Salinas_v7:4:373033994:373037447:1 gene:gene-LSAT_4X184060 transcript:rna-gnl|WGS:NBSK|LSAT_4X184060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAALENGTVDISTEAVVVDDDVKFGFQRQEMYTENLSGSVHPYERHVFLCYKTREDWPARVESSDSDLLPKRLAGAIKERKNDIAVKTLMTIFEGGAATGLSDGDVLIFPDMIKYRGLEESNVDSFVEEVIVNGKPWASGTPEVVTGSYVFVCAHSSRDKRCGVCGPALIEKFNEEIEVRALKDQVFVSACSHVGGHKYAGNLIIYSSVEDGKVSGHWYGYVTPNDVAELLDQHIKKGEIIERIWRGEMGVPPVEKADKAVEKSLPNGNDSKVNGKDDEKENTGGCCQGANGFSCCRDESSGPKKSTFNVNFFTKKWEQHEMFTAAAVVGAVATVAVAYSLYKRSR >cds-PLY86701.1 pep primary_assembly:Lsat_Salinas_v7:4:8387374:8389097:-1 gene:gene-LSAT_4X5321 transcript:rna-gnl|WGS:NBSK|LSAT_4X5321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHLNLALYQVLLYELDAIHKACASSEANYQPPVIVVVVQRRHHTRLFANNHHDHNFVDRSGNILPGTIVVSKIDHPTKFDFYPCSHAGIQGTSRPAHYHMLQDENKFSGNDMQSLTNNLCYTYVFGREYDLDLFNIVVVPDFNIGAMENKRLNIFNSKLILASSESASDAYYIVCYFLYNCEISNFNRLNYSGFLERGDEMICDASIGHNKVKSFFDPMTTSKVHCSKCSVMINTNV >cds-PLY63029.1 pep primary_assembly:Lsat_Salinas_v7:3:126486360:126487508:-1 gene:gene-LSAT_3X87100 transcript:rna-gnl|WGS:NBSK|LSAT_3X87100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSESCILRPCLQWIQTPEAQAHATVFVAKFFGRSGLMSFISAVPDHQRQSLFQSLLFEAAGRTVNPVNGAVGLLWTGNWHVCQASVETILRGGTLRPIPELLNGHPAMPGVGDSSETDVTCTDMFKLHDHDPIAYPRSSVHKRRRGCEMQSNMTQLQDLDLSLNQSFQEEKRLPENQRLGSPSMNSEDSVTTTWLDGALRDYNYSPGTRGDENLQILNLFN >cds-PLY65742.1 pep primary_assembly:Lsat_Salinas_v7:5:274878700:274878915:-1 gene:gene-LSAT_5X145781 transcript:rna-gnl|WGS:NBSK|LSAT_5X145781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSTEMKPMQSGVKGVEVEQQRMILILGLQGFTDKATVTDGYDEGLFTTEEGLGKVDFNSRRIRGGGRWWL >cds-PLY88668.1 pep primary_assembly:Lsat_Salinas_v7:5:66687445:66689478:-1 gene:gene-LSAT_5X30481 transcript:rna-gnl|WGS:NBSK|LSAT_5X30481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:OCP3 [Source:Projected from Arabidopsis thaliana (AT5G11270) UniProtKB/TrEMBL;Acc:A0A178UCN6] MASASPLHFWMTNQLPSTSYIPNPNPNHRLLHIPAISVNLRQLSSRCSVVTCARRRSSKSNSAVPSSKKKKQGLGRSSVGKEEDIEEDAFEALFKQLEEDLKNDGLSFDDDDDDEISEEDLIKLERELEEALADDELVALFDDTINEVNKVKVKDKKVKNTIDIMVNEATEETETQDEEDDEYEDEDEDEDEDENEDEGPLELKRWQMRRLAYALKNGRRKTNIKNLAADLCLDRAIVLELLRDPPPDLLILSASLPDKPDPTILEPIINPEDALLLKSEKNAINLNGKSTKKVPVHEMQSNWSAQKRLKKVHLETLERVYRRSKRPTNAMISNIVHVTNIPRKKVLKWFEDKRGEDGVPNQRLPYQRETEAENEHQISSGDATEGEKVI >cds-PLY98565.1 pep primary_assembly:Lsat_Salinas_v7:1:37430046:37433253:-1 gene:gene-LSAT_1X31700 transcript:rna-gnl|WGS:NBSK|LSAT_1X31700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQPFLPKFKLGFQNLVICCRLYILLSFSSSSSSSDDKHQHETPPSQSQFSSSMSFYIDDLLVSLKGTTSFRKPSLFDSNTCAADSPTRKVDSLEEMGKNLSTYRRSSAQPPPSSSLAISFQELYKRNVLPQADPWSSSTEDASKTQSLYKKFIAKFDLKLKQRLSIKMLGTNLRRLNPSVDLPFFQVAQITLLATVLHKKAQVVVLAS >cds-PLY79777.1 pep primary_assembly:Lsat_Salinas_v7:1:181708243:181711425:-1 gene:gene-LSAT_1X118620 transcript:rna-gnl|WGS:NBSK|LSAT_1X118620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTIPGFNQIQFEGFCRFIDQGLTEELSKFPKIEDTNQEIDFELFLERYQLVEPSIKERDAVYESLTYSSELYVSARLIWKNDRRRYIQEQTILIGKIPLMTSLGAFIVNGIYRIVINQILQSPGIYYQSELNDNGISVYTGTIISDWGGRLELEIDRKTRIWVRVSRQQKLSILVLLSAMGLNIREILENVCYPELFLSFLNDKKQIGSKENAILEFYQQFACVEGDPVFSESLSKDLQKKFFQQRCELGGIGRRNMNRRLNLDIPQNNTFLLPRDILAAADRLIRIKFGMGTLDDMNHLQNKRIRSVADLLQEQFGLALVRLENMARGNIYAALKHNWTPTPQNLVNSTPLTDTYKVFFRLHPLSQVLDRTNPLTQIVHGRKLSYLGPGGLTARTATFPIRDIHPSHYGRICPIDTSEGINVGLIGSLAIHARIGRWGSLESPFYKISERSKGARMLYLSPGRDEYYMVAAGNSLALNQGIQEEQVVPARYRQEFLTIAWEQVHLRSIFSFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSQSEKCIVGTGLEGQAALDSGALAIAEHEGEIIYTDTDKILLSGNGDTLRIPLVMYQRSNKNTCMHQKPQVQRGKCIKKGQILAYGAATVGGELALGKNVLVAYMPWEGYNFEDAVLISERLVYEDIYTSFHIRKYEIQINQGSERVTNEIPHLEVHLLRNLDKNGIVMLGSWVETGDILVGKLTPQMVKESSYAPEDRLLRTILGMRVYTSKETCLKLPIGGRGRVIDVRWVQSSKTDETEKTESIRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGRPVDMVFNPLGVPSRMNVGQIFESSLGLAGGLLDRHYRIAPFDERYEQEASRKLVFSELYEASKQTVNPWIFEPESPGKSRIFDGRTGDPFEQPVIIGKPYILKLIHQVDDKIHGRSSGRYSRLTQQPLKGRAKKGGQRVGEMEVWALEGFGVAYILQEMLTYKSDHIRARQEVLGTIIFGGRIPTPEDAPESFRLFVRELRSLALELNHFLVSEKTFQLNRKEA >cds-PLY96099.1 pep primary_assembly:Lsat_Salinas_v7:3:98183807:98186021:-1 gene:gene-LSAT_3X72960 transcript:rna-gnl|WGS:NBSK|LSAT_3X72960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADIVKQILTRPIQLADQVIKSADEACINKQDCSELKSKTEKLAGLLRQAARASSDLYERPTKRIIDDTEQVLDKALSLVLKCRNNGIVKRVFTIIPAAAFRKMSSQLENSIGDVSWLLRVSAPNNSTDEYLGLPPIAANEPILCLIWEQIAILYTGTLDDRSDAAASLVSLARDNDRYGKLIIEEGGVNPLLKLVKEGKLEGQENAASAIGLLGRDPESVEHMIHAGVCSVFVKILKEGPMKVQAVVAWAVAELISHYPKCQDLFAQHNIVRLLVGHLAFETVEEHSKYAITSNKPTSIHAVLLASNNTTNNSNGFNTNQTNKTDEDDKTRVSHPTGPNSQQPFRMHSVVASTMAMKNGNQKTETVIKKQTHHHHHPSVSLSTNSLHKGRELEDPATKAYMKAMAAKALWHLAIGNSAICRSITESRALLCFAVLLEKGPEDVQYNSAMALMEITSVAEQDSELRRSAFKPNAPACKAVIEQLLKIIEKADSKLLLPCINAIGNLARTFRATESQMIQPLVQLLDEREAEITKAAAIALTRFACSENYLHLDHSKAIISHGGAKHLVQLVYFGEQMVQTPALVLLCYIALHVPDSEDLAKAEVLTVLEWASKQSLLIQDEMVESLLQNSKGRLELYQSRGSRGFH >cds-PLY69066.1 pep primary_assembly:Lsat_Salinas_v7:3:206881359:206882419:-1 gene:gene-LSAT_3X121381 transcript:rna-gnl|WGS:NBSK|LSAT_3X121381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKKGRPRKKVHSDPNQASGSKSVKSKNDGLDGHVEGRGCEEQVKDLFDNEDIDDDSLVDMMCTFEASLSQPKDNYQKSDGFQDAMDAIIQSILHANDDKGVEEVEPDLTKQLDEVEDAMDAILKGTDEKIQSENEGNPEPEFIEGNASDVLPEMVMLDLESVADLLGAGYSMAEIESLRGVKVELDDMPAVEMDVNEVEDIPYVDGVMEGNEDDGLINDGVEGNEGHGEGDDADEVAGEGDGEVDGDGVGEGDGEGNGAGAGEDDAAYMEGNDADDKGHVPPRRTRKPSERIILQKLKKPCFDKDGRGSTSSYPVDLE >cds-PLY84398.1 pep primary_assembly:Lsat_Salinas_v7:8:77631844:77632521:-1 gene:gene-LSAT_8X55341 transcript:rna-gnl|WGS:NBSK|LSAT_8X55341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYNHQSNEQRPAAKSCCEKMHEAIFGKPKRNNVQNQNPSLSTSNDNFPSVKPSPHVSFVPSDVKTSQDLGYSYSNNVEDDGLFNDRVSGGTGTGTDTGPNTRIGTDTDIGTDNRWLIPRARVDTVGMNLQPYR >cds-PLY82135.1 pep primary_assembly:Lsat_Salinas_v7:1:13850345:13850587:-1 gene:gene-LSAT_1X11280 transcript:rna-gnl|WGS:NBSK|LSAT_1X11280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALDNSYNSWADQWDPEPEYYNGYPGSKSNHGSGNSFKSKVGEGFDKSKAVATTGMKKVKNGTTLGFHWIKDKYHKTTHK >cds-PLY75556.1 pep primary_assembly:Lsat_Salinas_v7:9:32434991:32436048:1 gene:gene-LSAT_9X29220 transcript:rna-gnl|WGS:NBSK|LSAT_9X29220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLFVDNRDGTYTGFYMAMNVGTYKICDSFDGKSISPCPFEEATYNREYFPIAYGLDVSVWEDESIVFNALVNDYFVGGKAKVVEYESNATKELIDSILDAIVQIFDNHVVVGELLESKSSQKAAMNTPKSMVTEISGNHDSESSKDTGGYTIGFSMTVLQSECQQLICEILRAT >cds-PLY82004.1 pep primary_assembly:Lsat_Salinas_v7:9:155586623:155587499:-1 gene:gene-LSAT_9X97701 transcript:rna-gnl|WGS:NBSK|LSAT_9X97701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQKSLCFLVALFISFICSSQAVTLEVGDDDGWTLNPVEDYNAWSGRLRFLVNDTLYFKYEDATDSVLVVDKDDYDNCNVDNAIEKLAGGESYFKLEQPGPHYFITGNKSNCDQGQKIIVAVLHIRTQSPSSPLRASSPLPPAPASPVTVTPPSATPVLTPPASTGGSSPSPAGSNLARVSSPRPSPSRSLASTVVSSSMTASLVTLIIALCLII >cds-PLY68351.1 pep primary_assembly:Lsat_Salinas_v7:4:190994182:190994523:-1 gene:gene-LSAT_4X109741 transcript:rna-gnl|WGS:NBSK|LSAT_4X109741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHLGVNVHQCILDELAEVCISLDELSARNEVHEEVQGGMDGNDGIDIEARNEFHEECKVVWMGMMGLTLRICYVIIYKVIWRICKVLRRTCKVRKMMTLTWKLTMNVMSVFL >cds-PLY71791.1 pep primary_assembly:Lsat_Salinas_v7:6:90293161:90293490:-1 gene:gene-LSAT_6X63260 transcript:rna-gnl|WGS:NBSK|LSAT_6X63260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANIKECAPIVYTPIVGLVCQEYSGLFRRPRGIYFNALDCGEMMSVVYNWPAEQVDMIVVTDGSKIMGLGDLGI >cds-PLY91556.1 pep primary_assembly:Lsat_Salinas_v7:1:11472282:11474178:1 gene:gene-LSAT_1X9740 transcript:rna-gnl|WGS:NBSK|LSAT_1X9740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRSIVQPVGQKRLTNVAAVRLKKHGNRFEIACYKNKVLSWRSGVEKDLDEVLQSHTVYSNVVKGVLAKSKDLKAAFGMDDQTKICLEILEKGELQVAGKERESQLSNQFRDIATIVMQKTINPETQRPYTISMIERLMHEIHFAVDSHSSSKKQALDVIKELQKHFPIKRSPMRLRLTLPQENFNSLSEKLKEWKTVIVSKEASGIQLSIVCEMDPGLFRDCDAFLRNLQGRSEILAVSVHGEGDINTDDYEGHEEVSVSISSTSTSTEPKKLPEPVAQLTEKMEKQKLAPKTEITEGEVKVKQSKCSTCDASVGDTKQYREHFKSDWHKHNLKRKTRQLPPLSAEECAADEEINDSLGDLKEYSF >cds-PLY78999.1 pep primary_assembly:Lsat_Salinas_v7:3:6988304:6988781:1 gene:gene-LSAT_3X5041 transcript:rna-gnl|WGS:NBSK|LSAT_3X5041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSNRVRKHIQVRLHRFNRRINEKMKQEMEFKNLKLYMENMNILKENAELWKKAIQLREENTILLSKLVKNIKPSGS >cds-PLY78819.1 pep primary_assembly:Lsat_Salinas_v7:8:288962278:288965453:-1 gene:gene-LSAT_8X162181 transcript:rna-gnl|WGS:NBSK|LSAT_8X162181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFAKKRYAEKTQMRKILAMHEESSSIRKVDDDVQDGVVPAYLLDRETTTCAKILSNIVKQKRKEKAGKWDVPLPKVRPVAEDEMFKVIRIGKRKTKQWKRMITKVTFVGQAELPPAVGVPPLPGLQAAQPPPATVVLPSGPNSNPLDLFPQGLPDMGTNALVGAAGNLDFLRNNPHESWDAGFNDDWNIRMLEGLKAEDSEGIIGSQSHVEKSLDKLNATNQILCDQFGIDIEFKRHDEGF >cds-PLY94660.1 pep primary_assembly:Lsat_Salinas_v7:1:41340069:41344099:1 gene:gene-LSAT_1X36780 transcript:rna-gnl|WGS:NBSK|LSAT_1X36780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEVNLFSEVVGLQQQKSDSGVFFPAVLFPNPNASKPVQLTDAIKANKPWLDSLLHRSGAILFRGFPVSTASDFNDVVDSSGYEDFSYGAGGSGTRTKLTDRVYTANEAPPDQMIGFHHELSHASEFPSKLFFFCEIEPGRGGETCIVLSHVIYEKMNGKHPEFVEQLEEKGLIYNRVMREGLDPSSPVGRGWKGTFMTDDKSVAEERATKLGMKLEWMGDEVKVIVGPKPSFRYNEAQQQKTWFNSLAISYGRLHDKLNDDPTKAVVFGDGEPLPRDEVNDLLKMFDEECVALQWCKGDVLLLDNLAVLHSRRPLLAPPRRILASFCK >cds-PLY76802.1 pep primary_assembly:Lsat_Salinas_v7:MU042712.1:974717:974971:-1 gene:gene-LSAT_0X2461 transcript:rna-gnl|WGS:NBSK|LSAT_0X2461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAIISDINPILVSGRLGGAYYFKNCQGECVAIVKPTDEEPFAPNNPKGFIGKTLGQLGLKRSICVGKTSFREVAAYLLDYGRI >cds-PLY67395.1 pep primary_assembly:Lsat_Salinas_v7:4:211517952:211518720:1 gene:gene-LSAT_4X119021 transcript:rna-gnl|WGS:NBSK|LSAT_4X119021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPAPRGERPEPSQPRPPVIGTPYMQAPGMTCQERHSMEAMARDRHDARSWIAYHHHSIADMSDVVDVVCGYAYSAQRTAIRAMITSAMAGGLALILEVALIWNHAPKKKHTLTPNTA >cds-PLY92966.1 pep primary_assembly:Lsat_Salinas_v7:5:48473941:48474441:1 gene:gene-LSAT_5X23680 transcript:rna-gnl|WGS:NBSK|LSAT_5X23680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIGWVVTYYFLLITITIKFGQISSQHVALHEPNPPPDCLGYIHAKMSPIEVARHASEYARYFCLHEYGTALDVKVYGDLDVTFSYVPTHLHLMVFELVKNSLHAVEERFMDLEKLAPLIRIIG >cds-PLY83533.1 pep primary_assembly:Lsat_Salinas_v7:8:189180059:189181288:1 gene:gene-LSAT_8X122441 transcript:rna-gnl|WGS:NBSK|LSAT_8X122441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVTTTMAGKIVDLLFNAAKREIDYIRNYTKNVDKLKSETQKLKGMRGRIQQRIDAAKENGEALLDGVQEWIDKADIGISKAEEFLKEETNDKKTCFNLQPCVDLGTLSHYSKMAINKTSCLLDKQEDGKTHESCVSIPTPTPRFVDLYQKKNLDDIGTHKLALREIIQAIKDDNIQIVGIYGLGGVGKTTLAKEVAAEVKNLFAEIVFITVSQTVDIKEIKMNVDVAAKRIINGEKVLIIFDDIWERLVLFDVGIPCGNDHMNCKILLTSRSRNVCEVTNVDRNICVNTLMKEEAWVLFKRIVGEKLTNNDSLEKIAREVTEESGGLPLVIQVVGNTLKNKPIHIWEAALDRLQKHAPLEIAPEIRKAFTHLKLSYDLLDSKEVKSCFLLCSMFREDGYIDMLRLA >cds-PLY99849.1 pep primary_assembly:Lsat_Salinas_v7:4:47059479:47061875:-1 gene:gene-LSAT_4X32401 transcript:rna-gnl|WGS:NBSK|LSAT_4X32401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATHIPYRDSKFTRLLVSSVSGHGSVSLICTVTPFSSNSEETHNTLKFAHYEKHIEIQVAQNKINDENSLINAYQNQIRSLKEELHQLEQEGEAKDALLSQKQILTRLILVSTKYPHQTDLGSRYSFKEEKSRLALKHDDLFLAAIFGGETLKEMRIACTIAHMEHEGMTSREIEKVVGDPQLPVLHRPLVETSVEVLRPEQVVVKNKETLNQLKELNVEQERDVEHVC >cds-PLY64166.1 pep primary_assembly:Lsat_Salinas_v7:2:86608986:86610721:1 gene:gene-LSAT_2X38761 transcript:rna-gnl|WGS:NBSK|LSAT_2X38761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHNKWDRASKAATRGSDVMFRELRRPKGDPKLLATKSREQFLTLAIVGVGLVSSYISYTPEIATRFSKAIIDVEKEKNKENQRLEYV >cds-PLY87418.1 pep primary_assembly:Lsat_Salinas_v7:5:42043200:42044761:1 gene:gene-LSAT_5X19701 transcript:rna-gnl|WGS:NBSK|LSAT_5X19701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVALKSFVGLRQTSPENHHSSIATLSKPISTNQIHYRRLNVVAGKSSPKITGRNLRVAVIGGGPAGGAAAETLAKGGIETILIERKMDNAKPCGGAIPLCMVGEFDLPLDIIDRRVTKMKMISPSNVAVDIGRTLKPHEYIGMVRREVLDAYLRDRAAAAGATVINGLFFKMDKPTDKYAPYVLHYNAYDGKAGSAGKRETIEVDAVIGADGANSRVAKSIDAGDYEYAIAFQERIKIPADKMQYYEDLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKPDIKKFQLATRLRARDKILGGKIIRVEAHPIPEHPRPRRLAERVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSENGKRMVDEADLRKYLEKWDKTYWPTYKVLDILQKVFYRSNPAREAFVEMCADEYVQKMTFDSYLYKKVAPGNPLEDLKLAVNTIGSLVRANALRKEMAKI >cds-PLY90320.1 pep primary_assembly:Lsat_Salinas_v7:2:198657150:198657455:1 gene:gene-LSAT_2X119760 transcript:rna-gnl|WGS:NBSK|LSAT_2X119760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSICACGNRDLAIVTSWSDRNPGRRFWSCLHNERRCGWIGWFDEPMFPRSVEVIPGLIRSMNKLQESLQQATLQARMYKLIILFSWGLFAIHYLKHGRSCL >cds-PLY84676.1 pep primary_assembly:Lsat_Salinas_v7:2:150319888:150320988:1 gene:gene-LSAT_2X78961 transcript:rna-gnl|WGS:NBSK|LSAT_2X78961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIQSHHHHYHHQEPPHVYNHQHKLYTTHHIGVGSSSSQGVMAVGCGYGSVAMEKSFRECSISPPGESRATGSIGRNFGSRSRVGVESFSFFENIKPKRYEILENHHQEEEHQEEGDSSTQIETLPLFPIHGGTHHDFFSMKAADLSSEHTTGGYYTRGNWYRKDGRASLELSLNSYGYYN >cds-PLY86037.1 pep primary_assembly:Lsat_Salinas_v7:2:79276274:79279481:-1 gene:gene-LSAT_2X35301 transcript:rna-gnl|WGS:NBSK|LSAT_2X35301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMITSSSSSSNSAVAAAPSPLIPTIILLLLCLPGLFYLAPHILPPPPTPPISFPEELEDLSLFRRAAAVESNANNPSRPKSHLGSTNSKPKIAFLFLTNSDLHFAPLWEQFFNGNKSNRHLYSLYVHADPTVKTKIKSPGGIFSEDRFITAKKTHRGTATLVSAARRLLATALLDDPSNAFFTLISQHCVPLHSFNFFYDTLFEVKSHQIAELRHLKYKSFIEIISKDPNILDRYNARGKGVMVPEVPFNKFRMGSQFFTLTRRHALLVVSDRRLWKKFKLNCLRPQSCYPEEHYFPTLLSMEDPEGCTGYTLTRVNWTDSVNGHPHTYYPPEVSPELVYKLRQSNFTNSYMFARKFSPDCLQPLMNMATEVIFRD >cds-PLY95319.1 pep primary_assembly:Lsat_Salinas_v7:8:242707238:242709580:-1 gene:gene-LSAT_8X145021 transcript:rna-gnl|WGS:NBSK|LSAT_8X145021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLLHSSCIRSLKKQPRRNFHKPNFGNPKRSPPTKAPIVSDSSIVQWNNAITNYMRSGRCDSALCIFEKMTRRTSVSWNAMISGYLMNHRFDLAHQMFVKMPERDLVSWNVMITGCVRNGNLGAAKRLFDQMPHKDAVSWNAMLSGYAQNGYVEEARMVFDKMPNKNSISWNGILAAYVQNGRIDEAQKLFESNPSWDVISWNCLMGGYVRKKRLVDARCLFDKMPKRDEVSWNTMISGYAQNGQLSEAQKLFDESPIRDVYTWTAMVSGYVQNEKLDEARKVFDEMPVKNSVSWNAIIAGYLQSKKLDIAKELFDAMPCKNVSSWNTMITGFAQNGFIDLAMELFKNMPRRDCISWGAIIAGHAHLGHNEEALRLFIEMKRDGERANRSIFSCILSTCAEITALELGKQFHAHLVKVGLGSGWYVGNALLAMYCKCGNIEEAHVTFEEISDKDIVSWNTMIGGYARHGFGKEALKVFESMKRKGVKPDEVTMVGVLSACSHSGLVDMGTQYFHTMETDYSITPNPKHYTCMIDLLGRAGRLDAARNLINNMPFEPDAATWGALLGASRIHNNTELGEKAAKKVFQMEPDNAGMYVLLSNLYAASGRWGDVGTMRLKMRDTGVKKVPGYSWVEVQNKIHTFSVGDLTHSDTPEIYSFLDDLDSKLKKEGYVSLPKLVLHDVEEEEKEHMLKYHSEKLAVAFGVLKIPSGRPIRVFKNLRVCADCHNVIKYVSKIVGRLIIVRDSHRFHHFRNGVCSCGDYW >cds-PLY66187.1 pep primary_assembly:Lsat_Salinas_v7:1:204456369:204457526:1 gene:gene-LSAT_1X121441 transcript:rna-gnl|WGS:NBSK|LSAT_1X121441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVISTKPVLILLLLLTITHIFLSTPYSSFVAAATTNIVSDLHSLQSQFPSGIIHLNETILYRIFNSGARSFYLIIFFDAIQLHDKLEPNLKTIKSEYAIIAKSFSINNQNSSNLSKIFFCDIEFSESEKDFLRFSIHTLPNIRIVPPDVDDLKSDSIPLDISESSNLVESMAGFIESKTGLSIGRIHRPPILSKSQFGFLIGGLLISLPFMTKKILAGETPFHQKRIWMFGIMFVYFFSVSGSMFILIRKVPLFVMDRKDPNKLIFFYKGVGMQFGVEGLYVGFLFMTVGLLLPFITRFIVRIKDSMIQRATMVSAMIVSFWAVREVVGLNHWKTGYYAHAYLPSNWYN >cds-PLY87543.1 pep primary_assembly:Lsat_Salinas_v7:8:95894092:95900584:-1 gene:gene-LSAT_8X67220 transcript:rna-gnl|WGS:NBSK|LSAT_8X67220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEKIVDVTHEYVDLQKRICVAAIAIASYVARTPSFGRLSSIAKKCGQPAQIFFGNLEGDCGAGFTQRSCHIDVLSIVEQKIGQTKTRPMGWFFLSQVTTPNPSTVKFYYQTEVLNLEPSLLGTSCVIGWMGLLFGTFIYNRFLKKIKLRKLLTLSHVILSLTTLLDIALVSRLNVRFDISDEAMVLFGSALSDAIHQFKVTPFLILSGYLCPPEGQIKAITLSFSIRNLHIIFSMERKTSIRAILGSQESTRNSQHQLMILLLQNLGSVMADVVIDAMIADAARRENDMLSRNMWANLSSRMPRREVAPQEAHDIFGDVDELLRQRKLGLERISRYDDSGEGKEKRLEDEFEPTILSYKYKTKKGEYIREIDIPERIQISEENTSPPPTDEMSIEEGALNRNVVLGYIVRENLLITMYN >cds-PLY90170.1 pep primary_assembly:Lsat_Salinas_v7:7:13243067:13244846:1 gene:gene-LSAT_7X10420 transcript:rna-gnl|WGS:NBSK|LSAT_7X10420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSGQQTRQNVVVMRHGDRIDNFEPLWTEKAARPWDPPLVLDGKVRAFSTGKKFKKDLRFPIHRVFVSPFLRCLQTASEVIHALCAVDCNVTHMSSADGVKIDPSMLKVSVEYGLCEMLNKRAIRAENAPKDGDFAFTISECEAVLPQGTVDSTVEPVYKELPKWEEPTEVARDRYKNIIKILADKYPTENLLLVTHGEGVGVSVTSYARDDLLVSEVEYCAYSKLHRTISTKGAGEFELCMNLKHSGISYIPTRVDEEQSTS >cds-PLY92188.1 pep primary_assembly:Lsat_Salinas_v7:6:75540873:75543156:1 gene:gene-LSAT_6X52980 transcript:rna-gnl|WGS:NBSK|LSAT_6X52980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKPKLIGGVWPTVKPFINGGASGMLATCVIQPIDMIKVRIQLGQGSAGDVTKTMLKNEGVGAFYKGLSAGLLRQATYTTARLGTFRILTNKALEANDGKPLPLYQKALCGLTAGAIGACVGSPADLALIRMQADATLPLAQRRNYTNAFHALYRITADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVEFFKDNLGFGEAATIVGASSISGFFAAACSLPFDFVKTQIQKMQPDATGKYPYTGSLDCAMKTLKAGGPFRFYTGFPVYCVRIAPHVMMTWIFLNQIQKLEKKAGL >cds-PLY86971.1 pep primary_assembly:Lsat_Salinas_v7:5:263703107:263706397:1 gene:gene-LSAT_5X135081 transcript:rna-gnl|WGS:NBSK|LSAT_5X135081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxylate/succinic semialdehyde reductase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17650) UniProtKB/Swiss-Prot;Acc:F4I907] MLILARGANYSHHYASMAASFCPALPHHLKPTPISRFPKVSFSFKVFSSQTSADENPTRVGFLGLGIMGSPMAHNLIKAGCDVTVWNRTKSKCDPLIDLGAKYKASPGEVAASCDVTFAMLADPESAMVVACGEQGAASGMSPGKGYVDISTVDGPTSTLINAQIKETGALFLEAPVSGSKKPAEDGQLIFLTAGDKSLYDKVSSYLDIMGKSRFYLGDVGNGAAMKLVVNMIMGSMMTSFAEGLLLGEKVGLDPTVIVEVISQGAISAPMFSMKGPSMVQSKYPTAFPLKHQQKDMRLALGLAESVSQSTPIAAAANELYKVAKSHGLSDHDFSAVIEALKVKIKD >cds-PLY83409.1 pep primary_assembly:Lsat_Salinas_v7:8:1142571:1147050:-1 gene:gene-LSAT_8X1041 transcript:rna-gnl|WGS:NBSK|LSAT_8X1041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSGLLKVRVKRGLDLAVRDINDSDPYVVIKMGDHKLKTRVVNNDINPVWDEELTLAVEDPNLPIKLTVYDSDLFSMDDPMGDVEFDLKSFLEAMKMPRLERHPNGTLLKRIQPSRTNSLAEESCIIWKDNKVLQDLSFRLRHVESGEVEIQLSWEHLPGSKGF >cds-PLY71119.1 pep primary_assembly:Lsat_Salinas_v7:9:81186945:81187172:1 gene:gene-LSAT_9X64740 transcript:rna-gnl|WGS:NBSK|LSAT_9X64740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQQEEGKGLHRGTPEHLSDVSISMVTHYFDESFLRTEEDYANTYSKSHLSDSFVEDRIFSEEXNDMKSFVKYKI >cds-PLY73653.1 pep primary_assembly:Lsat_Salinas_v7:5:203751177:203751696:-1 gene:gene-LSAT_5X92260 transcript:rna-gnl|WGS:NBSK|LSAT_5X92260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQYKILADVKKPRYVRVNTLKLDVETAVSELSKDNMVEKDDMIPDLLVLPPATDLHNHPLVTNGSVFMQGKASSMVAVALGPKPGWETL >cds-PLY83032.1 pep primary_assembly:Lsat_Salinas_v7:5:50010841:50013201:1 gene:gene-LSAT_5X24640 transcript:rna-gnl|WGS:NBSK|LSAT_5X24640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRATTAVLLWTCLVQLTALGDTWGPMVLKGWPSRETLSASALDVKLLPMVPARVLPPKRVYKNNGYLLISCNGGLNQMRSAICDMVAIARYLNVTLIVPELDKSSFWADPSEFEDIFDVDHFITSLRDEVRILKELPIRLKKRVELGLIHTMPPISWSDISYYHNQILPLIQRFKVLHLNRTDARLANSGQPFDLQKLRCRVNFSALRFTSQIEELGKRVVNLLKQKGPFLVLHLRYEMDMLAFSGCTQGCNDEEVEELTRMRYAYPWWKEKIINSELKRKDGLCPLTPEETALALRALGVNNDIQIYIAAGEIYGGERRMASLKATYPNLVRKETLLGADELGFFQNHSSQMAALDYLVSLESDIFVPTFDGNMAKVVEGHRRYLGFKKTILLDRRLLVELIDKYTSKLLNWDEFSNAVKDAHANRMGNPTKRLVIPDKPKEEDYFYANPEECFHPSSQNEPVNIIQ >cds-PLY82781.1 pep primary_assembly:Lsat_Salinas_v7:2:144665167:144672599:-1 gene:gene-LSAT_2X71421 transcript:rna-gnl|WGS:NBSK|LSAT_2X71421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDSVTNTVAISCAIAALLCAWHLINILWVRPKKLEKYLKNQGFNGNKYRLVFGDMKEFSSMFQESKSKPLSLDDDDGVLPRVVAFTHHYLQKHGKNYIMWLGWKPRVTIMDPDVIKDVFAKLNDFQKPQSNPIAQLIASGLVNYEGDQWAKHRKIINPAFHMEKLKNMVPAFHLSGNEMLGKWENLVSSKGSCELDIWPDLQSLTGDVISRTAFGSNYEEGLHIFELIREQSVLVQEALTSLYIPGFRFFPTKRNIRMMAIDRKVKRSIRGIINNRLKAMETREGNNDDLLGIMLESNSKEVEEHQNKHHGMTIDEVIEECKLFYFAGQETTACLLVWTMILLSKHQEWQSRAREEVLNVLGNKNIDVDGINHLKVVNMIFHEVLRLYPPIVGLARKVEKDLTLGGFLLPSGTQIGIPVMNIHYDEEFWGGDAKKFKPDRFSEGISKATKNQVIYFPFGWGPRICVGQNFALMEAKIALAMILQRFSFELSPSYVHAPHMVITLQPQHGAHLILHKL >cds-PLY65896.1 pep primary_assembly:Lsat_Salinas_v7:5:38211658:38211837:1 gene:gene-LSAT_5X19080 transcript:rna-gnl|WGS:NBSK|LSAT_5X19080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVTYEGVSQANGNEDATEGDPNCDEDENIPDVKRKQMFNEDILEEVVSNSRYEVHQP >cds-PLY94164.1 pep primary_assembly:Lsat_Salinas_v7:5:32822104:32824792:1 gene:gene-LSAT_5X15301 transcript:rna-gnl|WGS:NBSK|LSAT_5X15301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVTHQVQGSYAPPRPLSLKKGIESKNFLAAFPIVKRTDIILLKHRSCLCVKAPQSKRKPLKISSFKGNVQNDESGARENGSKSTKNPVKLSYLPQDRKETLAESPKVKNNHATPTSNMQQETTAGSQAIQQLFKSWLTLLRTPSSSSQSQLPNETLEETSENEIVETDDKKIQTSGRGVILKTVWRSFLGLDATIKIPAMIFIPMYLAVNIKYGPEVAKELTPLWICGPLIVALYIKILHGLCLLYIYSFKQSVKVVKDLPVYYDYVISGKLKEAINVRLWKPVVEFRKLGYKGIWKNFQEWAMDKYLDYIESIWPHYCKLIRFLKKANFI >cds-PLY66457.1 pep primary_assembly:Lsat_Salinas_v7:5:327272397:327273684:1 gene:gene-LSAT_5X182900 transcript:rna-gnl|WGS:NBSK|LSAT_5X182900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLQFYINDNGDKVYTTKKESPVGLATQSAHPARFSPDDKFSRQRVLLKKRFGLLPTQKPARKY >cds-PLY84315.1 pep primary_assembly:Lsat_Salinas_v7:5:187843147:187846992:1 gene:gene-LSAT_5X83241 transcript:rna-gnl|WGS:NBSK|LSAT_5X83241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGCTVQQTLTTEAASVLKHSLSLARRRGHAQVTPLHVAATLLMSSRASILRRACLKSQNTSSTSHNTPTILHNPHITPTTPPLHCRALELCFNVALNRLPTTPGPLLHGQPSLSNALIAALKRAQAHQRRGCIENQQTQTQQQCQQQQQPPLLAIKVELEQLILSILDDPSVSRVMREAGFSSTAVKSNLEDFSSNSLTSAPRVFFSSSGGGVYSSPSSPNSDHQYHPHHQNPNFWQTHFLNHTPDQNPLFISPSKNTPSPESDHSLKKDVSLVMEVLLGKKQRKNTVIVGDSLSITEGVVIELMGKVDKGDVPDELRCAHFIKFQFSSVPLRFMKREEVEMNLSDLRRKVESLSSSGGGVIIYAGDLKWTVDEREIGVTGYSPVDHLVVEIGRLVSQYNVTNKVWLIGTANYQTFMKCKKKQPSLELQWSLQAVSVPSGGLGLSLNATTTTSGHDSRVNMSNNTSDEMVDMKPLKHNKEEGDDDMVVMSCCGECNSNYEKEAAAAATTAAGNKPSTVLPFWLHPSTSPSSLHKENIIELRRKWNRLCQSLHQGKQNLNNNCMNSSSLISNDNQGLIGKSYSCNSSRYPFWSNNNNHVKNISSISFGDTLKSQNGGGERSYPRFRRQQSCHIDLSFSSRNHSNVDQEPNLDCLKSREDDKEVKITLGLGNSASDLSKRQDVYKCLQENVPWHSEKMHSIVEFLMSTSSSKAVKKDSWFLMEGNDSIGKRRLAMAITEAMFGSSDLLLCFNMRDSVNKCENLERALKGQENAVVLVEDADFGDGKFLKSLSDGFEKGKFGENGQVIFILSKGDHLGDDKRSRSSLARIKLVVNEMNSTSEIDQKRKPKWDSDEFSKRKVPRIEEEEVKKDLSRQSSSNTLDLNIKAEAQDDDNENTLDFSPNSSDLTREMDGSPRNPLGFLETVKTRFVFDRSLARDSLMRESFLFKLRAVLAIVFGSIEFELKVEDMVLDEILFGCGLYLNGLFEKWLKEVFQTSLDMVKKGGKGVKSLRVSLVIDEKEGGGVGIEEKDEGFMGSNLPNKIKICFVM >cds-PLY90584.1 pep primary_assembly:Lsat_Salinas_v7:6:52091077:52092045:-1 gene:gene-LSAT_6X38201 transcript:rna-gnl|WGS:NBSK|LSAT_6X38201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHNNAPSHHQSTPQPAVAVHHAPAQTHHQSSSQPAAVQHVSHQSPKYTDNKPTVRFYSKIKTDYSLTIRNGEAVLAPTNPSDPHQHWIKEEKLSTKVKDEEGFPSFALINKATGQALKHATGAAKPVQLTEYNPDRVDESVLWTQSKDLGDGFHSVRMVNKIKLNIDASIGDTGIHDGTKILCWEWTKGDNQRWTTAPFCKFLNTNCHE >cds-PLY90920.1 pep primary_assembly:Lsat_Salinas_v7:5:48680445:48683749:-1 gene:gene-LSAT_5X22601 transcript:rna-gnl|WGS:NBSK|LSAT_5X22601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQAANPAAVLPSAQVVGNAFVEQYYHILHQSPELVHKFYQDSSILSRPDRNGLMSSVTTMQAIDEKIQSLDYKNFKAEIKTADAQDSYQSGVIVLVTGCLTGKDNIKRKFAQTFFLAPQEKGYFVQNDVFRYVEESASSDTTSTPVEDIVDTAPVDLAIPNADSTHVSDNPTLDPTTNSASNDLNNGPEVCDPEDNEGSESGLEDEHEPASVQTSLNETSHLQKTDSSSAQEKKSYASIVRVNKVSGAAVQTSGLRWAPAANTDQHKPRPAKPSPEPETTSLPVVVNAPESSKVYDDVEGHSIYVRNLPMNASVAQLEEEFKKFGPIKSNGIQVRSNKQQGFCFGFVEFESLDSMHSAIKAPSIAIGNRQVVVEEKRTTTRVGGGGGGRGRYQGGGGGGGGRGGGGFRGRGGYFGGRSFGRNEFRYQGEFTNRPKGPTGRNSEVYQRVDQNGGGGGGGGGGGGRYAHHQPNKYTESAQ >cds-PLY93700.1 pep primary_assembly:Lsat_Salinas_v7:2:202684172:202686466:-1 gene:gene-LSAT_2X124580 transcript:rna-gnl|WGS:NBSK|LSAT_2X124580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAWVLSECGVRPIPTFFPKPRTGVSNSFFSNTKSDSIGVTLFNTTKSITISSSFSRMCKDKNWALKVSAPVTIPFLDEDEREIATEFDPSAPPPFTLADIRASIPKHCWVKDPWRSMSYVLRDVAVVFGLAVVAAYFNNIVVWPLYWIAQSTMFWALFVLGHDCGHGSFSNNAKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKIYRSLEDATRKLRFTLPFPMLAYPFYLWNRSPGKKGSHFDPNSDLFLPNEKKDIITSTVCWTAMAALLVGLSFTMGPLQVLKLYGIPYWGFVMWLDLVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYNLIEATEAAKPVFGKYYREPKKSWPLPFHLLGVLVSSLKKDHYVSDEGEIVYYQTDAKISGN >cds-PLY95595.1 pep primary_assembly:Lsat_Salinas_v7:6:138667628:138672236:1 gene:gene-LSAT_6X83680 transcript:rna-gnl|WGS:NBSK|LSAT_6X83680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23940) UniProtKB/Swiss-Prot;Acc:O22993] MLPTQIGIPDKFVLPGAFSSQSSITLHTSLPIKTPLRNDFKRIEIRRKRLNFPHAFFRKSDSVQNNDNSAPQDDFVTRVLKENPCQIEPRYKIGDKLYTLKEKENLGKKSHGSNSASEILKSLNSKWLSNNKSGDRVDYSQDVYLNDILRKSKGKLYVPEQIFVEELSEEAEFRREYELLPKMSFDNLQKYIETDMIKFLTFKDEGEVAYGHKDLIVELKEIPGDKNLQRTKWSIKLDDIQVQTVLNEYNGPKYEIETQTMTWVGKLPQYPNPVASSISSRVMVELGAATAAIAAAAVVVGGFLASAVFAATGFVYVGTTYVIWPMAKSLLSVPLEVVVGVLERMGDVILDMFFYGGISSKLNEMYRLGVFSSAFSIARPILLVGLLMVVLVRFTLSRRPKNFRKWDIWQGIEFSQSKPQARVDGSTGVTFSDVAGIEIAVEELQELVKYLKNPELFDKMGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVGVGSARIRDLFKRAKVNRPSVIFIDEIDALATRRQGIFSDKTDDLYNAATQERETTLNQLLIELDGFDTGKGVIFLGATNRKDLLDPALLRPGRFDRKIRIRPPNAKGRLEILQVHARKVKLSESVDLSVFAQNLPGWSGARLAQLLQEAALVAVRNKHDSILQSDVGEAVDRLTVGPKRVALDLGQQGQRRRATTEVGTALTSHLLRRFENANVEPCDRISIHPRGQTLSQVVFNRLDDEKYLFERRPQLLHRLQVLLGGRAAEEVIFGRDTSKASVEYLADATWLARKIITIWNMENPMVIHGEPPPWRREPKFVGPRLDFEDSLYEHYDLIEPSINFKMDDEIAKRTEDLMSNMYGKTVALLRRHHTALLKTVKVLLCQMDMTGDEIELILDEYPPNTPTSRLLEEGDPGSLSFFKQKKGEDLEMEYSILSQ >cds-PLY83964.1 pep primary_assembly:Lsat_Salinas_v7:8:36027161:36027343:1 gene:gene-LSAT_8X28981 transcript:rna-gnl|WGS:NBSK|LSAT_8X28981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVVAAVWGAQELYGYRSAGDECCGCAALKRPISDPVQPTAALVGPNALLASYGSPENQK >cds-PLY67887.1 pep primary_assembly:Lsat_Salinas_v7:5:5598367:5601989:1 gene:gene-LSAT_5X3241 transcript:rna-gnl|WGS:NBSK|LSAT_5X3241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRFEGVRVSDQWLQNQFSQAQLRSLKSKFIHLKKENGQVTAVDLPPVLVKLKPFNVIFKEEEIRDILCEPGSDEGNKLDFEGFLRAYMNLQTQAAKKLGNSKNASSFLKATNSTLHTVQNSEKEVYVAHINSYLREDKFLKQFLPIDASTNALFDLVKDGVLLCKLINVAVPNTIDDRAINTKRELNLWERNENHTLCLNSAKAIGCTVVNVGSQDLAEGRIQLLADLNLRKTPQLLELVDEDDDVEELITLSPEKILLKWMNFHLKKAGYTKTVTNFSADIKDGEAYAYLLNVLAPEHSSPGAVVSNDPAERAKLVLEHAERMKCKRYLTPKDILDGSTNLNLAFVAQLFHERNGLSNDSSKSKVCYAEMMNDDEQVSREERCFRLWINSLGISTYINNVFEDLRNGWILLEILDKISPGSVNWRHTTKPPIKMPFRKVENCNQAVRIGKQLKFSLVNVSGIDIVQGNKKLILAFLWQLMRFNMLQLLKHLRSRSHEITDGYIQRWANRKVKSTGRTSQMESFKDKSLSNGIFFLELLTSVEPRVVNWNLVTKGVSDDEKKLNATYIISVARKLGCCIFLLPEDIMEVNQKMMLMLTASIMYFYLQQCDEETESTPSSVAVTPEASPATSFYGDDGIGGAFSGDYFNMSMDDAASDTTCASNLEDITSL >cds-PLY86644.1 pep primary_assembly:Lsat_Salinas_v7:4:279139053:279139745:-1 gene:gene-LSAT_4X143221 transcript:rna-gnl|WGS:NBSK|LSAT_4X143221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSPKPTPRKDFRSITLPCRSHPSTYRIEKVLNKVKTWESTSSLSNPSAEIICSGVFQLTELYECLDDLVKTCPSKTSLDSSNQNMRWTDELLDVSVIFMDIFSNISDLMLQTKQHVRDLGCDLRRNGGPSIDSIIDNNTAFRKKLRRDIRTSVASLNQLDDMISYHPLVDFENNHLISVIRVFREVKAFTDVIVQLLLKFLAIPLLKRRSRSRWTAVSRYISKSKVVP >cds-PLY75817.1 pep primary_assembly:Lsat_Salinas_v7:3:69896856:69898812:1 gene:gene-LSAT_3X53501 transcript:rna-gnl|WGS:NBSK|LSAT_3X53501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEMSTETTAPLLRPRQDTVESQSGEGARPTTLALLLGRASGRRGASMLVRETAARQLEERRADWGYSKPVVALDMTWNLAFVAVSVVLLICTVEEKPNVPIRVWICGYALQCAVHAVLVWLEYSRRSRRIQEDEMTGGNDLSFNDSEDDDGRIGAFLTSNRISYTKRCENLNTMVSFVWWLVGFYWMVSGGEILLHNAPRLYWLSVVFLAFDVFFAIFCVVLACLIGIALCCCLPCIIAILYAVAGQEGASETDLSVLPKYRFQVSNDENKPDIHVGAGRMVPVESNVGYLAVERVLLPEDAECCICLSQYEDGTELHSLQCNHHFHASCIVKWLKMNATCPLCKYNILKGNEQV >cds-PLY78295.1 pep primary_assembly:Lsat_Salinas_v7:6:151944631:151947239:1 gene:gene-LSAT_6X91800 transcript:rna-gnl|WGS:NBSK|LSAT_6X91800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENTNVTMISDLTLAQDDYTIKVRIIRLWKQIRMIGMILMDERGAKIECNVDKPFSYLQGNNLEEYGDYYIQKPTIGLNNGVIKYILTLFILYNIDIIGNVFQCFPLEPPKDKQEQKITLKMEDLEGHEVFVTLWGRYADEIVAYVSKHHGHFVMIIQLAKFKNIRERPYVNKTYLATKLFIEDNIDEITAFKKSLQARKTSSCSSVSRASGSSTMYSLHDDFLQKNFFYKISTIHELNEDVNSHTDDNTPVSNIPSGNSTSPSSVTATNNLNSPPTSVKRKLVDIYDLDDDVYESATKPNASCVDNDKAGITTKLLIPKVEK >cds-PLY77324.1 pep primary_assembly:Lsat_Salinas_v7:5:144774995:144779598:1 gene:gene-LSAT_5X65220 transcript:rna-gnl|WGS:NBSK|LSAT_5X65220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Nek5 [Source:Projected from Arabidopsis thaliana (AT3G44200) UniProtKB/Swiss-Prot;Acc:Q0WPH8] MESRMDQYEMMEQIGRGAFGAAILVNHKLEKTKYVLKKIRLARQTERCRRSAHQEMALIARIQHPFIVEFKEAWVEKGCYVCIVTGYCEGGDIAELMKKSMGQYFPEEKLCKWFTQILLAVEYLHSNYVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSCIGPLPPCYSPSLKTLIKGMLRKNPEHRPTATEILKHPYLQPYVDQYRPSVVLHNITPSKPLGRPHHDITRKKRSESQSSNSSFSDKDSVISSEKNIQICDHKPDDEHCIDPFFNDEMDICAFRIEEKEVVKQPKLNYETTPVKSTRMGVKDGKIREHSSPMRGGRLKAGGVETPPPPEKANFEFRKRTPGSNSVKHQLPRQEGNPTSGPTISIEDKLNQRLRTPPPPQPSLLRKPSFPGRIKQLAIEPSTTEPIQTPVQIIHDTTITKKVQPNDPIQPTISHQKHDTHDPIPIHTNTQQKLVSKIIQESRVGVVSDNKQTDSFNSVASSASIQRFQPYDQNVLPSSRESPDSGVPSESHSDSTSSALQIPTIEKLTPGDMIHGISHSSFPDSKIFSSPTSLEPSDQSGKTELKPEVPDMPPPPPPEIQEEPPQPPPSTPPVKESLDITSTRQRAEALEGLLELSADLLQQNRLQELAVVLRPFGKDKVSPRETAIWLAKSLKGMMIDDTNRSL >cds-PLY67125.1 pep primary_assembly:Lsat_Salinas_v7:5:290704008:290704725:-1 gene:gene-LSAT_5X154820 transcript:rna-gnl|WGS:NBSK|LSAT_5X154820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWVKNNVEPFVPGTRIRGTVVGNEVLGSGDQELWEVLLLSVKNSHTALNLLHLADDVEVSSPHSSGIEDAKTKLHYDNIFEAQIDATYIALEKAGFEMMEVIVSESGWASKGDANEAGAMLSNARTYNLNLCKRLLKKKGTPYRPNSERDSPFSPSAISEIPNHLIVFD >cds-PLY73943.1 pep primary_assembly:Lsat_Salinas_v7:5:36557885:36558986:-1 gene:gene-LSAT_5X18081 transcript:rna-gnl|WGS:NBSK|LSAT_5X18081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDALKLILTEISQALVLNGFRGRLSLKIEGEDFVTLVTKSTHQDTATRMSTTLHTTYNTCRSIRLTAKKYSQLMKRETSELVRINLLMDEVKDLGDTIEEKPYVITWDGALRNEKVVDETGSREKNVNKRKVKSVETNEQLEAMDVELDMNETDKSGQVNKTNLGGLLRDDVGQLKELEGKTLVLSTKEEPKFEEPEEKHLTGEFDKENFEGFFVSFLRPLTLYIHGFSGQPGSSLGLNRFNRNTLIGPRARKFEKSPMMSVEPTVVGEAKSAGGEVT >cds-PLY95341.1 pep primary_assembly:Lsat_Salinas_v7:1:42264492:42267063:1 gene:gene-LSAT_1X37120 transcript:rna-gnl|WGS:NBSK|LSAT_1X37120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLRRRKRPDDDSPQTPGSPEEDEDKTTKSQKRGKKRRKKEYSCLDNCCWLVGCICTTWWFLLFLYNTMPASFPQYVTEKITGPLPDPPGVKCVKQGLEPKHPVVFVPGIVTGGLELWEGHECAEDLFRKKLWGGTFGEIYKRPSCWLKHMSLDNKTGLDPPGIRVRPVSGLVAADYFAPGYFVWAVLIANLARVGYEEKNMYMAAYDWRLSFQNTEARDQTLSRIKSNIELMVAINGGQKAVIIPHSMGVLYFLHFMKWVEAPAPMGGGGGSDWCAKHIKAVMNIGGPLLGAPKALAGLFSAEAKDIAFARGIAPGVLDSDVFQIQTLQHIMRMSRTWDSTMSMIPKGGETIWGGLDWSPEEGYLPSQRTNANITTSRCNQNESYSTMCDTTRINYGRLISFGRDVAESHSSDIERTDFRGAVKGYNLADIKCRDVWTEYHNMGLSGIKAIAEYKAYTAGDLLDLLEFVAPKMMERGMAHYSHGIAENLDDPKYAHYKYWANPLETKLPNAPDMEIYSMYGVGIPTERAYVYKLTPAAECYIPFQIDNAAGDINEHVCLKDGVYTVDGDETVPALSAGFMCAKGWRGKTRFNPSAIKTYVREYDHNPPSNFLEGRGTQSGAHVDIMGNFQLIEDVIRVAAGASGEELGGDRVYTDIFEWSKKINLKL >cds-PLY89408.1 pep primary_assembly:Lsat_Salinas_v7:4:122812982:122814782:-1 gene:gene-LSAT_4X77561 transcript:rna-gnl|WGS:NBSK|LSAT_4X77561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPPNSRFDNGGGGGGYDSNDNKGFSSGPSGPILCSNLIFHVPLRCRGQKPYSTTAVSSAYSYGNPSKKIDIPNGRVGVIIGKGGETIKYLQMQSGAKIQVTRDMDSHPHSLTRTVELTGTSESIAKAEQLIKDVLAEAESGGSGIVSRRMPGFFKELSAGGAAGGYDYYNQQQAPQTQPPGGTVAATDGSGYGINC >cds-PLY91557.1 pep primary_assembly:Lsat_Salinas_v7:1:11285723:11288251:1 gene:gene-LSAT_1X9460 transcript:rna-gnl|WGS:NBSK|LSAT_1X9460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLSSEGDSQRTQYPYVTGTSVIGIKYKDGVLMAADMGGSYGSTIRYKSVERIKQIGKHSLLGASGEISDFQEILKYLDDLILNDKMWDDGNSLGPKEVHNYLTRVMYNRRNKFDPLWNSLIIGGVKNGHKYLGSVSMIGVHFEDDHVATGFGNHLARPILREEWREDLTFEEGVKLLEKCMRNLLYRDRSAVNKLQIAKITDEGMTISQPYSLKTFWNFAAFENPTVGAEGSW >cds-PLY68342.1 pep primary_assembly:Lsat_Salinas_v7:8:298515335:298516931:1 gene:gene-LSAT_8X164781 transcript:rna-gnl|WGS:NBSK|LSAT_8X164781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGRGKVWLDPNEGNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKMARREERLAQGPQERVPAPVPVPAAAAQPAQASKKSKK >cds-PLY75089.1 pep primary_assembly:Lsat_Salinas_v7:2:93431255:93431936:1 gene:gene-LSAT_2X41500 transcript:rna-gnl|WGS:NBSK|LSAT_2X41500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:UNE15 [Source:Projected from Arabidopsis thaliana (AT4G13560) UniProtKB/TrEMBL;Acc:A0A384KL48] MDRKMSMSESKAVKPGPRYRRAKAENVAGAAKDTANKAQDKAADAANEAGDQAQLRKEEASSILQQTGEQVANMAQGAYDGVKNTLGVGETQQKK >cds-PLY65621.1 pep primary_assembly:Lsat_Salinas_v7:8:40090341:40091276:-1 gene:gene-LSAT_8X31261 transcript:rna-gnl|WGS:NBSK|LSAT_8X31261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNSNTNTLFIMFYSLLFFCFSGNADTGTTTFDFQTLTLTSLKSLGDAHLFNNSVRLTRDLPVPNSGTDRVLYNKPVRFRRPGSPNPASFSTYFSFSIVNLNPGSIGGALAFVISPNDEDVGDAGAYLGIPTGAVAVEFDTLMDAEFKDVNGNHVGLYIDSMVSSQVADLDSIEVNLRSDTQVNSWIDYSSSTQKLNISISYSNTKPKSPLLSITTNINRYVNEFMFVGFSGSTQGSTEVHLIEWWSFTSSFDDQDTITKPSPNPPPTTTFMNPTANPVNSPPPVGVTGGWLRVVVLGCPCFFLRFVQ >cds-PLY94788.1 pep primary_assembly:Lsat_Salinas_v7:2:180530875:180535931:-1 gene:gene-LSAT_2X104041 transcript:rna-gnl|WGS:NBSK|LSAT_2X104041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKMVRLGAGGNEKMKYMLEGDGKRLLTAENLGGHDDFQRIWRTMASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAADLRSSIWKQMSGVGIKYIPSNTFSYYDQVLDTTAMLGAVPSRYNWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVQFSYASHKAVTEYKEAKALGVDTVPVFVGPVSYLLLSKPAKGVEKTFDLLSLLDKILPIYKEVIAELKEAGATWIQFDEATLVKDLEGYQLEAFTKAYSELESACSGLNVIVATYFADVPAEAFKTLTSLPGVAGFTFDLIRGEKTLELIKSSFPSGKYLFAGVVDGRNIWANDLAGSLTVLESLEGIVGKDKLVVSTSCSLLHTAVDLINETKLDDEIKSWLAFAAQKVVEVNALAKALAGHKDEAYFSANAAAQASRKSSPRVTNESVQKAAAALRGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTIELRRVRREYKAKKISEEEYVKAIKEEIFKVVQLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSMAQEMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNVGVADTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSMEEIADRVNKMLAVLETNILWVNPDCGLKTRKYGEVKPALLNMVTAAKKLRAELASAK >cds-PLY75280.1 pep primary_assembly:Lsat_Salinas_v7:3:156314978:156317070:-1 gene:gene-LSAT_3X99860 transcript:rna-gnl|WGS:NBSK|LSAT_3X99860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSRRNVNYTRLAVNEDTIGLTCQQNENTFDPRFDMSAESSDKIPWKSIGLAVFLLVFGCILLILSLFVFTGHMGGDKSQAYGLLGLGTLTFLPGFYETRIAYYSWRGAQGYRFAAIPSY >cds-PLY64004.1 pep primary_assembly:Lsat_Salinas_v7:4:190085513:190101711:1 gene:gene-LSAT_4X109181 transcript:rna-gnl|WGS:NBSK|LSAT_4X109181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIVDQSKIAFFDLETTVPTRPGEAHAILEFGYILVCPERLIELESFSTLVRPHDLSLISDSFVRSNGITADAVVSAPTFSDIADRVYDFLHGRVWAGHNILSFDCVRLREAYAQINRPPPEPKGTIDSLALLTQRFGRRAGDLKIDTLAAYFGLGQQRHRSLADARMNFEVVKCCATVLFLESINPREQIPIPVESDNAIPYNEVCMASITVVSVSPYFHRFQKIQILHRDIPLQIRCDSMRIRFGLSTKYLDYAGRPRLSFMVDANSPTLCNLLDACDHITKRFLGFDCNSEWRPLVNRKFSESPTVRLNLRTEDGERSGWMTEIYHKDSSSSSSSLSAPQMVSCSGYDVAELDWLFRPGGFVDAYLCLEPYNYPENAGIRLVAKKLIVHY >cds-PLY91721.1 pep primary_assembly:Lsat_Salinas_v7:7:26863624:26868681:-1 gene:gene-LSAT_7X18881 transcript:rna-gnl|WGS:NBSK|LSAT_7X18881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFPLGAVIGRYPFPSSSNGGQDQNIETGDVIRHGRKCRDIPFLVFFIAFWIALIVNSSFGFHKGNPLRLTHGLDYKGNVCGDKNGNPNLHGLGVRYWVNPNQVFESGFKDTHSDLEDFKSICLRDCPMPSNDTLKWVCNYPEGDEIRLSMEQWVSRDYDYFSFLTPDMRKSSLQLLGPCYPVLFPSVNVLWSCEFMTRKSNVSLQQWHMMGGQTVDDGMILDKTVHKSINSQSSVLKRYVADIGKSWPVLIVCGGIIPLILSVIWLAMIQRLVSAMTWITVALFDILIISVTIFFYLKAGWIGNDAITPIIGTHDPSYHLSGRERVHLHLAAVFMTVIMVVAILSSIAIARRIFKATSVASKIIGEVRALIIFPIMPYAVLGIFYMIWISAILYLLSSGEIVRDRCNANCCAYDLKMKQVNCERCCGHTIHYTPHIGIAILFHLFGWYWVTQFVKAFSSTVIAGSVASHYWGHGEVLQEISFLPIFSSVKRLVRYNIGSVAIGSLMVSFIESLARILKPLRKKLMVVDIKTHNQVGKVLSVSSHFALTCIEWIIRSVNHNAYIMIAITGESFFAASAMATELIKNNILRIGKVNVIGNVILFLGKLCVSLASALFAFLMLDTHKYKSAHDKVSSPLIPVLVCWGLGFVVATLFFAVVEVSIDTIILSYCQDSDEGHRGTTQYATPLLFETLDDQNEADRHDQ >cds-PLY84830.1 pep primary_assembly:Lsat_Salinas_v7:4:145351128:145351794:-1 gene:gene-LSAT_4X90541 transcript:rna-gnl|WGS:NBSK|LSAT_4X90541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPVWSSQGVVFATAMAVSGTMILLSLLRSTATFSDENGDSNTAEAPPPPTPPPRRRSCLSTVKKDGRMKKKVRFLENVEDTDRKAWREIEYASNCKIETMDLNGARTLLVEVSR >cds-PLY88487.1 pep primary_assembly:Lsat_Salinas_v7:4:291931848:291932329:-1 gene:gene-LSAT_4X150181 transcript:rna-gnl|WGS:NBSK|LSAT_4X150181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNISKLEREAGVKFEHISAPQPADIAKAVGGDDVEVIILVVDSVIPVFKSSAEELLNNFGLTPVELLPKALAKSIGYTEIKHMSLLSFMENNITLHLEVGRPVYTPS >cds-PLY81639.1 pep primary_assembly:Lsat_Salinas_v7:8:219812341:219813683:1 gene:gene-LSAT_8X134720 transcript:rna-gnl|WGS:NBSK|LSAT_8X134720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVCSEQEHKFQSAHQLYLANKKSFRDLDIPPRKLLSRRLVALSSEQSMDIYNQLQHQQNDSPRVLSEETLLQKFLPSNSGQDDDSDPYSSEEFRMYEFKIRKCTRSRSHDWTDCPFAHPGEKARRRCPRRFNYSGIVCADFRRGSCGRGDSCEFAHGVFECWLHPSRYRTEACKDGKNCQRKICFFAHTPKQLRSLPVETVDSPKKHGNSGHCVHCRCHPGTHHSNSPTSILDLDKLSPPSSPPFSTALPGVGFSPVSRFSDRLSRTESSGMAQLGNYRDSMNELIASQSINELMHSMKAMNMNAIDQAQNTNPMWMDSFAGCDDQQSFFVFSPSTLSPCASGSRRAFPRECNLGMNNLNEERSTGGPDLNWVNDLLT >cds-PLY74777.1 pep primary_assembly:Lsat_Salinas_v7:6:121535265:121535921:-1 gene:gene-LSAT_6X73961 transcript:rna-gnl|WGS:NBSK|LSAT_6X73961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEVTKDLRPIIHEDSIPSGNTANLSKNWEIPKDAVVISSPNSDLNQDVIDKDGEEFTMVTKKAGNAGTATVKGYGTGDNHPNVSGSKGSNWNGGNNKRGSYNSVNKGNKGWNNRIHSGNWNRGSVSHWNHQKNQEFVAANNKSFIVDKQGHNRKESVDSRKKEEKVENGKGFKGSQIINLSL >cds-PLY70162.1 pep primary_assembly:Lsat_Salinas_v7:3:10609481:10611446:1 gene:gene-LSAT_3X6461 transcript:rna-gnl|WGS:NBSK|LSAT_3X6461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTWWLILSGMVAYVIWFKSITRSLSGPRVWPVLGSLPGLIQNANRMHDWIADNLRACGGTYQTCISPIPFLARKQGLVTVTCDPKNLEHILKAKFDNYPKGPTWQAVFHDLLGEGIFNSDGDTWLFQRKTAALEFTTRTLRQAMARWVNRAIKLRFCPLLKTAQLENKPVDLQDLLLRLTFDNICGLAFGMDPQTLSPGLPENSFASAFDRATEATLQRFILPESIWKLKKMLGLGMEVDLSQSLNHVDTYLTAVINTRKLELLNKVGADGGTPHDDLLSRFMKKKESYSDTFLQHVALNFILAGRDTSSVALSWFFWLVIRNPRVEMKILTELCAVLIETRGSDTSKWLEEPLGFEEVDQLTYLKAALTETLRLYPSVPEDSKHVAADDILPDGTVVPAGSSVTYSIYSTGRMKFIWGEDVLEFRPERWLTDDGKKFEIMKDQFRFVSFNAGPRILKLHPRDLAPIVAKIGSTGIARDRESMNC >cds-PLY69841.1 pep primary_assembly:Lsat_Salinas_v7:6:3940088:3940330:-1 gene:gene-LSAT_6X3480 transcript:rna-gnl|WGS:NBSK|LSAT_6X3480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTASSETPFVAETMGKSLILNIKVNQNTVLDLDPIKYDESLQPIVECLKYSLLVVALTKSESVCLMYLSKAYSTANYQKG >cds-PLY98888.1 pep primary_assembly:Lsat_Salinas_v7:5:20456917:20460840:1 gene:gene-LSAT_5X10741 transcript:rna-gnl|WGS:NBSK|LSAT_5X10741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT3G48820) UniProtKB/Swiss-Prot;Acc:Q8RY00] MRLLHLAFIVALASGVAAILIYITGVSNLNGTLNISDEEMEALQSLQSGFQKCVRANGLGLQAINGRDYCKVTLQFPSDTIPKWKDPKTGNVEGLSFEFNLCEAVATWEQVRNSTTILTKEFIDALPNGWKDYAWRRINKGILLNNCENKTLCMEKLSLVLPETPPYVPRQFKRCAVIGNSGDLLKTKFGKEIDDYDAVLRENGAPIQNYTEHVGHKSTFRLLNRGSAKALDKVAELYEAGKEVLLVKTTIHDIMNKMIREVPILNRVYLMLGASFGSAAKGTGLKALEFALSVCDTVDMYGFTVDPGYKEWTRYFSESRQGHTPLQGRAYYQMMECLGLIKIHSPMRADPNRVVKWVPSQSTITAARRASEKMLRWVAAGSDDPLASCSIIKKKLQKSQYPSLRKAAVDHQKYVKETTMYPLEHSPGHSQLCTVSNT >cds-PLY79063.1 pep primary_assembly:Lsat_Salinas_v7:3:7761725:7762955:1 gene:gene-LSAT_3X4261 transcript:rna-gnl|WGS:NBSK|LSAT_3X4261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKITGIEQNVRPFEKQQRQGSHDSRSKLPIFHQRKTHFPYRPIFGLGAVGLAVLELQVLQGSLVLISMPTDLSSVDWSSILCCYSLTALPKYQSTCSESIRSTLCSRYLLLSSAPSHEVTYWDPGSAERACEDPNPVMDEEEKEK >cds-PLY76040.1 pep primary_assembly:Lsat_Salinas_v7:5:320002155:320003123:1 gene:gene-LSAT_5X177181 transcript:rna-gnl|WGS:NBSK|LSAT_5X177181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSLAFQRLVFAMLLLLNIPFLKAQSPTKGTSVSSRFLDSVLQDYAFRSFSRHRQRTGAIYDGIVSPEFTGITVSALRLRSGRLRRKGFYGYKEFDIPGGVIESPYVERVVLVYQNLGNWSSVYYPLPGYLFLSPVVGLLVYNASHQTAKRLPELDLRASENPILIKFESLGLLADGVLRKCVFFDLFGGVEFDRVLNGSVCSSVKQGHFGIVVKESVPAPAPAPSKLPENPIAVGGGGGAGGERWNNEWWIGGVVAGVVLVVVVFGGSWAVRSFRRRRRIEKMEYEAEGDGPLAVAAVGSALVPVAMGTRTKPVLENEYVS >cds-PLY91536.1 pep primary_assembly:Lsat_Salinas_v7:1:11860224:11860740:1 gene:gene-LSAT_5X101580 transcript:rna-gnl|WGS:NBSK|LSAT_5X101580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNSTRSIVVALIGFMFMAVFEVNQAQEFAPSPAPMSNDGAAIDQGIAYLLLLVALAITYLIREFVGIGFGLVNLILPSAFM >cds-PLY71508.1 pep primary_assembly:Lsat_Salinas_v7:2:122976541:122977506:-1 gene:gene-LSAT_2X56220 transcript:rna-gnl|WGS:NBSK|LSAT_2X56220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEADGWTLNVKIKVEKDPTLSATLGLKTNISSYLNAEIVPGVYSDEKPPFVVELDGSHPFYTLDAYEEFFFGSNAGNIILFGKGILVICSLSFLGRNPKTDKEENIYPRVFLSFVLNRPNPQVIVSWNGLVVSSFARASKILNNEPDVTKFNFPVAGTDPQEYMEVAKRSLVFIKENLYDSQSRRLQHSFRKGPSKAPGILDDYAS >cds-PLY98297.1 pep primary_assembly:Lsat_Salinas_v7:7:169801232:169801891:-1 gene:gene-LSAT_7X100841 transcript:rna-gnl|WGS:NBSK|LSAT_7X100841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLSLRVAPLDLQQGGNSRIPYVHVPAARMSILVYIATAINTFLFLLTKHPLFLRSFGTGTEMGAFSTLFTLVTGGFRGRPMWGTFWVWDARLTSVLISFLIYMGALRFQKLPIEPAPISIRAGPIDIPIIKSSVNWWNTSHQPGSISRYGTSIHVPMPIPILSNFANSPFSTRILFVLETRLLIPSFLESPLTEEIEAQEGIPKPSSLAESLCIHG >cds-PLY62071.1 pep primary_assembly:Lsat_Salinas_v7:2:57102880:57103137:1 gene:gene-LSAT_2X25840 transcript:rna-gnl|WGS:NBSK|LSAT_2X25840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDVVACLPLYIVVIHYITSSAPKRFRRSRFWGVTPVTIPPHSLASQEILHNNTSIGIVLAIDLDTNRLKIIISWGHILISVNQE >cds-PLY84136.1 pep primary_assembly:Lsat_Salinas_v7:6:187617172:187617975:1 gene:gene-LSAT_6X114780 transcript:rna-gnl|WGS:NBSK|LSAT_6X114780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVITSELEVTSSLPAAKLFKVYGDFDTLAPKVDPQTFKSVNIIEGDGGVGSIKSITFGESVPYKNSKHRVDAIDISNLSVSYTIFEGDALLGIIDSATHHIKFVPSADGGSVYKHTTEFNCKPDAQLSEEILNFAKEAFKNTFKAIEAYAIAHPEAY >cds-PLY85575.1 pep primary_assembly:Lsat_Salinas_v7:5:44271084:44275164:1 gene:gene-LSAT_5X21460 transcript:rna-gnl|WGS:NBSK|LSAT_5X21460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTSENPKATIPEIPKAKSGSLPSIVKAYWLPAILFSASMFFQLVALPRSFPPSHYDVLGIKTYSSIEQVNEAYQHLSSNWKTDSEPSSTPDMIKIQYAFELLSNPIWKRDYDIFSVDEYLHVMEKVKEKYSSASFSDINLPLLKPTSFESDHVFDAISSDNFISKLQNSSALLVQVYSIGSSRCAQFSSLWKRIASLLDGIANTGMIDISDLKLATYLSEKKYTGAPFYRNGVPSLLAFPPGCKTSDCIVRYTGELSVDAVTDWFATTILSLPRISYYSRDTLAQSFLGKGSRHKVKVIYVSKTGQRATPFVRQVAKAYWSYASFAFTVYREEESIFWSNTFGVEEAPAIVILRDPGVKPVVYHGSINNSRLIDIMEQNKHQVLPQLRSVTSMELGCDPKGYSRAGNDTTVWYCAVLIGRQSTELHKMRETMRRVQDILSDGENVNQVDQYQAAMSALKEKRLTFAWVDGEAQKRFCLFYVNVEESFDTCGPRRDVTDVPRLFLVRYKRNDTEANKINEKNSMNMFATIASKDVDPTSQLVALYKGLDEAPQIIQWISETVKDGDSRDLPFHRTSTPELVAEDVDPIWSKGREQIISSSRGMKQRLENIPERIHDVMEDPRFGPMLLLGALMSFGFIYLRRNQSIKSSQTNTEGDERPKMRRRRPNSHKKQDAAPSVTDMQPPNAFQMPFTDSDSD >cds-PLY71044.1 pep primary_assembly:Lsat_Salinas_v7:3:160460063:160461236:-1 gene:gene-LSAT_3X101321 transcript:rna-gnl|WGS:NBSK|LSAT_3X101321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVDGCLVGLVVGSNGWCHGVYRQQSRLRRANREASFSFGDGERSIDTRGLIAAENCRRHRWEALVSRRITSKTVGQEGLHRLGLTRKCWRGGAPPFGCHWKALDDRVRLDGGQSREAPAPRETSKVGEVVDVENCEEEKAFVEVSRREREVEERTTSRGRRPRQVLDKKP >cds-PLY88803.1 pep primary_assembly:Lsat_Salinas_v7:4:93162275:93167402:-1 gene:gene-LSAT_4X61261 transcript:rna-gnl|WGS:NBSK|LSAT_4X61261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLNIVMFKSRVNMGRKLKTLAILLSLSTLVYTPVSTTSVDEFVRIKLKRVKFSETSSDVTLNASVRKYTKSSNNLRDSTKSDIIALNNYMNAQYFGEIGIGTPPQKFNVIFDTASANLWVPSSECLFSASCSSHMKYESSKSSTYKANG >cds-PLY84032.1 pep primary_assembly:Lsat_Salinas_v7:6:188792947:188794269:1 gene:gene-LSAT_6X116841 transcript:rna-gnl|WGS:NBSK|LSAT_6X116841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPREDKDQKILLYACRNCDHQEVADNNCVYRNEVHHTVGERTQVLQDVAADPTLPRTKAVRCAQCGHGEAVFFQATARGEEGMTLFFVCCNPNCGHRWRD >cds-PLY63126.1 pep primary_assembly:Lsat_Salinas_v7:4:65638521:65638940:1 gene:gene-LSAT_4X44341 transcript:rna-gnl|WGS:NBSK|LSAT_4X44341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCSFYRLFPDPLNRLFFECSYQSQIWHEITTLINPNNVPGKWFEIINLLKQTTRGKSIWYVIHRFVLAGTVYHIWIERNNKIFKNKRRTYETMAKTITDEVCSRLKSFTRKTFPNVAKAKIIWRLPMKNENIATQHPP >cds-PLY77104.1 pep primary_assembly:Lsat_Salinas_v7:7:183092336:183092860:-1 gene:gene-LSAT_7X108000 transcript:rna-gnl|WGS:NBSK|LSAT_7X108000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRQQGQQGGSYGTKQIGQMMQKAQNLPNQCKLQTRQCQLGKISITTITTVSDDTSYKQQCEHIRGRQFNQCQSFIQRQMGSYGTLLMSVSKQGQQAQGLELCCNELQNVEEECQCVAMQEVYRQLQKQQQQGSQQRGRRGGQPQTQDLQQIVQNLPNQCKLEVHQCRIPSAMF >cds-PLY63958.1 pep primary_assembly:Lsat_Salinas_v7:3:172383466:172385497:-1 gene:gene-LSAT_3X105561 transcript:rna-gnl|WGS:NBSK|LSAT_3X105561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVVRNVLKSIREKGLVSFARELREEGFLNCLSDGNLLQTKIHNIGATLVGVDKVGNKYYEKLHQTQYGRHRWVEYASKDRYNASQVPPEWHGWLHFITDHTGDELLMLKPKRYGLDHKENFSGEGDEYIYHSKGHTLNPGQRDWTRYQSWQPTKTQ >cds-PLY75588.1 pep primary_assembly:Lsat_Salinas_v7:9:32620038:32631582:1 gene:gene-LSAT_9X30620 transcript:rna-gnl|WGS:NBSK|LSAT_9X30620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSGRQLKAMLRKNWLLKIRHPYITLAEILLPLVVMLMLIAVRTQVDTTIHPAQSYIQKDTFVEVGKSSISPTFDQVLESLLANGEYLAFAPNTSDTRMMINILSYKFPLMQLQQVMDSFIIFYAQRKVANVASEDTMDNSKLLLSKYIVPNSLKIPWSQFTPATIRLAPFPTREYTDDEFQSIIKDVMGVLYLLGFLFPISRLISYSVFEKEQKIKEGLYMMGLKDEIFHLSWFITYALQFAISSGIITVCTMGTLFKYSDKSLVFMYFFVFGLSAIMLSFLISTFFTRAKSAVAVGTLAFLGAFFPYYTVNDEAVSMVLKILASLLSPTAFALGSVNFADYERAHVGLRWSNIWRASSGVCFLICLVMMVFDSLLYFAIGLYLDKVLHKENGVVYPWNFIFPKGFWRKRNTSKQYGSGLDVNNDNKEKGTSSRSTMEAINLEMKQQELDGRCIQIRNLHKVYNSNKGKCCAVDSLQLTLYENQILALLGHNGAGKSTTISILVGLLAPTSGDALIFGKSILTDMDDIRKNLGVCPQYDILFPELTVKEHLEIFANIKGVSEESLESSVTEMVDEVGLADKLNTSVSSLSGGMKRKLSLGIALIGDSKVVILDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEADVLGDRIAIMANGSLKCCGSSLFLKHQYGVGYTLTLVKTSPGASTAADIVYRHIPSATCVSEVGTEVSFKLPLVTSASFEHMFREIESCISKSSEHENQTDFGIESYGISVTTLEEVFLRVAGCDISEEEECLEGNTLVMPDSIPSQPCDDYVEVEDKRSHSKFFGNYIMILGLMLSGVGRACTLFITAALSFLKLVTVPCCCPSAITRSTFWKHSKALLIKRRISARRDRKTIVFQLLIPAIFLFIGLLLLELKPHPDQPSITFTTSNFNPLLSGEGGGGPIPFDLSLPIAKQVSHYIEGGWIQKFQESTYTFPDPSKVLQDAIEAAGPTLGPKLLSMSEYLMSSFNESYESRYGAIVMDPQNDDGSIGYSVLHNSTCQHAAPTFINLMNAAILRLATHNENMTIQTRNHPLPMTESQRLQRQDLDAFSAAIVVSIAFSFIPASFAVAIVKEREVKAKHQQLISGVSILSYWVSTYIWDFISFLVPSSFAMLLFYIFGLEQFIGSGAVFPTVLILLEYGFATASSTYCLTFFFSEHSMAQNVVLLVHFFTGIVLMVISFIMGLIPATQDMNSVLKNFFRLSPGFCFADGLASLALLRQGMKIGSSEGYFGWNVTGGSICYLAAEGVIYFLLTLGLEYFPPHEFNVFGIKDLIRSFRRSSPTDEFCEPLLRSSTESLAIDLEEDIDVQTERNRVLSGSIDKAIIYLRNLRKVFPGGRNQGKKVAVNSLTFSVQEGECFGFLGTNGAGKTTTLSMLSGELYPSDGTAFIFGQDMRLNPKAARQLIGYCPQFDALLEFLTVQEHLELYARIKGVPEYMLENVVMDKLLEFDLLRHASKQSFTLSGGNKRKLSVAIAMIGDPPIVFLDEPSTGMDPIAKRFMWEVISRLSTRSGKTAVILTTHSMNEAQALCTRIGIMVGGKLRCIGSPQHLKTRFGNHLELEVKPTEVKSGDLENLCQMIQERLFDVPLHPRGIFGDLEVCIRGDDSITSEDASATEISLSKEMIILIGRWLGNEERIRSLTDNVVGSGTAFNEQLPEQLARDGGLPLPIFSEWWLAKEKFSMIDSFVLASFPGATSQGSNGLSVKYQLPRGEDFSLADVFGLIERNRNRLGIAEYSISQSTLETIFSHFAAANS >cds-PLY98367.1 pep primary_assembly:Lsat_Salinas_v7:5:316949922:316952583:-1 gene:gene-LSAT_5X174621 transcript:rna-gnl|WGS:NBSK|LSAT_5X174621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVSHQSLIWFNSPRFLRPFNLHSTMASSSLVLPSVSLKHEVSIDALDSYPLRSSSSLCFGASKHGFRRLNLDKSRVSMSVSVGSTTAVDDALFADYKPTTAFLFPGQGAQAVGMGQEAQKVPAAAELYNKANEILGFDILDVCINGPKEKLDSTVLSQPAIYVTSLAAIEILRARDGGQQIIDSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGQAMQEAADASRGAMASIIGLDSDKVQQLCDAANEEVEEAEKVQIANYLCTGNYAVSGGVKGIEAVEAKAKSFKARMTVRLAVAGAFHTGFMSPALSKLESALAATQIRTPRIPVISNVDAQPHSDPDTIKKILARQVTSPVQWETTVKTLLSRGLGKSYELGPGKVIAGIVKRMDKTSPIENIMA >cds-PLY96354.1 pep primary_assembly:Lsat_Salinas_v7:4:356260198:356263770:1 gene:gene-LSAT_4X175780 transcript:rna-gnl|WGS:NBSK|LSAT_4X175780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVTAGGDLPHGKNNHQQGTTLRSTPKTLLLVTLTLIILTVVPLYYPLHRYPSTTTTTHASATTAATISPVYHPQDEVIIINDNHHKCDVFSGEWVPNPDAPYYDNMTCWAIHEHQNCQKYGRPDSDFMKWRWKPDGCDLPIFNPYQFLEIVRDKSLAFVGDSVGRNQMQSLICMLSRVEYPIDRSITKDENFKRWYYVSYNFTLATFWSPFLVKSEEASADGPTHNGLFNLYLDEFNEKWTTQIDEFNYLILNTGHWFWRPALYYVNRQIVGCRYCQVENITDYPMTFGYRKAIRTAFKAINSREKFKGITILRTFAPMHFEGGEWNEGGNCLRKKPFKSSEITLEGVNLELYMTQIEEFRRGEKEGKEKGLKYRLLDATQSMLLRPDGHPSRYGHWPNENVTLYNDCVHWCLPGPIDTWSDFLLHMLKMEGLRSAEEKSHVKG >cds-PLY88830.1 pep primary_assembly:Lsat_Salinas_v7:2:39568061:39570403:-1 gene:gene-LSAT_2X17881 transcript:rna-gnl|WGS:NBSK|LSAT_2X17881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMPRERDPLVVGRVIGDVLDSFTKSINLSVTYNDREVSNGCELKPSQVVNQPRVDIGGDDLRAFHTLVMVDPDAPSPSDPNLREYLHWLVTDIPATTGARFGQEIVCYESPRPSMGIHRMVFVLFRQLGRQTVYAPGWRQNFNTKDFAELYNLGSPVAAVYFNCQRESGFGGRRR >cds-PLY78792.1 pep primary_assembly:Lsat_Salinas_v7:8:59763886:59766311:1 gene:gene-LSAT_8X43280 transcript:rna-gnl|WGS:NBSK|LSAT_8X43280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKDEDFKLLKIQTCILRVNLHCDGCKHKVKKLLQKIDGVYQVNIDAEQQKVTVSGSVDSVTLIKKLVRAGKHAELWSNKSNQSQTHNKNQTPNHQNQKGSCMKDDKKNKTQKQDFIKGLETLKNQQKFQPLSISEEDDDYLDDDDDDEVSEEELRMITEKTNHLVLLKQHQQLQQQHAAAMAANNAARNSHNNGGKPSNGGNGNGNGNGKKGNGNPNGGMKMGDNNTNNHGGGGEVKRVNDISSIMNNLAGLNGGGDGGLGNAGGVGGGLGGFQIPQKNNAVLGGGGLPLASGGGGGYNPSSQASMMMNMAGVAGYNQHQQQQQQTYNHAAASMMLNLQNRQAMQQQQQPQMMYHRSPVIPPATGYYYNYNPTPYTYSEHPHNYYYATANGGGGGGGDNSAADMFSDENTSSCSVM >cds-PLY81515.1 pep primary_assembly:Lsat_Salinas_v7:8:157242535:157243050:-1 gene:gene-LSAT_8X103821 transcript:rna-gnl|WGS:NBSK|LSAT_8X103821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKEMMVYEVTPLSLGVDLKGDIMNVVIGRNTPIPAKNTTVLVTIVDNQSSARISVYQGERSKSTDNYLLGSFVVFGIPPAPKGVSLIPVCFEIDDNGILTGTAKIVSNGIYITEKLTVTNYGGRMSKQEVDKMVKDAEKFKVQDQRFKRKAEPYNALDDCIYDLRKKMKK >cds-PLY80472.1 pep primary_assembly:Lsat_Salinas_v7:2:136676125:136678842:1 gene:gene-LSAT_2X67341 transcript:rna-gnl|WGS:NBSK|LSAT_2X67341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIHGDVNVQSLFHSFRHGFRSLSHNAHWVTSSGFQISIASSILSVAGLILAFRDERGRRGFNNLFLSWSSSSESESSPEKSWVVPGLQNLGNNCFLNVVLQALASCSSFLSSLQKIVEDFEASSEIEVNEDMPLAVSLNALLQELRIIRHKGKVLSPRKVMLAMALYTPNFNLTSQQDAEEALAHMLSSLREECSVCFGSNHNSLTIATASSNRILSPNGRIIHSDLERWTQSFLGPFNGIIGSILTCQSCSFQISLDFQFFNCLYLSPPTYGGGSIMPGCSIEDCMKQFFVAERLENYFCTHCWHTSATKYLSLLNKNETDIEKLQNCSKQDTCGCRNIQSLKSLPWSNNYSHTFKQLHIARSPKILCLNLQRASINAFGESVKLQGHISFPLSLNMSRFQNRGVEIKHMEQNQQPIRYSHIFKLQNDSHPLCNVYKQGKESNLTEKYTDFVETKQPCSEVDSELEIQEEQSHETLKSTPNGDHTYNLVSVVEHFGSTGGGHYTVYRRVSKKKTNDDNNNNTEIDVISEPCDVYWVGVSDSHVCRVSEEDVLGSRASLLFYERVLES >cds-PLY81798.1 pep primary_assembly:Lsat_Salinas_v7:3:29914840:29917639:-1 gene:gene-LSAT_3X23520 transcript:rna-gnl|WGS:NBSK|LSAT_3X23520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTSHEISSAVADEDDRNASEVDTSEKESSTNEVKNYGKSTRFSDLSLNIPPRHAHFSSNRREKASLQSPGISSNGTSSSSSSSRGIFRGLSFKKKDHVPHNGESSSLLPSDANGPPPVSPLVSNTNSNLHWKRCTSLPVKHASSNPSPSATTPVSARTYSEQQKSQIGAIQATVSRSLSVPGRNIVIVRSISFAARKDNDQSDSANDQIGSVEVENDEEIDEEEAICRICFDSCDEGNQLKMECSCKGALRLVHEECAVKWFSVKGNKNCDVCGREVSNLPVTLLRMPSYVQRQTMNMNPQNQQHLNSGTISAWQDFVVLVLISTICYFFFLEQLLIRDLKTQAIVIAAPFSFTFGILSSTFSVILAIREYIWTYAALEFALVAMILHLFYSWLELKAIYAVMLSGILGFGVAMTLNALYIRYFVWRVQILRDSNNV >cds-PLY79102.1 pep primary_assembly:Lsat_Salinas_v7:3:7661584:7665463:1 gene:gene-LSAT_3X4321 transcript:rna-gnl|WGS:NBSK|LSAT_3X4321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETPYDGSQHGQSVQIIPFKTSNASLRVLLLHGNLDIWVKEAKNLPNMDMFHNRLGQMFGRLPSLTGGKTKTEDGRPEKVTSDPYVTVSIANAVIARTFVISNSENPVWMQHFYVPVAHYSAEVQFVVKDSDVVGSQLIGAVGVPVEQLISGAVVEGTFPVLNESGKPCKPGAVLTLYLQYIPMSRVPLDLEFNGVLGTYFPLRRGGKVTLYQDAHVDDGYLPNMQLDGGLMYGNGDCWHDICEAIKNARKLIYITGWSVVHSVQLVRNGEGGKDSILGDLLKAKSSEGVRVLLLIWDDPTSRSILGIKTQGVMGTNDEETRRFFKHSSVKVLLCPRSAGKGHSWAKKQEVETIYTHHQKTVIVDADAGNYRRRIMAFVGGLDLCNGRYDTPGHPLFSTLQTLHKDDYHQPNYTGPTSGCPREPWHDLHSRIEGPAAYDVLKNFEERWLRASTPHGISRIKKSSDDSLLQIDRIPDIVRIDEAHCTNEWDPEGWHVQVFRSIDSNSVKGFPDDPKDATSMNLVCGKNVLIDMSIHTAYVKAIRAAQHFIYIENQYFLGSSFNWSNYKTLGANNLIPMEIALKIANKIRANERFAVYVVIPMWPEGSPTSTAVQRILFWQNKTMQMMYEVIYKALQEVGLDNVYEPQDYLNFFCLGTRESSNGVEPISEEKGSNSANTPQSLSRKSRRFMIYVHSKGMIVDDEYVILGSANINQRSLEGSRDTEIAMGAYQPYHTWAHRRSSPHGQVFGYRMSLWAEHVGGLESGFERPESIECVRRVRMLSELNWKQYAADEVSDMKAHLLKYPVEVDRTGQVNPLPGCPTFPDLGGNIVGTFFAIQENLTI >cds-PLY69236.1 pep primary_assembly:Lsat_Salinas_v7:3:224597591:224597840:-1 gene:gene-LSAT_3X128061 transcript:rna-gnl|WGS:NBSK|LSAT_3X128061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGIKKPFLLTRFISEVDHERDQKAIFAYQMPLEATEWDVYEFFSKAGKVNN >cds-PLY85759.1 pep primary_assembly:Lsat_Salinas_v7:1:48027674:48031101:1 gene:gene-LSAT_1X42061 transcript:rna-gnl|WGS:NBSK|LSAT_1X42061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKWYPNVSEEEFIKACPFCRKNCNCKACLRDYSPKVMQGYSCSKPDQIRYSKHIIQKVLAFVKRLNADQLREKLIEAKVKGLSLSYLQLQDAECEVDDNIYCDKCGAYIFDLYRSCECGYDLCLVCCQDLREGNMGMKSGWKFSIDGSIHCPPIYIGGCNEGILELKRIMPVDWVVNILEKAQEIYKINTSDDIDIHQTSTKCGMCCYDSEANEENSNGCYLYSLSAKDIQPQQDMQHFQLHWSKGEPIVVNDVLSTSSGLSWEPMVLWRAFRDITKNSNRSHTCEVNAIDCFDWKKVSVDLHKFFRGYSEGGFKKEILKLEDWQPSCLSEGEWPRHFVEFIGCLPFKDYTHPKNGYLNVAVKLPDLSSKPDMGPRMDIAYGNSVTKLHYDKSDTVNVLTHTESPILASTKLNNTKMAKHQDEALVCEEEGALWDIFRRQDIPKLEKYLWNHSSDLMHADCLAFEKIVHPIHDRIFYLNIEHKRKLKEELGIEPWSFKQKLGDAVFIPAGCPYQVRNLKSSTKIELNFVSPESLGECIRLQHELRMLPNNHRAKQNKLNIGKMMLYALDHAMMDLAGVSDSNNPIDDLKVPETSKDDHANNFNNSNGWVNDTESGDDSDQTSSNEWLTDHTHEASRTLMPDEGLWRGNVVGLEVKKLLEAVEHQYPNTFQGVQIRAKEMWISILKEFHAVIKSFLETSVDAVAEDRLANLREDLKEFERFGFDLSWAHKRIDMVEKLKFGNEPLQQELMALKESLEPLKERLGGRWKQFVEAQEMLKVAQLEYDNASDALKKKAREVAHKFGDEYDQVLKGHLGFGILQGY >cds-PLY93088.1 pep primary_assembly:Lsat_Salinas_v7:4:107808202:107810020:-1 gene:gene-LSAT_4X69821 transcript:rna-gnl|WGS:NBSK|LSAT_4X69821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKKEVFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRVHGVNYGNFMHGLVKENIQINRKVLSELSMHEPYSFKALVDVSRTAFPGNRQVSATPKKEGLAMLI >cds-PLY73578.1 pep primary_assembly:Lsat_Salinas_v7:4:321267050:321267502:1 gene:gene-LSAT_4X160300 transcript:rna-gnl|WGS:NBSK|LSAT_4X160300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGTPNQVQSKLQEPQHYHQNSGNFQAGCNSQQSFNSQNSNSESYNSQRMNTRVQDNKLKSHEDNKVIRCHNCQGENHFAKDCKMKSKKVKYKAYYLQKAEQIKKQSKDKAFMVMETPSVEFWETNDEVDQAEETEAYNNYFGFMVDDDK >cds-PLY68324.1 pep primary_assembly:Lsat_Salinas_v7:5:31074601:31078606:-1 gene:gene-LSAT_5X15721 transcript:rna-gnl|WGS:NBSK|LSAT_5X15721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSLQFPFLSCTSTXHFDKTLSLLLSSRRRQLLPPPTFLSVPTPPTFDLRPTPRRRPALSSDNQPVDPLLSDAFQRHPAGGVPLLLQRATPPVSSFMRRLRLSPRDFIVPLSNTFTISFGDVKLKNEMFQIDDSLGYEAVGATKIPIISRNLIQTQDHVAEGKLPIVASHGAMVELSSRLLFVVLTVCVSHKAQIHVGKKHSYSGPEPEPESESSTRKRTNKQGPIAAFDSYVLPAICALVCEPHLFPLISQASNRSNLKHGEKPSPEVKSMNGNGIGIGIGNGFQSSNDSAVQEDGKLGLKHRLSGHQNPVSFVIWSPDDTMVLTCWNSEVLRLSDVETGTCKHTFGDNSFLVSSCAWFPDSKRLVCRSSDPKKGIRMWDYEDKDIQILNVATNAERVISEEHPITDLSVSGDSNYLIFNLNSQEIHVWDVEGLWEKPLRYKGHKQHEYVIHSCFGGVNSTFIASDNENSQVPATSSKGAGPSMLEGQSGYGSTMQDSPKFTPGDYPAATQKILQLVVLDMQNYIFAQPLYGWPNPKTTDTHLLPHMKDIADMVSYLQKDGQLLHGEHNLFGESLILLIAYAAG >cds-PLY67571.1 pep primary_assembly:Lsat_Salinas_v7:3:54045838:54052729:1 gene:gene-LSAT_3X41961 transcript:rna-gnl|WGS:NBSK|LSAT_3X41961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRLRRKGQKWKKESTETNWEIEKWRSKENMIGDVAANSPSNTADETVENQQQEKPWHSYIYEDLPRMVQESADSAVRFARSLQQNSSTHIRTLKYITSCRTIYWNQVREAKSKGSINDRVVYIRAEVERGERMPLPQSVVLGAKDLPRTILSDHIESRLFGKLKQERLEHARFYGKTYDEKIKGVEVCLFGMYVQEFGVECPQPNHRRVYLSYLDSVKYFRLEIKAVTGEALRTFVYHEILLLFTQGHFGLFLISFVCLFSASWYSKIFFIYLFGGDFGKMLYTGDFRWEVTSKITEMGKNMLLSALNNHKVDTLYIDDTYCNPSYSFPSREVAAQQVVNIINSYPEHDTIIWPERLQTMHILGLHDNFTTKTTLTRIRAVPRYSFTIEVLEGLNTMRPTIGIMPSGLPWALKKNKSSCGLSSMKTVESMGSLFLDLDTGNRYRNKIEKQHEYMYTVAYSDHSCFTEIVEFVKFICPTHMKGIVSSSSSYVDPCYHLPHIYGTSSLYEKYMVEVDSERVEGRCKSDEKLKRKRIKQYHSSFCKSRVSLLRRFKCGVKLSNEEYCDD >cds-PLY68151.1 pep primary_assembly:Lsat_Salinas_v7:7:70942982:70943448:-1 gene:gene-LSAT_7X51200 transcript:rna-gnl|WGS:NBSK|LSAT_7X51200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLDTIEYLLKAMDDDGKPKKQSSTLEDSVNPGVKVGVNLLVNAVSAKKYDLATKLVKKFPKFAVENDNVLLAIAKTFPMGLDYWETLIYPISSFS >cds-PLY96495.1 pep primary_assembly:Lsat_Salinas_v7:5:336909806:336914489:1 gene:gene-LSAT_5X188780 transcript:rna-gnl|WGS:NBSK|LSAT_5X188780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MAITVASCWFNALASTSTSRYTVSSQRLFSASQIPATRLVIPKAVSQSQNPRKRTPKEHTHKSSPEKEANSQKISRRSRDERKYSDADNRSGIKKTEKPQSTAFKSFGTQRKSAKGFMGNLTDQQAETAKIQDAAFLNAVVKVYCTHTAPDYSLPWQKQRQFTSTGSAFMIGDGKLLTNAHCVEHNTQVKVKRRGDDTKYVAKVLAKGMECDIALLSVENEKFWKGAEPLQFGHLPRLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSAELLGIQIDAAINPGNSGGPAFNDQGECIGVAFQVYRSDDVENIGYVIPTTVVSHFLDDYERNGKYTGFPSLGILLQKLENPALRACLKVPSNEGVLVRRVEPTSGASNVLKEGDVIVSFDGVDVGSEGTVPFRSTERIAFRYLISQKFTGDTAEVGIIRAGDFMKVKTAMNPRVHLVPYHIEGGQPSYLIVAGLVFTPLSEPLIDEECEDSIGLKLLTKARYSMARFKGEQIVILSQVLANEVNIGYEDMSNEQVLKFNGTRIKNIHHLAHLIDSCKEKYLIFEFEDNYLAVLEREASSAASSCILKDYGIPSERSSDLLEPYVDPIGIGIGENQVMDDNDLGVGDTPPITNSEFGSDGLQWA >cds-PLY86149.1 pep primary_assembly:Lsat_Salinas_v7:6:155929988:155931270:1 gene:gene-LSAT_6X95000 transcript:rna-gnl|WGS:NBSK|LSAT_6X95000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDQPNEQLQKLSEVQNCIPVVEESSTPGTIIEVASTSGPIVEVPLSLEPIIEVPTTPEPEQIQQELDMEDFCEEDGEEIPMIKLNMEEXLQTLERHMELGEGDLSKALVALTSEAVAIPVPKLKNVIQLRTEHQVYELPDSHPLLEGMDTGEPDDPCSTFLQFGHLVKLQIRFNRQLDNVLPKNLACYVMKKHVFFFNSTQEANNQTVKGTLLIPCRAAMRGSFPLNGTYFQVNEV >cds-PLY79113.1 pep primary_assembly:Lsat_Salinas_v7:2:16106851:16110816:1 gene:gene-LSAT_2X8620 transcript:rna-gnl|WGS:NBSK|LSAT_2X8620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFLTRGLVMVFGYAYPAYECFKAVERNKPDIEQLRFWCQYWILVAVLTVCERIGDTFVSWVPMYSEAKLAFYIYLWYPKTKGTIYVYDSFFRPYISKHETDIDRNLMELRTRAGDIVVLYWQRAATYGQTRIFDVLQYVASQSTPKPQPPQPARPRQPPQNRRPPPPSQQEPKEPPSPSSTSSSSSFDSSPQQDNPTTNHRPQPPPAAVISKAQKASSSQSVAVVAPPDAADDMEVVETESNPNPNTSVKEIVMEEPTRVYTRARSRKNNSVVNVE >cds-PLY96219.1 pep primary_assembly:Lsat_Salinas_v7:3:197547434:197548822:1 gene:gene-LSAT_3X117620 transcript:rna-gnl|WGS:NBSK|LSAT_3X117620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPHNGTLEKLRIISYSYTEFPNWVGDPSFLRLTKVSIEGCEECTSLPRLGQLPSLKELIIGGMSKVKVVGLEFLGTDLAFPSLEILRFDSMSGWEEWSTNSGAFPCLQELRIEDCPNLVQVSLEALPSLRVLKLRKCGHGVLKSLVDIALSITKLEIDDISGLTDEVWRGMIGCLGAVEEINIRECNEIRYLWESEAEASKVLMNLKRLDLCECGNLMSLGEKEEDNIHSGSSLTSFRRLKVWDCNRLEHCSCPDSMEDLDIESCDSITSISFPTGGGQKLKSVFIINCKKLLKKELGRKDKTRVLINSKMQMLESLHIHNWPNLKSISELSCFIHLNRLYISDCLSMESFPDHELLNLTSLTRLTIQKCASMDASFPRGLWPPKLCQLEIGGMKKPISEWGPQNFPASLDYLTLYGGPSDNVKNFDQLSHLLPSPPSNVSSLRTARRRKIYQKTCCLHFWL >cds-PLY89255.1 pep primary_assembly:Lsat_Salinas_v7:5:310625858:310626525:1 gene:gene-LSAT_5X168280 transcript:rna-gnl|WGS:NBSK|LSAT_5X168280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMDHQGGGSMGMMDNGPIGGVSPTTSMSMDGMMGLDHGMTHMTFYWGMNAWILFEGFPGTNTILYILSLILIFLLAMMMEWLAHSNMTPTKSNRIARTMIHVMHVVFSYMLMLAVMSFNIGVFVVAVAGHGLGYYFFHATRKTIDSEITPMAF >cds-PLY96471.1 pep primary_assembly:Lsat_Salinas_v7:2:124608129:124610384:1 gene:gene-LSAT_2X57641 transcript:rna-gnl|WGS:NBSK|LSAT_2X57641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRNLSPVVKRELENLDKDADSRKSAMKALKSYVKDLDSKAIPIFLAQVSETNDTGTTSGEYTISLYEALARVHGTKIVPQIDNIMSTIIKTLKSTVTSFALHQACSKVVPAIARYGIDPTTPDDKKRRIIHSLCKPLSDSLLANQESLSSGAALCLKALVDSDNWRFASSEMVNEVCQRVVGALEKPMQSNSHMGLVMSLAKHNSLVVEAYARLLIWSGVTILNTGASEGNSQKRLVAIQMINFLMKCLDYKCIMSELNFVIEEMEKCEGEGDQMAYVKGAAFEAMETAKRILIEKGSKFKQNGKKGESDFTLSPESQTMGSFGGYTSMVDSPVSVVDSSKSVSRKLWRHDNGVVDVSLKDGLFSSNRSVNENGFCEDEGDYEDGFSGFFQKSSRSVTPSPQRARSYMDIENVKMYTTPRKHVDENVVVSDLSDCVTHEDDEHDNLTSESMCSTQVITHGDELVLETKATVWLFGYRFVFGFVALFAMIVCFLLVGDLQEDFNLVPT >cds-PLY81538.1 pep primary_assembly:Lsat_Salinas_v7:2:126704712:126714624:1 gene:gene-LSAT_2X59100 transcript:rna-gnl|WGS:NBSK|LSAT_2X59100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGALAVKTLEFEGKQKGWFWFRKWDLEDMSSICWFTGIHVLAACAPFVFDRGAIRLCVGFALLSAFGMTLGYHRLLCHRSFKIPKWLEYFFVYCGAHAFQEGKRGGVWKNIARGGAALTLPLTASFPIFYWIFMILLSPKLEKSGGTELSSRFPTPKRRRHRRTTLKLRTLNGGDRPLLRVLAPSGNTSVPLQYLKTLGSGSEWQDFRLNFTMNKGGAGGGTSGAGGPTAAAAAAAAQKQKSLQQRVDNDIGSIVDNFSIVVNVARVNDPPVRNSQEAFMMEVRASRMVQAADSLLKLVSELKQTAIFSGFASLNDHVEQRTEELNHQAEKTDKILARIGEDAAASLKEMESHYYSSLVRSTKSNQHFHDQ >cds-PLY73425.1 pep primary_assembly:Lsat_Salinas_v7:4:179253930:179256116:1 gene:gene-LSAT_4X106200 transcript:rna-gnl|WGS:NBSK|LSAT_4X106200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQFVLPPPSPSPSPSPSPNTTNSIRIGNRLNRHLQNVVILVSLVYLLVIRCESLSPEEEEREKNALLEFKSSLENGDMVLGNWNNPPRCPCPQVGSGSPRTSWTGVICNNGNVWGIQLDNMKLSGEINVDSLQQLGQLRSLSLMNNNFQGPFPEFRKLRSLKALYICTNKFSGDIDDDAFVGMSSLKKVHLAYNEFTGDIPRSLASSTSLTELRIENNQFMGPIPDFPHTLIIFNASNNHLQGPIPPFLDEITDASSFTGNDGLCGPPISSVCDETGASYNPYSSTDETHKKMSMLTVIVMIISALLAITAIATLFMLYLLGKSRIDHMRDYSEGSSFSTCTSPGGSVILTEQQLDSKKPPSIVGAQGKLTFLKEDGPRFDLNDLLRASAEVLGYGSFGSAYKAVMMDGQALVVKRFRQMSNCGKVEFHEHMRTLGRLSHPNLLPLTAYYYRKEEKLLVFNFVHNGSLQRQLHGKHTTEKLELNWPTRLKIIKGVAKGMAYLYTELPNLLVPHGNLKSSNVLVDNSMQPLLMDYTLLPVVNVEHAQNMMVAYKAPEYIKDGRISKKLDVWSLGILILETMTGKLPANSLAQGQPSKYGSELGKWVESIQGEAVEEVFDKDMKDIDNAQGQMVKLIKIGLECCEVDVEKRPEMEDVANKIQQLDERDN >cds-PLY89342.1 pep primary_assembly:Lsat_Salinas_v7:5:113490551:113491387:-1 gene:gene-LSAT_5X50320 transcript:rna-gnl|WGS:NBSK|LSAT_5X50320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNIETVLSSSIASFFFAAFVVAGTTSYGSATTPIELFGPTHYQWDKGYFQQEICRRVSVGLAENQSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNRDGIAVGWLGHPIFRDKEGRELFVRRMPTFFETFPVVLVDGDGITESKYSVEQVGVTVEFYGGELNGVSYSDPVTSEGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTRRQIG >cds-PLY87671.1 pep primary_assembly:Lsat_Salinas_v7:6:45436166:45440229:-1 gene:gene-LSAT_6X34800 transcript:rna-gnl|WGS:NBSK|LSAT_6X34800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVKMIKWRPWPPLLSKKFQVKLVVKKLEGGSSDPVPADTEKDNRRAVEVRWKGPKSTFRRTVKRNFTKEEIIVEPNGVVLWDEEFHTICTLSGCKENVFYPWEIGFTVLNGLTPGTKNKVPIIGTASLNLADYASAIEEKDFELHIPLTIPCGPHEPRPLLHISLCLIELRAAAQESAESIQNQSQTTEKSTQNQSQTTDNSSGEKDELSALKAGLRKVKIFKDYVSIRRAKKDHDISESRSEEGDYTYPFDSDSDSGSLSDSGERENKENDANFRKSFCYGTLANANYAGGYLYENSVYYSYRKSDVGLSQKEDPDPSILEGYIGQNSKRSILPWKKRKLNFKSPKVKGEPLLKKAYGEEGGDDIDFDRRQLSSDESLIGWQNGYGDSSLNHSWISEFGDDNFAVGNWEQREIISRDAYMKLETQLFFASIDQRSEQAAGEGACTALVAVIADWFLNNHDLMPIKSQLDSLIREGSLKWRNLCENEIYRSQFPDKHFDLETVIQANVRPISVIQEKSFVGFFHPEQVEEGKFDFLHGAMSFDSMWDEINNNMDLGESKVYIVSWNDHFFVLKVEQEAFYIIDTLGERLFEGCNQAYILKFDRNTTIYKIPVLDSQEQRVEEDLVEESVKKVGEFEVFCNGKECCKEYIKNFLAAIPIRELEADMKKGLNSLTPVHQRLQIEFHYTSASQSAPCH >cds-PLY86689.1 pep primary_assembly:Lsat_Salinas_v7:4:319970466:319971337:-1 gene:gene-LSAT_4X159860 transcript:rna-gnl|WGS:NBSK|LSAT_4X159860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRHFRMLGGQNSSTTAVAEQQQDPTNVDSDFVVILAALLCALICVLGLVAVARCTWIRRISGIVIIGRTDHSPPAAANKGLKKKVLKALPKLTYSTETMAEKFPDCAICLTEFVSGDEIRVLPRCGHGFHVMCIDTWFGSHSSCPSCRQILVAPPRCKKCGEVPGGESTAAQTANHISTTASIDRFLP >cds-PLY76514.1 pep primary_assembly:Lsat_Salinas_v7:5:12737838:12738044:-1 gene:gene-LSAT_5X7081 transcript:rna-gnl|WGS:NBSK|LSAT_5X7081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVFCFLVDQTLKMRRTKPVAGSCSRCGRGAKVADMSTATRFCYIPFYWRSWKAIVCTSCGATLKTYR >cds-PLY81122.1 pep primary_assembly:Lsat_Salinas_v7:9:68520537:68522346:-1 gene:gene-LSAT_9X57581 transcript:rna-gnl|WGS:NBSK|LSAT_9X57581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAIFNPPYLSHPSSSSSISPKFFRKPPIYASLSPTVRSLTWVPHIGSTPFSSWNGLRTNGLSISLQSLKLEKRKKCKGKGVFASLFGVGAPEALVIGVVALLVFGPKGLAEVAKTLGKTLRSFQPTIRELQEVSREFKSTLEKEIGLDELKNPLQGNYTSTTQTQIPPDTLQNSQLTATPPATTNTTDDDSPATTTTPEDPQATATNPPDVSLEDSGDTTATPAVTMEEDSQPTVDTTNGSPSSRVYTSEELLKITEEQLKAVASQQQKEEPPVKPESEM >cds-PLY81632.1 pep primary_assembly:Lsat_Salinas_v7:2:1589046:1589574:1 gene:gene-LSAT_2X1061 transcript:rna-gnl|WGS:NBSK|LSAT_2X1061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLTQGMAQQNKTMATAISDEEKAIVKTQKQSATTGLRTCNNILAMTQPLHAKSPSFIGDKRINLSWKEAVKTAAPSNNATGYVS >cds-PLY95260.1 pep primary_assembly:Lsat_Salinas_v7:8:137208876:137212117:-1 gene:gene-LSAT_8X94740 transcript:rna-gnl|WGS:NBSK|LSAT_8X94740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVASCTIAGGHHPHHDNLTSHSVSNFSSTSSSCYHRCNFPIMIRSISTVRPLSFILNRNSVAAAVSSSSSTRNISSSTSNDSGFTWDDVFQISQQRNVSSDLSGFFQKIKSCNRGREMRSDFIPFVIEDQIVGYVHNGFLDHLSKFKDVFTYIKGDSYGSQSGHVTLQSALKTPEHRTEALGNVVKCLGEEVIPGIRNELYPVTSSYGEQVYFSLERAAAPYFGINAYGVHMCGYVEKDGEKYMWIGKRSEMKQTYPGMLDHLVAGGMPHGISPGDNLVKECEEEAGIPSSISSRAIPVGVVSYMDIEGYRFKRDVLFCYDLKLPETFVPNNQDGEVGSFKLLPVTLVADVIRNTNFFKANCNLVIIDFLFRHGFIKPEEVGYLKLLQSLRSGDCS >cds-PLY68858.1 pep primary_assembly:Lsat_Salinas_v7:3:61348219:61349775:-1 gene:gene-LSAT_3X48780 transcript:rna-gnl|WGS:NBSK|LSAT_3X48780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSPHQQTPIRNNGLHLVYVRRKPESEHHKNTICNNKSKHLPITLSEQDDNNQQQEQEQEQEQKEQSPMKDSPIHIPETSSALPSVTNITSNSHQLDYTKKMSVQNWEERYLLLQNFLKAVDQSTQDDYLQMLRSLSSVDLSRLAVELERRTIRLSMEEAKEVQRAKIFDAQWIADSNK >cds-PLY77623.1 pep primary_assembly:Lsat_Salinas_v7:4:275292590:275294608:-1 gene:gene-LSAT_4X141400 transcript:rna-gnl|WGS:NBSK|LSAT_4X141400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGRRVVNFANIPIKLLMPSSFSNITEIALKTIPSASKIEIKRVLESLYGFEVDEVRTLNMDGKKKKRGGVLIAKPDYKKAYVTLRNPLSISPDLYPIRVIQEEKKNMMSKQAKSSIVEGDETKKTHWLDGGGVKKQTGYGGRERRGVEDRLRGGGGTDSTAGTSKFPWTAMRSTAR >cds-PLY65692.1 pep primary_assembly:Lsat_Salinas_v7:5:274840010:274842112:1 gene:gene-LSAT_5X145680 transcript:rna-gnl|WGS:NBSK|LSAT_5X145680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRTFSDAASTVPLLKREDCKRTKHDSAFSQWKILIGPRDWEDYLLSKEGAERYRTHNLPNCSSCPGVYELGIAVSLPQTESKTSSQLSSKRIIPVYLGQADNVRTRLQQYGRDGAHLENGWSNGEQTDRKLLGLFSDIFSYGFAIAFRWAPMDNKKDAEKTETQLLKTFDYAWNRGMNGERRPVDIHQKLKTASNTKRVPISRVFKKLHLIPPKKVGLNIKHCDPPVLENGSNFYTKQNDTNILTRIFKFTRSRPSLVANNHDTNNNSDDEQICGVALGHGSICTRPPVEGRKRCVDHKGMKVNASVKVKSRLFTGTNTDTEVCCGVTLDDDGSICTRMPVAGRKRCEEHKGMRIKMQKSYEGHKGIMVNGKIGVDSLTCVGVTVSGSFCRRKAGENSKFCWQHDQGRN >cds-PLY87031.1 pep primary_assembly:Lsat_Salinas_v7:5:262334601:262334819:-1 gene:gene-LSAT_5X133760 transcript:rna-gnl|WGS:NBSK|LSAT_5X133760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIFIPPPPAATYLAFHPQDNNIIAIGMDDSTIQIYNVRVDDDLEFLFLFLSLQTHGSTFTIDHVCFWCILS >cds-PLY68223.1 pep primary_assembly:Lsat_Salinas_v7:3:223430951:223431546:-1 gene:gene-LSAT_3X127540 transcript:rna-gnl|WGS:NBSK|LSAT_3X127540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYDRAKNANRASSYFKSVVCQNICKRLLERTGKELDRNQMKNKLDIMRKEFKYYDRLTRLEMEISVDPVKNTISASKEWWDDKIKEDKEFAKFKDKNLDVYQTYYEALFRDTVAIGDKPKVPYEFGDNSTPNGVQLVDITDGKEDIDEVLLFDDVDPFFTMDSSSMNRRGKN >cds-PLY89229.1 pep primary_assembly:Lsat_Salinas_v7:5:310878804:310880210:-1 gene:gene-LSAT_5X169880 transcript:rna-gnl|WGS:NBSK|LSAT_5X169880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENKNQSTSSPSSPPPPPPSPPRSSTSSSFTAELFGNNDSQPSSAGVFSSIFPPPSTVLARNPNCPQFTGATQKKTSESQLWTATHGTSAVAKNTAIANGVMNMERRSIFQERVEPSPLSSSLYYGGQEDMYVCSSSDPTSQSYSKFKKFDGKDDPNHLHSASRGNWWQG >cds-PLY66508.1 pep primary_assembly:Lsat_Salinas_v7:4:342026753:342027558:-1 gene:gene-LSAT_4X168200 transcript:rna-gnl|WGS:NBSK|LSAT_4X168200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVGCFNERAYKVLDVHLATGFHKYFMWCKGKLHGNHHSLIQEGKDLVAYAIHDSKQGQAFRSQVQSMHMELLQSPWLCELIDFHINLRESKKVIRKASEILEDCSLIFNDGKPSLSCKLSNAVKLEINLTCSICLDIVFDPVYLSCGHIFCFMCACKSGSVTVVDGLKATTPTSKCPL >cds-PLY78867.1 pep primary_assembly:Lsat_Salinas_v7:5:307669568:307671789:-1 gene:gene-LSAT_5X167021 transcript:rna-gnl|WGS:NBSK|LSAT_5X167021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFFDLNIPYTESNKRNPTTEKSIRLKLAVKAMELGYTGVAYNRTITGVMSEADRCSISLFPLSSVLKVSPSISTSVNLHRRLLNVPTSTPFRQYTRLTVVVDTPAQGSALNSGNPVLKTYDIVAVRPLKQDAFDQACKNYEVDIISIDFSENRFRLKQPLIKAAIERGVYFEITYSGLVLDAQLRRQMISNAKVLVDWTRGKNLIFSSAAPSVTELRGPHDVANLASLLGLSMERAKASVSRTCRSLLENSLRKKKFYKEAIRVELITTDIEGAGFDDWLKWDPISSGEGDLQIDDMAKSFAASSKESKTVKAIDFVSVIDGLSSHGLHIKDMVSETKLESYHPIENSQTSLMEAQEKGENEKFETVEVLANVEGGETCAMIIEEVNKIPSDEAILEANVSKCEEVDKFSCDASLCEEFLEEEHMKDARDVIATPVSSSLPLCSVSLNEDVLEEELSVMGENVSVAASNTLATLRSHDELEHIDGNSVVHEPLEDISMEVQEEVKEHSQINHFYAQESSSGTLFF >cds-PLY93900.1 pep primary_assembly:Lsat_Salinas_v7:7:180153434:180156019:1 gene:gene-LSAT_7X106901 transcript:rna-gnl|WGS:NBSK|LSAT_7X106901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTNEAFLGTEYDYIFMLPDKKRSSQLDWTTYFNIIKGIARGLLYLHQDSRLRIIHRDLKASNVLLDKDMNPKISDFGMARSFGGNESQANTQRVIGTYGYMSPEYALDGVFSFKSDVFSFGVIVLEILSGKRNRGFMHSEHDNNLLGHAWRMHNEGRSAELIDTTLSQSSNSSEVIRSIIVGLLCVQQSPNDRPNMSSAVLMLGNEGILQKPKQPGFFVERILHGADISSSSYPTSSTNDLTVTEVNALLSSLEIDNHVGLVMEHMPGRYAELDHYWGESQVMEPRTDGWDDEFSQQLGV >cds-PLY87044.1 pep primary_assembly:Lsat_Salinas_v7:5:265167352:265168740:1 gene:gene-LSAT_5X135881 transcript:rna-gnl|WGS:NBSK|LSAT_5X135881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIMVKEGCWTNTEDEILRAALSKYGTNQWTRISSLLVHKSATQCKARWYEWLHPSITKIEWTREEEEKLLHLTKLMPSQWRTIAPIVGRTPSQCLEHYEKLLDENYEPRKLKPGEIDPNPESKPARPDPVDMDEDEKQMLLEARARVSNTKGKKAKRKTREKQLAEARLFASLQKRRELKAAGIDNRNWNGKRNGIDSNAEIPFERRPPLGFYDVASESLLIGEQPSKFPTTIEEIEGGKKGR >cds-PLY83199.1 pep primary_assembly:Lsat_Salinas_v7:7:5598387:5600354:1 gene:gene-LSAT_7X4121 transcript:rna-gnl|WGS:NBSK|LSAT_7X4121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKGYFKPNSTIYFKKRLMNQVRALNQTTQNYRKFNQFQSVNIAFCSNAFKVLAAKDLPLQGKQLHSPMIKLGYCSTLLLQNQLLSSYLKCGESSDACKLFDEIPVRNLMTWNTLIRDSHVGLCYFRRMLSEGVNPDRITFLNLISLSSQVGSIEMGRQFHCRTVKSGFCKDCHVNSSLVNFYAKFGFVSEARLVFDDAVDKDLVLWNVMVSCYALNGSREEGFRVFTMMRLEGVKGDDFTYTSLVNCCASLAYCDLGKQIHGLVFRYGLDGDMVLASALTDMYAKNKNIIDARHVFDEMSLRNLISWNTMIVGYGHHNNGKEAWKLFTNMLRDDFNPDELTLASVISSCGNLSLTTEIHQLHPYSLKTGFLSFLSVSNSLLNAYSKSGNIACSFKLFSSIAKPDLVSYTCMIQSYAFHGFSRNAIKLFKKMVSLGVPKPDKITFLGVLSACSHGGLVTEGLHYFESMTKDHGIEPGLEHYTCLIDLLGRAGLVTQAFNVLGSMPMAPGPDTLAAFIGHCRVHNDLELAKWASEKLLVLEPDKNVGYAVLSNIFAYCGRWFDVGEIRKKMRDNCCLKVPGFSWLEVGGEIHGFVSRDEAHPRCFELYRVLGLLYSSMAMDLIKKKPRT >cds-PLY64741.1 pep primary_assembly:Lsat_Salinas_v7:6:108293248:108293814:-1 gene:gene-LSAT_6X66140 transcript:rna-gnl|WGS:NBSK|LSAT_6X66140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRKSGGVGKKNQPDAIDEVEELLKLTEDDLLLNLTVNSHIKSKSKSQSNSKFDLLPESSHTNAIDPDLDRRLQALRAKPSKSIPKEAITADHEDFDNLLARFAALKAPSKATSTSNSTQGNAVKDDILGIVDDEDEEDEVSKIINWAIDAARLDPSPSSDIDEDDDSDGDSDDDAKKKTSKKIVPHK >cds-PLY79931.1 pep primary_assembly:Lsat_Salinas_v7:3:109526257:109536403:1 gene:gene-LSAT_3X79881 transcript:rna-gnl|WGS:NBSK|LSAT_3X79881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDNIRPFKRPHNPIFENSINKRGKFSDEFSETSNSSETLYRILCHSRKIGSVIGKGGVIIKALREKTQAKITIDDSIPGSEERVVIIYSPSTKKPVTHNDMNLQCAAQDALLKVHDKILEEDINNNEKLVTTRILVPNNMVGCLLGKKGDVIQRLRSETRANIRILPSDQLPVCALSTDELVQISAKPAIVKRALYEISTLLHHHPRKDNFIPSGPHGFHPPGPQITNLPPPARWRGDYGSDHSRFGDTPSEFSMKILCSTAKIGGVIGKGGSNVRQIQQETGTDIHVDDVAADSDERVICVSSFEDLRNPRSRTIDAILFLQEKASDYSEKGIVSTRLLLPSSKVGCILGQGGHVINEMRRRTKADIRVYSKQEKPKCASKDEELVKVSGIYGTVKDAVAEIASRYRERCLRDAKPEAESSPHGGRLAGYGPFTGSGRVYDEPRSYPPPTRDYELYSHLAPHRDYEPYSHQALVRDYEGGGYRAPIREYESNDYVAPRVGYPSPLRDYEYEPQGYQRFLRGVDMKMSSSSRGFSIGNASEITGTRPYGGASESSTADIHDPSQHLNSTHESYQIYNLPKHPSHTNTNPTSHTPYNHYSQKSYHI >cds-PLY74246.1 pep primary_assembly:Lsat_Salinas_v7:1:77208437:77208733:1 gene:gene-LSAT_1X65260 transcript:rna-gnl|WGS:NBSK|LSAT_1X65260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPRLLTTVTLLIGLSSDSLLTSSSVAAPWRRRSVTRQRPTDSTSTRGFSLLSVEEGGGEERQMSDGHRRRQLIGNRSEKGGXXGGRPRVEGDDYN >cds-PLY65588.1 pep primary_assembly:Lsat_Salinas_v7:4:268928040:268928841:1 gene:gene-LSAT_4X138161 transcript:rna-gnl|WGS:NBSK|LSAT_4X138161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRLTTELFENEMRHFISRKLLLHTYSYQQPAAAVTAPSPSSPYTEHNNFDANVVMVLSVLICALICSLALHSIIRCVLRCTGFSSSESSGSQETTLVKANTGIKKKALKSFPTVSYWEGLKLTGLNKECVICLGDFSTGERVKILPKCNHGFHVRCIDKWLSSHSSCPTCRNSLLETCQNFVTGGKCSSSMSSQLQEQGPSNTTILTILPLSHEGLVRNYET >cds-PLY68578.1 pep primary_assembly:Lsat_Salinas_v7:2:22105104:22106306:-1 gene:gene-LSAT_2X9381 transcript:rna-gnl|WGS:NBSK|LSAT_2X9381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISKPFLALALLSMILLVQANELVNELHGKSNITASKINCGAACKARCRLSSRPNLCHRACGTCCARCSCVPPGTSGNQKVCPCYYNMTTHGGKRKCP >cds-PLY88771.1 pep primary_assembly:Lsat_Salinas_v7:4:166202892:166203332:1 gene:gene-LSAT_4X98361 transcript:rna-gnl|WGS:NBSK|LSAT_4X98361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVATADRVRRVGANQGQLKILQGALAGMREEVCDSEAGRQVLAKQNNIVACEKAALEDQFATLEDRSERIEDQVSSLTQEKDVLANRPTRFQRQLAQARVEGVVTQGNLQWVLEKGVVHIIDKVIKSAEFSSGVRGVREACEALGF >cds-PLY64252.1 pep primary_assembly:Lsat_Salinas_v7:7:4250958:4253920:1 gene:gene-LSAT_7X3721 transcript:rna-gnl|WGS:NBSK|LSAT_7X3721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECCHKTRSHLHLQMREMILLFVVVMVVASMGGVEGRKVRKIEGSLEYTAISCRGHSASIVEFGGVGDGKTLNTRAFQEAVNSLSQYGSDGGAQLYVPAGQWLTGSFNLTSHFTLFLHKDATLLASQDINGWPVIDPLPSYGHGRDAAGGRYISLIFGTNLTDVVITGDNGTIDGQGLLWWQKFHGKKLKYTRPYLLEIMYSDTIQISNLTFLNSPSWNVHPVYSSNILIQGITILAPVQSPNTDGINPDSCSNTRIEDTYIVSGDDCVAVKSGWDEYGISFAMPTDHLIIRRLTCISPYSAAIALGSEMSGGIQDVRAEDIVAINTESAVRIKTGVGRGGFVKDIYVKGMTLHTMKWVFWITGNYGSHADDHWDPNAIPIIQNINYMDVVAENVTMAARLEGISGDSFKGICISNATIGMRAKAKKVPWTCSDIEGVTSGVVPKPCDLLPDQGPDKIGMCNFPQEKLDIDNIEIKKCSYLMSSL >cds-PLY92095.1 pep primary_assembly:Lsat_Salinas_v7:4:106179847:106189772:1 gene:gene-LSAT_4X69321 transcript:rna-gnl|WGS:NBSK|LSAT_4X69321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDAEDEESIGSYSNKQPISVKKTRTTISINFPISSLDFSKFSSFYTHFLDTLSSSDFCDSLLYDLELIIFGMEVFSCMALSMDDRAPIATLDLTASMIFIVICGENVKSELGFSLWFHDDENLRFVKIIEIGKDLRSVWALVAVVCTIIFTWRLLLAPSGPHGRQPKPQPQSQPSSQVNTQPNSNLLPSSTQTVIDDLFQPVKVTCRLLGIILEESTPEELQVVTNQATVRSSVLEVVLEMTKFCDLYLMETVLDDETEKRVLTALENAGIFTSGGLIKDKVLFCSKEVGRTSFVRQLEPDWHIDSNREISSQLARFIKCQLHISPLKTEQIAPNVFTSSSLEQFFGV >cds-PLY97184.1 pep primary_assembly:Lsat_Salinas_v7:5:153719565:153721245:-1 gene:gene-LSAT_5X67280 transcript:rna-gnl|WGS:NBSK|LSAT_5X67280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQRTPHTCRNPDLIRGVGKYSRSQMYHKRGLWAIKAKNGGKFPTHEKKPADAPVAVKPPKFYPADDVKKPLVNKRKARPTKLRASITPGTVLIILAGRFKGKRVVFLKQLTSGLLLVTGPFKINGVPLRRVNQSYVIATSTKVDINGVNVEKFDDKYFSKKVEKKSKKGEGEFFEAEKEEKSQLPQEKKDDQKTVDAALIKSIEGVPELKSYLGARFSLKAGMKPHELVF >cds-PLY75593.1 pep primary_assembly:Lsat_Salinas_v7:9:34560483:34564291:-1 gene:gene-LSAT_9X32241 transcript:rna-gnl|WGS:NBSK|LSAT_9X32241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLEIVHPNSCIRGCCSSDSIPLHLPSSSYSLLLPIARGAESVVYDATLDGKRVAVKKPVLSTSDDINKFHKELQLLCKLNHQGIATLVAAHARPPNYMFFFKLYEFGNLSQKLHVEEWNPSINQALMITRQLANALQYLHNIGIIHRDVKPANVLLDKSLRPHLADFGLSEYKQDLKQVTLENWRSSGKPTGGFHKKNMVGTLIYMAPEVLRKEIQTEKSDVYSFGISINELLTGVVPYTDLRTEAQAHTVLEMNYTEQQLTAAVVSEGLRPALAGTESGAPPRLLSLIQRCWDADMHNRPSFDDIITELDLIMENNKMLKVEEKENILPQSFSNDDIGQIIQPYKENINWFVQGENILKTVNGSSLGVKNWNIYSDISSVYLPVLSWGSFATCGRRESMEDTHFLMPHVENQKDVHMFGIFDGHRGGAAAEFCVGALPRLLLQTLDHATSPHDALKEAFINTDVAFRKELNSNSECKSKKEYHPGCTAIGAVIVENRLFVANAGDCRAILCRAGSAIPLSKDHVASSPEERERVMSVGGEVKWQLDTWRVGQAALQVTRSIGDDDLKPGVTAEPEVTETILSDEDEYLVMGSDGLWDVVRNDEVVEIMKKTVKEPGMCSKRLAMEAAERGSNDNITVIVVFLHPVSTAERIY >cds-PLY75123.1 pep primary_assembly:Lsat_Salinas_v7:4:62242242:62243279:1 gene:gene-LSAT_4X41121 transcript:rna-gnl|WGS:NBSK|LSAT_4X41121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKTKSTTRNQNYDVPWSDLNHDVLYLVMMKLGVVNFVAFSGVCKSWRSFALSNWKKFMASRPPLFMSISPSPPPMSMCISLPRAYNKTCHLIQDFDGKKFKTIIPHSAGRKCVGLTCGYLILFGRKTKDFWLVNPITRHELHFPYFPFDFDDFRNSKVRVILVFSPLVSGWLLVISECYARKIWFSIAGKGEWNHVSFSSTFYIIDSHVFKGKIYTLISSAKPGDVYQLFEMKLHPHPKLTLLKTKNFLKRTYHPMLGSWGENLYLMHYFSPDLYYFHELDFGKMKWVCLEKTREEYAFFCNVERVVAIKPESWAHTKNSWKHKFNYAKMWYFPHDCLNVNLID >cds-PLY75433.1 pep primary_assembly:Lsat_Salinas_v7:7:72276786:72277224:1 gene:gene-LSAT_7X52420 transcript:rna-gnl|WGS:NBSK|LSAT_7X52420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAFLTSLAHATNGLATFYTPSYVPSSCYGYHDRGVMIVAANAGLFAGKSACGRRYRVRCTGGTNAGVPHPCKGSTVDLTVVDLCPGCASNQLDLSQEAFARIADPNAGKIKIEYHE >cds-PLY83966.1 pep primary_assembly:Lsat_Salinas_v7:8:37151136:37156780:-1 gene:gene-LSAT_8X29581 transcript:rna-gnl|WGS:NBSK|LSAT_8X29581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFLENFDSTISLALVASSILHSIIPLRNTFGQLNRGSLVISGDGFHKKWLLKHSDNIGKILKKLSLLLCDYQRFITRHHIAKASVKLVLLDEADAMTKKCTIFSLKRYIKPLAAESETKFEFENMIIGQAIPSNFIPHIEKCFMEATNSALLSLFYLLSSEFSFDFEQVNAHNLAQENGVNDFLPLGNLEKVVKEIADQPLPLVDGIEDQLVEFSIAMRIGKVLREAAEAKAAAQAEAIEWKHKYELEREKNLQLEQK >cds-PLY75417.1 pep primary_assembly:Lsat_Salinas_v7:7:74008135:74010494:1 gene:gene-LSAT_7X51500 transcript:rna-gnl|WGS:NBSK|LSAT_7X51500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFHNLSVLFGLTTKESNWLGAITSSAALAVAQKVFKGLYENTANTAHVGAHLAMLASICDASKLMVHNTIHLNLEFSTEYCFGLITAGWAQVLHQWAIMWTEVIDQPEGSSFCTRCEEPCSACFLGGSPIWCCLWCQRLIHVDCHSIMYRETGGICDVGPFKRVILSPVYVKGLGRSSSGGILSSITYGANEIASSVLANKSGKKEKGEKSGLGEKAKTQGAVVEKEVTKGEDSFPLLTKIT >cds-PLY85172.1 pep primary_assembly:Lsat_Salinas_v7:9:146291321:146292821:1 gene:gene-LSAT_9X93780 transcript:rna-gnl|WGS:NBSK|LSAT_9X93780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNQTWDKIGEVIDGPDDGNGMKRPVLDGVQYDYVFDVDIGDGEPIRKLPYNRSGTKDGQTIVVCEGDNGVAYAWNRQNQTWDKIGEVIDGPDDGNGMKRPVLDGVQYDYGQPFDF >cds-PLY93986.1 pep primary_assembly:Lsat_Salinas_v7:4:274188046:274191912:-1 gene:gene-LSAT_4X140280 transcript:rna-gnl|WGS:NBSK|LSAT_4X140280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAIRAKGLAEKKMVNNDFEGARNIALKARNLYPELENISQLITVCEVHCSSLKKINGAEKDLYGILQIETVADEATIRKQYRKLALALHPDKNKFPGAEAAFKLIGEANMILSDKGKRSLYDVKCRQPMKAPATKPQKPQTHGSYARKQFAGQNNFNNVPSSHFNGVNHQQQPPVSSLRPSFWTYCPFCNIKYEYYREFVNRPLRCQHCSKLFIAYDISAAGGVPGSRFAHEENQSANLGSNRAQPQPQPPFSHTEEVKRREKVKVNIQKDGQSSHFTHQTSNTKPEAAGSSKTVPKPMKTEPSKDTKKKRGRKTGAESGESSSDAEGGNGFGPAGNGVNYRKSSRQKPQVSYNEDAAADESSPLKRSRKSSDDVEDVKAKKEKEKVSGNADVDKEGHGNMPNGNHVNLDDDDDDDDSKSDSEPEFVNCPDLEFSNFDKDKEEDCFAVDQIWACYDSIDGMPRFYAKIRKVFKTQFKLKITWLEADPDDESEIKWAEEGLPVGCGKFMSGESEETKDRLMFSHKIVYRSSLKRNSFVIYPQKGEIWALFKDWDIKWGSDPENHTKFKFDIVEILDVHDNGSVSVALLVKVKGFVSLFKKTAWGGLNDRKIPGNEHLRFSHRIPSTKLTGAERAGVPPGSFELDTASLPDDLGDYYYSINPDTNNNNNNATSPKKNVNPEGKNGIDKDTLNVRRSPRGLKNPSDATATATSSKGSNSFCEDGNLPKYPKIIHDFSADTQIWKFHNGQIWAIWDTSNGIHQCYVKIIKIETPPFRLQVSFLKSCNNQSTVCGLFKVTPGKTKPLPPEVFSHVVKAEENGQSFSIYPREQQIWALNKRQDADCECEIVEVLESDESSVKVMSLTHVPGYKSVYKAPRVQRSSDNIVVIPQKEINRFSRHIPAFLFTEEKDGALRGCWELNPAALKGMFLHA >cds-PLY76015.1 pep primary_assembly:Lsat_Salinas_v7:5:318783481:318785317:-1 gene:gene-LSAT_5X175140 transcript:rna-gnl|WGS:NBSK|LSAT_5X175140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTMFSEGVRALIENGPRKITPFFIPYAITNMGSALLAIAIGFMGPNYSISTACATSNYCFYATANHIRRGEADMMIAGGTEATIIQIGLGGFVACRALSQRNDDPKTASRPWDKDRDGFVMGEDAGILMMESLEHVMKRGAPILTEYFGGAVNCDAYHMTDPRSDGLGVSSCIKSCLEDAGVSAEENPEPAVEFDTDANLKQQREINVGGDYWAGSSVYAGGELRGTHSYCE >cds-PLY62833.1 pep primary_assembly:Lsat_Salinas_v7:4:28630017:28635289:1 gene:gene-LSAT_4X19300 transcript:rna-gnl|WGS:NBSK|LSAT_4X19300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTTQQRVTRSSLSITPRTGGQKIGNSPRHVSGKGKAVAFMDDLTPPPPPPLGLLIENGASAAAEGGDLDDWRAFKEAGLLDEASMERKDREALAEKTARLEKELFDYQYNMGLLLIENKELTENAEQLREALSEIQEVVKREEAAHLMDVSEVERRADNLKKALDLEKLCRADLEKALREIVEENKQIKLRSQTNLADANTLVAGIGDKSREVEEKMYEADAKLAEANRKSLELDRRMQELETHESLLKSERQSFNAGREAWEATFAKQKEDIKEWEKKLQEGEERLCEGRRSINLREEKLNEMERSLKQKEKEIEETHNKIESSILASKKKEDDVNKRLDELIAKEEQAESIQKKLEIKEKELLDLTEKLTAKEKVEIQKLLDAHKNTLDSKQREFELEMEEKRKSLEDETRKRIEALVQKEDETNHKEEKLRKREQAHEKKLERFNEKEKELDLKLRAIKEKEKSYELEAKKLEMDKNEILADIEKLQILKAETEKIKNQINEKEAQVHEEIEKLRITEDERIEYTRLQLELKEEIEKCRVQKELIMKEVDDLRKDRMKFEKEWEVLDDKRAVVNKELMEFEEQKENWEKVRKSEEEKLEKDKIVTQDYVKKELEALKLERETFAATMKHEETLLVEKAENEHRQFVHDFEKRKRDLEMDLQNKRIELEKNMKEKENEFKDECEKELSNITYLKEIARKEMEELKSERSRIDKEKKEIALNNQKLEENQVEMSKDINALDILSKKINNQREEFINERNRFHSFVENLKNCESCREIITSYEFTDLQIPEGRNVITENSKGSLVSWLKKGATVFKLSPHRETHLEKEKESLEILTHDKLPESSDDVSNIGSKTAEVPEGSQQSEMKSGRKKAARKPKRGARKNQTASVQTVVEEDALTEFDQNDDSVKVNEESGRASRRKRSHPETSLVSGSEMDGGDSEVQSERVVTGGRRKRRQTVAPVAVQTPGGGSRYNLRRHKTGDVATQAQPSTNSEKKKEVSGSIGTQKVTSKHEITNNVVETKVTSEDGSIAMVHVATSKKVDTQILDTAVLDLFFAFIIVFNCGSRILDLLL >cds-PLY87690.1 pep primary_assembly:Lsat_Salinas_v7:6:45699650:45700063:-1 gene:gene-LSAT_6X34500 transcript:rna-gnl|WGS:NBSK|LSAT_6X34500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKMEAEKDIWRKMYFSILEQRKNVGHDNSCSPPSMVTALEGTHKQDVTYKPSQSQTLPFDDEEDEFLEVSELESEPMMEPQLPSLLMQNSTNSTMTTTAIIDVANSPMLSHGAAGVTVVSTTFAAISSTTSRAQK >cds-PLY98306.1 pep primary_assembly:Lsat_Salinas_v7:7:167195915:167197027:1 gene:gene-LSAT_7X99420 transcript:rna-gnl|WGS:NBSK|LSAT_7X99420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADKKEKTSVQATGSSEMRRSQEDEVAGDESSGIRTVETLLRLFPVGLCVAALVVMLKDSETNEYGSLSYSNITAFRFLVHANGICAGYSLLSAAFTAIPRPITMQRAWTFFLLDQVLTYLILAAGAVATEVAYLTYKGDLTVTWSEVCGTYGHFCRSAMASIIITFMVVICYVLLSLISSYRLFSKYDAPVGYHNKGIEIVDFGN >cds-PLY77011.1 pep primary_assembly:Lsat_Salinas_v7:6:64239928:64242125:-1 gene:gene-LSAT_6X47021 transcript:rna-gnl|WGS:NBSK|LSAT_6X47021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSSKLWVFLLLAVVVSNALVIGVYGQGRSRWEGDAGEETRRGRKEVPPESQRGRGGGGSREMPPEEEAGRGGAGGGGGSRRGRMDSRRFILQDAKRVVATDAGGMRVVKGVGGKLTESPMHIGFITMEPNSMFIPQYLDSSLIIFVELGEARIGSIYQDDFIEKDLKSGDLYRVQAGSAFYIVNTAEGQRLHIITSIDTSESSDWSAFESFYIGGGANPSSVLAGFDIQTLSTALNVSYNTVGELLSSRQKGAIVYLKPDSESEKEPSLWKRFLDQGKHEKQAQMKRIVQVRVKNDEGEKTTWSLTDFVNSMFGVNRKKGDSKSLDSYNIYDRKPDFKNDYGWSIEVNENDYEPLKKSDFGVYLVNLTAGSMMAPHINPTAIEYGVVLSGTGNIQVVFPNGTLAMNAEVNEGDVFWIPRYFPFCQVASRSNPFVFFGFSTSARNNRPQFLVGQGSLLQTMMGPEFATAFGMSEERLKEIADAQKETTILPAASASPGGGDEEIPEGEGGGDGSQEEEGGGRSTESVVEKMGSKIRMKTNSFAYNMAMGLE >cds-PLY72453.1 pep primary_assembly:Lsat_Salinas_v7:2:143299083:143299763:-1 gene:gene-LSAT_2X71061 transcript:rna-gnl|WGS:NBSK|LSAT_2X71061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVDILSYIPEALQLRILCCLDAKQAVQTSMLSRTWVSLWTKTPVLNFKCSSFNNLDAFNTFVNKVLCRRDHLAKLDTVKFLGLIRSTQTLKSVFDFAFSHGVKQLEVSIHNFAGSNAWPSLKVLQSWCHWIMCPFLGSGSTSFKNLTVLHLTHAIIRDVEPYSGFPILESLKLLYCNLSTNGNINKTLRVYSLRLSHLTISWCTSINCCKLETPRLRFLIGVPRV >cds-PLY73896.1 pep primary_assembly:Lsat_Salinas_v7:3:39509534:39510645:1 gene:gene-LSAT_3X29240 transcript:rna-gnl|WGS:NBSK|LSAT_3X29240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVNWCFLNLHNETQESFLHLEMVIYTKPIQILNLLLTITYIFLYTPYSSSAIAANIVSDLHSLQSQFPSGIIHLNETILYRIFNADPRSFYLIIFFDAIQLHDKPELNLKTIKSEYPLIAKSFSINNQNSSNFSKIFFCDLEFSESEKDFLQFGIHALPNIRIVPPDADDLKSDSIPLDVSESSSLAESMAGFLESKIGLPIGQIHRPPMFFKSQLGVSGSMFILIRKVPLFVMDRKDSNKLIFFYKGVGMQFGVEGLCVGFLFMTVGLLFPFITRVIVRIKDSMIQRAAMVSAMIVSFWAVKEVVGLNHWKTGYYAHAYLPSSWYK >cds-PLY99288.1 pep primary_assembly:Lsat_Salinas_v7:3:93782860:93783747:-1 gene:gene-LSAT_3X70421 transcript:rna-gnl|WGS:NBSK|LSAT_3X70421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSSINHFRSISLPSRLTHPSCTKTEKKINELRASGKLVGSFENIQSSLVGLAELHVFVNEFVQAPKTQQALSHHQNETLVEAALEWSIGFLDTCSTLIDMIMLMKENVNALQMALRRKGSDSTVASKIAAYLCFRKMAKKVVTKSLRTLKHLEKKICSFLFVDIDHHVSLVSKVLKETNALTISLFRSILIIVSTKPKRDNGVQLIAKLLSKRTSTHKHDQLVLTEVETIELTLTLLHKNVRNGETKDVDVEVTLRRLQILDVGLEGLKVGLDHLFRRLIHSRVSLLNILVC >cds-PLY96560.1 pep primary_assembly:Lsat_Salinas_v7:4:368201115:368208046:1 gene:gene-LSAT_4X180821 transcript:rna-gnl|WGS:NBSK|LSAT_4X180821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRDDVFSNNSQFKRPFVSPRGEPPYGQPHVPGDEGVGEGGGGAGAGGGGGGGGGGGGGGAGGGSSAQKLTTNDALTYLKEVKDMFHDQREKYDMFLDVMKDFKAQRIDTTGVIARVKELFKGHNNLIFGFNTFLPKGYEITVIEEDEPPPKRTVEFEEAISFVNKIKNRFQNDDRVYKSFLEILNMYRREHKGIKEVYHEVASLFEDQRDLLDEFTRFLPDASAHHASHGRHSYNRYDERSSAMNPLKQTQLDKQRNRRDRGIASHADRDLENRDIDEDDKTTMKLQKDQRKRSENQNRRNSDQDYKETDLDAKNKDMNRLEKRKSEDFGVHSGSAPYGDKDALKNMYSAEFSFCEKVKDRLRNHDDYQAFLKCLHIYTTDIITRKELQSLVSDLLGKHPDLMEGFRTFLGGNIDGFLAGVMDKKSLWNEGHGSKSTRTDEKERDHNNAAKEDKYKEKYWAKSIQELDLSDCQRCTPSYRLLPDDYPIPSVSQRSELGTQVLNDLWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRVEELLNSINNKTITPETPIRIEDYFTALNLRCMERLYGDHGLDAMETLRRNPSASLPVMLNRLKQKQDEWTKCKSDFNKVWAEIYAKNHYKSLDHRSFYFKQQDSKNLSIKSLVSEIKEIKGKSQTDEDILLSIAAGNRHSIMPNLEFEYSDNDIHEDAFKLIKYSCQEICTTKEQSNKVLNLWTTFLEAMLGVQSRDESSVSDSGKGILVNGDTTLVKEDGLQVQPEKEGGREATTRPSNATENGHEAKSNIHEAPVSQPMDSRCIAMGNGNPGESSKVEKEEGELSPNHDSDDLNFSTYQDNNDNGTHKGELEADGDDDDNEDVSGGGDDISGDESGGSREEEEEDDEEEEECKPESEGEGNGIEDSVDLLPPSEHFLRTVKPLAKCVASSSSSCGGEKKDSRVFYGNDAFYTLFRLHQVLYDRLLSAKLNSTSAEAKRKTTKDSSSSDLYSIFMTSLYKLLDGVADNAKFEDDCRAIFGNQSYILFTLDKLIYKIVKQLQSVVGDEIDNKLLQLYEYEKSRKPEKFIDSVYYENAHVVLHDENIYRFQCSSWPSRLTIQLMDDGIEKPEVVPVSVDSNFAAYLHKDFLSAVNAKKQSGIMLKRNKRRFMEMDESCALSVAMEGAHVVNGLEYKMSCCSSKISYVLDTEDDFFRERRKSQSCGNKSQTNVERFHRFLAASLAKE >cds-PLY75113.1 pep primary_assembly:Lsat_Salinas_v7:5:156798855:156804561:1 gene:gene-LSAT_5X68820 transcript:rna-gnl|WGS:NBSK|LSAT_5X68820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEWWKWKSPKNKDQKNQEKSLKDNSVFSKSNQTLCSKSSLPNNINEKKNNKSFDDAVSARASPRSSYTAPSASPSRFSGFDSDRIGHPLPQPSISPTTSVVMVDLQGFALGSGSVSSSADSSVSSADNLDHGQLGIFRGYGEAKFSPQLKSPGRGSRAPTTATSPLHPRFVGSSLESPNKRLDDGKSEGHRLPLPPTSPTSPPAPSSLPGTRICSSPNRPLVVGSNVKNVGVVETSNCQVSKWKKGRLLGRGTFGHVYLGFNSESGQMCAIKEVKVVADDQSSKESLKQLNQEITLLSKLIHPNIVQYHGSELGEETLSVYLEYVSGGSIHKLLQEYGPFREPVIQNYTRQVLSGLSYLHGRNTVHRDIKGANILVDPNGEIKLADFGMAKHITNCTSMLSFKGSPYWMAPEVVMNTNGYNLAVDIWSLGCTVLEMATSKPPWGQYEGVAAIFKIGNSKDMPEIPDHLSNDAKSFIKQCLQRDPCLRPTASKLLEHPFVRDQATTRLANVNLTKEAFPTAFDGTRTPTASEMYSNRNTRSFEGDYATKPMLSTHKSPMLSPRHHARAITSLPVSPSASPLRQYGPAYGSCYLSPPHPSYGIIGQSSHPLPDVFAGPGRPITKTVLDPWYEIPQLKAQTPNRSPRRQLA >cds-PLY64332.1 pep primary_assembly:Lsat_Salinas_v7:4:27099570:27100228:1 gene:gene-LSAT_4X19801 transcript:rna-gnl|WGS:NBSK|LSAT_4X19801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGILIDPVRNIISTSNEWWDEKIKEDKEYAKFKDTNLEVYETYYEALFRDTIVVGDKDKVPCEFGSSSTPDDVQFVDIIDGKKASDEVLLFDDVDAFLTYDSSSKKRRGKKLTPRRDKKINFEGKSMVSSPYEEILGTVFDVLLTRSTQTLRQTTHSPTTEDCMAILSTFLGFEEGSIGYLEALEVFLKKPAR >cds-PLY77821.1 pep primary_assembly:Lsat_Salinas_v7:8:13360340:13361512:-1 gene:gene-LSAT_8X10080 transcript:rna-gnl|WGS:NBSK|LSAT_8X10080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKKVASPVDMEKLPGDVLSNIFIRLLAKQLAQMRLVCKTWNALLSESSFIKYHLLHSIHNNNKKDEILLFFQKAVFPDFYSGRLGPLTARSTRSPRLELTDLTKLEFPINPQHEDPLIDVIGCVNGLICFSYATERDYNYFIRIWNPSLSVSLTLPPSPFSYNSCYHLGFGFDPKTDDYKVVNLAGFHQKPTQQQCEVYSMKKGSWELISQKVPSHIKGFIHQNEVCLNGHIHWLCVTDLELWPRPQTILTFDLSAMTYGEIPLPESMLPLHDHRYRFNVLGVLSEKLCLMSRISYGQCDVWVMDDDDDSWVKHHVFSQFSDRITPYGFTSHEEFFFQVDEGCRFALYDPNAAKIKIFKIEMRDPLDSLIIFKYVDSLVWIARCSVS >cds-PLY89025.1 pep primary_assembly:Lsat_Salinas_v7:3:250355449:250355946:-1 gene:gene-LSAT_3X138281 transcript:rna-gnl|WGS:NBSK|LSAT_3X138281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFYMGFKEMGLSKYVLYVFSLLCYIQNIVLFLFPFIGVSDHEIESHHPHHKKPSKSLLLSAVLTREVLVVTNFKNIQKKDLPTSCAVCLNEFTGDDKIRCLKNCTHIFHDCCLDCWMNESKDTCPVCRTPMLPFECEDEYKNRLRVATDHHDWYDEALVIQELL >cds-PLY68172.1 pep primary_assembly:Lsat_Salinas_v7:6:83300930:83301508:1 gene:gene-LSAT_6X58980 transcript:rna-gnl|WGS:NBSK|LSAT_6X58980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEIMANEGNVYRVNYAKEFMKEFIKSYMTEAKWVNEGYIPTMEENMSYRFTSCGYSMLTAASFVGIGDIVSNESFKWVLTDPPIVKAACVIFRLKNDIASHKQEQERKHVASLVESYMKQ >cds-PLY90043.1 pep primary_assembly:Lsat_Salinas_v7:MU039662.1:56188:56763:1 gene:gene-LSAT_0X35060 transcript:rna-gnl|WGS:NBSK|LSAT_0X35060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSTNMQARKMLFAAILSICASSSKKISIYNEEMIVARCFIGFIIFSRKSLGKTFKETLDGRIQAIQEESQQFLNPNEVVPPESNEQQRLLRISLRICGTVVESLPMARSAPKCEKTVQALLCRNLNVKSATLPNATSSRRIRLQDDLVTGFHFSVSERFVPGSTLKASIVELIREGLVVLRMVRVGAE >cds-PLY90721.1 pep primary_assembly:Lsat_Salinas_v7:1:195725:195967:-1 gene:gene-LSAT_1X1280 transcript:rna-gnl|WGS:NBSK|LSAT_1X1280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSTQLCTSFFSSQPLAPNFLGLKMSGLRGRLKYFIVASLTFPVTVKTMRVMDDSHMKERLALYVYFIVYCRCCHSLPP >cds-PLY89550.1 pep primary_assembly:Lsat_Salinas_v7:4:158783465:158789163:1 gene:gene-LSAT_4X95560 transcript:rna-gnl|WGS:NBSK|LSAT_4X95560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAVYEKQLKLSSLGRKRHSTGEVVNYIGVDAYRMGEFPLWVHVAWTSFIQLFLAIGVLFSVVGVGVLPGLVPLLLCGLLNVPFAIALQKCQLQFMVAQDKRLRSTSEILNNMKIIKLQSWEEKFEGFIESCRETEFKWLSESQYKKAYGSILYWMSPTIISSVILFGCAFFKSAPLNAATIFTILATLRTMSEPVKYFPQALSMLIQSKVSFDRINSFLVENELKNDGIGIIHSDIQKHSGTCISIQHGSFSWDPESPFPTLKNINIEVTRGQKVVVCGPVGAGKSSLLYAILREIAKTSGNVDVFGSIAYVSQASWIQSGTIRDNILFGKAIDTIRYENAIKSCALDKDINDFKHGDLTEIGQRGLNMSGGQKQRIQLARAVYSDADIYLLDDPFSAVDAHTAATLFNDCVMTALREKTVILVTHQVEFLSEVDNILVMEDGEITQSGLYNELIKAGTAFEQLVNAHKNVITELESSSYEKKNTPQKETISLNLRNEINEISKSTIQLTEEEEKPIGNIGWKPFLDYIVISEGGCYLFLSLLTQIVFSALQAAASYWLAFAIKIPTISSFMLIGVYALISTTSAFFVFLRALFTTLLGLQASQAFFSKFTKSIFKAPMLFFDSTPVGRILTRASTDMSVVDFDIPFSFAFVVISGIELLTTITIMASVTWQVLIVGIFATIATKYFQGYYQPSARELIRINGTTKAPVVNYASETSQGVATIRAFKKEKMFFKNYLKLVDIDASTFIFTNATLEWLVLRAEALQNLTLFTAAFFLVLLPKGYIPPVERIKQYMHIPPEPPAIVEDNRPPLSWPSKGRIVLQDVKIRYRPNAPLVLKGISCTFKEGTRVGIVGRTGSGKTTLITALFRLVEPDSGRIYIDGLDICSIGLKDLRMKLSVIPQEPTLFKGSIRTNLDPLGLHSDHDIWEALEKCQLKSTISSLPNCLDSSVSDEGENWSMGQRQLFCLGRVLLRRNKILILDEATASIDSDTDATVQRIIRQEFSSCTVVTVAHRIPTVIDSDMVMVLSSGEMMEYDEPSKLMESDSYFSKLVAEYWSSCRR >cds-PLY77055.1 pep primary_assembly:Lsat_Salinas_v7:1:159666652:159666936:-1 gene:gene-LSAT_1X109481 transcript:rna-gnl|WGS:NBSK|LSAT_1X109481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINGSSRHDLLLNPQNFCHSIPTVAENPSLSQLCYLRLLGSRNRKAVILGWAYYLDAFSSYPLCTWLPRVYRGHDNWYTRSASFPVIKYNRKQS >cds-PLY88393.1 pep primary_assembly:Lsat_Salinas_v7:5:61416028:61421530:1 gene:gene-LSAT_5X28021 transcript:rna-gnl|WGS:NBSK|LSAT_5X28021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSIFVLLVFVLHVASECTREVVEQIDGEEFTEELLFRPLPDQKDLSHFHFKSRVLPTNSYGHHHRLFPKAIYKLFPNGTLNPPHTHPRASKLLFILMGSLEVGFVDTTKNPALALSAFGTVSIGTVSAPNPVFNSTIDDQILAMSFKTDIATIQKIKSGGLGPQEAKANYKLWDMRNTMSPLRELLGHTKGVVAMEWCPHDGSYLLTCAKDNQTMCWNNNFAEIVSELPSRTNWNLDVHRYPKLPGVISASSFDGKIGIYNIEACARYGVGDDHSIMIYYPSVARGGMKELFRKVSGASYSK >cds-PLY80705.1 pep primary_assembly:Lsat_Salinas_v7:5:218871073:218871997:-1 gene:gene-LSAT_5X103381 transcript:rna-gnl|WGS:NBSK|LSAT_5X103381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGTSNLIILLTLVLVVSLAMVLGLILLLLAELYCSPFLQRRRNRRRQFTTPNATTTGDNPSIHSHAHSHSHSTLDSFLYPADASYDIEKQPTQTNSPENQDSGGAQCQDKMEIRNGSPLVYISNPVFDGESRSGKMMEDDDTPFETPDSSPSRLGTEESSGDDEREEISSKVVITPPLTPMKKLPAEAVSVCLKDVRSLGTSGSDTNSNNGASSSPLTPCTSPSL >cds-PLY91867.1 pep primary_assembly:Lsat_Salinas_v7:8:203159803:203165121:-1 gene:gene-LSAT_8X129381 transcript:rna-gnl|WGS:NBSK|LSAT_8X129381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGGHVTAPVNSPHLRKSGSRQLFSDLESSEMKGAGSTPLSTAAMLPSPVLLWRLKVMLFFLWGFSCCKIGWDSVMRMSLDLRDLFLYEAFLYYNPLLLVTAAVWFWGANLWVFAQSNVNYSKIFDLDQNHLTYKEIWKCATWMTIIVPTSMTSYLYLYSHGEVSWAASQPVLLYTAVAIILIFPFDIFYLSSRFFLLKTLWRIVFPYQAIAFADFFVADILTSMSKVASDLERSVCRMVHKQVATIAWFEADSVCGSHAIAIPIVLVLPYIFRLFQCLRQYKDNREKTSLLNALKYSTAVPVIFLSALKYHVFPDSWTNFYRPLWLLSGVVNSLYSFYWDVTRDWDLSCFTRIFKFTKPHIVSQIIYGQKWVYFWVIGSNLILRCTWTYKLSAHLRHNHLTVFAITTLEIFRRFQWAFFRVENEWNKMNSSKQSIQMGDVSGEEEKLLSLNNHNV >cds-PLY78730.1 pep primary_assembly:Lsat_Salinas_v7:9:50983695:50984881:-1 gene:gene-LSAT_9X46460 transcript:rna-gnl|WGS:NBSK|LSAT_9X46460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFANVHKVFGASNVTKLLNELHPHQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQVDLTYAKSELSKYQNHNHNLNGSATQGLIAAAAAAAXXXXPTTKP >cds-PLY89301.1 pep primary_assembly:Lsat_Salinas_v7:2:51864607:51867384:1 gene:gene-LSAT_2X24061 transcript:rna-gnl|WGS:NBSK|LSAT_2X24061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAASDTKGGSSGSPVIDWQGRAIALTTGNKTSSALTFFFLLERVTTQFLKMETLLDDSVGQNVELQFEGGGTPLTVQLKVVFLHPIHNYAIIAYDPSALGATGASVVQAAELLPGRKFFVIELDTALVKKVPVRRQVLQVKGCLAGSKADNLLKQEDMVLAINKMPVTCFQDVEDAFHASDLSDNMEEKLELTIFRQGCEIEVVVGTNIRDGNGTKRVINWCGCFVHEPHPAVRALGFLPEPDPAGFHDQLIPNGNTLPF >cds-PLY79053.1 pep primary_assembly:Lsat_Salinas_v7:3:8884821:8887969:-1 gene:gene-LSAT_3X8320 transcript:rna-gnl|WGS:NBSK|LSAT_3X8320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEELHTFDFSKEVGFTSCSSISDSSCDANTPRSHCSRLSGGQTKRSSQAGWTDEEDTMLTEVVKKYNGRNWKKIAESIPGRSDVQCLHRWQKVLNPDLVKGPWTKEEDDRIKELVGKHGCKKWSLIAKHLAGRIGKQCRERWHNHLDPAIKKDAWTEEEESSLAYYHHIYGNKWAEIARFLPGRTDNAIKNHWNSSKRRLDLNIPPVFPTRTASPELRKRNVPNTCSTNLALGNPKSVITDDDNLGFSRYTKIRKVEPSDSSLTTSETPKRSKSDSVKDLKFGNSPDNSFLSLSTFGFSEGKSQKLVCGTPSYGSLCYQPPQLKNLAISLENNHFSCSTPPDLALSISVSSPESILRNSAMSYKNTPSIIRKRTPRKSVSATSSYDFSKNVETIESGNTNRGSESWLHRSQPSLGRRLDYMFDSEWDPTMVRCRTPGSVTPSSKPNVMLTP >cds-PLY81429.1 pep primary_assembly:Lsat_Salinas_v7:3:217630974:217633323:-1 gene:gene-LSAT_3X126181 transcript:rna-gnl|WGS:NBSK|LSAT_3X126181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRFSHSRIMSSLFQFRSISSFHSPTFEPKEVIPESVSKPTLVSLEPSNDADLISQMLIQHHNPFHTMESSLQLNGIKISPILVHQTLIRLKNISKIALAFFSWAKEQSHYSHDSHSYNLMIDILGKVRQFDVAWQLIIEMDQNGVNPTSTTFYVLIRRLISAGLTRQAIRAFDDMGCFVVNDTEEQEQQQQPIHDFYFLFDTLCKYGYPKVATEMFNKWKNWRFEPDAKIYTILIYGWCKMNKPKMADKFFKEMVSNGIEPNVVTYNVLLNGICRKSSLHPEDRFIRTIQAAENLLDEMPQRGVDPDVTSYSIILHVYSRAHKPDLTLQKLKIMKDKGIHPTLATYTSVVKCLCSCGHLEDAEILLDEMVSNGVTPSGMTYNCFFKEYRGRKDIDGALRLYKKIKKDSVFLQDTHTYNILMGMFMDLNRFDLVKEIWDDMKGSVCGPDLDSYTLLVHGLCEKEKWRDACEYFVEMIEKGILPQKVTFETLYKGLIQSDMLRTWRRLKKKLDDESISFSLEFEKYHVKPYKR >cds-PLY72904.1 pep primary_assembly:Lsat_Salinas_v7:1:208807428:208808232:1 gene:gene-LSAT_1X123321 transcript:rna-gnl|WGS:NBSK|LSAT_1X123321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHPQSLATPITSIAPPSASPAPASSTPPSSLAPVASPPASSADMPLIFQPHFPSSLAIQTMLPHPLHLQSGTIWPTLSPSAGHRLHLPLPPPEANPSRSLIDNTTPDVAPGHVIGFGGGFDSCCLLCIVCFKRSYGGGDYGAAYGNTGYVNVGSW >cds-PLY64779.1 pep primary_assembly:Lsat_Salinas_v7:2:101948154:101951476:1 gene:gene-LSAT_2X46301 transcript:rna-gnl|WGS:NBSK|LSAT_2X46301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRPGEGTTLKRLHHNRHQLKQVKGLFGKLVVAAILLIAPTLYFVYFFNTSSSSSSDFPSEIDVQKLWDTADSGGWIPSSAPRSDWPPPPNESNGYLRVRCNGGLNQQRTAICNAVLAARIMNATLVLPELDANSYWHDDSGFQGIYDVDHFIKSLQHDVQILRPPRDAPIHWYTTVALEKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALIFKPHIMELSHKIVDKLRSQGHFMSIHLRFEMDMLSFAGCFDIFSPEEQKILKKYRGENFAEKKLIYSERRAIGKCPLTPEEVGLILRAMGFNSSTRIYLAAGELFGGERFMNPFRSIFPLLENHTSVDPTTDLKSNAQGLIGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYLGFRTAIRPDRKGLAPVFIDREKGRVDGFEEAVRRVMMRTSFGGPHKRVSPESFYTNSWPECFCQKLGVNPAHRCPNDMM >cds-PLY76432.1 pep primary_assembly:Lsat_Salinas_v7:8:154921599:154927572:1 gene:gene-LSAT_8X105401 transcript:rna-gnl|WGS:NBSK|LSAT_8X105401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKCIMNRGWSSSKLPWLTPPLIIIILSFISIHPTISTSFLNNRYTTTSNFSLSSSVKSNPRRILSSFPNKKQSNLQRIEASLAQARAAIKKAASMATNNSIDDPDYVPSGSVYRNPNSFHRSYLEMEKRFKIFVYEEGDPPIFHSAPCYGILGLEGIFINDMEISRFRTWDPEKAHVYFLPFSIITLINYVYIVGSHDWNPMYNTIRDYIKVINQNHPYWNRSHGADHFMFACHDWGPVISRSVPYLYENSIRALCNANTSEGFKLSRDVSIPEIYLPHGTTEGLLGGPPPSKRKILVFFSGGVHGYIREVLLKHWENKTEDGVKILKYLPKGEDYNQQVRNSKYCICASGWEVASPRMVEALYMGCVPVLVKDDYAKPFSDVLNWDTFSVDIPTKNIPQLKDILMAIPQRRYIRLQRNGVQVRKHFVVNLPSKRYDVFHMMLHSIWLRRLNIHIRDMDNEM >cds-PLY76872.1 pep primary_assembly:Lsat_Salinas_v7:3:6088224:6090447:-1 gene:gene-LSAT_3X3340 transcript:rna-gnl|WGS:NBSK|LSAT_3X3340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGREVGINSTGVTVVGSDAPSEYHISPRTEPPSQITTTVSTAVTTLVAISPQSTAVPPPLGVMPLSMKKKRGRPRKYAPDGSVNQTLSPKPISSAGSSPVIDFSSGKKGKIRLPSAEKHSSKIRMESLGDWFPSSVGANFTPHIINVNAGEDVTMKVISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLAGSFMPSESGGIRNRSGGMSVSLASPDGRVVGGGVAGLLVAATPVQIVVASFLAGNQPMEQKTTKKSKPETATPAPPAPPPAAVIPAPAAQTEETVKQNNLSSPPTTFRGDSWSAYNAPETEVKRNTTDINVSLHG >cds-PLY84748.1 pep primary_assembly:Lsat_Salinas_v7:5:230351275:230354105:-1 gene:gene-LSAT_5X110541 transcript:rna-gnl|WGS:NBSK|LSAT_5X110541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLLQNTLLPHFSATPLSISQSHNLLFTFPHNKNPTFTGRGSLILRAQISCNKSESIPLIKESMDLKKEVQELNRNLYEHIEPYATGFLEVSDLHTIYWEQSGNPSGHPVVFLHGGPGGGTSPSNRRFFDPEFYRIILFDQRGAGKSTPHACLEDNTTWDLIKDIEKLREHLQIPEWQVFGGSWGSTLALAYSQSHPEKVTGIVLRGIFLLRKKEIDWFYEGGAAAIYPDAWEPFRDLIPENERDCFVNAYHKRLNSPDINTQYAAARAWTKWEMMTAHLLPNEETIKRGDNDAFSLAFARIENHYFVNKGFFSTDSYLLDNIHKIKHINTVIVQGRYDCCCPMMSAWDLHKAWPEAEFKVVSDAGHSANEPGIAAELVAANEKLKNIIKTR >cds-PLY72283.1 pep primary_assembly:Lsat_Salinas_v7:5:109614406:109614645:1 gene:gene-LSAT_5X44100 transcript:rna-gnl|WGS:NBSK|LSAT_5X44100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRLDGIHEEHRWIITSIFGVRQHLGMDHPPFPQASHVVPPRAHSRGAGHDGVGASSTHHGDTYDIKEGTEDEDESSDE >cds-PLY88218.1 pep primary_assembly:Lsat_Salinas_v7:8:149966522:149966686:-1 gene:gene-LSAT_8X101021 transcript:rna-gnl|WGS:NBSK|LSAT_8X101021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEIGGLKKGKARDVNNGVEVRAVVYRLYPRLQPRIALREVELSIVRVGGSGGG >cds-PLY65958.1 pep primary_assembly:Lsat_Salinas_v7:4:140159072:140160606:1 gene:gene-LSAT_4X85980 transcript:rna-gnl|WGS:NBSK|LSAT_4X85980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFCNVARFFQHHFGKEVFVYVICTPCGARIKRNVMLYTVGTGDTRHYFCIPCYNDSCGDTINVDGTNVLKARFEKKKNVEETEEWWVQCDRCEAWQHQICVLFNGRRNDGRQADYTCPNCYMEEVERGEWMPLPQSVVLGAKDLPKTETILSDHIESRLLGKLKQERLERARFYGKTYDKVNESGAECPQPNHRHVYLSYLDSVKYFRPDIKAVTGEALRTFVYHEIKAVTGEALRTSLVEDALNQSDFENYSSKACDSLSKLSFYPLMP >cds-PLY78336.1 pep primary_assembly:Lsat_Salinas_v7:2:181404383:181406956:1 gene:gene-LSAT_2X102741 transcript:rna-gnl|WGS:NBSK|LSAT_2X102741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVFSGTGHGQMGMMWEQVRAPLIVPFMKIMVIMCLAMSVMLFVERVCMGIIIAVVKLMKYKLEKRYKWEAIKEDLEIGNSCYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPIIKDLVELECKRWAGKGINIKYEIRGNRNGYKAGALKQGMKHPYVNQCEFVAIFDADFQPDPDFLWRTIPFLAHNPELALVQARWKFVNSDECLMTRMQEMSLDYHFIVEQEAGSATHAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRVSLKGWKFVYVGDLKVKNELPSTFKAYRYQQHRWSCGPANLFKKMIIEIARNKKVTVWKKFYVIYSFFFVRKIVAHLVTFIFYCVVLPATVFVPEVQVPKWGAIYIPSIVSLLNAVGTPRSFHLLVFWILFENVMSLHRTKGTFIGLFETGTRVNEWVVTKKLGEALKAKPGSKSTKKPRVQIGESVYVLEIFVGVYLFLCGCYDLSFGKNHYFIYLFLQAMAFFIVGFGYIGVFVPNS >cds-PLY81472.1 pep primary_assembly:Lsat_Salinas_v7:5:334034321:334037650:-1 gene:gene-LSAT_5X184321 transcript:rna-gnl|WGS:NBSK|LSAT_5X184321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLVMVSKQSMEFVGCDEEGKLFVNEKTMDLVKTFKEEIAVIGVIGSAGVGKIGTIMNECKGFKMAENWKPCTRGLCLWKPCIKELLPVRHCFGLPSPSNEGLSLVRLTARAASDNLRDIRNLEKESLSGIESQGNESSAIPFYQGYKSRLHSKANC >cds-PLY92202.1 pep primary_assembly:Lsat_Salinas_v7:6:74685255:74688026:-1 gene:gene-LSAT_6X54521 transcript:rna-gnl|WGS:NBSK|LSAT_6X54521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHPCVFMIFSLLLLCLETAAANQLAAVGGGDENVVGKKCFEKEKYSLLHFKSLLQDPSDRLSMWTAEQDNCCQWSGVTCNNQTGGHVTELDLMSCGLVGEISHSLVNLTYLNYLDLSYNSFHGTIPAIIGSLTQLRSLHLGFNNLNGTIPMSIGSLTELKYLDLSSNSLYGAIPPEFGNLTNLQVLYLVEVGRCRVENLDWLSPLSHLEGLAMDGISLAKTNHWVDVILSLRKLSVLSLSGCELSQVIYPYSSQIINSSSSSIKYLILGDNNLTSSMYHWLFPLTSNSLVRFYLSGNMLDGIPKYLGNLCSLERFYFYNNSGVIKFPDFLNNLSGCTSLSLQWLYAPTNQFTWSLPDDIQKFTSLTSLSHSENQLKGTISKKLWELPKLIHLDLSENSLHEFPASDYMSNRSHIESIQLSSCKLGPLFPKWIQNLKNLTSIDIANNGISDTIPLEFWDSWPSRLTFLNLSSNNISGKLPDLSSNFDSNSVIDLSSNSFDGPITNVSSTVALLNLSRNKFSGGISFLCQVVHGFLVILDLSHNFLSGQLPDCLWHFKELQVLNLEHNNLSGRLPASLGSMIKLEALDLYKNDFSEEFPLSVKNCTSLKSLNLGANKFSGNLPVWIGESLSGLYVLMLRSNNFSGSIPLQLCQLVSLQILDLSVNHLHGSIPSCLSNLTIMVQQGFSQLQNLDPDDILIIYSYNVDHVMIQWQGSEREFIRSNMKLLRSIDLSSNNLSGEIPYQITNLDQLIALNLSKNALLGKIPWKIGQMKNLLILDLSRNNFSGEIPSTMSQMTWLNYLDVSDNSLSGRIPSSTQLQTFDPSRYEGNLGLCGPPLTKKCPGDEESGIQHIIGESEGEGIDELQGWFYIGGAIGFTVGFCIACGALLLNRRGRFAFFMFLDIFEDWVYVKVVVLIANLQKRRT >cds-PLY80927.1 pep primary_assembly:Lsat_Salinas_v7:8:255840058:255840480:1 gene:gene-LSAT_8X149800 transcript:rna-gnl|WGS:NBSK|LSAT_8X149800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSEFKASVDLKIKEFSDFFANEVRKLDNFYVLMNKKVDLLMGATTRLVEDITAFNNDYSGDLKSKFEEDGKTFEKVDKSLSDFQETLSKVALSSQSSISQEYISAMISSIESRFKTELVPIPNLVLCLPTNVPCPTNVS >cds-PLY91952.1 pep primary_assembly:Lsat_Salinas_v7:4:10095491:10097566:1 gene:gene-LSAT_4X6821 transcript:rna-gnl|WGS:NBSK|LSAT_4X6821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDCSKFLNTTKDDDYDSTDSDDVIGVMDEVVSFVMDIAMHLEIWLDFSFPNDEDDDEDFHMSDDQQDHVLAIEDLAPRLSAFRIKLCLDYIKSQSLVNA >cds-PLY62836.1 pep primary_assembly:Lsat_Salinas_v7:4:29025643:29026604:-1 gene:gene-LSAT_4X17281 transcript:rna-gnl|WGS:NBSK|LSAT_4X17281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPEIGVAVFILKNDQILFGRRRSSSIGAYTYALPGGHLEFGESFEECAAREVKEETGLEIKNIEFLTANSFVLSDKVHLVAVFMRAHLSDPEKAPQNLEPDKCEGWVWHDLKNLPEPMFGPLRKMLDDGFNPFPTESK >cds-PLY89621.1 pep primary_assembly:Lsat_Salinas_v7:9:39674146:39674406:1 gene:gene-LSAT_9X37541 transcript:rna-gnl|WGS:NBSK|LSAT_9X37541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTDTARGLEERRYFDDMRILYAYDLLKDTHSLVQHQQIEIENLDQCVREVELRLLVSEIEAATLEVMITPLAATSSNSDLVGSLL >cds-PLY81899.1 pep primary_assembly:Lsat_Salinas_v7:8:122828979:122833946:-1 gene:gene-LSAT_8X85360 transcript:rna-gnl|WGS:NBSK|LSAT_8X85360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G02250) UniProtKB/Swiss-Prot;Acc:Q6NQJ6] MVVRTANSISLLISSSSPSPLPLVAFRYHQIRAFQYMQFSRFSICKPLVFGCHNIKGGRSRSYSAQSFVDTVLEEFEALRRRRTVRASNKSVLTSSKELVDDKLRKQVLQKGLLLEFKKDSERILLAVTQKPDGRKNWIVSDQNGVTTSIKPQQITYIVPGVDNVSTTEISDFNQRAQNNLDPALLEFAWIELLETNKSVTVEELAEMIFGSAAPVESYCAHLLLSRDEIYFTVLDSRGSYSVYGPRTTAQVEEIRRRLVAKEAADKEFDEFLELLKSAKAMPPRGKPSKCSWKVDERIWHRIESLEAFAIDACKDDGQRNIAGMILKAMGLAKTAAAAVNLLIHIGYFPVHVNLDLLKFSIRTDFPDKILSAAEKLLLESPDPDKDDRIDLTHLKVYAIDVDEADELDDALSATKLQDGRINVWIHVADASSFVQPGSMIDREALQRGTSVFLPIATYPMFPEKLAMEGMSLKQGTNCRAVSVSVVLHSDGSIAEYTVNNSIIRPTYMLTYESASELLHLNLEEELELRTLSEAASLRLQWRRGQGAFETGSLEPRIKVENPDDPEPSIRLYVEDQTDPAMRLVSEMMILCGEALARFGSSNKIPLPYRGQPQSNIDTSAFDHLPEGPVRNSAIIKIMRAAEMDYRKAIRHGILGIPGYVQFTSPIRRYMDLLAHYQVKAFLRGDGIPFTAGQLEGMAASVNMNARIAKKLFGSSLRYWILEYLRQQPKQRKYRALILKFVKDRNATLLLLEVGFQASTWVSIGSHVGDEVEVRVEEAHPRDDIIMLKEVVQRATS >cds-PLY91703.1 pep primary_assembly:Lsat_Salinas_v7:7:26736536:26742180:1 gene:gene-LSAT_7X18941 transcript:rna-gnl|WGS:NBSK|LSAT_7X18941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoinase [Source:Projected from Arabidopsis thaliana (AT4G04955) UniProtKB/Swiss-Prot;Acc:Q94AP0] MESGTWRLLLSMVPLLLYLLFIVYFDYNSPKPTTSGCSLFPHDHYWITSKRIVTPHEIISGAVEINGGSIISLVKEKDWQGQVKNEPVIDYGEAVLMPGLIDVHAHLDDPGRAEWEGFPSGTKAAAAGGITTLIDMPLNNFPSTVSEETLKLKKEAAKGRIYVNVGFWGGLVPENAFNASILEGLLNAGALGLKSFMCPSGINDFPMTDATHIKEGLSVLAKYKRPLLVHAEKQQELELTDGGDDPRSYSTYLKTRPASWEETAIRELLTVAKDTRIGGPAEGAHVHIVHLSDSRSSLELIKEAKNNGDSVTVETCPHYLAFSAEKIPDGDTRFKCSPPIRDASNKEKLWEALMGGDIDMLSSDHSPSAPELKLFEEGDFLRAWGGISSLQFVLPVTWSYGLRYGVTLEKLVSWWSEKPAKLAGQDLKGEIAIGKHADIVVWEPEKEFDLDENHTVHVKHPSISAYMGSRLAGKVLATFVNGNLVFDDGKHAPDACGTTILA >cds-PLY73190.1 pep primary_assembly:Lsat_Salinas_v7:3:233729568:233731634:-1 gene:gene-LSAT_3X130700 transcript:rna-gnl|WGS:NBSK|LSAT_3X130700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSPEDRLLEMNPEKKTIIPTEYMGVKMISFGFTGQWRAIMWGPMVSGVINQLLTTKDWDNDLHRLLVMPNGLPDGTELAYYARGKKILEAYKQGIGIVCSHWDTEISPSQFEGHAGRAAKRQPRVEFLDLFLTLVNLKISIFILSF >cds-PLY72292.1 pep primary_assembly:Lsat_Salinas_v7:9:104719629:104721213:-1 gene:gene-LSAT_9X75360 transcript:rna-gnl|WGS:NBSK|LSAT_9X75360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTGDVNGSLVIFGDIIWVKLHEDSWWPAQVVDENSVTSGNKPSNKGSSSDVLVRLYGSYIFKYVDVHRSHAEFKKILEENNFNHDDIMKKSLEKDLPSLNGSSKKRQRSKSKVKEASQKRSSKKDTSDKPQSKVVSSKKQKQEKPKTATPDRIHNGIDTSASTPNIGNLSGRRMKVMQSLGLVAPLGSPFPRNRVISPSPSPNPTLLS >cds-PLY96889.1 pep primary_assembly:Lsat_Salinas_v7:2:109381481:109383222:-1 gene:gene-LSAT_2X48320 transcript:rna-gnl|WGS:NBSK|LSAT_2X48320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRRVKLGSQGFEVSAQGLGCMGMSAFYGAPKPEPDMIKLIHHAINAGITFLDTSDIYGPQTNEILIGKALKGGVREKMELATKFGIKYDTDAMDVCGDPAYVKYACEASLKRLGVDCIDLYYQHRIDNTVPIEITMGAMKELVEEGKIKYVGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVTYSPLGRGFFSSGPKMLEKLEDGDFRKYLPRFQAENIEHNTKMFDRVNEMAAKKECTPSQLALAWVHHQGNDVVPIPGTTKIENLEQNIGALSVKLTPEDMAELEAIASADSVKGDRYGDGISTFKDTETPPLSSWKA >cds-PLY70554.1 pep primary_assembly:Lsat_Salinas_v7:1:88140638:88140838:1 gene:gene-LSAT_1X75781 transcript:rna-gnl|WGS:NBSK|LSAT_1X75781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWSITGAGTTSLVL >cds-PLY81568.1 pep primary_assembly:Lsat_Salinas_v7:2:127018706:127023538:-1 gene:gene-LSAT_2X59481 transcript:rna-gnl|WGS:NBSK|LSAT_2X59481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNCAQAHSKNLQKGGKKEMKYVLVTGGVVSGLGKGVTASSIGLLLQTCDLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQYVIDKERKGDYLGSTVQVVPHITDAIQDWIERVAAIPVDGKEGSPDVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLIHVSLVPVLNVVGEPKTKPTQHSVRKLRSLGLTPDILACRSTTELDVSLKEKLSRFCHVPLQNIVSLYDVPNIWHIPLLLRDQKVHESILKTLNLLSVVRKPVLGEWTAMAQRCDMLQEPIRVAMVGKYTGLSDSYLSVLKALLHASVACRRKLVINWVSATELENSTALESPDVYKSAWNSLKAADAVVVPGGFGDRGVEGKIIAAKYARENKIPYLGICLGMQIAVIEYARSVLGLQNANSTEFDPETKNPCVIFMPEGSKTHMGGTMRLGSRKTYFHVPDCKSAQLYGNQSFISERHRHRYEVNPDMVSQLEKSGLSFTGKDETGQRMQIVELASHPYFVGVQFHPEFKSRPRKPSPLFIGLIAAACGQLDALLKKNIGMKVNIGYTNNNNNAIGKGVSGLSPHHRYGNVKVNVNVNVNNGLHV >cds-PLY75818.1 pep primary_assembly:Lsat_Salinas_v7:3:68543249:68545430:1 gene:gene-LSAT_3X51901 transcript:rna-gnl|WGS:NBSK|LSAT_3X51901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATFLPTFSTPNPLSFRSKSLLLHYNKRFTVKCNAAPPPPLPPAVQTFWKWLSDEKVVSSNSPAKPGIVAEGLGLVAQRDIGRNEVVLEVPKKFWINPDTVSASEIGRVCDGLKPWISVALFLIKEKLREDDDYSPWRNYINILPESTDSTIFWSEEELSEIEGTQLLSTTLSVKEYVKNEFLQVQEQVILPNTKLFPSPITLDDFIWAFGILRSRAFSRLRGQNLVLIPIADLINHSPSITKEDYAYEIKGAGLFSRDQIFSLRSPIPVKAGQQVLIQYDLNKSNAELALDYGFIESTVDRNTYTLTLQISDSDPYFDDKLDIAETNGTKAVQYFDITLGRPLPPKMLPYLRLVALGGTDSFLLESIFRNSVWDHLELPVSRDNEEVICQVVRTACRSALSSYRTTIEEDEKLKGGDINRRVEIAIAIREGEKKVLGHIDGIFEEREKELDEYEYYQERRLRDLGLVGEQGDIIFWEPK >cds-PLY98784.1 pep primary_assembly:Lsat_Salinas_v7:7:24297835:24301429:-1 gene:gene-LSAT_7X20421 transcript:rna-gnl|WGS:NBSK|LSAT_7X20421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTHYTAEHIPATVDSVVSSPRSDHPSVDNSRVYDDTTAQARVRFMCSFGGKILPRPHDNQLRYVGGDTRMVTVLRHNTTFSSLLNKLSKLSGTTDISVKYQLPNEDLDALISVTGDEDVENMMDEYDRLAHNQKTSRLRIFLFPTDASLSRASSISSLLDGSVKREHWFLDALNGGSALERGRSEVSSIISEVPDYLFGLDHPDDPKSKTKTLVNDNVSVSDPGSPAPRFSSPYCSTSSSLGPTVAPPVPNLRPVRTKLDNTGPQGPMGPVVSEVKETATGQFVEGNDPIILKQTDYAGQPVYYIRQTNSPAPTPTPISEMPMYYMHPPSPMGQENVQARAQYGQQFMGPSGQFLHPNMGQMYPGMRPISGGESYDMSGRSGGAPSQPVYYGARYVPGYPGMVLPGSEEMKQPGSAGNMSRTGSTGNMSRAPQ >cds-PLY88879.1 pep primary_assembly:Lsat_Salinas_v7:4:253265534:253268900:-1 gene:gene-LSAT_4X133240 transcript:rna-gnl|WGS:NBSK|LSAT_4X133240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKRAIQSNLPFVALSDEVTDQEFASGSTIHENIISDNTLKSPHHDALHPDPDEEHLSKFMLNDDITSIAAPPVCSSIHLSQKPSSSKPNYDFRLSSSSSEPVDEEINIDGDAHNNPEGETKTNPPKDDQATTANNPGPSELPVNQEHDKAKTTSSSSYTGSLAVNYTDPFTLLELRDNFSAILSKKLESMIQLKPLFVNGIDFQDSGQSRDVNVVGEKKNLDERIFKTEA >cds-PLY80282.1 pep primary_assembly:Lsat_Salinas_v7:3:209152164:209153414:-1 gene:gene-LSAT_3X123380 transcript:rna-gnl|WGS:NBSK|LSAT_3X123380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP111 [Source:Projected from Arabidopsis thaliana (AT1G08560) UniProtKB/TrEMBL;Acc:A0A178WAC8] MNDLLTKSFTSYVDLKKSSMKDIDLEAGPEHDLQMHTIQQPDHNLTSFLHEAELVKQEMNSIRETLAQLQAANQESKTLHKPDALKSIRRQINGDIVTVLKKAKTIKSRLEEMDRANAESRRLSGCKQGTPVDRTRTAVANGLRKKLKELMMDFQELRQRLMSDYKETVGRRYFTVTGEQPNEEEIEKIISSGSDGQGGEEFLSRAIQEHGRGKVLETVVEIQDRHDAAKEIETSLLELHQVFLDMAVMVEAQGEKMDDIEHHVMNAAHYVNDGTKNLKTAKVYQKSSRKCMCFGIILLLIIILVIVIPIITSFSKS >cds-PLY94304.1 pep primary_assembly:Lsat_Salinas_v7:7:164302449:164306090:-1 gene:gene-LSAT_7X97100 transcript:rna-gnl|WGS:NBSK|LSAT_7X97100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQINPCSSSSTTFSPSSLNQKAFSSAKFFNFSRKSSPSRWVFKIHSRISPKNQFELKSSNGSPLNAISLHGGAAEKPLAKELVSPPEQSESNLSVTVVGASGDLAKKKIFPALFALFYEDCLPQNFTIFGYARTKMTDEELREMISGTLTCRIDKRENCGDKMEKFLERCFYQSGQYNSEEHFAELDIKLKQKEGGKVSNRLFYLSIPPDIFVDVARCASVRASSKNGWTRVIVEKPFGRDSESSGELTRSLKKYLAEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQFIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVTLDAEDVRNEKVKVLRSMRRLQLEDVIVGQYKGHSKGAKTYAGYTDDPTVPNDSLTPTFAAAALFIDNARWDGVPFLMKAGKALNTRRAEIRVQFRHVPGNLYKRNFGMDLDKATNELVLRVQPDEAIYLKINNKIPGLGMRFDRSDLNLLYSARYPKEIPDAYERLLLDAIEGERRLFIRSDELDAAWAIFTPLLKELEAKKISPELYPYGSRGPVGAHYLAAKYDVRWGDLAGDD >cds-PLY67645.1 pep primary_assembly:Lsat_Salinas_v7:2:207624390:207626030:-1 gene:gene-LSAT_2X128100 transcript:rna-gnl|WGS:NBSK|LSAT_2X128100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKAIRVHEHGGPEVLTWEDVEVPDPKEGEIRLKQKAIGINFLDVYMRRGHYPQPVPFTPGMEGAGVVTAVGAGVTSCKVGDVVAYASGQVGSYAEERILPADQAVPVPSSVDPVEAAAVIFKGLTAYVMIHRAFKVEPGHTILVHAAAGGVGYLICQWASAIGATVIGTVSTKEKAVQAKEDGCEHVILYKDEDFVKRVMEITSGKGVDVVFDAVGKDTFNGSLECLKTRGYMVVYGTASGEPEPISVTKLAPRSIYLTFASLGEYIGGNREHLLIAAEALYSNVAKGVLKVRLNHKYPLSQATQAHIALESRKTTGSVVLIPDEE >cds-PLY66351.1 pep primary_assembly:Lsat_Salinas_v7:5:289012418:289014374:1 gene:gene-LSAT_5X152981 transcript:rna-gnl|WGS:NBSK|LSAT_5X152981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGEKLVFVIHVWFLFMYGSCLCNFVVEKNSLKVIAPENLRDTYECAIGDFGVPRCGGALSGLVVYPTFNQLACNNFTDHIPLISKKPGSLPVFLLADRGDCYLTLKAWNAQNAGASAILVADNWPTDLITMDFPKDEEGEEPPYVQNITIPSLLISNSLGVSIKEALENNGLVFVNLERSEALPYADEMVEYEFWTNSNDECGPKCDTHKYFVKSFKGVAQILERKGYTRFTPHYITWFCPEEYVSSTRCKSQCINHGRYCAPDPEQDFSKGYEGKDVVVQNLRHACFYKVVNESGKPWVWWDFVTDFATNCSMKEHKFTKDCADEVIKSLGVDLKKIDECMGDPEADAENAVLKAEQQVQIEKGIRGDVMILPTLVINNREYRGKLDKKAVLKAICSGFEETTEPPICLIYGKHVAGSAEWGFAWTVVLGVAAIGIVGYTFYKYRRYMDSEIRAIMAQYMPLGNEGEVPVRGSHGEI >cds-PLY80146.1 pep primary_assembly:Lsat_Salinas_v7:3:40480113:40481250:-1 gene:gene-LSAT_3X30780 transcript:rna-gnl|WGS:NBSK|LSAT_3X30780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKRLAERKVGKFDKNINRRGSVPETSTKKGNTYPVGPIMLGFFIFVVIGSSLFQIIRTATTGGMP >cds-PLY78146.1 pep primary_assembly:Lsat_Salinas_v7:4:96738817:96740144:-1 gene:gene-LSAT_4X62200 transcript:rna-gnl|WGS:NBSK|LSAT_4X62200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELKEEVHNFMRVALCALISLGYCYFVGKIVRKGVLRFLSILPVVVVFLFIPLSLTSVHMIGAFSFYISWLGNFKLILFAFEKGPLSTPSMTLLRFFAVACFPIDVTQSDKGFSKPNKTSLFTYGTKGLVLAVCLHLYHHHKEDVHPMMAWCVFGLIVYLMLELFVALSSTIVGLFLKVELDQQFDEPYLATSLQDFWGRRWNVMVNRILHPSVYEPMRILSINVVGRLWAPVPAILTTFLVSGIMHELIFFYFTREWPTGEVMLFFALHGVCLVLEVVIKKATRYKWSLPNNLSRPLVLAFVLATSYWLFLPELSRCNMIERAFEDYVAVSELATDVVRTTPVFFK >cds-PLY97341.1 pep primary_assembly:Lsat_Salinas_v7:4:286025800:286026006:-1 gene:gene-LSAT_4X145541 transcript:rna-gnl|WGS:NBSK|LSAT_4X145541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIRCLPGKRKKKHTPNTHLKLHPGIHQDTKGMKRNPTLPSLESRAKGVGNSITNDYLHKYVTNDYLH >cds-PLY72364.1 pep primary_assembly:Lsat_Salinas_v7:5:5987699:5990734:1 gene:gene-LSAT_5X3041 transcript:rna-gnl|WGS:NBSK|LSAT_5X3041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLGKLSQPVIGHTSRKALVLSSSSSDFSTSAGNDGGGRGRGRGSDFPKFGVIDSVPGKNEPPTPEDKKPFSPPLGRGHGHGRGKPQFSSFGAPGVNTVAGRGRGQTTPVPPSQSDDTSQPKRPIFFRKDGDVILPPKAETQEKTKTNLPSSILSVLSGAGRGKPAQPTANVGTEKGEEVNRHIKPRQQQQQQQQPVVKPRPSSPKMSQEEAINKARGILSRGGDVVGEDGGGFRGAGGRGMGGQRGGWTGRGRGRGRGRGRGRGRGMSEFDENEEDEEETELDKVNAEKLAKYLGPEKFDILTQAFEEASANVLPSPEEDAHVDAMHTNLLLECEAEYLMAEFDSNPDIDEKPPVPLRDALEKMKPFLMAYENIQTNKEWQDVVEETMKNVPLMKELVEFYSGPNTNRVTAKKQGEELERVANTLPATAPASVKRFTDRAVLSLKSNPGWGFDKKCQFMDKLVSEVSQTYK >cds-PLY70020.1 pep primary_assembly:Lsat_Salinas_v7:8:146588740:146591801:1 gene:gene-LSAT_8X99701 transcript:rna-gnl|WGS:NBSK|LSAT_8X99701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELCFKSIFFILFLLPLSSADLNSDKQALLAFSNSVPHGPKLNWNNNTDICNSWVGINCTSDGTRVFALRLPAIGLKGPIPSNTLGKLDSLQILSLRSNRLNGTLPSDLLSLSSLHYLFLQRNNFSGDIPATFPPRIIVLDLSFNSFAGNIPAGIQNLTELTGLNLQNNSISGPIPNVTIPTLKHLNLSYNHLNGSIPSSLKEFPSSSFIGNSFSCGLPLNPCSPISPSSPKKETSKKKEKLPLWAIIAIAVGGGILVLISLIVLILCCVKRKSEEDPRRVTKGKASTRGEKPRDEFGSGVQEPEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSFGTAYKAVLEESMTVVVKRLKEVVVGKKEFEQQMEIIGRVGQHQNVVPLRAYYYSKDEKLLVYDYMSGGSLLTLLHGNRGTERNPVDWETRVKIALGAARGVAHIHSIGGPKFTHGNIKSANVLINHDSTGCISDIGLAPLMNFPPPTSRHAVGYRAPELLETRKHTHKSDVYSFGVLLLEMLTGKQPIQSPGREDMVDLPRWVQSVVREEWTAEVFDVELMKFQNIEEEMVQMLQIGMACVVRVPDMRPTMEEVVRMIEEVRLSDSENRPSSDDNKSKDSRVQTP >cds-PLY71896.1 pep primary_assembly:Lsat_Salinas_v7:8:278215059:278215970:-1 gene:gene-LSAT_8X159681 transcript:rna-gnl|WGS:NBSK|LSAT_8X159681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYVGNLDPRVSERELEDEFRVYGVLRNVWVARRPPGYAFVEFDDRRDALDAIRALDGKNEWRVELSHNSKGGGGGGRGGGEDLKCYECGEPGHFARECRLRVGGRGGRRRSPSPRRRRSPSYGRRSYSPRGRRSPPPRYSISPRRGRSYSRSPPPYRRSRRDDSPYGNGV >cds-PLY78191.1 pep primary_assembly:Lsat_Salinas_v7:8:177618245:177621295:-1 gene:gene-LSAT_8X115460 transcript:rna-gnl|WGS:NBSK|LSAT_8X115460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILVDEEGTKIEAQVPSMWVFRFEKMLAENESYYIENPQVGENTADNKFVNNSNKLHFCPITMVTKSNDFVGPLYGFSFENYQTIIENNIPEKTPVGRLSVSNWYSVTKLYINANIEEILLFKNTLVSKNSFENLSANRSKDSSSLLLYSETDEFLLKHDFKPITEIQEITKFYKFCYVFLVFP >cds-PLY68303.1 pep primary_assembly:Lsat_Salinas_v7:1:27087890:27096642:1 gene:gene-LSAT_1X25500 transcript:rna-gnl|WGS:NBSK|LSAT_1X25500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRRVNLKAKDGLSATERRSFCGIQNDPHIWGDPETFRPERFEGVEVYGDGFKLLPFGFGRRSCPGENMAMRMVGLALGSLIQCFEWGRTSEVEVDINGGTGFTLAKAIHLVAMCQPRPIMLNLLSQL >cds-PLY80345.1 pep primary_assembly:Lsat_Salinas_v7:7:154526266:154528082:-1 gene:gene-LSAT_7X91501 transcript:rna-gnl|WGS:NBSK|LSAT_7X91501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIATMAQATQLHAQLLKHQQTDPQNLSKIFNFTALSPSGNLTYARHILNSLQTPTNSYFHNTMIRAYSDSSDPFQSISLFLTWHNSQDPFSPTPDRFTYPFVLKACSKLKQKRFGKQLHGLIYKSGFSPDLYIQNALIHFYSGCDQMGFASKVFDKMPERDVVSWTSVINGYVDNKMTLEGLRLFDKMVMEGFEPNDVTVLSVLRACADTGALSVGIKVNDFVNLKGTQLKKNVVTALIDMYSKCGCIDSALRIFNEADNKDVYVWTAMISGLSSHGLCKEALELFENMKNLEIKPDEKTMTAILSACRNMGWVNEGLQHFKNIKKVYKLKPTLQHYGCIVDLLARSGQLEEAQKFIKSMPIEPDSVMFRSLIWGCKVHGDTERLEHLIEQFQDSCSDCGTYVLLGNVYASKGKWKNKAKVRSLMNKKGFVKPSGYSRIEINGEIYQFTAGSTCNIEAESIYKKLEEIEERLKGSGYDPKLSEVLLEIDDEEKASQLLHHSEKLAVSFGLMKMKPGSVIRIVKNLRSCEDCHSFMKHVSSVYKREVLIRDRIRFHHFRNGECSCGDYW >cds-PLY99822.1 pep primary_assembly:Lsat_Salinas_v7:4:256845715:256846124:1 gene:gene-LSAT_4X134180 transcript:rna-gnl|WGS:NBSK|LSAT_4X134180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKMLLACMGVTALGFTLDHIVSDRKLFGGTTPKTMSKEWEDETERMKNAWPRTAGPPVVLNPITRQNFIIKSSDS >cds-PLY67141.1 pep primary_assembly:Lsat_Salinas_v7:5:291298963:291300856:1 gene:gene-LSAT_5X155541 transcript:rna-gnl|WGS:NBSK|LSAT_5X155541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B12 [Source:Projected from Arabidopsis thaliana (AT3G54120) UniProtKB/Swiss-Prot;Acc:Q9M392] MSSSDRLLFNRQTSVHQALGGGLFADVILWRHTNVTLGIFIVTLASWMMFERSDYTFLSYVSNVLLLLLLILFLWAKSAQILNRPTPPIPYLQLSEETTNEAAALIREQINTVLSVSHDIALGKDPKMFVKVAAYLFIISTIGSLTDFRTLCYTSVFVALIVPVAYERYEEHVDSVLVKGNLKLKELYIRFDEECVKKVRKWILETNKLS >cds-PLY95881.1 pep primary_assembly:Lsat_Salinas_v7:5:80185150:80197504:1 gene:gene-LSAT_5X37781 transcript:rna-gnl|WGS:NBSK|LSAT_5X37781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKEDAIGGEGTSNGIVTDVPVTVQEVSEHVDELTLADSSETGVQEAAKKKKKKNKSKKKKETKEQTDPPTIPIADLFPQGNFPEGEIQQYKDDNLWRTTSEEKRDLERLEKPMYDSIRQAAEVHRQVRKYVRSIIKPGMLMVDLCETLENTVRKLIQENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHINGHIVDCAFTVAFNPMFDPLLEASREATYTGIKEAGIDVRLCDIGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGYVREDLECSHYMKNFDVGHVPLRLPRAKQLLATIDKNFSTLAFCRRYLDRLGETKYLMALKNLCDAGVIQLRIAEERYEEAEMRARQLEKQTARDEATSIMEQLHETESEVKSLKTMFQRMLLTQEEVEELVLKRCWLSWYWSLCVQHGIHAEIAGTRYEYWSSFAPLPVEVVVAAGQMAKDEKLMEKSDEEEREKVPKDAREISGEGSVESMLLVERGLRELSLLKIEDAVALAMAHQRRPTILKSGLTELSKEEADDVRFKQFWINQSDHPPTSHDAVEVERGLVELRKLGVEAQLWQASRKLVDLDSQHKWQLHVNF >cds-PLY95746.1 pep primary_assembly:Lsat_Salinas_v7:5:248560654:248563050:1 gene:gene-LSAT_5X123540 transcript:rna-gnl|WGS:NBSK|LSAT_5X123540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREYYCYKFQIRSNDNVILMGGRLFQQFAVDTYIKIETTRLVFVEKNQTKIRADLYQGIVDCFNAGEAQPSRVGQRVVLPASFIGGPRDMRRRFLDAMALVQDDGRPDIFLTMTCNPKWKEIDDELLPGQSAQDRPDLVARVFHAKLEDLKVQLLQRHIIGVVGSYVYVIEFQKRGLPHAHFLLIMTPGYKMNNPDDYDKLVCAEIPDPIRFPKMHDLVKSHMMHGPCGSLREKSPCMQGVPKICRFRYPRQFNEKTSQGEDSYPLYRRRNNGIEVTVRNTSLDNRWVAPYNPKLLMMFNCHINVEVCSSIKSVKYLFKYVYKGHDKQVIHIDKDQENVVINEIKKFQDARYVSPPEALWRVFSFPLSKIHPCVLALQIHLPNQQLVRFKDVDRMEDIVDREKEKDSMLTAFFKKNKEDSKAREYLYKDFPKHFTWNRSNHCWRIREHKSMVGRLVYANPAEGERYYLRLLLCHITGPTCFEDLYTANGVLHPTFRKAALERGLIETDDNLSQYLEEASLFQFPSALRRLFATMLIFCEPGNVCKLWDDHYDSLSEDYRKQYGCAERVQNMVLIDIRVFLESMSKKLSDYDLPKVSAHIDLQSRGYREVQEEYSINVDYEDLHARDSLNPDKKFAYDEIMRHVDQNIPGVFFIDGPGGTGKTFLYKALLANIRARGLIALATTTSGVAANNMPGGRTAHSRFGIPLNLDNNSMCKITKQSGKAQVLREAKVIIWDEAAMAKRQAVEAVDRTMQDITDEKLPFGGKIMVMGGDFRQVLPVVRCGTRAQIVDSSLRMSPL >cds-PLY92736.1 pep primary_assembly:Lsat_Salinas_v7:8:66701492:66706184:-1 gene:gene-LSAT_8X47041 transcript:rna-gnl|WGS:NBSK|LSAT_8X47041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQSEIDRVLKKVQESVDVFDSIWNKVYDTDNAYQRERFEAELKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALMDARKLIEREMERFRICEKDTKTKAFSKERLGQQPKWDYKEKAKSETRDWLNNTVFELESQIDGFEAEMEGLSLKKGKVWPPRLRHLESSIARHKAHILKLELILRLLDNDELSPELINDVKDFTDDYVERNQEDFDEFEDVDMLYDILQLDKVEALEDFVISGTPGLIKVGASMNNIEHRFQATSLFPVIVPLTKEGKQNGYKVGANMDNIEQRFQATCQLPVVIPLTEERQQNESQPFIQVVANMDKVEQRLQATHQLPIVVPLTEEKKQIESQPLIQAGTSMDNTEQRLQATCQLPIIVPLPPEEVIKQNGYKDFDHLKIPLGTLLMATNNFSKEYVIAKGGFGLVYKAQNEHGIIAVKKLDPRFGQGEREFMMEIAMLSAYTHKNLVSLVGFCDEGDEKVLVYKYESNGSLDQHLCRKDLTWIQRLRICLDVAQGLKYLHNDIGTQHRILHRDMKSSNILLDENFRAKISDFGLSKVALANVPCTVLISKVCGTPGYVDPEYYKHGILTQKSDVYSFGVVMFEVLCGKLVGVSKHHDEPFSVELPQSHYEKGTLDVIIDYDLRIQMNSASLSTFSAIAHQCLKCRGRDRPTMTLVVEELKKAFVYQEVVSPE >cds-PLY76986.1 pep primary_assembly:Lsat_Salinas_v7:6:63607323:63624588:1 gene:gene-LSAT_6X46521 transcript:rna-gnl|WGS:NBSK|LSAT_6X46521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGAASNHPLEKTPTWALATVCFVFIFLGLVIERLFRTTSLWLKERRKTALYEAVEKLKEVLTLLGLMSLLLVVTQRGISKICISNKVAYSMLPCDKIPQETTKTIQAYEFYYASPPTSEPLTFEDMISPASTTTTFNSSDYCASKGMISFISQEAINQLNTFICALTIMQIVYSVATMALGRAKMRSWKAWEKETQTTEYLVANNPHRFRFTRQTTFGQRHMNDPIDTPILLWIKCFFRQFFHSVAKVDYFTLRHGFISAHLSRSSSFDFLKYIQRSLEDDFKLVVGISPLMRFLLVIFMLIDVHGWHMYLWVSFLPLIIVLVVGTKLQVIVARMALQLKEQNKVIIGAPLVTPNDNLFWFSKPQFVLTLLHYTLFMNAFEVAFIIWVTLQYGIDSCFHETKSIVVARILLAVIVQVICSYITLPLYALVTQMGSRFKSAVLQDQTREMIKQWHQEVKQNRRRQSQTPHDSPIPHIVTQMNSTEIIPQQQTSTLSKIAPRGIEGTSEIVKEIQEVD >cds-PLY86148.1 pep primary_assembly:Lsat_Salinas_v7:6:156941026:156941370:1 gene:gene-LSAT_6X94940 transcript:rna-gnl|WGS:NBSK|LSAT_6X94940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVKDNLQDNGEAIGVGMMMVAAAVWGAQELYGYRSTGDECCGYDALERPISDPVQPTTTLVGPNALLASYGSPEFISDFSDTRINFSDFLLYAGVTVVQACKLAHVKIVFR >cds-PLY90943.1 pep primary_assembly:Lsat_Salinas_v7:9:169837870:169839369:-1 gene:gene-LSAT_9X105620 transcript:rna-gnl|WGS:NBSK|LSAT_9X105620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELDMPLDYASFQLSPKHSRCELIVSSNGNTEKLESGLVKPFLTHLKVVEEQVGSSAQFIKLEVDKRKSVDSWFVKGTLESFVLFVSTPEIVELVITFDAEMSQLEAARKIYSQGSSDQLLSNIGDGRSSTTTRDDATKAPKAADMILNLIVADNGAFLSV >cds-PLY64568.1 pep primary_assembly:Lsat_Salinas_v7:6:36909031:36912902:1 gene:gene-LSAT_6X28220 transcript:rna-gnl|WGS:NBSK|LSAT_6X28220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFLIILLVAAAAASAVNGGVGGFPARVLNLERAFPANKTVELEVLKTRDRVRHARILQRFSGGIVDFNVVGTSDPYYGGLYFTKVKLGSPPQEFNVQIDTGSDILWVTCNSCSDCPQSSGFGVPLNFFDAGSSSTASTVSCSDSICSSIVQTSDASCSDQTNECGYSFQYGDGSGTSGHYVTDLLFFDTIVGPSIVANSSASITFGCSTYQSGSLTKPDKAIDGIFGFGQNGLSIMSQLSSRGITPKVFSHCLKGDGLGGGKLVLGEILAPTMVYSPLVPSQPHYNLDLQSISVNGQTLTIDPTAFATSDNHGTIVDTGTTLTYLVAEAFDPFVNSITAAVSQLTTPVISKGTQCYLVTSSINGIFPQVSLNFAGGASMILKPENYLVHGDPVDGGTPWCIGFQRVQNGVSILGDLVLKDKIFVYDLSKKRIGWTDYDCSSDVNVSITSSKDEFRNAGELSENTSSRTTSVLQVLLLFHILSMTLGSLLL >cds-PLY67186.1 pep primary_assembly:Lsat_Salinas_v7:6:164258191:164260440:-1 gene:gene-LSAT_6X100560 transcript:rna-gnl|WGS:NBSK|LSAT_6X100560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVKYINGVIIFFTFITLFLQHFTHSSTLVVDGVSQWKNPSVQIGDSIIFRHKYNYSLYIFKNRRAFNLCNFTESTLLTPSAPTFTWHPSRLGSFYFAFKNVSTKACDDDHKLAIKVYSSHTPESSPAPPLPQPPTAAQPPVGNIVSATPPSTSSGGGHGKAVSSTPPPTSSSGGGGGGGGGGGIVSSSPSYPWPNLPQELTSPSPSPMNAIFPTGGPMGGGPRKGGSSLPFINSNPAVPLPTGEVDSATIRPVPSSCHHRLLQVVGFVNVVKAFWCVVLLVVLL >cds-PLY71168.1 pep primary_assembly:Lsat_Salinas_v7:1:120408209:120408553:-1 gene:gene-LSAT_1X91060 transcript:rna-gnl|WGS:NBSK|LSAT_1X91060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNSVIPGGGYYPVMRNGNRNHICGGNRLGKVKVEWESQLQASGFSFEISFIIVRSPLVLHHISRHHAKRNDLHPPSPSPLFFEYGNFKKIHEKLRSSFSFVHILDLSGEEG >cds-PLY62310.1 pep primary_assembly:Lsat_Salinas_v7:3:210811344:210814145:-1 gene:gene-LSAT_3X124880 transcript:rna-gnl|WGS:NBSK|LSAT_3X124880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSLGTSKGVLEIAKFAVYVTVPIGLMYFFANNTKNLHKFMGARQYVVYPPEGPRPQSPEELREMAREIARKRSTQ >cds-PLY94622.1 pep primary_assembly:Lsat_Salinas_v7:8:144925636:144937655:1 gene:gene-LSAT_8X97740 transcript:rna-gnl|WGS:NBSK|LSAT_8X97740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESPMGKAIHSCITMAISDFYALRHSYKTRIVIHTRDSEGDPDKALSSAYNLLKKAKVQAIIGPETHLPSKLLSLAADKAAVPIFSFASPSSREHPFLFQIKQDEYSMAKSIAALVKSYNWMDVIFIHEDTDDGMEILRYLAESFQDKNIRISYRCAISASATHDEITQELRKIMTFHATVIILHVSPSLASSLILNAKRFGMVSEGYAWILTEKTVDLLRSTNFTVVESSQGALGFRSYVPPSDRLHDFFHRKNSTSVTKEVPVPALWAYDIIWALAESVEKVGVPHNGSMLLHEVLKIRFKGVSGDFQLSKGKVMSNGYEIMNAVDNGERRVGYWTLSEGIRRAHPHINIDRLYPSLGSEAVVWPGGSTTAPKGWVLRATSSKRLKIGVLKIKNFKYFMDVDHDVENNVTTATGFSIDVFNTCIRALPYQVPYTFVVFENASYDNLVQKVYNEEIDAVVGDSTILANRSVYVDFTATYTDLGVGTLARIKKNDKWFFLQPMELRLWITTISSLFYTVFVVWAVERMNPNSDQKIGTVFWTILLTIFFAQREKFSRSRSKFVMFVWLLVVLVMFTSYTATLTSLLTVEQFESASKGGIVGFHGGSFMRGVTVSNLHFEDNYRRAYYSYEDYAHALSKDGEADAIVDEIPYIKMFLSKYPGDYALVSSQPITSGFAFGDFLLIILKSFIEKQSAQSTQNLKVILMSATVDSQLFSHYFGDCPVIHAQGRTHHVTTYLLEDIHDSVDYKLASDSLASLRFNAQKQKAAYGVS >cds-PLY63906.1 pep primary_assembly:Lsat_Salinas_v7:5:127743293:127745297:1 gene:gene-LSAT_5X57100 transcript:rna-gnl|WGS:NBSK|LSAT_5X57100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMPVQKQFQHLKIPLEVILLATNNFGEDHRIGEGGFGKVYKAKLLLSNEHTTVALKRLDRIRGQGDTEFWKEVMTLSLYKHENIVSLLGYCDESGEKILAYEYASNGSLDSHLNNNNLTWSQRLKICIGAARGLTHLHSDVGTQQRVLHRDVKSSNILLDEKWNAKITDFGLSKFGPANRHYSHLYSNPVGTLGYCDPLYAETGLLTKESDVYSFGVVLFEVLCGRVCMPNIHERQSLLTLVRESYKQKKLNEIIYGNIKHEINPNSLRAFISIAYPCLSLEREERPLMDMIVRTLNTALSYQTSFAPEVNIHGDARNPKGKKTEGVTITIHSEPGVVNVVTVPRNVNPERTSKKPAKNGKDDKFWDKIEKEQMNNQIKNMQIHGVKNNKNQLQKGGQTKQSKQPQQSKGSQDQTMRYYNGYPGYGSGGGGSSSMMNPRKSVKSKVVESDDDVYYDGVDVNEHVI >cds-PLY76819.1 pep primary_assembly:Lsat_Salinas_v7:3:4711948:4718068:-1 gene:gene-LSAT_3X2341 transcript:rna-gnl|WGS:NBSK|LSAT_3X2341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWYLVLNLSLLLLILATPSLEGGRLSCLLNNKSCSLIETEKEQDDGTTWAVLVAGSNEYYNYRHQADVCHAYQILKRGGLKDENIIVFMYDDIAHHESNPKPGVIINNPKGHDVYAGVPKDYTKDSVTAANLYAVILGDKKSVKGGSGKVVDSKPNDRIFIYYTDHGGPGTLGMPDSPNVYAKDFIEVLEKKHAAGTYKEMVIYVESCESGSIFEGLLAENLNIYVTTASKADENSWGTYCPDMEPPPPPEYDTCLGDLYSVAWMEDSDKQGDNGETLEQQYKTVKVRTYNNNSTEGSHVMEYGTKSIRSESISLYQGFSASNVTDNTYIYNGHMGVVNQRDVDLVFFQKKYEKMIEGSQEKIDMLKQITNIKNHRSHLDSSVDAIGTRLFGSSNGPLILGSLRAQGKPIVDDWECLKSTVRVYETHCGSLTQYGMKHMRAFANICNNKVTQADMSDASMAACSGYDVGQWSPLKKGYSA >cds-PLY69157.1 pep primary_assembly:Lsat_Salinas_v7:5:287143206:287145217:-1 gene:gene-LSAT_5X152101 transcript:rna-gnl|WGS:NBSK|LSAT_5X152101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannan endo-1,4-beta-mannosidase 6 [Source:Projected from Arabidopsis thaliana (AT5G01930) UniProtKB/Swiss-Prot;Acc:Q9LZV3] MATHITIFFVVIIILIFNGHTNGLLHGLNEGQVTDTTMHRVDCKGDVEMEDDAWMMVQKSGNQFVVNNQPFYVNGFNTYWLMVFAVDNSTRGKVTQVFQQASDVGLTVCRTWAFNDGQWRALQKKPSLYDEDVFKALDFVVSEAKKFNIRLILSLTNNWDAYGGKPQYVKWGKEAGLNLTNDDDFFSHPTLKSYYKSHVKASFLFKRTLYTVLNRVNTVTNMTYKDDPTIFAWELINEPRCESDPSGDKLQEWIEEMAVYVKTIDPKHLVEIGLEGFYGPSTPNKVQYNPNTYAQQVGTDFIRNHQVLGVDFASVHIYADSWISPSISNDHIAFTKSWMQSHIDDAENYLGMPVVFSEFGVSKKDPGYNESYRDNLISTVYNTLLDSAKKGGSGGGSLLWQVFPDGTEYMDDGYAIILSKSPSTSSIISRHSTRLTLFNSLCKWKCHWGCKKKHEFDGGFHFLDEL >cds-PLY71150.1 pep primary_assembly:Lsat_Salinas_v7:9:82353526:82354240:-1 gene:gene-LSAT_9X65841 transcript:rna-gnl|WGS:NBSK|LSAT_9X65841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKVPMEFINDVKKRNLTFETRKQGIIKKANELATLCDVDIAMIICANDHQKPEIFSPDADTFNSLVNRYVQNRSIAPEKNRCYGLSDYFNDTKIKMEKELLNAKKNNIEEKFPTWFDFLDNLSEIELRELASSMEAKISFVKNKIESMKKNSGIQPNTVFTEGQRSMASPSVNNSPIMTVMERDDDDTDTFNQQTSKKPEVFYHWL >cds-PLY92051.1 pep primary_assembly:Lsat_Salinas_v7:5:324409482:324413146:-1 gene:gene-LSAT_5X180380 transcript:rna-gnl|WGS:NBSK|LSAT_5X180380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSHLGTFGDDNRRNRVRSLKKKAMVASTRPLNALKPRSNMRVSNCQFASISIDDVRDEEEEKVVNAFRQILIEKDLLPHRHDDYHTLLRFLKARKFDIDKAFQMWTEMLNWRKDFGADSILKDFVYNEYEEVQSYYPHGYHGVDKEGRPIYIERLGKVDPTKLMNVTTVDRFLRYHVQGFEKAFSEKFPACSVAARRHIDSCTTILDVHGMNWMSFGKVAHDLVMRMQRIDGDNYPETLHQMYIVNAGNGFKLLWNTAKGFLDPRTTSKIHVLGTKYQNKLLEVIDSSQLPSFLGGTCSCPNEGGCLRSDKGPWHDQELMKLISTEDSDKNGRFYDDSNLEVKSIVSETTNREVSHDSVFQTRVPRRSMSLHLRNSVNKPPVSNKTRVDRVVSNTQIDATPRTDEVTRTRRMEEESTVKKAFGFIYEVLVYTFLLIFGLLKLLATNIMKVLKTEETSSPQFVNDSSRLEKEKELLHPCEEKLKKLEAMVAELSSKPSRIPQEKDEMLVESMNRIRCMEYDLQKTKKALFATASKQMELEESIETLRGDTLNKTNSCWVRRTKSASSGRWQ >cds-PLY86065.1 pep primary_assembly:Lsat_Salinas_v7:3:195322562:195325619:-1 gene:gene-LSAT_3X116460 transcript:rna-gnl|WGS:NBSK|LSAT_3X116460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYGGIEFPSWVGDPSFGQLTRVWISDCKKCTYLPPLGQLPSLKELFIKGMDEVNGVGLEFLGTTGLAFPSLEDLTFQDMKGWEAWSTNNNGVLVDTAFPCLQELRIESCPNLVRVSIEPLPSVRVLRISGCSHEVVGSLVHVASSVTKLEINGISGLNDQVWGDVIEYLGAVEEVSIEECNEIRYLWESEAEASKVLVNLRKLDVGNCSNLVSLGEKEEDNCGSNLTSLTTLIILGCDSLERCSCPNSLKSLTIAHCNKLLEKELIGGREKPLINSNILMLDTLCTIDWPNLKSITELNSFNHLRDIVIKDCPNMESFPDHELPELNVLTHLTILNCQSMDASFSCRLWPPKLCSLRIGGLKKPISKWGPQTFPTSLVKLILMGGQSEDASNLCQLSHLLPSSLTELRIIEFEKVESVSKGLQHLTSLQHLSIIECPEAIDLPEMLLPSLLRLIIVGCPNLKERSSKRGSYWPPVSRIPYTYIP >cds-PLY71517.1 pep primary_assembly:Lsat_Salinas_v7:7:28907746:28908484:-1 gene:gene-LSAT_7X22561 transcript:rna-gnl|WGS:NBSK|LSAT_7X22561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIVGFADNELLEYVANRSSKLRRLEISCCYEDPYESWIEALKKCPLLEELSICLTDIPKEAIETSGRCCPMLKTLKLNDNPLRNREFPRVANERAIAIGENLCELRHLELIGDNMSNIGLEVILDGCRHLESLELRACFYLDLEEGDPDPIGKIKCLDNNIECVKLPKDSLSGCIYREFLYNYDDRIKTFFGFLDDDDKLPNMEELRKTLASLSMLE >cds-PLY76697.1 pep primary_assembly:Lsat_Salinas_v7:3:140511945:140513671:1 gene:gene-LSAT_3X94261 transcript:rna-gnl|WGS:NBSK|LSAT_3X94261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFSNGDKASFFKILLNDSVDYLTLPTAFAKKFLENSNNKKQTLVLKPKSAVKWIVKYSKNEDKHYFKDGWLKFVNDNLLQVGDFLVFWLLSASPNSSFQVFFYEPNGCLKHPVSSSGSFRSILPTADRRLLLVDKVTAEVMRGGAGDGMIRCVKEETSNNHDEGDDDDDDSLQKVHKSIRKVLRKSYLHQLPMTMTFWKASRLNRYDSVRLRTNEGKIWKVGVSKYGKINFPNLTSGWYDFWKSNDIKKSNTLQFTHVKGNLLHVHVIKRHKGRTINKKTS >cds-PLY91388.1 pep primary_assembly:Lsat_Salinas_v7:8:46034397:46038216:1 gene:gene-LSAT_8X35820 transcript:rna-gnl|WGS:NBSK|LSAT_8X35820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQLTALIENKPFKSSKNYFQFPKNPPNETSDTLELDFSDTFGPLPLPAGNSEIPSDDPVVIYSRSHSLVGPTPCVSHLLNLRKLTICETDESLEDFSDVIDKEIEEEDSENGCKRNLIREATAFLLDVLKPNLPEHAHLQTKYIEATAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNDYIRDGTNMYDFTYVENVAHAHVCAERALASDGSASKRAAGEAYFITNMEPIKFWEFMSLILVGLGFEWYILDFWFVFKFLAKTLDVVQDIDWVISLGNAFAKQYELYTYDDEHSALLHRFLNCDSPLQLSECRLILVLD >cds-PLY88930.1 pep primary_assembly:Lsat_Salinas_v7:8:128622466:128625510:1 gene:gene-LSAT_8X89240 transcript:rna-gnl|WGS:NBSK|LSAT_8X89240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAEVAAPVSAQVVGNAFVQQYYHILHQSPGLVHKFYHDSSKIGRPEEDGTMSVTTTMDEINAKILSLNYEDMRAEIKSVDGQESLGGGVNVLVTGHLTGKDNIVRDFTQSFFLAPQDKGYFVLNDMFRYLDKPKHNEVSTEEAVVVDPITPEQETVAIPENNIPEEEAADSDVTEEPQPEKKIVSEENGEVAIVDEEVEVEDPVPEAVVDEVPVPTSSSVVAVEVNTKTEEAPKKSYASIVMDLKKQNGVPFSSPPPVMRRPQPRNQEQQPLNNAVANTTTVAPEPAAASNLLDATENGVHEEEGEGYSVYIKGLPMSATPAILEEEFKKFGAIKANGIQVRSNRGFCFGFVEFETPEAVQKAIEASPVPIGGRNSVVEEKRSTNSRGGSRGRFMVGRGGGGFRNEGVRGGRGNYGGGRGYNRGGGGDFGGSRNDYGYRSGGRGGAASNRGGNGNGNGNGYQRENNGGAARIMAQ >cds-PLY75200.1 pep primary_assembly:Lsat_Salinas_v7:2:204092707:204095465:1 gene:gene-LSAT_2X125840 transcript:rna-gnl|WGS:NBSK|LSAT_2X125840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNAVRFLIGECFSKPTTGGGGGGNWVNQQQAQGYQQQAQGYQQQSHGYHGVSPTSAGVSALGRDIHHFEMTSQVPEGLSKHVVSSKKAQSNWFSKLSEAFQQSNPPPNSPEEVSRLIVYTLKNHKKADVEGLLSYYGLPLPHSTVEVTTASQAPPVPHGLKFELNSLPVDAKSVADGDTVTVYVSTLDPREAANVPRDVHVAAAERARARAERNYTKADALHKQIISAGYRMIDNQNNEILARKYRIRLRGIDAPESAMPYGKEAKEELSKMVLGKCLKVYIFDEDRYGRCVGDIYCNGVFVQEMMLKKGLVWHYTAYDKRPELEKWEKDARAKRVGLWASAHPEKPWEWRKNRRDNK >cds-PLY64519.1 pep primary_assembly:Lsat_Salinas_v7:6:33634248:33639418:1 gene:gene-LSAT_6X25741 transcript:rna-gnl|WGS:NBSK|LSAT_6X25741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIEVGRSTGTGGDGSHNGSTMAEHGDSNDEGGGGESMGRSQSMKERASYLVWQDLRVMLPSSGDGPTKRLLHGLNGFAEPGRIMAIMGPSGSGKSTLLDALAGRLSKNVVMAGDVLLNGEKKKLTYGVVAYVTQEDVLMGTLSVRESITYLAHLRLPPTLTKEEVKDTIEGTILEMGLEDCADRLIGNWHLRGISGGEKKRLSIALEILVRPRVLFLDEPTSGLDSASAFFVVHALKSMARDGRTVISSIHQPSSEVFALFDDLYLLSGGETVYFGEAKEAIEFFAESGFPCPIKRNPSDHFLRCINSDFDVVTATLKGSLRIQEKQEESDPFTNMGTTQIKATLVEKYRWSKHAVKARARMKHLSTIRGPATKANTASHAGWWKQLDTLTRRSFVNMSRDMGYYWLRIIIYLVVSICVGTIFFDVGTSYTAILARGACGGFITGFMTFMSIGSFPSFIEDMKIFTRERLNGYYGVGVFILANFLSSFPFLVAVSLVTGTITWNMVKFNHGFSRYAFYCLNLFGSIAVIESCMMIVAALVPNFLFGLVVGAGVLGVMMMTSGFFRQLPDLPKPFWRYPVSYINYGSWAIQGGYKNDLLNLEFDGLSPGDPKTSGREVITKLFRMPLTHSKWWDLFAIYALLVSYRLTFFLVLKLKEKVLPFLQSMYAKRTVHRLKRRASFVKFPSSRRYQNLRTLSAQEGFSSPIP >cds-PLY62543.1 pep primary_assembly:Lsat_Salinas_v7:4:355583063:355587152:1 gene:gene-LSAT_4X175320 transcript:rna-gnl|WGS:NBSK|LSAT_4X175320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDETNENKDTDLQTGENNDLKRQLWRRFRPKLWFSSFWKKHSLDSKLNSTKTFLKANIIPASISLAMGICYLLLKSKGQKCKVAPYSDLLKGLQDGSVTRVQFKENSRRIMYNSNPQASQSTRIVKKEHSNNFKEMVASFLTNLSRKPEGLSLTESNSNQSRIDVEVKKRDNNHGWQFSTRYIDDDYRELLHLMKEKGTTYGLDPEPFYVSGGRRVFSTLLNQAPSWAMLSLVAHGLGGGGSIARKPSKNDEVTFDDVEGVDEAKAELLEIVSCLQGDSKYDNLGAKLPRGVLLVGPPGTGKTLLARAVAQKAGVPFFITCASEFVELFVGRGASRIRDLFKDARKCAPSIIFIDELDAVGLKRGRGFNTEGDQTLNQLLTEMDGFESDKKVVVIAATNRPEMLDSALLRAGRFSRKVFVREPDEDGRKRILGVHFRGVPLEEDKNVICDLVASITPGLVGADLANIVNDAALLAARRGGVCVSRDDVMEAVERAKLSFDNRQQHEEFSEQEAREIDLISSRLLGQSRFDY >cds-PLY77577.1 pep primary_assembly:Lsat_Salinas_v7:2:165175981:165179781:-1 gene:gene-LSAT_2X89501 transcript:rna-gnl|WGS:NBSK|LSAT_2X89501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 27 [Source:Projected from Arabidopsis thaliana (AT3G30300) UniProtKB/Swiss-Prot;Acc:Q8GZ81] MKGDAGGKMIIKSKLKWVGLVGLVLSALSLFTHFLLARYSYTEEGITEYQAITIFSWRPIFENADLSSNNKLYKRLWGPVRRLESLQPHAKPRAHYSVPVSESDRYIFVRIRGGFHEIRSSICDAVVIARLLNATLVIPEIQSTTSSKGISAQFKSFAYLYNEDQFMAALANDILVVKTLPKTLKGARRKKEIPSFKVLNSQSPYYYLHHVLPVLIRHSVVELVVADGGCLQATLPPDLEEYQRLRCRVAFHALTFRQEVQELATKILHRLRAPGRPFIAYDPGMTRDALAYHGCAELFQDVHTELIQHRRLWMLKRGIVKGNLTVDSNTQRLNGSCPLMPEEIGIILRAYGYSWDTIIYISGGEVFGGQKKLIPFHAIFENVVDRTSLTTSWELNRIYGREANLVDKYPKTPPSAEKEMRHEAWKTSGQRPRPLPPPPARPKSYHIEGWWGWVAESDNEPESTVIELRTNAHKLLWEAIDYMVCVEADVFVPGFDRDGKGRPNFASLVMGHRLYQSATAKSFRLDRKEIAKHMEEISDHLYEANHTWIKSVRSHLRESLLDRLTEESKASKSLSFLSFPVPECSCLRSESKGASTSQLPAAIKVARSCPAWMGKDVVSRPGDKENEDDLDEDDSGPFGLFFRQSGGSSNRNNGGGGGRGGGEMMSSKEETQMEDQEEMDGGDR >cds-PLY99295.1 pep primary_assembly:Lsat_Salinas_v7:3:93735455:93736342:-1 gene:gene-LSAT_3X70361 transcript:rna-gnl|WGS:NBSK|LSAT_3X70361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSSINHFRSISLPSRLTHPSCTKTEKKINELRASGKLVGSFESIQSSLVGLAELHVFVNEFVQAPKTQQALSHHQNETLLEAALEWSIGFLDTCSTLIDIILLMKENVNALQMALRRKGSDSTVASKIAAYLCFRKMAKKVVTKSLRTLKHLEKKICSFLFVDIDHHVSLVSKVLKETNALTISLFKSILIIVSTKPKRDNGVQLISKLLSKRTSAHKHDQFVLTEVETIELTLTLLHKNVRNGETKDVDVEMTLRRLQILGVGLEGLKVGLDHLYRRLIHSRVSLLNIVVC >cds-PLY74780.1 pep primary_assembly:Lsat_Salinas_v7:6:116058831:116072303:-1 gene:gene-LSAT_6X69720 transcript:rna-gnl|WGS:NBSK|LSAT_6X69720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEKTKDSVKEKKQTTDVVPYHKLFAFADYTDYVLMVVGTITAIGGGINMPLQTLLFGELIDTFGGTTDKNAIVHEVSKVSLKYVYLALGSGAAAFFQVVCWMVTGERQAARIRSIYLKTLLRQDVGFFDQENKTGEIIERMSSDTVIIQDAMGEKVGTFIQLASTFFGGFMIAFSKGWLLSIVLLSSIPLLVISAAFMIVVMEKLKSKGQTAYSLGATVVEQTISSIRTVASFTGEKQAIAKYDKSLKKAYRAGVQEGLVAGIGSGIFMLCVFCCYSLAIWYGGRLIIEKGYTGGQVINIMTVIFLSSFSLGQASPCMTAFASGRVAAFKLFQVIDRKSEIDPYDTSGKKLNDIRGDIELQNIVFSYPSRPEEPIFNGFSLRIPSGTTTALVGQSGSGKSTVISLIERFYDPQGGKVIIDAINIKEYNVRWLRGKISLVSQEPVLFSSSIKENIAYGKDGATVKEIKAAAKLANAAKFIKKFPKGLDTMVGDHGTHMSGGQKQRIAIARAILKDPRILLLDEATSALDAKSERVVQEALNRIMVNRTTIIVAHRLSTIQNSDVIAVIHNGKIVEKGSHSLLLKDPDGAYSQLIRLQELTKQSQNQDKDDQELPKITMASATHSSRHISVSRSIAHKSSNLSNSNHHSFNIPSTDFKEPIHNNQTDSSLLRLAYLNKPEFPLILLGSIAALVNGATLPIFGYLLSSIIKTFFEPAHKLRKDSEFWALMLLVLGFASLIATPLRMYFYGVAGCKLIRRIRLKCFKKLVQMEISWFDKLENSSGLIGAKLSSDAVSVRGLFGDTLSLLVQNTATAGCGLIIAFLANWQLALIVLLLLPLIGLNGYLQLKFITGFTADTKKLYEDASQVASDAVGSIRTVAAFCAEEKVMKVYEKKCEKPQKAGIQQGLVSGAGFGMSMLLLYLVYAISFYIGARFVEAGKTTFPKVFQTVALVGESGSGKSTVVSLLQRFYDVDSGRITLDGVDIRKLKVRWLRQQMGLVSQEPVLFNDTIRANIEYGKEGNATEAEIMAAAELANAHKFISSLHRGYDTNVGERGIQLSGGQKQRVAIARAIIKGPKILLLDEATSALDAESEKVVQDALDRVIVHRTTLVVAHRLSTIQGADVIAVVKNGVIAERGTHEKLINIKDGIYASLVAFHTISAAAL >cds-PLY78886.1 pep primary_assembly:Lsat_Salinas_v7:5:307677561:307678359:-1 gene:gene-LSAT_5X167041 transcript:rna-gnl|WGS:NBSK|LSAT_5X167041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHIRVIVPSSHSSILLHRRIPTHQGSSSPPLPPIYSNPLVSVFHRLFFVSPPLQKLLLRLSINLKMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY83009.1 pep primary_assembly:Lsat_Salinas_v7:1:19876426:19876776:-1 gene:gene-LSAT_1X17180 transcript:rna-gnl|WGS:NBSK|LSAT_1X17180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNLFKEMPSVKKKFVLDSRIVWVEISGLPLYAWTCSAFKKVDSLWGNLLFIDEDEESQEGSGRVCIKTTHMDKIPGKVQVQIEDEKFLVSVVEISDWIPTIQACEFEDRHEEEDD >cds-PLY88593.1 pep primary_assembly:Lsat_Salinas_v7:5:132359708:132361674:1 gene:gene-LSAT_5X55941 transcript:rna-gnl|WGS:NBSK|LSAT_5X55941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKCELSSTLKNLKLPNCGYTLIAVVGIKDPLRLGVKEAVEICLAAGITVCMVTGDNINTTRAIAKECGIVTEGGLAIEEPVFRAKSDPEKCELAPRIQDLPRTFPGHPALNDDWRNALRILLNAYARHYPSIHYCQTINFFAGLLTVLMPEDTLTICGRI >cds-PLY61876.1 pep primary_assembly:Lsat_Salinas_v7:6:57205580:57205885:-1 gene:gene-LSAT_6X42501 transcript:rna-gnl|WGS:NBSK|LSAT_6X42501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSAQRRQPLLLNGVDNETAEKAPTTSKVATGGDEKREEEDGDGEIAATLTTSPIDLVLADSKARRWRFGGNEVVAGAVFQLCCRLMQWLLPSSVGLQYFR >cds-PLY94552.1 pep primary_assembly:Lsat_Salinas_v7:2:156627826:156628893:1 gene:gene-LSAT_2X81901 transcript:rna-gnl|WGS:NBSK|LSAT_2X81901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLQSKDVQLVFEHRLTQIVIEFKEQGLNTELYNKNNDRGETYSISTVLEVKVIKDLGTTIDVVLVNGVLHEGDDIFVCGLQGSIHTTIRTLLTPHLMKELRVKGSYIHHKEIKAAQGIKITAQVMLVCKLFLQLDS >cds-PLY89295.1 pep primary_assembly:Lsat_Salinas_v7:2:49107394:49125893:1 gene:gene-LSAT_2X21960 transcript:rna-gnl|WGS:NBSK|LSAT_2X21960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQGLQMEATKINGSDNSKGNNSGNDSPLSSGGIKDYSGGSELVIHVSSGDVGATRNVVSAPVVRKKRGRPRKDKSNEKLRRPFVSPPTLHAKNLRPRPTINLVPSDCVACRGGRMQPPVSVHPPFVNSLCETNSYTTGMDFKAHVIEVSPGEDVTRKILALFQTGPRCISILSANGTIYNVMLRQPGCGSSDVLLKYEEQYEILRLSGSYTISEDGRIQTEGFNVLLACSDGHVIGGTLAGSLLAATPVQMVVGSFIPNDYKNKPKKKHQYGLRKPHSYQYLNTEAFTE >cds-PLY77058.1 pep primary_assembly:Lsat_Salinas_v7:1:159756457:159757451:1 gene:gene-LSAT_1X109500 transcript:rna-gnl|WGS:NBSK|LSAT_1X109500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTEKEETEFKAVPETLTLCINNCGVVGNPATNNMCQKCFNATTTSSSTTSTQRSTRGRSGSLRSPTRSTSRDVSVDLVVDRTVLMVDEQPKEKTTAKVVNRCSGCRKRVGLTGFRCRCGDLFCAEHRYSDRHDCTYDYKTAGREAIARDNPVVKAAKIVRI >cds-PLY67727.1 pep primary_assembly:Lsat_Salinas_v7:4:2510538:2512509:1 gene:gene-LSAT_4X360 transcript:rna-gnl|WGS:NBSK|LSAT_4X360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNYCLSLFTVVCIVICGSGIGGSAQSLADQCASKLPEVMTCVAFASGKESTPQKKCCDSVTEMKESNPACLCFLIQQIHNGTNPALKSMNIQEARLLQLPSACKIAGASISDCPKLLKLPPNSPDAAIFSNVTTTPTTSPATGGTTSSTSTSPSHGFKYEAPMFLGSAIVSLLMFYVLY >cds-PLY67586.1 pep primary_assembly:Lsat_Salinas_v7:4:352120003:352120332:-1 gene:gene-LSAT_4X173820 transcript:rna-gnl|WGS:NBSK|LSAT_4X173820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTHIPIYFTTIILSLSIILMIFKVSDGSPVSSTPISCNESSYAECQVVNEEQEFMLMMDTEGERRILGAKSYITYPVLEPGKPYGNGAGRYAKNNFRTCKIYEMCRIH >cds-PLY81165.1 pep primary_assembly:Lsat_Salinas_v7:9:21072659:21073747:-1 gene:gene-LSAT_9X20101 transcript:rna-gnl|WGS:NBSK|LSAT_9X20101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVGIAGEISPETLEKMFDICDVVLVDIQALIRDFEIDGTVKLMNLNETGFSHLLPRIGFLKASAEEAPYVDIEEARKSCCVVVTSGKDGCTVYCKDIELQISPFTTVQVDPTGAGDSFLGGLVAGLVHGLAVPDAALLGNFFGSLTVGQIGIPKFDSTLMQKVKDEVQRRKMQCDEHDDESRFKKPSDHEQFIASLCTAKLLTTDEVLDPDPSYANHQMLFLKNSVSVYEEPIKAMDP >cds-PLY86067.1 pep primary_assembly:Lsat_Salinas_v7:3:194931801:194933718:-1 gene:gene-LSAT_3X117901 transcript:rna-gnl|WGS:NBSK|LSAT_3X117901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLSQLSSCPCCIFSWKRVSYLGPRKKKYVDFLATYCALNQGHSHRKILIALIDQAQTLTLSSRAFYNDKFPVFPDITNMFGYDMVLPKITSSEGVESAIKLARKRGYEKKQFHEYQGIIFSCCGCFHGRTMAYLCRKRRTYTYKEKLQHVDKMK >cds-PLY89986.1 pep primary_assembly:Lsat_Salinas_v7:8:208858679:208859957:1 gene:gene-LSAT_8X131781 transcript:rna-gnl|WGS:NBSK|LSAT_8X131781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSQSFKQYQDKDVDLEAGGAPDGDSAELDNFFEDVENVKKDMAGIEKLYKSLQEANEESKTVHNANAMKNLRSKMDSDVSQVLKRVKVIKGKIEALDKANIANRKLPGCGPGSSADRTRTAVVSGLGKKLKSMMDDFQSLRTRMNEEYKETVGRRYFTITGEKANDELIENLISSGEGEDFMQKAIQDQGRGQIMDTISEIQERHDSVKEIEKNLMELHQIFLDMAALVDSQGQQLNDIESHVAHASSFVHRGTEQLVEARELQKNSRKCAMIAFFLVLLLLIMITYPIWFPMLIG >cds-PLY92116.1 pep primary_assembly:Lsat_Salinas_v7:9:192667543:192668286:1 gene:gene-LSAT_9X116201 transcript:rna-gnl|WGS:NBSK|LSAT_9X116201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSRYYPSSSSSSTASIKGCCCCLGLLFSFLALLSLAIILVIVLAIKPKKPQFDLQQVTVQYINFAATNSFTSAVDSPSSTSLSLVIGMVFTAKNDNIAGIKYRDSTFNIMYRGVPLGRGTVPGFYQAAHSVKKVQTTVSVDRVNLLQADAAELVTDASVNDRVELRIMGDVSAKIRVIGITSPSVQVSILPFIFLLELGHCVNGALLLAFCFTTSILVKPPHAFFANLRGFLGLHVVLSCSCSHLE >cds-PLY69109.1 pep primary_assembly:Lsat_Salinas_v7:8:119438762:119439988:1 gene:gene-LSAT_8X83280 transcript:rna-gnl|WGS:NBSK|LSAT_8X83280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRSWFGFLKRLLICKAQSTKEKGAKKSRWCFGMLKTSRHYHALETPDQAKVNDHKEEQKQHTLALTVAMTAAAEAAVAAANAAAELARLVRSPQDLEWRTRYEAAIKIQSFYRSHLARKALIGLRGVVKLQAVIRSQIVRRKFLNILKNTQRSKVHQIWVPTFDKICKIGIDKQDLCSPRMSIRRNGNVLEDPIKSNQMYETQKKLRFRDKNHIEEEHHSSPSFRSRMSNNGKRHYHLSPDRSDETSLPNSPVFPAYMATTESFMAKARSLSTPRRRISFLDHGYCTTNGSSTGMPMLSSSSSFNGGVKGNYRHMHLL >cds-PLY85681.1 pep primary_assembly:Lsat_Salinas_v7:7:158197789:158201688:-1 gene:gene-LSAT_7X93080 transcript:rna-gnl|WGS:NBSK|LSAT_7X93080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEIGLAATINILTAFAFLVAFAILRIQPINDRVYFPKWYLRGLRSNPLQSGAFVQKFVNLDFRSYLKFLNWMPAALRMPEPELIDHAGLDSVLYLRIYLTGLKIFVPIACLAFSVMVPVNFTNRTLEESKLTYSDIDKLSISNIPTGSNRFFTHIVMAYAFTFWTCYILKREYEIVASMRLHFIASENRRPDQFTVLVRNVPPDPDESVNELVEHFFLVNHPDHYLSHQVVYDANLLSDLVNEKKTKQNWLDYYQLKYNRNNQSKRPSVKTGYLGLWGKRVDAIDFYESEVEKLSKKIFKEREKITQSSKHVMAAAFVSFKTRWGAAVCAQTEQSRNPTIWLTEWAPEPRDVYWDNLAIPFVSLTIRRLVIAVAFFFLTFFFMIPIAFVQSLANIDGIVKAAPFLHDLLELEFIKSLIQGVLPGIALKIFLIVLPSILMMMSKFEGFTSISALERRSATRYYIFQFINVFLGSIITGTAFQQLNTFIHQSANTIPATIGASIPMKATFFITYIMVDGWAGVAGEILRLKPLIFYHLKNFLLVKTEKDREEAMNPGSLPFNTGEPQIQLYYLLGLVYASVTPILLPFIVVFFALAYVVYRHQIINVYNQQYESAGAFWPDVHGRIITALVVSQLLLMGLLSTKEAAQSTPLLIVLPVLTLWFHRFCKGRFEPAFVRYPLQEAMMKDTLERAREPSLNVKDYLQDAYVHPVFSNKDRWDGSDDGVSDDEWPKEPALVPTKRESRVNTPVRSQRSESSRTLLCVTDERSCP >cds-PLY97822.1 pep primary_assembly:Lsat_Salinas_v7:5:196897909:196898517:-1 gene:gene-LSAT_5X88060 transcript:rna-gnl|WGS:NBSK|LSAT_5X88060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAGSILRSSLSLGDSLDDLHRRSPHDLHRLPPQQPPIGFPCNNLVHFFKLLSIEIISRYCNRTSSRSFPLHLSASRIQLIVVSHDFNG >cds-PLY65550.1 pep primary_assembly:Lsat_Salinas_v7:9:143903009:143904148:-1 gene:gene-LSAT_0X33481 transcript:rna-gnl|WGS:NBSK|LSAT_0X33481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFCFDFFNYELMAWKKRKKRICFLFINLDKMGFDLDYLGLIIGMDDLGIIFVDVDEDLQGKFDSMKASNSTPVADHNENRVKRANFYENTRTKPQILHNNLMGRKWSILLLRRL >cds-PLY67338.1 pep primary_assembly:Lsat_Salinas_v7:4:19844479:19845724:-1 gene:gene-LSAT_4X13761 transcript:rna-gnl|WGS:NBSK|LSAT_4X13761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLTKHKKNQAAKGGGNRLLISVTVVGSAGPIRFVVNEEELVASVTDTALKSYAREGRLPVLGSNLNDFVLYCPISGTEALSPWDMIGSFGVRNFMLCKKPQKEEKGGGDGKPVAVGITRKSSGSWKAWFNKSLNLKVSSH >cds-PLY91659.1 pep primary_assembly:Lsat_Salinas_v7:8:11228308:11231111:1 gene:gene-LSAT_8X7660 transcript:rna-gnl|WGS:NBSK|LSAT_8X7660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFDGGKRVFNRLGPSQQHNDNRNQKVCYHWRAGNCNRFPCPFLHRELSAPANGTASSKRPHGFSTDDQRSTTARRGGGSNFNGPNTWGRGGGRGGNAIDNNNSSSKGAVFKKTEKICNFWVQGKCGYGDKCRYLHSWSSANCFAMLTQLEGHQKVVSGIALPAGSDKLYTGSKDETVRVWDCQSGQCAGVINLGGEVGCMLSEGPWMFVGLPNLVKAWNVQTSAELSLNGPVGQVYSLVVGSDLLFAGVQDGNILAWRYNVATNCFEPAASLQGHTSAVVTLVVGANRLYSGSMDKSIRVWNLENLQCLQTLTDHTSVVMSVLCWDQFLLSCSLDKTIKVWAATDSGNLEVTYTHTEEHGLLTLCGMHDLESKPVLLCACNDNTVRAYDLPSFAERGKIFAKQEIRSIHGGPNIFFTGDGTGEVRVWQWLADQTPQPSSA >cds-PLY85135.1 pep primary_assembly:Lsat_Salinas_v7:9:148300733:148301563:-1 gene:gene-LSAT_0X24721 transcript:rna-gnl|WGS:NBSK|LSAT_0X24721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKTIGSAAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKVGGSKPSADDE >cds-PLY80821.1 pep primary_assembly:Lsat_Salinas_v7:3:191061644:191067747:-1 gene:gene-LSAT_3X112641 transcript:rna-gnl|WGS:NBSK|LSAT_3X112641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNFALPLMYKPRVLFYGIPGGGLMLSLQILSIYMIFNLQWPINPCMSPATQHAAPSATGHRNPATIYPYDSPAINAPQIEAPPASKLRIHKFFIFFGVSIFITGLLSLITWRIWLSFIGYPQFHVETITVSNFSVSDEFLSGNWDAQFIVRNPNPKISVYCDHI >cds-PLY96916.1 pep primary_assembly:Lsat_Salinas_v7:4:9585776:9586301:-1 gene:gene-LSAT_4X6460 transcript:rna-gnl|WGS:NBSK|LSAT_4X6460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPHTAGRYQPRRFKKAQCPIIERLTNSLMMHRRNNGKNIMAVRIVKHAMEIIHLLTDANPIQVIVDAVINNGPREDATRIGSAGFVRRQVVDISPLRHVNQAIYLLTTGACESAFRNVKTIAECLADELINATKGSSNSYAIKKKDEIERVAKANR >cds-PLY77028.1 pep primary_assembly:Lsat_Salinas_v7:6:176942673:176946662:1 gene:gene-LSAT_6X106641 transcript:rna-gnl|WGS:NBSK|LSAT_6X106641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQGTENGEFSFPKTPTKGRNGLPKIQTRGGKKEDDQICHDDSVPPVKAQTIDELHSLQKKRSVPNTPIKGETQPAFNAISEEGRQKQQLESISASLASLTRETGPKVVRGDPDRKTETPRAQVTHQHRHVHAPTLSTSDSALKFTHILYNLSPAELYEQAIKYEKGSFITSSGALATLSGAKTGRSPRDKRVVRDETTEDELWWGKGSPNIEMDEHTFLVNRERAVDYLCSLDKVYVNDQFLNWDPVHRIKVRIVSARAYHSLFMHNMCIRPTAEELEEFGTPDFTIYNAGMFPCNRYTHYMTSSTSIDLNLGRREMVILGTQYAGEMKKGLFGVMHYLMPKRQILSLHSGCNMGKAGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSENGVSNIEGGCYAKCIDLSKEKEPDIFNAIKFGTVMENVVFDEHTREVDYSDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTNYGSGKRIKLAYTRKIIDAIHSGSLLEAEYTKTEIFGLEIPTAIEGVPSEILDPANTWPDKKAHLDALTKLGGLFKKNFEVFLDYKIGTDNNLAGEILAAGPNF >cds-PLY63433.1 pep primary_assembly:Lsat_Salinas_v7:7:147809156:147810398:1 gene:gene-LSAT_7X87881 transcript:rna-gnl|WGS:NBSK|LSAT_7X87881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIRAFTIHIMDFTVSNYGFLEQLTAFDSKLKLKNTGRPLLTRLNSPVITYGKSVGNVSHRKSVGGKVNLFRRHSVAIHFRSKSVAMYFRSYSVGIP >cds-PLY95293.1 pep primary_assembly:Lsat_Salinas_v7:4:299019779:299054732:1 gene:gene-LSAT_4X151760 transcript:rna-gnl|WGS:NBSK|LSAT_4X151760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLEKKANVVEILDSDDEDGDRSSRPEVQNNGTIESDDRRLENRSFWKAGVFDVGPVKWTPSQDELEHARVHPKFLHSNATSHKWAFGAIAELLDNAVDEINNGATFVKVDRIYSKRDNSPALLFLDDGGGMDPEGMRKCMSLGYSTKKSNNTIGQYGNGFKTSTMRLGADVIVFSRAFRKGKATQSVGLLSYTFLRKTGQDDVIVPMIDFDISKHWAEPLIYGSQDDWSTNLNTILEWSPFSSKDDLMQQFEDIGPHGTKVLIYNLWLNDEGIYELNFDEDDEDIKLRDEVSRLSKLSKKAAEIETHISKRLLHSLRAYVSMLYLKKFKNFKIFLRGEPVEQFNIADELKCKEVVIYRPHVSSMKEAIMETTLGFIKEAPALPITGFNIYHKNRLIRPFWKVTGDGNSKGNGIVGVLEANFIEPAHDKQDFERSSLFSRLELKLKQMQMDYWRTHCHLIGHLPDPSFLRKLEKTSSTLPLQTQGQRVDHSQSQTMPGLAVNPRPDLMANHARTVYNNGVPVARAPPGFDTGFDQEVTRGDGSVDIDQICDENIQLFMRCEEHTQRKNELTTTVNDLEKKVAETKRKCAELSLRLELLRGQNFVS >cds-PLY75596.1 pep primary_assembly:Lsat_Salinas_v7:9:32016128:32017874:1 gene:gene-LSAT_9X28680 transcript:rna-gnl|WGS:NBSK|LSAT_9X28680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGERGGFGGGERGGFGRGFGGRGGRGGDRGRGRRRGPRRDTEEEKWVPVTKLGRLVKDGKITKLEEVYLHSLPIKEHQIIDQILPSLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRLVPAPRGAGIVAARVPKKVLQMAGIDDVFTMSRGSTKTLGNFVKATFDCLLKTYGFLTPDFWRETRFSRSPFQEYTDLLAKPTTKAITYVEDVAEIPA >cds-PLY66101.1 pep primary_assembly:Lsat_Salinas_v7:1:109639927:109641314:-1 gene:gene-LSAT_1X85580 transcript:rna-gnl|WGS:NBSK|LSAT_1X85580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVGFTIDLIHRDSPLSPFYDPSLTVSRRVVAAFNRSFHRAQFFNSMLSGVTNIYPNPQLADYLMKISIGTPPQEIIGAADTGSDIIWTQCEPCSYCFNQKLPIYRPDNSSTYNSITCDSKSCMLVPMRSCTTSDNTCLYLVVYGDLSYSSGELATETVTLRSDTDGDSLAYPRVMFGCGHRNAGMFTDDQSGIIGLGGGPFSLITQMGSSIKGKFSYCLVQMFPHVERSSKMYFGDAAMVAGDGVVSTPILSGYGRETFYYLNFEGITVGGQRVDFYTTKPTSVEGNIIIDSGTSLTFFPEEFYNRLQLAVRHTMKDIVPVSPDRENNLRLCYNRLEVMADLPVMVAHFNGADLELDPMNSFVQIGNASICLAFAPVDGVVIFGNLAQMNFLVGYDLNKKTVSFKRTDCMKL >cds-PLY95016.1 pep primary_assembly:Lsat_Salinas_v7:5:227380823:227382774:1 gene:gene-LSAT_5X107140 transcript:rna-gnl|WGS:NBSK|LSAT_5X107140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLLQGYSSPEEEEDEQRYLNSSDDDEEDERRNQDISGDRTNSNNFKKALFDPPNPSASSSLPSALVAFSEISGPPQFLNNTVGDSAEKDTDVQLWRHGRRRNRRDKNDMPEGAVMQAKAQLVGIHERVSSDAGNNTQSKVSSTKRVATATNPTAQDAADLLRMCVKCGIPKTFSNARGMVCPVCGDRPVADTNTDETSKKKGSMIKDKEKNKRMKGQSSHATWKSETEMHLRQQFD >cds-PLY77392.1 pep primary_assembly:Lsat_Salinas_v7:7:9424975:9427113:-1 gene:gene-LSAT_7X9580 transcript:rna-gnl|WGS:NBSK|LSAT_7X9580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RAS associated with diabetes protein 51C [Source:Projected from Arabidopsis thaliana (AT2G45280) UniProtKB/TrEMBL;Acc:F4IW45] MEVLKSPLSASQKGKLISAGYTSINLLSSVSPSHLALELKISEAEALEILKTVSDGSRSESTSTSCTIVKGAQSAWDMLQEEETLVRITTSSSDFDNILGGGISCKEVTEIGGVPGIGKTQLGIQLAVNVQIPFDYGGLGGKAIYIDTEGSFMVERALQIAEACGDDMAEYSRLYRKGFHSHEVKIQPKDFLENIFYFRVCSYTEQIALINYLDKFITDHKDVKVVIIDSITFHFRQDFDDMALRTRLLGGMALKLMKLARKFGVAVIVGHMLQQIG >cds-PLY80183.1 pep primary_assembly:Lsat_Salinas_v7:8:189951151:189952131:-1 gene:gene-LSAT_8X123221 transcript:rna-gnl|WGS:NBSK|LSAT_8X123221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRIFHYLEAMLLILISISVFTTVSSRPIATGPEGNVAATSVILKSSDGEKFDVKLQVALQSEAIKQMIIDGGFSSKIELSFPNITGEIMAKVLDYCHKHVYYDGAGNNLTAVEEMKVFDTQFVDVHYETLFKLVLASNELKIKSLLDLVSGRIAIMIKGKEVEEIREMFNIKQGSCVDHFTKQDSVRENGWAFG >cds-PLY96300.1 pep primary_assembly:Lsat_Salinas_v7:8:156070530:156070910:-1 gene:gene-LSAT_8X104640 transcript:rna-gnl|WGS:NBSK|LSAT_8X104640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTARKSVPIPREVLRFEHPKAVPAPPALRDEHAEPRQPRPPVVGTSIMHAPMMTYQEQCSMEAMPRDLHDAHSKIAYHHHNISDISEVMDAVCGYAYFARWMEIRVMITSTIAGGLALILAVAVV >cds-PLY88314.1 pep primary_assembly:Lsat_Salinas_v7:3:86856835:86857269:1 gene:gene-LSAT_3X66581 transcript:rna-gnl|WGS:NBSK|LSAT_3X66581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSHRSDMYYLLEDAWRSYENNMHLKVVDENLDLNESEQEHVKKIMEIALTCTQSPVGIRPTMSEVVLMLSNERSLVRRMVGNKSTFITLDKKD >cds-PLY74251.1 pep primary_assembly:Lsat_Salinas_v7:1:78588459:78592541:1 gene:gene-LSAT_1X66080 transcript:rna-gnl|WGS:NBSK|LSAT_1X66080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT1G51340) UniProtKB/TrEMBL;Acc:A0A178W9H8] MAEKNDGCPCGNPTRFPFSIFFKDARSALKMDELGVEIAKIALPAALALTADPIASLVDTAFIGQIGATELAAVGVSIAVFNQVSRIAIFPLVSITTSFVAEEDTIMNSSHQMQGPTTNSENKKLLELHNIKSESLDEESIVTSYEKKRIPSASSAIIIGVVLGFLQAVFLINASKPILSIMGIKSDSPMLYPAQQYLKLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATGDVTNIILDPIFIFVFGLGVSGAAIAHVISQYLISVILFWRLIEKIDIVPPNSKHLQFGRFLKNGFLLLMRVTAVTFCVTLAASMAARQGSTTMAAFQVCLQVWLATSLLADGLAVAGQAIIASAFAKMDYEKVTATASRVLQLGVVLGVVLSILLGFGLHFGARLFTKEAGVLHLISIGIPFVAGTQPINALAFVFDGVNFGASDFAYAAYSMVLVAIISIISLFVASSHGFIGLWVALTLYMSMRALAGFWRIGTGTGPWCFLKGIT >cds-PLY95840.1 pep primary_assembly:Lsat_Salinas_v7:5:64545845:64547254:-1 gene:gene-LSAT_5X31321 transcript:rna-gnl|WGS:NBSK|LSAT_5X31321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVRSSVKKMCEFCRTVKRRGRVFVLCTANPKHKQRQGLSTISCDEGSSLTSRISEMQVARSDYQAPKYGIGGGLASVLVERKLPALFAGWRVSLASLLQTRAK >cds-PLY79036.1 pep primary_assembly:Lsat_Salinas_v7:3:9194674:9196339:1 gene:gene-LSAT_3X7921 transcript:rna-gnl|WGS:NBSK|LSAT_3X7921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENKRCSVVTGGNKGIGFEICRQLASNGIEVILTARNETRGIEAVKKLHDSGLPNILFHQLDINDPQSIARLAKFIETNFKKLDILINNAALIGIIMHEKEFKAGAGFVQVVDENVHLLAEVIEEPYELGEECLQTNYFATKSVTEALIPLLQLSNSPRIVNVTSTYGELSYFHNEKLKEELKDMENVTEERIEEIIQWFLRDFKASKLQENGWPLTVSAYKVSKAMLNAYTRLLAKKFPNFIINCVHPGYVETDMTVHTGFIKVEEGARAPVMAALLPDDGPSGVYFRQMEITPF >cds-PLY88034.1 pep primary_assembly:Lsat_Salinas_v7:MU040232.1:156258:158213:-1 gene:gene-LSAT_0X26880 transcript:rna-gnl|WGS:NBSK|LSAT_0X26880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSTQDLKSQPLWLLLPLVLAFLSLLKSSLQILNWVFVNFLRPAKNLKKYGSWALVTGPTDGIGKAFAFQLASKGLNLVLVGRNLDKLNDVSDSIRAKFKQTQIKIVVVDFSCDLDHGIERIKETVDGIDVGVLINNVGVCYPYARFFHEVDDKLMSDLIKVNVEGTTKVTNVVLTGMIKRKKGAIVNIGSGVAVVMPSSPLYALYAATKAYINQFSRCLYVEYKNSGIDVQCQVPLYVATKMSSRRRASLFVASTDAYAQAAIRFIGYEPQCMPYWQHSILWAFARLLPQYIIEAWWMGMCLGFRKRGQLKDSGKKNVHN >cds-PLY75444.1 pep primary_assembly:Lsat_Salinas_v7:7:73100221:73103870:-1 gene:gene-LSAT_7X53320 transcript:rna-gnl|WGS:NBSK|LSAT_7X53320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAGLNTILQTLTPEAATVLNHSIAEAGRRNHNQTTPLHVAATLLSAPTGFLRQACIRSHPNSSHPLQCRALELCFSVALERLPSATSSPAVTEPPVSNALMAALKRAQAHQRRGCPEQQQQPLLAVKVELEQLIISILDDPSVSRVMREASFSSPAVKATIEQSLNNNNISGGNHLSHSSLGVGFRPSSSPVTPVPIPINRNMYLNPRLQRNDETTVCSSSPTNLYLNPRLQQQQGNYSFNQSGHQRIDEAKRVMEIMSKSKKKNPILVGEFEPESIKKDILMRIQKGELPPEREFKNVEVISIDFPPDKSQIPAKIKELSDLIETNIRSFNDGGGGNRSIIIDVGDLKWLVEPQPTAVASDIGRESVAEMANLLAKFKAENSNLWLIGAASCETYLRCQVYHPSMENDWDLQALPISSRSPLSARIGTNGIFGTSFESLNSFKNFPTTKLQNSESARIPPCCPRCYHDYEQELAELKKQSPVEAKSNLPPWLKNAKAKNGESETEIETKMPHQSRVDDKELKKKWSDICSRIHPNHSQNPSFPRMATGFVPNVPVQTTYKPNRLLGQSQPGLQLEAPRSPVRTDLILGPKEAREDVPVKDLLGCISSEFQKGKFVNSAEADSFKKLLKGLMQKAWWQPEAASTIATTVTQCGSRGNVWLLFAGPDRVGKMKMASVLAEHVCGSDPITVCVGSSRDDDDVDLGFRGKTVLDRVVEAVRRNPFSVIVLSDIDEADMLVRGSIKRAMERGRLTDSHGREISLGNVIFVLTGNWSSNSADDHLLDEKRLQKAATGDWRLKLTVCEKRSKRRADWLPDGNTSVKQSKKARSEISLDLNLAVDHEEDRTDASDLTIEHGDENQHFSITSISVPHELVGPSDGVAVFKPVQLGFIRREIEKTIKNSFSNVVDEKVSIEVDDITLDNILSGLWFRQIVLQQWVEQVLIPSFQKLGEELPCSREELVVRLESERDSGHGNHGRDWMPSKINVIVDTI >cds-PLY94947.1 pep primary_assembly:Lsat_Salinas_v7:4:109881351:109889476:1 gene:gene-LSAT_4X70980 transcript:rna-gnl|WGS:NBSK|LSAT_4X70980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLFFWDHAEDDDGGEGRNERMKNGEWKNFIFEFFWDLIEEDDGDGDACSGLRQKAAGVVMVSSDEEGGYSGGYDDCVIRCKNGDAKTNPQRFLLIVTSGGLNQQRTGITDAVVAAYMLNSTLVIPELDNRSYWKDQSNFSDIFDVDWFITYLSKDVNVVKQLPTIDGKSLHPYRTRIPRRCDAECYESRVVPLLEKKKVVMLTKFDYRLANKLDTDLQKLRCRANYHALRYTNPIQEMGKKLVERMRMKSNRYIALHLRFESDMLAFSGCYFGGGDKERRELEQIRKRWETLHKQSPDRERRQGKCPLTPEEVGLMLRALGYGEDVHIYVASGEVYGGEDTLWPLKQLFPNVHSKESIATKEELAPFAPYSSRMAALDFIVCNESDVFVTNNNGNMAKMLAGRRRYFGYKPTIRPNAKKLYRLFLDRGNMTWEEFASRVRTHQIGFMGRPNESKPGKDEFHENPIACICEHFNNSRSLNESKNQSEIKHNNQDIQAKQSHRNESEVIVKEQSTNQQHNHSFTQHIKSENGIRVEELPRRNENCSDHSDVKLCHQTNP >cds-PLY91630.1 pep primary_assembly:Lsat_Salinas_v7:8:10186146:10193568:1 gene:gene-LSAT_8X7360 transcript:rna-gnl|WGS:NBSK|LSAT_8X7360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDFLKKFFSVVYRKTSKGDLDCNYCKYDNQGLQLFTSSLYLVRLTTTFFASYTTMKLERRLTMLIGGVFFIFRVIFNAAAQNLAMLVVGRILLGCGVGFANQSASSNVIGSGVVVAQNSGASGVIDSATAALEASRWSKAPAPLAYSRPHNRHNSHMKHTPMAEEDATVVPLRFAK >cds-PLY69822.1 pep primary_assembly:Lsat_Salinas_v7:6:4060457:4061824:1 gene:gene-LSAT_6X3301 transcript:rna-gnl|WGS:NBSK|LSAT_6X3301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMISSLIRFGRRQLHTIVSKEIIKPSSPTPSHLKTYNLSLLDQMSVNTFMPMVTFYPNTGIDRTSHDKTIDLKNSLSQTLTKYYPFAGRHAKVAPACVDCNDEGAEFLEASVDRTLSDFLQNSQHEDLDQFFPHGLVNDKSNRGDDDDLESDKVTPLAVQVNHFECGGVAVAVSLSHKIADACSLATLINDWAKMTRFCSGVEKHESPIIDPLFISLEYMNINYEGLSLERSNDCVTKSFMFPNSKINDLKLKVKTMTAESGNPITNPTRVEVLNWLLYKCAVKAAMKNNTSGSFKPTGVSHLTNIRSKMMEPLPENSMGNLFMIGDIVTNNESEMKPETFIGEFKMKKMEFQSLPNIATTFGLISQMCSKPALEEHQRKLSVSYICTSLCRYPAYEIDFGWGKPIKVAIAGDLRKNSFILMDSPNGDGIEAFVCLGKQDMEIIQSDPELLAFL >cds-PLY71437.1 pep primary_assembly:Lsat_Salinas_v7:8:42497458:42498408:1 gene:gene-LSAT_8X33401 transcript:rna-gnl|WGS:NBSK|LSAT_8X33401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKAVSFLSIVHFEAQDQISNLRSESDYYQALYMDYSLKVLDLCNLISSAIQQLTERRLLMNLGLRIINSPGQILSPEKLKKAKDALIRSVSHTQEISKEKGQRAKTLIEELTFAINSLPLGKTSNAKDLIRRTLHGLGVLTVFVAGVLVSVLYGESDAVEVRVPAEFLWAELVNGVQTQIYELIKPKQVSIGAEKKGWLLELEDTAKQVVAVCDVLDEVVSDGDEKHRGRLENDVKEMGNAAAKFSDVVNVLTNGIDDLFSSVLKTRNGVLDGVRKGTW >cds-PLY95580.1 pep primary_assembly:Lsat_Salinas_v7:6:138894100:138894852:-1 gene:gene-LSAT_6X83961 transcript:rna-gnl|WGS:NBSK|LSAT_6X83961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNYMETCLQKEQREDEKPQEQEKQESGKARGSYGMESGRVRVKLVLTKDELEWLLLQLKNKEGQRLEDVLGAIGKSRLEGKSVAGWKPSLESIMETPEVHHEMNRS >cds-PLY63411.1 pep primary_assembly:Lsat_Salinas_v7:7:149514002:149515222:1 gene:gene-LSAT_7X88540 transcript:rna-gnl|WGS:NBSK|LSAT_7X88540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKNYLRMSTDPTLSEAASKLINSDLKDIGEATRKLADHVIKLGVSGGFITTCLQWLACFAAIYLLILDRTNWRTKILTSLLVPYIFLTLPEWLFGILHGDIGKWITLVGVVLRLFFREHYPEYLELPGSLLLLVVVAPNFVAGYVRVGWIGVIVCLVIGCYLLQEHIRASGGFKNAFTKSNGISNTIGIVLLFVFPVWAIIGLIF >cds-PLY73270.1 pep primary_assembly:Lsat_Salinas_v7:3:164242390:164244141:1 gene:gene-LSAT_3X101901 transcript:rna-gnl|WGS:NBSK|LSAT_3X101901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCDNLELPPGFRFHPTDDELVMHYLCRKCASQPIYVPIIAEIDLYKFDPWQLPDMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPIGKPKPVGIKKALVFYAGKAPRGVKTNWIMHEYRLANVDRSAGKRNNNLRLDDWVLCRIYNKKGTLEKHYVNDNQFSDMEVETKPKITPYTPTPPPLQPPSTTVPHHVTNDVFHFDTSESIPTLHTDSSPEHEREVESDPKRRNDFQFNYIDPFQDDAFTPTPSQYYNDYQLSPLQDMFMYTPKPFQM >cds-PLY76561.1 pep primary_assembly:Lsat_Salinas_v7:8:91217221:91220658:1 gene:gene-LSAT_8X63840 transcript:rna-gnl|WGS:NBSK|LSAT_8X63840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVCESNQASDTRKIKNNGRIHRDVNSRYKSPTPRRFPSPNTKTTVTTSTSAPISKRAVSAGRRQPPAAATPPSPPSPSTPVHDTSIYTELAARKATGSSKLPESLWPSRMRSLNVAFQSTAFSMPISKKEKPPPQAMFDRTLKPSSNVGHKPTVTPPQPTYRKATPERNRSPLKGKNTIDHLENSKPFDRFDHHRWPNRTGSSNVLTKNIDPPDHEPVTPKSTNGNSRKPSSLSYNPQRISNLFSEKNSIRSQSPNKSSGIISRGVSPSRTRVLISPPPPPPPTAARGASPSRGVTSSTPTPSPQLRNSNTVSVLTFIADIKKGKKVANRIEDAHNLRLLYNRQVQWRFVNAGAEATLNSQKLTAERSLLNAQRTTSRLQDSVTAKRVNINQMKLQLKLYLILMTQTGYLNQWCSIERDHNFALHGAIEDLKASTLRLPVTGWATANIQSVKSAVYSAIQVMQSIGSSLQSTLSRLEGTNWVVSELATVAAQERALLDQCEALLTCASSLQVEEYTLRTHLIQLKQDLKSL >cds-PLY76540.1 pep primary_assembly:Lsat_Salinas_v7:8:90768875:90775538:-1 gene:gene-LSAT_8X63301 transcript:rna-gnl|WGS:NBSK|LSAT_8X63301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVATDLKSEIKKLMEKRSGLEAEMNVIIERLCQPGGPGLSGNLVDSEAITSYISLINYNQCNSIEFGFPRSDLDIPLVRADRHKLAELRNDHKDTTDKIGHKIELLHSARVTPKSSTAMDSGSNSQAVHDMSGGSISVMDVDVDVSRPFAMVDEISEESPAAEDGLQLGDQIVKFGNVEYGDNLLPKLASEAQMNQNRPVSMTVMRQGTSINLTVTPRTWRGRGLLGPVLGEYTSRSKRQSNEKKKSEVMVFVLAVELTSIITLDGSVFPRLSQC >cds-PLY65598.1 pep primary_assembly:Lsat_Salinas_v7:7:163805803:163806174:-1 gene:gene-LSAT_7X95461 transcript:rna-gnl|WGS:NBSK|LSAT_7X95461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRQGGKLMAAGGGGGGGGGASSIALLQERFRQLERMREKREEMEILKLFPEGQGQSQSQSQTNRYEPPPVRSSVQPRLCFQDSLSLGLNIYTKQTEPQKPAKKQPFIEFLSSKHEVDTSLHL >cds-PLY81550.1 pep primary_assembly:Lsat_Salinas_v7:2:126483473:126484506:1 gene:gene-LSAT_2X59000 transcript:rna-gnl|WGS:NBSK|LSAT_2X59000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCKRFESYFFDFAFCPLLFLTAAYISCLLFTAATITLLHRYEERRPTRTQEMAGVQRSEKKEIANQSVLKVGDGFYSFTSYSSVCEVTNDSATDRDKSTGIRRRPQSNSTTRKELDRSYRMNIFCQCLRCCVLILRPCLCFYCRYVVFPIALLLPEIRLSSSASNNCLPLPSYEIGTWTGHSKRTEKLAPSKSVLDSLKGLEADIQHAILYLRDLFCLRRADHARTLAFTDCLFF >cds-PLY94932.1 pep primary_assembly:Lsat_Salinas_v7:4:109242437:109242931:-1 gene:gene-LSAT_4X71421 transcript:rna-gnl|WGS:NBSK|LSAT_4X71421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTPSSSGSNAKKEELCDCQLPIRICTSKTKDNPNKKFKVCPNSLIDEAVTGNPDLEQELEAVKEDVACLKKKFQELKNKAHNYKGLNGLYGMY >cds-PLY85350.1 pep primary_assembly:Lsat_Salinas_v7:5:243468999:243469235:1 gene:gene-LSAT_5X120041 transcript:rna-gnl|WGS:NBSK|LSAT_5X120041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEINHWQPYINIYKAQNLLLRNLHERVKPERKEGICRRSKRRKVLKLQVELNLYGRMIRFLLIFVGLFVSFYRMIIS >cds-PLY95470.1 pep primary_assembly:Lsat_Salinas_v7:9:160565994:160569158:-1 gene:gene-LSAT_9X100881 transcript:rna-gnl|WGS:NBSK|LSAT_9X100881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNTAATGDGGSNGTLFKVVLLVRREKYDEALEYVERARKCLATKLAALGLLKENANASKYWAYGGDFGDTPNDLNFYLNGLIWPDRTPHPAVNDDKLFDASQYPFFGRPTTEKIEFRCLEEDEDNPSTGVPDDECHLFHREEEPGARSVLDLDDLSTIFSKV >cds-PLY92995.1 pep primary_assembly:Lsat_Salinas_v7:1:147278088:147280025:-1 gene:gene-LSAT_1X103541 transcript:rna-gnl|WGS:NBSK|LSAT_1X103541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPCGLPTVKRCKLLEIPMFGGAVSTTFPLRLEEVFVDPARDECLIFELLELKDDVEDNGSCTWFYLIQVTEQSAVFEAPELQFINLSAIITTVTAQMV >cds-PLY99365.1 pep primary_assembly:Lsat_Salinas_v7:7:145869300:145873722:1 gene:gene-LSAT_0X4280 transcript:rna-gnl|WGS:NBSK|LSAT_0X4280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRSIFDPFPEAVDLNQGSNSSNNASMDESDDWNNMLSPIERRLLNNDLSSDLNFSYVNNTNLHHNFRSFSNWDVGESSSRATLQDHVSNHDTRSQEHQHNPSTNVDSSSSNHIPMNVNLSIGYGGSNTDDDGMGFMDLYKSGKRKALEGTTTSGQSGQPSSLNISSTQVNPVVMNRNEQSNTRISSVQESPQTHFGMRGSVPYNLSSGNAPRRPNRQILINEFLDQTSRNNLSNQQPPLMLPRNTSHFPFGSNIGSRNGSLGNSGGYPLERGTELNFRSLIRNNNVQFHNFVPSSENRNIVQDPTNWSLATGSGSGASSSSRNGTVWTQHSNPTMQSQQRLSDFSPWTLFPSGESESGGQRGHFAPFPAGSSSSSSTSEDTHAHISSGVPSQRNHGQPFSRSPMEVPGDDWRALAADIEGRQRLVSEIRQVLHAMRRGENLRAEDYMLFDPFINGVAELHDRHRDMRLDVDNMSYEELLALEERIGNVNTGLSEEAILKSMKQKKHIAFMPISNQNLEPCCICQEEYDTGDNIGILDCGHDFHTDCIKQWLSQKNICPICKMTGLAP >cds-PLY68850.1 pep primary_assembly:Lsat_Salinas_v7:3:61301439:61303614:-1 gene:gene-LSAT_3X48840 transcript:rna-gnl|WGS:NBSK|LSAT_3X48840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQVAIVGAGISGLLACKYCLTKGFNPIVFESESGIGGVWAKTFKSTRLQAPKPLYQFSDFPWPPSVTDDFPTHQQMLDYFRSYAIHFDLIPHIKLHSRVKGISYDGPSSEPWSLWNSTGEPFPPNGKWNVTVENTETATNQVYTVDFVILCLGRFKDVPNIPEFPAGKGPEVFRGQAIHSMEYAAMDNEKAAEFVKGKRVVVVGFGKTGLDIARECSSINGPEHPCKIVYRRDHWKLPDWAPWGIPFTYLYFNRFSQLLVHKPGEGLLLNLIATLLSPLSWGISKLVENYIKKKLPINKYDMVPQHSFSKDTRACLITYMPNPDEFFDEVEKGSIKLKKTQSFSFYDNGISIEDDKTRIEADIVIFATGFKGVEKLKNIFESSTFQKFISGSPRVPLYRECIHPRIPQLAIIGFSEGLSNLYVSEMRSKWVAALLEGAFKLPSVDEMQKDIARWDEYMKQTTGEYHYRSSVGALEIWYNDQLCRDMGMNPMRKNGGLANLFEPYGPMDYA >cds-PLY62597.1 pep primary_assembly:Lsat_Salinas_v7:9:76459494:76461810:1 gene:gene-LSAT_9X60841 transcript:rna-gnl|WGS:NBSK|LSAT_9X60841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLWRFLLQFISLLIIRRLIVIRSVSAVCEYSITHENTHYNFNLVAPSKQFPHGVLSEDGYYKVSSNGTVVWFQLCDAMIFNHDPPRCFDCWECGGGSSHCGMGCSALMSNVISGYPVCITMGQTPTITIDLIDKRNPQMGLIVKMKHNSPHMSCSLSVSVVCDSNQVQVPQTLERVGGCSYATQLRHPSGCAIIESTNSNGLGWFVTLLIIILCLFGSYLIAGATYRFFYLHIRGIDILPNLEFWDSLPHRAQSAFMCLVGKFKGHSEGYQSFDPPVDF >cds-PLY81089.1 pep primary_assembly:Lsat_Salinas_v7:6:132101765:132106086:1 gene:gene-LSAT_6X80221 transcript:rna-gnl|WGS:NBSK|LSAT_6X80221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAEGGNDPNLYSTNNFIGRQIWEFDPSHGTPEDRAAVEEARTEFWNHRHRVKPSSDVLWRMQMERDSTPLHRAAKLLINSQLENGDFPQQVTKNKLNQVKLNEKMKKGVTFIIFVYIIQEITGVFMKNCMLHYALYRNIYPMWALADYRKKVLLKI >cds-PLY76946.1 pep primary_assembly:Lsat_Salinas_v7:7:52094835:52096281:1 gene:gene-LSAT_7X39100 transcript:rna-gnl|WGS:NBSK|LSAT_7X39100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVRTVKVINVSLSASEQDIKEFFSFSGDIEYIEMKSENERAQNAFVTFKEPQGAETAVLLSGATIVDQSVTIVLAPEYTLPPFTTTLSDQQNTATGGQGVGGAESAVQKAEDVVSSMLAKGFILGKDAVNKAKLFDEKVQFTSTAAAKAATIDQKIGLTEKINLGTTLVNEKVKEMDQKFQVSEKTKTAFATAEQTVSVAGSALMKNRYVLTGTAWVAGAFSRVTKAAGEVGQKTMEKVAVEEQAAGTGTTRTTHQEPPPTSTHPATS >cds-PLY69819.1 pep primary_assembly:Lsat_Salinas_v7:6:4016311:4019035:-1 gene:gene-LSAT_6X3380 transcript:rna-gnl|WGS:NBSK|LSAT_6X3380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIEPFNKLVKLVARAFYDDITAKGDNQHQPKSGRSDNRGIAVVVLDALTRRQWVHEEDLAKDLKLHLKQLRRTLQFFEEEKLVSRVHMKETAKGAKAYSAAAADSHPGREGDEKVKYHTHSYCCLDYAQIHDVVRYRLHRMKKKLKDELDNKNTVQEYVCPKCGKRYNALDAIQLICFEDDSFHCEICNTELVAESDKLASEQDLGDGDDNARRRRREKLRDLLQKLEMELTPLIDQLGRLKDLEAPDYGTLETWKARARAVARASNANEYDPTTNGHGQGGTRMPFLGETKVEVAFCGEEEKGEIKCEDEDEAMKVLPPWMIKQGMNLTNEQRGGVNQQESKMEGGTSSAAAAIDFKDDNKLTSQQDDVKNLQDEYFKAYYAALLERQKEHEQGMKKEQYLSKNNNNSADVEALNSRKRQRDDDVEGDVEWEETPTADETFKVDLNVEATEPSGDDDDDGIDWEEG >cds-PLY79278.1 pep primary_assembly:Lsat_Salinas_v7:9:5548996:5550610:1 gene:gene-LSAT_9X1021 transcript:rna-gnl|WGS:NBSK|LSAT_9X1021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESEANQPTEPLVPRDGGEEHLKYLDFVQSAVIYFVVCFSTVYEYAKENAGPLKPGVHTVESTVKTVIGPVCERFHDVPYELLKFIDLKVDEALKELNRHVPSSMKQAPSQAKYVANNFPEVARDLALEAFKTARKTANTLYIKYEPTAKELYKSYEPVAEQYAVSAWRSMHKLPVFPQVAQVAVPTAAFVAEKYNYVVCFTAEKGYLVAQYLPLIPIEKIAKVFQEGHHEPTVGQAIHVDT >cds-PLY98632.1 pep primary_assembly:Lsat_Salinas_v7:1:36896174:36898620:1 gene:gene-LSAT_1X32141 transcript:rna-gnl|WGS:NBSK|LSAT_1X32141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSFFGLAVFLFLTLDSDYTSTPVYAATSEGVEITYGSVLKLMHEKTRFRLHSHDVPYGSGSGQQSVTGFPNVDDANSYWIVRASPETSAKQGDSIKSGTIIRMQHMKTRRWLHSHLHASPISGNLEVSCFGDDGNSDTGDYWRIEIEGSGKTWRQDQRIRLRHVDTGGYLHSHNKKYSRIAGGQQEVCGVREKRADNVWLAVEGVYLPVSGSK >cds-PLY94639.1 pep primary_assembly:Lsat_Salinas_v7:1:41345231:41347299:-1 gene:gene-LSAT_1X36801 transcript:rna-gnl|WGS:NBSK|LSAT_1X36801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFRLQKPFHVLQSLMLLVFASQLQKPSYANTAPLNCTETSRLCTSFLAFSLSPNQTLAVIMSMFDVLPQDVTVEGNGRDYIFVRKNCSCSNSPVPKYLTNTTYTVRKDGRSLYRMVVDAYGGLGYLPYFTRPARAGAVVSLQLFCGCSNGLWNYLMSYVMKEGDSIELLASRFGVSMDSIETVNGIENSDNVTVGALYYIPLNSVPGEPYPIENDTPAPAPPSLFVAGNHKARVPYGWIVASLMVGLALIIAVTGFLVYSRSSICFDDAQGSHGKDSKIEKISRKFRILQTTSFCCGSRKDDWRENVGESSERHMNVPKVIGTDVFDVEKPVVFLYEEIFSATDGFLESNLLGLGTYGAVYHGLLRDQEVAIKRMTETKTKEFMAEMKVLCKVHHTNLVELIGYAASDDELFLIYEYAQKGSLKNHLHEPQNKGNTLRPVRSPNMKSLHSK >cds-PLY85120.1 pep primary_assembly:Lsat_Salinas_v7:8:110034223:110035852:1 gene:gene-LSAT_8X75820 transcript:rna-gnl|WGS:NBSK|LSAT_8X75820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSGTPFLDHHNWHQQLQQSSHQQGSGGGGENPNFQSPLAPPQHGGSGGGEGSIRPGSMVDRARLAKLPMPEPGLNCPRCDSTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSNKSSRRSKSPGQKVAKSMNGSPSSRYTTGHIATSNQHPHPSSLQLPFMSSFGGISGNITSNIDGLHPQNGMGNFQFGSEGSNGNNFSNILSIGSGESWRLPFLAGFEAPNNTNLFHYQSEGGVAPPASSMIENPHADPPVKVEETRGLNLSRQLLGISENANQQPWSGSNTWAEFSGVNTSSTPTTHFI >cds-PLY62358.1 pep primary_assembly:Lsat_Salinas_v7:8:113665264:113667675:-1 gene:gene-LSAT_8X78400 transcript:rna-gnl|WGS:NBSK|LSAT_8X78400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLSIVHEDLVTDFRGDVEESATSNSSVVNAEGCNSSNVVDGGDEDSVSAIGDEDSFHCFNFDILKVGDTGALLSNSNDEDRTSQTQAQTRSEFVTRSLFPMSHGGGSFNQGQQMNSLLLFPNNKELQEMRSIPVQQQKPTIQQSQVGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHSAARAYDRAAIKFRGVDADINFNLCDYEEDMNQQIKNLSKEEFVHLLRRHSNGFSRGNSKYRGLTINKFGKGETFSATHFGNDHNLDLNLGISTPTSLGKTSTRNGNLDHMQQLNHGLHDIRRLQTDNGATKTLKGLPMISDRRPPWSAMHPTFLPHHEVGTRNSGMINGGCFQGPTNWAWQLHGQNSQLRQPQTLWITTTR >cds-PLY70064.1 pep primary_assembly:Lsat_Salinas_v7:MU044203.1:8112:8855:1 gene:gene-LSAT_0X43740 transcript:rna-gnl|WGS:NBSK|LSAT_0X43740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILIKMKIILLRHMNQKYKKCLIVFERMKEKLNSKLNDAMTKFPEKESFRIFKEKMKNMIVEEKTESTTLFNFPNKEGNGEEDSDNDASQPEVDYLLDSNEAENEGIKNDADKNLKEGEIGVKEKDGKRNENENDEEEKDDYAEETNNHEESIQQTENENLLDKVVDNIVDNVLGVGILSLNSQEDEIWNDP >cds-PLY96098.1 pep primary_assembly:Lsat_Salinas_v7:3:96766483:96767134:-1 gene:gene-LSAT_3X72341 transcript:rna-gnl|WGS:NBSK|LSAT_3X72341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYNKFMQSDLIDTSKSVPRLSINDYHLNLHRPAIRNHFCGKLKHTISPLYLTLMSLEEPPYQRHSLYKSEIATRSMALDPVCILHPV >cds-PLY87672.1 pep primary_assembly:Lsat_Salinas_v7:6:46332485:46334843:-1 gene:gene-LSAT_6X34261 transcript:rna-gnl|WGS:NBSK|LSAT_6X34261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESDIQPLKKMLKKSCECGYNCSSPTVNGSCTRTWIRSVKRKLDELEVEREKFMILCPQVAHIEIENECGALREMVNNQQKSIRDLSVELEEERNAASSAANEAMSMILRLQREKAEIEMEARQFKRFSEQKTSHDQHEIAVLEDLLYKREQTIQSLTCEVQAYKYRMMSYGLTESEAEGEKYVITSSNSIAAHSENQFDFLPFEYPPLKCNSNEHEHEEHQHDQHEYVHEHHVYQESEKEAIDIDKYAFGETPRSLKDIEQRINQLEQPVLDKVIVGHSPRKPKLSTRFSLDSSGSFYATIKEERHDHMMNQNQTQQDYNKKVDSVSEYGSEMSDRIYTVDSIHYQKGNNMGTCNDDYMSVVKESTCHADVQDPEVMKLYARLHALEADRESMRQAIINMRTDKAQLVLLKEIAQNLCKDMSPAGRIPAYKRSVLGNLYVDSVFKWVTSIVLWRGRSRETKYIFGMSAKNAGMLVLLDKGPQVGQWRCLSRTQL >cds-PLY89233.1 pep primary_assembly:Lsat_Salinas_v7:5:310912398:310915182:1 gene:gene-LSAT_5X169781 transcript:rna-gnl|WGS:NBSK|LSAT_5X169781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQNGQGIEPALLDDIINRLLEFRQARTVRQVQLSESEIRQLCTASREIFLQQPNLLELEAPIKLCGDIHGQYGDLLRLFEYGGFPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTDCFNCLPAAALIDDKILCMHGGLSPDLTNLDQIRNLTRPTDVPDSGLLCDLLWSDPSRDVKGWGMSDRGVSYTFGSDKVAEFLMQHDMDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPADRKPRFL >cds-PLY88554.1 pep primary_assembly:Lsat_Salinas_v7:7:8027434:8031079:-1 gene:gene-LSAT_7X7481 transcript:rna-gnl|WGS:NBSK|LSAT_7X7481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTGTDFTSGRRVAAVNLEQHNQSKSHRSIFEVPSDFFDSCGLLEYPSTSSTAFIPEFAATWTTSTSSKENTVLEAESEKDNNVSSSERLTCNTCKSSFESLQDQRSHFKSDFHRFNLKLAVAGKDIVKEDDFDEWTCNSLLVQDYDVSSISGSDEEDDRESSLRNDMNKGLLGSTKSKIFVRLANGEMVSFWKCLLLEDNVKILFESMEDGGMPCVTKKEVIERLHDVIHESRDNTRFRVMLLASGGHFAGCVFDGNSVVVHKTFHRYVIRAKSGKKQSSKDASGKIAHSAGASIRRHNELALKKEIRELLTSWKPYFEGSSCIFIHAPSDNRQLLFEGETPLFSGHKSGIRRIPLTVRRPTFKEARRLYNILTQISTEAEEQAAAPAPIVINKDKQVSRSDKLENPHKGVADSNNVEDLCVSTSSDKESVVLIETPLHEAAKAGDAEKVVELLEQGCDPCVVDERGRTAYMVANEKEVRNTFRRFMALNLDKWDWQAAKVPSPLTREMEESQNAKQAEKDAKRKARAKELKKLRKAKEKKTQAEAAELEKASSSHSQSQRPKLTKEEEAKRAQDEEREKRAAAAERRIAALALQSTSSSTESDMLCSCCHVSLAGKVPFHRYNYKYCTTTCMHLHKEILDDQ >cds-PLY62947.1 pep primary_assembly:Lsat_Salinas_v7:2:212396825:212401296:1 gene:gene-LSAT_2X132181 transcript:rna-gnl|WGS:NBSK|LSAT_2X132181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKLWLLFLFLIFATTNLCFGCLEEERQALLQFKHSLAPKPSGRLSSWKGNKCCEWQGIGCDNATGHVTMLDLGSNISSSYMQLEGNELNSNLVELTHLSYMDLSGVLFRSIPIPDFIGSMTQLRYLYLYFAGFSGIIPHGIGNLSSLRELDLSGNQLTGSIPTSFGNLVMLRKLDLSLNLLNGPIPFSLGRLSNLEILYLNSNSLSEIPLSIGNLSQLQFLDLSKNLLQIPLPDTIGQLSKLEFLDISNTSLSGVITEAHFANTSMLKHLDARWNHMLSFTISSDWNPPFQIRNILLESCKIESEFPSWIRMQRSLVILNLSNTSIFGALPVWLRDLPIIMILDLSHNFLNGPLTNLPSNRTTESFTTFPFTERLVGYGRGSALLVLRNNLFNGSIPDSLCYATKIYVLDLSKNMLSGTLPDCIGDLLELNCIVLSSNRLSGVIPSSLGNLGSSLNWLQLNNNSFHGELPETLANCTDLDLLDLGENQFSGSIPKWLGEKVMFMRVLRLHKNNFTGPIPLELCESSELQIMDLGDNNLTGTIPFCFQKLSGMTGGYSDMDFLGRFEQSLTQILRFLQFLYLISKKPGKKCKYWEWIDEPVTGNPNLKQELEAVKEDVACLKKEVQELKNKAHSYRVEIGCNII >cds-PLY88011.1 pep primary_assembly:Lsat_Salinas_v7:MU040244.1:1237164:1237926:-1 gene:gene-LSAT_0X1820 transcript:rna-gnl|WGS:NBSK|LSAT_0X1820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSEVFEGDQSVAVAKVTTELKKELNRLVKAIIEDSSSEDENGFGCFERANQTLQALKESKEGGGKQRSSSIKRLNDNNRNNNESSTSVWASCYPLYLSGPLSTLDSNKSLIGQKGALKPLIDLLEEGHPLAMKDVASAIFNSSITHENKAILAMLSSNQKAVEEMGDLGAVSCLLSFL >cds-PLY94165.1 pep primary_assembly:Lsat_Salinas_v7:5:32714030:32716827:1 gene:gene-LSAT_5X15880 transcript:rna-gnl|WGS:NBSK|LSAT_5X15880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPLTCSNYTRTCNSYLYHISKGQNLKQISSLYSVNTSKITPINHNSNIDYLVSVQCSCKKDNSSSDGYYLYDTVYKQKPARESVEYISNEYYSGQVWNVSGENEELNVRLVCGCLENESNKEVVTYTVQSGDTMLAISKLLSAKEVEVENMNRVLTKDPNSIDIGWVLFVPQEKNKIRDSKPRKMKAWMIIVFIVLALVLVLVVLSLLFLFKKRQDHGIKKDEPKSMRTNLNAEKKSLEDHFLNIDMEEATTSIESEKPVIISLEEIEEATNNFDQARKIGEGGFGKVYFGIIRGREAAIKKMRSSKSKEFFAELKVLCRIHHNNVVQLLGYASGDSHLYLVYEYVQNGTLSEHLQDPLLKGHQPLSWTARANIALDAARGIEYIHDHTKARYVHRDIKTSNILLDLGLKAKVADFGLTKFVERANEEDFIATKVVGTPGYLAPESICEMQTTSKTDVFAFGVVLAELITGQQALARDKHDPKKLKTLVAVFRAIFQDQDSGAALEAQIDTNLKGSYPIEEANKMAETAMLCLSEDPCNRPEMRNVVTMLAQIVMSSIEWEASLGGTSQVFSGLFNGR >cds-PLY94110.1 pep primary_assembly:Lsat_Salinas_v7:8:29851967:29853096:-1 gene:gene-LSAT_8X24181 transcript:rna-gnl|WGS:NBSK|LSAT_8X24181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPQPQIQNSITTSSMDAQDHNQDLHLDLDNGNNLDLDLDDQHNNNTNNLDHDLHNDNNLDLDDEHNINTDNLDLDLDRDTDGDDLDADDNDMNGENMLSYEQNPLYCTDNNPPESFSICQAKVADWLEHHTFIERKASVKFAVVTKNQDSTQTRSTHRSMTTLNQRPKLSIIGFRKKQIHQTDGKSKHNDKPENIRLFRNRSEPGRDYCLLITEPVSPKVSCVGRVGSVRVRGRKAGVWRSVKAAFSNLVQTNRVMKIIA >cds-PLY76764.1 pep primary_assembly:Lsat_Salinas_v7:7:98976461:98977625:1 gene:gene-LSAT_7X67201 transcript:rna-gnl|WGS:NBSK|LSAT_7X67201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARPTPTSLHLLFLGILILSSGNILSDCARVFTITNDCDETIWPAITPGESFGGGGYALKSKESRVHTAPVGWSGRIWGRTKCSFDSSGNGTCLTGRCGSSLQCTASGENPSTLAELTLTRLEFYDVSLVDGFNLPMSIRPVNGKGNCSVAGCVGDLRQKCPSELSVKAGGKVVACRSACDVFNTDEYCCRGIYGSAATCKPTYYSKKFKNACPTSYSYVYDDPSSIFTCTGADYIITFCASK >cds-PLY73633.1 pep primary_assembly:Lsat_Salinas_v7:5:202686355:202688169:1 gene:gene-LSAT_5X94401 transcript:rna-gnl|WGS:NBSK|LSAT_5X94401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKIPGLEVARRRRFHGWSDSSFTINSALGYTRSRVSYHTHLTSTPCSHRSSDEDGKLGCVARKAKQRLDGKLRGHWKSEISSQEKSEIETKPSNCMEVGDMQMEIFGLKKNGSKRFSWGILGLNWKSSDQNECAVCLEKFKFNEKLTRMPCAHRFHSMCLLPWLKSNAHCPCCRTSVLGSN >cds-PLY99034.1 pep primary_assembly:Lsat_Salinas_v7:6:148463341:148466847:1 gene:gene-LSAT_6X89561 transcript:rna-gnl|WGS:NBSK|LSAT_6X89561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSYCLKFIIIISIAVFIFAYSRYPPENLGCSTSTPPWCTKTHRKTNLRSTSIFDSGKPNSNHYFADTPLHPLDPLTIPEINKVRSILTGYEPFSSTFPSINSLSLDEPEKIQVVGWKTGDPFPLRRASVIAFLNGQTHLVTVDLGMGLVTDHVVNTGSGYPMLTNDDLATALQLTYSNLEFNKSILARGVDLNDLACIPPSSGWFGPDEEGKRIVKVQCFSNQDTPNFYMRPIEGLTITVDIDKKKVIKITDTGRGIPIPKATHTDYTYTTKSPFYDMDPMTNPMSMEQPKGPSFTINGHIVKWANWVFHLKPDIRAGMIISQAMIQVKDGEYRSVMYKGFASELFVPYMDPDQSWYFKSYMDAGEFGLGANAMALVELNDCPRYSYYMDGVFASANGRPIIQPNMICIFERYAGDIGWRHSEIPLMGLDIRESRPKVTLVARMAASVGNYDYIFDWEFQTDGLIRIKVGLSGMLMVKATPYENIHNISNTNDMTGTLVSENVIGVVHDHFISFHLDMDIDGANNSFAEVNLVKEETLSGQSPRKSYIKAKRKIAKTEDDAKIKLKLYDPSEFHVFNPSRISRLGNPTGYKIVPSGIAASLLDLDDPPQIRGAFTNNQIWVTLHNKSEQWAGGLLVSQSKGEDTLATWSARNRDIENKDIVLWYTLGFHHIPCQEDFPVMPTVSSSFELKPVNFFDRNPIMGVKPIFQKDLPVCSVASS >cds-PLY84042.1 pep primary_assembly:Lsat_Salinas_v7:6:190624110:190625864:-1 gene:gene-LSAT_6X116101 transcript:rna-gnl|WGS:NBSK|LSAT_6X116101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKGLDSVEPNIAAGGPPPVGAKQANLTPVIKDIITQFAYQEIGHLRAIKKVVEGFARPLLKLSSESFATVINDAFGKPLSPPFDPYANDINYLISCYVIPYVGLTGYVGTNPKLQSPVSRKLVAGLLGVESGQDAVIRSLLYERAKEKVVPYGMSVAEFTDKISELRNKLGNNGLKDEGLKVPSEIGAEKKIKGNILAGDKDSLAYGRSPREILRIVYGTGKEQVPGGFYPNGGNGALAKRYLKGGKK >cds-PLY73848.1 pep primary_assembly:Lsat_Salinas_v7:9:121829828:121834062:1 gene:gene-LSAT_9X81160 transcript:rna-gnl|WGS:NBSK|LSAT_9X81160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADAGAHQSSRSRDLDKLLLRPGHLVGPAFEPGTELRDDIQEYARILVVGAGGLGCELLKDLALSGFRNLEVIDMDRIEVSNLNRQFLFRLEDVGKPKAEVAAKRVMERVSGVNIVPHFCRIEDKLDEFYSDFMIIVLGLDSIEARSYINEVACSFLEYDSNGNPREETMKPMVDGGTEGFKGHARVILPGITPCFQCTIWLFPPQVKYPLCTLAETPRTAPHCIEYAHLIKWDEVHSGKTFDPDNPQHMQWALKRAALFSIPGVTYTLTQGVVKNIIPAIASTNAIISAACALETLKIASGCSKTLSNYLTYNGVEGLHTKVTEFVRDKECLMCGPGIFMELETSDTLKMLMDKLGDEPQLLMSGVSVKHGGKTLYMQAPPELEAMTRSNLSISLFKLMEESPKGILHVTGTAGKPGKRQSCSTKLRVAFKGVAGIKDTDMAGGA >cds-PLY98524.1 pep primary_assembly:Lsat_Salinas_v7:1:37439617:37440238:1 gene:gene-LSAT_1X31681 transcript:rna-gnl|WGS:NBSK|LSAT_1X31681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMFQKQLRGRRYKEPLLKSTRGLKDGLFNKSLSMSNIGLDDRREVNAEIESVSQMMESLETHEHKTQDHDHDHDQDPASNDNNTPVASN >cds-PLY76868.1 pep primary_assembly:Lsat_Salinas_v7:3:5944409:5947443:-1 gene:gene-LSAT_3X3580 transcript:rna-gnl|WGS:NBSK|LSAT_3X3580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTNTAXXXXXXXXXXXTAAATTTTTQQPQSPPANTAIAAATQLVPVPSTELTTDEMAVKAVHKRYEGLMMVRTKAIKGKGAWYWAHLEPVLVRNQDTGLPKAVKLRCSLCEALFSASNPSRTASEHLKRGTCPNFNSESSPNPISSISPAGMVNLSSPTSSSSPQPLQNHRKRNSSGNRRATGFKINNNSANPSETTYSVAPITMIEPARFSVDVSYPTRPNSMPAFSSAATVVTVSSGGMYSSHNHHHNHHQQQPQHVMLSGGKEDLGALAMFEDSVKKLKSPKSSPGQTLTKAQIESSLELLANWVYESCGSVSFSSLEHPKFKNFLNQIGLPAITRRDLAGERLDSKYEEAKTESEARIRDAMFFQISSDGWKSKSNHHSGEFENLVNLSVNLPNGTSVFRRGVFTGGYVFSKYAEDILWETISDICGNNFQQCVGIVSDKFRSKALRNLENQHHWMINLSCQFQGVNKLIKDFSKELPLFHKVTDNCIKVANFVNTNSQIRHSFLKYQLQEYGRAALLRVPFCGSGRVDFEPVFNMVEDILSSARALQLVVLDESYKMLSMEDQTGKEIEEMMRSHFWNELEAVLSLVRLIKGMAQEIEKEKPRIGQCLPLWEELRLKIKDWCGKFHVNENHADKVFDKRFKRNYHPAWATAFILDPFYLIRDTTGKYLPPFKCLTSEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYAQAVQLKQRDPVTGKMKIANPQSSRLVWETYLTDFKSLRKVAVRLIFLHATSCGFKWNSSLCKWAQSRTGIEKAQKLIFIAAHSKLERRDFSNDEEKDAEFFSISSREDDVLNEVLFDASSL >cds-PLY64436.1 pep primary_assembly:Lsat_Salinas_v7:4:249329650:249330011:1 gene:gene-LSAT_4X132320 transcript:rna-gnl|WGS:NBSK|LSAT_4X132320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPWVCSLDIIDWVMDSSNNIVMSTVPRGSWYWKQGVKLFAYPLSVGLGVGGTETTLIEAYFDSSFCQRHVVLTQIFFL >cds-PLY86676.1 pep primary_assembly:Lsat_Salinas_v7:4:318813545:318816010:-1 gene:gene-LSAT_4X159620 transcript:rna-gnl|WGS:NBSK|LSAT_4X159620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPYAHLAVYKVCFGPDFLESDILIGLDAAIADGVDMISISIGEENMPFFQDNIAIASFAAIQKGIFVSCAIGSSGSFNGTATNIAPWVLTIGASTTDRKIKATKKLGNNKEFDGESLFQPKGSPSSTLSPLVYAGSNEQLVNVVKFNEYSFVVVSTWYDRSLRAWDCRSHSTEPIQIIDTFLDNVMSICLTKTKIIAGSVDGTVRTFDIWIGRTSSELLQEYQGCLARYLITPFFSDNQTIDITNLLKGFLEFGVSSLLESVTSNFTSMVVQVISHIGEGIVSNVVYALLRVSAMSRITYVNAEANYSRNPYPASYDISSMNKMNPVQPVAEGYPQYGPGPRSWGSYDMQRAQEHR >cds-PLY72168.1 pep primary_assembly:Lsat_Salinas_v7:7:54364575:54366717:-1 gene:gene-LSAT_7X39441 transcript:rna-gnl|WGS:NBSK|LSAT_7X39441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIEDLEDSSDVKNNQQIVDYNYSRQIVTVDAKRALVGAGARILFYPTLLYNVCRNKIQAEFRWWDQIDQFLLLGAVPFPKDVPRLKQLGVGGVITLNEPYETLVPSSLYCAHGIDHLVIPTRDYLFAPSFVDIDRAVNFIHKNATNCKTTYVHCKAGRGRSTTIVLCYLVEYKNMTPSSALEYVRSRRPRVLLAPSQWKAVQEYKQWRLASTATSSPSSGDAVLITKADLEGYNGQLQGKELALVPRVAKTRPMIAKLSCLFASLKVSGGSSLMNMKLTEAPAC >cds-PLY76155.1 pep primary_assembly:Lsat_Salinas_v7:4:51551812:51552451:1 gene:gene-LSAT_4X35200 transcript:rna-gnl|WGS:NBSK|LSAT_4X35200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGLMDKAKEFVAEKVANMDKPEATVKNVDLKEVSCQCITYKADVNVSNPYSTSIPICQISYTFKSADRVIAEGSIPDPGSLKAKADTMLDVGVKVPHSVLMSLMRDIGADWDIDYELNIILTVDLPVVGNLNIPVNSKGEIKLPTLSSLMGAGATS >cds-PLY67735.1 pep primary_assembly:Lsat_Salinas_v7:9:165470598:165476942:-1 gene:gene-LSAT_9X103320 transcript:rna-gnl|WGS:NBSK|LSAT_9X103320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Ts, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G11120) UniProtKB/Swiss-Prot;Acc:Q5XF75] MMFSRGAKQSIEILCRRLNSPIHSRYGYSTQTCRENLVSKPNYVISHCALVRKYSSEASSPSDHMSLIKKLRERTSAPIKEVKSALIDCNWDLDAAQKELRKRGIVLASKKSSRTAAEGLLALAQNDTKAAVIELNCETDFVARNEIFQCLALSLAKLALSAESSGQVSGAFPVGLESLEDLKININHPKLNGETTVQNAIKEVAAMMGENVKLRRGFTMSMSAHGVMSTYLHTCPQPGLGRIAGILSLEVEDEKVCLDGVQRVGAELAMHVVASKPLVLTKELVSNDVVENEREILKSQAESSGRPQAAIEKMVEGRLRKYFEEVVLMEQKFIVNDTINVKTLLSDLSKEVGSPVKIGNFLRMEVGEGLQRADAPEALAQAA >cds-PLY63476.1 pep primary_assembly:Lsat_Salinas_v7:4:81963450:81963942:1 gene:gene-LSAT_4X54481 transcript:rna-gnl|WGS:NBSK|LSAT_4X54481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIFSFVSVQTEYVPEECSSPRNLCFQPNEHHNNSCTDKPHVDKPHPANSACPDKVFHNDKSIHTDQFVQANKTFGTIKISQVVKTSSYSVNTHAPAPSSNIKISLAGKTQTQNEVKSNKAKEDVGSKEATSRTKEDEASLSYQEIIF >cds-PLY80746.1 pep primary_assembly:Lsat_Salinas_v7:8:137863741:137865672:1 gene:gene-LSAT_8X95381 transcript:rna-gnl|WGS:NBSK|LSAT_8X95381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKERFAKLLLGEDMSGHGNGVSSALALSNAITNLAASVFGKQNKLAPMPEDLKKRWRKEVNWLLSVTDNIVEFVPSQQQAKDGSNMEIMVTQQRRDLLMNIPAIKKLDAMLIDCLDNFKDEKEFWYVAKDADESEKGVQRLDRWWLPSVKVPEGGLSDETRKWMQHQKECCNQVLKASMAINAQVLSEMEIPETYIETLPKNGKASLGDSIYKSITDEYFDPGEFLASMDTSSDQNVMELKNRIEASIVIWKRKMNQKELKSSWGSSVSSEKREVFEERAETILLLLKHRFPGLPQSSLDISKIEYNKDIGHAVLESYSRALQSLANTVMNSIEDVLYADEVVRNPEMETMMMKKEQSVDVVALSISSPRAIRGVKDWTGVHSPKGKPSSMNLLDSMGWGFDQGKMEMMNGNSSPVKILTKAKMSYIEKLEAYGLRSPTARH >cds-PLY74073.1 pep primary_assembly:Lsat_Salinas_v7:9:12492410:12494883:1 gene:gene-LSAT_9X10320 transcript:rna-gnl|WGS:NBSK|LSAT_9X10320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILGTIVRSDSSLQDQVNAFSTFCPALGKGSLPDMSSLSSSNTEVLIESIKHLAPGINWTTVIEKLDHEGFYIPDEATISLLISCYRLASHDPFPLSVVCGNVWKNTEGQLSFLKYVVYVPPEVFTFAHCEKQVAYVDAVNTPSFNLGRRGVVMAIPIPGHPIARQEEEKMVDDCKRLSDLIESPDAILLLTDTREIRWLPTLLCANANKGTWQMQKSRYPPSRYVDQIRNQNEVNSEVDDIKNSSTSVDLTVIRNFLSRDYMTLKLWDINMESVPVSTFQVHEYLRPKDGIEISDVCSMYVQLCGGA >cds-PLY84300.1 pep primary_assembly:Lsat_Salinas_v7:6:6478788:6479360:-1 gene:gene-LSAT_6X2160 transcript:rna-gnl|WGS:NBSK|LSAT_6X2160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILLDVAKGVDFLHFSCNPPIVHCDIKPYNVLLDCNINAKIADFGLARVLGVDENEIIKTFYECHEEGDQENETADDNNTDVEKKRENIGDYREENKSAAEETESVVTGEVVVNVDPISPESCCVTIVDAEASPSEYLERASVSDQLSVDSTNRRFLGRKKSGGAGGGSGRDWWWKQESCGDDSGRVKIM >cds-PLY98916.1 pep primary_assembly:Lsat_Salinas_v7:7:49527377:49528743:1 gene:gene-LSAT_7X34801 transcript:rna-gnl|WGS:NBSK|LSAT_7X34801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKALTTDVEDFFRQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQENDWLALVAVHSDAWLLSVAFYFGARFGFDKSDRKRLFNMINDLPTIFEVVSGNTKKQTKMANHSNNNKSKSNTKGQGSESFQGKYGKVDEEEEDEEEDEHGDALCGACGENYASDEFWICCDLCERWFHGKCVKITPAKAEHIKHYKCPSCSTKRARP >cds-PLY98781.1 pep primary_assembly:Lsat_Salinas_v7:7:24333832:24334987:1 gene:gene-LSAT_7X20440 transcript:rna-gnl|WGS:NBSK|LSAT_7X20440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEVPLVNPGPSHVVTFKVSSSLVFLVSSSNVVDDFISGLTNRFQSPFDGSRLFKANFHSRLSGSSMKYDGFMLSFSDYGLTLFPDFSTNKDASFSKSVVTPAGISGLGTFMDPDDPFSQKGLFALGPIKGANLLFHEVLYFLDQHVSYEFQVSNMSNLLKVSQLRSSSLESENSDLWSQLAEVKVENQSFIEFNLCSEQKATNFNIEKIIALENKLRLLEEEKHDLLQKLELETQAFQEEKTKLEEVEGIISKEEGKISTMRLHVSGIMSQVKHLQETDLESDGQLISLKHDKLVLSKELDQLQAQSQKSHVRHPVVTQRMDYWFLGSPPSLFAIWSCEC >cds-PLY69614.1 pep primary_assembly:Lsat_Salinas_v7:5:313937068:313938710:1 gene:gene-LSAT_5X172301 transcript:rna-gnl|WGS:NBSK|LSAT_5X172301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSISVRLPSSISFLSSSIFSLSSNQTPSTSLFIKPPKPHFPKCTTAVVRSQLATLPVLSFDGTKVGETTLNLKSANPDTARAVVHRGITTDLNNKRRGTASTLTRAEVRGGGKKPFPQKKLGRARRGSQRTPLRPGGGVVFGPKPRDWSVKINKKEKRLAISTALASAAVNGIVVEEFGDKFQKPKTKDFLEALKRWGIDPKEKSMFFMTEISDNVALSSRNIGTLRMLTPRTLNLFDILNADKLVFTKAALDYLNDAYGYEEYEDEEDFEEVADEGTVAEEEVLPPSDA >cds-PLY91250.1 pep primary_assembly:Lsat_Salinas_v7:3:74800995:74804687:-1 gene:gene-LSAT_3X57841 transcript:rna-gnl|WGS:NBSK|LSAT_3X57841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILMILVSCDSEGHTTINCPHRVAMEFGVIPASRKRTNNSLDYVFECQVRHRVPSLFLRIDTTGRLSQGPDQAQGIGSVPIPLANLLGMYQIKSELENLSFMYTNPQEYAKVKRRVAELCKEQKKEIEEMKDYIGFRLHLVDQLFFQFNGMESMDIPENPNSLFFSICMSDEYDLLTFDKLGQHVRRAAVLALSIACHNKPNLIKGLLPELLPLLYDQTVIKLNPSSFIVPYLKSGLDDHYDVKMPCHLILSTLANKCTSVVLADSLVDPLQKTVNFKPKQDVVKQEVDRNEDMIRSALRAVASLNRISDEHHETVITAMETIMAVLLEESEEIGEDLLSIILSTLGRDKKVLF >cds-PLY97829.1 pep primary_assembly:Lsat_Salinas_v7:5:196605317:196607858:1 gene:gene-LSAT_5X88241 transcript:rna-gnl|WGS:NBSK|LSAT_5X88241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLEPIPSTDDEPKRSKSTTVAAGSVDSGSGDKANGAGVDMKSDNRVTADGYASDGFETASETEVGDDEIVSDDNNNSNDSIEHQQHHPEELSVASKDQCFEDTLDEDELKQGKYEESIKECTKALELNPNYMKALVRKAEAHEKLENYEDAIAGMKKILELDPSNKQAKRTIIRLEPLAVEKREKMKEEMLGKLKDMGNTILGKFGMSVDNFKAVKDPNTGSYSISFQR >cds-PLY70824.1 pep primary_assembly:Lsat_Salinas_v7:4:58395930:58398515:-1 gene:gene-LSAT_4X40160 transcript:rna-gnl|WGS:NBSK|LSAT_4X40160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGWHRHVHSLFQKVGKRVESSYHTYANFSISPLKLSQIQGVPPHMQLLRVPPSINVSRPLYHYFHQLGFTSTRRLLSSEATPGPSPLTPTLAIGSGKAEPEKAVSKPSKVQAVLKDIKQSPKKVNLVAALVRGMRVEDALLQLQLTVKRASKTVYQVIHSARANATHNHAFDADRLIVAEAFVGKGFFKKRVSYHAKGRSGVRVRPECRLTVVLREITPEEEAEIARLKVHNFTKLTKRERRLVPHKLIETTPIWNRKGNPRNHQEQPPAMAA >cds-PLY79921.1 pep primary_assembly:Lsat_Salinas_v7:8:17499536:17503394:-1 gene:gene-LSAT_8X12281 transcript:rna-gnl|WGS:NBSK|LSAT_8X12281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRSIWPSKWKLAFAATALGCGVGAATIANSDDPATSLKLCTAIPLRLFRLSVTAATIAFDYEYSLMGLPEGSDERARVKHELHTRNARRLEDLCFKNGGIYIKLGQHVGQLDFLVPLEYSKTMRESMLNKCPTSTYDQVCRVVKKELGGTPEEIFDDFDPVPIASASIAQVHVARTHEGQKVAVKVQHTHMTDTAAADFATVELIVNTVHRFFPSFDYRWLVDEVRETSPKELDFLNEAKNNVKCMENFRRLSPHIAGYVYAPKIYWSLSTSKLLTMEFIEGPQVNDLKSIKKLGIRPHDISKLVSQTFAEMMFKHGFVHCDPHAANLIVRALPSGRSSIFGRCKPQLVLLDHGLYKELDTYTRTSYAALWKALVLADAKAIKENCMKFGAGEDLYTFFTSILTMKPWERVIDPSFDHLVIHDQSDLQIYGPEYFSQVTELLHRLPRVILLMMKTNDCLRSVDNALLQRPSLESFLIIGRVSAEAVIEEKLSNAKSLFSFISIWFEEISLEARFIIMQLALWVLQFPKSLSL >cds-PLY83717.1 pep primary_assembly:Lsat_Salinas_v7:4:43017515:43019237:-1 gene:gene-LSAT_4X29761 transcript:rna-gnl|WGS:NBSK|LSAT_4X29761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDFGFGLVPKYEKGIDKKGACLLGRVLKRKESPSLKIKATLCNTSYYPFTISNKQRFGFVVEVESLGINYGQVGNNLPPPDKVLELLKSLRITKTRIYDTNPDILNAFAGSGVELIVTVENDMLATLMDPQQALQWVTTRIKPYFPATRITGIAVGNEVFTGEDMTLLENLVPAMVSLQRALAQLGMQQYIQVSTPSSLAVLANSYPPSAGTFTPELITIMTQLLQFLSNTKAPFWINAYPYFAYKDSPTQISLDYALFNPNSGMIDPHTSKHYDNMLYAQVDAVIFAMARLGFGGIEVRVSETGWPSRGDPNEIGATPQNAAIYNRNLLRRQLGGEGTPLRPKMRLEIYLFALFNEDMKPGPTSERNYGLYQPDGTMAYNVGLSALSTGMNPPTSTSTSTSTSSSSISLTSMATKVI >cds-PLY82259.1 pep primary_assembly:Lsat_Salinas_v7:1:67675891:67677623:-1 gene:gene-LSAT_1X57641 transcript:rna-gnl|WGS:NBSK|LSAT_1X57641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSEISTKTTYSNFPYTATLIHKGVRTEYEHILTIDMSIDLSCNNFEGEIPASLQALQGLQALNLSNNHFTGRVLPSLGNLKNLEALDLSRNELSGEIPQRLVQLGFLEIFNVSFNRLEGRIPKGKQFGTFDNNSYIGNPRLCGQPLSKECQGHLKVYGLPPTSNVSESLLPSEAIDWIIVFCGVGSGLVVGIVIGNFLHARYSDRFTKKNERWLHQNYFQTWHAMSSDNLGVSSVMRSEISTKTTYSNFPYTATLIHKGVRTEYEHILTIDMSIDLSCNNFEGEIPASLQALQGLQALNLSNNHFTGRVLPSLGNLKNLEALDLSRNELSGEIPQRLVQLGFLEIFNVSFNRLEGRIPKGKQFGTFDNNSYIGNPRLCGQPLSKECQGHLKVYGLPPTSNVSESLLPSEAIDWIIVFCGVGSGLVVGIVIGNFLHARYSDRFTKKNERWVSPLRKTRRN >cds-PLY76818.1 pep primary_assembly:Lsat_Salinas_v7:5:102666085:102668661:1 gene:gene-LSAT_5X47341 transcript:rna-gnl|WGS:NBSK|LSAT_5X47341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERYEIVKDIGSGNFGVAKLVKDKSSGELFAVKYIDRGQKIDEHVEREILNHRSLKHPNIIRFKEVLLTETHLAIVMEYAAGGELFERICTAGRFSEDEARFYFRQLISGVSYCHSMKICHRDLKLENTLLDESSTTTHLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDDPRNFRKTLTRILGVQYSIPDYVRVSMDCKHLLSRIFVDSPEKRITIAEIKKHPWFMKNMPAEFTDEEESGVEVMKANTELQSIEQVISIIQEARKGGMSGKQEGQFVGGSVDLDDEIDSNDELEDDDDVDTSGDFVCAM >cds-PLY68777.1 pep primary_assembly:Lsat_Salinas_v7:2:211337439:211337750:-1 gene:gene-LSAT_2X131621 transcript:rna-gnl|WGS:NBSK|LSAT_2X131621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDTVVVLAVDCITVAALLSTCSEFVNNETKYPYLGSSCCKAMTTLGYFAYNDIDRHALCFCFIGVINATYTPDAANAFCTLPGFCGVSLGFLTDPTTECG >cds-PLY99330.1 pep primary_assembly:Lsat_Salinas_v7:1:69826979:69831712:-1 gene:gene-LSAT_1X59520 transcript:rna-gnl|WGS:NBSK|LSAT_1X59520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGVRTAVWVLFTGLIFIRAEVESLGVNWGTMATHKMDPKTVVQMMKDNGITKVKLFDAEQSTMSALAGSGIEVMIAIPNDQLLAMNDYSRAKKFVQRNVTRYNFNGGVNIKYVAVGNEPFLTAYNGSNLKTTFPALQNIQNALNEAGHGDTVKATVPSNADVYGSPTDNPVPSAGRFRPDINDQMVQLVQFLAKNNAPFTVNIYPFLSLYGNDDFPVDYAFFDGASQPIVDNGIQYTNVFDANYDTLVSALKAAGFGDMPIIVGEVGWPTDGDKNANINMAYRFYNGLLPRLASNKGTPLRPGGIEVYLFGLIDEDAKSIAPGSFERHWGIFRYDGQPKFAMDISGRGQNSFLVPAQNVRYQEKRWCQFNPDAKDLSKLGENINYACTFADCTPLGYGSSCNGLDANANASYAFNAYFQVQNQDEMACNFQGLAMVTTLNISTETCRVLLAKNIVLCGEEGMERFWNMVNVLFVGAILLSLFGSNVEGLGVNWGTKAYHQLPPEKVVQMLKDNEIEKVKLFDADENVLNTFAETNIEVMVAVKNSELEEMTNKTKAKHWVKDNVVKHIDHNVKITMVAVGNEPFREDYENMYINSTLLALENIQRALDKLSLGDRIKASVPFNEDIYMTPPWKPFPSAGIFRPEISDQVEDIADFLAKHNAPFIVNIYPFTSVALSDDSYPSDFAFFDGNVGITDDNIDYTNVFDASYDTCVSALKQVGHENMTIIVGEIGWPTDGNVLANKTLASRFYKGLLPRLAAKEGTPLRPGHIEVYLFELLDEDAKSILPGNFERHWGIFDYAGQPKFNMSLNGKDENKTLIGVKDVEYQSKKWCVLKAQKSLDKHKLHESLLYACDNADCSAFAEGGSCSGLSSPDKTSYALNAYFQASNQSKDSCDFGGLATEVETDPSKGYCKFNIQIVPYDPKDSWTRFKSWFSSSHITRAPPVAFTSLALLAMVSLFFF >cds-PLY64500.1 pep primary_assembly:Lsat_Salinas_v7:8:124494663:124495040:1 gene:gene-LSAT_8X85541 transcript:rna-gnl|WGS:NBSK|LSAT_8X85541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGIRGRSSRNMATEGEDQGYNLVLNFKEVVFDIEKEIKIYKLDTCQTCKGSDAKLGTTASRCSSCSSQGQVISSAKTPIAISQQVTTYPSCKGTGEHMLRRRASPKVKKNQPQSSFSVNSGNR >cds-PLY95549.1 pep primary_assembly:Lsat_Salinas_v7:6:173875647:173891561:-1 gene:gene-LSAT_6X105061 transcript:rna-gnl|WGS:NBSK|LSAT_6X105061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIKIRKIDNITARQVTFSKRRRGLLKKAEELAVLCDADVALVIFSATGKLFEYASSSMQELLGKYKLHSTNNVNKVDAPSLNLQLLESEESRMSKEVLDKNRELSHLRGEDLNGLTLEELQRLESLLEGGLNRVLQTKDERIANEIASLQQKMMSLTSVGKRPRTTAAELDNIVINPEDQVQSSESVATNVYSCNSGPPPDQDDWSDTSLKQAMQELLGKYKLYSTNNVNKVDAPSLNLQLLEREESRMSKEVLDKNRELSHLRGEDLNGLTLEELQRLESLLEGGLNRVLQTKMMSLTSVGKRPRTTAAELDNIVINPEDQVQSSESVATNVYSCNSGPPPDQDDWSDTSLKLA >cds-PLY89151.1 pep primary_assembly:Lsat_Salinas_v7:3:22320974:22322977:-1 gene:gene-LSAT_3X16601 transcript:rna-gnl|WGS:NBSK|LSAT_3X16601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g32500 [Source:Projected from Arabidopsis thaliana (AT1G32500) UniProtKB/TrEMBL;Acc:Q058Q9] MAVSAFTPIIRASPSPSFTLSTSQYQSNFIRTRKVKPGVLTQRRYLPPIAALSDPFVLEIAESLEDSLPSSSSSGLQKLRDFASESLLSTPWPSRKDEPFRFTDTSFIKQSEIIPVSSPTPPESSNHISAFEDTQSLNLTIIDGYIIDSLSQLSGLPDGVFVGSLSSLSSNEITNRVLEFLPSSEPGDLFWSLNGVGSPDLVVVYVQEGCKVEGPLHLRYISNEGSDKESKKLPVSNPRVLVVVEKGGEIGILEEYIGGDGDLSYWTNSVMEIAIGEEAKVSHSYIQTQSLNSAHIKWTSIRQEKSSTYELVEVSTGGKLSRHNVHVQQVGSDTITGLSTFHLSGNDQTQDLHSKIVLDHPRGFSRQLHKCIVAHSLGQVVFDGNIKVNRYAQQTDAGQLTRSLILEPRATVNVKPNLQIIADDVKCSHGASICDLEEDQLFYLQARGIDRQTARKSLIVSFGAEVIDRFPTADLRKKVELHVKKLLDPVVPSKVASGV >cds-PLY67634.1 pep primary_assembly:Lsat_Salinas_v7:2:207779463:207782021:1 gene:gene-LSAT_2X128460 transcript:rna-gnl|WGS:NBSK|LSAT_2X128460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g22070 [Source:Projected from Arabidopsis thaliana (AT2G22070) UniProtKB/Swiss-Prot;Acc:Q9SHZ8] MGTFRHQLSDHYASLIQRTLVNKDPCAGKAIHASIIKSGIHVGVFLTNNLMNLYAKTGFLVDARRLFDEMPVRNASSWNTIISANAKQGRVDYARRLFDEMPEPDSVSWTAMMVGYNQMGRFETAVRMLVDMISSKVMPTQYTFTIVLASCAAMNRLDIGKKVHSFIVKVGLSNYTSVANSLLNMYAKSGDLLTAESIFGKMKSKSTSSWNTMISMHMQCRRFDLALAQFDQMSNRDIVTWNSMISGYNQHGFDSESLHMFSNMLKIKNPTLKPDKYTLASVLSASTNISSLKLGKQIHGHIIRIEFDLSGAVGNALISMYSKLGDIKTAQKIVEKYKTSNENNIIAFTSLLDGYIKKGEMGPARKIFDSLTDPDVVAWTAMIVGYMQNGFNNEAIDLFRSMIKSGPQPNSYTLAAILSVSSSLASLNHGKQIHAKAIKTEVALSVSVSNALINMYAKSGSIGNAKRVFEMISGLKDNISWTSMVISLAQHGHGEESLKLFEKMLDFDIKPDHITYVGVISACTHMGLVEKGRGYFKMMQERHGIEPTHSHYACMVDLLGRAGKLLEAYDVIKNMPIEPDVIAWGSLLSSSFVHKNMDLAKIAAERVLLMDPDNSGAYSALANVYSVHGEWEESAKIRRSMKFKKVKKDQGLSWVQIRDQVHVFGAEDGVHPERDGIYEMMGEIWKGIKKLGFVPKTEVVLHDLDEEVKEEILMHHSEKLAIAFALMKTPKNSSLTIMKNLRVCKDCHSAIKFISKLVGREIIVRDATRFHHFKDGACSCNDYW >cds-PLY90658.1 pep primary_assembly:Lsat_Salinas_v7:6:52618085:52618483:-1 gene:gene-LSAT_6X39320 transcript:rna-gnl|WGS:NBSK|LSAT_6X39320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTDFGVAKQFDESTRLNSMCGTLEYMTPEIVQGRGHDKAADWWSVGILMYEMLSGKPSFRGGNRQKIQEKIVKDKIKLPGFLSSEAHSLLKGVML >cds-PLY86816.1 pep primary_assembly:Lsat_Salinas_v7:1:16361005:16363886:-1 gene:gene-LSAT_1X13640 transcript:rna-gnl|WGS:NBSK|LSAT_1X13640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASKTADSKADRLSRWSATGIVGLRDAKLKSFPDEVLELDRSVRTLDLTHNKLADIPEEISKFVNMQRLILAENIIERLPGNVGKLQSLKLLNLDQNRVTFLPDEFGQLVKLERLSISGNQLTKLPETIGSLRNLLLLNVSNNQLKFLPESIGSCYSLEELQANENSIEELPPSVCNLIHLKSLCLDHNKVKKIPPSLLKECKTLQNISLHNNPISMDQFQSMEGFQEFEARRKKKFDKKIDSNVMMGSRGLDEGVDL >cds-PLY90508.1 pep primary_assembly:Lsat_Salinas_v7:2:41757631:41757969:1 gene:gene-LSAT_2X18980 transcript:rna-gnl|WGS:NBSK|LSAT_2X18980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSGGGGAATADSGGSLRRRSEKVTNEGGFGLCLIASIGGKIGRTVMVPVGYIAATPPLVVVFLGSAGRYQAMEINEWLGCMTVCSTEKQEENGECGWSCNNNGRMSGTLCF >cds-PLY94705.1 pep primary_assembly:Lsat_Salinas_v7:2:85473698:85476751:1 gene:gene-LSAT_2X38080 transcript:rna-gnl|WGS:NBSK|LSAT_2X38080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGDPVAIKILDKEKVVKHKMAEQIKMEIATMKLIKHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVNHGRMHEDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGNLKVSDFGLSALSRQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLLAGYLPFDDSNLMNLYKKIGAAEFTCPPWVSFSAIKLITRILDPNPMTRITVPEVLNDEWFKKGYKQPEFIEKEETNFDDVEAAFQDSEEYHVTEKKEESPAAMNAFELISMSKGLNLGNLFDTDQGFKRETRFTSRCPANEIISKIEEAARPLGFDVHKKNYKLRLENIKAGRKGNLNVATEVFQVAPSLHMVEVRKAKGDTLEFHKFYKRLSSSLDDVVWKTEEDMQEPK >cds-PLY81992.1 pep primary_assembly:Lsat_Salinas_v7:8:263585947:263587926:-1 gene:gene-LSAT_8X152621 transcript:rna-gnl|WGS:NBSK|LSAT_8X152621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVRGGRISNLYEDQSSSCQFPAIFNFGDSNSDTGSVSAVFGPFRLPYGMTYFHKPSGRLSDGRLIIDFIAERLGLPYLSAYLDSIGTNFKHGANFAASGCTIQPADALMLNRTFNPLTLDVQLSQFQQFKERSSDLYHQGSVKSLDITDRFPRPEDYKRALYTFDIGQNDLHAGIKSMKEEQVKTYIPTIINEFVSVVEKLYQQGARTFWIHNTGPIGCLPFFVKNYPPTPENTDQIGCVKSYNNVAQEFNKNLKDKVSQLQKQLQDTLLVYVDIYSIKYYLISEATTYGFKDPLGQCLGQDGNFSRACENPLEYINWDGIHYTEAANKWIANRLQDGSFSAPNLPLKKSCQAILGSQYFL >cds-PLY84919.1 pep primary_assembly:Lsat_Salinas_v7:6:15031788:15033365:1 gene:gene-LSAT_6X11100 transcript:rna-gnl|WGS:NBSK|LSAT_6X11100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFLTHFPVAPIHHHSSSLFTRFFLSPKPKTLLIFATLSPSHFSSTSTIIPSSSSSSSLETFQEISLPEETPIQIAQEKLFIPPDTEISSEKPPRILRGSNIVLSKYARDAQIVSAEFVKSSVETDACPSDGSPEFALVGRSNVGKSSLLNSIVKRKKLALTSKKPGKTQCINHFRINDSWYLVDLPGYGYASAPHELKQDWNKFTKDYFLNRSTLVSVFLLIDASIPAKKIDLEYASWLGQNQVPMTIVFTKCDKRKKKKNGGKHPEENVQDFQDLISKYFDSVPPWIMTSSLTNQGRDEILLHMSQLRNYWLNH >cds-PLY98020.1 pep primary_assembly:Lsat_Salinas_v7:8:43929715:43931797:-1 gene:gene-LSAT_8X33920 transcript:rna-gnl|WGS:NBSK|LSAT_8X33920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAECEVAKEVINKRFRKDDAPGEMKPWEQHSAVISIPRYDYKAPASFLDRSFSGFLITCPIKREKSATKEAMSILNKYLGSINTCGSEQEEDPQNVVTKRRKVVNETEEECTNDIENKILDENTDANTERSDPLSLIKLTRSGLLLLTFSHDNCPDVVAILSNIMQSLESSSLKAPLWCNRILPIQMTCVLHEKELCILVSKLVADFMNNEGKDLNRPIKFAVGYNSRGIEETELKGGSGMLDRSKCFEVVAGAVKSIVSDSVVDLKCPEVWISSGGKIVIFTSA >cds-PLY83923.1 pep primary_assembly:Lsat_Salinas_v7:8:255173321:255174066:-1 gene:gene-LSAT_8X149921 transcript:rna-gnl|WGS:NBSK|LSAT_8X149921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLSFFDDHILVFEDLIETLFPSSSRFFDKIDEFIRSSESLPPKFEDFMDHDVPKIMQRVPFLDRFFHKDEKEIVIDITCHGYRIEPENSSQEDDVVDSSKTTCEFSCEFSEEEEMVEKWSEENDEDDLKKEKNNMEDSNGDLYSATTEINNEEILKSEDPIYELFEAGWHMSPRALSGTSSILKD >cds-PLY61947.1 pep primary_assembly:Lsat_Salinas_v7:5:161100374:161101106:1 gene:gene-LSAT_5X70281 transcript:rna-gnl|WGS:NBSK|LSAT_5X70281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYTVLQVKHVCQQEVGIFMNGKLLAPEMKLLDVVKQWMAIVCSERKITEECSSENFCVKLTFARRE >cds-PLY72181.1 pep primary_assembly:Lsat_Salinas_v7:7:52826511:52826717:1 gene:gene-LSAT_7X38221 transcript:rna-gnl|WGS:NBSK|LSAT_7X38221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFVPDEFAIKTDRVEVNKETIELLAFLGMSELPGIVLKVDTPLVSTKITGGYGVGRSGYGGGAGRKD >cds-PLY77994.1 pep primary_assembly:Lsat_Salinas_v7:MU042436.1:344782:344991:-1 gene:gene-LSAT_0X11360 transcript:rna-gnl|WGS:NBSK|LSAT_0X11360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLGVLTACNVVGDIREGLLHFESIIKIYNLAPSMDNYASVVDMHGSAGYLNEALEFIEKMSIEPSVEI >cds-PLY65021.1 pep primary_assembly:Lsat_Salinas_v7:1:119682030:119694354:-1 gene:gene-LSAT_1X91860 transcript:rna-gnl|WGS:NBSK|LSAT_1X91860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLLFELPEGSSSSSSTHGHNSSTQDHRYDVFLSFRGVDTRLSFTNYLYEALMDANLNTFLDDEEIETGEDLKPELESAIKASRASIIVLSKNYASSTWCLDELVLILEQCMTSNHIVIPIFYHVEPTHVRKQQSSFGDAMAKHKLKMDEETEENKRNQWGQKIERWNKALIEVANLKGNDINGRFETEFIEEIVKDIYRRLHVPLKSAQPLFIGMDYSINFITSWLKDGSSHTVDILTISGLGGIGKTSLAKHVYGLYCHEFNKSSYIEDITRRCDGKYNGLLDIQKQLCGDISKTSSIQVYDVSKYTSMIENVVARKRLFLVLDDINSIDQLDALLGSKGFHPGSKVIITTKDKCLTESCALFKTNIKPKHVEHFLEGLDETESRQLLCSHAFMCNHPKEGYEEVSAKLLEYCQGHPLALEVLGKVLHNRGVAYWEGCMKGLKKETNDRINNVLRMSFNSLPSKNDKDLFKHIACFFVGIDKDLSETILEACDINTRSGITNLIDKCLLSIGRNNELKMHQLVQEMGRFEVHQESPDKPWKRSRLWCHKESFRVLKQKKGKGNLLGLSLDMYMLEKEKLRGSFELKTDALSNMDNLMILQLNYVHMHGSYKNFPEEIRWLCMHGFYSKSIPLNLPIENLVALDMSYSNIESFVGCYSNPQRLEKRQRLDGSCFKEKRLFASLKILNLSFCEQLHSVGDFDQLSALERLILRNCIGLVDVCESIGQCVELILIDLSYCKKLEKLPRNIGMLKKVKTMLLDGCDLGESGIKNLDMDSLEMCTVTNIGINRAFMGCIPRDLKSFAMSLPRSLVKLSLKKNKLSNESFPMDFSCLSMLKELDLDGNPFNSMPSCVRTLPRLEILWMNKCKNLKSVEYPPSTLKKLFLNAIDHYHTNKVVFVPEMSPLQLSMDWLEWKYSSLELNDFEVEGMIKIQEMMSVDEKVLRSLGWTNLDFLNERHVGTNPSESEIQMMYYEFGIFSTMYEAEEMPSWFRHRSVGPVISFTIPSSSPNNILTGLNICSLHTLNLIDVELYLSDDDLLPWMPIMTITNITKNRTWIYERYLDRFNEILDFWVILSHWMFRKNEMEAGDHITITVTPQLPEPLTEAYNELVKECGVSLVYEDGEKKDEEEDVLGYYKSWNHIIGGDLSPFQTTTGEYLLNRSQFFPYDVTVSSYHCKFVPDGLDYQDQKEEYWFRALSPRKPDILGRAYILTFPFIRRIRGNLQVLVLNMKILEKERLQMGNLILRFETEFIEEIVKDIYRRLHVPLKSAQPLFIGMDYSINFITSWLKDGSSHTVDILTISGLGGIGKTSLAKHVYGLYCHEFNKSSYIEDITRRCDGKYNGLLDIQKQLCGDISKTSSIQVYDVSKYTSMIENVVARKRLFLVLDDINSIDQLDALLGSKGFHPGSKVIITTKDKCLTESCALFKTNIKPKHVEHFLEGLDETESRQLLCSHAFMCNHPKEGYEEVSDKLLEYCQGHPLALEVLGKVLHNRGVAYWEGCMKGLKKETNDRINNVLRMSFNSLPSKNDKDLFKHIACFFVGIDKDLSETILEACDINTRSGITNLIDKCLLSIGRNNELKMHQLVQEMGRFEVHQESPDKPWKRSRLWCHKESFRVLKQKKGKGNLLGLALDMRMLEKEKLGASYELKTDALSNMDNLMLLQLNYVYMNGSYENFPEEIKWLCMNGFRLKSTPLDLPMQNLVALDMSYSNIESFSGCYSNPQRLEKRQKLDGSCFKEKRLFASLKILNLSFCEQLHSVGDFDQLPALERLILRNCIGLVDVCESIGQCVELILIDLSDCMKLEKLPRNIGMLEKVKTMLLNGCNLGESGIKNMDMDSLEMCTVTNIGINRAFVGDIPRDLKSSAMSLPRSLVTLSLTENKLSNESFPMDFSCLSMLKELYLDGNPFNSMPSCVRTLPRLEILSMKKCKNLKLVEYPPRTLKELLFNIAYVHRTNKVVFVPEMSPLKISIGFLDWAYSSLGLNDYGIEGVIKIQEMRSVEEKVLRNLGWTNLDFLNERRVGTNSSESEIQKMFYELGIFSILYEAEEMPSWFRHRSAGPSISITIPSLSPNNLLSSLASSAFIGV >cds-PLY71826.1 pep primary_assembly:Lsat_Salinas_v7:3:58368618:58368950:1 gene:gene-LSAT_3X45361 transcript:rna-gnl|WGS:NBSK|LSAT_3X45361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIFLLILISTSGMLNLLQVSYARCLCKSNEECIPIGHCRGYLIPVCSLCTCSCVVNSGEFISPFQSIANDASKTQQRLQGPP >cds-PLY75878.1 pep primary_assembly:Lsat_Salinas_v7:1:172075911:172076852:1 gene:gene-LSAT_1X114701 transcript:rna-gnl|WGS:NBSK|LSAT_1X114701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIKFGSSKEAREFLEDKTRWHEWFKWMVLEEHKDLSYERLAWLNINGVPLRYWDEENFSRIASRFGKVIVPFDNILDRRDLFVGKVGVITSRKTWINEEVQICVDGAVFVAGVVEYSDDWSPFNPCHFDKEANDSNSEESVDEDVEEGISDTWLHENDKEFEDGEIRPDGSPENQSEKMSSHDGNEESPWNLVKEVGTTIEPTMRISQGVEIVNTDNLRASIGSHEEVNMNTIIEDTGCRVMNDPDNSGMGHNDDVVGPSNDNGLFDPPRPIPNSSPPTQNIFTAQNCNSLSCFSRCSSEPKLKRRKRARGC >cds-PLY66010.1 pep primary_assembly:Lsat_Salinas_v7:4:137535797:137537732:-1 gene:gene-LSAT_4X84921 transcript:rna-gnl|WGS:NBSK|LSAT_4X84921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLTTTTSISSLWYHSHSTKPNSLLNLKSKLQSYPSSTSRAPTTSMAATTATKVIPSIIVGGGRVGKALQQMGAGEDLLVKRGEPVPIDFPGPILVCTRNDDLDAVLQSTPQSRWNDLVFFQNGMLEPWFESKGLGDADQVLAYFAVAKLGEPPIDGITDTNPEGLTAAFGKWGSAVADRLRAGGLSCKVLEKEPFQKQMLEKLIWISAFMLVGARHPGATVGAVEKDFRSEVGSLISELASAAATEKGIVFEEGVEERLCAYARAVSHFPTAVKEFKWRNGWFYSLSEKAIAQGKQDPCPLHTAWLKELNIVQ >cds-PLY80708.1 pep primary_assembly:Lsat_Salinas_v7:5:219022093:219025483:-1 gene:gene-LSAT_5X103481 transcript:rna-gnl|WGS:NBSK|LSAT_5X103481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQERLRSVVYRSFVTCEDPRGVVEGKTIRISKTHPTKTLKNSKEKEKEKEKMSSSKTPSSYELVEVKNGAQKLNEAIDSWSNGGHFRSQPKDIAKDLLKGALDLQESLMMLGKLQEASCMAKLKKKQEKSSEVLVGKINSDQFESFQSYDMGFEKQRKSENGSSKDCYSELRDVIRDGLSKQNLLPNKSFQESAFAGSRELAGERKLQLSPDMASTSSSRSSSMVYSTHEFTSSESLSSRATEDKSKGSNLIAKLMGLDEFPSKPIPSTPINQLKSSKMRPFFDVDLPNGKKPNFFAQKMDREHMTLDEIIKMVQTKGLLRSNKREIKQKRFEDDDVPPIVLMKPQKGKGNVNVNVNTSSIRKLHQEKAKAEEKAMKSREKSGCNKQKGCVQGVMKQERKQEIEKKIDKIQKIAPQLKKKQVENVKLTNSVSKNDSLKPKKKALTNPITKRISSSGLSSNKSSNQKKNEKLEKVVNKVNEPSSTIIHEVLHINSPKEHEIQDSEVTRNDPEETGVSDVNQPKDEILKTINSFQDSEILKIESNSQDFEILKTVSSFQDSEILQTIGSFQDFEPTNSGVFQDCVNEFLEHENRRKNPWLTISILASRNCGLEEDELMREIVKGVENLRNYSKFSNESSNGDKVYEFLERDLCLNKMGGTWSSGWKDGCTLDEVEEAVLDLEKIVLSKLIDDMLMELVLEIGFRS >cds-PLY78416.1 pep primary_assembly:Lsat_Salinas_v7:3:236262283:236264893:-1 gene:gene-LSAT_3X131960 transcript:rna-gnl|WGS:NBSK|LSAT_3X131960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSRQIMMPSVEATKPEAPTPSNYQLALMTDNQTQTMGPPPPGFSPATGGNGSMPSSLAKKKRGRPRKYGPDGVATAASGGGSVRTLSPLPLSSASSPTSGGYSDVKFGELAGSGGEFQTEKKQKKKRINSSEKLNMSLGDQITSGGSFTPHMVTVNPGEDVTSKIISLTQYGPRAICVLSALGIISHVTLRQASSSGGTVTYEGRFEILSLSGSFTPGEVEGISSREGGMSIALSSPDGRVVGGLLGGILTAAGPVQVVVASFLPELGTTVGPKRKKQKNIIMEDPINNMDQNLNEKSQESPLVGNDDSTPTLSPAPNNFQHHENRASMPTVHVHDWNRTSVNLNEQRQRQESPMGENDNSTPTLTPDFHHEKRTSMPTVHDWNRTSMNLNEQRQRQEIPMGENDDSTPTPTSNFHHEKRTSMPTVHDWNRTSMNLNEQRQRERDESHVGGNDGSTPAPNFQHERASVHDWRRAVTDMNVSFRED >cds-PLY82080.1 pep primary_assembly:Lsat_Salinas_v7:8:52341781:52343275:-1 gene:gene-LSAT_8X39240 transcript:rna-gnl|WGS:NBSK|LSAT_8X39240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLDALLGRKFKTSKLKTTVNLAISRLSLLKNNRLARVTITISDVIQLLRLNHHQQALLQIEQVIKDQNMLDVYDMIHTYCYLLIQMINLVDQVNECPKELEDAVSNLLYAAPRCGEFPELQKIRVILTRRFGKEFANDASELRRNCGVSQKMIQKLSPAQSTLECRMKMLTGIAKENGVILQLEISSSEIRKEKVMEKKKFGKKISFFKSKKYKDATQDAFEAEAYVSAAAKTSTELTRSESFASDDSDSDSNGNELCYSAKDYNTLEVQQVNVRK >cds-PLY85471.1 pep primary_assembly:Lsat_Salinas_v7:3:41879060:41879627:1 gene:gene-LSAT_3X31481 transcript:rna-gnl|WGS:NBSK|LSAT_3X31481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSHRFSLDPRDWNSNATNNDVGADLVPRKSKGLLPVSGCFYHHLQKLNSILRTSQVSRPYTIGHSCQFRLHHQHTNLVRL >cds-PLY92003.1 pep primary_assembly:Lsat_Salinas_v7:8:241183872:241187700:-1 gene:gene-LSAT_8X145600 transcript:rna-gnl|WGS:NBSK|LSAT_8X145600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X-like 1 [Source:Projected from Arabidopsis thaliana (AT1G10170) TAIR;Acc:AT1G10170] MSSHVRSDRRDNRPRFPRRQEWIPRGSTATVTTTAVDANSSSTVAPIPDANGGEPNIRSGPPPQNAHNRGNVGVQRRQQQHMPRVNQTRDRRKDAPREERGSKGTTGALPQLVQEIQDKLLKGTIECMICYDMVRRSAPVWSCSSCFSIFHLHCIKKWARAPTSIDLSAEKNQGFNWRCPGCQSVQLTSSKDIRYVCFCGKRQEPPSDPYLTPHSCGEPCGRPLEKEVVGSGVSRDDLCPHRCVLQCHPGPCPPCKAFAPPRPCPCGKKTITTRCSDQKSVLTCGQRCGKPRKCLRHRCENTCHVGPCDSCEVPIEASCFCKKNTEVVVCGGMSVKGEVNVQDGIFSCSSTCGKPLGCGNHLCKETCHPGVCGDCDLLPGKVTSCYCGKSSLQEERKTCLDPIPTCSQTCDKTLPCGLHQCKETCHPESCPPCQVMVTQKCRCGSTSRTVECFKTSMEVDTFTCDKPCGRKKNCGRHRCSEKCCPLSNSHNLHRFEDWDLHMCSKPCEKKLRCGQHDCESLCHSGHCPPCPETIFTDLTCACGRSSIPPPLPCGTPPPSCQYPCSVPQPCGHVSSHSCHFGDCPPCSVPIPKECIGGHVVLRNIPCGSKDIRCNKICGKTRQCGMHACLRTCHPSPCDSSGGSTSTSTSGVKASCGQTCGAPRRDCRHTCTALCHPFNQCPDVRCEFPVTISCSCNRITATVPCDAGGSNSGYNADTVLEASTIQKLPAPLQPVESNGKKIPLGQRKLVCDDECSKMERKKVLADAFGVTTPNLDALHFGENTAVADMVGDLFRRDPKWVLAVEERCKMVVLGRSRGGSAAALKVHVFCPMLKEKRDAVRLIAERWKLSISAAGWEPKRFIVVHATPKSKPPARILGAKGVNPTSMVQPLGFDPLVDMDPRLVVALFDLPSDADVSALVLRFGGECELVWLNDKNALAVFSDPAXAAWIMAKDHVNPWKKAVVQESSTTGDWGQSSWSVSDEWAGGSSAVKEVPITSSANRWSVLESEGGGSLAEESGKVVVGEGEGEAAGKQEENLEVVEDWEKAYD >cds-PLY62171.1 pep primary_assembly:Lsat_Salinas_v7:2:152777997:152780240:-1 gene:gene-LSAT_2X77800 transcript:rna-gnl|WGS:NBSK|LSAT_2X77800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTETKIAMAKTVISTIGSVAAAAMVLRSVARDYLPPEFQDYLFYGFRSFISKFSTQLTMVIYESDGFRDNEIYNATEIYLATRISHEIHRLKITKNPSEKKINVAMEINEEFTDVYNGVKFRWSLVSKKTPTREYYNNDDMSGSSRSDIRFLELSFHRKHKDLVLNEYLPFIINDAKTRKQEERSVKLFTIDTKMVYSGYPTVWTSVNLEHPANFDTLAMDTDIKEKVMKDLDRFIQRREYYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTDIKSNSDLRRLLVATANRSILVVEDIDCSVELHDRVEAEAAKSLAKEMRRRGYAEERKVTLSGFLNFIDGLWSSCGDERIIIFTTNRKDKLDPALLRPGRMDMHINMSYCTPCGFRLLASNYLGITEHGLFEQIEDLICKVEITPAEVAEQLLKDDDPDIALEGLIDFFDVKRKENEEAKAKAKEEEELAKARENEKEEEEEEEEEGEEESAAKENGKKK >cds-PLY96161.1 pep primary_assembly:Lsat_Salinas_v7:3:99923656:99925304:-1 gene:gene-LSAT_3X73980 transcript:rna-gnl|WGS:NBSK|LSAT_3X73980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSESTLSSSQLQIEDGITTVSERLEDVDPMLEKLRSLKIATPILTSTSTESSLTDILVRKPSTSDSAIVDRKVLLELFSMYQEWQEKQAQNINKRQEEIENKIELADALAVKILQRYNYSLSAMKTTSNHLSGVDELQVAIGELKGRLTEVISNCDGLCKRISDVGPEPLRSTVKPFSTTMSHDLSSSTLNGVSSQVLNFNN >cds-PLY62293.1 pep primary_assembly:Lsat_Salinas_v7:5:162757991:162758425:1 gene:gene-LSAT_5X72641 transcript:rna-gnl|WGS:NBSK|LSAT_5X72641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSHDGFRVYLLHLVLNSSLPVARTVALHNLPDDDQLPKVDVFICTADPSKEPTVEVMNTVLSAMGLDYPSKKLVVYLSDDGGAPSTVYAMKEACSFAKEWLPFCRKYVVKSRCPEWFFFSYVHDELLFRSQEFEDDEQKMKVN >cds-PLY76305.1 pep primary_assembly:Lsat_Salinas_v7:7:123854597:123861929:1 gene:gene-LSAT_7X74681 transcript:rna-gnl|WGS:NBSK|LSAT_7X74681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMSSILGKNTTPEQETLSKTVDLAPYTSGDGSILSFISTGSSGDAIYKELWHACAGPLVNVPREGERVYYFPQGHMEQLEASMHQGLDQQLPSFNLPAKILCKVMNVHLRAEPETDEVYAQITLLPDTNQNEVTSPDPPLPEPPNCTVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQQPPWQELVASDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSAKKLVAGDAFIFLRGENGELRVGVRRLMRLLNNMPSSVISSHSMHLGVLATASHAIATGTLFSVFYKPRTSRSEFIVSLNKYLEAQNHKLSVGMRFKMRFEGEEVPERRFSGTIVGVGDTASSKWLDSEWRSLKVQWDEPSSILRPDRVSPWELEPLVASNTSSNPQPPHRNKRARPPVLPSSMPDLSTLGMWKPPADRHSAFQYCEPQRGRDIYPSNNVNSISLSYSENGSMPPVSTTSIQWSNLREPLTESFEHVANKENGEKRQSNGYRLFGIELLEHSTVDETSPVGMGMSRGMTEELRICPLDTESDIPSASCEPEKSSVLHTRQIRSCTKVHMQGIAVGRAVDLTQFNCYEDLLSKLENMFEIEGELREVPKKWQVVYTDVEDDIMMVGDDPWHEFCNMVRKIFIYTTEEAKRLSPKIKLPENDEIIQGKPAQDSTEEHSSSNEGSGC >cds-PLY88004.1 pep primary_assembly:Lsat_Salinas_v7:MU040244.1:928286:931347:1 gene:gene-LSAT_0X2101 transcript:rna-gnl|WGS:NBSK|LSAT_0X2101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLERVYPASSHWYLIKVKDKLKKAFGDGGYEKVEAIQTYEEFVDDSIFKDSETETYEEHISLTTVLRRIMEKKRMKENEENKFVVIMKKKTNMEKMRKNIHLKKTNKSSKKKSKPQQVEGIHIVHEKSIENDVPIVLDIANKGKKIVEDNTKENQETMFQQYLTISDHHRVNSFKIMKMGRFQMDWQTKDNVGDCGLFLMRHM >cds-PLY98051.1 pep primary_assembly:Lsat_Salinas_v7:2:19650050:19651553:1 gene:gene-LSAT_2X10520 transcript:rna-gnl|WGS:NBSK|LSAT_2X10520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDEIPFHVQEQIIKRLPVVSLLQFRSVSKAWKSLIDSSKFIAAHSVTQSQHLLLRYEDQEFDTVGNHVSFVDDDTFPEQRFVHTLPHSVTQLKQSNIVGSSLGLLCFHGYNELGENFCPNMETETIVLWNPSIRKSITVPMPNKCNQDPETDLGFGVSPVTSDPTIVEITQFHKTSYHCEAKVYTVSSGKWRNLSSNVPSKPFRVFWPQVVVDRFIYWCAFDPLTMDNGLPNHNVIMSFDITNESFGVVELPDSLRRLSPTQLCISKVRDSLVMLEYDSFVKRACGVWMMENGVEKSFTKRFNVEAPPYWSKSITTLGFRKSGQPIMEVEIAHDFYEQSQLVVYEPNFERFNYHEIYGKPETFSVHSYIETLVLMGSIEVEDDGHIATCSI >cds-PLY77295.1 pep primary_assembly:Lsat_Salinas_v7:3:213222227:213222502:1 gene:gene-LSAT_3X123741 transcript:rna-gnl|WGS:NBSK|LSAT_3X123741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTDYEYDESDRDLDEGKDVMETPSKIHPSEALSDPHTIQESDTDNIHSLEKEIANLKRQLFVAEARAIRAEQREEVITQEVNEVAELLIR >cds-PLY91446.1 pep primary_assembly:Lsat_Salinas_v7:MU039439.1:257166:259747:1 gene:gene-LSAT_0X16560 transcript:rna-gnl|WGS:NBSK|LSAT_0X16560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRALPATTSYAVGFGVSSFRTPPTHLRLRGAVIAKAEPSDKSVEIMRKFSEQYARKSGTYFCIDKSVTSVVIKGLADHKDSLGAPLCPCRHYDDKAAEATQGFWNCPCVPMRERKECHCMLFLTPENDFAGNEQAISMEEIKESTANM >cds-PLY85019.1 pep primary_assembly:Lsat_Salinas_v7:4:224340310:224342954:1 gene:gene-LSAT_4X123261 transcript:rna-gnl|WGS:NBSK|LSAT_4X123261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLQTMAPMGSAAIAIGGPAVAVAGGISLLFLKSFLSQQPGNPNHLPSVPAVPGVPLLGNLLELKEKKPYKTFTKWAETYGPIYSIKTGATSMVVVNSNQLAKEAMVTRFDSISTRKLSKALQILTADKTMVAMSDYDDYHKTVKRNLLTSILGPAAQKRHRAHRDAMGDNLSRQLHALALNSPQEAINFRQIFQSELFTLAFKQTFGRDIESIFVGDLGTTMTREEMFQILVVDPMMGAIDVDWRDFFPYLKWIPNAKLEEKIEQMYIRRKAVMKAVIQEHRKRIDSGENLDSYIDFLLAEAQPLTEKQLLMSLWEPIIETSDTTMVTTEWAMYELSKHPNKQERLYNEIRNVCGSEKITEEKLCKMPYLSAVFHETLRVHSPVSIIPLRYVHENTELGGYHVPAGTELAVNIYGCNMEREIWENPEEWSPERFLAENEPINLQKTMAFGAGKRVCAGAMQAMLLACVGIGRMVQEFEWRLKDDVEEDVNTLGLTTQRLNPMLAVIKPRN >cds-PLY67896.1 pep primary_assembly:Lsat_Salinas_v7:1:54875536:54879575:1 gene:gene-LSAT_1X49141 transcript:rna-gnl|WGS:NBSK|LSAT_1X49141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNENGQESSLSDFEAARSSLRQRWELASVLNFFKVFEPVIESNLKISAEEIETSLIQPNKSLAQIHISLLKGIPPVSKNLKDPDAWVVALSKKLNMWWPWVAEGDFPLTTAKGAEMETYKKLDPTIRLVILKALCEIRADQHDVVSYINEGVKIKNEMSTFRKINIGDDGKGTSYWCDGDEVIGFRLYKEINTFKKTRTETTVGCIWETLATNLEEFQKVVDDYSSSKSKLEVAVSVVVETEIMPVLNKLEKKKQKALQRKRHEERILNNFCRVGTTRSCRRSKPVSYTFDEYDKVINEAIRQTKKMKTKEEQKNQKKATAVKEEDSHSEQDQDDDESTESDSENTKLENGNVSEDSSQDSGEESHEENNDDEEETNDDEKEASDDDEEEEINDDEKEKSDEEEENNDGDDEEEKNGSDSSEDELVEKSEIENGNFVGKKRIRNDDDDDDDDDMEEMRNFGAKKRMRQRPNRNSAIESSIVPDSEDESCLGNSDS >cds-PLY71245.1 pep primary_assembly:Lsat_Salinas_v7:MU044000.1:5878:6956:1 gene:gene-LSAT_0X29701 transcript:rna-gnl|WGS:NBSK|LSAT_0X29701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEDLLDLSKMFNAVKSLVRVPSVDPKYKIALLASKQDHCLVDLLHAWQDGKLPVQISSVISNHDRVGNTHVMRFLERHEIPYHYLSKSKEKNVEDEILGLVEDTDFLVLARYMQAFEAGIKLIGATTHFVTEELYGGPIIEQMVERVSHKDNLLSFIQKSENLEKQCLMKAIKSYCELRVLPYQHNRTVVF >cds-PLY96577.1 pep primary_assembly:Lsat_Salinas_v7:4:368825891:368826577:1 gene:gene-LSAT_4X181020 transcript:rna-gnl|WGS:NBSK|LSAT_4X181020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAQRMGSQMMPTLGFNNSNTNQSYMKTDMSNNVVGLSTIDLTMVYFTTQSLWLFKCHRENETPSHYGTIVNVHQQQMSQGDGYGSSTTDSSRTRNFYVPTTSNTSMMNNQSSSQSSCISAFFASQKNAKSGIKY >cds-PLY96500.1 pep primary_assembly:Lsat_Salinas_v7:5:336208728:336210325:1 gene:gene-LSAT_5X189941 transcript:rna-gnl|WGS:NBSK|LSAT_5X189941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWFLGVIRFLILLLLHRSWLCSAILDPVDFLALQSIRKSLEDMPGSNYFSSWDFTSDPCNFAGVYCEGEKVVALNLGDPRAGAPGLSGRLNPAIGKLTALAEFTVVPGRIMGALPSTLSQLKNLRFLAVSRNFISHEIPATLGELRWLRTLDLSYNQLTGGVPWAIGNLPSLTNLILCHNHLSGSIPHFASQTLSRLDLKHNELSGSIAPGSLPPSLQYLSLSWNRFSGTVDRVISRLNRLNYLDLSLNQFNGLIPGCIFSFPITNLQLERNQFSGPVQPGSSVSIQTVDLSHNMLYGKVSPLFATVQNLYLNNNRFTGSVPTIFVDRLMAGSIQLLYLQHNFLTGMPINPRASIPMSSSLCLQYNCMIPPVQTPCPINAGTQKTRPTSQCMEWKG >cds-PLY91292.1 pep primary_assembly:Lsat_Salinas_v7:8:49297352:49304503:-1 gene:gene-LSAT_8X37280 transcript:rna-gnl|WGS:NBSK|LSAT_8X37280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHIEEVCKGNLGNLADEPICEDIDGQQSVRLDEFEAFTDDYSTFADFDAGYNVPNGEDNQVEMEILKGMVSDDSGDAFYSQNEDGFDDSGDDYDDSDYIVHESNLQFDVDVDMSEFQSAVDVDEHGILNKQTESIGNDIVDEELEVIQSDDYQYAGFYEDERTKMLKGLSRSTPCSHGEIHLKPYRVGQCFKTKKEVVDYMHAHVVNTRRSIYLAKNDKIRIRIQCGGVVGQSTETVECGGPSTRCKKDLETVLPNLRDIIDNPSPLEVSAASEVFAYVNAERSSNNEISFEGDVAVVDASEILESSLKDGMESDETNKEKMVSKISWDIGVENLEDGSTQNSALIVVMPSGMNVLMEVKESKLGVGF >cds-PLY73147.1 pep primary_assembly:Lsat_Salinas_v7:2:191531049:191533946:-1 gene:gene-LSAT_2X112440 transcript:rna-gnl|WGS:NBSK|LSAT_2X112440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMACMKLGSKSEVFHLDQDEKTWTCSSGLPSDVTIEVGDISFHLHKFPLLSRSKTLENLIGDSSDDEKKMNFGDEKRSCVVKLHDLPGGPKIFLLVAKFCYGVKLELNSNNVVHLRCASEYLQMTEEYGDENLISQTENFLNEVFDNWNDTLKALESCEEVVEYAEDLQIVSRCIDSLALKACSSDKDLLGWPVSSMRENPFATVIWNGIQTSSSPNQDWWYEDVSGLKLPLYRRFILAIESTSLDQERIAGSLMFYAKKHLPLLGRQSMIPNVNLSSFDSYLDQKTLLEEIVDLLPSQKGVVPTKLLLRLLKTAMILHCSPLCLENLERRVGLQMDQASIEDILIPNMGYSSETLYEIDCVQRMLDHFMVADRDRDQDGSEITDSGCLEDNDDDLIRNSHSLTPVTMVANLIDNYLAEVASDVNLKLEKFQSLAATVPDFARSIDDGMYRAIDIYLKAHPWLTDSDRELLCRLMDCQKLSLEASTHAAQNERLPLRFIVQVLFFEQLRLRTSVAGCLYVSDNYNSQTHLSSSMVLPESENVHLLTTGGSDRRVVAVDDMRGRVSELEKECLSMKKEIDKIVKSKGINWNSLCKMFGVSLRLKSKSRDRGGKNVSPASRIKENNQNEENGELNRVE >cds-PLY99153.1 pep primary_assembly:Lsat_Salinas_v7:4:118713338:118715593:-1 gene:gene-LSAT_4X73121 transcript:rna-gnl|WGS:NBSK|LSAT_4X73121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIAMSSRSSNCSVGYSGKSNDVLARRRTELRRLKVLSNANDSGFENTEKEHSDDLTCHLSVIGRRREMEDAVSIEKRFVDENSKKFDFYGVYDGHGGSYVAYACRERLHKLLAEEMDIGNRNSTEMNWENLMVTSFTKMDEEVNKTDVVDSMGSTAVVAVVSDKEIVVANCGDSRAVLSRGGGAAVPLSVDHKPDRPDELERIELSGGKVIDWNGLRVLGVLATSRSIGDRQLKPYVIAKPEVTVNKRDDADEFMILASDGLWDVISNDLACQVVRKCLDKWTYRRRSLKEYHRRSTNNAAVFLTKMATARGSKDNISVIVVNLKR >cds-PLY61810.1 pep primary_assembly:Lsat_Salinas_v7:6:57574426:57577680:-1 gene:gene-LSAT_6X41860 transcript:rna-gnl|WGS:NBSK|LSAT_6X41860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGMNSVAVLESSYLGENYPPISRRRGNQERPITRTSFIRKMWRDLEGETRFKEIERQQSIRNTGSSQCSCSSLGAESEENVSITTSEVENEWPRDHNQMELQNVQRSPALCLADKQRVRQIFREWGSKNSSNHGHHGHTSYKNNNCSRVQGGCENECKRVRSVRQWIESNSNTTREAETSGSIRRLYGRQALLDLLTRFQMERKQEIQSLLENRPVSTFNHRNRIQSLLRGRFLWNQRFIQEEKSTSNAANELGLLRQTQTQKVSDIRKGILSRLGKRHDEPQYDTSSDNSMDDQDHPDEIVNQTDTVNTITDVSQSDNVDFETNADENPEEQNEQVVEHEENHELALIEFIETRSQEPNVEDDGSEWRNLTDLESNEGLEDSNSIQNEDEWYDNVLENTNTRENWYLEASDDDDNNNRPELRELVRRRRVSNLLESDFRERLDRLIQQRLEQEVQGSESDNDDWMIERENHESEEDENNNENATEVVEVQTQRNDCQIIDELRVDMAILQERMNSMQKTLETCMNMQLELQRSVKQEVSSALNRSEEGEKGCFICCDNGGFGSTSDRCGGHVYVCSKCAGKIDWSKVKESVKHP >cds-PLY96540.1 pep primary_assembly:Lsat_Salinas_v7:5:335865018:335866049:1 gene:gene-LSAT_5X187481 transcript:rna-gnl|WGS:NBSK|LSAT_5X187481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Sm-like protein LSM2 [Source:Projected from Arabidopsis thaliana (AT1G03330) UniProtKB/TrEMBL;Acc:A0A178W785] MLFFSYFKDLVGKEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQEKFPHMLSVRNCFIRGSVVRYVQLPPEGVDIELLHDATRREARGG >cds-PLY95665.1 pep primary_assembly:Lsat_Salinas_v7:2:111752125:111755052:-1 gene:gene-LSAT_2X48100 transcript:rna-gnl|WGS:NBSK|LSAT_2X48100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNLRRFKRLGSVFINNSSPLRRLSSSSAVLGSVDGQVQQPGTGTGKSINLYTAINQALQIALDSDPRSYIFGEDVGFGGVFRCTTGLADQFGKHRVFNTPLCEQGIVGFGIGLAAMGNRAIAEIQFADYIFPAFDQASAKFRYRSGNEFNCGGLTIRTPYGAVGHGGHYHSQSPEAFFCHVPGLKVVIPRSPKEAKGLLLSSIREPNPVVFFEPKWLYRLAVEEVPEGDYMLPLSEAEVIREGSDITLVGWGAQLAIMEQACVDAEKEGISCELIDLKTLIPWDKETVEASVRKTGRLLISHEAPVTGGFGAEISASIVERCFLRLEAPVARVCGLDTPFPLVFEPFYMPNKNKILDAIKDTVNY >cds-PLY72573.1 pep primary_assembly:Lsat_Salinas_v7:3:199761143:199765479:1 gene:gene-LSAT_3X120581 transcript:rna-gnl|WGS:NBSK|LSAT_3X120581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPVRVLVTGAAGQIGYALVPMIARGIMLGADQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDAVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEKHAAANCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISEKLGVQVSEVKNVIIWGNHSSTQYPDVTHATVGDKSVPELIKDDEWLKSGFISTVQQRGAAIIKARKLSSALSAASSACDHIRDWVCGTPEGTWVSMGVYSDGSYNVPAGLIYSFPVTCRNGEWTIVQGLSIDEFSRKKLDLTAEELTEEKALAYSCLS >cds-PLY77964.1 pep primary_assembly:Lsat_Salinas_v7:1:26646061:26647650:-1 gene:gene-LSAT_1X22421 transcript:rna-gnl|WGS:NBSK|LSAT_1X22421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGLPMLACLIQHTLKGLCTCSSSDNITSPKWVYAVFWRILPRIYPPPKWDNEGGVLDRAKGNKRNWILVWEDGFCDLDECERIGNNDGFLKGRFGRDIFFKLSHEVYNYGEGLIGKVAADNSHRWVFKDGSNEQSTDPSFLSSWNATIDPQPKAWDFHFNSGIKTIAVISVREGIIQLGSFDKILEDLNLVLTIQRKFSYLRSIPGLFHIQRPFSNVQVQQCTYNPLKQKTIGSEGAHQVIGSKVNIHAGESQHHKGPVWSLGSGYNTQENGPPFWPIPPLLPYKFGSQYDSTRIRNDGVGQCLGDEISQIKDPVQERKPGCFHPSAGLVVELGFGTRKVAQECDVKVKSQLS >cds-PLY68924.1 pep primary_assembly:Lsat_Salinas_v7:2:193138683:193144054:1 gene:gene-LSAT_2X113740 transcript:rna-gnl|WGS:NBSK|LSAT_2X113740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPLPFDRKDFLKDRKPSSADHVGIVPQRWREPPTTPSQHNIHGSSSFCRWGAGGGPSDFRRPFSGLHGKRVGGGWHNTTIESSSKENNCMTNSVSMAFDGQKDPKGVNSWETTASPNGNSLPHNDFVNSLDQLHSADQHAKNSGGGFSTTNNQRLEKETSLGSSLDWKPLKWIRSGSLSSRGSGFSHSSGCKIIGVVDPFNGKLGTQLGNNTPLRSPSEDASPCLTPMTHDEANSRKKQRLGWGEGLAKYEKKKVDPEDILDKEAGARNVMVDGVSGSEPLLTSPSSLTDKSPSVNGYSECASPTTPYSYACSSSPGLEEKESTKTITVDNDTYNPSVASCHVSENHTEGLSSNLELNLSSALDELLQTDDSSSPGPKFVKSTAMDKLHVWKAELTKTLEITETEIDSLEHELKSLVSDAGSFPTEYQNKTCGGPLPVEKTDSSPEEVNGAEDSDDKSSDTTSEFVESVSKCSEDVGNDDALGFVTSCGDHSSSTVSDGEDVDNGREDDKLYDFIFATNKVIANETSDELNRILLPTTHLCNKISKSTDESLNKTKIASRKRFLKFKERVITSKFRVLQHAWKEDLQLLSVKKTGGRSQKKFESSSRMGYADHQKYSSSIHSRLYPPGGSVSLVPTTETLDYVKKLLSDSRVKVHRKTLKMPCLILDRSERMMTRFVSDNGLVEDPVEVEKERSIVSAWTEEEQGIFLEKYSLFGKDFKKIASFLKNRTCGDCVEFYYKNHKSDCFQKIKKKSAFAKGMSCITNTYLVTSGRRMAADATSLEMLGAASEMVASVDGEQKLDSGMFCNEQETAAADVLAGICRSVSSEALGSCITSSVDNGDVQHHEHGRRRSRCQMVGGGSCSKRRQHLTQKEDVDDESCSDESCGEEVDTSTWSDAEKIKFIKAFRSCGKNFANISICVKTKTIDQCRVFFSKARKCLGLDVIDVEQADNNQEEEEEEEEEEGEDALMVDSGSDISQDNKSSLECSKMDNLVGSNGENTYSGEQSCASDDAKVASSEIFIQEGIREEDEEPMAMATTETDGCLDLNCNNMKLSCSDGNNGLLVSNLFPGNPTANCAIPFKKTIRIRQEEDESASSSRLSFRKSCEEDKKYESKDGFHLNLVPKHSLMDCAADESSQVFRGYPVNSGMIGYSEATQSQSQSKLKLGESLLMEARGPQDVEKPSRTGHGNVKLFGQIITNQPPPQAQAQAQENGCGGKVKSFGLKFDDDDDRRKVDVDVDVDTLLRRSDNGYWGDGNNNNRIRIPDSARLLAKYPAAFSKTSSFHPFTKFDQHQHSSSSSLNKIISAGFPSCSKNGGGRQEGVVDKLLLPNVNVVGGISDAVAAIRMHYAYAANKTESLQHLYDYNNKVEDEAAAWRRRMI >cds-PLY74910.1 pep primary_assembly:Lsat_Salinas_v7:3:129710990:129713452:1 gene:gene-LSAT_3X87680 transcript:rna-gnl|WGS:NBSK|LSAT_3X87680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNFVGVVVLFLGFCHVSMAEEKKTYIVHMAKHDMPTSFGEDHRQWFDASLKSVSESAEMIYTYEKVIHGFSTRLSVQEAESLENLPGILSVLPELKYELHTTRTPEFLGLDQNTNLFPQSAGDSDVVIGVFDTGVWPESKSFDDTGMGPVPSAWNGACETGTNFTLSNCNRKLIGARFFAKGYEATLGPIDESKENRSPRDDDGHGTHTSTTTGGSVVTGANLLGYASGSARGMAPRARVAVYKVCWVGGCFSSDILAAMEKAITDNVNVMSMSLGGGTADYYRDSVAIGAFAAMEKGILISCSAGNAGPTPYSLSNVSPWITTVGAGTLDRDFPAYVSLGNGKNFSGVSLYKGPSLPNKMLPIVYAGNASNSTSGALCMPGTLIPEMVKGKLVLCDRGVNARVQKGSVVKEAGGAGMVLTNTAANGEELVADAHLLPATTVGQKAGDEIRKYVISDSSPTATILFEGTKLGIEPSPVVASFSSRGPNTITPEILKPDILAPGVNILAGWSGAVGPTGLPDDSRRVEFNIISGTSMSCPHVSGLAALLKAAHPNWSPAAIKSALMTTAYTAYKNGKIIEDLATGNPSTPFDHGAGHVDPVSALNPGLVYDISADDYFDFLCALNYTAAQIQVVTKRTTGCDSTKKYSVGDLNYPSFAVVVPSGSSSKSGGATVVKQTRTLTNVGGESSTYKVSTFSDNKSVQISVVPQTLSFSQVNEKKSYTVTFTAAAMPVNSNAFGRIEWSDGKRVVGSTVAVSW >cds-PLY81345.1 pep primary_assembly:Lsat_Salinas_v7:8:4462126:4462637:1 gene:gene-LSAT_8X3481 transcript:rna-gnl|WGS:NBSK|LSAT_8X3481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSNTHTQGYKDWVLRNRWSEDLRCDCDEPATFSISKTVDNPWRKFRGCPNYQDSKKMRLFLWLDPPLPNTYYKETMWKFHMDLEEANNNKGFAMEVLKLSEEAKNNKEVQLDILNLLKVELLMMVMLLVVVIVMGFMVHNVMVKAM >cds-PLY65938.1 pep primary_assembly:Lsat_Salinas_v7:4:137022943:137027619:1 gene:gene-LSAT_4X85180 transcript:rna-gnl|WGS:NBSK|LSAT_4X85180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSSSCSSPLSIHRLDFAPPILTRPMSRFSSKPVTRFTPSTTCNTSSSSCSSASSSYFGLSISHKPLKLKNNHTLPRKRRGSFYIVAGVFERFTERAIKAVMFSQREAKALGKDMVFTQHLLLGLIAEDRSPGGFLESGITIDAAREAVRSIWDEEEEGDKKEQVTSTTSATDVSFSNSTKRVFEAAVEYSRTMGYKFIAPEHIAIGLLTVDDGSAGRVLKKLGADLNHLADVAVSRLQGELAKDGREPTKMLKNSSFGNKTSVRSPEKAKGKSALDQFCVDLTASASNGRIDPVIGRDNEVERIVQILCRRTKNNPILLGQAGVGKTAIAEGLAIRISDANVPVFLLTKRVMSLDIGLLISGAKERGELEGRVTSLIREVKESGDVILFIDEVHTLIGSGTVGRGNKGSGLDIGNLLKPSLGRGELQCIASTTMDEYRLHFDKDQALARRFQPVIIEEPNQEDAVRILMGLQEKYEAHHKCKYTLEAINAAVYLSSRYIPDRYLPDKAIDLIDEAGSKARMESFRKNKEQQIDILSKSPNDYWQEIKAVQAMHDVVLASRRSNLVDPTDTNNDDALTSSTSDDQEPTIVGANEIAAVASLWSGIPIQQLTADERMLLVGLEDRLKERVCGQNEAVDAICRAVKRSRVGLNDPDRPIAAMLFCGPTGVGKTELTKALAASYFGSESSMLRLDMSEYMERHTVSKLIGSPPGYVGYGEGGTLTEAIRKRPFTVVLLDEIEKAHPDIFNILLQIFEDGHLTDSQGRRVSFKNALVVMTSNVGSTAIAKGRHNSIGFLLDDNESSSSYAGLKSLVMEELKAYFRPELLNRIDEVVVFRALEKTQMLEILNMMLEEVKKRLVSFGINLEVSVPVLELICEQGFDRSYGARPLRRALTLIIENPLSESLLSGEFEKGDTAVIEIDGSGNPVVSNKSRRNVYLSDTSALS >cds-PLY79580.1 pep primary_assembly:Lsat_Salinas_v7:2:166143259:166145109:-1 gene:gene-LSAT_2X88200 transcript:rna-gnl|WGS:NBSK|LSAT_2X88200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRISRSSRWSTAVGVLFCAVVMVMLPDVSAKRFIVGGNMGWTSNVNYTLWAGNQTFYLGDWLYFVYDRNQNDVLEVNKTNYETCNAEHPLHNYTTGAGRDVVPLNVTRDYYFISSKGFCYGGMKLHVHVINLPPPQAATVKSHSSRFTAVKNQLFISLVVAVAAIWDT >cds-PLY67017.1 pep primary_assembly:Lsat_Salinas_v7:5:280707769:280709954:-1 gene:gene-LSAT_5X147161 transcript:rna-gnl|WGS:NBSK|LSAT_5X147161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKKIIIDTDPGIDDAMAIFLALRSPEITVIGLTTIYGNVYTTLATRNALHLLEVAGRTDIPVAEGSHVSYMKATKLRVADFVHGVDGLGNQNFPQPKSKPIEKSAAEYLVEQANLYPGEITVVALGPLTNIALAIQLDPTFTKKIGQIVLLGGAFAVNGNVNPASEANIFGDPEAADIVFTSGADVVAVGINVTHQVIMKDSELENMAESEGKFAKYLSKILDYYFSYHRDAYSMKGVYLHDPTALLAAVNPSLMTYTEGVVRVQTTGITRGLTLFFNKQKR >cds-PLY99095.1 pep primary_assembly:Lsat_Salinas_v7:5:138573675:138574164:1 gene:gene-LSAT_5X59200 transcript:rna-gnl|WGS:NBSK|LSAT_5X59200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTYVVRNPARIDQANPSIELGPEFKKVGDFLKRFKSIPSIIELESLKVSGDVWFGSSVVLKGKVVTAPKSEDKLEIPDKVEVHDAGDI >cds-PLY69243.1 pep primary_assembly:Lsat_Salinas_v7:2:129057293:129059628:1 gene:gene-LSAT_2X60481 transcript:rna-gnl|WGS:NBSK|LSAT_2X60481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKNPPPIHLTLTLHRLIRSLESWLFPLSIDSSPTNPSYLLFHNPFDFPWLTKDPQSLEVNLEVANMLDSKLLNGLHLGLVGDHQYINAGLAIMLSSTWLQRTGNLELETGLTSAKLQGRAQIILDNVCEGDVVFYLDGAHSPESMEVCANWFSITIGGDNSREDSA >cds-PLY86890.1 pep primary_assembly:Lsat_Salinas_v7:5:260868089:260876430:-1 gene:gene-LSAT_5X131001 transcript:rna-gnl|WGS:NBSK|LSAT_5X131001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSANSLHNKALKKCEEFMKQDDSIAVAIQNKNNFVKNGYQIQSSPSKSTTTRNNTALLVIDMQNDFVLEDAPLRVDGGLAIVPNVVKAVEVARQQGFLVVWVVREHDPMGRDVEVFRRHLYGDGKAKPTSKGSKGAELVDGLIIKEGDYKVVKTRFSAFFATNLHSFLQGAGIDSLVAVGVQTPNCIRQSVFDAVELDYKSVTVIVDATAAATPDVHAANEAFEKMGSYGLVPNMIVYLMNDYKIGVAKGTNILFFWSAASNFAPIFGAFLSDSYLGHFITIGLGSLFSLMGMFMMWLTTMVPQLKPPPCNQFLETCKSPTHSQFAFLIFAFILISIGSGGVRPCSLAFGAQQINHKNNPNDKRAIESFFGWYYAASAVAVLIAFTSIVYIQDHAGWKIGFGVPALLMVLSVLLFFVASSLYVKIKVEKSLFTSFLQVIVVAYKNRGIVIAPADRFHYLQNDSDNTPTERLRFLNKACIIRNPKDVKPEGIASNPWRLCTVKQVEELKSLIRVLPLWSSGLMMSINVSQSSFPVLQANTMDRHISTSTFQIPAGSFPFFTMATIAIWVVLYDRIIIPITSRILQKPVHLNVKLRMGIGLIVSTLAMVVSAIVEHLRRRKAIEEGLVNDPRAVVNMSAMWLVPQYCLHGLAEALSAIAQNEFFYSELPESMSSVAGSLFLVGMGVANLLASVILSTVEKLTKRNVEEGWIATNINRGHYDYYYWVLVILSFVNLFYFVGCSWAYGPCVDERVKEEPKQGADENLERDG >cds-PLY97028.1 pep primary_assembly:Lsat_Salinas_v7:4:125975948:125981411:1 gene:gene-LSAT_4X79960 transcript:rna-gnl|WGS:NBSK|LSAT_4X79960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAPLSPLSPVDDATVVRFYEGKWSLVLVDSSVKMLLHCYWITFLLSLSCFLGVLHASIGDADPSYRSCLIDCEKIGCVGDTCFPHCNFSSNATSHYLQWKQWDCQSDCRYHCMLKKEQERASLGQKPVKYHGKWPFKRVFGIQEPASVAFSALNLAMHFHGWLSFFILLHYKLPMKLDRKPYYDYAGLWHLYGLLALNSWFWSVVFHSRDVELTEKLDYFSAIALLGYSLIISILRSFNVRLEAARVMVSAPLLAFITTHILYLNNYKLDYGWNMKVCVTMGVAQLLIWAIWGGITHHPSRIKLWFVIVSGALAMLLEIYDFPPYEGFIDAHAVWHATTIPLTYMWWSFIKDDAQFRTSVLLNKVK >cds-PLY62097.1 pep primary_assembly:Lsat_Salinas_v7:6:95960352:95960838:1 gene:gene-LSAT_6X64380 transcript:rna-gnl|WGS:NBSK|LSAT_6X64380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQILHDLSYLRESKYSEAKILLCLNITQSQLKGFDALLHQSKQAAKDVPFVSEHVPETQEDNDENKEESDYEDGVEESQVDNDEDEAEDDEEGVDDTQVRVRTRKPSERITENMLKKTVVDKKGIGMAPLKPLSLD >cds-PLY69779.1 pep primary_assembly:Lsat_Salinas_v7:5:231660909:231662035:-1 gene:gene-LSAT_5X111761 transcript:rna-gnl|WGS:NBSK|LSAT_5X111761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLECGMSKKRNKKRHLHLMQRPLRLLKIRNINNFLRYVHAELHGASSTVIKNHKPDNPVPPLTLNQAGYFRVSNTTATGEYLPGFGIVFWLDETSLGSHMNERRVRGEEGGINDSEDSEPFKELFDSGSENESPDSEYHVNVSNLSTNNQKIMDLTSEVGSLCEITTSGINNTNSQEVSIPTVSPELSDLLEKALELKSGATSAKKYGFDALEMKSKNNNEEEKE >cds-PLY85139.1 pep primary_assembly:Lsat_Salinas_v7:9:148982544:148983613:1 gene:gene-LSAT_9X94741 transcript:rna-gnl|WGS:NBSK|LSAT_9X94741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQVVIIYVQVNLYKDDALHHLQDLCLSSMVLTEVISFNKHNVRHAGVGLDKPNEWGFDTTQVDNILKKLKVRMMMKKQAYQRTIVKKLHVPKEATPAPPQAAAPFQFGGQPNQVLPQNPFQSSSVEFNAGGGSFSLGSGGGDKSGRRMVRATKSKNKRK >cds-PLY78022.1 pep primary_assembly:Lsat_Salinas_v7:9:44403515:44406271:1 gene:gene-LSAT_9X39741 transcript:rna-gnl|WGS:NBSK|LSAT_9X39741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNRSSSKDSMVGAGGRNHNHSNNHNAMTNGILSHRRGMSFTKNNASENFNNSNNMDLFSRSRTSLPAASSDESDLQARLARLSVGSAKPAKSILDDLLNSNEGGKNDYDWLLTPPGTPLFPSLDGKESQQPARVTARSKSSVRSSSINKTSRLSVSQSETNHPSRPARSSSVTRSSISTTQYTNYSSRNTNILNTSSASVSSYIRPSTPTKTARPSTPSTRTTPSRTSTPSRTLDIXPTPIRQNTRPSTPTSRPQTPGNLTPSPTIRPPSRSSTPTRRTLTPSLTPSTSSLTSASRGLTSNGRSNSSAVGSTSRPSSPSPRVRPPPQPMNIIDFPHETPPNLRTTLPDRPLSAGRSRPGGSTTVKGNLDNSNTGSGTITRRHSSPNVIRGRIPEPSGSGRSRPNSNGNVVESLDPRRTSHLPQSVTRKPVKSSNSENGTGFGRNISKKSLDMAIKHMDIRNGGVRPLSGSTLFPQSIRSSNSRAHPTATRASSVDGGGSENGNYSNNGYLWNRAGGGGGPMEDQSPHSSKLSSEVDMYESSRYDAILLKEDLKNTSWLHTADDKTTDEALLFDHGFESLPEPFSPL >cds-PLY84121.1 pep primary_assembly:Lsat_Salinas_v7:6:190535333:190537411:1 gene:gene-LSAT_6X115920 transcript:rna-gnl|WGS:NBSK|LSAT_6X115920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLASLDQIPSVCGGGGGGFLDTPQAYGLDMLAFHDYGGASLFDMLQQPTPPQSLMVKSAPQASTMLETSEVVNTPPTNSSSLSSSSNEPVNDVDQENNTRSDDDQEKNANKQLKPKKKNQKKQKGPRFAFMTKTEVDHLDDGYRWRKYGQKAVKNSPFPRSYYRCTSASCGVKKRVERLTEDPAVVVTTYEGIHIHPCPVTPRGMLPETATYGGLGGGGGSSYILPPFHYQQPLRPFFHNQTNSLSFNNTTTPSSYSHYLQERQFCPPSMTSSLHRDQGLLQDMVSFQIRKEEPKVEPS >cds-PLY98846.1 pep primary_assembly:Lsat_Salinas_v7:7:24146190:24147088:-1 gene:gene-LSAT_7X20241 transcript:rna-gnl|WGS:NBSK|LSAT_7X20241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPEKPPPAIIVQPASPRFPKPGALSAGTQRKVAIAVDLSDESAYAVNWAVQNYLRPGDSVILLHVRPTSVLYGADWGVVEDPDTATDEESQQKLEDDFDAFTTTKANDIAKPLVDAQINFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGASRRTSKGQRLGSVSDYCVHHCVCPVVVVRYPDDKDGEIAVGSPLRVNGGSEVSLHPVPEEEPEFHDASDKQSG >cds-PLY66999.1 pep primary_assembly:Lsat_Salinas_v7:6:141254632:141261992:1 gene:gene-LSAT_6X85540 transcript:rna-gnl|WGS:NBSK|LSAT_6X85540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDGSLYAPLQANPPPDENVTPMANAGVLSIFTFWWLNPLMSKGKSQVLDDKDIPKLRKEDTAEDCYSSFMETLEKRRAKSVSGGHGDSDPPVLSTLFTWQRKELVITGIFALIKVLALASGPLILRAFIQLVQGNESFENEGYFLTLGLFLAKCLESISERQLKFRSRVIGLQVKSMLCAAIFKKQLRLSNDAKLIYSSGQIMNYATVDATRIGEVPFWFHNIWTIGLQICLGIFIIYYSIGIATIAALLVIVLTVVVNIPLGKLQHKYITKLMGAQDRRLKAITEAISNMKVLKLYAWETHFREAAEELRKEEMKWLSAVMMQRGFFMLMFWSSPAIVAVVTFWTCYLLGIELNASNVFTFLATIRIIQEPIQAISDVAAVFIEGRVALTRVVEFLQAPELQREGKNHGNMEDRAVVINCESISWNDDSSKPTLVDVKLEVLTGKKVAICGEVGSGKSTLISAVLGEVPNIKGTIEVNGKVAYVSQTAWIQTGTIRDNILFGNLMDEEKYQYVITKCSLVKDIEMFSFGDQTIIGERGVNLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTASSLFKEFIMEALSSKTVLLVTHQVDFLPAFDDILLMEDGKIVETGTYDQLLESSKEFQNLVIALSENSGSDNHTADGSKQKSESPIQEIEKIKPIKEIEQSVGEQLIKKEERESGDTGLRPYKQYLTQSNGVIFFSLSVLLHLLYIIGQFSQNLWLAKEVQNVSISQMELLFVYMILGIVMMVFLLGRSCFIYKLTINTSIAMFNKLITCLYRAPMSFYDSTPVGRIISRVSSDLSIVDLELATKLTFTVGTTMNTYFSLGILAVLTWPILVIIIPTVYVTILLQKFYYASANELMRLDGTSKSLVTSHLAQSIAGVVTIRAFGEEDRFFVEHLNLIDNNASPFFHSFSANEWLIQRLEMLCALVVASFALAITLLPFQASDSGLIGMALSYGLSLNVFVVLSVQFQCQLSNLIVSVERLEQYMHILSEAPEIIEDNRPPSNWPSSGRVDIQNLKIRYQPNSPLVLQGISCVFEGGNKIGIVGRTGSGKTTLISALFRLVEPTEGRIIIDELDITTIGLHDLRSNFGIIPQEPTLFNGSIRYNLDPLGEHSDQELWQVLEKCQLRDAIQDKKDGLDSMVVQDGSNWSLGQRQLFCLGRALLKRRKILVLDEATASIDNATDTIIQKTIREEFQDCTVITVAHRIPTVIDCSMVLVMKDGKVMEYDEPSKLMSQPDSLFAQLVNEYWSQHKTT >cds-PLY65903.1 pep primary_assembly:Lsat_Salinas_v7:5:38693466:38694549:1 gene:gene-LSAT_5X18740 transcript:rna-gnl|WGS:NBSK|LSAT_5X18740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATMDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLLSKEATVGEKQFSSQGHQGNFSKNEGAGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIEPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGVNFNGMFSSPIEIDITSAPSATAASSPVKMSLSGQSRPKHTL >cds-PLY66517.1 pep primary_assembly:Lsat_Salinas_v7:4:341145175:341148197:-1 gene:gene-LSAT_4X169080 transcript:rna-gnl|WGS:NBSK|LSAT_4X169080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTLCTWCHLESSAISLPPLISRHSIVKLSNLHGSNNRNRILWWPRTQFVSFSVSLQPIPLLLTAVFTLMADTHSSGDAELSSVDRNFTEIVVVRHGETEWNAEKRIQGHLDIDLNDVGRQQAVAVAERLSGESKISAIYSSDLKRALETAETIANRCGGLQVIQDETLRERHLGDLQGLVYSEAPKIKTKAYEALQSRHTNVEIPGGGESLDQLYKRCTSSLQTIASKHRGERVVVVTHGGVIRALHQRAYTGSGQRAGRILNVSVNVLHLSDPDRWVIKSWGDVSHLNGAGYLDSGFGGDRTSG >cds-PLY78233.1 pep primary_assembly:Lsat_Salinas_v7:6:7891700:7893328:1 gene:gene-LSAT_6X4821 transcript:rna-gnl|WGS:NBSK|LSAT_6X4821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPTSIKHYKITERSSSKPSPPSSSTGSISLVNDPLPPIADDASQINETETNNSRKKFHTTCLEVTKLDAADEVAGEREAYMANVFARYRKSILERTKHHLGYPYNLDFNYGALSQLQHLSINNLGDPFIESNYGVHSKLVCSFMGVGKERILGLHYKLWNRGQSSWHTMFPDGILYASYESHYSIFKAARMYRMDCEIVLKTLYIMLFTFFSNEFTGTTVKGDVDDLDLVIKTLEETDFISTAPKVSFKKPIGLGYHCCGK >cds-PLY75889.1 pep primary_assembly:Lsat_Salinas_v7:1:172392265:172392429:1 gene:gene-LSAT_1X115181 transcript:rna-gnl|WGS:NBSK|LSAT_1X115181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSYNMIGIVAATFIFNRKKYDNFVKGWIFGSIQYNILVKGWIFGSVNFYKTKR >cds-PLY62489.1 pep primary_assembly:Lsat_Salinas_v7:1:82663163:82668006:-1 gene:gene-LSAT_1X71701 transcript:rna-gnl|WGS:NBSK|LSAT_1X71701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIETLPGSSGFIDSSHDKKITYFSNTYVLGLTVVAGIGGLLFGYDTGVISGALLYIRDEFEAVDQSSFLQETIVSMALVGAMIGAAAGGAINDEYGRKAATLLADVIFALGSFVMAAAWNPYVIIFGRLLVGLGVGVASVTAPMYIAEAAPSEIRGGLVSTNVLMITSGQFLSYLVNLAFTEVRGTWRWMLGVAAVPAIIQFFLMLFLPESPRWLYMKKSKSDAIVVLSKIYDPYRLEEELDQLSTALEEENQRKNAVSYWDVFRIKEIRLAFFAGAGLQAFQQFTGINTVMYYSPTIVQMAGFKSNQLALLLSLIVALMNAAGTVVGIYLIDHFGRRKLALSSLSGVILSLILLSVAFYFESIGVANIGWVAVLGLALYIAFFAPGMGPVPWTVNSEIYPESYRGICGGMSATVNWVSNLVVAQSFLSIAEAVGTGWTFLILAAIAVLAFAFVFVFVPETKGLSFEEVERIWKERAWGRSSSSRLESLIENREEP >cds-PLY82033.1 pep primary_assembly:Lsat_Salinas_v7:9:157218453:157219032:1 gene:gene-LSAT_9X98340 transcript:rna-gnl|WGS:NBSK|LSAT_9X98340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSSSDDDGNGDEDDDEDEISDRVDHDDLEDGEFRSTEVEVVMESNLEEVASSLADVPMEVDDRRTKSDRHGEPQGSGINIHDKLQSEFESLGNNEYINVVEQDDVLDCEDIAVAVNRNGDTGGSLNELLDNLANIGCFGPFPNNVGVKDIQMGEQETLLRLMPL >cds-PLY68796.1 pep primary_assembly:Lsat_Salinas_v7:3:64595411:64601917:1 gene:gene-LSAT_3X49941 transcript:rna-gnl|WGS:NBSK|LSAT_3X49941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFFEKAVAVRLKSHLDKYLVAGDDLETVRQSRSSGSTRAARWLVEHVESNDHVIRLKSCYGRYLTATNTPFFFGTTGNKVVQSLTNNTRDLAIEWQPVRDGFQFKLKSFAGTYLRANGAVPPWRNTVSHDGSFTSSANNWILWDVEAVDVTEDEEEDNNNHLSIVPYVSAVADELSGLELGSPIPVQSPRFYRRHHHHHALSLMRKLPHFRLNFSIKLIKPRQLMQQQQQKQEQEYSGPSIMDLLRNAKAVRLRSHHGKFLHADDDQESVSQNRSATAHNNIWTIEFVSNTPDNVTIIRLKSCYDKYLTASNHHFLLGMTGKKVLQTVPNRLDSSVEWEPMGHGKQVKLKTRYGHYLRANGGLPPWRNSVTHDIPHRSATQGWINWQIEIVDVPLQLPAPTSSTTQVVPHSDPIPSSEPNSPSTIWSKSTSFWNQETIDWPLKREEGRAIYYHVISEDLGETEENENTQGFCISFKGKEVNELTRTLEQETRLHNITVCSQSPLDGKLYPLQLQLPPNNATMKVVVVQNPFQGEKEKRLRLRR >cds-PLY82220.1 pep primary_assembly:Lsat_Salinas_v7:1:66777167:66782974:1 gene:gene-LSAT_1X58301 transcript:rna-gnl|WGS:NBSK|LSAT_1X58301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYRPNAQGGRRGGGRGGGRGGGRRGGGGGGGGGRGEQRWWDPAWRAERLRQTQGEVEAFDKNHWWGKMEQMKSGGDVELIIKHFFSRGDQQTLSDMAYQLGLYFHAYNKGKALVVSKVPLPNYRADLDERHGSSQKEIHMSTETERRVENLLDSSTATEIVNNFSTTSIQTAKQSPQESDKATGISDNAKKALNIELKERQERQKESDRVKAMCLFREKLPAHKMKSEFLKAVAENQVLVVSGETGCGKTTQLPQFILEEEISSLRGSECNIICTQPRRISAISVAARIANERGENLGQSVGYQIRLESNRSEQTRLLFCTTGVLLRKLVQDPNLTGISHLLVDEIHERGMNEDFLLIILRDLLPQRPDLRLILMSATINADLFSKYFGNAPTIHIPGLTFPVQELFLEDVLEKTRYVVKSEADNEADINSVYKSYSANTRQSLEAWSGSETDLGLVEATIEYICRHEGPGAILVFLTGWDEISKLLDNVKANNFLNNPTKFLVLPLHGSMPTINQREIFDRPPSGTRKVVLATNIAESSITIDDVVYVVDCGKAKETSYDALNKLACLLPSWISKASSHQVGKFYYMFYSKLHFWSLNISGTKNIKSLQLGAVGSFLAKALQPPDALSVQNAVELLKTIGALDDREELTPLGRHLCTLPLEPNIGKMLLMGSIFQCVNPALTIAAALAYRSPFILPINRKEEADEAKRSFAGDSCSDHIALLKAFEGWKEAKRSGNEKSFCWENFLSVQTLKMIADMRLQFLDLLSDIGFIDKSKGANAYNQYSDDMEMVCAILCAGLYPNVVQCKRRGKRTALYTKEVGKVDIHPASVNAGVHIFPLPFMVYSEKVKTSSVYIRDATNISDYALLMFGGNLVETKNGDGIEMLDGYLQFSASKSVMGLIKKLRGEVDRLLNRKIEDPKLDVNVEGKGVVAALVELLHNQNVRY >cds-PLY87922.1 pep primary_assembly:Lsat_Salinas_v7:4:33550537:33554471:1 gene:gene-LSAT_4X22040 transcript:rna-gnl|WGS:NBSK|LSAT_4X22040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWSNNNMEWSDSNRGSNNRGRGRGRGRNYGGGDGGGRSYGVSQDGGGRNYGGRGGDGGGRNSGAGYYPRGGGRGRTSPQQYSNQNQEQYGRGRGRVSDGGGRGGGGXRQNADRAPDHPGGDREAKRSPVGSGQSVESPSQALTHTQNRVADLQIQEVPNCVSPSNDDGEHANVPIKRPDHGTHAMRFVKLLVNHFPVKFIPSKTILRYDVDVKHDVPSSSRSAKKSIPKTELRLIQQKLCSDNPNQFPLLQTAYDGEKNIYSAISLPEGIYNVQLNGRSYSCSIKYGNELALSKLQDFLKGNSTQIPRDVLQALDVVMKANLFREKVSVGRGMYPRVHRQEDDLGYGIAAFRGSQQSLKLTKNGLVVCLDYSAIPFRKRMPVIDFLMESIPNIRDVSEIGRFGNIVVKALTGLRVSVTHRRTNQKYVVSGLTEKATKDISFVLEDLEGKKEPEVVMLTDYFREKWGKEIKHKGIPCLNLGSSKKQNYVPMEFCFLAEDKRYPKEQLGKEAARILKDLSLLNPNTRRNEIRSMVRDEYAPGKNGANSIKHFDVEVGMSMTEVDGRVMAPPQLKLGSLNGKTMTTTVDKTKCHWNLLQGRTLTQGKSCERWALINFNRDNRQMNQQVDYFIGKLINRCHSIGIQMFDPLFVHHTTMREFSDINRLDRLLKRVTEESRRSTKERLQLIVCIMSDKNDGYKYLKWVSETQIGVITQCCLSFNAFKANDQFLANLGMKINAKLGGSNVELIEKFPRFNGPDHFMFIGADVNHPAPSNESSPSVAAVVGSVNPTATRYAARVSPQTHRKEEIVNFGSLCVDLVNTYYNINGTKPNKIIVFRDGVSDGQFDMVLNKEMVDMKKALYDKSYRPAITFVIAQKRHTTRLFLNNGNEVGNVPPGTVVDTTIVQPFEFDFYLCSHFGGMGTSKPCHYSVIWDEIGFSSDEMQKLVYHLCYVFARCTKPVSLVPPVYYADLVAYRGRMFQEVAAESESGTPGSVPASFDRFFYTVEQNLKDAMFFV >cds-PLY78959.1 pep primary_assembly:Lsat_Salinas_v7:3:70624255:70625148:-1 gene:gene-LSAT_3X55340 transcript:rna-gnl|WGS:NBSK|LSAT_3X55340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSRTVQIKIKSSGDVFHELWKTDPHQVPSLTPTTIQNCQTHEGEVGTVGSVLLWNYFHDGKDRVSKTQIMEIDEGKKSITFKLLEGDLTELYKTFIIHVHVDTHGLSNLVTWTVEYEKLSPDVPDPDTLMEFYEKVTKDIETHHLKN >cds-PLY73224.1 pep primary_assembly:Lsat_Salinas_v7:8:178016388:178019158:1 gene:gene-LSAT_8X116340 transcript:rna-gnl|WGS:NBSK|LSAT_8X116340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDTNATIQEKTTAEPVRPLANFPPSVWGDRFLSFTLDNSELEGYAKAMEAPKEELRRLIVDQTMDSNKKLSLIYSVHRLGLTYLFLQEIEAQLDKIFKEFNLQDYDEVDLYTTSINFQVFRHLGYKLPCDVFNKFKDNTSGAFKEDISTDVKGMLGLYESSQLRTRGESILDEASSFTETKLKSVVNNLEGNLAQQVLQSLRRPFHQGMPMVEARLYFSNYSEECATHECLLKLAKLHFSYLELQQKEELRIVSKWWKDMRFQETTPYIRDRVPEIYLWILGLYFEPRYSLARIIATKITLFLVVLDDTYDAYATIEEIRLLTDAINRWDMSAMEQIPEYIRPFYKILLDEYAELEKQLAIEGRAKSVIASKEAFQDIARGYLEEAEWTNSGYVASFPEYMKNGLITSAYNVISKSALVGMGDIVSENALAWYESHPKTLQASELISRLQDDVMTYQFERERGQSATGVDAYIKTYGVSEKEAIDELKIMIENAWKDINEGCLKPREVSMDLLAPILNLARMIDVVYRYDDGFTFPGKTMKEYITLLFVGSVSM >cds-PLY81692.1 pep primary_assembly:Lsat_Salinas_v7:3:33301318:33304012:1 gene:gene-LSAT_3X25181 transcript:rna-gnl|WGS:NBSK|LSAT_3X25181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSSTPAESPLAAASGQPYRTAFHFQPPQNWMNDPNGQMWYNGVYHFFYQHNPFGPFFNIRMHWGHSVSHDLINWIHLDSAFTPIDPFDINGCWSGSATILPGNKPIMLYTGIDSENRQVQNLAVPKDLSDPYLKEWVKHAGNPVISLPEGIQHDDFRDPTTTWLQEDGKWRLLVGSQKDKTGIAFLYHSDDLVNWSKHDSPLHQVPGTGIWECPDFFPVWVDSTNGVDTSVINPSNEVKHVLKVGLQDQFKDYYVIGNYSPEKEHYVPEDELTLSSLRLDYGRYYASKSFFDPVKKRRIIMGWVHETDSEADAIAKGWAGVQSFPRSIWLDKNQKQLLQWPIEEIEMLHENKVSFQNKKLEGGSLHEIQGITASQADVKISFKLSNLEEVEELDPSWDDPQLICTESDASKKGKFGPFGILVLASNDLTEQTAIFFRVFQKKGRYVVAMCSDQSQSSTKNGIDKTSYGAFVDIDPQQDEISLRTLIDHSIVESFGGGGKTCITARVYPTLAIGEDARLFAFNYGTESVVISELSAWSLKKARLNKEKP >cds-PLY96714.1 pep primary_assembly:Lsat_Salinas_v7:6:76325939:76328322:1 gene:gene-LSAT_6X56581 transcript:rna-gnl|WGS:NBSK|LSAT_6X56581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSSVCRHHSPPSSPENLISNFKAFALPSYPINPILTKKNIGYDNLRKSRPSVHSNSIIVSSYGGDRKAYDATSESSPAKKLRQLLDSPGIHQGPACFNALSAKLVERAGFQFCFTTGFGISAARLGLPDTGLISYGEMVEQGQQITEAVSIPVIGDGDNGYGNAMNMKRTVKGYIKAGFAGIILEDQVSPKACGHTQGRKVVSREEAVMKIKAAVDARKESGCDIVIVARTDSRQALSLEEALWRSRAFADAGADVLFIDALASKEEMKAFCEISPGVPKMANMLEGGGKTPILTPLELEEIGYKLIAYPLSLLGVSIRAMDDALVAIKGGRVPSPASMPSFEEVKEILGFNTYYEEEKLYATKNTQPVWQTGGGNPYGIRRWGQDDKEQTGEGEEASKPVVELMNPDMYKYGGGGSNDPFSGIWSRSLRVKITGRDGFEKLDIRIPAGFLDGITNIVPALGGVNIKALLDDVSFEVGGKLLLDFKDSMGDRIQVFLE >cds-PLY70325.1 pep primary_assembly:Lsat_Salinas_v7:4:98169957:98172321:-1 gene:gene-LSAT_4X63501 transcript:rna-gnl|WGS:NBSK|LSAT_4X63501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMMDEGGTQYHARVFNQNFSRFRHLLKEDESYIVIKPNMAAVTNGFSYTDVIGQIVSFRPLETSNPVASKHYMKLTLSNLEYIIPINVQDCAGTIGLTLFDREAKRPLNISAYELKKIHEAAGDSDGLFPMQLNVLKNRKFGFVVDIIEYNVNNYNNIYTVLRVIEDMSIVFESESKIELMSIQSVSLNQVALESDDVVQPVQKDVISQTNESFSPSTVDKSIATSSSKISNDLKRNLQEIYDVESRDDLSSTKAKRKSTGEETPLIIPKMEK >cds-PLY87201.1 pep primary_assembly:Lsat_Salinas_v7:4:308602925:308603224:-1 gene:gene-LSAT_4X155080 transcript:rna-gnl|WGS:NBSK|LSAT_4X155080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAFMEEFQHLKIQLQEINLATTNFDMNNVIGKGGFGMVYEGVLSHSKRQSMVAFKRLNRSNGQGNCEFLKEIMMLSRYTHENLISLLGSLSGPNSIFV >cds-PLY75528.1 pep primary_assembly:Lsat_Salinas_v7:9:32135542:32139275:-1 gene:gene-LSAT_9X28961 transcript:rna-gnl|WGS:NBSK|LSAT_9X28961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVRETGVAYGLSKAGKTKKNVVNDALTEDEELRNINNGSFTGVHFAGLYPIRCWITKKGSITSIQAVNFPTDDDFTNPTPAITSTHLDATIVLCRRVKGYFVCKVGMHRDFNSKQQSSPQILQMFGPHLLLQSIANYKVSVYSTK >cds-PLY90138.1 pep primary_assembly:Lsat_Salinas_v7:7:13833636:13835098:1 gene:gene-LSAT_7X10960 transcript:rna-gnl|WGS:NBSK|LSAT_7X10960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMERSNLDSEIEFPQDFRCPISRDLMKEPVIISTGVTYERKNIEKWFFTYKKKTCPATMQGIENFGVTPNHTLKRLILVWQNSHSPSSSSSCSPCSSSSSPLPSFKRDEMVSLLKTLGSSPFKVNSLRKLKEIMEIGDDMRLDFVILGGLDVLFPLIVQILVDCSDFMTFRACEEALGVLQLLLISEEDDGKVIELLSKPECMKSMAIILQRGSKDARVYTISIFKKLAENSNFNWNVIVNDQGISMFKSILELASDEISTKASSSALQVLIKILDSSKKSRSKAIEAGAMCTLIELLPDSNRSKCEKILQIIKLLCECADGRVAFIEHRLGIGAISKKLFNISEMASKICVKIFSLICSFHPTEKVLEEMMIYGAVKKLVVMLHMSGPSATRNKVLDMLKKHGNFWSRYPCFPGELKEYLGLDNRR >cds-PLY93962.1 pep primary_assembly:Lsat_Salinas_v7:8:110579717:110580550:-1 gene:gene-LSAT_8X74300 transcript:rna-gnl|WGS:NBSK|LSAT_8X74300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSYSFPMRLPPLISSSSSSSSSSSLATSMKFKTLVHNFIFSHLCRFTRTYTKAKSIVINLFKETHLNNIKFLDPFILKRNKTKNKNKVYFGSFRLHYNWCSSHVVPVTSLEMQDAFNNHVYYDSTWRSYVDHEMVLESQLTGYLHWLEEKNKGDGGCDQINEIDRLADKFIANGHEKFMLEKQESYRRFQEMMARSV >cds-PLY65168.1 pep primary_assembly:Lsat_Salinas_v7:5:249596965:249598422:1 gene:gene-LSAT_5X124021 transcript:rna-gnl|WGS:NBSK|LSAT_5X124021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQLPGRTDNEIKNYWNIRLKRHVRAGLPIYPPEILREIQHRRFNTQKLELPPQNQIQFSSLSSLSLPQNPDFRSFPSSSSSSFEENPNFNCIPSSHQTNTASISTIQENPDSSSINSMSLIELAMSYPLLIATLYPPINMYQLNLHSHNNGGVVFSPPPSQHLKRSNSPYTPVFAGSQLAPSDIDTVQMDDPFMSENFEIIEIPSIQSCFEAITPTFSSTNGSDHFIVTSNGEDNYNMDPDLCSGRNSGLLQDVLRQPAALLSSQLPCKQSENDKPAEGRLIMHDDSVAEMNHPNITDANLESGFGSSADDMNLVDDISSLFSSNDIGVLSEVDVFEILNEMNDMVDFPLSGPPQALNLRSNGASTEPANVSDGNMDPATEIQGELVCCPVPTTQTEIGDGWATDVFSFIENNPADTDQEYAQELMGSQMAITLIEQERDWALGYMPSFS >cds-PLY71243.1 pep primary_assembly:Lsat_Salinas_v7:6:19421070:19424166:1 gene:gene-LSAT_6X14601 transcript:rna-gnl|WGS:NBSK|LSAT_6X14601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWESIFLTVAATAGNNIGKVLQKKGTVILPPLSLKLKVVRAYASNKPWLIGFIMDIFGALLMLRALSLAPVSVIQPVSGCGLAILSVFSHFYLKEVMNAVDWLGITLAGLGTIGVGAGGEEQKASSISILHLPWVACGVAFLFVLLNGWLRIYRRQRREQELMQSEVVEEIIYGLESGILIASVISKMGFVFLEQGFSALLVPVCISISICCSASGFVYQTRGLKHGRAVVVSTCAAVASIVTGVLAGMLALGERLPESPTSRLWLLLGWLLIVTGVILLVTSTRLIRFLPRKWRPVERNFGSRQPSSLRSRDQNSNPSTIIQAATLHHLVTSPSKAKP >cds-PLY93901.1 pep primary_assembly:Lsat_Salinas_v7:7:181491770:181493990:1 gene:gene-LSAT_7X107201 transcript:rna-gnl|WGS:NBSK|LSAT_7X107201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPKIPHGNSMDDYTLTEKNKKTLEFIEDVTSNPDQVQQRVLSEILTQNANVEYLNRHGFSGQTDRDTFKKLIPVITYEDLQPDITRIANGDKTPILSSHPISEFLTSSGTSGGERKLMPTIEDELDRRSLLYSLLMPVMNQFVPGLDKGKGMYFLFIKSEAKTPGGLVARPVLTSYYKSSHFKQRPYDPYTNYTSPNETILCSDSYQSMYSQMLCGLCLHNEVLRVGAVFASGFIRAIRFLEKNWTFLCHDIKTGTLNPTITDPSVREAVMRVLKPDPKLSDFIEFECSKKSWQGIITRLWPNTKYIDVIVTGTMSQYIPTLDYYGNGLPLVCTMYASSECYFGVNLNPLCKPSEVSYTLIPTMAYFEFLPVHRNNGVTSSISMPKSLNEKEQQELVDLSDVKLGQEYELVVTTYAGLYRYRVGDVLRVAGFKNKSPQFNFICRKNVALSIDSDKTDEVELHKAVENAVTHLMPFDVTLTEYTSYADTTTIPGHYVIFWELSVNNEATPVPPSVFEDCCLAIEESLNSVYRQGRASDKSIGPLEIKIVENGTFDKLMDYAISLGASINQYKTPRCVKFAPIIELLSSRVASSYFSPKCPKWVPGHKQWGNVC >cds-PLY89778.1 pep primary_assembly:Lsat_Salinas_v7:1:7482185:7483814:-1 gene:gene-LSAT_1X6001 transcript:rna-gnl|WGS:NBSK|LSAT_1X6001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTDYQGSSSPNFTSFGRSILSMKRDPVVHSIDHEIPHQEKEIEAFQRQVTQRFHELSAVDSQELLSVSWISKLLDVFLCCQEEFKAILFNHNSSLSKQPMDKLISDYFERSIKGLDVCNAIRDGIEQIRQWQKQLDIVLCALDNQKGLGEGQIRRAKKALIDLAIEMLDEKDSNTNLAQRNRSFGRYQKDSQGNKSLKHFRSLSWSVPRSWSSSKQLQAIGNNIVPPKTNEIIATNGLAVVVYTMSHVFLFVMWALVAAIPCQDRGLQSHFNMPKNFIWGAPILLLHERILEESKKKERRNTCGLLKEIYGIEKSARFMNELTDSIQFPLPEEKEEEVRKRVDDLRVVYGALKNGLDPLEKQVREVFHRIVRSRTEGLDSIARGSD >cds-PLY68553.1 pep primary_assembly:Lsat_Salinas_v7:8:297512170:297516111:1 gene:gene-LSAT_8X164460 transcript:rna-gnl|WGS:NBSK|LSAT_8X164460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVMPMSALLLLALSIFSFLTLTNHHPSLSLFTKRPTSHLHIHKRLQTQNAELQCTGALYHDLCISTLTRIVPDLTSKSLPEIISATVNETISDVRSTDFNVTNIRRKLPHLTVLEIRALEDCHTLFLETVSQLKSAVSDLSKSSSDKYNDLRTLLSAAMTNQETCLDGFSGSKSEVKISRHFRKALKGISRQVSNSLALLKKINGTSKGKSEYGKMSGGFPQWVKRSDRRLLQTTVNETTYNLVVAQDGSGNFTTIGEALNAAPNSSATRFVIYIKAGAYYEYVEVINKKSKVMFVGDGIGKTLIKGNRNVVDGWTTFRSATVIAVGANFIAKGITFENYAGPSKHQAVALRSGSDFSVFYQCSFVAYQDTLYVHSLRQFYRECDVYGTVDFVFGNAAVVFQKSNFYARQPDPKQKNIFTAQGRDDPNQNTGISILECKIAAGSELIPNQSMFKSYLGRPWKEYSRTVILRSYIGDLIDPAGWLEWDGNFALSTLYYGEYMNRGPGSNTSARVTWPGYKPILNTTEASQFTVGNFIQGGEWLNDTGVPYYLDLQ >cds-PLY62896.1 pep primary_assembly:Lsat_Salinas_v7:4:326363359:326366314:-1 gene:gene-LSAT_4X163180 transcript:rna-gnl|WGS:NBSK|LSAT_4X163180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSDHHQQLLDSDDRETSYDQTEKIHIVGVDDNDDDYINATPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLLWATAIGLLVQLLSARLGVATGRHLAELCREEYPNWAGKLLWIMAELALIGADIQEVIGSAIALKILTNGYLPLWAGVLITAFDCFIFLFLENYGVRKLEALFAVLIAVMAISFAWMFGETKPDAKELLIGIVVPKLNSKTIQQAVGVVGCIIMPHNVFLHSALVQSREVDPRKTGRVREALRYYSIESTIALAISFFINLLVTTVFAKAFFGTGIADKIGLGNAGQFLEERFGGGVVPILYIWAVGLLAAGQSSTITGTYAGQFIMGGFLDLRLKKWARALITRSCAIVPTLIVALTFDGSEDTLDGLNEWLNVLQSVQIPFALIPLLCLVAKEDLMGVFVIGRFLKTISWLVAALVIAINGYLLQQFFAEEVSGPAFTSTVVIFTVAYVAFIVYLIWRSVVGSAFGFFKLGSQST >cds-PLY92305.1 pep primary_assembly:Lsat_Salinas_v7:2:210009259:210011969:-1 gene:gene-LSAT_2X130341 transcript:rna-gnl|WGS:NBSK|LSAT_2X130341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSRRRQSTAVSHASPFIFAIVVFFLASSSTSEKAPYPTFAKDATKAPAESSYDYIVVGGGTSGCALAATLSQGAKVLVLERGDLPYGIPSVNTINGFITTLADLTPTSASQTFVSTDGVISQRARVLGGGSALNAGFFTRASPDYVNKAGFDPKRVKESYEWVEKKVAFEPKVLAWQAAVRDGLLEVGVLPDNGFTYEHIYGTKVGGSIFDVNGNRHTAADLLEYANPTNITVFLNATVHRVLFKTDERRARGVLYKDMEGKEHMAILNEGSLMNEVILSAGTLGSPQLLMLSGIGPAKHLMGHGIKVLLDQPMVGQGLSDNPMNLVLIPSPRPVEISLIEIVGITRFGSFIETASTHINLPLLNKFSSHFGHFANQTTKLERLRSIIGHHLNIDPSGFDAGLILEKVMGPLSSGVLELETINPNDNPKVTFNYFKDPRDLQRCVQGMETIVKVLESKALSSFREPLVSVQDLLALVVALPLNLRPRHVNTAFDLQQYCIDTVMSIWHYHGGCQVDRVVDRNYKVVGVGGLRVIDSSTLLNSPGTNPQATMMMIGRYMGQKMLEERLTLRMK >cds-PLY98908.1 pep primary_assembly:Lsat_Salinas_v7:7:51907878:51908620:1 gene:gene-LSAT_7X37780 transcript:rna-gnl|WGS:NBSK|LSAT_7X37780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACVFIFLALVFSMAMAADHSPLQDFCVADMNAQVMVNGFACKNPSSVQANDFSFAGLHMMGNTSNALGSRVTPVFVTQLPGLNTLGISMARIDYAPWGINQPHTHPRATEILTVLEGSLEVGFVTSNPENRHITKVLYKGDVFVFPVNLVHYQRNVGKTNAIAIAALSSQNPGVIPIANVIFGSKPDISTDILAKAFQASDDVISAIQIKF >cds-PLY76136.1 pep primary_assembly:Lsat_Salinas_v7:9:31030739:31041184:-1 gene:gene-LSAT_9X28321 transcript:rna-gnl|WGS:NBSK|LSAT_9X28321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNQNNNGLLRFRSAPSSVLQSFVNDIEKPRDVNDGLNYNLVSQSYQDLQEEVDLKPNLITGFSLNPQLQSSHYPRQSTSQTSMDSTNSYSREAHLSSSIAMSIDNLSQQSKMGSSLLRQNSSPAGLFSHLNHPNGYGSMRGTIGSYRLGNNVNNNGDLISSSSRLKRQMSSSSLGMLPRISEIEPVTVELGVLNDASDYPFGSWEHDSSPFIDNFTGLKREIETRNGDHLGNQIPMLSHHLSLPKTSIEMAAVEKLLHFQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPQMDKQTNTSDMLDLAVDYIKDLQEQYKIMHRKPHTTNTLSEYALRPGSLDILKKKRGREKSSTLLLLVTATTVSGYQKVALTSAMQSHWSALISRVGQHLAVALPDPQQPCYPAQ >cds-PLY86096.1 pep primary_assembly:Lsat_Salinas_v7:7:139865678:139867570:-1 gene:gene-LSAT_7X82860 transcript:rna-gnl|WGS:NBSK|LSAT_7X82860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNKKRIKTLKGKPRFSDFNLVPNDWGVDVHPWLSVLAKAYPFLEELRLKRMIVCYSLQIFELSSTEGPEVGLYLFRRVPHSRILVCGGNGTVGWVLDAIEKHSYVSPPPIAFLPTGTGNDVARVLNWGGGLGSVEKQGGLCMMMQHMEHASVTVLDRWKISIANHRGRPLRAPKFMNNYLVCPSGSRNNWQSTNFDAHTELTEPWYKVVKARSGVDHTVVLIEDGLSFSFGWNKHGQLGALVLKNYERQDATLLSWNLM >cds-PLY63528.1 pep primary_assembly:Lsat_Salinas_v7:9:24993479:24995151:-1 gene:gene-LSAT_0X37780 transcript:rna-gnl|WGS:NBSK|LSAT_0X37780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLMVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEPWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >cds-PLY93235.1 pep primary_assembly:Lsat_Salinas_v7:6:158473923:158475379:1 gene:gene-LSAT_6X96941 transcript:rna-gnl|WGS:NBSK|LSAT_6X96941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSGAVLEPGVVLSYKVVVGQEFVVPGYSKVSLLPQPVKQDSDEELEYADSNSGIIETTNTLENGDSTNDCVVSEIGKNGRGFIWSMSEVDHEEEWRHSVAPIPESKLIELTQAVYDDLEALIQDDSFLPLSDVRESDTESDDDNRDESQYFEKEVEATFLRGVNENVKDDHIILEVNSLRLSYNMALENCASALFYSMMKLALDISRNSGKDLVKSTNDVISQWGKLLKPYLNGIDDEIEVILKFEEMCLESGKEFAILFVQILHVLYDKDIIQEEAILNWASEKEDADESDKIFVKQSEKFIQWLNEASEEED >cds-PLY72227.1 pep primary_assembly:Lsat_Salinas_v7:7:58321246:58322525:1 gene:gene-LSAT_7X40661 transcript:rna-gnl|WGS:NBSK|LSAT_7X40661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAICTFVHPFSPSMISKPPSTPSLVVVTSRLSSSSFTSPSSSYSSLVTTFPRRSLGRRMKAGSTAMIVCMAPDEEKLTRRNPLDFPVEWERPKPGRRPDIFPQFSPMKTPLPPPSPYDPPPEDEEEEDEDNKEEEEDPDKQEPENP >cds-PLY68995.1 pep primary_assembly:Lsat_Salinas_v7:9:139596963:139599462:1 gene:gene-LSAT_9X88901 transcript:rna-gnl|WGS:NBSK|LSAT_9X88901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIITQDMIKQFQNLIEAFDEPIKIIYENLHQGYPTETIVRFLKARDGNISKAHKMLVDCLNWRIENQIDKILSKPIVPVELYRSVRESQLIGMSGYTKDGRPVIAVGVGLSTYDKASIHCYVQSHIQINEYRDRIILPSATKNFERHISTCVKVLDMTGLKLSHLNQIKLLTVISSIDDLNYPEKTDTYYIVNAPYVFSACWKVVKPLLQERTRKKVQVLSGCGKDELLKIMDYESLPHFCRRQGSGSSKLARNNSGDDDSCFSLDHGFHQQLYNYINQQAAGVKPRAPLKQGSFHVGFPEPDLMDCKIVQTIETEFHKIENQNGFADDEHDHKGKINGEQH >cds-PLY98305.1 pep primary_assembly:Lsat_Salinas_v7:7:167171444:167172175:-1 gene:gene-LSAT_7X99401 transcript:rna-gnl|WGS:NBSK|LSAT_7X99401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNLVHGVYQHHRRLIPPHQCFNFHHGRTSINVNTVSSNLKQFITLRRQIRVPRSPPVIRRFTTISASLDLTEDNVKLVLDDARTKFSHLFDASVGMTGVAELAEVDGPFVKIRLKGRFWHERSLVLARLGNYLKERIPEILEVDIEDEKQLDDSPENF >cds-PLY66098.1 pep primary_assembly:Lsat_Salinas_v7:1:109512684:109515433:1 gene:gene-LSAT_1X85681 transcript:rna-gnl|WGS:NBSK|LSAT_1X85681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTSTTMRPSKLHPFAQQIQESLYVSVSRALSLTSNIKELHKIHSLLITSGLVRTVFFSGKLISKYAQLKDPHSCSSVFISVSPTNNVYQWNTIIRAMTHNGLFIEALNHYTKMRVLNIKPDPFTFPSVINSCAGSGKFDTAKIVHEHVLELGFQSDLYIGNALIDMYARFSTLDKARQVFDEMPNRDSVSWNSLVSGYTAHEEWEKALETFHQSRTAGLTPDSFMVSSVLPACSGLNAIMEGQIVHGLSLKLRIDINTKVCNSLLSMYLKSNKLEECHKLFNEMPIRDTITWNTVVSGYSQLGLYQESIVLFKEMLNNHKPDLLTITSVFHACSNIRDLESGKFVHNYMVVNGYECDITASNILINMYAKCGHLSEAREVFNKMKTKDLTSWNSLINGFVKASLYEEAIETFTSMKMDITPDFVTYVTILPIGTFLMSLKLAKSLHCETIKSGFNSSIIVRNALIDVYAKCGKMEDALNQFQNMKDRDIVSWNTIISACSHSDEYNLGFRMINQMRIEGILPNVATILTTLPLCSNLGAKRQGKELHGCVFKLNFESNIPITNALIEMYSKCGNLKNSIRVFDHMKVKDVVTWTSLIYAYGIYGQGNKAIIAFENMKAARIIPDRIAFLAIIFACSHSGLVEKGRAYFNEMTKDYKIDPKIEHYACVVDLLSRSGQLPEAEKFILSMPMTPDASIWGSLLSACRASGTHTKIAERASKRIIELNSNNPGYYILVSNVYASLKKWDEVRKVRKLIKDKGFKKEAGRSWLDIDKKIYVFSSGEKFFEQYEEVKNLLEVLGVLIAKEGYIGNLRYVFHDVGKDEKREILCGHSERLAIAFGLLNTKPGTPLQIMKNLRVCEDCHTVTKYISKVVEREFLVRDANRFHLFKNGSCSCGDYW >cds-PLY84527.1 pep primary_assembly:Lsat_Salinas_v7:1:29592897:29595956:-1 gene:gene-LSAT_1X25121 transcript:rna-gnl|WGS:NBSK|LSAT_1X25121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAAATSHALPGVKLPELTTSKFNHNSSVHTCKASGNSNSTVSNLQKLKLDEPIKNIGLAGKRFCSVGVCTHPLSETESDSHVEDEKVGVLLLNLGGPETLVDVQPFLYNLFADPDIIRLPRLFRFLQRPLAQIISVLRARKSKEAYASIGGGSPLRKITDEQASALKSELEAKKLPANVYIAMRYWHPFTEEAAQQIKSDGITRLVVLPLYPQFSISTTGSSIRALQNIFREDKVLSKLPVAIIQSWYQRYGYIKSMADLIEEELLSFNRPQEVMIFFSAHGVPETYVVDAGDPYKYQMEECIDLIMQELKARGIENNHRLAYQSRVGPVQWLKPYTDEVLVQLGQQGVKSLLAVPVSFVSEHIETLEEIDMEYKELALKSGVENWGRVPALGCTTSFIADLADAVIEALPSATAMSASSSPLISSSSSQEFKRDPVGYAMKIFVGSFLAFILLLSPRMLSAFRKPVV >cds-PLY85706.1 pep primary_assembly:Lsat_Salinas_v7:5:78134826:78136811:-1 gene:gene-LSAT_5X36061 transcript:rna-gnl|WGS:NBSK|LSAT_5X36061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDFPDFVFRIEELGFLMPTEIQRQALPILLSGQDCILHAQSGALGHNSNFICHTQSSPPHHIRYPDESFKHLSARWRRRTDVHALTLNITNNPSPSTGPQFFM >cds-PLY84471.1 pep primary_assembly:Lsat_Salinas_v7:7:127961615:127963007:1 gene:gene-LSAT_7X76401 transcript:rna-gnl|WGS:NBSK|LSAT_7X76401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGAAILSHSSCSYICRRQQQTLDYPSSSYASSSSSSSPSPLFWRVSSSILGNRFSFARGGSRTSKIRFSSSTRRKVVKAVATPESAIELPLTAENIESVLDEIRPYLIADGGNVALHEIDGNIVRLKLQGACGSCPSSVTTMKLGIERRLMEKIPEIVAVEPIPDEETGLELNEENIEKVLEEIRPYLVGAAGGEVELVSIEEPIVKVRLTGPAASVMTVRVALTQKLREKIPAIAAVQLLQ >cds-PLY78807.1 pep primary_assembly:Lsat_Salinas_v7:9:172437426:172445430:-1 gene:gene-LSAT_9X105860 transcript:rna-gnl|WGS:NBSK|LSAT_9X105860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNKAWRIIPRPIMETVLNNHAHRHRVHQPLILHGPRGVGKTTLILERHLENWNKGPHVTGYIDFAQSIEENHPNHGHSFPWASWSNCKPPFLPTLRTQLEQCLESMAEKGVQLGTISSHQICKTLGKWHNLDTSLKRIIQTKNETTTTKRALSNKVSTLSLWDKAVCTLTARLNASEIDDILMSKEKGKNVSLQEASYYREAIVALKLAKEVIKVQQGFRANAVKHLNKTGGFSRTLANSATDWPLLLLELLSEAAQTDYFQPKLVINNIEVLKHSVLVDDSSVSGSMFHDSLIWRIIALGANEMCLPIILITSDSYYSYAAYMDFGFPDIFISRETFGWTPQQAKIHMVPDYFSQSEWDLIVEVLGPNPRHLFEIYALKQSNYYQALMDNKESTFEDIIDAYLAHLQVTVVNPAMDRALEILQKFALDAQKGKIVKDKLRFGAPWKHPPRKDDPYLRSEWAKLQLMDFIQCLVSAEFGINYFADCSLEIFDDPSVNAMIELANNGCCLKFVDISPNNYLRESM >cds-PLY94378.1 pep primary_assembly:Lsat_Salinas_v7:6:9693873:9694585:-1 gene:gene-LSAT_6X6861 transcript:rna-gnl|WGS:NBSK|LSAT_6X6861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYPHRPSPSSPSPYMSSKLESFTIWMKSLVFNTHGCTVYNSKGEIIYRVDNYDNKCGQEVYLMDIRGNVLYSLRQKKLRLFGCWDGYKWDDCSSNKQLWFHATKHRSICVNSCDHKGRGCTYKIVRMNGKQGFKIVDEDQEGALVAELKQKQTTTGINLGDDVFTLIVQPHVDHSFIMAIVMVYGLINNHI >cds-PLY73312.1 pep primary_assembly:Lsat_Salinas_v7:7:194977347:194979628:1 gene:gene-LSAT_7X113021 transcript:rna-gnl|WGS:NBSK|LSAT_7X113021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSDPRSVVRKFLARPQYEGAGAVVRRSIGRFELKYFDPFLVLDEFSVSAPAGFPDHPHRDFEGHKGTIGVGDLQWMTAGRGIVHSEMPASHGTQKGLQLWINLSSRNKMIEPRYQEMASRNIAEATEDGVTVRIIAGESLGMKSPIYTRTPTMFLDITMEPGTQLQQPIPESWNAFVYVLEGEGVIGTSKSSSTTPHHILLLGPGDGVQVWNKSSSKRLRFILVGGEPLGEPVVQWGPFVMNTQEQIDQTIHDCENFENGFEKARYWRSSDQPTHHQDHTF >cds-PLY72117.1 pep primary_assembly:Lsat_Salinas_v7:7:53826431:53827190:1 gene:gene-LSAT_7X38380 transcript:rna-gnl|WGS:NBSK|LSAT_7X38380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSRDKSQFPARQAASNYKQRKNQAYEVTVVNIAVTYKQVTSNALENDCYSDLLRSVSIPDGLEPWEDRTEICKLTLSILQTMPGKLEELIVTINKEDNNKMSCIIADDCMGWAIKVAKKMGISRAAVWPATFPMLTSMLSCQKLIDGGIINNNGIPLIQHMIKLSETMPLIKPTNLWWTRFEDLPTSEAFFEVVKEAAEASRLTEWHLCRSTTELEPGALC >cds-PLY80816.1 pep primary_assembly:Lsat_Salinas_v7:3:191889652:191890696:1 gene:gene-LSAT_3X114060 transcript:rna-gnl|WGS:NBSK|LSAT_3X114060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDQSRPVTGYPAHSATGYPNTATAYPYVAPPPTAPQNHGTYFHVAGNRYYSDPYATQQRATFVHRFFAILIGSILITGIIIFTMWLILRPQIPQFRVETLTLSNFNISSNSLVSGDWDARFIVRNPNSKITLFYDQIEAAVFHKSDSISETTVPPFVQGTKNQTSIKATFASLTAYVDDRDSITGERSHGSINFNIRMMARVRFRAGSWWARRRILRVYCPNLSIGISSNSNGGNLIGGSKECRVGL >cds-PLY65329.1 pep primary_assembly:Lsat_Salinas_v7:4:251071438:251072984:1 gene:gene-LSAT_4X131941 transcript:rna-gnl|WGS:NBSK|LSAT_4X131941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGCFDGGLAREERLEEERRASEEARAKAAEAAEKRQEQFAQSAAGRAARAQMQAAAKQASNPNKGEPTLKWQMG >cds-PLY66516.1 pep primary_assembly:Lsat_Salinas_v7:4:340510869:340511993:1 gene:gene-LSAT_4X167081 transcript:rna-gnl|WGS:NBSK|LSAT_4X167081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSTPIKDSHSSFILPDQTCQRFTLSEIQSATQNFDEALVIGRGGFGKVYKCSKIGSMHQVAVKRLHSMSNQGANEFESEVKVLSKLRHGNLVSLIGYCYQEKEMVLVYEFMPNGTLEDHLLSQDSSLSWLQRLKICIGAARGLDYLHTGTSTQHGVIHRDVKPSNILLDANFAAKISDFGLAKVGVIDQTRTHMSTAVKGTFGYMDPCYFYTGKLTTKSDVYAFGVVMFEVLSRRKAVDSTLEEDQWGLAGWAQQKIKEGKLNQIIDPRLIGQISRKCLKEFASVAGHCLHTQPKHRPTMAEVVVRLESILSQERESANSVVDDEGFIYKLKSLLIGKLVVAAIRSNSDFIAHRKPILDDDNAAKRKNPFESS >cds-PLY84591.1 pep primary_assembly:Lsat_Salinas_v7:1:29872201:29874073:-1 gene:gene-LSAT_1X25561 transcript:rna-gnl|WGS:NBSK|LSAT_1X25561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAIIEQKQPHVVFIPCPAQSHIKCMLKLARLLHHKGIHVTFINTQSDHKRLVNSGGTRWADEAPGFQFKTVPDGTPSTTTDDRGIEIEHTQTKAQLWNYLGTNFFDSFLHLVSELDIPVTCIICDGFMTYTNAIHAAEKLNIPIILFWTMAASGFMGFYQVKVLMEKGLVPLKDESYLTNGYLDMEIDWIPGMEGIRLKELPEFTRSTDPTSPMFKFLLKTAQAADKVSHMIIHTFKELEASLVKELKSIFPNVYSVGPLELLLNQITEKETNKSSICNGYSLWKEEPECVQWLQSKEQNSVVYVNFGSLVVMSLQDLLEFGWGLVNSGREFLWIIRTDLVDGEPVVLPQELKEAMKGKGFVASWCSQEEVLNHPSVGGFLTHGGWGSIIESLSTGVPMICWPVSGDQQTNCRQMCKEWEVGMEISRNLTRDEVEKLVRELMEGGEGKRMRKKALEWKKMAESATGFNGSSSLDTEKLANQIVKFSRN >cds-PLY64685.1 pep primary_assembly:Lsat_Salinas_v7:7:135221065:135222194:-1 gene:gene-LSAT_7X80761 transcript:rna-gnl|WGS:NBSK|LSAT_7X80761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPKKKVRPRKKVQSDPNQALGSKFVRSKKKLGIKIGGGIVEYRVLLDEHDGLDGHVDGRGCEEQMKDLFDKEDIDDDSLVDMMCTFEASLSQPKYNYQNGDGFQDAMEAIIQSIHHANDDKGVEDVEPDLTKTLDEVEDVRDVILKVTDEKSQSENEGNPKAEFMERNTSDVLPEMVMLDLESISDLLGAGYSMAEIESMRGVQVELDDMPPVEMDVNEVEDIPYVDGVMEGNEGEGDADDAGEVACEGEGEGDGDGAGEGDGEGDGAGEDDGECDDDIEDEGDGAGEDDAADLEGNDVDDDGNVPPRRTRKPLERIILQKLKKPCFDKDGRGSTSSYPVDLE >cds-PLY83738.1 pep primary_assembly:Lsat_Salinas_v7:4:44580982:44583871:-1 gene:gene-LSAT_4X29961 transcript:rna-gnl|WGS:NBSK|LSAT_4X29961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAAKSKEAPVERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARVNIPDERFEWLCQLFKPKSEISAFLEIHDIAGLVKGAHEGQGLGNNFLSHIRAVDGIFHVLRAFEDPDIIHVDDIVDPVRDLEVITAELRLKDIEFIKRTIEDLEKSMKRSNDKQLKIELELCLKVKTWLESEKDIRLGEWKAAEIEILNTFQLLTAKPVVYLVNMNEKDYQRKKNKFLPKIHAWVQEHGGETIIPFSCSLERNLADLPDDEAAKYCEENKIQSALPKIIKTGFSAINLIYFFTAGPDEVKCWQIRRQTKAPQAAGAIHTDFERGFICAEVMKFEDLKELGSESAVKAAGKYKQEGKTYVVQDGDIIFFKFNVSGGGKK >cds-PLY85703.1 pep primary_assembly:Lsat_Salinas_v7:9:201843717:201847616:-1 gene:gene-LSAT_9X124460 transcript:rna-gnl|WGS:NBSK|LSAT_9X124460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTALAPGLSRKLKKVLETRTDNPDLLASLNTLSTFYNDNTAPARRNLRSTIEKRSLTINHDFLLASDAAQQALDRVEEEVNSLAECCDKIAKSLNNCNATTGDIITTTERLKQELEITTQRQEIASCFLRDYQLSSDEINALREEELNENFFKALSHVQTIHGNCKVLLRTHHQRAGLELMDMMAVYQEGAYERLCRWVQTECRRLGDTDNPEVSELLKMAVRSLKERPVLFKYCAEEVANMRHNALFRRFISALTRGGPGGMPRPIEVHAHDPLRYVGDMLGWLHQALASERELVFVLLDPDAVVDTGPTARKFSTNTSSSEINNNNNNNNNQEKEKEKSETDLTFVLDRIFEGVCRPFKVRVEQVLQSQPNLIISYKLSNTLEFYSHTVSDLLGRETALCNTLWGLKEAAQKTFFEILKVRGEKLLRYPPLVAVDLSPPPAVREGVSLLLELITIHDSMMVPASGIKPLFDPVISALLDPIIQICEQAMEAHKSKGSIDSSRRNRTRSDVAQSRRSSVDAILTPTQVAPSKSNETASKIFIINCLCAIQQPLLKHQVAASGYVKKLGAMIDSQMSSLVEKEVKAILTKCGLSNKMPLFLDTSESASALSDMEETSPAALSECLKAFFGLILGSDTSLPEFEEMQLPHLRSEASVQVARSLAESYEVIYKAIMDPKNGYLDPKSLARHPPDQIRTILGI >cds-PLY81226.1 pep primary_assembly:Lsat_Salinas_v7:4:307261121:307262223:-1 gene:gene-LSAT_4X155400 transcript:rna-gnl|WGS:NBSK|LSAT_4X155400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPCTNTGLMLRKGAWSVEEDISLKNYIEKHGEGKWHLIPLKAGLNRCRKSCRLRWLNYLRPNIKRGDFAKDEVDLILRLHKLLGNRWALIAGRIPGRTANDVKNYWNTHHRSRPRQRRKKKPIEDESLQKTMVTIIKPQPRTFSRTLNMGNNPRHTAMAHEVGNLNSPNNVTNNKFNASSGLKLPSNLLDDNISKYIDQLLDDHETKFDGNFGCSSVDGVVGKDDNQISLFDFPIDDITMDLIHSDQP >cds-PLY78989.1 pep primary_assembly:Lsat_Salinas_v7:3:10372366:10374639:1 gene:gene-LSAT_3X7221 transcript:rna-gnl|WGS:NBSK|LSAT_3X7221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWIFGRKGESGFGAFSTAEDVTKGIDGTGLTAIVTGASSGIGTETARVLAMHGVHVVMGVRNTEAGRTVKETILMETPDARVDVIELDLSSLASVREFAAEYCSLGLPLNILINNAGVMAPPFTLSKDKIELQFATNHLGHFLLTNLLLDTMKKTSREHEKEGRIVNVSSEGHRFAYKGIYFDKISDESSYSPIYAYGQSKLANILHANELSRQFKEEKVELTANSLHPGGIATSLLRHHSFLEGVVDWVGKYFLKTIPQGAATTCYVALHPQVKGVSGEYFVDSNKAKPSSLAKDSEFAKKLWDFSLGMVAP >cds-PLY87004.1 pep primary_assembly:Lsat_Salinas_v7:5:261226101:261226875:1 gene:gene-LSAT_5X134561 transcript:rna-gnl|WGS:NBSK|LSAT_5X134561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAELNQTSDVATTNTRLKPIPGKESRRSPAYRGVRMRSWGKWVSEIRQPRKKSRIWLGTFSTPEMAARAHDVAALSIKGNSAILNFPQLKDLLPRPASVSPRDVQAAAAKAATMQELCSVSYSSTVQPPLSDRYILPADEHASGSPDDELDEFIELPSLEGCLDSPGSLTKLMVVDSVDGWMNSSWEVASTDCFAANFSDQAAKNPSFSTLIWDC >cds-PLY83986.1 pep primary_assembly:Lsat_Salinas_v7:8:36479073:36482839:-1 gene:gene-LSAT_8X28580 transcript:rna-gnl|WGS:NBSK|LSAT_8X28580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLFTPFWNLHVFYGYDFGCQPQPPHQSPFSFSCPPPIAAILIFTHSTPPFAGDSDGTTTTTTTISPVISRFPKSKQTKYSDSVVYLHGDLDLTIAEARCLPNMDLLSDRMHRCFTIFDLCKSPSWKKRSKSQHRRKIITSDPYVTVSIGGATIARTRVISNSQNPVWNEHSITPLAHPVSQLEFQVKDNDLFGADLIGIVVISAESVMSGELIDDWFPIIGPSGKPAKPNTAIRLQIKFTSCDDRNEEKSIATDELFGLKDSYFPVRHGGNVTLYQDAHLHEGQLPEIELDGDNKIFKQRGCWEDICHAILEAHHMVYIVGWSIYDKVKLVREPSKPLPSGWDLTLGELLKYKSQEGVRVLLLIWDDKTSHNNLFIKTVVGTLYTHHQKCVIVDTQAHGNYRKISAFIGGLDLCDGRYDTPEHRLFRDLDTVFENDYHNPTLPPGIKGPREPWHDLHCKIDGPAAYDVLKNFEQRWRKATKWSEFGQKFKKINHWHDDALIKIERISWILSPSSSLPNDDPSLWVSKEEDPENWHVQVFRSIDSGSLKGFPKNAQVAESQNLVCAKNLVIDRSIQKAYIQAIRSAKNFIYIENQYFLGSSYAWHSHKDAGADHLIPVELALKIASKIRANERFSVYIVIPMWPEGIPSSASCQGILYWQAQTMQMMYDVIARELKNSNLENSHPQDYLNFYCLGNREQCEEQVSSSSSRSSNGVSVSASQKKGRFMIYVHAKGMIVDDEYVVLGSANINQRSMAGTRDTEIXXXXXXXXHITRGPTRKRIPVVRLVYGYRMSLWAEHTGKLENYYKEAESLSCVKNINMLAENNWENFTADEFSPLQGHLLKYPIKVDSDGKVTSLPGHEQFPDVGGKVLGYPSTLPNALTT >cds-PLY78937.1 pep primary_assembly:Lsat_Salinas_v7:8:3130618:3131059:-1 gene:gene-LSAT_8X2320 transcript:rna-gnl|WGS:NBSK|LSAT_8X2320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVIHSNSLHFKIIPLSYAKPSDLTKDHGYENFRVELFHSTTWEWRDLGNIRLPSSVHPVSDEAIISKGEVYILLSNYQILRFDAYSEEYVIMFSPYTHIHYMSYTLRLIKFEGKLGFFLV >cds-PLY73772.1 pep primary_assembly:Lsat_Salinas_v7:8:92256065:92259342:1 gene:gene-LSAT_8X64200 transcript:rna-gnl|WGS:NBSK|LSAT_8X64200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRFVPLRASLLWANFPTSSSGLQKGFGGGCNSQTASISYDRSVGKLLEEEHVTDIGYSDSNDEVAKIREKFDLAKQRFLKIPEALDRMPKMNPKGIYVNKNLRLDSIQVYGFDYDYTLAHYSPSLQNLIYDMAKQHLVNELRYPDGCLASKYDQSFPIRGLYYDKLKGCLLKLDFFGSIEPDGCYFGRRKLSRKEIEELYGTRHIGRDQARQLVGLMDFFCFSEVCLIADIVQYFVDAKLEFDASYIYQDVHRAIQYVHRSGLVHRGVLADPPRYLVKNGQFLSFLKMLKENGKKLFLMTNSPYYFVDGGMRYMLEDSLGCGDSWRELFEVVIAKANKPEFYTSEHPFRSYDVEKDNLAFSKVDEFLPNKIYYHGCLKTFLQITKWNGPEVIYFGDHLFSDLRGPSKAGWRTAAIIHELEHEIKIQNEDSYRFAQAKFHIIQELLGKLHSTVSSKHTCDRFKSLLDELNEERQNARRTMRNMFNESFGATFLTDTGQESAFAYHIHQYADVYTSKPENFMFYQPEAWLHVPYDVKIMPHHLKVYICSILTYIVTYINWYFAHRFLQACLGLDGCDEKNLGDIKEEKGK >cds-PLY92022.1 pep primary_assembly:Lsat_Salinas_v7:8:239451468:239452436:-1 gene:gene-LSAT_8X142560 transcript:rna-gnl|WGS:NBSK|LSAT_8X142560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNSFQVKLGQGGFGTLFKGKLSDGRLVAVKLLNSSKASGQEFINEVASIGRTSHVNIVTLLGFCFDNQKRALIYEFMPNGSLEKFKYGHVSQCTSEHIGVDKLYEIALGIARGLDYLHRGCNTRILHLDIKPHNILLDEDFCPKIADFGLAKLYSRKDSIVSMLEARGTIGYIAPEVFNRNFGGVSHKSDVYSYGMLILEMVGGRNNVYNGVGSEDTSEIMYFPNWIYSRLKTNEFLFDGVTSSTENEYVRKMTIVSLWCIQTNPKQRPSINEVIEMLEGSMEALEIPPKPFFSSPPRSPTTMFNISQKVTDEHSCISQRT >cds-PLY70176.1 pep primary_assembly:Lsat_Salinas_v7:9:1609043:1609793:1 gene:gene-LSAT_9X4081 transcript:rna-gnl|WGS:NBSK|LSAT_9X4081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:OEP7 [Source:Projected from Arabidopsis thaliana (AT3G52420) UniProtKB/TrEMBL;Acc:A0A178VGL9] MAKGESKPLKSVVVVLGALAFGWAAIELAFKPWLDKARASMDKSDPTRDPDDIDLDAGAKASSDEIDPAAASSSSSAAPSD >cds-PLY76891.1 pep primary_assembly:Lsat_Salinas_v7:3:4798504:4799093:-1 gene:gene-LSAT_3X2461 transcript:rna-gnl|WGS:NBSK|LSAT_3X2461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGTTTQTNAGKTKILEADLQQVAMVMGLTEEIDVTDDISSADAILASSYEMKENPWIRSVAKFHQLPVFVIKVFILLCFWFEYK >cds-PLY71194.1 pep primary_assembly:Lsat_Salinas_v7:2:133109906:133110647:1 gene:gene-LSAT_2X62741 transcript:rna-gnl|WGS:NBSK|LSAT_2X62741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDIHQVWDDSITETAEEIFYDCDVENLVKAIQNNIMKEWEYQVPTREKCIRNQNTCPNLYVKPWAYKGACSWAYKGVDNDFVHGYDYFLSRLPIVNWRLAQGGVRLSFCFKLSTNRN >cds-PLY66774.1 pep primary_assembly:Lsat_Salinas_v7:3:66510151:66515389:-1 gene:gene-LSAT_3X50960 transcript:rna-gnl|WGS:NBSK|LSAT_3X50960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSMSIRVPYKNLRNDADAEVEIMKFEEEANRRMESDTSNVFPHGIASNSSSPSQGPPTNNCSLITLILSCTVAAGVQFGWALQLSLLTPYIQTLGIGHAFSSFIWLCGPITGLVTSGTSSEIQKNIAGPDQRNSANAIFCSWMAIGNILGFSSGASGNWHRWFPFLTNRACCEACGNLKAAFLVAVIFLTFCTMVTLYFAKEIPLAPKQHLRLSDAVPLVEDPPHHDHSPDHTESKPRKNAVHHKVGNMPESVFELDTNDRNADSAAKDQVETFSDSPGAVLVNLLTSLRHLPLGMHSVLLVMALTWLSWFPFFLFDTDWMGREVYHGDPKGSAIEIKAYDEGVREGAFGLLLNSVVLGISSFLIEPMCLWIGSKYLWAVSNFIVFACMAGTAIITLISLGESQGIGGNEAIKNASLVVFAILGLPLAITYSVPFSMTADLTADTGGGQGLAIGVLNLAIVIPQMIVSLGAGPWDALFGGGNVPAFVLASVSALAAGIIATLKLPSPNNSYKPIGGFHFG >cds-PLY99233.1 pep primary_assembly:Lsat_Salinas_v7:6:185787144:185787532:-1 gene:gene-LSAT_6X113880 transcript:rna-gnl|WGS:NBSK|LSAT_6X113880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFQKLPMKLNEQELLAFFKRHDVNKDNRLSWDELKQAFNDLGVSWVSWTTDRAMLQADDNEDGYISEGEMEKLIQFALKCKLTIK >cds-PLY62615.1 pep primary_assembly:Lsat_Salinas_v7:8:34786738:34787649:1 gene:gene-LSAT_8X28020 transcript:rna-gnl|WGS:NBSK|LSAT_8X28020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSTFESLRDLHDSVNNLLRSPDMKRLLSHHKHDQKWVQKVSESSLKMLDSCGTTKDILSLVKGHIQELQSTFRRASNGETEKKLTAYSFQRKELRKQMLKRLNSLKKMKNATSGTGHHTMIDDNQIIVANVLKEVRETIVILLESVMLLMSMPNPSPSTTKLMINCNGVFAAKVKFTRVNSLSPWEDCDVQALQSAIERLEAVESAMEDLEVELECIFKRLMRTRVLLLNILTN >cds-PLY82232.1 pep primary_assembly:Lsat_Salinas_v7:1:68058013:68058204:1 gene:gene-LSAT_1X58000 transcript:rna-gnl|WGS:NBSK|LSAT_1X58000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFWSYPPTRKQLASSAIVFATGATLFAAGAYLSFVNIAPQQARAKARSDYVKSRLRKLLQDD >cds-PLY64259.1 pep primary_assembly:Lsat_Salinas_v7:7:3404592:3404975:1 gene:gene-LSAT_7X2180 transcript:rna-gnl|WGS:NBSK|LSAT_7X2180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDIQEFDEEKKAEEEQKEDGLASKIWDCGSPLYDSYELVSIAHVLDMHQMIFPYAINRSTRSVIHPSSYSPSSSVPQSLTMSHSSRRMNNCSFMPSFKLWKMRITIGNKVKVGISKISHIIVSWRK >cds-PLY85434.1 pep primary_assembly:Lsat_Salinas_v7:3:42410968:42412350:-1 gene:gene-LSAT_3X32580 transcript:rna-gnl|WGS:NBSK|LSAT_3X32580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSICCCQCGDDLEEFPTNAIYRHCLCLRFFFHQLFSGYGALFQRIEGLPVSSPIQGTASSSVGFTLPTSLNDEIHSSTRPLPYESDHRFSRLQRGLVSRREKSMTHFQEDSQALRRSMSSSGMEFLDFGKRKSGIDSTDDSKCGLPESLEKVKVPEGVPYEQSSDNEDVCPTCLEEYTLENPKIVTQCSHHFHLGCIYEWMERSDTCPMCGKVMEFCESP >cds-PLY91597.1 pep primary_assembly:Lsat_Salinas_v7:7:12382241:12383177:1 gene:gene-LSAT_7X10081 transcript:rna-gnl|WGS:NBSK|LSAT_7X10081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISPRKLRNDVYSYSYQNDSNTPLVISVLASLIDRTLVRNERIGRKCAGMGGSVTITKTGSKTRVFDCHETPDMTIQSYLERIFRYTKVGPSVYVVAYVYIDRFCRSHPEFRITGRNVHRLLITTIMVASKYVEDMNYRNSYFARVGGITTKEMNSLELDFLFLMNFKMHVNVSVFESYCCHLEREVSIGGGYHIEKTLRCAEEIKSRQIEERGFNRIARITL >cds-PLY97125.1 pep primary_assembly:Lsat_Salinas_v7:4:71914995:71916688:1 gene:gene-LSAT_4X48640 transcript:rna-gnl|WGS:NBSK|LSAT_4X48640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANSPTTTRKEGEFLLLGRYEIGKLLGHGTFSKVYLGRNVKTNEFVAIKVIDKEQILKGGLISHIKREISILRRVRHPNIVQLFEVMATKAKIFFVMEYVKGGELFNKVAKGRLKEEIARNYFQQLISAVGFCHARGVFHRDLKPENILLDEDGNLKVSDFGLSAISEQIRGDGLFHTFCGTPAYVAPEVLGRKGYEAAKVDIWSCGVILFVLMAGYLPFHDQNVMVMYKKIYRGEFRCPRWFSPELSRLLKRLLQTNPVTRITIPELMENRWFKKGFKNIKFYLEDDKLFSVKDEDFKDDDIDYSSDQSSRPESPTEVDTRKRLTTMPRPASLNAFDLISFSPGFSLSGLFEDAGEELRFVSGATVPHIISKLEEIAKGVSFKVRKKDFRVSLEGSREGVKGPLTIGAEIFELTPNLRMIEVKKNAGDKGEYDEFFDRELRPGLRTLMLPAPISGESSVLPSETESLK >cds-PLY85954.1 pep primary_assembly:Lsat_Salinas_v7:5:108179952:108192574:1 gene:gene-LSAT_5X45581 transcript:rna-gnl|WGS:NBSK|LSAT_5X45581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2410 [Source:Projected from Arabidopsis thaliana (AT2G25660) UniProtKB/TrEMBL;Acc:F4ISL7] MSSRLQNPFVGAAFQSSLKPRNLNSLGYHGNKFPRKSRYDIIPRAKKNDWISHGIRFSQSCGENVEILWKNIGLRSGFVVKSVKEPFTRSKAIVRSLSTVWEEGLLLFRCSVFCAVISGVCLLLWYSQLKAKTLIESKLLPSVCTALSDYIQRDLHFGKVRSVSPLSITLESCSIGPHKEEFSCGEVPTLKLRFHPFSSLRTGKIVIDAVVSNPTLLVAQKRNYSWLGIPFTDGVLQKHLSTEEGIDNRTKIRRIAREQTAARMDEERDDAAREAAQMGYIVSNRGSNVLEGVDVLKKNTVNSLVFSVDEKLRWQDHHCMDAGVEYDMKHADLEKSFGVNIPIAGVKFWSRIIPGSLRRKLKRRKANGGDMLVAGIAAKKRILEHSASAAHAYFLDPIPSLVHKKDENPNIKLDALDSSDKPAEHFGTKSLLKDEKRGMGDLWSNLVVGSIEKIKSEVVPKVEGIVVELMEGGANGEKAAGTEKMLPVILDSVHFKGGTLLLLAYGDNEPREMENACGHVKFQNNYSRIHVQLSGNCKMWQSEPDVTSEDGGWLSTDVFVDTVEQKWHANLKVVSLFVPLFERILEIPIAWYEGRASGEVHICMSQGESFPNLHGQLDVTGLAFQISDAPSSFSDISASLCFRAQRIFLHNASGWFGKVPLEASGDFGIEPEEGEFHLMCQVPSVEVNALMKSFKMKPLLFPLAGSVTAVFNCQGPLDAPVFVGSGLVSRKLSSSVADVPTSPAYEAIMKNKEAGAVAAFDRVPFSYVSANFTFNTDNCVADLYGIRANLVDGGEIRGAGNAWICPEGEEDDTAMDVNFSGNLCFDKIMDQYVPGYNHLVPFKLGDLNGETKLSGSLLKPRFDIKWTAPKAEGSFGDARGDIIISHESITISSSSIAFELFTKVQTSYPHENWQNIREFDATPAVIIEGVELDLRMRGFEFFNLVSSYAFDSLRPIHLKATGRIKFQGKVVKPVISISDEQQTNTNGEKTKVSKILAGDVSITGLKLNQLMLAPQLAGVLNISRACIKLDATGRPDESLAVEVIGSIGEENAIGNMLSFSLQKGQLRANVCYQPFHSASLEVRHLPLDELELASLRGTLQRAEVQLNFQKRRGHGILSLLHPKFSGVLGEALDLAARWSGDVITVEKAVLEQSNSQYELQGEYVLPGSRAGKETGKGNLLRRALAGHLGSVISSMGRWRMRLEVPRAEIAEMLPLARLVSRSTDPALQFRSKDLFMRSIQSVGVDAESLQKLLEEIRGHATPSDEVILEELNLPGLAELKGRWRGSLDASGGGNGDTMADFDFQGEEWEWGSYKTQRVQAAGAYSNDDGLRLEKMLIQRDKATIHADGTLLGPKTNLHFAVLNFPVSLVPTLVQVLESSANEAVHSLRQLLAPIKGILHMEGDLRGSLAKPECDVQVRLLDGAIGGIDLGRAEVVASLTSTSRFLFNAKFEPIIQNGHVHIQGSVPVSFVQNDEDTHSLEKDKNEGTWSSSASAWGAKGKNDDKKASWNEEGWDTQLAESLKGLNWNVLDAGEVRVDADVKDGGMMLLTALSPYANWLNGSAEVMLQVRGTVEQPMVDGSASFHRATISSPILRKPLTNFGGIIIMDSNRLCIRSLESRVSRKGKLSVKGNLPLRTTEASLSDKIDLKCEVLEVRAKNILSGQVDTQLQIMGSILQPNISGNIKLSHGEAYLPHEKGGGAANAINREASENSSMPNGGYNQVVASKYVSRFLNLKPATSDTPFQFQQQPSGKEGEAGKGMGQLNNNNNNSKPKFDIRLTDLKLVLGPELRIVYPLILNFAVSGELELNGVAHPNLLKPKGTLMFENGDVNLVATQVRLKREHLNIAKFEADNGLDPMLDLALVGSEWQFRIQSRATKWQDNLVVTSTRSVEQEVRSPSEAARVFESQLAESILEGDGQLALKKLATATLETLMPRIEGKGEFGHARWRLVYAPQIPSLLSVDPTVDPLKSIASNISFGTEVEVQLGKRLQASVVRQMKDSEMAMQWTLIYQLTSRLRVLLQSAPSKRMLFEYSTTSQD >cds-PLY81092.1 pep primary_assembly:Lsat_Salinas_v7:6:130676150:130679012:-1 gene:gene-LSAT_6X79520 transcript:rna-gnl|WGS:NBSK|LSAT_6X79520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGVGRQLLHHIVSCRTLHANKGIHLRVAGIFDSKSFLVVPDVSSMEFNDTFLMNICQIKSNGLSLQTCVESGKSEAFSSPESTKKLTDMAARLAFTLVDCSASSETIGAITQAVNLGCCVVLANKKPLTSSMEEFDNLFLQPRRIRHESTVGAGLPIIASLNRIVSSGDTIYRIIGSLSGTLGYVMSEVEDGKPFSEVVKAAQALGYTEPDPRDDLSGMDVARKGLILARLLGHRMDMNSIKIESLYPDKMRPNTMSLKDFLANGLQLLDNDIEERIKKASSNGNVLRYVCVIENSRCNVGIQELPKESALGRLRGSDNVEIYSRCYKEQPLVIQGAGAGNDTTAAGVLADILDLQDLFT >cds-PLY62428.1 pep primary_assembly:Lsat_Salinas_v7:7:116068809:116071478:-1 gene:gene-LSAT_7X71981 transcript:rna-gnl|WGS:NBSK|LSAT_7X71981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFISLVGDAAKVRESTLAVHARFLIKSLSVREEHIQDVSVNLLSQLTERFLQTATNVVSLLSEIKIGTWKTDCWMGKKTANILAVMASAAVASGENLKSIKAFNSFCVLLLYTLHEMIVASENAPLKTSKEKLFLYDIVANGRNGLVDVYSVKQSSSDVVKVGNKFL >cds-PLY86955.1 pep primary_assembly:Lsat_Salinas_v7:5:266785890:266789694:-1 gene:gene-LSAT_5X138580 transcript:rna-gnl|WGS:NBSK|LSAT_5X138580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATANDGRSPYESGAAEERFRERPFRPVPYTTIFSVTILTSMHSWFPEMKKSTGASELSTHQVGNFASISSATGISELEQMVKQMTFTRYEAQRLMALVHSRIMEESPTPLLRLEASTTSGSMKRHKHGDERDNFHASIVSSRVLEDEIARDSTFTNNNWTHLPITSMASTQHPATMENLPGELLENIFIRLSAKQLAQMRSVSKSWNALLSHSSFVKSHLHRSIHHNDQILLVFQHEDEFSSCYYFEQFTAKLSRCLRLKVANFIKFPVNPQSGHTDGIRIIGSVNGLICSSYNNDSVIHIWNPSLSAVSTLPPYSTPSPCYSSINVYFRFGFDPKTDDYKVVKLTGLSGPPTYVVKSWLQVEIYSMRKGLWEMITERFPSHITTITNGNYVCVDGYAGHLHWLGYINRKMGPKTIVAFDLGSETFREIPPPDSILDEDDNRQDILGVLAEKLCVITHVRVDGTCVVWVMDKYGVAESWVKRHVFSLIIGDYTSVFGFTSRNEFLFEDDGYVVLYDPNANALKIFQHYWRPDCWIENIADYVDSLVWVSPAKYEMVDDDGGKN >cds-PLY73564.1 pep primary_assembly:Lsat_Salinas_v7:5:118958039:118958924:1 gene:gene-LSAT_5X52881 transcript:rna-gnl|WGS:NBSK|LSAT_5X52881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSSSSSRRKQSPYSNLNQERFLRKHLRPAITGKSCPICLSLIEEAAVITICFHAYCYDCIRKWSNLKRKCPLCNAEFGSLFVGIDLNTRTFRTKHLSSLRDTKFNNSIGHSNVRQRDFMAQRRAVGISREELNVVNRRSRPLPRQRSFGQSKMLPPGVTKQRILQWRLSIYEQNLRAVPCPTRRSLEQEETVTRKDY >cds-PLY88399.1 pep primary_assembly:Lsat_Salinas_v7:8:257390005:257390184:-1 gene:gene-LSAT_8X151320 transcript:rna-gnl|WGS:NBSK|LSAT_8X151320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVFSSKGTSWADQWDSVQDPPRNNKNKKLKEKFVKGKGAMKSILTLAWMKRSEKKSDK >cds-PLY64887.1 pep primary_assembly:Lsat_Salinas_v7:2:77508722:77512419:-1 gene:gene-LSAT_2X34301 transcript:rna-gnl|WGS:NBSK|LSAT_2X34301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLAGVLAGIFLLLAVYCGLDPFRHSAIVDFPDFESVKVDMPQWSEVPTDKDTQNLLQKSEIKFLNQIQGPESVAFDLQGRGPYTGIADGRVVFWNGNSWSDFAYTSPNRSEICNPKPTILGYLKNEHICGRPLGLRFDKRKGDLYIADAYFGLLKVGPDGGLATSLVTEAEGVPLKFTNDLDIDDEGNVYFTDSSAKYQRRNFMHLVFSAEESGRVLKYDPIQKKTTVLLRNLQFPNGVTLSKDGSFFVFCEGSKGRLIKYWLKGEKAGTSEVMAILPGFPDNIRTNEKGEFWVAIHTRRSMYTYICALHPKLRMFLLKLPIPIKFHYLMNIGGRLHALVVKYSPDGKILQILEDSQGKVVRAVSEVEERDGKLWMGSVLMSFIAVYQL >cds-PLY90847.1 pep primary_assembly:Lsat_Salinas_v7:6:100659404:100662682:1 gene:gene-LSAT_6X63800 transcript:rna-gnl|WGS:NBSK|LSAT_6X63800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTIRRTLSPVPRPGTLLGGEACSVSSPLSKCSSCDQDSVPSSSFTSTEYAFLYHFQSFVLNLFPKRTCRALEKQKPKGNVWRRSLFHFFICFLVGVFVGFTPIVSIKTSSILTSRNQDFSFEEIEKVQSHDVNHVEASVANNITNDIVVLQKIEGLERNLGKLLIIVTPTYPRMFQAYYLNRLAHTLRLVEPPLLWVVVEMSSQSGETSELLRRSGVMYRHLVCKKNTTEIKDLRNVGLLHIETHKLDGIVYFADDTNIYSSELFHHMRQIRRFGTWVVAELKTSTRVGTFVGPICNGTQVIGWHTSNVMRRFHRFHADLSGFAFNSTILWDPKRWQRLTLEPIRFLDTFQVSTLIEQIVEDETQMEGIAEDCSKVFVWHLPMESSSSSSSSSSHPQKWLFNANLDAVLPL >cds-PLY93049.1 pep primary_assembly:Lsat_Salinas_v7:9:25530030:25531023:-1 gene:gene-LSAT_9X22780 transcript:rna-gnl|WGS:NBSK|LSAT_9X22780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPHEGKGVCPVVGCKADLLATCPWSLQKHADGKVVACKSGCEAFNTDEFCCRGHFNNAQTCKASKWSDFFKHACPATFAYAHDSPSLTHECSSPRELKVIFCH >cds-PLY79492.1 pep primary_assembly:Lsat_Salinas_v7:3:249172344:249173374:1 gene:gene-LSAT_3X137981 transcript:rna-gnl|WGS:NBSK|LSAT_3X137981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTGISHIGMVKYKLTVRTMGMLVLKYHIDPKFDPCLPEANDAITDVPEGFMGVYRVLFKSGLCIPTFDFLETILDYYEEVMVLDSPTRSESNMDFDLEVSQSGSSKSIPPNIHSKCVKLDFDLGRPPIVSIRASLTGFILKRCSKGAQDDQLIQMSPPKWKRLSIKQEAEV >cds-PLY99391.1 pep primary_assembly:Lsat_Salinas_v7:4:102137926:102138244:1 gene:gene-LSAT_4X66321 transcript:rna-gnl|WGS:NBSK|LSAT_4X66321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNKDYSMMQVWWHSFVDYINQVGELAKKNNLKININGAHTFNVSLDLGVPVHRPVQVVDYVSVCLSNGLGAPVGSVIVVC >cds-PLY86803.1 pep primary_assembly:Lsat_Salinas_v7:5:14486753:14490419:1 gene:gene-LSAT_5X6560 transcript:rna-gnl|WGS:NBSK|LSAT_5X6560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKHGHQDEEEDNNECFYESLDRLLSSTTCSSSSSCSDKEEEDDDVTLGDQNCSGVSDYNALARPLPIPKFPMGVANNYDVWISRPSSVDERRMRLLRQMGLSRDSSFFRHKPSMFSSPATAVTKFSNYGFGDHLGGHTHQVPSNPGIIRSKSADPEHCSSSSHLDSYQKILPNNSCSSPVADTLPMETVINNVNNHVLIESRNRSGSPVVSANTELPNTVKLIERSEEIIKCDDCSTTNMNFDISSTNGEVADVDLDSIRSSSDAVCTIKNLDNGKEFVVDEVREDGMWEKLKEVGTGRHLTMEEFEICVGHSPIVQELMRRQNVEAGNNDSPDNNSSGNGSKTKKKGSWLKSIKNVASSVTGHKEKRSSDERDTSSEKGGRRSSSATDDSISQDVSVSASASASFHGPERVRVRQYGKSCKDVTALYKTQEIQAHNGSIWTIKFSLDGKYLASAGEDCLIHVWKVVSSDRKGDLLFDKQEDVNINVLLLSNGSPEPGFGSPNSQLEKKKRGRLSISRKSATLDHIIMPETIFNLSEKPVCSLKGHMDDVLDLSWSKSHHLLSSSMDKTVRLWQLSSKSCLKIFSHNDYVTCIQFNPVDERYFISGSLDSKVRIWSIPDHQVVDWNDMHEMVTAACYTPDGQAALVGSYKGSCCLYDTTENKLQQKSQINLQNKKKSRHKKITGFQFAPGTASEVLITSADSRIRVVVDGFRNTNRQISASVTSNGKYVVCASEDSQVYVWKHESDSRPSRKKGVTVTRSYEHFNCRDVSVAIPWPGMTECGPHDSFSHGDHMDEVATGNQLPPHPPTPEDQTNGKESPFHGIISSASNGYFFDRFSATWPEEKLVSRHSSADFTNGLSGSSKSGWGMVIVTAGLRGEIRTFQNFGLPVRL >cds-PLY81963.1 pep primary_assembly:Lsat_Salinas_v7:9:153299897:153300936:1 gene:gene-LSAT_9X96781 transcript:rna-gnl|WGS:NBSK|LSAT_9X96781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKTGGDWKEKQVIQYHSLSERKTPPEDVKLAAIAVSLNIRLRSADMAIPMQERALCLTRSLIDSSSSRRPNLTLLARSLKKEFDRWYGPAWHCVAGKSFGSFVTHSPGGFLYFSVDSYSFLLFKTEVHLICNDLPPPCRR >cds-PLY82495.1 pep primary_assembly:Lsat_Salinas_v7:2:188270654:188273302:1 gene:gene-LSAT_2X110120 transcript:rna-gnl|WGS:NBSK|LSAT_2X110120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MODIFIER OF SNC1 11 [Source:Projected from Arabidopsis thaliana (AT5G02770) UniProtKB/Swiss-Prot;Acc:Q9LZ08] MTTPAANATTTTTQTDDHHAKTLDPPATTTEDVSTEKSPASSTDRKDGDSGDTKLKTTDPATVTADNSSDDGASVTDTQRKIRRAERFGMPVQLSEEEKRNSRAERFGTTPGTQGSGATKKAEELKRKARAERFGITQAPEEDEKKKARLSRFGSASSADPLEEQKKKARALRFSGTQKATVAGKAGGEA >cds-PLY92545.1 pep primary_assembly:Lsat_Salinas_v7:5:79039172:79044235:1 gene:gene-LSAT_5X36420 transcript:rna-gnl|WGS:NBSK|LSAT_5X36420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADTNDGRSFKRRDQLLEMEHEVRKWWDECQVFNAEANEQPPKEGDKFFGTFPFPYMNGYLHLGHAFSLSKLEFAAAYHRLRGANVLLPFGFHCTGMPIKASADKLRREIERFGNPPSFPSETDEIIIEPEVKPEDNNSQPDKFKGKKSKAASKTGKETYQWEIMRGYGLSDTEIATFQDPVQWLRFFPPLAVEDLKAFGLGADWRRSFITTDINPYFDSFVRWQMRKLKSMGKIVKDLRYTIYSPLDGQPCADHDRASGEGVIPQEYTLIKMEVVPPFPTKFGFLEGKKVYLAAATLRPETMYGQTNAWVLPDGKYGAFEINDSEVFILTERAARNLAYQHLSRVPEKHTCLVELTGQDLIGLPLKSPLSFNEIIYCLPMLSVLTDKGTGIVTSVPSDSPDDFMALQDLKSKAPFRAKFGIKDEWVLPFEVIPIISHPEFGDKSAEKICTDMKIKSQNEREKLDAAKKVIYKGGFYEGTMIAGEHSGLRVQEAKTLIRIKLLEANQAVVYSEPEKKVMSRSGDECVVALTDQWYLTYGESTWRKLAEECLEKMNLYSDETRHGFEHTLSWLNQWACSRSFGLGTRIPWDEEFLVESLSDSTIYMAYYTVCHLLQKGELFGSDTSSVNPQQLTDEIWDYLFLSGPEPESSIPLTLLRKMKREFEYWYPVDLRVSGKDLIQNHLTFAIYNHTAIFPKRHWPRGFRCNGHIMLNSEKMSKSTGNFRTLKQAIEEFSADATRFSLADAGDGMDDANFVFETANAAILRLTKERVWMEEVLGAESSLRVGPGSCYADRVFANEINFAIKTTEKNYGDYMFREALKSGFYDLQAARDEYRFSCGSYGMNKDLLWRFMDVQTRLIAPICPHYSEYVWKKLLKKEGFVIKAGWPEAENPDLTLQMANRYLQESISNFRKLLVKQSSGGSKKGKTNTTTPQSKPTIGLIYVNEQYDGWKKECLNILRSKFDIQKRQFAPDQEILQALQQSAIGQEGNFKQTQKLCMPFMRFKKDEVMTLGVQALDLRLPFGEIEVLEENLELVKRQIGLEHVEILSASDGDSVAKAGRHGSLLKQNPPSPGSPTSIFLTKEDMA >cds-PLY80688.1 pep primary_assembly:Lsat_Salinas_v7:3:171863912:171864476:1 gene:gene-LSAT_3X104540 transcript:rna-gnl|WGS:NBSK|LSAT_3X104540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWNVVKIFEKFKDGKTHTPKISKPPGYVSSGSKSRIHDSSQDDQIASAVSVIDEGNKQILEQLKISSAQRQQFLDNQIKNYALKQVKEDNKMLFHDVNTIVDPNVRAFVLAEQAQILAKRAEQHNQQTPLKSSSYGQYFNYLGGYGSCLPNY >cds-PLY75014.1 pep primary_assembly:Lsat_Salinas_v7:1:111715941:111717931:1 gene:gene-LSAT_1X90661 transcript:rna-gnl|WGS:NBSK|LSAT_1X90661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKVIGLCHLVFLSNEVGRLVGEAAKIKMFVNPTNTVYDAKRLIGRRFYDAKIQEDMKLWPFKVIKGTNNIPKIVLEYNGEMKEFFAEEISSMVLVKLKEVAEAYLGETVKDAVITVPAYFNDSQRQATKDAGHVAGLNVLQVVNEPTAVAIAYGLHLKTDISHDTNVLIFDLGGGTFDVSLVNINNNGTITVKAVAGDTHLGGQDFDNAMVEHFVMQFNRRHKTDMSRDIKAMGRLRVACEKAKRSLSSTTDAPIEIDDLHQGIDFSMQITRVKFEHLNGDLFGKCIQLVEKCLGDAETNKKDVNEVVLVGGSTRIPKIQQLLKDFFNGKELSKKIHADEAVAYGAAVLAAKLSGESNQKVKNLVLLDVVPLSLGVSIHDDSLSVVIKRNSPIPVKQERIYVTSVDNQSAITFDVYQGEKSRAIDNDWLGKFQVAVPVALKGMSRVNVVFEIDVNGILNCSAEELTTGLKKKIRISDDKQRLSKEEIKKMLKDAEKYKLDDEEYKKKLFAHNLLEEYIYDVNAKIKSIGSIDNTKIPKEELEKMENVIRSASQILDLSKFADVDKYEKTLNELENVCLPIIAQLMK >cds-PLY95111.1 pep primary_assembly:Lsat_Salinas_v7:1:96095658:96096132:-1 gene:gene-LSAT_1X80080 transcript:rna-gnl|WGS:NBSK|LSAT_1X80080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSNKELKGLMKTVKIQDIDNLQDEMMDMMDISSEIQESLGRSLVFQMILMKMISWVVSTSSLLLFFFFKMLSSLHGNVSELDALEADMGQETEGEGVPSYTQPDNEPDLNEELNMTLAPSGHAVPVKPSIR >cds-PLY67790.1 pep primary_assembly:Lsat_Salinas_v7:9:165293687:165298267:-1 gene:gene-LSAT_9X103440 transcript:rna-gnl|WGS:NBSK|LSAT_9X103440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVTNVTEYQAIAKEKLPKMVYDYYASGAEDQWTLEENRNAFSRILFRPRILIDVSKIDMTTTILGFKISMPIMLAPTAMQKMAHPDGEYATARAASSAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAEKAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDEANDSGLASYVAGQIDRTLSWKDVKWLQTITTMPILVKGVITAEDTRLAIQAGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGELGVRKVLQMLREEFELTMALSGCTSLREITRDHIVTEWDAPRARPAPRL >cds-PLY71262.1 pep primary_assembly:Lsat_Salinas_v7:5:181834562:181837335:1 gene:gene-LSAT_5X80980 transcript:rna-gnl|WGS:NBSK|LSAT_5X80980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g07350/T13E11.12 [Source:Projected from Arabidopsis thaliana (AT2G07340) UniProtKB/TrEMBL;Acc:Q94AF7] MADEANKTAFVEIQGRMIETTAKLKQVQNQMRNKESEKKRAYLTLEELQQLSDDTNTYKSIGRTFVLEPKPFLMDEQEKKLKDSETAIASLQISKEYLEKQLGEVETNLRELLQQDPGLARQIMSMAVV >cds-PLY90470.1 pep primary_assembly:Lsat_Salinas_v7:9:93939135:93939782:1 gene:gene-LSAT_9X71400 transcript:rna-gnl|WGS:NBSK|LSAT_9X71400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAEKKPAEKKPAAEKTPKPKAEKKLPKDASATDKKKKRSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSA >cds-PLY99255.1 pep primary_assembly:Lsat_Salinas_v7:6:184408572:184409891:-1 gene:gene-LSAT_6X112561 transcript:rna-gnl|WGS:NBSK|LSAT_6X112561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPMIVNFIFKIVVVVAFGVDIVHGGITSIIGNPVKVIKDELDGLTFIDQRLSVVYPLIQSFKNTISSDPLNITGSWVGSDICSYRGFYCDNPPDNKTAITLASIDFNGFQLTAPSLDGFLDQLPDLALFHANSNFFSGSISPNIAKLPYLYELDISNNLFSGSFPNSILGMNSLSFLDIRFNLFTGSIPPQLFTKDLDILFVNNNNFIQKLAEVLGNSHILYLTLANNKFYGPIPRTIARYLSGLSEVLLLNNMLSGCLPYELGFLKETVVFDAGNNLLTGPLPFSLGCLKKAEVLNFAGNLLYGMVPEVVCAMSSLANLSLSDNYFIQVGPICRGLMKKGVLDVRKNCIPGLPSQRPVWECAAFFAKPRYCSYMQTYHYLPCWLPHFGGSQMSLTELAPSPF >cds-PLY84609.1 pep primary_assembly:Lsat_Salinas_v7:1:30685748:30686112:1 gene:gene-LSAT_1X26540 transcript:rna-gnl|WGS:NBSK|LSAT_1X26540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNWAQRKIFLYNVTFGLYMLDPWERYLFNALVIVLMWFIFYNGYRNLNELCKRLASFFHLV >cds-PLY86624.1 pep primary_assembly:Lsat_Salinas_v7:1:3743280:3743645:-1 gene:gene-LSAT_1X3021 transcript:rna-gnl|WGS:NBSK|LSAT_1X3021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMVMVLLFALIAGSALAQSPTSAPTVSPTAAPTATPPTPVVVPPSTAPTSSPTESPLASPPAPPTAVTPSGAPGASPPSIASTPTDSPTSSPNAASLNRVASVGSGFVAVLAAALVL >cds-PLY62856.1 pep primary_assembly:Lsat_Salinas_v7:4:28609198:28609551:1 gene:gene-LSAT_4X19280 transcript:rna-gnl|WGS:NBSK|LSAT_4X19280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQSKPNGVFAMVELNYQGVFNRNPLSYTGGVKTMFTDVDFSSMTYFEFVTFCERFMHEECKKFYYCEPGNSLMEGLNPISDDVEYVAFIFYAYGTDGVISVYVDHIGVGVDGWLDD >cds-PLY78101.1 pep primary_assembly:Lsat_Salinas_v7:1:6538184:6541452:1 gene:gene-LSAT_1X5560 transcript:rna-gnl|WGS:NBSK|LSAT_1X5560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTISSFLHEDMISLRGNTLLAMFESYNLNTSTLLLYAVITFITLGVLTWTLSCPGGPAWKRGRNCIGFVSIPSPKGLPIFGSLLGLAHGLPHRTLASMAQTSQAASQLMAISLGSTPTVVASDPQTAREILTSQHFANRPIKQSARLLMFNRAIGFAPNGTYWRLLRKIASSHLFAPRKISAHEPARSLECTSMLNDITTEQSLHGFVVLRKHLQAASLNNIMEIVFGKSYNKVGDVDESMELQEMVREGFELLGAFNWSDHLPWLNYFYDPFHIKERCMALVPQVKKFIKKIINEHKLRGSVNLLDDSDFVDVLLSLDGQDNLSEDDMVAILWEMVFRGTDTIALLTEWVMAELTLNQGIQSKLRFEIDSFMGNKSTNNDYADITKLPYLQAVIKETLRLHPPGPLLSWARLSTSDVHLSNGMVIPANTTAMVNMWSITHNSDVWDHPFTFEPDRFLISAGGSNVDVRGNDLRLAPFGAGRRVCPGMNLGMVTVSEWVAKLVYQFEWVQDMGRPVDLTEELKLSCEMKQPLCAKVIPRNGASEMM >cds-PLY92592.1 pep primary_assembly:Lsat_Salinas_v7:7:160294886:160298704:-1 gene:gene-LSAT_7X94800 transcript:rna-gnl|WGS:NBSK|LSAT_7X94800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVFIVAAIFFMQIYITGAKVPAVIVFGDSSVDAGNNNQLQTVLKSNFRPYGRDFFGGKATGRFSNGRVPPDFISESYGLRPYVPAYLDPAYNISDFATGVCFASAGTGYDNATSDVLSVIPLWREVEYYKDYQSKLRAHLGVEKANTVISEAVYLISMGTNDFLENYYSLPNRKSQYNVTQYQNFLANIAEWLIKDLYALGARKISLGGLPPMGCLPLERTTSFFAGNGDTCKDEYNKVALVFNEKLNGLVERLNDELSGAKIVFSNPYAIFQQIVRKPSTFGFETAAVACCATGFFEMGYMCDQYNPFTCSDASKFVFWDSFHPTEKTNRIISQHLFKTVLSKFSS >cds-PLY66689.1 pep primary_assembly:Lsat_Salinas_v7:6:79152328:79168584:1 gene:gene-LSAT_6X54780 transcript:rna-gnl|WGS:NBSK|LSAT_6X54780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEFFTKLGLHMENSDNSFSVSLAKGNGYEWSNRNGLSSLFSQKGNMINPYFIKMSWEMTKFKNDVLSYFFLISSDVFLHNDKSQMPRNRTTWSALNFLGTKDNKVCLTYWLNVLQNLDDNELPFLVTINPFETPKSTLHKWSLGFSIPSVAATKAVLELNYIQGKRKFWFCGGYQGYGILEDGVKAGIVAANGILKNSCEILNNPKTMVPSLMEVGARSLVIGFLRHFISIGTLILVEEGGATFTFEGTRKKNPLKVYLKIQNPRFYWKLATEADLGLADAYINGDFSFVDKIEGMLNMIMILIVNNEQKNYSSRSNKRYRGWWTPVLLTSIIGSTKYFFHHVLMQNSITQARKNISHHYDLSNEFFSLFLDETMSYSCALFKSADEDFKAAQMRKIYSLIQKARVDKDHQVLDIGCGWGSLAIEIVKQTGCKYTGITLSEKQLKYAETKVKEVGLEDQIKFLLCDYRQLPDTSKYDRIISCEMIEHVGHEYYEEFFRCCESVLAEDGIFVLQFISIQDARYDELRRTPGFIREYIFPGGCIPSLTRLTSAMAASSRLCVEHVENIGAHYYQTLRHWRKNLMQNQSKILALGFNQEFIRTFECYFDFAAAGFKTKTLGDYQVVFSRPGNIATFGDPYKDAISA >cds-PLY66272.1 pep primary_assembly:Lsat_Salinas_v7:4:63998857:63999258:1 gene:gene-LSAT_4X44501 transcript:rna-gnl|WGS:NBSK|LSAT_4X44501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGESHYTLKVPKQVTPTVALKHVPAVVAWSESTLVGEVLNIDLLTELPKLMDVEYKLSHNLFYAWVMRVVLRFDLTRDAQKFLIEEHTWNKWFKCLRKGMFDEPNLERLAWVKIMRVLIALMAEENYTIIANV >cds-PLY62445.1 pep primary_assembly:Lsat_Salinas_v7:1:82208144:82210469:1 gene:gene-LSAT_1X71080 transcript:rna-gnl|WGS:NBSK|LSAT_1X71080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSFLQNSPLFYYSCIVLIILISFKWISTLSKTNKNLPPSPPRLPIIGNLHQLGLSPHRSLEALSKKHGPLMLMHLGNVPMLVASSPDAAKEILKTHDLKFASRPKLRIADILLYGSKDITFSPYGEYWRQLKSIAVVHLLNNTRVQSFQQVREKEVALMIDKIKNSDGSLVDLNELFFWLTNNIFCMASLGRKYGGSTFADIMDRFMHLLSGFNVGDYIPWLAWIDRLSGLEEKAHKVAKEFDDFFECVVEEHVNKRTEVDAQGSEDQDLVDTLLDVQRDNATGFTFHRDVIKALILDVFVAGTDTTFVSLVWSISELIRHPSIMEKVQQEVTEIAQGRSMILEKDLEKMNYLKAIIKETLRLHTPVPLLVPRESTQDVKVMGYDIPAGTQAIVNAWAIGRDPTLWEDPEEFRPERFFNSSTDYKGLHFEFLPFGGGRRGCPGIQFAIVIIELALANVIYKFDLALPDGVKGKDLDMSEKYGLVVHKKSPLIVVATSRF >cds-PLY93742.1 pep primary_assembly:Lsat_Salinas_v7:6:143937209:143938713:1 gene:gene-LSAT_6X87401 transcript:rna-gnl|WGS:NBSK|LSAT_6X87401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSSTAISGPQMSVTIREASTGKKQTNAKSSLKLQHIKNLAMWATHDASIPSLGAFFSHHFAASSEALATPVDPSLFICQRCETILHPGYNCTIRIEKNKQKARHKGKKASHYPQNNIVYTCHFCMHKNMKRGTPRNTNPPKPKPNTILDPLGSTDKGKMGILVKNDSDPAIPTLTLLESKKKRNRSGDKKKVANDQNAEKSVNASTNRKRRKSWTSLKEIAENNDNDNRLKLMNITIPFSME >cds-PLY85462.1 pep primary_assembly:Lsat_Salinas_v7:3:42406525:42408105:1 gene:gene-LSAT_3X32621 transcript:rna-gnl|WGS:NBSK|LSAT_3X32621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP19, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13410) UniProtKB/Swiss-Prot;Acc:Q9LYR5] MKLRPLSFPLNLDVLLPNKTSGKLKILHRFVVEAGESWIHTYKWCWGLQLQLPDLFPHSLPLNLLLHRNGCTTKSNHPQFYIPSTHPLPYLVQDSAPINDTKSSNIDRRKWLVSSVGLLAVSLGNPLGNGVAMASNFADMPALKGKDYGKTKMKYPDYAETSSGLQYKDLRAGSGATPKIGDTVVVDWDGYTIGYYGRIFEARNKTKGGSFEGDDKSFYRFRLGSHEVIPAFEEAVAGMSLGGIRRIIVPPDLGYPENDYNKSGPRPTTFSGQRALDFVLRNQGLIDKTLLFDIELLNIIPN >cds-PLY94062.1 pep primary_assembly:Lsat_Salinas_v7:4:173048917:173049108:1 gene:gene-LSAT_4X103200 transcript:rna-gnl|WGS:NBSK|LSAT_4X103200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRKRTLSSFIQASSQKFLVRRFSTAATLVHASHLLDFMESHCKHHYLLPTTLFSCFPQSMIH >cds-PLY77255.1 pep primary_assembly:Lsat_Salinas_v7:3:56651243:56651404:1 gene:gene-LSAT_3X44421 transcript:rna-gnl|WGS:NBSK|LSAT_3X44421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVVLSGDNERRMVLPVMVSETFRPLLENRDDYEVFKNRCFKSSEEAKVSLS >cds-PLY82545.1 pep primary_assembly:Lsat_Salinas_v7:2:189036705:189037208:1 gene:gene-LSAT_2X110741 transcript:rna-gnl|WGS:NBSK|LSAT_2X110741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHFEMEMTLKEDNPDSPMSGIYEIPGEPALVINGVPPVCTSVNGSLVPYKVCCDIDSKTNESLGDWLEGRKVQKLFGKKFFNGEVTKFDKESNWYRVVYDDGDFEDLEWHELQEVLLPLDITIPLKTLASKVNKRRQKHDKKSGRSVSKPKIHQHKGLESEVEKMEV >cds-PLY80558.1 pep primary_assembly:Lsat_Salinas_v7:6:13347976:13350388:-1 gene:gene-LSAT_6X9841 transcript:rna-gnl|WGS:NBSK|LSAT_6X9841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGGFSAPGDYIYFKSQVPLHKIPIGTKQWRYYDFGPKVVPPLICLPGTAGTADVYYKQIMALSMKGYRVISVDIPRVWNNQEWVQAFEKFLDVIDVHHIHLYGTSLGGFLALLFAQHRPRRVKSLVLSNAFLDTKYFSAAMPWSPVVSWTPSFLLKRYVLTGIPNGPHEPFIADSVDFVVAQVETLTREDLASRLTLTSDAASVGPLLLSNSLITIMDTNDKGATPRELKDEVSERFPEARRALIKSGGDFPFLSRPDEVNLHLNPVIGPESSDSGDVDIQVVGGTTAAMSGGSLPPVILEAYLKQLMVGCLVVYCRIPVSLLTLYMSDQDYSKIHLFV >cds-PLY81913.1 pep primary_assembly:Lsat_Salinas_v7:8:119714698:119715135:-1 gene:gene-LSAT_8X83520 transcript:rna-gnl|WGS:NBSK|LSAT_8X83520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTAKALYRKDKDVLDPESGEPMKIILWPATKKQKEIPIPQHFHEGYLDDMEFWAYDDETATAAIKFKNPEHVLRLINAKELLRFRERDIRTLARHQIICRKDVMEAVAKEFTAMVATIINGRLWMESMGRSDLRLFEKPAEKPN >cds-PLY95541.1 pep primary_assembly:Lsat_Salinas_v7:6:173785526:173786530:1 gene:gene-LSAT_6X104920 transcript:rna-gnl|WGS:NBSK|LSAT_6X104920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTNGTLPVIVKETIDLNDKEKQIFDRLHKVIAHFNLETKLRVVGGWVRDKLLGKECYDIDIALDNMLGREFCEKINEYLVSTCEETQGFDVIQSNPDKSKHLETIRMSLFHVWIDFMHLRSEDCNLQFGSPEEDAYRRDLTINTLFYNINTCLVEDFTKRGLDDLKFGKIVTPLPPKVTFLDDPLRVLRAIRFSTRLGFEMVEELKVATFDNDVKSAILGKVSKERMAFEIDLMVNGNQPTKALTYIYDLRLFSIVFTPPTNHKPLILEEHEKSCVEYMDLAWRQFHEVGCNFYVDNKDKMVSVVNYILKNSLKFVSLKTEQYSMVFARRLLA >cds-PLY75519.1 pep primary_assembly:Lsat_Salinas_v7:9:34015935:34020064:-1 gene:gene-LSAT_9X30161 transcript:rna-gnl|WGS:NBSK|LSAT_9X30161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTGRAWRVGIKDFLMMSGIRQRPHLKGPNWVIILVCLVSISLIGVYLYPPRNSAACYIFFSSSCSEPENTPAVPSRELTDEENAAHVVITEILKSTPVNSKNPKVAFMFLTPGRLPFEMLWDKFFQGHDGRFTVYVHASREQPAHTSPHFIGRNIRSDKVDWGKISMVDAERRLLMNALQDPDNQQFVLLSDSCVPLHHFDFVYNYLIFTNVSFIDCYDDPGPHGGGRYSEHMLPEVEYKDFRKGSQWFTMTRRHALLIMADSLYYKKFRLYCRPGMEGKNCYADEHYFPTLLHMVDPNGIANWSVTYVDWSERKWHPRSYKPPDITSKLLRNITGYEVSLHFTSDEKKTVLKTPCIWNGMQRPCFLFARKFYPETLDNLMQLFSNSTAF >cds-PLY67393.1 pep primary_assembly:Lsat_Salinas_v7:4:211659296:211659610:-1 gene:gene-LSAT_4X118940 transcript:rna-gnl|WGS:NBSK|LSAT_4X118940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKVAIYEEVDTIIDRPFLATVYSQIRCWEGAINMIFGNHKVRFLLFGPTNDPPISGDMFVINTIDDYVYEHTANMLYDTTHDLEKVLPCHRSMELDEIGCQ >cds-PLY87051.1 pep primary_assembly:Lsat_Salinas_v7:5:263790562:263791250:1 gene:gene-LSAT_5X135480 transcript:rna-gnl|WGS:NBSK|LSAT_5X135480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSIILSFLMIMVHILSVLCRPLPPNEAIPPHQGPPLDQLTMDYVKQGDDTKRIGSNPPSCEHKCYGCSPCDATQVPSISGYVGVAIHEQDSNYKPESWKCKCGRTLYSP >cds-PLY63865.1 pep primary_assembly:Lsat_Salinas_v7:1:126103809:126104090:1 gene:gene-LSAT_1X95301 transcript:rna-gnl|WGS:NBSK|LSAT_1X95301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLPINVISSSCMIATSENVDALCKEYGFHPDDGVLIPLDEASILEPPLGKVGDFVKSFYANYRLVRTNFLDEVLCRNGVKIYKLTPNAETRL >cds-PLY66064.1 pep primary_assembly:Lsat_Salinas_v7:2:206504080:206504901:1 gene:gene-LSAT_2X127560 transcript:rna-gnl|WGS:NBSK|LSAT_2X127560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKNKNKINDEAHCAAAANKRRRIELMNTTTGVPFTSPISQFPSLDLLHQQRNVPPQTTVIHTDLNLSPSSATHQDEEAAVDEDHFNSLIPPQNFLIGYHINQMRLSVEEFWRRNFGEEVQKRQEMEAKLKQKEEVADRLRQLYHFYEERTFRLEEMLQHRVAEGCSTPAAAVREEEVESFFVDPSSASKTDMACRNCRSRRATMLWLPCRHLCVCLVCERRIKICPICGAKKTESFMINLPLP >cds-PLY81014.1 pep primary_assembly:Lsat_Salinas_v7:9:175531726:175537317:-1 gene:gene-LSAT_9X108181 transcript:rna-gnl|WGS:NBSK|LSAT_9X108181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKEQPNGGVTVYLDFKCPASKYFASVQCRYLQTLYKCSYYNCTRDSPWSLVVWWVVSGGGQRKERARSANEGWHLRRALRFGTANKHSQLQIMSESGPERPVRPSMEKIDLEEEDKKARRNSLKQRAINASNKFRTSFSKKSRRNSKVMSVVVEDEHDAEDLKAVEALRQALIQEDMLPAKHDEFHMLLRFLKARNYELDKAKKMWTDMITWRKEYGADTIMEEYEFKEKEEVLQYYPQGHHGVDKDGRPIYIERLGMVDATKLMQATTLERYIKYHVMEFERTFVDKFPACSIAAKKHVDQSTTILDVQNVGLKSMNKSARELIQSLQNIDGNNYPETLCRMYIINAGSGFRLLWNTVKSFLDPKTTAKINVLGNKFQSKLLEIIDASELPDFLGGTCTCSSKGGCMRSDKGPWQDPDIMKMVRNGEHKCSSRSTIPDEKNISEADDEPSGTHREQVKHPQLTPVHEEILSSKKEPKRSNQSAYEPKDNTHAIERAVDSSYARPVHKAPTPRDNYYANNGYKGDGLGNQIFTGMMTFMMGCMTMVRMTRNMPKKLTDSNQYSGVYYEEEPVRRRPEPYQMQAPGISTAEYLSMMKRLGDLEEKVIILTNKPLEMPPEKEEMLNNALKRIESLEIELAAAKKSLKDALAQQQEFAAYLEKKKKKKNIFGF >cds-PLY99020.1 pep primary_assembly:Lsat_Salinas_v7:1:146368409:146378500:1 gene:gene-LSAT_1X103360 transcript:rna-gnl|WGS:NBSK|LSAT_1X103360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSVGFIEVYLDPGNRFRREAGELEEATATGERKRSSVSGFLNGHRKSAFRLWEDATKERKNSRLDFSVFLFSYFCKLKRTKNSQCRAMYGTAKSGVGVVSMGVMRPELVMNSIVPVVMAGVLGIYRLIIAASFHWFDIEINRLKTKSEDCVSRRRRQR >cds-PLY97587.1 pep primary_assembly:Lsat_Salinas_v7:5:238864917:238865408:-1 gene:gene-LSAT_5X113541 transcript:rna-gnl|WGS:NBSK|LSAT_5X113541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEISTLYTSTFVMPDPWNFDIVGSILEALLEKVSLDNAIVRAYRKMPSSSVRPIPTKLQKIINEGEKPKRGEKRKAKTAHSEVIKVRMKTKKLVQKPRSPSPVLQEKSEERTESDIQGTSILRNEEDYTSLTSNPTHVETIQNVSSPPSSSIHTSDIFESII >cds-PLY98662.1 pep primary_assembly:Lsat_Salinas_v7:5:303526450:303526984:-1 gene:gene-LSAT_5X163281 transcript:rna-gnl|WGS:NBSK|LSAT_5X163281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHQPTHVIETQEMHQQTLDIMTQEMHQQGPDIETQIVPETHHSEDFQDEDDGCDLSDMDHVLKTINDLRCSNYTNQQIISTLGLTESQLQKCLAFKPLPVDSQRIKVDLHVESQNVPYNDVQESRAVYENHGLEDEEVDAVEEDKQVEEGEEDEE >cds-PLY76409.1 pep primary_assembly:Lsat_Salinas_v7:1:186961084:186961434:1 gene:gene-LSAT_1X119921 transcript:rna-gnl|WGS:NBSK|LSAT_1X119921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSVVPNFYVVLLALNDLGYKARGIRLDFGDLAYLSCEKRKFFETIGMEFGVPRFGKTGITAGNDLNEETLDTLNKQASFLLIFNS >cds-PLY67399.1 pep primary_assembly:Lsat_Salinas_v7:4:212249510:212253854:1 gene:gene-LSAT_4X119401 transcript:rna-gnl|WGS:NBSK|LSAT_4X119401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF21 domain-containing protein At1g47330 [Source:Projected from Arabidopsis thaliana (AT1G47330) UniProtKB/Swiss-Prot;Acc:Q8RY60] MSEVTCCETEFFLYLMVIVGLVAFAGMMAGLTLGLMSLGLVDLEVLIQSGRPKDRIHASKIFPVVKNQHLLLCTLLIGNALAMESLPIFLDKLVPPWAAVLISVTLILMFGEIMPQAVCTRYGLTVGATLAPFVRVLLWLFFPIAYPISKALDWMLGKGHAALLRRAELKTFVDFHGNEAGKGGDLTHDETTIIAGALELTEKTAKDAMTPISKAFSLDLDGTLTLDTLNAIMTMGHSRVPVYSGDRTNIIGIILVKNLLAVDPDDAVPIRKMLLRKVPRVTDNMPLYDMLNEFQKGHSHIAVVYKDLKKSKQTEKSANKASLDDVESDGDENMVKRSPPSTPAFKKRHRGCSFCILDLDSNPIPKYPSNQIVVGVITMEDVIEELLQEEILDETDEYVNIHNRIRINMNASQENLLDPHPIAK >cds-PLY69392.1 pep primary_assembly:Lsat_Salinas_v7:5:301735071:301736714:1 gene:gene-LSAT_5X161641 transcript:rna-gnl|WGS:NBSK|LSAT_5X161641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTPAFPNLGKHCSVDDCKLIDFLPFTCDCCNKVFCLEHRSYTTHQCPKANKNNRTVVICPLCAKGVHLIHDQDPNITWESHVNTDCDPSNYEKVTKKKKCPVRGCRTTLTFSTTIKCRDCTIEHCLKHRLGPDHGCPGPKKPDPSFSFWGSSSSSSSSRWSESLLAAVSSLKMAVLGEDSYSNGGGGGGTGGNGGGKVEECPICNMKFSKGAALIDHVQGVHGKKGVMKVTIDVCPKCSKGFKDAVALVEHVEKEHRGVSKA >cds-PLY69868.1 pep primary_assembly:Lsat_Salinas_v7:6:4580586:4583664:-1 gene:gene-LSAT_6X2840 transcript:rna-gnl|WGS:NBSK|LSAT_6X2840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSAATPSESPLHSVTPPESLSVATTNHSEWIQPHDFKRSSYFSNCGTTPIYLHGLSMNEYQKPSRKKMGSWTSDEISLLKISCNKKFYSLEHVLKR >cds-PLY68994.1 pep primary_assembly:Lsat_Salinas_v7:9:138744416:138747457:-1 gene:gene-LSAT_9X89600 transcript:rna-gnl|WGS:NBSK|LSAT_9X89600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEGEDNYEEEEETPMLGLSSLKLTVEQELINGVSATNDHNNTIRTGTLWSTVAHIITAVIGSGVLSLAWSMSQLGWIAGPIALLFFALVTYVSASLLSDCYRSPDPINGTRNQTYTEAVRVILGEKQALLCGWLQFLNFFGTGVAYVVTTSTCMIAIQKSNCYHKEGQEATCEYSGNLYMFLFGVIQIVMSQIPDFHSMVWVSIVAAIMSFCYSSIGLGLGVAKVIENGRIDGSINGVPAGNVPLKLLLAFQALGDIAFAYPYSIIFLEIQDTIKSPPQENKTMKKASVIAIIVTTFFYFGCGCFGYAAFGNSTPGNLLTGFGFYEPYWLIDFANACIILHLIGGYQLYSQPVFAYVERWITEKFPESVFLTKIHHLKLPFLPDLQLNLFRLSFRTAYVVSTTGISMVFPYFNEILGLLGALNLWPLAIYFPVEMYKKQRKVEAWSTKWIVLQIFSIVLMVVSAVALIGSIGGVIEAKTS >cds-PLY66622.1 pep primary_assembly:Lsat_Salinas_v7:3:65080136:65082463:-1 gene:gene-LSAT_3X51460 transcript:rna-gnl|WGS:NBSK|LSAT_3X51460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKGPGLYPDIGKKARDLLYRDYQGDHKFSVTTYAANGVAITSSGSKKGDFFLADVSTKLINKNITTDVKVDTNSKLFTTITVDEAAPGLKTIFSFIAPDQKSGKVELQYLHEYAGISTSIGLTASPIVNFSGVAGNNTVSLGTDVSFDTASGNFTKYNAALSFTSTDLIASLILNDKADTLTASYYHTVSPLTNTVVGAELSHGFSSNENSLTIGTQHLLDPLTLVKARVNNAGIASAVLQHEWRPKSLFTISGEVDTRAIEKSAKVGLAIALKP >cds-PLY95754.1 pep primary_assembly:Lsat_Salinas_v7:3:27310155:27311982:-1 gene:gene-LSAT_3X21161 transcript:rna-gnl|WGS:NBSK|LSAT_3X21161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKILVVGGTGYVGKRIVKASLAQGHPTFVLMRREIGYEVEKLQKLLSFKKQGARLIEGSFSDHQSLVDAVKKVDVVICTMSGVQFRSHNILLQLKLVDAIKEAGNIKRFLPSEFGMDPSRMEHGLEYGKETFGDKMVVRKAIEDAKIPYTYISANCFGGYFVGNLSQPNTLFPPKDRVSIYGDGNCKAVFMDEDDVATYTIKTVDDPRTLNKTLYLRPNENILTHNDLVEKWEKLTNKTLQKVYISAEDFLASMRDLDHAYQAGFARIYQIFYDGCLMNFEIGEGGEEASNLYPEVRYTRMDEYLQRYL >cds-PLY92216.1 pep primary_assembly:Lsat_Salinas_v7:6:75738740:75738964:1 gene:gene-LSAT_6X53120 transcript:rna-gnl|WGS:NBSK|LSAT_6X53120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVGTKTVEAPAVGAGAGASAAETVTEKAETTIIITSIAAKSFIVFKASMKLLCDSVLDGEKKIAREKSREEKG >cds-PLY83287.1 pep primary_assembly:Lsat_Salinas_v7:8:191667904:191670038:-1 gene:gene-LSAT_8X124000 transcript:rna-gnl|WGS:NBSK|LSAT_8X124000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASHSSKNLYPQVDQSNPESYSSFSSSNPSAPSMYPSLETKDLAEKLFPDNDQSHEQQQQSTAFESSEEVVIKIPGSIVHLIDKQQSIQLASGVFEIIRLRQGGNVVAALARVGDEIQWPLAKDEAAVKLDGSHYFFTLRVPSQSGNDVEPEDLLNYGLTITGNSSDELDRVLEEYSAFSVKEVERAVAVEGVGSPEAKASAYWTTLAPNVEDYSGSVARMIASGSGQVIRGILWCGDVTVDRLKWGNEYLKKRMKSGQKADVSPEALKRMKRVKKLTKMSESVATGLLSGVVKVSGFFTSSIVNSAPGKKFFSLLPGEIVLASLDGFNKVCDAVEVAGRNVMSTTSTVTTDLVSHKHGEDAAKVTSTGMDAAGHAIGTAWAVFKIRKAFNPKNAFKPTSLVKAAASGSKSSSSKSSKSEI >cds-PLY77072.1 pep primary_assembly:Lsat_Salinas_v7:3:220035575:220040939:1 gene:gene-LSAT_3X127020 transcript:rna-gnl|WGS:NBSK|LSAT_3X127020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLKYLVCQFQFAVLAVVDSMNDGTDAAEALEVFYLLAKTKKNVNITYSPWSALAEVISRLQPFVCFLAEEPASVQDKAIEVLSRLCGEKPVVLGDLLVSNSRAIGALGYRILKSTSLIQAQGGLKNFTKGARELIQRLQKIDGDNYPEVNGDNLEEIPGGKIAITNLNTCNGPEFVLQLRFPLNHDNATSTGSPSLLFFFVEITKFGNSILPI >cds-PLY94518.1 pep primary_assembly:Lsat_Salinas_v7:2:156026645:156030236:1 gene:gene-LSAT_2X81500 transcript:rna-gnl|WGS:NBSK|LSAT_2X81500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDPIVSSVVNQVMERSTSLAAQDFAVLWGLKSDLRSLRTIFTQIQAVLADAEVKQRKNRALQDWLRKLRAASFEVENVLEEVSTEALLRRLHVERGIKNRVSTFFSASNPLQFRIMMVQKVKDIKELLNAIASERIMFELEEGINISEVVADIETRIISSIDSEIVGRDEEKEVIIDKICTNLNSLDHDHVHVYAIWGVGGLGKTTLAQLIFNDSRVNKLFDFKVWLRVSNDFSIQRLAREFLKCIEGDSSNLSLMELEPLQQRLQEKLQRRRFLLVLDDVKNENNEEWNGLMQALRCGGKGSAILVTTQSMKLADTIATLPSLVHPLGCLSESDSWLLFKHYAFGVERELESTEFETIGKAIVKKCEGLPLALKVIGSLMCLKTSISDWSCILQSEVWELTYEGTHTQILPSLKLSYDNLPPHMRQCFALCSIFPKHHEMDKQLLVELWVAYGFVPSRGDSDLYDLGEEIFNALVWRSFLQDVKECIFEGVTTCKMHDEMHNLAQSVMKYECSSIMSGEILKFPEEVLHLSSNDIIYLSNEVMAKAKSLRSLITFEGFSRIPTPDYIFEQRYLRVLHLGSSSDMLTDLPESVGNLKFLRYLNVSRSKIAALPNSIVQLQNLQTLKLTFCENLSELPEGIRYMRNLRHLNIHGCCSLDHMPLGMGQLRHLRRLSTFLVGQGVQVSELQELNLLGGEFSIKGLTNVKDSFQAQSANLKKKQNINCLNLSWNWKNSKEDNFPVNNSEGILEALQPHLNLKMLTISDYPGSRVPYWMGSLVNLVSISFEGCRRCESLSSIGKLPSLKALELKEMDVLKYLDDEERNNRNLFPCLDKLIINECPCLIELPYIPKLELLQIIKSNEEIFRSVKELNCLKKFTVEGCHGIVSFPSDWFQGTPDLRSLSILDCLRLESLEDGLQCLKALEELQIMGCPNLRCLPDGLQNLEKLKSVVIRDCEMVKVRCEKDVGEDWSKIVHLPYVKIDDQVMQ >cds-PLY96165.1 pep primary_assembly:Lsat_Salinas_v7:8:269907821:269909537:1 gene:gene-LSAT_8X155781 transcript:rna-gnl|WGS:NBSK|LSAT_8X155781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWSFIAQVSIYGLTLFLGAFLVFYKWYNSSSSSTTKKLPPSPPKLPVIGNLHQLGASVHHSFLSLARRYGDSLMLLHIGSVPSLVVSSTEAAREIMKTHDIAFASRPNTRMFRAISYNLKEITVAPYGEYWRQAKSILTLQLLSNKKVQTYNGLREKIIAECVDKITQCFLSNKPADLSDLFSSLTNDVTCMATFGRTYNEGEIGRKFKKVLQEFSEVLGSFYFEDSIPQLAVVDRLRGLSAKVDRVAVDFDEFLQGVVDETIIKVRNNSEKISEDGVETFIEGLLKVQKEDIIGITIDADVIKALLLDAYVAGTDTSSSVLEWAMTELLLHPDNLKKVQDEVRSILHGKEEITDEDLDKMIYLKAVIMETTRLHPPLPILPPRVARHDVNVMGYDIAEGTRVYVNVYAIMRDPKVWEKAEVFLPERFLESSIDFVRHNFELLTFGAGRRGCPGRVFAMAINEKVLATVLSKFDWSLPQGVTPKDVDMNETFGLANHRKIPLLALGKPVPMNGW >cds-PLY82202.1 pep primary_assembly:Lsat_Salinas_v7:1:13473245:13474459:1 gene:gene-LSAT_1X11640 transcript:rna-gnl|WGS:NBSK|LSAT_1X11640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVFSELLLLTNRYLKMYTSMKKISKDKDVEPTEFEQSVAQALFDLENTHQELKSDLKDLYINSASQIDVANNKKAVVIHVPYRLTKQFRKIHPKLVRELEKKFSGKDVVFIATRRIVRPPKKGSAAQRPRSRTLTAVHEAMLEDIVHPAEIVGKRIRHRLDGSKIIKIYLDPKARNDTEYKLETFAGVYRKLSGKDVVFEYPITEA >cds-PLY90607.1 pep primary_assembly:Lsat_Salinas_v7:6:52957160:52958541:-1 gene:gene-LSAT_6X39020 transcript:rna-gnl|WGS:NBSK|LSAT_6X39020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMTNSKIVLLAVGVIFFNLVGHCYGSLGYGGLQYGFYNGKCRTSDVEDIVRRTVYSKYLTNRKIAPALIRMQFHDCFVNGCDASILLDGPNTEKTSPPNLSVRGYDVIDAAKAAVENVCPGVVSCADIIVMATRDVVSFSGGARYSVQTGRRDGLVSLAQNALTLPPPTSSVSSAIRAFALKGFTATDMIYLLGGHTIGIAHCSLFKDRLYNYKNTGKPDPSMDLSLLSSLKSKCPQNTTADPTANLDQNPFSSAVVDKSFYSQIIMGRGVLQFDQDLASDRLSKSTVAAIARSSDFNARFGQAMVKLGATQVLTGKQGQIRKSCRAVNVVPTLTSFFN >cds-PLY83604.1 pep primary_assembly:Lsat_Salinas_v7:3:73682552:73685016:-1 gene:gene-LSAT_3X62600 transcript:rna-gnl|WGS:NBSK|LSAT_3X62600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIVFFFASLLLSCLPVLHSATNHNTSIPICPKSFTCPNLEPFSYPFYNANDTKCGLIKVNCTSNGTNLEFRGELYEVGGNYRSHSRPSVLVLVLNKKFEKLVNDKKCEALEYNFTSPSPLMYSSSITPFTNIYKCSNNTNHAEEMVAYFNQSTYNRYSKCKPYNFYYKYNISDTTFPSDLPPTCEVIRLPVKLQWNTTRVPDKTNIFSVLSFQFSITFELSSSCDKCRKKDGQCHAPNGQFQCLGVKKENPLGKLKHIPVLAGSAFIIILFIVISIIWCRYKNSPFSYFSSKGKSPHVEDGSIFFGVSVFSYTELEDATQNFDPSLELGNGGFGAVYYGKLQDGREVAVKRLYEHSYKRVQQFVNEIRILTRLRHPNLVVLYGCTSRQSHELLLVYEYISNGTVADHLHGKQANSSLLTWPLRMNIAIETARALVYLHASEIIHRDVKTCNILLDQNFSAKVADFGLSRLLPNDVTHVSTAPQGTPGYVDPQYHHCYQLTDKSDVYSFGVVLIELISSMVAVDLSRSQDEISLADLALNRIQRCALDQLIDPDLGSDSDAEIMRMITSVAELAFQCLQYYSEMRPTMSEVLDVLEDIQAPGRIDSIKPPTPSETSDKTVLLKDFLPSPVSVTGEWHSESTVSTTLSIR >cds-PLY95957.1 pep primary_assembly:Lsat_Salinas_v7:9:42213027:42213218:1 gene:gene-LSAT_9X37741 transcript:rna-gnl|WGS:NBSK|LSAT_9X37741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRRKEIKKDREECCRFKKLLEVGSKEGKWGRRVEKDPTSAGSARSQLPPPSVPWLHRLQQVR >cds-PLY95718.1 pep primary_assembly:Lsat_Salinas_v7:2:116006426:116010333:-1 gene:gene-LSAT_2X54241 transcript:rna-gnl|WGS:NBSK|LSAT_2X54241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMDSVDYKEHEGLIPMSSVPWWSGLVTGSSLEESMKAKPSSIHNHTAFGSKFTPKRSDHDHDADQVFDKRDTTRFNIFTGNVGGKLDQSASDSDECNNSSNTQKPTKIQTSFSMESVPSDYLRFGQPTMLCGKYRDQCYGVLSTYGHQIAGRIMLPLNLSNDDEPVFVNAKQYHGIIRRRRSRAKAEMANKVLKNRKPYMHHSRHLHAMRRPRGNGGRFLNTKKENNCKDENTDQEKDKNLLKSPNYTIFMSNHHNFTSHREPNNMFSTGDVKSLPIGNLSVVSFSEMMSGNTAPRHSFGMHGKWVAATGGGDGRCNFTV >cds-PLY90851.1 pep primary_assembly:Lsat_Salinas_v7:6:100867948:100869522:1 gene:gene-LSAT_6X63900 transcript:rna-gnl|WGS:NBSK|LSAT_6X63900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDDPIARRRRIAEKGSDRLAMITGGRAQNLHSPPSDTSTAQPHDSHTESYPSSLTHHPDQPLPSDENQDLPSKPKTQSNSSKQSSPRNHPKSDLEIYLHKTVSPSQLRPAILATQDTRRKCSFFVGIIALLSYIGFPILGSYVIKNIILSRPLLLLLVFNVVITVGPLVMDAMRMIQHESRVGYSSGEGEIGEHLGTPFEWGMLFKTGFCALFMDSCIYSVVVVCGTSIFQKCGL >cds-PLY94876.1 pep primary_assembly:Lsat_Salinas_v7:2:176335464:176337922:1 gene:gene-LSAT_2X100461 transcript:rna-gnl|WGS:NBSK|LSAT_2X100461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIVFGYFIGVSFPSVSLTKVIIPSSIYSPFDVTMREDQSKSLERSFPENLGSGNTPATPKIYVPSNPRGAESLPPGIVVPETDLYLRRLWGEPSEDLKKKPKYLVTFTVGWGQRDNIDKSIKKFSGDFQILLFHYDGLVTEWDQYEWSKQAIHISVKKQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFNADKYIHLVKKHGLDISQPGLEPNNGLTWQMTKRRGDKEVHKDTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRRCVKPAHEKIGVVDSQWIIHQVVPSLGSQGKSENGEAPWKGVRDRCRSEWALFQDRLANADKAYFKQTGRD >cds-PLY81704.1 pep primary_assembly:Lsat_Salinas_v7:3:33735004:33737362:-1 gene:gene-LSAT_3X24820 transcript:rna-gnl|WGS:NBSK|LSAT_3X24820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERLDYGKMGYGCKHYRRRCMIRAPCCNEIFGCRHCHNEATNMLNSPSDRHDLVRYDVKHVICSVCDTEQPVARVCTNCGVNMGEYFCEICKFYDDDTDKGQFHCNECGICRVGGREKYFHCKKCGSCYSLSLLNNHSCVENSMRHHCPICYEYLFDSLKDTTVMKCGHTMHSECCNEMIKRNKYSCPICSKSVMDMSMMWKRLDEEIEATVMPEDYRQKKVWILCNDCNDTSEVLFHIMGQKCLHCNSYNTRTISPPVLPQE >cds-PLY83254.1 pep primary_assembly:Lsat_Salinas_v7:4:147093684:147094203:-1 gene:gene-LSAT_4X90600 transcript:rna-gnl|WGS:NBSK|LSAT_4X90600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEFDPELDFSQFLEEAKTHASEEEGRKDSKEKTKAKRSWKASIFSWMKANKKEEQQQQQQLTIKTEYRKPTRRQGYVSGPINGVKGCKTQRLRRQSSGPLSGLFHQRTKMEEFQMPYTSLGKLNKSPENLSNYGPVYLVT >cds-PLY88260.1 pep primary_assembly:Lsat_Salinas_v7:8:153137194:153138055:1 gene:gene-LSAT_8X102541 transcript:rna-gnl|WGS:NBSK|LSAT_8X102541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLKRIILAVMLYVPFCMASLGVSKVGLWGTKSNEDPQNRWDFLLEKDHKLRYITVDHDDLIYSLVFTTKSKGFQYTAHMVGGWNGGVRISKVVFEDDEEIIGIDGTVGVSTGEYDGYTIISSLSFVTNKKTHGPFGRKTHTPFTVPWVRGSFGGFYGLAGYYIDAIGVYITTSSDKIARVGIWGTKSPGGPQNKWSFQLERNHRLKKITIDHGDLIYSLMFTTKFKGVEKTSNKAGGWNGGDIVSEVQT >cds-PLY95145.1 pep primary_assembly:Lsat_Salinas_v7:1:91984615:91988807:-1 gene:gene-LSAT_1X76480 transcript:rna-gnl|WGS:NBSK|LSAT_1X76480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERAAQQLKRGISRQFSTGSMKLSGKFSFKRQSSFDPRTNSRFSLGRQSSLDPVRRTSANDDFTLPGNLDSTMQLLFLACKNDVQGVKELLDDGVDVNSIDLDGRTALHIAACEGHVEVVQLLLSRKANIDARDRWGSTACADAKYYGNVETYNILKARGAKVPKTRKTPMTVANPREVPEYELNPLELQIRKSDGISKGTYQVAKWNGTKVSVKILDKDSYSDPESINAFKHELTLLEKVRHPNVVQFVGAVTQNIPMMIVSEYHPRGDLRSYLQKKGKLSPSKALRFALDIARGMNYLHECKPDPIIHCDLRPKNILLDSGGQLKVAGFGLIRLSKISPDKARLSRPVTVDRTNLYIAPEIYKDELFDRGADVYSFGIILFEMMEGAQPFHPKPPEEAVKLMCEDLKRPPFKIKSKYYPPDLKELIEECWYPELPIRPKFSEIIIRLDKIVGNCSKHGWWKDAFKLPWK >cds-PLY97247.1 pep primary_assembly:Lsat_Salinas_v7:1:44759329:44761279:-1 gene:gene-LSAT_1X39161 transcript:rna-gnl|WGS:NBSK|LSAT_1X39161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWWRSASFILDRNDNLLQDDSVPKAESRIISPPSSSMADTLNNPNPIPVNNISAYYQTRAAHHAVVTSDWLAQAQAAVGHDQDTLPSDPDTALNSSSPEKPFSVIDEFNNWRKQPDLAEAVAAIRALASVIRSSEATTMMELQRELKTASDSLKACSWDTTSISLTAGCDLFMRYVTRTSAVEYEDFNAAKSRFLERALKFGEISYKARKIIAMLGQDFIFNGCTILVHGFSRVVLEILKTAAQSKKVFRVLCTEGRPDRTGLRFSNELAKLDVPVKLLIDSAVAYSMDEVDMVIVGADGVVESGGIINMMGTYQIALVAKSMDKPVYVAAESYKFARLYPLDQKDMVPALRAVDFGVPIPSKVEVETSARDYTPPQYLTLLFTDLGVLTPSVVSDELIQLYL >cds-PLY77931.1 pep primary_assembly:Lsat_Salinas_v7:1:21302186:21305864:1 gene:gene-LSAT_1X17620 transcript:rna-gnl|WGS:NBSK|LSAT_1X17620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLKPCITSHFISVIEDGMVKKVHNRDSNGTPIVRFKNLKDIYKAEDAMLQNGYDGTKFSSISTGNEHTNLPFADRKTKTRNGYAFEEDQPESSSNEDSSDELTLSQFVKKSVKKKRKASESVQTLKTDYDDSDLSQPLFKFRVKAPKTSPKKMHTTESFPGSVNVKVEESCEVESFEFRKSSHCNGPASFIASESGIKVEESFECREGTHNNMPATFIASESGVVFINHELNSNKSGSSTNEGEVCEINEASLDALDDTKDMVLDNEENMKSLTVSPMEEDSPVEDLTTSTSDYSQSQPAHEEVNNICDLQDSVNADVDLNTMNSENICVSEETPIIQDQPSVIPPNADSEEKLFNQDYQTDIMKEASVIRNPNCIEPRNPERLPSTRKAISPTSQEKLCMAMKSPELLDDMDHYKCKEKLSFEEQPENKFLSTTSNIDYNEPNALPQQAKSVISTKTMVKKPKGYKKGSPEKNLPPKGCLDGPRLCRSLPRLSTGCTTVQGCSESAIAFSQRQMHDIESLASKLMSELNSMKVIVEEKLLFEAYRSPSLKNEADEVKSAIKSATKTEESAKKWLSMMTRDCNRFCKIMKLNEDNNDSGSDVKSMIEEKAPPVQKEKERKKISFADEAGGMLCDIKVYEIEIEQNSLELKQSPE >cds-PLY82119.1 pep primary_assembly:Lsat_Salinas_v7:1:14062743:14066886:-1 gene:gene-LSAT_1X12321 transcript:rna-gnl|WGS:NBSK|LSAT_1X12321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMMEQKETDFGGSILVPSVQELVKEQLTKVPPRYIRHDQDPPITSPLSSSSPQVPIIDMEHLLSVSDHNSNTDSELEKLHLACRDWGFFQLINHKVSCSLIEKVKKETQEFFELPLEEKKKYWQKSGDLEGFGQAFVVSDEQKLDWGDMFYMVTLPSHLRKPHLFPNLPLPFRDTLEEYSEQVKIVALEILVFIAKALNVKEEEMKVLFAYGIQMMRMNCYPPCPEPEQVIGLTPHSDAAGITFLLQLNQVEGLQIKNNGLWIPIQPLSDAFIVNIGDILEIITNGTYKSIEHRVVVNSQKERLSIATFLSPNLDGDVGPATSIINSETPPRFKRITVVDYIKNVFSRELKGKTNVEQYYI >cds-PLY99587.1 pep primary_assembly:Lsat_Salinas_v7:3:164323689:164324974:1 gene:gene-LSAT_3X102020 transcript:rna-gnl|WGS:NBSK|LSAT_3X102020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFSPITKGNRRGLRKKHNGPCEAHNSIDKCWRCDPNWAHNREKLADCVQGFGHNATGGKGGEVYVVNDSSDTDLVNPKVGTLRHAVLQPQPLWIIFRSTMTIRLCQELIFSSNKTIDGRGSHVHIAGGAGFMLQFVKNIIIFDIHMYDITPGEGGIIRSNSTHFGRRGASDGDAITIFGSSDIWVDHCSFAGTFDGLIDVVARSTDITISNCHFVRHDKALLFGASDTNPDENMRVTIAFNHFGKGLTQRLPAVRWGFVQVVNNDYTHWKSYAIGGAKGATIISQGNRYVAEDGAAKEVTYRKKAPKSEWEKWTWRSEGDLMVNGAFFVNSGNPNWAAQYKGYPLMKPEPAERVPELTKFAGAAIGCKVGLPC >cds-PLY98211.1 pep primary_assembly:Lsat_Salinas_v7:7:166613612:166613944:1 gene:gene-LSAT_7X98740 transcript:rna-gnl|WGS:NBSK|LSAT_7X98740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLFHIIPSQFGSKEWPKEDLASVTYEKLCVEEAENHLLLIRENSSEKIFVGSAKVICLHEVVRPDGLIHGIKRLLVPMLVQEEFNQRRSLSSISVVLPEGAPMVDPRTH >cds-PLY94032.1 pep primary_assembly:Lsat_Salinas_v7:7:100615095:100615415:1 gene:gene-LSAT_7X66061 transcript:rna-gnl|WGS:NBSK|LSAT_7X66061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFRLKGVSGPILIQHFKISIKSKIRTCFLHRLWSLSILPSQIRIRSHTSDANLFQSHMLHTSSLTAHSRTIFLSFYSGGQLQASDDLLLLCASNLHLSLTHKKPA >cds-PLY61644.1 pep primary_assembly:Lsat_Salinas_v7:2:47704507:47710840:1 gene:gene-LSAT_2X21180 transcript:rna-gnl|WGS:NBSK|LSAT_2X21180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDKVTGEKKVSYVRDNNHGVDKSLKDAINEEIGFTTQSSRNLLTDLSESVVVKDRRKFEKESNVSGRRKCKVPNYEQSPFVDKLTQNELVMDETELEFSRLKEFTLMFEMFIGNLDIKTNLSDFGLVFFPIVDVEKYYLLCFDLKRGRYLIIDHVKRISTIESRYGKIPRTLVTYATWHASWTRADVDADMACHINVWFFEMQKSDYIKKMKGVGLEPNTSHIEPNTLSTRLDNIPDLTP >cds-PLY89434.1 pep primary_assembly:Lsat_Salinas_v7:9:65975142:65979362:-1 gene:gene-LSAT_9X55340 transcript:rna-gnl|WGS:NBSK|LSAT_9X55340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTLITTRSPSSYQLRLATFSCRKYPSSSIFLRMRVHKLAHPIHCSSNSRDNVSKRRTGSKFSVNAFSGWSGDDNGTEVLNESPPKKGSYGGIVGAAVAGVVLVAGLSFATFSISKRVSGTKKNMETLTTHQEESLTSDDQNDNLEAKGTEKENIVLDDDSYPHEKENETTTEDKLSHSIQQDSQEELPTPELHDDTTETSGIANSDVQDDSVDASIIQSLDDTEKLESDDNLKEVPTDDLNSVIPDSDFQEATINESDINDKETQEFLESFTEKEVLDGGLVIPIPIPIPIPEPELSNMEKTFDSMDLENLPSSASIPAPSVLSPSLQTLPGKVLVPAVVDQVQGQALAALQVLKVIEGDVQPGDLCTRREYARWLVSASSALSRNTLSKVYPAMYIENVTELAFDDITPEDPDFSSIQGLAEAGLIASKLSQHDMNISNQDESSLNFCPESPLSRQDLVSWKMSLEKRLLPVPDRKILQRLSGFIDIDKINQDAWPALIADLSAGENGIVGLAFGYTRLFQPDKPVTKAQAAIALATGESSDLVTEELARIEAESMAEKAVAAHTALVDQVQKDVNTFFEKDLLLEREKIITLEKLAEETKQELERMKAEQEEQNIKMMKERAAVDSQMEVLLKLRREAEEELQGIMSNKVEISFEKERVNKLRANAEFETQEISRLQYELEVERKALAMARTWAEDEAKRAREQVKVVEDARAQWENQGIKVIVDNDLRDESNTESTWVNAQKQFSIQETKSRAENMVEKLKSMTQDLKGKSKETIDKVIERILFFISQLKESVSKGVQQVGEVKDGVVLKVGGSIQDLQRSSVGWSSAVKEKLTQKFKT >cds-PLY80206.1 pep primary_assembly:Lsat_Salinas_v7:MU042018.1:415545:418226:1 gene:gene-LSAT_3X106121 transcript:rna-gnl|WGS:NBSK|LSAT_3X106121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:FKF1 [Source:Projected from Arabidopsis thaliana (AT1G68050) UniProtKB/TrEMBL;Acc:A0A178W8F6] MGMPIDDESDDLQRIKRLKSATDDDDYCYDDIDDEEEESGGGFSLRLGEFFNPMIPTSIVVSDAMEPDFPIIYVNKVFESTTGYRADEVLGRNCRFLQYRDPRAQRRHPLVDPVCVSEIRRCLEEGIDFQGELLNFRKDGTPLVNRLRLAPIHGDDGIVTHVIGIQVFTEAKIDLNSISYPVFKETHNNDVQSQSQSLSHSQSNQQVSDKFHSPNIEQQQQIECGILQLSDEVMAQDILSRLTPRDVASIGSVCRRIRLLTKNEHVRKMVCQNAWGREVTGALEHMTNNKLGWGRLARELTTLEAVCWKKLRVGGTVEPSRCNFSACAAGNRLVLFGGEGVNMEPMDDTFVLNLDAVNPEWRQVSVKSSPPGRWGHTLSSLNGSWLVVFGGCGKQGLLNDVFVLDLDAKQPTWIQVFGAGPPPPRSWHSSCTIEGSKLVVSGGCTDAGVLLNDTFILDLTMEKPMWREIPTSPVPPSRLGHSLSVFGRTKILMYGGLANSGHLRLRSSEAYTMDVMDEKPEWRLLECNGFTGVGTQSAVVPPPRLDHVAMSMPCGRVIIFGGSIAGLHSPSQVFLLDPAEEKPSWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWVLNELHELCLASRQDVDQ >cds-PLY69207.1 pep primary_assembly:Lsat_Salinas_v7:1:79965784:79968464:-1 gene:gene-LSAT_1X68561 transcript:rna-gnl|WGS:NBSK|LSAT_1X68561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIFIFLKDRKTFTTNTKALEKSMESEDEIIEFVDDPDIVWWIEVGNFYEAIGFDACMLEEHTTVLNPSGEYGIHSDSFPKVGFHFKNLYQTLDDLTCKGFTVCIVEEVPLPAGYVSHCMDGYIC >cds-PLY88423.1 pep primary_assembly:Lsat_Salinas_v7:8:86520100:86521511:1 gene:gene-LSAT_8X59300 transcript:rna-gnl|WGS:NBSK|LSAT_8X59300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFLKAHSLLILVVLSLTLSSITTVTNSMSSSHNPNKGAYWPSWVKNFPPSSIDTNLFTHVYYAFLSPSNTTFKFEIDDPTALLLKNFTTTLHTKNPNLKTLFSIGGASEGSQIFSRMASSHKSRKTFIASTIEVARKFDFDGVDLDWEFPKTPKDMKNFRHLLHEWRVAVKKEAKANDTPQLLLSAATYYSPEVNLDNIHRKYPVESINENLDWINAMCYDYRGSWDLSATGSPALLYDSNSNVSTSYGLQAWIEAKIQREKLVMGLPLYGRTWQLKDPTLYGIGAPAVDVGPGDEGQMPYVEVEKFNAQNSAKVVFDLSTVSTYSVAGTSWIGYDDVRSVALKVAYARTQKLGGYFFWAVNGDQDWKISIEASRTWTL >cds-PLY69891.1 pep primary_assembly:Lsat_Salinas_v7:4:69192527:69193101:1 gene:gene-LSAT_4X47201 transcript:rna-gnl|WGS:NBSK|LSAT_4X47201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEAVTLFNDRLKALVHTLNHKKSDAKFTFINLGSILSPLGDVPMPNSPCCLVRKDGQCIPKSIPCPMRSVSIFFDGLHPTEVSNNIIATRSYSGVSQKDASPYDISQLAQL >cds-PLY80530.1 pep primary_assembly:Lsat_Salinas_v7:5:181207871:181208286:1 gene:gene-LSAT_5X80241 transcript:rna-gnl|WGS:NBSK|LSAT_5X80241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQSIEQRQMFGVADGLIITGEVEEAAASCSLTRKQPLLGVVLLLDGDIKIECKGGCLESVYLIKCRWKEPRMDGAATSLLGSRFMLPREEMDRGVQGRVRRET >cds-PLY62579.1 pep primary_assembly:Lsat_Salinas_v7:9:76817867:76818704:-1 gene:gene-LSAT_9X60660 transcript:rna-gnl|WGS:NBSK|LSAT_9X60660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKATAPSRIHQYTPITATTPIIPQQIPVNNNPDLRPFFSRMTATLRESFAQRRPWFEIIDRSNFSRPETFSEAVSRVRKNLSYFRVNYSAILAVFVALSLLSHPISLFFFVGVVWAWLYLYLFRSPDQPVVLFEHNFSDRQALGILILSTILIVFLTGLGSLIMYSSLIGLGMLCIHGAFRIPQEVFVEDQQPDIAAGFLSFLTVTAAAAVAAAPPMPRAQPMPRV >cds-PLY81437.1 pep primary_assembly:Lsat_Salinas_v7:5:334713504:334713815:1 gene:gene-LSAT_5X187980 transcript:rna-gnl|WGS:NBSK|LSAT_5X187980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNIGRQWERRQRWTCMLLMIQWRGYERSPGSLFREVVAAAGVPFPSCSNDNEGVVITDVVGHRKEQESIIDLDLQGGRDTEIHQVENENEMKRVKEEGCGVG >cds-PLY90233.1 pep primary_assembly:Lsat_Salinas_v7:8:14497599:14500662:-1 gene:gene-LSAT_8X11521 transcript:rna-gnl|WGS:NBSK|LSAT_8X11521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMNALAATTRNFRHACRLINVDQKLEMSLLIPFREIKVECSIPMDDGSLVSYIGFRVQHDNSRGPMKGGIRYHPKVNLDEVNALAQLMTWKTAVMDLPYGGAKGGIACTPKNLTKSELERMTRVFTQKLQDLIGTNIDVPAPDMGTNPQTMAWILDEYSKFHGYSPACVTGKPLELGGSLGRESATGYGVVISTEALLNEHGKSIKDSTFVIQGFGNVGSWAARMIHEKGGKIVALSDITGAIKNPNGIDIVELFKHRETGGDLSDFNGGETMDPDELLFQQCDVLIPCALGGVITSENADDVKAKFIIEAANHPTVPEADEVLYKKGVVILPDIYANAGGVTVSYFEWVQNIQGFMWEEKVVNKFLIQYMRQAFRDIKDMCHTHNCSLRMGAFALGVERVARATILRGWEA >cds-PLY85440.1 pep primary_assembly:Lsat_Salinas_v7:3:41925073:41927312:1 gene:gene-LSAT_3X31441 transcript:rna-gnl|WGS:NBSK|LSAT_3X31441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSRNTVSFVTLIQGFSELFPHAESTKLFVRLHREGHELNAFVFTTILKLLVNMECPELARNVHGPIYKLGHQSNAFVGTALLDAYSSSGFVEMAREVFNSILHKDMVSWTGMITCYAENKYFKEAFKLFSQMRILGFNPNNFTLASMFKACLGLEAIEAGKTIHGCAIKTCYEIDPYVSVSLLDLYTKSGDINDARLVFEETPKKDVIPWSFMIARYSQSDRCEEAVRLFCRMRQGSTVPNQFTFASVLQACATMENSRLGKQIHSHVQKVGLDLNVYVSNALMDVYSKCGFIEDSVKLFTESKNRNEVSWNTLIVGYVNLGNWDMALTLFSDMLKDQIQLTEVAYSSVLRACASLTILELGIQIHCLTIKTLYELNVVVANALIDMYAKCGSIKQARLVFEKISHKDVVSWNAMLSGYSMHGLGEKALTLFDLMRKTNIKPDELTFVGVLSGCSNIGLLEKGKDYFTSMVQDYGIKPCMEHYTCMVSLYGKLGHLEKAMKLIDEIEEPSVMIWRALLGACVIHKDVELGEVCATRVLEMEPHDESTYVLLSNMYANAKRWDSVGLVRKNMKKKRVKKEPGLSWIEKQGIVHYFTVGDSSHGDIRLIYGMLEWLNLKCKKEGYVCNHDVILLDVEDDEKARLLWVHSERLALAFGLISMPSGCPIRIMKNLRICLDCHGVFKIISKIVQREIIIRDINRFHHFEHGVCSCGDYW >cds-PLY97838.1 pep primary_assembly:Lsat_Salinas_v7:5:196944897:196945851:-1 gene:gene-LSAT_5X88040 transcript:rna-gnl|WGS:NBSK|LSAT_5X88040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIGEVYGCFIREVGSYIWRDIGFDKDTWTDVSEAERVGMFEYLSTWFDFGVITNDLMAPVYWASLNNRICMRHRGNKNFAKTRLTGFEGDVEAARAQAPMGMDLQCWNAAIDHYLTEKHKNDPLETKNNLNRLETFHRGNVNKKGEFVDPLVEDQYTRHIVDSGGDLDTIDWIAIFEKMLGTQRGHVRGIGPEPSSAAGTSAPP >cds-PLY93792.1 pep primary_assembly:Lsat_Salinas_v7:6:143981519:143984828:-1 gene:gene-LSAT_6X87280 transcript:rna-gnl|WGS:NBSK|LSAT_6X87280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSCCDTIEDGVVAQPGNVENDMGETSDLPSFREFSVEQLRNATSGFSVENIVSEHGEKAPNVVYKGKLANQRRIAEEARTVGQLRSPRLANLLGCCCEGDERLLVAEFMPHETLAKHLFHWETQPMKWAMRLRVALYLAEALDYCTSKGRALYHDLNAYRIVFDDDANPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSNDDGTELVRLASRCLQYEPRERPNPRSLVSSLVPLQKETQEPSHVLMGMPQGGSAMPLTPLGEACLRMDLTFIHGVLEKLGYKDDEGAATELSFGMWTNQIHDTLNSKKKGDSAFRHKEFKAAIDFYTQFIEVGTMVSPTVFARRSLSYLMSEMAQEALNDAVQAQVISPIWHIASYLQAAALFALGRENEAQIALREGSVLEEKYNAN >cds-PLY99907.1 pep primary_assembly:Lsat_Salinas_v7:7:15821097:15823408:-1 gene:gene-LSAT_7X12801 transcript:rna-gnl|WGS:NBSK|LSAT_7X12801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFMRLGTRPDNFYTEEAIRTVVSDLPSDLTIRVNNITYLLHKFPLVPKCGLLQRLCSNRGDSSRLTLELHDIPGGEDAFELCAKFCYGITIDLSAHNFVSAICAAKFLQMTESIEKGNFVSKLEMFFHSCILEGWKDSIVTLQTTGKLPEWSENLGIIRKCMDSIVEKILTPPTKVRWSYTYTRDGYAKKKHHQSAPKDWWTEDIANLDIDLFRCVLNTVKLTNMLPPQLIGESLHVYASLWLTRSTPDPETSVESSSKKRLLETIISMIPEDRGSVSVGFLLKLLNLASILKASPVIKTQLIKQSSLQLEDATPSDLLLLSSGSSDDNNNNNRIHDIDLVKAVVESFIMRWRRKSYSRQDLIIKVGELIDSYLQIVARDVNMPVQKVLSLAKALPEFARPEHDNLYKAIDIYLQEHPQTSKEEKKQLCSIIDCQKLSPGVCAHVVKNERLPVRTVMQVLFFEQERSGSKATRRQDFQHSETQSKTQQYDRRKTNSEDHLKSLQLGSSDAIRSKTPDSTRQKSEPESKHKSRRTKVVGMGSQIVEEGSKLDSRKTGIQTSRSGDGDNKGRQR >cds-PLY73424.1 pep primary_assembly:Lsat_Salinas_v7:4:181119490:181119732:1 gene:gene-LSAT_4X104941 transcript:rna-gnl|WGS:NBSK|LSAT_4X104941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDLRYVADQPSTLMVAATDPICRSGINEALLNKLQDVVGVLKEELRDSEVERRVLSKQNCIVVCEKAILEDHVATLEG >cds-PLY70164.1 pep primary_assembly:Lsat_Salinas_v7:9:172867:174245:1 gene:gene-LSAT_9X4841 transcript:rna-gnl|WGS:NBSK|LSAT_9X4841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNNVRTSNDGGNYQKSGALAPVNNNKMKKNKMRMGSVGGGLSLQAFANAKAKNDGYNPALIKKQREFYKNAKCVNKYKKSQKQQNKEQDSSQSTRFVEGRSENREKGKKNYGNKMAYSLKEIYNKKREEEEKERMEKEAIFKARKEEKEKSEARRKDKREKMFKRTRSGQPIMKYRIEHLLQTIQGTKD >cds-PLY90803.1 pep primary_assembly:Lsat_Salinas_v7:2:106506737:106508158:-1 gene:gene-LSAT_2X47641 transcript:rna-gnl|WGS:NBSK|LSAT_2X47641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQVPVRLEIDDPFLDLEGLENISNDEIADLCLLLNSEEDVPSQSYTSQKECNLNQSSKEIDASVDMITLQPSMILPSENTETDSEVIDLHLLMAYCEAMEKELTVLADAICKRLIQKANPTGDITERLLYYLFQTHHKQLNYLKQECMKSFCVCFRAFYHLFPYGMFAHFAANSVILDAIRQDADSIYIFDFDIGNGVQWSSLLTSSELQHKELRIISIRWSNVESWREDPSKYFSFEETKKQLYDHARAFDLKLTVDEIELCNIKKMKKKCIAKRGVCVFNCMVNLPHMMKGRNKKDVMEFMEVAEQFACDSHKVVITFGDGDACDESYENQEGLCSFFENNIWHYQAILESMDHTFSKNLDAAKTAMECLFVAPFVSLNTWMQKWQFQKEFEWEPVFQAWEVKGEIILESKLMVREGDSMHQVKVEGDGKNHIVLLWKKYPLVRVSFWKSSENIWGILKGGHNSPKNKIL >cds-PLY81986.1 pep primary_assembly:Lsat_Salinas_v7:8:263342076:263342449:1 gene:gene-LSAT_8X153060 transcript:rna-gnl|WGS:NBSK|LSAT_8X153060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKTHSATAHHHHHHESTKKKQKETPHKLLDTPPYSNFYFMGDGFERLSPQPQANVRVTNYYDRKQKYGFIQNEDDIDTNVDAEAANFIESTHRKFELRKSITITRG >cds-PLY99333.1 pep primary_assembly:Lsat_Salinas_v7:1:69634381:69636867:1 gene:gene-LSAT_1X59781 transcript:rna-gnl|WGS:NBSK|LSAT_1X59781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKLEKRGVGGGCKLPETTSDLFLKWGNKKRLRCVRMRDPDDAADPSYAVSGGRRIRRRINSRFVDFPSDNSNNNKQSSLQTPSTRLTRNSETAVHLRSENTRKSSPDKEVYTTRGGSASAAGGVAEKPTTSPVDGGVGDQKVKHVWPKLFITLSSKEKEEDFMAMKGCKPPHRPKKRPKIIQRSLLLVSPGGWLTDMCQDRYEVVEKKSTKKRPRGLKAMGSMESDSE >cds-PLY68166.1 pep primary_assembly:Lsat_Salinas_v7:7:71064468:71067185:1 gene:gene-LSAT_7X51101 transcript:rna-gnl|WGS:NBSK|LSAT_7X51101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDECIIKAASAFLLGLLISVFSNRNSFCDGKFEGSCREREKHALLKFKSDLIDPSGRLSSWGVDQKDCCVWVGVTCNNSTGHVHELRLQNPDAESGWLPYFGYEKSGLSGKINPSLLVLKRLSHLDLSYNNFKGLQIPDFISSLTHLRVLDLSEAGFGGLIPQQLGNLSSLVSLRLKGRDDYTSTLRVQDLQWLSNLRLLKHLDLSFVNLSNASNWVEKVNMVGSIEELYLAGCYLDSHTSLLTNNNFTSISTLDLSHNNFEPSLPRWILSITTLDSLSLSQIGISGPIPFGLRNMSNLQNLDLSSNLLDSSIPKWVGNFSSLISLDLSNNQLNGSLPESLGHLSMLEGLYISSNFLEGIVSEAHFSNLTNMIYLYASDNSLSMHVSPDWNPVFQLEQMELRSWKLGPKFPSWLRSQNKLLDLDLSCGQIADSVPYWFWDELSSHFMYLNLSHNQLHGEIPNIAPTLGAIHSVMYLNSNKFSGSLPLFPPNLRELDVSRNSISGNISRFLCDKQPHELKILHLGDNLFSGKIANCWNNWLQLEVLMLGNNNFTGSIPISIGHLQGLRSLHLRNNSFTGVIPLSLQKCKNLTMIDLSINNFIGNIPTWIGKMLTKVMVLILRSNKISSDIPHQLCELVSLQILDLSNNKLTGKIPKCINKLSAMTRVKYSNHTAISYAYYGGEIAILENAFLVTKGLEFQYDSILSLMTLIDLSNNVLSGEIPEELTSLKGLRALNLSKNHLSGVIPKSISNMGLVESLDLSTNQLSGKIPASIASLSFLNYFNVSFNELSGQIPTSTQLQSFDASSYVGNKLCGPPLQNDCIDNTIEADHQDDNDDAKESEKFYICMIIGFVVGFWGLISPLLYSYSWRRTYFRFLDANWCRVYVYVRRWFRCC >cds-PLY81298.1 pep primary_assembly:Lsat_Salinas_v7:7:115496787:115498901:1 gene:gene-LSAT_7X72301 transcript:rna-gnl|WGS:NBSK|LSAT_7X72301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSGAKIQVTRDMDSDPHSLTRTVELTGTSESIAKAEQLIKDVLAEAESGGSGIVSRRMPGEGETIKNMQTSTGARSQVIPLHPPPGDTSTERTVQIDGSSEHIEAEKQLVNEVISEVTQLSPKILKSEGVPVYRASQCCGEFIVTFPRAYHAGFSCGFNCVEAVNVAPVDWLEHGQGVVEVYSQQRRKTSISHKSLLARERIRALWEA >cds-PLY68765.1 pep primary_assembly:Lsat_Salinas_v7:2:211392376:211395980:1 gene:gene-LSAT_2X131660 transcript:rna-gnl|WGS:NBSK|LSAT_2X131660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGFGEFGLALESSEEHDKQIRPRKEVRETRLSDANETFEVTSSEEQEIHRGASSSSRRRQKYRHTRYQIQELENFFRETPNPDERERLELGRKLNLEANQVKFWFQNRRTHLKTQTDRHENKILREENDNLRLENIAMKEALRKTVCKNCGGPILQVDRSIHEGNLMIENARLKEELTRITSLIRQLSGRNSSSFATESSAGEARNPMEIIGLQMGNVAEKNLVSNDSPLILPPSRSEVGVVNADNMINVPEKTKYQDLASSAMDELMKLGHVDAPLWNRNMETGGETLNFNEYERSFPPLLGTKPLGFVSEASRATRVVSLRSLDLVEALLDANRWREMFVGMIGSSATLEVISDGAIGGSRNGVLQLMQAEIQLITPSVSARVVKFIRFSRQQADGLWAVVDLSVDTEGREGLMCRRLPSGCIMQDLPNEHTEYDEGPVEHNYRELVRSGVGFGAQKWICALLRHYEWLRALSSATTANNQLDQHTRSCLKGLARRMTSNFCAGVCLTGGQRWNLVSDAPARIMTRESMSSPPGTILSATISVWIPITHRRLFDLLLNKELRCMWDVLCNATGNVAHFPMSHDTNNPNSISLLSSENPVMIVQETTSDMTGSLIVYAPVDLPTISVMMNNGDTSGVGLLPCGLYIVPTGSEADGSMVTVGFQMSLQDLVTPNLITMDTINTVNNLVSRTVLGIKEIVRSSTTT >cds-PLY61712.1 pep primary_assembly:Lsat_Salinas_v7:5:214694672:214698808:1 gene:gene-LSAT_5X98801 transcript:rna-gnl|WGS:NBSK|LSAT_5X98801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNSLKAIPVQGRIYQEKESPQFIAIFQSMVLFKVTMLPKKPIDGAHEIQSYCLGHTDDGGPPRWFCPVAYNRPLKDSYVHMYLPGNLCLQLLVFLLVIHQ >cds-PLY67210.1 pep primary_assembly:Lsat_Salinas_v7:6:133582943:133585662:1 gene:gene-LSAT_6X80660 transcript:rna-gnl|WGS:NBSK|LSAT_6X80660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGCSNCKSLSSQKPSVFGSNLFGSTQFGASSQPSFITPSTPTFWTSTTPAFGATSASASRSTSSPAFGSSPFGATSAAAFGATSTPSFGSSSFGAGSTSAFGATSASAFGSPSTPAFGFSPFGATSAAAFGSSSFGATSASAFESTSTPVFGSSPFGATSLPFSFWSSPVSGQSMSAFGNATSPLGMQSSRFGVEATTPAFGSCGFGQTSFGGQRGGSRVTHYAQTPEEDNGGGTHPAVKLVSISAMPAFKEKSHEELRWEDYQQGHKGIGQTSSGMGFNNTQSNPFTFPPAVTQASSLFGQTAPSFGQGTPAFGQSNAFGGNLGFNQTTPFVSISMPFQLAQPAQNSSGFGFGTPTGGIGGTLSNNLVCFFACLSIKEQ >cds-PLY91583.1 pep primary_assembly:Lsat_Salinas_v7:8:216898159:216898593:-1 gene:gene-LSAT_8X134260 transcript:rna-gnl|WGS:NBSK|LSAT_8X134260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCPLHDIFKALNTASTDSKSQAVAPTALRIALSNLYPHSSFFQEAQMNDASEMLGVIFDCLHHEGYVSRELFG >cds-PLY90344.1 pep primary_assembly:Lsat_Salinas_v7:2:199481595:199484820:-1 gene:gene-LSAT_2X120781 transcript:rna-gnl|WGS:NBSK|LSAT_2X120781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSLIAFLFFYSIGIFLVTTTISASGGGNETDYKALLKFKSMITHDPNEALSSWNASFHFCDWSGVICGKKHRRVIGLVLISQGLQGSLSPHVGNLSFLRMFSLTNNSFQGTIPHELGRLFRLRFLYLRFNKFNGVIPTNLSGCSDMERLRLHHNKLVGSIPKEISFLSKLTLFRVYDNKLTGGIPAFLGNITSMISFSASGNPLGGSIPDTLGNWKSLTVLQLGACNLSGTIPHSIFNLSLITYFSLGENQLTGSLPSAIGAMLPNLQFLQLRDNQLTGPLPPSISNSSKLQLLEVNNNNFRGKLTIDFAKLKDIYFLNFGNNDYRFKGADDMKFIDTMTNCSKLKVLQLYNCKFQGVLPTSIGNLSDQLSLLNLGRNDIYGNLPSSIGNLVGLTTLVLSQNWFTGKIPSTIGQLQKLQVAVLFKNQFSGPIPDAIGNISLLSKLWLSFNRLEWHIPSSMGNCHHLLDLLLNNNQLSGTIPKQLLQLSSLTIRLDLSQNNLFGSLPTEVGDLKMLTSLDLSDNNLTGDVPSSLGGCTSLSFLSLKGNLFQGTIPSSLTSMRGVSTLDLSHNNLSGQIPRFLERLKLLEYVNLSFNDFEGEVPVLLVFANASAFSVLGNSKLCGGLAELGLPKCNEITQKHKKRVLELVIVILIVSTLFTVLCLVYVWCKKRKCQPSRSPSRAERFMKVSYGQLLKATNGFSKANLIGEGGFSSVYKGILDHGDRFIAIKVLHLQNRGAHKSFIAECEAWRSIRHRNLLKIITSCSSVDYQGNDFKALVYEFMSNGSLQDWLHSSASTSTLNLLQRINILIDVASALDYLHNHCLPSIVHCDLKPSNILLDDDMVAHVGDFGLARFLGTNSNQNSTSGIRGTIGYTPPEYGVGSEITSSGDVYSFGILLLEVMTGKRPTDDIFNEGLSLHKFAQMALPDYVNDVIDDDLLKFLQDDAIATQSTLEYAKKIKECLSSTVKLGVSCSMESPPQRTNIKNVVHELQRILDMLQ >cds-PLY82991.1 pep primary_assembly:Lsat_Salinas_v7:1:17897690:17899980:-1 gene:gene-LSAT_1X15541 transcript:rna-gnl|WGS:NBSK|LSAT_1X15541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFSGLNALYDSTAGDVWINENRFKVIRQLGEGGFAFVYLVKEVVNDTSDGGVSKKFKDPSHVSDDGTYAMKKVLIQNNEQLQFVKEEIRISSLFNHPNLLPLLDHAIISVKGTPEQNWTHEAYLLFPVHMDGTLLDNAKTMKFKKEFFSTSDVLQIFRQLCAGLEHMHSLETPYAHNDVKPGNVLLTHRKGKPPLAVLMDFGSARPARKQIRSRSEALQLQEWAAEHVSAPFRSPELWDCPSQADIDERTDVWSLGCTLYAIMYGVSPFEYALGESGGSLQLAVMNAQIKWPTTTLNPKQSYPDALHQFVSWMLQPQATVRPRINDIVIHVDKLIAKFSH >cds-PLY67745.1 pep primary_assembly:Lsat_Salinas_v7:9:166419975:166421706:1 gene:gene-LSAT_9X102481 transcript:rna-gnl|WGS:NBSK|LSAT_9X102481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCLTIAVVWSMLLINEVVGIRFVIDRDECLSHNVEYEGDNVHVSFVVIKSETMWHFAEDGVDLVIKGPGDEHIHELLDKTSEKYEFLAPKKGVYRFCFTNKSPHHETIDFDVHVSHLAYHDQHAKDEHFTPLFEQISRLEEALYNIQFEQHWLEAETDRQAIVNEGMSKRAVHKAIIESAALIGASILQVYLLRHLFERKLRTSRV >cds-PLY63559.1 pep primary_assembly:Lsat_Salinas_v7:9:150212905:150214209:1 gene:gene-LSAT_0X5501 transcript:rna-gnl|WGS:NBSK|LSAT_0X5501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRCELCSNFARMYCQSDNASLCYECDQNVHSANFLVAKHSRTLLCHKCQSPTPWNASGVNLGRTASVCVNCLEETPSQRRLLQGGETSHRGNDVVEENCDVHDEDEDGDTDDDDTESSDESEDEDEDEDAENQVVPLSSAASPPATGSSSSEEFSSSRLSSDGFRSTSKRERVDPCIDSEDDTPCSSEKSSSKRRREIDDAISLGYFRPSDRIGDPGEA >cds-PLY69847.1 pep primary_assembly:Lsat_Salinas_v7:6:4358645:4359029:1 gene:gene-LSAT_6X2941 transcript:rna-gnl|WGS:NBSK|LSAT_6X2941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEADALRLTPNTLALMALQMHAAASSSTRPNKRSQQGEGDGVPAMMPCTKSLRTLAHTPNLRPFIAQLASGNGSELTKVKKIRLRVTRKAVVLKALEAILMKDIGMRNEN >cds-PLY97063.1 pep primary_assembly:Lsat_Salinas_v7:4:73874040:73876776:1 gene:gene-LSAT_4X49781 transcript:rna-gnl|WGS:NBSK|LSAT_4X49781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSSSSAWHQVYVKLRFFSRIRRLLVNKTSTVNNKRCEQSSDQSADGRTTEILIIDQGEKSESINHGDDDDDDCGILQKSVKRLHFGNLEEKAAAAKVIKRLAGDDLKQRKLMADLGVIPPLVAMLGSEYTTTHRRLAVQALLELANGTCTNKALMVEAGILSKLSENIEVEELANHEFAQLVMSLSSLVNTQFPIDSSKILPLALHMLKLDSSKDTKILCLGTLYNLSTVIDNVGSLATNEVVETLLKFSSMKEASEKALATLGNLVVTSMGKKALESSPMVPECLIEILTWDDKLKSQELSAYVLMILAHQSSLQRTKMAEGGIVPILLQVALLGSPLARKRALKLLQWFKDERQKKMGPHSGPQSRRLYYSSSTNQEDVGEGKKLMKKMVQQSLYKNMETITRRANGDSDSNSNSKLKLLVVSSSSKSLPY >cds-PLY83485.1 pep primary_assembly:Lsat_Salinas_v7:3:234358103:234362331:1 gene:gene-LSAT_3X131141 transcript:rna-gnl|WGS:NBSK|LSAT_3X131141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPQSAVDGAGSRSSGKVYKWRRSATKKTPYDHLTVPPQTESPNWLNGLVFPAKFVAGGASKHFSSIWNPKSWALHSSSSDNDSGGVELNQSGTWPKEVEQLVKQFLYNPYKQHADIITENQKYNKLDQITRQLRIDGWPALSIHGDKSEVERDWVLSEFKAGKSPIMTATDVAARGLDVKDVKYVINYDFPDWFCDWCYFGCNLAVIVDGLHYGVSDFPYAAYSMMLVGILSSAFLFYAPSGFGLHGVWSGLTLFKSDRVE >cds-PLY80221.1 pep primary_assembly:Lsat_Salinas_v7:9:191943348:191945231:-1 gene:gene-LSAT_9X118901 transcript:rna-gnl|WGS:NBSK|LSAT_9X118901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNISDLKYACEGGALQVRILRKWKPQYRRHETWYLGVDKYGDAIQILGQRTNQGYIESIFQISNCYTISEYTCPYLDEYQKVLENEIYMDVGLISIIVPLPDTVTIPATWFRGDEIVISLWKECIDVREKFRPEELATPLATTVVAITNIKPSSIHIAGTLRFGSSPATHVYVNPPIQETTLLIHSFTGPTPPTSTVSGALTTLHELNSKIHSELVDKTFNVKATLITITFKDCWFQVLCTTCKDPIFRKSNYWSCSAHRKTASPIFLYKLITTLTDPTGSLTTIMTDGAAQKLIGATPEKLMTDDHESNKKLPPTLINDHEGTSKTMSIQMLKGSTTENIRFIIVDIHEVTMVNQSVASVTPIQVSTTTTTATPITPADLQGSANVEINPILGMTSTTSQTSHTARTLTYDTAGTYISTLLG >cds-PLY70475.1 pep primary_assembly:Lsat_Salinas_v7:1:71432467:71433471:1 gene:gene-LSAT_1X62040 transcript:rna-gnl|WGS:NBSK|LSAT_1X62040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVVAAYLLALLGGNTSPSAEDLKKILGSVGAEAEEDRIELLLSEVKGKDITELIASGREKLASVPSGGGGVAVAAAAGGGAAPAAAAAEPKKEEKVEEKEESDDEMGFSLFDD >cds-PLY75757.1 pep primary_assembly:Lsat_Salinas_v7:3:68892250:68898209:1 gene:gene-LSAT_3X56161 transcript:rna-gnl|WGS:NBSK|LSAT_3X56161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENETTADKDSGDHPSNSELMASAKVMSEAVTGQETDTGKIAGAAADILDAAATYGIVDEKQGLGKYMDQAEEYLHKYETTHTTTTKTDADGNTTTTETTTTKPADDDDKPQLSMVLGRRRNNSVYGVVMAVVRGIDGGSRW >cds-PLY64694.1 pep primary_assembly:Lsat_Salinas_v7:7:137710340:137712143:1 gene:gene-LSAT_7X82500 transcript:rna-gnl|WGS:NBSK|LSAT_7X82500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPLMSLIVTSFFLFILFKLIKRSSSGKVDTKLPPGPWKLPFIGNMLSMVSSQLPHHVLRNLAKKHGPLMHLQLGEISALVVSSRQMAKEILVKHDLYFASRPELLVSKTVLYNSSDIAFSPYGNHWRQMRKICALELLTAKKVQSFSSIREEEVGALVNSILSSAGSPVDLSKHFFTFMNTVTSRAAFGRIYKDQDLLIESLQELSVLAGGFDMADLFPSYKFLHAVTSMGSKLKTLHRNLDMTLNRILVDHKNSEHTNGCKTGTDNEDFHDILFRLKNNGELEFPFTTDHIKALVVDVFSAGTDTSSTTMEWIMSELVRNPRVMKKVQTEVRAELNGKKEVHEADIQELKYLKLVIKETMRLHPSLPLLLPRECRERCEIDGYVIPLKTKVIVNAWALARDPEYWHDAECFLPERFEDNYYDFKGSNMEYLPFGAGRRICPGILFGVANVELLLASLLYHFNWKLPDGMNIRDLDMKEKFGASVGRKTSLQLIAAPYDLNCGDS >cds-PLY69224.1 pep primary_assembly:Lsat_Salinas_v7:1:80380720:80381043:-1 gene:gene-LSAT_1X66521 transcript:rna-gnl|WGS:NBSK|LSAT_1X66521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPTGSKVELLGESGLVLTHPQGTQVWRSGSISAIASGFMNDTDTLLPTQVIERGGGMNSTISATNFSSGRFQLRLLQDGNLLLNT >cds-PLY78831.1 pep primary_assembly:Lsat_Salinas_v7:5:305220361:305222112:1 gene:gene-LSAT_5X165181 transcript:rna-gnl|WGS:NBSK|LSAT_5X165181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVGGTEYSWCRAVPGGTGVTALALLFSKPPNLSHLQASIQKLQDSHPILRSKLRSNPSTNTFLLFTPSASTPLEIHTSDLAQSYYQALEHEMNTNAWRNQDNRVTENDVMFASLYNLPDQKWVVVLRLHTGVCDRTAAAFLLRELLGLIAAGEGCVDKEIVKEDGMGLPIEDCIPAGKANKPFWARGVDMLGYSVNSFRFSHLDFKANGLPDSTQYVRMQIGLEDTDQILGASAYFQSHCFKFHPFISYHLITYISTILNWGCKSRNIKLYAVLAAAAFIASRASKNLPDDKWEKYSLATLIDCRALLDPVLSDHHIGFYHSAILNSHDVKGGEDLWDLAKKIYTALENAKNNNKHFTDMADLNFLMCKAIDNPGLTPSSSLRTSLISVFEEPITQNSSQSHKDIGLLDCIGCASVHGVSPSLAIFDTVRDGELDCACVYPSPTHSREQMQDFVDQMKKILLGSNVSQ >cds-PLY83006.1 pep primary_assembly:Lsat_Salinas_v7:1:20617715:20619535:-1 gene:gene-LSAT_1X16981 transcript:rna-gnl|WGS:NBSK|LSAT_1X16981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSDIESLWLILFPLLSKCTSLVFFLLFLLKSIFHWAHPGGPAWANHNVIPGPRGFPFIGSMFLMTGLAHRKIYAAAQSFGAKRLMAFSLGKTRVIVTCHPDVAKEILNGSAFVDRPVKESARTLMFDRAIGFAPYGAYWRTLRRIAAMHLFCPKQMRASEWQRKVISQEMVEMLHHQKRESICVRDLIRRASLCNMMWSVFGRKHRLADSDDIQLVELRELVDEGYEVLGTFNWTDHLPWLTDFDPQGIRFRCSNLLPKVKHFVNRMIQEHRSQTTPNYSNADFTHVLLSLQGSERLSDTDMIAVLWEMIFRGTDTVAVMMEWILARLVLHPDVQLKVQEELDRVVGRCRPVTESDIANLIYLPAVVKEVLRLHPPGPLLSWARLAVSDTTVDGHHVAAGTTAMVNMWGICRDQHLWKEPSEFRPERFEKDFSVMGSDLRVAPFGSGRRSCPGKTLGLTTVSFWVASLMQEFEMDGNDVDLTEVLKLSCEMANPLILNVRPRCPSTTSFL >cds-PLY72513.1 pep primary_assembly:Lsat_Salinas_v7:2:139532357:139537976:-1 gene:gene-LSAT_2X65680 transcript:rna-gnl|WGS:NBSK|LSAT_2X65680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSCTLLVHFDKGTPALANEIKEALEGNDDMAKIEAMKKAVMLLLNGETLPQLFITMVRYVLPSEDHTVQKLLLLYLEIIEKTDSKGKVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRINEAEIIEPLIPSILTNLEHRHPFVRRNAILSVMAIYKLPQGEHLLVDAPEMIEKVLSTEADQSAKRNAFLMLFTCAQDHAVNYLLTHVDRVSEWGELLQMVVLDLIRKVCRVKRGEKGKYIKIIISLLNVPSAAVVFECASTLVSLSSAPTAIRAAANTYCQLLLTQSDNNVKLIVLDRLDELKSSHREIMVDMIMDILRALSSPNHDIRRKTLDIVLDLITPRNINEVVLTLKKEVVKTQSGELEKDGEYRQMLIQSIHSCAVKFPEVAGTVVHMLMDFLGDSNVASAMDVIVFVREIIETNPKLRVSIVTRLLDTFYQIRAARVCSCALWIISEYCLSLSEVENGIMTIKQSLGDLPFYEAPEEGDSENKSTKVNSITVSSKRPAILADGTYATQSAASETVFAPVNSLQSTLTSGNLRSLLLTGDFFLGAVVACTLTKLVLRLTEVQMLKSEVNRVCTQVLLIVVSMVQLGQSSFLPHPIDSDSCDRIVVCLRLLCNRNEEIKKIWLKSCRESFVQMLVEKQMRETEEIKAKALVSHAQPDDLIDFYHLKSRKGMSQLEIEDEVQDDLKRATGEFIKEGDAANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPQTSKQIKANIKVSSTETGVIFGNLVYETSNVLERNVVVLNDIHIDIMDYISPAVCSDTAYRNMWAEFEWENKVAVNTVIQDEKEFLDHIIKSTNMKCLTPPSALEGYCGFLAANLYAKSVFGEDALVNLCVEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGP >cds-PLY72056.1 pep primary_assembly:Lsat_Salinas_v7:2:204827340:204827711:-1 gene:gene-LSAT_2X125060 transcript:rna-gnl|WGS:NBSK|LSAT_2X125060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent periplasmic protein translocase [Source:Projected from Arabidopsis thaliana (ATMG00570) TAIR;Acc:ATMG00570] MGATSTNSLMIKLQPKIYDHIMLTVRISFIPSVCSQVPVIVIRLPEPRGLSVETSTNNRRFLMVFPLLTAALSTPPDIWCQIVAPFLIFSIIELAISVASIVQVREEGWTSGMRESGSIDKKE >cds-PLY67313.1 pep primary_assembly:Lsat_Salinas_v7:8:235893767:235895908:1 gene:gene-LSAT_8X143241 transcript:rna-gnl|WGS:NBSK|LSAT_8X143241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g18270 [Source:Projected from Arabidopsis thaliana (AT3G18270) UniProtKB/TrEMBL;Acc:Q94K39] MESFTVDIHRAEGRQLNVPLIAPFTIATSRLEGVENVAVRVELNNGCVGWGEAPILPFVTAEDQITALKKAGEACEFLKKSQAMSLGDVLREIGQLLPGHDFASVRAGVEMAVIDAVATSIGTPLWRFFGGVSNTITTDITIPIVTPKEAGELASKYCREGFKTLKLKVGKDLNSDIEVLQAIRVAHPHCLFILDANEGYSSSEAIQVLEKLHEMNVTPILFEQPVHRDDWEGLGHVTQVAKSKFGVSVAADESCRSLADVKEIIKRQLADVVNIKLAKVGVLGALEIIDLAKASGLDLMIGGMVETRLAMGFAGHLAAGLGGFKFIDLDTPLLLSEDPVFEGYEVSGPTYKFTNARGHGGFLHWDNLAW >cds-PLY72255.1 pep primary_assembly:Lsat_Salinas_v7:7:86616065:86617425:-1 gene:gene-LSAT_7X60060 transcript:rna-gnl|WGS:NBSK|LSAT_7X60060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADIYDWDKILREDKSRKNTKNPSFSSTLLDEIYRSIDGSDESFGEFKLRKQKAAKKQSVKSGGGDTSGGGRGRFKSSSAVEDEEVASFRRACLVDKWMEMKVNEKVSKGGRRPTSLPEFDRKIILDNDPMFFSSGSSSSDSSFGWYSEAEVAKPKPSCFGPFNKVKTSISPRSAVKKQNEFYLFDEDQTNKTDQTPGLIRSKTRALKIYTSLKKVKQPISPGGRLTNFLNALFPNGHSKKSKDSGFEDRTQTRTERSSKSTNVSTCSTTSSFSRSCLSKNSPRSREQLNNGMRRTVRFYPESVIVDENSRSCSKNVRGEQDFQKFQWQKTEKSNLRQIPTIQNDNEEEDDEDDDMASDTSSDLFELDHLNVFKNDQYCEELPVYETTHLSTNRAIASGLVF >cds-PLY96370.1 pep primary_assembly:Lsat_Salinas_v7:2:53782961:53783356:-1 gene:gene-LSAT_2X23001 transcript:rna-gnl|WGS:NBSK|LSAT_2X23001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTEEVPIQEGENPTQAVVSMKHMKVQLKVTTRGVMIKGVSTSDSLDTKKRKTLEVVQRPKKFKPSPVETPLINVTVEPGYASEAIKYALHGLFHVSPRKDTSIKSNFEETSNPNVNTNISDMDVNINSGD >cds-PLY85826.1 pep primary_assembly:Lsat_Salinas_v7:8:174022444:174036062:1 gene:gene-LSAT_8X114181 transcript:rna-gnl|WGS:NBSK|LSAT_8X114181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIKLPEQLPSPAQDSETLRKALDGFMHDGKAVIKVLCHRNATQRKLIRKTYQELYNQDLIDTLQSKISHNFGKAVVWWTYDPSERDARMVKNVLSSKEIGTKEQLQVIVEISCASSPHHLLAVRKSYCSLYHCSLEEDIIAYAPPFAIKILVALVSSFRYDGEVVDLNLANEEASTLQEAVALKRFDQDHVVWILSTRNVFQLKATFEAYYEKFGNHLSEVIKAATDGWGTDEDSLSRVIVSRAEVDLMKVRKAYLDIYKTSLDQLIKDETSGDYGAFLMALLGN >cds-PLY69423.1 pep primary_assembly:Lsat_Salinas_v7:5:302006927:302010305:1 gene:gene-LSAT_5X161461 transcript:rna-gnl|WGS:NBSK|LSAT_5X161461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVQQDSSVPKSKPQNPKSSLFPRTLRNRFSPSKSLDFSTWFSENLYKIITIAFLIASVAAVFFLRNISDSATFFCFQQEVKQIETIIHFPKINYDSILPITDKTTPYSKFRSDQWIIVSVSDYPSDSLKKLLKIKGWQVLAVGNSKTPNDWSLKGTIFLSLDDQAKLGFRVVDYLPYDSYVRKTVGYLFAIQHGAKKIFDFDDRGELIDDNINKHFDIELGQNAKKQIILQYNQDNPNRTVVNPYIHFGQRSVWPRGLPLENVGQIEHEEHYNEVLSGSQFIQQGISNGLPDIDSVFYFTRKQNQEPFDIKFDEHAPKVAFPQGTMVPVNSFNTIFHYSAFWGLMLPVSVSSMASDVLRGYWAQRLLWEIGGYVVVYPPTVHRSDKFESYPFAEEKDLHVNVGDLIKFLISWRSNKTGLFEKILELSYAMGKERFWSEKDVKFTVAWIQDLVSVGYLQPRLMGLELDKLKRGGVVNYGDRKDFVPQKLPSVYLGVEETSTVNYEIGNLVRWRKNFGNVVLIMFCNGDVERTALEWRLLYGRVFKSVIILANKKNRELVVEEGHLDHLYKQLPRLFDRFESAEGFLFVQDDTILNYWNLVQADKNKLWITDKVSRSWSTVPYDGNKDFHGKQAEMVKEVVSSMPAHLQVSYKDHTVNHDSTLTICGSEVFYIPRRLVVDFIDLVNLVGDRDIHQKVAIPMMFIAMDSPRNFDSVFKKMVYRKKPPKDISISFYSPEAPAVHPMVVLNEEDFIKLIRVMAAGDPMLLDLV >cds-PLY88136.1 pep primary_assembly:Lsat_Salinas_v7:MU040222.1:895:1968:-1 gene:gene-LSAT_0X46101 transcript:rna-gnl|WGS:NBSK|LSAT_0X46101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQQCELFSPDWAAMRDEKSANILRKKLKNRKIKTRVLSGEKDICKLASLKETDQVISAIVGIAGLLPTLSAIYAGKTILLANKESLITCGYLFMKAVALSGAKILPIDSEHNAIFQVLPLEVQKNLGLANLKKNSVKHLILTGSGGPFYKFSLSDLSNVTPDQACSHPNWLMGRKISVDSATMMNKGFEYAEARWLFNALGSEIKILIHPESVIHSMVQYYDGSLLAQLSIPDIRISISYAMSWPDRIHTEFNYLNFSKINNLSFFEPNFIQFPCLKLAIDSFSQGQSAMIVLNATNEIAVSAFLDSRISFTKIYEINAEILMSSCFPEPDSIEDILEIDRKARILATNKVSSLVS >cds-PLY73031.1 pep primary_assembly:Lsat_Salinas_v7:9:37530889:37536049:1 gene:gene-LSAT_9X35061 transcript:rna-gnl|WGS:NBSK|LSAT_9X35061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFILVLTWMSPLPEGNFVGATAIAKPGCLTKCGEVTVPYPFGINYVVMPGRNITQNCALEDTFELTCNLTSKAPELFIGRGNLKVYNISDTEMRISVSIAFRCYDSYGNISENRTSWTDIPERFPLTFSQKNKFTLIGCDDYAWIRGPPGSDYASGCMGICNNPSRVPDNGTCSGSGCCQTSIPQGLQYFNITLGSFNNHGSVVSSNRCGFAFLGEEESFKFGGARDMSNATEFYIKTRSNVRRVLDWEIRDNPNILEPTVCKGNSLRKNVSISGYHCSCKEGYQGNPYLEPGCEDIDECMDNSTYPCYGNCNNTPGDYTCTCLVGYEGDGKTKNGCQRKASKFPVIALSLALVFGLLAIFSGISGIFLGVRKRKLIKLREKFFEQNGGEFMKQKLKATGANDAVTMFSTDQLRKATDNYSEERIVGRGGYGVVYKGFLPDNRVVAIKKSKIVDGSQSEQFINEIWILSQVIHRNVVKLLGCCLEEEVPILVYEFISNNTLFYHIHHKPGGMSWLSWENRLRVAAEAASALAYLHSQATMPIIHRDVKSANILLDENFTTKISDFGASRLVPLDHDQVTTLVQGTLGYLDPEYFHTSQLTDKSDVYSFGMVLAELITGRLPICAARTNEEKNLATYFVKSMKENRLFQIVEPRLLQEGTLEQLQTVAELVKRCLNLLGDERPTMKEVAMELEGLRKFTTHPWNQQHEQAPEESRSLILEVEQSDLYGVPLIPYSSNEWESYSGITEMAFQENKPR >cds-PLY75707.1 pep primary_assembly:Lsat_Salinas_v7:8:195527150:195530990:1 gene:gene-LSAT_8X125780 transcript:rna-gnl|WGS:NBSK|LSAT_8X125780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSEDSLRRALAEKQSTIDATGNSIRQLKSSGASNSEIDDAVKALNALKLEKTSIETQLKASVSGDANGSVMSRDAFRQAVVNTLERRLFYIPSFKIYRGVAGLYDYGPPGCAVKSNVLAFWRQHFVLEEDMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDHCKDKLEKDLAMSADKAAEFKHILAVLDDLSAEELGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGVSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPDDKSHPKYSEVEKLEFLMFPRDLQMSGQSAKRLCLGEAVSKGIVNNQTLAYFIGRVYLFLTRLGIDEERLRFRQHLPNEMAHYAADCWDAEIESSYGWIECVGIADRSAYDLRAHTDKSGVALVAQEKFAQPKEVEKVIITPIKKELGLAFKGNQKMVVEALETMSEKEAMDMKSVLETKGEVEFEVCTLGKTVTITKKMVTISKEKKKEHQRVFTPSVIEPSFGIG >cds-PLY62029.1 pep primary_assembly:Lsat_Salinas_v7:5:130468081:130477757:1 gene:gene-LSAT_5X55560 transcript:rna-gnl|WGS:NBSK|LSAT_5X55560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS1 [Source:Projected from Arabidopsis thaliana (AT2G32950) UniProtKB/TrEMBL;Acc:A0A178VXE2] MLSTYKHENIVSLLGFCDESNEKILVYEYASRRSLDSYLNTDDLTWNQRLQICVGAARGLAYLHNPGRTQQRVLHRDIKSSNILLDESWNAKISDLGLSKFAPANQQHTFLVTNVVGTLGYCDPLYIETGLLTKESDVYSFGVVLFEVLCGRLSISNKNGIHQSLTELVRHYYPQNKISDLIYSNIKDGMNPRSLDAFITIAYMCLKRDLEERPLMADVLRILESALEYQNHDKKVEIMQREGYSSNQSDYQSVSTFTRYGRLKVIAKLTNGENFHSSGIVSSIEFNHDDKLFATAGSSRRINIFDFSTMVNEPSELRIPLVEMPTRSKLSCLSWNKHTKNHIASSDYEGIVTIWDVNTRQGVMEFKEHNKRAWSVVFSHLESSMLVSGGDDHKVKIWCTKQESSVINIKMRAHICCVKYNPGSSNHIAVGSEDHYIYYYDIRNISYPLNIFIGHQKAVSYVKFLSNDELASASTDSTLCLWDVTRNIPVQKLKGHANYINFVGLSVYRDFLACGSETNEVYVYHKAMSKPLTWHRFGTLNVEDSDEDGRSDFVSAVCWKSDSPTMLAANSRGMVKVLVLAP >cds-PLY67191.1 pep primary_assembly:Lsat_Salinas_v7:6:164455296:164457188:-1 gene:gene-LSAT_6X100460 transcript:rna-gnl|WGS:NBSK|LSAT_6X100460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDHGKRLVSSMVLLAVSISPRLNNDRMCSNDMDFTLKEHLPKSTPMTRIGQGHETSSFKSNFASWSAASAASVPEETRGKIAAIVSGGKGTTQDKIRTLREAGVTVVESPAKIGAAMLEVFKQRGLV >cds-PLY79252.1 pep primary_assembly:Lsat_Salinas_v7:9:182924374:182927839:-1 gene:gene-LSAT_9X111820 transcript:rna-gnl|WGS:NBSK|LSAT_9X111820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPPVTGKELINPPSDGISNLRFSHHSDHLLVSSWDKTVRLYDASENVLRGEFTHGGAVLDCCFHDDNSGFSASADNTVRRLVFNNEREDVLGRHDAPVRCIEYSYATGQVITGSWDKTLKCWDPRGATSAQERALVGTYAQPERVYSLSLVGNRVVVATAGRHVNVYDLRNMSQPEQQRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDLSPAGQSKKYAFKCHRKSEAGRDIVYPVNAIAFHPVYGTFATGGCDGFVNVWDGNNKKRLYQYSKYPTSIAALSFSRDGRLLAVASSYTFEEGEKPHEPDAIFVRSVNEVEVKPKPKQYPNPAT >cds-PLY62163.1 pep primary_assembly:Lsat_Salinas_v7:2:153399496:153400253:-1 gene:gene-LSAT_2X77320 transcript:rna-gnl|WGS:NBSK|LSAT_2X77320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRAMSTRKGRRGYDQLISEPTADDDLLSEPQMIRSTTLPPNFFGDLPAKFVPEPKVPNFIKKEAKKLSKLDPLFSLFEKKNRKKKATAKPEFARYMQYLKEGGSWNPNSTMPVIY >cds-PLY97385.1 pep primary_assembly:Lsat_Salinas_v7:4:16867608:16868651:-1 gene:gene-LSAT_4X10260 transcript:rna-gnl|WGS:NBSK|LSAT_4X10260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSFGPLWHTTFSTPPSTTITAGRQPFNHLSQCSPPPALIFQRRSWFDKSLLPLAASMTLLLSPFPAHAGLLSGFSGLESMPGPELPKIDSFARFNEENQKKYAENDARFKETPLLKELLERSKVNKEKNRQATQDKYCLRGAEWGIGDCSAEGMSPQERDEFIAMLKKKVGVE >cds-PLY85198.1 pep primary_assembly:Lsat_Salinas_v7:9:148761948:148764201:-1 gene:gene-LSAT_9X94760 transcript:rna-gnl|WGS:NBSK|LSAT_9X94760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPKNEPTTDYSLTEKNKKSLQFIEDVTSNPDDIQQHVLSDILTQNATVEYLHRHGLSGQTDRKTFKKLIPVITYEDLEPDITRIANGDKSPILSSHPISEFLTSSGTSGGERKLMPTIEAELGRRSLLYSLLMPVMNQFVPGLDKGKGMYFLFVKSEAKTPGGLVARPVLTSYYKSNHFKERPFDPYTNYTSPNETILCQDSYQSMYSQMLCGLCLNQEVLRVGAVFASGFIRAIRFLEKHWTLLCNDIRTGSVNALITDPVVREVVLRVLKQDPNPDLADFIEHECSKKSWQGIITRLWPNTKYVDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSECYFGVNLNPLCKPSEVSYTLIPTMAYFEFLPVGVNDQEHKQEEEQQQELVDLSDVKLGQDYELVVTTYAGLYRYRVGDVLRVTGFKNKAPQFSFICRKNVALSIDADKTDEVELHQAVEKASNHLTPFDATLIEYTSFADTTTIPGHYVIFWEVSLNGSTTVVPPSAFEDCCITIEESLNSVYRQGRTSDKSIGPLEIRIVENGTFDKLMDYAISLGASINQYKTPRCVKFAPIVELLTTRVVASYFSPKCPKWVPGHKQWNSVN >cds-PLY75076.1 pep primary_assembly:Lsat_Salinas_v7:5:78436881:78438415:-1 gene:gene-LSAT_5X36641 transcript:rna-gnl|WGS:NBSK|LSAT_5X36641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGSSKPMIATRQEMVEAKVPLAYRDQCAHLLIPLNKCRQSEFYLPWKCEDERHTYEKCEYELVMERMIQMQKLKQSNKQGQGNSIPLIPKTANA >cds-PLY91545.1 pep primary_assembly:Lsat_Salinas_v7:1:11292324:11294006:-1 gene:gene-LSAT_1X9521 transcript:rna-gnl|WGS:NBSK|LSAT_1X9521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEVKNLINSEPSKSLPLSIISDKRASLGIPIRSIDFIRKYPSVFQEFLPGGIGIHPHIKLTPEILTLDTEEQLLFESEIHKQDVADRLLKLLMIARINKIPLSVIDRLKWDLGLPNDYTQTIVPQFPDYFQVTSTGNELELVCWSDKLASSVMEKNSTSSFPLQYSRGFEVDKKFKKWVDDWQKLPYISPYENAFHLQAKSDESDKWTVAILHEVLHLLVPKKTDKDNLLFLGEYLGLRSRFKKALLQHPGIFYVSSKLHTHTVVLREAYKRDLLISKPQHPLMTLRSNYIHLMYTVKEQSKSKNQEPGTKKQKARQVSNEEEEDDDDEDEDDEEDDTESEHEEDDETESLLSDSESEGATNIDSGNDRTPTKKQKFEGHLKDNKRHGNMDDDDDDGEEKDTKIRGRTSRTIKFNSESSSRRNTPNSRSSENDGRRRGRGRSRKNVFDEKKTDSGSFQMSDRRGGTRETSTRFLRTESPGARKSHARSSPRSSVSRTREKRI >cds-PLY78551.1 pep primary_assembly:Lsat_Salinas_v7:1:101454667:101458472:-1 gene:gene-LSAT_1X82540 transcript:rna-gnl|WGS:NBSK|LSAT_1X82540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDRGGHDGGSFVAVRRISQGLDRSNACHTTDEAVAGSTAWLGRSLSCVCVQRKEGDSRPSFDLTPAEEDCLQRLQNRLDIAYDSSIPEHQEALKALWKAAFPEEELSDLISEQWKEMGWQGKDPSTDFRGGGFISLENLLYFARNFQKSFQDLLRKQEGDRAMWEYPFAVAGVNITFMLIQMLDLEAVKPRSFVGATFLKFLSENESAFDLLYCITFKLMDHQWLAMHASYMDFNTVMKSTRRQLERELLQDNVNRIEELPSYGLLTR >cds-PLY71971.1 pep primary_assembly:Lsat_Salinas_v7:3:25798895:25802997:1 gene:gene-LSAT_3X19200 transcript:rna-gnl|WGS:NBSK|LSAT_3X19200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKWVKVSDNNTGSRVIVTSKRKQLSQIARSSNRALSVVRPGFASILNKTLKRKRDNNNSFKSTCSSSSKILLKNYSNFMRSGLPQRVLFSEGGQWNDFSQDVIDLVKEHFMAKTTAFEVKFNGRQVMLDILHMNEVDLKNGARKPIAWIDEKGSCFFPESYEIQKDTEIEIDPEIKLHVEIELNEISNNSLEECVEESNVKRVKIDQEGQTKNHLDAKADQCVEKIQIDEEDAASPTLDQETVRNMFMKSVSQSQSQGLKVDIIEVKKCCGGVMESKLDLFHAQAEITKKVRGNANVRYGWFASPVGSTSTSTTGVYGLGHDGLKLGRYGYGVHFTAVHSAHNSEDIICDVDEKGVEIQIQCMVLCRVILGNMEVVLPGSKQFYPSDECFDCGVDNLENPNHFVVWNMNMNTHIYPEYTLTFKMSPSLTAQAGNLIIERSRVDTSKLTTQPPHGPLKIDSSPLKLGKSETQFQSGKASPMSLEKGASVGSSTSRAPNSPWMPFSKLFEAISDKVAPADMKLVRILYESLRGKKTSREEFIKKLRSIVGDEILSIISRSKIRE >cds-PLY96507.1 pep primary_assembly:Lsat_Salinas_v7:5:336999247:337001213:1 gene:gene-LSAT_5X188820 transcript:rna-gnl|WGS:NBSK|LSAT_5X188820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEISRIINIDRKDHNQKKEIRYLVGELAAVEIGDRRAESTRMMAVEIEELRTVATEKKMGDGEEIVIGGVFCESYQRKSRSEGMWKMNVGQKLSQAYGVYGHAYMTRFTEMCVGLDTYVT >cds-PLY93404.1 pep primary_assembly:Lsat_Salinas_v7:9:64259319:64260001:1 gene:gene-LSAT_9X56161 transcript:rna-gnl|WGS:NBSK|LSAT_9X56161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEEETILTLHGLLGNKWSLISQHLPGRTDNEIKNHWHCYLKKRVAKSESLEAQNTNTGNNESSSSTMNSMLRNLSIDSLDTAKRSYVEADQQIPQAQINRLPKILFEDWLSLEEFHGHNGLAFNGGASNSSNYQDALVDELLSNEAPTESTETTNRESNYQNEDMFRSTQMIIDEFFNFNGGDINIEDFFYM >cds-PLY87107.1 pep primary_assembly:Lsat_Salinas_v7:5:254931070:254932740:1 gene:gene-LSAT_5X128041 transcript:rna-gnl|WGS:NBSK|LSAT_5X128041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEFKVIGVIGAGQMGSGIAQLAAVAGADVRLLDKDAEALLTAKRSISDSVQRFVSKGQLSKEVGADAVARVKYTSNLEDLQSADIVIEAIVESEDVKKKLFAELDKIVKGSAILASNTSSISITRLASATKRPHQVIGMHFMNPPPIMKLVEIIRGAQTSDETYNKTKTLAERFGKTVVCSKDYSGFIVNRILMPMINEAFYTLYTGVATKEDIDAGMKLGTNQPMGPLQLADFIGLDICLSILKVLHAGLGDTKYTPCPLLVQYVDAGRLGKKRGVGVYDYTKFPKQPSRI >cds-PLY69606.1 pep primary_assembly:Lsat_Salinas_v7:5:314161282:314161650:-1 gene:gene-LSAT_5X171940 transcript:rna-gnl|WGS:NBSK|LSAT_5X171940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNALTQTEKLKAEFLSKASVEYETKVQEANWELYRKQKAAEAILYEKEKQAEAHKAMAEATLYSRQQVADGELYAKQKVMILFSFLFILIVNQ >cds-PLY85114.1 pep primary_assembly:Lsat_Salinas_v7:4:195773430:195776071:1 gene:gene-LSAT_4X114461 transcript:rna-gnl|WGS:NBSK|LSAT_4X114461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSVVAIVEKNLGFGMTTKSERFSSDFVMGGAAAIISKSAAAPIERVKLLLQNQGELLKRGQLKKPYTGVGQCFKRVFKEEGFFSFWRGNQVNVIRYFPTQAFNFAFKGYFKSVFGRSKERDGYMKWFIGNVASGSAAGATTSLFLYHLDYARTRLATDSSGISRNHKHQFNGLFDVYNKTLATDGIRGLYRGFGISIVGITLYRGMYFGLYDSLKPVVLIGSFQDNFIASFFLGWSVTTFSGVCAYPFDTVRRRMMLTSGQPSKYHHAIHAINEIIRLEGFLALYRGVTANMLVGVAGAGVLAGYDKLYRITYKSSHNLEHQKVL >cds-PLY94736.1 pep primary_assembly:Lsat_Salinas_v7:8:50525397:50528306:1 gene:gene-LSAT_8X37481 transcript:rna-gnl|WGS:NBSK|LSAT_8X37481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATESSRSTSSSADSYIGSLISLTSKSEIRYEGILYNINTEESSIGLRNVRSFGTEGRKKDGPQLMPSDKVYEYILFRGSDIKDLQVKSSQPVQPTQPMINNDPAIIQSHYPRPPPSSSAPTNTLPDLSIQNPPTLQSGPPLYPPGPNLGSWAPMPPPPNPNTTGLPMPMYWPGFYTPPNGVPQLHQQSLIPPPPPPHMQYPSYNGSLPGGTSNMSNSMGPTAPMEPLPTPSLVTPGQLLQSGVTSAVVSSQVTQTVHKDVEEVVQVAPTSSSSELELESSIPIPVPAQPPILPLPPQARITPKVNGGSYQNRQSYNYRGRERGRGYGGSRSVVKFTEEFDFNAMNEKFNKDEIWGTLGKTNDGNLSANDDDDDEYEDENENGADVHVSKVEAKSGVYSKDDFFDMLSCNSLDNQSNNGRTRFSEQMKLDTETFGEFSRYRGGGRGGRGGYRGGGGYSRGGGGGGYGRGGGGGYYGYGRRGGGGYNSYGGRSQNQNRDC >cds-PLY79671.1 pep primary_assembly:Lsat_Salinas_v7:5:252476744:252476986:-1 gene:gene-LSAT_5X127180 transcript:rna-gnl|WGS:NBSK|LSAT_5X127180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGATRFLFWFVLSLFLLSFTISEARIVTSLLLKERNGGRLIEKARDILEVNTRRHNMEELSSRYNVNRRSPGGPDPKHH >cds-PLY67207.1 pep primary_assembly:Lsat_Salinas_v7:6:136041008:136041627:-1 gene:gene-LSAT_6X81961 transcript:rna-gnl|WGS:NBSK|LSAT_6X81961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEASEAYLVGLFEDTNLCAIHAKRVTIMPKDMQLARRIRGERA >cds-PLY95315.1 pep primary_assembly:Lsat_Salinas_v7:4:298815997:298816500:1 gene:gene-LSAT_4X151640 transcript:rna-gnl|WGS:NBSK|LSAT_4X151640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTPNPNGLCHVVELNFKGVFLRNHFSYKLGMKFTFNDHDFSGMTYYECITFLKRFMQERIKKLYYYETGKPLLFGIVVIANDGDYVSFIFDAYGSDGIIPLCVNCDGQGIENWFGFEIEEEEYGGDSCIDGGENEDEIENLRDVEMDFNDDEVTMNITKGDDFV >cds-PLY72316.1 pep primary_assembly:Lsat_Salinas_v7:4:61004804:61006211:1 gene:gene-LSAT_4X42501 transcript:rna-gnl|WGS:NBSK|LSAT_4X42501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKASRSFYTASLKYPQLIVSASFKPPSTAMHVYSSRIGRHRGTVEAPDALLPVFTTFVLLEIYLIVDCLKSNGGRIPSLKSSSNRGCTLITIDKGCCFYGFRTSKIYVQLPQIMLISPPIEPHHIHRTTDANFALALRSGKSFQLEIR >cds-PLY83836.1 pep primary_assembly:Lsat_Salinas_v7:3:51913794:51914501:1 gene:gene-LSAT_3X40041 transcript:rna-gnl|WGS:NBSK|LSAT_3X40041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYLNRVWMTAGVAVVNGHTDQGHKLKSGIKSFQQGKKAFTSSTDPADLRPLSGVVSSDVGGFVGGEERMKQSDDSLRQVMYLNCWGQS >cds-PLY97057.1 pep primary_assembly:Lsat_Salinas_v7:4:350516863:350518301:1 gene:gene-LSAT_4X173160 transcript:rna-gnl|WGS:NBSK|LSAT_4X173160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPRTGPPKHANKFAWKPNAGVKINETEVGGRFRPLSDITGVCPKCKDQIEWKRKYGKYKPLTEPAKCQKCTKRAVRQAYHNLCNACAREHNVCAKCSCRVDRIVGRDITEVEAEQKMLQAAIGNARERDKRSLIRAMNKGKTQTKEQDPTDNDKKAGDLFKAESLEAYAGTTRDDEDEDYSEDETQVVN >cds-PLY91746.1 pep primary_assembly:Lsat_Salinas_v7:9:17576742:17578398:-1 gene:gene-LSAT_9X16160 transcript:rna-gnl|WGS:NBSK|LSAT_9X16160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGVSEGDDIVTLKLLKQKMHDFAQERDWERYHSPRNLLLALVGEVGELSEIFQWKGEVAKGLGDWKEEEKVHLGEELSDVLLYLVRLSDICGVDLGQAALRKIRLNAIKYPPPPPPVSSADDASSLQ >cds-PLY84784.1 pep primary_assembly:Lsat_Salinas_v7:8:24916193:24916458:1 gene:gene-LSAT_8X18901 transcript:rna-gnl|WGS:NBSK|LSAT_8X18901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKKDYLMQEKIVSSLNLKTSSGELETYCLMWSLRPFVNDEIMQKAWRLIP >cds-PLY90985.1 pep primary_assembly:Lsat_Salinas_v7:8:254370689:254373701:-1 gene:gene-LSAT_8X148560 transcript:rna-gnl|WGS:NBSK|LSAT_8X148560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKLYLNGVFWFLLCLNYASSLTSDGLSLLSLKSAIDGGDTAFPNWNEDDSTPCQWTGVTCANISGVSGPRVVGLSLAGKNLRGYIPSELGNLVYLRRLNLHGNNFYGSIPDPIFNATSLHSIFLYDNNLTGRLPSSICNPPRLQNVDLSHNLLSGTIQKFLGNCRQLQRLVLAGNKLSGEIPSGIFPELANLVQLDISSNSLNGSVPFDIGQLKSLSGTLNLSFNRFTGKLPESLGDLPLTVSFDLRDNNFTGEIPQTGSFSNQGPTAFLNNPSLCGFPLQKTCRDSNSATPPGTQSVTPTIDDNDSKKGLKPGLIILISVADAFGVALIGLIIVYLYWRKKDSNGCSCTGKRRFGGNQKSKFCSFPCVGGFPNTDSEIESEKGSGVSVSGGGGGGGGGGEGGELVAIDKGFSFELDELLRASAYVLGKSGLGIVYKVVLGNGIPVAVRRLGEGGEQRYKEFVAEVQAIGRVKHPNVVKLRAYYWAPDEKLLISDFISNGNLASALRGQTSTVLTWSTRLRITKGAARGLAYLHECSPRKFVHGDIKPSNILLDNEHQPYISDFGLNNLISITGNNPSSSGGFMGGALPYLKSTQTEKVNNYRAPEARVSVNRPTQKWDVYSFGVVVLELLTGKSPDLSSPTTSTSTELPDLVKWVRKGFEEETPLSDMVDPMLLQEVHAKKEVLTVFHLALACTERDPDIRPRMKTVSESLERIRT >cds-PLY91709.1 pep primary_assembly:Lsat_Salinas_v7:7:26607037:26608905:1 gene:gene-LSAT_7X19101 transcript:rna-gnl|WGS:NBSK|LSAT_7X19101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQIVHMFVEVNGVKLHLAEIGNESSPVVVFLHGFPEIWYSWRNQMIAVANAGYRAIAPDWRGYGLSDPPPDTEKFVFSDLVDDLLAILDSLRIDKIFLIAKDFGLRPAFLFTLRYSTRVTGLISLGAPHRPFRPPTFLKQMPEGFYINRWREPGRAEADFGRFDAKTVVRNIYILFSRSEIPIADESQEIMDIVGPSTPLPPWFTEEDLSVYGALYEKSGFLNPLKVPYRSLDEVYDIADDVIKNPMLLITGEKDYFLKFPGMKDLIQSEMVREFATDLEIEYVPEGSHFLQEQFPDKVNPLILGFLRKHM >cds-PLY88783.1 pep primary_assembly:Lsat_Salinas_v7:4:92532350:92532685:-1 gene:gene-LSAT_4X60801 transcript:rna-gnl|WGS:NBSK|LSAT_4X60801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLDFAQLFFDQLFDCVVANNKPTYVPCPRWIALILACTREGNNVNHGVSIPVPILSSKIFNVVPTDGDMHLSQSMENWVAKPDVVKTSFSGEEDDERINEDDVDNAND >cds-PLY64266.1 pep primary_assembly:Lsat_Salinas_v7:7:4223728:4230040:1 gene:gene-LSAT_7X3821 transcript:rna-gnl|WGS:NBSK|LSAT_7X3821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal binding protein AN [Source:Projected from Arabidopsis thaliana (AT1G01510) UniProtKB/Swiss-Prot;Acc:O23702] MSYRSTSSPQPSLPLVVTLNCIEDASIEQEYLAGIARVEHVPLSLLAEAKIESAAAVLLHSLAFLPRAAQRRLRPWQIVLCLGSSDRSVDSAIAADLGLGRLVHVDVSRAEEVADTVMALFLGLLRRTHLLSRHALSASGWLGSVQPLCRGMRRCRGLVLGIIGRSASAKSLATRSLAFKISVLYHDVNEEKGKRLSRFPPAARRMDTLNDLLAASDLISLHCALTNDTIQILNAEALQHVKPGAFLVNTGSSQLLDDCAVKQLLIDGTLAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAVSILQTFFLDDVIPTDAVSDNDEEEDKTLHEDENEVSDKQGKDNNTFQLTDDLNINIKQSSASSSQLQESTLSQTTSPQSEVKRSKKAKKRHARQKSMHKVGESLDKKEDDTAMSSSSQFASPDDESRSKRTPVKSGSKKSLEMLKDGCVISLQARDRAAFHVSRQRAQGGGWFLDTMLNVTKRDPAAQFLVVFRSKDTIGLRSFTAGGKLLQINRRMEFVFASHSFDVWESWTFEGSLEECRLVNCRNPSAVLDVRVEILATVGDEDGITRWLD >cds-PLY80053.1 pep primary_assembly:Lsat_Salinas_v7:4:349212607:349216052:-1 gene:gene-LSAT_4X172021 transcript:rna-gnl|WGS:NBSK|LSAT_4X172021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKVVYKQREEKKEFQKQFGCMNGLFQLFDRRYLLGLHRNGRNQKMLTPGEGENGKKEIKNSSEKVEEINTKRVVIEKSRVSIESSRNSFSSSCSSTTFSSLECSKRVHMEMQIPSLSTSPKLRKNQQDCSLPDIRDVVKNSMTRTIRVASAKNIEKEMKHIDSPRPFVRQKSVEFEYERKDRNLAKEASRLSCDERESKYSLKSSLKVKEVPRLSLDSKQNKNSKNTLDQTQEPGSNKRPSSGVVARLMGLEGFQDSNLEIETLKKTKIPFLDHELVSRFKKMEVKNGSFSVYGEMEKRLSGIEFKTSGKDLRALKQILEGIKNKEQSLETKKKVKPVNGTRRELVNREVKPVKLTLTKVNHGENGNDSFGRKKVKDLTPRKKKVAGGPPRLVACSNSEKLTGERKKSKKVSSDLTRVKKQSNSKNPKTKMKNKEETRNDQPKEDHKVIEDNFVERLIEEETQTPIVDLPKITIEQPSPVSVLDVFYTEDTPSPLNKKDTAFNDYEALDFEENQETEWNQVEDYSDDFKLNFFNSTNEEHEYISEILLTSGFLKDIESAIRIVQIHPTGSLIKPDLFHFLEKKEGFTDDECHKKNEKLKRKLIFDSVNDILLKKLVILGSFDMWSGKRRLGILNGEKLLKELCSEIDNLQTAPERFVYDEDDEVKNLVSVDVNKSEDWGECCYEVPGVVLDIERLIFKDLIDEVVNADMAPRRQCRRLFPM >cds-PLY95609.1 pep primary_assembly:Lsat_Salinas_v7:6:138883983:138889771:-1 gene:gene-LSAT_6X83941 transcript:rna-gnl|WGS:NBSK|LSAT_6X83941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAAQAMKRIPRIKFPQRHPAPSGSATQTQATPVANDVPSSFFSKSAPSEKTLGGKASLQPKRTPMSQEEIDAIMHSSNSRLWAKRRLITASSMLNLFNLRRLTWGTDTNDKQKVILSAPEVESLRSELADMEEKEAHLKARLEHIDETLRSARLSGYLQMRTRWTALPGEPLPLDDTEVDDWLPRFVVLLGPCIFLYFMSTDFYNVADISPQDSTLLSDVVEVGRMPRHHEEEGEKTRYYFYIVTRQGLRYECSSTSKLQVDAWLTTLQDECKLGSESTSVDLSSDS >cds-PLY87524.1 pep primary_assembly:Lsat_Salinas_v7:8:96442839:96447618:-1 gene:gene-LSAT_8X67661 transcript:rna-gnl|WGS:NBSK|LSAT_8X67661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSESVETSLFDLLTAALKGKLIGTVNGSDSGDSTMPPALAMILENRELLVILTTSVAVLVGCFVVLVWKRSTNKSVKELEQPKIVIPKRRVEEEVDDGKKKVTIFFGTQTGTAEGFAKALFEEAKVRYEKASFKVIDLDDYAVDDEEYEEKLKKESFAFFFLATYGDGEPTDNAARFYKWFTEAEEKVSLNKLHYGVFGLGNRQYEHFNKIAKVVDDGLADQGAKRLVPVGLGDDDQSIEDDFTAWKELVWPELDQLLRDEDDKGVATPYTAVIPEYRVVFHEKLDTSTEDFSQTNGHTVHDAQHPCRSNVAVKKELHTPESDRSCTHLEFDISHTGLIYETGDHVGVYCENLSEVVEEAERLIGLAPDTYFSLHTDNEDGTPNAGSSLHPPFPPCTLRQALARYADLLTSPKKSALIALAAHATDPTESERLKFLASPAGKDEYSQWIVASQRSLLEVMEAFPSAKPPLGVFFAAVAPRLQPRYYSISSSPKMAPSRIHVTCALVYEKTPAGRIHKGICSTWMKNAEPEDSWAPIFVRTSNFRLPTNPKVPVIMIGPGTGLAPFRGFLQERLALKEAGTELGSALLFFGCRNRRVDFIYENELNNFVESGALSELVLAFSREGPTKEYVQHKMTQKASDLWNLLSEGAYLYVCGDAKGMAKDVHRTLHTIVQEQGSLDSSKAELFVKNLQMSGRYLRDVW >cds-PLY84677.1 pep primary_assembly:Lsat_Salinas_v7:2:150146774:150146929:1 gene:gene-LSAT_2X75921 transcript:rna-gnl|WGS:NBSK|LSAT_2X75921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHSNGNVLAGAALAASVASFSVSSTRKGKDGQAVDLKQSKQQQQVAGGGG >cds-PLY95348.1 pep primary_assembly:Lsat_Salinas_v7:5:267862657:267865588:1 gene:gene-LSAT_5X138741 transcript:rna-gnl|WGS:NBSK|LSAT_5X138741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIEQKVPRSSYPTADMDNKNPFIDNYADSMWAVPKDQTTLHQPTRSIKQDHTYDLSLDSEDFIDEDYYESGDEYHKSIHQTTPEVNLKNVLTGIVAIVTGRNKDTTENIPNSDVSFMGSETNGDTYIHPSVYIPSAPPLLEPTGINYSAYKEVLESEPPEWLRDSSASLCMQCNAPFTALTRGRHHCRFCGGIFCRACSKGRCLLPVKFRERNPQRVCDTCYDMLDPLQGVLINTISNAVQVAKHDVMDWTCTRGWLNLPVGVSMEHEIYKSSSTLRSYCNAARVNPERSIPAAVMKGAKGLAILTVAKVGVLVTYKIGTGLVLARRSDGSWSAPSAIVSAGLGWGPQVGGELMDFIIVLHDFKAVKTFCSRMHFSLGAGCSAAAGPVGRVLEADVRAGDRGSGMCYTYSCSKGAFVGVSLEGNVVATRMDANLQFYGDPYLTTSDILLGTVERPKAAEPLYAALEDLYSKLQC >cds-PLY83585.1 pep primary_assembly:Lsat_Salinas_v7:5:18327828:18330430:-1 gene:gene-LSAT_5X9201 transcript:rna-gnl|WGS:NBSK|LSAT_5X9201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKTETIFRSRLPDIYIPKHLSLHAYCFENISKFSDRPCLIDGATGVVYSYADVELSSRKVGSALHKLGINKGDVIMILLPNSPEFVFSFLGASFIGAVSTMANPFFTSSEILKQAKASGAKIIITQSAHVAKLKDFASENSIKVVCIDSAPEECLHFSELVSGDEKELPEVDISSDDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNLWIHSEDVLMCVLPLFHIYSLNSILLCGLRAGAAILIMQKFDIVPFLELIQKYKVTIGPFVPPIVLAIAKNADVVDKYDVSSIRTVMSGAAPLGKELEDTVRMKFPNAKLGQGYGMTEAGPVLAMCLAFAKEPFEIKSGACGTVVRNAEMKIVDPDTNASLPRNQRGEICIRGDQIMKGYLNDPEATKRTIVDGWLHTGDIGFIDDDDELFIVDRLKELIKYKGFQVAPAELEALLLTHPHLSDAAVVPMIDEGAGEVPVAFVVKSNGSTVTEDEVKQFISKQVVFYKRINRVFFVDTIPKSPSGKILRKDLRAKLAAGIPK >cds-PLY98870.1 pep primary_assembly:Lsat_Salinas_v7:5:20496701:20505563:-1 gene:gene-LSAT_5X10700 transcript:rna-gnl|WGS:NBSK|LSAT_5X10700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSAARKHVEKIRKTKFSIGGKSNPLTEDLHQAVKNLSAELYAKDVHFLMELIQNCISKEKWLRTRLGDYRSPKECILFGKDWEPFSPICHLPFIDDSNNFYGSGIHEYRAELKRLGVITDFKDGAKFVSNGFFLPQDCSSLTPANVYALLDFVKKLKEESGTDLPAEFLEKVSQKNWLKTYFGYKRPDECLLFDSSWDSLLKCKDGPFIDEGFYGTRIGSYRKELNVLGVITDSNKECQLLAGYLNSHTNFETISRIYNHLSTLKWEPADEDKKRIWIPRGRWVMPEDCVLHDDNNLFGEQLNVLENCKYEDKILGFFAKTFNVKVCPSVEDYCKLWKTWETSGRQITHEECCAFWEFVVRNWYPRTENTFKNYLWKLPVLDPTSNGIFLVDKRDLFIGDDLFLTDLFKSCSRRIFVWFPQPSQKTLTRTKLVEIYTRLGVRALSESVKKNTSDVDHDAFKPVDSKEKIIKKGLLKLILGFLADPKLKMEADKRHEAVSRLIATEVVETLKKMTIRYRLSLSSGDVLDVESRRMVRWDKQHFKLYMQKMERSSGHKNVMEYAFHFAEEIAEGVLWENEELVPDLCELIRLGYMVEFEEEEIDFLMKMKNLQIFVEDQDYLSSTFFSPKGNSQEIPISPRGRKRRGQNSMEPSSSSYELQSTGSRKRRDTVSLTPVTIVIPD >cds-PLY86677.1 pep primary_assembly:Lsat_Salinas_v7:4:318519022:318522255:-1 gene:gene-LSAT_4X158361 transcript:rna-gnl|WGS:NBSK|LSAT_4X158361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPGNPTRRFVGGGSNPLGGIFHSKSKASPLLSVVLVLLGTVLVIGYVYRGSGGSGDYKAFSKIQGDFSCTFDVHTAIPILKKTYGDSMHKVLHVGPDTCSIVSQLTKEKDTEAWGVEPYDIEDADVSCRSLVRKGLVRVADIKFPLPYRAKSFSLIIVSDSVDYLSPKYLNKTLPDLARVSAQNLVIFTGKPSSSKTKLQQASKFGRPAKMRSASWWARFFVQTSLEENEDAAKKFEKAASKSSYKPKCQVFHLNSYH >cds-PLY95828.1 pep primary_assembly:Lsat_Salinas_v7:5:65456968:65459588:1 gene:gene-LSAT_5X31140 transcript:rna-gnl|WGS:NBSK|LSAT_5X31140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNTDWLSRLSSLKYLNLSYIDLSESVGLLNNAIRLPSLVELHLANCLLPNNTDKSFVRSMTNLSVVDISYNDLPNSMIYPWLFNFSSTLTYIDLSNNKLLGIIPEAFGMFKNLQTLDLTNNGLQGGIPSSFRNMTNIRELVLSGNNLNQDLPSFFDKLSGLGPQRTLQVVDLYDNQFTGNLPDFTTFTSLKELLLGRNQLNGSFPQKFEKISNLFILDLADNRINGFLPNLSVFASLRELYFERNLLNGTLAEKLEPLSKLESLGASSNFFQGTISETHVANLSRLTYLDLSFNSLDLEIGSDWSATFQLETISLSSCKLGSSFPGWLRTQTNFSVLDISNAGINDSVPSWFWESLIPGIRYLNLSSNQIHGMIPDLDFISGNRPLIDMSSNNFSGNLPLFPLDTVTLKLNDNMFSGLISSLCNLTSLSHLDLSNNKLSGELPNCWNNLNNLNILNLENNGFIGKVPDSIGALEFVKMMSLRGNSLIGELPTSLQNCTSLLLLDLGENEISGNIPEWLGESFAMLLVLSLPSNRFNGTIPTSLCKLKNIQILDLSVNDITWKIPKCLNNISGMIMREKGILQASIEYNAIGLDQSRLIITARVVFKALLQWKGRQSEYQKTLGLVVSLDLSSNRLTGEIPSEITSLSALIALNLSRNSLIGSIPKDIGRLRQLDFLDLSRNDLLGGIPTSLSELSNLGVLDLSFNNLSGRIPKGTQLQSFDVSSYAGNLALCGVPLKNVCPGDETNSGNPDGVTEQKSDDDDKIINKGFIFGIVAGFAFGFWGFYGSLVLKESWRHAYYGFLNVVKDWVLLRVELTLARLRRRTSS >cds-PLY89894.1 pep primary_assembly:Lsat_Salinas_v7:8:68961502:68967123:1 gene:gene-LSAT_8X48760 transcript:rna-gnl|WGS:NBSK|LSAT_8X48760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLSVPSLSSQLWQYHVFLSFRGEDTRKNFVDHLYTALEHQGIFTYKDDETLLRGESIGPSLEKAIEESQISIIIFSKNYADSSWCLDELVHIMKCKDKRGQVVIPIFYDVDPSDVRKQKRKYGEAFVKHELENNNKVEYWRKALTDATNISGWETEHIANGHESKCIKKIVDTISHRLHPITSSVDDNLVGVEARMQHLIPKLQIGLGGKRMIGIWGVGGGGKTTLASSIYDEISSKFDGCCFLKNIREESSNKDGLERLQTKILCGVLKQKQVEVGRVEEGRRMIKDRLHRRKVLIVLDDVENLEHLEQLVGSRDWFGEGSRIIITTRDEHVLTGHKVDVIHNITLLNNDEAMKLFCKHAPCGHKPLEDYDLLSKDVVSYAGGLPLALSVLGRFLCDKEMDEWKSALARLKDIPDADILEKLKISFDGLNTVEKQLFLDIACFFRGRYKDNEEIMMILNACGFHPVIGIKVLVQKALITITEYGKFDMHDLVQEMAHYIIRKEHPNNPDKHSRIWKGEDVLKICAMDATTNLDKIEAIYVQRIRSDESRVLQVTANMKKLRWIDLNLNLAEAELVIVPEKFPPRELCCLTLRFLNAKQLWAGYKYLPNLRMIKLDGLRSLIKTPDFDGIPNLERFIVEGSSLLEEIHPSFGRLEKLVCVHIQNCENLKMVPPITQSKKLETLVFSGCRSFNNFLPDNMNHTGVWFFSGCLRKLVLSNCSLGDGDISSAASWELPNLLELDLQENGFVRLDFSLLLLPQLKCLDIRYCKDLVELSELPLSIAVVLADGCFSLESFGDISNCKWLWKVTLTGNHKLGPLGNAKHYFISINLSGIDIWSGPSVVWINWVKTCNMTLPHDWYNHFSGILMFVRSEDLHMGINITIKQGLDEDFQSQIWQESDETLDPEYQETCVGYVSFSSLRHTRCFNSTYNIISFSFGTEDLYGNGNRFRAVLIPKDYDPMQTTKVTTDCLDFWDEEDDSKKTFRIRHDSNSSIEILWRTWV >cds-PLY89471.1 pep primary_assembly:Lsat_Salinas_v7:8:92116936:92117628:1 gene:gene-LSAT_8X64621 transcript:rna-gnl|WGS:NBSK|LSAT_8X64621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARPARQLATSSMATTTTTITLENPSPPQTTTLTLTLNPRKKKVTWKEGTVDNEFLQKKSSKKCCIFHKQKPFDEDSSDDEDCHDHHHDHDCSGSKKDDDGASTS >cds-PLY69331.1 pep primary_assembly:Lsat_Salinas_v7:7:79815035:79821284:-1 gene:gene-LSAT_7X56421 transcript:rna-gnl|WGS:NBSK|LSAT_7X56421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEDSPNDLEKSYFDVLGLCCSSEVSLIEKILKPLDGVHHVSVIIPSKTVIVLHDAALISQFQIVKALNQARLEANVRMKGVQSYGNKYPSPYAVVCGVLLLLSFLKYIYSPFKWLALGAVAVGIIPLILKAFASLRIFRFDINLLMLIAVAGSIVLKDYWEAGTIVFLLVISEWLEARASHKATAVMSSLMSIAPQKAVLADTGEEVNTSEVKVNTRLAVKAGTMIPIDGIVVEGKCEVDEKTLTGESFPVVKQVDSIVYAGTLNLNGYISVKTTALADDCVVAKMGKLVEEAQNNKSKTQRYIDEIAKYYTPAVVVVAASLAAIPAAMRVRDVEKWYHLALVVLVSACPCALILSTPIAAFCALSKAATSGLLVKGAEYLEILSTVKFICFDKTGTITKGEFSVSSFKPLIHNDKLLYWVSSIESKSSHPMAATLVDYAQSHSVQPQPDNVEEFKDFPGEGVYGKIDGKDVYIGNKRIAIRAGCSQDLISDERNEGRSTGYIFVGSSLSGIFSLSDSCRIGVREALQQLKSMGIKTAMLTGDSQSAANHAQNQLGGALDMVHAELLPQDKARIIKEIQKESPVAMVGDGMNDAPALATADIGISMGVSGSALANETGHVILMSNDIRKVPVAVRLARRTRRKIFENIFIAMVTKAAVIALAIAGHPLVWAAVLADMGTCLLVIFNSMLLLRGTNSKNRKKNSGSLGNNAQCTFNSMVEEKCCDVGGGCDLNVKDDCCSSEVEESCCDGGGCGSEVKAKCCDVGGGCDSKVKDNCCSSEVKESCCDTSVGCGSKVKDNCCSSEVEIKESCCDGGGCGSKVKDNCCSSEVEVKESCCDSKVKDKCCDVLEQMRLVQGKGTHGCCDDEKDEIQEVKPVVQSCKAKKSIQETKCCKVNKDLESQICVKELGECNNSNSYVKLEKMVKNCCRRRGLKKRNVVSGCCKSFAYKCCRYGRLSEIVIE >cds-PLY83065.1 pep primary_assembly:Lsat_Salinas_v7:3:81346600:81350273:1 gene:gene-LSAT_3X63200 transcript:rna-gnl|WGS:NBSK|LSAT_3X63200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGSFMKLQLSSSPCLKAPRLCKSSRKQLRVKASATSSDAEDAKMTITKDKNRWKIDFSGEKPTTPLLDTINFPAHMKNLSTQDLEQLAAELRVDIVYSVAKTGGHLSSSLGVVELAVALHHVFNTPDDRIIWDVGHQAYPHKILTGRRSKMNTIRKTSGLAGFPKRDESVYDAFGAGHSSTSISAGLGMAVGRDLLGKNNNVISVIGDGAMTAGQAYEAMNNAGFLDSNLIVVLNDNKQVSLPTATLDGPATPVGALSSTLAKLQASPKFRKLREAAKSITKHIGPQAHEVAAKVDEYARGMISANGSTLFEELGLYYIGPVDGHNVEDLVTIFEKVKSMPAPGPVLIHIVTEKGKGYPPAEAAADRMHGVVKFDVETGKQFKTKSPTLSYTQYFAEALIKEAEVDNKVVAIHAAMGGGTGLNYFQKKFPDRCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDIAYMACLPNMVVMAPSDEAELINMVATAAAIDDRPSCFRFPRGNGIGVPLPPNNKGVPIEIGKGRILLEGTRVAILGYGSIIQECLGAASLLQDHKVSVTVADARFCKPLDAELIKRLANEHEILLTVEEGSIGGFGSHVAHFLSLNGILDGKLKLRAMTLPDRYIDHGAPQDQLEEAGLSSKHICSTVLSLVGKPKEAFQYKTIM >cds-PLY78836.1 pep primary_assembly:Lsat_Salinas_v7:5:308045844:308047482:-1 gene:gene-LSAT_5X167261 transcript:rna-gnl|WGS:NBSK|LSAT_5X167261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLTILSFLPLLYILYILLSFLERKKHQKCYLLDYQLYKPSDDRKLPTEFCGDVIKRAKNLGLNEYKFLLKAIVSSGIGEETYAPKMVFEGREENPTYQDAIVEMEELFEYTIGRLFKRTGISPSEIDILVVNISMLACMPSLSSRIVNMYKMRDDVKTYNLCGMGCSASLVSLNLVESIFKSKKNQLAMVVTSESLTPNWYTGNDKSMILSNCLFRTGGCAMILTNNPSLSHKCMLKLNCLVRTHHGAKDESYGCCIETEDDQGRVGFHLGKSLPKAATRAFVDNLKVIAPKILPIRELLRFGILSVTLKILSKGKGKLSGSSRPVINFKAGVDHFCLHTGGKAVIDTVAQNLDLSEYDVEPARMTLHRFGNTSASSVWYVLGYMEAKKRLKKGDRLFMISFGAGFKCNSCLWEVVRDLEGEGNVWKECNVEDYPPQTLINPFMEKYGWIQDEDIETFKVPE >cds-PLY75827.1 pep primary_assembly:Lsat_Salinas_v7:3:67570397:67571579:1 gene:gene-LSAT_3X52561 transcript:rna-gnl|WGS:NBSK|LSAT_3X52561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVVCRLCGVGGGLLLFKVSDCNPHADLQLAMARAHRLGQKNKVASFEYIDEASKEKEEIQEPKRSNYCEELLKDRYEVHKVEEFNSMENGKRSRKQMMSVEDVSSDADVNYEAELSD >cds-PLY66379.1 pep primary_assembly:Lsat_Salinas_v7:4:119991089:119993871:1 gene:gene-LSAT_4X75001 transcript:rna-gnl|WGS:NBSK|LSAT_4X75001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFLTGGSKNTWQPVMGADTTTSNYWLNWRVFLCVVWVLTAMCLSSYLITKYEGPLNGKSRNRNEDEDEEDNDDDDYGGVLYDDEVWKPCLKGIHPAWLMTFRIFAFFVLLILIILNAIVDGGSIFYYYTQWTFTLITIYFGIGSMLSIYGCYQYHNKVGGDRSEELDEEQAVRDEITNLPQSRKIIGAKNRRQPRQVAGFWGYTFQIMFQMQAGAVVLTDCVFWFIIVPFLAIKDYNLNFFIINMHSINAVFLLGDTALNSLRFPWFRIAYFILWTCVFVVFQWIVHACIALWWPYPFLDLASSFAPLWYLLVALLQIPCYGIFVLVIKLKHFLLSKYFPDSNQYTL >cds-PLY75691.1 pep primary_assembly:Lsat_Salinas_v7:8:194762764:194766774:-1 gene:gene-LSAT_8X125601 transcript:rna-gnl|WGS:NBSK|LSAT_8X125601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYPGEAQPHTDSMDTYSRKRPSDDDDDFVNPPPVTMSIQKIVKKQTRIEKPRNLEDSLRCLNTRFPPEHITKTMTLLNKDQQRCVQSIGFGSALNMHLEKLPRRICYWVVENXXXXXXXXXXXXXXXXXXXXVHEVYGIPMGDIPMSNPSKANYENKLFYLNFTKVEDGTIQSPIAGMIHWTTDMLKRRELEELSKGGFGNVTISLQHMNMNRTEQEDNYKTEDGEDDDVVGSERDCISPDGFIGNVVQETSENDVDNNFKKILFTLYIDALNHPKRNSIKRAVTVRFSMAWMTKMNYIDCGVFVLRHMETYKGEDLDKWNVGLEPEFPDNDGQQMQLNELRKKYVTKILTSDLNLIKPSLHKKLASYNKLSDLEKENFNTEEHLQRIERRISLFY >cds-PLY71359.1 pep primary_assembly:Lsat_Salinas_v7:4:345639321:345639827:1 gene:gene-LSAT_4X170701 transcript:rna-gnl|WGS:NBSK|LSAT_4X170701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHHLITTLFSLLGIVNDLCARLHQKLSVERMSISYKNPACRFCNCVDSLVEMAVEKCKYFKWIDDELTPHYKNAFNNLKYELKLMKDTSYAARLERSIALVENLNAEAIAAK >cds-PLY86951.1 pep primary_assembly:Lsat_Salinas_v7:5:263622137:263622463:-1 gene:gene-LSAT_5X135200 transcript:rna-gnl|WGS:NBSK|LSAT_5X135200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSKGIKATAESLTLAVSVCNDPNKGRELHGYVIKAGLENYSFAKNSLLCMYGRHGFLQAAECLFSEIETKSLESWNGLISSYTQLGLCDEAFSTFLHLKKIRRKYHA >cds-PLY67964.1 pep primary_assembly:Lsat_Salinas_v7:2:12620329:12626545:1 gene:gene-LSAT_2X5921 transcript:rna-gnl|WGS:NBSK|LSAT_2X5921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADESVSAPVVNDQDPAIAAADEMKLLGYAVQELPAHAVKDLFAHEVCVRCIFRLFNIHEGIYALLSPTTIYSIIKKAINSEDMAIKSTEDTVDAKSLQSSPELEVKSSICSVCLGVLQFSYLDERDTLLGKDHAEDFAMAVAESVKEKGHEIPSFTLEVSLPTLITENEQVILLYLKKKYASEVWFQEKFSSEHISVKDALKLSMTHPLEKLLGVKSGVSDFRIRLTFEHVDATSAENRIGKNQGNKRIKSGMYDVLKNFQLTNNYESNGLVKCSNGTMQSGDASDINSPLKKVGQPCHMTLVCSRAPVFIGGRYLKFSRNVSQTRWIIDEERKGDASVEELIGGNILPMCNGDSYKFHAAGREDIDVRMLGSGRPFLVEIQNARFVPSEVSIKEMENKINSLESNLVRVRHLKVVGSQGWSLMREGEAEKQKQYAALVWISRPISDDDVEAVTSLKELKVLQRTPVRVLHRRSPLDREKTIHWMKIEKIAGSCQYFLLHLCTQAGTYIKEFVHGDLGRTNPSIGSILGCRAEILQLDVTDVKMDTLTE >cds-PLY66130.1 pep primary_assembly:Lsat_Salinas_v7:7:31584146:31587470:-1 gene:gene-LSAT_7X23040 transcript:rna-gnl|WGS:NBSK|LSAT_7X23040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVESLLKLGNPPLSKPLLPLPFQFSLSSSRSLPMSSLNSVNVHRSPTASSFHPSILVFSGGTAFNGVVEELKKLTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDQSTSEALAVRNLLGYRLPLDAQEAKLEWYSIVEGEHSLWKDVSKAYRETIRAFLAYFQNQILRRTNESFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPTESLVLPVISTNDRLTLGCELWDGMIIRGQNEISHPTNGSLQLIDKENSSTLPSRIKRVFYMSSEGSNLLHEVFPTVNPSVLEQLRNVDCIIYGMGSLFTSICPSLVLLGVGEVIASRSCAKVLVMNGSCDRETSGFGASCFVTAITDALNRTYGHLNNRLKNNPSEYINTIFVPKDGEIPVDMKSLATLGIVHVVSVESMKDPKVGVVYEPTSLIQALSNLLKQK >cds-PLY76896.1 pep primary_assembly:Lsat_Salinas_v7:6:30084436:30086755:1 gene:gene-LSAT_6X22621 transcript:rna-gnl|WGS:NBSK|LSAT_6X22621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDAGIYVDSGSLSRKVIAIAAGEAHTLALTGDGSVYSWGRGTFGRLGSGSELDRLFPAKIEFNSTEKVKIVQVSAGSYHSLALSDGQLGVNGENSLVPSLVQVFNELHPPSSLTDELITKTESRLQISSIKAGGMMSLAIDNLGSLWMWGNCPPQDSLTEGEFSLVPTYTPIPVWNFHGQTVVKVACGNEHIVALVSTGETYKGDDNLVCYTWGNNNHGQLGLGDTEIRTTPQIIKTFNQESSYTPYEVACGAFHTSILSKTDGDMLRSVCWSFGLGDHGQLGQPGQGTIKDSLYPEIVEGIPENVWLVSVDCGLFHTSVVSSEGYVWSFGKGNGLGLTESDGGDAVTPRLIPCNGLKYPCFQDPLQVACGAAHTVLLADNGYKLWSWGRGRSGVLGSGQVNDFFAPNLVLWPPLEEDFKESEKLDEKDSFEIIKMKKELNVAMKEIDLLHSKLSTMEHYASILHGMIFGKPFEEEKDILLSLKSSYDVGKEWENMLESCDYGKLVRLEMFYCKMLASVKDKMMNVKIKEMIKECLESSTSVYH >cds-PLY66377.1 pep primary_assembly:Lsat_Salinas_v7:4:119380887:119381216:1 gene:gene-LSAT_4X75241 transcript:rna-gnl|WGS:NBSK|LSAT_4X75241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPLRKLFSEEHDFADWSSLEAENLDEVTLGSVCIWNLKPESTERSKKSNSSRQWKFREILHRSNSDVKEAKEMTFLLFKDLNAMNKKINNENVKNSFRVWLVHFLT >cds-PLY97286.1 pep primary_assembly:Lsat_Salinas_v7:1:44828711:44830616:-1 gene:gene-LSAT_1X39241 transcript:rna-gnl|WGS:NBSK|LSAT_1X39241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNSNSSNRNITLLLLIASIILTSHVHGTTPDSDIIKSSCITTLYPDICYSTLSTTKNLVTKKDVIQLAINKTKETIRGNFNAIKQLASTSNLKKRCKIALHDCLEMVAGTLEDLDMVIEELKAYPTKKSLRQQADDLKTLMSTTITYKETCLDDLFYDLDCKQLSKSIIQGHDLSGKMCSNILAMIKNMTDTDMAHKPESDVRNLKEEIWPEWLSTRDRKLFGLWGVEPNVTVAKDGKGNYTTVGAAVKAAPKKSKTRYLIKIAEGVYEENVEVPKNKPNLMFIGDGREKTIITGSKNVAGGGSTTWTSATVGAMGQGFLARDITFRNTAGPSGHQAVALRVGSDLSAFYRCSILAYQDTLYVTTGRQFFVNCMIVGTVDFIFGNAAVVFQFCDILAHRPNRKQRNMVTAQGRTDPNQNTGIVIQRSKIDATPELKEVQANFSTYLGRPWKKHSRTVVMRSTISDVIKPEGWHRWNKSSFALDTLYYREYRNVGLGADTSKRVNWTGWGVMKTKVEAIPFTVGSFINGWTWLMSTGFPFWPGW >cds-PLY74371.1 pep primary_assembly:Lsat_Salinas_v7:5:56665556:56666745:-1 gene:gene-LSAT_5X26620 transcript:rna-gnl|WGS:NBSK|LSAT_5X26620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSGNSTRFTQIQNSGSDEVLIDPRKRKRMQSNRESARRSRMRKQKHLDDLTAQINHLKNNNSQIVTTIKVTTQQFLQIEAENIVLRAQMGELSQRLDSLNEMINSMNNNSNYFTENHCTNGTSGVFEFEQPDFFNNPWNMMHLNQQPIMASANDTFQY >cds-PLY70027.1 pep primary_assembly:Lsat_Salinas_v7:4:266904517:266906609:-1 gene:gene-LSAT_4X138121 transcript:rna-gnl|WGS:NBSK|LSAT_4X138121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMSDRMLLKVIVLGDSGVGKTSLMNRYVYNRFNKQYKSTIGADFVTKELHIDEKLVTLQIWDTAGQERFHSLGVAFYRGADCCVLVYDVNIQKTFETLQTWHEEFLKQADPTNPERFPFVLLGNKVDTDGGSSRAVSEKTAREWCAMNGNIPYFETSAKENYNVDDAFLCVAKTAIASEHEHPDVNEMYFERIPTTISETEQERSCAC >cds-PLY66378.1 pep primary_assembly:Lsat_Salinas_v7:4:118873195:118873986:1 gene:gene-LSAT_4X74920 transcript:rna-gnl|WGS:NBSK|LSAT_4X74920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNEQPKAANEEAKIDLFEDDDEFEEFAIDQEWDVKEEGNEVTQQWEDDWDDDDVNDDFSLQLRRELESNNEKK >cds-PLY64770.1 pep primary_assembly:Lsat_Salinas_v7:2:101676981:101679595:1 gene:gene-LSAT_2X46661 transcript:rna-gnl|WGS:NBSK|LSAT_2X46661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFSEVDLEKFRIPLVEITRATKNFSSETLIGDGGFGLVYRGQLSNRWRNQLVAIKRLDPQGYQGTNEFHNEVKLVSSFNHPNIIPFVGYCDDANEKIIVCKYATNRSLEYHLQDPDRRRRLTWEQRLKICLGAATGVKYLHSGLGEHSRVIHRDLKSANILLDENMEAKICDFGLSRLSPRNQQDTLVRTRPSGTRFYMDPVYNERGRLSKESDIYSFGVVMFEVSSGMMAYKERPLEDTNELFLIDIVRSYYDDHGLVDGLDKLKDPTIKDRTDMRSFHKFNEIAHECINMDIKKRPTMDRIIKAIEEALNFQMSGISEGINSVEYYDDFWEPLLPQGYQEIVARAVPPLDFDSKKQLYFCLSDSPIFLDDGYLSFQLDMESGKKCYMLGAKQLSIEWQDNPNYWEWGHTLKSRSLLSF >cds-PLY64449.1 pep primary_assembly:Lsat_Salinas_v7:3:15594776:15595878:1 gene:gene-LSAT_3X11180 transcript:rna-gnl|WGS:NBSK|LSAT_3X11180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSSPSSSSPSSQMSTEDFMDQLKVQLAQAYAEEFLETVRGKCFDKCITKPGSSLSGGESSCISRCVDRYIEATGIISRALFNSQR >cds-PLY89096.1 pep primary_assembly:Lsat_Salinas_v7:9:28458770:28460362:-1 gene:gene-LSAT_9X25060 transcript:rna-gnl|WGS:NBSK|LSAT_9X25060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEHGGRRWPLVVLASSPFHGHMTPTLQLAKALHAHGFSIAIAHSNLNPPNPSNHPSFTFLPLSDNLSTIDDSGSFSNFIQTLNKNCKPSFQEHLTRLIGEQNGGNKSIVVIYDHLMFFAGIVAVDLNLAAIVFRSCSAAYFPAYLVRQQLHQESRFLEQDYVMQEMVPNHHPLRYKDLPFSKSPVEDMQQLVAIMSQSIRPSTVIWNTIKVLEHEALTQIQKHYQVPVFAVGPLHKIAPTNPPTNFLEEDTSCIAWLDKQAPKSVIYISFGSLMRLDKKVLTEMACGIAKSNQRFIWVVRPGSVCDSEWTEFLPEGFIEETRERGLIVKWAPQKEVLAHFAVGGFWSHCGWNSTLESISEGIPMICQPFNIDQMVNARYVSYVWKIGFELEGLESGEMEIMIRRVMVDEEGEEMRVRAIGMKEMVKEAVQNGGCSYDSLEELVGFISSC >cds-PLY67221.1 pep primary_assembly:Lsat_Salinas_v7:6:133990362:133991636:1 gene:gene-LSAT_6X82740 transcript:rna-gnl|WGS:NBSK|LSAT_6X82740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVSKNFMLSDLVSSEKIDQLPSHYIHPLSQRPNLQDVSHDSIPLIDLHDLYTPNRSHVVQQIGKACSNYGFFQVKNHGVPESTIANMMKIAREFFKLPEEERLKTYSDDPTKTTRSGTSFNTRTDKVANWRDFLRLHCYPLQDYIHEWPTNPASFRDNVAEYCRSARWLALRLIEAISESLGLDGDYISRQLGKHAQHMAFNYYPPCPQPDLTYGLPGHKDLSLITILLQDEVPGLQVLRNGNWVAVDPVPNTFIVNIGDQMQVLSNDKYKSVLHRAVVNCEKERLSVPTFYCPSPDAVIGPASELVTDDEPAVYRKFTYREYYEKFWSIELANEDCLDTFRDSSST >cds-PLY67358.1 pep primary_assembly:Lsat_Salinas_v7:4:60022382:60023014:-1 gene:gene-LSAT_4X40720 transcript:rna-gnl|WGS:NBSK|LSAT_4X40720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNFGFFLLLVLLATTSLEINAQTCKPSGGIRGRKPPPGECNRENNSDCCVQGKFYTTYTCSPPVTGDTKATLTINSFQKGGDGGGPSECDNQYHSDDTPVVALSTGWYKGGDRCHKYITINGNGRSVKAMVVDECDSTMGCDDDHDYLPPCTNNIVDASKAVWKALGVSEDNWGDLDITCPQSDVNLALLLKHQILFLFLLFHYKKQDL >cds-PLY71277.1 pep primary_assembly:Lsat_Salinas_v7:MU043991.1:26338:26529:1 gene:gene-LSAT_0X38140 transcript:rna-gnl|WGS:NBSK|LSAT_0X38140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYGSTGATHFDQLAKILTGYEITGARSSGIFMGILSIAVGSLFKITAVPFRAAVGRTAAYRW >cds-PLY85959.1 pep primary_assembly:Lsat_Salinas_v7:5:108200762:108201761:1 gene:gene-LSAT_5X45521 transcript:rna-gnl|WGS:NBSK|LSAT_5X45521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKQNAYCSLVLKKYKPWVEKGHPKAASLKTVPLLFPELCAELFDGNSASGNLSYATSQTPSGHGSSSFHVAPLHLMDAPSINIDGDDFFSNHTSDHFTQPSPSAASPSGNPNKRAKPSTPRPRAPSASPDPPSSASPKASIIADDLALEMQKALRHLTQGPTIPQCLEKLELLELDPIDPLRFAAYHIFGGTMNMREMWVNLPNDPRILRGWIEMTATSLRVLKDGKIVR >cds-PLY89981.1 pep primary_assembly:Lsat_Salinas_v7:8:207745948:207747443:-1 gene:gene-LSAT_8X130860 transcript:rna-gnl|WGS:NBSK|LSAT_8X130860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEESLQMASSPIITMLEQFHVSPPPATIGDRSLPLTFFDIVWLPYPPVNHLYFYEFPLSKTKFMEKIVTTLKNSLSTTLQHFFPFAGNLIIYPTCTRNPEIRYVEGDAVVVTIAESTLDFNDLVGNHPRDCDKFYPLVPLLGQATKVSDYVKIPVFSIQVTLFPNCGFSIGITNHHSLGDASTRFCFLKAWTSIARTGSDESFLVNGTLPFYDRVVKHPELDELYIKQAKVETFCDGYQPPCLSGPSDKVRVTLVLTRAMINQMKKWVLTHHPTLQYVSSFTVACGYVWSCFAKLRNDGLQVFGLAIDCRTRLVPPIPATYFGNCAAPCMAMAKAHLLTEKEGFATAVRLLGESLYKMLNDEEGIMKDAKTWFKFSFEGKPTTITGVAGTPRLEFYDMDFGWGKPRKYETISIDYSETISMNTTNESNQDLEIGVCLSRSEMEAFIGIFNGELENYM >cds-PLY87430.1 pep primary_assembly:Lsat_Salinas_v7:2:134376082:134378371:-1 gene:gene-LSAT_2X63540 transcript:rna-gnl|WGS:NBSK|LSAT_2X63540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGNEITVVMELKLEEDEDEFRSCCADEEELDVEEITKQGLKFCTDDEFLDEFSVRMFFKGVSISNHGNNCFNVSGIGVVMEGANKVPVIQVQKKLDFFVDESVADYLALMEGLLEATQNNIKRVFAFTDSTILFHQITTEKTLENPLHMALKQRVLEHVTNLDHFVLKYIPNVLEALHLAKVAIGMIHLPIKGDNSVQKCSICLEEKPTPITITMKCSHKFCSSCIKSHVEEKLESSQLPVKCPCVNCRYYISTPEFKSFLPVVSNTLLEDTMNSIGGDKFYCPFSDCSVLLDPCCDLNDNNNCVECPVCRRFICVKCGVTWHASMSCDEFQDRPPVAVDGGGGADIGRWRRCEMCERMIELTRDHMTCWCGHEFCYSCGAQYIDSEQTCECAILGSDGGGENDEFTLTQNIGSSTAIEEWAWDSCGSLSNLMDAYSDQERSQLALIQRFLAGGFSLGDHHTSMYQSQSQSQSQSPPHQCTDSGSSYLDNTMKDLHQLPWLERFVSVISDNYYEEYTQ >cds-PLY74640.1 pep primary_assembly:Lsat_Salinas_v7:7:33868083:33868650:1 gene:gene-LSAT_7X25601 transcript:rna-gnl|WGS:NBSK|LSAT_7X25601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Precursor of CEP14 [Source:Projected from Arabidopsis thaliana (AT1G29290) UniProtKB/Swiss-Prot;Acc:Q52K95] MARTCLALFIILVLFTSSSNGRKLLNTREASPVEMSLYLTALPKGTVPASTPSKKGHASTTDEKLITRHLIAIDRILRSVPSPGVGH >cds-PLY73066.1 pep primary_assembly:Lsat_Salinas_v7:2:29625209:29628415:-1 gene:gene-LSAT_2X12280 transcript:rna-gnl|WGS:NBSK|LSAT_2X12280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPMTDIPPMTTHLADHVSDPPPTLRPTQTHPPYTEMIIEAITALKDKDGSSKQAISKYIEKEYKNLPPTHSILLTHHLKRLRNEGQLIMVKYSYMFPPRSDQFQPPTSTDYHSTFGYTETGDVNHATDVNAPTISPPPGSTKRKVGRPPKNRSDSGIGVQTQMPNVQPYDAAPPPLSYQPQYQYDVDVGEDLPVNHGSGSDFQGNANATAGSEPLFASLGLGDDGGAVPSVPPPPPTENTAAKKGRGRPPKVKKGVGRPRRIGIGPVTVPLSGNVLAPRRRPKRAGRLNVGGVNGGVVESRRSGRPYVSRFGRLTGKPLNKPSNVGRGTAVIVTDPRQLVVYQELKTKYDLLQSKVKQFAIAVKPCIDPNYGSVALQALQELEALIAGADTNGPSHVQALEPEPEPEPEPEPEPVPF >cds-PLY98848.1 pep primary_assembly:Lsat_Salinas_v7:7:24499446:24504639:1 gene:gene-LSAT_7X20480 transcript:rna-gnl|WGS:NBSK|LSAT_7X20480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKENGGGGDSLPDEQRCKRSDGRKWRCGRPVMDGRTLCETHFLQGRHRQHKEPVPENLKLERQITNRKSEEKNPTEKSEIRANGNVDSSNLPRKRGKRKLLDEENTGESHETRQKGVVGSSNLHRKLRKRNQKEAKSKSSMDISEDLDDALRKMNLKKGDLQLDLIRGCLNRQIEKKKGKQPQKEDIVKEDIVKELKYGRLEISQSSPSTTPITVNNAALLNGKIGVSPSSSMPTRFFRSKNIDRVPIATMQILPSIKANVKAATKKCHWCRMCSYRVLVKCLTCKKQFFCEDCIRQRLLDKADVKKQCPVCHGTCNCKLCIRGKSKEVKSKVQDLVVYSGEGKFDKGQQHLYMIHLLLPLLEQVNQEKIIELDIEAKIKGEDQSELQIQNAIGSPKQRCCGFCKACIVDVHRSCGKCSYILCLPCCQEFREGHLHGGLRDLKNTTADKRRSLCTILWNWKTNEDGSINCPPKNLGGCGDNILTLFSHHPFNWTKDLEASAKEIASTSNFTKSFNLDTSSCALCDENDKNGEKVEILIENKCLYFSITQDQDLEDKNLEHFTKHWVKGQPIIVKDVIKNDLELNWDPVFMFCMYLERSAKSRNAKESKLKICSDWCEVELGRQQIFMGGKTHANVWHEKLKFKVWLSSGFFQEHFPSHFASIMHALPLQEYINPVLGVLNLAANMPPESQKTDLGPFVYISYGRPEDVMGGDFLTKLCYHAYDMVNILVHATELPISEKKLNKVKILLDKYSQDHNETPKKNKTKNKVDEQCGKSSFSSEVTQQSEMEDNTNEELTQIPKVDPVDPCVFSDDDSSIGDSDDEDMFEEEFGSPRGNKEEIVDTRGAQWDVFRRQDVSKLVEFLRKYSKELNTSSCSPKKVVHPILDESFYLDAFHKKYLKEEFDVEPWTFEQRIGEAVIIPAGCPYQVKKIKSCVNVVLEFISPESASMGIKLSDEIRQLPVNHKAKGKMLEVKKMTIHSVNAAVEEIRKVSQAE >cds-PLY83755.1 pep primary_assembly:Lsat_Salinas_v7:4:42041099:42042933:1 gene:gene-LSAT_4X29661 transcript:rna-gnl|WGS:NBSK|LSAT_4X29661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSSLRPDLTTKIYPYTEEEPLDLFDHLPDSLLLLVFNKIGDVKALGRCCVVSKRFHTLVPQVENVVVRVDCVISDDDATSASGASDKSRGPFSSLVRLVFGGIVKPIQALGNFLGPKRSSSSLSVGNNVDADDDLEHGGGVTHHSPTQVLKNFEEIRFLKIELPSGELGIEDDVLLKWRADFGSTLDNCVMLGASSVIHPTQNFTSSETNCDRVCGAGVGVVTDDNGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIAEHKTLDSLVLTDADRQGVLCMNKEQLEELRVKPLSASSASKRTLVPALNMKLWYAPFLELPNGTVLKGATLVAIRPSEQSTTQKEVSDGSWVSSAFEEPYGTAARMLVKRRTYCLEMNSF >cds-PLY65448.1 pep primary_assembly:Lsat_Salinas_v7:9:185491507:185493241:1 gene:gene-LSAT_9X114041 transcript:rna-gnl|WGS:NBSK|LSAT_9X114041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVFFKKMEPIPVGDRYAKAKIEEPNLRLPKALLKPPTELTDDLSYLKIFEENTRKAQQLAEAVASGAKLDRKVYRIRNKELVKAIGEEYEIMKLIHRASKATIGLIADAETNLYSHSYLDLLGTVEEVQTAEELILDEILATYSSVSFPVILMPPTVYHDQMIIPAQKVVHIDGIYGSSYVRMEMESGAWIKVGKNPGGSGGEKLVNIFGPRQNVIKAMWLIQTTKDKEASCGEEASMALFDCFQSVFCSSFYSSNITSFKSAMFDQLS >cds-PLY85843.1 pep primary_assembly:Lsat_Salinas_v7:3:188966517:188966708:1 gene:gene-LSAT_3X113841 transcript:rna-gnl|WGS:NBSK|LSAT_3X113841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGKGGKGLLAGKTPAGATTNKGKDKKTPVSPSADFPIDRFVNTEDDTLTLVDRKSGSIEARS >cds-PLY92324.1 pep primary_assembly:Lsat_Salinas_v7:9:179848191:179848924:1 gene:gene-LSAT_9X110921 transcript:rna-gnl|WGS:NBSK|LSAT_9X110921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPPNSVQCFGRKKTAVAVTHCKAGRGLIKINGVPIELVQPEILRYKAFEPILLLGRHKFAGVDMRIRVKGGGHTSQIYAIRQSISKALVAYYQKFVDEEQKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >cds-PLY71736.1 pep primary_assembly:Lsat_Salinas_v7:3:45792410:45794083:1 gene:gene-LSAT_3X35341 transcript:rna-gnl|WGS:NBSK|LSAT_3X35341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFKCSLFLLIFCFLGSTFGVHHARTHLQNLNPNASSLLTGIEIPNHSSFNEISDSSSSSSSSSDCGFSSPEKTETLDGRETGRNEFESVKLHLKHRSPGQTSMPAKESLFHSAAGDVTRIQTLHKRSLEKQIRNTVMNPKKHDESSAVKLKPAVEEAPAVMESYAGKLMGTLKSGVTLGSGEYFMDVFLGTPPKHYSLILDTGSDLNWIQCTPCHDCFEQTGPHYDPQQSSSYRNISCHDRRCSLVSSPELSRPCKAEDESQSCPYFYWYGDSSNTTGDFATETFTVNLTTNVDGHKQQHKEVQVNNVMFGCGHWNRGLFHGAAGLLGLGRGPLSFASQLQSLYGHSFSYCLVDRDSNSSVSSKLIFGEDKGLLNHPELNFTSLIGGKEAPVDVFYYVHIKSVIVAGETLDIPEHTWDLTADGFGGTIIDSGTTLSYFADPAYNIIKKAFMEKVKGYPLVNDFPILEPCYNVSGIADYKKDLPSFGILFDDGAVWNFPVDNYFIKLEPEEIVCLAVLGTPRSSLSIIGNYQQQNFHIMYDTKKSRLGFAPTRCADV >cds-PLY82208.1 pep primary_assembly:Lsat_Salinas_v7:1:68217266:68220334:-1 gene:gene-LSAT_1X59961 transcript:rna-gnl|WGS:NBSK|LSAT_1X59961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQNGKLMPNLDQNSTKILNLTVLQRMDPYIEEILITAAHVTFYEFNVDLNQWSRKDVEGSLFVVKRNAQPRFQFIVMNRRNTENLVEDLLGDFEYELQVPYLLYRNPAQEVNGIWFYNPRECEDVANLFTRILGAYSKVPLKPKVNKSEFEELEAVPTSSVIEGPLEPPFTTSSSTDIPEDSSFANFFGNTMNLGHHPSNSVNSIPPYHTSTSIPLHSRVASSPSLNHTPLQMPSFPSSTSGIGIPLHESQDQVNNINNNSTRVTNLIKPLSFFTPSPTPTPTPLMTHSIPPSIPGAPVQPRSHGIPLLQPFPPPTPPPSLTPGSSSSPNYGSFSREKVRDALLMLAQDNQFIDLFHQALQKVHQQ >cds-PLY80598.1 pep primary_assembly:Lsat_Salinas_v7:6:13383193:13385713:-1 gene:gene-LSAT_6X9881 transcript:rna-gnl|WGS:NBSK|LSAT_6X9881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRQKGNVKKTHAWTPGSGRNPDAYVLKDQETRYRQRPLDLMVNSEVRFIFDTRAKIVEYITSFLKNLDFMEVETPMLNMVAGGAAARPFVTHHNDLDMRLFMRISPELYLKKLVVGGHDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYYDLMEITEQLLSDPIEIDFTPPFRRIDMIGELEKMANLNIPKDLASDEANKYLADACAKFEIKCPPPQTTARLLDKLVGHFLEETCVDPCFIMDHPEIMSPLAKCHRSKPGLTERFELFINKHELANAYTELNDPVDRQSGDEEAMALDEAFCTALEYGLPPTGGWGLGVDRLTMLLTDSLNIKEVILFPTMKPQDERFLVSCQAPPSTTLDNIEVIVDRIHKEIKEELKADTKKQEIVKLYDEIDAKKQEIAKMYNEIIKLNQGNNLPSS >cds-PLY93706.1 pep primary_assembly:Lsat_Salinas_v7:2:202085757:202088409:-1 gene:gene-LSAT_2X123461 transcript:rna-gnl|WGS:NBSK|LSAT_2X123461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSASVISVVGLIFSILYTAHAFGGSATTVSISYGSTVTVCGIVAGQPSQRIQCWRNGQVFDVFSNISFESIAGGRDVFCGVRSGGSSLVCWNPSLTPKRLYYNETVLLSQLSIGDTQICSITNSSGWNVYCWRYGDGIPTQNHHLQSISSGLGFTCGVDLRDDAGIICFGTNSEAANAIQAAFTNFRMLNVAVGGNHACGINSTGFLICRGNNDYGQINVPNHSSFEFSALALGANHTCALRKLNGSVVCWGGGGGIMSYNILGVSFESIVAGLDSTCGLTTSNLSVICWGEGWVRNASYPLDFELPLRQILPGPCVTSDCSCGIYTQSQILCSGYGSICKPCDISSFFPPLLAPPPPPLGDGNAPGSPPSKALRKGLLAFAIVGSIGAFAGICTIIYCLWTGVCCGNKKIHNSVQPTITASTLNPPQFSNSSPVSRSSTIRRQASRAFRRQRSGTSSKHADREEEFTFADLALATDNFSQENKIGAGSFGVVYKGKLIDGREVAIKRGETSQKTKKFQEKESAFDSELAFLSRLHHKHLVRLVGYCEERDEKLLVYEYMRNGALYDHLHDKKNVEKNSSLLNSWKMRIKISLDAARGIEYLHNYAVPPIIHRDIKSSNILLDANWVARVSDFGLSLMGPESESDHRATKAAGTVGYIDPEYYGLNVVTAKSDVYGLGVVLLELLTGKRAIFKSDDNGGTPISLVDYAVPAVISGELGKILDKRVGPPEVNEAEAVELMAYTAIHCVNLEGRERPTMTDIVANLERAVVLCDDSHGSISSGQISIMSE >cds-PLY63202.1 pep primary_assembly:Lsat_Salinas_v7:6:89174923:89184681:-1 gene:gene-LSAT_6X60921 transcript:rna-gnl|WGS:NBSK|LSAT_6X60921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNHSFTPHNQLSNNRVIPHNGTMEKIDKAVVKARRELIAAGENVSALKVSQAALVILQADSWDSLGVQMQHVPCLYRLMLIEGKINALIHCFVEVWKITTLFDLEMAICKNEGVQQFEELELGPLIRHPLINHYFCVSSDSTAVFKISSREIISFLVEYMDIHKGKEVLVNEFLDFIANKRSVDSKEKLHVRIQSLGMHITLIRKAKPLENATLSKYLESSGKQKKNRPLFTSQKKQLDENFGAISQRLKSFSSENGKHIRFLSSSEDEGVDVESDENEDETCLTSQSKSKSEKSSRKKKRSNRVSTCPYPSVNEEKTRLGITSEKEEKPNEAVASVNKKRKSDEIACNDFRTLKLRKRDVVMMKNVSLIDYGHIANTLRNESIMAFVNLWKDACKGKEASEVFIQMFEFYGPSKLPKKKKTKSSSQETSKEVLIGQLCQSYPLLGLINVAVKSIKDGMWDSMYDTRQTFDQQSAEDHTENATIQTEQAANVSLEDILKKGSEFFKDNHGTLFKSLYKCEVWLTQQFGVENFESLGHGDYLTFLERNISSLPPSFQKIFQSDGKHSKPSLEAYMSNQLLNVLVAQASLHNKDLTKKNVVELLTKQFPLIPFKLDKEGSLKALENVGKGNKSNPVSKGVLFSAALLGPSVNNQTHVTSKDAMEVLIRAPMLTDLNTWSHWDVLFAPSLGPLTLWLIKEIKANELLCLVTKSGNVIRIDHTSTVDSFLEASIRGSSFQTAVNLLSLFALYGGEKNVPLSLLKCHAEKAFKVIMNHDSSKDKTQLNNKIPVASKFMLECLAYLPIEFRSFATDVLLSGFRSIVKDASSVILSECRHTEHRVILHELGFSLGVMEWIEDHRIFSVSDAIKSSEFDQKEDASTSYTPVERKGVLSTKGNVKTEDCQVNDVIGKKLDHKKVKKHSSRSNNEKESTKIIESIRREEFGLDPSIEEEDTILKKQHARLGRALHCLSQELYSQDSHFLLELVQNADDNVYPCDVEPTLTFILQEEGVIVLNNEQGFSVENIKALCDVGNSTKKEPSAGYIGKKGIGFKSVFRVTDAPEIHSNGFHIKFDITNGQIGFVLPTIVPPCDIDHFSKLVSMNNEKHWNTCIVLPFKSKKHEPFSVENLISMFSDLHPSLLLFLHRLKCIKFRNLLNDSLVIMRKEVVGDGIVNVSFGEQKMTWFVKSSKLQSNHIRHDVQTTEISMALMLEEDLNNGNYIPKLDHQPVFAFLPLRSYGLKFIIQADFILPSSREEVDGDSPWNQWLLSEFPNLFVSAERSFCSLPSFKDNPAKGISVFMSFVPLGGEVHGFFSCLPRMIISKLLTSNCFLLEGDNKKWVPPCKVLRNWTDEVRELLPNSLIKEHLGVGYLNKDTVLSDSLACALGIEECGPKILLQIMTSLCQKHSLKSKGINWLCSWLNVLYLMLVNVSVSDHSDDVINTLVQLPFILLSDGKYSSIQEGAIWLNTDRVDSKHGLEAFKNLHPKLRIVNSALLNNTHVENITQMLYKVGVQKLSAHEVLKVHILPAISDEKVVSESKELMTEYLSFIMFHFESSCPDCIPERENLLSQVRDTAFISTNQGYKRLFDVPIHFGKHFGNPIDTRKLIHGTDMQWFEIDNNYLYHPISKSLNGAKSKWRKFLQELGVTDFVQIVKIEKQIADIPHTILKNMMLDDDCISSGSIVVDWESQELTGLLSSGDKNKGKHLLEVLDTLWDDYFRDKLTGFCSINGHNKPFKSSILRTLHSVQWLASSMDDQLHFSKDLFHNCDTVSAILGDFAPYAIPKVKNVKLLSDIGLKTTVTLDDALSVLQVWRTSKKRFKASISQMTKLYTYIWNEMSISRQKIMDSLNSQAFIFVPHSHTSTNEVVSGVFLSPHEVFWHDSTGSLEQLKSADPKVDQNLTNSPLSKMLCNVYPGLHYFFVTEFGVAENPPLLGYLQSLLQLSSTVLPSQAAKTVFQVFKQWNDGMESGILSSEDIGYLKKSIHKKKTTILPTVQDKWVSLHEYFGLLCWCDDEHLKKEFKNLNNVDFLSFGDLNPEEKQILQDKISVLLRKLGIPLLSEVVTREAIFYGPTDSSFKASLITWALPYAQRYVYTNHPNEYSRFKNQKLQIIVVENLFYKNIIKRFKIESNKRHDCSCLLQDNILYTTRDSDSHSLFLELTRFLIPRNPQLHLANFLQMITTMAESGSTQDQMESFISNSQKLSNLPSQEPPWCIAAMSSPENEIPTTSGLPLDHPPKPASASKKSGTNSSWPPVNWKTAPGFEHAVKTKPCNKSEVIEQDDDWIIEENETLNTAPMILEEKDKLDSELDYRKNNESSSSSHGIVREQLSLGPVGQGTPQQVITGRTGEDVAYKYFSGKFGEKNVRWVNEVNESGLPYDILVVGEDNKKKEYIEVKSTSSVRKDWFEITVREWQFGVEKGDDFSIARVVLSDGKLVQITIYKNPVKLCQERQLKLALLSGKQ >cds-PLY93324.1 pep primary_assembly:Lsat_Salinas_v7:9:63666036:63668844:1 gene:gene-LSAT_9X54221 transcript:rna-gnl|WGS:NBSK|LSAT_9X54221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYAHLAKVFRNPWKIAREINQENGDGERSTSLSRYRQKPKAFVKRVKGDQSGEKHSNRYKTLMERPDIQAIKLTHVSNDVGVTGRPNVDWFEHDSGHPEGSAIGFKGEDEPNFIGSFYSKTKAMSLRGTRWQKATGETPPASKATSCIGGVDLGGSNSFWDSYTNDLWALSFGQFLHFRSINVDCPSNYSNKTCDFFSDPCEAWVPTLVITKSSFVLHNYYYDIVK >cds-PLY98659.1 pep primary_assembly:Lsat_Salinas_v7:5:303426381:303426969:1 gene:gene-LSAT_5X163040 transcript:rna-gnl|WGS:NBSK|LSAT_5X163040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKALMKFREEQKPLFRAKSPLKILGLPFQSSIVARESKELSLNLNTYFESGPSLKFFVHFKPQFGDFIVKNVQSSVFKKQITGVADEDAAVKGVLSDVEITAMAAVQLRENATLSFRWGFRVPTTGDSSMVQMKTNNSMTGIPLQKLPCLS >cds-PLY96206.1 pep primary_assembly:Lsat_Salinas_v7:3:92907607:92909816:1 gene:gene-LSAT_3X68941 transcript:rna-gnl|WGS:NBSK|LSAT_3X68941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRLRFENSCEIGVFTKLTNAYCLVANEGSESFYSIFESELADVIPVVKTSIGGTRIVGRLCIGNKKGLLLPHTTTDEELQHLRNSLPDAVVVKRINEKLSALGNCMACNDHVALTHTDLDKETEDMIADVLGVEVFRQTIAGNVLVGSYCVFSNRGGIVHPHTSVEDLDELSTLLQVPLVAGTVNRGSEVIAGGLTVNDWTAFCGSDTTATELSVIENVFKLREARPQAIANEMKKSLIDTYV >cds-PLY64618.1 pep primary_assembly:Lsat_Salinas_v7:6:36952317:36958432:-1 gene:gene-LSAT_6X29141 transcript:rna-gnl|WGS:NBSK|LSAT_6X29141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL7 [Source:Projected from Arabidopsis thaliana (AT3G53090) UniProtKB/Swiss-Prot;Acc:Q9SCQ2] MDGLRKQQVSLRGSSAKEITRDALLEKVTQEREFRNYMRRASAASLFIQRVWRRYASTKMAAANLREEWQEMLNSHPVPMSRAWISNNLLKPFLFFITTLATGRQKFEDRDVECMQICFKILLESINSSDPQRNFCSLATSSIEERRTWTYQAKKLISLCLLILSECDYSYQGGHQYIALTSMAMRFVVSLTDLKGWKTLDDVTLQEAHTSVKDLVSYMCSEKSRLYISIRRYISRLEVPLSSTAGHTHTHTHTDDRFLITASAITLALRPFNSGNMNMKENGLQDLQFAVEQYCVLLFTIPWFTQRLPAVLLSAIKHKSILSPCFMQILISKERVFDEISKLNNLEQSSTPNVLPPVGWTLANFISLATGVDNNSKDSGRFTQDLDFSSYLHVVIILAENLLTWFEGVEWNQNQNQNQNQSLQSNMSYKDLLNPVCQQWHLMKLLTLENDSYELTDVAYYYSCMLRIFTILDPVVGSMPILNMLSFTPGFLSNLWESLENSFFPKSKSTPDANFNITEDSRDRNRISKKKKKGLSKNGSTKWANVLNKITGKSQGDIDNTESVNNIITQIDDSSDVWDIEPFRKGPEGLTTDSSHLLHLFSAVYAHLLLVLDDIEFYEKQVPFTLEQQRRIASMLNTLVYNTLSYNITWNNRPLMDASVRCLHLLYERDCRHEFCPHELWLSPAKKNRPPIAVAARTHEVLLSTNLRSSDDSFPISSMHSVITTTPHVFPFEERVEMFRELIGMDKVSRRMAGEIIGPGPQAVEVVIRRSHIVEDGFQQLNCLGSRLKSSIHVSFVSECGLPEAGLDYGGLSKEFLTDIAKAAFAPDYGLFSQTSTSDRLLIPNSVARSMDNGIQMIEFVGRVVGKALYEGILLDYSFSHVFVQKLLGRYSFIDELSALDPELYKNLMYVKHYDGDVKDLCLDFTVTEELPGKRHVVELKAGGKDVIVTNDNKLQYIYAMADYKLNRQVLPLSNAFYRGLTDLISPSWLKLFNASEFNQLLSGGNHDIDVDDLRNNTRYTGGYTEGSRTVKLFWEVIREFEPKERCLLMKFVTSCSRAPLLGFKHLQPSFTIHKVACDLPLWASFGGQDVDRLPSASTCYNTLKLPTYKRSSTLRTKLLYAINSNAGFELS >cds-PLY82724.1 pep primary_assembly:Lsat_Salinas_v7:2:147613284:147613505:1 gene:gene-LSAT_2X74061 transcript:rna-gnl|WGS:NBSK|LSAT_2X74061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPFSELNKKSSTEPEAKYASDIKDDDEKEDNDAEEPKDDVEDRDFSGEEEAGDNDDDEGDAEEDPAAKDHQL >cds-PLY87660.1 pep primary_assembly:Lsat_Salinas_v7:1:168919840:168922800:-1 gene:gene-LSAT_1X113080 transcript:rna-gnl|WGS:NBSK|LSAT_1X113080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVGISFGRSLIVPSVQELAKQSITKIPPRYVRQDHQKPLLTSSDDTFTLSLPVIDLHSLFSTDSESSTYSSELSKLHTAAKEWGFFQVINHEISESLLEDFKREVLSFFKLPMEVKQKLWQKEDSQEGFGQLFVVSEEQKLDWCDMFYVNTLPHNIRNSKLFQKLPPVLREKLEAYSTNMKKLAKAILGEMAKALGMEQDEITELFEDGVQLMRMNYYPPCPEPESALGISPHSDATGLTILYQLNETDGLQVRKDGNWVSVTPLPNALIVNIGDIMEIVSNGVYKSIEHCATVQSNKERLSVATFYSSNMGMELGPAQSLVAQHNVAKFQRLTLEEYYKGFFDRKLDGKSYLQFMKLEDLNEKVI >cds-PLY81572.1 pep primary_assembly:Lsat_Salinas_v7:1:133967515:133969483:1 gene:gene-LSAT_1X100740 transcript:rna-gnl|WGS:NBSK|LSAT_1X100740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVNPFSSLTSGDKQWVDHISKTLKHQLAINVDTPSLSIFQIPQILKDENLEAYAPQRIGLGPNHHFKPELYQNMEQNKLTSVKRVLKSNKVEVSVDQVVDNVKEIIPIICACYDLYLDADDDTLAWLFTIDSLFFIDLLGAYIDQQVAIDAKDIIMLENQIPIIVLKEIQKVLSSSYDEGQEDFWESKFGFFCKCHSPFILSKEKIDFSRVNHLLDYMYQSIVKNEESISPEVYFQKSGSGPSEKDDKLELLEMFIQLMALIPGTKPFLQIFESIRKNISESIEKMVTAEEIKVPSVSELRDIAGVKFHLSPTDGGIRNINFVGENERFCYLPLITLNIDSEVILRNLVAYEQLMAKKSFTTGHGLELTEYVDFMCGIIDSDKDVRLLREENIIIGDLGDEDIVKLFNGIARSQGIMNRASDLRKTVDQLNKVYQSTPRVWVQKMVEKQLRASAKMITFLISISSTLILIREVYLKTYGSTPPNMIFDDIVRTILSGFLH >cds-PLY98416.1 pep primary_assembly:Lsat_Salinas_v7:7:90108471:90108743:1 gene:gene-LSAT_7X62660 transcript:rna-gnl|WGS:NBSK|LSAT_7X62660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDTPATNIGGDAHQEMIAAFSEITSTTKEEASFFLESHNFDLDSAVSTCFETAAAVKEAPMSALPSRNPNRPSDTHSPSFSPSSSPSCS >cds-PLY86269.1 pep primary_assembly:Lsat_Salinas_v7:8:55485779:55487311:1 gene:gene-LSAT_8X41221 transcript:rna-gnl|WGS:NBSK|LSAT_8X41221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFADSQRDKSNVSPLLPLIFSEVSSSIDTFGGGSSGGASSPPGFLDELFRDSDYDSMETILKQLYEDLRGTVLKCSALGNFQQPLRALMYLISFPVGAKALVNHQWWIPKGFFINGRAIEMTSILGPFFHISALPDQSFYKSQPDVGEQCFMDSSTRRPADLLSSFATIKSVMNNLYDGLAEILRAHIQVDPMSSASSGMFVNLSAVMLRLCEPFLDANSTKKDKIDPKYVFYGSRLDFKELTALHASLEEVTEWLNKNKPSQTMKKTDFKDLVQDIQRREDSLATLKTMQEQTPSPRVTQEIARIEKEIET >cds-PLY92395.1 pep primary_assembly:Lsat_Salinas_v7:2:155211121:155211578:1 gene:gene-LSAT_2X81061 transcript:rna-gnl|WGS:NBSK|LSAT_2X81061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPCISKAEKEFVALENCVGNSPVSMYIHIGGQFPNKLNGCATDFVRPTLTITKVVEANSYPNCLLKKLHRMPSVPMQKTTHFQGKDDIGVIPGDCLGCGKYRVWGKP >cds-PLY97575.1 pep primary_assembly:Lsat_Salinas_v7:5:234883891:234884454:-1 gene:gene-LSAT_5X113741 transcript:rna-gnl|WGS:NBSK|LSAT_5X113741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRNAALLTALICIIFSSVAAQSPSSSPTATPTTTTPPXXXXXXXXXPPPTVASPPVSTPPPVATPPPVSSPPPVATPPPVSSPPPVATPPPVASPPPVATPPPVASPPPAATPPPAASPPPAPVPVSSPVVTPSAAPSPLTSSPPAPPTGAPSPAVTTTGVSPAPSATDVVLTSHLTPFTFLLLQI >cds-PLY86794.1 pep primary_assembly:Lsat_Salinas_v7:5:16383644:16385768:1 gene:gene-LSAT_5X7881 transcript:rna-gnl|WGS:NBSK|LSAT_5X7881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSIDNLPDQLVWEIFNRIKNTKDRNSISLTCKRFHTLDNEQRNYIRIGCGLNPAINALTSLCHRFPNLNKIEITYSGWMSNLGKQLEDQGLSILSKTCPLLTDLTLSYCTFITDTGLSYISSCSKLSSLKLNFTPRITGCGIFSIIVTSKNLKTLHLIRCLNVTNLEWLECLGKLKTLEDLSIKNCRGIGEGALIKLGPTWGNIKRLRFEVDANYRYMKLYDRLAVDRWHTQWVPCDNMVEVKLVNCIISPGRGLACILDKCKNLEKIHLDMCVGVRDDDIIRLAENSKNLRGISIRVPSDFSIMENPLRLTDGSLKAIAQNCKLLESVALSFSDGEFPSLSSFSQNGILNLVKMCRVKELVLDRVYSFNNVGMKALCFAENLEILELVRCQEISDEGIEFVGRYGNLRVLRLTKCLGVTDDGFKGIVGLGKLEVLVVNDCPQVSLRGVEGAAKYVSFKQDLSWMY >cds-PLY96179.1 pep primary_assembly:Lsat_Salinas_v7:8:101423240:101426400:-1 gene:gene-LSAT_8X69060 transcript:rna-gnl|WGS:NBSK|LSAT_8X69060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFPSHQLNNGLYVSGRPEQPKERAPTMSSTAVPYTGGDIKKSGELGKMFDVEHSQHTTNRPRKSGPIANAPLRTGSFGGGSSSHSNQPPSNAANRMGSSGGAVPGSASMKKTSSGPLNKHGEPIRKSSGPQGGGMPSSRHNSGPLPPVLPTTGLITSGPLNSAGAPRKVAGPVDSTGSFKSRSSMAKNQAVTHLSKKDGGSLSSSPWSACWGGKSLVSFIDGYPDAELRTAKNGQFVKVSGVVTCGNVPLESSFQRVPRCVYTSTSLYEYRGWGSKPANPTHRRFTWGLRSIERHVVDFYISDFQSGLRALVKTGYGARVTPYVEESVVIEVDQSNKDSSPQFIRWLNDRNIPPDDRVMRLKEGYIKEGSTVSVMGIVQRNENVLMIVPPSDPIPTGCQWSQCLLPVNLEGIALRGEDSSKLDVIPV >cds-PLY91323.1 pep primary_assembly:Lsat_Salinas_v7:4:246716302:246718589:1 gene:gene-LSAT_4X131100 transcript:rna-gnl|WGS:NBSK|LSAT_4X131100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIATGVSLSINNLDPGRISFLKQDATIFMKNAASRNELWDIVIMDPPKLAPRRKEVAIEAAMSAIIHLKDSLNEWDSKVGDGDCGSTLARTCYNFYQSTPTKLAGENYFLHSGQLMFKSLFVIYTRWKTTNFLTMRICFLHDI >cds-PLY75621.1 pep primary_assembly:Lsat_Salinas_v7:1:144818109:144820081:1 gene:gene-LSAT_1X104821 transcript:rna-gnl|WGS:NBSK|LSAT_1X104821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTLLCLVLLHAVGALGFPATLKLERSFPTNHGVELKQLTERDGLRHHRILHKYADPNVVVGFGVYGTYDPFDAGGCSTSETEHISTTERTLDGIMGLGRQSISVISQISSQGIAPNSFGHCLAGGDGGGILAFGTPVMPDLVFTPLVKSM >cds-PLY78014.1 pep primary_assembly:Lsat_Salinas_v7:9:43903580:43905141:1 gene:gene-LSAT_9X40341 transcript:rna-gnl|WGS:NBSK|LSAT_9X40341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENPNSRSLSLIHSVSPYPTHSNSITDFNSLFTHINTVILSSPSPRQPSTNLKFASTSISLETSQSQFDKPQSLNWLKPTTRGSPQSIALFKTLSVFERALIGAGAGGIAGAFTYVCLHPLDTIKTKLQTKGASKIYDNTLDAVVKTFQSKGILGFYSGVSAVIVGSTASSAIYFGTCEFGKSVLSKLPNFPAVLIPPTAGAMGNIMSSAIMVPKELITQRMQAGAKGRSWEVLLRILEKDGVLGLYAGYSATLLRNLPAGVLSYSSFEYLKAAVLRKTKQPNLEAFQSVCCGALAGAISASLTTPLDVMKTRLMTQIHSEKISYGVSEMVKQILKEEGWVGFTRGMGPRVVHSACFSALGYFAFETARLTILHEYLRRKELELASSGVVTST >cds-PLY71908.1 pep primary_assembly:Lsat_Salinas_v7:3:25974160:25979431:-1 gene:gene-LSAT_3X18080 transcript:rna-gnl|WGS:NBSK|LSAT_3X18080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVCCGDDEQQEEWAFPSPLKNFPTIKFLSMEVKYEIDDDEDEKALEDIVREHSGRDPIEIEGIGEIRMVRLNRRVRLEQEGKNREMKIGENDEIKKPQS >cds-PLY67877.1 pep primary_assembly:Lsat_Salinas_v7:5:5586699:5588407:-1 gene:gene-LSAT_5X3260 transcript:rna-gnl|WGS:NBSK|LSAT_5X3260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAFIFSLLPPFIIIIIILYKTYNQPKKSKLPSGQMGLPWIGETIGFYRAQRRNQLFNDFFQPRIRKHGKVFKTRLMGSPTVVVTGASANKFFMWNEFKLVISSWPTSSVELMGKNSIMEKQGESHRCLRGIIASTLTPSGLQEIVPKMCDSIQKHLQKNWNHQDEISLHRSTKMVTFTIVLECLFGIRIEPELMFPVFEKVLEGVLAAPVNFPGTKFWRAKKARGEIEKVLVDEVRRKREAMEGGQEEEESGMLLSMLVAALIRSEITEEEVVDNVVLLVFAAHDTTSYAISMTFKMLNNHPNCHFRLMEEHEEITRTKKPGEILSIEDVKKMEYTWQVARETMRLFPPIFGSFRKATTDIEFEGFTIPRGWKVLWTAYGTHYNEEYFPDPMRFDPSRFVDPVQAYSFIPFGGGPRLCAGYQLAKLNILVFVHYVVTRYNWSLIYPQEPIVMDPLPFPSKGMPIKISPRSHN >cds-PLY64370.1 pep primary_assembly:Lsat_Salinas_v7:4:27853796:27856218:-1 gene:gene-LSAT_4X19561 transcript:rna-gnl|WGS:NBSK|LSAT_4X19561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGINDYSYSNTRLMFPSSSSEISHHQHEPSWSTLPQVFHQNSSFNPLLQYPTREHDPFIFSPPPPPPPPPLSCYGGLFNRRVPGGLQFAYEGGTSSSDHHLRLISESLGHAVQPGSGPFGLQTDIGKMSAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELKRQTSIIAEQSPVPTEIDELTVDNASDKDGKLVIRASLCCEDRSDLLPDLIKTLKALRLRTLKAEITTLGGRVKNVLFITAEEDHLNGNDDQHMVNYSISMIQEALKQVMEKTNGDESSPGSVKRQRTNNINILEHHRSL >cds-PLY64437.1 pep primary_assembly:Lsat_Salinas_v7:4:249240882:249243236:-1 gene:gene-LSAT_4X132241 transcript:rna-gnl|WGS:NBSK|LSAT_4X132241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTIVCFGEMLIDFVPDVAGVSLAESQGFLKAPGGAPANVACSIAKLGGSAAFIGKVGKDEFGFMLADIIKKNGVNADGVLFDEHARTALAFVTLKKDGEREFMFYRNPSADMLLKESELKMDIIKQAKIFHYGSISLITEPCRSAHMAAMKAAKQAGVLLSYDPNVRLPLWPSAEAARQGIKSIWNEADFIKVSDDEVEFLTQKKSNDEEAVKSLWHDGLKLMVVTDGEKGCRYYTKSFKGKVPGYSVKTVDTTGAGDSFVGSLLVSMAKDTSIFTDEAKLKQALAFSNACGAICTTKKGAIPALPSVSDAQSLMSASK >cds-PLY94100.1 pep primary_assembly:Lsat_Salinas_v7:8:9850715:9859693:1 gene:gene-LSAT_8X8420 transcript:rna-gnl|WGS:NBSK|LSAT_8X8420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTACLFKGPYVSKYQSFSPPFLSPPHRGVLITPKSRPRNTTTSGKSFVIRAMPDSSSSSPEIDNNGALRKFKLNESSFLASLMPKKEIGADRFIEAHPEFDGRGVVIAIFDSGVDPAAAGLQVTSDGKPKVIDVIDCTGSGDIDTSTVVKADANNCIRGASGASLIINPSWSNPSGDWHVGYKLVYELLTDTLITRLKKERKKKWDEKNQETIAVAVKQLEEFEKKQTKVDELISKRTREDLQDRVDYLKKQSDSYEDKGPVIDAVVWHDGKVWRVALDTQSLEDDPKCGKLADFVPLTNFKIERKYGVFSKLDACTFVTNVYNEGNILSIVTDSSPHGTHVAGIATAFHPQEPLLNGVAPGAQVVSCKIGDSRLGSMETGTGLTRALIAVVEHKCDLINMSYGESTMFPNYGRFVELVDEVVNKYRVVFVSSAGNNGPALTTVGAPGGTSTSIIGVGAYVSPAMAAGAHALVEAPPEGLEYTWSSRGPTADGDLGVYVSAPGGAVAPVPTWTLQRRMLMNGTSMSSPCACGGVALVISAMKAEGIRVSPYSVRKALENTCAPIGCLPEDKLSNGEGLIQIDKAFEYAKKAADLPCVWYKITITQAGKTTASTLRGIYLREASYCHQSTEWTVQVEPQFHEGASNLEQLVPFEECIELHSTGKDKDVVKAPEFLLLTYNGRSFNVVVDPTKLSDGLHYFEVYGIDCKAPWRGPLFRIPITITKPKIVTARPPLITFPGLSFQPGHIVRKYIEVPYGATWVEATMRTSGFDTSRRFYIDVVQLSPLRRPIKWESVAIFSSPSTKSFTFSVEAGRTMELAIAQFWSSGIGSQETAVVEFEIAFHGINLSRSEVVLDGSEAPVRIEAQALLSSEKLIPIAKLNKIRVPYRPVDAKMSALSADRDRLPNGKQILALTLTYKFKLEEGADVKPQIPLLNNRIYDNKFESQFYMISDSNKRVYAMGDVYPESAKLPKGEYSLQLHLRHENVQYLEKLKQLVLFIERKLDKEAVQLSFYTQPDGPVMGSGSFKSSTLDPGSKEAFYVGPPTKDKIPKNSGEGSVLVGAISYGKPSAEVTDQGNDPEKNPVSYQISYQVPPTKVDEDKGKSSNSSKASTKSVSDRLEEEVRDTKIKVLGSLKQGTEDERAEWKKLSVSLKAEYPKYTPLLAKILEGLVSQEVEDKIHHYEEIIGAANEVVESIDRDELARLLSMKGDPEEEEFEKTRKKMESRVEQLVEALYQKGLALVELASLKQGEMAALAANLGAEVVDKPTTTTTTTDGDLFEETFKELQKWADKKSPKYKTLVIIRERHSGRLGTALKVIGEMMEEEGDPPQKKVYEERICLLDEIGWGHLVTYEKQWMLVRFPPNLPLF >cds-PLY94238.1 pep primary_assembly:Lsat_Salinas_v7:8:69278287:69284666:1 gene:gene-LSAT_8X50701 transcript:rna-gnl|WGS:NBSK|LSAT_8X50701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPSAPAFSSQLWKYHVFLSFRGEDTRKNFVDHLYSALEQQGIYTYKDDETLPRGESIGPSLVKAIEESQIAVIIFSENYADSSWCLDELLCIMNCKDKKGQVVMPIFYDVDPSDVRKQKRKYGEAFSKHELENKNKVKSWTQTFVDNPWGWLFAPREQKQKHRESCSKQELENKKKVESWRKALVDASNISGWEPKHIANGHEAKVINEIVDTISQRLQLVTSSANENLIGLAIRMQHFKSDLQIGSGGVRMIGIWGVGGGGKTTLASSIYDEISSKFDGCCFVKNIRDESSKNGLEKLQEIILSSVLKKKQVNVIWRVEEGRQVIMDRLCHRKVLIVLDDVDQLDQLKALAGSHNWFGEGSRIIITTRDEHLLNAHKVNVMHNISLLNDDEAIKLLRKHACLDYRPMEGIEDYEQLSKEVVSYAGGLPLALTVLGSFLCDKNINEWRSALARLKEIPNDNIIETLKISFDGLTRADKHLFLDIACFFRSVKKDTAMEMLNACGFHSVIGVKVLIQKALITISEDGEFDMHDLVQEMGHHIVRGKHPKNPEKHSRLWRKEDLLKICAMDATMKLDKVEAIKVESDRLLEGQVLPPISANMKNLRYLFWIGDPANPLLNNFPPRELCCLILVGGLQKQLWEGCKYLPALRIIELYCLDHLIRTPDFDGLPNLEKLTLESCMHLEEINPSIGHLESLVLLSIERCESFKIFPPITRLKNLKTLIFTQCYKLFKPSEIQQQNMENLPHFHLDKEFCLEEPCLPPNNINHHIGSLLFHNLQEVGFLRKLDLSQCNLEDEDIGSTVCELPNLQELNLSRNKFSRLSFSHCRLPRLKWLNVRNCYDLVELTELPSSIAAVMADYCDSLETLGDISNCKWLWKVSLWIGTKLCGDILLGSMLQGNAIKDHFISVHLPHKISKAFVSRLFGCNTCAVHLLHDRGSTFTLCLPHDWYNDFCGFLMCVVTRYGLKKINIIIKPERDDQDPPFEVSQESNGGALEHDTSFIGYVSFSSLKRTTLLNPSYNILSFSTTTKYLSSFAAKLIPRKSKLDSMETTKIAIDCSEFWDEEEDHSPTFTIKQDSESCISILWRP >cds-PLY77961.1 pep primary_assembly:Lsat_Salinas_v7:1:25794050:25794526:1 gene:gene-LSAT_1X21820 transcript:rna-gnl|WGS:NBSK|LSAT_1X21820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSYSFSSSLNRSSKKSTAHDTKTCDCGFPARILTSKTPKNPGRHFMVCNEGKCKYWKWLDVEPVEMPLMEVVEGMKVELIALKTEVEKVKEDMEQMKKEKCSDAIAMKEKIYKFTIGFLFLIIVYMMK >cds-PLY96741.1 pep primary_assembly:Lsat_Salinas_v7:2:172213846:172217161:-1 gene:gene-LSAT_2X94940 transcript:rna-gnl|WGS:NBSK|LSAT_2X94940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G08660) UniProtKB/Swiss-Prot;Acc:Q8VZJ0] MTRPLKPSSRRPAFVHLICAATVFSLIVFAIQSFFFTGTRTKTLNTEDVQILSGFQADVQQCVANRGFGLTAQIIDHCKLVLKLPEGTNSTWLNPQFKTYEPLEYKYDVCEAILLWEQYRNMTTVLTREYLDARPDGWMDYAAKRIAQLGAKDCSNRTLCEELLNPMLPAKPPFHPRQFEKCAVVGNSGDLLKTEFGEEIDSHDAVIRDNEAPVNEKYAKHVGLKRDFRLGVRGTARNMVTILNGSDDEVLVIKSVTHRDFNKMIDMIPNPVYLFQGIVLRRGAKGTGMKSVELALSMCDIVDIYGFTVDPGYTEWTRYFSEPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAKREQDWSDVPSREKIGRAHAAATRLKRSEESEYGKAGQFGNCKVWGNAGAYGSGPVSGSRDMSSKRRNSNYSKWEVMPFKSLRQEAQEHYMQMEGVSLYKMDGNKLDDLVCVRHPLESESES >cds-PLY79919.1 pep primary_assembly:Lsat_Salinas_v7:8:16940525:16942133:-1 gene:gene-LSAT_8X11861 transcript:rna-gnl|WGS:NBSK|LSAT_8X11861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSNHSISRAQNVSSERPLRQFVTGKDKSASRNSKGRITIFHRGGRAKRSQRTIDLKHNTSSIGVVKRIESHFTDHSGQFSFSSIPGMLEDKKVESLRPKTYHIVVGLLKGSRTLSSQSQSQTGTHMRNVKEVFLSTFSSSNGKRRHDAPYSFVNVLGVPRMAVAGAKPEYFVPRMKDDVKENESLLLNEVKRCDKDSVLWGHNMKRKAVFSWESLRQRGILGVVNES >cds-PLY80361.1 pep primary_assembly:Lsat_Salinas_v7:7:155525539:155531089:-1 gene:gene-LSAT_7X92221 transcript:rna-gnl|WGS:NBSK|LSAT_7X92221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RRP6-like 3 [Source:Projected from Arabidopsis thaliana (AT2G32415) UniProtKB/Swiss-Prot;Acc:A9LLI8] MENKDKIKIAVTISCLAVVSILLANKFRKQRKRKQNIGSCYLKSEAKPQHNFKRVLANNSYSPFKHLKLDDDSSPDLHPYESEIKALLEKPELEFEFCNGTMDMDMSDTYVWIDNESKLKELAQVLSKEKVFAVDTEQHSLRSFLGFTALIQISTREEDYLVDTIAVHDSMGILCPVFANPNICKVFHGADNDVIWLQRDFHIYVVNLFDTAKACDVLSKPQRSLAYLLETYCGVTTNKLYQREDWRQRPLPIDMIEYARMDAHYLLYIAECLVSELKLQKNENSANLDDKFYFVFEANRRSNALSLQLFTKEQEASPGQYAASSIISRHLNDHGASNINSQFQDVVRCLCCWRDLMARMHDESLKYVLSDNAIVALAEKVPLTTTDICTTISQGDIETESLNSNSTPRFPSSVVLSHLDDFTYLLQDGLKLNNPDDILQLFLKKHIGPHGSCPLSLYNYGLLSKSSMKVSKRLVSKNGYKFSKQVARKASRELFVQKFSCKSPVYHNCRIYANDGRLLCYCDRRKLEWYLQRDLAKVIEEEPPAIMLLFEPKGRPEDEGNDFYIQSKKNICVGCGEGNHYLRYRIIPSCYRIHFPEHLKSHRSHDIVLVCVDCHEKAHSAAEKYKKKVASEFSIPLFVQKVVDSNEESHDESGTSFMKLADGGVSPLELRTAAMALLRHGPRMPAQRCEELKEVVKKYYGGREISEEDLENALLVGMSPHEKRRLHKKKGLSLNRNSLSCKKDEGKNDLEDVDPNPNGSVFATCSSKLSLLGHGPHGKQVVDFLLKEHGEDGVREFCQRWRQVFVEAIHPRFLPGGWDVTHSGRREFGDYSVYNPANKASSSSYPAAVEG >cds-PLY66138.1 pep primary_assembly:Lsat_Salinas_v7:7:31286939:31287151:1 gene:gene-LSAT_7X23321 transcript:rna-gnl|WGS:NBSK|LSAT_7X23321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPITEKCSGVVHPVAIRGIQSSFILSHNYMHDWSVLLTTCVNEKKRFCFDLSFIYMSVFTNYFRFGDKYN >cds-PLY98325.1 pep primary_assembly:Lsat_Salinas_v7:7:172376314:172377788:1 gene:gene-LSAT_7X102740 transcript:rna-gnl|WGS:NBSK|LSAT_7X102740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSLMLFCFIFISFAISDAVVPPADTFRYVNQGDFGEANSEYAPNYRALPPFTFPFQLCFYNTTPGVYTLSLRMGVRNDEYMMRWVWEANRGNPVGENATFSLGSDGNLVLADRDGRIAWQTNTANKDVVGFAILSDGNMVLRDSKGSFVWQSFDSPTDTLLFGQSLRIRGPTKLVSRASTTNNVNGAYSFVIEPKRLGLYYKNMLYWSSTFPEVSQRNVTIVNATFGIVETEYNNDFNALRVVLSNSDAQPYVDLDLLRFNNTLSYIRLGIDGNLRLYSYRPNVAFGMWSLVFSLFDKRENPRGDIYEDECQLPERCGKFGLCEDSQCVGCPSSEGVFAWSTKCNVKTPSCKAGGSRYYQLKGVDHFTSKYSPGTGPVKQKDCESKCTNDCKCMGYFYRTDLSRCWIANELKTLTRVGNSTHLAYIKTPI >cds-PLY95507.1 pep primary_assembly:Lsat_Salinas_v7:4:142816360:142818478:-1 gene:gene-LSAT_4X87681 transcript:rna-gnl|WGS:NBSK|LSAT_4X87681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVCGKRSFFEELPTSSTSTAPPVAKKLRCSSSNSTSTSPVRLSPFTNSPPSSALIDQLRAVFPNMDKQLLEKALEDSGNDLDSAIKSLNELYLGYVDANSSSVALSLSNTATNEEYITLENQSAHEKNPRNGAEWVELFVTEMKNATSVDDARCRAMRMLESLEKSITQNSGDHAQTLHKENMVVKEQIEVLLRENTILKRAVSIQHERQKVYEESTQEVQHLKQLLSMYQEQLRTLEVNNYALTMHLKQAQESNCMPGRFHPDVF >cds-PLY91914.1 pep primary_assembly:Lsat_Salinas_v7:8:197881852:197882408:1 gene:gene-LSAT_8X127480 transcript:rna-gnl|WGS:NBSK|LSAT_8X127480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMPRIVQARQILQRSLSNGTHTSPTDLPNGYFAVYIGEQEQEKKRFVVPVSLLSQLSFQDLLHQAEEEYGYDHPMGGLTIPCSEQTFFDLATRLGAL >cds-PLY89186.1 pep primary_assembly:Lsat_Salinas_v7:3:22396926:22400457:-1 gene:gene-LSAT_3X16721 transcript:rna-gnl|WGS:NBSK|LSAT_3X16721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRFLGSLYCNIWKVGRDDPRRAIHALKVGFSLTLVSLLYLMEPLFKGVDQNAIWAVITVIVVLEFTAGATLCKGLNRGFGTMLAASLAFLFEVIARGNGKVFRAIFIGASIFLIGTLTTFLRFFPKIKQNYDYGVIVFLLTFNLITVSSYRVDESLLKLAEGRTYMIGVGSGICILMSLFIFPNWSGEDLHNHTVSKIEGLAKSIEACVTKYFSEEERNVERDEMISEDQIYENYKAVLESKSTDDTLALHASWEPRYTWQCHKFPWQQYVKIGGVLRHFGYGVVALRGSLQTEIRTPRSVRLLFKDPCICLASEVTKSLMELACSLRNRQHCSPELLTESLRQALQDLDTALKSQPRLFVGTNTPYHPPEMLSTLASTERQKFENHLSSINTYAPISIDRKPKGFSEGERRVLRPTLSKFAITSLDFSETLPIAAFVALLVELVARLDLVIEEVEELGRVACFKEFKHGDNVILDIDTRTKREINLPNFASTE >cds-PLY64622.1 pep primary_assembly:Lsat_Salinas_v7:6:34651866:34653780:-1 gene:gene-LSAT_6X25060 transcript:rna-gnl|WGS:NBSK|LSAT_6X25060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEKEANEKKVVDESGKKKVDDVPITIVLKLDLHCDGCAKKIKKSIRHLEGVETVKADYMSNKLTITGKVNLMYIKERVEYKTKKKVEIISPKPKKDEGDEKKADDKQASNDQKPKEAQSTTVVLKIPLHCDGCIHKIKRLISKIDGVKSVKVENDKNLVIVKGTMNMKELIPYLKEKLKRNVDLVPPPKKEESKGIDEKKDQKKVEVEGGGDKKEKEGGKDGDDKKKTDVGKPTDNSTKDGEKKKNDDEVITAKATNGGDEKKKSADEAQTAVGGGGERSKNVEVANKFEYHRNDNPYVYTMPVYNQSYHNQDYGVSVSSSMHGYANEGYAMEYLRGPPLPPSPMSLHNPRASETDIFSVENPNACSVM >cds-PLY75844.1 pep primary_assembly:Lsat_Salinas_v7:9:195858721:195862221:-1 gene:gene-LSAT_9X119700 transcript:rna-gnl|WGS:NBSK|LSAT_9X119700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGPLDRTKVVLRHLPHTISQSALMEQIDARFSGRYNWFSFRSGKQSLKCQSYSRAYIDFKRPEDVIEFAEFYDGHVFVNEKGTQFKTIVEYAPSQRVPKQWSKKDGREGTIGKDPEYLEFLESVSKPVENLPSAEIQLERKEAERAGAAKEAPIVTPLMDFVRQKRAAKGGPRQRSLPNGKLMRRNGASSSSSNSTVVKRGSEKRSSTMYVLREGGKSTNGKEKSTYVKRAERQASEKSPSSSTQSGSVVLDEEKGSFGASDTGKKKILLLKGKEKEIPNVTTGVTPQQKLLYGSGGGSRQNQRQEASGRIIRSILLKDSRQHQSEQNQSLNQDKDRRPPRPQNVGPLSKDSNGLQDDKLQDGFYGEKMDKRSRNKEKPDRGVWTPLRSHASDESLSSSTSQSTQLLPDSAEGSSYKHGGNRRTSSHNANKDADVFSNSNEGKPPKRGVSSGYEVGVGPTSRSECGFKSQVLALRCVTKVMDLKPACTRENILSINQ >cds-PLY70975.1 pep primary_assembly:Lsat_Salinas_v7:9:71486372:71486648:-1 gene:gene-LSAT_9X59160 transcript:rna-gnl|WGS:NBSK|LSAT_9X59160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGTARETDFGREVSVFEIDATAVEPEFQKLYSYLFYFENIGYSAEEIDRPMSTAIFIMNFDKV >cds-PLY65070.1 pep primary_assembly:Lsat_Salinas_v7:1:118465185:118481996:-1 gene:gene-LSAT_1X90680 transcript:rna-gnl|WGS:NBSK|LSAT_1X90680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQSDSMLQNHQEKYIRGRENPVFDGLRGNTSMNHRTSIFDFLGSNGKQKMSRSNSVIGHAGCKGGIQEHASSYSSSKGSQFDIREEPFGLSFSRSLDLGSSQISGFESKSSVDMSVAKDFEANSVHGDREEALIEKSFSVSKMKQVVHGVSLPPSAASFYYRGLPSMDVVESCEGIQRLNLYLKACKDAVNAGVPGQFLRAVLGHVSDAGSFISTIMYSFYLNEKDKNSQFCTVPIINIKRADLKAHAELEWLLTSCNIDQPSIIFINEIDLSYYNLFGSLKVVLLNADKLPEKQEALKESLVEIFKGRKGGFADLSDDTIEEQECSCCALIAEKFASKSPEILVGKGFSRLLLSGILLDTENLTSPRCTSVDKYMSTLLLNGAGRFGCNGLYKICKRMSHHSSALGKTPSGNRRLKDLLQQRDNRTCADCGAPDPKWASANIGVFICLKCCGVHRSLGVHISKVLSVTLDSWSDAEIDAMIEVGGNASANSIYEAYIPQGISKPRPDASQEHRSNFIRSKYELQDFLKPSLRISSSNSSSLKSSLSRKIMDNVPSNKGMVEFIGVLKITVIRGKNLAVRDMLSSDPYVVLTLGQQKAQTTVRNSNLNPVWNEELMLSVPQNYGPVKLQVFDHDTFSADDIMGEAEIYIMPLITSAMAFGDAGILENMQIGKWLKSHDNALLEDSIVNIIDGKVIQEVKLKLQNVESGEMDLRIEWTPLDQ >cds-PLY93915.1 pep primary_assembly:Lsat_Salinas_v7:7:182036786:182041917:1 gene:gene-LSAT_7X107761 transcript:rna-gnl|WGS:NBSK|LSAT_7X107761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKSATCAGSHANVARPSPTRAKSELKLPPHGMHSDRIYIELSVQCKMRMKNRNKSSVILPQKLSNDSILQQPNSYEGESLLHLLNSIQRELKLARLSDESLPHKIWLKQQLSIGVNDVTRVLERMPPLHGSLSSPTESSNAKMSPLHLQAILIATDCNPRSLTKHLPSLASSRGVSVIFVKDKKGGSFKLGEIVNLKTAMAIGVKARGNAINKVIAEILGDKDIVGP >cds-PLY64024.1 pep primary_assembly:Lsat_Salinas_v7:5:59725205:59726424:1 gene:gene-LSAT_5X29201 transcript:rna-gnl|WGS:NBSK|LSAT_5X29201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDGDAAKKLGSPVVLVSATSATVNGINSGGGGLFSKTRYKFWAITAILLLALWSMFTGSVTLRWSGAGNISNPLSDSFDSPVRDLDILEVEEREKLVRRFWNIYTHSRTTRLPSFWQEAFEAAYEHLTSDVAGSRNAAISEIAKMSLVSVDVEPLPLNSGFGGSRKSMRQGVVRKNTA >cds-PLY80281.1 pep primary_assembly:Lsat_Salinas_v7:3:209114511:209114833:-1 gene:gene-LSAT_3X123400 transcript:rna-gnl|WGS:NBSK|LSAT_3X123400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTCEQVEEGKTSWPELVGEDGDCAVTTIEKENKLVNAQTILEGTIILQIYICDRVYVWVNKKGIVISTPTIG >cds-PLY93374.1 pep primary_assembly:Lsat_Salinas_v7:9:62095501:62102045:-1 gene:gene-LSAT_9X53801 transcript:rna-gnl|WGS:NBSK|LSAT_9X53801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVELKRIENTTSRQVTFTKRRNGLLKKAYELSVLCDAEVALIIFSQKGKLYEFSSSNMQKTIEKYRSDVKKDEICTPENEVHVQQLKQEAAAIQQQIEQLEISQRRLLGQDLVSCSVEELSHLDNKLEHTLRTIRARKTQLFKEQVEKLKAKERYLLEENARLCQENTSLCQKSCQRIATPSQLYSTKQKDPVISNQSSQFSEVETELFVGLRVSQNSTNL >cds-PLY94267.1 pep primary_assembly:Lsat_Salinas_v7:MU038941.1:60360:63470:-1 gene:gene-LSAT_0X40241 transcript:rna-gnl|WGS:NBSK|LSAT_0X40241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSALKPVAILAFTFSITIFTILLSSPSQATIDSKSMASRSFILWLHGLGDSGPANEPIKTLFTSSQFANTRWSFPSAPSQPVTCNYGSVMPSWFDIHEIPITSSSPTDESSLLKAVRNVHTVIDKEIATGVDPKNVFICGFSQGGALTLASVLLYPKTLGGGAVFSGWVPFNSTTLIEQITPEAKRTPILWSHGIADGTVLFEAGQAGPPFLQRVGINCEFKAYPGLAHSINNQELQYLESWIKSRLQTTSSSN >cds-PLY75064.1 pep primary_assembly:Lsat_Salinas_v7:9:21760990:21767836:1 gene:gene-LSAT_9X19321 transcript:rna-gnl|WGS:NBSK|LSAT_9X19321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Villin-like 1 [Source:Projected from Arabidopsis thaliana (AT2G29890) UniProtKB/TrEMBL;Acc:F4ILN8] MTFNGVKIKLISIFCELFHLGVNSHLHSFDLQLGVSAFDLNFLILSEEDTIKGAGSKLGLEIWCVENLHLVSVPQSSHGKFFSGSAYVVLHTTLLKSGALQHDIHYWLGNDANEVDSTLASDKALELDAALGSQSVQYKEVEGQETGKFLSYFKPCIIPVEGVYYSGQGQVHSKPPTYETRLLTCKGDRVVHVKEVAFSRSSLNHRDVFILDTASKIFHFSGCNSSIQERAKALEVVQYIKEYKHKGNCDVAAIEDGKFVGDAEVGEFWNFFGGYAPIPKDPPSTQEQPQSLSAKLFWITLQGKFTQSGSGRLKRSMLESNKCYMLDADTQIFVWMGRATHITERKTSISAAEDFLRAQERPVNTHLAFLTEGAETAIFKSYFDDWPQTVAPKLYEEGRGKVAAMFKQTGYDVEELPDEDEKSHIDCNGTLKVWRVNNGKLSPVPVVEQRKLYSGDCYTVQYIYSTNGREERLFYIWLGNKSCVEDREDAISLTSGLVDSTKFEPVLARIVENKEPSQFFLIFQTLIMFKGGMGSRYKSFIAEKGIDDETYDNKKTALFKIQGTNRDNMQAIQVDQVSRSLNSSCCYILKARDGSIFTWLGNLSTTRDHDLLYGMLDLINPTWQPILVREGSEPDVFWETLGGKTEYPKEKDIKRFIEDPHLFVCTYMKDVSDLKVKEIFNFTQDDLTTEDVLVLDCYSEIFVWVGHNSVVKSKQQALSIGLAFLKKDVLGEGLSMDAPTYVVSEGHEPPFFTRFFEWDASKANMLGNSFERKLAILKGQSQKLEAPLRNSPNFNTKETTPRRPSPTPNGFRRPSPTPNGSRRPSPGPAPNGLTRRQSLDSYTIRSTSSDAFSSPDFNNNTTKNRRFSSPPIPRMIPSASSPDVRTKTDTTPSLPTKDSGPIPNQDGVKLVNYPYERVKVNSKDPVPDIDITKREAYLTEEEFEEKFAMPKTAFYQLPRWKQNKIKMSLYLF >cds-PLY85906.1 pep primary_assembly:Lsat_Salinas_v7:2:190106260:190106946:-1 gene:gene-LSAT_2X112161 transcript:rna-gnl|WGS:NBSK|LSAT_2X112161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLQRCNATIDHFLTEKHQNDPLEIKNVGRSNISSCTCEKKKEEFVDPLVEEQYNALVAEVALQTYHKADSGGDPDVQVLHPNGSHSHKHHNQHRT >cds-PLY92708.1 pep primary_assembly:Lsat_Salinas_v7:7:5037754:5042838:-1 gene:gene-LSAT_7X4840 transcript:rna-gnl|WGS:NBSK|LSAT_7X4840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAVISHRNFTSFISSGRIHKPEQDTTYQRAEKLSFSSAQHVHRNMYSEKLYSLKKIHFVSGYWNAISSHGVHFSTIPQESKKFQIRNHRRIARCNWIKPEQLKHNSIFNRQPRHTTINRIRANYKSEEYDVAGTDLDSLVVSSEGGASEAVLVGEIQETNQFPKRWVIVLLCFSAFLLCNMDRVNMSIAILPMSKEFNWNSATVGLIQSSFFWGYLLTQIVGGIWADKIGGKQVLGFGVIWWSVATVLTPIAAKIGLPFLLVMRAFMGIGEGVAMPAMNNILSKWIPVSERSRSLALVYSGMYLGSVIGLGISPILIQKFAWPSVFYSFGSLGSVWFAFWLAKAHSSPTDDPELSAEEKKYILGGSVSKEPVTEIPWRLILSKAPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVSRGFSITTVRKIMQSIGFLGPAFFLTQLSRVKTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQNGTWDDVFKVAVVLYLIGTLVWNLFSTGEKILD >cds-PLY77220.1 pep primary_assembly:Lsat_Salinas_v7:3:246055973:246059985:-1 gene:gene-LSAT_3X135860 transcript:rna-gnl|WGS:NBSK|LSAT_3X135860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEEIPNLIHNDIKRTDFPDNFMFGVGTSAYQIEGSWNVDGKGLSNWDTFTLRHPDKIRGGGNGCVAVDNYRRWKEDVQLLKQMGVNHYRFSIPWSRILPGGKLCMGKSLEGINHYNKLIDELIDNGIQPFVTLFHWDLPNALEEEYMGFLSSKVVDDFVDFADICFWEFGDRVKDWATLNEPYRFTISGYVEGVYPPGRGGKGEEGDPETEPYTVSYNLLNCHAAAYRKYEKDYKAHQKGKVGITFDTNFFKPYRGSSNKDDVNAVQYAYDFMFGWFLEPLTKGTWPESMQKFATSPTKKYPNGRRLPKFSDDQLVKLIGSYDFLGINYYTANFSQFQAPAPDVRIGYLTDRHYTPSGSWVYLCPEELTELLLLVKNKYHVTKDIIITENGSQDQNLPDKTFVQVRDDTFRINYIKKHLEAIKNARSLGVNVMGHFVWSFMDSFEWVFGYNSRFGMFYVDYTNNLLRYPKNSAIWYRKFLSAKSRLLLKRSLRNDQQEKEDEVNDIMKEEEDDDEIIEVIPKLKKAKA >cds-PLY67789.1 pep primary_assembly:Lsat_Salinas_v7:9:164816316:164817312:1 gene:gene-LSAT_9X102121 transcript:rna-gnl|WGS:NBSK|LSAT_9X102121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSPESNDVLSLDIREPSRVQFYKGYVFNRIPELVSEPGILLSIFDEVSVLIKEDSPFKKELMMTPFVLTNTGSLQEPQRLWSVDNDPPPFTTNPTNKVFIDLIFKSTEDDVKTWPHSFEYRLRVSLGPVVDLLLTSRVRNTNTDGKSFSFTVAYKTYFSVSGIRY >cds-PLY88304.1 pep primary_assembly:Lsat_Salinas_v7:8:237508054:237512154:1 gene:gene-LSAT_8X143400 transcript:rna-gnl|WGS:NBSK|LSAT_8X143400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NPG1 [Source:Projected from Arabidopsis thaliana (AT2G43040) UniProtKB/TrEMBL;Acc:A0A178VP56] MSGESSSNQGGETEERKICANGGSVQTETVEAKLDAGNIDEAESALREGLSLNSEEARALLGRLEYQRGNVEAALGVFEGIDLEDAIQRLQSCSTEKIHKKSGLSGPHLGANLVLEAIYLKTKSLQKLNRIKDAAEECKRVLDAVEKIFPQGIPEAFVDTKLQETISRAVELLPQLWAEAGSYHEAIASYRRALLTHWNLDNDSCARIQTNFAVFLLYGGIEAISPSTATQVDGTYNPKSNIEEAILLLMICMRKFSLGKAKWDPAVVEHLTFALSLCNQTPILAKQMEEVIPGVIHRVDLWKNLALCFSAIGHRKTALDLLKKALHKHEKPDDITSLLLAAKICSEDSSFAAEGIEYAQRAVSCSKNGNEHLQGVCLRVLGLCYGKQASISASDYERSRLQSEALKSLDEAITFEPENSDLVFELGIQYAMQRNLNNALRLAKQYIDATGGSMLRGWRLLALILSAQQRFQEAEVVTDAALDETAKWDQGPLLRLKAKLRIAQSRHLDAIETYRHLLALIQAQKKSYAPLHSTHQIEDDRVNEYEVWQGLANLYSSLSRWKDAEICLGKARAIIECSSETLHTEGTIYQRRGEIDEALATYVNSLLIEATYVPSKICVGAIMSNRGLAMLPVARTLLSDALRLEPTNRMAWLHLGFVHKLDGRLSDAIDSFQAASMLEESDPIESFNSIL >cds-PLY69588.1 pep primary_assembly:Lsat_Salinas_v7:1:13069245:13069546:-1 gene:gene-LSAT_1X10800 transcript:rna-gnl|WGS:NBSK|LSAT_1X10800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMMLGFISGNERVFWGGLLVIFGSTFFGGFLYIKTSPSFGYYLCNTKQQALLLLGAFDTSGASGCCILVLA >cds-PLY93075.1 pep primary_assembly:Lsat_Salinas_v7:9:26942936:26944375:-1 gene:gene-LSAT_9X23601 transcript:rna-gnl|WGS:NBSK|LSAT_9X23601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGGILFIIVTISVFSATHARIPGNYAGGSWQGAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNKGFSCGACFEIKCSQDPRWCHPGSPSIFITATNFCPPNFALPNDNGGWCNPPRTHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKAGGIRFTINGHRYFNLILISNVAGAGDVQKVWVKGTKTNWMSMSRNWGQNWQSNAVLVGQALSFRVTGSDRRTSTSWNIAPASWQFGQTFTGKNFRV >cds-PLY99695.1 pep primary_assembly:Lsat_Salinas_v7:9:56380065:56382830:-1 gene:gene-LSAT_9X48061 transcript:rna-gnl|WGS:NBSK|LSAT_9X48061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METGGNSLASGPDGVKRKVYYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQNMHVVKPVPARDKDLCRFHADDYVSFLRGITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHEYCDIAINWAGGLHHAKKCEASGFCYVNDIVLSILELLKVHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGYSKGKYYSLNVPLDDGIDDESYQSLFKPIIGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGVELEDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRQLLDEIRSKLLDNLSKLQHAPSVQFQERPPDTEFPEADEDQEDDDRRSDDSDVDENNEGKPFIGRVKRELHDIESKDMDDVKEGERANREMDASFAEISIKGSNSVSAPADVKQEQGNSNKPDQPSTAEMNL >cds-PLY94167.1 pep primary_assembly:Lsat_Salinas_v7:5:33121488:33123503:-1 gene:gene-LSAT_5X15020 transcript:rna-gnl|WGS:NBSK|LSAT_5X15020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSMSLKLFVDFNDVKSRHTTPGQVSFGAGESPVRLSFGDQGRRSCRIIAHASERDGGAGAGAEAGGAESQSQQNKSSFFARSQTYALMKQQMELAAKSENFEEAARLRDSLKSFEEEEPVLRLRGLMREAIANEKFEDAARYRDQIKEIAPHYLLKCSSDATTLGIRVQVRSVYIEGRSQPLRGQYFFAYRIRITNNSNRPVQLLKRHWIITNANEKSEDVWGIGVIGEQPVILPNNSFEYSSACPLTTPSGRMEGDFEMKHIDKVGSKTFNVAVAPFALSTFGDATDSI >cds-PLY93181.1 pep primary_assembly:Lsat_Salinas_v7:5:199922084:199922738:1 gene:gene-LSAT_5X89880 transcript:rna-gnl|WGS:NBSK|LSAT_5X89880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEASEAYLVGLFEDTNLCAIHAKRVTIMPKDMQLARRIRGERA >cds-PLY91874.1 pep primary_assembly:Lsat_Salinas_v7:8:196361816:196362118:-1 gene:gene-LSAT_8X126320 transcript:rna-gnl|WGS:NBSK|LSAT_8X126320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLMRLSSSLVSSVKYFTRLNSIRNSNYQRDVPRGHLAVYVGEKQKRRFVVPVSYLEQPLFQDLLRLSEEEFGFDHPMGGLTISCEEDHFFELTALLHDS >cds-PLY95904.1 pep primary_assembly:Lsat_Salinas_v7:3:185485846:185488232:1 gene:gene-LSAT_3X111421 transcript:rna-gnl|WGS:NBSK|LSAT_3X111421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKLYLQKLCNVRLSSINRLYSTTTRTIKYPPSKPPPEKFLHLCSKGRITEAFKTFSSEVYSDPSLVSHLLKSCIQLNSLSLVQQLHSLIITSGFSSTRFVTNHLLNTYLKFGQSQTAHSLFDVMPKKNIMSYNILIGGFVQSGDLDTARKVFDEMPERNIATWNALIAGFTDFECNEEALRLFSEMHVLGFYPDEFTLGSVLRGCAGLKSLTSGQQVHAYAVKTGVQVNVIVGSSLAHMYIKSGSLSEGEKVIKSMPFHTVVAYNTLITGRVQSGSSEEALNQYYIMKMSGFKPDKITFVSILSSCSQLATLGQGQQIHAESIKSGATSSVSVLSSLVSMYSRCGCIQDSLKAFSETKIPDTVLWSSMIAAYGFHGMGKKALDLFNLMEKEELEPNNVTFLSLLYACSHCGLKDKGIEIFHLMKEKYKLEPQLEHYTCLVDLLGRFGHLEEAENVIRTMPVKADSIIWKTLLSACRIYKNADMAKRIGQEVIKVNPNDSASYILLSNIQASAKKWENVSDFRKEMRDRGVKKEPGVSWFEMKNEVHQFCMSDKSHKDSKKIDLYLKKLTLEMKLLGYKPDMGSVLHDMDLEEKEDDLVHHSEKLAIAFALMNTPDCVPIRVMKNLRVCNDCHVAIKYISAIKKREIIVRDASRFHHFKDGECSCGDYW >cds-PLY80249.1 pep primary_assembly:Lsat_Salinas_v7:6:125094508:125095276:-1 gene:gene-LSAT_6X74040 transcript:rna-gnl|WGS:NBSK|LSAT_6X74040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKLPVLGRKRRTNLLVWCFAFICAILAIIVIIAGIIVFIGYLAIRPKVPLLYVNAARLDDVIYNQASVLAVRLTVDIKAENHNQKAHVSFYNTRLTLEYHGLSIAMLVADPFEVKKNASEEFYYVVESSPIPLGKQEQLLTQQALQKGLFPFFLKGNSRTRWRIGSLGSVKFWLHINCHMWLPTNSSVVYPHCSSSSH >cds-PLY74267.1 pep primary_assembly:Lsat_Salinas_v7:1:78544440:78546002:-1 gene:gene-LSAT_1X65961 transcript:rna-gnl|WGS:NBSK|LSAT_1X65961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGQTQRLNVVPTVTVLGVVKARLVGATRGHALLKKKSDALTVQFRAILKKIVTTKESMGTVMKASSFALTEAKYVAGENIKFTVIENVKTATLRVKSRTENIAGVKLPKFDYFTESETKNDLTGLARGGQQVQVCKTAYVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALESVVKPRLENTISYIKGELDELEREDFFRLKKIQAYKKREIENKMKAAAQFRELQAAEHLSLEQGISINAAHDMLTVAQKDEDIIF >cds-PLY73421.1 pep primary_assembly:Lsat_Salinas_v7:4:180286117:180288030:-1 gene:gene-LSAT_4X105480 transcript:rna-gnl|WGS:NBSK|LSAT_4X105480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVNNNKWIATVASIWIQCTSGSLYTFSFYSPAIKASQGYDQSTLDIVSVFKDFGANSGVLSGLLYSAIASPSSTFTFRGRSCGGPWIVLLTGAIQCFVGYFLMWLSVTGTIPRPPLPFMCLFMLLAAHGVTFLNTANVVTAVLNFPNQSGTIVGIMKGFLGLSGAILLQLYQTIFKDEPTPYILMLSLLPLLNTLIFMCNVKSFQRNEINEKKHLNTLSLISLIIAAYLFSIIIIQQTLPLNLPTRIIISLVLLILLASPLYVTLKSHSTQNYQSLNTNQEILEEHELENEHESESIKHDKNLLQAICTVNFWCLFLTTATGMGTGLATVNNLAQVGESLGYSSHETTTLASLWSIWNFAGRFGAGYVSDHVLYTKKWARPVFIAITLGFMSIGHCVIASGFPGALYVGFVLVGVFYGSQWSLMPTIASEVFGVSHFGTIFNTITISGPIGSYFISVRVIGYLYDREVGRGVTEYCSGTHCFRLSFVIMAFVTFMGSMVAMWLFFRTRGLYEKIVVKRELF >cds-PLY79577.1 pep primary_assembly:Lsat_Salinas_v7:2:166020332:166023669:-1 gene:gene-LSAT_2X90021 transcript:rna-gnl|WGS:NBSK|LSAT_2X90021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFASQMVPEWQAAYMNYNHLKILIKEILIFRRLQQNESTPGYQANPPLPSKGSSRKRKVSLHKAFGGLTNGNSNNKDKEDEVILVSAMQQSEENYRTVFLRSSQDAGESELGFFRKLDDEFNKVIDFYKEKVGEMVIEAEELSKQMNALIALRIKVEDPLFCSSSPIFSSRFANEQITDVIQETKLHGEGNGKRKIGSGRMDKEQEMVSLDVLNHVKINVMPETPRSSLKNAFGSSKLSFSFSKKELRNAEQKLKQAFIEFHQKLRQLKSYSFLNQLAFSKIMKKYDKITSRNASKDYLGMVEKSYLRQSDEVSKLMESVESVYIEHFCNGNRSLGMKTLRPKAKTDKHRVTFFTGCFLGYSVAFIIAIILMIHARDLLNSEGRDQYMTNVFPLYSIFGFLVLHMLMYAGNIYFWKRYRVNYAFIFGFKPNTELGYREIILLSSGLSVLTLAAILSNLEMDIDESARSSRTLTELLPLGLVVVVLSIAFCPFNIVYRTNRFFFIASIWRCICAPLYKVTLPDFFLADQLTSQVQLFRNLQFYICYYGWGDYKSRESSRCRGSSVYNNISLVIAIIPYWIRCLQCLRRLFEGEDSSQAVNALKYFSTIVAVISRTFYVQKKGLALKILATSTSGVATVFGTYWDLVCDWGLLRRNSENPWLRDKLLLPHRSIYFLAMVVNVILRLAWVQTVLDFHEASFLHRTALVAFLTSLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFSYENKKD >cds-PLY96926.1 pep primary_assembly:Lsat_Salinas_v7:4:9471201:9472288:1 gene:gene-LSAT_4X6581 transcript:rna-gnl|WGS:NBSK|LSAT_4X6581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLSEEEMKRLCRIRRTVMQMLRDRGYLVGEFEINIGLNEFVRKFGENMKREDLIISKANANDSSDQIYVFFPDEPKVGVKTLKTYIERMKSENVIRAILVVVENLTPIARNCMSEIATKFQLEVFQEAELLVNVKDHILVPEHQVLTNEEKKTLLARYTVKETQLPRIQVSDPIARYYGLKRGQVMKIIRPSETAGRYVTYRYVV >cds-PLY61824.1 pep primary_assembly:Lsat_Salinas_v7:6:57246089:57248160:1 gene:gene-LSAT_6X42540 transcript:rna-gnl|WGS:NBSK|LSAT_6X42540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRWLSPLTTNNLLSLDLSGNMLDGIPKYFGNLCSLEYLVFYNNSAVVKFPDFLNNLSGCTSLSLQSLHAVESQFAGSLSDEIQKFSSLYSLDLSHNHLNGSISEKLWELPMLEIVIVSFNNLTVPSTHHLSNISYVKYLDLSSCKLLGPRFPKWVQTLKNLNRLDLSNTGISDTIPLEFWDMWPSQLEYLNLSFNNINGKVPDLLSNFADYSVIDLSSNNFNGQIPKLSSALATLDLSRNKFSGRISFICQIVDGWLEFLDLSHNSFIGQLPDCLWHFKDLRVLNLGHNNLFGSLPPSIGSLIQLQVLYLYKNNFSGELPLSLKNCTSLISLNLGANKFYDNVPVWIGENLSGLYVLILRSNNFFGTIPLKLCQLASLQILDMSMNNLHGTIPSCLSNLTSMVHQGTFSQDEEIQISYLPGPYVDHAMIEWQGDEREFFSTLKLLKSIDLSSNNLTGQIPYQITNLSDLISLNLSKNALSGEIPQKIGEMKKLLTLDLSQNNFSGQIPSSMSQMSLLNYLDLSFNNLSGRIPTNTQLQSFQPSRYVGNRGLCGPPLTKKCPGDEESEATSVIGKSEGDGEDTDDELELWGWFYIGGGMGFATGFWMACGALLLNRRGRHAFFQFYDYVKMVVLTANLQKARQT >cds-PLY77839.1 pep primary_assembly:Lsat_Salinas_v7:1:24489253:24489597:1 gene:gene-LSAT_1X20220 transcript:rna-gnl|WGS:NBSK|LSAT_1X20220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVDIGKKSRRKSCIATSKKRRRLLPYSPSEDPARRLEQIASLATTLTATEADFSNELTYIHGMAPRPANRPAYEKEGMQVLSREDIEALNVCKSMMRRGECPPLMVAFYPVEG >cds-PLY87038.1 pep primary_assembly:Lsat_Salinas_v7:5:256263317:256264167:-1 gene:gene-LSAT_5X132021 transcript:rna-gnl|WGS:NBSK|LSAT_5X132021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPTRTYSWRLRLLISITLILLFSFILSPSVSVNEGVEERTRTLGSRPPGCVNRCMNCQPCRATLVIPPHHKNKIIDYRSQSSHREDDCYYLLSWKCKCGDKLFQP >cds-PLY99375.1 pep primary_assembly:Lsat_Salinas_v7:7:144906872:144908607:1 gene:gene-LSAT_7X86641 transcript:rna-gnl|WGS:NBSK|LSAT_7X86641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQSMVCHVMLVVIFILVALADAQTPPGINNPSHATCKDPSYKECHNLVHVCPKFCPDSCTVNCQSCKPVCGGDIAPSPPVYTPPTPTPYSPPPTTTPSPPKESTPPTPTPSTPSPTTPSPPEESTPPSPTPSSPPPTTTPSPQKESTPPTPSSPAPPTWSTPPSKPKKVKCKNQKYPKCYASEHICPASCPGQCEVDCVSCKPVCNCDMPGAVCQDPRFIGGDGITFYFHGKKDQDFCLVADNNLHINGHFVGKRNKNMGRDFTWVQSIGILFDNHKVQISAQKTSSWDDTIDRISVTFDRENIFLPKSEGAKWQSFTTSITRIHDTNHIVVEVENLFRITAKVVPITNEESRIHNYDITNDDCFAHLDLKFKFFSLSNEVDGVLGQTYRNNYVSKVKMGVLMPVMGGYSKFVSTDSFATDCSVAKFKSSEEDGSSLNLQLPSLSCQSGIDGRGVVCKR >cds-PLY84057.1 pep primary_assembly:Lsat_Salinas_v7:6:188059207:188060088:1 gene:gene-LSAT_6X115160 transcript:rna-gnl|WGS:NBSK|LSAT_6X115160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEARSQKVNTSIFIFFFFFFFILLASHLSLAAPTTTWVGSKYQIECTMCAACDNPCDQQPPPSPPPPQPVYPPPPPPPTSTGGGYYYSPPPPTSGTGGGYYYSPPPPSQGVYYYYPPPASYKNYPTSTPPNPIMNYYPYYYYNPPMPNSSAVSLAGATTMLLINMLFICFFSL >cds-PLY62389.1 pep primary_assembly:Lsat_Salinas_v7:7:108077148:108080321:1 gene:gene-LSAT_7X69540 transcript:rna-gnl|WGS:NBSK|LSAT_7X69540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAGITDDSLAAAATDRASLLSPPAPQPSSWRLNIDHFRLPQTTSSSSPNPSSTSLGRFSAFRRLFRRTPRKHGKVQEYYKKQERLLEGFNEMETINESGCVPGALTEDEIENLAKSERMAIYVSNLANLVLFIAKVYASFESRSLAVIASTLDSLLDLLSGFILWFTAYAMRNPNQYHYPIGKKRMQPVGIIVFASVMATLGFQILLESARQLISKTIPDMNRDNEKWVIGIMVSVTLIKFMLMVYCRRFENELVRAYAQDHLFDVITNSVGLVSTILAVRYKWWIDPVGAITIAVYTIHNWSGTVIENVGSLIGRSAPPEFLTKLTYLVWNHHEEIKHIDTVRAYTIGSHYFVEVDIVLPEDMRLSEAHNIGEMLQEKLEQLPQVERAFVHTDFEFSHRPEHKPKV >cds-PLY62698.1 pep primary_assembly:Lsat_Salinas_v7:6:55287048:55287950:-1 gene:gene-LSAT_6X39800 transcript:rna-gnl|WGS:NBSK|LSAT_6X39800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNDHFTLQGCGNDIEARLLIYSSSYWIFSRITRIMVVASLIAFVTIHILYLKCYQFDYDLNMKASAKMGVAKVLIWGVWVGISNHPSKWKIWVVKIGEGLIILFQIYDFPPYKGFLDAHAISHAIVVHVSYIWWSFIHDDSEYRTKTLMKKANFIQEVVVGDNY >cds-PLY71842.1 pep primary_assembly:Lsat_Salinas_v7:3:58789760:58791667:1 gene:gene-LSAT_3X46180 transcript:rna-gnl|WGS:NBSK|LSAT_3X46180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADIVKQIIARPIQLADQIVKEADFACSFKQDCADIKSRTEKLGVLLRQAARSSNDLYERPTRRIIDNTEHILDKTLQLVFKCRANGFQRVFTIIPAAAIKKFSQQIENSIGDVSWLLRVSTPAEERHDECVGLPPIVANEPMLCLIWEQIAVLCCGTLDDRADAAGSLVSLARDNDRYGRLIVEEGGVPPLLKLAKEGRMEGQESAIRAIGLLGRDPESVEQIVNAGVCSVFAKILKKGHMKVQISVTWAISELAANHPKCQDHFLQNNTIRLLVSHLAYETIEEHSKYTIVNKNNMPSNIPSMHAVVVANSDHNAKNSQDNNDKCHVARPGGLDSGEMHQTSNSVTIKSGPSMKVNQTPPQQRREKSRRRVALPGASIKRREFEDPATRVEMKAMAARALWHLCADNFSICKTITESRALLCFAVLLEKGEEEVKYNSIMALMEITAVAERQAELRRSAFKPTSPTAREVVDKLLKIVNKGDSDLLIPSIQSIGNLARTFRATETRLIPPLVRLLDERESEVSAEAAIALIKFACTDNYLHVNHCKAILEAGAPKYLIQLTYFGEQMAQLPGLILLCYIALHVPDSETLAQEDVLIVLQWALKQGHLMQDSSLETLVLEAKQRLEIFQSRALY >cds-PLY69050.1 pep primary_assembly:Lsat_Salinas_v7:9:139233605:139238239:-1 gene:gene-LSAT_9X89160 transcript:rna-gnl|WGS:NBSK|LSAT_9X89160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNWLVVGGGVGLEKTMEWGCCVRVRDRKDRLISRLMVGNDAGEDDQWFVIAKMGSKPVEYLIEASSGAHFSGFHMNGMQARNSQLQEGQATTSVTENVHKQPFIIGVAGGAASGKTTVCDMIIEQLHDQRVVLVNQDSFYHNLTPEELTRVHEYNFDHPGKFYAFDNEKLLSVVEMLKQWKAVDIPKYDFRSYKNNISRRVNPSDVIILEGILIFHDPRVRDLMNMKIFVDTDADVRLARRIRRDTGEKGRDIGMVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIHSTFQIRGMHTLIRDAQTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPQDISDRHVLLLDPILGTGNSAVQAISLLLKKGVPEANIIFLNLISAPQGVHVVCKQFPRIKIVTSEIETGLNDEFRVLPGMGEFGDRYFGTDDE >cds-PLY95062.1 pep primary_assembly:Lsat_Salinas_v7:5:226555206:226556108:-1 gene:gene-LSAT_5X106381 transcript:rna-gnl|WGS:NBSK|LSAT_5X106381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGNIDLCIPVSEDKSQQEHSQVSTEEDFDYSKRGQWLRAAVLGATDGLVSVASLMMGVGAVKEDVRAMILTGFAGLVAGACSMAIGEFVSVYSQRDVEVAQMKRDKKISGNEEESEKEALPNPIQAAAASALAFMLGAIMPLLAASFIVDHKVRLGVVVATVSLGLAVFGWIGAVLGGSPVVKSCFRILLGGWMAMAITYGLTMGIGSTVM >cds-PLY65994.1 pep primary_assembly:Lsat_Salinas_v7:4:136600617:136606231:1 gene:gene-LSAT_4X85140 transcript:rna-gnl|WGS:NBSK|LSAT_4X85140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPTNMCSYCLSHKRTSKEGTATSVCKALDEVADISVPDLGPGLREICAANRSDEKQQIKTLLENVGKSFCPNYVDWFGFEAADIPPRSANKLVVSKFLQTNPSDHTTFKLKEMVRLMKEKRFPVAFKCYYNFHQINSISSDNLHYKMVIHVHSDSTFRRYQKEMRFKPDLWPLYRRFFVEINLFKASKEKSAEISKNTPDIGKNYKDGNETSTKNSLADDDANLMIKLKFLTYKLRTFLIRNGLSILFKEGPIAYKTYYLRQMKIWNTSPGKQRQLNQTSMRKLAPFQVPLLLGIGEEDTALTKATESGDTDLVYLVLFHIWQKVDRELQPWIAKDDDVGC >cds-PLY77704.1 pep primary_assembly:Lsat_Salinas_v7:9:19622092:19624186:1 gene:gene-LSAT_9X18180 transcript:rna-gnl|WGS:NBSK|LSAT_9X18180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISKSILRALLLLSLAFTTYAETHHHEFKVQEVAVRRLCRNHRAVTVNGQFPGPTLQVRNGDTLLVKVTNAAPYNVTIHWHGIRQLRNPWADGPEFVTQCPIRPGGSYTYRFTITDQEGTLWWHAHSRWLRATVYGALVIRPKSGSSYPFPTPKIEFPVVLGEWWDRKVISVLRQALFTGAAPNVSDALTINGQPGDLFRCSSQSTTKLTVNKGDTVLLRVINAALNQQLFFSVANHKLTVVATDAVYTKQFTTNVIMVGPGQTTDVLLTADQQPGRYYMAARAYASARNAPFDNTTATAILEYKSANSQPILPQLPAYNDTSTVTAFSNQIKSPGYKVNVPTKIDENLFMAVGLGFFNCTPGPRCQGPNNTRFGASMNNVSFVLPNRVSLLQAYTQNIPNIYTTNFPRVPPLQFDYTGNVPRGLWQPVKGTRLYNLKFGSNVQIVLQDTSIFSTEDHPVHLHGYHFYIVGQGFGNFNPVSDTANFNLVDPPQRNTIDVPVGGWAAIRFVADNPGVWFMHCHIDTHLAWGFAMAFIVENGIGESETLLPPPSDLPRC >cds-PLY64229.1 pep primary_assembly:Lsat_Salinas_v7:7:1886060:1886335:1 gene:gene-LSAT_7X740 transcript:rna-gnl|WGS:NBSK|LSAT_7X740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESNQVVMEHNACGMISCDKIDRMANWVGNSVASAFFTSLERCSCINLSTSHDDFDEGDDEEEAKDRPLMLTKPVIFVDESHREPTKVPPV >cds-PLY90435.1 pep primary_assembly:Lsat_Salinas_v7:1:143340818:143343765:-1 gene:gene-LSAT_1X104341 transcript:rna-gnl|WGS:NBSK|LSAT_1X104341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRVYIIVRNVVTSTTLLATVVLLQAVVVLSAFPATFTLEKAFPVNSRIELSELRKRDSLRHGRMMLQKDISPRGIIEFAAEGSYDAHVAGLYFTKVKLGSPPKDYYVQIDTGSDVLWVGCKPCIDCPTSSKFNIPITLYDPSSSSTSSPISCSDQICSQAGQTYNSSSCSHNQCTYTIHYGDSSATLGHYVSDLIHLKTLLTDTKSSNVSASVVFGCSTMETGILATSDGAVDGVLGLGRHGLFVISQLSSQGIAPNSFAHCLADGGGILVIGQPTVPNIIFTPLVKSQGYYSTHLHSISINGKRLSIDPSVFAINNDKAGTIIDSGTTLAYLTEEAYTPFVDAITKSVLLSVQQRTSNGNPCYSITSSVSNIFPIVSLNFVGGASMHLRPQDYLSLQSSKRSPEKGITILGDLVLKNKFIVYDLDAQRIGWADYDCEFIYKTNE >cds-PLY69047.1 pep primary_assembly:Lsat_Salinas_v7:9:139920844:139922963:-1 gene:gene-LSAT_9X88680 transcript:rna-gnl|WGS:NBSK|LSAT_9X88680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSHNTTIEFQSFPPQNFTLLGDSYLRHGVAGLTLESNLLASSSGTIMYTTPVRFSSNRTNSSASFSTRFSFSIVNLNPVSPVGDGLTFFISPENRTLGSPGGYLGLVDSSRLTKNKFIAIEYDTRLDPHFNDPNNNHVGLDIDSLNSIKTADCMDAGIDLRTGISFTSWIDYDHGQKNLRVFLTRSRFKPSNPLLNMDIDLSSYFQEHMYLGFSASTEGSTETHLIKNWKFKSYGVEYFNPRINNPHNVTDNTIFTSPLIGFRVDSHIKQYRKLIQLGLEVSGTVFVFAILALFGYISVKKWREIKTEINIKSEVTRNPRQFSYRELKIATNGFHPSRIIGSGSFGTVYKAFMLSSGTTAAVKRSTRSCDAKTEFFAELSVIACLRHKNLVPLQGYCIEKGELLLVYELMPYGSIDNVLYQDTRHWGFLKWHQRYNIAIGLASALAYLHQECDKLVIHRDIKSSNVMLDANFNARLGDFGLARLIEHDKSPISTLTAGTVGYLAPEYLHYGKATDKTDVYSYGVVVLEVCCGRRPIEKESEGDDMVNLVDWVWEMYTKNQILEAIDKRLIGQFDEEEGKRLLMVGLSCANPVSEMRPSMRRVLQILNHEVDEMAIPKFKPKLSFSNSLPMSLDDIVSSLDGDEVEEEEEEEEEEEEEEDGGGGGCKTPDRSKTPCEMQDRACKTPDTDLDSPFEICIDGPQV >cds-PLY99606.1 pep primary_assembly:Lsat_Salinas_v7:5:242066179:242070133:1 gene:gene-LSAT_5X119340 transcript:rna-gnl|WGS:NBSK|LSAT_5X119340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVFTRVYSLRERLDSTLATHRNEILMVLSRIESHGKGILKPHQLMAEFDAICKEDNKLHDGAFHEVLKSTQEAIVSPPWVALAIRLRPGVWEYVRVNVNALVVEELTVPEYLHFKEELVNGSSNGNFVLELDFEPFTASFPRPTLTKSIGNGVEFLNRHLSAKMFHDKDSMHPLLDFLRTHACKGKTMMLNDRIQNLNALQSVLRKASEYLSTLDATTPFSEFEHKFQEIGLERGWGAKAEGVMEMIHMLLDLLEAPDACTLEKFLGRIPMVFNVVILSPHGYFAQENVLGYPDTGGQVVYILDQVPALEREMLKRIKEQGLDIVPRILIVTRLLPDAVGTTCGQRLEKVFGAEHSHILRVPFRNEKGILRKWISRFEVWPYIETFTEDVAKEVTAELQAKPDLIIGNYSEGNLVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKNFDEKYHFSSQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTMPGLYRVVHGIDVFDPKFNIVSPGADMGIYYSYSEKEKRLTALHPEIDELLFSSVENEEHLCVLKDKNKPILFTMARLDNVKNLTGLVEWYAKNDRLRELVNLVVVGGDRRKQSKDLEEQAQMKKMYDLIEEYKLNGQFRWISSQMNRIRNGELYRVIADTRGAFIQPAFYEAFGLTVVEAMTCGLPTFATLHGGPAEIIVHGKSGFHIDPYHGDQVTELLVKFFEKTKSDPSHWEAISKGAEQRIQEKYTWQIYSDRLLTLAGVYGFWKHVSKLDRLEIRRYLEMFYALKYRKLAESVPLAVDE >cds-PLY74451.1 pep primary_assembly:Lsat_Salinas_v7:7:33014968:33015674:1 gene:gene-LSAT_7X24641 transcript:rna-gnl|WGS:NBSK|LSAT_7X24641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCNVEEVNLEFLYTGRESAILLDQFFFMNSCFIDLRLLGCKFNPTGSISWKNLRSLCIFHGKLDEDLIENILLWSPLLETLELGECYGYKRLDITSKSLKNLVFTGYFDPNNEVDEDDDLINIIGINAPNILSLTIKGDMWLLKFLLVNVSSLVEANLDYTFMGHWEATLEETEEEILETLILNLHHVKELIIGSFCSKVKFLLL >cds-PLY93326.1 pep primary_assembly:Lsat_Salinas_v7:9:62916046:62916210:-1 gene:gene-LSAT_9X54621 transcript:rna-gnl|WGS:NBSK|LSAT_9X54621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLTLFILRPRPTITQNIPRRKWLPRTCMTYVLEHVEDTGKRGDFYEDLIGDVS >cds-PLY80686.1 pep primary_assembly:Lsat_Salinas_v7:5:179437948:179438382:-1 gene:gene-LSAT_5X81281 transcript:rna-gnl|WGS:NBSK|LSAT_5X81281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDILCFLLFQLVITTSASSMDNTPFKGCFTTSWQIYIHNMINDPIAVHVKSKDDDLGNRTLPFNGSRDWSFCSRVDSKTVFFAYFYWKSRTASFDVYNYSLGKQYCSPDNSFKVHKCHWLVTEKGFYISRKQDNKRAPKLHDWS >cds-PLY77673.1 pep primary_assembly:Lsat_Salinas_v7:9:19303866:19306341:-1 gene:gene-LSAT_9X14481 transcript:rna-gnl|WGS:NBSK|LSAT_9X14481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLLRAVASAQAKSTLIPTSITASNSKSSQLTSSRTFAVKKAKSSGAKAGNKKAQPKSKADVKTKSKQEEAVGSSVDDAAHDLISDERNRRRLLDEDERDKSLDIGPNGRPLFTSAASLSELSRKDACTYMKFSRKKLEEVLPEKLPVGMEKEFDESMRDALLVRHSFLDLRDNYRRIVDPTLQSSLSVQKQIVLDGPVSCGKSIALAMLVHWARDEGWLVLYVPEGRSWTHGGLFYKNPQTGLWDTPDFLKYNESSLMKLPCKIFDPIPLGEGAGVGRMKDADSMAMPEGSSLYDLVQTGITTTHASVGVVVRLREELSLVKDIPVLFAIDQYNSWFTFTEFEEPMTVRSSRPIHAKEVATVNAFRSMMHDNMMIGVFSHSTAVGKLRKDLPDVPTNARVMFPRYTLDEASVVSHYYLRQRLIRREAFSEEGWKKLYFLANGNGSEMRWLLPFMR >cds-PLY91944.1 pep primary_assembly:Lsat_Salinas_v7:8:197901004:197901303:1 gene:gene-LSAT_8X127540 transcript:rna-gnl|WGS:NBSK|LSAT_8X127540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVILMPRITQAKQILKRSFTNGSSTTKSMDIPKGCLAVYVGEQEKKRFIVPVWLLMEPTFQQLLDQAEEEFGYSHPMGGLTIPCSEYTFTDLASRLGAL >cds-PLY81780.1 pep primary_assembly:Lsat_Salinas_v7:3:30943718:30944564:1 gene:gene-LSAT_3X22201 transcript:rna-gnl|WGS:NBSK|LSAT_3X22201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSTDVTVVLVSWDFPWIIFNISACYPPLDEACIWRQTRGTATETLGAENKAKSTEKVQLQFTNLPFRFLKYFINPILSYLSYRVCMFWDPCDQNFPGAPTFLPVVQFAGQHPGGLGVPAVGMAFPGYVTQPNGMGNSEMTWLPILAGAAGAFGCFTLYHNGWCLSCYAIWPDLCIASYKVCYLLL >cds-PLY62469.1 pep primary_assembly:Lsat_Salinas_v7:1:83113603:83118007:-1 gene:gene-LSAT_1X69641 transcript:rna-gnl|WGS:NBSK|LSAT_1X69641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRRLKWFIAGFNQQRTPKPKVDAKRLSLPREHHNYNNSRTRNRKSMLEAVHEVAIYIHRFHNLDLFQQGWYQIKITMRWEDSDYASSLGTPSRVVQYDAPDLGSDNVLGVWKIDDTDHSFSSQPFKIRYARQDILLSVMIAFNLSLGKFEGPITSAVILKFELLYTPVLEIGSNMQDSLEVTPAAVHEFRIPPKALLGLHSYCPVHFDAFHAVLVDTTIHISLLKGGVHTMKTPSRSNSLSHEEASSDNKYDKEKRVLLVKAFLISRANLLQELKNLSKAINQTIDLSGLTSQHDESETESPNVSKVPNGSIDMQSDYLYTLQNDELYRLFHSIGDQILYLWSTFLKFHRANKAKILDHLRNQWGIDRRAEWSIWMVYSKVEMPLQPIRSEVDDSAYKGPRGTVPVLRKITEDPSEAAAMRAELHRRSIAQMRINSCSIQDLYIFGDPSRIPIIIVERVVNAPLRSPSGNSYFRNMDQKAVLQENDSRISTNHQKGRVLKIAVFVHGFQGHHLDLRLVRNQWLLIDPKLEFLMSEVNEDKTSEDFRDMGLRLAKEVIAFVKKKMDKASRSGGLKDVKLSFVGHSIGNVIIRTALSESIMEPYHRYLHTYVSVSGPHLGYLYSSNSLFNSGLWLLKKLKNTRCIHQLTFTDDIDLENTFFYKLSKQKTLEYFKNIILLSSPQDGYVPYHSARMEMCQTSSGDYSKKGKIFHEMLNNCLDQIRSPSSEQRMFMRCDVNFDISLQGRNLNTIIGRAAHIEFLETDIFAKFIMWSFPELFR >cds-PLY89410.1 pep primary_assembly:Lsat_Salinas_v7:4:122494718:122498430:1 gene:gene-LSAT_4X77240 transcript:rna-gnl|WGS:NBSK|LSAT_4X77240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NBR1 homolog [Source:Projected from Arabidopsis thaliana (AT4G24690) UniProtKB/Swiss-Prot;Acc:Q9SB64] MASSSIVIKVKFGKTLRRFSASINDNNLALDTVALREKIRSLFNFNPDVDFTLTYVDEDGDAVTLADDDDLRDVVGQSLNPLRITVMLENGSNGGSSGTQTPTPTTTTFPLRTSQPQIPFGPIPNVLSEFLKSMPEPLRDQITKLPLELASKATPSTPMISELVEKMTHAYLNQISGSMATPSAHTPSGEASTVKNSKPEAESSNSKNKEKVEKMSEGVKFKDVVQPPKPMDLNAPYFDYEAFPSVVEGYNGNSSTEKNKDTTDGVENKKDFGWAQGMLNATNQCPFSGMPLPNESYHHHPSRGHGHHHHWKRYGHGNGLGSLVFHRGVRCDGCGVHPITGPRFKSKVKEDYDLCSDCFAGMGNVSDYIRMDRPTNPVRHHMPFRGYHDPSVRIPTPGLPHALRAPGSKLPRAKLDSRFILDVNVLDGTTMAPFTNFTKIWRMRNNGTVIWPCGSQLQWIGGDRLSNSVSVDIEIPSDGLGVEQELDIAVDFNAPELPGRYISYWRMASPSGQKFGQRVWVLIQVDSSMKDMGETQINLNLPPVKMNNPHVGPIPEPEVINENTILTGNNLIKVNDSTEDTPPANNQDMDFPINDTLIITSNGMATTVAPPVGSPVFRSAEVENALAIVSKEPPAYPTVDFSEVPPVITGATSSPVAVVDPSGSAQEGSEDHLLQEENLLVELEAMGFKQLDLNKEVLRMNNYDLEKSVDDLCGVSEWDPMLEELQEMGFVDEEANRRLLKKNNGSIKRVVMDLINGERA >cds-PLY85315.1 pep primary_assembly:Lsat_Salinas_v7:5:326509031:326513367:1 gene:gene-LSAT_5X181240 transcript:rna-gnl|WGS:NBSK|LSAT_5X181240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:MDIS1-interacting receptor like kinase 1 [Source:Projected from Arabidopsis thaliana (AT4G28650) UniProtKB/Swiss-Prot;Acc:Q9M0G7] MSKPHFWLLFFFLFCCSSVFSKVVVENEQASILLTIKSHLIDPLDQLKDWKISEEPNVHCNWTGIECNPIGRIEKIDLSRRNLSGLVSDDIQLLRSLTSINLCCNGFSSALPRSLSNLTLLETVDVSQNYFIDSFPKGLGMAIGLTLLNASSNNFSGDIPDLGNNTRLETLDLRGNFFVGSIPVSFKNLRKLKFLGLSGNNLTGSIPKVIGELSSLESIVIGYNEFVGGIPSELGNLTNLKYLDLAYGNLDGLIPPELGNLKALEAMFLYKNRFTGKIPSEIGKLTSLAQLDLSDNMLSGEIPAEISALKSLQLFNVMSNRLIGSIPPGISKLTQLGVLELWNNSFSGSLPVDLGLNSPLEWVDVSSNSFTGQIPGNLCHQGNLTKLILFNNAFSGPIPVGLSTCNSLVRVRMQNNFLSGVIPVGFGSLEKLQRLELADNNLTGQIPNDISLSTSLSFIDLSRNQLQSTLPSSILSIPNLQNFLVSENSFIGKIPNQFQDCPSLSILDLSSNQFTGTIPASIASCQKLVSLNLRNNQLTGEIPVPISMMGTLAVLDLSNNSLTGGIPENFGNSPALESLNVSYNRLQGPVPMNGMLRTINPSDLVGNSDLCGNVLPPCTGAMAKASKHKGLHTKHVIAGWVIGMSVVLAVFLAAFAGKALYGKWYTNGSCFEDRSEMGKGEWPWRLMAFQKLNFNSNDILNCIKEANVIGMGATGVVYKADIQRTNTVVAVKKLWSTKNDIELGSSAGDFVGEVNVLGKLRHRNIVRLLGFLHNDKNAMIVYEYMTNGSLGEALHGQARLLVDWVSRYNIAVGVAQGLAYLHHDCHPPVIHRDVKPNNILLDGNLDARIADFGLARMMVKKNETVTMVAGSYGYIAPEYGYTLKVDEKSDIYSYGVVLMELVTGKRPIEAEFGDSVDIVEWVRRKIRENKSLTEVVDLNVGNCKHVEEEMVLVLRIALICTAKLPKDRPSMRDVISMLEEARPRRKSSSNTNTINGGLSSANSDKDKDRPVFSPSPVNGLLMGMETVSMVGAATGGNGGVDDGDGGGGGGDGNCGSSGDGSGGGGDGVVVVVMVGW >cds-PLY83616.1 pep primary_assembly:Lsat_Salinas_v7:2:86431:86598:1 gene:gene-LSAT_2X161 transcript:rna-gnl|WGS:NBSK|LSAT_2X161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLANDSLSAQEWIVDVDEVDPKMVNEDLGTVDNQAPRESPRTREISDKDFKS >cds-PLY85735.1 pep primary_assembly:Lsat_Salinas_v7:1:48383950:48386065:-1 gene:gene-LSAT_1X44940 transcript:rna-gnl|WGS:NBSK|LSAT_1X44940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLCLLHHSHGGAYNVMNYGAKGDGMTDNTKAFEEAWQELCNDVGPMSRMIIPSAQTFLVGPISFQGPCKSPNIHFLILGTIIAPENPSSWGSCETGAWLLFYDVNNLIIDGGGTIDGRGSAWWTKSLSDITEESTCSIPPTALHFEKCYGLRLRQLKHLDSPRNHIGLYRCSNSIISNLDIVAPANSPNTDGIDVSISTYIQINDSVIKTGDDCIALINGSSQINITGINCGPGHGISIGSLGINGEYDTVEGVYVRNCNFTGTQNGARIKTWQGGSGYARDITFEQITLHDVNNPILVDQYYCPSGNNCPTEGSAVEISDITYRLFQGTSSSRTAINFDCSNKVACSGISLDQINITSTVTGENTIARCNNAYGASSSTEPSATCLLH >cds-PLY90257.1 pep primary_assembly:Lsat_Salinas_v7:8:14305465:14305993:-1 gene:gene-LSAT_8X13760 transcript:rna-gnl|WGS:NBSK|LSAT_8X13760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALIQALNCVGGKAWNKGSEEALTDCSKDLENANPIIAEKTGRVESSFFWLFLCNFFGNLC >cds-PLY71664.1 pep primary_assembly:Lsat_Salinas_v7:5:128491424:128492503:-1 gene:gene-LSAT_5X56800 transcript:rna-gnl|WGS:NBSK|LSAT_5X56800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTELDPIITADVSLFHILPRLPVKSLFRFSCVSKQWYSFMRTPMFPKMHLNHVINDDHQNHQKLLFLPNARPWEFRTIDCETPKDGFTDSRPLPFKVGPRQKLSIVTSLHGMICVLLTKPRMLFEYSDLILWNPVTGDYKTLFKVGSHKDCYKIYGHQFGFYYSSTEDDYKLVCVTRHPNAYIYSLKSDSWRKVESSVFLNENPSFMFEFEQLLASKSYSIMTSDTKMSEKLRDVATPPYKNQRTDCMGFMIVRGCIHLCVAIINSVGRYDTIELWRINRYGDWDKVVTSSREEHLSCIDQPLHVMRNGNWLMHSKLKEYVYVLDTKKHTEHIMCSIRMSFKPAGKYMETIVSPNQYMN >cds-PLY81320.1 pep primary_assembly:Lsat_Salinas_v7:4:37984698:37986248:-1 gene:gene-LSAT_4X25481 transcript:rna-gnl|WGS:NBSK|LSAT_4X25481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTWFVVIVSVCLAALIFRRRDGKKLPPGPSILSSNFLLLTISVIQGLSPIVTNLKSKYGPMITFYFGSRPLIFICSQDLAHEILIQKGSSVADRPGGMIKRIISTTRYGPTWKALRGNLATEILQPSRVKSYSWVRKWALQTLIGRLQQQQKDAAGIKVVDHFEHAVFSMLVVMCFGKKLDEHLINEIISKVREVLSAFHPGSLSVSTLLAFPRLGKILLRNKWKKLLQIQEDQERLLLPLINSRIEAVNNYEPQLGGNQMVAYVDTLMHLQLPKENANNGYGGKLTDKEMVNMCNEFLNAGTDTTYIALQWIMANLVKYPHIQRKLYDEIVSVVGPPPPPPPPGVELESFIREEDLQNMSYLKAVVLEGLRRHSPAPLVLPHRAQEEVQLQGFTIPRRATVNFTVADMGLDPKVWDDPMEFKPERFLQVNNGVFDITGRKGIKMMPFGVGKRMCPGYALGLLHLEYFVANLIWYFHWTPPDGHDVDLTEKAEFTIVMMNPLQAKISSRKDKITT >cds-PLY68385.1 pep primary_assembly:Lsat_Salinas_v7:8:22778469:22781768:1 gene:gene-LSAT_8X17260 transcript:rna-gnl|WGS:NBSK|LSAT_8X17260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGKEDEASGESNNIDSAGTRLHHPIPGNRKMYWRSASWSSSHVSLPPLNPDSVKDTSDPSNGGQIRRCPVPLTPRSNSKGRSFLPPLSIARRSLDEWPRAGSDDVGEWPIPSTPSGERLKLDLSSIQRIPDKNPSLVKRDKIAFFDKECSKVAEHIFLGGDAVARDKDILKQHKITHILNCVGFVCPEYFKGHFVYRTLWLQDSPSEDITSILYDVFDYFEDVREQNGKVFVHCCQGVSRSTSLVIAYRMWREGQSFDDAFQYVKAAREIADPNMGFACQLLQCQKRVHAFPLSPSSLLRLYRIAPHSSYDPLHLVPKMLNVPSPVALDSRGVFIMHIPSSIYIWVGVKCGSLMERDARGAVCQIVRYEKVQGPIVVVKEGEEPSYFWDAFSSLLPLMDKSGKVIDVSKLTKVTPGDRIVDSYNVDFEIIQKAIVGGFVPPFASFETEQETHLPVRESSWSVLRRKFAHGNMKEFVLASKSAPSRVYPDSSLLMGSDNNSNSNSNFLFSSRSSFSSSSSSSSPDSQSSDSTISSSKCYSDSPVASPSVLSTFSTLSLAPILPSKLSPHSISKTSEFIDVNFTSNSCSQSVLSPSKRSSLSIAERRGSAPKCLKLPMMSDDESLVQGSCSNSLTEFDQKSKASQEDQNVKQELMVLEWPSLEKVMRFYAGDMDSSRVFIFVTPESSSGIGDSGLYLWVGKGFLHDKEYKDSERCAELADFSVKEVINNLVSKMGLSKDTHVKPRYNIPAKKKMLEKEIEIENVSRFQWQTKQICSFCVLTSQHIGRSRFTDYALMLNVAFFYHVHDNF >cds-PLY98648.1 pep primary_assembly:Lsat_Salinas_v7:1:38757409:38758909:1 gene:gene-LSAT_1X33240 transcript:rna-gnl|WGS:NBSK|LSAT_1X33240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSFPTGVKEPEYGKILLSDVVVTRKRNLFWGRKWRTLDVKMAVGILSIHILALFAPFTFTWHAFWTAFLMYVLCGIFGVTLSYHRNLAHHSFKLPKWLEYIFAYFGVLSFQRDPIFWVSIHRYHHQYVESEKDPHSPTFGFWFSHMGWLFDSGYIIEKYQERKNVEDLKSQVYYKFIQRTYVLHISTYAALVYALGGFTYLVWLVGVVPTWGYHVTFLVNSACHIWGNQTWDTGDLSKNNWWVALVTFGEGWHNNHHAFEYSARHGLEWWQIDFCWYMIRFLEALGLATNVKLPSEAHKLKKSFASVKKFK >cds-PLY84942.1 pep primary_assembly:Lsat_Salinas_v7:4:329939311:329939732:-1 gene:gene-LSAT_4X163861 transcript:rna-gnl|WGS:NBSK|LSAT_4X163861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQILEGLQWKVGGVTPKKGGTEHLGLPVFISVADAKDETKANASVYVPPPFAAAAILEALEAELDLIVCIT >cds-PLY71139.1 pep primary_assembly:Lsat_Salinas_v7:9:82447696:82447951:-1 gene:gene-LSAT_9X65981 transcript:rna-gnl|WGS:NBSK|LSAT_9X65981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIINIGINPKAKSYYLFDGYAHLSSSLACGLVDLSAGMAIRIVGDAGVRTYNLTEDVYI >cds-PLY83041.1 pep primary_assembly:Lsat_Salinas_v7:5:51432193:51432860:1 gene:gene-LSAT_5X24340 transcript:rna-gnl|WGS:NBSK|LSAT_5X24340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDRNNVVGQHQRPPRPTTSGDGGPFIRRLRQHSLNSTQLMGIMTLVISGAILLLLTGVTITVAVVGFIFFAPLIILTSPIWVPIGTLLFVVVAGFLSVCGSGLAAAAAVSWLYKYSRGLHPVGSDRVDYARSRIADTASHMKDYAREYGGYFQGKVKDAAPGA >cds-PLY71638.1 pep primary_assembly:Lsat_Salinas_v7:9:136085388:136088667:-1 gene:gene-LSAT_9X87761 transcript:rna-gnl|WGS:NBSK|LSAT_9X87761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPNRLTPICLLACLWCFGVFGRSISGEEVKYNWEVKYMKGAPDCMEKDFIIGINGQFPGPTIKARAGDNVVVNLTNKLDKEGVVIHWHGIRQLKTPWADGTAAISQCPIKSGDTFTYNFTVDKAGTYFYHGHLGMQRSAGLYGMLIVDVEKGKKEAFHYDGEFSLLLSDWWHKGIEEQEEDLNAKPMIWIGEAQSVLINGRGQFTCSLAVPPNSKDSKGLPGCKFTGKEQCAPNILDVEPGKTYRLRVASTTALASLNVAIESHNMLMVEADGNYLQPFSVKDFDIYSGESYSVIFNTHKHSSHNYWISVGVRGRDPTKTARGLALLHYTSAKVSKRPKHHPPTTPRWNDYAHSKSFTYKLLAHPGSPKPPANYDHRIFLLNTQNFMEGQTKWAINNVSLKLTETPYLGSIRYGLTNAFDQISPPETFSDTYDITKPPPNPNCTISSGVYNLNFGKTYDVILQNANLIQEGVSEIHPWHLHGHDFWVLGYGDGKFSKKDEKKLNLVNPPLRNTVVIFPHGWTAIRFMTDNPGVWAFHCHIEPHLHMGMGVIFAEGVHLVRKIPDEALSCGLTGEMLMRQNHN >cds-PLY88025.1 pep primary_assembly:Lsat_Salinas_v7:4:230242596:230243825:1 gene:gene-LSAT_4X126000 transcript:rna-gnl|WGS:NBSK|LSAT_4X126000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSSSTRVSGDFSHHRVPLAENYRPGTDETGEVLPTYNPRSYISTKKQNQIRSAEAAIHLIPLLLVICAMILWLFSDKGMFRFVESLEEKASRPNRLEEKESHVNESTASKISCKTAEDTNTSTLLDVCLMPKPQISSKKPHKLHWGLDTKERWERKPLTQDS >cds-PLY63075.1 pep primary_assembly:Lsat_Salinas_v7:8:76459870:76462704:-1 gene:gene-LSAT_8X54220 transcript:rna-gnl|WGS:NBSK|LSAT_8X54220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSFPEDLLEHVFSFITSDKDRNAVSLVCKSWYEIERWCRRRVFIGNCYAVSPGIVIRRFPDLKSVELKGKPHFADFNLVPDGWGGYVYPWIVEFSRAYPWLEEIKLKRMVVTDESLELISKSFKSFKVLVLSSCEGFSTDGLAAIAANCRNLKVLDLRESEVEELSGHWLSHFPDSFTTLESLNIACLGSEVSFSALEHLVARSPNLKTLRLNRAVPLDKLSTLLHRAPQLVEFGTGAYSAETRPSVYSSLAEAFSHCKELKDLSGFWDAVPSYLPAIYPVCSRLTSLNLSYSPIQSPDITKIITQCPNLQRLLVLDYIEDAGLNALAMSCKNLQELRVFPSEPYVMNSNVSLTEQGLVSVCEGCPKLQSVLYFCRQMSNSALFSIARARPNLTCFRLCIIEPQAPDYLTFEPLDSGFGAIVEHCKDLTRLSLSGFLTDRVFEYIGAHGKKLKMLSLAFAGDSDLGLHHVLSGCERLSKLEIRDCPFGDKALLGNAAKLETMRSLWMSSCSVSFGACKLLGEKMGRVNVEVMDESDYLELDSKSDGCLVEKLYVYRTVSGPRDDMPPFIYTMGQDSAYRLN >cds-PLY70682.1 pep primary_assembly:Lsat_Salinas_v7:3:105303791:105304094:-1 gene:gene-LSAT_3X76520 transcript:rna-gnl|WGS:NBSK|LSAT_3X76520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFCSAILFILVGVCNLVTCFAQPALGCVFKLVEINNQPRIKLSDVSKVSIPCKKRSYRLYGKEGYALLDIM >cds-PLY88010.1 pep primary_assembly:Lsat_Salinas_v7:MU040244.1:1611904:1619064:-1 gene:gene-LSAT_0X1640 transcript:rna-gnl|WGS:NBSK|LSAT_0X1640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQITPESNTVEEVCVSLEAIYNRVVKDKSELYKTLSEAMYKFPGNDKLNFWMMTIGNLLPSKDKVITLQSINTVSTQNEVINVDDLGDTVVKLGGPVAEDDDGWKLLWEN >cds-PLY72374.1 pep primary_assembly:Lsat_Salinas_v7:5:6339860:6341392:-1 gene:gene-LSAT_5X3700 transcript:rna-gnl|WGS:NBSK|LSAT_5X3700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKLEHPLERRQAEAARIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNILPPTAAMMSAIYEENKDEDGFLYMTYSGENTFGLI >cds-PLY75324.1 pep primary_assembly:Lsat_Salinas_v7:5:52539562:52542449:1 gene:gene-LSAT_5X26081 transcript:rna-gnl|WGS:NBSK|LSAT_5X26081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRGSRSEKVKRIFQQFDLNRDGGLNREEMSALVVAVNPRVRFSDEQISAILDEVFRTYSDFIDNDKGLTYDGLLRTYDDGAGDVDRDFEALALELKSDDDNDNSNNNNEPASSMAFEEASTSLVVDERVKSPEPQKQHRTATWAASPNHGIIFDDTWKLVDDLEILIKRLKTKQMKDLKTKCEHSDAFSDPGWSRELGPSMEMNKQIIWDETRHDYAIFVKELGVLRSRADGSRSREEAFDGHMAIGRLLYDQHLFKEALVCFKRACELQPTDVRPHFRAGNCYYVLDQHTESKEEFILALDAAEAGGNQWSYLLPQIHVNLGIALEGEGMVISACEHYREAAILCPTHFRALKLLGSALFGAGEYKAAVKALEEAIYLKNDYPDAHCDLASALHAMGNDDNAVKEFQKAIDLKPGHVDALYNLGGLYMDMGRYQRASEVYTRVLGLWPNHWRALVNKAVSLLGAGETEEAKKSLKEALKMTSRVELHDALSHLKQLQKKKGNGNRNGIGEDAFTIIEPSKFKTLGEKTTLRQELATALEIRSFQRVTRLFRCDVELLKKEMNDSKTPLTYSGYGVPEKSIRKAALESILRRLLGFLKPETFVGSVKAINLKILSVLDESESGRVDLGMFFAVLSPICGGSPEKRKRVAFDSLLWRPVTGTGISDSGRITKADATRYMKLLRSIYIPSHNTSEMTEIHGERDDSLVSLTDFLAMFDDPECGFGAMSILLKLECGDRNRHGNTVCSVCRYPVIGARFKEMKLRFSLCSGCYSEGKVPSGLKQEEYCFKEYGSGSEAVKDKCMWFSSHHNPKK >cds-PLY87371.1 pep primary_assembly:Lsat_Salinas_v7:1:97306850:97314676:1 gene:gene-LSAT_1X79100 transcript:rna-gnl|WGS:NBSK|LSAT_1X79100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSEHSEGSSPSSSTHGHTYDVFLSFRGIDTRHSFTDHLNKALMGANITTFLDDEEIESGEELKRELESAIKASRASVIVLSKNYASSTWCLDELVLILEQRMTSNHIVIPIFYHVEPTHIRKQQSSFGDAMAKHKQMMEEETNANKRSQWTEKMERWNEALLEISNLKGKDVKDRLETEFIEEIVNDIYGRLRVHLRSDLPLLIGMDYSIKFVTSWLKDASTHMTDILTVCGMSGIGKTSLAKYVYMLHCHEFQTSSYIEDISRRCDEKFRLPDLQKQLYDDISKTSSIKVHDISIYTSKIENVVSRKKVFLVLDDINNLDQLDALLGRKGFHPGSKIIITTKDSWLTESCALFKTNVKPKHVKHMLQGLYETASQNLLCFHAFICQDPKTGYEKVVEDLVKYCEGHPLALEVLGKSLYDRDVGYWEECMKGLRKESGSHINNVLRVSFDSLPSKNDKELFKHIACFFVGMDRDVSETILKACDINTRLGITNLIDKCLLHIGRKNKLMMHRLLQEMGRFIVCQESPNKPWKRSRLWCHEDSFKVLKQKKGKGNLLGLALDLRMLEKEKMCVPFELKTDALSKMDNLMLLQLNYVQMNGSYENFPEELRWLCMHGFLLKSIPLDLPMDNLVALDMSYSNIESFGICYSNSQRLESRQKVTYLLLLFFDVDQLLNFYYYSNLSQYQQLFGSGLKVKRSLLGSMKILNFSFCDQLHTLSGFDELPVLERLIVTNCIGLVEVCESIEACVELVLIDLSYCSKLEKLPKANGMLKKVKTLLLDGCNLGESKIEILDVDSPEMLKANTIHINMKTMSSDILEAIPSNLKCIVISFPSSLVKLSLANNNLSTESFPMDFNSLSMLRELYIDGNPIVSLPSCVKRLPRLEILSIKDCPMLNSVEHPPHTLRELILHYDDKYYSEQLLGKVVFDPQMSPLLLRLGWDTLAPSSFEFEGMVKIHPLAGVDVNILHSLGWTDLECVYYRWMGTNFWLRGLEEYQIQMYYEFGIFSTIYGGKDMPNWIRCRGKGSSVSFTIPSSLNKFKGLNFCYLQTIYFLLDYESSDMPMILISNVTKNQTWMYQHYIDNVSVYEECLIFLSHWMFGLNEMEAGDHVTITISVTSQQHQLTEECGVSLVYDDKKTDEEEKEEEEDLLGYYKSWNHIIGGDLTGFQLTTGEYVLDNRRFLLHDNELYPYHQFVGDYASLREKVCFKALSPRNSVRHLLGRAHEDVTE >cds-PLY84357.1 pep primary_assembly:Lsat_Salinas_v7:4:214942014:214942249:1 gene:gene-LSAT_4X121920 transcript:rna-gnl|WGS:NBSK|LSAT_4X121920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQPNTGLFVGLNKGHVVTKKELAPRPSDRKGKTSIRSHFVRNLIMYI >cds-PLY70879.1 pep primary_assembly:Lsat_Salinas_v7:9:15625334:15626036:1 gene:gene-LSAT_9X15941 transcript:rna-gnl|WGS:NBSK|LSAT_9X15941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQLAAVACISVVSKVEEIHIPLLLDLIKIRIRIQDYNENGAFSAFVSSMEDESSNPACNIRLHNEEAWFNHSSASFRVHEQMRKNCSCCRQWSLVYLPSVMAAAIMFLVFKLTF >cds-PLY65354.1 pep primary_assembly:Lsat_Salinas_v7:6:41742578:41744663:-1 gene:gene-LSAT_6X32200 transcript:rna-gnl|WGS:NBSK|LSAT_6X32200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFTKFLQVQIPPATAQTIHHHLPNGLNYAAYGRLIQHCTNHRLIRQAKLLHGKLILSSVTLDNFLASKLITFYSKTNNLFEAHKVFNQILNKNTFSWNALLMGYSMNNKHPDTLKLFRSFLSASSMSVKPDNYTVTCVLKALSSLGYESSFAKTFHCYIIRNGLDSDIFVVNALITFYCRCDEIDTARTLFDLAPDKDLVSWNSMMAGYSQGGFYEKCKELYSKILSLEDITPNEFTVISILQACAQSNDLNLGMKVHKFVIDNQIQTDLPVCNAFITMYAKCGSLDYAHQLFDEMSEKDEISYGSIISGYMLHGFVDKAMKLFMEMKTPGLSTWNAVISGHFQNNQYEKVIDLLHEMQENRFKPNTVTLSNIFPTLSHLSNLKGSKETHAYAIRNSYDTNIYVATGIIDTYAKLGFLNGAHIAFNQSEKRSVIIWTSIISAYSSHGDVNSTLDLFNQMIKEGIYPDPVTFTSVLSACAHSGYVNEAFRVFESMLPKYNIHPSMEHYACMVGVLTRALKLHEAVEFIKKMPFEGSEKVWGALLHGASVCGDVEIGKLAFDYLFEIEPENTGNYVIMANLYSQAGRFEEAENVRVMLNKFGLKKIAGCSWIETPCGMQSFIAKDLSNERSDEIFAILDGLFNLMREDKYGVSEDFYEEGGC >cds-PLY67302.1 pep primary_assembly:Lsat_Salinas_v7:6:22964267:22965639:-1 gene:gene-LSAT_6X16821 transcript:rna-gnl|WGS:NBSK|LSAT_6X16821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional TENA-E protein [Source:Projected from Arabidopsis thaliana (AT3G16990) UniProtKB/Swiss-Prot;Acc:Q9ASY9] MEDAGKKAVTEGVDCKIPVTEAWIRKHRLLYDGATRHPFIRSIRDGSVDFTSFKRWLGQDYIFVRSFVPFAASTLVKSSKESGDGLDMEVILGGMASLNDEINWFKNEASKFHVSLTSVVPQKPNIKYCRFLENLTSSEVEYPVAISVFWAIEVVYQESFAHCLEEGNMIPEELQATCERWGNEGFGTYCKTLREIADRCLQKASPEVISKAEVAFLSVLEYEVDFWNMSVGEA >cds-PLY83151.1 pep primary_assembly:Lsat_Salinas_v7:3:94100631:94101624:-1 gene:gene-LSAT_3X70001 transcript:rna-gnl|WGS:NBSK|LSAT_3X70001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHKVPIHSTSLQSNPIQHNPGKMSQFLLRETLKRKQHTNVRFINKRTDDAKFVGNISSSSNTTLSSVGTVSAPTFVSHVGTMGATSSTHESSTQSSLPTSSNQSSVSTSVQSSTPASTTSSIPRSTTNGSESVEENIGIQHQGYLLGSTGLDGRLYIGVRDKE >cds-PLY76879.1 pep primary_assembly:Lsat_Salinas_v7:3:5189849:5191579:-1 gene:gene-LSAT_3X2821 transcript:rna-gnl|WGS:NBSK|LSAT_3X2821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISDGRWSWWSELIISKKEDLTFQLLVFSVISLLILWYSFRKATLRLPPGPRGLPVLGYLPFLSPDLHHEFTKLGQRYGPIFKLQLGSKTYIIVSSSDLAKEVVRVQDDAFANRDPPVAGLVITYGGKDILWSDNNSYWRKLRKVFVSEVLSHKNLEASRSFRRTGVRKNIKQVYESMGTEVDVGGLAFASSLSVVTSMMWGKSLDENEENTNLGFREVISKIVELLGASNVSDLFPVLSRFDLQGVEREMKQQLQKVDEIFQTIIENRMNVKLEESVEQEGRNDLLQILLEHKQQNDTSTFSITQIKSLFMDIVTGGTDTTSTMAEWTMAELLKHPEIMKKIQDELEQVVGLNNIVEESHLPRLCYLDAVIKETFRLHPPLPLLIGRCPNQSCNVGGYTVPKGSNVFLNVWAIHRDPKHWENPTKFDPDRFLNTDGTTKYDYSGNNTNFLAFGSGRRKCPGIPLGEKMLVYLLASLLHSFDWTLPEDKEHELSDKFGIVLKKKNPLMAIPSQRLSDKNLYM >cds-PLY90542.1 pep primary_assembly:Lsat_Salinas_v7:6:51734214:51734579:-1 gene:gene-LSAT_6X37861 transcript:rna-gnl|WGS:NBSK|LSAT_6X37861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFCLVLLKAKRALSCPAPTCAYVHSVVKVLLPFLFKEKRLREIEKSYSTQRREEDLNINSPPLPQVGGDQESRKPQRESFIVPHDSFAKRSLRRFHSCPRKQRGFKIPVDRVKVNSSEKIF >cds-PLY97416.1 pep primary_assembly:Lsat_Salinas_v7:4:14510502:14511185:1 gene:gene-LSAT_4X9981 transcript:rna-gnl|WGS:NBSK|LSAT_4X9981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEAHTKRHHENDGDSQIENTKRHRSSYINEQQETLQDLSDFFTNFSSDPFLYFTQQPDNASASNIKKELVEEDDEKERAIRHLFEASDDELGIPSRVEDGGDDGEVGVCGGGGDDIAGEEYGDFSLALCDGLWELEDEAANYYTLLQSELFMQ >cds-PLY97105.1 pep primary_assembly:Lsat_Salinas_v7:4:74455821:74458140:-1 gene:gene-LSAT_4X49900 transcript:rna-gnl|WGS:NBSK|LSAT_4X49900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNPSSSFSFSFITGFITLHLLWTSTCYASGCYTSIISFGDSLADTGNLKQLDSIKNEQPLHFFLPPYGETFFHTPTGRCSNGRLIIDFIAEGIRLPLVRPFVGAKGNRLMESRSGVNFAVVGATALDSSFHAARGVDNPLTNSSLRVQLSWFKQSLQSICLNVEDCKHLIERSLILMGEIGGNDYNHALQAGKSIDEVETYIPFVIEAIGSAVNELIDLGAKTLLVPGNLPIGCSPVYLTMYYGSDKEEYDNSTGCLIRLNKLALYHNELLQIALNQIRKRHPDVIIIYADYYNAAMQIFRSPKKYGFTNGALKACCGGGGPFNYNSSVPCAHPSSNLCLQPHTYVNWDGLHLTQAAYKFIYKSLFQGPYTTPQFNHVCHTQILKEMVGLSSSM >cds-PLY72556.1 pep primary_assembly:Lsat_Salinas_v7:2:141413422:141415314:1 gene:gene-LSAT_2X69500 transcript:rna-gnl|WGS:NBSK|LSAT_2X69500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g59720, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G59720) UniProtKB/Swiss-Prot;Acc:Q0WQW5] MVLATIPSSTVPTTTTNYNNYIQATNHHHRLLRLLNQCTSMSQLKQIHAQTLRTTSTTNPHTLFLHSRILHFSSLHDLHYASLFFQTHIQNANSFAWNTIIRACSRSNNRKQEAILLYLKMLSEGNVTPDKHTFPFVLKACAYLFAISEGKQLHAHIFKLGLASDVYINNSLIHFYGSCGSLEDARDVFDEMPERTVVSWNVMIDTLVQLNQFDNAITCFRNMQQCFKPDSFTIQSILRACAGLGALSLGLWAHTYILKRCNIEVANDVLVNNCLLDMYFKCGSLKDAKMVFKKMTKHDVTSWNTMILGFAMHGDAKSALHYFKQMVEEERILPNSITFNGVLSACRHSGMVNEGQTYFTIMTTKYGIEPVLEHYGCLVDLLARSGLIVEALDVVSKMVIKPDVVIWRSILDACWKKNVGIEVSEEMGRKIMECEEGSKFSGVYVLLSRVYAVACKWDEVDYVWKMMADKGVIKEPGCSTIEIDGVSHEFFSGDTSLLDSICFSG >cds-PLY67851.1 pep primary_assembly:Lsat_Salinas_v7:9:145245198:145246529:1 gene:gene-LSAT_9X93061 transcript:rna-gnl|WGS:NBSK|LSAT_9X93061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWKRGPVIGRGSYATVSVATTTTGDFFAVKSTELSTSAFLQKEQHFLSQLSSKYIIKYMGSDVDYDDNKPMYNLFMEYAVDGTISDVIKKQGGSLDESLIRSYTHQILLGLDHLHCNNLVHCDIKCRNLLVCKDAVKIGDLGCAKMVGNNGASTSQLSGTPVFMAPEVARGEEQGFPADVWALGCAVIEMATGSNPWPEFMDPLSALYRIGYSGDIPDIPKFLPEEGKDFLTKCLKTDAKERWTINELLQHPFVCNLNSGSETRKSPTSILDQSFWESLSASEPSEEPTQVDNFSGESPVERIRQLVEATPSCLPNWDDEEDWITVRRNGIDQMDVDDGDFGYMESLSISIFNVEEELEFEISVSDADIEVLEYSIVSNVLDFDHIINDNFLKIREYVCYAFRYLFSANIVLIVKTLSLPRVQITYKLLEPILNKLYHMNII >cds-PLY89989.1 pep primary_assembly:Lsat_Salinas_v7:8:208993102:208998805:-1 gene:gene-LSAT_8X131680 transcript:rna-gnl|WGS:NBSK|LSAT_8X131680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGSKSSKSRLRYGGGGSKQVNNQNHITPPPAEVKDNRYVFATATPNSAQYPNTKHYIPPIHPPYYRYPPPPPATPFPAPYYDHHRMAMDPVKQVLVGGRYPCGHEQSVSIKNEINIKKETLKIKPDQEDPERYLVEFTYDASIAGRITLHFFEKWGENYNMNPTEELLPPITVVFQQGLGQKYVQESRTGINLSVYKVGIPEVYHLGIKAQATPCISQDGSSNSGTTIFQLTLAVFEKKKGECQIRVTNQILWVNGVEYVLHDICGFGNFVDGGDFDLNALVKKCFLCLSTVHDTTLLSSHHHIAPQLHHNPRRIYEMPKNPANLCVNLNERRIGKLLFYNKEIGRGSNGTIVLKGYYDGREVAVKRIVMEHYDVALKEIQNLIVSDQHPNIVRLYGVEYDQDFVYIALERCVCSLHDLILSLANSSVELQPMMKVFKDLKLWECNGYPSPLLLKVMRDTVRGLVHLHKHRIIHSDLKPENILIHKDTSISAKVSDMGISKRLSTGKSSLTKSTTGIGSSGWQPPERLLKKEGQTSAVDLFSLGCLIFFCITHGRHPFGKDEDGRDGRIKKGEKSMSLVKKYPEAHDLISRLLDPDPKSRPKAVEVYHHPLFWDPDTRLSFLRDASDRIELEDRKNDSDILKSLKSIGDYTNWDKKLHKRLIKNIEHHREYNYDDVCDLLRAIRNKYNHYGQLSKKLQRMLGEVPTKFDGYFSSRFPKLVIETYNVLKGYSVEGEILHKYYKQYQF >cds-PLY99366.1 pep primary_assembly:Lsat_Salinas_v7:7:145611199:145612810:-1 gene:gene-LSAT_0X4121 transcript:rna-gnl|WGS:NBSK|LSAT_0X4121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASYGIHGDLLEVTVVSCNKLKDTEWISRQDPYVHIEYGSNKSSTRTCKDGGKNPTFQEKFVYSLVEGLRELNVTVWNSNTITHDDFIGSGKIPLAKVLSQGFDDSSWPLQSKTGRHAGEVRLIMHYSNSNSNSGKPGKDIAHKPSKGLAPSAPPASMYSAPPPSMYSASPPPHMYSAPPPPSMYSAPPPPAASYPSQGEAYPPPGGAYPPQGGAYPPPSPYSSYPPNSGVYPPAAYPPQAAPYPPPAYSSNSYGSHYPPGSPFPGMYPPPPY >cds-PLY70973.1 pep primary_assembly:Lsat_Salinas_v7:9:70592356:70593600:1 gene:gene-LSAT_9X59621 transcript:rna-gnl|WGS:NBSK|LSAT_9X59621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCVDKNGIKKGAWSEEEDNQLRAYVQRYGHWNWRELPKYAGLARCGKSCRLRWMNYLRPTVKRGNFSKEEEDLIDKYHREMGNKWSAIAAKLPGRSDNEIKNHWHTHLKKRTSKHNQDFSKKEEESSSIEQIMQFTGQPPSFISNESIASHRLSNESLVSERLFDDTSSHSSRIENRLLIDLNQEYVLEEE >cds-PLY79202.1 pep primary_assembly:Lsat_Salinas_v7:5:125774263:125774569:1 gene:gene-LSAT_5X54781 transcript:rna-gnl|WGS:NBSK|LSAT_5X54781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRQESADEGEEIRSVLKVLAATGKFCHDWEKLRSMLSLHLKQVWGGKPIYKLKQVFDATLT >cds-PLY81751.1 pep primary_assembly:Lsat_Salinas_v7:3:34157152:34157469:1 gene:gene-LSAT_3X25401 transcript:rna-gnl|WGS:NBSK|LSAT_3X25401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQLEKIPKIQQVFKESDVGALEAYLEHLLKASWIIMKSDRTSRSYVEHQDADGSSRILMAHQKHCWLVHNSLAQQEYLVAQLKSMDQDDHIDGSTRLHMTHHVQ >cds-PLY75472.1 pep primary_assembly:Lsat_Salinas_v7:7:74660144:74666299:-1 gene:gene-LSAT_7X51781 transcript:rna-gnl|WGS:NBSK|LSAT_7X51781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASYGALKAAFHSIDITQDLYSSCSLLNPSSNTIMRLKKRKFMGIVAVAKFKPNEHEEMKTTNGSMGTTLKYSGEKPNTPILDTINYPIHMKNLNVEELENLADELREEIVYTVSKTGGHLSSNLGVVELTISLHYVFDTPDDKIIWDVGHQSYPHKILTGRRSKMDSIRQTCGLAGFPKRDESSHDAFGVGHSSTSISAGLGMAIGRDLLGKNNHVIAVIGDGAMTAGQAYEAMNNAGYTNSNLIVVLNDNRQVSLPTATLNGPATPVGALSRCLTKLQTSRKFHQLREVAKGVTTKLGDRTHEIATKMDSCMKEMVGDHGASMFEDLGLNYVGPIDGHNLEDLVDVFNKIKSIQDPGPVLIHIVTEKGKGYHPAEIASDKMHGVVKFDTQTGKQVKNKMKTLSYTQYFADSLVAEAEGDDKIIAIHAAMGGGTGLNTFEKQFPLRCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAIDRAGLVGADGPTHCGSFDTTFMACLPNMVVMAPSCESELMHMVATAAAIDDGPSCFRYPRGNGIGSFLPLNNKGTPIEVGRGRVLKEGSRVALLGYGTIVQSCLAARELLQEIGISVTVADARFCKPLDGNLIKKLAREHEVIITVEEGSIGGFGSHVSHFLAMNGLFDGNLKWRAMMLPDRYIEHGAKNHQLEEVGLTPRHIAATALSLIGKSNQISHLLNV >cds-PLY95325.1 pep primary_assembly:Lsat_Salinas_v7:8:242518122:242518358:-1 gene:gene-LSAT_8X144861 transcript:rna-gnl|WGS:NBSK|LSAT_8X144861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSGVLSMTPTTSHTNNAPPTVSTKDAIEARKVHLFRHRCLPLVAYVRMSSPNPIPPPRSITLWSTSPPWKSTFSFDS >cds-PLY73116.1 pep primary_assembly:Lsat_Salinas_v7:9:21925043:21929864:-1 gene:gene-LSAT_9X21080 transcript:rna-gnl|WGS:NBSK|LSAT_9X21080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENNNSVKESYLGCDEEDDDSYDAIVVGSGYGGSAAACRMSMAGIKVCLLEKGRKWEAQDFPTDSLRLLSSVRFEDESLGFGLGSKDALFQIHKEHDSVAVTVCGLGGGSLVNAGVMLPTPVRARRNPKWPKEWESNWKSCEASASSMLNIQSVPVKFPNAKTMEKLMVGDEFKETSTSLKVSINFDVEEQGDHFKRPKETGSCIACGNCVAGCPYNAKNSTDKNYLFSAVEAGCIIKTDCEVRYVVKNPKDTNILGKRARRWLVYLNETDYLQSDFVILSAGVFGTADLFFRSQLRGLELSSRLGEGLSCNGNNSAYLAGCTTPLGAYGLHKEQFSKISFQERPGPAISSSYTSSLGFTIQSGVLPTAYPYLIFKGILTYGWPTGFWFLHGVIDKLKHSFGLKSTQAMTLNVMGYDESDGKITFNKSRDKINFTPPNDPLLPRKIMSLQKLTKKLGGILFMSRYRSTSVHLLGGCNASSDHFNGVCNSNGQVFDTKSPSSVHSGLYVCDASLIPCSIGINPCLTIAAASEHVSRHLIQDIIKTHVGDDGKDSMDESDDNKRGSICSWKLEGKSRCDVRFTEVMRGHIDGMPCVAHLELKMNAKTRKDFDQGSMDFGKSHPLLRGIVSGYLDFNALERDRLYVIDGEVDLCEVDIRTPYTQFMHYRLLLVASSGSRYILEGKKVMNPFLLGLNGWKESTTLQVTFKKVKKNDPNEEMVDLKGVLHISTFALMRSLLSMQGNNKMKFVLLLLQSIFRTYVIQKPRGNFIGSPHVEPVNGPYPSSILHEIRTEDGFVISCRQWKIKTDGSLRFGRLKNPYPVLLINGYSTDSFWLPTEENDLVRTLLDKGHETWLLQPRLHPLNSSNSFTIEDIGRFDIPAAIDKILKLNDKSTKIHVVAHCVGGLAIHMAIMGGHVSATRIASLSCTNSSMFFKLTTFSRFKMWLPLLPITMMILGRNTILPILNTTKTNLNQKLVKFVARFIPRYERCNCDECEVFSGIFGNAFWHNNVTSSLHSWINKKNLPSLPMAGFTHLRKICNTGFIVDGKGNNSYLIHAERISLPTLYISGGRTLLSTPQTSLLGNKYMKLHQPNFRHERVVVDGFGHSDLLIGEESCKKVFPHILSHMGLAEKEENLEYDGNEKEYFSGYGQFEDGELVFKSWVPSSMIIWFLLFLLVLCLVIFH >cds-PLY99904.1 pep primary_assembly:Lsat_Salinas_v7:7:16207530:16208620:-1 gene:gene-LSAT_7X13221 transcript:rna-gnl|WGS:NBSK|LSAT_7X13221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGLKDALLKRRKHDQKGRILPSKENYHRQQPQNQTSLNPPPISGVQLSLKQVAIFLAIYLAIGTICFFLVRDQISGRKTNGILDSMYFCVVTMTTVGYGDLVPETNFAKLLACVFVFMGMGLGGFALSKAADYIVEKEEIIVVKAMHIHDACGLNEILNEAERYKVKYKFLTVLILIAFLAIVGTVFLSIFENMTFFDAFYCVCATITTLGYGDKSFSSSRGRLFAVFWILMSTISLAQMFVYLVELWTESRQRRLVDWVLHRKLTIQDIEKADLDNDKRVSPAEYVVYKLKEMGLVSEQLIMNVMEGFNDLDVDHSGTLTVNDVSD >cds-PLY95564.1 pep primary_assembly:Lsat_Salinas_v7:6:173780129:173783599:1 gene:gene-LSAT_6X104880 transcript:rna-gnl|WGS:NBSK|LSAT_6X104880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKISEKITQVRWFYGSSLTTTFTGNSLVPVHITTIKFKTPLLPFPRTQVLYRCCRSIMKTDTTLPIIVKETIDPTDKEKQIFDRLREVLVHFNLDTQLRVAGGWVRDKLLGKDCYDIDIALDNMLGKEFCEKVNEYLVSTGEQSQGIGVIQSNPDQSKHLETARMRLFNVWIDFVNLRSEDYTENSRIPTMQFGSPEQDAYRRDLTINSLFYNINTCSVEDFTKRGLNDLKSGKIVTPLPPKETFLDDPLRVLRAIRFSARFEFEMDEELKVAASDNDVKSAIGGKISRERIGHEIDLMASGNQPDKAITYISELGLFWVVFTLPENCKPSISQEDDRICVEYMNLGMRQFLEVGCSFTNEQRRIYLYASLFLPLRKTVYIDNKKKTFPIVNFIFKNSLKLKVSDADDVTRLHNGVDKLLCLIPFVLSNEDMSKIDWEIDLIEVPVKLKSRILLGLVLREMKDLWRVALMLSSIVGEQVEKRREVFMEVEREILKLGLEKVWEVKPLVNGKDIMKHLELEKGGPVVSEWQRKLLQWQLAYPSGSLEECVDWMKREMEKKRART >cds-PLY92445.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:339540:341156:-1 gene:gene-LSAT_0X14761 transcript:rna-gnl|WGS:NBSK|LSAT_0X14761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIHLLPIFISLLFTTLQSSSSASSQQSIYDALSSNGLPIGLLPKGITNFTIDPSTQRFEVHLNRSCNTKFETSVRFDWNFSGSLSYGQISNLSGIAAQDLFLWFPVQGIRVDVPTSGIIYFDVGVVFKQFSLSSFETPRDCTEFDDVDASRTELTVLKDHTRGFQDKSAKLIKQHGEGDEQRAIA >cds-PLY75852.1 pep primary_assembly:Lsat_Salinas_v7:9:195286665:195287564:-1 gene:gene-LSAT_9X120481 transcript:rna-gnl|WGS:NBSK|LSAT_9X120481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLRARPVVNTSSSDEEEDEKEINSGSRKPPPPSLSQRAISQTLASTAQLSNLLPTGTLLALQTVTPIFTNHGSCDAATRPLTAILLIFLTAACFLASFTDSFKSSDGQVFYGFATFKGMWLFDYQTAAASPSGLPDLRKYRLTVVDWIHAFVSAFVLVTLAMRDRSVVSCFYPRPSHEVQEVLDIVPLGLGLICSLVFVIFPSKRHGIGYPVTH >cds-PLY73532.1 pep primary_assembly:Lsat_Salinas_v7:9:77806685:77807572:1 gene:gene-LSAT_9X62861 transcript:rna-gnl|WGS:NBSK|LSAT_9X62861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQSFENVQRWLRELRDHADSNIVIMLVGNKSDLNHLRAVQETDGQGLAEKENLSFLETSALEALNVEKAFQMILLDIYQIISRKALAAQEAAAAVPTQGTTINVGDYNNNGKQKGCCSH >cds-PLY68411.1 pep primary_assembly:Lsat_Salinas_v7:8:23664116:23664316:1 gene:gene-LSAT_8X20940 transcript:rna-gnl|WGS:NBSK|LSAT_8X20940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGKSGEKKEKNMIPPRRGQIKEKIFEELGEKILNMTFGGGGDAKKNQVFPSSKDYIFDPPTKR >cds-PLY72640.1 pep primary_assembly:Lsat_Salinas_v7:3:183885743:183886018:-1 gene:gene-LSAT_3X110300 transcript:rna-gnl|WGS:NBSK|LSAT_3X110300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIKSKARRFVMRKTETSGNYEVNASKMGKSPKLVKVRSGGLQSEGSETVERPKPKGSKMARKSEVPRILKGEVQKCESKASRLRNSTLLG >cds-PLY64114.1 pep primary_assembly:Lsat_Salinas_v7:1:2959329:2962274:-1 gene:gene-LSAT_1X2361 transcript:rna-gnl|WGS:NBSK|LSAT_1X2361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQSSKQTEKPEDSHSTEYAPYPKIDPTDVAPPPATPAGENWTSVPVGSQPEAPPPPAVGQPMYTAAARSSNDPPQGSNAVPGGGATTMPSESNPYVSPGPVPASTTKKTMDTVKDVLGKWGKKAAEATKKGQDYAGDVWQHLKTGPSLADAAVGRIAQGTKVIAEGGYEKIFRTTFETLPDEKLLKSYACYLSTSAGPVMGILYMSTAKLAFSSDNPLSYKSGEDTQWSYYKVVIPLHQLKAVNASKSKANPAEKYIQIISVDNHEFWFMGFVNYDNAVQNLQAALQSHYQV >cds-PLY96199.1 pep primary_assembly:Lsat_Salinas_v7:3:92867989:92870572:-1 gene:gene-LSAT_3X69020 transcript:rna-gnl|WGS:NBSK|LSAT_3X69020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATYQPIRGSGSSLQFLNHPFGDTTYTKVFVGGLAWETHSETLHRYFEQFGEILEAVVITDKHSGRSKGYGFVTFRDAEAATRACIDPSPIIDGRRANCNLASLGRPQPPLPFGRMRPITPFLNTLQGGPFIGGPNYRQPVPYSYQQFPYSLYGYPPSPYAPEYLFHQVQYNPYTGQMQPNPQMFGPSPSPINSNVFPFGQMGPLPPGSPGIMTPGPHVVSYSRPNVSVTTTETVPMSPAPYVTGIGPPFLGQMRSAQAHSSQFTQK >cds-PLY76246.1 pep primary_assembly:Lsat_Salinas_v7:4:55811726:55823833:1 gene:gene-LSAT_4X37780 transcript:rna-gnl|WGS:NBSK|LSAT_4X37780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIILDCSLRLLVTVLTLVLSTLVLFEGPGIHAQGGYLPPEEVEALREIAEQVGKRDWNFSLNPCDGNPNWATPKRIEMPLYANTLNCSCSFPRNVCHVVSLNLKGQDLDGILPRSLAKLPYIKTIDLARNYLSETIPREWASMTTLEFLSVFVNRLSGGIPTYLGNITSLRYMSLESNMFSGSVPPELGKLENLATLVLNANNLSGKLPVELNNLTNLKELRLSSNNFTGKLPSLESWSHLEKLEIEGSGLEGPIPESLSFLSNLTELRISDLSGEGSHFPNLSRMTSMSLLVLRSCNITGMIPDNISNMSLLKHLDLSFNKLNGDIPDVSRLNLEKMYLTGNSLNGSVPTWIKNKDVKLAVDLSYNNFNENVVPSPSVCVGNLNLYRSYSSGNNSDLGKCFNSRPCLQNYSSIHINCGGPEVTIGKKTYQADEDPGGPAEFVPLNDHWGYSSTGSVLNLNDKKYIATNVSVLTMKDTELYTNARLSPLSLTYYGRCLQNGNYTVTLHFAEIIFRNNRSYQSLGRRAFDVYVQEVNRFKNFDIKNEAGDVDKPKITIIKNIRVTNGTLEIRFQYAGKGTAFVPDKGVFGPLISAISMESEFKVQSHGKNYTYVAIGVVAAVLCLSLIILGIAWKMGYIRSKNSREKDLRGLDLQTGVFTYRQIKAATDNFADSNKLGEGGFGSVYKGTLLDGTLIAVKQLSSKSKQGNREFVNEIGMIAGIQHPNVVRLHGCCVERKQLLLVYEYMENNSLAHALFEQYNYKLEIEWPTRQRICVGIAKGLAFLHEESVLRMVHRDIKATNILLDADLTPKISDFGLARLDEEENTHITNRVAGTIGYMAPEYALWGFLTYKVDVYSFGVLALEIVTGKDNMRYTPHEDCFCLLDWAVVLKQNGSLIDLVDPRLGFDFNKKEAVRMIEIALLCTNESPTLRPIMSEVVNMLEGHTEIEEPTMNVITSKDKVGFQALAEKFEGMQSHEFDQTDTSINPTSSSSNDTYFGSQISQTY >cds-PLY81530.1 pep primary_assembly:Lsat_Salinas_v7:2:126859316:126860754:-1 gene:gene-LSAT_2X59281 transcript:rna-gnl|WGS:NBSK|LSAT_2X59281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVVKAAVGDAVVTFMWIFCASTLGAATSIITNSMGAGGLASLAITTSLIFVISVVFGLIADAFGGASFNAIGTIAFSIAGAGGDDDTLMSTAVRFPAQAIGAAGGVMALLELMPLEYKHLLEGPTLKVDLHTGAIAEGVFTFVITFVVLLIIIKGPNSLFLKNWMLSMATMVVILVGSSYTGPSMNPANAFGWAYVNNKHNTWEQFYVYWICPSLGAILAGWFYRFVFPPPPPQKPKTA >cds-PLY65206.1 pep primary_assembly:Lsat_Salinas_v7:8:21080197:21081832:1 gene:gene-LSAT_8X38181 transcript:rna-gnl|WGS:NBSK|LSAT_8X38181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCNHFLSMDSSLFDPAAVDLSLPPDINLPLSAEPSPPPPGSIDSCDMLEPGLGSQHYQSETHINVTKSGKKTAKRLDSMWGAWFFFNFYFKPVLNEKSKNKNMDNNGHDIDKSQLKLDVFLVQHDMENMYMWAFKERPENALGKMQLRSYMNGHSRQGEKPFPFCADKGFVRSHRMQRKQYRGLSNPQCVHGIEVVRSPNLMVLEEEERRRWMELTGRDLNFSITLEACDFSSWRNLPSTDFEIERPLIPKDTANHHHQQQEPKRLLSGTGTGTGTGTGDTITSVPDLLAGCNGKRRKSNSPRGNHDEESSDSVLDVHQVDPHWASEISGVLRSAYGPVTAAKTIYEDEEGFLIIVSLPCVDLQRVKVTWRNTISQGVVKICCVSTGCTPVLKRENRTFKLSDPAPEHCPPGEFVREILLPTLIPEDAKLEAYRDETGTMLEIMVPKHRVGPEEHEVHVCLRSSPSVLMLT >cds-PLY65263.1 pep primary_assembly:Lsat_Salinas_v7:5:85174932:85176587:-1 gene:gene-LSAT_5X40321 transcript:rna-gnl|WGS:NBSK|LSAT_5X40321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLIDVVQRLGVAYHFEEEIEETLKHIFVTYGDHWIDDSNLQSTSVWFRLLRQQGFNVSSGIFKKYMDSQGNFMESVRKDVQGMLCLYEAAYMRVEGEEVLDAALSFTTFQLKNIANDHMCDDVSLKIQIEQALEQPLRKRLPRLEAMRYIPIYQKEASHNEALLKFSMLDFNILQSLHKKELSHISKWWKNLDLQNKLPYVRDRLVEGYFWILAVYFEPQYSDARIFLMKTCNLVIILDDTYDNYGTYEELEIFTQAIQKWSPSCIDTLPEYMKIIYQELLDVHREAEEVLELKGKAYQSYYTKEMVKEYTRNLLIEAKWAKEGYIPTVEEHMSVTMVTCAYGMIIAKCYVHGDDLVTEDTFKWVATYPPLVTASCLILRLMDDIATHEVL >cds-PLY70606.1 pep primary_assembly:Lsat_Salinas_v7:1:89375805:89376575:-1 gene:gene-LSAT_1X74881 transcript:rna-gnl|WGS:NBSK|LSAT_1X74881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATVDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLFSKEATGGEKQFSSQGHQGNFSKNEGSGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIQPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGVNFNGNRQGYIPKSGARFQNQYYGLLLKSISLILYKKGTADLILYKNSRTDTYLSL >cds-PLY85451.1 pep primary_assembly:Lsat_Salinas_v7:3:43053776:43055473:-1 gene:gene-LSAT_3X33461 transcript:rna-gnl|WGS:NBSK|LSAT_3X33461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMGLKKTNDKVNYIGFSWKLIRQNTRYLLKVNDQRDLCTFGALLPIRLIPFLRTTIISRALPVDGTTDLDWKAEL >cds-PLY64765.1 pep primary_assembly:Lsat_Salinas_v7:5:59925454:59926813:-1 gene:gene-LSAT_5X28320 transcript:rna-gnl|WGS:NBSK|LSAT_5X28320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQARFLQYLKKHSQNQRNMSSLDTIPSYSTIDIIRKHLLDDHDDFSKMHSPATNPGCDQTGSSSENAPVSLKKNGEEESGDGEDNQVKYKNVKKKRKSAENAEDEVVEWTRYRGVRRRPWGKFTAEIRNPEKKKARLWLGTYNTPEEAAVAYDKAAFSFRGTRAKVNFPLLLRKGDYNPVSSSCSSNSDYGKCKKKAVVDPPTTTTTTCWNVGQDDSSPLSTLEEPPATTNVTAVVDARNDRCFHRESPGSTVHSPTTSVSLDSLWNFQMSPLPPISPTISIGDYTGHSNEASSKLDNVSSFDDSLSTTTIHACRGVFTDGYWLSNAEPVVAMATTTSVVAEESCDNDSFWDTLVQNTIDSPTTTSTSEDVEMYGGDIDSIWNFEMDVSTSEYFPTINGESYTLNMTNRADNGSSEHDPLWDLQIDTLIHDDLYFLDCL >cds-PLY76813.1 pep primary_assembly:Lsat_Salinas_v7:MU042712.1:1005367:1005573:-1 gene:gene-LSAT_0X2501 transcript:rna-gnl|WGS:NBSK|LSAT_0X2501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDWAAPIISAALFALLAPGLIVQMPGKESSVGFMNMKTSIISMFLHTVLYGLLLILFLVVLDIHMYA >cds-PLY83862.1 pep primary_assembly:Lsat_Salinas_v7:3:49507412:49508207:1 gene:gene-LSAT_3X37141 transcript:rna-gnl|WGS:NBSK|LSAT_3X37141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTGKIVREVEVKCHHHQVHGIYKNNHNDLAVIAPDKVEACHLVSGQWGAPGSVIQWNFYHDGKVETAKVIIEEVDDEFHKIVYKVIEGAVLEFYNPVILTFSTEDKGDKKLVIWTMEFEKVNASLPDPTHYLDLLCAVVEDVDGHLFK >cds-PLY96650.1 pep primary_assembly:Lsat_Salinas_v7:7:45858404:45860751:1 gene:gene-LSAT_7X33901 transcript:rna-gnl|WGS:NBSK|LSAT_7X33901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKVHIVYFLSHKGRIEHPHLIRVHHHSRNGVRLRDIKRWLSELRGKDMPESFAWSYKRRYKAGYVWQDLLDEDLITPISDNEYVLKGSEISSITITNDLSSYGEEEVSKPKSSPSFKVDIKDPTISLVKETEENSINLSTKTSFEIEELPSYYGSETSTEDTTKQQEDYQFLGPCKHAEVRSQKKTELDSLFDNYLNKNKNDNTNKKDGNQKETSSSKSSPSLNNSCGRLGASHMFLNLLTCGIVDANESAVSVINRRNSTSSMMNVASSKDKNIGQVVKGDKIGGSERVFRGHWPTLEQQRESRKEGSKKSYNLNDSSNKKTFPVGYKFVNGPYCSQCGRQFNPEKLHTHMKSCRGLKSLIKAAKSKF >cds-PLY85326.1 pep primary_assembly:Lsat_Salinas_v7:1:186808618:186813407:-1 gene:gene-LSAT_1X120060 transcript:rna-gnl|WGS:NBSK|LSAT_1X120060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTKGKSGSHQILKDRAKNRVEDLHGMFTDLQSARKESRGIDVAVLEEQVNQMLKEWKNELNEPSPASSLQQGASFGLSSPDISKLLQLCEEEDDATSGLAPPKPDPDALETGENAAFHKKEQESKSTGQSKGSPSGIEIERELDYFSFDDNNNNIIIQEGNDDLLPMMSFLPNISPPPSAFLGPKCSLWDCPRPAQGWCPDYCSSLHAAVAQTEGCPGMKPVIRPKGIELKDNLLFAALTAKSQGKNVGVPECQGAATAKSPWNAPDLFDIAVFEGEMVREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVINDSGWLKRSYYMDPQPMKSLEWHLFEYQITKCDDCALYRLELKLVHGKKGTKGKTDSVSDLQKQMKSLTAEVPLEGRNKRAVKGGATTPPPHHGGYRLT >cds-PLY81220.1 pep primary_assembly:Lsat_Salinas_v7:4:104408585:104412426:-1 gene:gene-LSAT_4X68061 transcript:rna-gnl|WGS:NBSK|LSAT_4X68061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLAWIRFKNHTKLEVFEPPMVGNPINSLCLASQFLVNVFIDVISGLELKKHHHLLKHLQNVSNALASTKRLENIGWKLDEQKEISTLISNDYNRQHFNPMKRLSAIAWHKISSKYGGGPELGENDCCIECLKETALPTVSADSYRDRRSVMKEYAEAALSIDIASSARGLPQMVAKVAKTTANSISELQKELEGSESSKEEQDHQEASDKDQECEDEDDKKRKGIKTIDSSVENFASGAWQALGNAWKGGSTFVQKLEKSIQQGGIPAGGSVAPSLLETGRAFTAKGLQVLEYVGKETVDLLIAESGMEVDKNGGEGGHGTEDVAPEDLVTSKHLVTLIAVVSKFSQKDWLSSYETLTTYVDLKLWFRFAILNIIQKLKRAENRSWKN >cds-PLY94239.1 pep primary_assembly:Lsat_Salinas_v7:8:69198996:69205692:1 gene:gene-LSAT_8X49401 transcript:rna-gnl|WGS:NBSK|LSAT_8X49401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTSMASSSSFSPSVPEFSSKSWKYDVFLSFRGEDTRKTFVDHLYSALEQQGIYTYKDDETLPRGELIGPNLMKAIEESQIAVIVFSENYADSSWCLHELAHIMKCKDTGNQIVLPIFYNVDPSDVRKQKRKYGETFCKHELENKSNVESWRKALVDASNISGWEPKHIANGHESKVIKEIVDTISQRLQLVTSSADENLVGIAARVQGLESELQIGSGGVRMIGIWGVGGGGKTTLVSAIYDEFSSKFDGCCFVKNIREESRKNGLEKLQEKILSGVLNQKKVQGIGRVEEGRHMMLNKLCRKKVLIVLDDVDQLNQLKALAGSHDWYGEGSRIIITTRDEHVLNANRVDVKHNISLLNNDEAIKLFRKHACQDYRWTEDYKQLSKEVVSYAGGLPLALTVIGSFLCDKNIREWRSALARLKEIPDDNILETLKVSFDGLKPLEQDLFLDIACFFRWQKKDTAMEILDACGFYPVIGIKVLIQKALITISKDGEFDMHDLVQEMGHHIVRGEHPKNPEKHSRIWKKEDVLRICAMDAMMESDKIEAIKMDFWIQPAKGQEQNLHSVAANMKNLRYMESIGDPAKSLFNELPLRELCCLLLTFGSQTQLWEGCKLLPSLKLLKLHFMDKLIITPDFNGLPNLERFILYGCLCLVEIHPSIGCLEKLVYLSIEACPNLEMFPPIRGIKKLETLEFPGQPKLVKFPKIQQQKMDNLQHLDLDNSGSKVASYIESYSNYFVICWRCGCSNLPGVECCVEDPCLHRNIRLRFFNKLHELRFLRKLNLSVCHLGDEDIGSDVLEFLIETECKL >cds-PLY70693.1 pep primary_assembly:Lsat_Salinas_v7:3:105025979:105026758:1 gene:gene-LSAT_3X76801 transcript:rna-gnl|WGS:NBSK|LSAT_3X76801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKKITTKKGNEEKERNCRTTICELLRLIGFQCPTTDATILRAEAEHKLNEIKKSKLARQDSNSPESVVAEINKVECDESITTSTFDSMDSRSTSRFNHCGGKCRKVMRFIEEIQGAEIVESVFEKKLTYSDVNPSQGRLLMPLKQVRRPDILKLMQKAGAVRLYVLSRLQHYDVCLTHWDMSTNQNYVLKSGWNKVVKENALGETMVVQLWSIQVGDIPSLLLLLVDGNNGVDGDSCDCNCTISSDECESSRAIGNDA >cds-PLY76448.1 pep primary_assembly:Lsat_Salinas_v7:5:199433936:199435485:-1 gene:gene-LSAT_5X90280 transcript:rna-gnl|WGS:NBSK|LSAT_5X90280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDSIPCRDILTLDESKGHSKSTIGIILQHGSTNAKWHISTFTHCTHIIKCSRTILSYAIPRVIPPAITEDYCQPRPYVAWMRLAAIEKGEAEKILQIKRAKGETESKYLSGLGVAQQRQTIMDGLRDSVLGFSVNVPETTAKDVMDMVLVTQYFDTMKEIDATSKSSAVFIPHFMAL >cds-PLY93858.1 pep primary_assembly:Lsat_Salinas_v7:6:145965875:145966724:1 gene:gene-LSAT_6X89441 transcript:rna-gnl|WGS:NBSK|LSAT_6X89441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYRHLLEEEVARESLIAISNGVGEEIVYLPESENGNGVEDATVIHNAKIKTIEDELIRSELISIAAFESSAADNNSDES >cds-PLY93446.1 pep primary_assembly:Lsat_Salinas_v7:9:58723280:58725172:1 gene:gene-LSAT_9X51960 transcript:rna-gnl|WGS:NBSK|LSAT_9X51960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSVENQNPNHSSPLNWSNLWLKNKKALDHVSSTIRRRSFYRKPPPPPTPQSPPPPQQPPPPPQQLPPEVTVVPLSPHFQQTHYNETLLPDSPEVVPSHDFISLLSDETLLQILSKLPDRSQRNSNSLVSKWWFNLQGRLVRSVRVFDWSFLTSGRMFTRFPNLIDIDLLHGSVISSSHLKSCGLSLGREFGPFCIESDVFSPNNHTFRPVNEVDSVLKCLATAYPNLQRLVLLNSSEIGLLSLAEGCPNLQEMVLHHCHDQILHGIAGFTNLQILKLIGTIDGFYSSLVSDIGLTILAQGCRRLVKLELRGCEGSYDGIRAIGQCCQMLEELTFCDHRMDNGWLSALSYCENLKTLRLVSCKTIDQIPGLDEHLGACRLLERLQLERCRLCEKESLRALFLVCRSVKEMVVKDCWGLTDGIFLNANLCRRVKFLWIEGCSRVTTEGLESVVLSLKELESLKVISCKNMKDDEVSPVLSMLFYSLKDLKWMPDNRSVLSTSLVGSGMGKRGDYVALALVVY >cds-PLY84726.1 pep primary_assembly:Lsat_Salinas_v7:5:228889182:228891260:-1 gene:gene-LSAT_5X108701 transcript:rna-gnl|WGS:NBSK|LSAT_5X108701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicarboxylate transporter 2.2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G64280) UniProtKB/Swiss-Prot;Acc:Q9FMF8] MGSSAVYSAVVSPSSRASLHFKFRPLPLICPTAKRTFLPLLRSLPPPITSTPHRLFLFTTSNPSILTPLPKPIRPFSASSSNDDKSIIESPKFQLQGAKIVPLVVSLGVGLIVRFFIPQPDEVTPEAWQLFSIFLSTIAGLVLSPLPVGAWAFLGLTTTIVTKTLSFSTAFSAFTNEVIWLIVISFFFARGFVKTGLGERIATYFVKWLGKSTLGLSYGLTLSEALIAPAMPSTTARAGGVFLPIIKSLSLSAGSKPNDPSSKKLGSYLIQSQFQCTSNSSALFLTAAAQNLLCLQLAAELGVVVADPWLSWFTAASVPAFSSLLLTPYILYKIYPPETKDTPEAPAMAAKKLELMGPITTNEWVMIFTMLLAVSLWVFGDAIGISSVVTAMLSLSILLLLGVLEWEDCLNEKSAWDTLAWFAVLVGMASQLTNFGLVTWISNYVANSLQSFSLSWPLAFCVLQAAYFLIHYMFASQTGHVGALYSAFLAMHLAAGVPGVMAALVLAFNTNLFGALTHYSSGQAAVYFGAGYVDLPDIFRIGFVMACVNGVIWGVVGGFWWKFLGLY >cds-PLY75068.1 pep primary_assembly:Lsat_Salinas_v7:9:21533499:21534601:-1 gene:gene-LSAT_9X19600 transcript:rna-gnl|WGS:NBSK|LSAT_9X19600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDDAKLNPINLTNDIVPRVMPYLYNSAKTYELLLSGLADVEADQWAKHRKIMNHAFHVEKLKHMVPAFYISCSNMINKWEVLTKERSCAVDVQHLVVAMKKEERYLNFKKNKHS >cds-PLY85650.1 pep primary_assembly:Lsat_Salinas_v7:6:86030156:86032312:-1 gene:gene-LSAT_6X59880 transcript:rna-gnl|WGS:NBSK|LSAT_6X59880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide isomerase pTAC5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13670) UniProtKB/Swiss-Prot;Acc:A1A6M1] MSSSLPLSFNYLPSWHKSSTTPSEFNKNVFSFSSFSKPISPSLSKSHVCFAFSNNSAEYEREETRWLREEQRWMREEQRWIREEQRWEAERESLLNEIKALKLQIQELQHEGANNSISNVTNLLHVLKKEVNQIAESGSSASPLVVEAAAAVEDAVEVVVKEVVRVEEKVKEKEVIKPAEEVKKKEVTKKRTTLRVGSEGDQVQMMQEALQKLGFYCGEEDEEFSSFSTGTERAVKTWQASIDVPETGIMTAELLERLYMDQKDESSGFKESGNGVVVASITPVPETPSRTVNEYTESEHRVFLLGENRWEDSSRLTNKNNINSKGVLTSKCITCNGEGHLLCEECDGTGEPNIEPQFLEWVGEDTKCPYCGGNGHTTCDVCQGSGMPLGSMRE >cds-PLY96634.1 pep primary_assembly:Lsat_Salinas_v7:7:44208459:44210075:-1 gene:gene-LSAT_7X30341 transcript:rna-gnl|WGS:NBSK|LSAT_7X30341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPLDHEEFRRQGHMVIDFLADYYKNINSYPVRSQVNPGYLAERLPECAPLLPESIESILKDVDKDIIPGLTHWQSPNFFAYFASSTSTASFLGEMLINGFNVVGFNWLSSPAATELEIVVMEWLSKLLQLPQSFSFSGNGGGVLLGTTCEAIICTMLAAREKMLDQIGRENTEKLVVYCSDQTHVCLEKAAKIVGINPENVRKVLTTKSTNYKLSPQRLEESIKRDVEAGLIPLYLCATVGTTSTATVDPLGPLCELSSKYNMWVHVDAAYAGSACICPEFRHFLDGVEGASSFSFNAHKWLLTNLACCCLWVKDNSALTKPLSTNSEYLKNKATESGQVVDFKDWQITLTRRFQALKLWMVLRSYGVTGLRQFLRNHVKMAKDFEMMVTMDTRFEIVVPRYFSMVCFRVSPHVINRHHGNDHEANEFNSKLLESVNATGIIYMTHSMVEGVFIIRFSVGATLTEDRHIKMAWELVQDQATSLLDTLTPKADSNGKKPSKQIEDHSI >cds-PLY81432.1 pep primary_assembly:Lsat_Salinas_v7:5:334890716:334891302:1 gene:gene-LSAT_5X188140 transcript:rna-gnl|WGS:NBSK|LSAT_5X188140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIDRLCFCRWSDEKYVVVNKCKELRNPNIMFRDGLMKLSLLEGDEWKTMLEEASASGHLDATFVLGMMMMAEDIQRNQEALDMLNHAYRTKGIWNLRVTCSKVHLHLNKDGRKHFHLQGFHRTCVMHRSMISVPDAFVYGYKWVFRCEICLWEACCVRFTREFGIIYE >cds-PLY90751.1 pep primary_assembly:Lsat_Salinas_v7:3:35794405:35795029:-1 gene:gene-LSAT_3X27020 transcript:rna-gnl|WGS:NBSK|LSAT_3X27020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQKEARAKKAKRAAEIAIHMTEFQALLDHENMNVERTQAKEKANVALEKLMAQSKAQVGKMHNQTERLMAEFKICVEEVRDLVNEEEAEVKAIISKGTLIRSLLEDMPKRERTEVEARYSRQLEEIEAQHVRLASRLVTLEGILACEQLMSHCISAYLASSNSSTTTIPTTSTSSINPMP >cds-PLY64537.1 pep primary_assembly:Lsat_Salinas_v7:6:36448739:36450536:-1 gene:gene-LSAT_6X28821 transcript:rna-gnl|WGS:NBSK|LSAT_6X28821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALEEPHDTQLHFLIIPITSPGHYIPTIDMAKLLAQHGVRVTIVTTPVNSIRFGSILDQAIQSGLPIRYLEFPLPTAEFGLPEGCESLDEVPSDLARKLFLAHSSLQKEVEQYIEKLNPRPSCIVSGSFLLWTAETAKKFQIPRILFDGMNCFTQMCNHVLYLTKVYESVDESESFVLPGLPDRIELKRSQLSFIFNSGSKDVADLVEKLRISESEAYGVVINSAQELEQGYADEYQKIKEDKVWCVGPLSLCHKDVSEKALRGNKPSINETECLNWLDSQDNGSVIYACLGSISRMEPDQLVELALALESSNRPFIWVVRAGPKTPKIEKWIDEEGFEERTKDRGLLIRGWAPQLVILSHPAIGGFLTHCGWNSTLEGVCAGVPMVTWPQFQEQFYNEKLVVQVLRVGVSVGAQNVVHWGEEEKSGVQVKSEELRKAIEIVMEKGNKEGEERRKRAKELSKIAHKAIEEGGSSHCNMRRLIEDVRKLS >cds-PLY75258.1 pep primary_assembly:Lsat_Salinas_v7:7:66409482:66410276:1 gene:gene-LSAT_7X47721 transcript:rna-gnl|WGS:NBSK|LSAT_7X47721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKALANISFLLMFNLLFFTLVSSTSTPKGCPPPPKPPTSPGCHYCTSPSKPPTPSAPPTPTKPSTPPTPTKPTTPSTPPTPTKPTTPSTPPTPTKPSTPPTPTTPTKPSTPPTPTKTTTPTKPSTPPTPTTPTKPSTPPTPTKPTTPSTPPTPTTPSNPPTPSTPSNPPTPSSPPKATCPTDTLKLGVCANLLNNLLPIVIGNPSETPCCSLLSGLADLDAAVCLCTAIKANVLGINLNVPVSLSVLLNYCGKKSPSGFQCT >cds-PLY80848.1 pep primary_assembly:Lsat_Salinas_v7:MU041859.1:399066:400106:1 gene:gene-LSAT_0X31201 transcript:rna-gnl|WGS:NBSK|LSAT_0X31201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDSGSMRLKKGMWRSSGVAVGSVNANEKRRANGEEIPAIAECLRIIVNNKYICVTGFDETNIDVEKEENIENESNVVIIQ >cds-PLY96725.1 pep primary_assembly:Lsat_Salinas_v7:6:76673940:76674910:1 gene:gene-LSAT_6X56301 transcript:rna-gnl|WGS:NBSK|LSAT_6X56301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCHLGEVAKYKDEEDVTELIKVPCVLHMDPIRGSHTGLKGLMQSYLKEEWKGRQQEASKDISSRFDNLRFISLELPQQPNSFDCGLFLLHYVELFLEQAPINFNPFKITKSVHFLNTDWFPPADASLKRVVIQRLVYDLLQQPYDEAEAFYKVFSQVSAKRFLLAKFHINFDPCVNFNNPFVKLINMTVLPLSDSWILYFIGCLQL >cds-PLY88558.1 pep primary_assembly:Lsat_Salinas_v7:7:7924494:7924980:-1 gene:gene-LSAT_7X7401 transcript:rna-gnl|WGS:NBSK|LSAT_7X7401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTENGVSAYTLWCVRSNFTKLIEQARDSPLEIQKVNTLLISLLDDQTNRKKSMSLENASQGSCMGVSQIDMMPQLFVRDPVGPTTTKGRPKLASRIKSSLEAPKKRTCSYCQGLGHYATSCLKRKADESLQET >cds-PLY67246.1 pep primary_assembly:Lsat_Salinas_v7:6:136875307:136877870:-1 gene:gene-LSAT_6X82461 transcript:rna-gnl|WGS:NBSK|LSAT_6X82461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof zinc finger protein DOF4.6 [Source:Projected from Arabidopsis thaliana (AT4G24060) UniProtKB/Swiss-Prot;Acc:Q8LAP8] MDRPHWPPQEIVVKPMEEIVVPNTTNSSNNYNNLSKPSSSSSSLERRIRPQKAAAVNCPRCDSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGTLRNIPVGGGSRKNKRSSSSTTSSSLTSVSKKLPDLIVPSAPTSVLSQNPRILHDHYGQDLNLGFPSANNFKNVSDFMQIPNFDATRNTNSSASTTSSITTTASASAQLSALELLTGITARGTMNCLMPIPIPDSNSVYSQSGQLMIPMPEFKIPSLSFSLDGMASGGAYGNSLDDSTDRRVLFPFEELKTNTSTTTLDEQHVAQDRDQNGDSNGFWNGMMGGGSW >cds-PLY85042.1 pep primary_assembly:Lsat_Salinas_v7:7:6074118:6076767:-1 gene:gene-LSAT_7X5261 transcript:rna-gnl|WGS:NBSK|LSAT_7X5261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRKPGLIALFDVDGTLTAARKSLKTHLGDDNIKEFVNFTLHYIADLDIPIKRGTFIEFRSGMINVSPIGRNCSQEERDEFEKYDKVHNIREKMVEILREKFAHLNLTFSIGGQISFDAFPQGWDKTYCLKYLDEFEEIHFFGDKTYKGGNDHEIYESARTLGHTVSSPDDTVKQCTSLFLNN >cds-PLY82400.1 pep primary_assembly:Lsat_Salinas_v7:2:186492591:186494734:1 gene:gene-LSAT_2X108580 transcript:rna-gnl|WGS:NBSK|LSAT_2X108580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLDCFKKQEKVEVDLYEIDIPLKFTASVGTRIHGLACWFDVLFNGRFTHEQVFGLSMNNIQMELTLLLVCYTQYLLHLPSLLFYDCSCQIADTVVMKPPFGTRKKGADREFLYVALKQVASQAVYSLLLTYGNLFLNQNKNLHENDVALITRIRDVDFED >cds-PLY93099.1 pep primary_assembly:Lsat_Salinas_v7:5:176254455:176255840:-1 gene:gene-LSAT_5X77280 transcript:rna-gnl|WGS:NBSK|LSAT_5X77280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKRVVLELSKADCPEPEEMVTVSVDGSVLDRIGKIMSYLRLGSSGKILKKKKKDKDVKGKFSAFSGNYDAEKFLKPEREFLPPPPGPPRPPPPPRSNHSDEIEKVEVEPTVARVEEDDIFVGEGIDYSVPSQDMSQSPLSEDMEESPKRKERPSYFDEPAYGPVPPSDPS >cds-PLY89724.1 pep primary_assembly:Lsat_Salinas_v7:7:42831775:42832321:1 gene:gene-LSAT_0X28361 transcript:rna-gnl|WGS:NBSK|LSAT_0X28361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAANAECVWGTTWGGKGGSRTWEFIIPDGSTLTKIALSSGDALDFIRFTYKDGYGHTHSSEKFGGDGGSPHMIIFDDNEYLIGISGRVGSFGDHTVITSVTFQTNIRTYGEYGTNPGTDFSFGVTRGKFSGFYGKCGSSVDSLGVILQA >cds-PLY88210.1 pep primary_assembly:Lsat_Salinas_v7:MU040192.1:2215:2709:1 gene:gene-LSAT_0X39101 transcript:rna-gnl|WGS:NBSK|LSAT_0X39101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPAFSSQSWNHDVFLSFRGEDTRKTFVDHLYTALVQKGIYTYKDDETLPRGELINPSLMKAIEESQIGVIVFSENYADSSWCLDELAHIMKCKDTRGQIVIPIFYGVDPSEVRKQKQKYGEAFVKHELENKTKVESWRKALVDASNISGWEPKHIANG >cds-PLY69693.1 pep primary_assembly:Lsat_Salinas_v7:5:211366794:211369774:1 gene:gene-LSAT_5X97181 transcript:rna-gnl|WGS:NBSK|LSAT_5X97181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGLLENNGDGFFPNSGIGFLWCSRDDTLSQSASFFASVGQMGRGGFGNINPNSPNPTNPNDNEGVKLPLLSKVVSTPESSFIAVGGLPKMEANEEWGKKGVLKKKGGLKLKIKIGNPSLRRLISGAVAGAVSRTCVAPLETIRTHLMVGSCGHSTGEVFQDIMQTEGWTGLFRGNLVNVIRVAPSKAIELFAYDTVKKSLAPKPGEKSKPRIPESLIAGAVAGISSTICTYPLELLKTRLTVQRGVYKNLVDAFLKIMKEEGPAELYRGLTPSLIGVVPYAATNYFAYDTLRKTYKKMLKKDEIGNIATLLIGSAAGAISSSATFPLEVARKHMQAGAVNGRVYNNMLHALLTILEKEGVGGLYRGLGPSCIKIVPAAGISFMCYEACKKILVEKEDEES >cds-PLY78423.1 pep primary_assembly:Lsat_Salinas_v7:2:167189524:167189781:-1 gene:gene-LSAT_2X89240 transcript:rna-gnl|WGS:NBSK|LSAT_2X89240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVVSRREESPLDSTNSVNNVRIHVIYGECQRNLAVHDGGYALDGCREFMASQAEGTDGALICAACGCHRNFHRRVVESEVV >cds-PLY98743.1 pep primary_assembly:Lsat_Salinas_v7:1:8331446:8337246:1 gene:gene-LSAT_1X8480 transcript:rna-gnl|WGS:NBSK|LSAT_1X8480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLVEGVGESSSPPRSFGSFSGNEIVNDVYNRLVEIGNEEAINHPEFRDQLEAHFNRFPASYALDINLDRVEDVLLHQKLLVLAKDLVNRPVFHVRLLENFWTRADVDDCEQQELTPTDASDRDQEVGFEPCSQLEDLNLEVGKNSADKEEENLGESSRRVDVPDMPIHEVIFSAIDKPKLLSQLSALLSDIELNIREAHVFSTIDGYSLDVFVVDGWPFQESIALHEAMEKAISRSEGSWSGSSSDSISAVQKALATEAHFGDDAEIDRASLKIGEKIASGSCGDLFHGEYLGEHVAVKILRSEHINDETLGNEFAHEVAMLREVQHANVVGFIGACMKKPPLCIITEYMPGGSLYEYLHKNHKMLKLSEIVKFAIDVCKGMEYLHSSNIIHRDLKTANLLMDTQNVVKVADFGVARFLSQGGVMTAETGTYRWMAPEVINHQQYDEKADVFSFAIVLWELVTGKVPYEKMTPLQAALGVRQGVRPDVPRDTHPELKELMQRCWDFDPRNRLSFSQIRLQLEGLLLEIQDEAKTPNGIGT >cds-PLY65582.1 pep primary_assembly:Lsat_Salinas_v7:5:338941316:338943222:1 gene:gene-LSAT_5X190780 transcript:rna-gnl|WGS:NBSK|LSAT_5X190780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGKSKRTKRNSPRSDDIYLKLLVKLYRFLVRRTGSNFNAVILKRLFMSKVNKPPISLSRLIRYMSGKDEKIAVIVGTVTDDVRVHEIPCLKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNSREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFRN >cds-PLY77656.1 pep primary_assembly:Lsat_Salinas_v7:5:173124820:173128955:-1 gene:gene-LSAT_5X76481 transcript:rna-gnl|WGS:NBSK|LSAT_5X76481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNAPIVVTTYDASQSFLKILIRTLAQLLLKAFYKHPFSFAYRKSTHILKLGPTGYTAAMYAGRANLKPVVFKGYQIGGAICDGATGATAKRLKLPREDEFWSRGISACAICDGASPIFKGEVLAVVGGGDTGTEEAIYLTKYARHVDNPNITVHFKTETVDVDALVKDRLLDKLKTGPLCWCQACWQYSVEYPLSSILDSDVT >cds-PLY89424.1 pep primary_assembly:Lsat_Salinas_v7:4:63070131:63072116:-1 gene:gene-LSAT_4X42960 transcript:rna-gnl|WGS:NBSK|LSAT_4X42960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmodesmata-located protein 8 [Source:Projected from Arabidopsis thaliana (AT3G60720) UniProtKB/TrEMBL;Acc:A0A1I9LQV4] MFKYHKLSSIFLIIVIFLSYLIKSHIFIYYGCSQEKFQPNTPSETNLNSLLSLFVSSSSQALYSSYAIGNDTNASSESSVYGLYQCRGDLRTQDCAKCIKEAVSEVGLACPYSYSGSLQLNECLVRFEHYDFFGELDTNLRFKKCSKSVSHDVEFIKRRDDVLSELGEANQGFRVGSEGLVQGFSQCLGDVNPNDCSSCLGEAISKLKSLCRSSEAGDVFLAQCYVRYWASGYYNSPPESSGGDDIGKTIAIIIGVVAGVVAFIVLLSFCRKS >cds-PLY90965.1 pep primary_assembly:Lsat_Salinas_v7:9:107696170:107700906:1 gene:gene-LSAT_9X76240 transcript:rna-gnl|WGS:NBSK|LSAT_9X76240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRLDSKKNYADFKNHHDSKINADPHPPGDGGGDNLPPLPAGIGWTSWKKRWFILTETSLVFFRSDPNASPQKAGDSNLTLGGIDLNSSGSVVVKADKKLLTVLFPDGGDGRALTLKAETSEDLFEWKDALEAALSNAPSAGIEQGQTGTPKNEKGDTHDGSQEQSKDEKTKSIVLGRPILLALEDIDGTPSFLEKALCYVEDFGVNVEGILRQAADVDDVEHRIREYEQGKMEFTADEDGHVIGDCIKYVLREMPSPPVPASCCAALLEAYRTDRATKVGAIRAAICDTFPEPNRRLLQRILIMMEAVAENKTVNRMSVSAVAACMSPLLLRPLLAGEVDLGKGPDMGGDGSVQLLQAXXXXXXSNCYHPHRGIR >cds-PLY84880.1 pep primary_assembly:Lsat_Salinas_v7:8:145162790:145164324:1 gene:gene-LSAT_8X98201 transcript:rna-gnl|WGS:NBSK|LSAT_8X98201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHEDTESRDSDSYLLDDNSRFDGVELILFGCCTPLLVEEIIIVHLLQNNEDLVANFLGQPNVDFQHYVGYVTVNENNGRALFYWFYEAWTLPDEKPLVIWLNGACKQLRSVGVRSQELLEQRRCPLIAM >cds-PLY99025.1 pep primary_assembly:Lsat_Salinas_v7:6:151719081:151720646:1 gene:gene-LSAT_6X90861 transcript:rna-gnl|WGS:NBSK|LSAT_6X90861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNNGDKPNGYDLVYKIRECTNLGLYNSGQQLHSHVLRYGFNSDVFVSSALINFYVKLDLVEDAHKLFDEIPEPGLVAWNSLITGYVRSGKSSIGLHLFLQLQKSTISSDSYSFTAILPACGQLHLVQLGKSIHSKVIKFGIQQNTFIANCLIDMYGKCNHVEDAINIFDETCFKDTFSWNSVIASCARNQRIEQAFHFLHQMPNPDTISYNEIINGIAQFGNIEHAIEILSTIPNPNSSSWNSILTSYVNRNRPRNAIEFFSNMHSNNIKMDEFTFSSILSGVARLGAFTWGILIHCCVVKHGLDESVVIGSSLIDMYAKCGFVNTAEFLFELLPDKNLVTWNAMISGYAHNEKSTKVFEFFEILKDVKDLKPDGITFLNVLSACWHAKASLKVANRYFESMIYDYKIDPTVEHCSCLIKVMGCEGEIWRAEGVIKRLGFESSGEVWRAVLAACGGHGDLEVAEMAARKVIDLGGDMEFVYVMMSNIYAQFGKWEDARVVRKVMRDNNVIKEAGFSWIDI >cds-PLY95777.1 pep primary_assembly:Lsat_Salinas_v7:3:27449092:27451971:1 gene:gene-LSAT_3X20620 transcript:rna-gnl|WGS:NBSK|LSAT_3X20620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSDNHDGSPHNHHSQPHQQRRPRGFAAAAMGISTITTTINTSPRGGRNEREKEKERTKLRERHRRAITSRMLAGLRQYGNFPLPARADMNDVLAALAREAGWTVEADGTTFRQSTPSSKLGVYPGRSVESPVSSSSLKSYSVKTSLDFPSSVLRIDESPSPASLDSVVVPGIGTTECEKYSTSPINSHDCLETDQLIQEGQIVDQGLNFLGTAYVPVYVMLGTGLINKFCQLIDREAVRKELRLLKSLHVDGVFVECWWGIIEAQGPQKYCWSGYRELFNIIHEFELKLQVGLAFHEYGGNDSISIPLPQWVVEIGKENKDIFFTDREGRRNTECLSWGIDKERVLKGRTAAEVYFDVMRSFRTEFDDMFVEGLITGVEIGLGANGELKYPSFSEKMGWRYPGIGEFQCYDKYMQQNLVKSSSLRGQTFWGRGPENCGDYNSSPQETGFFCEHGDYGSHYGRFFLQWYSQFLIDHANTILSLATLAFEEIQILVKIPAVYWWYRSKSHAAELTAGYYNPDNHDGYSRLFKVLKKHSVAVKFVCPGSNLNLSSKENHDPEGLTWQVLNSAWEEGLCVAGENVFPCFDREVLMRLLETAKPSNDPDRHHFVFFNYKPPFPILPLLDTTLCFSELDQFVRCMHGENVGTEM >cds-PLY85083.1 pep primary_assembly:Lsat_Salinas_v7:7:5991605:5995661:1 gene:gene-LSAT_7X5080 transcript:rna-gnl|WGS:NBSK|LSAT_7X5080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEKAREKAHFRLIIVDGRLYMEKYDYVFQTRDVFTIWGILQLLKLYPGKIPDLDLMFMCHDWPLIRKSDYPDNKTTIPPLFHYCGDDLTYDIVFPDWSFWGWPEVNVKPWLNLSKELEHGNQKIKWKDREPYAYWKGNTYTGKARRDLAKCNSDGTHEWNARIHHLDWTKGFKETDLASQCTYRYKIYVEGNAWSVSEKYILACDSMSLVITPHYYDFFTRGLTPTIHYWPINEHKKCSSIKFAVDWGNKNTEKAQEIGKRGSEFVQNELQMKFVYDYMLHLLTEYSKLFKYKPFITKNAVEVCSCFGKGLVKEFKEISMVMGATKASPCTMQPPYDDSELESLLFKKLNLTRQVGIWEASGRI >cds-PLY98899.1 pep primary_assembly:Lsat_Salinas_v7:7:48697068:48704018:1 gene:gene-LSAT_7X35621 transcript:rna-gnl|WGS:NBSK|LSAT_7X35621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 54, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19490) UniProtKB/Swiss-Prot;Acc:F4JT76] MDPKPSPRSGRFAPINRHNSTPSSLLSKSLSDASTQNLSSILNNPHVSDGSWVGWWSSSTAVQVAEFAPPSANKTPGLEITRSDFTSYLSSISDHHSRFEDIRNHSNKESRDADAIDHHERAGEALVACLREVPSLYFKEDFALEDGATFRAASPFSTIAENTVLQEKLSQYLDVVELHLVKEISLRSNSFFEAQGQLEDLNLKIVEGCSRIRELKETIRLLDVDLVDSARQIQELNHTRSDMLALQEKLRLILYVNQSLAALKLLVASADCAGALDVTDDLKHFLDGDQLTGLHCFRHLEDHVAAAIDSVNSILSTEFLRASINDSGDKDVVILTKAKAKMTPLGNGEDNEVNLHEEDTSSFHDRLLPLIIGLLRTAKLPTVLRIYRDTVTSDMKTAIKSVVAELLPVLLARPLDSDFKSNDRIVDSDGGGSSLASKLRSLSSESFVQLLGTIFKIVQAHLLRAAEVKKAIEWIMSNLDGHYAADSVAAAIAHGALNSENAPLGDSQSGSFPRNSIKVSPIQPKSNDATSPSNLSKNFRADVLRENTEAVFAACDAAHGRWAKLLGVRALLHPRLRLQEFLQIYNVSQEFVTATEKIGGRLGYSIRGTLQSQAKAFVDFQHESRMTKLKAVLDQETWVEVDVPNEFQGIVDSLFSLESIGVGDSDDKSYNEQAVDGIVDTTGQVNNVKTKNEHGKSGAHLISFRGVGYHMVNCGLILLKMLSEYIDMNAVLPALSSEVIHRVLEMLKFFNTRACQLVLGAGAMQVSGLKSITSKHLALASQVVSFVHAIIPEIERVSQDYKVHRDEIHTKLVQIMRERLLVHLRGLPQIIETWNRSDETDVQPSQFAKSLTKEVGYLQRVLSRTLHEADVQEIFKEVTIIFDIQISDAFSHVDITNQQIKTRLNCDIQHILGCIRSLPSAKLSSESGAPLAGQLESLVQKISAEAADQ >cds-PLY68842.1 pep primary_assembly:Lsat_Salinas_v7:3:64307657:64310432:-1 gene:gene-LSAT_3X50260 transcript:rna-gnl|WGS:NBSK|LSAT_3X50260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTSIFCDNYECSHRVLAPLSFSIILGHGKRMVLECEYAVRGEIVSIAQKLQQDLQTNPGSKPFNEILYCNIGNPQALGQKPITFFREVLALCDNPSLLDKRETNGLFSSDSIARAIMVLDQMPGRATGAYSHSQGIKGLRDTIAAGIKARDGYPADPDDIFLTDGASPGVHMMMQLLIRSEKDGILCPIPQYPLYSASIALHGGTLVRYYLDEATGWGLEVSELKKQLEAARQKGITVRALVAINPGNPTGQVLAEENQRQIVEFCKKEGLVLLADEVYQENIYAADKKFNSFKKICRSMGYGDIPLVSFQSVSKGYHGECGKRGGYMEVTGFSTEVRQQFYKLASVNLCSNISGQILASLVMSPPKVGDESYDSYFSERDGILKSLARRAKKLEDAFNSLEEQFVFALDLIFTPAGPDLLHPLPPPEKSKDDILIFFKLYDPVKEELRFFVKSSGKPTEIT >cds-PLY89222.1 pep primary_assembly:Lsat_Salinas_v7:5:310497717:310499195:-1 gene:gene-LSAT_5X168041 transcript:rna-gnl|WGS:NBSK|LSAT_5X168041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREYKGVIALLEKDLRRVYYDQDHDISYDFKECAGVVLSQFQRANGKIDQPDKQLYEDLFILYYKGTDVLVDPAILRRLVETLELNDAVYIEIESQALNEMVINSGGDPLEKIEKIEKMLMVLKLIKDFVKGGNQSLDKSLTESPLVDISARQGIIETNYDNKATPNEFLCPISLQLMQDPVIIASGQQLKSNGLRQQHTGAGEISLLSRRNSEIRAAIAEAGAIPVLLQLLEKKTRDPLTQEYALISLLNLSLCDANKRCIVSNEGIPIIIQVMKSGSVENREHGAAILFSLAVNDDRRFLIGSLEGIKPLVWLLGN >cds-PLY75765.1 pep primary_assembly:Lsat_Salinas_v7:3:68818066:68819013:1 gene:gene-LSAT_3X56281 transcript:rna-gnl|WGS:NBSK|LSAT_3X56281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENNTNTDNGSSHSWWLDNTHNNRLHQSQWLQSTLSELEKKVKNILSFIEDDGDTFAERAEMFYKKRPLLIKSVEDLQESYKLLAGNYHQLLKRYENLRAFSKLDSPIFANPNRFSPKVLQSCDHNFEDELKKKRYEFFQFADDLENRCDEMRLSVMKLVEDNLELQDVLVKRNDQKREAINELRAHVKKLVGENYELKSKLARMEADVKRNRSQFLRAKDLIFKKILN >cds-PLY66505.1 pep primary_assembly:Lsat_Salinas_v7:4:340541007:340541672:1 gene:gene-LSAT_4X167061 transcript:rna-gnl|WGS:NBSK|LSAT_4X167061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDANFAAKISDFGLAKVGVIDQTRTHMRTAVKGTFGYMDPCYFYTGKLTRKSDVYAFGVVMFEVLSGRKAVDSTLEEDQWGLASWAQHKIKEGKLNRIIDPRLIGQISRKYLKEFASVAGHCLHTQPKHRPTMAEVMVTLESILSQERESANSVVDDEGFIYKVKSLFIGKLVVAAIRSKSDFIAHRKPILDEDNAAIRKNPYKSSRTFSYAELVSETNGF >cds-PLY78397.1 pep primary_assembly:Lsat_Salinas_v7:5:182342137:182342755:1 gene:gene-LSAT_5X80041 transcript:rna-gnl|WGS:NBSK|LSAT_5X80041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHDWYFSISCMKNISNGSPPTAMKVSKESSQSVDQSTITFGKDVVHCRHMWSWKEDIRKCVSLLSIFNGYCKGTSNQGLWFQIIKKSLPIVSSTLKSHLVYAFWVLFILASDECFAIV >cds-PLY68531.1 pep primary_assembly:Lsat_Salinas_v7:4:20971626:20971976:-1 gene:gene-LSAT_4X14660 transcript:rna-gnl|WGS:NBSK|LSAT_4X14660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLRQLFCVVFVILLLSEASPLMRINARRIRKPDNGEDDQKGLPSMLPRGIVPPSGPSPCHNMLYHKPTRFAPPPPPPPFDPPVPYSPPLTPPPLTPPFPFLFDPPPPPADEIICP >cds-PLY65952.1 pep primary_assembly:Lsat_Salinas_v7:4:139328503:139332358:-1 gene:gene-LSAT_4X87180 transcript:rna-gnl|WGS:NBSK|LSAT_4X87180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPASAQVICVTGAGGFIASWMVKLLLEKGYIVRGTVRNPDDAKNNHLRELEGAKERLTLFKADLLDFESLREAINGCDGVFHTASPVTDDPEQMVEPAVIGTRNIIVAAAEAKVQRVVFTSSIGAVYMDPNRSPDDIVDESCWSDLEFCKNTKNWYCYGKAVAEQAAWDEAKARGVDLVALNPVLVLGPLLQPTVNASIIHILKYLTGSAKTYANSVQAYVHVRDVALAHILLFETPSANGRYLCAESVLHRGEVVEILAKFFPEYPIPTKCSDEIKPRAKPYKFSNQKLKDLGLEFTPVKQALYDTVKSLQEKGHLPVQQTPTEDQIRIQS >cds-PLY64580.1 pep primary_assembly:Lsat_Salinas_v7:6:33950534:33961315:1 gene:gene-LSAT_6X25621 transcript:rna-gnl|WGS:NBSK|LSAT_6X25621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AP4M [Source:Projected from Arabidopsis thaliana (AT4G24550) UniProtKB/TrEMBL;Acc:A0A178UX13] MAPWKIRATMDEIDVARRYGKSLLPQSVSNLKRTRLTLLVDMRDLNQGRYFFGNMISQFFVLSQRGDNIVFRDYRGDVQKGSAEIFFRKVKFWKEDGETEAPPVFNVDGVNYFHVKVVGLLFVATTRANVSPSLVLELLQRIARVTKDYLGILNEDSIRKNFVLVYELLDEVIDFGYVQTTSTEVLKSSVFNEPIMVDSAHMPPLGPAALFMQGNKRMPGTAVTKSVVANEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLSGNPEIRLALNEDLNIGRGGRSVYDYGGSSGSGAVILDDCNFHESVHLDSFDVDRTLTLVPPDGEFPVMNYRMTQEYKPPFRINTLIEEAGSLKAEVILKIRAEFPQNITANTVVVQMPLPTYTSRVSFELEPGAVGQMTDFKEPNKKLEWGLKKIVGGSEHTLRAKLTFSHESHGNITKEAGPVSMTFTIPMYNCSRLQVKYLQIAKKSKTYNPYRWVRYVTQANSYVARI >cds-PLY89366.1 pep primary_assembly:Lsat_Salinas_v7:1:173180843:173183828:1 gene:gene-LSAT_1X115440 transcript:rna-gnl|WGS:NBSK|LSAT_1X115440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKINPSDEGNPENVISKEEIPPRSVMWCKGRESKGEFKDEDVKIMADKLMEHEKQIKEGQVNVEPRTDSMTLVFGKENGGFLKGVGTGVTYNRYFNVPRSKGSSKEEIKDLKVALHNGKLELEKKDVELKALSTSEKIVQSNETSPVSLKTNEPSEPVTPVPEMISHQKSTKRKPTYISSDALLKKTRSNTNKKNA >cds-PLY82151.1 pep primary_assembly:Lsat_Salinas_v7:1:13778926:13784811:1 gene:gene-LSAT_1X11441 transcript:rna-gnl|WGS:NBSK|LSAT_1X11441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDEPSSSPIHCDGEINDNNKTAANNNNGSDAVVRRERPSRACTARSAARLYAAAAAEAAVVTGGRKQKSRRRPSRREIEEEEEEEEEQPPSPPNPYSTIVTPLVREPPLSQLSRWSIRSMWELASILNFFNVYRPLLNIKVEFSVEEFETALITPNGTLGDIHIPLLKAISPVTRMALGRNTWVTVLCRKLRDWWHWVAEGELPIVASHGAEIETYNTLDPGVRVIILKALCDIRVEVILSPKYSRFLSIVAWFINHCELLQQEDIRGYIDDSIKHGVPLSAFRKERTGGDSHGVSYWYEDDPIIGQRLYREIRTVEVKKGKGKNVQSVPSYQWETIATNLDEFQDVSEKLSSSKNRTESSLGKKLEDDILPEIEKVHKRKEKLLKRQHRQALLLDSMIIDALGPGRSLRGRKPVSYTFDDYDRSINEAIKITKRKQASPEHSVREHSVRREGLRNGVSTNGAPSQTSHQPSPIPSSPDSHSLQLHYDETDEDHAYASEPLDRRKRQRPQRYSAHEFVEAVSDNDGDSDDDIVGEAVYDEEYLKRRKGRRKMSSSSEGEGDEEYHWDEENAKEEEEEEEDEDEGDASISASEDSDVPQRSNKFSGRARRATKLRSVVELQDGLRRSKRATRNRIDYTKYEFSDSEPELAKPEKLNGPNKNHEASESDTPGFPMGSDDNTGTGSDTDNGEVKMDGPVIEDEPKMEKEDEHKEPQAMKVDEPVEDEFEGGSQKRRFLDLNELAPGPGFEDGQTMKDEDTDNL >cds-PLY91398.1 pep primary_assembly:Lsat_Salinas_v7:3:56225188:56227396:1 gene:gene-LSAT_3X43961 transcript:rna-gnl|WGS:NBSK|LSAT_3X43961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSLVQKRFKFPENSVELYAERVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMENGAKGCEVIVSGKLRAQRAKSMKFKDGYMVSSGQPVKEYIDSAVRHVLLRQGVLGIKVKIMLDWDPTGKLGPKTPLPDNVIIHMPKDDVIVHPPKEVEEYRPPLVVADEPLPMPIPVPV >cds-PLY69792.1 pep primary_assembly:Lsat_Salinas_v7:4:245246644:245246902:1 gene:gene-LSAT_4X130981 transcript:rna-gnl|WGS:NBSK|LSAT_4X130981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRQAMCNSQNNVRGKTKASQYVKGVHEGIHMDIKDEGMHDVILVEDFKGDEHARQLLASRYS >cds-PLY91448.1 pep primary_assembly:Lsat_Salinas_v7:MU039439.1:203011:204542:-1 gene:gene-LSAT_0X16501 transcript:rna-gnl|WGS:NBSK|LSAT_0X16501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFTYAIGGGGLFLIGASESIISTSETLEQISQTSSLSSPALPSSTTRSKSSSFVTSLIFFAISLVSFLFILNSLISIIDGIKSKDQTGVVLQLEVISISALFFLFSVLGILTNLRNSFQFPSSILNVLCLFGFVEEFFLFYIQKKDPDGIENRYYDLLLVPICICIVSTLLELRTPKSNYSRLGRGVGLVLQGMWFVQMGISFYSSSITNGCFMREKSRGNFTIRCKGHPEFHRARAIATLQFNCHLALLVCFVAGIYSLLSRKHGVSNESMQYKPLGAEMLHLDHAQFTLDSEDDEDDNVKDEGKVLVEKKLDLVQERTANGYGSHP >cds-PLY80388.1 pep primary_assembly:Lsat_Salinas_v7:3:237832278:237843115:1 gene:gene-LSAT_3X133281 transcript:rna-gnl|WGS:NBSK|LSAT_3X133281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:Projected from Arabidopsis thaliana (AT1G01950) UniProtKB/TrEMBL;Acc:F4HU83] MASYRNGRGTVKVDRAQPTGSSNLRTSSSFKSKNSIVRRSNSSAGFDDSVSGRVRVAVRLRPQNAEEMVADADFADCVELQPEFKRLKLRKNNWDSDTYEFDEVLTESASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTFTLGRLGDEDTSARGIMVRAMEDILANISRDSDSVMISYLQLYMETIQDLLNPSNDNIAIVEDPKTGDVSVPAATLVDIRTHQDFMELLRLGEAHRVAANTKLNTESSRSHAILMVHIKRSVTDMESEITSENDHSIHMSRNSKPPVIRKGKLVVVDLAGSERVHKSGSEGHTLEEAKSINLSLSALGKCINALAENSPHVPVRDSKLTRLLKDSFGGTARTSLIVTVGPSPRHRAETSSTILFGQRAMKVENMLKIKEEFDYKSLCRKLETQIDKLIAENERQQKAFEDEIERTAIEAQQRISEAEMNYTEALEREKMKCQMDYMESIKKLEEKWMQNQPKRGTNGFSNGNHSAEGNNDHANEDVTELKTMLQKEISLRKRAEEEIHSLRNSQFCPTEADANADVVKLQNLLDEETRQKQKLEDEVLMLQSRLSQLSFGSGQSRYSQIDDRGNGETTSIANLHEHVGLQKILSLLESEDPNVRLHAVKVVANLAAEDTNQERIVEAGGLSSLLALLRSRSFDETICRIAAGAIANLAMNEANQELIMGQGGISLLAMTAADAEDPQTLRMVAGAIANLCGNDKLQTRLRSEGGIKALLGMVRSRHPDVLSQVARGVANFAKCESRTSSKGNKNNRSMLIEDGALPWIVQNANNDASIIRRHIELALCHLAQHEVNAKDMVSGGALWELVRISRDCSRDDIRALARRTLTSSQIFSSELRRLRVEI >cds-PLY92045.1 pep primary_assembly:Lsat_Salinas_v7:5:324083520:324085502:-1 gene:gene-LSAT_5X180820 transcript:rna-gnl|WGS:NBSK|LSAT_5X180820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSDVEAGFAKLQGEDFEYYMQTYSIILGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALEVLGKNGCFVEGVLHLPGTPPVKLDSQDLLQIGDKEFYFLLPVRSILGGPIGPPRHHLPNPSPVSSYQSPHHLALPPSGRVSTGKKSGRGRHMTDFEDAYEDDDMDDSGVVGAKKMRRGAPDALDAYAYGAPSGSGGKSHSDKKSEGRSRVDRESDNQQLLQLEEKDVVSSVATVLSDLCGPGDWMAMEKLHAQLMEQYGSVWHHSRVRRYLTSDDNAGPEAQGKPWFGLLMLLRKYPEHFVINTRSRGRVTLEFVSLVSLLS >cds-PLY97497.1 pep primary_assembly:Lsat_Salinas_v7:1:193515698:193516725:1 gene:gene-LSAT_1X124700 transcript:rna-gnl|WGS:NBSK|LSAT_1X124700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFALQESSKRIFPLCKSYLIINQFVESGSQFKSGLVNHVFAAALRAFLLDYQAMVAQLEHQFRIGSLSIHGLWFYCQIHICFLFTYLQPMMGSMQALSTVIRKASTSNFVRSTVLNLLQSQPKIMAGNYLVRSLLEKMIESANCAYLGILERYI >cds-PLY95648.1 pep primary_assembly:Lsat_Salinas_v7:2:115774722:115776860:1 gene:gene-LSAT_2X52921 transcript:rna-gnl|WGS:NBSK|LSAT_2X52921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVQDRFPIDIDTHKLDAKYYKDLWLEAKSQWNIESDEHEKYMWKSVVKPASNFKSRLISKFLLSMNPPQNDLNEPRPRPRPESEPKPKTEPESKPEPEPSTIEDPEVYTSLNKIQQRSPKIQQVAFQVVAMSGDTHVINILPPKGMYRHRVRLAIPYNEVLELFLRD >cds-PLY62160.1 pep primary_assembly:Lsat_Salinas_v7:2:153792134:153794053:-1 gene:gene-LSAT_2X76980 transcript:rna-gnl|WGS:NBSK|LSAT_2X76980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGGSSFISGGLCLFLFGFVSLVSLHAQAATKKYHFDVQVTNVSRLCHAKPIVTVNGRFPGPTIYAREGDRVVINVSNHAQYNMSIHWHGLKQYANGWADGPAYITQCPIQTGSSYVYDFNVTGQRGTLWWHAHILWLRATVYGAIVILPQQGTPYPFPKPDGEQVLVFGEWWHGDVEDIVKQGNAMGLPPNIVCPTCVNGTRLSASLNNITFVMPQTGLLQAHYSKIKGVFTSDFPDKPPKAFNYTGAPLTANLFTSHGTRLSKIAFNSTVELVIQDTNLLSVESHPFHLHGYNFFVVGTGTGNFDPAKDPAKYNLVDPPERNTVGVPTGGWSAIRFRADNPGVWFFHCHLELHTGWGLKTAFVVEDGPGKNQAVRPPPKDLPSC >cds-PLY68203.1 pep primary_assembly:Lsat_Salinas_v7:8:119060384:119063345:-1 gene:gene-LSAT_8X82921 transcript:rna-gnl|WGS:NBSK|LSAT_8X82921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIMADEIMEHIRAEAAHLNIDLSSVDWNSVKLPPNSDFGIKSDDDDDDFNEEESLEVPDQWTPPEINPYTPEENLQQWLINEKGRDQFVIRAGSETEIMWNDARQLKADSVDKRPFWTESFVQWSPLGTYLATVHQQGAAVWGGATTFNRLRGYAHPQVKLIEFSPGEKYLVTYSSSSHDDMHKSMRDFEGSGNDFADGGVFWPVFRWGGGIEDKYFARMGKNVIYVYETKAFRLLNKNPIKVANITDFSWSPTDPILAAYVPELSGGNQPARVSLFQIPSKHVMRQKILYNVSDCKMYWQSNGEYLAVKVERYTKTKKSTYTGFELFRIKEGDIPIDAFELDNKNDKVISFSWEPKGQRFAVIHGSGQFYPRGFNVTFYTVKGGKVSKLKTLNQKQADALFWSPGGRFIVLGGLGKGFNGKLEFYDVDELQTMATAEHFMATHIQWDPSGRYVATSVTSVHHEMENGFTIWSFYGKLLYRLLKDHFFQFLWRPRWQFLSPEKEEEIARNLKEYSKKYDIEDDEISVLLREQDHEKRKELKEEWERFVKEWNRMHEQEKMERQMLIRDAAEATDVEEEEEEYDEVEQLLDVSEEILDVFP >cds-PLY68016.1 pep primary_assembly:Lsat_Salinas_v7:8:249102700:249106501:1 gene:gene-LSAT_8X147360 transcript:rna-gnl|WGS:NBSK|LSAT_8X147360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNYSFTLVCHHFCSSSASRALVKDRSSHRGGCYCRYNGTTLSIRIFNSVSSKAMDDPFVVLQTASTPITSPPSVFIDPLETVHKMNKSGSTNVGVSRGVFDFDYIDPLHGFGKPATLSITHLVTSLKTGLEATQEAALDALFLQRLAWELLLDFYTSSAKRKPDQMIIFRSILFFDFWDSVSESRFNQVLNIELDQIIEGCKFLDEKWNPKFLVTIAQKNHHTKFFQQGSPDNVQPGTVIENKLCHPRNNDFYMCAKEIRDRELAKASINKESVNRSGRLENNAAQYSVDIELSSLPLDNEIVLELDQIEKVSDIEDILGYRSAAEHELQEFLVDS >cds-PLY98179.1 pep primary_assembly:Lsat_Salinas_v7:1:139438833:139439072:-1 gene:gene-LSAT_1X101121 transcript:rna-gnl|WGS:NBSK|LSAT_1X101121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPASMVGPSFWNDIAGYFDQLSLRVNWIGGTMENVFQHLGVEQPPHLGYHYPRWTEYGGRGGDGAGTSGAHDEEEDD >cds-PLY90723.1 pep primary_assembly:Lsat_Salinas_v7:1:177016:177525:1 gene:gene-LSAT_1X1341 transcript:rna-gnl|WGS:NBSK|LSAT_1X1341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVQGCLRGCEFNSRIYTHMSTLPQPIRLDFSVNSTEEGSTKVRAYHRTSKVNVVCYIHEIVNMDVKIVVVLHKKPTVLPKEPPKDLDTMKLGRIRKKDWSVAFQIKERPDANFHKTCFCLPVKHLYLTSCLEYIVDFVSSNKANSVADKKCYSYMINGMSWFACPCWE >cds-PLY79719.1 pep primary_assembly:Lsat_Salinas_v7:8:124954263:124955316:-1 gene:gene-LSAT_8X86200 transcript:rna-gnl|WGS:NBSK|LSAT_8X86200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQNASMEEGKVSKYGLEFMKSVSDKNLDLLRPSARCFSMFKDDFQQGIYDKPLPCFGCGVGWFSFLLGFAFPLMWYYATFLYFGNYYKRDPRERAGLAASAIAAMGFSVIVLIIGAILLF >cds-PLY73354.1 pep primary_assembly:Lsat_Salinas_v7:7:63305463:63306416:-1 gene:gene-LSAT_7X47101 transcript:rna-gnl|WGS:NBSK|LSAT_7X47101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELLFLGISFITFLFLLHGFGLYRARKLPPGPAGLPIIGNLLEIGPKPHESLAKLSHKYGPLMTIRLGSITRVVASTPNAAREILQHNDDACSGRFVPDAVTAMDNYDSAVLWISANQEWRSMRKALNISLTHQHKLDTLRDVRQSVVEGMVEFLHQSGRKKVGVDIGNLAFAVALNQMSNTCVSKNVASYESDDVEGFKTAVKTLMEVDGKFNIADIFPVLKPLDPQNIRRQAKVAYGWLDKVTEGFINERLKHRQLKLPRFGDMLDSLLDYSEDKESDLNLIHVKSLFVVDPPFPCLTIIYQKKKKKIINNPNDC >cds-PLY95188.1 pep primary_assembly:Lsat_Salinas_v7:6:167808633:167811123:1 gene:gene-LSAT_6X103900 transcript:rna-gnl|WGS:NBSK|LSAT_6X103900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNPNAVVSDTQSAIGMAITVAVNSSSLYSPSVPNSPYNITLFSRNKFPKSTIETAASSAGGHAGLAKNIAWVDAMRASSPTRNSSEDTEDKKAWIIKHPSALGVFEEIMSAAKMKQVVIFLDYDGTLSPIVPNPDQAYMAPGMREAVKNVAKYFPTAIVSGRCRAKVYNFVRLSELYYAGSHGMDIKGPSSGKHQKGNQNVLCQPAKEFLPMMAEVYKHLLEKTKDIPGANVENNKFCLSVHFRCVEEQNWSDLADRVKFVLKDYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGYANSKDVLPIYIGDDRTDEDAFKVLRKQGQGFGILVSKIPKETDATYSLQEPSEVMHFLQRLVTWKRGVLRSH >cds-PLY88925.1 pep primary_assembly:Lsat_Salinas_v7:8:128970133:128971224:-1 gene:gene-LSAT_8X89420 transcript:rna-gnl|WGS:NBSK|LSAT_8X89420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAHGGDGGLTQEDEKKPLDQAAHINLKVKGQEGNEVFFRIKRSTQLKKLMNAYCERQSLEMNSIAFLFDGRRLRAEQTPDELEMEEGDEIDAMLHQTGGGI >cds-PLY66384.1 pep primary_assembly:Lsat_Salinas_v7:4:119279520:119282676:1 gene:gene-LSAT_4X75261 transcript:rna-gnl|WGS:NBSK|LSAT_4X75261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLNIPSPTEASVISFLDTSKSNTHLLKLQGGFSFKRKDSKVTCGKGIQCAAAPPPSAWPGTAAVQPGTKNWNGPKPISIIGSTGSIGTQTLDIVAEHPDKFKVVALAAGSNVTLLADQIKAFKPQLVSMKNESLVSELKEALSGADYMPEIIPGDEGVIEVARHPDCVTVVTGIMGCAGLKPTVAAIEAGKNIALANKETLIAGGPFVLPLAHKHNVKILPADSEHSAIFQCIQGFPEGALRRIILTASGGAFRDWPVEKLKEVKVADALKHPNWSMGKKITVDSATLFNKGLEVIEAHYLYGCDYDDIDIVIHPQSIIHSMVETQDSSVLAQLGWPDMRLPILYTLSWPDRIHCSEITWPRLDLCKLGSLTFKAPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVEMFIDEKISYLDIFKVVELTCEKHQSELVTAPSLEEIIHYDLWAREYAANVKALTPALV >cds-PLY71107.1 pep primary_assembly:Lsat_Salinas_v7:5:195934989:195935701:-1 gene:gene-LSAT_5X87500 transcript:rna-gnl|WGS:NBSK|LSAT_5X87500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLCSKIIPRLIVSGFPSDEKSLQEVRPVKEVCPLLLKAIQSLLPYYLEPEIMSFDQFLIQCMSMAKIVLECKEYKPIMTGRVVNEKVVTLEQRKKNISRAVAGVLSSLLSNERVVLLFNVLIRR >cds-PLY85583.1 pep primary_assembly:Lsat_Salinas_v7:5:44276594:44278811:-1 gene:gene-LSAT_5X21481 transcript:rna-gnl|WGS:NBSK|LSAT_5X21481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPKGISLGRGGVGGGNFGKTGDGFIDRSKVTILLCDNDSMGSGEVFTLLRKCSYQVISVKSPRQVIDALNAEGPNIDLILSEVDLPMAKGLKMLKYIMSKELQRIPVIMMSALDEVTLVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRRMLGLSEKKLMNCEFDVVPSDHSDVNTNSTVFSDDTDEKPRKSANEINVILAPGHVDKQFVSLLNNQSILPETKGYQQGKLMCGPKKSELKTGQPSAFLTYVKSSIFTKTAPVVSRIEKVSSGEVDQNVRPCDGGNVSQIEDFHGSSSFPDFVSLDESSTPPLEYPKPQIEEGYNRHSGYPYYLHGANAMNQVMMPPSSSAEAAMYHHHHHHQMPGMTSYPYYPVNLCVQAAAPGQGQNEGKMDRREAALVKFRQKRKERFYDKKIRYVNRKKLAERRPRVRGQFVRKANGIDVDLNGHPTSTTHFHES >cds-PLY88454.1 pep primary_assembly:Lsat_Salinas_v7:8:86609395:86615543:-1 gene:gene-LSAT_8X60101 transcript:rna-gnl|WGS:NBSK|LSAT_8X60101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTIPTLNPLTIRTTKHGKTIEDIKKGEDHERPLLCLMAQLFHEPGSNVYIIGIMGSKTKIRPDVFKENLVHTFLKNRRFSSLQVSDNKNGSMKWIPAHVNIDDHVIIAKLDHNMESSDKFVEDYISYLSASHIENTKPLWDLHILDIKTSEAEGICVFRFHHYLGDGMSLMNLLLSCTCKVSDLEALPTLPSNNKSSVTKVPSIWSGFTILWNSFVALVMFVLTALFLEDTKTPMKGSEGGRKTNHKVFYNTTLWFSNVPGPQEEISFFGHKVAYLAPSCYGQPKPNALMIHVVSYVDKIIFVISADKETIPNPHQLCDDLQESFHIIKASIKSIECQ >cds-PLY76401.1 pep primary_assembly:Lsat_Salinas_v7:8:84779157:84783994:-1 gene:gene-LSAT_8X62521 transcript:rna-gnl|WGS:NBSK|LSAT_8X62521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDETEIHDLADDADYAAASQQGSSIMSGNDTSNQNNPIDPENAETVYMKDHVTIHPSQYATVRISGRLKLIKQDSLLFMSWMPYTGQTSNARLSDRDKNLYTIRAVSFADIRSIRRHTPAIGWQYVIVVLSSGLAYPPLYFYNGGLREFIATIKQHVFIARSAEDANVFLVNNFQDPLQRILSSMELPRALSSSSFTDSPFSDNQERLEDCCSRHKRDISIQVLEKFSLVTRFARETTSQLFRENLFDDIGTNENKKNNQERPHEIASNEAPIPSDPFELDKTSLVWGKPRQPPLGHEEWATFLDSEGRVEDLNALKKRIFYGGVEHSIRKEIWPILLGYYAYDSTYIERQHFMAVKKSEYEIIRNQWQSISPEQAKRFSKFRDRKSLIEKDVVRTDRSHPFYEGDENPNVDLLHNILVTYSFYNFDLGYCQGMSDLLSPILFIMEDESESFWCFVSLMERLGPNFNRDQNGMHSQLFALSKLVELLDSPLHSYFKQKDCLNCFFCFRWILIQFKRQAKTFSYNTKEFEYDKLLRLWEVLWTHYPSEHLHLYMCIAILKRNRAKIMGEKMGFDTLLQYINELSGQIEVDGVLREAEALCVCAGENGVAAIPPGTPPSLPVEDESIYQHDDEVL >cds-PLY94768.1 pep primary_assembly:Lsat_Salinas_v7:2:178879494:178880318:-1 gene:gene-LSAT_2X100761 transcript:rna-gnl|WGS:NBSK|LSAT_2X100761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKKFPESVLAQVGMSQYWLRLGVIPTLCVHGPDGGRLPVLLSEFMEDKVVARDLGSDNFDLPLIDDSFTYSGSDGSNTQNEEEEDSKSLVKVHDSYDDTNDGCAPPVSTDPVTIFNDDGDDRSDEQPLVHWNRGRDFIYSVELVVVLDGGKCSSGRVLKMPCSLHSGSFHSFANSLGVDCPSAYITQLNVNNPPCDAGVPHLSGPIYVSSWEYRWEQSLMEPKDSISFFENFSSSGTRSSVGQGIRENI >cds-PLY86892.1 pep primary_assembly:Lsat_Salinas_v7:5:260938072:260938554:1 gene:gene-LSAT_5X134721 transcript:rna-gnl|WGS:NBSK|LSAT_5X134721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFIPKSPELEDAFDKERLNMSLSYLMKGIHLLNRASCHTRKQAIILARKQLKFEEAFQTLKGMRFLHKQLDVEENDIHEELHDLSERNKRLVDDLSENLRCKDELKKLNQDLHINFDNVIGRRLGLNRGLEYKTQQFEYLKLQYTKRFHSWRSRVRAKA >cds-PLY87998.1 pep primary_assembly:Lsat_Salinas_v7:8:153641985:153642377:1 gene:gene-LSAT_8X103040 transcript:rna-gnl|WGS:NBSK|LSAT_8X103040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTTILTLVLAIKIGALVIIWLEREIFAGIQQCIGPEYAGPLGILQALADGTKLLFKENLLQSRGDTHLFSIGPSITFICILLSYHLVLANLSSGLLRQMVNPEGPLVIATNMENNIVTVSNF >cds-PLY74090.1 pep primary_assembly:Lsat_Salinas_v7:9:13556237:13560490:1 gene:gene-LSAT_9X11840 transcript:rna-gnl|WGS:NBSK|LSAT_9X11840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLSTSAMLPSFIFSSSRISITPVTTTASVQRFRTKMLSSVTQTERTIVLGFGGVGVDLLATVATFPNPDDKIRSTSLKVQGGGNAGNALTCAARLGLNARLISKVANDAQGRGILEELKADGVDVSFFEVSEEGNSPFTYVIVDDQTKTRTCIHTPGWPPMIPDELSGSSLLSALAGVKLVYFDVRLPETALVVAHEEITRLQAKGIGNVCGKLFIGTAEKIPQSELVDTTGAGDAFIGAVLYDNKVDK >cds-PLY70465.1 pep primary_assembly:Lsat_Salinas_v7:1:76604772:76606343:1 gene:gene-LSAT_1X63840 transcript:rna-gnl|WGS:NBSK|LSAT_1X63840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNKSLKFTSILITLIIIFCATFATAQEVDDESEFTYDVNSPNGPGHWGEIHPEWSMCNQGDLQSPIDLTHKRVQTTSSLGKLDRDYKPANSTLINRGHDMMLRFVGGAGHIHINGTEYQLNQLHWHTPTEHTINGRRFNLELHLVHQSVDEKIAVVGIMYKIGRHDSLLSMMEPYFKALSSTKDVEKSVGIIDPREIKIGSRKYYRYIGSLTTPPCYQNVIWTIVKKVRTVSRAQLHAIREAVHDEAEANARPVQSLNNRWLKLYRPDDFQN >cds-PLY85947.1 pep primary_assembly:Lsat_Salinas_v7:9:99933172:99933579:-1 gene:gene-LSAT_9X73801 transcript:rna-gnl|WGS:NBSK|LSAT_9X73801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCIICKESGHNRTTCPQKPIGESSNASSKKKKPKKYGKVKVTLAHEVDIDSDSEVEIEPESDVDSFDLEFEDDVQHEVEDGVEPEVQYGVQPEVQDDVQAEVQPEV >cds-PLY76072.1 pep primary_assembly:Lsat_Salinas_v7:9:30247875:30248379:1 gene:gene-LSAT_9X26800 transcript:rna-gnl|WGS:NBSK|LSAT_9X26800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYWHTPPIKLVRNKLEYRYWCGVQEAFYLDEFKPEWLPLGFEDRIRKQGLLVHNWAHQLEILCHRSTKAFLSHFGWNSVMEVLSQGEQLIGWPLVEEQGFK >cds-PLY96433.1 pep primary_assembly:Lsat_Salinas_v7:4:344549626:344551290:-1 gene:gene-LSAT_4X170081 transcript:rna-gnl|WGS:NBSK|LSAT_4X170081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATLLRIPFSPAISATSLSKSCRPITPFRPPMWKNWNLQIPNSGVSKNGVQSVQASATSFGSKNETRVDESEIYTLDGIRSSLIRQEDSIIFSLVERAQFCYNEDTYDPNAFFMDGFKGSLVEFMVKETEKVHAQVGRYKSPDEHPFFPKDLPDPMLPPLEYPQVLHPCAQDININLKIWDIYFKDLLPRLVKEGNDGNCGSAATCDSTCLQALSKRIHYGKFVAEAKFRASPSDYEAAINSQDKKKLMDLLTYPAVEEAIKKRVETKAKTFGQVVTVGFGEDAIEPVYKIEPSLVADLYGDWIMPLTKEVQVEYLLRRLD >cds-PLY86972.1 pep primary_assembly:Lsat_Salinas_v7:5:262672334:262672570:-1 gene:gene-LSAT_5X133660 transcript:rna-gnl|WGS:NBSK|LSAT_5X133660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHEDPSPPTTSPGAVVDPPKQLRPEVWMATVPVVGPVVSSAAATVAHSFAVQQHFKSSDVISTDGVAASSSSKTNEKS >cds-PLY96756.1 pep primary_assembly:Lsat_Salinas_v7:2:171377392:171379828:1 gene:gene-LSAT_2X94800 transcript:rna-gnl|WGS:NBSK|LSAT_2X94800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSANNNNPLKNSPFGHSIPPNPTTHLQSHTQSQTQRPSGSPFTNQFQVSQFAATHAQAIAQAQSKVQAKAAAQAQANHAQFQAQLQAQGLAPSQSHGSLATNSPSFPSAIASTKRLPQKQIGRPPGVSNPNSISPMRTMEITPAPRNKKKQKLSGKQLQERVAAILPQSALYTQLLEFESRVDAALMRKKIDIQEAIKNPPCIQKTLRIYVFNTFSNQIHTVPNKPHTEQPTWTMKIIGRILEEGMDPDQAGLISKPNPIYPKFSSFFKRVTISLDQRLYPDNHMIVWDSSRTPTPHEGFEVKRKGDKEFMVNIRLEMNYTPEKFKLSDPLMEILGIEVDTRSRIIAAIWQYVKARKLQDADSPSYFNCDLPLQKIFGEEKMKFSNVSQKISTHLSPPQPIHLEHKIKLSGNNPAGNACYDVVVDVPFPVQKELNALLANTEKTKEIEACNEAICSTVRKINEHRKGRAFFLGFSQSPVEFIDALIESQGKDLKFLAGEVSRSDEKEHRADFYNQPWVEDAVIRYLNRKPATKPMEARN >cds-PLY68058.1 pep primary_assembly:Lsat_Salinas_v7:5:293257810:293259129:-1 gene:gene-LSAT_7X61841 transcript:rna-gnl|WGS:NBSK|LSAT_7X61841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMSISRKESELPMKRKRKKVDRFMFLPDDILLDILKRLPDDFPRYLAKYVCRRWFNIMTNRILLHDTSFFILQKTGNLTARYVGIREEEQGIHVMKVQDLDIPPIGIIRSWVNEFLLISDYKKQSLSWVNEFLLISDLGFTKEGSYLPERNIASCGGYCTIKCGVALSFNLFKGMYKVVHLFMGPPIECHILILRSDHIATSKWKKIHVPCMNGGSFYSSNLVSIQGRYLHWDNCIDNSLVSMDMVKEEIVNMSVPNYDKGFGFVYTIFEMGGYLGFFVENSMDKADIWILKDFQRKKWEKLQSITLKMSYYSRLSVSILDRWCYNRFPLCGVMSKRSRYIILECKNNIKGMCYYDLKNGVMKELDIHINVDDGCVVLSSPSIR >cds-PLY80719.1 pep primary_assembly:Lsat_Salinas_v7:3:84807378:84808272:1 gene:gene-LSAT_3X63900 transcript:rna-gnl|WGS:NBSK|LSAT_3X63900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIKEHTEENSNQLVLVKVAAWNWSEQTTVSEINYTGSCDIVVGSSRYKQEAMNEAHEDHTRVSTRHINNPLFSTISTDSSLLDKYEIERISKDIERYLQFSCDKYYCGKSVDGVHVAGSRRIVPLMEKDSRGTKAKRTNTQRKWCRPMYELCGSIKGDVVENVCLATERRRQANKKHIPMAGDWNFHRNGA >cds-PLY93112.1 pep primary_assembly:Lsat_Salinas_v7:8:253276572:253278836:-1 gene:gene-LSAT_8X149340 transcript:rna-gnl|WGS:NBSK|LSAT_8X149340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSTSILRHHSTPFLSTTVHRCASRVRSLSIAASRNQNRENVSRKSQQPNKHLLKARDAVKHFSSLSPSLTSTDKLHLSPDQAIGKVASAQANFMRVVVESAKSSSSEEEEGLGVELLCVVRNLLKKIKRRVLVGDKVLVGSIDWVGRRGMIENVFQRETEILDPPVANVDHLLVFFSLDQPKLEEFSLTRFLVEAESTQIPVTLALNKTELVDKETVVSWKSKLRSWGYEPIFCSVETKTGLDSLQFNLRDQTTVIVGPSGVGKSSLINALRSNHFGLTAAQLDDSSSYSNHILGSKWLEDQRVGEVSARSGRGKHTTRHVSLLPLSGGGYVADTPGFNQPSLLKVTKQSLALCFPEVRKILSESAPLKCAFSNCLHLGEPGCLVRENWERYPFYFQLLDEIKIREEFQLRTLGTKREGDVRYKMGDMGVKQAEPRLAPKKHRRQSRKKMNQSLLDDIEEELDDDDDDDPIIQAMKNENQ >cds-PLY77710.1 pep primary_assembly:Lsat_Salinas_v7:9:19275344:19275613:-1 gene:gene-LSAT_9X14421 transcript:rna-gnl|WGS:NBSK|LSAT_9X14421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEQSFSYGGFRDSELDLPIDSELHAMEIQVQMEELRDEVRQQLGDFREEIRYLKGIVSMMGVVGVAVMSLIGVRVCVNCSGWGFWWV >cds-PLY73097.1 pep primary_assembly:Lsat_Salinas_v7:9:22629142:22631569:-1 gene:gene-LSAT_9X20460 transcript:rna-gnl|WGS:NBSK|LSAT_9X20460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSYPAVSDEYMKAVDEAKRKLRDLFTEQNCAPLMLRMAFHSAGTFDVNSKTGGPFGTMRQKAEQAHGPNVGLDTAVNLLEPVKQELPIISYADFYMLAGIVAVETTGGPNIPFHPGRQDKDEPPADGRLPLPNQGSDQLRAIFINTMGLNDQDIVALSGGHTLGSCHRDRSGIEGSWTRNPLTFDNSYFKELLAGEKEGLVQLPTDKALLEDPVFRPLVEKFALDQDAFFGAYAESFMKLSELGFADA >cds-PLY92741.1 pep primary_assembly:Lsat_Salinas_v7:8:67342966:67343436:1 gene:gene-LSAT_8X47661 transcript:rna-gnl|WGS:NBSK|LSAT_8X47661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKENSGVGQLSNEQKARISANFRAAKALLDRKRPRDASMASNSFPRKIRVLKVVETPPIVSSVNRLPLADISMNTPTPVRDLKLNQSGCLSSSCFKVPSVGKNDGGLFAMTRVLPDENEKAHTSFQTLIRQILSNIVKHLFGIKCKELQRGPCVH >cds-PLY94044.1 pep primary_assembly:Lsat_Salinas_v7:7:100556450:100556743:1 gene:gene-LSAT_7X66081 transcript:rna-gnl|WGS:NBSK|LSAT_7X66081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLPAVEGGKKKAKVMKIDGEIFKLRTPIKIFEVIKDYSGHVVLESNAVKRYGIRATPLDLEENLEPGKIYFLVKLPKLPETTEKTVTIRRVRYE >cds-PLY97891.1 pep primary_assembly:Lsat_Salinas_v7:4:90734046:90734848:-1 gene:gene-LSAT_4X59740 transcript:rna-gnl|WGS:NBSK|LSAT_4X59740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFSTFQPSLQSFFTSPLLFPYPFIPENYVRWDRWDETPESHIYSADIPGVRKEDIRVEIEDSKYLIIRTESADDMMIMAGRRSFLKKFRLPETIDVNGISAGYENGVLTITVPRSFVRREFYIEPGDLHEQVEVLARAA >cds-PLY91542.1 pep primary_assembly:Lsat_Salinas_v7:1:11450680:11452915:1 gene:gene-LSAT_1X9720 transcript:rna-gnl|WGS:NBSK|LSAT_1X9720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G21450) UniProtKB/Swiss-Prot;Acc:Q9SDQ3] MIRSVSPYGSRKLYLLNSTKETSGFDSGVSQILYSSTQSYSNNTYNPTNYFLDSPTDEQVSINPLTSSYESNIDPEYPLTENFEELSYNEDDIRLKLKLQELEHALLDDNDNSAPNRSMEIETEWGYSLHESPKESSSYDSYVSSTSSAKEMFVARAQRAQATTPKELLFECAIAVSEGNLEEASEMINELRQTVSIDGEPSQRISAYMVEALAARVAVSGKGLYKALKCKEPPSTDRLSAMQVLFEVCPCFRFGFTAANGAILEAFNGEKQVHIIDFDINQGSQYITLLQTLAGQNQKPRLRLTGVDDPESVQRPIGGLNHIGLRLEKLAESLDLEFEFTAVAADTAVISPEMLKCRSGEAVIVNFAFQLHHMPDESVTVVNQRDQLLRMVKSLNPKLVTVVEQDMNTNTAPFLHRFHEAYNYYSAMFDCLDATLSRESQERVNVEKQCLARDIVNIIACEGEERIERYEVSGKWKARMMMAGFREYPISVSVNDSIRELIKMYSDRYKMKVETNAIHFGWEDKVLIVASAWR >cds-PLY73916.1 pep primary_assembly:Lsat_Salinas_v7:3:38262510:38264687:1 gene:gene-LSAT_3X28920 transcript:rna-gnl|WGS:NBSK|LSAT_3X28920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGIAAKIIAIIMAVTSVVAFLVATIIAFYARKRRNKSKSRRQGSDDTQLLLMVNNSSLNFKYSIIEKATGSFDEVNKLGQGGFGTVYKGVLPDGREIAAKRLFINHRHRAGDFYHEVNIISSVDHKNLVKLIGFSCLGPESILIYEYLPNKSLDHFIFDAVRGKELNWAKRIDIIVGIAEGLAYLHENSKTRIIHRDIKAANILLDSRLGAKIADFGLARSFQEDKNHISTGIAGTLGYMAPEYILNGKLTEKVDVYSFGVLLLEVVTGISNRRIQTSEETHSLLWIAWRHFQQGTLEEIFDPNLMLNNDTSSNMKKEIKSVIHIGLLCIQQVPSLRPTMSMALQMLSKNIVPLPSPSNPPCMPETNEFGQIPAFRHRLNNPASLPTVTHTSFNPR >cds-PLY65776.1 pep primary_assembly:Lsat_Salinas_v7:5:275329084:275330689:1 gene:gene-LSAT_5X146100 transcript:rna-gnl|WGS:NBSK|LSAT_5X146100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLMGVSGVGKSTIGELLAKTLNCSFIDADDFHPQSNKDKMKNRIPLSNEDRIPWLEVLRDLLNASLVSGKIVILGCSALQKKYRDILRSADPNFKGDYLCEKCVVKFVLLDVGVELLMNRVTKRVAEGNHFMPAELLQSQIDLLEVDVSEGIHKVDASRSPQDIVDEIKALVF >cds-PLY80390.1 pep primary_assembly:Lsat_Salinas_v7:3:238881979:238884811:-1 gene:gene-LSAT_3X132520 transcript:rna-gnl|WGS:NBSK|LSAT_3X132520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSEADSRLINNILVPALEKIIKNGSWRKHSKLVRRCKSVLGRITSPDNPPPPSPISPSTPLTPEEPQSGVDLSLQLSSPGVLHDSGTNELTLPESEFILSPIMNACSSETLKIVEPALDCIQKLIAHGCLRGESDTTGGPDAKLLAKLINSVCKCHELGDEGVELLVLKTILSAVTSVSLRIHGDSLLQIVRTSYDIYLQSKNVVNQTTAKASLIQMLVIVFRRMEADSSTELVQPIVVAELMEPVEKTEDGDGTMTMVVQGFISKVMQGVDGVINPGSPVTSNTNVTVVHDGAFETKTSTMESTNPADMLDSTDKDMLDAKYWEISMYKSALEGRKGELVEGEGDRDDDIEVQIGNKLRRDAFLVFRALCKLSMKTPPKEALADPQLMRGKIVALELLKILLENAGAVFRTSERFLGAIRQYLCLSLLKNSASTLMIIFQLSCSIFISLVSRFRPGLKAEIGVFFPMIVLRVLENVAQPNFKQKMIVLRFLERLCIDSQILVDVFINYDCDVNSSNIFERYKS >cds-PLY95101.1 pep primary_assembly:Lsat_Salinas_v7:1:95466407:95479674:-1 gene:gene-LSAT_1X80340 transcript:rna-gnl|WGS:NBSK|LSAT_1X80340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVPFSEGSSSSSINNHKHDVFISFRGEDTRRNFVDHLNKTLQHANISTFLDEEKIDTGKVLKPELVNAIKSSRASIIVLSKNYASSSWCLDELVLILKQPNQIVIPIFYHVEPIHVRKQESTFGDAIAEHTRQMKAEKDADERTQSAQRIKGWIDALKQVANLKGEDVRGWYETVFIKKIVDDLNYRLHVPSRSALPEPIGMKDSINFVTSWLKKEPSDRGDVLTIFGMRGIGKTSLAQYVHGLHCYEFVTSSFIKDIDRRCDDKLYGLRDIAAELYGCISKGSSTQDYDVSKYTSKIENIVANEKVFLVLDDINTLDQLNALLGRKGFYQGSKIIITTRNTWLTESCDLFKTEVKPTHEKHKLEGLDDTAALELFCSHAFKSKDPKKGYGEMSEKFVNYCRGHRLTLEVLGMSLYNRQDVAYWEDRIEGLGKDPVENELRKSFDSLPSDNDKELFKHIACFFVGTDRVYTETILKACKIRANSGITDLMDNCLLSVGWNNELVMHQLLQEMGRHIVRQESPDKAWKRSRLWCHEESFKVLKEKKGTRKVLGLSLDIRRLEINEPLETEALRKMDELKLLQLNCVQMNGSYENFPKQLRWLCMHVFPLDYIPSNLPMKYLVALDMSYSNITSFGVSYCDSQPQKRQRVGKAGGAKWKLKLY >cds-PLY77650.1 pep primary_assembly:Lsat_Salinas_v7:5:174878678:174882598:-1 gene:gene-LSAT_5X77661 transcript:rna-gnl|WGS:NBSK|LSAT_5X77661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleoside-diphosphate reductase small chain A [Source:Projected from Arabidopsis thaliana (AT3G23580) UniProtKB/Swiss-Prot;Acc:P50651] MGSLKNETEHFKGEEEEEPILMEQNQRFCMFPVKYHQLWEMYKKAQASIWTAEEVDLSLDIQQWQKLTESEKQFISHILAFFAASDGIVLENLAARFLNDIQIPEARAFYGFQIAMENVHSEMYSLLLETLIKDPKEKYRLFNAIENIPCVARKANWALNWIKSSNSFAERLVAFACVEGIFFSGSFCSIFWLKKRGLMPGLTFSNKLISRDEGLHCDFACLLHSLLRKQLPWQKVYEIVQEAVEIETEFVCEALPCALIGMNATLMSQYIKFVADRLLVALGCQRKYNVENPFDWMEFISLQGKANFFERRVGDYQKASVMSNLQDGGQNFVFKMDADF >cds-PLY95810.1 pep primary_assembly:Lsat_Salinas_v7:7:177031420:177035655:-1 gene:gene-LSAT_7X104161 transcript:rna-gnl|WGS:NBSK|LSAT_7X104161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMENSSESGWQRSGSSRGLNSSGVSNRNPRIIPTGTFRFSGDTDHDSGIIPGRRRREKTTASVLPQSNRLGAGTQFGYFREDDGAVIRPVEAKDVSLRQWLDNTERVVDALECLHIFTQIVEIVNLAHSQGFVVHNVRPSCFVMSSFNRVSFIESVSCSDSGSDSCEDESNNNNQTADFMGGLCSSSGPDRENQTSWLQSGSENLNTSNVDQAEEKKDRFPMKQILQMESNWYTSPEEAAGGQSSAASDVYRLGVLLFELYCTSNSPKEKNATMSSLRHRVFPPQLLLKWPKEALFCLWLLHPEPASRPKMDEVLQSEFLNEPRDNILEREAAIELKEKIEEQELLLEFLLMLQQKKQESADNLRRTVSFISSDLQEVTKLQMSIREKGDLTSNLGDFPFTNDDSASSGSRKRIRSMTQTQTQIPETSGSFQDEQPSRSSRLMRNFKKLESAYFLTRRRAFKQVGRNANAPMSSVLTERSSVNNFEGKQSGWINSFLDGLSKYLTFRKLRVKADLKQGDLLNSSNLVCSLGFDRDGEFFATAGVNKKIKVFEYDSILNENRDIHYPVVELSSRSKLSSICWNGYIKSQIASSNFEGVVQIWDVTRNQVFMELKEHEKRVWSVDFSTANPTMIASGSDDGSEASVGTIKTKANVCCVQFPSDSGSSLAFGSADHRIYYYDLRNPSMPLFTLVGHNKTVSYVKFLDSMTLVSSSTDNTMKLWDLSECTSQLLDSPIQSFTGHMNLKNFVGLSVSDGYIATGSETNEVFVYHKAFPMPAFSYKFNTTDPISGDEVDDSEQFISSVCWRSQSSTLVAANSMGNIKLLEML >cds-PLY71767.1 pep primary_assembly:Lsat_Salinas_v7:3:44952478:44955037:1 gene:gene-LSAT_3X33741 transcript:rna-gnl|WGS:NBSK|LSAT_3X33741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCFKESKRLARYLLLLCFSLTKILSSSYGANTINANQSLSGDQTIISEGEVFELGFFKAGNSSKYYIGIWYKKASSNPPTIVWVANRETPISDRFQSELKIIDRNLVLLNESKFQIWSTTITTTTITLKPAIAVLLDDGNLVLRYSTSNSIEPFWQSFDHPTHTWLPGAKLAYDNRTKKSQLLTSWRSKEDPAVGLFSFELHPFNNQYVSKWNSSQQYWTSGAWNGKKFDFVPEMRLNYIYNFSYHTNVNESYFTYSVYNSSIMSRFVIDVSGQVQQLTWLETTKQWNLFWSQPRTQCEVYASCGAFGTCSQSGLPFCNCLTGFNPRSESDWNQSDFSGGCVRKTDLQRRRNVEKLDFLMIAVKNLPPNQSVESGSEGECRTTCLNNCSCNAYSFVDNQCSIWDGDLMNLSLDDYSRKTIYIKVASKDLNHPKKSNLVMVGAIVGFVGGVVFAMGLILVLIYRKKRTSVGKTTMEGSLVVFDYKDLQTATKNFSNILGGGGFGCVFKGVLCDSSIVAVKKLESISQGEKQFRSEVSTIGIIQHVHLVRLRGFCAEGNNKLLVYDYMENSSLDTHLFHGKHLLNWETRYQIALGTARGLVYLHEKCRDCIIHCDIKPENILLDAEFCPKIADFGLAKLVGRDFGKVLTTIRGTRGYLAPEWLSGVAVTAKADVFSYGMMLFELVYGKRNAEQSEDSRSPFFPCLVANVLMVGGDILSLLDSRLMREASVEEVTKICKVACWCIQDEEDSRPSMSLVERILEGVLDVNIPPIPRSVSLFSLVCL >cds-PLY87147.1 pep primary_assembly:Lsat_Salinas_v7:5:265902180:265904003:1 gene:gene-LSAT_5X137881 transcript:rna-gnl|WGS:NBSK|LSAT_5X137881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPKILPILPFSLFNSPVLHSVEVEKRTRFQIPMPLQKHGVVTKMNVVEAPSTHGHGRPIFSFSFGSRKNLPSKWDNAEKWLINGHESPARGLIKSAAFAPKQQDEKVGAFSDDEKRVTRATCIFQGPKTVFLGHHHCSSDMLLKDKFADEVEPMEVLLEAKKTETDMTLAGSSTISRCSTPLKSRSPPRHNTPTTIGPLGLTNQSSSLDIGHIQEWHLAKLQPRTTLFDIITSKWSSREEEEEEDISKRLGYFEMKDESLENIPGPRPSAWEEKEKSEYCIRYQMEEAKIQAWVNLEKAKAEAESRKLEVKIEKMRSKHEEKLMKKMIVVDRKAEELRAAAELEHSEQVRKMSNSLNLNQSAHFSSHRGSCVCFIRN >cds-PLY79622.1 pep primary_assembly:Lsat_Salinas_v7:2:166132410:166132676:-1 gene:gene-LSAT_2X88220 transcript:rna-gnl|WGS:NBSK|LSAT_2X88220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSWEGVMNHPDLSVVGSPPKRKRHARAPGLKSQESGGSDTQAPLTSVESNDPVETRTFFVLHDCPVITDPTLNMSRRIYILLSSCKT >cds-PLY84348.1 pep primary_assembly:Lsat_Salinas_v7:3:150835236:150839134:1 gene:gene-LSAT_3X97520 transcript:rna-gnl|WGS:NBSK|LSAT_3X97520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRHPIPLKLLSLLWVFITSFSSYAVLGNDLDKSALLEFKSSVSDPSGVLASWRESNSDLCSWVGVSCGSDYRVVALNITGGGNSGSFTCTEYDQFQLYGFGIRKNCVGRNAKLMGKLSNGISKLSELRILSLPFNDLNGEIPDEIWGMKKLEVIDLEGNMLNWNLNSNFRDLENLRVLNLGFNQISGKIPNSLSSLKNLQVINLAGNHLNGSIPMFFNEFINLRGLYLSFNQLSGKIPSEIGYNCGNLEHLELAANFLLGAIPASISNCTKLRSILLYSNMLQEEIPIEFGQLKSLQIFDVSRNSLSGPIPPQLGNCTNLSILVLSNLFTPIPISAQSEEEYNYFQGPLPSEITILPKLKLLWAPRTTLEGKLPTNWGACTSLQMINLGQNFFTGEISNGLNLCRKLHFLDLSSNKLTGQLSNKLPVPCMTLFDVSNNRLSGAITSFRHTVCEPLDPSSTYIQFFTSQAPNNGGNRAIIHNFSRNNFTGSVILIPVASKPEKNSVYSFLAGENNLTGVLFDKCPDFKEIDVNLSSNGFSGEIPANISRNCRSLRVFDVSGNRLSGIIPSSFSELDSLISLNLSYNMLHGVIPDNFGEIKVLRNLSLSNNNLSGSIPQSLGSLKSLQVLELFSNSLSGKIPEDLVNLRNLTVFLLNNNKLSGEIPAGFTKMIKFNISGNNISGPSPENLMSSTSTEQQQQPPPEPGRSTSGDFVNYSNSPTPSTKSNNQNFSSIEIALITSASAIFSVLLALMVLFFYTKWRPKSITHGSTRKEVTLFTDLGVPLTFESVIQATGNFNVSNCIGNGGFGATFKAEIAPGFPVAVKQLAVGRFQGVQQFDAEIKTLGRLRHPNLVTLIGYHASETEMFLIYNYLPGGNLERFIQERSTRAVDWRVLHKIALDVARALHYLHDQCVPRVLHRDVKPSNILLDDDFNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFSCYGNGFNIVGWACMLLGQGHAKEFFTAGLWDSGPHDDLVEVLHLAVVCTVESLSRRPTMKQVVRRLKQXXXXXXXXXXXVVLVVPTYLS >cds-PLY94295.1 pep primary_assembly:Lsat_Salinas_v7:7:164855668:164857171:1 gene:gene-LSAT_7X96741 transcript:rna-gnl|WGS:NBSK|LSAT_7X96741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTFSAVTLAVLLLISLSTTTDAHNITHILDKSPEFSTFNHYLTLTHLAGEINRRQTITVCAVDNAAMSALIAKGLSLVTIKNVLSLHVFADYFGSKKLHQITKGSTSTATMYQATGEAPGTTGYVHITDVKGGKVHFTPEDNPTQTVLYVKSILEMPYNISVIQISEILQSPEAEAPTSAPDLNLTSLLQKDGCKAFYDLLSTSGAIGTFLSTVDGGVTVFCPSDDAITAFAPKYKNLTAEEKTSLLLYHGVPIYNSMGMLRSSNGLMNTLATEGAKKKYDFTVQNVGDVVKLKTKVVTAAITGTVIDDEPVALYKIDKVLLPRELFKGTAEADEPAAAPKAAKKKKKAAKKGDNADAPGPDSSDDDYGGGDAADQTASSGGRLVASAFTVVCLALVFV >cds-PLY63124.1 pep primary_assembly:Lsat_Salinas_v7:4:66597111:66603894:1 gene:gene-LSAT_4X43721 transcript:rna-gnl|WGS:NBSK|LSAT_4X43721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 1 [Source:Projected from Arabidopsis thaliana (AT2G44950) UniProtKB/Swiss-Prot;Acc:Q8RXD6] MGGTGEADRKRHFSSISSPTAAAAKKHPFVPSSEDKKLDTVVLKFQNQKLVQKLESQKVECVALENKFSQLREKQLLYNKTLAVVDKSWDELIDKVESCSIRTKNLLSHDRGNKHHLVAGDNASPPPEDVFLHRLLEKGATESSSANSTPSQMQENRETGGNKVQNTFCNIVHAIDGLWGMEDGLYAKAIKQLPEGSYRPESSIELEREVKNLRLAIGDMHIKHRSLARDMQFHRDTDAKNKSELRQLKGELECTIAELEESNKNLSVLKAETDAAKGAIFPVILGNKCVANDRAREKEKDLHDMESLLKELLDQASSRQQELKRLHEERMEILKQLSSLQNTLKNSKGVFSSEAFILVKDQIAKARGDLVQYQALFQKLQVEKDNLGWREKEANMKNDIGEVLRRSSSVSDSRIVDLKMEIQKQIDGRKLIEAKLEEASREPGRKEIIAKFRTFVSSFPEDMGSMQTQLRKHKEASSDVHSLRADVQSLSTILDRKMKELEALSSRSAAQSAEIQRLRATIHDLEESDSELKLILDVHRRETIYSREFIEARNCEYKAWAHVQSLNYSLDEHNLETRVKTAIEAESASQQMLAAAEAKIADLRQKLEKSKKEKYKLSDVLKSKHEENEAYLSEIETIGQAYDDIQTQNQQLLQQITERDDYNIKLVLEGVRARQLNDSLLLDKKTTERKIQQGNTLINFCEIKAERIEDQTKSCSDHVEKVSETRVQKTGALENTQRRLNDVKKTSQQFEKKRVEEELECARRKHTRLRSQLDGSSVVEKLQQELKEYKEILKCSVCHDRPKEVVITKCYHLFCNTCVQKIIETRHRKCPLCTASFGPNDVKPVYI >cds-PLY95458.1 pep primary_assembly:Lsat_Salinas_v7:9:160798302:160801786:-1 gene:gene-LSAT_9X100520 transcript:rna-gnl|WGS:NBSK|LSAT_9X100520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDASRKTYPMEEPNVVQYPIIHLHDYEPKFLTPKVSEDVNDHNEINDIVENSDLSEEQKSVLEVEKTDTNKDQFFYYDPPLTEDTGVWIPVSIPPMSESQREEWNRGLGINPNQFDNNMEWDDFVNEDKELTLWDVVLDMLLAAQGKVRSITSGDTYAYQISWLSDQLLERTWKEVSNNLTEVNADTIKELLEAEPPKWLADSAASYCMLCNVRFHPIMCSRHHCRFCGGVFCNECSKGRSLLPEKFRKGDPQRVCDVCCVRLQCVQVYLMDRVSRAVQVATRDLTDLSTLRSWLNFPWGQSMEQEIYKAANTIRGYFKVGALTPEKSIPDSILKQAKGLAIITVAKVGMMVTYNVGTGLVIARREDGSWSPPSAISSFGMGWGAQVGGEFTDFIIVLRNSDAVRTFGGNAHLSVGAGASAAAGVIGRAAEAGFRAGDGGYAACYTYSCSKGAFVGCSFEGSMVTTRVQENCRFYGNPSIKSSDILLGTLPRPPAAAILYNALSELYMKRKNHLGVLDSFGLFVNAFKTD >cds-PLY70337.1 pep primary_assembly:Lsat_Salinas_v7:4:101061385:101062894:1 gene:gene-LSAT_4X64581 transcript:rna-gnl|WGS:NBSK|LSAT_4X64581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGGGGGGGAVGGRIPELEKMSVEQLKAIKEQTDLEVNLLQDSLTNIRTATTRLDLASIALQDLSIRPQGKKMLVPLTASLYVPGTLDDAEKVLVDVGTGYFIEKTMEEGKDYCERKINLLKSNYDQLLEVASKKKSIADEAGMVLQAKLKQLSAAS >cds-PLY91824.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1466343:1467399:-1 gene:gene-LSAT_0X520 transcript:rna-gnl|WGS:NBSK|LSAT_0X520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPKQRKILHFTSTILLNFTLLILVSSNPDTTSHHTEFIYKKCRNETHLPQKLASSLIQELVEKSSKSKFYQTTTGDDTFAISGAFECRHDLTNTDCNNCIVNTLPRLSCGSDFLTRVQLKGCYISLEPEQNIPDPKGGRIDERVLIGFQKDDLQHKKCSDRRVGLEGLEEVKDAAFEAIARCIISSGDRHCEMSHEWMHVVAQCDMSLEGCQCGKCVSNAFQVAQDECWGSDSGEIYLTNCFISFSDDYGGGNYSQGSNIGGSSAKVVAMVVGIGVALALLFALCYCIRSSKRKRGDG >cds-PLY79175.1 pep primary_assembly:Lsat_Salinas_v7:5:57901231:57901983:-1 gene:gene-LSAT_5X27281 transcript:rna-gnl|WGS:NBSK|LSAT_5X27281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDNAVTRYTLFINIARLFQLIEVFIAVVLISWSSSRLPSVMKVSGEYLLAFSSNLLNQHVVFFVGNVIIVLCYVLSRDSVSGYDSEISDEKRSLSSELKITGDASIGELRPPVNETETEVVKETIMEEKGDQKLNGMIKTESDMAVETAIKQAAKQIDWFSRTQSAKLKREISLRRTQQELRRSATSTTAKGRRNNMLVTSFKGSSTAISVETVDKLSNEEFQLAVETFISKHQSFLKQQSMAENGIKF >cds-PLY68716.1 pep primary_assembly:Lsat_Salinas_v7:5:279005687:279006265:1 gene:gene-LSAT_5X144220 transcript:rna-gnl|WGS:NBSK|LSAT_5X144220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRSLGRQKIKMAQIQKKSHLQVTFSKRRVGLFKKAGELCTLCGVDIAIVVFSPAEKAHRIASIPDLNLQQTYMRDELEAEKKRRETLDEMRKASQSQFWWEAPIQDLNLHELEQLKDSMEELKKTISIQVNKLFLENSNYVPIFGTNNITRGDGDYY >cds-PLY94416.1 pep primary_assembly:Lsat_Salinas_v7:6:9380371:9381711:-1 gene:gene-LSAT_6X5760 transcript:rna-gnl|WGS:NBSK|LSAT_6X5760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDVASETESTSTPSPEPKSSSVATPPPKKTKPPHGGDKNVGLEVVVAEKRMALIKAWEENEKTKADNRAYTKKSEIGAWENTKKAMIEANLKQIEENIEIEKAKKREEMKNRMAGVHKEAEEKRAMVEEKRGQDIIKAEEAAAKFRATGTLPTKLFKCFGY >cds-PLY72889.1 pep primary_assembly:Lsat_Salinas_v7:4:272544120:272546115:-1 gene:gene-LSAT_4X139660 transcript:rna-gnl|WGS:NBSK|LSAT_4X139660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKPSSRYVVFENRSLDQWKVTELKEELKKRKLITRGLKDDLVKRLDEAIRAELEEDNKNHENGVNDDEQPEIPSDDAVADPIVADKTMDDNIAKNESIKEDNVTEKVDKNESLDQDHITEKLDKDESLDKHKVTENLDDENRDKDKVTENLEIDIVQKVSVETSVIVTEVMVSQELGQQELQNEEVSNLQESNSKPLPKEEEAEPNSSEIGSQVVEVSQVKSDSISIVSITEKNELKDNVITDDVKLELDVKPSILDDGVKTDPVVVDEKDFKIAETESKKNDILDVGSPEKLNLDDDSMEEDALESKQSDSNFISQDITEKTQIPPLDVMVEDTNTEIADVSTKRKQYDQEAVANNEVVKRQRRWNSNNAPEQITTPKGGSMKHSFSRSDSSVSHEEPKERVVPPSSKPATTSLRVDRFLRPFTLKAVQELLGKTGTVVSFWMDHIKTHCYVTYSSVEEAVETRNAVYNLQWPANGGRLLMAEFVDPSEVKTRTDPPPSPTPVTTTTTPLPLPPPPPLSLPPQSREPPQHHLPPAPPLLEKLEPPIMTLDDLFRKTRATPRIYYLPLSDEQVAGKLKTQGKAVVKQ >cds-PLY91725.1 pep primary_assembly:Lsat_Salinas_v7:9:17245569:17246454:1 gene:gene-LSAT_9X16421 transcript:rna-gnl|WGS:NBSK|LSAT_9X16421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANILLQQLFISALFTLSLSTHFCLSSSKQSATIYDILRSNGLPMGLLPKGITNFTFDDSGRFEVHLDQACNSKFENEFHYDRNVSGTLTYGQINGVSGISAQDLFMWFTVKEIRVDIPSTGLIYFDVGVVHKQFSLSSFDTPRDCLVATMSVNNQLIIQSVPKRLSRKLRTPNHHLQEDLMAVL >cds-PLY72900.1 pep primary_assembly:Lsat_Salinas_v7:6:165314044:165317288:1 gene:gene-LSAT_6X100741 transcript:rna-gnl|WGS:NBSK|LSAT_6X100741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSSQILSSFKSIIIFHTLITLLLATTATDNLRPHLGIGISMVAPALQNWKATLDDQTRKLLSSWVGSDPCNNWVGIGCNNTTHVIISINLDRFHLRGTLDYLNFSSLTTLQSLNLSTNQLTGSIPLQLGELNSLESLDLSSNGFNGRIPSSLGNLTRLYYLNLHENSLSGPIPFQLGSPKDLLDLRLSVNHLSASIPSSFENFTRLERLHLHSNQLTGSILPKLGNPETLMDLRLYYNHLTGSIPGSLGNYTKLVSLYLHQNQLSGSIPPALGQLKSLLDLALSLNNLTGLFPEELNNLTEKLETLSLGSNNLSGRLPNRICDRGSLQIFTANSNSFSGRIPSSIRNCSRLLRLRLDGNWITGNISDVFGVYNDLEYVDMSYNNLYGGVSTNWAKCRSLMALKMSNNNLSGVIPDNLGDSRLQEVDLSANKLTGEIPPSLGRLSSLLDLFLDDNRLLGSIPREFGKLMNLGRLNLEKNALNGGIPSEIGGCLRMRILNLSGNDLQDTIPVELMRLAELQVLDVGDNRLTGGLPREIGGLKMLEILNISHNNLTGSIPSTFVDLLSLITVDISYNQFEGKVPDIRIFQEAPFEAIENNKGLCGNIIGLNNCLTKQRTRKNIRSLLILIILPTVCFLFLLIAGILFFRRSKEKKNEPEESVNHSPFSIWSYDGKMVYETIIEAVENFDSKYIIGIGGCGTVYRAELPTGEIVAVKKFNTQEDNELRDVKGFENEIHALTETRHVNIMKLYGFCSHPRHMFLVYKFIAGGSLRTILNDAEKAKEFDWRKRLNAIKGVADALSYMHHDCLEPIVHRDLSSGNILFDSEYIALLSDFGTAKFLKADSSNWTSFAGTYGYSAPELAYSMAVNEKCDVYSFGVLTLEVIMGKHPGDLTMSRLSVEELSRQVVLDQRLVPPTGQVVEIVNGAVELAFACLNTNPRSRPSMLQVSIKLSTIITFISR >cds-PLY92779.1 pep primary_assembly:Lsat_Salinas_v7:2:148574385:148574959:-1 gene:gene-LSAT_2X74541 transcript:rna-gnl|WGS:NBSK|LSAT_2X74541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKTYVRQNSHSRSISLPCGPVCMEDEYNKLMGLGEPSSPTSENLMEEDDIDQSSSSSRGLLLMVTSMVLIFIAVAMGMSKYSKDGFWLTIKKGMFMSQ >cds-PLY99073.1 pep primary_assembly:Lsat_Salinas_v7:6:151619310:151622138:-1 gene:gene-LSAT_6X91060 transcript:rna-gnl|WGS:NBSK|LSAT_6X91060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit F [Source:Projected from Arabidopsis thaliana (AT4G02620) UniProtKB/TrEMBL;Acc:A0A178V4M8] MASRAQIPTNNSALIAMIADEDTITGFLLAGVGNVDIRRKTNYMIVDSKTTVKQIEDAFKEFTTRDDVAIVLISQYVANMIRFVVDSYNNPIPAILEIPSKDHPYDPAHDSVLSRVKYLFNSDSVAGDRR >cds-PLY73758.1 pep primary_assembly:Lsat_Salinas_v7:2:96237731:96239889:1 gene:gene-LSAT_2X40740 transcript:rna-gnl|WGS:NBSK|LSAT_2X40740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQDVVHPPDQPPMEQVSSPLSAQILEFCESELFQETIHNSEIASTLNCCYEEQSSSYVKNLSFPSDMMKYPTPPNTATTSANTDLFQEKLIENDLSAPIDFTTLPHYPFSHQDQFDLSLLQNQISLATDGPIPSYPHQNDHADVLSIMGPMVCEDDCLSSMPPSKCMRLNNPNSSPNNHCFMDHPYLPSGNSNPILHVDNCGIFSGNLSLANELQAHELDFQGDNSGIFCPDTLPRHYNSNELQALSNESQHLVNNGVGSCNPPLAPPEITSLESESFRVANKLTSEERKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDEFGEHHRSTCNTHEEDTDEDVKTVQQVVVKEEAHENLDSSDIFSHIHNSLRCSNYPIQSYLI >cds-PLY86563.1 pep primary_assembly:Lsat_Salinas_v7:9:5283112:5285803:1 gene:gene-LSAT_9X2400 transcript:rna-gnl|WGS:NBSK|LSAT_9X2400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNEHIELKFRIYDGTDIGHSNYASSTTVSALKQKLVSEWPQGKSVVPKTVNDVKLIHLGKVLENNKTLSESGVRDGAFAGGVITMHVVIQPVLNKKKSAKKQDETGKLNSCGCTIL >cds-PLY83111.1 pep primary_assembly:Lsat_Salinas_v7:2:8384929:8407944:1 gene:gene-LSAT_2X4860 transcript:rna-gnl|WGS:NBSK|LSAT_2X4860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDSVVDPTGIVGAIINPIAQTALVPLTDHVGYMISCRKYVRDMQMKMTELNTSRISAEEHISRNTRNHLQIPSQIKDWLDQVEGIRANVANFPIDVISCCSLRIRHKLGQKAFKITEQIESLTRQNSLIIWTDEPVPLGRVGSMIASTSAASSDHHDVFPSREQIFRKALEALEPVQKSHIIALWGMGGVGKTTMMKKLKEVVEQKKMFNIIVQVVIGEKTNPIAIQQAVADYLSIELKENTKEARADKLRKWFEDDGGKNKFLVILDDVWQFVDLEDIGLSPLPNKGVNFKVLLTSRDSHVCTLMGAEANSILNIKVLTAVEGQSLFRQFAKNAGDDDLDPAFNRIADSIASRCQGLPIAIKTIALSLKGRSKSAWDVALSRLENHKIGSEEVVREVFKISYDNLQDEVTKSIFLLCALFPEDFDIPTEELMRYGWGLKLFIEAKTISEARNRLNTCTERLRETNLLFGSDYFGCVKMHDVVRDFVLHMFSEVEHASIVNHGNMSEWPEKNDTSNSCKRISLTCKGMSKFPKDLNYPNLSILKLMHGDKSLSFPEDFYGKMEKVQVISYDKLMYPLLPSSLECSTNVRVLHLHYCSLRMFDCSSIGNLLNMEVLSFANSNIEWLPSTIGNLKKLRLLDLTNCKGLRIDNGVLKNLVKLEELYMGVNRLYGQAVSLTDENCNEMAERSKNLLALESKLFKYNAQVKNISFENLERFKISVGRSLDGSFSKSRHSYENTLKLAIDKGELLESRMNGLFEKTEVLCLSVGDMYHLSDVKVKSSSFYNLRVLVVSECAELKHLFTLGVANTLSKLEHLEVYKCDNMEELIHTGGSEGDTITFPKLKLLYLHGLPNLLGLCLNVNAIELPELVQMKLYSIPGFTSIYPRNKLEASSLLKEEVVIPKLDILEIHDMENLKEIWPSELSRGEKVKLREIKVRNCDKLVNLFPHNPMSLLHHLEELIVEKCGSIEELFNIDLDCASVIGEEDNNSSLRNINVENSMKLREVWRIKGADNSRPLFRGFQVVEKIIITRCKRFTNVFTPITTNFDLGALLEISVDCRGNDESDQSNQEQEQTDILSEEETLQEATASISNVVFPPCLMHSFHNLHKLKLDNYEGVEVVFEIESESPTCRELVTTHNNQQQPIILPYLQELYLRNMDNTSHVWKCSNWNNFFTLPKQQSESPFHNLTTINIEFCRSIKYLFSPLMVELLSNLKKLHIERCDGIEEVVSNRDDEDEEMTTSTHTTTNLFPHLNSLTLRFMRNLNSIGGGGAKDEGSNEISFNNTTTTTAVLDQFELSEAGGVSWSLCQYSREIIIYECHALSSVIPCYAAGQMQKLQVLTVMYCDGLKEVFETQLGTSSNKNRKSGGDEGNGGVPRVNNNVIMLPNLKILRIENCDGLEHIFTFSALESLRQLQELKIEDCYRMKVIVKKEEDEYGEQQTTTTTTKGASSSSSSSSSSKKVVVFPCLKSIVLVNLPELVGFFLGKNEFQMPSLDKLIITECPKMMVFAAGGSTAPQLKYIHTELGRHALDQESGLNFHQTSFQSLYGDTLGPATSEGTTWSFHNLIELYMEFNDDVKKIIPSSELLQLQKLEKIHVSWCDGVEEVFETALEAAGRNGNSGIGFDESSQTTTTTLVNLPNLGEMKLRGLDCLRYIWKSNQWTAFEFPNLTRVEISVCNRLEHVFTSSMVGSLLQLQELRIWNCSQIEVVIVQDADVSVEEDKEKESDGKTNKEILALPHLKSLKLQLLQSLKGFSLGTAFEFPKLTRVEISNCNSLEHVFTSSMVGSLSQLQELHIDYCRQMEEVIVKDADVCVEEDKEKESDGKTNKEILVLPRLKSLTLEWLPCLKGFSLGKEDFSFPLLDTLSISRCPAITTFTKGNSTTPQLKEIETNFGSFYAAGEKDINSLIKIKQQDFKQDSD >cds-PLY96003.1 pep primary_assembly:Lsat_Salinas_v7:9:40946275:40948267:-1 gene:gene-LSAT_9X36701 transcript:rna-gnl|WGS:NBSK|LSAT_9X36701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGNDTPAIGIDLGTTYSCVAVWKHGHIQIIPNDQGNRTTPSCVSFVNGERLIGDGAKNQVAMNPANTIFDAKRLIGRRFSDSKVQDDIKLWPFRVIQGPADTPKIVVSYKGHEKEFLAEEISSMILGKMKETAEAYLGKPVKDAVITVPAYFNDSQRQATKDAGAIAGLNVMRIINEPTGAAIAYGLDNKSDITSKINVLVFDLGGGTFDVSLLTMEEGGGTLQVKAVAGDTHLGGEDFDSRMVDHCAHEFKRRWNKDLTGNKRALGRLKCACEKAKRILSCDILTSIEIDGLHEGIDFSMKLSRAKFEELNMGFFVKCIETLEACLTDAKMDKSRVNEVILVGGSTRIPKVQSMLQEFFNGKQLCKRVNPDEAVAYGAAVMAEKLTGNGDDCFQDLLLLDVTPLSLGVELKEEVFDVLIPRNTPIPTKKSKFHTTCYDNQSEVCIEVYQGERARSVDNHLLGMFTPFGIPLAPRKVPQINNCLSVFLVVNKNMNMII >cds-PLY91907.1 pep primary_assembly:Lsat_Salinas_v7:8:201321788:201324695:-1 gene:gene-LSAT_8X128700 transcript:rna-gnl|WGS:NBSK|LSAT_8X128700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSKRLVQLGINSGFQAKSVKNNVYGFATPFLVPKQSRYDYTNRRHLSQLVNPNGRRVFLVDTLALVRRLEAQGVPSKQAEAITSAITEVLNDSLENVADTFVSKADMQKTVMLQEAHLGKFKSEVQSSQEHHFSMLQRETEKLRTDIDKMRSELRYEIDKVTAGQRLDLNLERGRIRDELANQNAETTSLTNKLDREIHGLRAELEAAKYDVIKYCIGTLISISAVGLAVIRILM >cds-PLY89502.1 pep primary_assembly:Lsat_Salinas_v7:4:148978743:148979397:1 gene:gene-LSAT_4X92120 transcript:rna-gnl|WGS:NBSK|LSAT_4X92120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPPPSPDTTKSKNSIDSKNGLNLNDLHTIFCDCGEKIVEQQGQVKRMKEERVRDYLHSRVDVLNMQQRFDHVEKQIKAIALLVVGVVFVMFLVMIFIIHLIINRWWYSSGGCNGNVWMYFEVMVTCNKHFGMILGNFWWIHEHDKQ >cds-PLY80089.1 pep primary_assembly:Lsat_Salinas_v7:5:146310177:146314135:1 gene:gene-LSAT_5X63960 transcript:rna-gnl|WGS:NBSK|LSAT_5X63960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKNEPASCCRFSVAEVIESYSKGGPISYSDLIQFLFDDYGRPITFGSGGENTQRSSISSLDDAKIVKATPKVHVNEDVKSGVQKFRVILLSEGGGVQGDMDVLCQIGLDGIRMLDPATNRTLKLYFLESVTRWEVMDSNVFVFWTKTSIDVDERRVRLKSNSYTTTNILDMVPDEASTKCTTCKTYFGAFVRRHHCRNCGDIFCDKCTQGRIVLTTEENAQQVRVCDQCMVCNA >cds-PLY68357.1 pep primary_assembly:Lsat_Salinas_v7:4:191968272:191970646:-1 gene:gene-LSAT_4X110181 transcript:rna-gnl|WGS:NBSK|LSAT_4X110181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGLKKPHLHKPRFPSQPTSNPFDSDDEIDKKSTLKPSKKPSSEPSITPNSKTSFFDDNEIKGASNSSGYASRNKYKNDFIDSGGVDNQSVQELEHYAAYKAEETTKSVHSALKIAEDIRESATNTMLTLHQQGEQINRTHNAAANIEQDLSRGEKLLGSLGGIFSRTWKPKKGQTIRGPTSIRDDPDRRKGNHLEQREKLGLTTTPNKGASRSRVPLPESADAMQKVEYEKEKQDDGLSELSNILGELKEMAVDMGSEIERQDKALNPLQDDVDEINFRVRGANQRTRRLLGK >cds-PLY74427.1 pep primary_assembly:Lsat_Salinas_v7:6:11405846:11408223:1 gene:gene-LSAT_6X9060 transcript:rna-gnl|WGS:NBSK|LSAT_6X9060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASADKLAHFQAITGLQDADLCTEILSAHGWDLELAISSITSTSEEDHINLPTTSAIDNDNIATDRFEQSGFIATEGAGPPGLAWKLITLPISIVSGSLGLVSGAVGLGLWAVGGVLSYSLSMIGLTNSGTNGGSSSTPLVSLSTAASEAMDFVSKFESEYGNRHPNFVSEGFMDALQRSRHEFKLLFVYLHSPDHPDTPSFCEGTLCSEVMTEFVNENFVAWGGSIRASEGFKMSNSLKASRFPFCAVVMAATNQRIALLQQVEGPKSPEELLTMLQRVLEESAPVLVTARLEAEERRNNIRLREEQDAAYQAALEADQARERQRREEQERVEREAAEAEKKQKEEEEARERAAREAAEREAALIKLREEKSLLLGPEPEKGPDVTQVLVRLPNGERKGRRFHCSATIQSLYDFIDSSGSLEIGSYSLVTNFPRVLYGPDKLSFTLKEVGLHPQASLFVEQKS >cds-PLY97317.1 pep primary_assembly:Lsat_Salinas_v7:3:253721303:253729680:-1 gene:gene-LSAT_3X139401 transcript:rna-gnl|WGS:NBSK|LSAT_3X139401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKKMKTVVGEVARCFPTAIISGRSRDKVYEFVKLDDIYYAGSHGLDIAAPFQCIKVGDSNSHNKCVDKNGDEVVLFQPAQKYLPSIYKILDALKEETRNIKGVVLENNKFCVTVHFRHVSNKDFSMLEELVTSVVHNFKEFRLSNGKKVFEIRPDIEWDKGHALEYLLETLGYGSSNDVFPIYIGDDRTDEDAFKAIKNRGKGYSIVVSSTEKDTMALYSLRNPCDVKKFLSRLVSWKKGSN >cds-PLY84074.1 pep primary_assembly:Lsat_Salinas_v7:6:191007898:191009515:-1 gene:gene-LSAT_6X117600 transcript:rna-gnl|WGS:NBSK|LSAT_6X117600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVKSNSCIDMSGGEEDDQMNMIMIDDIRRGPWTVEEDFALINYIAHHGEGRWNSLARCAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDTMRYLWMPRLVERIQAASTNTGDSSSLTTSTTTTTNHDDVDMDNSGTRKLVMPKTFSGTYGNTQINPPDTTEENSRTTAVSPVSGLTDCYYPMNQTQNQDSFQNINKIGDGFDGSLTSPSGYFNQTMDFEAIVEQNNQCSGVIDFSDNLWNVDDFLFFQQHFDRM >cds-PLY71785.1 pep primary_assembly:Lsat_Salinas_v7:6:90210443:90214686:1 gene:gene-LSAT_6X63381 transcript:rna-gnl|WGS:NBSK|LSAT_6X63381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQASLIAPTPRPTSILPSTTFRPLIPTTHKPYTFSPRASAASSSTTETVPPPPQSVSSVDPSSKLNKFSSRITEPKSQGGSQAVLYGVGLSDDDLKKPQIGISSVWYEGNTCNMHLLKLAEAVKEGVQDAGMIGFRFNTIGVSDAISMGTRGMCYSLQSRDLIADSIETVMSAQWYDANISIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKPGHFQGHTYDIVSAFQVYGEYVSGSITDEHRTDVLRASCPGAGACGGMYTANTMASAIEAMGMSLPYSSSTPAEDPLKLDECRLAGKYILELLKMDLKPKDIITPRSMRNAMVTVMALGGSTNAVLHLIAIARSVGLSLTLDDFQKVSDQVPFLADLKPSGKYVMEDVHKIGGTPAILRYLLELGYLDGDCITVTGKTLAENAKLFPSLSEGQQIIKTPENPIKKTGHLQILYGNLAPEGSVAKITGKEGLYFSGPAYVFEGEEAMIAAISEDPMSFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGYVVGHICPEAQEGGPIGLVKNGDVITIDISKRRMDVDLTEKELDERRKAWKAPAYKAERGVLYKYIRNVQSASKGCVTDES >cds-PLY98467.1 pep primary_assembly:Lsat_Salinas_v7:4:248678424:248680783:-1 gene:gene-LSAT_4X132180 transcript:rna-gnl|WGS:NBSK|LSAT_4X132180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIMDEEKCTRDSFFDVIGQIVSFRPLETTNPNPSRHYIKITITNLHSVHLNVTIFGSQAHQMSQYLKSNTTVTCVVIVMQFVKLNVWNGIGQAQSHFDVTKMFINSDIVEINQFKKELKADNNGGMLGKKNRTTLLSYSSSYMDDFKGNFRLKTVCEITEPLKEKKFLLVGSIVNIRQTLPWYYEVCYKCGSRVNNVPKTNLSYTAPDKMEDSVVIKCKNAACNDSNFHSVIKYIIPINVQDHTDTIGLTLFDRDAKRLLDISAFELKKIHEAAGDSLHLFPNQMNVLKNKKFAFIGDITSYNVINYNNIYTVVKLTEDVSIVSDLESKLEVMSVQSVSLNEVPLQSDDVVQTVEKDFILQTNESFTPATVDKSSATNPMKISSDLKRNLHDIYDVDNGIDFSSTKSKIKSMGDGNPLLVPKVEK >cds-PLY93651.1 pep primary_assembly:Lsat_Salinas_v7:2:200680269:200681715:1 gene:gene-LSAT_2X121440 transcript:rna-gnl|WGS:NBSK|LSAT_2X121440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVHLGANKYRERQPIGTAAQVPDKDYQEPPPAPFFEPSELTSWSFYRAGIAEFIATFLFLYVTVLTVMGVVKSPTKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALYYMVMQCLGAICGAGVVKGFEGSKQYKLLGGGANTIAHGYTKGDGLGAEIIGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNQDHAWNDHWVFWVGPFIGAALAALYHVVVIRAIPFKNK >cds-PLY89685.1 pep primary_assembly:Lsat_Salinas_v7:8:42297927:42302146:1 gene:gene-LSAT_8X31680 transcript:rna-gnl|WGS:NBSK|LSAT_8X31680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein VACUOLELESS1 [Source:Projected from Arabidopsis thaliana (AT2G38020) UniProtKB/Swiss-Prot;Acc:Q93VQ0] MAGVSIAAEWQLLGDKYYRKPELYQLRWKNIDLARNKVACAPFGGPIAVIRDESKIVQLYAESALRKLRIFNSCGRQISETVWRNPGGRLIGLSWTEEQVLVCVTQDGTVYRYDIHCKIIESNVSMGTECFEHSVVECVFWGNGVVCINEAFQLFCIPDLKNPKPCKLADTGLEEFPLCMAVIEPQYTMSGNVEVLLGVGDHVLLVEEDGVQTVGDGLGTLQKMVISHNGKLMASFTHDGQLLVMPTDFSSIIFEYSCESTLAPEQLVWCGMDSVLLYWDDMLLMVGPYGDPVRYLYDEPIILIPECDGARILSNLNMEFLQRVPASTESIFKIGSTEPAALLYDALDHFDRRSAKADENLRLIKTSLPEAVEACVDAAGHEFDPSLQQTLLRAASYGQAFCSQFQRESIQEMSKTLRVLNAVRSPETGIPLSIHQYKLLTPSVLIGRLVNAHQHLLALRISDYLGMNQEVVIMHWACSKLTVSSAVPDVTLLEILLDKLKLCRSISYAAVAAHADQSGRRKLAAMLVEHEPLSSKQVPLLLGIGEEDTALTKATESGDTDLVYLVLFHIWQKRPALELFGMIQARPIARDLFIRYARCYKHEFLKDFFLSTGQLHDVAYLLWKESWELAKNPMASRGSPLHTPRMKLIEKAQNLFAETKEHVFESKAAEEHARLLRMQHELEVSTKQPIFVDSSISDTIRTCIVLGNHRAALRVKTEFKVSEKRWYWLKVFALATIRDWDALEKFSKEKRPPVGFRPFVEACIDAEEKGEAVKYISKLADPREKAEAYARIGMGKEAADAASQSKDGELLGRLRNTLQDSTASSLFDTLRDRLSFPGVS >cds-PLY87863.1 pep primary_assembly:Lsat_Salinas_v7:3:43895676:43897535:1 gene:gene-LSAT_0X8660 transcript:rna-gnl|WGS:NBSK|LSAT_0X8660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSQLNSSVFLFILILSFSVSWAALSSILEVTPGSQDFVGCLQSHSNNVTSISQVTFTSRNASFLPTWQVHVQNTRFLKPSTRKPSVIVTPVDETLVRTTLFCAKKHGYELRIRSGGHDYEGLSYTADVPFVMLDFTNMRSIDVDVANRTAWVQAGAALGALYYAISQKTDTLYFPAGVCPTVGVGGYMGGGGYGNLLRKYGTAADNVLDARFMDVNGNILDRKSMGEDLFWAIRGGGASSFGIVLAWKLRLVAVPEKVTVFLLNKTLEQGATELFHKYQTVIPAIDRNLHMRTQVFGEYIGNTTKKTVRIMFEGIYQGTTDTLLPLLDEKFPELGVTREICEEIKMVQSTLVFWGLPSSTPTEILTNRSAIAKINNKSKSDYVRKPIPISGLKKIWRKLMENDQSAFLMINPFGGRIADFSETAIPYPHRAGVLLQILKSVNFNGQASDTTPVSLRRIAWIQSLDELLTPYVSKNPREAYFNYNDLDFGVGSDNYEEASLWGERYWKRDNFKKLIRIKAKVDPLNFFRHPQSIPVFSTPLSDM >cds-PLY86775.1 pep primary_assembly:Lsat_Salinas_v7:4:296768268:296768658:1 gene:gene-LSAT_4X147840 transcript:rna-gnl|WGS:NBSK|LSAT_4X147840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHLARGDIAQLVELRSCNWVVAITGWMSNCPGGNDSILYLNRNGEEDRNMPLKDSTETKMGCQERQERRGGFPYRGSLGKRIKLALANSLMHYLPSTL >cds-PLY92911.1 pep primary_assembly:Lsat_Salinas_v7:3:116219942:116221129:-1 gene:gene-LSAT_3X82160 transcript:rna-gnl|WGS:NBSK|LSAT_3X82160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPGSNSGLGLRKGAWTADEDILLKNYIEKYGEGKWHIVPLKAGLNRCRKSCRLRWLNYLRPNIKRGNFGEDEVDLILRLHKLLGNRWSLIAGRIPGRTANDVKNYWNTRTRLRSKPQKKVGHDESLQDTRIKIIKPQPRSFSKTLNNNNLNMCSGFISPSNLLDHETVNECLDGLFDDHEKVIDGKFGWYSFDSSSADEKALNGVEHEVHNNSLFDFPVDELTWELINSDE >cds-PLY70505.1 pep primary_assembly:Lsat_Salinas_v7:1:72784559:72785250:-1 gene:gene-LSAT_1X64780 transcript:rna-gnl|WGS:NBSK|LSAT_1X64780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEEKKTAVAEKSPAEKKPKAGKKLPKEAGAGATDKKKKRSKKNVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >cds-PLY77804.1 pep primary_assembly:Lsat_Salinas_v7:3:35191832:35205036:1 gene:gene-LSAT_3X26061 transcript:rna-gnl|WGS:NBSK|LSAT_3X26061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATHRDVERGDKKGLEKLAEPFIDDSSKGVHRSKQTDQNAAGSIAMVLLSTAVAVCGSLEFGTCVGYTAPTQAALSIDLNLSVAQYALFGSIVTISAMIGAVTSGRIADTIGRKGAMALSSVFCVAGWLAIYFAMGAVSLDTGRFFTGYGIGIFSYVVPIYIAEISPKELRGGLTTINQLMIVTGSSISFLVGTVVTWRSLALIGIVPPVLLVIGLMFIPESPRWLAKVGREVEFQSSLRQLRGAKANISAEADEIHETIINLQKLPQAGVLDLFDARYIKPVIIGVGLMVCQQWCGINGIGFYASEIFKTAGFASGKSGTIAYALIQIPITIVGMILLDISGRRILLLGQAKFLGWAPLLAASGVLVFIAAFSIGMGAVPWLIMSETANTDPKTEECDGTQSHLTLITEFELLHPRFYIIQETRK >cds-PLY92534.1 pep primary_assembly:Lsat_Salinas_v7:3:252965412:252968148:-1 gene:gene-LSAT_3X139440 transcript:rna-gnl|WGS:NBSK|LSAT_3X139440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSFMMPKVESSDLIAYGLIPEFVGRFPILDNLLALTKPQTVPVLPQPKNALGKRYNKLFQMNQLSILSSQQSTDLLMKNRGLRALLENILMDAMYEIPNERTGKDIIDGVVVDEEAVGSGAKILHGEAALAHYLSQHLIGNLEGLFAPGWIGYGKAYAPKEADDQAMCHLPKLAEQFLAETLDVVQDIDWVISLGNAFAKQYELYTYDDEHSALLHRFLNCDSPLQLSECRLILVLD >cds-PLY86237.1 pep primary_assembly:Lsat_Salinas_v7:8:56107830:56109608:-1 gene:gene-LSAT_8X40400 transcript:rna-gnl|WGS:NBSK|LSAT_8X40400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEKSNSSSNSTISSSKHTTQPNLSKCTANNSNSIEVAGNLAVDGQLEIWPPSQAVVDALVLKLKAKDGDMEANWEKIILNFKKELDEEEQFKRPSSSGVDNSGGCGGADGIERREKARPKFSVPLSRREMEKDFEDMGERRLPRKPKKRPKIVQNQLDTLFPGLWLTEIHADLYRVSETKKR >cds-PLY76913.1 pep primary_assembly:Lsat_Salinas_v7:6:30181268:30183875:1 gene:gene-LSAT_6X23080 transcript:rna-gnl|WGS:NBSK|LSAT_6X23080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPLRPLTDFPPSMWGDRFLSFSLDNSQLEELAKAMEEPKEQLRRLIIDQTIDSTKKLSLIYYVYRLGLSYHFMEDIDGQLDKLFKELNLQDYHEADLYTTSILFHVFRIHGYKLSSDVFKKFKDCSSGAFKEDMITDVKATLNFYECTQLRIRGESILDEAFVFTEAQLKSVENTLEGNLAQQVKHALKTPFHRGHTMVEAKLYFLNYQEECSTYDSLLNLAKVHIEYLQLLHKEELRIISQWWKDMNFDVIASYARNRVTELYVWVLALFLEPYYSHARIITSKVIVFLSLLDDTYDAYATIEEMRLITHAINRWEISAMGQLPEFFKPLYEIILKEYNGFKKHLPQEGRVNLVEASKHALQEQVRSYHQEAEWRHMGYVPSFEEYMKNGLISSSYDLLSKTALVGMGKIVTEQGFAWYESHPKILTASETISRLHDDAKTFEYERERGMTATGVDAYMKTFGVSENVAVNEIMKKVENAWEDINEGCLKPREVPMDLISPIVNLARMTDVAYRYDDGYTFPEKTFKEYITLLFID >cds-PLY99322.1 pep primary_assembly:Lsat_Salinas_v7:1:69691847:69694723:-1 gene:gene-LSAT_1X59740 transcript:rna-gnl|WGS:NBSK|LSAT_1X59740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSTQTPSQSDATDEVFQLIEAHQKKATRLPPIEEVRTILHHSIRGILLTFDQNYEGHPSGSTVDFACDTNGSPILALSNLASHTKALLANPKCSLRVSKDPEDITDLIIHLHGVAVSVPETEKESIRTSYFAKHQDAFLVDFVDFQFFRFEPNSVTFVSGTATPLLRSGEFTSKDFKEAKVDQIYQFSKPITSHMNKDHSNDTKLIVQHSTSIPVDYANMVDVDSLGFNVKAGYKNSNFKLRIPFPRRAEERKDVKTLIIEMLQAAKSRVN >cds-PLY82646.1 pep primary_assembly:Lsat_Salinas_v7:5:84519851:84526041:-1 gene:gene-LSAT_5X39440 transcript:rna-gnl|WGS:NBSK|LSAT_5X39440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSIYKSFKYDVFLSFRGEDTRTNFVDHLYYALQQKNIHTYKDDDRIKKGKRICDELIRSIEDSKFYIIVFSKNYASSSWCLDELVKIMECHKTTEHTAYPIFYDVEPSEVRRQSGAVEKAFADHENEEAAEKWRGALKEAADLAGWELKNTADRHEAKFIQKVVGEISLELRSVNFSIDETLVGMETRIYDVLSSLGTSLNDVRMIGIKGMGGGGKTTLARAVFDQISFQFEGASFVENVREVSNVSLSGLKSLQNQILSDIIKDQGIVVSSVYHGKSMMKRWIHGKKVLLVLDDVDHIEQLEALAGEMNWFKPGSRIIITTRDEQVLIAHRVKSIHNVRLFLDEEAICLFSRYAFGRVIPDIGYEALSQQVVHYAAGLPLTIKVLGSFLCGKNELEWVDALKRLKTIPLLETLKKLEISYISLEEDYKEIFLDVACIFKGWSKDFAIKVLESCGYHARTGLRVLEQKSLITIYEDSSDDEECLGMHDHIEEMGRNIVRRLHPDKPNKHSRLWITKEIEDVLTNDLGTEETRYIKFDTRDLNPEILMKGLRKMKELRFLYVRGESKSECSHPNWKFSKFPDALRYLQWNYYPFRSLPKTFKANNLAALEMNSSKIIQLWEGGERKVFNKLRILDLSWSRLRTLDLGLTPNIETLNLIGCRELVDLHMLSGSIKLITLDLSGSMLRTLDLGSALNLELLDLTGCSVLVELHMPDRSPHLRSIKLGYSKLRTLDIGLTPNLEYLDLEKCSDLKELHMGDECQKLTFLNISRSKFKNLDLKLTPNLKTLDLKNCYNLVELHMLAGCKKLIGIDLSWSELRSLDLGSALNLMLLDLTRCSSLVELHMPDRSPNLRSIKLGYSKVRTLDIGLTPNLEYLDLEMCSDLEELHIGDECQKLTFVNIRHSKIRNLDLSLTPNIKTLDLNHCSNLVELHMLAGCIKLETVDLSWSGLMTLDLGSALNLWQLDLTRCSSLVELHMADRSPNLRSIKLGYSKIRTLDIGLTPNLEYLDLEMCSDLEELHMGDICQKLRLLNIRCSKISNLDLRLTPNLNTLDLKNCSNLVELHMDNESLKKIVFLDLSGCLRFISFKFDMQSKASCSEVGPLAELHLIAESLDGCPLHPDINLPKFQFKCFYKEDRPLLTRNLEKLISFGLCACTDLDTFSRSICGLEGLRELKLQGSIPEVPKDLDQLQCLETLHISCTNNIRHLPDNICMLKHLKSLELSCRFLEKLPKDLDRLECLEKLTLSSEKIKDIPDCIFMLKHLQSLELSCQFLEKLPEDLGQLECLRKLSLSSEKIKDIPDSIYMLKHLESLELSCQFLEKLPEDLGRLEGLKKLTLSSEKIKDLPDSICMLKHLESLQLYDCLLLERLPEDLGRLECLRNLCLTSAKIKYLPDSICMLKHLESLELDDCSLLEKLPEDLDRLERLEILNLSFCKLLQDIPASICKMKCLKYLNLCHCACISHISRIIILSSGLRICGSRELLQSLGFRSMIQTIDLNDDVCYVDM >cds-PLY68333.1 pep primary_assembly:Lsat_Salinas_v7:4:157188412:157188813:1 gene:gene-LSAT_0X11061 transcript:rna-gnl|WGS:NBSK|LSAT_0X11061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFESESDSDFESESDVEANVPEVEVDVPFVQDNLVGEIQDDIQVDANIEVDVPEVDLVPEVEVDANIEDEIQANIEVEHEIEVQDNVEQEIQHNVENQVRKRTRKTSERITKIQIRKNIRRKEGSSTDHPLEI >cds-PLY94381.1 pep primary_assembly:Lsat_Salinas_v7:6:9371840:9374071:-1 gene:gene-LSAT_6X5800 transcript:rna-gnl|WGS:NBSK|LSAT_6X5800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTEICIVCDEIVDPDEEIFVDGVLYHNECFQCSQCDEKLSENDYSSNDGILYCKPHFEQTFEKNGRISRELSLPKPSELREARPTVSCADDDDDSSPDFLTPTNQIHPFVVGQLDPDTIMNQFMQNPALIGLLDGVPNQYEMESQDFLRNMLDQITRNPEMMRAIGQLGQHMDDNQDLGSMFSPMSEPQCQEGGDSGFGGDLDMSFMVQQMMPFFSQAFYHEDSGLNLLEHHPPIKKELHRRCYSDSASIKGLSVDCQMNLKEVAKKIEDEYPPLEIFSSVIETTALLNENIQDIYGLADLCSDEELAEKFMKMMRRDVCRRLGKRYDRLS >cds-PLY67373.1 pep primary_assembly:Lsat_Salinas_v7:1:170872529:170875477:1 gene:gene-LSAT_1X113220 transcript:rna-gnl|WGS:NBSK|LSAT_1X113220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSMGESEVVLLGWRLSMFGARVKIALAEKGVKYEYKEEDLPHKSPLLLELNPAHKKIPVLIHKGRSLCESKIIVEYIDELWKSKSPLLPSDPYLRSQAKFWADYIDKVIYDVGMKFMAGPKGQEMEKARHEWFGYLKVLEGELGEKPYFMGDTFGYVDIALMSYYNHFYTYETLGDFSLKIESPKLFAWATRCMKRESVSKSLPDPHKIYDHTIVYRKSIGVQD >cds-PLY65103.1 pep primary_assembly:Lsat_Salinas_v7:4:4995810:4996223:1 gene:gene-LSAT_4X2420 transcript:rna-gnl|WGS:NBSK|LSAT_4X2420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLHYLRSSENAHTSSDPVTTTLTHPLCDSTNPTTITRWHAADKGPQPPDGSGDAIIRREMKRGEGGLNPPSTTTATAKRRLLLVLVVSFVFNGNGATTTGGKNPTMLEDRPLAPDGLQFLRSWWKSSLVSVDRNM >cds-PLY63487.1 pep primary_assembly:Lsat_Salinas_v7:9:168270148:168271587:1 gene:gene-LSAT_9X104500 transcript:rna-gnl|WGS:NBSK|LSAT_9X104500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKSSGYLKLTGGSYGKNQASLSDQERNFQSVMTKDSDDIHLGSGTHTLHSNQEGSSSPISVNQEKTIATSEQNPSPKEGNFVVNVSKSPEKPTVDGFNWRKYGQKLVRDNAFVRSYYKCTYAKCPARKQVEHSHDGHIKEINYLCKHQHPKPNHSSETLNENFVEFEMLQTNETEIGVDNNAPQLKRQKKESDNVVTKTNYEPRVVVETKSVVDIVNDGYRWRKYGQKMVKNSPYPRSYYRCSNAGCPVKKHVERASHDEKVVITTYEGRHDHDMPSGIRNVTQNVKENNNGTMDDDGNDHDDDDDDDDDNDGDGDGDDPQPQPKTNESKGMEMFVIVI >cds-PLY73816.1 pep primary_assembly:Lsat_Salinas_v7:7:69253934:69255604:-1 gene:gene-LSAT_7X49741 transcript:rna-gnl|WGS:NBSK|LSAT_7X49741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:purine permease 5 [Source:Projected from Arabidopsis thaliana (AT2G24220) TAIR;Acc:AT2G24220] MHQPLLDSVTVREGMEEAMEEIPPSKSFNEQISDYKKMIFNAYNNKPTSHWILLLVSSLAMLIAFPASSLLSRLYFSNGGKSKWIISWVSAAGWPIPLLILLPTYLFTKATPTPLTFNLTLSYISLGFLSAADNLMYAYAYAYLPASTASLLASTVLIFSAIFGFFIVKNQINLSTINSIVVITAAMAIIALDSDSDRFSYVTNTQYALGFMWDVLGSALHGLIFALSELVFIKLLQGRSFHVVLEQQVMVSFFAFVFTTIGVVVNNDFHSMRVESETFIGGVSAYYNVIIWGIITFQLGVLGSTGVLYLSSTVLAGVLNSIRVPLTSFAAVILMNDPMSGLKILSLVVTFWGFICYIYASYPVTPISTSSTSF >cds-PLY66425.1 pep primary_assembly:Lsat_Salinas_v7:6:152799571:152801408:-1 gene:gene-LSAT_6X92560 transcript:rna-gnl|WGS:NBSK|LSAT_6X92560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLVTKKKKKKKIKKKLVVNKPKPVDFNSDDEDEDEGVELNIENLLDDDKDQLLRNVGMIAGGMFNERKEKTMKTFAEKLSQFSGPSDRRKEINLNREIVEAQTADEVLEIISEMIMAVGKGLSPSPLSPLNLATAIHRVAKNMEKVLMPRTHRLAFARRREMSMLVGISMMNLPECSAQGISNIAWALSKIGGDLLYVSEMDRVAEVALTKVDQFNSQNVANISGAFASMQHSAPGLFSELSKRGSDIINTFQPQELAQVLWAFASLFEPVDSLLSALDNVYQNTLQFRCKKASKNPNLEPLKVESFPVLELTRDEIGNICWSYAVLGEMNRGFFFNIWKTLEEFEEQNVSDQYREDIMFATQVYLVNQCLKVEYPHLSLCLKTEIEDKIIRAGRTSRFNQKITSSFQKEVARLLVATGVDWVREYVVDGYTLDAALIDLKLALEIDGPTHFSRNLGNPLGHTVLKRRYLEAAGWKVVSVSHQKWEELEGSHEQLDYLREILQNYTNLKVQEI >cds-PLY76341.1 pep primary_assembly:Lsat_Salinas_v7:5:225907729:225909625:-1 gene:gene-LSAT_5X107500 transcript:rna-gnl|WGS:NBSK|LSAT_5X107500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTTSGYAMPFGTFRRSIMGIGNDHNQVYSMDVNSDSSSEFHCELGSFEHQVFRQFRALSAASPDELLSLNWVSKLLDAFIACQEDFNIILSTHEANLSKPPLDKFLTEFFDRSIRALDICNAIRDGLEKIRLWYKHLEIVSSAFDSKPRNVIIEGQFRRAKKALTDLAIIMLDENKESASVFSTRNRSFGRLNKGNKDNQQKAGHSRSLSWSVPNSWSASKQLQSIANGLLPPKPHEISATNGLATIVYTMGFVLMFVLWALVAAIPCQDRNLQFHLSIPRQFSWGTPLNLLHIRIMDESKKRERKNSAGLLKEISQMEKSIHLITDFVDSIHQFPLTEEQKEEVQMGIQEASLVCNALKNGLDPLERQLREVFRKIMSCRTEGLEILGRV >cds-PLY63969.1 pep primary_assembly:Lsat_Salinas_v7:5:100593228:100597744:-1 gene:gene-LSAT_5X46800 transcript:rna-gnl|WGS:NBSK|LSAT_5X46800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESFPVGILELIFHQKDEFQSLLELHSKDHSQKGSESQSLAAMVSMKVVCDTTLYLNTCYNSLAPFVNSTNIHPDELLILSFLFTMEELSKASTLLELRCCNKLMDIAIDHLNNTLSTDIDFKSIGFLLDDLMTWLSATGMYQQTCIDSIQGNGVDYLKKSTELTSNGLAITKGFSSVANSFYTIRRLMNMASRNDEMPEWLSAKDRKLLQKIKLLAGIKADAVVALDCTSKYKKISDASRQFLVRARKDSSYM >cds-PLY78579.1 pep primary_assembly:Lsat_Salinas_v7:1:106868951:106871457:-1 gene:gene-LSAT_1X85021 transcript:rna-gnl|WGS:NBSK|LSAT_1X85021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTQTMGSNGGTQKPVFDGFSRQGSLHNLTLDEVQQQLVDLGKPFSSMNLDEFLKNVWCAEANQGINNGSSPDYSELTQLASASSLPRQLSLTFTKDLTKKTVDEENLTFCHLKLITGYGVAKVVESGHSNFKKGDLVWGFTRWKEYIIITAPDTLLKIQHTDVPFSYYTGILGELEIVGGHGEIVTYRVP >cds-PLY98090.1 pep primary_assembly:Lsat_Salinas_v7:4:79293826:79294842:1 gene:gene-LSAT_4X52801 transcript:rna-gnl|WGS:NBSK|LSAT_4X52801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSTRQWVCLAILALMGSTLAMAHMVKPPKVADLDHEEKPPKPTRLPKLQMDDSGEDESLRMDDGNGAPASGCDGHQAQATTPGWEEGGGGIYGGGHGSGGATFGFHKINPFPAFPFGAFVPEVSSWGEGGGYGGGSNAKSGTGRGVQCHCSNGYAMHYTMSPINFPPMAMDPTMKRAGPEDMAPTSSP >cds-PLY74725.1 pep primary_assembly:Lsat_Salinas_v7:5:26214565:26218127:-1 gene:gene-LSAT_5X13080 transcript:rna-gnl|WGS:NBSK|LSAT_5X13080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPREGFLTDEQREVLKTATQNTEILSSSPKSPSWLMSEHQIKAPAGERAPNVGIAVRHVRRSHSGKFVRVKKDGGGGKGTWGKLLDTDGDAHIDRNDPNYDSGEEPYQLVGSSVTDPLDEYKKKVASIINEYFTTGDVDLAASELRELGSSEYHSYFIKRLVSMAMDRHDKEKEMTSVLLSALYSDVISSTQIKQGFFMLLESADDLAVDILDAVEILALFIARAVVDDILPPAFVTRAKKSLPESSKGFQVLQTAEKSYLSAPHHAELVERRWGGSTHVTVEEVKKRISDLLKEYAENGDTSEACRCIRQLGVAFFHHEVVKRALVLAMEMRAADQLILKLLKEASEEGLISSSQMVKGFARLRESLDDLALDIPSAKSLFDSLVDRAVADSWLDASFVNSGDVAMTERDDIVKLRRYKEEIVTIIHEYFLSDDIPELIRSLEDLGLPEYNPVFLKKLITLAMDRKNREKEMASVLLSALHIEIFSTQDIVDGFVLLLESAEDTALDILDASNELALFLARAVIDDVLAPLNLEEIGARLAPNGSGSETVHVAQSLIGARHAGERLLRCWGGGTGWAVEDAKDKIVKLLEEYESGGVVGEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRMLDLLQECYSEGLITTNQMTKGFGRMKDGLDDLALDIPNADAKFKAYYEHALVRGWLIPVLSAANGDDAVA >cds-PLY71633.1 pep primary_assembly:Lsat_Salinas_v7:9:134882285:134883952:-1 gene:gene-LSAT_9X87560 transcript:rna-gnl|WGS:NBSK|LSAT_9X87560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRLGRRFRTYTTIPASITSTSKTKTTLTAKDIKKPLTLEEPALIKLKAERDPEKLFHLFKANANNRLVIENLVAFEDTVSRLAGAGRFDYIEQLLEHQKALPQGRREGFIMRIIMLYGKAGMINHAIDTFKGMHLYGCDRTVKSLNAALKVLSHSRDLDAFESFLGHIRRQFDVNLDIISMNIIIDALCRMGIPDKAYMAMGEMEKSGITPDVITYTTLISSFYKLNRAEIGNGLWNLMVMRGCLPNLATFNARVQFLVHKGRSWQANSLMGMMRYIGISPDEVTFNLVIKGFCRSGYIDMAKRVYSSLHDEGYKPNARIYQTMMHYLCKEGEFDMAYTMCKNSMEKNWFPSISSICQLLQGLQATGKIEKARFILSLVHKKVPSFSSNQMGIMESVLKKS >cds-PLY69995.1 pep primary_assembly:Lsat_Salinas_v7:8:145428895:145430694:-1 gene:gene-LSAT_8X98640 transcript:rna-gnl|WGS:NBSK|LSAT_8X98640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT4G12740) UniProtKB/Swiss-Prot;Acc:F4JRF4] MKKILKNPTPAVEDVITKSKKRTRKVSQSKPQPAFTIGDIEDFQFREDEVKEIRASLLKWYDANRRDLPWRRINDSDDDDRDRKAYAVWVSEIMLQQTRVQTVVNYFNRWMEKWPTVHHLAQASIEEVNEMWAGLGYYRRARFLLEGAKTIVEEGGQFPKSLKDLLKVPGIGEYTAGAIASIAFKEAVPVVDGNVVRVIARLRTISANPKDKETVKTIWRLAGQLVDEDRPGDFNQGLMELGATLCKPLNPNCSTCPISAQCGALLVSKQNPSIVVTDYPTKVAKVKQRLDFSAISVVEILGSEEGRMNSKFLLVKRPEGGLLAGLWEFPSVSLNGEEADVDARREAIDDFLKNSFGLNLDIVSREEVGEYVHIFTHIRLKMYIELLVLRSQELRRKEKADMSYKYVDMKTLGSMGLTTGVRKV >cds-PLY80714.1 pep primary_assembly:Lsat_Salinas_v7:1:203963063:203963426:-1 gene:gene-LSAT_1X128040 transcript:rna-gnl|WGS:NBSK|LSAT_1X128040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAISYGHKHPSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWARKMAKRI >cds-PLY87349.1 pep primary_assembly:Lsat_Salinas_v7:1:97510312:97513491:1 gene:gene-LSAT_1X79300 transcript:rna-gnl|WGS:NBSK|LSAT_1X79300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLSCSTLSYLALLVKSNILWFSCFVWHENEVDELAGIVVGATVMVNILIVGPLTRALMNSTRTLGPTMAANNYEGILIYLTAPILGALAGSGIYTAVKLPKEDDEGRSDFGHGS >cds-PLY96588.1 pep primary_assembly:Lsat_Salinas_v7:4:6046248:6048330:-1 gene:gene-LSAT_4X4440 transcript:rna-gnl|WGS:NBSK|LSAT_4X4440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVEEHPSQVVAVIKSCTSNELGDLIVTLKGEFGKGIFVGSVLILHKDIAWLKSISKLSVLIKGVLTSEDERLSYPPTVISNTTLKEIDKDHQVILNNEMIVLQDLHETTKMVADRMMFSEAPLLFSP >cds-PLY81106.1 pep primary_assembly:Lsat_Salinas_v7:9:67030805:67033504:1 gene:gene-LSAT_9X58001 transcript:rna-gnl|WGS:NBSK|LSAT_9X58001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQDPFYIVKEEIQESIDTLQATFHQWEHTPVASGAQTRLIKELLSNCESIEWQVDELEKAISVAARDPAKYGIDQPELERRFKWTRTARTQVVSVKKSVMGTDLNGSSSSTFSGMRQELMSLPSPRQQDRASHYIAKDHDDFISSESDRQMLLVRQQDEELDELSESVQRIGSVGLTIHDELHAQEKLLEELGSEMDSTSNRLDFVQKKVAVVMKKASAKGQMMMILFLIILFIVLFVLVFFT >cds-PLY97127.1 pep primary_assembly:Lsat_Salinas_v7:4:74169309:74173158:-1 gene:gene-LSAT_4X50000 transcript:rna-gnl|WGS:NBSK|LSAT_4X50000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLQKSPANSKTEVQQYPQQRQHPSPSPSSGGGGKVPQKGAVFSRSFGVYFPRSSAQVQPRPPDVAELLHLVEELRERESRLRTELLEQKLLKESVAILPILENEISRKDSEISRSSKKIECLEAENERLRQDVEMLHLKLTEQKQEFEQRVKDLEVASATSSSQRFQQLMDISGKSSMIKSLKRGQTFANIPANRNHNEIDSKCYTESSSKESILVEIDNLPRLSRCDSLDMATDSAIEVRSRVPRVPKPPPRPSTSSCSSSSSSSSNSSLSSSAERALTEQQPPVPPPPPPATKLAPPPPPPPPRTSKAPAPPPPPPPPKGIKPLPAKVRRIPEVVEFYHSLMRRESRRDSCNGSSSADVPSTANTRDMIGEIENRSTHLLAIKTDVETQGDFIKFLIKEVENASFTDIEDVVPFVKWLDDELSYLVDERAVLKHFEWPERKADALREAAFGYCDLKKLESEASSFRDDPRQPCAPALKKMQGLFEKLEHGVYNLSRMRESASTRYKVFQIPMGWMEETGFATQIKLASVKLALKYMRRVSAELEIVGGPEEEELIVQGVRFAFRVHQFAGGFDVETMRAFEELRDKARWCHEQCQNQQQQQQQKTLCRSTAC >cds-PLY64551.1 pep primary_assembly:Lsat_Salinas_v7:6:36936083:36938993:1 gene:gene-LSAT_6X29060 transcript:rna-gnl|WGS:NBSK|LSAT_6X29060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALCFTPYVKIPTNGVILQNVNTTRRRRPSVSATMSKSKADIDLLTKEARSTTAVLRTREQPPPLLRVSPSSLQYESGLLGAVPDHKVEEGNGALSEMEYLTNILSSNRVYDVAIESPLSLAPKLSERLGVNIWLKREDLQPVFSFKLRGAYNMMAKLPKEQLSQGVICSSAGNHAQGVALAAKTLGCDAVIVMPVTTPRIKWESVKRLGATVVLEGDSYDEAQAYAKKRGKNEKRTFIPPFDHPDIIMGQGTVGMEIVRQMQGPIHAIFVPVGGGGLIAGIAAYVKRVSPEVRIIGVEPADANAMALSLHHGQRIMLDQVGGFADGVAVKEVGVETFRLCRELIDGVVLVTRDAICASIKDMFEEKRSILEPAGALALAGAEAYCKYYNLKDINVVAITSGANMNFDRLRLVTELANVGRQREAVLATFLPEEPGSFKQFCELIGSMNITEFKYRYDAGEKQALVLYSVGLHTKFELQAMVERMESYELKTMEFTNNDMVKDHLRHLMGGRTNVENELLCRFVFPERPGALMKFLHGFSPRWNISLFHYRAQGEMGANVLVGIQVCSSELNEFRGVANELGYEYEIETDNEAFQLLMR >cds-PLY74253.1 pep primary_assembly:Lsat_Salinas_v7:1:77277491:77278664:-1 gene:gene-LSAT_1X65361 transcript:rna-gnl|WGS:NBSK|LSAT_1X65361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWSLERCDEGSIESRSGNIRPATEAYADEAIQALKAGKVIAVPTDTLYGFACDACSVEAVNRIYEIKGRKHTSPLAICVGDINDIGRFAVTNHLPLGLLDNLLPGPVTVVLSRGESSILEKSLNPGLDTIGVRIPDFEFMRVIARGCGSALALTSANLSGQPSSLDIKEFKNLWEHCSFVYDGGVLPAGRAGSTVVDLSMIGKYKILRPGSAKEETVSILEKYSLLEEGAAG >cds-PLY95593.1 pep primary_assembly:Lsat_Salinas_v7:6:137930292:137934341:1 gene:gene-LSAT_6X83601 transcript:rna-gnl|WGS:NBSK|LSAT_6X83601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKVATCNLNQWAMEFDCNLKNIKESIIRAKEAGAVIRLGPELEITGYGCEDHFLELDTISHAWECLKDLLLGDWTDGILCSFGMPVIKGSERYNCQVLCMNRKIIMIRAKMWLANDGNYRELRWFTAWKQKDKLEEFQLPCDISEALQQRTVPFGYGFMQFLDTAVAAEICEELFAPIPPHAELALNGVEVFMNASGSHHQLRKLDIRIRAFIGATHTRGGVYMYSNHQGCDGGRLYYDGCACVVVNGDVVAQGSQFSLKDVEVVVAQIDLDAVASLRGSISSFQEQASCKRFVSFIDVPYKLCQPFNLQMSLSSPLKISYHSPEEEIAFGPGCWLWDYLRRSGASGFLLPLSGGADSSSVAGIVGCMCQLVVKEIANGDEQVKADAIRIGNYTNGQFPIDSKEFAKRVFYTVFMGSENSSDATRSRAKLLSEEIGSWHLDVSIDSVVSAFLSLFQTLTGKRPRYKVDGGSNIENLGLQNIQARIRMVLAFMMASLLPWVHSKPGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSISKQDLRIFLRWAATHLGFSSLAEIEAAPPTAELEPIRSNYSQLDEVDMGMTYEELSVYGRLRKIFRCGPVSMFKNLCFGWGSKLSPSEVAEKVKHFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNSRWPYQFRKIDELVKELSVDKDASSSSTSDGVGIVAAGSADPKAGL >cds-PLY94335.1 pep primary_assembly:Lsat_Salinas_v7:7:164897208:164899041:-1 gene:gene-LSAT_7X96540 transcript:rna-gnl|WGS:NBSK|LSAT_7X96540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDENVFATLLQTSISQWRQKGKKGVWLKLSLEFASLVKPAVKQGFWYHHAEPTYLMLVYWIPETNHTLPSNASHRVGVAAFVVNSKGEILVVQEKSGVFKGTGVWKLPTGSVEEGEDICIAAIREVKEETGIDTEFVEVLAFRQSHMSFFSKSDLMFVCMLKPTSFEIEKQDSEIEAAKWMPIEEYANQPFVKNRKSFEYISKICIERKDNKYVGFTALSTAKATSATSSYLYSHHHEE >cds-PLY91386.1 pep primary_assembly:Lsat_Salinas_v7:8:45229366:45229908:-1 gene:gene-LSAT_8X34561 transcript:rna-gnl|WGS:NBSK|LSAT_8X34561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLDFENVEEKNDFLVKGEAIWKPWFKSLTNWSMECKYNERIASIIIQGVPQHAWCEEAFSTTAKLWGSVVIPEECPTDSPNLAFGRVGILTSHPGLISSSIPVFVDGIHFEINIMEDIFESIKLSPVLASNDFHYSKWNWWDDGGHEDGCTAQSEDGLKSPVSSAVYSHRSQNWEYEET >cds-PLY89118.1 pep primary_assembly:Lsat_Salinas_v7:4:145649668:145652416:1 gene:gene-LSAT_4X88681 transcript:rna-gnl|WGS:NBSK|LSAT_4X88681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENVNYSVVEIDGPDSAFRPIDKDRVKNAKQFTWVLILKANRAVGCLAWLGHILWALLGAIKKRLFLRNGVAMESENENEEKSGKGKFLFGFILCLLMTAMAFLGFEVIAHYMRWPYFQEHNLHIPQTLEIRSWLHLIYVSWLGFRADYIAPLILSFSNFCVVLFLIQSLDRMILCLGCFWIKYKNIKPRINGDPFNSNDNEPSKYIYPMVLVQIPMCNEREVYEQSISAVCQLDWPRDRLLIQVLDDSDDESIQWLIKGEVSKWMQKGVNIVYRHRLIRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPNSDYLKLTVPHFNENPELGLVQARWGFVNKDENLLTRLQDINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLHGWKFIYLNDVKVLCEVPESYEAYKKQQYRWHSGPMQLFRVCLPSIITSKISIWKKANLILLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPAWVICYVPVFMSFLSILPAPKSFPFIAPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKAGRASEPDLLAAAERESLPAMNLQQSHRGASDSGLSELIKLKEHQESAPKKKKTNKIYKKELALAFLLLTAALRSLLSAHGVHFYFLLFQGVSFLLVGLDLIGEQVS >cds-PLY93697.1 pep primary_assembly:Lsat_Salinas_v7:2:200339637:200340405:-1 gene:gene-LSAT_2X121221 transcript:rna-gnl|WGS:NBSK|LSAT_2X121221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPMAVAVTTIPTAYNFLSFCRSPSITTIPLSPSSSMSLFSSGTTSISPLIYCGRGDKKTAKGKRFNHSFGNARPRNKKKGRGPPRVPVPPAPPKKDRFDDGEVVKIEIDESLFSS >cds-PLY92079.1 pep primary_assembly:Lsat_Salinas_v7:5:324138583:324139356:1 gene:gene-LSAT_5X180801 transcript:rna-gnl|WGS:NBSK|LSAT_5X180801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVAITAIWISLLQTAMIPQSYTWLLPLYLIVSLGCYGLLMVGVGLMQFPTCPQEALLLQQDVIEAKEFLKHKGVDVGSD >cds-PLY94635.1 pep primary_assembly:Lsat_Salinas_v7:1:41423775:41424008:1 gene:gene-LSAT_1X36920 transcript:rna-gnl|WGS:NBSK|LSAT_1X36920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDSNGDNWNTTAHLLLVKLVSHGNSFEQSALIKHNADTHYPCLIFNYIDNKLQTSTNKFHAKTIGMIHIHTTED >cds-PLY87274.1 pep primary_assembly:Lsat_Salinas_v7:1:51875488:51884388:-1 gene:gene-LSAT_0X18220 transcript:rna-gnl|WGS:NBSK|LSAT_0X18220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase-like protein 5 [Source:Projected from Arabidopsis thaliana (AT3G27440) UniProtKB/Swiss-Prot;Acc:Q9LTY6] MDAKKSDKSSNIVKDQSSSQQDSACAQKPNKVPFIIGVAGGTASGKTTVCNVIISRLHDQRVALINQDSFYRSLSDEQSANVQDYNFDHPDSFNTELLLSCMETLKKGQPANIPDYDYKIHKSSGSGRMVNPSDVIILEGILVLHDSKVRDMMSMKIFVDSDSDVRLGRRIKRDTVERGRNIQYVLDQYDKHVKPSFEEFILPSKKHADIIIPRGSDNDVAIDLIVQHIRTKLGQHDLCKIYQNLFVIPSTFQIRGMHTLIRDVKTRKHDFVFYADRLIRLVVEHGLGHLPFTEKQIITPTGSIYTGVVFCKRLCGVSVIRSGESMENALRACCKGIKIGKVLIQREGNDRQLIYEKLPKDIASRQVLLLDPVLASGDSAVEAISLLLNKGVSESNIIFLNLIAAPEGIHTVCGQYPRLKIVTSEIDSGLNEHSRVIPGMGEFGDRYFGTGGNDSTPFLPKNNK >cds-PLY63272.1 pep primary_assembly:Lsat_Salinas_v7:3:90418346:90419672:1 gene:gene-LSAT_3X69640 transcript:rna-gnl|WGS:NBSK|LSAT_3X69640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGGPGLESVVDQIISVITNDGRNIVGVLKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISIIGELDEELDASLDLAELRAHPLRPVIH >cds-PLY79727.1 pep primary_assembly:Lsat_Salinas_v7:8:124779977:124781047:-1 gene:gene-LSAT_8X86340 transcript:rna-gnl|WGS:NBSK|LSAT_8X86340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFKLCDDMVKTEILSRTSLKTLDVMRCISKEFEKLTYEPYLLDLYKQRNDIVSGFLMQRCGLECSEYIHEFAPSRGSKSIDLGFLPRNARILASSEQGIIVFQSPYTRFSGSISYYVCKPATKQVVPLPNPRTRYTTEKIAIVVVGSNPVLHYKIIRLSSSNFVKRRGEFYRTYRCEIFDSTTWVWKLMDLLLLPSSVSLDMASPVITTRGSIYMLLLNNDILKFDSYSEKWTTFSSPIQDRDYELYTSRQLVKHDGRLGYFCKSSINCGCEFWVLGTDAESWEKIYVFNKEESEDINNTRNLELFDDPNASVKIWTGVFLFYKEKTIVSKRSDDQMFVYRSDFEPINLKLGKQE >cds-PLY93390.1 pep primary_assembly:Lsat_Salinas_v7:9:58234337:58235503:-1 gene:gene-LSAT_9X51421 transcript:rna-gnl|WGS:NBSK|LSAT_9X51421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNTRPRTKKTRNQSGALIASNDDLLIEILLRLPLTSVLRFKSVSKNWSSLLSRQPFTLLYKNVSISPGFYVRNMYIPFDVENQIALPICNLDIHPHPCSIRIVQSCNGLLLCCSKHGIQGERKYSVLNPTTRQIALIPSVLGGRKVHKNIRFMGLAFHQTECVDYKVVCFHRAKPDEDMFRIQIYSSETRRWKISDESFSFSAPYYESLGSGVYWNQALYWAPFSINPLYFKIDTEELQSLSFPVEVAVESLGDSPHGAMPLYFGGSRGHLHLVLKANRSETHLHLNVYEMLNDRSGWFVKYRVDLDELLNSYPEISTCFYEFQLLDVVRGEEEDETFMVIRIPGNNIIRYDVANKSGKQIYDLSSFIDGPINHAEVHRYIETIVSV >cds-PLY96047.1 pep primary_assembly:Lsat_Salinas_v7:8:22038026:22038559:1 gene:gene-LSAT_8X15900 transcript:rna-gnl|WGS:NBSK|LSAT_8X15900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYDPKAHLSIFFIAELDLKDKIINKNQEDIEDLSKILVEREKEVLDLNEKIKEKVVKKSFSLISVQTEDAPMECSSRNSFFHRNEHHISYCTNKPHDDKLQAKRVRTVKTSHANKLVHTDKFLLADKTFDTLKLSKAMTFPTRPVKIQAPSLNIRRVQAGQSSNICFGFNNNSYMEK >cds-PLY74423.1 pep primary_assembly:Lsat_Salinas_v7:6:11651784:11654986:1 gene:gene-LSAT_6X9261 transcript:rna-gnl|WGS:NBSK|LSAT_6X9261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCTSFLNGFNRFMEEEKRKRMNESEFEAVQVSVPPPFFNLDLFGSIDCFLHEGMAMIHLTFDMVNPPEIVGELTWRHIGFVRC >cds-PLY62634.1 pep primary_assembly:Lsat_Salinas_v7:3:37190882:37193689:1 gene:gene-LSAT_3X27560 transcript:rna-gnl|WGS:NBSK|LSAT_3X27560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTRVPVQQFNLRSADSYIDGTSLHDLNTVDGRSGEIIEPMVDRSAVTDESLDNEDDSGAVECMHESYQNTLPLHNVGVDGGHSSLDSNGSSRDPYNILSANDVSPIETARARFLDFVVDNFIGSHIVEVTDSETESLSQSVEEKVSKRKEREIQYEGDSLYALPLMYVANMYESLVNEVNMRLSSLDGMREKTIGVALEAAGGLYRKLAKKFPKKGPCTFKRRELATSFETRSRFPELVIQEEKRVRFVVVNGLDIMEKPTITHIDDAEWFKRLTGRSDVAVSPRDYKFYAPRHKYRRSSSNSVSSISGFSTFPGADNSSPMSVAQGYRSLTEPHPQDDEHQQTSSKQHMQSLPHQGQFHIQSLTHGQHGQYSQSSHLPEIGHTQQSSAMSQQLACLQPLTHLGPRLHVLPTSPAKFCDECGAPYLRETSKFCSECGVKRLGT >cds-PLY84198.1 pep primary_assembly:Lsat_Salinas_v7:9:118432364:118433867:-1 gene:gene-LSAT_9X77040 transcript:rna-gnl|WGS:NBSK|LSAT_9X77040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLPWVYLGILISMWAYACGVDFYEYLVAGAIMVDLNNLEEYISLVVDATVKTGITRQLKAFRAGFNQVFDVSSLQIFSPSGLDYLLCGRREMWEVDTLEELHGNIINDRSLVICKLQ >cds-PLY65752.1 pep primary_assembly:Lsat_Salinas_v7:5:272236719:272238159:-1 gene:gene-LSAT_5X143500 transcript:rna-gnl|WGS:NBSK|LSAT_5X143500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQNAAMEEGKVLKNGIELVKSVSDKHLDLLRPSSRYFSMFKGHMSNNNDREKGKYALIKDSDDFHQGLYDKPLPCFGCGIGWFSFLIGFAFPLMWFYATFLYFGNYYRKDPRERAGLAASAIAAMGCSVILFIVVMVLVFGGR >cds-PLY99853.1 pep primary_assembly:Lsat_Salinas_v7:4:44940603:44943940:-1 gene:gene-LSAT_4X31941 transcript:rna-gnl|WGS:NBSK|LSAT_4X31941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVAEESRELTNNVMCQLADPEGTPLGASMYLPQSVGPKELQQMVNKLLNNEEKLPYAFYISDQELVIQLGDYLQKKKVSVEKVVTIVYQPQAVFRIRPVNRCSSTIAGHTEAVLSVVFSPDGQQLASGSGDTTVRLWDLNTQTPMFTCTGHKNWVLCVAWSPDGKHLVSGSKSGEIQIWDPRTGKASGNLLTGHKKWITGISWEPVHLRSPCRRFVTSSKDGDARIWDATLRKTVIILSGHTLAVTCVKWGGDGVIYTGSQDCTIKVWETTQGKLIRELKGHGHWVNSLALSTEYVLRTGAFDHTRKQYSSPEEMKEAALERYNKMKGDAPERLVSGSDDFTMFLWEPSKDKRPKTRMTGHQQLVNHVYFSPDGLWIASASFDKSVKLWNGVTGTFVAAFRGHVGPVYQISWSADSRLLLSGSKDSTLKVWDVREKKLKQDLPGHADEVFAVDWSPDGEKVASGGRDRVLKLWMG >cds-PLY91710.1 pep primary_assembly:Lsat_Salinas_v7:7:26771462:26773467:1 gene:gene-LSAT_7X18921 transcript:rna-gnl|WGS:NBSK|LSAT_7X18921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVEQEIIPYSCNGGKQVALDFADQLPSGYRFCPTDSELIVDCLNAKIESREPPKCRLHEVNIYNHRPEELAEQYRSHENKWYFLTSRDRKYPKGNRPDRAVLGKLGTWKTTQKHRPVYDATSGQMVGHKGSLAYFENEIKTMWLMHEYTINGPNLPFENGDKLNEWVLCKIYKKAQTAGKRRRGADQEVRNQEPLPKRRRVSKNNEINFSNDHQPEQVDVQETNRYSDTCCVQMVAPVHEFDMRGQLTPPPMGSTGDHTWVNNGDIRMNSIPYPNPMQQQPMISSVEGRSCLIQAPPPCYQNQFTSNASNGCQSSYSTASSSVSIEPPASSAQPHDDDDAYTRNTTDHGLNSIQPVQNREACYDQTNMVSSSTACNGFVFSNGVSNSSSMEPLSDYSGCKVPVLVQNSNEDAPTLLDVQNAWDHAADSFHFPDDGDEATISAHVEGGWSLESLESFLNQSKVLPQDDAAEDFHFPDGYEATISAPAEGEWSLESLQRYLNQSMVVPQGDASEDFHFPDSSKATTSALIELEWDLEILESHLDNVNTTPLEIDC >cds-PLY65890.1 pep primary_assembly:Lsat_Salinas_v7:3:108741139:108741369:-1 gene:gene-LSAT_3X80160 transcript:rna-gnl|WGS:NBSK|LSAT_3X80160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKTFTGGYVPSFTPGSVCLDHSLTIKITFFQCIYPPNLFHFILQLISGYGVSEVLDSTHPYFKKGDLISGFRTFH >cds-PLY72532.1 pep primary_assembly:Lsat_Salinas_v7:2:141361063:141362994:-1 gene:gene-LSAT_2X69481 transcript:rna-gnl|WGS:NBSK|LSAT_2X69481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DELLA repressor protein, Gibberellin signalin [Source: Projected from Oryza sativa (Os03g0707600)] MDELLEVLGYKVRSTDMADVAKKLEQLEMVMGEDGILQLSDTVHYNPSDLSGWVQSMLSELNTNSSDNSSSTNFGIVLPGESSSTTMIDFSNNKQIDTQQTGGKMYDDDPYDLRAIAGGAIYGTTAEESPVSRNGIKRMKAAAPGSEAVDVPPESPKPVVLVDSQEVGIRLVHTLMACAEAVQQDNLKLADALVKHVGLLAASQAGAMGKVATYFAGALAQRIYKIYPQDGLETSCWEILQMHFYESCPYLKFAHFTANQAILEAFAGATRVHVIDFSLNQGMQWPALMQALALRAGGPPAFRLTGIGPPQPDNTDALQQVGWKLAQLADTIGVEFEFRGFVANSLADIDASMLDIRPSEVEVVAVNSVFELHRLLARPGAVETVLNSIKAMKPKIVTLVEQESNHNGAVFLDRFNEALHYYSTMFDSLESSALTQPLSQDLVMSEVYLGRQICNVVACEGTDRVERHETLSQWRNRLNSAGFDPVHLGSNAFKQASMLLALFAGGDGYRVEENDGCLMLGWHTRPLIATSAWQPGSTIIE >cds-PLY68496.1 pep primary_assembly:Lsat_Salinas_v7:2:212730274:212731174:1 gene:gene-LSAT_2X133820 transcript:rna-gnl|WGS:NBSK|LSAT_2X133820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEESTAESMSTLATRICNQINSVFAKSSTTNPSPLDITVDEIASTAARRGKIFVYGVGREGLMMKALCMRLAHLGLSAHCVGDMTTPPISSSDLLIASAGPGGFSTVDAICGVAKTNGGRVLVLTAQPESGSSVKYASVVAYIAAQTMADHGVAMEETTTPLLPMGSVYEGAMFVLFEMVVFKLSSVLGQSPHEIQSRHTNLE >cds-PLY68804.1 pep primary_assembly:Lsat_Salinas_v7:3:61797662:61799777:-1 gene:gene-LSAT_3X48340 transcript:rna-gnl|WGS:NBSK|LSAT_3X48340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALLSSSATLRLRPPLSGSCSSKPSLPRSTFIPPATKTSRNSPFSRKNSLSKTNPCFRVCASSSSRSATETAVGSTTVPSEMKAWVYNEYGGVDVLKFSSNVTVPEVNDDQVLVKVVAAALNPVDFKRRLGKFQATDSALPTVPGYDVAGVVVKVGSQVKSLKVGDEVYGDISEKALDGPKQSGSLAEYTAVEEKLLALKPKSLDFAQAASLPLAIETAYEGLERAGFSKGKSILVLNGAGGVGSLVIQLAKQVFGASKVAATASTSKLELLKSLGADLAIDYTKENFEDLPDKYDVVFDAIGQGEKAVKVVVEGGSVVVLTGAVAEPGFRFVVTSTGSTLTKLNPYLEDGKLKAVLDPNGPFPFDRVKEAFAYLETGRATGKVVVYPVSEDYILEKMAK >cds-PLY70859.1 pep primary_assembly:Lsat_Salinas_v7:9:15327299:15329316:1 gene:gene-LSAT_9X15261 transcript:rna-gnl|WGS:NBSK|LSAT_9X15261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1 interacting protein 4 [Source:Projected from Arabidopsis thaliana (AT3G25070) UniProtKB/TrEMBL;Acc:A0A1I9LMJ4] MAQRPTVPKFGNWESEDNVPYTVYFDKARKGKTGGKMINPNDPQENPEMFADKTPPTPPRSRPQPEEPVGRRAVRPSREENEYQPPNDNAGRRTSGGSAYQRGGQGTAAGRPVKHSAGSENSFDRSPLHPHYQAKVAAGKGSGSPAYEGKNSYDSSHGTPSRSRMKPARGDDSPDRGAAVPRFGEWDENNPSSADNYTHIFNKVREERVTGSPMTSGSDARPNYNIPRDQKPNNKV >cds-PLY90050.1 pep primary_assembly:Lsat_Salinas_v7:8:82082448:82084986:1 gene:gene-LSAT_8X57300 transcript:rna-gnl|WGS:NBSK|LSAT_8X57300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGPSLGSGGRTARRVFEFGRTYVVKPKGKHQATIVWLHGLGDNGSSWSQLLETLPLPNIKWICPTSPSQPLTLFGGFPTTAWFDVSDLSEDAHQDVESMDASAAHVLSLLSTEPPNIKLGVGGFSMGAATALYSATCFSHGKFGNGNSYSTHLDAIVGLSGWLPCAKDLSNKVEGEEAANRASSLPVLLCHGKADDVVRFRFGEKSAQKLTSTGFKNLTFKSYDSLGHYTIPEEMDEVCSWLTSKLELEGK >cds-PLY99843.1 pep primary_assembly:Lsat_Salinas_v7:4:44929845:44931715:1 gene:gene-LSAT_4X31880 transcript:rna-gnl|WGS:NBSK|LSAT_4X31880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGEGKVVCVTGASGFIASWLVKFLLQRGYTVHATVRSLALATVPLKYGADDPKKTQHLLDFDGAKERLSLFEASLLEEGSFDSAVKGCHCVFHTASPIMFPVSGQQAQVLDPALKGTLNVLKSAAKVPSLKRVVLTSSIAAVVCGVKPLESGVLVDETWFSDPETCEQQELWYPLAKTLAENAAVEFSKNNSLELVVINPGFVIGPILQPTLNISSEGFIGLIETGKEIFPDGIYALVDVRNVANAHILAFENPQANGRYLIVADVYHSSDIMKIINQNYPALVSSERFKDSKYVGTPPFVASRTKAESLGVKFTTVEESIKDTVESLKEKKFLSF >cds-PLY85655.1 pep primary_assembly:Lsat_Salinas_v7:6:85460940:85463476:1 gene:gene-LSAT_6X59420 transcript:rna-gnl|WGS:NBSK|LSAT_6X59420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMYAIYQVDMVLLPKAIFGTGIPLPAPTLPLVLDIALLLMLQKKMVGKVRERLQGMLSVDLEIFNYRSGDSRGKMKDGQQSKKRPKISLSAFLDRKLQKTSDSSKLVQGKERPFFTLGGANDSLHKANLDQKTEGPELNGILDVLENFKPIKDNKDTVYLNPEESQTQYSTTTHVTEKSQIQDLTKSRNAFGGLLRKPPAPKVLVVLGGDPKPKQTKHRKSFIRNKKPLPHYNHYAGGSGWWDSDMEGIDNEAVGFNEVWEGVGSTTLGGLDWH >cds-PLY92058.1 pep primary_assembly:Lsat_Salinas_v7:5:323208155:323209423:-1 gene:gene-LSAT_5X177901 transcript:rna-gnl|WGS:NBSK|LSAT_5X177901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGNMNFISRSCSCSFRLFSKSHDDQESNFRSQSFSQDSFNSSFSSKSSLPPSISAMIATSTTLHHHCISTFKPNSSDILSLTLAGNHLISGSSTHIHLWPRHPATTNTTTKFISIPCNSAVKSLHILNENILISAHQDHKIRIWHINKLKIIATLPTIKDRLAKILFAKNYVEIRRHKKLTWIHHNDAVSSLAVSLDKTLIYSASWDRSFKVWRRSDFKCLESISNAHDDAINAIVLSVEGFVYTGSADKKIKVWGNNRRDKKHYLIEKLEHHKSAVNALAYDDHVSVLISGACSGVMIASERNSGGGDGGHMSVVGALLGHKKAILCVRIVGDLVCSGSADKTVRLWRRAVGKSYSCLGVLEGHGGPVKCLAMAAESSGDGEEEGGGYMVYSGSLDSDIKVWKVWVPSAVEEDSMANFVS >cds-PLY69785.1 pep primary_assembly:Lsat_Salinas_v7:5:232634628:232635617:-1 gene:gene-LSAT_5X114041 transcript:rna-gnl|WGS:NBSK|LSAT_5X114041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFYADDLVPWRENQTSNLAIGSASASVTMAMDALVPSSNTHLQTLRLSCRSNKEKEVAEGVVDGWVVAKREEQSLFSDDPLWVLF >cds-PLY75218.1 pep primary_assembly:Lsat_Salinas_v7:2:203785025:203786011:-1 gene:gene-LSAT_2X125581 transcript:rna-gnl|WGS:NBSK|LSAT_2X125581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSIQIQRIQTNVTNPTVTATATATINHHKQTSTTTIWRVPSSIWIPEDLIHHHTHAVGPHQCSSAVVKSISAPVAAVWSVVRRFDNPQAYKHFLKSCNVILGDGDVGTLREVQVVSGLPAGSSTERLEILDDDRHVMSFSVVGGDHRLNNYRSVTTLHASPNCDKTTVVVESYVVDIPPENTKEETCVFVDTIVRCNLISLKQIAENVSKN >cds-PLY77277.1 pep primary_assembly:Lsat_Salinas_v7:4:164314633:164314975:-1 gene:gene-LSAT_4X99060 transcript:rna-gnl|WGS:NBSK|LSAT_4X99060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHKILVTFNRFGKPVGDEGNELVQYLGTLVRMSNHVGIDYDDWRKIPIHKKEDMYSIVKAKFIIHPAETSEINKLILFSMGKNGGLGKVH >cds-PLY77639.1 pep primary_assembly:Lsat_Salinas_v7:5:175149824:175150301:-1 gene:gene-LSAT_5X77881 transcript:rna-gnl|WGS:NBSK|LSAT_5X77881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLDLVVVWVLEEALVHGWEAWRLFIGCWMSLDLVVDLCMLRMILVSMREEDDGESKMLRRNISFDEGLFEDKIAPSSLESSPWTSMRQPCSVLLWRYAHYLFENGSYKEAMDHLYKGYSCDCH >cds-PLY61877.1 pep primary_assembly:Lsat_Salinas_v7:6:57495524:57499232:1 gene:gene-LSAT_6X41981 transcript:rna-gnl|WGS:NBSK|LSAT_6X41981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKFHLVALTLFSAVLFFLFHGGFAMAAGTSDGSEQWGYVEVRPKAHIFWWYYKSPYRTQDSNNPWPIILWLQGGPGASGVGTGNFEEVGPLNSFLKPRNSTWLKKADLLFVDNPVGSGYSYVEDKKLLVKTDEEAAIDLTTLLIEIFNRNETLQKSPLYIVGESYGGKYAVTLGLSVLKAIEDKKLKLILGGIALGDSWISPEDYVVSWGPLLKDVSRIGGNGLIEANSVVKVIKRQIANGKLKDATETWSDLERDFYNFMLDSGMDPVLMTSTLLMRGISQKRYSRYLDSLKISPGIDSGLGLLMNGAIRKKLGIIPNNVEINEARFYKSKNNVLKLGKSSFKVDELLNKGVNVTIYNGQLDVICSTKGTEAWVEKLKWEGLKTFLSLDRTPIYCGYDKMTKGFTKSYKNLHFYWILEAGHFVPVDQPCVALEMVGRITHSNVSTKKMNYREKWKIIN >cds-PLY64344.1 pep primary_assembly:Lsat_Salinas_v7:4:27671267:27672215:-1 gene:gene-LSAT_4X19501 transcript:rna-gnl|WGS:NBSK|LSAT_4X19501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAKSRGIGGGRSITKRLGKSWEALHQKLAICDYFCRIVPNIRRSWRCNFRGGNQISGRTVKVNFPEVPRGGEREVMGPNIRSSNRDFIGIPHKIYADNLSWIITSKKLKDTFDEQPGFLSAMVIYEKQSGKSQGFGFVTFSSPEAAEYALNAMNGLEVEGRPLRLNLAEGKRDVSHSTRTGRSSEINVDGSEILSSISS >cds-PLY86675.1 pep primary_assembly:Lsat_Salinas_v7:4:317912238:317915125:1 gene:gene-LSAT_4X159481 transcript:rna-gnl|WGS:NBSK|LSAT_4X159481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVFPEHRYYGESMPYGSQKEAYKNASTLAYLTAEQALADYALLITDLKRNLSAEASPVILFGGSYGGMLAAWMRLKYPHIAIGALASSAPILQFEDIVPLDTFYDIVSNDFKRESRSCFDTIKKSWNIINSMGQKANGLDHLAKTFHLCGKLNKSEDLSDWLDSAYSYLAMVDYPYPTEFLMPLPAYPIKEVCKEIDNSPDDRSGSGSSVLEGIFKGVSVYYNYTGKADCFQLDDDPHGMNGWNWQACTEMVMPMSSDRDSSMFPEWEYNSTSYAEECWNQFRVIPRPTWITTEFGGHDYKSVLKKFGSNIIFSNGLLDPWSGGSVLENVSESVVALVTEKGAHHLDLRAATGDDPDWLVNQRESEVEIIQGWINDYYDNKKAVFNM >cds-PLY96005.1 pep primary_assembly:Lsat_Salinas_v7:9:42854127:42854330:-1 gene:gene-LSAT_9X39020 transcript:rna-gnl|WGS:NBSK|LSAT_9X39020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSVLGGGVTVVVRQRKSDVAASVEERGCHLHRSKSGSSADFISCVTYWMRWLKIYEGFGGSLVL >cds-PLY69635.1 pep primary_assembly:Lsat_Salinas_v7:5:112433532:112435165:1 gene:gene-LSAT_5X49760 transcript:rna-gnl|WGS:NBSK|LSAT_5X49760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGVVPESITEAANRTSINFQEFQTNFLDFLPLCDSDTLSELDPLQRAQSLLLLAKATTTLFTLKLRCNGVDPDDHPVRSELERLNLYQEKLDRSLNLSKAPLRPSATLNYQAATRFIEHSLPDLTSDQRKNMREISRREGVKSGNVSKKRKYLSSDKPSVRTAAQEFLEKAARELLGNDKSSFKGPLKPQDEDEDDMAVT >cds-PLY64103.1 pep primary_assembly:Lsat_Salinas_v7:1:952429:954166:-1 gene:gene-LSAT_1X681 transcript:rna-gnl|WGS:NBSK|LSAT_1X681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH74 [Source:Projected from Arabidopsis thaliana (AT1G10120) UniProtKB/Swiss-Prot;Acc:Q6NKN9] MASSSEDNNNNNNDSEMGFQYRGEMSSGSMFNKSSSGSGNPFGSGWDPLENFGGSSAYPVGLENHQGLIHHYQSGSGIGDLVPKLGSFGSGSFSEMNGEREERNDGSGDASEKDEKKQKIEHDSRVGKQIGKQAAAKDNSDSGGAPKDNYIHVRAKRGQATNSHSLAERVRREKISERMKLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNIDMDRLVFKDLQILHSRGSTSNPGFGFSPALTSSHHSYPHPHPHATTAPMHPIHPQPAWDNDLNNLLQMGFDANPGINNLGPNGGRTKMDL >cds-PLY98639.1 pep primary_assembly:Lsat_Salinas_v7:1:39487005:39490768:1 gene:gene-LSAT_1X34020 transcript:rna-gnl|WGS:NBSK|LSAT_1X34020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVASSGNNETRRTRHSITTTTETRCCNWINMGGVYEKVYLHCKSDAITTLSNSLLLPRHKPIHSNSFIISLLVWWGITIQRQIVQVERPVLQAPKLKVGNREDICPCNGRWNFNKKKLFTPIKIEKWVAVNFSAKCDACYLAPELINCGRNRGVIFDRPFLLIEEDPHNPKFGPVVSAKVPGALNFSFAYCQKEKILAYMDAKKFKLGYLRLFHYLDPTICYEVANIHDGREELETRLLIFDLEFTNYKG >cds-PLY75881.1 pep primary_assembly:Lsat_Salinas_v7:1:171905625:171905852:1 gene:gene-LSAT_1X114821 transcript:rna-gnl|WGS:NBSK|LSAT_1X114821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVVARKDRKSQLVMVQPLNVQQAEMQLKKGKCKASNEIAIELCSMRFARESKREVMKKESTWIKKGKRNLLG >cds-PLY87389.1 pep primary_assembly:Lsat_Salinas_v7:4:12984358:12986497:-1 gene:gene-LSAT_4X9240 transcript:rna-gnl|WGS:NBSK|LSAT_4X9240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKGPGLYPDIGKKARDLLYRDYQGDHKFSLTTYTANGVSITSSGAKKGELFLADVNTKLINKNITTDVKVDTNSKVFTTITIDEAAPGLKTIFSFVVPDQRSGKVELQYLHEHAGISTSIGLTASPIVNFSGVAGNNTVAVGTDISFDTASGNFTKYNAGLSFSTSDLIASLTLNDKGDTLTASYYHTVSPLTNTAVGGELTHGFSSNENSLTFGTQHSLDPLTMVKARVNNFGIASGLVQHEWRPKSVFTISGEVDTRAIEKSAKVGLALAFKP >cds-PLY91123.1 pep primary_assembly:Lsat_Salinas_v7:3:83169480:83172170:1 gene:gene-LSAT_3X65021 transcript:rna-gnl|WGS:NBSK|LSAT_3X65021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKNPFFFSVILFFSLFIAGKPDLSADRLAILAIRSAVGGRSILWNISQPSTPCTWPGVFCDNKTNRVVELHFPGMGLSGQLPDNTLGNLTQLTTLSLRYNALSGPLPADIFSLVNLRNLYLQNNLFSGPIPDSFSPLVNLVRVSFANNNLSGTIPNSINNLTRLATLYLENNALTGSIPDLNRTNIALFNVSNNQLTGEIPSKFSVFPDSAFSGNSLCGGPLASCNGSESGPGAVPSKSKSNKLSGGAIAGIVIGSVLALLLILIILFFLCCKKRNQKEETRPKDLVEAKHVEVEMPREKSTENVDSYPSLTAAVGGAGGAAKGKSGEVVTKKLVFFKKNKELGKFDLEDLLRASAEVLGKGTFGTAYKAVLEMGFAVAVKRLKDVTMADKEFREKIEGVGAMDHENLVPLRAYYCNGEEKLLVYDYMPMGSLSALLHGNRGAGRTPLNWETRSAIALGAARGITYLHAQGPTVSHGNIKSSNILLTTTYESRVSDFGLAQLVGPISTPTRIDGYRAPEVTDIRKISQKADVYSFGVLLLELLTGKAPTHALLNEEGVDLPRWVQSVVREEWTSEVFDLELLRYQNVEDDMVQLLQLAIECCAQYPDKRPLMGDVMSQIEELCRSNGVQEREGNLSSDIVV >cds-PLY94360.1 pep primary_assembly:Lsat_Salinas_v7:6:9053895:9055443:-1 gene:gene-LSAT_6X6580 transcript:rna-gnl|WGS:NBSK|LSAT_6X6580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPKEEATSETLDHNHQISQEHNEDPHHDLDGNIDTSTRETEDTFFSSTSSPISQKEIVRAVEVVERDSLAIADSFSSLFASLRIALSEVSSRSVDHVQCFSDAAGRLQESALDTATKGNLYINSCLRLNEEMRGIDTLAMQLKVLRRNVDALDTAVNTLVRFP >cds-PLY74014.1 pep primary_assembly:Lsat_Salinas_v7:1:32579329:32587396:-1 gene:gene-LSAT_1X29520 transcript:rna-gnl|WGS:NBSK|LSAT_1X29520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTEFQEKFSTGFRPWQRSFQFWARAVDIYTGYKVFQLRVNFEKDVEKAEAMWERQHEHAAEKVYSMCSDMGGFFLKIAQILGKPDLAPAAWVRRLVTLCDQAPATPSNMVQLVLEKELGKSVDEMFERFDWDPIGSASIAQVHRARLKGDRNDIVVKVQHPGVQDLMMTDIRNLQAFALCIQKTDVKFDFFSVCKEMEKQIGYEFDFTREADAMDRIRAFLLSVNRKTPVKVPRVVRNAVTRRVLAMEYIDGIPILNLGDEITKRGINPDGKMAAAAKQNILKSLTLAYGQMILKSGFFHADPHPGNILICKGSEVALLDYGQVKDLPNTLRLGYANLVLAIADNDPTRASEGFRELGIDTLSMCSDEKTELFKLAQVMFDTKLPPGVKVLQPFSEDSSIKKVAVRSFPEELFSVLRTVQLLRGLSVGLGIDCSCAEQWRPIAEEALFLAGRLKVAPIPFQIIAIGDKEETTMRLAGEQPRHLLRSFIAFPPLRTFPHRSCRRSLPVSLGSAFLPSPSQLPPSHVCFDVGSGKVTVKKGSSEIDATPPHDCQPVSETAIVCLARFFPS >cds-PLY77260.1 pep primary_assembly:Lsat_Salinas_v7:3:56600634:56600950:-1 gene:gene-LSAT_3X44480 transcript:rna-gnl|WGS:NBSK|LSAT_3X44480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHFVDEKYDNGRFLAQRIVPVLANDTAEELAAMVLSQEHKMYVEVAAAICEERVIWREDGVPIIKSKTNPQHYR >cds-PLY93788.1 pep primary_assembly:Lsat_Salinas_v7:6:147877270:147877479:1 gene:gene-LSAT_6X88780 transcript:rna-gnl|WGS:NBSK|LSAT_6X88780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNEENEEEGCKYLRLERRPPQKLMRKFQLSDNCDVSSITARCKNGVLTVMVEKIPPPPKSSTAEVVIS >cds-PLY63352.1 pep primary_assembly:Lsat_Salinas_v7:7:149752076:149753839:1 gene:gene-LSAT_7X88660 transcript:rna-gnl|WGS:NBSK|LSAT_7X88660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDVPGSMGTSAGFALRLGQTIFSSASLLFMAYGVQFYSYSSFCFLVTIMGLVIPWSFTLALLDGYSVLVTCPVRQKGILVIIVIGDWVLSTLTLAAASSGASVVDILMRADESFCPSNICSRYLLSTIFAFLAWFLSMGSSLFNLWLLPSLLRIVMELNGDLGKLGGIESKPEEKSVRRWVHRDLEVFEDGDGGGDCSFMVGRWLGSGKSGGYLMGSRRWGRH >cds-PLY94330.1 pep primary_assembly:Lsat_Salinas_v7:7:165519858:165525622:-1 gene:gene-LSAT_7X97220 transcript:rna-gnl|WGS:NBSK|LSAT_7X97220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPVDDNSNTKSEEGTMAFHKKRARRVSFAENTSVHIFDRDEDSGTPLEPKPPSSPSDELGSGELNHERGQLFWNVDEDDNDNNNEDDDMDEPGSRSPFLRFIGSPSSGGSTIGSANSNDEDNFFGPVSANFIRRDLLDSSASDANHDQTMDSTAFSMHFRSIARSDSEVELKTSTGVHLSFDEKTPTHDSVPNNTGNSMLMTLAKKPDYRPSLSTSKLSTASESNDMSIVGEYHYKYDYGELSPTLDALMADPSGVSILKSPRNVEATMENGDNLMDLSCNEDNDLQGISGHELVNVDAFQIKSNLGFSTIGQGNPSPKTTIYINDVIGKEHKSPFIDDVIPSKSPMYVTPSHNHSSVFVRTEDQEHVLSIQSMQKSISKLKMLKASPFSAALSAKLEDSIIKSVTRPSKMTPLNALLEKNDKSPLLNSIKDSGHLSSDVQKKRARESSTNMDDIRFETPNNIVPVTRLQKIVEIASPLLIPHESTHSKNTTVLPQSLDFSSQKRLKVVNTTEFRSSPLRIEKSGSLVSSTQDEMVDIREVSLHEVCCDSKTKDNPTILLKNEEHEKFEDKFMGSDIVLEEMKGTSPVFDEGTDKQSWKKNLHDQFCESPSNKQPCNNNSLQDEDMMVEITATTHTSPKAHVDPEILNGNEISTIVQKLNNPNEIKTMVSIEMKKLLSQSVDKFNLHVIDRLSDIVDQLLMSKTYQLLSDEIQSQKSVDISKKLQHKRASEIKLLLCKFVHEKAKLQLMQVKQEMLLKRVKSLASGISESEELKSNFPPKKLSSESMSVKMKDIQESQIESDKVSSTRLELNHIDQKIESLTKSFHKSCKMKEELSSLDTITFVNNHLRKQACCQIIRKDVQLWVVDNLKNRNGCHSVDLNYLDLMTQRLSLNAGRVPSVSISYELNDVNISKIFKDMEACTAFRFVFNGGATQKHVDGTNLAKETQVTGALFGNLLDVMEEIQHSRIQLKNLISTRFRTPLADQLDLELCFFNPKHRMKATVTLNFSCLKRGIYPSEIIPYQIHIPANQQSKSSPILADEITATLKNLKTGFLRIQRVCSCISNKVNTKL >cds-PLY62239.1 pep primary_assembly:Lsat_Salinas_v7:5:162145589:162147833:1 gene:gene-LSAT_5X71000 transcript:rna-gnl|WGS:NBSK|LSAT_5X71000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGIFLSLLAITSLLPLYTIGGTTRSYEFNIELQNVTRLCHTKSMVTVNGKFPGPRIVAREGDRLLIKVTNHVSSNITIHWHGIRQLRSGWADGPAYITQCPIQTGQSYVYNYTVIGQRGTLFWHAHISWLRASVYGPLIILPKLNVPYPFTKPYKEVPIIFGEWFNTDPEAIISQATQTGGGPNVSDAYTFNGLPGPLYNCSAKDTFKLKVKTGKTYLLRLINAALNDELFFSIANHTLTVVEADAIYVKPFKTETLILAPGQTTNALLKTKSKFPGANFLMSARPYVTGQGTFDNSTVAGILEYESPVPMKNLPLFTPTLPSLNDTSFVSKFSNRLRSLANSKFPANVPQKIDKHLFFTVGLGTAPCAQNRTCQGPNGARFAASINNVSFVQPSVALLQSHFFNKSKGVYSPYFPINPVHWFNYTGTPPNNTFVSNGTKLMVLPFNTSVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNYDPKKDPKNFNLVDPIERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTGWGLKMAWLVLDGELPNQKLLPPPADLPKC >cds-PLY97267.1 pep primary_assembly:Lsat_Salinas_v7:1:44388602:44389789:-1 gene:gene-LSAT_1X38621 transcript:rna-gnl|WGS:NBSK|LSAT_1X38621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNIGDGKMTYQDPIYMYLLTISSLIILNFYLFSIFSDINVFTILFFSVLQLHRINTTTTKDEETVGYGVCSPELKYQKKKDDKDVDVTKDGKEIKVEEDERWDVRRYKYV >cds-PLY65961.1 pep primary_assembly:Lsat_Salinas_v7:4:136579199:136581684:1 gene:gene-LSAT_4X85120 transcript:rna-gnl|WGS:NBSK|LSAT_4X85120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSAIKHVGDHHRRPSSARDLPAGCCNPVKEQGPVTIEHVLLALRETKDERESRFRGLFNFFDTSNAGYLDSVQIEVGLSAMQIPADYKYAKELLRVCDANRDGRVDYQEFRRYMDDKELELYRIFQAIDVEHNGCILPEELYDALVKAGIELDDDELASFVERVDKDNNGIITFEEWRDFLLLYPHEATIENIYQYWERVYLVDIGEQPVIPAGMNKHVPASKYLIAGGVAGAASRTATAPLDRLKVLLQVQTSNASIASAVKNIWKEGGVLSFFRGNGLNVVKVAPESAIKFYTYEMLKNFIGGEEGQGDIGTSGRLLAGGMAGAVAQTAIYPMDLVKTRLQTFASVNTKVPSLGKLSKDIWVHEGPRAFYRGIVPSLFGIIPYAGIDLAAYETLKEMSRTYILEDSEPGPLVQLGCGTVSGALGATFVYPLQVVRTRMQAQAPGGSTAYNGMSDVFMRTYQKEGMRGFYKGLLPNLLKVVPAASITYMVYETMKNSLDLE >cds-PLY78403.1 pep primary_assembly:Lsat_Salinas_v7:3:237122559:237128672:1 gene:gene-LSAT_3X132821 transcript:rna-gnl|WGS:NBSK|LSAT_3X132821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDFDFTDVLFLFLTLSPSPFKPSKSMHLEDENQCHVVNIDPPAPLHLRFLSPHCSFLINWWWKEERRVPSVSSCRQCMKLSATNKVRAKSKLWYFLRKLKKVKKSNGQMLALNELSSLHSSLQLYTYLGQRRRVIDS >cds-PLY85395.1 pep primary_assembly:Lsat_Salinas_v7:9:79111720:79113873:1 gene:gene-LSAT_9X63741 transcript:rna-gnl|WGS:NBSK|LSAT_9X63741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGMCCGVVGEADTPSTVEPSGGRQARRRRMEIHQLKFLATTASDVAAPPPECCRKRRKVENLETIEKSVVVEDLSLPVECEKVVQPCDMMRKEDCCLESSSETEIVLNSCKSTLETVVETVQDEDESRFGVTTVCGRRRDMEDAVAVKPSFCGDDLHFYGVYDGHGCSHVAMKCKDRMHEIVKNEVENGGESLEWKDAMATSFTRMDKEVTEWSEGASISNCRCELQTPQCDAVGSTAVVAVVTPDKIVVSNCGDSRAVLCRNGVAIPLSSDHKPDRPDELARIEDAGGRVIYWDGARVLGVLAMSRAIGDNYLKPYVIPEPEVTVTERTSDDECLILASDGLWDVVSNDVACNVARMCLSSQTPASPLRSPGNEVSVAGGESSDKACSDASILLTKLALARRSSDNVSVVVVDLRRNL >cds-PLY95882.1 pep primary_assembly:Lsat_Salinas_v7:5:80103377:80103622:1 gene:gene-LSAT_5X36941 transcript:rna-gnl|WGS:NBSK|LSAT_5X36941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKLEHVDHTLKRYLHDNIPIERFFLLIDIENQESASLAEKWIGPIATKTCLREFFLSIKLFGASFTLPDEMRYSRVRILT >cds-PLY65729.1 pep primary_assembly:Lsat_Salinas_v7:5:273611468:273612178:1 gene:gene-LSAT_5X142501 transcript:rna-gnl|WGS:NBSK|LSAT_5X142501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNGERSPVAGEDGEYKAEDVSEEVDSMVRWLFSMENDDEVNAIELSKFLEASAEKTHPMKVKIIDDPCWHPVIFQTAASYITINGNEELCGSSFSDSDTSYMAGIAGGCGFVFGDGGAWEGVGEETRGWIEAEDGSCLVNASSNGYCDDDMLAKFLGDDVGQTLCE >cds-PLY73882.1 pep primary_assembly:Lsat_Salinas_v7:3:39674779:39676454:1 gene:gene-LSAT_3X29560 transcript:rna-gnl|WGS:NBSK|LSAT_3X29560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTETVPSGSASDEDSNVHMNYALVSALVAFAVAQAIKVFTTWYKEKRWDIKQLVGSGGMPSSHSATVTALAAAVGFQDGIGGTTFAIALILACVVMYDASGVRLHAGRQAELLNQIVYELPAEHPLADSRPLRELLGHTPPQVAAGALLGFFTAAIVHLITQAATMG >cds-PLY88598.1 pep primary_assembly:Lsat_Salinas_v7:5:127074277:127074483:1 gene:gene-LSAT_0X36401 transcript:rna-gnl|WGS:NBSK|LSAT_0X36401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWRRNRSYRVFPVIHDATQGPTPPGSRSSVIFSAAMVVPPHLDFTGNKSDIGGIRRPCDEELSISGV >cds-PLY87901.1 pep primary_assembly:Lsat_Salinas_v7:MU040310.1:770757:771878:-1 gene:gene-LSAT_0X27081 transcript:rna-gnl|WGS:NBSK|LSAT_0X27081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPVCRLCGVGGDLLLFKVRLACLFYDSPHADLQLAMARAHRLGQKIRLIKRGTIQERMMGMTKKKMVFEHLIVGRLKIKTSIRLLNRDYNEEENVAMDDVEEDAFLKAFKVANFEYIDEASKEKEEIQEPKRSNYWEELLKDKYEVHKVEDFNFMERVKEAESK >cds-PLY97216.1 pep primary_assembly:Lsat_Salinas_v7:4:94867036:94867414:1 gene:gene-LSAT_4X63021 transcript:rna-gnl|WGS:NBSK|LSAT_4X63021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRILLKERGAFGNADTGGAWLSSARATAGDKPEEGEDDVKSSCPLCPGRHTCYNGRDKGSRSREGELTPKTRPQFGLQAATRLHEAGIASNRRSAIRR >cds-PLY66418.1 pep primary_assembly:Lsat_Salinas_v7:9:38272437:38275046:-1 gene:gene-LSAT_9X33980 transcript:rna-gnl|WGS:NBSK|LSAT_9X33980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHITDLPLHILDVILVAIAMSSDGARDLARVGAVCNMLLTETRQLVVLKVVNFQRLTFTAIDYMMHHHEKDLLCMSARAGNRAARSIVGKALLVNDPWFWGMILLDYQRACCGCIKPFEALDHQSLVRTFILHATSKDIATTCHQLLNYVVSRVGYNVARENGLVSAIFNMCSFETRRLVLCNIGIHETFSSVDCLVVRVQPPYEASYREAVVIAFDKLFTLTCV >cds-PLY88307.1 pep primary_assembly:Lsat_Salinas_v7:8:237165409:237167729:1 gene:gene-LSAT_8X142801 transcript:rna-gnl|WGS:NBSK|LSAT_8X142801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLVRRSANYEPSLWSFDHIQSLSINYKGNDYTSRRDSLIEAVKALICNVRNPLSVLELIDDLQRLGIAYHFPDEICSLLEMIYHNYYQAQDTWNSMSLNHKALGFRLLRQHGYHVPQEIFGNFEDQTQNLKPHLYEDMMCILNLYEASYNSFENESIMDDARDFTARYLKENLENIHENLSSLVTHALELPLHWRVPRVEAIWYIEEYEKRNGMNPTLLELAKLDFNMVQAIHLEDLKHSSRWWRNICWDKKLCFARDRLVENFLWTVGVNYLPHLTFERKTLLKVFAILTTIDDVYDVYGTLDELNKFTDAVNRWDINLVGELPDYMKICFLGFYNSINQMSYNALTRTGFLILPYVKKTWTDLCNSFLLEAQWYHSGYIPTLEEYLENGYVSIGASVILMHLSFLTSINVTEEIMQGMEGTENIVRYSSLIVRLADDLATSSDEIARGDNPKSIQCYMHENGATEEEARRYIELLLIKTWKKLNKARAGAKSQFSQEFNKRATNLARMAHFMYSNGDGHGRPDLKKSHVISLLFNPILGIE >cds-PLY79835.1 pep primary_assembly:Lsat_Salinas_v7:8:15943855:15948088:1 gene:gene-LSAT_8X13121 transcript:rna-gnl|WGS:NBSK|LSAT_8X13121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVYSKYCNVSSYIQPAFEAYWCGSWVPVESLRIRNGIVSVFIIKEGESTEETIPMSDLRIRSRKANLADCTCFLRPGIDVCVLSTIPSTEEESTNENPKPVWIDAKISSVERKPHEEDKCVCEFHVSIYMKQGPVGLIKKAVHKETKMLHIDQMSILQKLELKPCESNHYRWHHSEDCNSRHIFKLFTGKFSSDISWLIVASVYKQLVFDVKPVDNQIVYQIWDGDDDGDGEKSLRNSENHSTSSALNFKVENGISTPFIVPFSLVEEKKAVCGVDEFASSSYFDLMDVRRSKRRNVQPERYLGDDNVSESEIDLSRFGLYRPNSSKYEEVPVAFSIQDDHSLKDENKSEYLRKVYNQEGYLGRLNDTNKSKEVRTEVPYRQDPSMASSSSSRPYIYADTYKPVVSDDEGGDHNTSDIWAKYFSMQGSSKLNKPKYKAPKMDYDSLGGGLWKGKAIANKGGRKKRGSSGKESIFDTITSVKKSDCASIYRELMSRCMRNIDASINMEQPAVIDQWKQFQLGKSLDQRENKEMPKKEEEDDQEEEEEMTEEKELEMLWKEMELALATTYLMEETEGLNEMQKSKSQEPKCLHDYRLNEQFGIICRLCGHVRSEIKDISPSFLPGVVWTPSKEIQTEEDDSEQNTQDADTRLEIACLPASSIMSVSDQNENVWALIPNLRYKLRFHQKRAFEFLWRNLAGDIIPSEMEAASKRRGGCVISHTPGAGKTLLIISFLVSYLKLFPGSRPLVLAPKTTLYTWYKEIIKWEIPIPVYQIHGGQTYREQVLKNKLKLAPGLPRNQDVMHVLDCLEKIQKWLTTPSVLLMGYTSFLTLTREDSSYAHRQYMAKVLRQCPGILILDEGHNPRSTKSRLRKGLMKVHTPLRVLLSGTLFQNNFGEYFNTLTLARPRFVNEVLKKLDPTFKKRKKGVVSKFSLENRARKLFAIKIAEQINSNVQKDRQQGLNILRSLTSRFIDNYEGGSAENLPGLQCYTLMMKSTTIQQEILQKLQDRRPVYKGFPLELELLITLGSIHPWLIQTTSCASQYFEPEELKALEGLKSDIRLGSKVRFVMNLVPRCLLRKEKVLIFCHNIAPMNLFIETFERFYGWKQGVEVLVLKGELELFERGRVMDKFEEPGGPSKVMLASINACAEGISLTAASRVILLDSEWNPSKSKQAIARAFRPGQDKVVYVYQLLATGTLEEEKHSRTTWKEWVSSMIFSEELVEDPSHWSAPKIEDELLREIVEEDRASLFHAIMKNEKASNMVVRGRE >cds-PLY78689.1 pep primary_assembly:Lsat_Salinas_v7:9:51984093:51984776:-1 gene:gene-LSAT_0X36281 transcript:rna-gnl|WGS:NBSK|LSAT_0X36281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSATYVWGTPWGGKGGENIWQFLIPDGGSLAELTISSGDVVNSIRFAYKDQYGFTLTSQHFGGDGGSSNTITFADGEFLIGISGRVGFINNLTVITSLSFETNNYTYGPYGTNPGTDFSFGVTNGKFSGFYGRCGLYVDSLGVILQPKT >cds-PLY74674.1 pep primary_assembly:Lsat_Salinas_v7:5:176477042:176479812:-1 gene:gene-LSAT_5X78461 transcript:rna-gnl|WGS:NBSK|LSAT_5X78461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGCYSCVACSSLRLSIFPTATIAAASSSSSSAVAIKFSPKSAFPFLRNTIGLSSCRRRVIYAAASTGRSSKTTTPDYYKVLKVRKNATLQEIKSSYRALARKYHPDMNKADGAEEKFKEISAAYEVLSDEEKRSLYDRFGEAGLQGNYDGSGAGFQEVDPFEVFGTFFGDSNGFFGGNGMNESGDNNFNFRNKGTNSLNIRHDIFLSFEESIFGAKRDIEVSCFETCDNCGGTGAKSRNCIKSCNQCGGRGAVMQTQETPFGVMSQVSSCSKCGGDGKIITENCQYCGGEGNVQKTRTVKVVIPPGVTDEDTMGIQGEGNIDKTRNRVGDLYLVFHVKQKHGIKREGLNLYSEINIDYTQAILGTVIKVETVDGVKDLHVPSGIQPRETIKLSKMGVPDINKPSVRGSHHFIVNVHIPKYISNEERLLVEKLAAVRHHTVNGNDTFGKYKQDFTSSKKLGTSIWNSIRDVVRGRKSGDRFASTSSVCTSVNYWKHNSPISPIIFFTAVILMFTCIFNSSYMKVKQRRG >cds-PLY99690.1 pep primary_assembly:Lsat_Salinas_v7:9:56156254:56158113:-1 gene:gene-LSAT_9X47681 transcript:rna-gnl|WGS:NBSK|LSAT_9X47681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAESMSVRTVKVINVSLSASEQDIKEFFSFSGDIEYIEMKSENERAQNAFVTFKEPQGAETAVLLSGATIVDQSVTIVLAPEYTLPPFTTTLSDQQNTATGGQGVGGAESAVQKAEDVVSSMLAKGFILGKDAVNKAKLFDEKVQFTSTAAAKAATIDQKIGLTEKINLGTTLVNEKVKEMDQKFQVSEKTKTAFATAEQTVSVAGSALMKNRYVLTGTAWVTGAFSRVTKAAGEVGQKTMEKVAVEEQAAGMGTTRTTHQEPPPTSTHPATS >cds-PLY80166.1 pep primary_assembly:Lsat_Salinas_v7:4:125228251:125229150:-1 gene:gene-LSAT_4X79281 transcript:rna-gnl|WGS:NBSK|LSAT_4X79281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNRNFAPLASRLHLVITFGTSALETQVPMAPKQPNTGLFVGLNKGHVVTKKELAPRPSDRKGVSNKS >cds-PLY84483.1 pep primary_assembly:Lsat_Salinas_v7:7:127957711:127958593:1 gene:gene-LSAT_7X76421 transcript:rna-gnl|WGS:NBSK|LSAT_7X76421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESQTLPENPPPPPIAVDYSSNVDTSRAFSSVKEAVAIFGEKFLTGEIFLPSPKPPFTLPKQETPSWKSTHSTQTSWKSCSSSSREIEEEPPPPPPVPVLVTSLKKLESELEETKKELKLLKEKESETEVALASLNAELQKNMSKIAKAEKVVEQSSMSGLTPVVSSGGGRKMTERKVVKKKPIIPLLGDLFSKKKGKSNSSMLNPLYTSSQMHWN >cds-PLY76506.1 pep primary_assembly:Lsat_Salinas_v7:3:216176756:216178789:1 gene:gene-LSAT_3X123460 transcript:rna-gnl|WGS:NBSK|LSAT_3X123460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGFLFIAVTFSMKNTRTSFLFHISEVIRFLSLTPRGKMKIQPIDNQSYGESVNLEAPATVTTKPVLKSRLKRLFDRQFPSVLKNNSEKTNGAGEVKDGEFEPSSVCLAKMVQNFIEDTAPEKPKCGRNRCNCFNGNINDSSDDEFDLSSASFFGDSHPSSWFGDSSETLKSLTPCASVAQRNLLADTSKIVEKNKICKRKDELKKIVAEGLLLIGYDASICKSRWEKSSSYPAGEYEYLDVIVDGGDRVLIDIDFRSEFEIARPTGNYKAILQSLPYIFVGEADRLQQILSIVSEAAKLSLKKKGMHIPPWRKFEYMRSKWLSAHIRTLPSPSPSVLPPPPPTPPPTPDTNAAVKQIFSEATTEQIGPSPPFESECGVFELIFGEETTASKSTEKNQLSPAAVLVRKSDEGFESTAAGIWQPPAIKPRNVERGSKLVVTGLASLFREKA >cds-PLY92123.1 pep primary_assembly:Lsat_Salinas_v7:9:192542542:192550798:1 gene:gene-LSAT_9X116400 transcript:rna-gnl|WGS:NBSK|LSAT_9X116400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSMQKSGIMDEIDAEIETTTIVHDTDHTRSHLPELYTNSAYNFLLQYLHHYTWGDAIEAIADLNNEAHFDSIIKLQSCYTVTKYVCIPSRKYMPAVDHKAALKIGEKAEFIPIPETNIPTQYFKFASYSMLETRRQDPKLLTGLPQLESTNATTVFINPTFPQTIEHVNRLKELDPSGNKVTIRELLTKIPDNKTQQPHVMCTAQIKEVYAHRTWYYVHCSKCPKKLYQEDDTSTYFVCEDHEDIQPKFKYCINASITDATGTADVIFFNEVMT >cds-PLY77154.1 pep primary_assembly:Lsat_Salinas_v7:8:25325872:25327641:-1 gene:gene-LSAT_8X19261 transcript:rna-gnl|WGS:NBSK|LSAT_8X19261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRRYWNINLEEMMEAGVHFGHGTRKWNPKMAPYIYAKRKGIHITNLTRTARFLSEACDLVFDAASRGKQFLIVGTKNKEVDSIAWVAIRARCHYVNKKLLGGMLMNWSTTETRLHKFRDLRTEKKTGGLDRLPKRDVAMLKRQLSNLHTYLGGIKYMTEGNMNVLSCSINTLNGLYDISGVEVGQHFYWKIGGFQVHGQVLITSWVVIAILLASATLAVRNPQTIPTSGQNFFEYVLEFIRDVSKTQIGEEYGPWVPFIGTMFLFIFVSNWSGALLPWKIIQLPHGELAAPTNDINTTVALALLTSVAYFYAGLSKKGLGYFGKYIQPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPSVVPIPVMFLGLFTSDIQALIFATLAAAYIGESMEGHH >cds-PLY96518.1 pep primary_assembly:Lsat_Salinas_v7:5:337375343:337375696:-1 gene:gene-LSAT_5X189221 transcript:rna-gnl|WGS:NBSK|LSAT_5X189221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHGPVNMVSGAQNVNGVAVGGLEWYLGNSRFSYQGYELLHQRKPYIQQVYY >cds-PLY66597.1 pep primary_assembly:Lsat_Salinas_v7:6:166342584:166342889:-1 gene:gene-LSAT_6X102421 transcript:rna-gnl|WGS:NBSK|LSAT_6X102421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSNPLFSSPLPLSPLRPTHCCPQPSPLKRKQRSMILPIPVVSPPNHPRLKFSRTDSHRYVCIIGVAQGRKSANERARIPGRNPVGVQDLGITCTSHRCL >cds-PLY66577.1 pep primary_assembly:Lsat_Salinas_v7:6:165679798:165683370:-1 gene:gene-LSAT_6X101301 transcript:rna-gnl|WGS:NBSK|LSAT_6X101301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVDQRVYEVINNGREQPDSEVHVHWKGAAKIVLAACIRYMHIDGELVPLCEEKVMFPSTLLGTSENWTLLKTISVTEYLNYEGGKFSKIKGVGVFSNDAQDTNIPVEVWRYYLLTNRPEVLDILFIWIDLQAKLNTQLLNNLGNFINRVLSFIAKDPDSRGGRGLGYNSIILDAPGAETHLLSKTLGDKIGSYVEQYVETHL >cds-PLY70932.1 pep primary_assembly:Lsat_Salinas_v7:8:228069438:228069626:-1 gene:gene-LSAT_8X139041 transcript:rna-gnl|WGS:NBSK|LSAT_8X139041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMYLPSYPILPSLQTLMCVFQSSEENSNESDIHVLVAEMGPLENSMIVLGNGGSNESILWS >cds-PLY90516.1 pep primary_assembly:Lsat_Salinas_v7:2:41527101:41528068:1 gene:gene-LSAT_2X18840 transcript:rna-gnl|WGS:NBSK|LSAT_2X18840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCTAFFNQSERHRLSPTSSFSTTXXXXPDVTFKESSISFPYPLISHHRPKACDADDSTSSPPPVSATAFLRRSLSDVEKSLLCGEPTERRWFSTSNFDRKTELVDEIEEGDIKRLPGCFYSNLEHTLAPFVPLNPDISHQFSQTQHISNIYTGFICSPQTSISRPRRRFFFKAQFSIFSIQVIAWRTWYKYNLV >cds-PLY91133.1 pep primary_assembly:Lsat_Salinas_v7:3:153389615:153392861:1 gene:gene-LSAT_3X95981 transcript:rna-gnl|WGS:NBSK|LSAT_3X95981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVPTSEEDPILSVVRFTAEMSWAEAGPEVAEPQVASLCLEAQDCMIAGRWFDLASLMLTSADLVFPKASDKDLECIFTIICNLVKKPESLDEMLEMAKLLSTKVAQQPNDKPAIRLKILFNLYNLLENPYSRFYVYMNALKLAMNGKVIEHIIPSFKKIDSFLKEWNLGVHDQRELFLTISNILKEHKSSPKETFKFLTKYLATFSDEDAHTMEEAKEEAAYTIIEFVKSPDMFQHDLLDMPAVAQLEKDTKYALIYQLLKIFLTQRLDAYMDFHTANSAILNSHGIVHDDCIAKMRLMSIIEDDEVEPWVVKAITAKLIDCKIDQMNEVIRVTRYTERVFGQQQWLSLREKLATWRGNISNVITTIQANKVTEDATQAIQGLAIR >cds-PLY75053.1 pep primary_assembly:Lsat_Salinas_v7:2:68274272:68275129:-1 gene:gene-LSAT_2X30500 transcript:rna-gnl|WGS:NBSK|LSAT_2X30500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMILGENVNTLKVIDSSLRLIVVPLSLGSTWLTLTNHQNNEMYGNIEFSNLKGLKFLVSISAISAGYALVAVISSWVKNLMNKAWIFLFAIRWWHI >cds-PLY66615.1 pep primary_assembly:Lsat_Salinas_v7:4:282883237:282890049:1 gene:gene-LSAT_4X143721 transcript:rna-gnl|WGS:NBSK|LSAT_4X143721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKTATTGRRFVETTGDGWSKFCRQGKQRHTNDTSTFYVTGFPDGTYKMDLQRVFDTFGQISDIYIGGKKNRRNQNFAFIRYAGVNDTYSMEAKMKGVSLRGVTLLANLAKYQKERSNIRRTFREKPKEPKATPGIKIGSRDSRTFAQVAAGINVVRYGNSPPIFLNAKIAISEWTKKTLLIGEAHSLDHIANLPAHTFTHEITKYLGGLRIAIKFGSSKEASEFLEDRSRWHEWFKWLIMDMNNDVQYERLAWLKITGVPLRYWDTDNFSTVASRFGKVIIPFENIFDRRDLSMGKVGVITSRTKWINKEVQICVDGAVYCVGVVEYTDDWSPFKPCQFDKVEDVSDSEGSENDTEDDGISETWIPEDDNDLEEGEFRFEGAPETQLKMTNRHVESGNSPANVGNTNDAPVELTGVIPQEEVNEGFIRGSVEIPHVMKEVLPSEVDACRLRMDPGDVGLDSAPKVNGLNNNSDPSMSSSSAQNISKASISNSPSHFEHCSSEPKIKRRKRSRGSRSPINGDASSRVNHPTQKSQDSSSPNGDESLDLNKEPMVSGSSEGSGETASNEILQTVAIGSEIGFQMGVDNPILAEVFNITLK >cds-PLY79190.1 pep primary_assembly:Lsat_Salinas_v7:8:163772214:163773603:-1 gene:gene-LSAT_8X108720 transcript:rna-gnl|WGS:NBSK|LSAT_8X108720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHAQGSYQPNSDGIPTDLFVSKKKKPHNTITTSNAANLRFTDSSANLVFSVDPPPPSNTPTPFLKRLLADSSGNPLISIARNQKSTWQGFRKDGIEEKDLMFRVERTRNKLTKLEFQVFVSNGNPYKSDFKMRGSPFHRSCTIYKGDSIVAQTSLMYKLGIQNVVVPRNRFRVTIFPGYADNDFIVALIVIFFYGRKLWI >cds-PLY66481.1 pep primary_assembly:Lsat_Salinas_v7:5:328120775:328123245:1 gene:gene-LSAT_5X183361 transcript:rna-gnl|WGS:NBSK|LSAT_5X183361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFPEKFKILEKEAGNPSPEVVPVSVSVSVSWTETSDTVSRRFEFHPDGQLSVKVLNDSRPVIHKISESLVNTFLPSGYPYSVNEGYLRYTQFRALQHFSSSALSVLSTQSLLYAAGLRPTPAQATAASWILKDGMQHVGKLMCSKLGARMDSEPKRWRIFADMLYDFGTGLEVLSPLCPQLFLELAGLGNFAKGMAMVAARATRLAIYSSFAKEGNLSDLYAKGEAISTVFNVLGLGAGIQLVSTVCSSMQGKMVVGSFLSLIHVYSTCEEMRTAPINTLNPQRTAMIIEDFIKTGKVSSPADLRNREDLVYPRRVIKEAGNVKVGRDLHKAMKPSRLKQVKEIFPDEKFVLSFENRWTDMVLEQNASGEDALRGWLVAAYADQEVEKMEDAYEKMNVMMPELVSQLKAKGWHTDRFLDGTGSRYG >cds-PLY82981.1 pep primary_assembly:Lsat_Salinas_v7:1:18381264:18381446:1 gene:gene-LSAT_1X16020 transcript:rna-gnl|WGS:NBSK|LSAT_1X16020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSKGRADGDGVNWWWFMFSYKGGQCRDARRWLMAIKMVWLGESYVLGNKHAVEMMVV >cds-PLY63426.1 pep primary_assembly:Lsat_Salinas_v7:7:147872717:147875568:-1 gene:gene-LSAT_7X87800 transcript:rna-gnl|WGS:NBSK|LSAT_7X87800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP40 [Source:Projected from Arabidopsis thaliana (AT2G15790) UniProtKB/Swiss-Prot;Acc:Q9C566] MRRGRCYLDISINGELEGRIVVELFTDIVPKTSENFRALCTGEKGVSPITGVPLHYKGVRFHKLIKSCMIEGGDISSGHDTSGGESIYGSNFEDENFEMKHERKGMLSMANSGPNTNGSQFLITTTRTPHLDGKHVVFGRIVKGMGVLKSIEHVPTSDNDSPTLDVVIADCGEIKEGEDDGIGNFFKDGDVYPDWPADIDNGSVELSWWLDAVDSIRACGNEAFKKQDHKMALRKYKKAVRYLDLCWEKEGIDDDRSISLGKKKAQIFTNSAACKLKIGDAKGALLDTEFALRDGGNNAKAWFRQGQAHMALNEIDAAINSFKKAKDLEPNDGGIRRELNAALRKEADRVEREKKAYSKIFS >cds-PLY83667.1 pep primary_assembly:Lsat_Salinas_v7:4:39158648:39160377:1 gene:gene-LSAT_4X26901 transcript:rna-gnl|WGS:NBSK|LSAT_4X26901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSPTVCMSGRLKPNQAILTLKRRNLPLRRSNPIIFVSPSTPHFLYKPFFSSTIFIRFQIRPLHSTFQQGHP >cds-PLY72790.1 pep primary_assembly:Lsat_Salinas_v7:4:372937956:372938243:1 gene:gene-LSAT_4X183940 transcript:rna-gnl|WGS:NBSK|LSAT_4X183940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPLFYVSCCRRCFVHGGLRFSVTTTVAAWLDSVTRVAASAIADCRRGAAVVANHRRQPPTLLLSPVFRSIFDHHQPPPLRLLPMKREFEPRCGC >cds-PLY97398.1 pep primary_assembly:Lsat_Salinas_v7:4:14386318:14386893:1 gene:gene-LSAT_4X10081 transcript:rna-gnl|WGS:NBSK|LSAT_4X10081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGENFLNGSIPKGIFSLPHLSQKLLLDGNKFNGNIPDEIGKLQQLSKIDFSHNSLSNEIAPETSQCKLLTYIDLSRNQISGEIPTEITGMHILNYFNVSPNHLIRSIPTSATSIQSLTSVDFSYNNLSGLVPGTNQFIYFNYTSFLANADLYGPYLGEGGVG >cds-PLY86196.1 pep primary_assembly:Lsat_Salinas_v7:4:7420174:7425043:-1 gene:gene-LSAT_4X5001 transcript:rna-gnl|WGS:NBSK|LSAT_4X5001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLMSSPVFPATSAKKIRRDHHVFRPQEETNKMKLVKAHLMKINKPFVKSIQSPDGDTIDCVLRHHQPAFDHPKLKGTKPLDPPERPDGHDEGEISSENHQLWSLSGESCPEGTIPIRRTSEQDILRANSVKKFGQKIVRPVRRDTSSYGHEHAVGYVSGNKYYGAKASINVWAPRVTNRYEFSLSQMWLISGSFGHDLNTIEAGWQVSPELYGDNRPRFFTYWTNDAYQTTGCYNLLCSGFVQTNNRVAIGAAISPLSVYNGGQFDISLMIWKDPKHGNWWLEFGSGTLVGYWPASLFSHLRDHASMIQFGGEIVNSRSWGSHTSTQMGSGHFSGQGFGKASYIRNMQVVDWGNSLIPLSNFKILADHPNCYDIKGGANRVWGNYIYYGGPGKNPRCP >cds-PLY76477.1 pep primary_assembly:Lsat_Salinas_v7:5:198570790:198575794:1 gene:gene-LSAT_5X89080 transcript:rna-gnl|WGS:NBSK|LSAT_5X89080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTENVIQRGKLLVHIAENGHSFELDCDEDTLVESVQQYLESLSGIRLNDQLILYLDLKLEPQQPLSAYKLPSDDREVFLFNRAKMRTNSTPPSPEEPEVAENYHPDPPPPSSSHDPHPLDEASDPALKALPSYERQFRYHYQLGDAIYRCTLMKYEASERISRKHRVQEKALEIARGNLDHFYKMILQNYTDFVRCYSQQQRTHSNLLVNFGRDLERLRSIKLLPVLQSGDRKCLLDFVKEDNLRKMVDDCSNSHRQFESKVGEFKQEFGELKRSTECLFSSKASILGRDLERTVKEHQHHINEQKSIMQALSKDVGLVKKLVDDCLTSQLSNSLRPHDAVSALGPMYEGHDKSYIPKMQACERAISNLLTFCKNKKSEMNTFVHKYMQKIAYIQYTIKEIRNKFSLFTEALKRQNDQFDHLKVIRGIGPAYRACLAEIVRRKSSMKLYMGMAGHLAEKLASKREIEIRRREEFLKVHSSYIPRDILASMGLYDTPNTCDVNIAPFDGNLLDIDVSDIDRFAPEHLVGLSFKKGVEETSESGNDFIEASELVEIAGTSKIEVENAKLKAELASTIALLCSFSPEIEFESLDDSKVGDLLKNATEKTAEALRLKDEHEKHLLSMLKTKHLQCESYEKRIKELEQRLSDQYTLEVKLNKDESTGKTGDSKSEIFSPMDEASYGSNTHLDSSMPDEKDEKEAMVASSSGDYMPEKSFPAKGDSGGGGDVVELQSLVAEKTNEVNEMEIKLREAVDEIARLGNELEISRNLLDESQMNCAHLENCLHEAREEAQTHLCAADRKASEYTALRASAVKVRTLFERLKTCVSSAGVAGFADSLRALAQSLATCANENSDDGVAEFRECVRVLAEKVGVLTRQRAELIDRYTKAEASHEELTKELNEKKELVNTLYVKLQSEKQVNKEKISFSRLELHEIAAFVLNNVSGHYEAINRNSAHSHYFLSSESVALFADHLPNRPTYIVGQIVHIEKQIVKSSPGRPTGLNPYGLPGGVGCEYFVVTVAMLPDTTIRSPTAAS >cds-PLY79018.1 pep primary_assembly:Lsat_Salinas_v7:3:8950169:8951737:-1 gene:gene-LSAT_3X8260 transcript:rna-gnl|WGS:NBSK|LSAT_3X8260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKRYSYFKSLKCLILNVLNLSVLQRLRIAVITGGNKGVGLEICRQMANEVVVVLTARDEQRGVDAVAKLHSSGLQDVVFHQLDVTDPSSIASLANFIDARFGKLDILVNNAGISSTIVDEESFWSIDLPSEVLVGKTKQSKKAVTQTFEGAQNCLETNYYGAKHVTQALLALLLKSTSPKIVNVSSKLGQLQNVQDENARKILSDVDGLTEEVVDEVVSEYLKDVKDEESMVKKGWSSNVSGYIVSKAALNAYTRILAKEFPSISANAVSPGFVATDMTYFKGTSTVEEGARGPVRLALISDAGPTGQYFWTTEKSTF >cds-PLY73866.1 pep primary_assembly:Lsat_Salinas_v7:3:39073931:39075385:1 gene:gene-LSAT_3X29981 transcript:rna-gnl|WGS:NBSK|LSAT_3X29981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMRKVFLIFLSFAVFMVVANCIDFTEEDLTTDESLWDLYERWRSHHTVSRDLGEKRKRFNVFKYNVQYIHKVNQMDKPYKLKLNKFADMTNHEFKTGFDSKIQHFRALKGERRTTPFRHEKTTDPPPSIDWRQYGAVNPVKNQGRCGSCWAFSTIVGVEGINKIKTNTLVSLSEQELVDCNSDNHGCEGGFMEDAYEYIKETGGVTTEQIYPYFARNGLCDISKRNSPVVKIDGFENVPKNDETALLKAVANQPVSIAIDAGGLNFQFYSEGVFNGICGTELNHGVAIVGYGTTQEGTNYWIVRNSWGSGWGEQGYIRMLRGFSEPEGLCGLAMECSYPIKVSSDNPKSVSKDEL >cds-PLY85064.1 pep primary_assembly:Lsat_Salinas_v7:7:6263899:6267876:-1 gene:gene-LSAT_7X5621 transcript:rna-gnl|WGS:NBSK|LSAT_7X5621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNFDLTSSKHHITQARQWRFLDFVSLVFFAAIFLFLVLVLTPLGDSIAATGSQALITSTTGAKRRHHLITLIESGRNLTLQSCSADAVDYMPCEDPTRNRLLSREMNSYRERHCPPPEERPLCLIPPPVGYNIPIQWPTSLYKIWHENMPYNKLADRKGHQGWMKKEGAHFIFPGGGTMFPDGAVKYIEQLEHYIPISGGTLRTVLDMGCGVASFGGYLLAEDLLTVSFAPRDSHKSQIQFALERGIPAFVLMLGTRRLPFPAFSFDLIHCSRCLIPFTAYNATYFIEVDRLLRPGGYLIISGPPVQWHGLDKEWEELQTVAKALCYESVVVNANTAIWKKPVDNSCIETQNQSGFELCDKSDDPSYAWYFKLKRCVSRLSSVGGKLAVGEIPKWPLRLTKSPSRAGGKNGNEEFESDTRIWEKRMLYYKKTLNLKIGSEAVRNVMDMDAYFGGFAAALISDPVWVMNVVPHNKPSTLGVIFDRGLIGVYHDWCEPFSSYPRTYDFIHMTSIESLIKDPLTGKKRCNILDVMVEIDRLLRPEGTFVARDSPEMIKKLDRVARSIRWESTIHNTEPESHTNERVLVATKLLLTLSSSSQ >cds-PLY76829.1 pep primary_assembly:Lsat_Salinas_v7:3:5229789:5231575:-1 gene:gene-LSAT_3X2961 transcript:rna-gnl|WGS:NBSK|LSAT_3X2961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILWYRSRKTLSTLPPGPRGVPVVGYLPFLGANFHHEFTKLAQRYGPIFKIHLGSKTYIILNSSDLAKAVLHDQDDIFANRDPPVAGLVVSYGGKDIVWSNNNSYWRNLRKVFVYEVLSNKNLDETQSFRRGGVRKTIKQVYERMGTEVDIGGIVFFTSLSVITNTIWGKSLVEDEKNNDVGVGLREVISKIVELLGAPNVSDFFPVLTKFDLQGVQREMKRQWKTMDRILERIIEERLAIKKDEAGRKDFLQILLELKQQNTTSGFSMTEIKALLMDIVVGGTDTTSTMAEWTMAELLQNPNEMKKVQDELEQVIGKNNIVEESHLPKLRYLDAVIKETFRLHPPLPLLIMRSPKLHYIYSAIKVGGYTIPKGSNVYLNVWAIHRDPQYWENPLEFDPNRFLMVDGRNKYDYSGYNTNFLPFGSGRRGCPGVPLGEKMLLYLLASLLHSFNWILPNNKEHELSDKFGLVVKKRNSLMAIPSQRLPDKNLYM >cds-PLY75690.1 pep primary_assembly:Lsat_Salinas_v7:8:195743527:195745184:1 gene:gene-LSAT_8X126000 transcript:rna-gnl|WGS:NBSK|LSAT_8X126000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVGMGLVTTILIVGAAIGGANGDAMVSGSVFCDQCKDGQVSLFMDYPLTGVKVAMACPGQGGQLKVISEETTNFLGSYVMRFDGAPDMSGCRAQVSGDGQGCRAVAGPAQSLNLVFQMFDTSIYTVGHLISQPAQPMPNCPRSSSPVPTPVTPTLPPPAKSPPVLQPPPLPRLPPMPPVPFLEASACPYRMWTMPEHECYWRVLAPDLKVSFVFGPLAARKYGNDITLRGSMTGRGDPYKTLLREATTALLNSYNSIEFPYHPLDVVQHLNMALIGGSTRQVLITALQFLRANSGRPGNVTCKFTTCK >cds-PLY61822.1 pep primary_assembly:Lsat_Salinas_v7:6:57414748:57418062:-1 gene:gene-LSAT_6X42080 transcript:rna-gnl|WGS:NBSK|LSAT_6X42080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSHFVALLAVFFFFILPLFHGGFAMAAGTSDGSEQWGYVEVRPKAHMFWWYYKSPYRTQDSNNPWPIILWLQGGPGASGVGTGNFEEVGPLDSFLKPRNSTWLRKADLLFVDNPVGTGYSFVEDRELLVKTDEEAATDLTTLLIEIFNRNETLQKSPLYIVAESYGGKYAVTLGLSALKAIEDKKLNLILGGIALGDSWISPEDFVVSWGPLLKDVSRIGDNGLTQSNSLVEKIKQQIANGKLRDATETWSDLEEVISANSNSVDFYNFMLDSEMDPLSMSASELMTKITPKKRYSRYLDSLKKSPGGNGGLGYLMNGAIRKKLGIIPNNVEWGGQSNLVDELLNKGVNVTIYNGQLDVICATKGTEAWVEKLKWEGLKTFLNLDRTPIYCGDNRITKGFTKSYRNLHFYWILEAGHFVPVDQPCVALEMVGSITHSSVSTK >cds-PLY98865.1 pep primary_assembly:Lsat_Salinas_v7:5:20402533:20404831:1 gene:gene-LSAT_5X10300 transcript:rna-gnl|WGS:NBSK|LSAT_5X10300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRQSLIPSYLYSNSLTNRFDFEKLAVNQQSSYDTLLSSLSESPDVASRGFVVQAPSEPGKIKMFSPAAYAACAVGGSLSCGSTHTGITPLDVVKCNMQIDPAKYKNISSGFGVLLKEQGIKGFYKGWAPTLVGYSAQGACKFGFYEYFKKTYSDIAGPEYATKYKTLIYLAGSASAEVIACVALCPFEAVKVRVQTQPGFAKGLSDGLPKIIKAEGVAGLYKGLTPLLGRQIPYTMMKFGSFETIVEMAYKYAIPTPKEQCSKSFQLGVSFAGGYAAGILCAVVSHPADNLVSFLNNSQGATVGDAVKKLGMWGLFTRGLPLRILMIGTLTGTQWGIYDAFKVFVGLPTTGAAPPAAAN >cds-PLY77009.1 pep primary_assembly:Lsat_Salinas_v7:6:64713045:64715858:1 gene:gene-LSAT_6X47941 transcript:rna-gnl|WGS:NBSK|LSAT_6X47941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELPLSLSLQKHPFVQSQTHELKHWNSIIKHHTKLKDDVAILTTYNQMESLGILPDNATLPLVLKACGRLQAIEKGKKLHNSILSTNLASDIRVQTALVNFYSKCGMLQNALNVFDEMTQRDVVSWNAMISGCVCCYEYQKALRLFSQMQKVNLKANSVTIVELLQVCGELLELRSGKEIHGYSLRHGLFNTNPHVDSSLMGFYLKFDPWIASLVFETLASRNTVSWNTMISGYINHGDFLKALKLFILMLNEGFKCDSITMLVVIQACAEFGDHGLGMQVHQLVIKFGYNNMHIVNSLVNMYNKYADLKSSYELFTSIPTKDVALWNSMLSCYVENGLINESIHLLNKMQLEGIKVNERTIVIILPLCANLSNGLINGKSLHAYAFKIGNERNKHIGNSLVNMYTLLNCVEDATKVFNKMKDIDVISCNVFISALANNKLKVQSCEIFTKMLELEIKPNSQTITSILSAFDGIDFWKFGKCIHGYVMKCGIEIDASLNTALTEMYINCEDESTGMRVFEEFPDKDLISWNSLLSTYIKNNQPYKTLLLFHHMITSVKVKPNFVTIINILSLYTHLSNLPQGKFLHAYTLRRFSSLNYDLPLVNAFLTMYSRCGSLEYAENLFNVLPKPNIISWNAMIAGYGMHGHGDEAMLTFSKMVKQGFTPNRVTFISALSACSHSGMIQKGLQLFYSMVQDFGITPEVVHYSCLVDLLARGGFLNEAKNVIDTMPMAPDAATWRALIGGCRVYSDTHLAKTAFENLIELEPKNPGNYVLLSNIYAASGLWDEVKSLRLILENKGLKKPAGRSWIVIKSQLHFFAAGDKSHPQSETIYRKLTSLLTFVKEMGYVPDLRWVLHDEDDERKMVRLSSHSEKLAIAFGLINVSGGSRILITKNLRICGDCHEFSKYVSKLLRRTIIIRDATRFHHFVDGLCSCKDYW >cds-PLY80721.1 pep primary_assembly:Lsat_Salinas_v7:3:84941849:84945475:1 gene:gene-LSAT_3X65860 transcript:rna-gnl|WGS:NBSK|LSAT_3X65860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKHRDSDRDRDKDSTKDYKHRSSQHSDDHHRSKQDKHRDADGDDRRRRGERERSEDVEDRVKRERSYDREGSKDREPREKSVDNRRHHNHKRKDRGGESDEEDRYYDARDKRTRVADERKERRRFEDKVAAAEDDRDREERKERRKFEDKVKKEETSFIDNDEDKLQQEKSNGETMTTTKSEPKDEYNGGSNANGGAQGSRSKAYGTPHETPLASSHPPLPPPPKVSSISTKHENEGVNSNRSHEVPGKSSTDGTSTDAGKSGGISLDALSKAKATLLKRKELAEKMKKIPMLNKVADSAREGLKAPSSSGLPPPPPQSVTANAAPLGGGLPQLAGLTAPKFEAVKRAQELAAKMGFRQDPEFAPLINMFPGQLAPEVTGQPKPAKAPVLRLDALGREVDENGNVVNIPKLNNLSTLKVNINKQKKDAFQILKPELEVDPDKNPHFDPRMGIDKTKLLRPKKMTFQFVEEGKWAKEAEIIKLKSQFGEAQAREFKAKQAHLAKAKAEPDINPNLIEVAERIIIKEKPKDPIPDIEWWDVTILEPGTYGNLEEGGPPEDILKKEKITLYREHPRPIEPPAEPAPPPPQPLKLTQKERKKLRTQRRLATEKNRQEMIRQGLLEPPKPKVKMSNLMKVLGSEATQDPTRLEMEIRSAAAEREQAHVDRNIARKLTPAERREKKERKLFDDNNTLETIVSVYKINDLSHPKNRFKVDVNAQENRLSGCAVISEGICVVVVEGGVKSVKRYQKLMLKRIDWSAAVEDENMEEDDGDDGDVKVKNKCVLVWQGSVARQSFHKFLVHECRTENAARRVFSDAGVGHYWDLAVNFTDEV >cds-PLY80128.1 pep primary_assembly:Lsat_Salinas_v7:6:105446394:105447588:-1 gene:gene-LSAT_6X68140 transcript:rna-gnl|WGS:NBSK|LSAT_6X68140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNWAFRAISFVHRQQRPNLMVNRITALIISKKTLIYSLESTQSPMFEPYVTVIDATTNNLHQVRLKKWQISICALKKAQCIFVSATYGAVSQLVGQFAKLTGCYVVGMWGVPEQKKRSMLSIF >cds-PLY82209.1 pep primary_assembly:Lsat_Salinas_v7:1:68667293:68667769:1 gene:gene-LSAT_1X61221 transcript:rna-gnl|WGS:NBSK|LSAT_1X61221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSYSFSSSLNRSSKKSTAHDTKTCDCGFLARILTSKTPKNLGRHFMVCTEGKCKYWKWLDVEPVEMPLMEVVEGMKVELVALKTEVEKVKEDMEQMKKEKYSDAIAMKEKIYKFTIGFLFLIIVYMMK >cds-PLY70121.1 pep primary_assembly:Lsat_Salinas_v7:3:12745844:12746059:-1 gene:gene-LSAT_3X9820 transcript:rna-gnl|WGS:NBSK|LSAT_3X9820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPEYLMQKPTVDEAMDTLKKVGVPEDEMVALERQLASAAPKTTSTTMDSNNRKLGFVSGGVQSSETASGE >cds-PLY67765.1 pep primary_assembly:Lsat_Salinas_v7:9:167886509:167886808:1 gene:gene-LSAT_9X104101 transcript:rna-gnl|WGS:NBSK|LSAT_9X104101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVPIAFYARNYESKSVHFIHARLFNSLTLSRSIDNSHVATSSPPNPRKSSSSLWDPHAASCRRPPTISFNFDDSNYRLHFHLWLRILMNTHPTPVT >cds-PLY99099.1 pep primary_assembly:Lsat_Salinas_v7:5:138714905:138724354:-1 gene:gene-LSAT_5X59321 transcript:rna-gnl|WGS:NBSK|LSAT_5X59321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ROOT GROWTH DEFECTIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G54280) UniProtKB/TrEMBL;Acc:F4JCU6] MAQQSSRLQRLLTLLETGSTQATRFTAARQIGEIAKLHPQDLSSLLHKVSQYLRSKNWDTRVAAAHAIGAIAGCFKHSSMTELYNLVEAKMKEAGISCATEDEVLWPKSHPKISASTSFQSFELTKVLEFGALLASGGQEFEVANDFTNNPKERLAKQKQNLKRRLGMDVCEQFMDVNDMIRDEDLVMHKPNSIGSGMNPQFYLQRPNRNVQQFVVDMVPGYRPRRPSARERNLLKRKAKISSKDQTKDVSGTQELISPKSISQDSPSSNSMFPDSIPDEESSEHEKDGTWPFHNIVEQLILDIFDPVWEVRHGSVMALREILTHQGGCAGVLTSDSCDDVSLTESEDKIKNGIKREREIDLNMQITTEETEPVLKRQKSEIASFDQMDELVSTSDIGSNGEIIASSVKVEPHTEVAETLQITNSFDNKDLVEKVDILEGLHGNNELANLIKRARNSSLKNSELLQDCGIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMHPMLVHQTLNVLLKMQRRPEWEIRHGSLLGIKYLVAVRQEMLPDLLGLVLPACKAGLEDPDDDVRAVAADALIPTASAIVSLSGEMLHPIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQEEMISRMFSAFKVKQGFDLNEIVPVDDLTRASNSQENPYMLSTLAPRLWPFMRHSITSVRYSAIRTLERLLEAEYRRSISESSSSFWPSFILVDTLRIVFQNLLLESNQEILQCSERVWRLLLECPVEDLESAARSYMSSWIELITTPYGSPLDSTKMFWPLALPRKSHFKAAAKMRAVKLENGLYTNVVSDSTEGTLPHDRNGDSSVKIIVGADSEMSVTNTRIVTSTALGILASKLHEVSDQYVFDPLWKAITSLSGVQRQVASLVLISWFKEMKNREVSGSKGLTNANYDTLKNRLLELLSCTDPAFPTKTSRLPYAELSRTYDKMRNEASQLVNVLESCGMIDESKKMDVDNLTPDDAMAFITKLPLPSSDVTGEDSVGRNGLDEVESIKQRLLTTSGYLKCVQSNLHVTVSALVAAAVVWMSELPAKLNPIILPLMASIKREQEEVIQNMAAEALAELVYHCVLRKPGPNDKLIKNLCGLVCMDPSETPQAGVLTSMEIVEEQDLLPFGSNSTSTKQKPKVHMLANGEDRSKVEGFICRRGSEFALKHLCSKFGASLFEKLPKLWDCLTEVLKPTTPGDEMHASQAIDSVKDPQVLINNIQVVRSIASMVDETLRPQLMTLLPCIFTCVRHSHVAVRLSASRCITSMAKSMTVNIMGSVIENIIPMLGDMASVNARQGAWKLMSLLVQGLGTDLVPYARLLVVPLLRCMSDCDHSVRRSVTHSFAALVPLLPLARGVSPPPGLSECLLSRSSDDAEFLEQLVDNSHIDDYNVPTELKVTLRRYQQEGINWLAFLKRFNLHGILCDDMGLGKTLQASAIMASDIVERRASNNSEDPPSLIICPSTLVGHWVYEIEKFIDASVISSLQYVGSSQERVALRSQFQNYNVIVTSYDVIRKDVEHLRHMFWNYCVLDEGHIIKNAKSKITCAVKQLKAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTERQFQATYGKPLAAARDSKCSAKDAEAGVLAMEALHKQVMPFLLRRTKDQVLTDLPEKIIQDRYCDLSPVQLKLYEQFSGSHVKNEISTMVQSNEAETETGEGEGKDASKTSSHVFQALQFLLKLCSHPLLVIGGKMQDSLPRILPDLFATSSDINSELRKLHHSPKLVALQEILEECGIGVEGSTSEGSVSVGQHRVLIFAQHKALLDIIEKDLFHVHMKSVTYLRLDGSVEPEKRFDIVKSFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMRDHQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQKFKVSVANAVINAENASLKTMNTDQLLDLFTPADNNNNTKKGASTSENMDGLAKVPGGGKGLKAILGGLEELWDQSQYTEEYNLTQFLAKLNA >cds-PLY68945.1 pep primary_assembly:Lsat_Salinas_v7:9:195108032:195108829:-1 gene:gene-LSAT_9X120740 transcript:rna-gnl|WGS:NBSK|LSAT_9X120740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQTQTLPENQPPPPPVDNIHHSPVDNSRPFRSVKEAVAVFGERFLATEVLYSSPSSKPFTLPKQETPIWKSTPENEENPSPTLMTTLKKLESELEETKRELNILKERESETEVALASLNAELHKNMSKIAKAEAEVAGKAVAAMRPSTTLGQVLNGGGEREKGGERKYVKERKVMKKKKPVVPLVTDLFTKKNNGKVKSSFLSPFYSSSPMYNWN >cds-PLY83852.1 pep primary_assembly:Lsat_Salinas_v7:3:52485372:52487183:1 gene:gene-LSAT_3X39320 transcript:rna-gnl|WGS:NBSK|LSAT_3X39320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLLIRRHHSSFTPFKLQPTVERDIISLCKNDLLFDAIHLLNSIHSHKNFNQKPLLYATLLQTCTKCQSFNYGLQLHSHVIKTGLETDRFVGNSLLALYFKLSSDFIETKRVFDGLYFKDVVSWTSMISGFIRVGKPRCSLDLFKGMLGLGVEPNGFTLSSVIKACSELGDVNQGRVCHAIVFRLGFDNNHVIASSLIDMYGRNYTPYDARNLFDELLEPDPICWTTVISAFTKNDLFEEALGFFLSILRKHKMWPDSYTFGTILSACANLGRLKQGKEIHSKLITSGIQDNVVVDSSLIDMYGKCGSLNKSRQVFDRMSKPNSVSWCALLNGYCQKGDFDTVINLFREIKEVDLYSFGTLIRACAGLASVRQGKEVHCQYLRRHGCRYVIIESALVDLYAKCGSIDFAYKLFKQMEVRNLITWNSIISGFAQNGQGLDAIQMFNDMMNEGMKPDGISFIGVLSGCSHAGLVDEGREYFMIMKKKYGIEGDVAHYSCMVDLLGRAGLIAEAESLIMESRFEDDKSLWTTLLGACSTSSDFIVAERVGKKMMELDPDYHLSYVLLSNVYRAIGRWSESDKIIGVMKERGIQKTLGKSWIDIDVV >cds-PLY80214.1 pep primary_assembly:Lsat_Salinas_v7:9:191821827:191823615:-1 gene:gene-LSAT_9X118221 transcript:rna-gnl|WGS:NBSK|LSAT_9X118221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKALNFRSLIIFSAFLRVFLIVYGEWQDTHMEVRYTDVDYLVFSDAAALIVSGKSPYKRSTYRYSPLIAFLLTPNSFIHQSWGKFLFSASDLLVGCFIRKILKLRGVPENLCIYSVIVWLFNPFTFTIGTRGNCEPIVCAIILWVIICLMKGNLFQGAIWYGVVVHMRIYPIIYALPIVIILDPSHFKPNKKPIITNWNSKHPKTHLTLGPTKSFNPFSRSRILFSLTSASVFFLFTGIFFYLYEWEFLHEALLYHLTRTDPRHNFSIYFYHIYLNYERQFSVLEKLVSFLPQLMVQLLLVFRFAHDFSFCFFVQTVAFVAFNKVITAQYFVWFFCLLPLILPWTKMKLKWEGVFCVSFWIGAQLHWLFWGYMLEFKGKQVFLQLWAASLLFFAANTYVMITIILRHTYSPVFNLSQKTK >cds-PLY91334.1 pep primary_assembly:Lsat_Salinas_v7:4:246493936:246494400:1 gene:gene-LSAT_4X130241 transcript:rna-gnl|WGS:NBSK|LSAT_4X130241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQAKKVCTITLTRNAKTSDVEKSVLPNNVVHTRNSVHPAKSVHTDKSSYNATPIQVVKTPPHLVKTHAPSQSSNIRRAQAGKFSNVCSWFTNSLQVSFAKKRKLNHTKSKKVWVPKKPSPDPRKINQVWVPKSISPESPKQSHVWKSEQRNGI >cds-PLY89913.1 pep primary_assembly:Lsat_Salinas_v7:8:68451087:68452145:-1 gene:gene-LSAT_8X49140 transcript:rna-gnl|WGS:NBSK|LSAT_8X49140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMMRKRKDIEGEERENGGNGEIIWDHTNGGALAGGAIRARKRFVGVRQRPSGRWVAEIKDTIQQIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPCNPSNKSPALSSKITNLLLQRLRARNAANLSNGSSMAAITHSFQHQQQFQEVTQVQDSDFQQLFEIPDANSDCFIERESYGSRNIVGIDHSLGVDEPKVEGNAESTDLGLTDLLFVDDIGSYMGYSPFEIAEEITKPMGDDNYSDNPSMLSEAMKRMKFERNISASLYAFNGISECLRMTIGSGSDKENRKPAQLSNLAVKNDKVWEDNNGENDLITMESFSSSSSKEGDESFIWSSLDLPSLDMFFSGSN >cds-PLY96985.1 pep primary_assembly:Lsat_Salinas_v7:2:33073808:33077456:1 gene:gene-LSAT_2X16921 transcript:rna-gnl|WGS:NBSK|LSAT_2X16921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFGKLYVKHMYQLFKFLFTPFDDASNPIIAQAAFLATLTEVEIAEAAVKALTEVNYETSKEILESSADDGKDQGCLSCSFNNKHEKNTRRFGIDKFKNCLLLMVKKTKATLKHLVTLIHLQKLKIGKAGITEGIINGIHERRGHCKKFAPEGKKAAKNLQGKVRLGHVNCDDKKSLMSRFKVQGFPTILVFGADKESRITYEGARTASAIESFALVQLETNVAPSEVTELTSSICGRKGFGFLQSCMALWKDPQGRALVSWYGGVLVIEKGVKGGDILSVGICVLYGGL >cds-PLY73221.1 pep primary_assembly:Lsat_Salinas_v7:8:179029032:179030018:-1 gene:gene-LSAT_8X116281 transcript:rna-gnl|WGS:NBSK|LSAT_8X116281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTIWSGGHKSGEEIEMALSKVKEVVSSNPVVVFSKTYCGYCKRVKQLFKELNVSYKLLELDEESDGSEIQSALAEWTKQTTVPNVFIGGQHIGGSDAVMEKHRAGKLVPMLTEAGAIANNSAQLSSI >cds-PLY99867.1 pep primary_assembly:Lsat_Salinas_v7:4:44950516:44951795:1 gene:gene-LSAT_4X31960 transcript:rna-gnl|WGS:NBSK|LSAT_4X31960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIANFPTDVIAATRKYIYVFRRRGFIKPTSYFVLLLCLSYYLGYLSTVSKNPTHEPHQHYSTTTSSPPSKVVVDHYQFQTRCADPIPSQFIRETILNYVFNGTSPYHDFPQPHIKPLLRQKKINGWGSTGAVFRNLIHKVRPKTIIELGTFLGASAIHMAELTRELGLDTQILCIDDFRGWPGLPNQFQDIKMVNGDTMLMYQFMQNVVQVNATEAIIFLPFSTASTLEKLCEWGVFGDLIEVDAGHDFHSAWLDINRAYKLLRPGGVIFGHDYFTAADNRGVRRAVNMFASVNGLRVKADGQHWVLGSF >cds-PLY91933.1 pep primary_assembly:Lsat_Salinas_v7:8:201362751:201368476:-1 gene:gene-LSAT_8X128660 transcript:rna-gnl|WGS:NBSK|LSAT_8X128660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTLKAVLQHPDDFYPLMKLMMATKQAEKQIPTEPHWGFCYSMLHKVSRSFGLVIQQLGSELRDAKIQQSHYKFSFLLKYCWISSFSCQHAADAEDDTKIDTMVKIPILMEFHRHIYDPDWNFSCGTKEYRILMDQFHHVSTAFLELNGSYQEAIEDITMKMGAGMAKFICKEKTNIVRDFLEDINEQPKSRVYWPRQVWSKYASKPEDLKHKENSVKAIQCLNDMITNALIHIEDCLKYLSGIRDPAIFKFCAIPQIMAIGTLTLCYNNIGVFRGVVKLRRGLTAKIIDQTKTMADVYGAFYDFSLSLISKVNLKDPNAHTTVSRIEIVLKICRDSGTLHKRSYIVRVSRYQCNVDYHQRIANTVW >cds-PLY81716.1 pep primary_assembly:Lsat_Salinas_v7:3:31220943:31228007:1 gene:gene-LSAT_3X21960 transcript:rna-gnl|WGS:NBSK|LSAT_3X21960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMIRRTATSATVRLTRYALLTAIDGSSASCVSRSQSRLHSSIATRPAVYTGYIPYFLHSGSIGHNRISSSSPRLYSTAANPSQFNNKDYTEMAWEGIVGAVDAARGSKQQIVESEHLMKALLEQKDGLARRILTKAGLDNTSVLQAIDNFIAQQPKVTDTSSPVLGSHLSSLLENARKYKKEMGDDFVSVEHLVLAFPSDKRFGKQLFSNLQLSEKSLKDAVQAVRGSQKVTDQNPEGKYEALEKYGNDLTELARRGKLDPVIGRDDEIRRCIQILSRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLKNRKLISLDMGSLLAGAKYRGDFEERLKAVLKEVTASNGQIVLFIDEIHTVVGAGAAGGAMDAGNLLKPMLGRGELRCIGATTLNEYRKYIEKDPALERRFQQVFCDQPSVEDTISILRGLRERYELHHGVKISDGALVSAALLADRYITERFLPDKAIDLVDESAAKLKMEITSKPTELDEIDRAVLKLEMERLSLKNDTDKASKERLSKLDSDLETLKQKQKEFNEQWEREKLLITRIRSIKEEIDRVNQEMEAAERDYDLNRAAELKYGTLMTLQRQLSEAEKNLSDYQHSGKSLLREEVTDIDIAEIVSKWTGIPLSNLQQSERDKLVSLEHVLHKRVVGQDIAVKSVADAIRRSRAGLSDPNKPIASFMFMGPTGVGKTELAKALASYLFNTENALVRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTETVRRRPYSVVLFDEIEKAHHDVFNILLQLLDDGRITDSQGRTVSFTNAVVIMTSNIGSHYILETLQTTHDSKDAVYELMKRQVVELARQTFRPEFMNRIDEYIVFQPLDATQIRHIVQIQLNRVKDRLKQKKIDLHYTEEATDILGKLGFDPNFGARPVKRVIQQMVENEISMGILRGDFNEDDTIILEASTLGKDGLRIRKLENQSGVDEMVANN >cds-PLY92066.1 pep primary_assembly:Lsat_Salinas_v7:5:324344267:324344620:-1 gene:gene-LSAT_5X180420 transcript:rna-gnl|WGS:NBSK|LSAT_5X180420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHESSDAVESVKSSDNIVAILGLVDFVTDGQRVFGSHNGVPMMQKITASGCSVTAQIAAFVAIDPAHAFEGTTSAFFVFGLAGDIGMELAKGSASLRVPLIDSLHSLDQDTVLEEL >cds-PLY99142.1 pep primary_assembly:Lsat_Salinas_v7:2:11505850:11507465:1 gene:gene-LSAT_2X5241 transcript:rna-gnl|WGS:NBSK|LSAT_2X5241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIISKEDIKPSSPTPSHLSTFNLSVLDQLVISPYVPIILYYPNNNGESTSEALERSVILKKSLSQTLTQFYPLAGTIKNDLSIDCNDVGANYVVALVHGRLDEFLEHPDHRLINGFLPFEPSFNESSAGSRVTNVQVNIFECGGIAIGLCISHKILDGAALCTFLKGWSNMARGAEEVVYPNLTSPSLFPATSSWLRDTSMGLTISLLSQGKACTKRFVFGSDAIARLRATAARNGVQRPTRVEVVSGLIWKCAMAAAKEACGVQKPSCLTHFVNLRSKLAATLSNHLIGNMVWISNAAWLPSDETPLHALVNNVREGISKIDVEFVKKAQGDEGYVAMQNSLKEMAGIGSMGPIDYYGFTSWCKMGFYEIDFGWGKPSWVTGLVGDGMPVFMNLVTLMDTKSGEGIEAWVNLDEPEMEILQKNQELLSYASLDPSPLINDEAKELKTEEIISQIQTGLAFNA >cds-PLY96192.1 pep primary_assembly:Lsat_Salinas_v7:7:96511535:96515948:-1 gene:gene-LSAT_7X65541 transcript:rna-gnl|WGS:NBSK|LSAT_7X65541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:arginine/serine-rich 45 [Source:Projected from Arabidopsis thaliana (AT1G16610) TAIR;Acc:AT1G16610] MAKPGRGRPAAPARSGSGSSSRSRSVSGSSRSSSRSRSRSRSYTSSSSPSRSVSSRSRSPPPKKSAGDGPRRGRSPPPQSKRASPPPRKTSPVPESLVLHIGQLTRNVNENHLKEIFGNFGEVVHVQLAMDHIVNLPKGSGYVEFKLRSDAEKALLHMDGAQIDGRVVQAKFTLPERKKASSPPPKPLPTASRRDVAKNDNVVADAEKDAPRRVTAASPRRRPPSPTRRRSPVGRRGSPPPPRRRVDSPPPPRRRAESPYRRGGSPPPRRRPASPPPRNRSPSPPARRYNRSPPRASPRRMRGSPIRRRSPPPRRRSGGRARSPPRRSSLSRRRSRSPPIRRPVRSRSKSISPRRARPPVGRRGRSSSYSDSPSPRKVTRKISRSRSPKRPIRRRSSSNSSSSSSPPPQLPPPPPGGPPPRKP >cds-PLY90977.1 pep primary_assembly:Lsat_Salinas_v7:5:287457486:287459663:1 gene:gene-LSAT_5X151620 transcript:rna-gnl|WGS:NBSK|LSAT_5X151620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGQNQRNNAQNRIKFQEITEKEEEDGISGLPDCLLLEILSRLPSTKYAIRTGTLSKRWAHLWTWVPTLIFVHYDHPHPWIQSPNLRSDFALVVEKTLTQCRQLKLKKFQLRTHYDIRFKLQFNNWIRHAISCNVEELNLEFNYQDEFWLDESIFIDSCFTDLKLAGCKLNPSGAISWKNLKSLYISYMKLDEDLIVNILSGSPLLETLVLVDLDGWIDNTTSDNDSSSDDESEANIIKTNASNTLSLTQCPQLKLKKFKLLSYNDIKLKSQLNSWVLYAIRCRSPVLETLELEDCFGYRRLNITSKSVKKLVISGYKDFNIDRSEDDVIEINAPNIQSLTIQYEINLLKILLVDVSSLVKADLNYTCKGIRYSHTTPKEEMLKGFIMNLSHVKELKIGFFCSEISLQV >cds-PLY73604.1 pep primary_assembly:Lsat_Salinas_v7:6:128806701:128807839:-1 gene:gene-LSAT_6X76081 transcript:rna-gnl|WGS:NBSK|LSAT_6X76081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAVISDIDPFVKRSRKVNANDLWNELDTYNRFAWNIKPPSPVRNRTTMNDYTPNSNQGTTKQTEKPMEKKSKPRKNLYRGIRRRQWGKWAAEIRDPQQGVRVWLGTYNTPEEAAKAYDEAARRIRGNKAKLNFPPPYTPPPAKKLCVETTDSNLSINYEPPSPYEFKATTESTQPMAHGQMLPPPLDYGGLHRHPAVDEHEFKEQILNLETFLGLEHESTQFDESGDLWALDDFPAV >cds-PLY88459.1 pep primary_assembly:Lsat_Salinas_v7:8:88249388:88252098:-1 gene:gene-LSAT_8X61381 transcript:rna-gnl|WGS:NBSK|LSAT_8X61381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MMSIKDWVLSQLVSNSLSSTRPLSGSDSFFEERPANEFNIHGSAQTATSPPPEAVTDVSQPPIPNQETPLPTPLRPPTFESSHQPQTLQKNMNPLEKIEKLQIKFLRVLHHLGHSTNDLMVAKVLYRIHLATLIRTQESDLKKVNLNSDRAKAIAIQQETSGQPELDFSFSILVLGKTGIGKSSTINSILNEPKARTNAFRPATDRVQEVSGIVNGIKISFIDTPGLLPPSPNTIGRNRKILQKIKKRCRKYPPDMVLYFERLDVLNIGYSDFPLLKLVTEVFGNGIWFNTMLVMTHSSSPLPEGSNGYPVTYESYLTQCMNLIQHYIQQTISDSKLETPVLFVENHPGCKDKILPNGQNWKSQFLLSCLCTKILSDVNKILDFHERMELGITGSPRIPSLPHLLSSFLKHHISTPNEVDEIKNLSLSDFEEEEQEYDELPPIRILTKSQFKKLTNSQKNDYLDELDYRETLYLKKQLKEELQREKTDDRDPPPEPVVLPDMAVPPSFDPGNPLHRYRCLVNSDRWLTRPVLDPHGWDHDVGFDGINLEGQTQVNENLHASVTGQVSKDKREFNVQSACCAAYVDPRGPTYGAEVDAQSSGKEMVYTVHGSAKVGVLGRNVTECGVSLMSFGGNCYSGVKVEDGLWVGKRVKFVVNGGRMGGGGGAAYGGSLKGVVRGRDYPVRNDEVSLMVTALSMGKEVVVGGNLEAEFRVGRGTNLSVNASLNNRNMGQLSIKTSSSEHLEIALIAGVSIFRVLFRRMGLVGTDKDMPQSSDISWAD >cds-PLY96373.1 pep primary_assembly:Lsat_Salinas_v7:2:53323928:53329494:1 gene:gene-LSAT_2X22860 transcript:rna-gnl|WGS:NBSK|LSAT_2X22860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTPRLHPDIKRGNQETLIPDVTVNIYDTCANIDSGDHQTPIIPEKAKVISCGVSVLPPPLSSPLVSSIPASKITPTFIGVKKEPIVTLFKNYSYFEEMKVFLQTQIKYIKTLLESEVKKFDASSTMFYKKLDVVVEATTRLVEDITSFNKDYMSGLQDTKKGDDKVFAKVEEFLTEIKAMLSTHSTISP >cds-PLY76162.1 pep primary_assembly:Lsat_Salinas_v7:4:52999618:53001692:1 gene:gene-LSAT_4X36100 transcript:rna-gnl|WGS:NBSK|LSAT_4X36100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNELLLIHQKKNEELQNPKSLERSNSLQNERSNPSSNDAVSRKHRNFFRRRSKSHECISFENDSSLLPSSTTNGFHNERSVSQFSFSEIKKKLKNAIGRSKRDLGEKPVVVDGRRSGRSSPLRDHFYSERFSTMSNGFKIQDGVLSRSFKYETKSRENEGFGNTSERISNIYVEAKKHLSEMLSNGDECTDLMVARHPRTLGKLLSFPNYDSSSAVIDHKHEPEHEVNESQPSVTYDDHQELEVSDEVHEAEDVIETIKPHSPEESEVFDASDLTEEEDLCCSPITSDRKTEKVEGTLDDRTEKPSPVSVLEPLFSDDDISPARTISRSGETSITPLRIRFEDHVTPTENQETSIHTLVENEESAFEYIETVLLASDLNWDEFEERWISNAQILDQSLYEELQIFSSQPVCDQRLLFDSTNETLKQICDQYLGLFPELPFFKTNMYRIPKGMELINEVWKRIESRLNCVYLRSLDQLISNDMDISRMWMDLWLGTREIVMETEEWILEDLIDDTLLSLMDIN >cds-PLY70862.1 pep primary_assembly:Lsat_Salinas_v7:9:16017437:16020861:1 gene:gene-LSAT_9X17400 transcript:rna-gnl|WGS:NBSK|LSAT_9X17400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFDIPVADEMNDEFMDDIEAPVTLKVGEEKEIGNQGLKKKLLKEGEGWDTPESGDEVEVHYTGTLLDGTQFDSSRDRGTPFKFTLGQGQVIKGWDLGIKTMKKGENALFTIPADLAYGEAGSPPTIPPSATLQFDVQLLSWVSVKDICKDGGIFKKIVKEGEKWENPKDLDEVLVNYEVQLEDGTVVAKSDGVEFKVEDGHFCPALAKAVKTMKKGEKVILTVKPEYGFGEKGKPEGSIPANATLQITLELLSWKVVTNVTDDKKVIKKILKEGEGYERPNEGAVVQVKLIGKLQDGTLFIKKGHDDSEPFEFKADEEQVIDGLDKAVMTMKKGEVALLTIAPEYAFGSTDSKQELAVVPPNSTVTYEIELVSFVKDKESWDMTTTQEKIEAAGKKKEEGNAFFKAGKYLKAVKRYEKAAKFIEYDTNFEEDEKKQAKALKITCNLNNAACQLKLKDYKQAEKLCTKVLELESTNVKALYRRAQAYINVADLDLAEIDVKKALEIDPNNKDVKHEYKLLKEKIKEYNKKDAKFYGNMFAKMTKPSSNKAAGTKEAEEPMSVDSKA >cds-PLY93479.1 pep primary_assembly:Lsat_Salinas_v7:9:64668166:64670398:1 gene:gene-LSAT_5X111360 transcript:rna-gnl|WGS:NBSK|LSAT_5X111360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDYTGRYNGDNDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSLRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGYDYEDEEEEEVEEGV >cds-PLY85420.1 pep primary_assembly:Lsat_Salinas_v7:4:291247861:291248514:1 gene:gene-LSAT_4X151861 transcript:rna-gnl|WGS:NBSK|LSAT_4X151861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCERATGRTVYACILIKMSAKDSWEKDMKIKAFTAKGATSTTLRVEYSWIPKRCDHCKLFGHDLATCPPTHTTSSPVLKTAMPIPKEVDKECFQTVKRRARAIHILKKKVQVDNRKNKGSALKIAQVYKLIIRDPKTKNVSTNMFDAFSHQRVNDTKDGCSIPPNIHSRGTLPTSDTQSGFFPSSHISNPVDQKLTMYVRYPPSLSLFFLGVSTLSL >cds-PLY89845.1 pep primary_assembly:Lsat_Salinas_v7:4:324304235:324306862:1 gene:gene-LSAT_4X160860 transcript:rna-gnl|WGS:NBSK|LSAT_4X160860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFDESGMAVKRDSPVPPPLPATTLMTRKPPSGRRICCVSATTTEIWDSLFDDAYRADVSIHTTHDIIYAHSSILGLASPVFRSMFKRKGKSKKQVKQFTVPIRGVPTEAVRVFIRFLYSSCYEEEQMEEHVLSLLVLSHSFVVPRLKRECVNQLEHKFLNIDNVVDVFQLALLCDAPRLSIICHRLIIKNLKAVSLSDGWKAMKESHPVLEKELLATVAVEANMQKEKEKKMKERKVYLELYEAMEALVHICRDGCRTIGPHDKDLNKHQDPCNYEACKGLESLVRHFAGCKLRVPGGCVHCKRMWQLLELHARLCVDSNVCRVPLCKNFKERAKKQKKKDDIKWKILVKKILRTKSITGAPYFTLVTSF >cds-PLY72311.1 pep primary_assembly:Lsat_Salinas_v7:4:60646879:60648861:1 gene:gene-LSAT_4X42121 transcript:rna-gnl|WGS:NBSK|LSAT_4X42121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVSLLANIFIKKKKPLPVETIFKLTSEPEPPNFPQGNGFATGTIDLGGLKVCQVTSFNKIWSTLEGGPDNLGSTFYEPSAIPDSYRVLGHYSQCNNKPLFGWVLAAKASANDPSSLAMPIDYSLVWSSESQKIKQDKIGCIWLPVPPNGYKPVGYVVTNSPEKPSLEKIRCVRSDFTEIVEFDGWIWGLTNEIDPNGLNVYGSRPKDRGAQATGVSIGSFLVQNGLADLSLPYCLKNNTNTLLAMPTFAQIEALIQAYSPIIYFHPDEKYLPSTVNWYFENGALLYQKGLESNPSPIEPNGSNLPQGGSDDDSYWLDLPIDDSAKDRVKKGDLDNANAYFHIKPMFGATFTDIAIWVFYPFNGPARVKLEFVTISLGKIGQHVGDWEHLTLRISNFDGSLKYVYFSEHSGGTLLDASQIEFGNGNKPVAYASLNGHAFYSKPGLVLQGKGGNGIRNDTGKGKAVMDTGVRAAVVAAEYLAMVEEPPWLNYSRKWGPKVSYDIVKEIEKVKKVLPGKLKEVFEKVVSGVPNEVLGEEGPSGPKMKNNWNGDEKY >cds-PLY80125.1 pep primary_assembly:Lsat_Salinas_v7:2:75147446:75149169:-1 gene:gene-LSAT_2X33740 transcript:rna-gnl|WGS:NBSK|LSAT_2X33740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIIMEASTSSKIQSRNQRKSLTDMPFEVMEKIIVELGKISTVEAFRMKSVCRFFNEAGEMDEVYKHIDLDGLRFCGWSDQKHAVVNKCIEMRNPNIPFRNGLMKLFFLEAENEGKTMLEEASSLGHLDSTFALGMMLMAEGRHRKQEALDVLNNAYRRAKGGAGEHVTKEKLEFKHRLSIALGAAKGDPLQNQ >cds-PLY64400.1 pep primary_assembly:Lsat_Salinas_v7:4:25393850:25394506:1 gene:gene-LSAT_4X18120 transcript:rna-gnl|WGS:NBSK|LSAT_4X18120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLKKKRSELRGGHRLPSKKIKFKKERSDVGGTADDAELQEFFAILQRLQTGFDYFQNKGAGGCGGKSAAPPPATGSRLWDPAFELEDFKQVDDGSQPVASGGEALQKDTFVGFDLNADPETHQKSK >cds-PLY93806.1 pep primary_assembly:Lsat_Salinas_v7:6:148206151:148207902:-1 gene:gene-LSAT_6X88901 transcript:rna-gnl|WGS:NBSK|LSAT_6X88901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLFKGEMSWANTVCDPWISNRETLICFADLEFDYINPYDSASRINNVIIPEFITQGVLCLLYLVTGHWMMFILAVPYLYYNIRLYARRQHLVDVTEIFNQLNGEKKQRLFKLGHLVFLLFITLFWMIYNALEDDNV >cds-PLY85913.1 pep primary_assembly:Lsat_Salinas_v7:2:189830053:189832171:-1 gene:gene-LSAT_2X110540 transcript:rna-gnl|WGS:NBSK|LSAT_2X110540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDICSDTDLSVADNADDLHDLQNDPLEPTHGALKPLSVDELSINVTEFLNIQDDTNEDSNSSPSHKPKDTTTTQPPENCLSRSATFPCPDSITLHDDKIKSLDQSGFRSISLPTHLKAVSAMKGSREKRGVAPPVKLRVKWAPDVYDPIPTSVSHVVTSNRSSRHSSKKNSRNKQKNGSKSSSRGSKGKEKKHVRKRGGNSSSTGYKLHEHEEEDDDGVVFHDQFCGSSFLKGYGTNLHLSSVAEAT >cds-PLY99661.1 pep primary_assembly:Lsat_Salinas_v7:1:198566293:198569801:-1 gene:gene-LSAT_1X127960 transcript:rna-gnl|WGS:NBSK|LSAT_1X127960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEERKRLVEEEWNSMISTKQQLSLADFPSKFLFGVATSAYQVEGAANQGGRGPSIWDTFSHTQGKIKDGKNGDIATDQYHRYKEDVDLIAKMGFSAYRFSISWSRIYPDGLGTQVNKEGIKYYNNLINYLLEKGIEPCVTLYHWDLPLHLDESIGGWLSDSIVKYFAIYAETCFENFGDRVKKWITINEPLQTAINGYFTGIFAPGRSERFSAEPYLAAHHQLLAHAQAVSIYRTKFQALQGGEIGLVVDCEWAEPLTDKEEDITAAKRRVEFQLGWYLDPIFFGEYPKSMQERVGELLPVFSEKDKDLLKNSLDFVGLNHYTSRFVSHKTNEKENHYFRAQEMRLTAEWENGVIGEKAASSWLYVVPWGLRKVLKYIAQKYNNPPIYITENGMDDEDNDASPLHEMLDDKLRVTYFKSYLAAVSLAIKDGVDVRGYFAWSLVDNFEWPQGYTKRFGLIYVDYKNGLTRHLKSSAYWFMRFFKAEDGKNGKED >cds-PLY75524.1 pep primary_assembly:Lsat_Salinas_v7:9:33738879:33739429:-1 gene:gene-LSAT_9X29781 transcript:rna-gnl|WGS:NBSK|LSAT_9X29781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGDNLNENKRVYAQLGSPDDVKRVWEVCESDPQLHECMNIIDMFRKIYKIKEAEAMKECSEQMDESGCGIGTDSLDVLVKFYTEVGEIEKADSMLNTSSPRKKGKPLFATYAMILDEYAKRGDVCNVEKTFKRIRVDGCVLKVRQYNSLL >cds-PLY67891.1 pep primary_assembly:Lsat_Salinas_v7:1:55685435:55685923:-1 gene:gene-LSAT_1X49760 transcript:rna-gnl|WGS:NBSK|LSAT_1X49760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSSWSRTVVLMSSDGETFEIYEAEAQPLLAIKNLIKPDCNKTCISIADVTRNTLAMIINYCRMHAEFADNDDLLTSFDRMFLDVDDATLHDLKDAILFLNIRSLIPLINQALANRKIKANSKEYICDTERFKIKSNISQEEEPEVRCENTWHFDKSKTL >cds-PLY90912.1 pep primary_assembly:Lsat_Salinas_v7:1:57422999:57425731:1 gene:gene-LSAT_1X48201 transcript:rna-gnl|WGS:NBSK|LSAT_1X48201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILAGVFAGAMEIAHAYDRPPPRQDFAVSVAAYADPTTPQQVHVSLAGENKMRISWITDKHTPPIVNYGTSSGKYEHSATGVISSYEYVTYISGEIHDVVIGPLNPNTVYYYSFATGSSSTPEYSFKTPPAQFPIKFAVSGDLGQTGWTKSTLEHISQSNYDVFLLPGDLAYADRIQPLWDSFGRLVEPLASQRPWMVTQGNHEVEKIPAIHHTPFTAYNARWHMPFEESGSTSNLYYSFEVSGVHVVMLGSYADFGYGSDQIRWLESDLKKVDRRKTPWLVVIVHAPWYNSNYAHQGEKESVDMRVMMEGLLYKARVDLVFAGHVHAYERFNRVYNQETDNCGPMYITIGDGGNREGLASKYKEPQPTISVFREASFGHGELEIVNGSYAKWSWHRNDDDESFESDSVWLKSFASDTTCKANKK >cds-PLY69336.1 pep primary_assembly:Lsat_Salinas_v7:7:81837320:81840064:1 gene:gene-LSAT_7X57460 transcript:rna-gnl|WGS:NBSK|LSAT_7X57460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVELGRQTETSVVDQLNRVTEMEKPVGSRPVWGEIELAESYLVCSMFEDASSSASSVLKRLCDKEHINTVVDDDIELNDMLESAGMVFVQSLKELGRTLEIISELTQLFDSLAAIPIQVFLAGVCFQMQEDPQGAQKNLEEFLSKWRFVDEKYYILASLETKTNTKSYMEGCGNRFVLEVDTYLQVVEAYITLLSGTIKGTNLAISWVEKAALPEHIRQELLRRLHSIHSSKDTVSQASTSALVTDENVTSRSSKTLESAKQIDAKQAILRYSGQRVPTLWWFRTLNVKFGGVRFAVSNGSILITIFMLLTYYYMRRKKYTITSILKKQALFVKKSVIDLWQLAFSYQVNPLAAVEPLITR >cds-PLY90927.1 pep primary_assembly:Lsat_Salinas_v7:6:122986795:122988143:1 gene:gene-LSAT_6X75541 transcript:rna-gnl|WGS:NBSK|LSAT_6X75541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTQSGIDDNTMLIGQAQIWRYLYGAFDALAIRSCIELHIADIISNHKRATLSDIATGIDSPSINLDGLERLMKFLVHRKVFDEEDGEGERVYSLNDCSKWLLCNTKMSLAPFVMMFTNPIMALPAYAVTRSVKEGGAAFKLAHEEELFDFLSHNSDINTVYNEGMESATNIMMDAIISSYKNGFLELKGSLVDVGGGTGVAISEIVKAYPHLKGINFDLPHVISTAPTYDGVTHVAGDMFEAIPPADTIFMKILKNCQKAVPKETGKVIMVEIVKDQKGDDPFKDIRVSFDLAMFTLVSSGRERTEGEWEKLLSDCGFCRYNIIKIPALFSVIEAFP >cds-PLY96953.1 pep primary_assembly:Lsat_Salinas_v7:4:174559407:174560247:-1 gene:gene-LSAT_4X102681 transcript:rna-gnl|WGS:NBSK|LSAT_4X102681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQENSMKRWWFNSMLFKKEFEHRCRLSKSMGSLGPIENASESKDPNRNDTDKNIQGWGGHDNYSNVDLFFGVKDIRNFFSDDTFLVKDSNGDSYSIYFDIENHIFEIANDHPFCSELESSFYRNSSDLNNGSKSKNPDHDRYMDDTQCIFVNNVDII >cds-PLY86286.1 pep primary_assembly:Lsat_Salinas_v7:8:58455428:58456116:1 gene:gene-LSAT_8X42680 transcript:rna-gnl|WGS:NBSK|LSAT_8X42680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNYVSACSLGISPRMKTNKAARVIFPTGEIRQFEDSVKAAEIMLDCPNFFLVNSKSLNINRRFSPLSADEDLESGNVYIMFPMRRVNLMVTPADMAVFWMSANSAPKRISGRISPEAIVGGDAMVNEAEQPRLVVEVSEFSHRLAVCRSKKPFLDTITEEPIRSKYQVVQKVLFSQRVEK >cds-PLY85581.1 pep primary_assembly:Lsat_Salinas_v7:5:44388269:44394746:-1 gene:gene-LSAT_5X21621 transcript:rna-gnl|WGS:NBSK|LSAT_5X21621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYRKPPDRLLEITERVFVFDCCFSTDVMEADIYKTYMGGIVTQLQDYYPESAFMVFNFKEGGDKKTQLSDVLSTYEMKITEYPWQCEGCPMLPLEMMNECLKSSENWLSLAGNRNVLLMHCERGGWPVLAFLLAGLLLFRKQYTGEQKTLEMVYKQAPRELLYLLSPLNPQPSQLRYLQYITKRNLGLEWPPLDAPLALDCIILRVLPVIGGKGCRPIIRVYGQDPFSSVKSCKLLFSTSKTKRHVRMYRKDECQLAKIDIHYRVNGDVVLECIHLDDDHVKEEMMFRVVFHTAFVRGYVLMVGHDEVDHMWDARDQLPKDFKAEVLFVDADHLPSIITTAGVAEDENESEGSNSADEFFEVEELFDAFDSHHDFKEEPFFPEENEPDVESEEVDPGIHSVNDVDLKSTVVLKEVDSKPMVAEGVDLKATAVAVEDVDLKGKVVIGDEFDKMEVKSEGVEKEIKSKKDGEGNQEVKRVEDLQGKASGRKSTANSKAGTNVRQAKPDAMSKWIPSNKGSYTNSMHIYYPPTASRHVSAPPVLAQSKDPRLVEKKVVGKGGRVSGKQASCPPSLDVERVNVAAGACRPSDGSLDLPKYLNNLKSDAGPAHSQVQAQAQAHNHVTPSPVAAVAPPPPSSVELETSTAKATSQISLPQPPPVVHGVLPNESAAGVSKIEKPIQAGVVPPKDNLKPQQPVAPVSPPLHEAPSKPLVQVSLPKPAPTPPTPPVYDAPTTQVSAPTPSSQVAPSAPPRTPPPISHVVPYAAPPPTRGPTPPPPPPMIEVPPPAPPSRTLRPPPPVPAPPPPPPLPGGGPPMYGAPPPPPPQPMYGAPAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPGGAPPPPPPPGGAPPPPPPPGGAPPPPPPPGGAPPPPPPPGGAPPPPPPPGGAPPPPPPPGGGRGHPGPPPPPGAPGPPGGPPPPPGGGRGMGPGRGRGRGGPGARRSNLKPLHWNKVTRALQGSLWEELQRHGEPQSVPEFDVSELETLFSAIVPKKAASKEADKKKAAGSKPEKIHLIDLRRANNTEIMLTKVKMPLPDMVNAMLAMDEELVDSDQVENCLKFCPTKEEMEQLKNFTGDYETLGKCEQYFLELMKVPRMEAKLNVFVFKIQFNVQLTEFKKSLNTVNSACDEVRKSTKLKEIMKRILYLGNTLNQGTARGAAVGFKLDSLLKLTDTRSSTSKMTLMHYLCKVLASKSPSLLDFHEDLVSLEAATKIQLKVLAEEMQAILKGLEKVKQELAASANDGPVSEGFHRTLKEFIGQSEAEVTSVTSFYSIVGKNADALALYFGEDPARCPFEQATQTLLNFVRLFRKCHDENVKQAELEKKKAEKEVEMEKAKGINLTKKGGDK >cds-PLY82638.1 pep primary_assembly:Lsat_Salinas_v7:1:192533914:192535208:1 gene:gene-LSAT_1X127780 transcript:rna-gnl|WGS:NBSK|LSAT_1X127780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFKKHLVNAPKELSSPSHDHHQNHHPLNQFLNSNPNAFSFSFGNDASFAFDSSSQQRLFSSMDDIYCIFLGGLDNLCTLNKQYGLTKLANEPMFVIQAYKTLRDRGPYPAHSVLKEMEGSFGFILYDLMAKTVFVSLGADGGVKLFWGIAADGSVVISDNLMVIKSSCSKSFAPFPTGCMYHTEGGLMSFEHPKNKMKAISRVDSEGVMCGATFKVDVYSKTTQAMPRVGSEANWATWG >cds-PLY85191.1 pep primary_assembly:Lsat_Salinas_v7:9:146227927:146228253:-1 gene:gene-LSAT_9X93721 transcript:rna-gnl|WGS:NBSK|LSAT_9X93721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNQNSLTMIAGGMVYNSVICLLWFPGGLANIGKTAGDWVFLHADLVALFISMAVLVAGMTVGPTFWKKMEKLARKLSHGYCNCCYGYSCSPRYSYGGRKRLLVEAFC >cds-PLY66148.1 pep primary_assembly:Lsat_Salinas_v7:8:302224182:302225208:-1 gene:gene-LSAT_8X166400 transcript:rna-gnl|WGS:NBSK|LSAT_8X166400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNDKRSFISAVEELDEQVITESSGCGLLASSNQEKCCTDCQTTRTPLWRGGPSGPKSLCNACGIKYNKKRRTQMSGIDKSGRSISKGAKRNEDLKMKVRLMVINRKQQKQQKRMRSSYERGKPWWNKLREEEQAAILLMAISCGGSLYS >cds-PLY71268.1 pep primary_assembly:Lsat_Salinas_v7:5:181730730:181748036:1 gene:gene-LSAT_5X80860 transcript:rna-gnl|WGS:NBSK|LSAT_5X80860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:Projected from Arabidopsis thaliana (AT3G45130) UniProtKB/TrEMBL;Acc:A0A1I9LTE4] MWKLQLSKGDDDHPGVRSVNHHIGRQFWEFDPHAGTPEERSQIESMHEEFTRNRLNVKHSSDLLMRFQFASKNRGETEASHILEGGLDDDEDEVVIKTLKKALKFYASLQGEDGSWPADYGGPLFLLPGLIIGLHVMGMKDIVLSIEHQKEIRRYLYNHQNIDGGWGLHIEGHSTMFSTALNYVSLRLLGEKMDGGENGAMTKARTWILDHGSATHIPSWGKLWLSVLGVYEWRGNNPLPPEIWLLPYFLPLHPGRMWCHTRMVYLPMSYLYGKRFVGPISSIVLSLRRELYKTLYYQVNWDLSRNQCAKEDLYYPHLIIQDLLWCGLNKIVEPLLMQWPFAKLRKKALNTVMQHIHHEDENTHYICIGPVNKVLNMLCCWVEDPKSPVNKLHLCRIKDYLWIAEDGMKMQGYNGSQLWDAVFAAQAILATNLVDEYGSMLQKAHDFIKNSQVRKNSSGNIQSWYRHISLGGWPFSTPDNGWPVSDCTAEALKAVVMLSQMPYDIVGEAIAPECLYDAVHLLLTLQNGNGGFSSYELKRSYAWLEVINPAETFGDIMIDYQYVECTSAVVQGLRSFTKLYPSHRRDQIEVCIDKAITFIESLQLPNGSWYGSWAICYTYGTWFGIKGLVAGGKTYETSLSIRKACAFLISKQLYSGGWGESYTSCQQKTYTNLVGNKSHITNTSWALLALLEAKQDKRNQIPLHRAAKVLIDHQMDNGDFLQQEIIGVFNKNCMISYSSYRNIFPIWALGEYLNNVIRKKSPA >cds-PLY91368.1 pep primary_assembly:Lsat_Salinas_v7:8:47391006:47395717:-1 gene:gene-LSAT_8X35241 transcript:rna-gnl|WGS:NBSK|LSAT_8X35241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEKLVKKAISSLGKGFDLTSDFRLKYCKGKDPLVFLNENESNELLVPGFGSFKGVSPDIKCDKGDRVRYQSDILDFNQMSEVFNKRCSGPGKIPNGFFNAMFAFQSGSWASDAANTKYLGLDGYFIMLFNVHLDRYPLVLSDEVRNAVPSTWDPPALARFIEKYGTHIIVGISIGGQDVILLKQNKSSNLEPSQLKNHLEDLGDEMFNGACTFPPHQLKTTNRNKTPSAFSTVFDPEPMLFNGFSSTATKNGITVMCSKRGGDLTSTTHCEWLLTVPSMPDAISFDFIPITSLLPGVPGKGFLSHAINLYLRYKPPIADLVYFLDFQAHKLWAPIHNDLSLGPTTNRAIKTPALNFNLMGPKLYVNTTQVTISKKPVTGMRLYLEGMKCNRLAIHLQHLSETPILFRDRIGEALNWRGSEDIPDPRFFEPIQWKKFSHVCTAPIKYDPNWALPRKDASFIVTGAQLHVKKHESKSILHLRLLYSRVSSSCVVQSSWTLGQTSSTSQRSGFLSALSTTLTGNPEKEITTANVVVDSGIYPNGPPVEVPSPKLLKFVDMSQVCKGPRDNPGHWLVTGAKLDLDKGKIRLLVKFSLLNIF >cds-PLY62817.1 pep primary_assembly:Lsat_Salinas_v7:4:29600391:29604438:-1 gene:gene-LSAT_4X20360 transcript:rna-gnl|WGS:NBSK|LSAT_4X20360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDRRLINKKKEEERETFVKKKNHERKKEGSSCSSYYSVSSTGEFDSDNDVEIKHDVGESSGDYKDDQRIDSYKSYDEENVERRHEILKKKNSAESYYGNEEWRKKSEKKLNVESSQQQSQVSISQHSEKANRITDQSQSRMKYERFMESSETDNKMELNSTMQNKLNTSVQNVTQKDEYRKQSNVISESSGVHQNNMKKASSSSSSSMLEARIKNQEHNSTQVSSQIEEKQERINQLTASQESRLKSQQLSRISDTQISKTENSSVSHKQSEIRNEKQELHIDTSRTADRREEKQERINQMTSLQESRLKSQQISRISDTQIAKTENSSVSHKNSNIRNEKQELHLDTSRTTDRREEKQEISRISDTQIAKTENSSVSHTQSEIRSEKQELHLDDSRTAEKVTMAEKLDLRRKKNSSSRVELMEGTSESMKTGKKTTKASSFNIGMESSTTYKALKLNPETETNLQESGAQLSQHIETINESSRIHQSDVIASADEEHKSSSHFLGEFVKNANNKLTTSQNQQEEKTHEQDLVYEDDDDKKDDNNITSGESLKKNGRSLWNVIGDVIRFRWSSETHISKASSIQSNSEAWFSGHEHEDSNDENVKTGITKSRSRSRSRSRRRTDDSPLLLLSSSSNPNQESSSKTMSPPSVIEESSFPVPAIRMRRSPVVKRSGSSDTDASGSGKMVNTTEISPASSSGKMVNTNETSPGSSSGKMVIVDGPILSTGELKQRKLARIDQVSKDRFDEWEEAYDIEIKQRKIDEFFMKEAILEAKKGADFWEVPVGAVLVHDGKIIARGYNLVEELRDSTAHAEMICIREASNNLRSWRLSGSTLYVTLEPCPMCAGAILQARIDTVVWGAPNKLLGADGSWIRLFPDMDGGNGSDKPAAPVHPFHPNMSVRRGVLSSECADVMQQFFQLRRKKKEKRTETETEPPTPPPSCLPIVTNRHHHHSKLLSKIHGAFNIMFCL >cds-PLY74668.1 pep primary_assembly:Lsat_Salinas_v7:5:176715539:176717115:1 gene:gene-LSAT_5X78200 transcript:rna-gnl|WGS:NBSK|LSAT_5X78200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSQDSRLSVGTIRRDVGSKVAAAEQIEETPLVIKSTSSGSEQRKVGPNELQGVGKNVVSESSSRPGSSSHIAPVIHEWTYDAMCHDLLEMEGNKYVHVVPRKTGDGYERKEVLLEDHDPVWLELRHSHIVDASERLHDKMTNFVSRNKAAQMHGRDGGEMSTHDLQKMVQALPQYNEQMDKLALHVDINGIIREMGLKDMGQLEQDLVFGDAGTKDIIKFLKEQDATDEQKI >cds-PLY96628.1 pep primary_assembly:Lsat_Salinas_v7:7:44864815:44867681:-1 gene:gene-LSAT_7X33021 transcript:rna-gnl|WGS:NBSK|LSAT_7X33021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFDITFLVLISSLILSFFVILKRKRNASKSINLPPGPPKLPIIGNVHQIAGALPHHAFRDLAKKYGPIMHMQLGQISAIIVSSPKLAKEVFKTNDLALASRPYALVADIVLYGSSDVALGPYGDYWRQMKKMITVELLSAKKVRSFSGFREQEVDHFIEFIRSTCGKPVILRNKVTEMINNIVCKSSFGGNCKQQDVLIEVVDELGRLVSGFYVADLFPEFGFLSVISGLKSKLTQIHNSLDKIFEEIFEERKIRRQSNKESEDDLLDVLLTIKESGGLQFPITDDNIKAVFVNMFSGGTDTSAMTIEWAMTELMRNPKVMEKAQKEVRETFKGKNKITESDLEHLVYLKYIIKETLRLHPPLPLLLPRECREHCQIDGYDIPVKTKVIVNAFACAVDPEYWDDAESFKPERFDSSSVDFMGTNFEFVPFGSGRRMCPGINFGLISIEAALAQMLYYFNWQLPSKSSPTSIDMTENDGATAVKKIPLLVTPTLYSSF >cds-PLY72384.1 pep primary_assembly:Lsat_Salinas_v7:4:147697877:147698556:1 gene:gene-LSAT_4X91621 transcript:rna-gnl|WGS:NBSK|LSAT_4X91621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSTSAAPSSTLLLPTTPRIQCTQFASVSMISGGQRFVILKAEKRSSPVSHCFKTTISCSIAQPETLKIVQATIANQLSIDESTVAPATKFADLGADSLDTVEIMMALEEKFGVSIGESGAENISTVQDAADLIEKVKTDA >cds-PLY68299.1 pep primary_assembly:Lsat_Salinas_v7:1:27438059:27440196:1 gene:gene-LSAT_1X23721 transcript:rna-gnl|WGS:NBSK|LSAT_1X23721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVPYLYISLLLLVASYLFTSTFRRKFSDLPPTVFPTLPIIGHLYLLKPPLYRTFAKLSAKYGPILFLRFGSRRVLLVSSPSAAEECFTKNDIIFANRPHMLFGKIIGNDYTSFVWAPYGDNWRNLRRIAAIEILSIHRLNEFHDVRVDEGRFLIRKLLSHSSAVDLKSVFYELTLNVMMRMISGKRYFGGDMAEVEEEGKRFRAILKETFLLSGAANVGDYLPFLSWFGVKGLQNKLIALKEQRDVFFQGLIEQLRKPKGENKKKTMIELLLSLQESDPEYYTDEMIRSFVLALLTAGTDTSAGTMEWAMSLLLNHPQVIKKAQNEIDTVIGTDRLIDESDVINLPYLHCIINETQRLKPAGPLLVPHESSEDCIVGGYKIPRGTMLLVNQWAIHHDPSIWVDPERFDPERFEGLEGTRDGFKLMPFGSGRRGCPGEGLAMRVMGLTLGLLVQCFEWERMSEKMVDMTEGLGLSMPKAKPLLAKCKPRLEMKNLLSQL >cds-PLY63836.1 pep primary_assembly:Lsat_Salinas_v7:7:102356654:102360581:-1 gene:gene-LSAT_7X67361 transcript:rna-gnl|WGS:NBSK|LSAT_7X67361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPNTSSSSPLASSSTSGSSSWFSGIVRGRKSNSSTMAIKSAVTGGGGGTVGPINRKNQFRGIMFKYGSKPVQVAFKTGDYKQQVVFIGGLTDGFLATDYLEPLAIALDKEKWSLVQFLLSSSYSGYGISSLKEDASELDQLINYLINKEDSEGVVLLGHSTGCQDIVHYMRTNAACSRAVRAAILQAPVSDREFRATLPETAGMIDLASKLIAEGRGSDLMPREANPDTPITAYRFHSLCAYMGDDDMFSSDLSDDQLKQRLGHMCNTPSQVIFSMADELCRALGGAEKVEIEWGNHSLSNRTDEAVQAIMQFLKSGGPNGWDDPWT >cds-PLY71645.1 pep primary_assembly:Lsat_Salinas_v7:9:134794514:134801627:-1 gene:gene-LSAT_9X86901 transcript:rna-gnl|WGS:NBSK|LSAT_9X86901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIVSSSLTAVSLLLSPLEKLLFYSRNFKERERTLLCEMEELISKENDLKAEISIALVNQRKKLRSETQLWLKNVENLIAEVKNIETEIIQNKRCIKECFPNYRSRYRLSKKMMKRINDMRELHAKGVFSNGLFVDSFPNPGRILPTSGLVGDKTFQSVSKVIWEVLGDVNTSKLGVHGMGGVGKTSVMMHIYNQLIDCKVFDKVIWVNVSKTLNVEKLQLDIANAINLELSEEENVIWRSTRLLEHLQGKKFVLILDDMWHHFSLEEVGIPHPTTDNGCKFVIITRLMEVCRGMETQREIKVELLSKNEAWDLFTTKSGPICEEIESIAKSVCENCGRLPLAIITVGRAMRKIEDKRLWKNALEELESSRAEISGMEEDVFARLKFSYLHLKDDHIQACFLYCALYPEDHKIKASELIEYWMAEELITEVGDREKEINKGYTVLKKLKDACLLEDIGSDHVKMHDLVRDMAIRIARESPRLITKAQMKLNRMKREWIENVEWVSLMDNNITIFPDNPNCQKLSTLLLQRNPLPEKIQDSFFMKMQCLKALDLSGTGIKSLPESVSSLCNLRALFLSFSKIKELPSLTMLKELRVLDLSHSRLRKLPHDIENLNNLRRLDLSYTEKLQTFPSGAIQKLSCLENFSMFKSNWRWSANRDGFESFDEISRLSRLTSLGLSFEDKTSFIGYVKSKQWLVLESYHLGIGQLNILLPTVEGMRSIEIQDCNLCHQDKMIELPNNIQQLALHSCHDITILSELSDTTNLENLRQCYISNCNGMEFITKADNFFPNLELLVLRKLPKLKAICNGITSSQIFTKVKSLQIHSCNRMKSLFSSHMLQDFQNLEEIEVWNSRLIEEMVEEEQSGGNISSFSTVLLPKLRRLSLSMLPELKYITRRVLICDSLETVEIWDCEVLRALPFSISYLPSSLKNIKGNINWWDQLEWDDTSCKNLLQPFFDQGAFVQSQERRECPESGAFVQSQERQECPESGASVQSQERQECPESGASVWNQERQECPESGASVWNQEGQECPRLGASVRSQEGQECPESGASVRNQEGQECSGSGASVRSKECPEFRSVRSESGASGV >cds-PLY77434.1 pep primary_assembly:Lsat_Salinas_v7:9:108124760:108126202:-1 gene:gene-LSAT_9X77840 transcript:rna-gnl|WGS:NBSK|LSAT_9X77840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLVLSPLPSYIPPLKHTTFRRICTPSAVAAPPLTTTLRRHQAVTHSMPPEKVDIFKSLESWAADSVLPLLKPVQHCWQPSEFLPVSSQPYDQFSDEVRALRDRTSELPDEYFVVLVGDMITEDALPTYQTMINTLDGVRDETGASANPWAMWTRQWTAEENRHGDLLRTYLYLSGRVDMNMIERTVQYLIGSGMDPGTENNPYLGFVYTSFQERATFVSHGNTARLAKERGDPVLARICGTIASDEKRHENAYVKIVEKLLEVDPTGAMLAIADMMRKKITMPAHLMYDGEDPSLFEHFSAVAQRLGVYTADDYADILEYLIGRWKLEKIQELTGEGRRAQEFVCGLAPRIRKLQERADERAKKMEPRGVKFSWIFNKEVMV >cds-PLY80540.1 pep primary_assembly:Lsat_Salinas_v7:3:200603468:200604274:1 gene:gene-LSAT_0X43441 transcript:rna-gnl|WGS:NBSK|LSAT_0X43441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFFNPPMTWKLLQRVSDVCQKAIKDSGLSADKIHSIESRMKTDLSFCPPPVGKDTDVIESQVKIVNDSRLSGQLQCCKLAQRDTHNHLRDVRLLPQLSSSFSPKLTKFEQ >cds-PLY90396.1 pep primary_assembly:Lsat_Salinas_v7:9:173568266:173569354:1 gene:gene-LSAT_9X107201 transcript:rna-gnl|WGS:NBSK|LSAT_9X107201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTILLLLFKTPLRELLIVGLDQLKRGRAPLIVKSVGATVFTIMIYEIYNISDTRSRPMDTVGPTDQIILAYQMLEASLIGVSIFLLLIIDRIHYYIRELRTLRNTLEAGKKQDGSKNNGAAEVKALNEEIHKLKTKITKLESESGKKGNEIKST >cds-PLY74119.1 pep primary_assembly:Lsat_Salinas_v7:9:13507363:13509891:1 gene:gene-LSAT_9X11700 transcript:rna-gnl|WGS:NBSK|LSAT_9X11700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04130) UniProtKB/TrEMBL;Acc:F4I456] MALLMEKGAEPQTESELADLDAISALKESAALELKEEGNKYVKMGKKHYSEAINCYTRAINQKALSDMETSMIFSNRAHVNLQLGNYGRALSDSEEAIKLSPTNVKAFYRAAKASLSLNKLVEARRFCVKGLDENPDNVELKKLCEVIDSQISQRQQHEAQVSKALTAAKDLVSAIEERGLKIGKAMFQELTGLKKPILDKDRILHWPVLLLYAEVMSSDFIEDFLESLALENMFSDSGAPLPWDKENAYTRDAIELYYEAGSGVGLSKKEIISNFLQGTVASHLETFGDDDETDAVKPSPSVGGEGGSKWVKVNERRTLHSVLKEDKFVIPGIPVFFAVSKRSKSFYKKFKSGNWSLPS >cds-PLY91404.1 pep primary_assembly:Lsat_Salinas_v7:3:56394396:56399696:-1 gene:gene-LSAT_3X43700 transcript:rna-gnl|WGS:NBSK|LSAT_3X43700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGQKLFKTRICVLYRKGQCHRQSCSFAHGDAELRRYNTSSSSFNGRQDYRGADLRDKIGRHRSPPHRNSLDRDARDRIPSQGHSSPRFGKKSEWSHRKRHHFDGESEYSGSFKLSDGGEQGRETKASSTEIKDDQLKHVQSEIDMLEDHKEQLQIYLEERIQEEDSLNSKIKELDMQLSIEKEECRRISSKIRKFVKANHRHSKIQDELKRQGSVSIFLSEARLERLGDQLGFDARAAANEEDISINILLSDEETLGNVLMSPKYDKDEMLVKDSYSPSKKRMRVHMVDADEKSKQGEWVGGRNMRFERHSRWDPDPHLGQKKNDEIRNVRPFVNNYDKPTRRKNTFTSFPLGDNKFKEAKSTTRMATTFVDEVDEELPLPPPPPLPLPLPIAQKQSAYLQQYKGGKDDDENNVDVVDDDEMLDVDIV >cds-PLY95699.1 pep primary_assembly:Lsat_Salinas_v7:2:117767031:117769605:-1 gene:gene-LSAT_2X53500 transcript:rna-gnl|WGS:NBSK|LSAT_2X53500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAPIVDLVKKIKKLGKEDPRRIMHSFKVALAITLVSMVYYLRPLYKGMGDAGIWAILTVVVVFEYTAGATLCKGMNRGLATLLAGALGLGAEYFARLFGPKAESIVLGCLVFLLVAAATFSRFIPHIKKRYDYGVLIFILTFSLVAVSGYRVENIMELAHQRLSTIILGGATCIIISICVCPVWAGEDLHILIVSNMEKLASFLEGFGGEIFQLPLEEETADGSNEPDKSFLGAYKSVLNSKAMEESLANFAWWEPGHGKFRFSHPWKQYLKIGVLMRQCAYHIESLNGYLDSRFQASSEFRKSVQGPCTTMSSEAGKALKELALSIKTFSYPSNSAMINIQTCQKAVDEVNSTLQASMVGEWVILEIIPIITVISILNDIIKCVETIFEAIEELSEQAHFKKSEPEKPQILHGGAVAPLGDKEHDSGFVTIIIHKIVPQSVEIEAPRG >cds-PLY96271.1 pep primary_assembly:Lsat_Salinas_v7:6:158212394:158212630:-1 gene:gene-LSAT_6X97680 transcript:rna-gnl|WGS:NBSK|LSAT_6X97680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVKGISKRVENGSCEVVLKIGKYVDQIGMKELDKKSSHGNFQHSCGSSVVELNEDVLQGGAKVGCHSFARDGSKR >cds-PLY79041.1 pep primary_assembly:Lsat_Salinas_v7:3:8336077:8336238:1 gene:gene-LSAT_3X5741 transcript:rna-gnl|WGS:NBSK|LSAT_3X5741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVATVVLSVASVLAVAVVTVKGGGRSDIGDGNSIVDGGHTGGDGGYGRWWWW >cds-PLY91625.1 pep primary_assembly:Lsat_Salinas_v7:8:11464042:11468158:1 gene:gene-LSAT_8X7760 transcript:rna-gnl|WGS:NBSK|LSAT_8X7760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVQMKRIENATSRQVTFSKRRHGLLKKACELSVLCDAEVALIIFSQKGELYEFSSSNIKKTIQKYHEHAKANESCNTETEPHNQLKHDTITIQNKIDQIEVSQRKLLGQSLGSCSVDELMKLDSKVEHSLTIVRERKTQLFKDQLAKLKEKERLLLEENARLCQRNISLCEKTYTFTRRQSIQNSEVGTELFIGPRLPQNSQE >cds-PLY85413.1 pep primary_assembly:Lsat_Salinas_v7:1:205267054:205270267:-1 gene:gene-LSAT_1X128461 transcript:rna-gnl|WGS:NBSK|LSAT_1X128461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT2G33820) TAIR;Acc:AT2G33820] MGENSGYKHYVAGLVSGVSMVIVGHPFDTVKVKLQKHNTDANGFKYRSGFHCTTRILKTEGIKGLYRGATPSFVGMAFESSLLFGIYSQTKQALQGGDHNRKPESHIIIPSAAFAGSIISFILCPSELVKCRMQIQGTDSLVPTSRKYSGPLNCAIQTVKSEGVSGLFRGGVTTLMREAIGNAVFFSTYEYLRHSMHLRLKDSLIDHTNLMDVGIGIISGGLSGIAFWSAVLPLDVAKTIIQTTPDKNLTKNPFVLLRSIYRRSGVRGCYTGLGPTVSRAFPANAAAIVTFEAASKLLGITRD >cds-PLY82543.1 pep primary_assembly:Lsat_Salinas_v7:2:184999559:185003402:-1 gene:gene-LSAT_2X108401 transcript:rna-gnl|WGS:NBSK|LSAT_2X108401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMSQIIICLKVGLLRLQLEGSLSIYSEKDLCKNVRKLVHNTYAFVLNGIRSTNYRVIWQSLTNTFQMDMERAETMRKRMRSVEEKESPDVVNGAIVEVAEEDTETNPPVSEHMEVSMNQILEKIDQFTQQVSELLESGKSFLRELTTEFEERMIAIHKEQMEKWQEEIKELRLLDASNEEINALLQHAQSDCTSSRELMISSFHELEGIK >cds-PLY81444.1 pep primary_assembly:Lsat_Salinas_v7:5:334908568:334911301:-1 gene:gene-LSAT_5X188201 transcript:rna-gnl|WGS:NBSK|LSAT_5X188201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-U3-1 [Source:Projected from Arabidopsis thaliana (AT3G63120) UniProtKB/Swiss-Prot;Acc:Q8LB60] MASIIIHPTKILGPLGVAAMDAPRTSSLCVSMGSLGFENDIPGPKTYRNLGLKETYKQNPGNPKVLSLLSSLLERSIIKNEALLEATQTKDVVTAFHGSRAPTLSIKEYIDRIFKYSSCSPSCFVVAQVYIDRFIHCGNVNLTSLSVHRLLITSVMLAAKFIDDAFYNNAYYAKVGGVTTAELNRLEMKFLFGLDFRLHVSVGTFGKYCSELEKEKEVLQVERLPRVIHAACGLKENWSTTDNDSGSYATAEIHIV >cds-PLY89252.1 pep primary_assembly:Lsat_Salinas_v7:5:311473921:311477092:1 gene:gene-LSAT_5X169401 transcript:rna-gnl|WGS:NBSK|LSAT_5X169401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMEPRHDEFSDYINSFSLDDESILPIFGQSPGLANGYRFREEPLDLSFLEAPNREPNSGPYTSDSSSRMSTQVDSPDDFSDCVVRYINQILVEEDMEAKQSMFHDPLALQATEESFYEALVEKYPPSNVEIPEENLFSSASDYSTNSSNSNDSQWPGGDSLEAKSSSFPQTHSLEYPLLSSFGSTTSVTNDGFSTLNSMVNTHIIENIFTDNESILQFNRGMEEASKFLPPSKPILIDLDKYNLPSNPRQSPTKVVVKEENVETKGNSFSGFRGRKKYHREDTSYEEERSSKQSAVFEEEVELCDMFDRIVSCTYNECSSTSVSEEPPKPVVNKKLQQNGHAHRYTSGWIDRSWGGSSGEPTDVRTLLVNCAQSVATDDYITANEQLNQIRQHASPSGDAPQRLAHIFANGLEARLAGIGSHLYMSKSTIKISASEKLKAYQSYISSCPFKKIAIFFAGKMIYDAASTHSTLHIVDFGIAYGCQWPILIKHLSERPGGPPKLRFTGIDLPDPGFRPSERVEETGRRLANYCARFNVPFEYNAIAIQNWELIKIEDLKLQRNECLAVNNLISFKNLHDDSVMESNPRDGVLKLIRDMKPDMFVQTVVNGSYSSPFFVTRFKEALFHFSSMFDMFDATLDRDDEERLNFEREFCGREALNVIACEGGERVERPETYKQWQARNLRAGFKIKPLERAFVSKLRGKVKAGYHKDFVFDDDGKWVLQGWKGRILRATSCWVPA >cds-PLY83266.1 pep primary_assembly:Lsat_Salinas_v7:4:146131941:146132501:1 gene:gene-LSAT_4X89240 transcript:rna-gnl|WGS:NBSK|LSAT_4X89240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGASESTERLNGTENKGKEELRQESARGSTSTGVATTIAVAAAGVAVAAWGIASLLSDNTDSQKSAAKMMKAPGRSTMMPRDKFEDNPKAYFSDLRGKR >cds-PLY80368.1 pep primary_assembly:Lsat_Salinas_v7:7:153494122:153494942:-1 gene:gene-LSAT_7X90221 transcript:rna-gnl|WGS:NBSK|LSAT_7X90221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSVAAYAILLLLFVLAISEISTVKGKLCEKVSQTWSGKCDSKKCDKKCIEWEKAVHGACHKREGKGGCFCYFDCAKKPPKDAKPVPPDAVPPPPKDGSPPKDSPPADGGGSPPPADGGGSPPPADGGGAPPAPSRH >cds-PLY75746.1 pep primary_assembly:Lsat_Salinas_v7:4:334122898:334123963:-1 gene:gene-LSAT_4X165800 transcript:rna-gnl|WGS:NBSK|LSAT_4X165800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFICCHLPEKLRNVQEENYTEDKSVSLSTANLLSRVDNCTSEKGGSGNDEEEEIPDIWRSSAKRIGDWVALVGPHHDLPTNMTYNQVEEDILNFSESLRVIGIKPCEKVALFADNSCRCLVADQGPGDRFLSMLPPWHAYKCACEYSIRSLEIVNIPFM >cds-PLY62857.1 pep primary_assembly:Lsat_Salinas_v7:9:130737312:130738745:-1 gene:gene-LSAT_9X85121 transcript:rna-gnl|WGS:NBSK|LSAT_9X85121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVFSNNKIPLLFLVLLATLTTLAQGQGTRIGFYQTSCPRVEAIVQSAVAAADRANAGVPPGLVRMFFHDCFVNGCDASILLNGPSTERTAPPNSLLRGFEIIDAAKTELERVCPGVVSCADILAIAARDSVLLAGGIARWAVPLGRRDGLVSRAADTANLPAFNDAVDVQIRKFAEKGLNTQDLVTLSGAHTIGTAACALFSYRLYNFNNTNGPDPSINQAFLPTLRNLCPNGGDGSRRVALDTGSQNRFDNSYYANLRNRRGVLESDQVLWSNPTTQRLVQRFLGVRGLLGLTFNVEFARSMVRMGNIEVKTGTQGEIRRVCSAIN >cds-PLY78881.1 pep primary_assembly:Lsat_Salinas_v7:5:304626713:304627282:1 gene:gene-LSAT_5X165360 transcript:rna-gnl|WGS:NBSK|LSAT_5X165360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRNGRVSAVKEFLLLQEQFGFLPEHGVKISAKGTSIYDSPRGKVGVHVPLFEAGLRLPMSDFLDMIVYDYIFSVDELTPSVVNKIVGFELIRQSPVCIPTCWVFSYFFCASTNSGVCTLAKRRGIRQLISKQDNPKKNWQRQWLWVNRNLVGHGFRKTHDFPNRLPKLFGDNLVLGKQLGNIIVVGEN >cds-PLY96657.1 pep primary_assembly:Lsat_Salinas_v7:7:46569601:46571867:-1 gene:gene-LSAT_7X35981 transcript:rna-gnl|WGS:NBSK|LSAT_7X35981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTEMSENEDVRIEMLSNQSEMTDNINRNYAREWEFCIHYNETIQLSERKQQIEKVPPLLLKGEKGGRNRQCYEPAVVSLGPYHHKRDDLAAAEKYKQITLEEYSLSCKKTVDSLYDKVFEVVQEARKCYVDGSTDEYNDKQFNEMMLRDGCFVLYFIDCIASRPNTMLMLNNEYLGALGFMHVARDILLLENQIPFRVLRVLLDLRFPKDRGERILNEFFNYLNYGEITTRKEKVLENKKQPLHLLELYRSYFISLSSYFDAHANANAKSNYVKRNRSFASVTELKAKGIFLKRINVNDESSKEDIKFHSHCCYGELELVRRAVYSNSKAIYLNMIAYELCPHNPNDLRVSTYIRVMKSLVVHRDDVKELRDNKILLHSLGRDEDVVKMYDEIETLAVNVHMFNQIRRGIEKHCNNKYKTWAAELINVYFCSPWKTVALLVATAILFTNFLQTYFAIRPPPDESHGDMLELLRHCVHSKPSSAPT >cds-PLY88907.1 pep primary_assembly:Lsat_Salinas_v7:4:64590480:64592681:1 gene:gene-LSAT_4X45300 transcript:rna-gnl|WGS:NBSK|LSAT_4X45300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTRSMTRNQNHDDVASSSSRKRRARNGGVAPWSDLDHNLLFLVMMQLGLIDFLAFGGVCKSWRSLALNNKKIFMASRPPMSMRISNRPYKKDCYLEDFEGRRFKTILPHAAGRICFGISCGYLILFRRKTKNKDFWLVNPITRHELHFPCFRFNVGSDPTIIRCILVFSPSVSRWVFLVLRSFANQVWFSIEGTQEWNGVSSTFPMIDLHAFKGKIYTIHMGCRVYEMRLNPYPKLTLLQTMNFPKPVFVCPEFLSSSENLYVTDCRFKDSYDVYQLDFEEMKWVSSGKTLEECAFFINGFKYSAAFRSEVWVDHWLLYERCACFFATVDTGRNYRLLISGIWYFPNDCLNKNRLDE >cds-PLY66753.1 pep primary_assembly:Lsat_Salinas_v7:8:72441151:72446242:1 gene:gene-LSAT_8X52301 transcript:rna-gnl|WGS:NBSK|LSAT_8X52301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATHKLFTLKSYFNFMDEPVTADLVQRTMKKICRFLWVLVCDFVLFLSGHLIKHLARSRIDNDHENNLDTTVVFNELEEPTARSSRGSNFIGFDEDYKSEFTFGFQFQMKEEDFMSYKGVTEAKSRNTGEAFMENELKTMTNTSKYQFVPVHNFSEFVAAPESASFTVHESVMEDDFIIKEKGISKKDFSDLEVEQEPKIKDEQKGWNETSNLPSNEKVDDFIEPEVSSTQIHQSADLPNPDSINSEEKSKESVKLHVKDQLNSGKNGVSEPEKVNWLGDFFMRNDSFSYGVQLSQEHESDSLDSHLESYDSSNEFISISPQDVEIINEKESESLSPEEIDDDYIEFEPNLKDHENIQQRDLDSNAKHESDAFTKKMESQTEVMKTWELDLEEEEDEADVLLEHQELIGQMKMELKNARTGGLPTILEESETPRMKEDLKPLKMDEKLEHRDLMAEIQKFYKSYNEKMRKLDVLNYQTLQAINFLRMKHPDQMNTGENNTSLSALKSVLLPSLWPCKLRRIYADPTLKSITELNKDLEIVYVGQACLSWEILHWQYKKSKELQLYDPQGYRSYNQVASEFQQFYVLLRRFTEDELFQGPRVLNYTKQRCILKGLLQVPAIRDDNLKEKKARKEDKEDIVSISTMATMIKESMTVFWEFLHTDKDTTNLFLTIILQGTKAHLQDPNDSTLFMEIKTNHQKKERRLKDMLRTGNCIVKKFQKHQETILDQHMFVSQVELRLVSRVLSLPRLSRDQLVWCQSKLNNIKFVDRKVEVEDSLFLLFPC >cds-PLY88819.1 pep primary_assembly:Lsat_Salinas_v7:4:93062741:93063335:1 gene:gene-LSAT_4X61020 transcript:rna-gnl|WGS:NBSK|LSAT_4X61020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAVKARRSRPREQKLAVSENYPILTPIDEIHKAQNKAHIFAEQDIMVRKQKKKETHSSLLSMTLLASSYRNISTDSDVEIISKSLQKSEEWLYVDGDDECTQVYIGKLEHLEKVLKFLDPTENRYMDEKVRKEATRALQTCI >cds-PLY81280.1 pep primary_assembly:Lsat_Salinas_v7:2:27556981:27558093:1 gene:gene-LSAT_2X12660 transcript:rna-gnl|WGS:NBSK|LSAT_2X12660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCVCKSWNALLSESSFIKSHLHHSIHHNDEILLFFSRVFSFYHSPFIHPSPLTPHPFPFTAHPSRSPNLKLKPSNFFKLPDKPKSEYSHGNVIGSINGLICFNYGPFSLPSRDYAIYVWNPSLSALLTLPPCPLPSGDSKTKTNFRFGYDPNADDYKVVKLTSLVPQVAVYSMRKGSWDLITQRFPLNMRIIKRKPEVCVDGYNGRLHWLCYTKFKRKLERIVAFDLGEETFSVISLPDSILQHDQNRWNVFGVLAGKLCVMSRVRDGDCEVWVMDDYGVAESWVKLHAFSQFDGAIYPYGFTLHNEFLFQVDIDRFALYAPIVAKPKNFENPCPLNVVDKVVHYVESLVWVVPAESEGRCYNISQFPF >cds-PLY71964.1 pep primary_assembly:Lsat_Salinas_v7:3:23553682:23558700:-1 gene:gene-LSAT_3X17481 transcript:rna-gnl|WGS:NBSK|LSAT_3X17481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYETCYSWIGKSGVESWKHSSKYMGADYSTALIFHPRMELLSLSWSGKIMKQWKVMVGLEINGDEMELRTISTKQTSLSFIKKFAFIVLFVYNILWEFICNENLIHHG >cds-PLY84656.1 pep primary_assembly:Lsat_Salinas_v7:5:77046353:77047027:-1 gene:gene-LSAT_5X35321 transcript:rna-gnl|WGS:NBSK|LSAT_5X35321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRMYNIVARYAEISGSVKSLWPSKFELYFECDKCGHGMELPIKWDDKEYKLRTEKLSCAKCVCGKWIKIKKVPEVVSEDDFVKGYFKESDLPTDVCATIQVVGGFELRADLFVGVGQGIVWKTHLNDKTEPEEFRTNVNGDWVKMKQNEESEAYAFDLKLHIVQLLV >cds-PLY79391.1 pep primary_assembly:Lsat_Salinas_v7:5:125065572:125070045:1 gene:gene-LSAT_5X54380 transcript:rna-gnl|WGS:NBSK|LSAT_5X54380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIPLLIDPLSSSLLCSTTTCVPATAFFHRSCLTSSPLVLAARLSSPFRLTDARRPSLVVAATTTLMSNFVQVVPEVTKLLVAQFMWLDYDNPPKPIYLYINSSGTQNDKMETVGSETEAYAISDIMAITNTNFFQTTEDLEFNWVIEGDGCKLHSGTLSLPTLEFNWVIEGDFGS >cds-PLY87872.1 pep primary_assembly:Lsat_Salinas_v7:3:44434418:44437095:1 gene:gene-LSAT_0X9380 transcript:rna-gnl|WGS:NBSK|LSAT_0X9380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWVPLIRLVFRRNLVKCDYTIRFNSVSSLAKLPFSDSDVSDSGSDENSSFSHKSSSSNSFDHSRIVFYRYRFTKILAHLQLKPKTALFLITHLKECGFKHDVVTYMAVIRFFCYWGMMKRLNCLFLELIDDKIGAFGFEISDLFEELLEEMNVDGWNLFGRAVDALVTAFCEVRKFDEAFNVLLKIQSGFLPSVYTCNFLMKLLVEEGKVDKAMAIYEHLKKKGFCPNAYTYGIVIKGLCRKGCLEEARDVFEDIKETGLENTFTFGSYIDGLCSNGYSTLAFDLLKGSDSPIDVFAYTSVIRGFVKELKLEDAESVFLHMKNLAIVPNVYCYGALIQGYYRKLDIFKALDLYNEMCSKGIKTNCVIVSLIMQCLCHIGMSSEAVNEFMYAMESGVFLDEISFNIAIDALCRLRKMEEAMELLEVMKRRKMKVDVKHYTTLIKGYCLQSDLLNALNIFIEMNQNGLKPDTITFNMLLDGFSKCGLFKETMSLYDDMLAQGLKPTRATNNAIIERLSQCGKVKEAGLFFNNLERKSLNDYVAMMNAYCDTNNAIEAYKLFLTLSNQEKEVFLASKASCCQKLLSCLCEKDETDKALIFFKALLKSENGPSKIMYSKLKSAYCRGGDMKMARWVFDKMIARGIRPDVISYTIMLDGYCRVNCLKEAKDLFNDMINHGIQPDVITYTVLFQGDFKSGRKERVLTRDLTPVQEFAPDVILFRVLMDDYCKSGKLEGAVGLFNEMIERGVRPDTVIYTCLMRGFCSQGFVEEAEMLYGRMVSEGVPPDSSTMALIKMMKSKKM >cds-PLY66739.1 pep primary_assembly:Lsat_Salinas_v7:1:167878459:167881363:-1 gene:gene-LSAT_1X112581 transcript:rna-gnl|WGS:NBSK|LSAT_1X112581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR3 [Source:Projected from Arabidopsis thaliana (AT1G04190) UniProtKB/TrEMBL;Acc:A0A178WLS7] MAEPKPDVSLKDQGNEFFKAGNYLKAAAIYTQAIKKDPSNPTLYSNRAAAFLNLVKLQKALTDAETTISLNPSWEKGYFRKGCVLEAMERYDDALEAFRIASQHNPQSTEVSRKIKRLTQLSKDKKRSEEVDNMRSNVDLAKHLDSFKSELAQKYVVGESWKDIFSFVVETMENAVKSWHQTSNVDARVYFLLDKEKTDTEKYAPVVNIDKAFETPHTHADCYSFLRQYATDSFSQAACLIAPKTIISYPQVWKGQGPRKWKHGQSDGFFVQFESPLLRKEWFISSSSEKGQILCKDPVALDISAHEVLPRIFRDT >cds-PLY86306.1 pep primary_assembly:Lsat_Salinas_v7:8:57456079:57456751:1 gene:gene-LSAT_8X42141 transcript:rna-gnl|WGS:NBSK|LSAT_8X42141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFQSASPVFTNVFAALVAVINTKFLEFGDRLLKRIFLQLQRAYKRNDKVVHELIALELLTILLENPTDDSVGVAVGFVTECGSILWDLSPKAFHGELIYCRFVLTFLSSKTTTTLSIYLIPSFHLMLFCCYPSILEPFRGILHEGEIDKRVQFLIEGLFALRTCHPAIRPELDLV >cds-PLY62655.1 pep primary_assembly:Lsat_Salinas_v7:1:35021342:35026067:1 gene:gene-LSAT_1X29960 transcript:rna-gnl|WGS:NBSK|LSAT_1X29960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAATSGWLRGRVKAVPSGDSLLIMGFTKAEIPPEKTIVLAHLSAPRLARRGGTDDPFAWESREFLRKLCVGKDVVFKTEYTIPNFSREFCSLFIGTTNIAKEVVTHGWAKVKEAKGEVTPEHAELLRLEEQARQQGVGLWNRSPGATAAAIRNLPPSAVGDPSNLDAMGLLASNKGRPMEAIVEQVRDGSSLRVYLLPEFQFVQVFVAGIQAPSMGRRTTVEPATITEMPSEELNGDSNSEIRGSLTSAQRVTVSSTYNEVAPDPYGREAKHFTEIRVLNRDVRIVLEGVDKFSNLIGSVYYPDGESAKDLALELIENGFAKYVEWSASMMEDEARRKLKAAELQAKKNKIRLWTNYIPPATNSKAISDNFSGKVVEVVSGDCIIVADDSSPFGSPSAERRVNLSSIRCPKLGNPRRDEKPAPYAREAREFLRTRLIGNQVKVSMEYSRKVPTADGSAAAAAPTGPTDTRVMGFGSVFLLSQGKDGLDISQQPGVNVAELVIARGFGSVIRHRDFEERSNHYENLLAAESRATSGRKGIHSSKDPPVMHVTDLLTASAKKAKDFLPFLQRNRRMSAVVEYVLSGHRFKLFVPKETCSIAFSFSGVRCPGREEPYSNEAISFMRRKIMQRDVEIEVETVDRTGTFLGSLWDSKSNVAITLLEAGLARLQTSFGSDRIPDAHLLAQAEQFAKKQKFKIWENYVEGVEVTNGQTQDKKQKEEFKAVVTEVLGGGKFYVQAVSDQKVAAIQNQLASLTLKEAPVIGSFNPKKGDIVLAQFTADNSWNRAMVVNTPRGAVESIKDKFEVFYMDYGNQEIVTYNQLRPLDQSVSSAPGMAQLCCLAYLKVPTLEEDYGHEAAMLLSDSTLNGPKEFRAVIEERDVSGGKVKGQGTGNILMVTLIDEEFGESVNAIMLKEGVARLEKRRRWEPKEKQEILDELEKCQAEASKTRLGIWEYGDIQSDDDENQNPLPSSVKKPGGGKR >cds-PLY73079.1 pep primary_assembly:Lsat_Salinas_v7:6:92963617:92964567:-1 gene:gene-LSAT_6X61920 transcript:rna-gnl|WGS:NBSK|LSAT_6X61920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLPLESGFEAILTFKVPKGRPGKAAPFRYPSGSFMESDFLMGVSNFPLSLAKLTDFSIGYTLHLSPVFVNAIDGPAIRVEELDLVTKMDLHVKDERYSDVG >cds-PLY74657.1 pep primary_assembly:Lsat_Salinas_v7:5:176810095:176810584:1 gene:gene-LSAT_5X78700 transcript:rna-gnl|WGS:NBSK|LSAT_5X78700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFHGVKMIWKMHDDENDYQGHDHDHQPISKGYAYDDTIDGDENWGYNHGVDASMDGDDDDDDDDSGIAPAA >cds-PLY99329.1 pep primary_assembly:Lsat_Salinas_v7:1:69566583:69566919:-1 gene:gene-LSAT_1X59840 transcript:rna-gnl|WGS:NBSK|LSAT_1X59840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLFNIYGFATVLLLVTTSIATTSTSDGKKETKMVVQLCDVNLTWTTGCWKEKCNNYCHFWRKKYSWGTCSDAQTCKCQWICS >cds-PLY65837.1 pep primary_assembly:Lsat_Salinas_v7:5:97632431:97634531:1 gene:gene-LSAT_5X47301 transcript:rna-gnl|WGS:NBSK|LSAT_5X47301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELSPTYVLSNSIAEEIHKERAVVIAIVDLKVLEGVVCGVEPTTALPSLPSSDVPVPSKILYVHTPPSSPLLPGNGFDFLFKGFKFCYQLDVLMHNCAGIY >cds-PLY96655.1 pep primary_assembly:Lsat_Salinas_v7:7:45118197:45120585:1 gene:gene-LSAT_7X33441 transcript:rna-gnl|WGS:NBSK|LSAT_7X33441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHTRLKIPILSTITTTAIKFSNSSLLHGYRRHICHCSSPVSISQNQQRQPQIRSPQLVALEYADLSIPYIVCEELGATRVRQHVNPLSSTLSIPVEVPNWNDVFEDPKLPLVVDVGSGSGRFLMWLAKRNHGSKNYMGLEIRKKLVTRAEKWAKELSLSNIHFVFANATISFKELISTYPGPLMFVSILCPDPHFKKRHHKRRIVQKPLVEAITDSLMPGGQVFIQSDVLEVAVDMRNYFDAESAKVVFESDLACDNEGWLLSNPMGIRTEREIHAEFEGAKIYRRIYRKLS >cds-PLY76324.1 pep primary_assembly:Lsat_Salinas_v7:5:296103308:296105056:-1 gene:gene-LSAT_5X158400 transcript:rna-gnl|WGS:NBSK|LSAT_5X158400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSPSLFSPTYGWPLEDLFAQNLQHDFHDISREVETNSYHSLLDIRRDDQNQYDFAPENSISSQGGVNCSIRDPMKVTKKLNHNANERDRRQKINNLYAFLRSVLPMSSDQKKKVSIPGTVSCALKYLPKLQTEVEALRRKKDKLLSCSSSTNLIRGDHLQIKNQCPKEATIQKICSVVVSVCVLDEKEVVIQLISSNVHMNKNTGIAFLSMVLEYLEEEEDGIFMLNATTSKCLGEERFLNTLYLQVQSDLQIEAEKLKEKICSLYQQSREVLL >cds-PLY73463.1 pep primary_assembly:Lsat_Salinas_v7:4:179778953:179779126:1 gene:gene-LSAT_4X106601 transcript:rna-gnl|WGS:NBSK|LSAT_4X106601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKNTISEILAVVETSTLVVVVVKSSSLVVVVVQQPMTVMMFXPPADDSDDVHQIGG >cds-PLY93352.1 pep primary_assembly:Lsat_Salinas_v7:9:64437170:64438044:-1 gene:gene-LSAT_9X55940 transcript:rna-gnl|WGS:NBSK|LSAT_9X55940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVVLKVLTMTDDKTKQKAIEAAADIYGVDSIAADLKDQKLTVIGEMDTVAVVKKLKKVGKVDIISVGPAKEPEKKEEKKEEKKEEKKEEKKEEKKEEVKEEKK >cds-PLY80455.1 pep primary_assembly:Lsat_Salinas_v7:4:175878091:175880177:1 gene:gene-LSAT_4X103480 transcript:rna-gnl|WGS:NBSK|LSAT_4X103480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDSGSVKATGRNLPSWMSSRDTDKKSESKEPSEDDKGKRQGKPQASQATTSSESSLGSSKSSKLLEGVVFVLSGFVNPERSTLRSQALEMGAKYEADWNNNCTLLVCAFPNTPKFRQVEADNGTIISKDWITECYNQKKLVGIEPYLLHAGKPWRHQSSSSSTKSSSKKVDKSTDSKPQASTLSKKQTTHKNVKNEFSTSEVKKWAIDDVKRTISWLESQDEKPDPSEIKKVAAEGILTCLQDALDSLKQGQGLGKIMEEWSIVPRVIEELNKLDISGDNSKKDIYHQAIVCKKIYEFEVGNLENETKDNKNKRPKIEKGVKKENPKSDAAAAYDSDDTIEMTEDEVKEAFDNIVNDNHFAHRS >cds-PLY74837.1 pep primary_assembly:Lsat_Salinas_v7:8:107759074:107761085:1 gene:gene-LSAT_8X73821 transcript:rna-gnl|WGS:NBSK|LSAT_8X73821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSTRESPMLEIKVQQRRDNTPPPGSSSVTNQPSRPRHPSPSPEFKPYKDWYPWLVPTIVFTNVVLFLVSMFINNCPAHSNKCIAPGFLKRFAFENMKINPLLGPSAATLLKLGALEFKKTVEEREEWRIVTCMWLHAGVFHVLANMMGLVFIGSRLEQEFGFLKIGVIYELSGIGGSLLSSLFVRTSISVGASGALFGLLGGMLSELLTNWTIYANKSAALSTMIIIILINVAVGILPHVDNYAHIGGFFTGFFLGFIVLIRPQFKWTNQKHVPPGYIAPTTKSKYKSYQYILLIISLIVLLVGFTVGLVLLFNGVDGNDYCSWCHYLTCIPTPLWTCEVRCKLEKLDKQINMTCLHNGKFDSFMLEDGNDITEMQTLCLELCSQMS >cds-PLY99085.1 pep primary_assembly:Lsat_Salinas_v7:8:264507579:264510045:1 gene:gene-LSAT_8X73981 transcript:rna-gnl|WGS:NBSK|LSAT_8X73981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTERREETIFTDPEFEEYKYTSYKELQEGRVNVKFSEKILKCPYCPESREYTYMEDLCKHASRIAKESRSSGLKEKSKHMGLEEFLEREFDTKIKDLESTSKSDMSRHTNREEPVVWPWMCVVANIPVQYKNGRYTGDSGKRLKDEWINQGYNPKKVHPLWSWKGHSGFAVVEFGKEWSGFGYAMMFVKAFEVNKHGRKDWYNGTSRNDTNLYAWIARDEDYNSNGLVGDYLRKHGDLKTVSEVEKEDEVKNSKLLMGLKTMLEEKNKRSEEIQTEISKTDSHMYFVMKQKEVMIENFNVMIENYNREHKTMQEKVNEQLKKISIEHEQSKLQLEEHEKELRAREARNESEQKKLDNEKKMNEMAILEQKKADERMLKLADDQKREKEKLHQKIIDLQKKLDDKQRLELEIKQMEGAMEVMRHMTHEDVEAKKKFESIKEDLKEKEEEYEGLEELNQALIIKERLSNDELQDARKELISGMNEICGGSGRAHIGIKRMGELDAKPFIIAAKKRSLSEKEAEDAVKFAAMWEDHLRDPNWHPFKVITIGDECKEIVNEEDDKISMLKSECDEDVYNAVVSSLKELNQYNPSGRYPLPELWNKKDNRKATLKEGVEFLLKQWKIHKQKKRG >cds-PLY71729.1 pep primary_assembly:Lsat_Salinas_v7:3:45936967:45940035:-1 gene:gene-LSAT_3X35260 transcript:rna-gnl|WGS:NBSK|LSAT_3X35260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSVIIYITVALLLLLLISHSPNKSNHRNNHRRHRRLKLRSNFSFTPTIATTTDHHVSFDPLVADIERKREDKLWEKSHFFGDHHHEAETEPEADDAPGMESQPEWEDFIDAEDYLNDEHKFNITHRLVLLFPRIDVDPSDGFVTEHELTEWNLEQSQREVLHRSQREMELHDKNHDGLVSFAEYQPPTWVRDSDNNSFGYDMGWWKEEHFNASDADGDGFLNITEFNDFQHPADTQNPRLLQWLCKEEVRERDTDKDGKVNFKEFFHGLFDLVRNYDEDGHNSSHESSDSLESPAKTFFAQLDKDGDGYLSDVELLPIIGKLHPSERYYAKQQADYIISQADTDKDGRLSLTEMIDSPYVFYSAIFNEDEDEDYEYHDEFR >cds-PLY84542.1 pep primary_assembly:Lsat_Salinas_v7:1:29727906:29728609:1 gene:gene-LSAT_1X25320 transcript:rna-gnl|WGS:NBSK|LSAT_1X25320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWATLNHGGRTVFLEEDKSWIQQIQSKFPTLESYHVVYDTKVARAAELMEIGKREECNVVGDPRVSGCELAMKGNLPEEVYEVEWDLIMVDAPTGYHDEAPGRMKAIYTAGLMARNREEGETDVFVHDVNRVVEDEFSKVFLCEGYLSEEEGRLRRFTIPSHRTRSGRPFCPL >cds-PLY89544.1 pep primary_assembly:Lsat_Salinas_v7:4:158382800:158383735:1 gene:gene-LSAT_4X95300 transcript:rna-gnl|WGS:NBSK|LSAT_4X95300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQSATSASSSSNIQPPPPQPPKESLGRRYKFIWPLLLAVNFSIGAYLFTRTTKEEKTEGEAPATAVTSTAISTASVPPPAAPKPVKPIPIDEQIELFKWILEEKRKVKTKDPQEKKRIDEEKAILKQFIRSKSIPTL >cds-PLY92722.1 pep primary_assembly:Lsat_Salinas_v7:7:4714463:4725974:-1 gene:gene-LSAT_7X4220 transcript:rna-gnl|WGS:NBSK|LSAT_7X4220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent helicase BRM [Source:Projected from Arabidopsis thaliana (AT2G46020) UniProtKB/Swiss-Prot;Acc:Q6EVK6] MQSGGGVQHGGGGHGRNMVPGPPSSSASPSSSSSAVSTPQLGFDPMQQQQQQQQQRQSLQQQLLRNPEGREAMLAYQSGNLQGVLGGANSIQMQQGQNRNPGFDQHMMNPVHQAYAQYAFQNQQKMRMAGVGPSKDQPQTSLSKKPTDEGHLAVSDQTQNQKQFAVPTSGSQLMQGNSIRPMMAPQQGTQNMTMAAQMQAMQALALERNIDLSLPQNAHFVAQLIPLMQAKMQKANESNMGLQNKSSGDVSGSTKPRQQTMPPGHLGSTSNPLLVNNANPGQGQQLPLRQGSGVLSSMQPPQSSMGMNQSMLTGQQMNRSSPQPTASSNSAGPPNAQKGQVSQRGPHSGFTKQQLHVLKAQILAFRRIKKGDKTLPNELLQAISPPPLEAQTQQSASPGQTVNVKSQPDDQTGHLESNEKDLQAGANNVKREAHEENVTTVNAQGTSMQKETPPVLPPKQEHEVEPVIQKTLPPTSDSPMDKGKSVISDPVVVPDNVQGKRPLQAGPPNPPQPKDSGPKKYHGPLFDFPFFTRKHDAFGSSMMLNNNNNLTLAYDLKDLISQEGVEVVNKKRNESIEKINDLLTVNLERRRIRPDLVLRLQIEEKKLKLQDLQKRVREGVDKEQQEIMSMPDRPYRKFIRLCERQRNDMNRQVNAAQRAIREKQLKLIFQWRKKLLESHWAIRDARTQRNRGIAKYHEKMLREFSKRKDDDRSKRMEALKNNDVERYREILLEQQTSMPGEAAERYEVLSSFLSQTEDYLQKLGSKITAAKNQQEVAEVANAASAAARAQGLSEEEVRAAASCAGEEVMIRNRFSEMNAPQDGSSVSKYYTLAHAVNEKVIRQPSMLRCGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKSNYGPHLIIVPNAVLVNWKSELHSWLPNVSCIYYVGNKDQRSKLFSQEVCAMKFNVLVTTYEFIMYDRSKLSRVDWKYIIIDEAQRMKDRESVLARDLDKYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQKEAVTAEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKISIILRCKMSAIQGAVYDWIKSTGTIRVDPEDEKRKAQKSPMYQPKTYKPLNNRCMELRKACNHPLLNYPYFNDFSKDFLVRSCGKLFILDRILIKLQSTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLDDRESAIVDFNSPDTDCFIFLLSIRAAGRGLNLQTADTVIIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKVSSHEKEDNFRVSGIVDSDDDLAGKDRYIGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRSTLETLLRDEERYQETVHDVPSLQEVNRMIARSEEEVELFDQMDEEFDWSDEMTRYDQVPKWLRAGTREVNATIARLSKKPSKNMIYPENIEPVMDVTQRRGRYKDKKFPNYAELDDDIEEFSEATSEGEAEGEDDENENENETPVAVKSQSEEDIPATGNRYEYPRVPNAAKLLEARSSGSSSDSRKVMPIATPSISSQKFGSLSALDARPGSRSKRMRDDLEEGEIAFYGDSHMELQQSGEDEQVLQPNIKRKRSIRLRPRNATTERFEEKPTLLPTSNSRLPFQPERERTKLHVKTEPPEQKPVAIPQTKRTPPPPTRKNSNNNKAPVRPTRGNSISAPLKEETKESLDAKVGPSLGGNKMSEAIQRRCKNVITKIQRRIDKEGQQIIPLLTDLWKRTESHGNSLLDLYKIELRVDRLEYNSVPELISDVQVMLKSGMQYFGFSHEVRSEARKVHDLFFDILKIAFPDTDFREARSALTFSGSLATSASASASSPRGIPPFGQPKRQKQILDVDPQPTPVPRALSRGPGSMTQRDTRFGKDSIDGQDEPRLTHPGELVICKKKRKDRAVKPGNRSGGGSVSPTGIGREIRSPGQTRLSQSQSQQGWSNNQSPGTGSGVGWANPVKRMRTDAGKRRPSHM >cds-PLY94223.1 pep primary_assembly:Lsat_Salinas_v7:8:230513124:230515154:-1 gene:gene-LSAT_8X139740 transcript:rna-gnl|WGS:NBSK|LSAT_8X139740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIMSQYRWVASWCAVAMAMAVPWKMLEWVWFKPKRLEKCLRQQGLTGTSYKLLFGDTKEIMQTTKLEPMNLCDDIMPRVMPFVHRAIQTYGNIFFAWFGPVPTLHIIDPEVVRDVLSRMNEFQKPRKNNPYIKILSTGVIDYEGDKWSKHRKIINPTFHAEKLKLMAPAMCLSCCEMIKRWETLFSNEESLELDVFPHLQTLSGDVISRTAFGSSYEEGVRIFDLQNEIGSILMHVIQSLYIPGSRFLPNSRNKRMEEIDHEVKTLIKDMIHKRTIAMEAGKSMHDDLLGILLQSNYNEIQETGNKKFGMSIDEIIEECKLFYFAGHETVGNLLVWTMVLLSRYPDWQELAREEVFQVFAHNQPNIDGLSRLKIVNMILLEVLRLYPGVTALYRMCIKETKVAGINLPEGTLVIMPILAMHHDQETWGDDAMEFNPQRFSQGVSNATTRGQHSYFPFGGGPRICIGQNFAMLEAKIAMAIILQRFSFVLSPSYSHAPQSIITLQPQYGAHLILRKVNLPKSV >cds-PLY67858.1 pep primary_assembly:Lsat_Salinas_v7:9:145632092:145637224:1 gene:gene-LSAT_9X92681 transcript:rna-gnl|WGS:NBSK|LSAT_9X92681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSIHKSFTYDVFLSFGGKDTRTNFVDHLYHALQDRNIRTYKDDEEINKGNRINDELMESIEASKYFIIVFSKSYASSSWCLDELVKVMDCHKTTKHTAYPVFYDVEPTEVRKQSGEVGEAFARHEKEEAAGKWRKALKEAADLAGWELKNTAGGHEAKCIRQIVEKVSLELRSINVHIDKNLVGMETRVNDILSSLETASDDVRMIGIKGIGGGGKTTLARAVFNNISYQFEGKSFVDKVREVSNASSSGLKLLQNQILSNVLNNHGINVGSVSEGKNMMSWMIGGRKTLLVLDDVDHVEQLEALAGDPSWFKPGSIIIITTRDEHVLIAHGVKLIHNVNLLSEEEAIWLFSRHAFGKEIPIEGYEELSRQVVCYAAGLPLTIKVLGSFLCGKSKLEWKEALARLETIPLKETQKVLELSYTGLDDDYKEIFLDVATILKGWTKHSTIEALESCGFHPRIGLRVLEQKSLITIGNDECVGMHDHFEELGRNIVRRLHPDMPNKHSRLWDRKEIEDILANDMGTEATRCIRFYRGRLNQEIFMKGLRKMKELQFLDAEALELNQGINKHIPNFLDALAFLCCSWKFDEVSPYFPDALRYLRWNGYPFRSLPETFQANNLVALQLDFSNIVQLWEGGERKVLNKLRFLQLSGRELRTFDLRLTPNLEKLTLGGHGDLVELCMPDECSKLKSLKLYGSKLTTLNLGLTPNLEELDLNIDLVELYMPLECSKLRSLEIRSSKLRTLDLGLIPNLEKLDLDGDLVELYMSVECSKLRSLKFTGSKLTTLDLGLTPNLEKLRLRGHGDLVELYMPVECLNLRSVKLYGSKLRTLDLRMTPILEKLDLNSDLVELYMPVECSNLRSLQLEGSNIRMLDLGLTPSLEKLDLNKCYSLVEIHVPNECLKLKDLKLSGSKLRTLDLGHAPNLEKLSLLSCDNLVELHTSQRCLNFKYIYLHSINLRTLDIGMTLNLNSLELTRCNYLEEFHMPCSSLNLTYLRVSESKLSILNIGQTPNLNSVELINCNYLEEFHITDECQNLKSLVIYHSKLRTLDLGLTPNLRKLVFKECYNLVEIHAPIGYLENVFYIDLSGCFGFRSFVFHKKDNSSAKLSASLEVRPLTELHITLERCPFHPDKDLPKFESTCIHKEDLPSLTRSLEKLFSEGLCACTKLERILRSIPKAFVC >cds-PLY76606.1 pep primary_assembly:Lsat_Salinas_v7:5:223200391:223202025:1 gene:gene-LSAT_5X104720 transcript:rna-gnl|WGS:NBSK|LSAT_5X104720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARRPDEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLQVEGRTIKAQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPTTFENVSRWLKELRDHADANIVIMLIGNKTDLKHLRAVAVEDAQSFAEREGLSFIETSALEAVNVEKSFQTILGEIYRIISKKSISGGESGPSSIKQGETLVVGAQDGNTKKTCCSSS >cds-PLY62352.1 pep primary_assembly:Lsat_Salinas_v7:8:113139311:113140026:1 gene:gene-LSAT_8X77441 transcript:rna-gnl|WGS:NBSK|LSAT_8X77441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNPAVLVNGDLLRNYVGRRVRTVIQVVRPDGGGLIGRSTDEKQISVKGHPPSDLSTFVEVIGVADSAQSIRAEIVTNFGDTFDTNNFNQLCQLANGEFRHLFI >cds-PLY93379.1 pep primary_assembly:Lsat_Salinas_v7:9:59770564:59772174:1 gene:gene-LSAT_9X52680 transcript:rna-gnl|WGS:NBSK|LSAT_9X52680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALETWLIKVKKTISQSFDSVRATPPPKTPVIKRSRVGVLAFEIAGIMPKLTHMWRFLSDENIAHLHNESICLEGVRKIVSDDDHFLLGLACAEIVENLRVLAKSVSRISKRCDDAILRTFETLFDEFANSDHDRYNWTLNSKEMEAKIKKMERYVTATAILYREIDNLTVIENNLKKSLTNSKKDNHEQVSSKQQKILDLQQKLLWQRQEIKYVKEKSLWNRSFDSVTSLLATSIFTILSRIKFLFQITSHSSDQISNSSFFETNTKILKPSPNTLGSAALGLHYANLIVVMEKMIRSPQLVGFDARDDLYSMLPNSIRLLLRHRLKGIGFTASDPVLAGEWREALGKILGWLSPLAHNMIKWQSERSFERQNLMPKTNVLLLQTLFFANQEKTEAAITELLVGLNYIWRFEREMNAKVLFNCTNFNSFKNQEDTS >cds-PLY85278.1 pep primary_assembly:Lsat_Salinas_v7:3:72405691:72408795:1 gene:gene-LSAT_3X56640 transcript:rna-gnl|WGS:NBSK|LSAT_3X56640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMPANGGADRRTDSAPTALSSTPVSAGNMDANGTVPVPVNSNYRLRLSPKKDHKPENYEDLRSEFSPQLFSSLERHLPPNLLNAPREAKFKHMRDILRRYWNEGERSRVKKHSLYRERIISSYQPLYRELYELNPSSFFVPSFINAFMANDDVSRNQSIRNIMSEPVPGVFTFDMLHPDFCAKMLAEVENYEKWILETKSATIRPNTMNKFGVVLDDFGMEPMLDKLIEDFISHISKIFFVDVGGYALDSHHGFVVEYGFDRDVELGFHVDDSEVTLNVCLGKQFAGGELFFRGVRCEKHMHTESQPEEIFEYSHVPGHAVIHRGRHRHGARPTTAGNRVNLLIWCRSAVFREMRKHMKKFTAGWCGECQRENREMLDQAVAAKKEALMWNGIVRLG >cds-PLY83561.1 pep primary_assembly:Lsat_Salinas_v7:1:65011403:65011732:-1 gene:gene-LSAT_1X55700 transcript:rna-gnl|WGS:NBSK|LSAT_1X55700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMITSHGGKPSKKQPKTARKPVSAMKGSREKRGVTPPQKLTVKWAPDVYDPVPSSISHTVANNRIGRLRIKKNSKSEQMIENKLPSDGNKYKDKKQGRKCGDNSTLRN >cds-PLY74269.1 pep primary_assembly:Lsat_Salinas_v7:1:78673022:78674621:1 gene:gene-LSAT_1X66180 transcript:rna-gnl|WGS:NBSK|LSAT_1X66180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANNLFMETKILNPNTSYLSFGKPKSDGSLNDHNMSDEDEPSFMEDGSGAKGRKGSSSQWQRMKWSDSRVRLLIQIVASVGDDDGAIDGGSTRKSSGCLQKKGKWKSVSKIMVSKGCQVSPQQCEDKFNDLNKRYKRLNDILGRGTSCRVVENPSLMDSIPRLSPKMKEDVKKILSSKHLFYPEMCAYHNGQKIPNCTELESSPIVGQSSKDDDSDHEDEDESEDDDESEDDGEREKGSTHNVNVFFDEMNEFFEDVNNSKVQKKEWVMKRMVLLHEQRIGIESEAFEMEKRRFKWQRFCDRKDRELEVSRLENERLMLENERMTLQLKRKDHVMDSVSS >cds-PLY64472.1 pep primary_assembly:Lsat_Salinas_v7:3:15139677:15140629:1 gene:gene-LSAT_3X10540 transcript:rna-gnl|WGS:NBSK|LSAT_3X10540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIGQCDSSFKCTIGNDDKNHGMVACLSVPGDESTEVSLLIQNKGKGLLVVDITAPEFVRLDKTNVQIQENDDQKVMVSIGDGKTEKFITLKTLKGSCDLDFMDFLTHNPMKKSNYMSRLTLTNLFKRTPFVGVISLAFVVVIVSVMACVTYQRRRLMMNNGGAAAKYQKLDAGLPVSGGPKMDFDQNQKDGWNDNWSDDWDDVEAPNTPSTMPLTPSISSAGVSSRRVNKDAWKD >cds-PLY75286.1 pep primary_assembly:Lsat_Salinas_v7:3:157187418:157187609:-1 gene:gene-LSAT_3X99560 transcript:rna-gnl|WGS:NBSK|LSAT_3X99560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQDIDPDLCYLSSLLGLSSLEIPFLLVYFSSSLFSVTSLYFASFAGNKRHYNHRMPLVVTRG >cds-PLY74095.1 pep primary_assembly:Lsat_Salinas_v7:9:13893496:13897980:1 gene:gene-LSAT_9X11221 transcript:rna-gnl|WGS:NBSK|LSAT_9X11221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRLAANLATKSRIARNNAQQVSSNLSWSRNYAAKDIRFGVEARALMLRGVEELADAVKVTMGPKGRNVVIEQSYGAPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDAAGDGTTCATVLTRAIYSEGCKSVAAGMNAMDLRRGITMAVDSVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITISDGKTLYNELEVVEGMKLDRGYISPYFITNTKNQKCELDDPLILIHEKKISSLNAIVKVLELALKNQRSLLIVAEDIESEALATLILNKLRAGIKVCAIKAPGFGENRKSNLQDLATLTGGEVITDELGMNLDKMAPEMLGTCKRVTISKDDTVILDGAGDKKGIEERCEQLRSSIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDNLVTANFDQKIGVQIIQNALKAPVYTIAANAGVEGAVVVGKLLEQDNPDLGYDAAKGQYVDMVKEGIIDPLKVIRTALVDAASVSSLLTTTEAVIVELPRDEKEAPAMGPGMGGMGDY >cds-PLY73580.1 pep primary_assembly:Lsat_Salinas_v7:6:128764214:128765406:-1 gene:gene-LSAT_6X76001 transcript:rna-gnl|WGS:NBSK|LSAT_6X76001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit TEN1 [Source:Projected from Arabidopsis thaliana (AT1G56260) UniProtKB/Swiss-Prot;Acc:Q6NME7] MASAPIPSGALVLLQELNSSSSHFKQGASLRVNGKLQEHNVESAIAIIADGGVTLAVDTQHLRLNLRVGSLYQFIGELSIQPNNEGILKARVGRNVDGMDLNLYQQSLKLLRQFQSDQISHLALNHG >cds-PLY91538.1 pep primary_assembly:Lsat_Salinas_v7:1:11838484:11838648:-1 gene:gene-LSAT_5X101501 transcript:rna-gnl|WGS:NBSK|LSAT_5X101501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGRGGDVAAPGSLQQCLCSPTTHPGSFRCRQHHNKYVWGGRIIRVTMRE >cds-PLY70165.1 pep primary_assembly:Lsat_Salinas_v7:9:1791262:1793235:1 gene:gene-LSAT_9X3701 transcript:rna-gnl|WGS:NBSK|LSAT_9X3701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLLSSSLVFSNLSRPSLSPSISSLALSSSFSLSSSISVAPHTVSSSWRKKPSLSTFTVKAIASEKKKVVIVNTNSGGHAVIGFYFAKQLLSSGHDVTILTVGDESSDKMKKPPFTRFSEIVDGGGKTVWGDVGAIGTVLEGLTFDVVLDNNGKDLDAVRPVADWAKSAGVGQFLFISSAGIYKQTEEPPHVEGDAVKSDAGHVLVEKYLSEVFENWASFRPQYMIGSGNNKDCEEWFFDRIVRGRPVPIPGSGMQLTNISHVRDLSSMLTKAVENPSAASGNIFNCVSDRAVTLDGMAKLCAKAAGLPVEILHYDPKSIGTDAKKAFPFRNMHFYAEPRAAKDILGWESSTNLPEDLKDRFQEYVKIGRDKKTIKFDIDDKILESLKIPVPV >cds-PLY99215.1 pep primary_assembly:Lsat_Salinas_v7:4:367913584:367915349:1 gene:gene-LSAT_4X180220 transcript:rna-gnl|WGS:NBSK|LSAT_4X180220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDLLAQLGSVLASVMFVWAIIQQYFPYNIRNFFDKYSQRFLTFFYPYIQITFNEFTGERFKRSEAYSAIETYLGTTSSMQAKRFKADIIKNNTQPLALSMDDHEEVADEFNGVKVYWASGKNILKSQSFSFYPNTDEKRYYKLTFHKRHRELIFGPYLNHVLKEGREIKVKNRLRKLYTNNGSQWSHVVFEHPASFQTLAMDPVKKQEIVDDLTTFSNAEEFYNRIGRAWKRGYLLYGPPGTGKSTMIAAMANFLCYDIYDLELTAVKDNTELRRLLIETSSKSIIVIEDIDCSMDLTGQRKKDKEEPEESDPAKKPVKPPENESKASKVTLSGLLNFVDGLWSACGGDRLIVFTTNHVEKLDPALIRRGRMDKHIELSYCGFEAFRVLARNYLYLETHDLFEKIRGLLEETQMTPADVAENLMPKTSPADVEGCLRSLIEALENTKEEKRIEEEEKRKKESAAAVTAAAEEKDEIKVAKLVENGSNGSI >cds-PLY65367.1 pep primary_assembly:Lsat_Salinas_v7:6:39469676:39473205:1 gene:gene-LSAT_6X30941 transcript:rna-gnl|WGS:NBSK|LSAT_6X30941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFIGSLLGTIGFVFGIPVGLFLGFLIFISTESTDVKIPFIRPLQELDRSSLVDILPEIPLWVKHPDYERIDWFNDVVRDMWPYIDKAICGMIKSLSEPIFSEYIGMFHLRSIDFETLTLGTLPPVVQGIKVHEMNENHLVFDLMAKWAGNPNITLVLKLLYFPIKFQLIDTQITAAIRVTLKPFVPTFPCFSNAQVSLMEKPEVDFGLKVMGGDLMAIPGVHNYVQRLIDEQVSSVYLWPQNLEVPILDASIGAAKKPVGILHVKVIRARKLKKMDIIGTSDPYVRLSLSGERISYKKTSVKLKNLNPEWNEDFKLTVKDPECQVLQLRIYDWEKVGVHDKLGMQVIPLNLLTPHEKKEFTMELVKNMNPNDPRNKKPRGSITVELNFVPFVEDTMGFNGPIDLFMKKETIPKTLESSYVNRAGLLVVTVIGAKDLDGKRHNNPFALVIFKGEKRKTKVLKKTRNPSWNEDFQFMLDEAPLEEAIHIEVISKRKNRAFRFVSSKEALGHVDINLVDVVYNNRINERYHLINSRNGEIHVDIQWNAT >cds-PLY63027.1 pep primary_assembly:Lsat_Salinas_v7:8:184470663:184471302:1 gene:gene-LSAT_8X120600 transcript:rna-gnl|WGS:NBSK|LSAT_8X120600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESQGAAGKPWTPKLTLECYLNLNNLDSISSTTLRKIITMHGFNSIKVPKNDLMDAVGSIELMDAHHSTLENDCVSSDAYLSLNDVIEDLSDIHWQECCITSIQTINSTIDFSSKDIDDVAACSSIVINNGNKKKKSKLTDKPPVLFTYARRGAK >cds-PLY95560.1 pep primary_assembly:Lsat_Salinas_v7:6:174275899:174279342:1 gene:gene-LSAT_6X106120 transcript:rna-gnl|WGS:NBSK|LSAT_6X106120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEEIEPLFDCSRVQPLDVVCLDDEAVLSNLTPVLVAEANILRERSTGRKPIETDGALLVDIGDFKAMIQLLRVVQPIYKPQIQRLLLNLCAHADTRSDVGREILQSTVELVCNNLNVDVIYGDTDSIMIYTGLDGTKYDLDYKSPNRSGQNFKSREDMVEMLKKFSNGKIVMALGEDTISNQ >cds-PLY92124.1 pep primary_assembly:Lsat_Salinas_v7:9:192676217:192677868:1 gene:gene-LSAT_9X116181 transcript:rna-gnl|WGS:NBSK|LSAT_9X116181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 8 [Source:Projected from Arabidopsis thaliana (AT4G14110) UniProtKB/Swiss-Prot;Acc:P43255] MDFSQLTDAMASRSYDKVADICDQLMLQIASQGVAFQDEWPYAVHLLGHIYLNDLNSARFLWKSVPLSIKESHPEVCAVWKIGQQLWIRNYAGVYDSIRSFNWSAEIQDFIASFSEKYTRRMLELLMSAYSTISIQDTALFLGMNENDATNYVMQQGWNVDAASQMLTVKKQVTVTEQKIDPSKLQRLTEYVFHLEH >cds-PLY95443.1 pep primary_assembly:Lsat_Salinas_v7:2:506525:509567:1 gene:gene-LSAT_2X1300 transcript:rna-gnl|WGS:NBSK|LSAT_2X1300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP18, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20810) UniProtKB/Swiss-Prot;Acc:Q9LM71] MASLQKITFQSPLISSKPINEPHEFHQNQAFFPLPISRRSAILISVLPFALISNPLESAARERRNRKVIPLEDYLTSSDGLKYYDIAEGKGPTAEKGSFVQVHFDCVYNKITAVSSRESKILAGNRTIAQPYEFKVGAPPGRERKRDFVDNPNGLFSAQAAPKPPPAMYTVVEGMKVGGKRRVIVPPEAGYGKRGMNEIPPGATFEMNLELLQVIRPEGK >cds-PLY80436.1 pep primary_assembly:Lsat_Salinas_v7:8:298967071:298969382:-1 gene:gene-LSAT_8X164980 transcript:rna-gnl|WGS:NBSK|LSAT_8X164980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRESSSNGNCDSLVQFTNMRIDEDVGDGDVDEEEAPVGLGFVEKPEHEWSLLRHLFPSKAGGTPAWLDPINLPSGKSYFCDICGDPLRFLLQAYAPLTDKESTFHRTLFVFICPSMSCLLQDQHEQWKHHPDKGSRSIKVFRCQLPRNNQFYSSEAPKNNGSDKPLGTSAPLCSWCGTWKGDKICSNCKTARYCSKIHQTIHWSSAHKGECRSLEGSKDTAPKGYNVTWPEYEIINEDESEFDTEESTTNEDGNPLITEYDPEFDVKMPELRKALLTTDNEDEGDTKTWESFRKRIALAPDQVLRYSRHVDSKPLWPMLSGQPSRHEIPKCTSCGSDRAFEFQILPQLLYYMDVKNDTNSLDWATIVVYTCEASCDGSFAYQEEFAWVQLSSQSST >cds-PLY75030.1 pep primary_assembly:Lsat_Salinas_v7:1:50163383:50168414:1 gene:gene-LSAT_1X43941 transcript:rna-gnl|WGS:NBSK|LSAT_1X43941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVSGLVGSEIHGFKTMEDLDVPNMMEEAKTRWLRPNEIHAVLYNHKHFSISVKPVNLPSSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNNERIHVYYAHGEDRSTFVRRCYWLLDKNLEHIVLVHYRDTQELQGSPGLTVDSNSSSDPPTSSNLSEEIDGVDQVYFTEPNETAINHEMRIHEINTLEWDELVIPTSDKLSGSEGGNVAQFDQTNQYISNGSFDNVSRQNAQIANLGIDVLNTMHKDGLPTQESFGRWMNYIITDSPGSIDDPLQHPFTPFNGDNNSTDKIFNITDVSPSWALTNEETKILVVGDFNKKYIHLMNSNIFCVCGDAFVQLEFVQSGVFRCMIPPQNPGIVNLFLSSDCHNPISQVMEFEFRPPPPPPATNLPTPVNEKPDWQELEINMRLAHLLFSTSKSLEILSSKIPQKTLKDAIIFAQKTRHIVNSWDFLVKSIMEKRVPFERARNSLMELTLQNRLLEWLLERVLEGGKLPVRDDEGQGVIHLCAILDYNWAIYPYSWSGLSLDFRDKFGWTALHWAAHHGRQRMVASLLSVGANPNLVTDPTSENPSGCTPADLASKNGYEGLAAYLAEKALVAHFEAMTLAGNVSGSLQSTFPTNEPVVPDTSSILVSEEEQYLKDTLAAYRTAADAAARIQAAFREQSFKLKTKEVEVSNPEDEARCIIAAMRIQHAFRNHESKKQMAAAVRIQYRFRTWKIRKDFLNKRRQAIRIQAIFRGHQVRRQYTKIVWSVGVLEKAILRWRLKRKGFRGLQVDADKDEKQENVDGEEGFFHASRKQAEERVERSVVRVQAMFRSKLAQEEYRKMKLQHIQASIEYNESFDPPTDMEK >cds-PLY94007.1 pep primary_assembly:Lsat_Salinas_v7:3:179263632:179263874:-1 gene:gene-LSAT_0X13981 transcript:rna-gnl|WGS:NBSK|LSAT_0X13981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPGSGGGDYRRSEGVPLVLNRIEERIRARVGSSAAICEGNKEATTTFAVICGGEREAVPAVSLCCSCLRSGSKHFTIEG >cds-PLY71510.1 pep primary_assembly:Lsat_Salinas_v7:2:122435736:122437021:1 gene:gene-LSAT_2X56421 transcript:rna-gnl|WGS:NBSK|LSAT_2X56421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPQLWHDDMALLSRPLLVVNVGGPIRYCRYGGDLARESTTSLMNVLPSCGSVRISFSRRTPHQVSEMLVKEFRKRPKVYIWDVKDPNPHMGHLAMADAFLITADSVSMISEACTAGKPVYVIGAERCTWKFAYFHKCLQERGMLRPFTGKENITETWIYPPLRDTSEAAEHVIKALAERGWKLHP >cds-PLY64835.1 pep primary_assembly:Lsat_Salinas_v7:8:266126488:266128296:-1 gene:gene-LSAT_8X154041 transcript:rna-gnl|WGS:NBSK|LSAT_8X154041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFPKPFILKSQHSLRHGDIDEYELLEDIDDSLYGKANVDSEGDHEFEFSEVLVHQLIHTIEFVLGAVSNTASYLRLWALRYQVVLKNLPPNSVLIDEIMGQQGIVERRIFFLSSLTSPSWTKRMENQANDFNFV >cds-PLY64254.1 pep primary_assembly:Lsat_Salinas_v7:7:2238302:2240990:1 gene:gene-LSAT_7X1020 transcript:rna-gnl|WGS:NBSK|LSAT_7X1020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWEVDEGKVAAAMVVGLIEKATNSTEPDLDPRILKSIKSVVRHSDLELRLAVENLMSLMKRDHSQVRYLALAIIHELFMRSKLFRRLIVENLEQLLSLSVGFRRNQPLPAPPAIASKLRLKAIEFLERWNTTFGIHYRQLRLGYDYLKNTLRFQFPNLQANAARAQQERRERELRTKEILLKKYELLKSNLPSIKEEVKSMVDEINECLDILQSCKEPNLPLSPIDEEEEEYFEEFRNLELRQIRDNSLKEADKVQENSENKVVFDALRELYKLLVTKHIVAVQESISVLIRVELSDNRVRDSMLKEFIDTRNHLKTIKKKCEEAGCGLSVTTDEGGGGGEEDIWEEGTSERFDIPKPNKQSEEDHDANKSKDDAIKIINSKVVCDADLDPLKSKLMGEAPVMKWGSFLDNWGSVMANQRGLEVEGHWGRVDYDAVIPANKVAELNMQATVYEEDDKEIQQCGAPLKKEKGGLCTRRDLKICPFHGRIVPRDNQGKPLINDNYLSETEPKENLLSVSTEELAKQAVIIKKREFYDNKKEMKRAKLAKVRGHNDAVLRDAALASTSATCSIGEDVKTREKNNSLAKMLKKKVSVKDRLGTRLLNRKATARATQMMMMNLGDDRHSKYREAFPNQW >cds-PLY63917.1 pep primary_assembly:Lsat_Salinas_v7:5:127268609:127272384:-1 gene:gene-LSAT_5X56881 transcript:rna-gnl|WGS:NBSK|LSAT_5X56881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVCISPATFTAVAPIKPSLSRTRTLNTSISFSTNPNRNFTFKHIFSLSSSSMASSTLHVMASSSTGAASEELDTSSSTSVGANDLLIVGPGVLGRLVAEKWREEHPGCQITGETVTTDHHEELIKIGINPTLKGVKWDHKFPYVIFCAPPSRSSDYASDVGEAASLWNGEGSFLFTSSSAPYDRYDNGQCYEDSPVVPIGRSPRTDVLLKAEKIVLDAGGSVVRLAGLYISYRGMHLYYLKQGTIEANPHHLVNLIHYEDAASLSVAILKKKLRSRLFLGCDNHPVSRQEVMDLVAKSGKFDPTFVGFTGTDGPLGKKLDNSKTREELGWEPKYKSFAHFLGVAS >cds-PLY85955.1 pep primary_assembly:Lsat_Salinas_v7:5:108195050:108199517:-1 gene:gene-LSAT_5X45560 transcript:rna-gnl|WGS:NBSK|LSAT_5X45560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESAACFSNATTGRLPHRNAADGTLLSPFRNPTHLFLSRTLKKLPVQVRFPCLRTAFGSLNASASGIGTTISTEKESVDLDWDSLGFGLVHTDYMYTMKCSRGESFCEGELVPFGNIEISPSAGVLNYGQGLFEGLKAYRKADGNILLFRPQENGTRMMMGAERMCMPSPSVAQFVEAVKATVLANERWIPPPGKGSLYIRPLLMGSGSVLGLAPAPEYTFLIYVSPVGNYFKEGLSPINLIVETEMHRATPGGTGGVKTIGNYAAVLKAQSAAKAKGYSDVLYLDSVHKKYLEEVSSCNVFVVKGNIISTPAIKGTILPGITRKSIIDVALTQGFQVEEKAVTVDELLDADEVFCTGTAVVVSPVGSITYLGKSVSYGSSGVGLVSQQLYTALTQLQMGLTEDKMGWVVELK >cds-PLY71768.1 pep primary_assembly:Lsat_Salinas_v7:3:46785185:46787390:1 gene:gene-LSAT_3X36021 transcript:rna-gnl|WGS:NBSK|LSAT_3X36021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCGMFSDACKLFDEMPERNLVSWSLIISGANKIGEYGMAMGLFIEMMRTEYFMPNEFALSSIMKSGISMGAVEFCLCIHCISVKIGLENNVFVGSSILHMYSKYGAIEAAERLFESLNDSDLGSWNTMVGGYAQCGHSFEAINLVSSMHSKGVPTDEFTFIHALNACSITSNLDFGSQIHGQIVRKGFEAMVTLMNSLIDMYYKTNAKDHAWKLFETMENKDIATWNTILALSSVEQVVPLFTDLMIMGLQPNRITFSILFRMCGDAHVVNLGLQFFGLAFRIGLHDDPNVSNCLIGMFCRCGAKETARLIFNSLPSKDLQNWNEMIHGYNLSCDLEAIKLFMNLWGSSSTIEPNEYTFSCALEACFKTENIQTGRQVHGIIIKSGFASNGHVCSSLVHGYAKSGFLTDSYAFFDDKMDIVTWGSLISALVDQGYTKEAIEVFNRLKADSKNPDKFIFVSVLNTCATIASLNLTKSVHNQVFRIGLDKDEYVASALIDAYGKSGDITSATIGFHESWRFADVALFNTMIMAYANHGLVTEAIEVYERMKSMNLKPSQSTFVSVLSACSHVGLVDVGRMLFRSIVLDYKMDPSPDNYGCFVDLLSRNGYLEEAKRVIEGMPYQSWPGIWRSFVNGCRIHGEKELGKMGVKKLLELFPEKNAEGYVMLSNIYCEEGKWEDSWKVRKEMIDKDIRKDVGSSWVSI >cds-PLY94898.1 pep primary_assembly:Lsat_Salinas_v7:4:114011466:114018589:-1 gene:gene-LSAT_4X71560 transcript:rna-gnl|WGS:NBSK|LSAT_4X71560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METRSRKRAEATSSAHSSSSSGGPFTRSNKRTRLSTTTSSSASAAAASNVVVQSVSTRSRATNMENSTNESSGSGSGRGRRNRKSTNNQNMVSDRDNSEKGKEKEHEVRVKDRDNINRDSPYGLNIDMSADGGDDDDDDSEGGGVGILHQNLTSASSALQGLLRKLGAGLDDLLPSSAMASASSSHQSGRLKKILSGLRADGEEGKQVEALTQLCDMLAIGTEDSLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYGAVSCFVARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVTEAVLEHASVCLTRIAEAFASSPDQLDELCAHGLVKQAASLISTSCSGGGQASLSTSTYTFCTGLNSIAIYMCKWLSFRIQNFAPYGNQWDSQRYFIRLWSCFNNVCVTCLEQTTRAGNIFEIVNLANELLPPLPNGTITLPASSNLYVKGSLLKKSTAGSSSKQEETSGGAPEISAREKLLTEQPELLQQFGMDLLPILIQMYGSSVNQPVRHKCLSVIGKLMYFSTADMIQSLLGVTNISSFLAGVLAWKDPQVLVPSLQIAEILMEKLPETFSKMFVREGVVHAIDTLAGSGSSGNTLSPPLSNEKINDSIPGSSSSRSRRYRRRITTSTTDPNPSEDPQNMEPGPTVDLPTVNSTLRITVSTTAKAFKDKYFPSDPGAIEVGVTDDLLHLKNLCKKLGSPIDDHKSKSKGKSKASGNRFVDFSPGKEENLLGVITEMLTELNGVSTFEFIGSGVVSALLNYFSCGHFSKERVSEANLPKLRHLAIKRYTSFIEVALPASVDDPKRAPMSVLVQKLQHALTSLERFAVVLSHSSRSNSGNARLSSGLGALSQPFKLRLCRAHGEKGLRDYSSNVVLIDPLASLAAVEDFLWPRVQRSESSQKVYVGNSESGTTPGGAAGASSPSTPVSATRQQSNRSRGSISIGDNNNNNNSGKKETPQEKNASSSKGKGKAVVKSGQDDGRGPQTRNAARRRAALDKDAQMKSVDGESTSEDEDFDISPVEMDDALVIEEDDISEDEDEDPDDVKIFLFAWQIKSTMLNYPNPLKKDLLTPKQIPLPVQAAEEPEPESVVPIPPISEPQIPWVQGAQCHLLLLPWLDLHQQTGGAPGAXXXXXXXXXXXXXXHGRPVLGSNDPPRLVFSSNGKPLNRHLTIYQAIQRQLVLDEDDDERYNGSDFVSSDGSRLWGDIYTVTYQRADNQTVGSTTPSKSPKTGSTSNSNDPRAHQMSLLDTLLQGELPCDLERNNPTYNILSLLRVLDGLNQLAPRLRVQSATDGFAEGKISSLDDLNVTGIRVPSDEFINGKLTPKLSRQIQDALALCSGSVPSWCYQLTKACPFLFPLEVRRQYFYSTAFGLSRALNRLQNQQGADGQGSMNEREFRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKTGLNMWRCNSQSVDTSPDVDPDEKKVDLILAPLGLFPRPWALTVDASDGGQFGKVIEHFRLLGRVMAKALQDGRLLDLPLSTAFYKLVLGQELDLHDISLFDAELGKTLQELQALVSRKQYLESIHDRNEILNLRFRGAPVEDLCLDFTLPGYPDFVLKTGDVNVDLNNLEEYISLVVDATVKTGITRQLEAFRAGFNQVFDVSSLQIFSPSELDYLLCGRREMWEADTLVEHIKFDHGYTSKSPVVINLLEIMGEFNPEQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSSSNNTTSNAAGGVSESADDDLPSVMTCANYLKLPPYSTKEIMYKKLVYAINEGQGSFDLS >cds-PLY67339.1 pep primary_assembly:Lsat_Salinas_v7:4:18683522:18684629:-1 gene:gene-LSAT_4X13080 transcript:rna-gnl|WGS:NBSK|LSAT_4X13080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSASHEYPPPPPPPHGHPPLAPPPAQYPPGIPPPPMVSTGQWSSGLCDCGSDVTNCCITCWCPCIPLGQIAEIVDRGNTSCAVHGSLYTVILLLTGCQWIYSCMYRSKMRQQYLLPEEPCNDCLVHFCCEPCAMCQEYRELKHRGFDMSLGWHGNMERQNNGVMMPVFAPAPMEMKR >cds-PLY93341.1 pep primary_assembly:Lsat_Salinas_v7:9:58535915:58542626:1 gene:gene-LSAT_9X51780 transcript:rna-gnl|WGS:NBSK|LSAT_9X51780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGQARRGDAATYFGDRFHLPEKRVNGDRSFTRKWEQTKRTTKVEKRKIVHIGFGRGQNKRWITLEDGSNSDVLHRFSSRLQATLTMVKGIRVYEHGGPEVMKWEDVDIGEPKSGEIKVKNKAIGVNYLDVYMRRGLIPSLCPPLTFTPGMEAVGVVIVVGPKVTTCKVGDYVAYAGFPVCSYTEEMILPADRVVPVPPSIDPIIAAAVLFKGLTAHVLVRSCFQIGPEHTILVHAAAGGVGSLVCQWANALGATVIGTVSNEVKAVQAKEDGCHHVIIYKQENIVDRVMEITSGKGVDIAYDSIGKDTFEESAACLRFRGYMVSFGFASGSPEPVKFSVFSDKCLHFTIPSMMLYTKAREDLLAASEELFDNVAKGVLRVRANHKYPLSQVAQAHLDLEDRKTTGSVVLIPDN >cds-PLY96743.1 pep primary_assembly:Lsat_Salinas_v7:2:171995925:171996902:1 gene:gene-LSAT_2X94681 transcript:rna-gnl|WGS:NBSK|LSAT_2X94681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCGVSRSNEGLVVFRIPHHSKPQTHPPKLLIEEPSNLHQPPELQKINIAYHDGNGNDDPLWVGSPSFREYVQSFPEDDDVATSGDVRGKEIVIGTRGGEKLICNGEVCVRGDLAGKEEADTRGGRYRKVFQVHRVTFWHGRAWHPGHPKATRKAAGASS >cds-PLY62492.1 pep primary_assembly:Lsat_Salinas_v7:1:83401786:83410666:1 gene:gene-LSAT_1X69840 transcript:rna-gnl|WGS:NBSK|LSAT_1X69840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPEEMIEQILIRSEVSDLIRYRSVSKSWKYVFSDPDFIKAHFENSYRRDREYDKMGNRRIDMSATPQCNWEHLFDADNLYFVRRTRHLLGSSNGLICVSPSRTEFSVINPETREVNKLKKPEILDQAGPLIYGFGYDSSNDDYKVVLGFRKGNNLTCFLKFSLESNIWEVIGEVNYTFITRVGVLYNGTLHWVVSHGTANNKKHVILSFDLSDDKFEEIPQTCQLATFLGTMCVFHGQMFPLKVRVTNEYNVKQSWELVGPNRTINTKVVQQLKDLKYYIPSLVSPHVLKKQDKRQQEISITESHKVVYERIRKEMCG >cds-PLY62344.1 pep primary_assembly:Lsat_Salinas_v7:4:88378023:88381305:1 gene:gene-LSAT_4X57760 transcript:rna-gnl|WGS:NBSK|LSAT_4X57760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLANLSSCKERYEEIQEKSNQKSSESDQILDMKSDSFTVDMEPLSHHSNKDLTHSSRINMDRSLSRKGSQVRAEKKMNSNSLVNDSNGDTIPTPKAALMAGTMPEKLMLVKPNTDHILDPQLHHQITIMTSGNTTTTKAAGAPLKSSLFGKKSSSFKLSSIINPRRILFFFATLSSMGTILLICLTLSMAKYNEDDNSHAANQT >cds-PLY76375.1 pep primary_assembly:Lsat_Salinas_v7:6:170530529:170532532:-1 gene:gene-LSAT_6X103181 transcript:rna-gnl|WGS:NBSK|LSAT_6X103181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTEKERDRHVYIARIAEQAERYDEMVEAMKSIAKLDVELSLEERNLLSVGYKNVIGSRRVAWRILSSIENREEGKGHEQNVKKIKDYMKKVEDELAVICNDILATIDHHLLPSTKSGESAVFYHKMKGDYFRYLAEFKISGDHKEVKDQSLKAYEAATAIAASDLAPTHPIRLGLALNFSVFYYEILNSPERACHMAKQAFDEAISELDNIDEESYKDSTLILQLIKDNLTLWTSNISEEGGAGEPQGR >cds-PLY72355.1 pep primary_assembly:Lsat_Salinas_v7:7:192306568:192308796:-1 gene:gene-LSAT_7X115040 transcript:rna-gnl|WGS:NBSK|LSAT_7X115040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPRKHLVFLMILFFKFILYRTVVSSQDITFHFSSLSLRNLTLLGDSYLRNGVVGLTRETGVPSSSSGTVIYNSPIPFFDRSTNSTASFSTRFSFTINNVNRVSSFGDGISFFLSPDSQTLGSPGGYLGLVNSSQLTKNKFIAIEFDTRLDLHFNDPNENHVGLDIDSLNSIKTADCASIGIDLKSGSMITAWIDYWNDGKNLKVFLSESAYKPVNPLLDIDTDLSEYLQEVMYLGFSASTEGSTETHFIENWSFTSFGIRHLNPRITNPHNVTDNTVSKNPAIDVPNDATNNNKHHKKMGLALGILGPVFFCAALVVFGYLSFKKWRGTKTDMNVQAELLTGPKQFSYRELKIATNGFHSSRIIGHGAFGSVYKAFLASSGNAAAVKRSKHSHEGKTEFLAELSIIACLRHKNLVPLQGWCVEKGELLLVYEFMPYGSIDKVLYQDTEHWSFLKWNHRYNIAIGLASVLTYLHQECEQQVIHRDIKASNVMLDANFNARLGDFGLARLMDHDKSPVSTLTAGTMGYLAPEYLQYGKATDKTDVFSYGVVVLEVCCGRRPIERESDGHKMINLVDWVWELHSKGAICDAVDKRLNKEFDVEEVRRLLVVGLSCANPDSEKRPSMRRVFQILNNEADEIKVPKVKPMLSFSSSLPLSLEDIVSSSDAEEEEEEEEDRVPEIRIDSSQA >cds-PLY85318.1 pep primary_assembly:Lsat_Salinas_v7:5:326219282:326224061:1 gene:gene-LSAT_5X180120 transcript:rna-gnl|WGS:NBSK|LSAT_5X180120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESVSLNILAGIHPNANLEPVEDAFAHRKIWEDRADKTDLMDLKVMQFRSPPSVTMEPQILFKYPPGKRLSMRLGDLASFCFPTGVKERQNRITQFVSEVGPDRSLPAPPPNEDQVDETETTGQEEDPTPDRSWMDAAIPVDTTITLSAITTGIIDDTLNSPSWFQTGSPSVARKEMTYVDDSNNDAMEKRWECIGKMHGDHDSVRKADVDACVIPMNRTLERHGSVGPLFSLMKGVLSEDEGGVHFCSDRDATNKTIMEWAKENKNDLLQTVCNYHALRVPSYGGKICFRPLEHLPAIEYYRTAFAFGDQTVDMSEIPDKVKFKLAAIEEAVSLSNWTTITLCRALSLENVLILLSAVLLEKQVIITSPNLGVLSATVLSLIPMILPFEWQSLFLPVLPGKMFDFLDAPVPFVVGILHKPGDNKMKSSNNLVRVDLETNQVEMSSLPALPRHKELMTRLAPLHGRLSCDKTAAKKYPVYKSNKWQIEAATHFLAAMRQHLESLCSNLSSHIVPNVQCETDPANLLLKESYIDSFAYRDQRFVREFVDTQMFTVLSDIRLARHVG >cds-PLY85776.1 pep primary_assembly:Lsat_Salinas_v7:1:47630032:47630776:1 gene:gene-LSAT_1X41141 transcript:rna-gnl|WGS:NBSK|LSAT_1X41141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMKCVWMNLSNYSVVHAFDVHSENERLAAKERDEFVKILQQAQSEAAVAFRNDGVYLEKYIQNTRHIEFQRRNQKLLEEAPPPAFTPELMKAMGDAAATSIGYIGGVSF >cds-PLY73331.1 pep primary_assembly:Lsat_Salinas_v7:8:260067442:260071751:1 gene:gene-LSAT_8X150620 transcript:rna-gnl|WGS:NBSK|LSAT_8X150620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ALBINO3-like protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G24490) UniProtKB/Swiss-Prot;Acc:Q9FYL3] MANLTQIGSSTVLSPFTDSIRFKTINPILRRTQFANLTNHHKPFLRGTTLTVARFGPGQVQFPDPENFKDLIDRAEGIMYTIADAVVSATPDSGITTNVGTKQSNDWLSGITNYMETVLKFLKDGLSTLHVPYSYGFAIILLTVLVKAATFPLSKKQVESAMAMRSLQPQIKAIQERYAGDQERLQLETARLYKLAGINPLAGCLPTLATIPVWIGLYRALSNVADEGLLAEAFFWIPSLAGPTTVAARQSGSGISWLFPFIDGQPPLGWSDTAAYLVLPVLLVVSQYISVQIMQSTQPQSNDPNMKTSQAITKFLPLMIGYFALSVPSGLSLYWLTNNILSSAQQIWLQKMGGANNPAIKKINYEALKEDKPKVEESLLQMQTTSVVKEAPKIEKPDAQGYLPGERFKQLKEQEARKKQQKEAEKAKQISLTKEAIKGDTDTKVNLEKADSDSDSIKSLNGNVTSSDSNQAENTSMEYNSSIEKEKAATCTAENEEKHQDERV >cds-PLY97311.1 pep primary_assembly:Lsat_Salinas_v7:1:177094062:177104474:1 gene:gene-LSAT_1X118001 transcript:rna-gnl|WGS:NBSK|LSAT_1X118001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLEALCERLYNSQDSVERAHAENTLKVFSANTDYISQCQYILDNASTPYALMLASSSLLKQVTEHTLSLQLRLDIRNYIITYLAKRGHELQPYVIGSLIQLLCRVTKFGWFDDDRFRDVVKDSTNFLIQASPEHCSIGLKILNQLVSEMNQPNPGLPSTHHRRVACSFRDQCLFQVFQISLTSLQQLKTDVGSRLPELALTLALKCLSFDFVGTSIDESSDEFGTVQALECLVRLASVRRSLFSNDAARSKFLAHLMTGSKEILQAGQGLADHDNYHEYCRLLGRFRVNYQLSELVNVEGYSDWIRLVAEFTLKSLQSWQWASSSVYYLLGLWSRLVTSVPYLKADAPSLLDEFVPKISEGFITSRFDSVQATPQDDLSENPLDNVELLQDQLECFPYLCRFQYERSSLFIINILEPILQLYTERAQHQISDKNELSVIEAKLAWIVHIVAAILKIKQCSGCSTDSQEAIDAELSARVLRIINATDSGLHSQRYGELSKQRLDQAILTFFQHFRKSYVGDQAMHSSKQLYGRLSELLGLNDHLQALNLIVGKIATNLKCYTESEEVIGHTLSLFLELASGYSSGKLLMKLDTVKFIVANHTREHFPFLHEYRCSRSRTTFYYTIGWLIFMEDSPVKFKSSMDPLLQVFINLESIPDTMFRTDTVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHMPILLKGISHWADTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLLVAYGSRILTFPNAADIYAFKYKGIWIALTVLSRALSGNYVNFGVFELYGDRALADALDIALKMTLSVPLADILAYRKLTKAYYAFLEVLFNSHIVYMLNLDTNTFMHIVGSLESGLKGLDTSISTQAASAVDNLAAYYFNNITIAEAPTSPAAVNLARHIAEGPTLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLILINEQPVDQHQRLSLCFDKLMADISRSLDSKNRDKFTQNLTIFRHDFRVK >cds-PLY86736.1 pep primary_assembly:Lsat_Salinas_v7:2:197120285:197124267:1 gene:gene-LSAT_2X118821 transcript:rna-gnl|WGS:NBSK|LSAT_2X118821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSDIGMRSVLSNNEELSLLMREKRRQDVISSTSMMSNSSSDRERELNMYRSGSAPPTVEGSLNAVGGLFGGGGGLTAELGGISEDELRSDPAYISYYYANVNLNPRLPPPLLSKEDWRYAQRLQGGGGSGIGGSAIGDRRKVNRDDGSNTRSLFASQLGFNGNKEENHGPGEWRKSHSGESAAAEWGGNGLIGLPGLGSGSRQKSIAEIFKDDMTQAASSSRHPSRPASRNTFDDNIEPSETHFGLHQDLVSMEALRSSPNHPHAMSSASHTYASALGGSLSRSTTPDPQLVARLASPRIPAAGGRVNSAPSEHSDLAAAMAGMSLSADGMTNQENEIDEQNTHIKHPYLTNKGLYLNMSNPSFSGDAQGSPVNSHLRAGGSPHYQHMDSPNSPYSNYGLGGYVMNPASPSMMGGGMDSRAMGGGFALGPNILAAAAELQNLQYAAALNDPTMELLALQKAYLSGLLSPQKSQYGLPYLNKTNSLTHGYYGNYPTSPLAGPLLPNSGSPVRHGERSLRFPSGMRNLPGGFTGSFEEAFASSLLDEFKSNKTKCFELSEIAGHVVEFSSDQYGSRFIQQKLETATAEEKNMVFHEIMPQALSLMTDVFGNYVIQKFFEHGTASQIRELADQLDDHVLTLSLQMYGCRVIQKAIEVVDLDQQRKMVAELDGHVMRCVRDQNGNHVIQKCIECIPEDAIHFIISTFYDQVVTLSTHPYGCRVIQRVLEHCSNPKTQTIVMDEILKSVRMLAQDQYGNYVVQHVLEHGKPHERTSIIDQLIGQIVQMSQQKFASNVVEKCLTFGTPEERQILVTEMLGTTDENEPLQVMMKDQFANYVVQKVLETCDDRQLEMILNRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIGILAGYAAAASMATAAAATTTT >cds-PLY93600.1 pep primary_assembly:Lsat_Salinas_v7:2:173369559:173371264:1 gene:gene-LSAT_2X95821 transcript:rna-gnl|WGS:NBSK|LSAT_2X95821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIKREMVKKTMIMMEVNQKNYLLDSNEAENERIKNDGDNNKKEGETEVKEKDGKNNQNDNDEEKKADDNEETNNHEETIQQTENENLLDKVVDNIMDNVFEIEISSLNSQEDEIWNDHEMKTILDDIDIGSPMSTCKTNTLAEKEKSEGVHEQGTKVEKTKGDDIDRNKGGTEANNTKDGGEDKHRETEKGNAKDKDTHPSFSLALSQDSDQTSSKKLGPSKHDLDQPRKKKLADAFKSPFKCRITDTKPKLTHQESIVYEWLFNLQGNTSDVVVQTKYGHITERAVMKVYMQTHRFLEKF >cds-PLY87539.1 pep primary_assembly:Lsat_Salinas_v7:8:96937408:96938773:-1 gene:gene-LSAT_8X67921 transcript:rna-gnl|WGS:NBSK|LSAT_8X67921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTEQFRNDSRFIPLTMVKFLDDMEREKPIRFTSQQLRIATENFSILLGSGGFGTVYKGLFSNGTAVAVKVLNGTSDKRIEEQFMAEVSTMGRTHHFNLVRLYGFCFESSLRALVYEFMVNGSLDNHLFKASKGPIIGFEQLYEIGLGTARGIAYLHEECPQRIVHYDIKPGNILLDSKFCAKVADFGLAKLCNRDNTHITMTGGRGTPGYAAPELWLPLPVSHKCDVYSFGMLLFEIIGRRRNMDVRLADSQQWFPIWVWGKYEKKELKDLMMVCAIEEKDHEAVERMLKVALCCVQYRPETRPVMSIVVKMLEGALPVPEPLNPFSYLFSGVNEVDDSLARLAWNDGGSDWSSSDVNTKSTVVAGTPLMKRHEITMASE >cds-PLY71049.1 pep primary_assembly:Lsat_Salinas_v7:3:160995646:160995867:-1 gene:gene-LSAT_3X101441 transcript:rna-gnl|WGS:NBSK|LSAT_3X101441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHALLSSLNYVGGVATEINAVNYVSPRSWLATSHFVLGFFFFVGHLWHAERAHAAAARFEKGIDCDLNLFFP >cds-PLY90425.1 pep primary_assembly:Lsat_Salinas_v7:8:214353272:214353929:-1 gene:gene-LSAT_8X134201 transcript:rna-gnl|WGS:NBSK|LSAT_8X134201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKPGFLAASIAAASATTAINGTKTSHEERGISIKHQTDSSQEKMSCCSEKFAPRFDGLRFIETLITAHR >cds-PLY64249.1 pep primary_assembly:Lsat_Salinas_v7:7:2676151:2676585:1 gene:gene-LSAT_7X1560 transcript:rna-gnl|WGS:NBSK|LSAT_7X1560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEAGDEKRELVFKEDGQEYAQVLRMLGNVRCESMCIDGTKRLSHIHGKMHKVLIAAGDNILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENIRLNEGIIGGLDDEEDGAGDDYIKFEDEDIDKI >cds-PLY86464.1 pep primary_assembly:Lsat_Salinas_v7:8:3578272:3581131:-1 gene:gene-LSAT_8X2800 transcript:rna-gnl|WGS:NBSK|LSAT_8X2800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQAVLDDIIQRLLQVRGKPGKQVQLTEPEIKQLCVASKEIFLQQPNLLEIEAPIKICGDVHGQYSDLLRLFEYGGLPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPNNFFLLRGNHECASINRIYGFYDECKRRFNVKLWKTFSDCFNCLPVAALIDEKILCMHGGLSPDLNNLDQIRNLRRPTDIPDSGLLCDLLWSDPCVDVKGWGMNDRGVSYTFGADIVTEFLEKHDLDLVCRAHQVVEDGYEFFANRQLVTVFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAEKKNKFNSSGITLFGSTTTAKPGNTPPGVKQFMGSRV >cds-PLY90272.1 pep primary_assembly:Lsat_Salinas_v7:4:183873833:183874892:-1 gene:gene-LSAT_4X107421 transcript:rna-gnl|WGS:NBSK|LSAT_4X107421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYLPGRTTPFGDNKSSSSLTFEEFVPPSAWTEDSTYHYLLVDLPGFKRQELKLQADNKTHIVVSGERQERENKYKRFEQRFELPTNADVDKITGKLDGEILYISVPKKIEPQHQEIKHGGEIEPEPEPVSASASASVLESEPEPVSSDEEDDDEKKSDANENESDKKDGEKGIIERKEDKRVFNEDWEKEAHLYLRIAIEKIRKNKGIVVTAIFAFSLGVMVSQKFQSNGQTVIV >cds-PLY82371.1 pep primary_assembly:Lsat_Salinas_v7:3:116861183:116863318:1 gene:gene-LSAT_3X81080 transcript:rna-gnl|WGS:NBSK|LSAT_3X81080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKGFAVADFQIVLFLLFILTSHGRDWLEEALTAQLQPQDHELSSSSPYLGLPLMRVVNISRCSLRFMLISIY >cds-PLY68193.1 pep primary_assembly:Lsat_Salinas_v7:8:118916531:118919021:1 gene:gene-LSAT_8X82620 transcript:rna-gnl|WGS:NBSK|LSAT_8X82620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SLT1 [Source:Projected from Arabidopsis thaliana (AT2G37570) UniProtKB/TrEMBL;Acc:A0A178VWH9] MGDSLLTGFLMLNHHPSTFLSMDSSASSSHDDLDLEMSHQIINPTRPPDINLPLSDERTSPPSWNQDQCEVLDVGVGLASQLYETESFLNVPKVGRKCAKRVDSIWGAWFFFSFYFRPVLNEKSKSKIVRDSNGVSGYDKSDLNLDVFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIEVVPLPNLTILDEDELKRWTELTGRDLNFLIPSEASDFSSWRNLPNTEFELERQPVTRTNNLNSQSKKLLNGSGLNLSTHTNGDADLSPVIKKRKDLLEDICLTVNNHPPDGLPNPNPTPNPNPNEPYWLNEFSGVVRNACGPVTAAKTIYEDEEGYLVVISLPFVDLQKVKVSWRNTLTHGIIKVSCISVSRMPFVKRRDRTFKLTDPCLEHCPPGEFVREIPLSTRIPEDANIEAYYDGPGSVLEILVPKLREGPEEHEVRVCLRPHLVGNELMLT >cds-PLY84140.1 pep primary_assembly:Lsat_Salinas_v7:6:189836402:189840061:1 gene:gene-LSAT_6X116460 transcript:rna-gnl|WGS:NBSK|LSAT_6X116460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETLSANFVFGDSLVEVGNNNYIASLSKANYIPNGIDFGMPTGRYTNGRTIVDILGEELGLKDYTPPYLAPRTSGPLLLRGVNYASGGGGILNETGKIFGGRINMDAQLDNFANTKQDIISRIGATATQELLSGALFSVTIGSNDFINNYLTPVVSTIERKLVSPEAFVGTLISRFRLQLMRLDDLGARKIVIPNVGPIGCIPYQRDINPSEGNDCVALANHLAQLYNRQLKSLLEELNIELKGSTFLFADVYNIVADIIQNFESYGFENSDYACCHVTGQHGGLIPCGPTSKVCTDRSKYVFWDPYHPSDAANAIIAKRLMDGGLEDISPFNIRALFGS >cds-PLY75366.1 pep primary_assembly:Lsat_Salinas_v7:6:178510747:178513874:-1 gene:gene-LSAT_6X108361 transcript:rna-gnl|WGS:NBSK|LSAT_6X108361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIPALLTSAGMNIGISVGMFSLYSILRKQPLNVNVYFGQRIATGRTRSDNICFERFTPSASWIKKAWDASEEDIFACGGIDAVVFLRIVVFSIRIFAIATILCTFLVLPLNYFGQGMVRLEDATAMDLLTIGNVREGSRWLWAHCFTLYVVSACACTLLYFEFKNVARMRLDQVNRFPTRPSNFTVLVRGVPWSPVESYNESVGKFFSNYYQSSYISHQVVYRFGAVQQLMEDAEMVYNVMTTVPVKQCCEPNANPDRCGLCGARSNSFKVVGHEHKCTGQKVDDGLREKECEAALVFFKSRYDALVVSHTIQSADPMLWVTQLAPEPRDVFWQNLCVPYNLLWIRKISVFIASIVFSVLFLLPTTFVQGLMKIQRLQAAFPFLRRVRDRSVINLITGYLPSLVLTVFLTIVPPLMMLFSTLEGAVSRSTRKRSACAKILIFMFWNVYFSNILSGSWIERMGKLTVTSPKDLATLLAELIPRQASFFMTYVMTSGWSGLSFELLQPVPLVGNWLFKCVLMRDDDFVRPITFSYHTEVTRVLLFGLLGFTFCILAPLILPFLLVYFFFAFLVYRNQIMNVYYVKYQTDGSYWPLAHNATIFSLILTQVVAGILFGMKKSSSASTSTIPLIIGTALFNVFCKNRFLPLFRNRAAQVLIEMDRDDQRSGKLDEIVEKLPSAYNQVELCAEMMEEKEEPPPEKPKKGSDESLKDLEIVKPDSPSGESLEDVEIVKPGSPSESGEQSPQVEIEPDSNIVSIK >cds-PLY77942.1 pep primary_assembly:Lsat_Salinas_v7:1:25217483:25219260:1 gene:gene-LSAT_1X21400 transcript:rna-gnl|WGS:NBSK|LSAT_1X21400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRRATEIELDTMEGFASREKLHHHLNDQELDFNGHAVMSALIAPERSVKFHGSLVAQLLLKDENLPMNDFVSDWSSTLLTTVSQASKADDISLTRVALSAFLLSLERCPGAQRVVMEKGLHLMRETAKRTMNHKSVQESLAKGLESLCSGDMRLSLEEGQKWSCILLPWVFRETSSDAIRSSAITILSRICEDYGPSSIPISQGWLAIMLSDILKSRKLSLKGSAQPRDKVKTQIDQANVLSGTQSVNQLASAVVNLAVNGDSFALEDFLTLEPFINTYKNLKKGNIPKVNALDSALATLKAIKAMTEICSDDLFSQKKIIDYGIIPLLRRFLLSDDYEKLSAIEAYDAQDESYTMVWIKLASSGLCMGGLVVKQMLHQASAENRGNLVKNSVGVDMSIGTSWNI >cds-PLY88349.1 pep primary_assembly:Lsat_Salinas_v7:9:18154133:18157277:-1 gene:gene-LSAT_9X15841 transcript:rna-gnl|WGS:NBSK|LSAT_9X15841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASANPSANNNGSTSNGNGATTANNXXXXGGGAAVQENSVVGPTQSALRHNPGLAVEWAPDEQSLLEELLTKYASDSSLLRYAKIAKKLQDKTVRDVALRCRWMSKKENGKRRKEDNNSSRKNKDKKEKVTENLVKSSSHTTNRPNGPPYAQSAVSMDSDDGISYKAIGGTAGQLLEQNAQALDQISANFAAFKVHENINLFCQARNNIVAILNDLNDMPEIMKQMPPLPVKINEELTNSMLPHASLQKKS >cds-PLY73231.1 pep primary_assembly:Lsat_Salinas_v7:8:178663762:178668029:1 gene:gene-LSAT_8X115900 transcript:rna-gnl|WGS:NBSK|LSAT_8X115900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine zipper 63 [Source:Projected from Arabidopsis thaliana (AT5G28770) UniProtKB/Swiss-Prot;Acc:B9DGI8] MDRMFSVDDIAGHFWSPSPAAGAADEDESSSSSSASKSKSTPAMMNRSMSEWQFQRFLQEASAPPESSSSRPNEVVEIKDQDEVRDKNQAVATTTATLKNNESGRTTGSTTGGGAAATTSFGASLAGGPPNVPIDSEEYQAYLKSRLNLACAAVALTRASSAKAQDFAGVTDNGSQASNTSQLGYQVPSKVSGFDPKGPSKESGGPIGIPSLPIIQKKAVAIPVKSATSGSSRELSDDDELDGDTETTQNMDPTDAKRVRRMLSNRESARRSRRRKQAHLTELETQVSQLRGENSSLLKRLTDISQKYNEAAVDNRVLKADVETMRAKVKMAEESVKRITGFNPMVQTMSDISTMGPMPSYSCSPSDAPSDTSVPLQDDMKQQHFYSGQPPPATNPHHPTPATNHHIPAQRMPVNLPPVENVQPHNPMMPEVGPPKIGRTASMQRVASLEHLQKRFRGDVPQDR >cds-PLY90497.1 pep primary_assembly:Lsat_Salinas_v7:1:206211824:206213797:1 gene:gene-LSAT_1X128160 transcript:rna-gnl|WGS:NBSK|LSAT_1X128160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLANFKKGLVSAPKELFSPSHDQQRKYHYQNQDPMNHFLNSNPNSLSFSFGNDASLAFDPSSQQRLFSSMDDIYCIFLGGLDNLCTLNKQYGLTKLANEPMFVIQAYKTLRDRGPYPAHSVLREMEGSFGFILYDLKAKTVFVSLGADGGVKLFWGIAADGSVVISDNLMVIKSSCSKSFAPFPAGCMYHTEGGLMSFEHPKNKMKAISRVDSEGVMCGATFKVDVYSKTTQAMPRVGSEANWATWG >cds-PLY91510.1 pep primary_assembly:Lsat_Salinas_v7:7:142975310:142976664:1 gene:gene-LSAT_7X85580 transcript:rna-gnl|WGS:NBSK|LSAT_7X85580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNLCRNSGYVAPEYAIYGQLFEKVDTYSFGVVVLEIISGKRYKDIDYQLVTQNLLDHAWDLHESGTHLNLSQKSLQERAPVYQWEGLIELMGTESRNVGGLEFLHKYHVEIEA >cds-PLY79571.1 pep primary_assembly:Lsat_Salinas_v7:8:118626497:118627286:-1 gene:gene-LSAT_8X81640 transcript:rna-gnl|WGS:NBSK|LSAT_8X81640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHLPKSPPPLTRHSSQPVPRPSGNLATSTPLSPTPTSITLQQADPKRDSRRDPRRHDPSRVVGTPSDHIVEDATSLTQRIPNEQQVGPDEKLNIVSDVAISPIDSHEQKSTDIITMTDEDHLPSSSFLDEDQLSPPGRVETAVMEETYVDLPAVPSYVELTEEEQTDARKMAIKRIIDCWVNR >cds-PLY96875.1 pep primary_assembly:Lsat_Salinas_v7:2:110528560:110529918:-1 gene:gene-LSAT_2X51680 transcript:rna-gnl|WGS:NBSK|LSAT_2X51680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLASECELYVATNIGFLYLDKIYDTGDVAWTRLFCSQEEILIVCMSVFPGLGCVDNWIALGDGKGIFSVVRIADIQTPELNLSFTWSAEAEKQLLGTYWCKSLGNRWLELDLKLVADIGIVGAPNAGKSMFLSVISVDM >cds-PLY71387.1 pep primary_assembly:Lsat_Salinas_v7:3:228576734:228577087:1 gene:gene-LSAT_3X130500 transcript:rna-gnl|WGS:NBSK|LSAT_3X130500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFATDIQVIHGRYRGHCAPIVSGNGTPLSLHFSSCHGSGCLVDGRLDRRGIARCHCHCQATISGTLIALRHTFPLLPRKPTSTTAVSTCSCCHCHQPSPPGGGGIRLQESSVAGCDI >cds-PLY85986.1 pep primary_assembly:Lsat_Salinas_v7:3:132980808:132981059:-1 gene:gene-LSAT_3X89840 transcript:rna-gnl|WGS:NBSK|LSAT_3X89840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHQIVNDKEKVWCKTKGFKIATIASAGATISIGNVLSSSIHFVVRNPSLAKQPFDYVILGFALTEAITHLPQ >cds-PLY77404.1 pep primary_assembly:Lsat_Salinas_v7:9:201217852:201218220:1 gene:gene-LSAT_9X123700 transcript:rna-gnl|WGS:NBSK|LSAT_9X123700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGEAAAAASKGGGARWQRVVAGMFGGGSRSLTTLYAFFLPYSCGSSSSGMEEMMGKPSKIATQQQPRPEVVFFDGGKGNFGSLLVPFFASFWQWFDEGKIWEGGEEVEVVLGGDLDQWGWF >cds-PLY79643.1 pep primary_assembly:Lsat_Salinas_v7:5:253643918:253646413:1 gene:gene-LSAT_5X128740 transcript:rna-gnl|WGS:NBSK|LSAT_5X128740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDPKQLNPNWAQLRQKLGYGSELSSKKSGTETKESILGKRKERSDNDEASEREGNVLAPTSSDSSITDEIAMDCEMVGVSPLGNKSALGRVTLVNKWGNVIYDEHVRPVERVVDFRTQVSGIRPRDLKKAKDFRVVQKEVAELLKGRILVGHALRNDLKALLLSHPKKDIRDTAEYQPYLKEGRKKALRHLADEVLGVKIQNGEHCPIEDARAAMMLYMKKRREWERSVKDFVKMRLKQKKRKPKKHKKEASPVAS >cds-PLY77676.1 pep primary_assembly:Lsat_Salinas_v7:9:18261034:18266172:-1 gene:gene-LSAT_9X13421 transcript:rna-gnl|WGS:NBSK|LSAT_9X13421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLICISYSAYNRHWFKVFPSYLLFFPSSNIYKATNGYLRTFNEVDGLDICTSNWCPFNTLVISKVWVSWFRFVWTMSLLLVFADSESTHPDEVTTLRAIRQSFIDPNSRLRNWRRGDPCVSNWTGVLCFNKTLDDGYLHLRELQLLNLNLSGTLSPEIRRLSYMQILDVMWNNIGGSIPKEIGQLTSLKFLLLNGNNLTGSLPEEIGNLPNLNRIQIDQNHISGPIPVSFSKLNNTKHFHMNNNSLSGQIPPELSRLPNVVHLLLDNNNLSGYLPPELSKPPYLIILQFDNNHFEGSIPSSYGNMLQLAKLSFRNCNLQGPIPDLSRIPHLTYIDLSDNNLNGSIPPVNLSHDITTIDLSRNQLTGTIPPIFSGLPNLQRLSLSNNSLNGTVPSTIWQNKTFNSTKKLTVDVKNNWLSGVSGSLDLPPNVTLSLQGNPACSNASLAQFCESSTTLVSHLQSIPSNSTDKCPPESCPNNFEYAPGSPTPCFCAAPLLVGFRLKSPGFSYFETYFYPFEVYLTSGLEINHFQLDLTYEWQKGPRLGMYLKLFPVYTVPGSNVFNRSEVLRIRSLFTQWEIPYNKFYGPYELLNFTLSDPYKNYFPTTSPSSGISKDAVAGIVVGAIVGAVLVSAFVSAYILRSHRKRYDAVSGRRRGEQTCGFTNHVSVYIPDTRSKHLCAVSRASLKIEGVKSFTFEEMKHATNNFDVGSEVGHGGYGKVYKGVLTDGTAVAIKRAQEGSLQGEHEFLTEIELLSRLHHRNLVSLLGFCDEEGDQMLVYEFMSNGTLRDHLSGKYKESLSFAMRLRIALHSAKGILYLHTEANPPIFHRDIKATNILLDSKLVAKVADFGLSRLAPVVDISGIAPGHVSTVVKGTPGYLDPEYFLTHNLTDKSDVYSLGVVFLELLTGMHPITHGKNIVREVNIAYQSGKIYSVVDANMGSYPSECVEKFATLALNCCWEDTDGRPSMAEVVREIENIWQMMLDLDPRTIDSVDITEPVSSQCSSLTKNMFLVSSDISGNDLSSGVIPTISPR >cds-PLY72632.1 pep primary_assembly:Lsat_Salinas_v7:6:47877966:47879368:-1 gene:gene-LSAT_6X34961 transcript:rna-gnl|WGS:NBSK|LSAT_6X34961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSASLRCLAKTLTVQPSEKNPKSIVCIWSKDYRPLLIIEEWLCKEEKGLAKGAARESHSEDWGHRGYIIQGGCSSSDCARVISGFASFQHSRGTSEDRGKAPA >cds-PLY62752.1 pep primary_assembly:Lsat_Salinas_v7:9:106055703:106056839:-1 gene:gene-LSAT_9X76840 transcript:rna-gnl|WGS:NBSK|LSAT_9X76840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMSNDPFDGKKILERVPVDPPFSLSDLKKAIPAHCFKRSVIRSSYYVVHDLIVAYVFYFLANTYIPFLPAPLAYLAWPVYWFCQASILTGLWVIGHECGHHAFSEYQWIDDTVGFILHSALMTPYFSWKYSHRNHHANTNSLDNDEVYIPKRKSKVRWYSKLLNNPPGRVFTLVFRFTLGFPLYLLTNISGKKYGRFANHFDPMSPIFTERERIQVLLSDLGLLAVFYAIKIAVTTKGAAWVACIYGVPVVGVHVFFVIITYLHHTHLSLPHYDSSEWNWIRGALSTIDRDFGFLNRVFHDVTHTHVLHHLISYIPHYHAKEARDAIIPVLGEYYKIDRTPIFKAMWREAKECIYIEPDEDNKHTGVFWYHKM >cds-PLY83284.1 pep primary_assembly:Lsat_Salinas_v7:8:191473858:191476509:1 gene:gene-LSAT_8X124140 transcript:rna-gnl|WGS:NBSK|LSAT_8X124140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYADVQMFVKKLKQLIYSNDIPIIKIPSIVREGPQFQMLYEELGSMIQNLFIHQNHDLENFEKVTSLRKRFKAVAEEAEDIVDLFLSTVHFGNYGYFPTSVFHPSLNLEVVMRSIDSIKMEFMTMRMDNMKMDASQRRGTLQMQSAGTSGVRNSLGSKKLLEKVVVGFDRDAEIIRDKLAEDGKHLDVVSIVGMGGIGKSTLANKVFTDPFVVYHFYVRGWVTISQTYDKRDLLIQVLSSIDDQLELKKATDSQLHEMLHRSLYCKRYLIVIDDIWSTQAWDKLKLFFPDHNNGSRILLTSRLTEVAAHAKSHGLIHHLQHLTEEESWKLLCEKVFQGDECPEWLIEHGKQIAKNCHGLPLSVVVMAGVLAKEPRTENMWLKISCSFHSYIASDEKGCLETIALSYHHLPLHLRECFLSLGGFSEDFQIYSARLIRLWMAEGFIQEDRSQSLEQIAKGYLMDLVDRNLLIVERWTLLGDVGLCKVHDLVRQVCVEKGKEERFFLKIDSPPSNRLCEAITTHKQLRVVTNQEIDIMRLSPSPSPSIRSLVCFHRKTTLTDNMSKFFSCFALLRVLNLYRCELIDFSHGLALLVHLRFLQIWLSSFPPSICNLWNLQTLIVTTSSSSMVLPNNISNLVNLRHLWCTTDLYLPSIEKPMKLECITDVVLGDGVDNFQKCFPRIKELTSTLYFDEENDFEVLHHLQILSLIGSGYSRRRSVEREFLRGEPNLGKNHIIIRFPATLKQLQLQSCCLPWSDMSIIQSLPNLESLAIKDNGFKGTLWETGEEKFQQLKVLGLEELNVKQWEASSINFPCLQKLWVVNCVYLEEIPLELGDISTLKSIYVSNCGTSLFTSLQKIRQEQDDVGNYELNIKVDGMYVPQHDD >cds-PLY91303.1 pep primary_assembly:Lsat_Salinas_v7:5:251177289:251178109:-1 gene:gene-LSAT_5X126221 transcript:rna-gnl|WGS:NBSK|LSAT_5X126221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLWFGKWTAIAKESVDRAAIFAKFLCLLHVTNTYIFSPTLVYGPSMLPTLNLTGDVILSEYISHRLGKVGPGDVVLIQSPENPRKTITKRIVAMEGEVVSFLVDPSRSEKSRTVVVPKGHVWIQGDNIYASKDSRNFGPIPYGLIQGKVLCRVSNFITVWFAGS >cds-PLY62878.1 pep primary_assembly:Lsat_Salinas_v7:9:130501975:130506544:-1 gene:gene-LSAT_9X84580 transcript:rna-gnl|WGS:NBSK|LSAT_9X84580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRIESESTRCKPLENVLEKLRVVYVTAKLFKNRWLESFRVDDNRFGRIGVGHLLCPLTRFSTLQIQANITLKSITFEGRRTKIGRDEQITIMETLQVNPWIEEIDLARTPLQSSRKAEGIHLKLGQMPLGRRDGLVSRAADTINLPAFNDPVDVQIRKFAEKGLNTQDLVTLSRAHTLGTGACLVFSYRLYNFNNTNGPDPSIDPAFLTTLRNLCPNGGDNRRRVALDTGSENRFDTSYFANLRSGRGVRESDQLLWGNPTTRTIAQRFLGVSGLVGLTFNVEFARSMVRMGNVEVKTGTQGEIRRVCSAFN >cds-PLY88578.1 pep primary_assembly:Lsat_Salinas_v7:7:8129462:8131450:-1 gene:gene-LSAT_7X7681 transcript:rna-gnl|WGS:NBSK|LSAT_7X7681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYPILFLLLSSLFTFIYALTISGRRNSRLPPGPYPFPVIGNLLKLSDKPHRSIATLSKRYGPLMSLKLGSRTTIVVSSPDLAKEFFHTHDISFSSRTIPYTARIVDHDKYSIAWLPTGDEWRRLRRITREFFFSVQCLDASQLLRGEKVQELVDHVRECCRNEKAVNIGAAFFTTTLNILSKLIFSVDFAEYDTESSQEFKEAVMALLNFGGRPNLADFFPILKPFDPQGFVRQGNVYGKKLLTIIDRIVDQRLQSRLSSSSLTNNDVLDSLLNLVHQEESMFSLEDMRHLFLALFITGTDTTSSTLEWAMTELIRNPEKMNSARLEITKLMQNKKENILEKDISQLPYLQAVIKETLRLHPPAPFLVPHQAINNVEVQGYIVPKNAQIFCNVWAMGRDPNIWSDPETFMPERFLEVNIDYKGQDYEFIPFGAGRRICPGLNIAHRMLHILLGSLIHKFDWKLDGNIRAQDMDMEEKFGLTLPRKVPLMAIPIEL >cds-PLY65333.1 pep primary_assembly:Lsat_Salinas_v7:6:40094268:40095324:-1 gene:gene-LSAT_6X30620 transcript:rna-gnl|WGS:NBSK|LSAT_6X30620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCVVAVARQRGIDVVLNDESKRETPALVCFGDKQRFLGTAGAATSMMNPKNTISQIKRLIGRPFSDPELQQDLKALPFSVTEGPDGFPLINARYLGETKSFTPTQVMGMVFSNMKTIAEKNLNAAVVDCCIGVPIYFTDLQRRAVMDAATIAGLHPLRLMHETTATALAYGIYKTDLPENEQLNVAFIDIGHASMQVCSEAQCPLVQYITRELLTCLIMEHVMRFASPEYAPVRSDSVVGELKLSEPTDVILLEITRIEMLAVTGKDFNPSTL >cds-PLY89209.1 pep primary_assembly:Lsat_Salinas_v7:8:268814314:268819420:-1 gene:gene-LSAT_8X155280 transcript:rna-gnl|WGS:NBSK|LSAT_8X155280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKIDFFSILVVLLLVHGTHVVKSFYGFDELESLDVEDDEIEFLELPSWSSQHGSKVMINVDSFGAVGDGVSDDTKAFENAWSKACSTAKAVLLVPPGRSYLVNATRFKGPCATKLIVQIDGTIVAPDEPKNWDSKNPRNWLHFSNLTGATFQGHGIIDGSGKKWWAASCKKNKTNPCLGAPTAFTIDQSSSIKVQGLTFQNSQQMHFVISHCDSVRINSVVVSAPEDSPNTDGIHLTGSSNVVIQNSKIGTGDDCVSIVNASSNIKMKNIYCGPGHGISIGSLGKDNSTGIVSKVVLDTAFLKGTSNGLRIKTWQGGSGYVKGVRYQNVKMDNVANPIIIDQFYCDSPKSCQNQTSAVEISQIMYQNVTGSSKSTDAMKFACSDTVPCNNIILKNINLLKLDGKTAQTYCNSVTGINYGQVQPSADCLTSSDKSSIEEIEVNDNERLIDKSSIEEIEVNDDERLIHTEL >cds-PLY70504.1 pep primary_assembly:Lsat_Salinas_v7:1:76869351:76869572:-1 gene:gene-LSAT_1X64121 transcript:rna-gnl|WGS:NBSK|LSAT_1X64121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRADKIRIQKWYTIYKDHITLQDYEIHDGMGLELYYN >cds-PLY77236.1 pep primary_assembly:Lsat_Salinas_v7:4:178180222:178184240:1 gene:gene-LSAT_4X104581 transcript:rna-gnl|WGS:NBSK|LSAT_4X104581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSNSLMWFRKGLRIHDNPALEYAAKNSNHVYPVFVIDPHYMKPDPNSFSPGSNLAGLNRIRFLLESLVDLDSGLKKLGSRLLVLYGEPSDVVIRCLKEWDIKRLCFEYDTEPYYQALDTKVKNYALENGIEVFSPVTHTLFNPVEIIEKNGGKPPLSYQSFLKLAGEPHWSISSPPSTIPPIGDLGNLEVSEVPTVEQLGYQDFQEEYPDPPLFKGGESEALRRLKESTNNKEWVATFEKPKGDPSAFIKPATTMLSPYLKFGCLSTRYFYQCIKEVQQEVKNHTSPPVSLIGQLLWRDFFYTVGFGTPNFDRMRGNTICKQIPWNDDDELLAAWRDARTGFPWIDAIMVQLRKWGWIHHLARHSVACFLTRGDLFVHWEKGRDVFERILIDSDWAINNGNWLWLSCSSFFYQYNRIYSPISFGKKYDPTGAYIRHFLPVLKDMPKEYIYEPWKAPLSVQTKAKCIIGKDYPKPVICHDDAIKECKRKLAAAYELNKKTNGVVSDEDMKKLRRKLVEDQGQGNDSRRLKQKLI >cds-PLY89537.1 pep primary_assembly:Lsat_Salinas_v7:4:158253798:158255791:-1 gene:gene-LSAT_4X95241 transcript:rna-gnl|WGS:NBSK|LSAT_4X95241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIIHDNQNHPLMFPFLFVSLLSFSLLLFSLYTRRRRRQRQLCLHHSPPLLTDIVRTINTNDRIHSTTIQNLKPNVSNPNNSLLLEILPALYEDNQAPEKSDSTVAGAEECGKKKKKKRGKKKRSDADDSSKSQVVLKEGLIEGIGKEKENQDLVCLYPFTSSSSATQRKIKQQYDQLVKSHETDGLTLLQVGQFANCLIEARDELKHKSEVIHRKFTITKALLFKADRSSFDRLRQQIYKLELEQKRLEEDAFVYNWLQQQLKLSPAYKKMLEIGVYMEMKAKSVETTEDTDSDISDISFEELLAQEKKDSFWLVFKDTLIC >cds-PLY68404.1 pep primary_assembly:Lsat_Salinas_v7:8:23185581:23186769:1 gene:gene-LSAT_8X18241 transcript:rna-gnl|WGS:NBSK|LSAT_8X18241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRDKTKADLFAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRTSIAQVLTVISQTQKAKLREAYKNKKYLPLDLRPKKTRAIRRRLTKHQVSLKTEREKKKEKYFPLRKYAIKV >cds-PLY67386.1 pep primary_assembly:Lsat_Salinas_v7:4:211722450:211724877:-1 gene:gene-LSAT_4X118880 transcript:rna-gnl|WGS:NBSK|LSAT_4X118880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSCAKNNEGEKSEIRKVVNWVMIHQSAGSFSEVATGEFILEVGELLKLRETLTRVYVLRTGKPLWVVSKDMEIDVFISAIEAQAYGIVDLIAVE >cds-PLY78487.1 pep primary_assembly:Lsat_Salinas_v7:7:175166821:175168172:-1 gene:gene-LSAT_7X104700 transcript:rna-gnl|WGS:NBSK|LSAT_7X104700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKNQSPEAEASVGCKMQEKRDLSSATVETGKGRSRINKKITHGYHSVEGKHPHPMEDYVFAQFKQVDGNELGLFAVFDGHLSQEVPDYLRSHLFDNIINQHDFWTEPEEAIRRAYEFTDSTIINKAIKKRKGGSTAVTAILINCEKLVVANVGDSRAVLCRDGVAKQLSVDHEPNKERKVIEEKGGFVTEYPGDCARVDGSLAMTRSFGDRRLKEHISSEPDVLVEIVDDDTEFLILASDGVWKVMSNQEAVDCVKDTEDPRSAAKKIIKEAVEKKSRIDISCIVVRFQ >cds-PLY96022.1 pep primary_assembly:Lsat_Salinas_v7:1:12860267:12864252:1 gene:gene-LSAT_1X10481 transcript:rna-gnl|WGS:NBSK|LSAT_1X10481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLDVLEARMAMGMCMGVHNPTTLRSAPSFRRTKLRAKPTAALIASSSSRTEGRTVGGLLLQPKHDLNEVKGDDATVNNIIIKKKKRVFFLDVNPICYDGSTPSLHSFAHWISLFFCEVSLTDPVIAVIDGEGAHEYRKQMLPSYKAHRRKFSPWVSESAKAPIRRSYQLISDTLTKCNVPVVKIPGEEADDVVATLVEQVLEMGYRVAIASPDKDFKQLICQDVQLVMPLPDLKRWSFYTLNHYIAQYKCDPLSDLSLRCILGDEADGVPGIQHLVPGFGMKTALKLIKKHGSLENLLNAAAVRTVGKPYVQDALTKHASHFRRNYHVLSLRRDVNIRIQEEWLHKRHTQNDSEIISSFLDLLGETRSSKMLKRVQ >cds-PLY65424.1 pep primary_assembly:Lsat_Salinas_v7:9:184240413:184240972:-1 gene:gene-LSAT_9X113500 transcript:rna-gnl|WGS:NBSK|LSAT_9X113500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGRNKHTWTTEEDAKLIEVLLELHVSGKYGGTDNGLKPDYLKTMQQLLDLSLHNSGLKAEPHIKSRMKTWKNHFNIVHDMIYGTNTSGFGWDTDKCCVTVDAEVWDEYIKSYKGAACFRDKPFPQFDNLCKIFVKDRATDLGEDVTEETQKLSC >cds-PLY71022.1 pep primary_assembly:Lsat_Salinas_v7:9:70968868:70972441:1 gene:gene-LSAT_9X59361 transcript:rna-gnl|WGS:NBSK|LSAT_9X59361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVLSAYFVVLPLRDEGAISLGIGNIPGLFVGSLILTLIAAPLSTLIFSLPNLPKAKALVLIHRFFSASLVLFFILWALSSPANTFPTFKGFFSVSSTIKDEIKVETEPVSTNPSDWDKHGWFYISVRIGFFLWVALLNLITISSTWARVIDVMDNESGSRLFGFIGAGATLGQLFGSLFATAMAWVGPYLLLFAALLMELAAQTSKRINKDVSVPSEEMVSLRKTKVDDQDEINEPDSSDHKQSSSPIASTSNLDSTKPRFWALLDGFSLIWSSNYLLVVSLFLFLGAITSSFFYFQKVTVIASTVTTPTERRRLFAQINSFIAVFILAGQLTLTGRILTVAGVTVALCSAPFISFLNTITIAVWPTYFSVALSETIRKVVTYVVTRPGRELLFTVVSQDEKYKAKVCIDVLVQRFGDATAAGMYKLLYSNLNGKTTHISLYAMPISVLWIFTGFHLGRRQTQLAKSQNLSFS >cds-PLY90078.1 pep primary_assembly:Lsat_Salinas_v7:6:18185579:18185887:-1 gene:gene-LSAT_6X14140 transcript:rna-gnl|WGS:NBSK|LSAT_6X14140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLNTWIVPSSSEFAALQDRFGFLSEHGVEYPQKGAIISRPPEGKVGVPMPNFEAGLRLPTMDYFDKMLRQDGFCVDDLTPNVLNNIVGFELVCRALRVLP >cds-PLY71260.1 pep primary_assembly:Lsat_Salinas_v7:5:181996732:181998511:-1 gene:gene-LSAT_5X81101 transcript:rna-gnl|WGS:NBSK|LSAT_5X81101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMMGLNNNHHDNNFIDLSQGFYHKLGEGSNMSIDSFNSLNMSQNGGSIAMSLDNSSVGSNESHTRILNHQGLKPTKNYTDALSVHKGRVSHGLSDDALARALLDTRFPTQGLENFDEWTIDLRKLSMGPAFAQGAFGKLYKGSYNGEDVAIKLLEKPENDVERGLVMEQQFQQEVMMLARLKHVNIVRFIGACRKPNVWCIVTEYAKGGSVRQFLARRQNRAVGLKLAVKQALDVARGMEYVHALGLIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPTIPPDCLPVLGEIMVRCWDGNSDARPPFTEVVRMLEHAENDIMTTVRKARFRCCISQPMTTD >cds-PLY74520.1 pep primary_assembly:Lsat_Salinas_v7:7:38621120:38623315:-1 gene:gene-LSAT_7X28540 transcript:rna-gnl|WGS:NBSK|LSAT_7X28540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVNPYTSTLVSSSLSSKPYNPTIRFIAIKPTKPSRKASINCAALQSSPLLHFPHTINREQQESHHPLQWNLLQRAAATALDMFEGALVSRETENPFPKTSDPRVQISGNFAPVPEQAVKHNLPVSGSIPDCINGVYVRNGANPLFEPVAGHHLFDGDGMVHAVNIDGNSVSYSCKLTETNRLIQEREMGRPVFPKAIGELHGHSGVARLALFYARSLLGLVDHSKGMGVANAGLVYFNNRLLAMSEDDLPYQIRITPSSDIETVGRYDFSEKHMSTMIAHPKLDPVTGEMFALSYDVVKKPYLKYFKFSKDGGKSPDVEIPLDVPTMMHDFAITENFVVVPDQQVVFKLQEMIKGGSPVIYDKDKKSRFGILPKNAETSDDMIWVESPETFCFHLWNAWEEPESNEVVVIGSCMTPPDSIFNECDENLKSVLSEIRINLKTRRSTKRSILQESEQVNLEAGMVNRDKLGRKTQFAYLAIAEPWPKVSGFAKVDLTTGEIKKFFYGDERYGGEPFFLPSGRNSQREDDGYILSFVHDENTWKSELQIINAMTMLMEASVKLPSRVPYGFHGTFISSKDLAEQAQY >cds-PLY93329.1 pep primary_assembly:Lsat_Salinas_v7:9:60787120:60788267:1 gene:gene-LSAT_9X53241 transcript:rna-gnl|WGS:NBSK|LSAT_9X53241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGENPPMIALNPNGSYTRNISFPSSLPTPDKDSDTPVLSKDVVINNINKTSVRIHIPKETAISMETPLKNLPLIVYFHGGGFVLMSAASTIVHDFCDHLAAELPAVVVSVDYRLAPENRLPAAYDDALEVLLWIKSSQDPWLINYADVSSCYMMGTSAGANIAYHAGVRVSQRLHELESLKIKGLILHHVYFGGEERTLSEIQLAEAGQLTLATCDFMWGLSLPVDAISREHEYCNPMAGGGLDDISRIKEVGWRVMVTGCSGDLLIDRQREFAKTLELKGVENTCLFEEGGDHGIEYFDKSKAKDLFKWISSVMSTNETK >cds-PLY81276.1 pep primary_assembly:Lsat_Salinas_v7:2:27115765:27117214:1 gene:gene-LSAT_2X12560 transcript:rna-gnl|WGS:NBSK|LSAT_2X12560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSREYAEFVNRSGRKSFQNTPPSKMEIPIPLSVVPFNNEEKGFNRRGDRPRMKSEYLKSPYIIRAVDITKGVPRQEKHAAEWIFSLQGEPNDIVFHTLDGFFAEMFHMESFFPTCELFGHVIDCWSQVLNLDEKSLLKDKFIENMLLSIEDMDASLRYVGLLFLPVVRSFHIFLFVINLQHPEFVIIDNNKVDDHIDKRYGQLPKIIKKYILDYLESQNHPKTEMFSHVMPHRLEMPWRTINNHTDCGVFTMRHMETCMGGSMNEFKVGLKNESPAQDDQLVKLRKKYLYKMITLEYNVQKDYVLQKVDEFHKIPSRQRSELLAIAKEQIHTRLDDFS >cds-PLY75512.1 pep primary_assembly:Lsat_Salinas_v7:9:33534411:33535820:-1 gene:gene-LSAT_9X29281 transcript:rna-gnl|WGS:NBSK|LSAT_9X29281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >cds-PLY79148.1 pep primary_assembly:Lsat_Salinas_v7:4:219183451:219184660:1 gene:gene-LSAT_4X121641 transcript:rna-gnl|WGS:NBSK|LSAT_4X121641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVNIRGGFSLLLLAIFLSGDEVSAAVFRLQNNCSYTIWPGTLSGNDGAALGDGGFSLSPGASVEFSAMPGWSGRFWARTGCTFDEFGNGNCQTGDCGSTLKCNGGGAPPVSLAEFTIGGGISNSDKDFYDVSLVDGYNVGIGIRPTGGAGDCLYAGCIDDLNIKCPAELRVVDNNGAVVACRSACDAFKGAEFCCTGEHATPATCAPTQFSEMFKEACPSAYSYAYDDASSTFTCSGSDYLVTFCPTTRF >cds-PLY91752.1 pep primary_assembly:Lsat_Salinas_v7:9:17178675:17179168:-1 gene:gene-LSAT_9X16480 transcript:rna-gnl|WGS:NBSK|LSAT_9X16480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGLQRLSSQISRNPNLSLYSRAIISRSSATTSSSAKVSDRIVKLTAYDFDGQKREIVGLSGQTLLKALTNNNLIDPASHRLEDIDACSAECEVNIAEEWLPPYDEQYVLKRNARHRILNKHSRLGCQVVLTQEMQGMVVAVPEPKPWDTP >cds-PLY83890.1 pep primary_assembly:Lsat_Salinas_v7:3:51041747:51043054:-1 gene:gene-LSAT_3X40980 transcript:rna-gnl|WGS:NBSK|LSAT_3X40980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRILQKLLTAVFLYFFIFTASSTAHIVSLNLQSNNRQMIPISDFEFTNVGYISFVLSSITVTSTSSRAANESRIGFFLQSHDDDFYLYTREAINQDIFEFKQNTITCPFDFKSNSSVLYTFQNLSHGPQFSFNKSFPITYSSIKSLFFINCNTESLVTMEGHAELYNLDDGNGNKNFLSVGLTTLPFRYIIFSFSYLTLLGFWILVCFKNRCIFNMTHLLMGGLLVVNCVHFMCITSDLHYVKITGSAHGLDVVFYIFQLTRAVLFSTVIVLISAGWFFWKLFLKREEKLVWMIVIVLEVWGNVDPILPWEVVVPYNKESGSFADVIYIFAIFFPMALSITSLNKICETDLNAERNVVKLWLFVMLTIVYVLITKILLLAVPTRWEINVVKETTIFMFCMLMLFIFRPVDRTRIAKADETRFSTSNSSSCLDCPV >cds-PLY78333.1 pep primary_assembly:Lsat_Salinas_v7:2:181499868:181500110:-1 gene:gene-LSAT_2X102660 transcript:rna-gnl|WGS:NBSK|LSAT_2X102660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTVAKEEGKNRDGRKRCKYKRLAGRSRFLILVNDKRQVFLFTNPSLIFVYVFMLFIVDAYTNLDRCKNFDILGLRVSSV >cds-PLY78811.1 pep primary_assembly:Lsat_Salinas_v7:9:172636462:172640452:1 gene:gene-LSAT_9X106661 transcript:rna-gnl|WGS:NBSK|LSAT_9X106661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTANGDAKHSRSPSVVYSEVQSSRLKTSLPLPSVLKSSFHVVDGPKSSASGNPDQIAKLFPNLFGQPSVSLKPGGSLPEKSLKIGVVLSGGQAPGGHNVISGIFDYLQTRAHGSTMYGFKGGPAGIMKCKYVKLNGDIVYHYRNQGGFDMICSGRDKIETPEQFKEAQETVKKLDLDGLVVIGGDDSNTNACLLAENFRANNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNLMVDARSTGKYYHFVRLMGRAASHITLECALQTHPNIAIIGEEVAAQKQTLKSVTDYITNIICKRAVAGFNYGIILIPEGLIDFIPEVQSLIGELNEIIAHDTIDKEGTWKKKLRSQSHELFQILPKTIQEQLLLERDPHGNVQVAKIESEKMLIQMVESELEKRKKEGKYEKDFKGQPHFFGYEGRCGLPSKFDSNYCYALGHCAAALLHSGKTGLISSVENLGAPVENWTIGGTTLTSLMDVERRHGKFKPVIKKAMVELEGAPFKKFASMRGDWSLKNRYINPGPVQFVGPSADILNHTLRLELGAKAGG >cds-PLY63394.1 pep primary_assembly:Lsat_Salinas_v7:7:150504350:150505222:-1 gene:gene-LSAT_7X89640 transcript:rna-gnl|WGS:NBSK|LSAT_7X89640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATPATSRLEIDPSARGFKCSSKAISMEATQATSRLEIDPSAMAFKRSSKAISMEATQATSRLEIDPSARAFKRSSKAISMEATQATSHLEIDPLNYTISLPLPVVPPAAAPRTRRNGRQAPRHGKSPTIPPPFPWATNHRATVHSIKHLTDAGINVISGNMHCKRCDRQYKIEYDLKQKFHDIACYVAENKYKFRERAPATWMNPVLPKCNHCGQDNVMKPVMAEKKKEINWLFLLLGQMLGCCTLEQLKYFCKHTKNHRTGAKDRVLFLTYLGLCKQVDPNGPFERE >cds-PLY66112.1 pep primary_assembly:Lsat_Salinas_v7:7:30669425:30674888:-1 gene:gene-LSAT_7X23740 transcript:rna-gnl|WGS:NBSK|LSAT_7X23740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSPSKFTSVFLVSVILSFSLTTVAENRTTNIGVIIDERTRAGKEQKTAIEIAVQKLNSGSKDHKLAIYFTNSSGDPLETASSANELIEKQKVRVIIDTNSWEETTVVAKVGERARVPVISSTTAALQPLSHLQWPFLVQMTDLDISNEINCIVSLIKAYNWKRIIAIYEDNVYGGEYEGFSILSEALQKIDSIIEHRVVVPHFTSPFDPKETIRDDLATVLTTKQSRVFIVLKSSLSTATRVFEEANKLGLTGRDSVWILGNGFSSILDSIDPLVFRPVEGALGIKTYYSDKNPRFLEFRSTFKAIFKSNYPEEDKSEPCIYAIRAYDSVETVWRALDHLGNLNDTDSGFQEKLLDTILSNNFSGLTNTIAFKDGKLSEPQVLQLVNIVGKSYKELAFWSPGSGFSNTSLKQLSIIWPGDLINRIPKGWVMPNNVKKMKIGVPDSTSFQRFVKVEWIESTNETKYSGFCIAVFRAVVATLEEQYGYTLPYEFINHTGSYDDLIDKVYYKTYDAVVGDVTILANRSKYVEFTQPFTESGLSMVVPVRTDPQRAWKFMRPFTIEMWLASGGILFYTMFVVWFMEHQVNREFRGRWMDQLGTALWFTFSSLFFSHRENIRSNHSKVVVMIWLFVVFILTSSYTASLTTMLTVRMLEPTVQDIELVRRENAPVGCDPDSFVGKYLRDVLNLKNIKNITSQDEYPENFKNGNIVAAFLELPYQKYFLKEYCNKYMAIGPSYKFGGLGFVFPKDSPITDDVSGAILSLLEEGRIINMENQWLDTSQNCSSSDSGVESERLSLDNFWGIFVISGATSTLSLLLFLYRLLHNKIEQRIISYHGSQWNNNNESRFRRAIRLVMIMLSLNPSRVQPRENLDSSQEWNHQNPPQWELVSPTEVPEHLEIGRPTQLEIPMRKIEHTMTI >cds-PLY72481.1 pep primary_assembly:Lsat_Salinas_v7:2:142844471:142844911:1 gene:gene-LSAT_2X70680 transcript:rna-gnl|WGS:NBSK|LSAT_2X70680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDDPMDGIRNKNNVRADERSIRMISTEGSKECMNSTLVRETENFQTLMNVKALPEVEGCPNIQLRYLGGMKMLLDFESVHEKNDFLSNGEAIWRPWFKSLTNWSMEYNYNERIASIIIQGVPQHAWCEEAFTTIAKLWGSVVIPE >cds-PLY93631.1 pep primary_assembly:Lsat_Salinas_v7:MU039104.1:621747:627802:1 gene:gene-LSAT_0X17081 transcript:rna-gnl|WGS:NBSK|LSAT_0X17081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKRTKVTNGGIGSNDNKHLKSCSNKLNTGITFDDQILLDVGPSKEVNNLIVGETELLSRGKRMLIKREKNIGFSKSPIPLMITSARKKGRSSDDFRVVKSFLPEGGEGDSMKIKTPKSVEKVVHVSKEVHPPPITCVMGLQCNVVTNMLQTKNVNVKSPTMIPPNEGKEADGGSGTLKRKRGRPFKSKLKKPKTPLAVTMIHENEDASPLTKTPVEKVPNTTIKKYQSVKGKRGKRRKIKSINMVSPHSLEDSSPKLKQKPRERLGLGLGLELTTLDEDQPLSTWFEGKQRNGGEKLPFEKRSTTLWETLEAMDIFQKLPQNPHFRPLEKEKECTREGHAISKMVSYVRIMESISQQLTLEDRRSVFEESIEALLDLESHGFDVKVAKERVSGLLKIKEKQELLEQESKKVKKQMEVERVEGVRIDKEIELVDQQVVVLLERRAQVLKRKEKKDAEVEGLEAQMEEIHRGISEARCKFDGLAASSLCG >cds-PLY73029.1 pep primary_assembly:Lsat_Salinas_v7:9:36169818:36170882:1 gene:gene-LSAT_9X33161 transcript:rna-gnl|WGS:NBSK|LSAT_9X33161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSQMFLSLVLFFASSLLLQGTLGEIVCEDLPTEVCSFSIASSGKRCLLENFENNNGETEYQCRTSEVVVERMSEYIETDECVKVCGVDRNSVGISSDTLLEAQSVSTICSAACYQNCPNIVDLYVNLAAGEGVFLPDMCEKQRSNPRRAMVELLSSGAAAGPIASANLVNAAAPVSI >cds-PLY64217.1 pep primary_assembly:Lsat_Salinas_v7:7:2110323:2111330:-1 gene:gene-LSAT_7X841 transcript:rna-gnl|WGS:NBSK|LSAT_7X841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGYSSYSWQQPIPLRSDHYMDRWIKNYCLIGEAKTMHHLAHLLELISIHSEHRPSVKYVGGMLALISFHASVGAREFLDGEKNWKDIFKWLKWGDTVAAKSERIASVRIVGLPIQLWNEANFRAILARFGKLVVPFDNIKERMDLSVVKIGILTGEKKKLNEIVQVEIEGKTFKVGVVEYEDEPWFPFVFANEKDPYESESDEKSSLSGKSEEDDTNVLKNDDEDEHFRDDYNHNEDKTDDMEFEDGEIRRVEIGDAPTPSIPPAGGAPGPAGESIVGEPLEVVDGVDQSSPTVSLDSEQLRECFVHGEEEPTPRNPDVKECNVNSYSGADQG >cds-PLY65608.1 pep primary_assembly:Lsat_Salinas_v7:8:39587976:39588421:1 gene:gene-LSAT_8X30920 transcript:rna-gnl|WGS:NBSK|LSAT_8X30920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAIAVLCVLGVATIMGLYIWRISNWLWFKPKKIEKFLRDQGLRGNSYRFLFGDLKEMMQMTRGAKSKPMNLTHDIVPRVLPFIHKSIATHESD >cds-PLY86594.1 pep primary_assembly:Lsat_Salinas_v7:1:133442033:133442260:1 gene:gene-LSAT_0X20100 transcript:rna-gnl|WGS:NBSK|LSAT_0X20100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSVTTIEKEPLKTADEVANIRCHPPPSPSPSAFRRCYCRSRPPHFFPSSADASPRENEVVATVFVGSRHLLSSR >cds-PLY66760.1 pep primary_assembly:Lsat_Salinas_v7:8:72171679:72171963:1 gene:gene-LSAT_8X52521 transcript:rna-gnl|WGS:NBSK|LSAT_8X52521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILSTHKLFIFLTCIGILVVEPYTVSGVRSVGIELRFTKEDHGILMNHRMLKAVDHMHDLKGSSSYEAVNKKFNRYQSSKRTVRKGSDPIHNRA >cds-PLY79339.1 pep primary_assembly:Lsat_Salinas_v7:9:54223016:54225407:-1 gene:gene-LSAT_9X50321 transcript:rna-gnl|WGS:NBSK|LSAT_9X50321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNCFHCLNTTPLNILERRMELGLSEDPTKYGLEGVYDVTTFMDDHPGGQEPLLAVVCKDATKDFEVLGHSDEAKEMMKKYCIGDVDQSTVPLDHTTNPTIDYSYRAEGRGLGSSGKLFQFLVPFVIVGLAFIIKIYTKQTSA >cds-PLY67846.1 pep primary_assembly:Lsat_Salinas_v7:9:145118625:145118960:-1 gene:gene-LSAT_9X92100 transcript:rna-gnl|WGS:NBSK|LSAT_9X92100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSGVPNFCVVLLALNDLGYKARGIRLDFGDLAYLSCDKRKFFETIGMEFGVPRFGKTGITAGNDLNEETLDALNKQASFL >cds-PLY97637.1 pep primary_assembly:Lsat_Salinas_v7:5:236052101:236052906:1 gene:gene-LSAT_5X114481 transcript:rna-gnl|WGS:NBSK|LSAT_5X114481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSLLSTKMSILLIIPVSLDGKRSPWVILSRSFLEYCIFAWDNLPRTLLMYVNNVILAQEVYFHTVVCNSPNYKNTTVNADLSYMVWDNPPKMEPLFLNKSHYNVMVESGAAFARRFGKNNAVLDMVDTKILKRGYGRAAPGAWCTAKKSWFVDPCSQWDGVNALKKSLKLW >cds-PLY74787.1 pep primary_assembly:Lsat_Salinas_v7:6:120619532:120620227:1 gene:gene-LSAT_6X72041 transcript:rna-gnl|WGS:NBSK|LSAT_6X72041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVVQIAKSMQSCIFCITYWFTVLLLSRNRPTIYLDNFNVVSLRNTTATYLNLRIQNENSWIGIYYEDPLNLTISYLPPTKSIESKVTIGQCAIQGFYQGQGEVKHIQASVVVQDLFSMTEQRRRLGETHVSLYGPVKVIDFVVDLEANIKFKSIENKKSHLLMQSGVEVNDNTWTSILKTVQMNDDSGSNTWGVWRWIVAVPLIFLLQIVLGLGCWLAFMVLAFVSVL >cds-PLY92606.1 pep primary_assembly:Lsat_Salinas_v7:7:163009443:163009865:-1 gene:gene-LSAT_7X95861 transcript:rna-gnl|WGS:NBSK|LSAT_7X95861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRDINPIYFPKGKPFGDAHDTPVMLPSWLSEQDVDYYTKKFEQTGFTGGINYYRSMDLNWELEAPWTYAKVSVPVKFIVGELDLVYHNPWVKEYINGGGFKKYVPLLDEVVVIQGATHFITQETLDKVNKHIYDFLQKF >cds-PLY65644.1 pep primary_assembly:Lsat_Salinas_v7:7:27461431:27462656:1 gene:gene-LSAT_7X21560 transcript:rna-gnl|WGS:NBSK|LSAT_7X21560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSCLMINGLDLDHVSWTQAPHYSPSYPPTVAPAPHHHHPKRPGGHHHKPAVPPTAHTPTVAPVHPPSVAPVHPPRVAPVHPPIKAPVHPPTKAPVRPPTKAPVHPPTKAPVYPPTKAPVHPPTKAPVHPPSHSPAPSHAPLPTRRQVAVRGMVYCKACKYKGIDTLVGATPLQGAVVLLTCNNTKYPLRVKSTTDKNGFFFIMPPKTLTTFGVHKCKVTLLSSPKATCNTPTNLHYGIKGATLVPTPKPKIVLTPVLPFDVFTVGPFAFEASKKTRCPL >cds-PLY84015.1 pep primary_assembly:Lsat_Salinas_v7:8:36891976:36892725:-1 gene:gene-LSAT_8X29421 transcript:rna-gnl|WGS:NBSK|LSAT_8X29421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQDEPPLKPSPSPQAKTVPPTPIIVVALHQDANAITDQQILDTSDHLETDDYEGFLDLGFMQQVVVHAIPLNVVYHGSCFKGEISQEVPQGTNSDIDSDND >cds-PLY66412.1 pep primary_assembly:Lsat_Salinas_v7:9:38220752:38221925:-1 gene:gene-LSAT_9X34120 transcript:rna-gnl|WGS:NBSK|LSAT_9X34120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAASAAAAASFIGTRLPDLHSNSGRVTARFGFGKKKAAPKKASRTVTSDRPLWYPGAKAPEYLDGSLVGDYGFDPFGLGKPAEYLQFDLDSLDQNLAKNLAGDVIGTRFEDADVKSTPFQPYSEVFGLQRFRECELIHGRWAMLATLGALTVESVTGVTWQDAGKVELIDGSSYLGQPLPFSITTLIWIEVLIIGYIEFQRNAELDPEKRLYPGGPFDPLNLAADPEKKAILQLAEIKHARLAMVGFLGFAVQAAATGKGPLNNWVTHLSDPLHTTILDTFGFFS >cds-PLY67059.1 pep primary_assembly:Lsat_Salinas_v7:5:281994327:282001973:1 gene:gene-LSAT_5X148821 transcript:rna-gnl|WGS:NBSK|LSAT_5X148821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Linoleate 9S-lipoxygenase 5 [Source:Projected from Arabidopsis thaliana (AT3G22400) UniProtKB/Swiss-Prot;Acc:Q9LUW0] MGVRNLMLCGNSSTKSSSHEMEQMKKKIKGTVVLMKKNVLDLNDFGASFLDRVYELFGKRISIKLISKDYFEDSWNGSNGEIGKAAVLEDWITKVTPMSAGEASFSVTFEWNESMGVPGAFVIKNQHHSQFYLKTLTLEHVPDHGTVHFVCNSWVYPAHRYKYNRVFFANKAYLPCSTPKPLRQYREQELINLRGTGSGMLKKWDRVYDYAYYNDLGFPDKGPDYTRPVLGGSQEYPYPRRGRTGRKPTKTDPNSEKRLFLLSLNIYVPRDERFNEVKFSDFLGYSAKSIGQVVRPEIKALFDKTPNEFDSFKDILNLYTDGLKLPKRSVSKIRKRIHLELVKELLRNDGEEPLTFPMPDVIKVDKSAWRTDEEFGREMLAGVNPVVIRRLQEFPPISKLDPKEYGDQTSSMTKEHLEPNMNGLTVEQALEENKLFILDHHDALMPYLTRINTTNSKIYATRTVLLLQHDDTLKPLAIELSLPNDPNSENHGLGCTSEIFTPCDDGVEATIWQLAKAYAAVNDSGYHQLISHWLNTHAVIEPFIIATNRQLSVLHPIYKLLHPHFRDTMHINALARQILINAGGVLEMTVFPAKYAMEMSAVIYKNWVFPEQALPADLLKRGVAVKDPNEPNGLKLLIEDYPFAVDGLEIWSAIKTWVEEYCCIYYSSDDVVKEDSEVQSWWKELRTEGHGDKKDEPWWPKMQTREELIDTCTIIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRFMPKKGTPEYAKLESDPEKAFLETITSQLQTLLGVSLIEILSRHSTDEIYLGQSESPHWTWDTDALEAFERFGKKLEEIEKRIMIRNNDERLKNRNGPVKVAYTLLYPNTSDKSHEGGLTGKGIPNSISI >cds-PLY98671.1 pep primary_assembly:Lsat_Salinas_v7:5:303775445:303776437:1 gene:gene-LSAT_5X163620 transcript:rna-gnl|WGS:NBSK|LSAT_5X163620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKLENDSMINHFSHEHPLKLQQVQAQIASQTTCQACNQSVCGSVYSCVSCNFYLHKTCSNLPRTLKHKSDQQHNLALLSSPAYPEGVFKCNACGSQGKGFSYHCPDCQLDLHVVCASMPLLIDHVAHDDHKLSLCFKPPYENQAFSCDICKEPGSNQWLYRCGLCEFDAHMKCAKSRTTTSRSVLPKSTSLPHHSSTPRPPPSPTPAPPPIQIFYSSPSPAQYPQVLLKSTSLPSYDTHQYFSSPPQHPTPPPQPHAHSQPYYSQTPQYQHPQPQVSHYQATPTMGVPQYVQPSRQTGLVNNMAGHAVEGLVGSVTQEIIQAVFEGMSS >cds-PLY90952.1 pep primary_assembly:Lsat_Salinas_v7:9:169654404:169656515:-1 gene:gene-LSAT_9X105680 transcript:rna-gnl|WGS:NBSK|LSAT_9X105680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSTIGFTIFMFIAIVQAEVFDVTKFGAEIDGEISKPLCDAWVKACSATTPSTVLVPKGRFCLNVVEFKGPCKNPVEVKIDGTLIGPEDPITIPKGVQWITFSYLNNMTLSGNGTLDGVGGAMAWSTNDPTATVKKGSPLQYNLSFNFITNSLITGITTKDSKNFHVNLMTCTNVTFDNFHIEAPAESPNTDGIHIGWSKHITIKNSVIETGDDCVSIGDGCEDLHIEGVKCGPGHGISVGSLGRNPTEKPVIGVYVKNCSFVETANGVRIKTWPDSVPMEVKDIYFEDLSMEKVENPIIIEQDYCPHVHCNKQKPSLVRVHNVFIKNVKGTSTSQSPIKLRCSTANNGCENVHISDIDLKYVGNRGDAFQECVNVKPIYEDTIFPPACKTASQ >cds-PLY78916.1 pep primary_assembly:Lsat_Salinas_v7:8:3153162:3160205:1 gene:gene-LSAT_8X2261 transcript:rna-gnl|WGS:NBSK|LSAT_8X2261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSAMDTTCQTSGSKENALHLNDGTNKSQVGYAQPAYVSGWMYINEQGQYCGPYIQEQLYDGLSTSFLPEDLPVYPILHGNLAKPVPLKYFQQFPDHVATGFVYLNASSSIINQNSDKYHGNISTDGKREEAHKDAVNSTSIQQLQISEAANSTLLHPSLTGEESCWLFEDDQGKKHGPHSLMELYSWHHYGYLHGSVMVHHSESKVKPSNLQSIVNSWVTAGREIDHSKYNGSLTDFVSDISEEVCSQLHSGIMKATRKIVLDEIISNIIVEYVTAKKSERPLKHEESSQIVKTMDFAPSKEVPENQELEGCCGGEGVASLTVHHKDEESRQTVKTCLRDGIMEVGDYSESEGAASLSVHTQTSPSNHDEPLGCKNSRILEVFCKKLFDSCMRVIWDAVVYDSVEDRVSVWRKEKLGSNSSSNELVEHQISLDECHELTEILPVEDEEQESSSSKNEYPPGFEEVVSKADCIKEDERCYDLEQHIMEGVENDLHLSARMSLHQYIEKIVDKEVRKKRKAQMKASGMPSSSWFANAFKKVYSHEDIAQENSRIIVPEACKFRPSRAMESIPKMEVYVIMAACRQKLHDIVLREWLSISLSYAINKHNKSVSVDYAINKHNKSVNYGINKHKKPVPVDYHYATNKHNDSVNYGINKHNKPVHMTNNRRKEKAVESVSAYDKYIEQSRNGQTSRSSEPSIANANYTYSRSRKSTKRKFASLSGQLRAERLVEDLSAATKQYHVPNFHGVQSPYSSSMSFHKSLKGVSTVSQDNGTSVHGVKFNTQKQNDPYFTANAYNAQKAGSLSKNVAKSLKLRKLKRKSLDDVAEQCSVKAPKLSVSGTASKKAAADTDPLAIQQIQNKKLKKSKTYPLSFGCARTSIDGWQWHKWSLHASASERACVRGIYKNTPSEAHLSNVKGLSARTNRVKMRSLLAAADGADLIKANQLNARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRSRISDIREQHYERMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYTKVISVDGQKKIFIYAKRAIVTGEEITYNYKFPLEEKKIPCNCGSRRCRGSMN >cds-PLY75192.1 pep primary_assembly:Lsat_Salinas_v7:2:203280990:203283553:1 gene:gene-LSAT_2X123821 transcript:rna-gnl|WGS:NBSK|LSAT_2X123821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPEAEDAPELMQNIQSRLPVKEAARTCVLSKSWLHAWSTIPILRFDVRRGKSMKLVDGDRTLIRYLRDNIPIERFDLTIDIESQESASHAEKWIGAITTKSCLREMSLSIDIALLRTSLTLPDEILSGENLTKIRVSASNGIHYVWMTTSYHPVIKCVCLRELYLNGVRISEEALHDILSSCSLLEKIELLDSCKGFKTFKVKNLLRLYELRIDLDAVHSTALEISDVPNLSVFSYDLHVCRPQMPIIPFNALSISLGRSVTRLMLGGVITDNACLETIKTEFPFLESLTLDMTSWMLGSFHFTCASIKRLSLLSCRHMLIDVQVYAPKLFFFEFGGNTLPSLLFPVSSLKQIKVSLSLNPLIDANFFLKMRETLTLSRMCYLNIITDNSKLPLDIDIDDLRTRLLFPPARNVQELAFQTVADECLWERSQFFDAFFEICHPKHVFARPDSRFRHNNHFCRLMLREVLEKKTRIAYWPHYLKHVQIRQYRHQKWKPLTVSHRSFLDGSAPGVYMYFKLMWR >cds-PLY98379.1 pep primary_assembly:Lsat_Salinas_v7:5:315743120:315744432:-1 gene:gene-LSAT_5X172620 transcript:rna-gnl|WGS:NBSK|LSAT_5X172620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFEEIKVRSYDGSLDRARLELLERRCEVGPSHVFLFSDTMGDPICRIRNSPLYIMLVAELNHELVGAIQGSIKVVTLKDLAKVGYILGLRVSPFHRRKGIGSSLVHHLEEWFVGNQVDYAYMATEKDNNASVNLFVNKLQYVKFRTPAILIHPVKSRPLKISSKVEIFKINEENAEYLYRRFMGLTEFFPADIDKVLGNKLSLGTWVACERGEHAQFGQNGNFPSNWAMLSVWNSGGLFKLRIGKAPVSCLMYSEVSKVIDKAFACFNMPTLLCSLFEPFGFYFLYGVHQEGEVSRKMVRALCRYVHNMARVDVDCKMVVTELGRYDERVRPHIPHWRMLSCLEDLWCIKALKSEHIESVNELTRIPQTKPLFVDPREV >cds-PLY73913.1 pep primary_assembly:Lsat_Salinas_v7:3:38898925:38903485:1 gene:gene-LSAT_3X30161 transcript:rna-gnl|WGS:NBSK|LSAT_3X30161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSTEKVGGVTPKKGGTEHLGLPVFISVADEKSETKANASVIYVPPPFAAATIMEALEVELDLIVCITEAIPQHDMVRVKAALIKQSKTRLIGPNCPGIIKPEECKTRIMPGYIHKPGRIGIVSRSGTLTYEAVYQTTTVGLGQSARVGIGEDPFNMTKFVDCMRKFVDDPQTEALAVFSFSMSIPKLEVELRRERHEGDLKEKYRLARVMEHKIMRKAADTLILVTLELGGKDPFIVCEDVDVAHGPPQLGKYDMDAIYEEPVDPSVKVGRCSVDVLKQLRLMKANSSRVRSGKESSSLKR >cds-PLY68594.1 pep primary_assembly:Lsat_Salinas_v7:5:380003:380275:-1 gene:gene-LSAT_5X460 transcript:rna-gnl|WGS:NBSK|LSAT_5X460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLRWRSYGWRMEALRPRLQQRRLSMTRLLVGIDHNGSSEAVTDRDEEGKRWGKSHRKQQLRHHRVLLRLPTAVTPHANFPSTVLKPVGE >cds-PLY77616.1 pep primary_assembly:Lsat_Salinas_v7:2:164431540:164433600:1 gene:gene-LSAT_2X87200 transcript:rna-gnl|WGS:NBSK|LSAT_2X87200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPKLPINLPPHLSLKLIKNYCDSGDLRRARQLFDKITSPDLHSWTTLISAYTRHDLQKEAINLYTRLRDIGFQPDRFVLLSVVKACTTSGDLIQAQRAHKDAVMFGFHHDLLLGNAMIDMFAKCKHLEGAKTAFNDLHVRDVISWTSICSCYVSCGYPRKGLQAFREMGLDGVKPNSVSLSSILPACSKLKCLDLGRVIHGFVVKTGMGANVFVSSALIDMYATCLCIKEAEMIFNQMVNKDIVSHNVMISAYFENGEPEKAVSMFKKMKENHSKLNYASWNSILSGCFQSGQTQEALNLLSEMQESGFKPNQITLTSVLTACTNSESLRGGKEIHGYIFRHCFLNDTTALTALIFMYAKCGKLKFSQKAFEMMNTRDTIAWNTMIIANSMHGNGEEALTLFTQMLKSGVKPNAITFTGVLSGCSHSKLVDEGLSVFNSMSKVHLIEPDAEHHSCVVDILSRAGRLEEAYKFIQNMSIKATPSAWGALLGGCRVYKNVDLARIVAKKLFEIEPNNPGNYVSLSNILVNAKLWGEASETRKVMRDKGMKKEPGCSWVQVKNKVHTFVVGDKRNEHSDDIYKFLSDMGDKMRQAGYLPDTEFVLQDLDGEEKEDTLCNHSEKLAVAFGIMNLKGESEITVFKNLRICGDCHNAIKFMAKIVGVRIVVRDSLRFHHFRDGFCSCNDFW >cds-PLY81826.1 pep primary_assembly:Lsat_Salinas_v7:3:32399039:32402148:-1 gene:gene-LSAT_3X24221 transcript:rna-gnl|WGS:NBSK|LSAT_3X24221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRSLITRARLLAQQRFAPSFSYAHYEDDRKNPHTDEKVKGFLQSRSYGFLGNNTNSSLGFGGGGGAVSFRDPRWSKFLHAPLTTSGFLLTRNISTTSEVAVAAAESWPPVAVLQHAIDGIHNFTGLNWWASIVIATVAIRTLLAPITINQHKVASKLTILGPELEQIQQKMQDKSMSPMAVAEVEAQKKRVYMEYSAAMYTQLTRLFIQAPVFVSFFLAIEKMVEKVPSFQTGGASWFIDLTTADAFYILPLLAAISCWITIEFTMQEGKRGGVWKNIARGGAALTLPLTASFPNALFCYWITSNLFSFLFGLTIKKPSVKKFLNIPIIVPPPPSPATQSKRTITTANHSVTCSSLPCQKTTSEEQKSTTQRLSSSLLLNHRINNLEKQLKGRNKIKRKKSCR >cds-PLY68549.1 pep primary_assembly:Lsat_Salinas_v7:8:298051832:298052005:-1 gene:gene-LSAT_8X163741 transcript:rna-gnl|WGS:NBSK|LSAT_8X163741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGGAGADGDGDAEAGGGRSGATRSGGNVKTSDDGVGVVGNDEDAKSGGDSIVGS >cds-PLY75316.1 pep primary_assembly:Lsat_Salinas_v7:MU042996.1:137419:137598:1 gene:gene-LSAT_0X30221 transcript:rna-gnl|WGS:NBSK|LSAT_0X30221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPCICSFSLWNFRRHHRSTAFPLHLLSNPKPPLNLRTHKHAHSKENPRCMSFANADIRR >cds-PLY66056.1 pep primary_assembly:Lsat_Salinas_v7:2:207077962:207078141:-1 gene:gene-LSAT_2X127860 transcript:rna-gnl|WGS:NBSK|LSAT_2X127860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRRSRRAVDHRREGAIGDQKGARWFVARLAIDGGLRGSTRLKKEKDRSSEAAVTSD >cds-PLY96049.1 pep primary_assembly:Lsat_Salinas_v7:8:22409041:22409731:-1 gene:gene-LSAT_8X16081 transcript:rna-gnl|WGS:NBSK|LSAT_8X16081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYLPMKRSNEAELDTAISNMANCLMMLSRGSNPISNESYHDTNSPSRVFECKTCNRQFPSFQALGGHRASHKKPRLSTDGELTHGTNLVPVKPKTHECSICGLEFAIGQALGGHMRRHRANTTNENKSFPSSDSPTTVVKKVNSRRVFSLDLNLTPLENDLEFRFGKGVPTTIELFL >cds-PLY84113.1 pep primary_assembly:Lsat_Salinas_v7:6:186271224:186273112:1 gene:gene-LSAT_6X113220 transcript:rna-gnl|WGS:NBSK|LSAT_6X113220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSFLLFARLAWIRTGVHRSRNATGKTVPEASGSLPIIGHLYLLSGNSQVPHKLLGSMADKFGPIFSIKLGVNRVLVVSNAEMAKECLATNDRVFASRPKSMASELMGHNYANFALSPHVSYWREMRKIIVLELGSQRRVQMLEHIRTSEVKASIIDIYKNWMRNNKGSSETVKVDMKHWFGNLSLNMTLRAAFGNCLSLGEQKEDELKNTIRSILQLFGAFVPSDAIPALRWLDLGGYEKRMKQTAKAFDVVIDGWLEEHRKKMSCTQQEDEEEHQVLMAALLSRIKEEFKENVYGFSTDAIVKATCLAIFVAATDTTTATLTWGLALLVSNPVVLKKAQEEIEKHVGRDRMVEESDLKNLVYLQAIIKETMRLYPAVPLSVPHESTQDCIVGGYTVDKGTRLLVNIWRIQHDPEIWEDPFEFQPERFLTSKKEIDVKGQHFELIPFGSGRRICLGISFALKAMQMILANVIHAYNFHNPLSEKIDMTESFGLTNHKATPLELFVAPRFLTDFYRVSP >cds-PLY72511.1 pep primary_assembly:Lsat_Salinas_v7:2:138773693:138774094:1 gene:gene-LSAT_2X66421 transcript:rna-gnl|WGS:NBSK|LSAT_2X66421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFESESDSDFESESDVEANVPEVEVDVPFVQDNLVGEIQDDIQVDANIEVDVLEVDLVPEVEVDANIEDGIQANNEVEHEIEVQDNVEQEIQHNAENQVRKRTRKTSERITKIQIRKNIRRKEGSSTDHPLEI >cds-PLY98477.1 pep primary_assembly:Lsat_Salinas_v7:5:208533359:208534924:1 gene:gene-LSAT_5X94840 transcript:rna-gnl|WGS:NBSK|LSAT_5X94840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRKLRFVACLKLCDLRSNSKRMDYSGDGRNGDFPVLKLSPFCDVRDLEEPSERYVSLSSVVDYDVKWKTVKSRGSHTHNLRRVRWDAASSAYQQVCAPYHSWAVRTAFSAGICALPSREQLLLNLNETEVRLLLTRLMLLTWHMEMRLVHSLIGGFCASPVNFHAKDGSGYKFLGDLVLQLDKLIP >cds-PLY90010.1 pep primary_assembly:Lsat_Salinas_v7:3:84337936:84341201:-1 gene:gene-LSAT_3X64500 transcript:rna-gnl|WGS:NBSK|LSAT_3X64500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated protein AAF, chlorolplastic [Source:Projected from Arabidopsis thaliana (AT1G66330) UniProtKB/Swiss-Prot;Acc:Q9C8Y4] MAKVHGIIYSSVKSPHHPTSNSNTQGLVPKKFSHGQSSVLKLKLSGKTKLNTFEKTISSVTSRAYSFLCRSRKSQTTETEERVNHNEDCSDNFRTQGGHEQHMHPERIGITNKALAEACKYAYNDARFVNERAKNDIYLLSRFAYLPYYAHTMMTWHADWIFFISGIMRLDARARQDVAILGLGFLKLDARAREDTEKIDNNVKKRAERLHHIALILKNKAESKLKSVADRHWSDGALEADLRRADYIAKKRAMEDALMALEFIKDVQDMMMSKMYKLKNGALSSDDMAGQITLEKNGKVLDFFPGEVSTDRITAIQEAYRDMASALSEADGIDYTDPEELELLVATLMDLDAMDGKGSVSLLAECSSSPDVNTRKALANALSVAPSMWTLGNAGMGALQRLAEDSNPMIAAAASKTILELKRQWEIEEGDNYRFMMNQIPLPKLDYKDDDDDDDDDDDDETQD >cds-PLY99851.1 pep primary_assembly:Lsat_Salinas_v7:4:44938556:44941105:1 gene:gene-LSAT_4X31920 transcript:rna-gnl|WGS:NBSK|LSAT_4X31920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGEGKVVCVTGASGFIASWLVKFLLQRGYTVHATVRSLDDPKKTKHLLDFDGAKERLSLFEASLLEEGSYESAVNGCQCVFHTASPIMFPVSGQQAQLLDPAVKGTLNVLKSAAKVPSLKRVVLTSSIAAVLYGVKPPDFGAVVDETWFSDPETCEQKELWYPLAKTLAENAAVEFSKKNGLELVVINPGFVIGPILQPTLNITSEGFIRLIETGKEIFPDGIYRLVDVRDVANAHILAFENPQANGRYLLVANIYHSSDIMKIINQNYPSLDYSERYKDSKYVGTPPFFVSRTKAESLGVKFTTAEESIKDTVESLKEKKFLSF >cds-PLY85269.1 pep primary_assembly:Lsat_Salinas_v7:3:71230676:71233532:-1 gene:gene-LSAT_3X54960 transcript:rna-gnl|WGS:NBSK|LSAT_3X54960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLQSLRTYELRLLRCSISHDQPLASSQISITPHPLQTSIESLLQSIESGHYSKALTSKSADFLLNLDQVSSSYSDSITDSVSSFLISENSETSTEERAFLVVAVAVSAFLAFVQANVTGPPETLPPLFTNIAKEDIEVWARNEVMSTGSDLLGKFFNLEYIVFSKLLLSTIGAHFGNENSTIFPTISWWLARVLLIQQKLLDGHSSVLFQKLQDLIPETLAHLGDLKKVSRYWPGIEEGNLSDIVSMLHLELGIIDFRYGRVDSSKLHFESSEKASGLSLSVSGSLGFRTVHQVDPKAQLRLITNNSSSTNGHSDKSSQHDPQLHEASDVFLTPKFLESNNEGCLKPVQQALILAQCLLIEKNTPHDEMQRWDMAPYIEAIDSQSSSLFIIRSFCDLLRIRWERTRSRTKERAILMMDKVVQGIYDSTPGVAVAERMCFCFGVDFPTIPSLRKEYADLLVSCGLIGEAVRTYEDLELWDNVIFCYRLLQKKSASVELINTRLLQHPNDPRLWCSLGDVTDQDSCYYKALEVSENKSVRAKRSLARSAYNRGEYEKSKLLWESAMKLNSLYPDGWFALGAAALKARDLEKALDGFSRAVQLDPDNGEAWNNIACLHMTKKRSREAMVAFKEALKYKRDSWQMWENYSQVAVDSGNFCLALEAVEKVLSLSKYKRVDVELLERVMVEIERVEVERSRESEMIGEILKGVVKNGGGGGGVWGLFGRWYKLKGDLAMCCEALLKEVRAYQGSEVWKEKERFVKFSRASLELCKVYVEIGGRRELCAGEMHLKSTIKQAALKFSETQEFKELQAFLDKLQAMLQRTCV >cds-PLY89930.1 pep primary_assembly:Lsat_Salinas_v7:8:68407501:68408658:-1 gene:gene-LSAT_8X49220 transcript:rna-gnl|WGS:NBSK|LSAT_8X49220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METTPLHTVNFSTIVSESRNIVKANKGHFFALSLFFLPFSISIVVTPTLHSHLPGNFFTGELFHKSLLSHPIPVVLHLLYILIVYLLIFCGIGTISYSTYNVLIGKPVSYFASLKSLTFSFLPLISTAILAHTIMFLILLTFLLFGGIIVMFGESVGFVIGFFSVILISFSIIIVKIIYLQTNWCLAFMVVVAESNWGIPALKRSWYLVKGMRSVSVSLSSHFLIFFGSYFLIFFGSLVMLFSYNVPETVDAWSILNMIWLTITGSLMPMMWSVVNAVLYMHCKAFHGELALGIDEGFDHHYIILPSDDDKIHCVDVVTVVAA >cds-PLY63197.1 pep primary_assembly:Lsat_Salinas_v7:6:89675767:89679392:1 gene:gene-LSAT_6X60661 transcript:rna-gnl|WGS:NBSK|LSAT_6X60661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADPTSLDLDALRSRIKELAESRTNFHEDENLITSDEDELLRECVFQLGGKVNEIIEEYSDVSSLEAEDLDAYLENLKGELCSMEAENAELSAEIENLMKGSFEDSIRLQNNIEGLNSTMEFIQSQGLETKRADAVLECSLLEEHQPEAIDADGGCKFKLLELNSQIEKKRGMLKSLEDLDYTLKRCEGVLKIEDLLTGLKVIEYEGNRINLSLRTYIPEIEMAEQIHELYIEFLDATLELKNAEIFPNDVYIGEIIDTAKSFAHQFSLVPISEKKTSLEWFVRRVQDRIILNTLRKSLVKDANKSRHSIEYIDRDEMIIGHMVDGVDAFIKPSQGWPISTSPLKLLSLKGSTQSSKEVTFSFLCKVEEMVNSLDVKVCQNLSRFMDAVEEILKQRMRIQLQSESGSSINN >cds-PLY64834.1 pep primary_assembly:Lsat_Salinas_v7:8:265169011:265172153:-1 gene:gene-LSAT_8X154980 transcript:rna-gnl|WGS:NBSK|LSAT_8X154980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYCDEGFENNQTIFVPNFVQAMEIINVRLRTSNNATIEIGTGLNKNYALAQCFNDLSSQDCMLCYAQLRTVLPGCYPHSGGRIYIDGCYMRLQNYSFFQEYKGPNDTIVCGNVTRESLGFRDLTQQAVVDVVSEALSSDEYFGRAEVVVASGGGGGGNESVFVMAECWRTLSPADCRKCLENASAAISKCLPSTEGRALNTGCFMRYSDRDFLNPVEVIQSSNNRGKMVTVIVSIVSFVVVLIVALTIVLYIRRRRYIQYRRRGSYDAEKLAKILTDSSLNFKYSTVEKATANWNESNKLGQGGFGTVYKGVLSDGREIAVKRLYVNNKFRVADFYNEVNIISSVEHKNLVRLLGCSCSGPESILVYEYLPNMSLDRFIFDETKGRTLNWEKRFEIIIGTTEGLVYLHENTKTRIIHRDIKASNILLDLRLRAKIADFGLARSFQDDQTHLSTAIAEYLAHGQLTEKADVYSFGVLLLEIVSGVENNRSNTTEYTDSIVSTAWKHFQEGTVEQIFDPNLLMGIYPNIIFKKDAIKVVHVGLLCTQEAPSLRPTMSTVLKMLAKDEHLPFPSNPPFIDEKTMELNNITQTILGDHERESSYSIATISHSQFYPR >cds-PLY67857.1 pep primary_assembly:Lsat_Salinas_v7:9:145511294:145515081:-1 gene:gene-LSAT_9X92720 transcript:rna-gnl|WGS:NBSK|LSAT_9X92720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSVHKSFKYDVFLSFRGEDTRKSFIDHLYYALQQKNISTYKDDERIEKGKRISDELMESIEDSKFFIIVFSKKYASSSWCLNELLKIMECHKSTEHTVYPVFYDVEPSEIRNQTGAVGEAFARHEKEEAAGKWRKALKEAADVAGWELKNTADGHEAKFIRQIVEKLSLELRAINVSIDKNLVGMEIRIKDIISSLGTASDGVHMIGIWGIGGGGKTTLAKAIFDKISFQFEGKSFVENVREVSNAPLSGLKPLQIQILSNVLNNHGINIGSVSEGKNMMSWMMGGRKTLLVLDDVDHVAQLEALAGDPSWFKSGSIIIITTRDEQVLVAHGVKLIHNVNLLSDKEAIWLFSRYAFGRDFPYQEYQEPCGKVVCYAAGLPLTIKVLGSFLCGKSKLEWEDALNRLKTIPLQETQKKLELSYIGLDDDYKEIFLDVATILKGWRKDFIIQALESCGFHARIGLRVLEQKSLITIDGERLGMHDHLEEMGRNIVRRSHPDMPNKHSRLWNRKQIEDILANDLGTEATRCVQFYQGRLSPQIVMEGLRKMKELRFLDVENLFSSLEDNKTMPNFLNGLGFLCCNWGFDKVSLSPYFPDGLRFLRWTSYPFRSLPQTFEGSNLVALDMTNSDMLQLWEGGERKVLKQLRFLDLRGCSRLRSIDLGQTPNLEILTITRYGGLVELYMPFERLKLRSLELHGVKLKRFDLGLTPNLEKLWLEGEGSELEELHMPGKCLNLKSLHLTHSKLTTIDIGLTPNLEDLYIGYCNDLEELEKANKCVKLRSLKLSGLKLRTFDLGPSPNLERLSFYDCNDLEEFHITECPILTSIKIGLSKLRILDLRLVPNLNKLFLFECKGLVDLHMPSRCLNLKSLKITNSKLRTLDIGLAPILTHLSFTSCYYLEELHLANECQELESITITHSKLRTLDLGMAPNLKELHLKECYKLVQLHPLIRCLKNLVDLELSGSFWFMYFSFHIKDNTSGRVNESLEVRPLANLYFTLGSCPFHLDYHLPEFEFTCFHKEDLPSLTTSIEKLICGDPCTCIRLETFSSSICELQR >cds-PLY73217.1 pep primary_assembly:Lsat_Salinas_v7:8:178346510:178347909:-1 gene:gene-LSAT_8X115781 transcript:rna-gnl|WGS:NBSK|LSAT_8X115781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPLYVALILGYGSVKWWHMFKPDHCDAINQLNCYFIMPLFTFDFTTRVNPYKMNFRFLGADAISKAVIIIAISLWAKLSTKGNYPWSITSFSLSTLNNTLVVGVPLIGAMYGPFGENLVIQSSILQFTVWIIILLMMYEFQSVKKSLNLAVMPNDSTTDLEGHTEEDALTTRPSLLILMKIVGLKLAKNPNAYACILGLAWALVSNRWDLKMPTIVEGSVLIMSRAGSGVAMFCMGLFMALQPKIIDCGATLTAFGMLLRFVVAPATMAVSSLIMGLRGDVLCIAIIQAALPQAIASFVFAKEYELHTNVLSTA >cds-PLY99208.1 pep primary_assembly:Lsat_Salinas_v7:4:367156593:367157489:1 gene:gene-LSAT_4X179900 transcript:rna-gnl|WGS:NBSK|LSAT_4X179900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPPREKKTPNPRRTIYRHPKEINDDDPIFPLYFLIMIPNTIGMFSRAYRRNDYSLAILSLLVLLSLALYDCCLPLYFSIPKNENSSRKLWLKLIIWFLYMSLSFGFVCEFGYFLSLQITVALYFVVVVCSVFLLYEFVIVDLVKDWENMKSGEHGIGLLGNQRLDARELKNDSDSVLEKV >cds-PLY97673.1 pep primary_assembly:Lsat_Salinas_v7:8:5894948:5897604:1 gene:gene-LSAT_8X5581 transcript:rna-gnl|WGS:NBSK|LSAT_8X5581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLFIRSRISLVLPFSPMPNFIAFNSTISNLTFSTACASPPKRIGTHNGTFHCDEALGCFMIGLTSKFHGAQIIRTRNLQVLDTLDAVLDVGGVYDPRTDRFDHHQKGFDEILGNGFTTKLSSAGLVYKHYGIEIIAKAIQLDEGHPDVHSLFSAVYRNFVEAVDAIDNGINQYETNQPPRYVNNTTLSSRISRLNLNWYEADQSPEKEDQAFQHAMNLAGSEFMDCIHFHAKSWLPARSVVMECLATRKNIDSSGEIMLLTMSCPWKLHIFELEVEMKIDPVLKYVIYQDERNNKWRVQAVAVSPDKFESRKALPSHWRGLTDDHLSQVTGISGCVFVHSSGFIGGNKTYEGALAMARASLLA >cds-PLY94318.1 pep primary_assembly:Lsat_Salinas_v7:7:165148214:165149302:1 gene:gene-LSAT_7X97200 transcript:rna-gnl|WGS:NBSK|LSAT_7X97200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVPNVVAALAEIQDNNSKPIFDITTSTLTKLLTALNECTEVCVWTAVMLCVLAILNAFTLIIRFTRVASELFGMLISVLFIEFHVPKGENERVEEYQFQWLYTNGLLAIILEFCTLMTSMKSRGARAWPYGVGWMCGLIADYGVPLMVLVWIAISYIKPRDVPPGVPRRLFCSLPWETEKHLLSSRNINLSN >cds-PLY82735.1 pep primary_assembly:Lsat_Salinas_v7:2:144072478:144072935:-1 gene:gene-LSAT_2X72641 transcript:rna-gnl|WGS:NBSK|LSAT_2X72641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIEGHEVVETPFLEILAVGGKMSINMSRFEFFCHRPTVVALIDLGNDMGTASSRTSGQGLTCFEVTPSEEEGATSVQKDKAEETELAKADENEQVSINLTL >cds-PLY70378.1 pep primary_assembly:Lsat_Salinas_v7:4:98887591:98888280:-1 gene:gene-LSAT_4X63941 transcript:rna-gnl|WGS:NBSK|LSAT_4X63941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVILVVTLIHVMSAAADQHYLKVTGIYGWDVLFYILRFIRTVLCFTLIVLISGGWCLWNPSLQEREKIVLLVVFLFQNIGFIHFDFLTCFVIFVPIYRLYILLNETCEVDGNAARHLAKLLSFTKVVSVYLFMRIVVNGFADDVVYEWSWVINAGEEIVNLVFCMVMFYMYRPFVSDDDEEKTARMGLLDEEYGVRNS >cds-PLY98045.1 pep primary_assembly:Lsat_Salinas_v7:2:19729877:19731013:1 gene:gene-LSAT_2X10560 transcript:rna-gnl|WGS:NBSK|LSAT_2X10560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDEIPFHIQEQIIKSFPVMSLLQFRTVSKAWKSLIDSSDFIAAHSVTQPQHLLLRYEDPVETVEKYVSFLDDDTFPHQRFVHTLPESFKLLKQSTIVGSSLGVLCLHGYYGEGKGLLPNFEKEMVVLWNPSIRKSITVPMPNTLYLDPRNDIGFGVSPVTSDPTIVEITQFHKTSYHCEAKVYTVSSGKWRNLSSNLPSKPFRIFSPQVVVDRFIYWCAFDPMTRDDMLTYHNLIMSFDMTNDNFGVIDLPDSLRCLSPTQLCISKLRESLVMLDYDRFLKHSCDVWMMENGVEKSFKKKFTIEPPYWSRKITPLGFRKSGQPIMEVENDHEFFQQSELVAYEPYPKRFDYLEIYGITETFSVHSYMETLVLIAQFA >cds-PLY66985.1 pep primary_assembly:Lsat_Salinas_v7:6:140576794:140577711:1 gene:gene-LSAT_6X85780 transcript:rna-gnl|WGS:NBSK|LSAT_6X85780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKVSYMKLKGSQNLRQRLLLSPLASTPILIDDIRADATWLGLLPHEVSFLHLPETISDDCHVENNKTGAKKEQYGAPPPIWWFIAVVEWQGLFWQPLHHFVFLFQLLSMRG >cds-PLY87421.1 pep primary_assembly:Lsat_Salinas_v7:5:42109250:42112288:1 gene:gene-LSAT_5X19641 transcript:rna-gnl|WGS:NBSK|LSAT_5X19641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVRRLLLLEGSVDGGGNIGLKKGLDKYRYPESGCSNYDLDPTYLMDIISKNPICSSMEILPDPTLYENGFLVGRDDVLGFPDQEMSTMNSLSFPNQEIPLMNSLGFPNQEIITNTLGFSHQTQTQPAIMAAMNIDDKEETKMVRSGYEKPQKKLDHKGDNGNGYGNNGGCDSNYPSRMALSRETISQFFYMPITQAAKELNVGLTLLKKRCRELGIRRWPHRKLMSLQTLINNVQELEKSSGNGVEEKLQEAIMRLENERKKMEEIPDLQLEDNTKRLRQACFKANYKKRKTIGNNSSTSITTYRQSPSSCSSTCVDNGGYEAIEGGYGGDFAEQEEMKLLLYTGCFPSSSNALL >cds-PLY62733.1 pep primary_assembly:Lsat_Salinas_v7:8:48472919:48475038:-1 gene:gene-LSAT_8X36800 transcript:rna-gnl|WGS:NBSK|LSAT_8X36800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIQAETHQIKKHKHPSRKHSKKKDSVTVSVNEKSPLIPKKKGAHNEFNGASMSGAVMNLSTTIIGAGIMALPATMKVLGLGLGIAVIILVAVLSEATVRILLKYSRPGGTDSYGGLMGDAFGEVGKKMLQACVVINNIGVLVIYMIIIGDVVAGSSLNGVHHPGLLEGWFGPHWWTGRILVLLLTTLCVFVPLASLKRMDSLSVTSGLAVALAVVFLIITAGIAIFKMASGTLQMPRLLPEITDVNSVWNLFTAVPVLVTAYICHFNVHSIGNELENPSLIEPIVQISLVLCTIVYIMTSFFGFILFGDATMGDILQNFDSDLGVPFSIELNDIVRVSYALHLMLVFPLVFYALRLNTDGLFFGSSEVPLELDNKRFAIVSVGLLSVIYLGANFIPSAWDAFQFTGATAAVCIGFIFPASIAIRDVYGIATKGDKILAVLMICIAVFANVVAIYSDAYALFKKTPSTRA >cds-PLY76227.1 pep primary_assembly:Lsat_Salinas_v7:4:53152552:53153404:-1 gene:gene-LSAT_4X36381 transcript:rna-gnl|WGS:NBSK|LSAT_4X36381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRSKANFFRLMSVFNGVFAVSKWFGEVCLWKNRITTVLVHILFVMLVSFPELILPTVFLYMFLIGLWNYWFRAQYPPHMNTRLSYADGVHPDELDEEFDTFPTSRSSELVRHRYDRLRSVAGRIQSVVGDIASQGERLQGLLSWRDPRATVIFMVFCLVAAFVLYATPFQVLVIATGFYVMRHPKLRHRLPSAPLNFFRRLPARTDSML >cds-PLY73146.1 pep primary_assembly:Lsat_Salinas_v7:2:190406142:190406782:-1 gene:gene-LSAT_2X111921 transcript:rna-gnl|WGS:NBSK|LSAT_2X111921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRQNKGRQKIEMKRITNESSLLVAFSKRYAGLFKKSSELCTLCGVEMAIIIFSPTKKVFSFGHPCVQSVLDRFLEQSHPSRSRSRAYQLMQHYRNSNIRELNMQLSNLLGQLEAEKKTSEELKKIRNARKEDCWWDAPLENLRVEELETLKDAILELKKNSERQVERLIGNRWLTLQQ >cds-PLY93551.1 pep primary_assembly:Lsat_Salinas_v7:2:174072619:174073757:1 gene:gene-LSAT_2X96420 transcript:rna-gnl|WGS:NBSK|LSAT_2X96420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTKKNSRKNQEKNNKGRLTEKAMSFHGQSAEEMVGKLRRPRTVPDLISGTGRVAPPVEMVRPKLTKLLLNVTVQRSLGPLQVLISPESTVNDLVAAALRQYAKEGRRPILLSLDPSGFGLHYSQFSLESLDPDEKLIELGSRNFFLCPKQPAAAAAAGNGDDDGRLRFDYATSSTCSNEADKLVAKSGVGWLRFMNFLQ >cds-PLY92225.1 pep primary_assembly:Lsat_Salinas_v7:6:74666346:74673104:-1 gene:gene-LSAT_6X54481 transcript:rna-gnl|WGS:NBSK|LSAT_6X54481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKKATQTTRHWRTITVIIAVEGPISSPYEHIFNSSSVKSKNCKLNKIDPKVKIAIRFPFACTCLIITRTTKPSPSADDASASASSQRRLYLRSSVEDTDEVSYSALQLLPPPPFTLFTELTTSPIAAASLGQELRIGSSTFSSGPKDSETIPISYNIWKDKKPFSSEELDERVKTWMRTFGDKVKFQVKEVAVMEAYTELATKGKERIVGMVIHVFHSIHEVELVD >cds-PLY76633.1 pep primary_assembly:Lsat_Salinas_v7:4:117712486:117714135:-1 gene:gene-LSAT_4X73560 transcript:rna-gnl|WGS:NBSK|LSAT_4X73560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSSFRIHRITVLVSWNAIKEACGTNKLKIREIDNTLGDLGVAAQLCCQTQKLFAPPTSLLIRRVYSVLHEIRLVEIHINPISGLSNLFVLITAAEKKNPLLLINEHTQDNVLVNFDGGLRIMHSLLRSCLA >cds-PLY72546.1 pep primary_assembly:Lsat_Salinas_v7:2:140501346:140504425:-1 gene:gene-LSAT_2X68621 transcript:rna-gnl|WGS:NBSK|LSAT_2X68621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLSDLVNLDLSSITDKIIAEYIWIGGSGMDLRSKARTLSGPVSDPSELPKWNYDGSSTGQAPGEDSEVIIYPQAIFKDPFRRGNHILVMCDAYTPAGEPIPTNKRAAAAKIFSNPEVEKEVTWYGIEQEYTLLQKDTNWPLGWPLGGFPGPQGPYYCGIGADKAFGRDIVDAHYKACLYAGVNISGINGEVMPGQWEFQVGPSVGIAAADQIWVARYILERITEIYGVVVSFDPKPIPGDWNGAGAHTNYSTKTMREEGGYEVIKKAIEKLGLRHKEHIAAYGEGNERRLTGRHETADINTFLWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTILWDNKS >cds-PLY72525.1 pep primary_assembly:Lsat_Salinas_v7:2:139312208:139315215:-1 gene:gene-LSAT_2X65820 transcript:rna-gnl|WGS:NBSK|LSAT_2X65820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGIKGTGSRVEEPTLVPLLDHTSSNVDLVSKTSDQQDLDLSFRHRFLIESKKLWHIVGPAIFSRIASYSMFVITQAFAGHLGDLELAAISIATSVIVGFDFGLLLGMASALETLCGQAYGAKNYRMLGVYLQRSWIVLFLCCILLLPLYIFASPVLKLLGQPADISELSGKVSMSLIPLHFSLCFQFPLQRFLQSQLKTYVIAWVSLGALVVHSVMSWLVVYKLQLGLVGTVVTLNFSWWLIVIGLFCFSVFGGCPETWGGFSMEAFTGLWSFVKLSAASGVMLCLENWYYRILIVMTGNLENAKIAVDALSICMSINGFELMIPLGFFAGTGVRVANELGAGNGKGARFATIVAVTTSAVIGLFFWLLIMLFHNELALIFTNSEPVLDAVSKLSLLLAFTILLNSIQPVLSGVAVGSGWQSYVAYINLGCYYLIGVPMGLAMGWLFHLGVMGIWAGMIFGGTAFQTVVLAIITSRCDWEKEAQRASMHIKKWAVVH >cds-PLY70206.1 pep primary_assembly:Lsat_Salinas_v7:9:1664289:1666942:1 gene:gene-LSAT_9X3961 transcript:rna-gnl|WGS:NBSK|LSAT_9X3961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQLELLKKFKKLKPSELGCFCISLCFIVCLFFLDYRSLSKGFRVRGHHGLGLWSGLSASSSSRRDLSGFLEMGHGENCNIFDGNWVWDESYPLYDSKDCLLLDDGFRCSENGRVDSFYTKWRWQPKDCNLPRFDAKTMLERLRNRRLAFVGDSIGRNQWESLLCLLSSAVTNASSIYEVNGRPITKHMGFLVFKFLDFNCTIEYYRAPYLVLQSRPPPGSPKQVRTTLKLDKMDWSAAKWKNADVIVFNSGHWWNIEKTTREGCYYQESGDVKMNMTIETAYKKSIETVLNFISKEVDTNKTQVIFRGFSPVHFRGGDWKSGGSCHLETLPDLSSSPASSGTMFFTNIFGSVLSEHKHGNESLELLNVTYMSSKRKDGHASLYYLGPKYGGPAPLRKQDCSHWCLPGVPDSWNELLYAVFLKREYSLAGNFTAATTPSLI >cds-PLY71098.1 pep primary_assembly:Lsat_Salinas_v7:MU044033.1:34813:35094:1 gene:gene-LSAT_0X35520 transcript:rna-gnl|WGS:NBSK|LSAT_0X35520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCVCSYWETKLGRNVRNEETISFVLGLYTGIDFNWMLNGKRRMNVMFLFQFRFLKIDIEFGVFGIFQWNVLIDLRKPIKIEGLNYSIVDITDL >cds-PLY96530.1 pep primary_assembly:Lsat_Salinas_v7:5:335962035:335963355:-1 gene:gene-LSAT_5X187320 transcript:rna-gnl|WGS:NBSK|LSAT_5X187320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMMCKLQAHASLLQMPINLSLLRRRPQKEKVVVVMGATGTGKSRLAVDIATRYPAEIINSDKMQVYEGLDIVTNKITDEESDGVPHHLIGIVDSDADFTSSDFVSTSSVAMKSIVGRNNLPIIAGGSNSFIEALVDDRNHEFRSSYDVCFLWVDVAMPVLEQFVSARVNRMVAEGMVDEVRNLFNPNSDYSKGIRRAIGVPEFDSFFRSEYSSSTDEKVRSNLLESAINETKINTCKLACRQLRKIHRLKNIKGWKIHRLDATKAFQQNGKDADDAWAELVAVPGSAIVSQFIHDFDHSRIFTTAKSAGGGRVIREFERRTAVATDYSASLV >cds-PLY79847.1 pep primary_assembly:Lsat_Salinas_v7:8:17855217:17859520:-1 gene:gene-LSAT_8X12421 transcript:rna-gnl|WGS:NBSK|LSAT_8X12421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNSGKQQSFSDRQRDDQQQSNDDLSPVSDGTGEVSPAAASGDSLTRRNGNNIGLAARLTDLFAGDGDRDIDLLMQRNTQEGTVVQWLEALDMQVLGACRADERLKPLLKLNVSSEAEDRLLSHLSQHFEPSKVSLLARCLCIPLVSIRVGKINKQGTRLIPTSARGNLVLSLLPTSDLRILFVGDDGHAERISILRNTTNCSYVVIEGIPTDTSGRSFVVRVPMPFYFWCSEKSKLLGDELLEKMRKLLERKPSLAELTGISNSRLQRFVHHLQTFLVGSVSETSNSKSPPLLASSSSKASRVRTCSSIIQGSLSPRPSSFKEGGLPRNIASLRNVVRDKLRRRVEGVRLDTSSEKHPLPSTSNQHEENRLPESSSSSSGICLFPSVLSGNMNMQIPSIISATHPLPNHNTNTNTTPSSSSIFSPPPPPPPPPYYCWCPPMVVVTPQHPHTTSTESFTLPPLSSLLAAASSSAKTCSEISIPLPPFLPLSMGMPPSQQIPFFTPLICECDHPIVHIPIPVMDICSSGQAYLVSTGPTVMSVVSIPPALSVQESDLEKSARDTLRLLMSGSTQFPSGVGGGSFYGATTTTDVNVNVNVNAIANNIAAMSLVSPHGVMKRCIDQGDLVDLLKDPVNTVGTTTTITTTTTTCSSNEDGLSEKGSTK >cds-PLY93426.1 pep primary_assembly:Lsat_Salinas_v7:9:57619527:57620654:1 gene:gene-LSAT_9X50660 transcript:rna-gnl|WGS:NBSK|LSAT_9X50660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQTEAFPATYPGELPVFCRSISFSSLMPCLTENWGDLPLKVDDSEDMVIYGFLRDAVTAGWTPFNFSAKEIKAESMEETAPASSTDHLQSSEVAAPVEETAATTATVIAPAKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYETAEEAAIAYDRAAYRMRGSKALLNFPHRIGLNEPEPVRVTSKRRSPEPSTSLSSASESGSPKRRNTKVEPEVQSRSLNEFKHE >cds-PLY70669.1 pep primary_assembly:Lsat_Salinas_v7:5:72688686:72691474:1 gene:gene-LSAT_5X34300 transcript:rna-gnl|WGS:NBSK|LSAT_5X34300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPGRISVAVKVMSLAQKTGVVCMGFGSLLNMDMDTAPGLLNYYLLDHYDPDSIHLVLENMVITITKDTVHDMLGLPNEGEDFFSMTSYEKDNQVLKEWKSQYDKKGFNGNVTGAILEKIKKLEISVGGFGIQLPEDFENIDGDEEMVDEDEMSDGLMRLYGDEEEWYEKNQELFKEVNKADNGGMNSNEAGFDGHRKEGESDGGKEEQFSPVRGLVVDGDVHDDGGGFSTPNVEKEDGGNGKRGKREKKIHVYGKSPFVERIVKIGDKVKKDEMVLYNSAFASKRDYGEEIWNIGSGYVLHQGFSYHFKSNMFIHAIIIDCWSSLLNRMEELRDVGSVSRIFFDTNFLAKEILGGSMSSDRTQKLFNSMLILHLKSLPKPKKLKDIGLTKWPGNYLKIENYQKSTAFNMIKARVMKMPWKVEKEGSDCGVYLMRHMESYMGENEGCWDCGFTGKK >cds-PLY61908.1 pep primary_assembly:Lsat_Salinas_v7:4:220645275:220646393:-1 gene:gene-LSAT_4X120521 transcript:rna-gnl|WGS:NBSK|LSAT_4X120521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKMAIELDNIFSAWLKEHKIIKKSAEQHESNHQVFIDVLISILQSASEDEFPGFDHDTIIKSACQQLLVAGLDTTSGTLTWALSLLLNHPKTLEIAQNEIDEHVGRDRLVEESDLKNLVYLDAIIKETLRLYPAAPLSGAHKSIEDCIVGGYNIPKGTRLVVNLYKMHRDPNIWSDPLEFRPERFLTSHKDIDFRGKHYAFLPFGSGRRMCPGIPFAIQAVGLTLASFIQQFVLKNPSNEPIDMSETFGLTISKATALEVLLAPRLTSNMY >cds-PLY83692.1 pep primary_assembly:Lsat_Salinas_v7:4:44609932:44614176:-1 gene:gene-LSAT_4X29981 transcript:rna-gnl|WGS:NBSK|LSAT_4X29981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGIVRVVVVVLFGVLISGIRCQEDTDRTSAGVYMVTLKQAPSSFHNSSRAKKTTHPFRFPASTSGGANTLENPSFRNITTKHHRYGSRIARVHDSLLRRVLKGEKYLKLYSYHYLINGFAVLVTPQQAQKLSGRKEVANVIPDYSVRTATTHTPQFLGLPQGAWLQEGGYETAGEGVVIGLIDTGIDPTHVSFADGLSENNYPVPEHFTGVCEVTRDFPSGSCNRKLVGARHFAASAITRGIFNASQDYASPFDGDGHGTHTASIAAGNHGIPVVVAGHHFGNASGMAPRSHIAVYKALYKSFGGFAADVVAAIDQAAQDGVDVISLSITPNRRPPGIATFFNPIDMALLSAYKKGIFVVQAAGNTGPSPKSVSSFSPWIFTVGAAAHDRKYSNSILLGNNITIQGVGLAPGTDEDYTLVSATDALNDSETPTDEYVNECQDSSSLNQTIIQGNLLICSYSIKFVLGQSTINNAVQTARNLSAAGVVFSMDPFIIGFQLNPVPMSLPGIIIPSSNDSKILLQYYNSTLERDPVSRKIVKFGGVACIVGGVEANFSNSAPKIMYYSARGPDPEDNFLQDADILKPNLVAPGNSIWAAWSSGGTDSVEFLGEDFAMMSGTSMAAPHIAGLAALIKQKFPHFTPSAIGSALSTTASLRDKDGGPIMAQRAYANPDLNQSPATPFDMGSGFVNATAALDPGLILDLGYEDYMSFLCGINGSEAVVLNYTGTTCGPTTTVLTGVDLNLPSITLAALNQSRVVQRTVTNVGGMNESYSVGWNAPYGVSMKVTPAHFSIGGGEKQVLTVLLNSTMNSSVASFGRIGLFGDRSHVVNIHLSVVVKIAYNVSSN >cds-PLY73865.1 pep primary_assembly:Lsat_Salinas_v7:3:38571221:38571884:1 gene:gene-LSAT_3X30521 transcript:rna-gnl|WGS:NBSK|LSAT_3X30521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLQTQRCPGTAVPHHHGPTCQQQSYSTYQETGGRIQDGGYTNFSTITGPTPPYLNYSQVSTYSSDNSQHHQSGGGYHGYGAPQYSGGGVVASGQPHTGRYETFYHGNSQSHGHGNGPTYGYQKESWTIKDLDD >cds-PLY70045.1 pep primary_assembly:Lsat_Salinas_v7:5:202139379:202151919:-1 gene:gene-LSAT_5X90501 transcript:rna-gnl|WGS:NBSK|LSAT_5X90501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKGLNTLTQIKTTINDGLRLKEEDQPLSPMSRLFHEPGSNVYIITMMGCKTKINPAVVKQNLVHSLLRHPRFSSLQVVDKETGSFIWVPTNVNIDNHVIVPKIEPNIAFPDKFVDDYISNLSKSPIESSKPLWDLHLLDIKTTNDEGTGVFRFHHSLGDGLSLMTLFLACTRKSSDPDALPTLPVYKESSYIKFSTVRSVLEMFWNSFVSVVLFVFTVFFLKDTETPLKGPLGVENRPRRFVRKSVNLSDIKVVKKVMDVTLNDVVLGVTQAGLSRYLNRRYSENMNLSGSDHPKKNDFIPKNIRLRATFFFNLRPTTRIDTFVETMRTGKMGRWGNQIGYVLLPFAIGLKTDPLDYVKEAKAVIDRKKASLEPLYTYFVVYLVLKLFGIKAVGKLNHKVFFNTTLWFTNVPGPQEEVTFYGHEITYIAPSCYGQPNALMIHILSYMDKLTFIISADEETIPDPERLCDDLEESLYLIKTSALVSENAKNK >cds-PLY99140.1 pep primary_assembly:Lsat_Salinas_v7:2:11612420:11615848:1 gene:gene-LSAT_2X5181 transcript:rna-gnl|WGS:NBSK|LSAT_2X5181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEALVNRHQDRLHMETFQSTNKGYHAELNVVTYCRNRTAIEDKSKFRILLSFGQHARELITTELALRILSILSEEQFLPKMDPLLLNKTLEKIVIKVVPMENLNGRKKVEAGDVCERRNGRGVDLNRNWSVDWGKKEKDFDPYEENPGIGPFSEPEAQLMRKLSTSFEPHIWVNVHSGMEALFMPYDHKNTTPSGVESQKMRLMLETLNHLHCGDRCVVGSGGGSVGYLAHGTTTDYMFDVAKVPMAFTFEIYGDEKASSRDCFKMFNPVDHPTFNRVLNEWSATFFTMFNMGAHQMKDPQESTPFNMDHLISIDDYLNGYLIERKNRYGEKKEFLDLGLQEIRTYFRLFLLSSVMLMFMFCSRIAKSNRSHLPSISL >cds-PLY86040.1 pep primary_assembly:Lsat_Salinas_v7:8:296800484:296801313:1 gene:gene-LSAT_8X164161 transcript:rna-gnl|WGS:NBSK|LSAT_8X164161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARSISTAKTLSSLIADHSSAIVRRGYASASQGGVSEAAVRGSVVTMMKKGGEDSTKSTAWVPDPVTGYYKPEGHGNQIDAAELRELLLKQKTRRD >cds-PLY63668.1 pep primary_assembly:Lsat_Salinas_v7:4:129918242:129919012:-1 gene:gene-LSAT_4X81621 transcript:rna-gnl|WGS:NBSK|LSAT_4X81621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATMDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLLSKEATVGEKQFSSQGHQGNFSKNEGAGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIEPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGVNFNGNRQGYIPKSGARFQNQYYGLLLKSISLILYKKGTADLILYKNSRTDTYLSL >cds-PLY83307.1 pep primary_assembly:Lsat_Salinas_v7:1:63085933:63088920:1 gene:gene-LSAT_1X54441 transcript:rna-gnl|WGS:NBSK|LSAT_1X54441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELNGEMKVSKFKRICVFCGSSQGKKSSYQDAAIELGKELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPSARHIIVSAPTAKVLVRKLEEYVPSHEGVASKLNWEIEQQLEFTDYDISR >cds-PLY80589.1 pep primary_assembly:Lsat_Salinas_v7:6:13883285:13884849:-1 gene:gene-LSAT_6X12161 transcript:rna-gnl|WGS:NBSK|LSAT_6X12161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESACVHEQTTVVHELTQGIEMAKQLRLNLDSAEAREFLIEKILSSYDKALFVLKSAGQPRASPLPESSLPKSSITTSSPQSTEYEFEFGQNVASKKRKASTPWDNEVKILSDNEIEGNANDGYSWRKYGQKDILGAKFPRSYYKCSYRKVQKCLATKQVQKTDEDPTVFEITYRGIHTCNGVAQSALPPPPSPEKHEIKPTHHHHQLTTPNAGENFSNPRANLTVNTWDLGCTLPSSHSIPPTVFEFNDNDFHPLNFPNHFDEELLQGYSPSFISPATSESNYFTDWASSSSLDLPKDAADLFPDFMS >cds-PLY66415.1 pep primary_assembly:Lsat_Salinas_v7:9:38312608:38313328:-1 gene:gene-LSAT_9X33960 transcript:rna-gnl|WGS:NBSK|LSAT_9X33960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLEDKPRGIFIQKLNVKTKIKRALTVADVDLEVTSDLVAKVLNIGGLDGGGSMVTFFKWAIEQGKGLDTYVSIISAFLKARMVADALEMFDEMIGQGIIPTTGILWPTTCYYDDIKKARDARSVVSLSAYNILLMRLSRFGKREVYEYIINGLCNNGQLENDVIVMEECLKKEICPSRLIYAKLSNKLLVSNKV >cds-PLY92063.1 pep primary_assembly:Lsat_Salinas_v7:5:323924960:323925124:-1 gene:gene-LSAT_5X178140 transcript:rna-gnl|WGS:NBSK|LSAT_5X178140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFNEINDDMKIRCFKVLKIQIIVVMNLTDELFDLKIDLKKWDLVFMMCWVPNG >cds-PLY72882.1 pep primary_assembly:Lsat_Salinas_v7:4:272806021:272807514:1 gene:gene-LSAT_4X140200 transcript:rna-gnl|WGS:NBSK|LSAT_4X140200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPLNPIEFRRQCHMVTDFVVDYYQNIEKYPVRSQVKPGYLLESLPDCAPMYPESIEDILKDVQKDIIPGITHWQSPNFFAYFPASLNTASFLGEMLLNGFSVVGFNWESSPAATELEIIVMEWLLKLLQLPQSFSTSSNNGGGGGVLLGSTCEAFICTILAAKEKTLDQIGRDNTENLAVYCSDQTHFSFHKSAKVVGIKPENVRQVLTTRSTNFELSPESLDEMIKRDLEDGLIPIYLCATVGTTSTTAVDPLGPLCEVASKYNMWVHVDAAYAGSACICPEFRHFLDGVEGASSFSFNAHKWLLTNLACCCLWVKDKASFTKPLSTTSELMANESTESGKVVDYKDWQISLARRFQALKLWMVLRSYGTTALREYIRKHVKMAKDFEGLVNMDARFEIMAPRYFSMVCFRVSPYAISQHHDNDHKANELNQMLLESVNATGRVYMTHSVVGGVYIIRFSVGAGLTEDRHVNMAWELVQGEATSLLGEPKTRDQF >cds-PLY85964.1 pep primary_assembly:Lsat_Salinas_v7:3:201889959:201893682:1 gene:gene-LSAT_3X120281 transcript:rna-gnl|WGS:NBSK|LSAT_3X120281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDSKNRAFDAIARREAHELQLQQEHKNNKRILESSPDFKSKSKKGKYSFSVQTTKRGASYNSIFYVEEDHHPIYLPLSHPVHENLAKSDLQGNVVDKILHELLQSGDSAQNYMQGSRRMKIDNRVHLDNKIKDNKKSGIITLTKALQSHSKRSKKHMSMKQHKKYGSLDLPQEQHNFETFKPMHEIWKGYIMQLLKSVGKSQMAQCLLGADLHGAFILVAQCKMDGFTGVSGIMIRETAETFGIITKDNKYKVVVKKLSVFMLQIDCWKITLHGDKLTSRKIGQ >cds-PLY63647.1 pep primary_assembly:Lsat_Salinas_v7:4:128730119:128732092:-1 gene:gene-LSAT_4X80820 transcript:rna-gnl|WGS:NBSK|LSAT_4X80820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASPNPPVSAKPPSITHPFQPRTTLLPRFALPIASNHKKRHRLHISNVLSDSKPTTAASSTTRPPLPAEPFVSRYAPDQPRKGSDVLVEALEREGVTDVFAYPGGASMEIHQALTRSQIIRNVLPRHEQGGVFAAEGYARASGLPGVCIATSGPGATNLVSGLADALLDSIPIVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVEDIPRVVREAFYLASSGRPGPVLIDVPKDIQQQLVVPKWDEPMRLSGYLSRLPKPPNEEHLEQIIRLIAESKRPVLYTGGGCLDSSVELRRFVELTGIPVASTLMGLGAFPASDDLSLQMLGMHGTVYANYAVDKSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKTPHVSVCGDIKIALQGLNKILEEKGEMKNLDFSSWKKELDEQKSTHPLSFKTFGDAIPPQYAIQVLDELTGGNAIISTGVGQHQMWAAQFYKYNRPRQWLTSGGLGAMGFGLPAAIGAAVARPDSIVVDIDGDGSFMMNVQELATIRVENLPVKILLLNNQHLGMVVQWEDRFYKANRAHTYLGNPSKETEIFPNMLKFAEACDIPSARVTKIGDLRAAIQTMLDTPGPYLLDVIVPHQEHVLPMIPAGGGFMDVITDGDGRIKY >cds-PLY87090.1 pep primary_assembly:Lsat_Salinas_v7:5:264899420:264900824:1 gene:gene-LSAT_5X136301 transcript:rna-gnl|WGS:NBSK|LSAT_5X136301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAPNQTAFAVLSQVALAADGAVLGLALAYIAVRSVLKFKTTSSSLNKVKKAPPASPISFLSSVILLIKSQIRLLSVMRGSSSFEESWRRNPSSTVPFILVDGGNRPKSDYLKINMDESKHPLPLKTPISAFPYTFLQEFFGHEYPVGLLDEEKILPVGKEITAVGLVSLKNGILEVMACRDLPFFL >cds-PLY85424.1 pep primary_assembly:Lsat_Salinas_v7:4:291281520:291281995:1 gene:gene-LSAT_4X151821 transcript:rna-gnl|WGS:NBSK|LSAT_4X151821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTTTVKYLGTKPKPAMKALEPRFRPEQNQTITRAIFDILKEHGPLSVAQTWERVQEVGVKDLTSKTQMKTVLRWMKERQKLKQVCNHVGPNKQFLYTTWFTESPAVNQIRSGGVKSARSKAS >cds-PLY89629.1 pep primary_assembly:Lsat_Salinas_v7:8:220682312:220684522:1 gene:gene-LSAT_8X133901 transcript:rna-gnl|WGS:NBSK|LSAT_8X133901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEWRFKANNEVHAAASLTMRNILELIMGNIDVTQTGKPMIHLGHGDPSVYPCFRTSPVVEDALVEAIRSANFNCYATGAGIDPARRAIAEYLSRDIPYTLKTDDVLLTVGAEHAIHVLLTVLAYPGANILFPRPNYPTYEARARFSSLEVRHFDLLPEKGWEVDLDGVKRLADDKTVAIVLINPGNPSGSVFTYEHMQKIAETARELGILVIADEVYAHQVFGEKPFIPMGVFGAIAPVVTIGTLSKRWIVPGWRFGWIAVTDPVGVLHKTGIVSSLKSCLVISAEPPTVIQGAIPRILKSTPESFFVNINKLLKEAADMFYERLKEIPLLACPHKPEGSMFVLVKLNLSGFYDVVDDTDFCMKLAKEESMILLPGDAVGLKNWLRVSFAAEPKVLEDAIGRLKAFCLRHAKHY >cds-PLY78716.1 pep primary_assembly:Lsat_Salinas_v7:9:52008803:52009631:-1 gene:gene-LSAT_0X34700 transcript:rna-gnl|WGS:NBSK|LSAT_0X34700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYTLGSGDVVNSIRFAYKDQYGFTLTSQHFGGDGGSSNTITFADGEFLIGISGRVGFINNLTVITSLSFETNNYTYGPYGTNPGTDFSFGVTNGKFSGFYGRCGLYVDSLGVILQPKT >cds-PLY93370.1 pep primary_assembly:Lsat_Salinas_v7:9:57905018:57905263:1 gene:gene-LSAT_9X50880 transcript:rna-gnl|WGS:NBSK|LSAT_9X50880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDFLQGLINQVEAGKLSLQNIESGFAHHQQAKEEIIEKMDNLPQYMNYLTTNNIVINTKHEASSDDTVFPNYITESNLTS >cds-PLY79950.1 pep primary_assembly:Lsat_Salinas_v7:3:109743821:109744183:-1 gene:gene-LSAT_3X79161 transcript:rna-gnl|WGS:NBSK|LSAT_3X79161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKPLNAAPMGSPVVKQIARKGDLISGRLPLVHYLCLRQPPIVERNGTEATVLPSSGSDGCSFYPTTITQGGKDFDPQLIGEERNTQTFRKWPMTLNRSQGRAAIGGGKSLNFFFVVVMF >cds-PLY88469.1 pep primary_assembly:Lsat_Salinas_v7:1:150665882:150669494:-1 gene:gene-LSAT_1X106620 transcript:rna-gnl|WGS:NBSK|LSAT_1X106620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNMASSQGIGLSFIMLMIIGMVNGRWLEVDNLSTSMISDGLHDQALENPPNLHMVVSNSSESTITMYAEKRCQSIYGFLPCGDTIQEGVFLMLMYTYLMMLGEEWIHKGSEALFVLLGDKAIGASVFRVLMALPRIVIVIVSGVLATASDAQNQVAFGVGMYAGSTVITLTLIWGFRIILSRDKLRGKESNPECEHQENSTTKCFSFNRILSVLNDTGVNIDDETGSLAVIMLLSMIPFATVQLATLIKIPGSILLALIVSSASLLLCFAHQLWNPWIQARSLAYLKQEHLRARFFYHVQRLAEDDLIDEHGKPNLKAFESIFTRADTDNDGHISEDELEHLIQEVFALEKDQISKEYANAEILTHFDSDKSGKINLPEFKKGCTKWLEKWKKVANNSDTVSKNLWKQVEKVAIKSKRANLTKIEKIMPRILKQVLEKHQLVTEDGKADREKIEGLFSKYDEDSNQEIQRKELQQFIETLHFGVSLDHDTVLQEVVNDFDSDGNSSIQKKEFVDGFVRWIEKAINHDPSIKDPKHAIAKFEEDSWGEIDAPMKMGRPKAVILYVVFGVGIIYLISGAFIQSVIQFSNAAHIPFLFTSFVMAPLSMNTKMIIMALLDAGPRVSKNASLTFSEIYNGLVMNNLLGLTTLLVTVYIKGLSWSYSAEVLTIMIPCIIIGLFAFNRDTYPLWVSISAMLFYPVSIYLYYVFEH >cds-PLY85908.1 pep primary_assembly:Lsat_Salinas_v7:2:189785252:189786088:-1 gene:gene-LSAT_2X110620 transcript:rna-gnl|WGS:NBSK|LSAT_2X110620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNCFKEMKS >cds-PLY92541.1 pep primary_assembly:Lsat_Salinas_v7:5:78985948:78988075:-1 gene:gene-LSAT_5X36361 transcript:rna-gnl|WGS:NBSK|LSAT_5X36361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVRSVVEAVSTDDDDAPLYQVESLCMRCRENLLAVCFMNFVRNKVFSPCRSYCQPSSVLIVARGTMRCSLLVRYNPEGLAIAWNSHQMLNRQVVKSETATIKSVTASVKIPEIDLELAGGNLGGLVTTVEGLVTKINESLERVHGFTFGDSLDEDRRSKWLDFKARLLKLLNIEETWTLILDDALANSFIAPTTDDIKDDFQLTFDEYERSWEQNEELGLNDMDTSSADVAYAS >cds-PLY68471.1 pep primary_assembly:Lsat_Salinas_v7:2:214669997:214673600:1 gene:gene-LSAT_2X136220 transcript:rna-gnl|WGS:NBSK|LSAT_2X136220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEKGITGSCMIIGLLMWRIQREEQVKTDKFELLNKLKNAETEIKELKRRRVEDAKANEKVVSMFAAHEQRWLMERKKLTQQIRALLHELRVINTKTKENVSGLCGQLEEKEKLLEEEKDKRREQEESVKKAEKINEELRETLKREAQEHSKEIWKHKSAFIELVSTQRQLEAEMGRAVRQMEAEKQEVNGVLEQKEQYVLMTQKLSMELIKTRKDLEQKDKILSAMLRKSKLDTAEKKMLLKEVKMSKSKTVPESRHERYSLRSMLSRHHKSKPEQLELKDDQCSASPFSQQSIITEGSQEFANIGQLEGWVQCETEKYISVVEQRHEVEVNAFAEQLRLKDEKLEAFRWHSMSMDIEMKRLHSHIEGLDHDLTNLKQQNHKLESLLFNRESELHALKSELQLNTPKPQKEKDEQVPSKAMVLAVQSPDKEFEDKKDLVSVGEECSRKKDIVSGENISSSSSSWKMDLHALGVLYKIKRLKQQLVMFERLTGKQESCENREYDDKRKLYSLVSVVSKQVSRYQSLQEKANDICKRMYENDGDAGSGVKMKKLEHFLEETFQLQRYIVATGQKLIEIQSKIASGFVGGVNDGFDMKKFGDCVRSLFREVQRGLEVRISRIIGDLEAPLAWDGIIHHPTT >cds-PLY78310.1 pep primary_assembly:Lsat_Salinas_v7:2:181210117:181213905:-1 gene:gene-LSAT_2X103060 transcript:rna-gnl|WGS:NBSK|LSAT_2X103060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATCYYATTSMSSYPSTNRFASVSRTGVISYPTPFNPYKLDAIFSSSLLSLSSKRRQPRKLKVKSAVAPVETTAEFDEMVSGTQRKYYMLGGKGGVGKTSCAASLAVKFANNGHPTLVVSTDPAHSLSDSFAQAILCLLLFPIDLTGGTLVPVEGPLSPLFALEINPEKAREEFRDVSKKNGGTGVKDFMDGMGLGMLADQLGELKLGELLDTPPPGLDEAIAISKVIQFLESQEYNMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRQKISSATSAIKNVFGQEETRQDASDKLEKLRERMVKVRELFRDTNSTEFVIVTIPTVMAINESSRLRASLTKENVPVKRLIVNQILPPSASDCKFCAMKRKDQTRAIDMIRSDPELSSLLLVQAPLVDVEIRGVPALQFLGDMIWK >cds-PLY80840.1 pep primary_assembly:Lsat_Salinas_v7:6:111096776:111104199:-1 gene:gene-LSAT_6X67080 transcript:rna-gnl|WGS:NBSK|LSAT_6X67080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCHPFLDLYRLQPTRREMTQPNVTLISDLDILKDDSTIKVKVINLWNLFSFYNKDELFSIELILIDEQGTKIQANVLRKNIYRFKNILKDGLAFYIQCPSFASQRMGGFTLTRQHHKLTFFRNTVLTECHDFSGPTFGFEFVDYQSIISLTHPENTVIDVIGLVVAIGEMGIDNDDVKKHRLNIQIQDANGLQLSVNLWGDFAYKMQGFLDNNPLNLRIIVIIQFTKLSIWRDSLDGDDRPDSSTNTFTLMKSNKVSEHDDFMVKFQLKTIADVSEPVEKNTFIIVGTIKGILQNEPWHYLACTNCNYKAIRPPGAEDQVDDEGLTGYECHNKDCTKNKTTVIPRYRIPIRVQDNTGTLTLTMFERDGKYLLKKSAHDLFKNTLGFSTQLYPEEINALKGLKLAFKISISRFNVSNNNNQYSICRVSDDEKLIEELENKFTVSQVGNSQSFDIGEADSESQDNRILKDAISGTDDNITPYIVDKNSATSPMKVFNTPTVLKRNLEEVFDLELNEHLSSSKNPKISPEGRINQIVKVKLEKSG >cds-PLY92172.1 pep primary_assembly:Lsat_Salinas_v7:8:5664142:5665008:-1 gene:gene-LSAT_8X4621 transcript:rna-gnl|WGS:NBSK|LSAT_8X4621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTTEDTSSYYLRSIPVTDFAILSVVAFLLLLSLLSLFCIFHLRLKSRSSLHLRSFNNLWTIRLLLVVLVACWAVTQILHLPFIREPLTFSQQAYLCKFHIVLSLGFLQPGFLVTLLYLINLFIKQRNPSEKWSVLVLVKLITLPTLILQIILLFFTPLKEQLPWVLSVDSFGNKRMIYTYPLLSSVVFCAFAIVYSMGLLLACWRVVLLVINKAIRLRINMLGMTMMMALLVQILFLGAESLWMPENIGVSVVSLGIFLSVAVCGVVGEIVLVIKPIMEALETGKTT >cds-PLY93201.1 pep primary_assembly:Lsat_Salinas_v7:8:109638383:109642290:-1 gene:gene-LSAT_8X74780 transcript:rna-gnl|WGS:NBSK|LSAT_8X74780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFHKVYMNYQSLNAINVKQIYRTGYHFQPKQNWINAPMYYKGYYHLFYQYNPKGAVWGNIVWAHSVSKDMINWIPLEPAIVPSKPFDKYGCWSGSATILPGNKPVILYTGIIDKKPAPGYQVQNYAIPANYSDPFLRDWIKPDDNPIVKPTYENVSSFRDPTTAWFNNGHWKMIVGSKHKHRGIAYLYRSRDFVKWTKAKHTLHDKPGTGMWECPDFFPVSAYGQSGLDTSVLKGGVKHVFKVSLDMTRFEYYTIGTYDTIKDKYYPDNTSVDGWAGLRYDYGNFYASKTFFDPVQNRRILWGWANESSTSYEDVAKGWAGIQLIPRMVWLDPSGKQLLQWPIHELETLRGDNVHLSDVKLNKGDVVEVKGITPAQADVEVMFSFSSLDEAENFDPEWSKLPSENLALEICGITGTKQGGLGPFGLLTLASNKLEEYTPVFFRVFKTLDKTYKVLMCSDAKPSTLNKNEYKPSFAGFVDVDLSAKTLSLRSLIDHSVVESFGEGGKTVITSRVYPTIAVAEDAHLFLFNNGTETVTVESVGAWSMKSPELMN >cds-PLY78440.1 pep primary_assembly:Lsat_Salinas_v7:2:167013450:167016896:-1 gene:gene-LSAT_2X88360 transcript:rna-gnl|WGS:NBSK|LSAT_2X88360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTMSSLAFVTDHTAIDSAGLLDYHRRPKIPLFSYYRPRKPLLDTAFLCNNTKIVGGRRIWRVKATGENGPGGDATGDTDEALQVVIEKSKKLLAKQRDLLQQIAERREIIASISNTTKIKSEKEEISSEDEEEKSFLELDSNLPDDIYTLQNPSTIKSYEIDTSKSQIKSFPDEDFNNKLQNTNSEKATTNTKELPPFVSDISSTFKKFENTNESISHHVKEHVPDAPMGDNSKSPPLAGPNVMNIILVAAECAPWSKTGGLGDVAGALPKALAYRGHRVMVVAPRYGNYADLQDTGIRKRYSVNGQDMEVHYFQTYIDGVDFVFIENHMFRNLGSNIYSGNRSDILRRMVLFCKAAIEVPWHVPCGGLCYGDGNLVFIANDWHTALLPVYLKAYYHEHGLMQYARSVLVIHNIAHQGRGPVDDFSYTDLPPNYLNLFKMYDPIGGEHFNIFAAGLKTAYQIITVSHGYTWELKTTEGGRGLHNIINENDWKLTGIVNGIDKQEWNPESDIHLTSDNYTNYSLTTLHTHKPQCKAALQTELGLPVRADIPVIAFIGRLDNQKGVDLIVDAIPWMVDQDVQVVMLGTGRPELENMLREMEARYRDRVRGWVGFSVKMAHRITAGADVLLMPSRFEPCGLNQLYAMSYGTVPVVHGVGGLKDTVQPFDPYRETGLGWVFDRAETGLLIEAIWNCLLTYREYKESWNGIQRRGMMQDLSWGNAACRYEEVLVKAKYQW >cds-PLY71307.1 pep primary_assembly:Lsat_Salinas_v7:8:102809699:102819132:-1 gene:gene-LSAT_8X71720 transcript:rna-gnl|WGS:NBSK|LSAT_8X71720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPENDWLSLVAVHGDAWLLLSRIILVQDLVLTSQTEVMEVLILLQGTQMETDDPTTSYMLEVKLMEERNTKPLDLNLAALSARCSKDLELNLALSKFSQITPYLVGI >cds-PLY76350.1 pep primary_assembly:Lsat_Salinas_v7:5:226032748:226035656:-1 gene:gene-LSAT_5X107420 transcript:rna-gnl|WGS:NBSK|LSAT_5X107420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADVEEIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNSPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSIEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMESTGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITQLAPGSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPPIVHRKCF >cds-PLY65218.1 pep primary_assembly:Lsat_Salinas_v7:8:20070288:20072498:-1 gene:gene-LSAT_8X15441 transcript:rna-gnl|WGS:NBSK|LSAT_8X15441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSVGLKIESSRLDGKVTSASVPPSPRSPRSQKEILQSGNLKPFSFNVLKLATRNFRPDSVLGEGGFGSVFKGWIDEQSLTAAKPGTGTVIAVKRLNQEGLQGHQEWLAEINYLGMLNHQNLVKLIGYCLEDDHRLLVYEFMPRGSLENHLFRRSSYFQPLSWTLRIKVALGAAKGLAYLHSPEAKVIYRDFKSSNILIDSNYNAKLSDFGLAKDGPVDGKSHVSTRVMGTYGYAAPEYMATGHLTARSDIYSFGVVLLEILTGRRCIDKNRPSGEQILVEFVKPYLTSKRRILHIMDPRLGGQYSPTVAMRAAILAMKCLLKEPKHRPSADELVKSLEQLQDLQKAADSSRKEPVRKQGDKKPDSYPRPAGSSSGVGVGVGSISGKS >cds-PLY93889.1 pep primary_assembly:Lsat_Salinas_v7:4:270001844:270002881:1 gene:gene-LSAT_4X138881 transcript:rna-gnl|WGS:NBSK|LSAT_4X138881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLESRYGSSLGVLEKQTSLKVMEKALLFVVTTTIHVQNIPAIDMNKLQASKGTIFRTKQPQKQMDHIDLGKGKRRMLSNEELKQRKRDHVGRMRYTSSILRQRQSEGEDDQKKGDVKKFWNFEMIESLTLCDLQEFKKQPKLNTKRFEVLEYMKKLSHISKSFLYSPFLIWLLFGIELAKEFKKIAPQPSTAKEGIEEFDDGEALKIRKGIVCLENHITKRRRNRFSKMI >cds-PLY82171.1 pep primary_assembly:Lsat_Salinas_v7:1:14037611:14041407:1 gene:gene-LSAT_1X12240 transcript:rna-gnl|WGS:NBSK|LSAT_1X12240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPLEVGTRVLCRWRDGKHHPVKVIERRRLHTGGPNDYEYYVHYTEFNRRLDEWVRLEQLDLDSVENDVDEKVEDKVTGLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDSLNLYFCEFCLTFMKRKEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIRAEDILATLQALELIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQG >cds-PLY84487.1 pep primary_assembly:Lsat_Salinas_v7:7:128303384:128308441:-1 gene:gene-LSAT_7X75321 transcript:rna-gnl|WGS:NBSK|LSAT_7X75321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLMPTFLQQGPIIGGGFTQSSFLSSTKVSVKFTISCCTIPSPMTHVNGNVDTTSTERSEIRLGLPSKGRMATDTLDLLNNCQLSVRHPNPRQYVAYIPQLENLEVWFQRPKDIVRKMVSGDLDIGIVGLDTVSEYGEGNEDLIIVHDALAYGECRLSLAVPKHGIFKDINSIEELAQMPHWTAEKPLRVATGFTHLSPKFLKENGIHHVSFSTADGALEAAPAMGIADVIVDLVSSGTTLKENNMKEIEGGVILRSQAVMVASKKSLLRRKGLLDITHEMLERFEAHISALDQYTVVANMRGYNEKEVAERLLTLPLLSGIQGPTVSPVFGFTNYYAIVICVPKKLLYKSVQQLRAVSVKE >cds-PLY98738.1 pep primary_assembly:Lsat_Salinas_v7:6:103258992:103259927:1 gene:gene-LSAT_6X68781 transcript:rna-gnl|WGS:NBSK|LSAT_6X68781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVFRETIFNFLHSPALIVWLSPLGDFTSFCVTFSLLALFFARLLTRCRRGGILYQLRTLTFVISLMSTSSFLGQLPTLAALALAIPCLCFRSSFVTSRACSLVSSFSMLCSLVVRRRVGMLNDIPPHLVLVLKNLDVSGVVMLLISRPSPPRLFVWGWYPFFLYWVWVFVFLRLTVCVVINVVPRFLWMCVVSQAAIARSGFACVFRVVTVAKPLVVINFTVPCIVDRMACSQKRAGSPRIISSYDLCLTTENSIVLVTLSFPSWLSSVNGRFMVSSDHSLSHVKRTSGAWVGAFRFFFQDGGSRLKQYS >cds-PLY68432.1 pep primary_assembly:Lsat_Salinas_v7:2:58206506:58207071:1 gene:gene-LSAT_2X26501 transcript:rna-gnl|WGS:NBSK|LSAT_2X26501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMHDTDGSLSPIGGSDSFLEGDDNSNIRLNADAIRSALNEMASSSSNNNSHSGWTSSDDEDEADVMDHDLDDEGGKSARSFREKRKAHYDEYRKVKELQKKESMKKDDEKQSIVDGVGDINISMHYKR >cds-PLY67360.1 pep primary_assembly:Lsat_Salinas_v7:4:60122591:60131413:1 gene:gene-LSAT_4X40541 transcript:rna-gnl|WGS:NBSK|LSAT_4X40541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTDQQRPANVVSGVSTSTPLNPIKTRPQTMYRWHSLENSNIFDAFMCVLKDRYRDQMKCIKIISRDMARNDGKPVPPCHCTYFEGMHNYRPGCVPENVWLRLCDEKMMGKPPTQFDIFMKTYVTTEAKKIYFAGDHEISNIAHKLPKKHKLIGCVHKFLPWNKNNHK >cds-PLY99579.1 pep primary_assembly:Lsat_Salinas_v7:7:189685251:189689173:1 gene:gene-LSAT_7X112280 transcript:rna-gnl|WGS:NBSK|LSAT_7X112280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAT1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79090) UniProtKB/Swiss-Prot;Acc:Q0WPK4] MERLGGGGDTQQLVDRRDPNQQLGSNSTGAVVFDASQYSFFGNEVLEEVELGGLEDEEEDLPAAKLEDEEYQLEQEEVTFLGMDDLSTTFSKLNKDVMGPSLGVYGDRGSRENSSAAELAQGGELTNWFDQDNFYSENAHESNRWSSNSSFPPQDTSMLHQRTSNQYIHRTSSYPEQLQQQNPNHHLLEDSKNLHRTSSYPDQQQQNIGQHLTFPDPVPVPKSSFISYPPPVNPPYHGGPPPSFSNISNPQLQMGGLPHPSHPPHGSQFGSNLGQFRPPANRVPNQFQPDLSNGMFAHKNGLMPPQQHRLHPQFQGPFGHLPLMNHHLSPPPPMMNNFEPLGLGGPRDQRARPVSKIRPGGPGPRFDTTGWPQFKSKYMSSDEIENILRMQLAATHSNDPYVDDYYHQAILANKSSGAKLRHHFCPTQLRECAPRTRAANEPHAFLQVDALGRVSFSSIRRPRPLLEVDPPKSSTGPHDQKALDKPLEQEPMLAARVTIEDGICLLLDVDDIDRFVQFNQLQDGGGQLKQRRQVLLEGLATSLQLVDPLKNGNTVELATKDDLVFLRLVSLPKGRKLVESFLRHLSPGSELTRVVCMAIFRHLRFLFGSGPADETVKKLARTVSSFVRGMELRALGACLASVVCSSEHPPLRPVGSPAGDGASVVLKSVLERATELLTDPNSVNNCSMQNRAFWQASFDAFFGLLTKYCFSKYDTVVQTWMTHGGGGGGGPGPSDVAAISREMPVELLRASLPHTNESQRKVLLDFAQRSMPVNNNG >cds-PLY76745.1 pep primary_assembly:Lsat_Salinas_v7:7:62230263:62233226:-1 gene:gene-LSAT_7X43461 transcript:rna-gnl|WGS:NBSK|LSAT_7X43461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDIASYVAKKVLYHVLGAIPSYQGSVGPALDELCLGLQSKEVAPALSGVYAKDLHVRLACLNAAKCIPVISSRSVPQDVEIETSIWIALHDPEKSVAEVAEDLWDLYDCEFGTDYSGLFRALSHVNYNVRVAASDALVVVLDEYPDTLQESLETLFSLYIRDSGVGEDMINSGWFGRQVIAMALHAAADVLRTKDLPVVMTFLISRALADTNVDVRGRMINVGIMIIDKHGKDNVSLLFPIFENYLNKKASYEEKYDLVRECVVIFTGALAKHLSKDDPKVHAVVEKLLEVINTPSEAVQRAVSSCLSPLMKSKQLCISEDALSLVTRLLDQLMKSEKYGECRGAAFGLAGVIKGFGISSLKKYGVATVLREGLAHRNSAKCREGSLLAFECLCEKLGKLYVIYLLPLLLFSFSDQVVAVREAAECAARAMMSQLTAQGMKLLLPSLLKVPLFFLL >cds-PLY86608.1 pep primary_assembly:Lsat_Salinas_v7:1:132558819:132560565:1 gene:gene-LSAT_1X97221 transcript:rna-gnl|WGS:NBSK|LSAT_1X97221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNISKRNENFEKFRYISLVAPKWKSYIKLKELQRAKRLRTFLPSSSSQIDSVVVKSLHELQFLRVLRLSGPGITQVPQSIGSLKHLRYLNFSFTDIRCLPEQVSDLYNLQSLLVRGCHELSDLPKSFAKLINLRHLDIDDTPSLNKMPLGIGGLTNLQTLSKVIIEEANGFKITDLKGLSDLQGELSIIGLDKLIDPIQAKNANLDQKKGLEVLEMRWSNVFDDSRNEMIEYEVLKELIPHPKLKVLKISNNKGTQFPSWVGDPTFDQLTELMLRGCKSTHLPTLGNLRSLKKLVISSCHLITSFSLSEKIVGDSDCGNLQPKLIPANDFGFLPMPRLISLQISYCKNLKSFPFQSLTSLEKLLILECPSMDYSFPCGMWPPNLRSLTIGGLNKPMSEWGPQNFPTSLVELHLYGKNSGVTSFAVAADTTTPSSSSSSFLLPPSLVSLRLDYFMDVESFSEVLRHLPCLNKLDIHSCPKISDLKTTSSRSKLMISVSHW >cds-PLY95628.1 pep primary_assembly:Lsat_Salinas_v7:4:196344337:196345256:1 gene:gene-LSAT_4X113941 transcript:rna-gnl|WGS:NBSK|LSAT_4X113941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRSSGADKIPKLNVVILGESLASEEDDLVFPGHVFSQQAHVPSPQKMFGANCKHGPSQADVWGIEENPSYGPSQL >cds-PLY79695.1 pep primary_assembly:Lsat_Salinas_v7:5:253598116:253599413:1 gene:gene-LSAT_5X128680 transcript:rna-gnl|WGS:NBSK|LSAT_5X128680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDEKIIKAQIWDTAGQERYRAITSAYYRGAVGALIVYDTTRHVTFENVERWLKELRDHSDSNIVIMLVGNKADLRHLRAVSTEDAKTFAEKENTYFMETSALEAMNVEDSFTEVLTQIYHVVSRKALDIGNDPGALPKGQTINVGSKDDVSAVKKVGCCSS >cds-PLY76322.1 pep primary_assembly:Lsat_Salinas_v7:5:296276038:296280212:1 gene:gene-LSAT_5X158281 transcript:rna-gnl|WGS:NBSK|LSAT_5X158281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFLPRSLPSDHVYKAINVLPITTHPMTQFTTGVLALQVSFFIYWEPTFEDSLSLIAQPPVVAAYTYRRIYKNGEIVSADDLLDYGANFAHMLGFDSPAMQELEALCHYPYDYEILIYCSDHEGGNVSAHIGHLMVDRLDEGIISPDLGVLDYEGL >cds-PLY62026.1 pep primary_assembly:Lsat_Salinas_v7:5:130291903:130293223:-1 gene:gene-LSAT_5X55461 transcript:rna-gnl|WGS:NBSK|LSAT_5X55461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLFLVELIVWFLVLGNVLSNEYTTFNKLVLPPNVTGPASAALDRGGKGPYVAVADGRILKWQGPTTGFLDFAYTSPNRTKKLCDGTNDLKLGAICGRPVALSFNYKTSDLYITDAFFGLLVVGFNGGLATQLSSGFKYLSGIDVESYTGNVYVVDASLTYNIRAANDGEFWVAVEKQVQQSPMLREPHGLRVKGSATVIQTVLLPHFFNMAIDVVQESNDALYVGSSDTGFVGVYTN >cds-PLY72445.1 pep primary_assembly:Lsat_Salinas_v7:2:138790230:138792262:1 gene:gene-LSAT_2X66341 transcript:rna-gnl|WGS:NBSK|LSAT_2X66341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEGNQAMKLYSHPMSSCSRRVRLALNLKGIKYDCINITSTNHPELLKVNPMGYVPALVDGTIVISDSYAILLYLEEKYPLHSLLPQDLTRRAINYQVASIISSSIQPLQNMTLVNYIGEIVGPEEKLPWAQLHIRKGFVALEKLLMKYAGKYATGDEIYLADLYLAPQLDNAINRYKLDMSQDEFPLLIKLNETYSKLPFFQQV >cds-PLY66464.1 pep primary_assembly:Lsat_Salinas_v7:5:327054204:327054734:1 gene:gene-LSAT_5X182640 transcript:rna-gnl|WGS:NBSK|LSAT_5X182640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENHIHRRPFIYHAHNELTTTFIAISTIATTGDNNSSQKSVVGMVVHKAGDLAILSDDRINRVGESSGKSTDGLKGSDGRRDEIEVRSCKTKKGRKRGDKLGKVSKRGKNATPVCLIVESDDDVDDHVGLNEPSPPNHCEFRTEETFGSGKVENKLGSGRSSKRGKNTNRNSLIDG >cds-PLY67454.1 pep primary_assembly:Lsat_Salinas_v7:6:68883532:68884284:1 gene:gene-LSAT_6X50340 transcript:rna-gnl|WGS:NBSK|LSAT_6X50340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRGGYPQNVHYILTTDYTGNAILNQYIDHAIVKWQGDEREFFRNLRLLKSIDLSSNNLTGQIPYQITNLYALISLNLSKNSLIGEIPHNIGQIKQLLTLDLSRNNLSGQIPSSLSKMSLLNDLDMSFNNLSGRIPTSIQLQSFPPSRYDGNAGLCGPPLSRKCPGDEDSEATSINGKSEDDGEDIDEVWGWFYIGGGTGFVTGFWIACGALLLNHRGRRAFFHFYDSFKDWVYVKIVVFIANLQRVRHT >cds-PLY84973.1 pep primary_assembly:Lsat_Salinas_v7:1:153156729:153157169:1 gene:gene-LSAT_1X106660 transcript:rna-gnl|WGS:NBSK|LSAT_1X106660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQPEYGAKIAKQRSTYPWARSPAWLVIDGRTMPSQIAIHDSKQILDDVKHEPNSRGMKEISARRKKDRSGVPLILTREPSYKREPKRASDELNDTPSNSVKCKVDRRTEKCWWYPHLAKGRHFLQSQHALGQEEGKVQAPPEKER >cds-PLY76904.1 pep primary_assembly:Lsat_Salinas_v7:6:30250422:30254137:1 gene:gene-LSAT_6X23160 transcript:rna-gnl|WGS:NBSK|LSAT_6X23160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGRAFGAIFYRLSTAAPLRCPNFFPIQGAVRLNTNLSSSSMYSSCAKWAITPIKFQSLNSVRKIWTRGPLCMGRRSCKIAGRKGAQDAKKAKLYAKVGKEVVSAVKKGGPNPVSNTSLAALLEKAKELDVPKEILERNIKRASDKGQEAYIEKIYEVYGYGGVGIIVEVSTDKINRSVAAVREVVKDCGGKMADPGSIMFKFRRARVVNIKVTDADKDTLLSIALDSGADDVIEPFIDEDDDSEEDNSERYYKIVSSSDNYMEILSKLREEGITFEPDNGSELLPLTPIEVDDEAMELNKELMSNLLDLDDVDAVYTDQK >cds-PLY74224.1 pep primary_assembly:Lsat_Salinas_v7:1:78550937:78556563:-1 gene:gene-LSAT_1X65981 transcript:rna-gnl|WGS:NBSK|LSAT_1X65981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLVASCKEKMAHFRIRELKDILTQLGLSKQGKKQDLTDRISAVLSDERVSGMWSKKNAVGKEEVAKLVDDIYRKMQDSGATDLASKGRAVLDATNSTKPKEEIEDVLQNMEKVRCPCGSSLQADSMIKCEDPKCKVWQHINCVIIPEKPLEGILPAPPPKFYCEICRLGRADPFLLSVAHPLPPVQLIIANPPTDGANPVLSVEKTFQLTRVDRDLLVKPEYDVQAWCMLLNDKVSFRMQWPQYAELQINGVPMRATNRPGAQLLGANGRDDGPIITPCTRDGINKISLTGCDSRVFCLGVRIIKRRTVQQILNLIPKESDGERFEDALARVRRCVGGGAPTENADSDSDLEVVSDSIPVNLRCPMSGSRMKIAGRFKPCAHMGCFDLEVFVEMNQRSRKWQCPICLKNYALENVIIDPYFTLITSKMRNCGEDVTEIEVKPDGSWRAKSEDDRKSLGELGQWHLPDGTLCVGVPMEVDSKPKLEALKQVKQEGLTGSEGHTRGLKLGMKKNKNGFWEVRKPDNLNSVSSGSKLPENFINTNGHGIGNNTTTTHNNVIPMSSSATGSGGDDTSVNQDGGGQFDYSTPNGVDLDSLSLNIHGFVNPGDADVIVLSDSEDEAMAVADTVVTHNDNLLSSSAQIGFPNLPLHSHPEDPSSLPLGLFNDDFGAPFWSVPSNNNHGVGPSFQLFGSDADPTDNGPLGGFTLGGETSMGGPTALGADDGLVDNPLAAYGGDDPSLQLFLPTKPLEATTTEHVEVTRQQSMSSNGFRSDDWISLSLGGGGGGGGGGGGGVRCEPDPGVNGLNSRQKAPLKDGTLDSLADTASLLLGMSDVRSEKSSRERSDSPFSFPRQKRSVRPRLFLSIDSDSEEEG >cds-PLY92906.1 pep primary_assembly:Lsat_Salinas_v7:3:181075227:181077247:-1 gene:gene-LSAT_3X108121 transcript:rna-gnl|WGS:NBSK|LSAT_3X108121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSSSSVQSLDMSEENHHNFADYAELRSNMQNLAKSSGITKALQAFRLMRTAAPGKPTIYDYNSLINCCLKSENLCLHDLSALYSEMKTLGLSPNASTFNTFLKGLNLIGESKVALWVIIEMCNHAFTPSFTSLSTLLKKCSDSMELEDAITVLELMMGLNYIPTEPQVILLINRLSKHGMTEKACLVLCKLLDKGYFPSPYIYNPIIWSLCKSDQISIALAIFCSLEKKGFVHNVCSYTALFYGFCKKRLFKEASNCLNKMTTNSRFYPNVRTYTTFIKCLCDNGRIQEALSLLGRMEKRGLDPDIVTYNIILRALSNQNMVHEVFDLFQTIYQKELSPDRYTATALSGLLKKGNLGIARTLLHDIFYGGSDMDIAVYNVYYSLFSGFKSKELSYVIECMIKNDIEPNNISFNTILKGFCKGKSMDEALKYFQSIKKPDLVSFNTILSAACKKGDSKMVQKVIDLMKDEGFKLNVVGFTCLMQYYCNVGKLNDCLDAFECMISQGLRPSMVTINSLMIGLCKNRELEAAYWVFCNLESYGLSSDSRTYKILMRAAKIEGNDLLVEELQRKCRGEGVKPNN >cds-PLY90509.1 pep primary_assembly:Lsat_Salinas_v7:2:43174586:43174801:-1 gene:gene-LSAT_2X20541 transcript:rna-gnl|WGS:NBSK|LSAT_2X20541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLKKDLRVSRVGPGGSLNAFFFLLIGVISQRLAMVRKKGGTGTLGERSTTESCMLRSGRMNRSRKGIY >cds-PLY61840.1 pep primary_assembly:Lsat_Salinas_v7:6:59228950:59230954:-1 gene:gene-LSAT_6X44580 transcript:rna-gnl|WGS:NBSK|LSAT_6X44580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGDKMAKRSSFGKTLRKRLSDITNYQQNSKSPPLFDQILPSDDSLYSAKNHVDHLLKENAELMKLITDKNKVIEVNGVELQKLRIIVQKTQLQNWSLAQSNSHMMAELNLGKQKLKTLQHQLTCKDALLKTMNLELKAVAAVQEMNDQKDISQNGDNTSTKQQTANRRMRPIRSQSHGPWMTTDEQVSEKETAENKRRCVRRQTARFRSEEDEKLFEIEDVKMDEDDGQTSVDQKDGKQSQRMLFVGRPSRRAAEKVRSYKETPLNIKMRRPE >cds-PLY69972.1 pep primary_assembly:Lsat_Salinas_v7:8:63725961:63727285:-1 gene:gene-LSAT_8X45260 transcript:rna-gnl|WGS:NBSK|LSAT_8X45260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSSHSQDYPPKQPSPPPSAPPQSYYQPPAPPQSNYQPPEYATGIPVAHKPINEKWSSSLCACCSDVPNCCLTCWCPCITFGQIAEIIDKGNTSCGVQGALYAIISTFTCCGCLYSCVFRSKMRSQYGLRETPCNDCLVHWCCEPCALCQEYRELKHRGFDISIGWQGNMERQNHGVQMPPMALGGMYR >cds-PLY71365.1 pep primary_assembly:Lsat_Salinas_v7:4:229655868:229656125:-1 gene:gene-LSAT_4X125760 transcript:rna-gnl|WGS:NBSK|LSAT_4X125760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDLDTPLASARESDITAHQSLISSLVLRRWWQLPTEITDVEIYKDDAISGSGTCRVEVTDLDGSVSADLDSDELEVGGHGDGSD >cds-PLY92007.1 pep primary_assembly:Lsat_Salinas_v7:8:241456973:241458629:1 gene:gene-LSAT_8X145461 transcript:rna-gnl|WGS:NBSK|LSAT_8X145461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFFWIALLHLMAAVMGHGGDGGDEAPHPFGGGFGDRQNDDPWTEVSEAERVGMLQYLSIWFGFDAITNHPMASTYWASLNNRICARYRGRKNIAKNRFNDFAGNVEAARAQAPRGMDQQHWNAAIEHFLTEKHQKRSAGNKECPKKQVVKNRGGTCSYGIVCFKKNLNRLEVFHRAHVNKREEFVDPLVEEQYTHHIADSGGDPDTIDWITIFEKVLVTRRGHVRGIRPKASSAADTSAPS >cds-PLY69430.1 pep primary_assembly:Lsat_Salinas_v7:1:64169196:64174389:-1 gene:gene-LSAT_1X54860 transcript:rna-gnl|WGS:NBSK|LSAT_1X54860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWIRSAMNKAAEVPGGAILKAAVRTYADSFVQHAGYSVSGAARIFQDRIASRNMKSFSEAARRLEEASVSCKGEDRVQLLRRWLVSLREFEKLDGSLVEIDGKSSEDPSSPSDKNVTPGKSTMILYYDPDLGSSPMNFRDVFLQSQALEGMTVSMILGAPNEEEILLIHEIFGLCLAGGREVHDVVVKNIQDLAKAFSLYDVEMLVRREELLQFAQTAITGLKETGDIARIDFEISQIHQRLNSMKCQQSAHEGTENSSEATSTGSLMEIEEPVTHIQLCCRLKSLLLKKRLLRYGDTIETHAQKVDILKVLVESLHNSASKTEKRILEQREEKNEALTFRVARSNEVSQIEKELGAEISELEKQRDKIEAELREVNSTLSVAYARLQHAREERFQFDEDNNDFILLLKEKEDELSNTIVSYRAEADTCHAFVNFLEATWAFQSSYVEERDKLVNDQLQDHEVYFVNTTISFLSAYKDQLPPAIANVRKLEESLKGFRKIIDPDEEFLQDIKKRENVEQEYLDAEVKVINIFDAVESIKDHFYTTIDDPSRKGVEVITELLEAIEKLKIDFKIIKRPNLSIEKVTEGEHTPSTGGPEGVMVPPPIRVMPDFKSIFAQKLLIKSPRQKPYIPLGASPENSPFSTNERKTFEYQTEKDASNPALVFDDETLHQKSTVKSDQERLTLDSSDEIPKIPLTDQESGETSQFSLGDDLESLDSNEEMPKPTSRIEKEMSTN >cds-PLY91517.1 pep primary_assembly:Lsat_Salinas_v7:7:141485949:141487915:-1 gene:gene-LSAT_7X84681 transcript:rna-gnl|WGS:NBSK|LSAT_7X84681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTYQNREQKQQRTSERSVIQTTLHLEAYLNYGHEDDMVIELENEVRNALGYTGLGIPKESNNNNNNNNRLTGSTNEVSIGLVCALNYHNLYSSPYDEFHKLKNHPAIRPLPENGTVVQYGAWTLNEDALCSDTSIGQGGTIAWKVLQYTLAYNNIHKQWK >cds-PLY63230.1 pep primary_assembly:Lsat_Salinas_v7:2:77275906:77282617:1 gene:gene-LSAT_2X35701 transcript:rna-gnl|WGS:NBSK|LSAT_2X35701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:LHW [Source:Projected from Arabidopsis thaliana (AT2G27230) UniProtKB/TrEMBL;Acc:A0A178VXD3] MGYLLKEALKTLCGVNQWSYAIFWKIGCQNPKFLIWEECYYEPVIYSNVGHGDGGNKVHLLVTKMMKDNYINLLGEGLVGRVAFTRNHQWIISDNKLQLPAVQPPEVSNEVFTQFSAGIQTIAVIPVHPHGVVQLGSSSTIMENVGFVNDVKGLICQLGCVPGALLSENYMKREAPTHSHSSGSSGHSCFSFSRHIGDNHHNGLTLKSQQIEKIPSYDHEIGFGSLLGNYQPALRLMEQRILSEGDHHHIHINHTPPHAHFSGKQMNGKLEHELFEALSMSSEEEHLKPPSRDDLFDIVGMDFNGNQNSWAYEYEGESNNSSSIIYSSIIPNDHLLDAVVSKVPSSSKPITDDNINMGAGGINLMQRDLLGNGDTNSSIISSQIASWTEDLGKTEYANNKSKRPDDEIGKSNRKRLKPGQNPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALLERTIKHMLFLQSVTKHADKLKQTGESKSKSKIASKEGQGGVLLRDNFEGGATWAYEVGSQSMVCPIIVEDLNSPRQMLVEMVCEERGSFLEIADVVRGLGLTILKGVMESRNDKIWAYFTVEVTLLGLPWLTGTCQGWKYLSRLLASWTNLQKMGPAASVGMGMNNLSGKWPPIPYSNIVAAGRC >cds-PLY73394.1 pep primary_assembly:Lsat_Salinas_v7:9:153983486:153983719:-1 gene:gene-LSAT_9X96280 transcript:rna-gnl|WGS:NBSK|LSAT_9X96280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFASGIQGVRKACEALGFEKGKQLGGCSTISGESEAPELGCGVRRTEEVDTALLSLVETDFVGLFHPGELDYDSFR >cds-PLY72599.1 pep primary_assembly:Lsat_Salinas_v7:5:44557652:44559718:-1 gene:gene-LSAT_5X21140 transcript:rna-gnl|WGS:NBSK|LSAT_5X21140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLANYQLLTHKVFKSFMLQMYPSNLHHMHLSPIDMEMILYAPKFDWQTAAFWLSLAPEIEITPAPNAILSPEPINNLECADLEEIVVNIEENNTKN >cds-PLY70330.1 pep primary_assembly:Lsat_Salinas_v7:4:99906653:99908618:-1 gene:gene-LSAT_4X64920 transcript:rna-gnl|WGS:NBSK|LSAT_4X64920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEEYIYNLLDSYWYKQQILTPNPPSIRIPDAYKEEYGSPPSPKAPKDEFMALTMSNCRNDVEMKGMESLVIDRNELGCFSSKLPFLRAGSACASRAIGALLSSYSSLDGSDGLRRSSPTKIEKVVSHRDVDSFSAPMEGKFINIFGSQ >cds-PLY69602.1 pep primary_assembly:Lsat_Salinas_v7:5:314255157:314256724:1 gene:gene-LSAT_5X171881 transcript:rna-gnl|WGS:NBSK|LSAT_5X171881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKSDLGFRLVVSCMLLLITDCQSAQEHFNKHVALFIFGDSLFDSGNNNYINTNPNFQAKFWPYGESYFNPPTGRFSNGRLIPDFIAEFAGLPLIPAYLDPHYHNKEFGYGANFASGGSGVLVETNGGLVIDLKTQLQYFSDLEKRFRKNLGDVKAKQLLSNAVYLFSCGINDYASLLRNNQSSHHHQQYVEMVIGNLTDVFKEIHEKGGRKIGITTIPQLGCLPIARAQQPCNTCYEEFNTIASLHNRAVSKKMKEMTKQFEGFMFANYDHSTALSKRMKNPSKYGFKVGDSGCCGSGPLRGLYSCGGKRGIRKFELCDNPDDYLFFDSAHPSEAACRQFAELFWEGESKVTSPYNLKAFF >cds-PLY92758.1 pep primary_assembly:Lsat_Salinas_v7:8:67011269:67012636:-1 gene:gene-LSAT_8X47501 transcript:rna-gnl|WGS:NBSK|LSAT_8X47501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDDHDELRFVCKMCDKRYPSGKSLGGHMRSHVMAAAAAAAVAANSAESDDKFEPMKKLSTLIINGNGIGIGNGNGNGNENGNSSIYGLRENPKKSWRAVGSSSSTLPFPNEKVCKQCGKGFPSLKALCGHMAFHSGKDRNSKDYDYSWTSENLDHDDKSISDSHSDTEENELQDPICVTRSKSKRYKNIVVKPSSFLTNSNNSNYNYGSSSVSEIDELELEEVAICLMMLSKDSTNWAGVNSVLESSDNNSVVLETKWNSESRWNGIKKVESDITVEELLRNGDQHKKRWKGVNGFNYREFSYEEKLEIRRNLFKEFGYNDNFKKRIKEDDDSYTPEGSYKKRSKYECMNCNKIFSSFQGLGGHRPCHKKNNSESKFHNHKAKFDKKMRPKKNKGHECPICFRMFKSGQALGGHKRSHFINGSVERFDHVAVIEDEGPTYTDMIDLNLPAPEED >cds-PLY77552.1 pep primary_assembly:Lsat_Salinas_v7:2:163535711:163537799:1 gene:gene-LSAT_2X84280 transcript:rna-gnl|WGS:NBSK|LSAT_2X84280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVELVYFFYKVAVILRSRKKCLRVLKLLVLICAIVYGIYVYSTYLKHIHIEKKREFLEFQVIKAFHYNNVVYQSPIAHLHHPKPKTFNRSTRKPVRLFVIMSMQRSGSGWFETLLNSHMNISSNGEIFGQKKRRQNLTSIINTLDRVYNMDLITSSTKNDCSAAIGFKWMLNQALLLSRFKPTINVTSLKSDLGKMESTAMKALEYFNCTRHIIVYYEDLINNPYKLTQVEDFLKLPRMKLSSRQVKIHKGPLSEHINNWKDVNKTLIGTTYERYLRSDY >cds-PLY93880.1 pep primary_assembly:Lsat_Salinas_v7:4:270328596:270330241:-1 gene:gene-LSAT_4X138740 transcript:rna-gnl|WGS:NBSK|LSAT_4X138740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEYQHLKIPLERIQQATNNFGDTHFLAEGGFGKVYRGELIQSNGPTMAAVKRLTPSNHGDADFWREIMLLSEYKHDNIISLLGFCYQRKERILVYEYAPKKSLDNHLRDPKFTWVQRLKICLGAARGLEYLHNPREGQQRVLHRDIKSANILLDENWNAKIADFGFSKYGPANQKHSMLITEPKGTLGYCDPVFLETTFYVKESDVYSFGVVLFEVLCSRLCVDYSYDDMRRSLPAFVKNSSKEKIRDTIIDVNLLQQIEENSFDTFVTLALKCLEREQKKRPSMELIVKKIETALQYQEQRNVVFANNVNLASSSSTPVKENPNLLPQKKQAGRNVERFPTFAYSAVKELKIGKGALKCVVCLNVFKDEETLRLISKCDHVFHAECIDAWLENHLLCPICRTDLAPKPGR >cds-PLY84637.1 pep primary_assembly:Lsat_Salinas_v7:5:10965445:10968555:1 gene:gene-LSAT_5X4720 transcript:rna-gnl|WGS:NBSK|LSAT_5X4720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRAIRAYETAVEERVVVRKECASIRASVSDNDNDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIATLGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQYALVFLHCYLSYILCSSNVATLCSIRIVKKVPDLAENFANPVVSLLKEKHHGVLLTAIHLRTDLCNLNEEALEFFRKICTELLVKVLKDVVNSPYAPEYDVSGIADPFLHIILLRLLRVLGHGDADASDSMNDIPAQVATKTELNKNAGNAIHCECVETIMSIEDSSGLRVLAINILGRYWDLYVALNMLMKAISVDDQAVQRHRATILECVKDSDASIRKRALELVYLLVNETNVKPLTKELIDYLHVSDQDFKGDLTEKICSIVENYVEGFPLIRFGTLIRCSWFFLRLGRTLVMELMIVAGKVVPILQLEHGVAVVTVYVGSFMTSLDMGGRASNSVMPGRGS >cds-PLY64854.1 pep primary_assembly:Lsat_Salinas_v7:2:30967645:30969661:1 gene:gene-LSAT_2X15220 transcript:rna-gnl|WGS:NBSK|LSAT_2X15220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNPIGVLKIRIKRGLNLAVRDSKASDPYVVIKTDKLRVKTRFVKKDCNPEWDEEFDFPIYDPELPVRVKVYDHDTFTLDDKMGYAEIDVKPILEALNMTKENHHPSGTVLTTIQPIRTNFLAEESNIMWENNKIFQEMCLRLRMVERGLVELEVTWINNPDPGSNT >cds-PLY70911.1 pep primary_assembly:Lsat_Salinas_v7:9:15970497:15973713:-1 gene:gene-LSAT_9X17301 transcript:rna-gnl|WGS:NBSK|LSAT_9X17301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGDTISLPDSSIDDEDLSSINQQFLDRLSVIPTSRPGGLKAKTTDENESVFTESDATSFRDSYNTLKSKENETRTHSVYAQVLKNYDELKHRALNIEVAKSKILSYTPGSWVENVGGMTMNDYNLPKTTTLLLIGPKGSGKSSLINRISRVFEDDKFAPERAQVTYNSSIGNGTSFLHEYMIPRNSTSFCLYDTQSFSNDLSENLEMIKHWMTKGVSHNELVKSPTSNAKMKDKFVSYERRKVNFVIFVVNGLSILKCLDSNGADTQYTEMVSKVFSSPFLSFKDHKPAIAITHGDLLTLSERARVCVHLGELLGVHPSKQTFDIPDNNEVTTELTIVDLLRYALEHADRNLPFKSQPRINKARLFHLHGFHSEKVQEESVHKPDLEMKKVNTESVLKPNLEVKEVCRGRKSKSESRKERKKGRVREPSVEIDWAKIRHIW >cds-PLY83125.1 pep primary_assembly:Lsat_Salinas_v7:4:350194457:350195521:1 gene:gene-LSAT_4X172820 transcript:rna-gnl|WGS:NBSK|LSAT_4X172820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTLSPLPTSDTSRPALAFAAGDFRSEVYSRSLLEKYRDDFHDHLIDDAELWAKSQREISGASKSSYIYRIGSSDINFLFNGKSSAATGCSSSFCGSQQEVYLLIK >cds-PLY77857.1 pep primary_assembly:Lsat_Salinas_v7:1:25516539:25518622:1 gene:gene-LSAT_1X21600 transcript:rna-gnl|WGS:NBSK|LSAT_1X21600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGKPKSTNAEEDIFSLTSHNKKENVYTIRGHETDKEQQQGEQTKIDGDIVLSLCVKPFI >cds-PLY66221.1 pep primary_assembly:Lsat_Salinas_v7:1:175631161:175631475:1 gene:gene-LSAT_1X116080 transcript:rna-gnl|WGS:NBSK|LSAT_1X116080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGCDDLGLSQRLSGFPFASSNGDVATPSDDWVAVANGGWELEVAANIRHLEQQQRDAIANHVGKVFSSMEPGSSSNREMQRRRSSARWCATKCLNSTGNLEQ >cds-PLY78705.1 pep primary_assembly:Lsat_Salinas_v7:9:49640317:49643557:1 gene:gene-LSAT_9X45721 transcript:rna-gnl|WGS:NBSK|LSAT_9X45721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISENLTDETSLHQELEVLSVSKRLVRSLSQKLKRKNQGNIVDDDEDNTRGISIKCPTLYTRSGGCKVGATTGDEFSDCRRRPSCSNEEAKGYNYKPVCGPDDTTIDCFSYGVKDRFWKRSNRKLTEVEESQQQQQQQVSHVFLPDDIVEMCLVRLPLTSLMKARLVCKKWRALTSTTRFMQMRRDGLFQTPWLFLFGAVKDGFCSREIHAFDVSLNKWHRIESEVLKGRFMFSVVNIQDNIYVVGGCSSLTNFGKLDRSSVKTHKGVLVFSPLTKSWHKVASMKHARSKPVLGVYEVKSDFVVVKSQNNRQERRVVRTRVGGVSDVYEDPHRLSVRRQLRYSLDENEVSFGRQKSEHVKRKDSRRFLIIAVGGVGSWEEALDSCEIYDSCTNKWVEVQKVPVDFGVVCSGVVCNGVFYVYSESDNLVGYDIEKGYWVGIQTMRLGSRVHEYYPKLIACEKRLFMVMVSWCEGEGQIGRRNKAVRKVWELDFVGFKWGEVCMHPDAPMDWNAVFVGDRNLILGVEMFKIFGQVLDFLTVFDVSDVGKKKKKKKNWVHVSRNQVAHQLDASSCVTKSVAVVHL >cds-PLY95087.1 pep primary_assembly:Lsat_Salinas_v7:1:94101698:94103945:1 gene:gene-LSAT_1X77900 transcript:rna-gnl|WGS:NBSK|LSAT_1X77900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVDISEVLRVLDFSLSRTNWRLRASSKRRLETDILALCTGMRPVIMIDYGGKMPELRERLCSFLKLNKEESSIFENLRVMVIEDMIYLIHVKAIADFMKSTINKEVNLHFVDLEHDPPKMIPEVETSSIGAQFISLQKLFSSFFSTDVITNNDVSDFIDLTHFMQDTLITLPTLNGWLLGYPVVYIFGKDHIEDAVYNLSTKSLHLFNIFVQRNSSSSKKPSEEELMSFSVPYDLSIDGDNEVWAEVFLVGMRAKWEECKNVWGSLRMEVSATYPQAIAL >cds-PLY91470.1 pep primary_assembly:Lsat_Salinas_v7:7:144194453:144194902:1 gene:gene-LSAT_7X85721 transcript:rna-gnl|WGS:NBSK|LSAT_7X85721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAFDQSLKVHSFGQKPGGDGETAFARFTDNGARYGVAWEAPYAGEEEGNMTWPGSFYLNPQPASRKSDPYRLDLNLKL >cds-PLY78359.1 pep primary_assembly:Lsat_Salinas_v7:9:10457211:10459494:1 gene:gene-LSAT_9X8180 transcript:rna-gnl|WGS:NBSK|LSAT_9X8180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANSNPSDGSADDFFEQILGFPAYPGGATDPNLSVNEGGMGGPGGNSMMLQLSSAGDVGSGHHHLGGGVGVGGGGAGGGFHFPLGLSLEHGKGGFLKMDDASGSGKRFRDDVVDSRVSSSGFQGQSMGGGGGNTVQTTPNPPTARPTRVRARRGQATDPHSIAERLRRERIAERIRALQELVPSVNKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGGASAVAPLVTDIPISSVEEEAGEGGRNQPAWEKWSNDGTERQVAKLMEENVGAAMQFLQSKALCIMPISLASAIYHTQPPDSTSIIKPESEPPL >cds-PLY89702.1 pep primary_assembly:Lsat_Salinas_v7:3:164942512:164945014:1 gene:gene-LSAT_3X102280 transcript:rna-gnl|WGS:NBSK|LSAT_3X102280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSSGMELFRKAKSVRLKSHHDKYLLAESDGESVLQDRNDTAKKGIWTVEFVEGFDNVLRLKSSYGKYLTASEDQVIIGFTGQKVVQSMPQKLDSSIEWEPIRDGFQVRLKTRYGNYLRANGGLPPWRNSVTHDIPYRHHNWILWDVETVEARPEEREPPPSSPPSETVNPDLNSSSFSLRSSGSGVTEGHDLPAAKKEGRMVYYTVADDDGNVDEGHEETSFVFKGNGLEDLTLNLEEQTGINDIIVCLRNPLNGKLFPLQLALPPNNTTMHVVVVPPTSKDFISHANRELAGKK >cds-PLY84694.1 pep primary_assembly:Lsat_Salinas_v7:2:150517678:150522455:-1 gene:gene-LSAT_2X78800 transcript:rna-gnl|WGS:NBSK|LSAT_2X78800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSIVVAMSGVIAATLVPTNDACCRRGCVPSEKCRDKLSSSCVCHCAISARRESFSKTASHPPSFIFFVSAVWISWNVTACRRHPSVIVPRVPATLNLALSNLNVEDGHEKKRGDTKDHPLRQWLTKFFEADEL >cds-PLY87684.1 pep primary_assembly:Lsat_Salinas_v7:6:46320364:46323831:1 gene:gene-LSAT_6X34240 transcript:rna-gnl|WGS:NBSK|LSAT_6X34240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVATRGPMIRGSKPPLFDGSMAGVLSGGSSKGTNAIKRKTPSELRDEQLKRKNIIELMDESPALEPGSILNANDTMKTSKNPRYIDTRVDELFPSRKNSLRLKIQSGKEHVKENMTTDHTAGLKNSSLSFKFAAARKTECSGLKDTNASTSTASNKHFKSNQSHMTTDKCNTSTFRSVMEISSGGDKSTNVSLDMDKAFKGLAALDPPIISVSPTESNDGNANISTFCSEFHIPGLKTPLDFTLKTSMRVITSSSVTWFHRLMSCDTFNGLGQFNSQAGLPVDQQSNCPTAQISNTNALYSWVYPQSSLPPTVISALILSSKGEGQIDFLTKRQLAWEASFRSLYVMLRKNICNLFYVCTGQFVVMFTNLNGACNAYVSQSTQSLRSLLKEQDISFTMPLCNSKVEQETTEDLFELSEIEKHNLGKTRHTHSLFDVDNSPESLLMFNDKKNVNGLYDFLLNYRFLLPSLTSLDVPLLSSPIPFVNAAISAPEVKCKEVKRIDHLSVSSICEGSASNSYYSIEIKDSYLPPWIISSVLDAIKSHGDDSFEASFVIEPMSIGLNVGLEGLNEESGIGNTVVSHQLSRGFLKGLKYSDESYVASLSPV >cds-PLY83258.1 pep primary_assembly:Lsat_Salinas_v7:4:146461948:146462280:-1 gene:gene-LSAT_4X89421 transcript:rna-gnl|WGS:NBSK|LSAT_4X89421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQDDVGEKEDGEISPIIKSNELSSVRVESNQEERSPTASKNLDTPEKLTVPIRVVQETSGRLQQPLTVPRESDGLPPGNRTTIISNGMSSSPIIEMIRIGPLNQLLILLP >cds-PLY74475.1 pep primary_assembly:Lsat_Salinas_v7:7:33379800:33381263:-1 gene:gene-LSAT_7X24240 transcript:rna-gnl|WGS:NBSK|LSAT_7X24240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRASTTTITFLLVLLLILYPTATVPTPPPATTDTVPAQSPSSSSTSATLDPQQLRALQFLHIPTTHGLCSQFSCDTATPFRHLLSLRLTSCSSEFHLSTTLLSSLSTLTSLTFLNCHIPVVHFPTSLSTNLHSFTSINSLQRLTGVFLSRFHNLTHLYISGDPIKASGIHIITSNMKSLNSIILSNTHLTGYLPKDWSPNLTYIDFSKNKLKGTIPTSLTLLKNLKVLNFSSNNLIGVIPNSFGGLISLQNVSLSSNSISGPIPGSMSSIPGLVHLDLSSNQLNGAIPEFISEMKELKYLNLENNHFHGVLPFDTSFIKRLDVFKIGGNDDLCYNHSTISAEMNLGIAPCDKHGMPILPPPAFKEPSSSVGDLIRGGGDEGDGGDDDTEKKNQVHVNRGPSKVLLGVAIGLSAMVFLLIFLILLFKC >cds-PLY95416.1 pep primary_assembly:Lsat_Salinas_v7:9:189254213:189256924:-1 gene:gene-LSAT_9X115140 transcript:rna-gnl|WGS:NBSK|LSAT_9X115140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHVSEAFLGTKSCSISKSDGRSKASFLPVDLWKTAVSVSSGIEMLTADSIATMNSFPLGADEYDLDLPTPGFSSISDAIEDIRQGKMVMVVDDEDRENEGDLVMAASSVTPEAMAFFVKHGTGIVCVTMKGEDLERLQLPLMVKHNEDKNRTAFTVSVDAKHGTTTGVSAHDRATTVKALASKESKPEDFNRPGHIFPLRYREGGVLKRAGHTEASVDLAMLAGFDPVAVICEVVDDDGSMARLPKLRKFVEKENMKIISIADLIRYRRKTDHLVEQVSAARIPTTWGSFVAYCYKSILNGMEHVAMVKGEIGDGNDILVRVHSECLTGDIYGSARCDCGNQLALSMQHIEEAGRGVVVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEDLGLPVDSREYDVAAQILKDLGVRKMKLMTNNPAKCIGLKGYGLEVTSRVPLLTLITEHNKRYLETKRTKMGHIYGSGNNGVNLINQNDKTHSVYPTN >cds-PLY91728.1 pep primary_assembly:Lsat_Salinas_v7:9:16935235:16937260:1 gene:gene-LSAT_9X16941 transcript:rna-gnl|WGS:NBSK|LSAT_9X16941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 4A, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25480) UniProtKB/Swiss-Prot;Acc:Q56XR7] MESLSIGLPASRPSPKNPKFHKIKTPNPIFLFTSCHLTPNSIRFLSHFTKKKPKSTNTTLKSQALAFLFVGFPFPLSSFASEEIPIDQSSSKINLESILVSIDDFFNRYPFFVAGVVFIWLFVIPATQEYLRKYKDISALDAFKKLKDDPNAQLLDIRDNKTLRVLGSPNLKIFSKNAVQVEFRDGDDDGFAKKVKENFGDPANTTICILDNFDGNSMKVAELLFNNGFKEAYAIRGGVRGNSGWLEIQETFLPPSVHINLKTKVNKSKQVNNNGAINEQSEVKSQIDSVAESKQTNNGSVKHNTSSMGPVSRSLSPYSMYPDMKPPSSPTPSKPQ >cds-PLY87099.1 pep primary_assembly:Lsat_Salinas_v7:5:264920201:264922409:1 gene:gene-LSAT_5X136241 transcript:rna-gnl|WGS:NBSK|LSAT_5X136241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SPL2 [Source:Projected from Arabidopsis thaliana (AT1G54150) UniProtKB/Swiss-Prot;Acc:Q9SYH3] MSAPDQAAVAVLSQVALAADGAVLGLALAYIAVRSLLKFKTTSSALNKVKKAPSVSVSDLRSVLSQPSDQESDTTTFSDEKIVIVRGVVETKSVVNGNWKKQNVLVSHESNEKAVILQRTQTCIYNEWRGFFGWTSDFRSLFARHWKEQESSSIRTVPFILVDGGKWPQSDYLTVNLDGSNHPLPLTTVYHNLQPISASPYTFLQAFFGHEYPVGLLDEEKILPVGKEITAVGLASLKNGVPEVMACKDLPFFLSNLSKDQMVDELDFRTKVLLWSGVVIGSVATGILGYAVIRNWKKWKEWKQRREIEQQNAAEEMREAEGGVTAAEESGDVPEGQLCVICLMRQRRSAFVPCGHLVCCPRCALSVERELSPKCPVCRQTIRSSVRIYDS >cds-PLY99542.1 pep primary_assembly:Lsat_Salinas_v7:4:71023826:71025126:1 gene:gene-LSAT_4X48061 transcript:rna-gnl|WGS:NBSK|LSAT_4X48061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLLIFFIFHVDDRLSPCGHRCRQRLITTFRHCRCSSALPLLQRRLPPTLEVEVEPGSTQVYDLRTSNCTWKWEIGNFVSA >cds-PLY98224.1 pep primary_assembly:Lsat_Salinas_v7:7:168081710:168082030:1 gene:gene-LSAT_7X100000 transcript:rna-gnl|WGS:NBSK|LSAT_7X100000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFLEPPPPRPPPLLPPQPPLAPPPPPLVPSLPLVTTNIINTPYATCVTTTITTVTASFATTTTTSTTYATSATTTIITRDHKVGMVFLRVIVTNHRTNHQILSVT >cds-PLY61943.1 pep primary_assembly:Lsat_Salinas_v7:5:160340881:160344141:1 gene:gene-LSAT_5X70120 transcript:rna-gnl|WGS:NBSK|LSAT_5X70120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHKVQDQNKLLGVVGENKEKTPEAIEEVDNQCDISGGCVDGSDSDLGDGGALWDVFRREDTPKLQEYLKKHFKEFRHAFCLPLQQVIHPIHDQTFYLTMDHKKKLKEEFGIEAWSFVQKLGDAVFIPAGCAHQVRNLKSCIKVALEFVSPENVAECIRLTEDFRLLPQNHWAKEDKLEVKKIAFHAVEAAVKDLKFVANGSPQKDLGLVEKGTIQSTPTQKHKIPKKVQGAKWELQIDRNTCSHRVDNEENGYEVNNEESGGSIKRSRTSKERDYSPHSNPETPNSGGSTVHPPTSGDASKMKGKAKVSQSSSSPNEIVAELRAMRVTRDNEVELMRKRLELEQKREERKNKKMYHMHLNALLMKDHLSPEDEDIKRRLLAMLEIGNCKGYICCSRLVKSSLILTTLVRRLSAR >cds-PLY78097.1 pep primary_assembly:Lsat_Salinas_v7:1:6307819:6309091:1 gene:gene-LSAT_1X5940 transcript:rna-gnl|WGS:NBSK|LSAT_1X5940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLREKGEKSEEKGVNSNSNTKSRVPRRGSWGTGQKGENGEAIQQRETTQKIALQALREASATDTLVRFLKNPSNLTKSSKPESPSDCFDRFLDFHTQIVQAIAKMTSLKAATTQITSEDTPVLHDITNNNNSHTNNASKQQAALHKSIAELPKRSDQKSKQLKTSNQKTKVLENDENKNPGSSSSCCSLSNTIKLGMQIETEAGNWFMDFFEKALEKGLKKSNAKKVSKSLILKVINWVQVEQCDCSKRLVHPKATQIARKLRIKMKNP >cds-PLY67270.1 pep primary_assembly:Lsat_Salinas_v7:5:135837874:135847161:-1 gene:gene-LSAT_5X58921 transcript:rna-gnl|WGS:NBSK|LSAT_5X58921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRFTNSVLDSNTINRAASNTKWLYDSPIHSHVEAKLRCLLVELGNADRILGIQVCAYKDGQVIIDTTAGVLGKDNPRPVQPDSLFPAFSVTKGVTAGMIHWLADKGKLNLDENVANIWPEFGTNGKDQIKVHHILNHTSGLHNALADNTRNDPTLFCDWDECVKRIAKVAPETEPGRDQLYHYLSYGWLCGAIIERASGKKFQDVLEEAFVHPLNVEGEFYIGIPSGVESRLANLTYDRNEFHTFSDLIAKCKYRTAIPSSFLPHILENLISLADKLNVRRAILPASNGHFSARALARYYAALVDGGTVPPRHSSSLPPLGSHPHHPTFPSKNQKDETDATGDEVETKIFSNPKSKLHDAFLGNGKYKDLILPKGKFGLGFRKVCTMDACSTIGFGHAGLGGSMAYCDINNRFSIAVTLNKLSFGDLTGEIIRFVCLELDLPVPKDYGRSCKFMEKPVFN >cds-PLY91496.1 pep primary_assembly:Lsat_Salinas_v7:7:141221036:141221323:-1 gene:gene-LSAT_7X84481 transcript:rna-gnl|WGS:NBSK|LSAT_7X84481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQIEELNRENYRAVNDNSPAAVQLPGNFSMDKNHRRIERGALGECRELDDGINTQQVDETFGSRENINESSHVELENNLTVCEETTIGDGPG >cds-PLY79679.1 pep primary_assembly:Lsat_Salinas_v7:5:252556675:252556956:1 gene:gene-LSAT_5X127101 transcript:rna-gnl|WGS:NBSK|LSAT_5X127101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNNSILERGRLPSQGGANDDEAGSSFATGGSSSPPPKICRLIFDLEDFAAYWQMTVEEVREIMLECNASVKSRKEERCSIKLVQHLASANTQ >cds-PLY93110.1 pep primary_assembly:Lsat_Salinas_v7:MU039159.1:362004:362772:1 gene:gene-LSAT_0X10080 transcript:rna-gnl|WGS:NBSK|LSAT_0X10080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKMHLKTYHIAVIVLFIGMSSATLAYDPKGGRKTLVNQVSNSNPTGASGSAHGPNWDYSWGYGSSPGSGWGYGSGSGRSANGFGKGYGFGYGSGSGSGSGSGSGYGYGSGSGGAHAGGSGYGYGSSGGGAHGGGHGGGGGSVPAGHG >cds-PLY97244.1 pep primary_assembly:Lsat_Salinas_v7:1:43702764:43707304:-1 gene:gene-LSAT_1X38060 transcript:rna-gnl|WGS:NBSK|LSAT_1X38060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 12b [Source:Projected from Arabidopsis thaliana (AT1G17440) UniProtKB/Swiss-Prot;Acc:Q940A7] MMADGSSSSPIQSTITNATTSMDQQQQQQQQQSISQNLPSSIDNTIGQIMSTPSLPSQQQQQQAPQVNSSNVLSQQQQQQQLLQQQQQQSNLMGTANFQIHQQGLQRSPSISRLNHMQQQQQQQQQYNMSANNAARIYGQMNFASGQQQMPQQQQNQQIGQIGNATLTRSGLMGQTGHMTMLPGQAAAAAQLNLQSQLSASPRQKTGLVQGSQFHPGNTPGQSLQGMQMGMNMISPYNLNSQIRANGSLAFSQQRINQGQMRPQLAQQNALASSQAQGLSRTPFMNSQLSALSQNGQLAMMQNNLTQQQWSKQMPAMSAPNSPSYRLQQQRQQQQQQQALLGQQQQQQQQQQQLPSSQMHNSMSLNQQQISQMVQQQQHQQQNQNQQQQPIGHQQNQQQLLQQQSPRIGGSAGQKSLSLTGSQPDATASGTTTPGGSSSQGTEASNHLLGKRKIRDLVAQIDPNIVLDPELEEMLLMLADDFIDSVTSFGAILAKHRNSSIVESKDVLLHLEKNYKLTIPGFSSEEKKQKKDNPPSDLHKKRLDMIQGLMENTCSEANMNNNNNSKESTIPRNQFGGNMQQMRASASSEQLMIQSQNKHLNKQGFDGY >cds-PLY99557.1 pep primary_assembly:Lsat_Salinas_v7:8:80835466:80836020:-1 gene:gene-LSAT_8X57940 transcript:rna-gnl|WGS:NBSK|LSAT_8X57940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVQKKSTPIEPGSMDQNIQSTFTEFSLVIQEIQSSFPKPNPMDQDVQSPVVEEKGMPSEGAQASGSSFETPDLDISKGKIKLPESKFVDVVQLQNRVFDLEQNLVEKDLIIGKQDIRISELEKKNSDKDSKISELQANLGGLTALFFDLKQCLFQKFGDEFQPLSAEEEKIIASSSSPAIPTS >cds-PLY95505.1 pep primary_assembly:Lsat_Salinas_v7:4:142337629:142340342:-1 gene:gene-LSAT_4X88341 transcript:rna-gnl|WGS:NBSK|LSAT_4X88341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVKIVVFFKVINHGVAPLLVSELEKEAVEFFNMRQYEKDQYCPPNPFGYGRNKIGLNGDVGWVEYLLFTSTNFPINSKIFSSLVKEYVKEVRKMGCNILELMAEGLKIEPKNVLSRMLSDEKADIVFRLNHYPPCSDSDPNPNSDLNNRSMSHGRTSIGFGEHTDPQLISIARSNATSGFQIYLEDGTWVAVPQDETSYFINVDDLLEVMTNGRFKSVRHRVVADSFKSRVSMIYFGGPPLMEKISPLDSLMEPDEESLYNEFTWFEYKSCTYKTRLADNRLSRFHKHSHPV >cds-PLY67672.1 pep primary_assembly:Lsat_Salinas_v7:4:3930022:3932896:-1 gene:gene-LSAT_4X3360 transcript:rna-gnl|WGS:NBSK|LSAT_4X3360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVWANFFLLIPCFVVAVYNLYLSLLFFLSLVSVYPFFAKVWLVPGGFAWRNPNLNPSKIPGPIGWPFLGILPEMGSLSHRKLASMASSMGATRLMAFSLGSVRVVITSHPETAKELLCGSAFADRPVKESASLLMFERAIGFAPSGKYWRHLRKIVAQHMFSPKRVLSLQCLRQSVCDEMMDKVFDEMNKKKSVELRGIFQKGSLKNVMESVFGSGLGFEKEEELGFMVKEGYELIGEFQWGDYFPIKVLDFTGVKRRCHKLTLKVKSLVGHIVEERKRDGGGINNGKNDFLSVLLCLPQQDQLTDADMVAVLWEMIFRGVDTVAILLEWIMARMVMHQDIQAKAQEEIEEQVGNHRPVQDSDIPNLVYVQAIVKEVLRLHPPGPLLSWARLATHDVQLGKFFVPAGTTAMVNMWAITHDPSIWKNPWDFNPERFMEEDFPIMGSDLRLAPFGSGRRVCPGKSLGLATIHLWLARLLQQYKWLPIPSTKVDLSECLKLSLELQTPLTCRALVR >cds-PLY85487.1 pep primary_assembly:Lsat_Salinas_v7:3:42081138:42083843:-1 gene:gene-LSAT_3X32121 transcript:rna-gnl|WGS:NBSK|LSAT_3X32121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHEGKKICISDKDPTTMIETDLKRKNAKEALTVTHNRPTICYWSSEKIRYRETFEQEKARFGLEELNEEFVNEQDEGDIDLEDNDSDKDEDHSVEGNGEQDNDNDESQPEVDYLLDSNEVENEGIKNDGDKNQKEGSPMTRSKTNTRISQVIQEKGKSEGVYKQGKKVEKTKGDDTGKESSEHGNKGGAEAKNTKDGGVDKQTEIKKGNAEDRDK >cds-PLY99854.1 pep primary_assembly:Lsat_Salinas_v7:4:45032088:45071257:1 gene:gene-LSAT_4X32000 transcript:rna-gnl|WGS:NBSK|LSAT_4X32000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKTTLWVFYIFLFSSSFLGQIHAGNKQTQALNHLYTTKWSLNSAIDNSHFNSVEDLNKGNKQTQALNHLYRAKWSLNSAIDTSHFEPPQHVNNASILLQEGLKENDKIHKLPGQPYVRFDQYGGYVTVNESAGRAFYYYFVEADQTSNESLPLLLWLNGGPGCSSLAYGAMQELGPFRVNSDGKTLYRNDFSWNHAANVLFLESPAGVGFSYSNSSSDYINCGDESTAADNYVFLLNWLERFPEYKEREFYISGESYAGHYVPQLAHTILYYNKMANKTLINLKGILIGNAVINDETDTIGMYDYFGSHAIVSDEILDQIRIYCDFSPNTTKQSDKCLEANAEVGQNIVNIDIYNIYAPVCSKQILTSKPKPMSRAIDPCSEHYTYVYMNRQDVQEALHANVTKLDHDWEPCSDVINIWKDSPSTIIPLLREFMKDNLRVWIYSGDTDARVPVTSTKYSINSMSLPIKTPWHPWLYQGKVGGFAQVYEGDLTFATVLGAGHQVPSYKPKTALGLVTHFLTGKPLNGSRYRLS >cds-PLY68380.1 pep primary_assembly:Lsat_Salinas_v7:7:1317702:1318001:1 gene:gene-LSAT_7X380 transcript:rna-gnl|WGS:NBSK|LSAT_7X380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFINEVVNGGVTIILFVVTNPVCVPKKRNLYIFRDLKGAWKHIRTLEWKWKRDGTPVPSEMVRSLAQKKGLIRIILTWFCFLYFFNNTGSGSSPTRIE >cds-PLY93974.1 pep primary_assembly:Lsat_Salinas_v7:8:233741963:233743023:1 gene:gene-LSAT_8X141420 transcript:rna-gnl|WGS:NBSK|LSAT_8X141420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNAESHFLGDRIRRCYEARSLKPHQSEYNDDALITQRQEEGTSSLAPDLQAQIDEALEEINLRNVIKLLTLPLHGEHRTRRTKGLQGVHNIYGQLEEVVLLAVLHMTIS >cds-PLY67128.1 pep primary_assembly:Lsat_Salinas_v7:5:291421630:291422216:-1 gene:gene-LSAT_5X155340 transcript:rna-gnl|WGS:NBSK|LSAT_5X155340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKIHFIGFCVGCPYSSVKATLHTFTSGSSIGIAYATSQGHGEDYQVKLAVRQCPRNCIRM >cds-PLY85518.1 pep primary_assembly:Lsat_Salinas_v7:2:195895029:195899580:-1 gene:gene-LSAT_2X116741 transcript:rna-gnl|WGS:NBSK|LSAT_2X116741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMETNVSDTNLRCTQSTEIRRRNRIILFPLPFQGHINPMLQLANILHTQGFKITIIHTEYNSPNHSNYPHFAFKSISDRFFEIESQLATKVDADPAYFVKYLNRSCVEPFRECLGGLLAEYGEGSIACLITDAVFCFTQAVADSVKLPRMVIRTSSPACILAWGALPFSSQNGYFNLTKQDSNYEESVPEYPIMKFKDVSKMTTNPESMRNLVTDMLSHMKASSGLIWNTFKELEEPALETIRQEFSVPNFALGPFHKYFSASSSSLIEQDRTVLSWLDTQAPKSVIYISFGSIAHISESEFQEVAHGLANTGFPFLWVVRPGVVPGSKWVESLPEKFLERVGDRGRIVKWAPQQDVLAHLATGCFWSHCGWNSTLESICEGVPMICSPSFGDQPLNARYVSDVWKIGVLLEDGFDRVGIQMVLQRVMVDKEGEEMRERIISLKEKVNLSLDEGGSSQKSLKGLVDYILSF >cds-PLY75730.1 pep primary_assembly:Lsat_Salinas_v7:4:333753813:333755425:1 gene:gene-LSAT_4X164841 transcript:rna-gnl|WGS:NBSK|LSAT_4X164841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMHAKTDSEVTSQTASSPTRSPRRPVYFVQSPSRDSHDGEKTTNSFHSTPVLSPNGSPGRHSRNSSSTRFSGSLRPGSRKVSHQLQPRKGEKGFDAIEEEGLADDDHRSGIPRRCYFLAFVVGFFVLFSFFSLVLWAAAKPQKPSITMRSISFDQFVVNAGADASGVATEMVTINTTIKFNFHNRGTFFGVHVSSTPVDLAYTELTLASGSIKKFYQSRKGQRLVSVNLQGRGVPLYGGGVNWSSMDGKLTAPVPLNLNFTVKAKAYVLGKLVKPKFHRKISCAVVYKPTKVNVPISLKNSCTVE >cds-PLY66493.1 pep primary_assembly:Lsat_Salinas_v7:5:39590899:39591408:-1 gene:gene-LSAT_5X20041 transcript:rna-gnl|WGS:NBSK|LSAT_5X20041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSRSQKVKTIVLLFMATEANSKSTDLRVPSFSCYLKPHQHKLNGDDFQQKRNSSKPKQRGKVKAFIKWFFCCATPCLTKKAVSVKVKDYGTERDPFAFPILNPPRVFDYRGDMIMDKKITILTLDAIEKDDVNNAVCEGDDITSEGSSDLFEIESVMYIDRTTNKSKH >cds-PLY92183.1 pep primary_assembly:Lsat_Salinas_v7:6:76210860:76211894:1 gene:gene-LSAT_6X53500 transcript:rna-gnl|WGS:NBSK|LSAT_6X53500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHCVLLVICPSNLIVYILDSLMKPMQNPVDNYYLLKLLEMALERYEKNTSIPIVWKLIENLMMMFLVVKAGMMVRNNNWVWRTLDVTEVEVGCSEKFEMKAILTVIQRCCGINGSRWWL >cds-PLY89796.1 pep primary_assembly:Lsat_Salinas_v7:1:6958505:6958831:-1 gene:gene-LSAT_1X5040 transcript:rna-gnl|WGS:NBSK|LSAT_1X5040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVIASTPSQPETPETGSVKAEIQKEIIFADIPDDDTKTDQPIPNIGDQSDTDDYEVFLDLGFMPPGVVLVVPLNVIYLDSYLEGEIPQGTNSDIDVDKLNPQVELNP >cds-PLY88157.1 pep primary_assembly:Lsat_Salinas_v7:5:220929020:220930419:1 gene:gene-LSAT_5X102781 transcript:rna-gnl|WGS:NBSK|LSAT_5X102781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELLKTCSNNGSIHHDLQSSSDFNPTQHYTFADDIDSGASTPYVSAPSSPGRGPPHSYGGGFFYSAPTSPTHHAYSKTDSVSSIPLEGSGGSFEFEFTVRQETSGAAPSGSMSSADELFLNGQIRPMKLSSHLQRPQDLAPLIDVLENNGENGGDEEVKFGRDRHRSKKPLRRRARSMSPLRTNSAFQWLEDFQDGRESTEIKEELELEAEEKQRAEEDNEIPSSGASSRSSSVGRSSKRWVFLKELLYRSKSEGRNRNHKLWSALSFTPSSSGKKDKKSSKTEDGNSNSTAEAPPPKTTTKKAVNGVGVNRKRSMGRSAHEVHYTINRAQAEEMRKKTYLPYRQGLLGCIGFSSKSYGAMNGFAAAFNRVPSR >cds-PLY69539.1 pep primary_assembly:Lsat_Salinas_v7:8:83825185:83826629:-1 gene:gene-LSAT_8X58600 transcript:rna-gnl|WGS:NBSK|LSAT_8X58600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVTAAEERTSKRFTGEFVDEMRSVAMKLHTKDQAKDGEKETQGKPWRKWEPTIDGYLKFLVDSKLVYDTLDKILDKSDFPEYAEFRNTGLERAGNLAIDLEWFKEQGHIVPEPLSPGINYSIYIEELSKKDPQAFICHFYNTYFAHTAGGRMIGRKVAAKILNGKELEFYKWDGDLPQLLQNVREKLNRVAENWTREEKNHCLEETEKSFEFNGDILHLILA >cds-PLY92488.1 pep primary_assembly:Lsat_Salinas_v7:2:152395970:152396918:-1 gene:gene-LSAT_2X76320 transcript:rna-gnl|WGS:NBSK|LSAT_2X76320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRCTGSTMMGPCSCGLYHYQQNSFSSIFPMPYEEEIMCPYSSSPSSVDCTLSLGTPSTRLTNDHEKRRGSNWWNILQSSSHSSAPSAHKSNRGGDGNGNGNGNGSAAADSLFSRRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRANAAAAAVVSNGGSDSTEGYHHHQYMMNNGNQWMNHSQSAYKMPSCYSTASAASNEYRFMDDVDDRDSPFLSWRLNVTDRPGLVHDFTR >cds-PLY90649.1 pep primary_assembly:Lsat_Salinas_v7:6:54960022:54960893:1 gene:gene-LSAT_6X40001 transcript:rna-gnl|WGS:NBSK|LSAT_6X40001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKGISKSVNHLILKAEKQQLNNLIKLLAESSGFEGVEKFDNHHVPPLLSEEYLNCWSLPLVTLTTIAMSLPNIKKNQLDCLLIRGAAKALWEDVEVYHKWLGNKLQNPDPQLHTAGQILLWLRDTANNMLIKIEGMSNGVPNDMSKFRAISANSMYGITETILLSYHPKIDELSHEELFVHLLLMISDILAACLTKLPQVILMKCHTSGTEKREVSVQAAAQLLGETTQIINTLQERELPSLNPKDLASIDKWRAYLIDPFP >cds-PLY91592.1 pep primary_assembly:Lsat_Salinas_v7:7:11946858:11948832:1 gene:gene-LSAT_7X10161 transcript:rna-gnl|WGS:NBSK|LSAT_7X10161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFNSHSNSDTPPSEPDETLTTPDKNSPVSSKPDFTSPLSDQILISILSNLTKSQQISSCLVCKRWLRISGGLVKSLRLLDWDFLDSGRLAHRFPNLLDVDIVQACIVSPRNSGICFSNKFVSIHVNSFISDSGFIWKPDFLSPNLIDRGIQILAQGCPNLRRLVLLGATKEGLASIANECLALQELELCSITDMDLKGLAGFRNLQILKLIGSVDGLYDSVISDIGLTILAQVCPRLLKLELVGCEGSYDGIKAIGQCCQMMEELTLSDHRLEGGWLAALSYCTNLKTLKFQSCKFIDPNPGPDVHLGSCPTLEELHLQRCQLRDKQGLGALFLVCEAVRELVFEDCWGLDNNTFSTATICRRVMSLSLEGCSLLTMDGFDPLVDSWKELKRLKVVSCNNIKDSAMSPELATLFSLLKELKWRPDSKSILSSGLHGTGIWQKGGRSFKI >cds-PLY78953.1 pep primary_assembly:Lsat_Salinas_v7:3:70277072:70278457:1 gene:gene-LSAT_3X55821 transcript:rna-gnl|WGS:NBSK|LSAT_3X55821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEPQTDSPAPAGAQTDSPAPSPYQVLPKYPEMIFAAIEAVDEKNGANKSAISKQIEATYGSLPTAHSTLLSHHLNKMKASGELIIVKNNYVKPDPNASPKRGRGRPAKPKQPLPEGIVVSPPRPRGRPPKPRDPLAPVTDSTPRPRGRPKKVQDPLAQTPVKVGPPRPRGRPPNPDSAAKKAAQSLSGRKRGRPPKVGGASTASKPPPPSGERRGRGRPPKVQTPAADSVEKLIEKQD >cds-PLY93054.1 pep primary_assembly:Lsat_Salinas_v7:9:25983836:25985023:1 gene:gene-LSAT_9X23140 transcript:rna-gnl|WGS:NBSK|LSAT_9X23140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMFSGGGLMLLGILMRWSHRAIIYEILVGYPPFYSNEPMSTCRKIVNWRTHLKFPKEAKLSPEAKDLIYKLLCNVEKRVVKDSPG >cds-PLY68032.1 pep primary_assembly:Lsat_Salinas_v7:5:293164757:293166950:-1 gene:gene-LSAT_7X61641 transcript:rna-gnl|WGS:NBSK|LSAT_7X61641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDETSKASSGKEEEVIKKKYGGIVPKKPPLISKDHERAYFDSADWALGKQGVEKPKGPLEALRPKLQPTQQQTRYRKSPCAPTDGEDGNSTPASDDGSMNE >cds-PLY84010.1 pep primary_assembly:Lsat_Salinas_v7:8:35683152:35685618:1 gene:gene-LSAT_8X28081 transcript:rna-gnl|WGS:NBSK|LSAT_8X28081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop guanine nucleotide exchange factor 1 [Source:Projected from Arabidopsis thaliana (AT4G38430) UniProtKB/Swiss-Prot;Acc:Q93ZY2] MEVLSSGDESDHRNQRFDDYSLSADVSETESCGASSFDSPVASTSRSTPPVVGPQSASYLPAISPTKPPVAGGNYLGKAGSENLTEIQLMKDRFSKLLLGEDMSGRGNGVCTALAISNAITHLSATVFGDLWKLEPLSPQMKSKWRKEMEWLLSVSDSIVELTPSFQQFPDGGTFEVMVTRPRSDLYINLPALKKLDAMLVTMLDGFHDSEFCYVDRGLVVSDHGGNVKRRHSNASLSDSPLIQYEEKWWLPFPKVPTKGLSEKTVKKLHQCRECSNQVFKAAASINNNVLSQMEVPKVYLETLPKSAKACLGETLHHYITTTQFSPESLIDYLEMSSECTTLQIANRIEAAMHIWTEKSSKSHGNGKLLWTGNVKGDKERSKVLSGRANTILKNLKLQFPGLPRTRLDLDKIQSNKDVGQAIVESYSRVIESLAFNLMARIDDLLYVDDATKKRAAMEAGVHLQRPRFHGFSSSSSMVGRPAFGNSSLTTRNPGKRRSSFSSTSNIDRLEEALERLTFN >cds-PLY69077.1 pep primary_assembly:Lsat_Salinas_v7:5:277266775:277267239:1 gene:gene-LSAT_5X143901 transcript:rna-gnl|WGS:NBSK|LSAT_5X143901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYGFSFKNTRLKSSTAMQTFRFALFMAFGIWLLYQINQSSTKKSVASEIFSIHLNNQRISSILGRKGRVTPLKSTHRVSSEVILYDTTNNPGELNDSVQEQESIATEVLTKKSNDHELIEPEDVYRFLDENGIPEADREKLVGNESTRSGNES >cds-PLY86451.1 pep primary_assembly:Lsat_Salinas_v7:8:4194449:4197445:1 gene:gene-LSAT_8X3120 transcript:rna-gnl|WGS:NBSK|LSAT_8X3120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLISQNAVSKDRGEKMKRKNPDLDEPLPLDVLQETKMSENLSIVKPKKKKREDKEAETEMKKLENVLFGSLYPVEFGKNIEEEEDALFFTDRSANSALSVYEEDAAIIATKDYAPRTPVWVDEEEAKTNINISKVNRLRKIRKEEDEKLISGSEYVSRLRAHHMKLNPSTDWARPNSHVYNSDDNDGDFDGILQTTEDLVVSGMGSSKLLPGLLEYSRLVDANAQDPSSGPINSVQFHRNGQLLLTGGLDKKLRFFQIDGKRNTKIQSIFVDDCPIRKASFTPDGSQVILSGRRKFFYSFDLVKAKMDKIGPLVGREEKSLEAFEISPDSKTIAFIGNEGYILLVSSKTKELIGTLKMNGTARALTFGNHGQELVATGGDGQIYHFDMRSMSCFHKGVDEGCLTGTALGMSPKGNIFAAGSDSGIVNIYNKEEFLGGNRKPMKRIENLTTKVDFIKFNSDAQILAICSSMKKNSMKLVHVPSFTVFSNWPPANKALQYPRCLDFSPSGGMMALGNAAGHVLLYKLNHYLHA >cds-PLY84088.1 pep primary_assembly:Lsat_Salinas_v7:6:190743338:190743688:1 gene:gene-LSAT_6X117721 transcript:rna-gnl|WGS:NBSK|LSAT_6X117721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSFAKSWEKQFNSIEEEGLIEDDESEKPIPRRCCVLGFVICFLILFFPFALILYGAAKPQKPNLTMKSIKFERFVIQAGSDSTGVATDL >cds-PLY70614.1 pep primary_assembly:Lsat_Salinas_v7:1:88855204:88857044:-1 gene:gene-LSAT_1X75280 transcript:rna-gnl|WGS:NBSK|LSAT_1X75280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDWQEARRRRWRKTLRNDEQPRWNHAGVTTMFVSNLPEESRKDSLKKLFAKYREVVDVYMAMKRDVNKKPFAFVRFKKTDNERQLEKNLQGITFERRKLGINIARFARKLAEDSLDRRMENKQNQCRFPTVNRWHGRVNRSFAEVVASSNKSRKWVPPENQKKVDPIKIIDDSPLKGWMRSKQTLIGELHSFDHLEKALYSFKNCDGSECKLKYLGGLRIRVKFINEISREAFMRGWTEWFRSVDSGDVASFNFERIACLKIMGLPPELWSEVNFLIIAESVGRVIVPFEVDQSNTNLSYGKQFSQSPEESSSSEDGGEDEEEDAEADDEDEHVSDTILIKNCNTELEEEEIGTDETEEVMESNGGILIKNKFDNNCSPVEALSPADMATDENIDEDGNVTPWELQGLQLNLDAGGNCQPGSNNYETTHEMAVNGYLTQNLETRNVGLEDMPNCLMQNLNRSGCFGPFSNNLDRQPGPQGSIR >cds-PLY62740.1 pep primary_assembly:Lsat_Salinas_v7:8:48718770:48720622:-1 gene:gene-LSAT_8X36700 transcript:rna-gnl|WGS:NBSK|LSAT_8X36700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFSMEDFVGTGSLKKLLPKLLDDGWDDVPTLKIMNTEDMSAINMNQAQKDALEMRSYLHDRSLMQYADKLEASGKCLAELLNLGISDLSSQFAMKRGHIARFMDRTSQCEADPIPSSFSLPARKPTNPPSRNPSMFKNPSISTRPKLQAMSTRSNIAYDSTIEQSIADFKIKDGYIFKGVVASLPDEPRACGCIQQPPIVDDVAPYSSIENISVQKLTPEYKIGMERLVKTKTPPMRVSDLWRDKPSLLLCIRRPGCIMCRAEAHKLYSKKPIFDALGINLFAVLHEHIESEVRDFWPRYWGGVVLLDKNMDFFKALGGGSLLKDKFISGFLFNPRARANYKRAKAMGIEQNFKGEGEIKGGLFIVGKGKSGIAYQFIERNFGDWAPLAEVIEIGTKLQNQQLNRENSIASRDFE >cds-PLY75497.1 pep primary_assembly:Lsat_Salinas_v7:9:33733170:33736117:1 gene:gene-LSAT_9X29760 transcript:rna-gnl|WGS:NBSK|LSAT_9X29760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWAIRRASVRIKSQPSSSVTFRSSSAKFETTSSSYTDNTNFSEPPPLVSNRSLPINRFYSQTHGNQSFLIGNHSFSSQAGTESSGEEDDAQNNSFSFNDISDSGLISEADKSESESESEDDDIAVKEPQNELNLSDNEEEEEAVETKGEERRGPSGLFKVMVNSSSMVAAKKALDKWVEDGNDLSRSEISGAMFELRRRRMFGKALQLSEWLEGQEKVEFGERDYASRVDLFAKTRGLQKAESYIEKIPEAFRGEVVYRTLLANCVQITHTKKAEQIFNKIKDLKLPITAFSCNQLLLLYKRTDKRKIADVLLLMEKENIKPSLFTYRLLIDTKGQSNDIAGMEQVLETMKTEGLEPDLRCQIVLAKHYIYGGIKDKAKTVLKEIEGDNLKENRRVVSSLLPVYALLGSEDDVKRVWEICESDPRLDECLNAIDAFGRLKKVEEAEVVFEKMSKKWKQLSAKYYTAMLKVYAYNKMLTKGKDMVKLMSDNGCRIGPLTWDALVKLYLESGEIEKADSVLKKASEQIQGKPLFATYMMILDQYAKRGDVHNAEKIFYRMRQDGYVSRFRQYNSLLQAYINAKVPAYGFRERLKADNVFPSKSLVGQLAQVDAFKKTAVSDLLD >cds-PLY92536.1 pep primary_assembly:Lsat_Salinas_v7:3:253133810:253134502:-1 gene:gene-LSAT_3X139340 transcript:rna-gnl|WGS:NBSK|LSAT_3X139340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPLEIQLFQMMNQPPAREKVPYNLTDCKRWRFSSSMAKIHKIEESDGPMSHHDYIQRISKVRVRPLVDDWFWDMTVMQVVISRKEKKPRILFLKVLVVLVSLFLAFSN >cds-PLY63644.1 pep primary_assembly:Lsat_Salinas_v7:4:131438995:131440845:1 gene:gene-LSAT_4X82580 transcript:rna-gnl|WGS:NBSK|LSAT_4X82580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSHGTFDNNQEVELSVTRIGEPTLVKPSEETERGLYFLSNLDQNIAVIVRTIYCFKSEEKGNEMAAEVIKDALSKVLVHYYPAAGRLTISSEGKLIVNCTNEGAVFVEAEANCDIKELGDHTKPDPITLGKLVYDTPGAKNILDIPPVVVQVTKFKCGGFVVGLGMNHNLFDGIAAMEFVSSWSRTARGLPLKVKPFLDRTILNARNPPVVEFSHNEFEEIEDISNTADLYKEEISYRSFCFTPEDLKNLKIKATADGGVQSCTTFEALSAFVWKARTESLKMKPDQKTKLLFAVDGRSRFEPNLPEGYCGNGIVLTNSICTAGEQVGNPLSFTVKLVHDSVKMVTDDYMRSAIDYFEVTRARPSLSSTLLITTWSKLSFHANDFGWGEPLMSGPVALPEKEVILFLSHGEERKSVNVLLGLPVSAMNTFEELVKHI >cds-PLY70718.1 pep primary_assembly:Lsat_Salinas_v7:8:167162172:167165358:1 gene:gene-LSAT_8X109621 transcript:rna-gnl|WGS:NBSK|LSAT_8X109621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYNPNFSPARAVSPLIRSNADVDSQYLTELLEEHQKLQPFMQVLPICSRLLNQEIVRVSSMLPNQGFNELDRLRHRSPSPMASSNLMSNVPGSRISGWNGLPPERLSGPPGTTMDWQGAPASPSAYTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEGTTGCRVYIRGKGSIKDPEQEEKLRGRPGYEHLNEPLHILIEADLPASVVDIRLRQAQEIIEELLKPVDESQDYIKRQQLRELAMLNSNFREDSPGPSGSVSPFNTSSGMKRAKTGR >cds-PLY79533.1 pep primary_assembly:Lsat_Salinas_v7:1:34751820:34753086:1 gene:gene-LSAT_1X32321 transcript:rna-gnl|WGS:NBSK|LSAT_1X32321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEVHPPVEGQVNGGSGGVVGGGGGGGGTLVGAPAETVPGPTKRQRRPSVRLGEIGDQHTYDNHRRTKQQQWRYSSKEAKTSKTRQLMNLSGGAAMEYQETLDVGVEDKDGGNKSNHNLGNSNNNNPLDCVAIGSWKVRDSAKSKRGFSMTTKRVRSNWVSKINDGDEKFEEEDDFDDVDRYTDYEREGSGSQLKEPSLNLSMDNERDLHYGTRSRDGTRVRVSDGPSDTDARNWNNNNPERNGVRVWLNQLGLGRYAPIFEVHEVDDEVLPLLTLEDLKDMGINAVGSRRKMFCSIQKLGKGFS >cds-PLY70284.1 pep primary_assembly:Lsat_Salinas_v7:2:89944762:89950299:-1 gene:gene-LSAT_2X36780 transcript:rna-gnl|WGS:NBSK|LSAT_2X36780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLLQVLHLLILISLTATVTKAERISKKGCNDRCGEISIPYPFGIGTNCSLNKWYNIDCNSSTPYLSALNNTEFLNVNSEDSYRSGAVTVKVSMTFDCMRSVQHSTPVVSNNSPFYISTSANKLFVEGCGINADVMVKKTIVASCSTICRNDTVSDRNNCFGIGCCQATIDMIHSTDMNYGGWYYSRHLNFKTFRLNVTGLEGPAGDETCRSAFLMDSSYFRAGFPIDMNSTYVPITLSWYSDTLDDNNTSPECKKCQLKGGYCQLNLDVDSATSCIIYKGRNLGVILGVSISMGLLFLMVMGYALYKIIKKTKAKRRKQRFFKRNGGLLLKQQQAIDVEETILFTSKELEKATDRFNENRILGRGGQGTVYKGMLADGRIVAIKKSMVVDESQLVEFINEVVILSQVNHRNVVKLLGCCLETEVPLLVSEFVSNGTLYDLIQNETDEFSFSLHMRLQIATEIAGALSYLHSATSIPIYHRDIKTTNILLDEKYRAKVSDFGTSRLVSIDQTHLTTLVKGTFGYLDPEYFQSSQFTEKSDVYSFGVVLLELLTREKPISLTRFGENRSLATHFMLAMEEGRAMSIFDAMIVKEGFRTELLSIANLAMRCLNFNGKNRPTMKEVSIELECIRLSHVSLIDKINVGLVKQCEVVSPIYGKSTSTSMTISDNRN >cds-PLY65458.1 pep primary_assembly:Lsat_Salinas_v7:5:240611236:240612705:-1 gene:gene-LSAT_5X117900 transcript:rna-gnl|WGS:NBSK|LSAT_5X117900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEGWTQVRRRKTPVNHRFGLEETNFFVSNIPIGATKDEFRKIFNSFGKLTYIYFGGRKGKNGKNFGFIRYSGVEDKRTIEAKLNGTICRSSKLEINIAKHERVLPKTNNRKIQPSTPVNINVGGGFVGNRSYAEVAGGRAGIEIPLNHVPAIPPIRLQVDDRMMRLTTGNCLIGEVKTLDHLGHLPALMSIFSDVGVKVKYAGGMKAFIAFDSETLATNFLNTEDNWKGIFNYLKVVGGVDYNFERVASIRIVGLPIRLWCEENFSVIVRKFGKIIIPFDHIEDRLDLSVVKVGILTGTKKKINEEIRVEAEGKIFDVGLVEYEDEPWFPFRFDNEVQPVELETDYVTSEDEIDDEVDEGSGNDEDGVSDTWMGDIKEGEIVIEESVDGVGDGGGSDDHGAKKISPTQKSPVASESLQSHACSRDGVAETTHGKMKELSMVDDFKETHDGKINDPGHVAGNGIGSHGPFMDHSVLGLHKPIPFHSNLA >cds-PLY83261.1 pep primary_assembly:Lsat_Salinas_v7:4:146431022:146433250:-1 gene:gene-LSAT_4X89401 transcript:rna-gnl|WGS:NBSK|LSAT_4X89401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATEDGDNFRYLIVQPENGGITDLYRYGILGNKASGAKFFETSDEHTLHEELSVGGDRGGGETPAHRWVIIVSIVMRKLIKFFGKPMEWTGYVVDFILNLLSLNGGILGLFFNLLLGKMVIPVRGSATYISTIGHIDGRIDLMVGNDGTPAIQLDAGNRSLMDLCMMASKLAYENAIVIKNVMHFIDFYNCWNDYQKERSTQVFIFSDKPKDANLIVISFRGTEPFDADDWITDFDYSWYEIPKLGKVHMGFLEAMGLGNRINTSSFQQLLQATNTKLQLTNEGEPKKLLPEMGELSAYLAVRSKLKSLLNEHKNAKFMVTGHSLGGALAILFPIVLVFHEEDEVLQRMIGVHTFGQPRVGNRELGKYMESKLQYPNPRYFRVVYCNDIVPRLPYDNKTFLYKHFGVCLYYDSLFVKQKVEEEPNRNDYGLWYLIPEHLNAVWELARGMTMGMAYGPEYKESWEGIVMRLIGLAIPGLSAHGPPNYVNSIRLGTEKHIQMSTL >cds-PLY68664.1 pep primary_assembly:Lsat_Salinas_v7:5:167012452:167012976:-1 gene:gene-LSAT_5X74361 transcript:rna-gnl|WGS:NBSK|LSAT_5X74361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEDPTLHCPPVISQTIHPIHNQIPSFHEQNARREVKGTGVFIPRSSTNPRRSKQSRSKSSNTRVQSQRHVDNSSGHFPHEPYHNQTTTNNCYNSLNHKRCY >cds-PLY93240.1 pep primary_assembly:Lsat_Salinas_v7:6:159690593:159692172:1 gene:gene-LSAT_6X98901 transcript:rna-gnl|WGS:NBSK|LSAT_6X98901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHGGDGGDEPPHPFSGDFGVHQIDDVNAFLQNPAFVMEIRDIIRSFNKQVDDATNNNGENDDGDDD >cds-PLY71232.1 pep primary_assembly:Lsat_Salinas_v7:6:18756800:18757417:1 gene:gene-LSAT_6X14400 transcript:rna-gnl|WGS:NBSK|LSAT_6X14400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGEKTCPLCAEEMDLTDQQLRPCKCGYDRGAQHVAPLITRLVSEMSVEKKQKLVKGKAKTSEGRKQLSSVRVIQRNLVYIVGLPLNLAD >cds-PLY66776.1 pep primary_assembly:Lsat_Salinas_v7:3:65608075:65611443:1 gene:gene-LSAT_3X51321 transcript:rna-gnl|WGS:NBSK|LSAT_3X51321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKTEEKGKGKSDVSDYDAYFDMIQSRKTLSSSLQEKLTAAFTKIPVSTFPQVPRGKVIEILADTSIGDAVKILSESNIVSAPVRNPDAGDSMDWKERYLGILDYSTIVLWVLETADLAAAALTATSAAAAGVSAGAAGTLGAIALGATGPVAATGLTVAAIGAAVAGGVAAEKGMGKDAPTAADELGEDFYKVILQEEPFKSTQVKAIVKSYRWAPFIPVSTESTMLSVLLLLSKYRLRNVPIIEPGNPLIKNFITQSAVVQGLEQCKGRDWFDSISMHPITELGLPFVAKDKVISSDSDELILEAFKKMKDNQIGGLPVVEGPTKKIVGNVSIKDIRFLLLKQKLFTNFRRLTTRDFMNTIAATSEETMKVISPITCTLNATLGDVISTLSSKSVHRIYVVGDGNEVIGIITLRDVISCFITEPPNYLTEFHGPGIQEMLNKEKNRKQG >cds-PLY95980.1 pep primary_assembly:Lsat_Salinas_v7:9:41109253:41113920:-1 gene:gene-LSAT_9X37081 transcript:rna-gnl|WGS:NBSK|LSAT_9X37081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFSRYQIRNEFSLADPELYKAADKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHGLHEEVMVTATRGHGLLVRVQQLESDFPAIERAFLSQTGHSAFFSSSGIGWHPNLQTEQNLITRGDLPRFVMDSYEESRGPPRLFLLDKFDIAGAGACLKRYTDPSFYKVEASSFEMRNTEAQRGKNVRKTKKKGSKWKNGETPDVFQPSHVKLHQLLLEERMQNGTSEPTRHVKLKKREIKFPFDTETGKGYMETLLTSPPEDKLVHEVPVRPMLETHDEGIMVNSPSQNGSPFESPSVDKSVSMEHMNNMSGIMIPELPENETEGDSGIEEEEEEVEEKHISVEGNHKVDDVSPDGYQSDDVVSEGENYVDALATMESEIETETELRTNSDANLEQIQSQFSDSQSGDEIENGSIKKKMETFSDSETSTTSTDNTPRSVTFASTEIPFRPRILPSQVSDEGVVLEESGSKESGLSEMSSSNQIDPEAIAASTNDDPSSSNLQSEDGNSNSTLEISQVEEQQPSGPTPSSEEVENCDADVEVKPSEEISFIPDTCSLLKEELTDDVLESKSDRDSDEIESKDDSNSDNNNYSNNNNNLPILEDEKESVNNSVTNPDEIDNNESKSLSTCEDTELDERKVESFNSEIEALTFADEKDEDADDDGENGPVIDDSDESVGKQESVITPELDSIPSESGEKKEPDVSTELDSVPSAAYDHSNIQSLDSIPTNENLDENLTVLEKTDENGDVQLQPDLDFESNQDVVESKSTNNEIQEPVYEESSMEDLPQLGNENISDEVNFNYPLAPVFTELNMLPPLSPPINLEEMPPLPPLPPMQWRMGKLPNNDDQQQNHFPPPFPQIMTPPSLPHQNEITENPNSQHDNHQDTEISTSTSTSHTEQAKVAEEQIVILPKPSIDVEEQQSQNVSTSGQESSVSSIHEGDDDERPNGIGIRPMKVQRPRTPLIDAVAAHDKSKLRKVSERARPEIQEEEERDTLLPLRKVSERARPQIPKEEERDTLLEQIRAKVQQNSLYCV >cds-PLY81783.1 pep primary_assembly:Lsat_Salinas_v7:3:30567561:30569553:-1 gene:gene-LSAT_3X22740 transcript:rna-gnl|WGS:NBSK|LSAT_3X22740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:Projected from Arabidopsis thaliana (AT5G10790) UniProtKB/TrEMBL;Acc:A0A178UPE9] MSCSLSPESNHALLHTQNQIGHEIAVDIQRSELYCIACDDQVYDPDFDRAVMCEQIVGLGNGNLEGIRSNIKRKRLSSEAGLNSDFKNLKRVTVSGGGEWDHDRRISKSCFPVGLRGLNNLGNTCFMNSVLQALLHAPPFRNYFLSGRHNKDSCRKASNDRFCLSCDIEVIFSAVFSGDRAPYSPAQFLYSWWRLSENLACYEQQDAHEFFMSVLDRIHEKEGKTRNTSKDNPAGDCHCVVHRAFSGLLRSDVTCTTCGFTSTTYDPCVDISLDLNTNIDHTLHIASNKAQKSTDTGISTLTSCLDLFTRPEKLGSDQKLFCQNCQERHESVKQMSIRRLPLVLCLHVKRFEHSLARKASRKIDRHLQFPFSLDMTPYVSSSIVRKRFGNRIFAFEGDESDISTNFEVFAVITHSGMLESGHYVTYLRLNEQWYKCDDAWITEVEDEIVRSSQIYLVFYVQKPNEHKSGEDSGCHLRSASGESYKSVAGCC >cds-PLY91408.1 pep primary_assembly:Lsat_Salinas_v7:3:56041858:56043387:-1 gene:gene-LSAT_3X44100 transcript:rna-gnl|WGS:NBSK|LSAT_3X44100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECIEARALKSSFLSEIIHMKSTQQASFEDLWCVAGLNNVVNVTADEFSVDDLLDLSDKDFSTSGEESFEEDFASVSSQDNDSNSLNSSKFLTSGDIVSLPADQLPVPIDDMESLEWLSQIVDDSVSELPVSCPEANLKDEAGQFPENRFEPVFQMSARSFTVLGLPYPVPKKGRTEKSRKPGRVWSSGSRSLTESSSSSSSSHDLSATSPMLLTNPFHFIEFLEKPASAKKQRKNPVYQKGQVSSELINQRRCTHCQVQKTPQWRTGPLGPKTLCNACGVRFKSGRLFPEYRPACSPTFSGDVHSNSHRKVLEMRKMKETDVEPALNRQAQRDSILLLDPVQ >cds-PLY81806.1 pep primary_assembly:Lsat_Salinas_v7:3:33149391:33151950:1 gene:gene-LSAT_3X23880 transcript:rna-gnl|WGS:NBSK|LSAT_3X23880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKLFKDEATEEKGERARMASFVGAMAIADLVKTTLGPKGMDKILQSTGRGHSVTVTNDGATILKSLHIDNPAAKVLIDISKVQDDEVGDGTTSVVVLAGELLREAEKLVASKIHPMTIISGFRMAADCARNALLARVMDNKKDAEKFRSDLMRIAKTTLSSKILSQDKEHFAKLAVDAVMRLKGSTNLESIQIIKKAGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAQIEGAEKEKMREKVQKIIGHGINCFVNRQLIYNFPEELFADSGILAIEHADFEGIERLALVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVQMGQACTIVLRGASFHVLDEAERSLHDALCVLSQTVNDSRVLLGGGWPEMVMAKAVDELARKTPGKKSHAIEAFSRALLAIPTTIADNAGLDSAELIARLRAEHHKQESNAGIDVITGSVGDMAELGISEAFKVKQGVLLSATEAAEMILRVDEIITCAPRRREDRM >cds-PLY67190.1 pep primary_assembly:Lsat_Salinas_v7:6:162408067:162410338:1 gene:gene-LSAT_6X99620 transcript:rna-gnl|WGS:NBSK|LSAT_6X99620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPPKFQLRSKAIRSNFCNRSNFALFFTHTISCDEERKEQQHLEESITNRSYWTRRIHKLCAIDRNVDEAITLVDRLCIHGYHLDSLNLSSIIHALCDSNRFAEAHRRFLSSLSCSSGNGFIIPDERTCNVIIARLLDWGKNPHVTLRLVHRIIAVKPHFVPSLMNFNRLINQLCSFSYVHSAHILFFHMKSLGHLPNEVSYTTLINGYSRIGELSFAHKLLDEMSESGVMPNSLTYSVLIRGALHVRNIELYTSLLQKLWTTMANENHDHIVNHAAFSNLIHALCQQGMFKSVFEIAEQMPQENNVNNAFAYAQMIDSLCLHGRHHGASRIVYMMMKRNYIPSLVSYNSIIHGLTKNGGYLRAYQLLEQGIEFNYTPSENTYKILIQCLCLQEHNLIKAKKLLDIMLNKKGVDKVRIYNIYIQSLCQMNKESSTELLNTLLIMLETKCHPDIVTLNTIINGFCKMGKLEDAMKVLEDMLMGKFNFCTPDSVTFTTIISGLLTFGRTKDAFNMLYKVMPEKGFHPSVITYNVVLRGLFNLGLVKESMDVFNSMRFGGVVANSKKVISDSDIDIDTDSDRLIDGSKKVMSRFEPDSDILTVRTNMWGPVADSTTHAIMIDGLCKCDCVDEAKVFWDDVIWPSGVHDNFVYSAMIKGLCGSGKFNEACDFVYELVDCGVRTNVVNYNILIEGGCKLGLKKEVYQILGEMRKNGVEPDSVTWRIIDKLHKQKKEWGSEDKINV >cds-PLY78250.1 pep primary_assembly:Lsat_Salinas_v7:3:87760685:87765936:1 gene:gene-LSAT_3X67301 transcript:rna-gnl|WGS:NBSK|LSAT_3X67301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLEGIFIEPPPSSSSSTQLPDLSLNISLPNTSSFSSSIRSGNIDSSSKSNSFHQPTYTDLSLTNPSRNLATSLLPHRDQDNPQNPFLHHPHHTYNQHPNTHNLNHLNQGVSLLDVSDCLRPIKGIPVYHNHPFPFLPNLDHSNSLEKDPKLCFYPSSSTSTTPYFGGNTGGHMPFLNPGLNGPSSSGYRLPGGCGNGGGMRFNGLSSSYSNNHHYLNQYGGGGPTSHEVSHGLMRSRFLPKLPAKRSMRAPRMRWTSTLHSRFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSGQSDGSGEDDISTMGTGGQQRFVDQRGSNDQQESDYPNNYNNATTTTTLWSNSSSNREGWLQTNSDMNDIRPSSTLLTQRRASAQVKDCEPSRPKNCLGSNMDHKNPCLEFTLGRPDWLQKERN >cds-PLY78236.1 pep primary_assembly:Lsat_Salinas_v7:6:8143846:8145374:1 gene:gene-LSAT_6X4621 transcript:rna-gnl|WGS:NBSK|LSAT_6X4621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPTDHHGSFLNRISIRRNQVVSMDINHEQELEGLELFQKHVSDRFIQLLPPQTPLPPIAGEEIPVVDTPETFLSIAWFRKLLDVYLCCESEFKAVLIIGRDATHFSKPPLDRLIPDHLDRTVKALDICNAITHGIELLRHWQRLAQIAVEALEQRPIVEGHVRRAKRALNTLLTSMMVDDKENNHQVGKSAERMWSMSRRGGGVVAPPTVKHNRTISGNPRSFSFCFSKSWSASKQIQAMSNNLVAPRGGEPTGLALPVYVMSSVLVLVMWTMVTAVPCQEKVGLGTHFQLPRHLGWAHPMIGLQEKIGEEWKKKEKKGTAGLLAEIQVIERVAQNLIEFTEVFEFPLGVEKEEEVAEQVMELAEICRGMEVGLGPLQLQVRELFHRMVRSRAEVLDVIDQVGKITTPVFY >cds-PLY94934.1 pep primary_assembly:Lsat_Salinas_v7:4:111115282:111117393:-1 gene:gene-LSAT_4X70660 transcript:rna-gnl|WGS:NBSK|LSAT_4X70660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEMVSGRKQDEGAFEKRIHIMNDEGDVISKCMTKNNSTTSQSDSKDDKNGVNRTPDEWLPITRSRKGNSWTATFHLLCSGIGIQTLSLPLAFVYLGWFWGIMCLCGAFLWQLYTIGLLVSLHESVPGTRYSRYLQLSIVAFGEKLGKLFALFPVMYLSTGTCVIFIITGGGTLKLFYQLICDDGSSNLTTTEWFLVFISLAILVSLFCPDLHSVALVSFIGGIMAIGYCTTLWVLFVAKGRADDTSYDPSKVVASEAGRVRSILNALGIIAVAFRGHNVVLEIQGTMPSTPNRSSAKLMWKGVTASYVIIAMCFFPLAIVGYWAFGNKIPANGGILTALSTTLHHHSSKPVLGVIYVQVVISCVAAFQIYSMVVYDNLERVYASRRGRECSKLSRIGIRILFGGLTFFISVAFPFLQTLALIIGGIALHLTFGYPCLMWIAIKRPAVKSVRWWFNLGLGFLGVVLSLLVLVGAVWNLACRGLDANFFHPH >cds-PLY79293.1 pep primary_assembly:Lsat_Salinas_v7:4:370337319:370339581:1 gene:gene-LSAT_4X182060 transcript:rna-gnl|WGS:NBSK|LSAT_4X182060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPAEDIEATIHSAEWLSMLGRSSTGEQRKRREESLPIFKEGTYVDCWTLLLGSCRHRYDFYILTFRTQVIVAATAGVAAYGLPLEVSKVEAENAAQLSVALVATASFLVSPSFKGVLVLLFHLWFMLVLTSMADTKGQISAIVMEQLTAVVAAEPYHSVSCAFVSYEICDVDLAEGWKYRSRLWYAVALPSKAFDFGGGECGWDAWNSSLEKDSNGN >cds-PLY66581.1 pep primary_assembly:Lsat_Salinas_v7:6:167272197:167276431:-1 gene:gene-LSAT_6X102241 transcript:rna-gnl|WGS:NBSK|LSAT_6X102241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSYLDLTERNRREERVDPCKLPVHIVFTTPDSRSTRISNRAMNLQPKKSNSNVALTPEDEQKMIIEVRDTIKKQKSLEKIKQHRPDEFSRFCSDESISRYLRARNWNVKKAVKMLESSLIWRMNYKPEEIRWEHVAAEAETGKIYRSSFTDKKGRVVLVMRPKFQNSKSTKSQIKYLVYCMENAIQNLPSDQEQMIWLIDFHGFNLSNISINSTKETANILQNQYPERLGLAILYNPPKFFEPFYKMVKPFLEPKTANKVKFVYADDPNTKGIMDNLFCMDELESAFGGKDEEYFDIKKYAEKMIEDDAKRIAFHSGEKCSEPNVDSNSNSKKGTS >cds-PLY82898.1 pep primary_assembly:Lsat_Salinas_v7:4:18077036:18079685:1 gene:gene-LSAT_4X12600 transcript:rna-gnl|WGS:NBSK|LSAT_4X12600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSYFSSTNRSTKCSLVIAFKFAEMEYTSKVRDIEETSERYVSLSSVVDYDVKWKTIKSRGSHTRNLHKVRQGLDLIRELFQNFLSNKDFGIGMTHQELVDSLGTIAHSGTAKFLKALKDNKEVGSNNNLIGQFGVGFYYAFLVADKESRPSRVELKQGNPSSSSRPALALSHRVNF >cds-PLY75920.1 pep primary_assembly:Lsat_Salinas_v7:9:199042452:199047412:-1 gene:gene-LSAT_9X123001 transcript:rna-gnl|WGS:NBSK|LSAT_9X123001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTFLFKKYRDALKSVRAPSSLASSSSRGGAVIELTNAGLLKQNSSYAPLSTEDPGTSSAGALTVGLPPAWVDVSDEITANVQRARAKMGELAKAHAKALMPSFGDGKEDQHKIEALTHEITDLLKKSEKRLKRLSAGGTSEDSNIRKNVQRSLATDLQSLSMELRKKQSTYLKRLQQQKEGPDGVDLEMNLNGKHSRRDDDDDEFDDMGFNEHQMAKLKKSEAFTVEREKEIQQVVESVNELAQIMKDLSVLVIDQGTIVDRIDHNIQNVAASVDEGLKQLQKAERSQKRGGMIMCATVLVIMCFVMLVLLILKEILF >cds-PLY93938.1 pep primary_assembly:Lsat_Salinas_v7:6:6619371:6619568:-1 gene:gene-LSAT_6X4400 transcript:rna-gnl|WGS:NBSK|LSAT_6X4400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDKVGKNGKYFAFVRFEEVEDAKELENKLNGMELRGNKLEVNLAKHKWKEPPRQPGTGTVRRSI >cds-PLY83395.1 pep primary_assembly:Lsat_Salinas_v7:8:1501170:1501484:-1 gene:gene-LSAT_8X1201 transcript:rna-gnl|WGS:NBSK|LSAT_8X1201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRGLPIILNDYIADQEVGNVPYAVENGYGKFTTSPKEIAEIVGEWFGPKVHELKTMIGLDLNLNYQTSVTNIEY >cds-PLY80975.1 pep primary_assembly:Lsat_Salinas_v7:9:177615060:177617703:-1 gene:gene-LSAT_9X108920 transcript:rna-gnl|WGS:NBSK|LSAT_9X108920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRSALTMGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDKLTNELVAVKYIERGEKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFPEDEARFFFQQLVSGVGYCHNMQVCHRDLKLENTLLDGSQAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKMADVWSCGVTLYVMLVGAYPFEDPEEPKNFRKTIQRILNVQYSIPAYVHISTGCRHLISRIFVAEPSKRITMDEIRNHEWFMRNLPGDLMNDNAIDQFGGPEQPTQSVDEIMQIIAEATIPPAGAHNLNQYLTGSLDIDDDMDEDLESDPDLDIDSSGEIVYAM >cds-PLY91314.1 pep primary_assembly:Lsat_Salinas_v7:5:250750874:250751065:1 gene:gene-LSAT_5X125620 transcript:rna-gnl|WGS:NBSK|LSAT_5X125620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHEGVDFSGGSSLQSGLWGLRRVATAGEGASGGVSRQKAEGNGIWRWRQWGTGKTSPVILVL >cds-PLY66684.1 pep primary_assembly:Lsat_Salinas_v7:1:53251281:53253437:1 gene:gene-LSAT_1X46340 transcript:rna-gnl|WGS:NBSK|LSAT_1X46340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILTPADAMPWVGLYIALASLICTLAMAADLFRGFRQRKLWFPCRFFTINSTSITLITISTKIPVDLSTDISDSLVQVAKLISIAFLVTMLANVLPSLGLMNDKELLLNTVALCILIYTINVNMWIQIISLRIGTAILLLILLIPWPISVALTVSASRRVLQQRYKELHSLASNHQEMKNFSNKRLICYVKKYWMMAETGNPQFGIACSPVSSAFGVLCASLALSLLTFFLSDISDEPQNDKSDYKWSIDVIIILQLIGTIVGSIAPIFRCLTATSHFNLSMKWSIHHLNVFRVEKHWIQWLQLWKSSSVPMYIPGRYSKKVFHKIRNMILNLCIALQITIVVVCKTICLVPISFLILFSYCYRFAKFSLNWFKEELNASNSNVISDMEEYTGYVLQIEQDAKLSKRILRNALNSITRLLHESEGKEPRNLMKLLEKSTGFNGVIEFDSDRVPPLHPEEIQNCWSLVTVTLTAIALSLPNIANSHIQGLLDCMREGLQIVRYIEESLNTNGDLVKTRKSARHVWMDVELYCRWLQFDLQKKARHGKTSEEILQWLGDEAVKFVIQFKARKNVSLDDSLCKFTAASSMYRISQSILLHCNEQENWPTDEELFEFISTIIADLLCACFTNLPRVITMNCHDDAIEKREDNIRTAAQLLGRSKKILKILKARQLPNLDLESMGYIDKWHAIPKTEIYNGCSSTKIHSASSSSNESLVVTII >cds-PLY87956.1 pep primary_assembly:Lsat_Salinas_v7:3:178056397:178056828:1 gene:gene-LSAT_3X107921 transcript:rna-gnl|WGS:NBSK|LSAT_3X107921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDEEGGRGNKDINGKVGEGSSAKKAKGMTDEEGGRGNKGINGKVGEGSSAKKAKGMTVEEGGRGNKGINGKVGEGSSGKKANDVIDDKGGRGKKGSNGKVGEGTNGRKTRKKSERILKKKLGTRVEGNNGEGNTIDKPMELE >cds-PLY84632.1 pep primary_assembly:Lsat_Salinas_v7:1:32159888:32163580:-1 gene:gene-LSAT_1X27540 transcript:rna-gnl|WGS:NBSK|LSAT_1X27540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLESSSDYEADDSDAEFVEIDPSGRYGRYKEVLGKGACKKVYRAFDELEGIEVAWNQIRISDFLRNPEELERLYSEVHLLKTLRHKNIIKFYNSWVDTKNDHVNFITEIFTSGTLRQYRNKHKHVDLRALKKWSKQILEGLVYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRQARSAHSVIGTPEFMAPELYEEDYNELVDIYAFGMCLLELVTFEYPYVECTNAAQIYKKVTSGVKPASLATVKDPEIKSFIEKCLAKVSYRLSAKELLMDNFLYADEDYNNINKQKHSDPDPGGDTENVETPNSMPDTARDFTVMAQVRDPNTVFLKLRIEDVTGNVRNIHFPFDIEADTPTAVAREMVEELDLTDQDVSVIAEMIEAEIRSCFPDWALTEYSNDGNELDPQYDSPSPSTNKSGASPGHLSLERLPSGRRYWSDAPKTGCSPLKPVPSDIDEEENTQPFPNDDDDDDDENNDGDDDVNMIVEKIEQIMVEQQKELDELKKKHGLIVSKILMKLSPETRQEVVTMCKVEISKEDSDIKGEDGDEEGGNGKHSPGRLFKQKFKTRVEYTRPSRGIAVILRNDSVNS >cds-PLY81366.1 pep primary_assembly:Lsat_Salinas_v7:4:34973982:34975842:-1 gene:gene-LSAT_4X23740 transcript:rna-gnl|WGS:NBSK|LSAT_4X23740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGKSYSVQVTENSVVHADEPWNDHWLPFTNLDLLVPPFDVGSFFCYKKPSHGSFLNMINTLRTSLSRTLALYYPLAGEILWNAAARENQIHCNNRGVHFIEAVADVQLKELNLYSPDESIEGKLIPKKLHGVLAIQVTMFTCGGMVLGCMFDHRAADGYSANMFISSWADMTRSETPSMLPSFQRSILNPRSPTSYSSSINDVFSIYEPTSFPNNEENHDGGDPLFINRIFYIEGEQLNRLQLLASESGSRRSKLEAFTSFLWKIVALSLEESGNHNQMCHVALAVDGRSRLSQGDGEEKEKLMASHFGNVLSMPSGAKRSQELMDMTLSNIAMEVHEFLQTATGKDHFLDLIDWVEERRSMPLVARAFASTETSMMVSSGQRFQIMDKMDFGWGKVAFGSCHVPRGRKDFYVMTLPSPTNKEDWVVYMHLPMIHMNYIEAHAGQVFTPINVDYLGL >cds-PLY87999.1 pep primary_assembly:Lsat_Salinas_v7:8:153745780:153746037:1 gene:gene-LSAT_8X103100 transcript:rna-gnl|WGS:NBSK|LSAT_8X103100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMGLMSPLALDSTPPMSPPTSPMWQNKVNHVTPLALQFPESRLKTAPTMGLICRWPLLKQSLTQANPPTNLHLFRMGFAEDDVH >cds-PLY70080.1 pep primary_assembly:Lsat_Salinas_v7:4:203852524:203854792:1 gene:gene-LSAT_4X115760 transcript:rna-gnl|WGS:NBSK|LSAT_4X115760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKAWSKEEDKAFENAIANHWTHDSKEQWDTIASLIPTKTIPELKQHYRLLVEDVDDIEAGVIPIPKYLGEESSSSSTKENNHHGSTSNRRSSCNYTNGFSGFGHDSNSAGQSSGKANSRAEQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNYVISRTPTQVASHAQKYFIRLNSMNRDRRRTSIHDITSVNNGDVSSSHQIPITGSTNPSSGPTVGAPMKHRPHHPVPPGMGMYGAPMGHPVAAAPGHMPSAVGTPVMMPHSHHHHPPYVMPVAYPMAPPPAMHQ >cds-PLY68584.1 pep primary_assembly:Lsat_Salinas_v7:2:23214600:23227716:1 gene:gene-LSAT_2X9780 transcript:rna-gnl|WGS:NBSK|LSAT_2X9780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSAIIGPIVESLIVPAKQQLGYLFSSTKHVRGMNTILRQLDGASRDVKKQMDENNINNLEIPDRVPGWLEEVEKIKEDAQRISSTGNGCFSMKMRYQEGKKAFKITEEMACLIDENSKIIWRNTQKPLGKVNSKNASTSARLDGDAHNHFKSREKIFNDALGFLQQDHKIQMIAICGMGGVGKTTMMEQLKRTTEDKKMFDYIVKVVIGQKINMFSVQQAVAEYMGQSLTETSKTARADHLRMSFGNLPEGKRKVLVILDDIWEPIELKDMGLTPLPNGFKLLLTSRSEYICNKIAIEAESDLTLVRVDVMEELEAQNFFWQIAGVSKQYDRELNQIGSDIVRRCGFLPLAIKLIATTLKSQEAFVWRNTFQRLKKNNIDKNVQEIVEISYNHIKEEEEKSIFLLCGLFPDDFNIQIEDLTRYAWGLQLLEEVSTLADARDRTMTCVNNLTNANLLIDGDYIGDVKMHDLVLAFVLGKVSKGDLPWMINHDDTFKCSAVGMSESCKSISITCTGMSEFPRDFRYPNVSLLRLTDGEESLSFSEDFYERMENLEVVAYEKMQYPLFPRSLQCSTKLRTLLLDQCMLMFDCSVIGELLNLEVLSFADSGVRKLPYTIGYLKKLKLLDLTGCVNLRIDDGVLKNLVNLEELYMSVDNENAIRFTDGNREELAECSKHLSALEVEFFDTNSMPENMFFVKLKNFKISVGRNIQDDTGLNMHTSRNTLMLLTNKDELLESRVYELFEKTEMLYLEVEGMNDIEEILVESVHCHSQSFNNLTILDVFNCENLRYLFTVPMANGLMKLERLTVSQCPVMEALVNSENGGDGVIKFQKLKILFLDELPELVGLCNTVNIIELPQLEELRLDGLPNFTSIYPDNTSATSSMFSNVSANQPFLNKEVLIPKLKILKIYGMNNLKEIWPYQFDTSDEVNACMLREIEVNRCDKIVNLFPRNPLSFLRYLKELFVSECGNIEVLFNIDTSCVGEIEEYNSNLRHIHVQNLGKLKELWRRKGESSSDILIRSFQSVRMIKIEECENFVNVFTPTITSSHLRTLMNISVDGRRRWEERSRNIEFVEKSQEINVIPKVEISDVYGSKADIEFSVHPKPTLNQLEKLDILDCKEVEVVFEIESSSTSRDLTTTWHNQQPVLLPSLKLLWLRNMEKLSHVWKCNRNKLVIPQNQTESSFHNLTTIYMSNCNNIKYLFSPLMGKLLPSLKEIILKYCDGIEEVVSNRYDEIDEMDTSISSHTSTSFPHLERLELHFLPSLKSINGGNVRGRSRSFGITSGTTITTTSINDRFNCSEVGGVTSWFLCQYSKKIYIRNCGALPMGFPYCVSGQLHKLEELIISRCESMMEVFESEGVNKDGVHSTNVGDDDTCTTTMTLPRSANMTLLQLPNLTVLKIYGCKLLEHIFTSYALEGLKQLKEFTVEECRTMRVIVKEDGEHTKASKVISFPRLKSLTLANLPNVNGFFLGMNEFRWPSLEKVKMYGCPQLMILTSGHSVAPKLTHIHTGVGKHSLACGLNFHLSNATDKHTLSSTPNMIKPLLYSWCFPNTTNLVQFPWSFSNLVEVDAQSDDKFLRSRISFTCNELLNLKNLEKLHMVGVNEMITLVEEVFEVVERKNADENLETQSVVVFEKLKNVILENLFNLSHIWKTNRWIVLSFPNLTTVSVTACPLLGHVFTCCMVGSLLQLQELHISDCEKMDVIVKQVEGSEARLAKVVFPCLKSITLHNLPNVKGFCLGKEDFLWPSLDTLEIKDCPKVTVFTCGQSTTPELKLINATFGLCHATEDPNSFIRTKQEEVCDVPFGYYQQANVFLNKKVYITL >cds-PLY95758.1 pep primary_assembly:Lsat_Salinas_v7:3:27248817:27253596:-1 gene:gene-LSAT_3X21001 transcript:rna-gnl|WGS:NBSK|LSAT_3X21001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRREDTNPFDEEVNPFSKGGGAGAPASKSRIPQMVASSLGFGQKHDATVDIPLDSVNDPKKKENELSNWEADLKRRERDIKRREEAVAGAGIPTADDRNWPPFFPIIHHDISNEIPVHAQKLQYLAFASWLGIVLCLTFNVVAVTVCWIKGGGVKIFFLATIYALLGVPLSYVLWYRPLYRAMRTDSALKFSWFFLFYLLHLGFCIFAAIAPPIVFHGKSLTGILAAVDVFSDHALVGIFYLVGFGLFCLESLLSFWVLQKVYMFFRGNK >cds-PLY98532.1 pep primary_assembly:Lsat_Salinas_v7:1:38465149:38469048:1 gene:gene-LSAT_1X34320 transcript:rna-gnl|WGS:NBSK|LSAT_1X34320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWNSNNGQEMWNNSGMIAPPGTGGVPPIAPPGTGGAGGSIPPPPAAQPSYTVLPSPAEAEARLEEKARKWMQLNSKRYGDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRDVKVLYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLELEEEEDSAVYTWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVRLCIYHTPMVMYIKTEDPDLPAFYYDPLIHPITAANKDRREKKLLEDDDDEDDFCLPEGVEPLLTSTPLYTDTTAAGISLLFAPRPFNMRSGRMRRAEDIPLVSEWYKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPGEIDNPNLLPLD >cds-PLY71516.1 pep primary_assembly:Lsat_Salinas_v7:4:355878254:355880354:1 gene:gene-LSAT_4X174681 transcript:rna-gnl|WGS:NBSK|LSAT_4X174681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLPWVYLGIFVSLCAYACGVDFCEYLVAGAVMVNLNNLEEYISLVVDATVKTGITRQLEAFRAGFNQVFDVSSLQIFSPSGLDYLLCGRREMWEADTLEELHESVPTSTGNTKETSKARERAANEAHDRSAAESRLRSERVVVQRAQADARERAPIDAKGRAERSVGACQRYGVIPFSPNSGLIEWVPNCDTLHQLI >cds-PLY93373.1 pep primary_assembly:Lsat_Salinas_v7:9:58224546:58225658:-1 gene:gene-LSAT_9X51401 transcript:rna-gnl|WGS:NBSK|LSAT_9X51401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVITRSKVKKLQINDDLLIEIFLRLPLASVRRFQSVSKHWRSLLTDRCFTLIYKNGCISRGLFVKDFYVPFDDENRNPPFLNLDFYPDPRGIKIIQSCNGLLLCCSKKIKRDCRYYVFNPTTKQFALIPSVPGGGHVRRTICFMALAFHPTDCPHYKLVCIRYIRRDEKLFQIQIYSSETEKWRISDQSFSANSYTSFSSGVYWHQAIHWAHSNGNPSYFKLDTEELQTMPSYFTIDTQDFTGRYHEGEKAVYFGESRGCLHLVKRVQRSFQLKVYEMLNHHSGWFVKYMVKLDVHRMNAIRWFPDHYAIQVLDVVRGGEEDETFFMVIQILGKIVRYNFHEKSFKQMFDQTIPIWHGGAFRYIETIVTF >cds-PLY92423.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:952955:954703:-1 gene:gene-LSAT_0X10220 transcript:rna-gnl|WGS:NBSK|LSAT_0X10220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSRGHWRPSEDQKLRQLVEQYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPKINRTPFTEEEEERLLAYHREFGNRWANIAKLFPGRTDNAVKNHWHVIMARRVREKSTRMHGLLRTNNAATHHHHAFTDRYPYFPYELSRNLASHLQLSMNLIHQFKINEDKRDQRVEFYNFLPVNTDSNGSEVIDHAKKEEVEVEQEAPEQKRRDIHVVPFIDFLSVDKSSSRH >cds-PLY80664.1 pep primary_assembly:Lsat_Salinas_v7:5:246353042:246354999:-1 gene:gene-LSAT_5X121740 transcript:rna-gnl|WGS:NBSK|LSAT_5X121740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPFVLKMLLPLMLLVVMLPQRSKGDFEQWCISDSQATDAELQAALDYTCGEGGADCSKIQENQSCYLPNTLKDHASFAFNAYYQKFKHNGASCYFHSAAMTTEKDPSYGSCHYDYTP >cds-PLY93720.1 pep primary_assembly:Lsat_Salinas_v7:2:201907186:201909318:-1 gene:gene-LSAT_2X123281 transcript:rna-gnl|WGS:NBSK|LSAT_2X123281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADTNATGNDENLNDNSNNNDTNSSNDNNNSNGNGNGDGDDNSNDNGSGRNEDGGGNSNHSVNSNDNNNVNDNRNGNDNVTTNGNSDGGGSGNGNVNDHDNLTHEQSTDVDDDPKKKSRVCLRSDFFPEESFKSWSNYGKALLETRARLKERLLARSSDDNEIHGMRARSQNQMKRTLNWFDLIWFGVGAVMGAGVFVLTGEAAHDLAGPAVLLSYLISGCAALLSVICYTEFAVELPVAGGSFAYLRVELGDFVAYIAAGNILFEYVVAGASVSRSWTSYFATLCNYKPNDFRIHVPSMGKGFDYLDPMAAAVSTIICIIASFSVKGSSRFNSVATIIHIGVLLFILIAGATKADPANFDPFAPFGIRGIFKASSVLFFAYVGFDGVATLGEETKKPGRDIPIGLVGSMLIVITTYSLLATVICLMQPYNQIDVDAPFTIAFEVVGMNWAKILVGLGALKGMTTVLLATIIAESRYFTHIARTHMAPPILAVIHKKLGTPVNAAIIMTAANCLVAFFTSLDVLASLLSISTLFIFSLVAIGLLVRRYYSTGVTSDEDRNKLIVLLMLIVSSATGIALLWAWGVNSLGVYLIVVGVWFCSTLGIRLMVKQARSPKLWGAPMVPWLPSGSIALNLFMMGSIDGASFLRFMVWTMVLLAYYFLVGLHATYDASKETIKMQDDDSEVERGNAGSRASTTSEVVELNTITTSHT >cds-PLY74207.1 pep primary_assembly:Lsat_Salinas_v7:5:145287593:145289648:1 gene:gene-LSAT_5X64880 transcript:rna-gnl|WGS:NBSK|LSAT_5X64880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METVSCCSINSHSSFHRYTNFHYHTKTIKSRPSFIVSVFKTPIARRSRKEENPPNVESGNTLLEKVFKKLDKVIVDFLDPPLHLSIDANYILSDNFAPVSELSPTECDVIQGSIPACLNGVYIRNGPNPQFHPNGPHHYFDGDGMVHSIRISNGRATFCSRYVKTNKYLIERQHRSHVVPNVIGGTRGIGPFMARAAVFAARVIFGQYDIINGIGVANTNVSVLGGKVYALCESDIPYAVKVKDDGDVITLGHDDFGGKLSKNMTAHPKIDHKTKEAFAFRYWATKPYLTYFRFDSNGNKQPDVPIFSMEHPSLTHDLAITQKYAIVCETQIGASPMNLIHGGRLVGVDSTKVPRVGVLPRYANDESDMKWFEVPGFNIFHAVNAWDETEEDGSEVVVLVAPNILSVEHFFDRADLIHGSMEKVRINLRTGVVSRKTLSSNNLEFPVINPAFIGKKNKYVYAATSEQSPAKCRMMRVSGVAKLDIAATEENNEIDECTIASRIYGNNCFGGEPFFIARDPEDPNLEEDDGYVVCYVHDESLGVSKFLVMDARSPTLEVVAEVKLPQRVPYGLHGIFIREKDLNEM >cds-PLY77769.1 pep primary_assembly:Lsat_Salinas_v7:2:170193270:170194962:1 gene:gene-LSAT_2X91241 transcript:rna-gnl|WGS:NBSK|LSAT_2X91241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSKTNRKHRWLCAASQAVVFSSSLQYVSSGWRRLKLHTFGIKNASASSRRSGRAGKVYTGSQSEAVPSTSFPMKEIASSVLPVRSFIVVTFGIAFNCYIFLLRFLVYIVFECTTSVPYESRLIAHLNTIKFIYNNETKAGSVYIVLFVVTLVVVLLWPTNGLKIQLHIGELMSDMLGIFKSSKEKDEDENCEYQMVWDAERPMHLIKKASKIVPTRSNETVESITVGSQFTEFEEPMTVRSSRPIHAKEIATDIEYLKLYSSRYRREEGVEILEKTGIYHEKRKQDKKKVRLHFKISVTLMPIHSKYKCKILNASLLLSLLYLYYS >cds-PLY99364.1 pep primary_assembly:Lsat_Salinas_v7:7:144568226:144568432:1 gene:gene-LSAT_7X86461 transcript:rna-gnl|WGS:NBSK|LSAT_7X86461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPTGGRVNGGGSKEPSSGRGEMHESSKGPQFEFESKLEFDFETKAEFNFETGTCEGDGMDISDIDIL >cds-PLY91930.1 pep primary_assembly:Lsat_Salinas_v7:8:197943878:197944174:-1 gene:gene-LSAT_8X127581 transcript:rna-gnl|WGS:NBSK|LSAT_8X127581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIHMPRIIQAKQIFQRPLSNQTRTATMDLPKGYLAVYVGEQEKKRFVIPVSFLSQPSFQDLLCQAEEEFGYDHPMGGLTIRCSEHTFFDLTSRLGVC >cds-PLY85809.1 pep primary_assembly:Lsat_Salinas_v7:8:174340929:174344381:1 gene:gene-LSAT_8X114060 transcript:rna-gnl|WGS:NBSK|LSAT_8X114060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAYQPIRGNGPGLQFLNFPFGDTTHTKVFVGGLAWETHSETLHRYFDQFGDILEAVVITDKHTGRSKGYGFVTFREAEAAERACVDPTPVIDGRRANCNLASLGRAQPSFTYGHVGPTGHYLWGPQNMGGPYHQPVPYGYQQYPYSLYGYSAYGPEYVFPQVPYNPYVGHHHPQMLGSPGSVFPYSQMGPPAPGSPGYRAIQGIVTPAPNVMPYATPNVMRSHGRRSKSSQLSKVKTPNPGVRGSKCNKCILWGVSRGVFVNKIAGIGFLAKSKLCIMIYERINI >cds-PLY91671.1 pep primary_assembly:Lsat_Salinas_v7:8:12722333:12723857:-1 gene:gene-LSAT_8X8760 transcript:rna-gnl|WGS:NBSK|LSAT_8X8760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRVYCSCKSVMVSDEDEDSLDKCRERRQRRMAMRRLAAGAPGLSVIATEDITTEDERQKYCAAQTTTDIPTTSISAAAIMSLISIMETPPPPLHGIMSVVGRQREMEDEVSVRTNLCRPEINGFRPVHFFGVFDGHGGCHVSALCKEKMHVIMEEELMGMKVTGDKPDSSGGEELWKTAMKRSFQRIDEMSMRLCLCGGSSSICRCNPQLSFIGSTAVVSILTKEYIFVANCGDSRAVLCRNGRAIPLSVDHKPDREDERSRIESCGGRIMFADGARVEGILAMSRAIGDRPLKQWVTSEPEITVTRREAGDECLIVASDGLWDVISSELVCKIVHECLGEKQEPRIAGCSSESAATLLVRLALGRRSTDNISVIVIDLRN >cds-PLY80602.1 pep primary_assembly:Lsat_Salinas_v7:6:13804298:13806212:1 gene:gene-LSAT_6X12060 transcript:rna-gnl|WGS:NBSK|LSAT_6X12060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPPENKNSRQQDAGAAFVLESKGEWFHAGFHLTTAIVGPTILTLPYAFRGLGWGPGIFCLTVMGVVTFYSYYLMSLVLEHCEKAGRRHIRFRELAADVLGSGWMFYFVIFIQTAINTGISIGAILLAGECLKIMYSSFSPDGPLRLWEFIAMVTLVMIVLSQLPTFHSLRHVNLVSLFLSLAYTFIVVGACINAGLSKNAPPRDYSLEDSKFSVVMSAFTSISIIAAIFGNGILPEIQATLAPPVTGKMLKGLIMCYTVIFITFYSAAVSGYWVFGNKASSNILNSLMPDDGPALAPTWLLGLGVIFVLLQLFAIGLVYSQVAYEIMETQSADVNQGMFSKRNLIPRIILRSLYMIFCGFFAAMLPFFGDINGVVGALGFIPLDFILPMLLYNMTYKPSRSSFTYWINVVIMVVFTGVGLLGSFSSVRKLVLDASKFKIFSDDVVD >cds-PLY83024.1 pep primary_assembly:Lsat_Salinas_v7:5:50593340:50595880:-1 gene:gene-LSAT_5X24421 transcript:rna-gnl|WGS:NBSK|LSAT_5X24421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSPPLHVGDRHPPPPLLHSTSTNVQLVANCELPRRQHSNRLSQKIDRLCQLKNLTGALTLLHDSPSELTETAKATGILLQACGGDKDIETGRKVHQLVWSSPHLRNNSILNTQIITMYSVCGSPSDLRIVFEQLENKNLYQWNAIINGYSRNDLCDDAILVFSQFLQTEHIPDNFTLPCVIKACVGAPNLICGQVVHGMAVKTGLISDVFVGNALVAMYDKFGFVDDAVKVFDFMPERNLVTWNSLISVFSNNGFSQKSIHLFMEFLVAGESLTPDVATLVTLLPVCASERDILLGKTIHSLAVKLGLYHDLMIQNALMDMYLKNGYMLEAHIILDKIKNKNVVSWNSIIWGCSKEGEVGHTFELLRKMQMEKIKPDQVTILNVLKVCLHHSHLLKVKELHGYSIRHGIESNELVANAFITAYTKHRSSYCLGENTFNLMKNTTVSSWNTLISGSVRNGDPLKAIDLYVKMTSFGIQPDLHNISSLLLAFQDLKLLNYGKQTHGFVVRKGLETDSHIGNSLISFYIQCEKPMSARFVFDRLVNKNLVSWNSIITGYSQNKQPNEALNIFRKMVFSGTQPYEIATTGVLSACSQLSALKLGKSIHSFALKKNLTRDVFVISSIIDMYAKTGSIKAARNVFNRSDKNHVGLWTVLIAGYAIHGQGNESIKLFIEMKRFGMKPDHFTFIAILMACNHGGLVKEGLEFYNEMDTVHGVEPKIEHYACLIDMLGRAGRFDDAMIVIAKMPEEPDARIWSSLLSSCRIHGNMELGKEVSEKLLKLEPSKPENYVLSSNLFASLEKWDDVRMIREKMKKIGVKKEVGCSWIEVEGKVYNFLAGDNGAEEM >cds-PLY83443.1 pep primary_assembly:Lsat_Salinas_v7:9:88284139:88284600:-1 gene:gene-LSAT_9X69220 transcript:rna-gnl|WGS:NBSK|LSAT_9X69220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGEENEPEEGKFRPDQTMVFMATKPTTEAQINFGKTMPAPVGDFHADELLGATLDPTVNVFAPPKVLETVEIHDSIAPVSQSLQLPSFPLWTLIHLLGLRKLRSLGQLKIWSPLGALGHYQQIMALLHSRLLLRRLKKMNSYLIVIQMFVYV >cds-PLY75188.1 pep primary_assembly:Lsat_Salinas_v7:2:204039769:204042983:1 gene:gene-LSAT_2X125780 transcript:rna-gnl|WGS:NBSK|LSAT_2X125780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKSVPFRFVFIYFSFSFEPQICKQTVRGSAAEPEMEAHALGGFPCPSNAHVDTHHPTLPRIRIHHPLSSPEISQRIFRVSHSTTNKRRRHFRIGALHRRSPEEESTSVGEVRQSRRDVLVMPFIAIGAYVLRSAVARADEKVAAETEVAPIAVTETKPKTKTESVPAAPAKVEVKKEEVINSRIYDATVIGEPMAVGKDKGKIWEKLMNGRIVYLGEAEQVPTRDDKELEVEILRSLTKKCAEANRQITLALEAFPADLQQQLDQFIDKRIDAETLKPFVSNWPPQRWQEYEPLLSYCRDNGVRIVACGTPLKILRTVQADGIRGLSKADRKTYSPPAGSGFISGFTSISRRSSMETISINQSIPFGPSSYLSAQARVVEEYTMSQIILQAVTNGGASGMLVVVTGATHVAYGSRGTGVPARIARKMQKKNQTVILLDPERQYIRREGEVPVADFLWYSAARPCTRNCFDRAEIARVMNAAGRRRDALPQDIQKGLDLGLVSPEVLQNFFDLEQYPLLSELSHRFQGFRERLLADPKFLNRLAIEESISITTTLLAQYQKRKGKFFEEIDYVMTDTIRGIVVDFFTVWLPAPTLSFLSFADDDDASGPDALLGLLGSIPDNAFQKTLAGKDWNVGHRVASVVVGGVKLAGVGFVSSIGAVAASNVLYTVRKFLNPQLLNDQQTKRSPILKTAVVYSGFLGTSANLRYQIIAGLVEHRISDMFADQTLFVNLLSFVSRTINSYWGTQQWIDLARFTGLQAQKSETSLLSQSQSQTTESANPAELNQTVECVNPAALGCNTTEEAGIDETQSQ >cds-PLY66259.1 pep primary_assembly:Lsat_Salinas_v7:3:47961412:47961600:1 gene:gene-LSAT_3X37740 transcript:rna-gnl|WGS:NBSK|LSAT_3X37740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSRSLSMKTGSGRDGATAVVTVLPVWWFPVSVSIPISPTSPTLGLRMALNGEAASSGLV >cds-PLY97714.1 pep primary_assembly:Lsat_Salinas_v7:8:7755553:7756213:1 gene:gene-LSAT_8X5720 transcript:rna-gnl|WGS:NBSK|LSAT_8X5720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTLKNKQVQIVDTTCPWVSKVWNSVEKHKKGDYTSIIHGKYSHEETIATASFAGKYVIVKNMDEKFKYAISKDFNPDKDLVKAGVANQTTVLKGETEEIGKILDTTME >cds-PLY97054.1 pep primary_assembly:Lsat_Salinas_v7:4:350911138:350912415:-1 gene:gene-LSAT_4X172961 transcript:rna-gnl|WGS:NBSK|LSAT_4X172961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPTSSSPPSMKPDVTLSLTIPSSNHSHSFKPEERGVKLIQLLLTCANHASSGDLHRADLCLNRISQLASVTGDSIQRLAARFVTALAYRIIRRWPGLYKALSNSERKSMNIGWARHANSMFSQSFPYMSLAYLIITQTLAQAMSWDRVIHVIDLGSCDTQLWVRLLTKFAQGPMGPPRVKITCVSSNKVMLEDLGITLVKEAKSLDMSFQYNPLNVTLMDLTQDMLEVKPGEALAFVSILNLHVLLAQDDHVDTHFKVNEKNDIIKENKKMGEFLKMVHSMSPKLVLVVEQESNHNLTRLVDRFVEGLHYYSAIFDSIDTTFTRGELSDEERIRLEDMVGQEILNIVACEGFERVERHERIAHWAIRFSWAGFKPVNLWVEAMEEARQVIDSCRPYGYKLVTNRPGWMICWHDRPIYSISAWVI >cds-PLY64454.1 pep primary_assembly:Lsat_Salinas_v7:3:15717544:15718005:-1 gene:gene-LSAT_3X11381 transcript:rna-gnl|WGS:NBSK|LSAT_3X11381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIPVFQKGLELISIGGFDLWRMIQPMQSLGNPQPKFKWFEIYYYLKSLRLVLSFSFVRLNQFYVLFSSGVSSHSRLRSLSK >cds-PLY88612.1 pep primary_assembly:Lsat_Salinas_v7:5:139788846:139791632:1 gene:gene-LSAT_5X62221 transcript:rna-gnl|WGS:NBSK|LSAT_5X62221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT4G31540) UniProtKB/TrEMBL;Acc:Q7XYW9] MGSAGEDGIRNLMSARNSLKVNLEKSKALGLSLRKSGPRLEEINQRLPCLEAAVRPIRAQRDALDAVGGHINRAVVPAAAVLKVFDAIHGLEKSLSDPQSDLQGYLSVLKNLQEALKFLSENCGMAIQWLDDIVEYLEDHNVADERYTSSLKKALKYLRELQTKEEKNRLDGGLLEAALDRLETEFRRLLTENSVPLPMSSSPLKDEQPCIAPSPLPVPIIQKLQAILGTLIANNRLEKCKSIYVDVRSSNVRASLQALNLDYLEISVSEFNDVQSIEGYIAKWSKHLEFAVKHLFEAEYKLCNDVFERLGLDVWRDCFANIAAQAGMLAFLQFGKTVTESKKDPIKLLKLLDIFASLNKLRLDFNRLFGGAACAEIQNLTRDLIKRVIEGASEIFWELLLQVELQRQAPPPPDGSVPRLVSFITDYCNRLIGNDYKPILNQVLAIERSWKREKFQESLLHDYLLNLIRAIEVNLESWSKAYKDTDLSYVFLMNNHYHLYKHLKGTKIGALLGDQWLREHQDYTEYYSTIFLRESWGKLPSHLSREGLILFSGGRATARDLVKKRLKAFNEAFDNIYKKHSSWVILEKDLREKTCHLIIQAIVPVYRSYMQNYGPLVEQDSSGGKYAKFTAQSLEKMVSSLFVVKPMRHGSFKVRQNSNKFNNGVEDHPYSGSPTPTVASA >cds-PLY66250.1 pep primary_assembly:Lsat_Salinas_v7:5:142865183:142869928:-1 gene:gene-LSAT_5X62340 transcript:rna-gnl|WGS:NBSK|LSAT_5X62340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEMDKPAKEAKDSTKEPKTPNSQEQTSGTATGTVNPDWTGFQPYPHMPPHGYMASSPQAPPPYMWGVQHLMPPYGTPPHPYVAMYPPGGIYAHPSMPPGSYPFSPYAMPSPNGVVEASGNNTPGNTEVDGKSPDGKEKEKEKEKEKLPIKRSKGSLGSLNMITGKHNEQSKTGASANGVYPKSAESGSEGSSEGSDGNSENDSQMKSGSRQDSIEATGEASQNGNSGHVSQNGGPNVGNNQTMVVGPTTNLNIGMDYWSGTNSSNIHGKLTSAPVAGGVVTTGSRDMQLQPWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEVLKDENSSLRAEVGRLRTEYEELLAQNASLKERLGETPGQEDLTSDGTEQQTGSKDNSGRQIELAQSHHQ >cds-PLY70101.1 pep primary_assembly:Lsat_Salinas_v7:3:11751003:11757506:-1 gene:gene-LSAT_3X8800 transcript:rna-gnl|WGS:NBSK|LSAT_3X8800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSWIVGNWPSFDPHNFSQLRPNDPSAPSKKTPITYHPTHERTLPPPDQVISSDAKNILLRQFYERGDEKLRPKRAAPENLAPEQECKHPRELMVLGFISLLLTFSQSYIVKICIPDSLADIMLPCAVKEKTQKDEKLDDLQRSD >cds-PLY73711.1 pep primary_assembly:Lsat_Salinas_v7:8:170090446:170092279:1 gene:gene-LSAT_8X110900 transcript:rna-gnl|WGS:NBSK|LSAT_8X110900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEKADSNGSKMNNHLSCRNIIGEDEVDDENNTKSSQSSGNNSMVDQVEGEKKDESIRVRPYVRSKMARLRWTPDLHLTFVKAVERLGGQERATPKLVLQLMNIQGLSIAHVKSHLQMYRSKKIDDQDQVINRGDYYAGSNSHLLHNLCQLPGLDQRLFKVNLSQNSWSNHVISRPSTNNMINARIEDHGLNHGRQGDFNMNTIMNYGAYYMRKKPSNEDENCKIACQEVEKDETLTNTRSSLIDPEFMNSFVNTKKRKAPLDEGLDLNLSLSLKGSMTRDDDEVDSALCLSLLSSSSKKEKYYKDYGMESSRNLLEEGQLRKNPRMSSTLDLTI >cds-PLY78157.1 pep primary_assembly:Lsat_Salinas_v7:4:96818903:96819684:-1 gene:gene-LSAT_4X62060 transcript:rna-gnl|WGS:NBSK|LSAT_4X62060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCHMYQGKVLIRNVEMFLEPLSYIDPPALKMVGFIASIMGQMASNAIFKGDNKKAVYSICIVVAFVILFIVLRLYRS >cds-PLY62778.1 pep primary_assembly:Lsat_Salinas_v7:4:290516469:290516749:1 gene:gene-LSAT_4X149521 transcript:rna-gnl|WGS:NBSK|LSAT_4X149521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQRSCPSSNNQARSSQSSTDIKSYVITTQLDPGVYKKYVEDTTKSNLTEFAFVVIGIVHLAGGKIT >cds-PLY69238.1 pep primary_assembly:Lsat_Salinas_v7:2:129750747:129752598:1 gene:gene-LSAT_2X60941 transcript:rna-gnl|WGS:NBSK|LSAT_2X60941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLLATFIILHHVFIGYSSPANPSTSDDHQLHIRKLQEFKSSFWQTKPVSSSRLYGVSLYGADPTGKTDSTDAILRAISDAVSEERVGLLMDGITNHGGVQISLEGGIYKISRPMKFPVAGVGNIVISGGTLKASQNFSTNGYLIDLTTFSSSSSNKNYNYEYITLKDIMLDCNYRGGGIRVLNSLRTSIDNCYITHFTTNGILVQGGHETYIRNSFLGQHITAGGDSHERDFSGIAINLAGNDNAVTDVVIFSAGIGIMVSGQANVITGVHCYNKATGFGGTGIYLKLPGLTQTRIVNSYFDYTGIVAEDPVQLHVSGCFFLGDAFIVLKSVHGVVKGVNIVDNMFSGSNRGIDIVQLDQKAGVFNNIDQVVVNNNNVRGMNLKATIAKLAMKGNGTLWSVDFNRILVFPRHIKFVQYTLMTQSDVFPNYVLRNISNNKVLIESNVAVEGTISVTVDQGLSI >cds-PLY96605.1 pep primary_assembly:Lsat_Salinas_v7:7:44582823:44586724:1 gene:gene-LSAT_7X32841 transcript:rna-gnl|WGS:NBSK|LSAT_7X32841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLELSLDDNKLNGTIPDRIGDLINLQQLGAYNNRLQGSIPASVGNLKELIALDFSQNQLTGIIPRQIGNLSNLQVLQSFENSLSGKIPPEIGDCTNLSALNLYSNKLVGSIPPEIGNLVGLQFLRLYKNQLNSTIPNALFKLKSLLVLQLGENHLIGRLSPDISSLKSLQSLTLHQNNLSGEIPASISRLVNLTYLSISSNSLTGVIPSSIGSLYNLLDLSLSDNFLEGSIPSSITNCTNMRWLNVAGNRMTGEMPQGLGKLSNLTNLTVGDNRMSGRIPDDLFNCSSLVILNMANNNFTGLLKQSIGGLSNLQILQIHNNFLSGPLPGEIGNLTSLIYLTLGQNQFSGTIPVEFSNISSLQSLFLGNNNLEGEIPDEIFELKQLTALHLMNNKFVGSILNSVSKLEQLSQLDVSRNRFNGSIPDSLRKLNKLLSIDLSHNMLTGSISRSVIAGMKNTQIFIDFSNNFLTGRLPDELGELEMIQAIYISNNNLSGGIPVTLQRCRNLRSLDVSGNQLSGSVPEEIFPPLDLLSTINFSRNQFDGEIPGTMANLTRLTSIDLSHNKFNGLIPESFGNISVLKKLDLSFNELEGRVPDTGIFRNISAVGLQGNPSLCVTNNTELCASSRQSNSSLSRKAVLILSILGSLVLLLVFIMAILYCRHIRKVKVKELENPRLPENTPGFTLRRFDRKELEDATDNFNEDNILGTTTLSTVYKGRLQDGRIIAVKNLNITQFSAESDKSFNKEMNTLGKLRHRNLVKLLGYAWESGKLKALVLEYMENGNLDRIIHDSGIDRSRWDLSERVDVLVSVARGLVYLHSGYDFPIVHCDLKPSNILLDEKWDAHVSDFGTARILGVHQQDGSSISSASAFQGTIGYLAPEFAYMKKLTTKVDVFSFGVVVMELITRKKPTGVLTEEEGVQITLPQLVDKALSNGINELMETVDTDLASNFSTKQSVIEQLLKLALYCTKMNPEDRPDMNEVLSSLSKIHKNV >cds-PLY61707.1 pep primary_assembly:Lsat_Salinas_v7:5:214241849:214243496:1 gene:gene-LSAT_5X98400 transcript:rna-gnl|WGS:NBSK|LSAT_5X98400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKQHYATSSLVIGYALCSSLLAVINKFAITKFNYPGLLTALQYLVSALGVWVLGKLGFLSHDPFVWETAKKFLPAAIVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVAIADTTFRKQPIPSKLTFLSLLIILGGAVGYVATDSGFTLTAYSWAFAYLITITTEMVYIKHMVTNLGLNTWGFVYYNNLLSLMMAPFFWIMTGEYSDVFAAVGSNHGNLFEIIAFTAVSLSCVFGLLISFFGFACRKAISATAFTVTGVVNKFLTVAINVLIWDKHASPFGLFCLLVTIAGGILYQQSVTGVNTPSIIQRDPVASKLMDDNDDGDYSNEDEEKGISGKISGV >cds-PLY86835.1 pep primary_assembly:Lsat_Salinas_v7:1:16387772:16388316:1 gene:gene-LSAT_1X13601 transcript:rna-gnl|WGS:NBSK|LSAT_1X13601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAPPSTTTMSPMATPVSNLYDSYEFRAISKQLNRAIKGSNNNSPLSPYSFYLKSATPFYSKQVKRICGTTKNKRVPSLSLKTHQDSSQPRAKGFVSRLWAKVKGRFIQTH >cds-PLY82678.1 pep primary_assembly:Lsat_Salinas_v7:4:62641504:62643193:1 gene:gene-LSAT_4X43541 transcript:rna-gnl|WGS:NBSK|LSAT_4X43541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFHLSYSSIVLTSFSLIILPFLIYILNRNRKRATRGKNRRPPQAKGAWPIIGHLHLLGGPQLPHHVLGDMADKYGPIFSIKLGVHDALVVTSGTIAKACFTTNDKTFANRPKLEATKLMAYDNAMFGLGPYGEYWRQMRKMVMLEALSQRRVDMFGHIRASELRASIKDLYVGCIRDKTSENSEMVMKVEMNQWFGKLVANIMVRIITGKRFLPHEEEGVRFQTIVRKFFELLGAFVVSDFIPYLKCFDVGGYIKVMKKTANDLDNIFEGWLKEHKKESKSPQQDHEGNRVFINVLNSILQDASQEEFPGFDHDTIIKATCQFSETLIQDPFWQQLLVAGLDTTSGTLTWALALLINNPKTLKTAQDEIDEHVGRDRLVKESDMKNLIYLDAIIKETLRLYPAAPLSAPHESTEDCIVSGYNIPKGTRLLVNLYKMHRDPNIWQDPLEFRPERFLTTQKDIDFKGKHYDLLPFGSGRRMCPGINFSLQAVGLTLATLIQQFEIKNPSDEPIDMTESSGMTICKSTPLDVLLAPRLSSNMY >cds-PLY64269.1 pep primary_assembly:Lsat_Salinas_v7:7:2659452:2660627:1 gene:gene-LSAT_7X1500 transcript:rna-gnl|WGS:NBSK|LSAT_7X1500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCESMCIDGTKRLSHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENIRLNEGIVGGLDDEEDGAGDDYIEFEDEDIDKI >cds-PLY89314.1 pep primary_assembly:Lsat_Salinas_v7:2:50251475:50252253:-1 gene:gene-LSAT_2X24040 transcript:rna-gnl|WGS:NBSK|LSAT_2X24040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPQDDKNPGVMGNIFKAVTDTFGGAKDAVTGKSQETTEKAPVESIAEKAKEAKDTTTLKAGEFKDYTTQVARETADVANHKAKEAQDLAAEKTKESSDATAQKATETKDFIAEKAVASKDFTTEKAKEGQNSAVETAVAAKDFTVEKAIAAKDFATEKAKEAHDATIGKSE >cds-PLY95426.1 pep primary_assembly:Lsat_Salinas_v7:9:190491726:190492514:1 gene:gene-LSAT_9X117600 transcript:rna-gnl|WGS:NBSK|LSAT_9X117600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNTIVNGLVGAGGGIIAQIITYPLQSVLIPSLLLSLSTSYSFEEDDDMTDNADLDTTYLHTNGNAGHRSLPEHNNANGEHSVSGDLHGVQPDHVAADILRKEPEHKTFVRLRISPFETPSSDEAEVYRALQVCLEMRKSYVFEESIAPWEKQVISDPSSPKRNPNPFEYTPETKYDVGLKFLTQVCS >cds-PLY74485.1 pep primary_assembly:Lsat_Salinas_v7:7:40548046:40549669:1 gene:gene-LSAT_7X32541 transcript:rna-gnl|WGS:NBSK|LSAT_7X32541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRTRHIRWEKASIATSTGKVVQALRSEGLQVDVLGDDLRSVLMEDTMKSCLLVNAPLLYRSASRVPFSVLKYAMTLDGKIAASSGHASRISSKKSRSRVFVLRGRSDAVIIGGNIVRKDNLRLTPRHGGGHLPMRIVMSQTLDFPEVANLLDVREVPTTVVTQRGARRSFQKLLASKGVEVVEFDILNPKDVVDYLYDRGYLSILWECGGTLSASAISSGVIHNIIGELRMAEMIQDLELIDASYEQF >cds-PLY99586.1 pep primary_assembly:Lsat_Salinas_v7:3:164420596:164421568:-1 gene:gene-LSAT_3X102080 transcript:rna-gnl|WGS:NBSK|LSAT_3X102080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVISSSVPLVTHPSEEHTELEKTSSVNLMNGTSEVARSTIGLNTENEDESIILEQAASKAQAAVRGYLARRTFLSLTGIILLQAQIRSHLARKQFQPQVCAPNLEGNLVISTKTKESKQSLRKISISMAVASEQGPPQPQPASKPNSEPEPELPMNVESNWKENLKTSGATKQSKTSDLNYTTFLDTCVGFDLNDDEIKENPKKKASSVGLTGYNTYLEKMAEEVHVFNSNFNVYMEYKKDKLRKKEKTLEEKQRTEDLKKYRMS >cds-PLY75780.1 pep primary_assembly:Lsat_Salinas_v7:3:68455882:68458621:-1 gene:gene-LSAT_3X52080 transcript:rna-gnl|WGS:NBSK|LSAT_3X52080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPHEPYWRTNTSFSPPPPRWDFRFHSEVQSFGSQEGNRLYNGSSTSSNSRESRNWLRTNHLPNHRHSVSDGIGALFSTPSDLSPNQQWTPPTIQEISLDEYSNSSKRVLGPLSFSPNSEGISAPNEGRASISSHSDSSDFETIVKSLSSQSHHGRRCFMSKPVHPLSIPVERTNSGIGIGINDSDAASCEFEHSDVSEPIESDVINRSSGDKCGLCDRFLSQRSPWSSRQIIRSGDFPVTGVLSCRHVFHVECLDQTTPKTHKGDPPCPICVKSEPRNSPEQRVLSKLKNSGVPRLKTFREDGPSRPWRCAQAGNCVEGALSAPSRNGMMVINRNRIKKGLSLKGNSGKEFAGKLKNGGGGGDGVGCSKSASSSRKR >cds-PLY78255.1 pep primary_assembly:Lsat_Salinas_v7:3:87892763:87896643:-1 gene:gene-LSAT_3X67160 transcript:rna-gnl|WGS:NBSK|LSAT_3X67160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKIKVANPIVEMDGDEMTRIFWESIKNKLIFPFLDLDIKYYDLGLLNRDATDDKVTVESAEATLKYNVAIKCATITPDEARMQEFTLKSMWKSPNGTIRNILNGTVFREPILCKNIPRLIPGWTKPICIGRHAFGDQYKATDAVIKGPGKLKMVFVPEGQGETIDLEVYNFTGAGGVALSMYNTDESITSFAEASMNTAYLKKWPLYLSTKNTILKKYDGRFKDIFQAVYESNWKSKFEAAGIWYEHRLIDDMVAYALKSDGGYVWACKNYDGDVQSDMLAQGFGSLGLMTSVLVCPDGKTIESEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNSKLLDFTEKLEAACIGCVESGKMTKDLALIIHGSKLSREHYLNTEEFIDAVALELKTRLSA >cds-PLY80774.1 pep primary_assembly:Lsat_Salinas_v7:8:137584431:137585139:-1 gene:gene-LSAT_8X95040 transcript:rna-gnl|WGS:NBSK|LSAT_8X95040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQKRLPTDYDPATFDPTEHRSPPTDRVWRLVDEMSSLTLLEVAQLSSIMMKKMGMKEPPVVAVMKPGAAGLAAGGGQAAAAKEEVKPEKSVFELKLESFEAASKIKIIKEVRSCTDLGLKEAKDLVEKAPVVFKKGVSKEECEQIIEKMKGVGAKVVME >cds-PLY99728.1 pep primary_assembly:Lsat_Salinas_v7:9:55313838:55316179:-1 gene:gene-LSAT_0X9780 transcript:rna-gnl|WGS:NBSK|LSAT_0X9780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYIRFTILILVLLQSILHSEAQLYIGFYSGTCSAAEFIVKEEVAKAYSQDRGLAAGLVRLHFHDCFVKGCDASVLIDSTPSNKAEKDSPANNPSLHGFDVIDNAKARLESLCPRVVSCADIIAFAARDSFEITGGQGYDVPAGRRDGRVSLIADTKALPPATANLNQLTQMFSTHGLTQEEMVTLSGAHTIGRSHCTSFASRLYNFSQSVNQDPGLNTLYASKLKQECPKGNSNANLVVPMNPNSPTISDTGYYVDVLNKRGLFTSDQSLLTSINTAKQVHQNAMNPVLWKSEFAKAMVKMGGIGVMTGHQGEIRSNCRVINK >cds-PLY61805.1 pep primary_assembly:Lsat_Salinas_v7:6:61192239:61192448:-1 gene:gene-LSAT_6X45500 transcript:rna-gnl|WGS:NBSK|LSAT_6X45500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDTNVREDILQRLHRLGVAGKRRKGGDPPVGCRWKALDDEEGLDGGQRSEALAPRETSEVGEAIDVEN >cds-PLY96921.1 pep primary_assembly:Lsat_Salinas_v7:4:8900836:8903309:1 gene:gene-LSAT_4X6680 transcript:rna-gnl|WGS:NBSK|LSAT_4X6680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFSNFHFIIGIILLVNIVQGTGGEIVFEEGYTVSTVIDGDKLHINPYSVLSLYGSSSDLIILDSVKSTFYTVSFSDSQVSEMKELSGNGVAGYKDGDLGSAMFNKPKSFALDRKNNIYIADKTNHVIRKITKSGVTTIAGGYLQKTGKADGPAQNASFSDDFELAFDPQRCALLISDHGNRLVRQIDLKPNDCSGSSSGSGVGSTTAWSIGVIVACVIGLIIGFAIRPYVLPHETTSGQVSMTWKHYLINLEKQVVTFCFVIRSAIVSHKLSQFPIRLLRLSLSQISLMFGLNIIRPPPTPVKPIVSLLDCDDVAPTCVTTVSLLDKLGNNETSITQDLVNELKDLVTFDATLKNPKPLLDQENGYGVKNEEKSKIDRQIYANFEGFVETSNTTSSVGESWGITS >cds-PLY70733.1 pep primary_assembly:Lsat_Salinas_v7:8:166132012:166135776:-1 gene:gene-LSAT_8X108900 transcript:rna-gnl|WGS:NBSK|LSAT_8X108900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTNGMVPITRAFLSSYYDKYPFSPIVSDVSRLSDELHNMSNDLHKDSPPLPEGERLLVQEAESQPPHKLDENMWKNREHIEEILFLLQSSHWPKSLQKQSTPEDIELAQVLKQLNDKFEFALKLVESFQAKNSNNVFNTVMSYMPQDFRGTLIRQQRERSERNKQAEVDALVNSGGTIRARYALLWNQQMERRKQLSQLGSATGVYKTLVKYLVGVPQVLLDFIRQLNDDQGPMEEQRHRYGPPLYSLTKMVLNIRLFLSLSWWRFEDIKLQKHQISILEEAVQVYVSELERFLKFIGEVFANSPFFLTAEEAGAIDGIKNDGYSETAVAAGRTHEVSLMVDSVNSYIAWDFSILQGKISTDIGFCVEHTSSTGQKTLILPYRRYESNQGNFCTVMAGNYTLVWDNSYSTFFKKALRYKVDCIPPVVEPVSSNELEAEERG >cds-PLY85656.1 pep primary_assembly:Lsat_Salinas_v7:6:85859015:85859431:1 gene:gene-LSAT_6X59961 transcript:rna-gnl|WGS:NBSK|LSAT_6X59961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPYYNPNPNHDSNASSTSDSNPNSSSNSNPTPNLSNANPNQNPSTNINPPWATCPRDALKLGVCANLLGGLVSVEVGSPPVKPCCSLIQGLIDLEAALCLCTAIKANVLGINLDVPLSLSLLLNACGNQVPSGFQCA >cds-PLY81870.1 pep primary_assembly:Lsat_Salinas_v7:8:122619780:122621374:1 gene:gene-LSAT_8X83841 transcript:rna-gnl|WGS:NBSK|LSAT_8X83841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAQTQEELLAALLEQQKIAHEEPLIEDEDDDEDDDDDGNDEDDVEGLGDASGRSKQSRSEKKSRKAMLKLGMKPIPGVSRVTVKKSKNILFVISKPDVFKSPASDTYVIFGEAKIEDLSSQLQSQAAEQFKAPNLSNVVSGMASEEAIGAAANDEEDEIDESGVEPKDIDLVMTQAGVTRSKAVKALKAADGDIVTAIMELTN >cds-PLY91341.1 pep primary_assembly:Lsat_Salinas_v7:8:47534779:47538696:-1 gene:gene-LSAT_8X35461 transcript:rna-gnl|WGS:NBSK|LSAT_8X35461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTGDGGMIGMRDAMENSGGNVRPPMGETATFMMSGSFREGVGGGRSSGSGSRRRAMVRPSLDADEFMNLLHGSDPVKVELNRLENEVRDKDRELGEAQAEIKALRLSERLREKAVEELTEELSKVDEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKIMDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDSNDKVMPVKQWLEERRFLQGEMQHLRDKLVITERAARSEAQLKEKFQLRLRVLEDTLRSPNPMNRIGSSDGKSMSNGGPSRRQSLGGGGDNFTKMPSNGFLHKRSPSFQMRSSGSSSVLKHAKGTSKSFDGGTRSIDRGKVGNSPTFNIGEGKKDIETPEKSSEFTGNESEDSVPGLLYDLLQKEVISLRRSGHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRVDKEQDNRQKRFASSNSKPSSINRNVTRGGLTRSTQ >cds-PLY92884.1 pep primary_assembly:Lsat_Salinas_v7:3:181501554:181502590:1 gene:gene-LSAT_3X108740 transcript:rna-gnl|WGS:NBSK|LSAT_3X108740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYKGILTWGNRVNELVVVKRLDASGFQGNKEFHTEVTMLSEYQHQNIITLIGFCDDNNEMILVYEYAINGSLNTYLSVTTTTDDLSWQHCLKIWIDIAFVLYYLHNHVAKKHRIIHHDITSANILLDENWNSKLSDFGLARIGLPNQHNTFVITHPGGTPGYSDPQYERTGFLTKESDVYSSGVVLFEVLCGRLAYILSYHDEQKFLHHWARTHYKNGELDEIIDHRIKNAIKPKALRTFSAIAYQCLQKSREERPTSAEIVYQLKDTRKIQLEDEGDAHFSSPYTKGIFRQSSANKGEMYE >cds-PLY82881.1 pep primary_assembly:Lsat_Salinas_v7:4:17657990:17659784:-1 gene:gene-LSAT_4X11900 transcript:rna-gnl|WGS:NBSK|LSAT_4X11900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGERTNNEMENLSLQTKKRFSRDLLQRFMVSSTNLGDPRGSDPDDEVELNLGLSLGGRFGVDKSNSSLVRSSSIAAILPVVRDDDDVLGVRQGGTGTGHGGAYSNLIRTASLPLESEEWRKRKELQSLKRLAAKRRRSEKQRNLTKVEREEYVAAIGKGPSPSLGSGHWDFGVATNTATSDGSGGGLAPPSLPGSVESQTSSKSEFESRHLQGSSNESETSQPSNQDGSSSSRSKMADPALRVSRPKTENPSNNKGKELGMNTFDMPCVFTQGDSPSGRRIEGILYKYGKGEEVKIMCVCHGSFLSPAEFVKHAGGTDVVHPLKHIVVNPNSSYL >cds-PLY85158.1 pep primary_assembly:Lsat_Salinas_v7:9:146399537:146401108:1 gene:gene-LSAT_9X93900 transcript:rna-gnl|WGS:NBSK|LSAT_9X93900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWMASGLARSFNMPAIDIGVLRHRAPIIAGIGCASAAGTSFWRSSLTSFSNRSTPFACLGISTATSIKEAVKTDKAPAALGPYSQAIKANNTLFVSGVLGLIPETGKFVSDSVEEQTEQILKNMGEILKASGASYSSVVKTTIMLADLKDFKKVNEIYAKYFPAPAPARSTYQVAALPLDARIEIECIAAL >cds-PLY69049.1 pep primary_assembly:Lsat_Salinas_v7:9:140443236:140446007:1 gene:gene-LSAT_9X90101 transcript:rna-gnl|WGS:NBSK|LSAT_9X90101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLQCLENPKNPQYNGGIVVNPEFKEGLNGWTSFGNAKLQVRRSETGNEFIVAHQRNQSFDSVSQEFFMDHEKLYTFSAWVQISHGDDATVVATFRTPTGFYDVGLTMAKPGCWSMLKGGITVNQSGSTQLYFQSKNTSIDIWVDSVSLQPFTQEEWRAHRYQSIEKERKSKVKIHAVDSEGKPLVNQKITISQKLSNFPFGCAINTNILTNEAYKNWFTSRFKYTTFENEMKWYTNERVQNQEDYSSSDALLEFTKSNGISVRGHNVFWDDPKYQPSWVPNLESQQLEDATTKRINSVMGRYSGQVIAWDVVNENMHFDFLERKLGGMGSSSKFYSMASVLDGHADLFLNEYNTIEEPGDELSSPDSYLKKIEEIRSGGYHGPLSIGLEGHFTNVDIPYMRSAIDKLASSRLPIWITELDVQPGPNQGELLEQVLREAHAHPAVNGIVLWSAWSPEGCYRMCLTDNDFRNLATGDVVDNIINEFFGVVVMGMTDESGFYETSLIHGDYEVSYQNETDVKSSRISQDFKVEASGSSEDFLNIKISG >cds-PLY72100.1 pep primary_assembly:Lsat_Salinas_v7:7:52837703:52839327:1 gene:gene-LSAT_7X38181 transcript:rna-gnl|WGS:NBSK|LSAT_7X38181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKLPEALVVEILSHLDDSADVARCRVAWKTFNTVTPHLRSINLHWPYRKSRSRVSKSSSSSQLTFPLKTVFLNLISNLRVLESVRISTENLRETAVNAHLIDNGDDMHLTDEGHSLLELELKNAWLSAYNLHPMPNLTSLTLEYIKLDDNDLSQVNKCFPNLQVLNLKHVTGVKQPKIHLLNLKTCCWVKAPKLSHFHLFIYHLMGEFTIKSFENLKTLFLESTSVIRSLLINSVLTQTVENLSLTGHEWGVGDFKFTLQDLFCAFPNMTSLCFSPWSSSKFEVLYGPWDGNKGLKTFRGYLSVVDHSFTFPMIASVIEQCFISLVDVSLLFQCHIAPCVSRGFIDRCRARWPKVNWRWGT >cds-PLY69473.1 pep primary_assembly:Lsat_Salinas_v7:6:44406217:44409642:-1 gene:gene-LSAT_6X31501 transcript:rna-gnl|WGS:NBSK|LSAT_6X31501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGGCAGECWGGFVGCLVRRKQVDASVARAEGFQLAKRLSVFDLIAIGVGATIGAGVYILVGTVAKEQTGPAITISFLIAGIAAGLSALCYAELACRCPSAGSAYHYSYLCVGEGVAWLIGWSLILEYTLGGAAVARGISPNMAVFFGGPDKLPAFLTRPTILGIVVDPCAAVLVFIITGLLCTGIKESSLAQGIITTINVIALLFIIVVGGYVGFKTQWVGYKVPGGYFPYGANGVLAGSATVFFSYVGFDAVTSTAEEVKNPQRDLPIGIGVSLFTCCVLYMLVSAVVIGLVPCSQLDPDTPIASAFASYGMNGAVYVITIGSVTALCAALIGGILPQPRILMAMARDGLLPSFFADINKRTHVPVKSTIATGIFIASLAFSMNVDQLAGMVSVGTLLAFTAVAISVLILRYIPPETTLLQSPSPESEFNNDNKDLTQKDKGDEARRRKMAAWSISIVCAGVIVFASAASAKAIPIVPRVTLCGLGSAALLSGLAVLTSIDQDDARLTFGETGGFLCPFVPFLPVACILVNTYLLINLGIGTWIRVSVWLVIGVLVYVLYGRKHSLLVDAVYMPIMDENEHEIDYIS >cds-PLY66024.1 pep primary_assembly:Lsat_Salinas_v7:1:123897568:123898742:1 gene:gene-LSAT_1X94980 transcript:rna-gnl|WGS:NBSK|LSAT_1X94980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISSVVHDMFPSTPITTIHNITKNHTWIYERHLDGVIVDGKCWVMLSHWMFRMNEMEAGDHVTITVTAPYDELVKECGVSLVYEDEKEEEDALGYYKSWNHIIGGDLSQFQTTTGQYILQNRRFFEFAIYLFPYLGKLIPDGPTYQGHKEVSWFRAFSQRNPDLIGSTHVGKGESSRSHPSHETACEREVAFLN >cds-PLY89584.1 pep primary_assembly:Lsat_Salinas_v7:4:365280331:365284418:-1 gene:gene-LSAT_4X178361 transcript:rna-gnl|WGS:NBSK|LSAT_4X178361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39830) UniProtKB/Swiss-Prot;Acc:Q9LU10] MGVVMAWNGYMLPPQTESKVMAPVRRFVGRRKLCFDGISSMCSSSDTHHDDDSVSPRHRIFTKTVGERTLNDLGEVTKVFFTTRRMLLTSLLMPLYYPPSRYSSANALGDPSVTLEQVTPVVIPSGPLFPPEERIVKLFENNTYSVVNIFDVTLRPQLNVTGVVEIPEGNGSGVVWDEEGHIVTNYHVIGNSLSRNPKPGQVVARVNILASEGVQKNFEAKLIGADRSKDLAVLQVEAPRDLLRPMKIGQSSFLKVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQTGVTIGGGIQTDAAINPGNSGGPLLDSKGNLIGINTAIFTQTGTSAGVGFAIPSSTVVKIVPQLIQFGKVVRAGLNIEIAPDVVANQLNVRNGALVLLVPTNSPAAKIGLLPTTRGFAGNIVLGDIIVSVDNKPVRNKAEFLKALDDYGVGDEVLLKIQRGNETIEMAIALEESS >cds-PLY75522.1 pep primary_assembly:Lsat_Salinas_v7:9:34494765:34496942:-1 gene:gene-LSAT_9X32121 transcript:rna-gnl|WGS:NBSK|LSAT_9X32121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNIPFLIKSIGSHNVFRPSLLFSFLSTCKQQQNLRGRITGKNMSHCYFSSTVEASDLEDDKLHDDVKAAEKHTALHSALSELAGDFDGESMLFLQRFFRTRVKPVVSTGSLKLDLALGIGGLPKGRIVEVYGQEGSGKTTLALNVIKEAQKLGGYCAYLDVENALDPLLLETVGVNTKSLLISQPNSAENLLSIVDTLTQSGAVDVIVIDSVAALIPQREITGAISDNIIETQSRIMTRALRKIHYSLCRSDTLIIFINQVRSNLRSRQEGLRVNEVTCGGNALPFYSAVRMRIARKGLLKTQEKVTGLGICVEVVKNKLAPAMKKAELEIEFGRGISRASEVLQLGCEHGVVLKEGNSYFIDGEVVNGKMQAETYLIQNTLICDKLVKTLRRHLFRIEQDSES >cds-PLY61775.1 pep primary_assembly:Lsat_Salinas_v7:8:76520765:76522507:1 gene:gene-LSAT_8X55221 transcript:rna-gnl|WGS:NBSK|LSAT_8X55221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSFMFQTVVASNLYSDRLSKIQSRGCRPLTIGDAPFRNVYVTSQLNNRYLISVQTRGAFSNPQSDGQEEEEEEVEGSVEEIRIPKAWLNSSKALEESEWLRVSLHKWLDDEYCPEPTNVDISNVAARSYYNSLTENQTELGDILLRMAMELESISYKESFHGAFSSANAAVHLILQRILQQEELNA >cds-PLY69851.1 pep primary_assembly:Lsat_Salinas_v7:6:4009448:4011718:1 gene:gene-LSAT_6X3421 transcript:rna-gnl|WGS:NBSK|LSAT_6X3421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGRQFNSRRGAYANGVRKQDPTQAIASGIFQINTAVSSFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSEKLKQASETDHRAEVSTSKKITDAKLAKDFQAVLKEFQKAQRLSAERETAYTPFVPQAVLPSSYAASEMDINSDKNPEQRALLVESRRQEVLLLDNEIAFNEAIIEEREQGIQEIQNQIGEVNEIFKDLAVLVHEQGAMIDDIGSNIENSHAATAQARIQLSKASKTQKANSSMTCLLLVIVGIVLLILITILAF >cds-PLY99382.1 pep primary_assembly:Lsat_Salinas_v7:7:144492614:144502509:-1 gene:gene-LSAT_7X86500 transcript:rna-gnl|WGS:NBSK|LSAT_7X86500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSRSDLDRIKGPWSSEEDELLGNLVERHGARNWTLISKSIPGRSGKSCRLRWCNQLSPEVEHRSFTEEEDKVIVEAHKRFGNKWATIARLLNGRTDNAVKNHWNSTLKRKSSTVDGGEERSARVLRRSESGGADVTVAMSMSMSGSPSGSDICDSGNNIPFLSIGKNNTTSYGLIGLQPPPSTALTLSPPGTSSYGYEVRDQATPFGAEILSVMQEMIRKEVRKYMEEEEEERKWQQMDIEYTFWQMLHLCTSPKVVYQHTKYHKQTKNQWARDDPAFIVICILLLAVSAVAYSAAYDHSTGHAVFVVLSVTCVHFLLAGAIVATFCWFVTNNYLREETSHSHVVEQRVEWQLYAFDVHCNSFFPMFIVLYVIHFFISPLLVAHGFVPLLLSNLIFTVAISYYHYLNFLGYDVLPFLEKTTFFLYPIGVVIIFTPILILSGFNPSRYIMNIYFSQWS >cds-PLY69639.1 pep primary_assembly:Lsat_Salinas_v7:5:111375696:111383506:1 gene:gene-LSAT_5X49221 transcript:rna-gnl|WGS:NBSK|LSAT_5X49221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNERKTIDLEQGWDFMQKGITKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSTVLPSLREKHDEFMLRELVRRWSNHKVMVRWLSRFFHYLDRYFIARRSLPPLNEVGLACFRDLVYQEVNGKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMEYYENDFEASMLNDTAAYYSRKASNWILEDSCPDYMLKAEECLKREKDRVSHYLHSSSEPKLLEKVQNELLSVYATQLLEKEHSGCHALLRDDKVDDLSRMYRLFSKIPKGLDPVSSMFKQHVTAEGTTLVKQAEDAASTKKAEKRDVVGLQEQVFVRKVIELHDKYLAYVNDCFMNHTLFHKALKEAFEIFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTLAKENQSHFEEYLNNNPNVSPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFREFYQTKTKHRKLTWIYSLGTCNINGKFEPKTMELIVTTYQASALLLFNLSDRLSYQEIMTQLNLSDDDVVRLLHSLSCAKYKILLKEPNTKTISPTDYFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGYQQLVMECVEQLGRMFKPDVKAIKKRIEDLITRDYLERDKENPNLFRYLA >cds-PLY87047.1 pep primary_assembly:Lsat_Salinas_v7:5:257728971:257730416:1 gene:gene-LSAT_5X132980 transcript:rna-gnl|WGS:NBSK|LSAT_5X132980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPNLPPLPPPPPFFWGETPEEEYYKSQGVRNSKSHFETPNGKIFTQSWLPLDEDQPVKAVVFMTHGYGSDSSWCFQKICIAYAKWGYAVFAADLLGHGRSDGLHGYIGDMDKAAATSLSYFVSVRRSEEYSNLPAFLLGESMGGLITILMYFQSDPDTWSGLILSSPLLVIPEGMIPSKLHLTMYGLLFGLADTWAAMPDSRMVAKAIKDVEKLKIIAVNPKRYTGKPRVGTMREIVRVTNYVQNNFEKVKVPFFVAHGTSDGLACHTGSEMLYEKAVTAKEDKVLKLYEGMYHSLIQGEPDDAVALVLGDMKAWIDEKAQKFGSKCTTD >cds-PLY79055.1 pep primary_assembly:Lsat_Salinas_v7:3:9586638:9589283:1 gene:gene-LSAT_3X7661 transcript:rna-gnl|WGS:NBSK|LSAT_3X7661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGAHGGEKTKSRLCSICNQRRPALKRPKTLQQICRECFYVVFEEEIHSVIVNNKLFKPGERIAIGASGGKDSTVLAYVMSELNRRHNYGLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKVVSYKDLYGWTMDEIVKMIGLKNNCTFCGVFRRQALDRGAALLKVDKLVTGHNADDIAETVLLNILRGDIARLSRCTSIITGEDGPIPRCKPFKYTYEKEIVMLKLFKCISFYVKSFISIDNLNILVTYAYFKKLDYFSTECIYSPNAYRGFAREFIKDLERIRPRAILDIIKSGEDFRIATSTKMPEQGTCQRCGYISSQKWCKACVLLEGLNRGLPKLGIGRTRGVNRKDDQHNDAKNIQSKPCGSLDF >cds-PLY86808.1 pep primary_assembly:Lsat_Salinas_v7:5:16587484:16587870:-1 gene:gene-LSAT_5X7501 transcript:rna-gnl|WGS:NBSK|LSAT_5X7501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNHPWVDKAVHDNALVCEVLLQLHQEVSQPQIPQPPPPPPMPQPLLPPTMSQAPEQDAPMIYIDWGVRQPRSRRTEVRPVTARSEVIVGGSTNLVSNRGATSTGNLEKSGRRSLNRSNASRSKVCNA >cds-PLY77733.1 pep primary_assembly:Lsat_Salinas_v7:9:19415516:19415686:1 gene:gene-LSAT_9X14600 transcript:rna-gnl|WGS:NBSK|LSAT_9X14600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGATGDALSELAVFGGTGITGRSTGYGCWWSDYRRLELLSYGVSGWLGGRTIRDS >cds-PLY79314.1 pep primary_assembly:Lsat_Salinas_v7:4:270116:274246:-1 gene:gene-LSAT_4X1361 transcript:rna-gnl|WGS:NBSK|LSAT_4X1361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSELMDSHGLSPSSFLSEEVQHPNERQTGFRKTDGMPDNYGLKDGNVYAVGDSSVVEKQKQMSSDSHMLKRFDVPNLYHSQDHNKVHISLLENNTVGVGAGRAASHSLRRAADSDKGNRSNLNMEHKSYYLNAMGDHYENGLFSSSLSDLFSRKLRLSANNNNNNNNNNNGMYGHSVGAAASQYEEEEPFESLEEIEAQTIGNLLPDDDDLLSGVTDGLDLDCKVQQQPGSGDDVEELDFFSSVGGMELGEDGGQRNYEISGEQHHPHGENPSRTLFVRNINSNVEDSELRILFEQYGEIRTLYTACKHRGFVMISYYDIRAARRAMQALQNKPLRRRKLDIHYSIPKDNLSDKDVNQGTVVIYNLDSSVSNDELGRIFGVYGEVKEIRENPQGSRHKSIEFYDIRAAEAALCELNKSDIGGGKQMKLEPSCPGGSKRPFPELDLDETSALLQMCSPPTDFTTEISGSHVRVNMDNGTRLGVHSATAAATQLLDVELQHQHQHQHQHQHQHQRGIGIGIIPSLKYDFRSTPNYHPHSLPEYQDGLTNNFLCNSPSTMAGRMSERVAGNRQQQFNRIGGGGSIGRSLELNDTDHDLTRSLVSISVFGSSAGNAATHPYMWNPSPSPHSHNMMWPNSPSLMNGVGNAHSAPLVHALPRAPTHAANTFLHINNQQQQQQHVGSAPSVNPSIWDRRHSYAGESPEASVFHPGSLGNMNMRVPGLDFVSHSHNMFPPHMGDLPIPSKTIHHQNNVMFPTRGQLIPMMGSFDSSSSSGDRARIRRNEATSNQADTKKQFELDLDRIMRGEDRRTTLMIKNIPNKYTSKMLLAAIDNKCNVGYAFINMTEPSLIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTEGPNAGDQVPFPMGVNVRSRVNKSRNSSTNEDAGNGEASSNGGDSSSGSTKDFEC >cds-PLY69559.1 pep primary_assembly:Lsat_Salinas_v7:8:83930429:83935008:-1 gene:gene-LSAT_8X58500 transcript:rna-gnl|WGS:NBSK|LSAT_8X58500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWLRAGTSVAKLAIRKTLTQGRSYASRPRLLPSRIHHFHTTIPKSKPQSPIPRSVPLSKLSDNFLDGTSSVYLEEMQRAWEADPTSVDESWDNFFRNFVGQASISPGVSGQTIQESMKLLLLVRAYQFHGHMKAKLDPLSLEQRDIPGDLDPGFYGFTESDLDREFFLGVWKMSGFLSENRPVQTLRAILTRLEQAYCGSIGYEYMHIANRDHCNWLRDRIETPSPSQYNSKRREVILDRLIWSTQFENFLATKWTAAKRFGLEGGETLIPGMKEMFDRSADLGVESIVIGMSHRGRLNVLGNVVRKPLRQIFSEFSGGTKPIDEVGLYTGTGDVKYHLGTSYDRATRGGKHIHLSLVANPSHLEAVDPVVIGKTRAKQYYSNDVDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPKSGRSSQYCTDVAKALDAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDITCYRRFGHNEIDEPFFTQPKMYKVIRSHPSALEIYQKKLLESGQSTKEDIDRMQKKVTTILNEEFMASKDYAQKKRDWLSAYWSGFKSPEQLSRIRNTGVQPEILKNVGKAITTLPESFKAHRAVKKIFGDRLKMIESGEGVDWAVAEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVIHDQDTGERYCPLDHVMSNQHPEMFTVSNSSLSEFGVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVMFDQFLSSGEAKWLRQTGLVILLPHGYDGQGPEHSSARLERFLQMSDDDPFVIPEMDPTLRTQIQTCNWQVVNVTTPANYFHVLRRQLHRDFRKPLIVMSPKNLLRHKDCKSNLSEFDDAEGHPGYDKQGTRFKRLIKDQNGHSDLEEGIRRLVLCSGKVYYELDEARKSTGSKDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYISHRLATAMKVLGRGTIYDIKYVGRGTSAATATGFYGVHGTEQSELVKKALQPDPIPSPA >cds-PLY94542.1 pep primary_assembly:Lsat_Salinas_v7:2:158628100:158629527:1 gene:gene-LSAT_2X83360 transcript:rna-gnl|WGS:NBSK|LSAT_2X83360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLELIFIPSPLMGHAGQLVELARLIVNQFHHLTITLLIMKLPGDPIGTDYTDSLDSKDHDRIKFIHFPPMDLDSFTDCPTVGFMADAVIERHKPIITEVIANRFNGSHQTSRLCALVVDMFCTPMIDVGKEFGVPTYIFFTSNAAFLGIMLYFQILDDEHGQDVSELANSGTELTIPSYANPVPPSVLSSVLVNKDFWSKRFIRYTRKYREAKGIIVNTFQELEPHALLSYDDNTPPVYTVGPMIKPEKHTPNNEVLKWLKSQPKSTVVLLCFGSRGWFDVDQVREIAIAIERSGYGFIWSLRRPPSEAQKGFPGEYTDTDYNEVLPDGFLERTAGRGRVVGWVPQTAVLADMAVGGFVSHCGWNSVLESLWYGVPIATWPIYAEQQLDAYQLVKDLGLGVEISLDYNQLNKNQRLILAEEIEKGIREVMDGDSEVRAKVMEMKGKSRMALEEGGSSLASLRQLVEDFISCNE >cds-PLY96127.1 pep primary_assembly:Lsat_Salinas_v7:3:100613951:100615341:1 gene:gene-LSAT_3X73681 transcript:rna-gnl|WGS:NBSK|LSAT_3X73681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDYLPFELQVEIIKRLPVKPLLQFRSVSKRWKTLIGSSEFIAAYSFDHTQLNRLIVWYEEHVPLTQTGKKLVSILDDETLNSISAHHEIDPTALGLSKPFKDVRVVGSSQGLLCLYDFLQDPSCHYSGTLVVVLWNPSIRKSVDVVVPGVLDMMFEHTVLGFGVCPITSDPTIVKIINISKKMKQRDGVPFLVEVFTLSTGNWRVIPSSKLPNKPVEVTSYRVVIDKFIYWFVFDDIDDMFDVGAHKMIMSFDMTTQEFSLIDLPKSFAHLSSTYVSISEVRDSLVVLEYNPNSEKQVCVVWMRSHGVPNLFTKMFTLITPFESINILGFKKSGGPIMEMLNEIWGPAALVFCDPYSEDCNCTSIFAKSGSIFVDSYKETLLLLDKDSSVYSINN >cds-PLY65274.1 pep primary_assembly:Lsat_Salinas_v7:MU045421.1:1063806:1068359:1 gene:gene-LSAT_0X8541 transcript:rna-gnl|WGS:NBSK|LSAT_0X8541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGVLSLPYAMSNLGWGPGVVILILSWIITLYTLWQMVEMHEIIPGKRFDRYHELGQEAFGEKLGLYIVVPQQLICEVGVDIVYMVTGGVSIKKIHKTLCEDCKEFKTTYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLTYSTIAWTASVNKGMQENLEYGYKGKTPAETTFNFLSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVVVAYVVVALCYFPVAFLGYYMFGNKVADNILISLHKPKWLIAAANLFVVIHVIGSYQIYAMPVFDMMETLLVKKLKFKPTWILRFCTRNIYVAFTMFVAITFPFFGGLLGFFGGLAFAPTTYFLPCIMWLAICKPKRFSLSWCTNWICIFLGLCLMILSPIGGLYNIIKQAKDYKFYS >cds-PLY98088.1 pep primary_assembly:Lsat_Salinas_v7:4:79630532:79632384:-1 gene:gene-LSAT_4X52380 transcript:rna-gnl|WGS:NBSK|LSAT_4X52380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQIEHKFVEVNGIKLHVAEIGKESSPAVVFLHGFPEIWYTWRHQMIGVANAGFRAIAPDFRGYGLSDHGVEPEKTSFSDMLYDTLAILDSLNVNKVFVIGKDFGAFVAYAFALLRGERVLGVVTLGVPFIPPGPLVSHESLPEGFYISRFRNPGRAEADFSRFDAKTVVKNIYILFSASEIPIASENQEIMDLVEPDTPLPSWFTEEDLVAYGNLYEKSGFQTALKVPYRSLLDERYEFNIEDPKVEGRALLIMGEKDYLFKFPGVEDVINGDEMKKYVPNLEVTYVPNGSHFVQEQFPNQVNQLILAFLGQ >cds-PLY96576.1 pep primary_assembly:Lsat_Salinas_v7:4:368169646:368172017:-1 gene:gene-LSAT_4X180860 transcript:rna-gnl|WGS:NBSK|LSAT_4X180860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISDLALAKDDYMIKGAKIECNVDRPFASLQGKTLEEYGDYYIQKPTIGLNNGAIKFVDGPHKLYFQYSTKVIRCKDFCGPRNSFAFIDFKQLHSNSVQPNVSFDRPYVNNTYLATKLFIEDDIEEISAFKKSLQARKDSSCSSVSRASGSSIMYSLHDDFLQKNAFYKISAIHELNEFDNPSDFPNELNAMLNKKLAFKIVVKTFNVSKCFRSYNISKLTDNLDIIAALENIEKKNMIEQDMDNDSVNVIGSEFASQDTVGCTNNLNSPPTSVKRKLVDIYDLDDDVYESSTKPNSPRVYKDKAGLTTKLLIPKVEK >cds-PLY63604.1 pep primary_assembly:Lsat_Salinas_v7:8:189580655:189584608:-1 gene:gene-LSAT_8X121700 transcript:rna-gnl|WGS:NBSK|LSAT_8X121700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALRREGRRFGPLVSSPSPINAIRSTLVPTEEQVGLGVRSISTQIVRNRMKSVKNIQKITKAMKMVAASKLRAIQVRAENSRGLWQPFTALLGDTPSVSVKKNVIVTISSDKGLCGGINSTSVKISRALYKVNAGPDTETKYVILGEKAKAQLIRDSKKDISLIMTELQKNFLNYTQVSVLADDILKNVEFDALRIVFNKFQSVVSFLPTTATVLSPEIVERESEAGGKIGSLDAYEIEGGETKSEVLQNLAEFQFSCVMFNAVLENACSEQGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELIEIISGASALTG >cds-PLY68593.1 pep primary_assembly:Lsat_Salinas_v7:5:48263:49358:1 gene:gene-LSAT_5X681 transcript:rna-gnl|WGS:NBSK|LSAT_5X681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTDKGTSSMEVEERESKVVAKPKFKALTAHEISDGQVQFRKISVPKHRYTPLKKAWMDIYSPVYEQMKVDIRMNVKTRNVELMTRRDTPDVSNLQKCADFVHAFMLGFDVIDAVALLRMDELYVDSFEIKDVKTLKGEHLSRAIGRLSGKGGKTKFAIENSTKTRIVIADTKIHILGSFANIKVARDSLCSLILGSPAGKVYSKLRAVTARLAERF >cds-PLY75875.1 pep primary_assembly:Lsat_Salinas_v7:1:172917495:172920386:-1 gene:gene-LSAT_1X115000 transcript:rna-gnl|WGS:NBSK|LSAT_1X115000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDSYCDKDEDVSIEAYEPKLCKMLDSFERMNSKLNDAITKFPEKESFKIFNENLKKKLKALIFLNFQVMKMVLKTRGTHKMYINKEKKLRKEKEMIQTKYGQVTERAIMENLYANTKIFAEVLDTWGDLLNDQELGSDVGNSPYRLFLKVEVPNAYVSSTLCDERKYEKFKENFHDNTDGYKKT >cds-PLY66097.1 pep primary_assembly:Lsat_Salinas_v7:1:109574813:109584906:-1 gene:gene-LSAT_1X85600 transcript:rna-gnl|WGS:NBSK|LSAT_1X85600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGVKYCSPSSTSSPPRKKRGRVDQAGSPLEYPYPSDVNVANFVFIKLSGKSNYSQWLEDMECLLKTNHMYDFVDGKLKNRVQIDGSSKKMEDRTESDWSWKRSDALVKAWIFGSLCEDVMDVVIGLSTANDVWTKLKSTYSTPSAAPMTNPNQAKYVPLYRATLNGDWKKAQQMFIVDRDALTCKLNDDNESPLHVAIGTCQNNHFVKELLDVITPASLPTLLTVRESNPLHHAAFVGNARAAEMLVKKNQHLLFLPDKKKCLPILRAIMGSHIETFLYLLDVTRCHISLSEEEGCHNPFEGVNGCTLFTRVITAGLWDVPIENCGVIKGRESTDIENQETHKREDAAKHLRSCFHPVIERIYRNFWQVSLQHGKECFCWFVFNALIHSLVA >cds-PLY67390.1 pep primary_assembly:Lsat_Salinas_v7:4:212996609:212998560:1 gene:gene-LSAT_4X118261 transcript:rna-gnl|WGS:NBSK|LSAT_4X118261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYENYDPCFPDQPVVDQYLPVWASLPSFRSKPAFIWAEDGLPGLQCSSLTYEGLNNSVYSISSQLQLSFQRGDTLVILCSPGLELVQILFACQRAGLTSVPIIPPNPTFSNNDHHHLNRVLSQTQPKAAIANRGYIAKVQEYLNLSGSENPFSKLLHNTLWISVENLLEINVSSYRSLHLSLHLSYPGCKVDEVYLVQYTSGATGIPKPVLVTAGAAAHNVRLARKSYDLHPNSVITSWLPQYHDCGLMFLLLTIVSGATCVLTSPNAFITRPRLWLELITKFKATCTPVPSFTLPLVIKRGQMFDKRLLPINLTTMKNLIIINEPIYQKLVEQFVEMLSPFGLKASSICPSYGLAENCTFVSTAWRSRVQEIDFPTHKKLLPSACLKYDEEEEDEDQMNMVIVNEETNELVEDGIEGEIWIASSASNASGYLSHPSLTQEVFQSRLKGRFSHERFIRTGDRGIIKGDERFLYVTGRCSDIIKHENMVETHAHYLETAAFESCMRFLRGGCIVAFDVQGDTTAIVAEMQNSGEENESMFRGICEGIRGFVMREEGVHVGLVSLVKSGSIPKTTSGKIQRWLAKQRFLSGKMEVLMEMKFSKEEDEEFKKSFLKNLMIDKRESKKVVLYSNL >cds-PLY72298.1 pep primary_assembly:Lsat_Salinas_v7:1:213541748:213544411:-1 gene:gene-LSAT_1X130260 transcript:rna-gnl|WGS:NBSK|LSAT_1X130260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADVESTAACQPLSLTNARELLDSVDAFLLDCDGVIWKGDTLIDGVPETLELLRSKGKKLVFVTNNSMKSRKQYAHKFQSLGIPVTEEEIFSSSFAAAMYLKVNEFPPQKNVYVIGGEGILEELKLAGFTGHGGPEDGAKTVQLKANTLFEHDKNVGAVVVGIDPNLNYYKLQYATLCIRENPGCIFIATNRDATGNMTDLQEWPGAGCMVAAVCGATEKEPIVVGKPSTFLMDFLQKKYNIPTSRMCMVGDRLDTDILFGQNAGCRTLLVLSGVTSQSTLQDPSNRIQPQIYTNQISDIFDLLKS >cds-PLY72422.1 pep primary_assembly:Lsat_Salinas_v7:3:190854593:190856484:-1 gene:gene-LSAT_3X113400 transcript:rna-gnl|WGS:NBSK|LSAT_3X113400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >cds-PLY97305.1 pep primary_assembly:Lsat_Salinas_v7:1:177528497:177532943:1 gene:gene-LSAT_1X117841 transcript:rna-gnl|WGS:NBSK|LSAT_1X117841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRTSPTTIASITICVCFQTPPLQHRTTTPALSHHHTAFATAQNHHYTTTVAYFICVVGLFQRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTINIKSVGADHEVSDGERLPLAVKELGTCDLYPQSLKHNPNRRKYHPKNDGPKVSFFSDDEETTSTPKADALFIPRENPRALVIRPLKQWPGKSSAEKLKNASSPAQTNGDYTGFASSPPLNGRSTENGNRNHSENGTLKEQTPIKTPQKQNGGCDGGEMEDGFEFIVKNKGINTEVAYPYQATDGTCNTKEEAVHAATITGYEKVPANSESALLQAVANQPVSVAIDASGMGFQFYSGGVFTGDFGTDLDHGVTSLLNQMELYVVVYILAILGLDSLQGIMLDQGSCIDTCCTFCRKFFKLSPEESSKDPLYLLRDMDAMGYKRGHFVLIAKIIISALGYLMLGTFIYVLIVDGSTFNANVLSRCMIATTTDFYFCIVIVAVWIAYKESRWISAFFWILSLLSFWRVTRIIGAGVQY >cds-PLY66419.1 pep primary_assembly:Lsat_Salinas_v7:9:38474696:38478740:1 gene:gene-LSAT_9X33761 transcript:rna-gnl|WGS:NBSK|LSAT_9X33761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal domain (Ctd) phosphatase-like 2 [Source:Projected from Arabidopsis thaliana (AT5G01270) UniProtKB/TrEMBL;Acc:F4K802] MSRLGFNKSVVYHGEACLGELDVIHTGDKNFQFPNNEIRIHHLSQVSERCPPLSVLLTISSFSVRCKLESSSPVRQPLLIDLHATCFYELKTAVVVIGDEEIHLVAMPSKLKKFPCFWCCLVPAQLYNACLGMLNMRCLAIVFDLDETLIVANTMKSFEDRIEVLTGWIGQESDSIRVSGMTSELKRFVEDRALLKQYIENNSVTDNGQTYNVKLEEVHMNAAGNERVVRPVIRLPEKNIVLTRINPEIRDTSVLVRLRPAWEDLRSYLIAKGRKRFEVYVCTMAERDYALEMWRLLDPEAHLIGPKQLLTRVVCARKSLLNVFQDRTCHPRMAMVIDDRLKVWDDKDQPRVHVVPAFTPYYAPQAETANAVPVLCVARNVACNVRGGFFKEFDENLLRRLSDHFYEDEVLNLPPVPDVCNYLMSEDASFVPNGNINPLMTEGINGPEVAHKLNQQDARNVATSASNVTTSASNVGTGVELKSEKPQQSVPVTTIYGPPSFKSMIPSEKPSLLGPIQGPRPGHIPIFHGTSSSFSPGFQVPPLVYEPKNEEVFHGYGLQKRNLPQVGLLSDTGLLQNQASYNSKKNLPDGGNSNFLPPSLSIGVLQEIGQRCGFKVEYRSILSSSKDWQFSYEVLLTGEKVGVGMGKTRKDAQQQAAENALRSLADKYVAYLMSQSETGNKDSDDSGMENGFLFETTDFPESDESLVKDGLPIDEVNRIHK >cds-PLY90674.1 pep primary_assembly:Lsat_Salinas_v7:6:54618120:54619304:-1 gene:gene-LSAT_6X40740 transcript:rna-gnl|WGS:NBSK|LSAT_6X40740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAADTEDFEMISHIPFLTKYKKNWGEHVELKNRCFHKSTKIPNPATEGLLYYLRLIIFNLITHYQINIIRVLPPAVPSSQVKANSSTWEASGETLNNIDHEAMVVDPTLVLTIQVMLTDFGVAKQFDESTRLNSMCGTLEYMTPEIIQGRGHDKAADWWSVGILMYEMLSGKREGNNVILFDIVSLQPSFRGGNRQKIQEKIVKDKIKLQGFLSSEAHSLLKGGLIAFS >cds-PLY79707.1 pep primary_assembly:Lsat_Salinas_v7:8:124897669:124903421:1 gene:gene-LSAT_8X86241 transcript:rna-gnl|WGS:NBSK|LSAT_8X86241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSNLLLRVRPIIPSSKIDFQLPSAKTTPIQAPNPNKATARIWEKESKLTVAASSLKDDVNHGSTTGSRNHIPQKSLALGGFVGKVNDAASSSDTPLHSCTGSRGQIPYPQGGKATTSPAGTPRRTFKWLFTPPSPAKPIMSAIRK >cds-PLY62120.1 pep primary_assembly:Lsat_Salinas_v7:5:38804203:38807569:-1 gene:gene-LSAT_5X18980 transcript:rna-gnl|WGS:NBSK|LSAT_5X18980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYVQIYQHPMLYGQSIYIKSITYEISDDLFTNTDFRKRFSKLVWDINMKPDVFEVKWGLLMKEFNLEDTRWFKDMFTICDIKKLSF >cds-PLY66714.1 pep primary_assembly:Lsat_Salinas_v7:6:79698120:79707823:1 gene:gene-LSAT_6X55260 transcript:rna-gnl|WGS:NBSK|LSAT_6X55260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEEFTTIAELGYGAPGTKLELRIVRTWTPQVRTYETWFLAVDKHGDAIQILGQNKDQGYIQSVLNLSKCYTISKYACGEPDAFQKWIDNDVYVVVGMASSISALSDTSTIPRNWFHFVTKKQIPNFINRSPDFLAMFVKFRDCFKKNSEPFTLIILRNNFGEEIAISLWRECTDVSDKFDRIAIQNEAGPTVIAVTNVKVSSVAGRSQLVLAMVSVVESPWSNVIIVAEEGDSEWSSNPIDAVLFAGISLVFGIALRHLLKGTRVPYTAALLVLGIAMGSLEYGTNHGLGKVGDGIRIWVNIDPDLLLAVFLPALLFESSFLMDVHLIKKCMAQMVILAGPGVLMSTFLIGLALKLLFPYNWSWKISFLLGGLLSATDPVAVLASLKELGATKKLSTIIEGESIMNDGMGMVVYTLFFQMVTGSSFSWGSVIMFLATASLGAVGMGIAFGLVSYLWLGFVFKDPVIEITMTFSVSYLAYFMSQEIADISGVLTVMALGMFFAAVARTAFDSETHEILRNFWEMVAYIANTLIFILCGAIIAEATLTGDNILKHEEHYWGYLILLYVVVQLSRGIVVGSFYPFLSYLGYGLDWKEAFVLMWSGLRGPVALSLALSQSSDTSAYINQETGTLFVVFTGGIVFLTLIVNGSTTQFVLQMLKMDKISATKKRILDYTKHEMMRKSLEAFSDLMDDEELGFVDWHSVKNYITCLNDEKEGEHDMYLSESDIQIRIRFLNGVQDAYCVMLKEGRITQFTSKILMQSVYEALDVVSSDSKPLCDWIGLKDYVHFPNYCKFPWKLVTYFTGEKLESACYISAAFLRAHKIARQQLHDFIGDSENGLALKVINESETEGEEAKKFLEDVRITFPQVLGVLKTRQMTYSVLNHLNEYVEDLDKCGLLEKEDLVHLHDSIQSDLKKLARNPCLFKIPKAHEHEYDPTNANPSFGSPSIHVV >cds-PLY78240.1 pep primary_assembly:Lsat_Salinas_v7:6:7655147:7655509:-1 gene:gene-LSAT_6X5221 transcript:rna-gnl|WGS:NBSK|LSAT_6X5221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILENNEALFLAWTPDRMHKEAIDEPDIFWLEPKTSFDINNDVECQFDFPINPRDFLFRCFEKIEKSLISNNAPNRKLFSFYLKYVRPQYDSCSLKIIVGLKVGLPIQTEDFLNIQFKGF >cds-PLY92272.1 pep primary_assembly:Lsat_Salinas_v7:2:208850529:208851143:1 gene:gene-LSAT_2X129601 transcript:rna-gnl|WGS:NBSK|LSAT_2X129601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNTKLSFIPTNLTQLILNSLAISVRNSNYYGHGFAEWKLADVAVERAEVVVAKDGSGDFKMVQEAVNAACNRRPPGGRYEVHVKVGIYKENVVIPKTVRYVKMFGDGINKTVITGNQHSGGDMLETPLAGDMKDSTTFSHSCPVYTPHSP >cds-PLY73422.1 pep primary_assembly:Lsat_Salinas_v7:4:180150685:180152918:1 gene:gene-LSAT_4X105641 transcript:rna-gnl|WGS:NBSK|LSAT_4X105641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKVDLPSFSSIERNASISKMYLKEAGWADNGRIIACTQPRRLVVQSVAAILAEEMGVKLGEEVGYTIRFEDITNSELTRIKFLTDGVLLREMMDDPLLSKYIVIMVDEAHERSLSTDILLGLLKKIQRRRPELRLIIASAIIEAKSMVEFFHNRKRRPQLEGDDNGLQTEPAILSVDDPMGGILVFLTGQDDIDTAVQNGIYNDIII >cds-PLY98950.1 pep primary_assembly:Lsat_Salinas_v7:7:48310294:48311400:-1 gene:gene-LSAT_7X35740 transcript:rna-gnl|WGS:NBSK|LSAT_7X35740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYEQEQVDFDTFLESISKYLLDDHDHPDNFFHDPSGLMVSNNDMSIPAISEESSINIDQEISSFFAANSCSGESYSRSSSYLMDDPIWPLPNLDDSEISSLLDGYNDDIVEIPKNSDPILAITINELPDTSADIPATCNDLSGTCLGSAISMPLKWDFSTGNVVTFGEEVFSSGEDQKSPSYVKDFNSDEVKNFEAPPLQSQPERKYRGVRRRPWGKFTAEMRNPEKKGSRLWLGTYETPEEAAMAYDRAAFKHRGSHALLNFPHLTESHNENPQRYITKKRSSPSPSSSSSDSSKNSHRNKRKSSV >cds-PLY84593.1 pep primary_assembly:Lsat_Salinas_v7:1:28955794:28956977:-1 gene:gene-LSAT_1X24481 transcript:rna-gnl|WGS:NBSK|LSAT_1X24481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFICGSFKDQKEEDFEVLWPYPPTPLRKTRRHRFCCRRNKENKNPYSDRGLDKFEALLADLDDIKQKIFTQKGSQYISFVRFVYSNSNDVKPIIVRLRDPKKHDKDHHKENNVKDTKTSTLLRATTLDHHQTSKTPGAVTNGTIKAQETEDVKPSIDRCTKMIRVDQWKLNLKRKLEEWWMPSYNLPLFFILVLVFLTFFGRSLAIICTSIAWYMIPTIDGTLENTTMKQKKMIKNGHSRQPSENKMISSPKAFFSGPINVQQKRKMQKLMSF >cds-PLY81881.1 pep primary_assembly:Lsat_Salinas_v7:8:122744987:122746955:1 gene:gene-LSAT_8X83681 transcript:rna-gnl|WGS:NBSK|LSAT_8X83681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWGVAEVPQIITNYKQKSSEGLAMCFLLTWILGDLFNVFGCLLEPATLPTQYYMAVLYLVTTLALTSQALYYGYFCHQNTSKRQDHKIESADKKIKCNLDDSTAPMLSKPTPSLPIPAADYDSAPERVYYTSARSLTRSHTPTNFFQRATTPVSISTCDQGSVQEPLLLGKSQPKTMLCVVFTVAIFLYTSNLKLERNSYLDSTSSVKPHEGFVIQVSGSLVEGNEGSSQSIGIGTFLGWGMAAIYMGGRLPQIFLNIRRGHVQGLNPLMFVFALVGNSTYVASILVNSLEWSKIHPNLPWVVEASGCVMLDTFVSLKTMFFFCLL >cds-PLY82510.1 pep primary_assembly:Lsat_Salinas_v7:2:187052200:187053637:-1 gene:gene-LSAT_2X108980 transcript:rna-gnl|WGS:NBSK|LSAT_2X108980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKDVEVGGHDYSAKDYEDPPPAAFIDAEELTKWSFYRAIIAEFIATLLFLYITVLTVIGYKSQTDPAHSSDQCGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVTLPRAVMYIVAQCLGAICGCGLVKAFQKTYYNTYGGGANELADGYSKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVMYGKDKAWDDQWIFWVGPMIGAAIAAFYHQYVLRAAAVKALGSFRSNA >cds-PLY72675.1 pep primary_assembly:Lsat_Salinas_v7:6:28231672:28235907:1 gene:gene-LSAT_6X22481 transcript:rna-gnl|WGS:NBSK|LSAT_6X22481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDMSEYPILNKLIAAVKKPSWAFPLLLFTLVVILSLQITTNESGFPERPVSDPGIPFDFSGSDTCDGSLPARELKMSIVEFGGVGDGVTSNTAAFSVAMKKMKSFEAKGGAQLNVPRGRWVTGSFNLTSNFTLFLEEGAVIMGSEDQKEWPIIEPLPSYGRGRERLGGRHISLIHGNGLTNVVITGQNGTIDGRGKMWWDLWWNRTLEHTRGHLLEIMNSRNILISNLTLRNSPFWTIHPVYCSNVVIKDMTILAPLNAPNTDGIDPDSSTNVCIEDCYIESGDDLVAVKSGWDQYGISMARPSSNIIIRRVSGTTPTCSGVGIGSEMSGGISNIRVDNLHIRNSAAGIRIKTDKGRGGYIENITITNVVMENVKVPLRFSRGADDHPDDGWDRKALPRINRILITNVVSFGSRKAPMLLGIEGAPFGGICMRNVSLWGLPATVKWNCEHISGFVNGVSPAPCSLLQRDASMTCT >cds-PLY91524.1 pep primary_assembly:Lsat_Salinas_v7:7:142460447:142468989:1 gene:gene-LSAT_7X85120 transcript:rna-gnl|WGS:NBSK|LSAT_7X85120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase kappa [Source:Projected from Arabidopsis thaliana (AT1G49980) UniProtKB/Swiss-Prot;Acc:Q6JDV7] MAKSEQSSSSSSSSMVDSAPRPWESYNTVYTNAKAGMEGVDKEKVQRIVYEMSKGSKYFENEERKEAYMKQKIENMRNHLANLKATDISHYQRVADKRIAELDTTRDLSRIWLHVDMDAFYAAVETLSNPSLKGKPMAVGSMSMISTANYEARKFGVRAAMPGFIASKLCPDLIFVPTDFKKYTYYSDLTRKVYHEYDPNFTAASLDEAYLDITKVCKERDLSGGEVAEELRENVYKATGLTCSAGVAPNRLLAKVCSDINKPNGQFVLPNDRVAIMTFISSLPIRKIGGIGKVTETILKDVFEIKTCEDLLQKSSLLFALFSPSSAGLGLGGTEAPNVRSRKSMSNERTFSATKDEAFFNQKLVELSEMLSADMEKEDLHGKTLTLKLKTASFEVRTRAMTLQSYIRSSKDILKHASKLLKAELPVSLRLIGLRMSHFNEDKGGSGVSDPTQRTLLNFIKSGESNKETVVDEENSDPCFEHFSEIDDQNICSLNDDHENLQVIETCCSDNNNETGPSSSSSLMWLDDYKCLVCGIELPPSFVEERQEHSDFHLAQKLQQEEESEQTYKNLSFKHRMMSQKDGGVEKGKGKKKQKHAVTNVHAQVNDDSFDPYATLFSPYTKQEWRSYQEKKINEGRKTELTFEVSYVNGTKVRGAKLYIKQLTSDFHWGCGMTHRILNNTAYKKWFASRFTAADFHNELKWYWTEATQGQENYADPDAMIKFADEHGIIVRGHTILWDDVKYNQKWVRKLSPEQLLEAANRRVDSVVNRYKGRLIGWDVMNENLHHNFFEKRLGKNASSLFFNRVHKIDPNAVLFMNEFNTTEHVNDEYAPPVKYLRRMKKIQSFPGNSEVKMGIGLESHYGAEPIDFHYVRSSLDSLSQTGLPIWLTELDVKMDPNLKNWSGLQVIYLEEILRESFSHEAVKAMIIWTGASIDGCDVMCMADENLVNTPIGDLIDGLMEEWRTGEIEAMADFNGSCQVSVFNGGYEVKVVDPVSNASSRVSLKVDKVSIPDKMVHVQIMG >cds-PLY90358.1 pep primary_assembly:Lsat_Salinas_v7:2:197545465:197548127:1 gene:gene-LSAT_2X118280 transcript:rna-gnl|WGS:NBSK|LSAT_2X118280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SDIR1 [Source:Projected from Arabidopsis thaliana (AT3G55530) UniProtKB/TrEMBL;Acc:A0A178V9G1] MSFVFRGSRGDIETGFPGFIPERRSVRIHAARPVNTNSLAFLVTVLLLFMILNSHQMSPNFLLWLVLGVFLMATSLRMYATCQQLQAQAQANAVAASGLLGHTELRLQMPPSIALATRGRLQGLRLQLALLDREFDDLDYETLRALDSDGGPTANSMSEEDVNSLPLHKYKVANNSKSGSGSLSEEQGSSSATTEKKVESGGNGNGKSCEDELTCSVCLEQVNVGELVRSLPCLHQFHSECIDPWLRQQGTCPVCKFRVGSAWRHDENQEEMDDDDDDDDDDDDASLGV >cds-PLY75276.1 pep primary_assembly:Lsat_Salinas_v7:7:66734953:66736802:-1 gene:gene-LSAT_7X47460 transcript:rna-gnl|WGS:NBSK|LSAT_7X47460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLKRLLTQITKVTESFLEDDITESKFCVNGEEDEHEQVNSCKSSSNKPTFMNTEKKESKSTISISMVKTHENEFNEMERIISCVDHYETLGFSRYDKNDAILLKKEYKKKENVGGKRKHIKWESIVGPAVDKDVANEVVGLRAATLGHSLWESATCTHRNYRGCNGKGSEKLSLLITEAYRDAHQKSVQAMKARMGDLAQSLGMPQEDEVNVACFHPLAGSGXKQFVE >cds-PLY78887.1 pep primary_assembly:Lsat_Salinas_v7:5:306692178:306694485:1 gene:gene-LSAT_5X165740 transcript:rna-gnl|WGS:NBSK|LSAT_5X165740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAALSEITSTTKEEPSFFLKSHTYDLDSAVSTFFEKALGLRLLMRIALAFVVIREWLVRRCSGDIALLQFGSGKGNESDEVLVKSSYLAELQRRILKAKVGLGEKEEENDNNNPGYKARGIQLDSDDLAYLSCETRKLFQTIEKEFVIPGFGKTGITTSNELNE >cds-PLY84360.1 pep primary_assembly:Lsat_Salinas_v7:4:215185613:215188665:1 gene:gene-LSAT_4X122020 transcript:rna-gnl|WGS:NBSK|LSAT_4X122020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPYKYLSRLRGSFSQGIHRTNITLESTLQGSFEVLLCHGKTLFGNPRFYSVPFLEPGDLNTLLQHYSACASQLNLQTSKKNNLSVLGALSRTFSTPSVSGPSFQVCGYHADNLLSNPNHFQSVITNHHIPMSLSISRSLLGGGSLHNLASTHGHLIDNSNLSFPTRGFHSYHKITMNSRNNKESESFSLYGYFIYHVAKANGISNPFLDIDWKSFHISSPTFLNSETAPDMFSDNNSLCDDRVTSSSNSDRKALSDRSLKLVSGSCYLPHPEKEETGGEDAHFICSDEQAIGVADGVGGWADLGIDAGKYARELMSNSVNAIQDEPKGSVDPTRVLEKAYTNTKAKGSSTACIIALTNQGLDAINLGDSGFMVVRDGCTVFRSPAQQHDFNFTYQLENGSNSDLPSSGQAFSIPVAPGDVIIAGTDGLFDNLYNNDITAIVVHAVRAGLDPQVTAQKIAALARQRAMERDRQTPFSAAAQEAGYRYYGGKLDDITVVVSFITSSSKTDESSSC >cds-PLY90937.1 pep primary_assembly:Lsat_Salinas_v7:9:171657317:171659250:1 gene:gene-LSAT_9X106101 transcript:rna-gnl|WGS:NBSK|LSAT_9X106101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPLSRAFFKECSLKRSLPRLFSPASELSSPLSTGXFIMISTHLNNTTTTHSQSLTYSADQCSPYRLLLAFPWFANQIRFAKAHDSISRIGLPQVLLQELHQETVFYLQHVKHVKPYHKVMSFLLTSSLLALQLPSRLP >cds-PLY75851.1 pep primary_assembly:Lsat_Salinas_v7:9:195805821:195810483:-1 gene:gene-LSAT_9X119740 transcript:rna-gnl|WGS:NBSK|LSAT_9X119740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASASLGHGGVGSSRAVTGFNDSSSSVDWLGREMLEMRLRDKVEHDDERVSDSEPEIVHGVGAEAGHVIRTTIGGRNGQSKQTVSYIAEHVIGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNVVALKHSFFSTTDKEELYLNLVLDFVPETVSRSARHYTRMNQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTPAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFRFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACVHPFFDELRDPTTRLPNGRPLPPLFNFKPQELASIPPETLQRLIPEHARKQNLFMALNTH >cds-PLY66301.1 pep primary_assembly:Lsat_Salinas_v7:8:218714803:218715543:-1 gene:gene-LSAT_8X135800 transcript:rna-gnl|WGS:NBSK|LSAT_8X135800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQNGNVLYYESPSSPAAKSPPNRSSLLQLISKRRTSIILAFFVCTILLSSWSLLNFLLSWYGSVITTSPSSPVWWPAIYASVTVGLIFGVLAMAAALIVAVPATVVIWISVLVLMTFCGKRREWVVEEGRKLTVEMSRTVGMVVIKEGNLVAAVCAVFGYFVLVRYGGVEHGL >cds-PLY73991.1 pep primary_assembly:Lsat_Salinas_v7:1:32581468:32581695:1 gene:gene-LSAT_1X29541 transcript:rna-gnl|WGS:NBSK|LSAT_1X29541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSKVEQAKDSQQQKSQNHYHNHNHQQQRQSVIQKEMIMQCNKVNTTKFKRSTSHLQDDGVSSAILLLACIACGP >cds-PLY95433.1 pep primary_assembly:Lsat_Salinas_v7:9:188453305:188455098:1 gene:gene-LSAT_9X115681 transcript:rna-gnl|WGS:NBSK|LSAT_9X115681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPPNLIKKSKDGSDSFTEMKQRFLSFKKEKYLGNLECYQELAEAQAPKFLVISCADSRVCPSYILGFQPGEAFVVRNIANLVPPFENGPCETNAALEFSVNALQVENILVTGHSCCGGIRALMGMEDEENSSSFIKNWVVVGKSAKSSTKAITSNLSFDQQCKHCEKESINHSLLNLLTYPWVEERVAKGLLSLHGGYYNFIDCTYEKWTLDYQKRSQKHEKGGYVIKNREFWQ >cds-PLY91270.1 pep primary_assembly:Lsat_Salinas_v7:3:75124466:75126192:-1 gene:gene-LSAT_3X57921 transcript:rna-gnl|WGS:NBSK|LSAT_3X57921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTRLLHPQRAVRNPNPPFELEEEEEERNKEKRCRAKIQGQNQSSLSRGDTGAAAVSFQSHTHSSQFTRYFRKQREELGMMASHTPQL >cds-PLY61944.1 pep primary_assembly:Lsat_Salinas_v7:5:160115115:160116082:1 gene:gene-LSAT_5X69860 transcript:rna-gnl|WGS:NBSK|LSAT_5X69860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENYKDESSLSRFFFDTTIVTEEILNELKSEDMKCRLFATLLRIYTKKFDVKPSFRDVELVFFPIVDDGKYYLLIFDLRSSFYYIVDHVKRKGTLERKYGMIPNLVKKLFCNYLTTQHHPMAKALTFKAGRVMNISWQVEKAGTECGIYLMRHMESYMGENEGRWECGLTGKMSADVSATIKLKTKYMARLLTTDINKFKTIIVKDFEAFHKLDILEQDMLLRESAENKKEKRKTKGRR >cds-PLY62779.1 pep primary_assembly:Lsat_Salinas_v7:8:306188672:306191062:1 gene:gene-LSAT_8X166820 transcript:rna-gnl|WGS:NBSK|LSAT_8X166820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTKELMMMMMIKMENQNNPYPPHIHMDIDIPSDPFSSLPVLDQTAFGSEQKTSLGFMELLQGGFHDHNYSSPSSSSSIFDINNMMMMYHHDHQLPSPPSSSSAHPHSNQHDHDHNHYKEEDVTVDEVAGEESSISVVLNVAHPSISSPNSSSLSSPQPAAAASVDQCMGSKRTKVDGDDEKKTLKKKKKEREARFAFMTRTEIDHLDDGYRWRKYGQKAVKNSPFPRSYYKCTSASCNVKKRVERCMGDPSFVVTTYEGQHIHPSPSPSPSPSAAPTLKIPSFNHHLNNNIIINPTTYN >cds-PLY68070.1 pep primary_assembly:Lsat_Salinas_v7:5:294387354:294387764:1 gene:gene-LSAT_5X157200 transcript:rna-gnl|WGS:NBSK|LSAT_5X157200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSKEEEPMENSSEGEEMEAEKEKSDFDEDIEPIEVQVVLPPRTSPSSPYRSILRKAGKTHQTARKTTLNHRGIQKHGHRLSESMRRSLRDLNWIVRLPRTGSWVGEPPLDTRTGKGQECVLRPVITLDLWLCY >cds-PLY72449.1 pep primary_assembly:Lsat_Salinas_v7:2:138708430:138708984:1 gene:gene-LSAT_2X66501 transcript:rna-gnl|WGS:NBSK|LSAT_2X66501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCRNKPHAVLVPFPAQGHLHPFMKLAKLLHFNGFHITFVNTEFNHHRLIRSKGPESVHGLPDFRFETIPDGMPHSDRDASQDIPMLCDMIRKTCLVPFKELLQKLNSSAGVPPVSCVIGDGVMSFTIKAAKDFGIPEVQFWTASACAFMGLLHYREFIKRGIVPFKGKQIKCAYVLNFVFFFF >cds-PLY67062.1 pep primary_assembly:Lsat_Salinas_v7:5:283170167:283172000:1 gene:gene-LSAT_5X149541 transcript:rna-gnl|WGS:NBSK|LSAT_5X149541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHMKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVQSILMQRHVLVDNKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSVRDEESKFKLCKVRSVQFGSKGIPYINTYDGRTIRYPDPLIKANDTIKLDLDSNKIVDFIKFDVGNVVMVTGGRNTGRVGILKNREKHKGSFETVHIQDSTGHEFATRLGNVFTLGKGTKPWVSLPKGKGIKLTIIEEARKRQAAVAATA >cds-PLY66626.1 pep primary_assembly:Lsat_Salinas_v7:3:64813541:64815525:-1 gene:gene-LSAT_3X49721 transcript:rna-gnl|WGS:NBSK|LSAT_3X49721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEQMVVVMIFMVVVYHLMTNNLLEQQVANHGQPTGEWYSRHFPKLLKIVNDNYLYSKLAKYINDKSELSRDKLDGLVDIFGNEDKAKEVIGGAKVSMGQDLSPVDLVNVQMFAKRVMDLAKYRKKLNAYLVAKMSDIVPNLVAFIGEVVGAHLISHAGSLTNLSRCPSSTLQILGAEKALFRALKSKGNTAKYGLIFHSSIIRHASAKTQRSNGSFTAQMLLVKLQIISFYFQF >cds-PLY75634.1 pep primary_assembly:Lsat_Salinas_v7:1:99958492:99964315:-1 gene:gene-LSAT_1X80940 transcript:rna-gnl|WGS:NBSK|LSAT_1X80940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIATRFASRISRSASANGKLSIGFCQRRFMRVLTCEEQELVNDSDSVKNQRFVAVWGNGDYGRLGLGSLESKWRPAVCSAFGDGSLREIACGGAHTLFLTDSGNVYASGLNDHGQLGVSDDKGYTIDPLEVSGLPNNIVKISAGYYHSSAITESGELYMWGKNSSGQLGLGKKAGKLIPFPSKVEFLNGVPIKMAALGSDHSIAVTETGDVLSWGGGESGRLGHGHKSSLLGFLSSTSEYTPRLIKELEGVKIKSVAAGMLHSACIDENGSVFVFGEKAVQKLVSREGSTVSTPSQIKELPFSQEVACGAHHTCVITSGGELYTWGSNDNGCLGTGDTHTVHVPERVQGPFLKHSVSKVSCGWKHTAAISDGNVYTWGWGGAMGTFFEAEHSSGGQLGLGDDVDYVEPTLVDFGENVKVVQISCGFNHTGAVLEYN >cds-PLY65632.1 pep primary_assembly:Lsat_Salinas_v7:7:27270844:27272251:1 gene:gene-LSAT_7X21440 transcript:rna-gnl|WGS:NBSK|LSAT_7X21440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGATTRFPMSHRVLSPSHKYGAGDNPAGDQPAVLLSGSIFGFLEQETTMLSSPETDEYTGIQHEIEDDDETQNPERTEDKIKFWETQHQNLHATLFRTTSLESKIRIITKEVVGELEVIDSVCSCSRPISGSCRSCRMTEVCRRLQNSGYNSAICKSKWKSSLEIPSGEHTFVDVIDNSNSKKGDVRVIIELEFRGQFEMKKGSEEYNELVSKLPDIFVGKIERLQTVIKILSNAAKRCMKEKKMHLGPWRKQRYVEAKWLRVVDRTTCMSLTKPLAKTIDSYLISCPVKTRAKASMLTMDLDHKLPMVPNFYASAVEVL >cds-PLY87133.1 pep primary_assembly:Lsat_Salinas_v7:5:261995175:261995354:-1 gene:gene-LSAT_5X134140 transcript:rna-gnl|WGS:NBSK|LSAT_5X134140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESEFRFQQPTVQASARRRLAKRRKSIQYKRTEQSDDWKRVQDSSGPNGRRCSSGVLEK >cds-PLY84888.1 pep primary_assembly:Lsat_Salinas_v7:MU041095.1:418894:422530:1 gene:gene-LSAT_0X39820 transcript:rna-gnl|WGS:NBSK|LSAT_0X39820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSTYRPIFKDISSRELNGFRVRKRPRFVDDSSQFSEIGAFEFVHDDSATPPMALSFCKTSRNAHIVAVTGEDGYVSLYNTRLKLPSSATHEANADKARVSEWLAHDNAIFDVCWIKEDTNLLTACGDHSIKLWDTQEQKCIGGLIGHTGSVKSISAHPVNEDIIVSGSRDGSFALWDLRNSKRRRSHFSTSPIDIVKGAHSPNLKTRGRHGKAAAISITSVLYLKDEVTIATAGAADSAIKFWDTRNLTTPFTQTSVHDRTKQKWVRSYGISSLSQDLNGVYISASCMDGRIYLLNVLRLEKGPIKSFKGCDISTFFVKSKLSPDAGHILSGSSDGNAYVWQVNNHTADPVMLKGHNGEVTAVDWCSSEIGKIATSADDSVVRLWNINNSCYSNTRSPSSIRKREFTIPKMKCRKLFEEETKGSVNAPQPLLDPPLETSMAEIRTPESIKKQSSNLNLNSNSNSNLNLYIDETCEKSPDPSFGSPSSVLNPPPSLKRKTILDYFMAHS >cds-PLY92727.1 pep primary_assembly:Lsat_Salinas_v7:7:5253737:5255554:1 gene:gene-LSAT_7X4621 transcript:rna-gnl|WGS:NBSK|LSAT_7X4621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSNSSLATTINLPCLDLSKLLLPSSISSLSEACHQWGFFNIVNHGVSKDLYESIHSFSNQFFDLPSETKLKLGPSSCIKTYTPPFIASPYFESFKVCGPDFHSSAQDSIDVIFEEKPYEFCEILEEYGKRMSELSNKIMKIALMILGEGFDTRFYNSDFKKCHGYLRINRYSPPMKSEGKETTELGLGMHTDMSCITIVYQDDSGGLQVKSKEDGRWMDIAQSEGTLVVNIGDLLQAWSNNKLVSSEHRVVLKKPVNRLSIAFFWCFEDEKVIYAPEEVVGNGNMRFYEPFVCSDYLKFRESNEEGKFEKVGFTVKDFVTNNTKNRSQKSQESSV >cds-PLY99774.1 pep primary_assembly:Lsat_Salinas_v7:9:53232614:53235820:1 gene:gene-LSAT_0X7581 transcript:rna-gnl|WGS:NBSK|LSAT_0X7581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVGPSHSSKSFVAENFFDLDDYAFEDDDSMDLALKLQSHFDSMDTPTRIEAQIPWFPDNFPMEKNSSKHVQASSSYSGLHANNSSKNLSFLKTPKKIQHQNENVPFNNPRSSSLTGSSSSMTKVVDNEDVIKRYESFKKFDTPSKNWAKKIQDEWRILDKDLPDTIFVRAYESRMDLLRAVIIGAEGTPYHDGLFFFDICFPSSYPNTPPLVHYHSGGLHINPNLYDCGYVCLSLLNTWDGGKKEMWIPGTSTMLQVLVSIQGLILNAKPYFNEPGYAKTKGVQVGCLVRGGVQDVDEGSNDVSCSDKFKGNVVACVKRLVVAFKSIGAKEVEEFIWLSEKEILPSHAPAPSTGSRIFAKKKKEKKRK >cds-PLY89055.1 pep primary_assembly:Lsat_Salinas_v7:9:27733082:27733387:1 gene:gene-LSAT_9X24441 transcript:rna-gnl|WGS:NBSK|LSAT_9X24441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKWKVSKEDVCNHSSSSSSSQLRSFSKKNSSKSPLQRSFSQKSTSKSSSSKSPSDLSRSKSANFTTKCSSFTKEHKGRFYILKRCITMLVSWNKHHNDS >cds-PLY98902.1 pep primary_assembly:Lsat_Salinas_v7:7:48615091:48618039:1 gene:gene-LSAT_7X35701 transcript:rna-gnl|WGS:NBSK|LSAT_7X35701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSSSSPFSQFWSSALRSKPLGKSSDTGEGLVRRLGPFDLILLGIGASIGAGIFVVTGTIARDAGPGVTISFLLAGASCVLNALCYAELATRFPAVVGGAYMYTYTTFNELTAFLVFTQMMLDYHIGAASIARSLASYIVNILDLIPSVKDNIPNWVGHGGDDIFGFLSFNILAPILLVLLTILLCRGVGESTLVNTVMTMTKVSIVFVVIIVGAFEVDDSNWSPFAPTGFKSILTGATVAFFAYVGFDAVANSAEESKRPQRDLPIGIIGSLLVCVVLYIGVCLVITGMVPYKLLGEDAPLAEAFKSKGLNSVSILISIGAIAGLTTTLLVGLYVQSRLYLGLGRDGLLPAIFAKVHPTRHTPIHAQIWVGIVACILAGLLNVTLLSHILSVGSLAGYSVVAACVITLRLDDNIDININTQLSTNSMSKRTDCITSIIVIAFCGFTTGILYRFGGSTLAYIFLILPLAIAILAAANLQFRQVYKDPPGFSCPWVPILPAVCIFFNIFLFAQLNYEAWVRFVVLSIVAGFVYAFYGQYNAIHASDDTNYYQTLPSIEAQ >cds-PLY68608.1 pep primary_assembly:Lsat_Salinas_v7:5:406564:408832:1 gene:gene-LSAT_5X421 transcript:rna-gnl|WGS:NBSK|LSAT_5X421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLYEESRHGSKSEAQEDKTISCSQVSQPGVGVLRARPATSASASASASPTSESRNSSPAPATATAPGPGLSPTSSMGSIWTNLDPLGLHSDNEIWEALEKCQLKSTISSLPNCLD >cds-PLY92718.1 pep primary_assembly:Lsat_Salinas_v7:7:5266263:5269828:1 gene:gene-LSAT_7X4601 transcript:rna-gnl|WGS:NBSK|LSAT_7X4601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00490) UniProtKB/Swiss-Prot;Acc:O65258] MPFPNSMLKCATTMALASANSVLLGQSFPAPSVARFAPRSRSLKPNFIDFSSHTRISAAPSEFTESSNFGDSVHTSDDHQVVDIAPSEERDFSGTPHVPVYVMLPLGIIDMECELINPDELSNQLRTLKSINVDGVMVDTWWGIVEANTPQHYNWKGYRKLFEIVRNLDLKLQVVMSFHECGGNVGDDVHIPLPKWVTEIGEENPDIYFTDRDGRRNPESLTWGIDKERVLKGRTAVEVYFEYMRSFRVEFDDFFMDGSITEIEIGLGACGELRYPSYPAKHGWEYPGIGEFQCYDKYLTKSLEKAAEARGHSFWGRPPDHAGSYNSQPQDTKFFCDGGEYDSGYGRFFLNWYSQTLIHHGDSVLSMANLAFESTPISVKVSGIHWWYKTASHAAELTAGFYNRANRDGYGPIASMLKKHNTALNFTCVELRTLDQHEGFPEAMADPEGLVWQVLNAAWDADIPVASENALPCYDRQGYNKILEHAKPRDDPDGRHLSAFTYLRLNQPLLEEHNLREFQLFVNRMHGEVVEDL >cds-PLY88563.1 pep primary_assembly:Lsat_Salinas_v7:7:7646137:7647657:-1 gene:gene-LSAT_7X7001 transcript:rna-gnl|WGS:NBSK|LSAT_7X7001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSSIFGGRDPFDDPFFTRPFGSIFEHNNIFDTHGSSLDLLHSNANSSKGLVIEEIDSDDEGEGEGQNGNSGVVTDDNPHRVATSSKDPLIEHPDDEEEVKQTHFNKNHNRIDGSRSKTQSISFKKVTYGGINGAYYTATTTRKSNNDGVVLEDTKEANKTTGQATHRISKGIHDKGHSVTRKLGTDGEVDTVQTLHNLNQDELASFEEEWKGNADMHIPDWNEEFNSFGKPRFGSMGPFGLALEAADGSQRSGRLRAENQEQTSSSRSQPKKVVTINID >cds-PLY80524.1 pep primary_assembly:Lsat_Salinas_v7:5:181231847:181232145:1 gene:gene-LSAT_5X80221 transcript:rna-gnl|WGS:NBSK|LSAT_5X80221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLILLKDVLFARSSRLIPDKASLGFRFPCDGTGRGGGTCQVSAWDHVFLGLFGMYNSISEFENVVRCLGQYKRSRSSNSYHGRKLCVEFYYY >cds-PLY74135.1 pep primary_assembly:Lsat_Salinas_v7:9:12052049:12052875:1 gene:gene-LSAT_9X8381 transcript:rna-gnl|WGS:NBSK|LSAT_9X8381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILTHFPTYMEIRFAPPSPPSAPVVEPFCDKLLSDSFPYIEILNGILWEVEGKWVVQGAVDVNIGANPLTEGGEEDEVVDDQAVKVVDIVDTFRLQEQPPFEEKQFVRYINNYIKKLAPKLDEEQQQFFKKNIEAATKYLLAKLSDLQF >cds-PLY84706.1 pep primary_assembly:Lsat_Salinas_v7:5:37350365:37354192:1 gene:gene-LSAT_5X17401 transcript:rna-gnl|WGS:NBSK|LSAT_5X17401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCKALPEAEAGTLCDQVRDILVEEWNVQPVKCLVFCMRGGLSPSLYTLDNICALDRIQEVPHEGLMCDLLWSDPDDRCGWGISPRGVGYTFGQDIVAQFNHTNDLTLISRAHQLAMEGYDWSQENNDVNIFSAPNYCYRCGNMVVILEIGEKMDQNFLQFDPSPR >cds-PLY92010.1 pep primary_assembly:Lsat_Salinas_v7:8:240304617:240305646:-1 gene:gene-LSAT_8X144221 transcript:rna-gnl|WGS:NBSK|LSAT_8X144221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIFSTENLSSSPTAATPRISFSHNIDNSFIESYPYQSNSSPDFDFCFTSVSIQNEASSADELFSNGLIRRYNSEDNILLDKNSSALKHVTSATTNQLWMDEKPKEVLFVEGCEGNHSKSFWRIKRSSSLHCESTKPKSSFWSSLPLLSRSNSTGSMMKVDRQNKQNTSQKQSNKNSSMAVVSNNNGYSSMKPPLKRNYGRGYDYGIRVSPVLNMPPPFISKSVANLLGLGSLFRHGSDHKTKK >cds-PLY92556.1 pep primary_assembly:Lsat_Salinas_v7:7:159935319:159939701:-1 gene:gene-LSAT_7X94920 transcript:rna-gnl|WGS:NBSK|LSAT_7X94920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere/kinetochore protein zw10 homolog [Source:Projected from Arabidopsis thaliana (AT2G32900) UniProtKB/Swiss-Prot;Acc:O48626] MDVLFNSIDVRDLLSSHDLDDSSPLSAPDLRLLIDRLQVRSLDIKSKVHNYILANNDDFSALFSRCSDAVINSEQLSDQVSNLVGLLSSNLQPIDLKIKETVDEIRRKRVESKEKKEALDLVKVVLKLSQELSVVESDLMAGKVVEAAEALTDLKVALRVRDNVEDLREEREPLVFGLLRKKWTDCFEEIQNVLVKFMENAVRFDQQSNVVYVKNRMAMNDNQGVELCTVVKAMHVVGILDYGLAKVADMMTRHVIAPAVRSGSHSFSVEEKRLDSGYIAEVVLRITPPSDSQIENMDAETIYSGINVVIQFIFNFICFQDSSWMRYFGRLTWPRMSELIISNFLSKIVPTDASKLADFQTTRQLTSDFEASLKQVMFISPGKDERLTNFADNVEVHFAMRKKIEILASARDLLLHSDFNLPKDYSRKNTELKNGGNTDLLFSSEKCVISEAASRLMEVVHETLKDVCLSSATVALEFYHAARDALLLYEAVIPVKLERQLDGINQVAVLIHNDCLYLSQEILGLAFEYKSDFPISMKGAVFIDLGVRYKIMAEEILQRQIQLVKHNLMEAIDGADGFQNTHQPKRCESAKFSIDQVAFILEKVHIIWEPLLLPLTYKGSMCSVVEAVCSRMTKDILMLDDIAAEETLQLQRLIHMLLENLSSLMESLIAINQTTKPQEKSSGSINDLIPSLPRLRILADMLDMPLKSITASWESGELAKCGYTSSEMRDFIRAIFTDSPLRKECLWRMENITF >cds-PLY98453.1 pep primary_assembly:Lsat_Salinas_v7:1:9400727:9401065:1 gene:gene-LSAT_1X7061 transcript:rna-gnl|WGS:NBSK|LSAT_1X7061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAIIKGLEKVRQELDASANDGPVYEVFHKGRNVDALTLYFGEDPARCPFEQGNMFNWHVLFIRSNRNNNNNNNC >cds-PLY79387.1 pep primary_assembly:Lsat_Salinas_v7:2:46401136:46405434:1 gene:gene-LSAT_2X20881 transcript:rna-gnl|WGS:NBSK|LSAT_2X20881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHKHLHELLREDQEPFQLKNYIADRRCQLKSTTSRDTALNPRKRKPLIQSTSASTTARNFCINHVCLLSFHDSPDVRKSPFLDFSSPAIKSPYNGRSPNNATVFLHIPSRTAAMLLDAAMRIQKQKKPTYKPKSDSSRNAGFGLFGSLLKRLKDRRTRIKRREISLSSPPTSRNRKSDVVGSGCSYNHDNTNRLTSADWSEKSSDLETSCSSWSIHDSEEIEFMGENDCFASTEKCFCSSPSSPFRFSLEKSPSSGRRTPDFISPATSPTHHLQQEKEDYQNEKSQEIKFQEEDDKEQCSPVSVLDQLFEDDEEGYDLECSYDNVQRAKHKLLQKLQRFEKLAKLDPIELEKHMSEQYGDYIEEIEEDDDDDDDDDEIVLSEIFNILGFKNIPTHMKKLVSDLIVEERKNEMESEVIVKRVVRRMESWKMVESNTIDMMVELDFRKEKSEGWKRFDDEKIRETGMEIGVAIFGDLTEELAQELVRV >cds-PLY75733.1 pep primary_assembly:Lsat_Salinas_v7:4:333416970:333428489:1 gene:gene-LSAT_4X165141 transcript:rna-gnl|WGS:NBSK|LSAT_4X165141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEGDEEIFLVDLSTKNTLFFFNTTKKLQEVNNGGFALGKKKVLCDLSYQTLKRYFQAMEHKSWLWKKKSIEKTMFTPDKVNRGNEDELQGILAYEDELERNLNTSNEKLSNALAEIKTKDDIAKKQTNIAREAIQGWEKAEAEVLALKQELEKATQQTAESEERLYGVDAALKECMHQLRFVREEQEKRIHDAVMKTSREYEKSRIILEEKLSESNKKLSKLISENTHLTKALLSKEKLIDELHSSKNQLDSDLSSLMSRLESTQRDNASLSYEVRVMEKELEIRNEERDFNRRTADVAHKQHLANVKKIAQLETEAQRLRVLVRKRLPGPASLAKMKTEVELLGKKSNFDHDSITSIKQINFLTEQLCSLEEENKLLKEFITQKTNLSASSDIDEKGSMAESWAPSCKTVGASDIGLMDDFIEMEKLAIVSVENQETQFDKKSIEKLIEMIEGMRLCDKASETSTTEGYTVRVLQWKTCELSGVVESFVKTCNGLLNRNVDFEKFVKELTLTLEWIVNHCFSLQDVSSMRHEMDKRFDWGDENRGEFEEVKKLKDDLDNLEQKLELEVGKSELLVMKVKESEKAIENLELELESLKVSKELDMKVKEDLDEQLIEAIGEYNEIFEKSMSVEGQESNNTSCNQELESENLDQHFMPESSKNKLAVMCQTRPITIRSHGHLEREIIAASEKLAECQETILNLGKQLKALASPTNASVPDKIICNPIYEAPPPPPSPPPPVTAATNHHRISLLDKMMAEDAAKAIETTKTKSSFVGVNGINGIKHQEDEDALVNFLSIVPSKKKKSGVLRKLLWRRKKSNK >cds-PLY62643.1 pep primary_assembly:Lsat_Salinas_v7:3:37245814:37247161:1 gene:gene-LSAT_3X27620 transcript:rna-gnl|WGS:NBSK|LSAT_3X27620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:protein SLOW GREEN 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G18420) UniProtKB/Swiss-Prot;Acc:Q9LS48] MESALSTRLQSSFHHRKNPFFNPSSLNLINSNPSFPLSKQFRQSAVKIKASAAASDATKPTNNPFIQSLKLAARAIVFSATAAVVISKFQQYPAMAEPSTQSVVTTEPATPEESQENLLPEFLESNSEAISAMKTLLEQKLEAGEDEESLKILKKLVSAQPENIDWKFLMARLLNEMGEVEPARAALEEILTQNPLSFEALFENALLMDSCGEGDAVIKRLEKALEIAEEENKEKEARDVRLIIAQVQFLQKNIEEALRSYDELLSEDPNDFRPYFCKGMIYSLMDKNDEAKVQFAKYRELSPKKFEVEGYIRSPLSRMKLFGTDDQN >cds-PLY82962.1 pep primary_assembly:Lsat_Salinas_v7:1:19903748:19906913:1 gene:gene-LSAT_1X17141 transcript:rna-gnl|WGS:NBSK|LSAT_1X17141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMVLPPTSKGIIHQPLKSEMATYYPSSYLSTQQQLDSYQESPCPPIDIMYQNHNSTDASFLELLTTNPQSNPQNLSRVENHQNLQYQELSLSLGMQITSSMDLPSFQYNYLNPHLQDSSGHGSRSNKMENIDYLSFDRAVNNQIPSGVVARIYSSRYLKPSQELLEEVANLHEAIKQLKMNKRNNFQKIGVDRYDENGSRIDFQSVPLESATSSSGELSASEKQDLQNKIVKLFSLLDEVDRKYREYCQQLRIVEGSLDMVAGCGAARSYTALANQTISRHFRCLRDGINDQIQVIRQKLGEQDDSSERVLPRLRNVEKQLRQQRNLHPLGAMRHSWRPQRGLPEGSVSILRAWLFEHFLNPYPKDSEKIMLARQTGLTRSQIANWFINARVRLWKPMVEDMYKEEFNDQDVNCRSSPKNAHKPAIDQLSPSDDKDKELQRNMSSMAVHNVQSSDSNPEYVNDLADQYRFDDPQLLTDFVV >cds-PLY71117.1 pep primary_assembly:Lsat_Salinas_v7:9:79683897:79689710:-1 gene:gene-LSAT_9X64341 transcript:rna-gnl|WGS:NBSK|LSAT_9X64341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAAAESSSKTRNSRWSLAGMTALVTGGTRGIGCAVVEELAELGAAVHTCSRNEAELNHRLQEWSSKGFTVTGSVCDATSRPQRQQLLEKVSSIFNGKLNILLLNSEEFLNTMVSRIPLKRVAEANEVSSVVAFLCMPAASYITGQTILVDRGFSINGFPSQIVDACDHIGVVHSDVVPKYSTMCDVVWIDAEDPLFLLCTSGRTEKPKLELLVTTLTDLNTMDGKWNVSLLTECSSSLDVNTRYIFSYKMTKTCFFNEGVFRSFGQSVVSCLDRLEHYCGKALANALSAAPSMWTLGNAGMRAL >cds-PLY81679.1 pep primary_assembly:Lsat_Salinas_v7:6:56834095:56836009:1 gene:gene-LSAT_6X42781 transcript:rna-gnl|WGS:NBSK|LSAT_6X42781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKAPELLIGNECAGKHHNSPAMEFNPVDYNNLIQTNPMSDLFSVRRFHHVEFWCSDATNTSRRFSSALGMPLVAKSDLSTGNTTHASYLLRSDQLLFLFTAPYSATISTNTPSIPTFSHTACRAFTASHGLAVRSIAIEVDDADLAYYTSLSHGAKPSSPPITLGERKNAVVLAEVQLFNDVVLRYISYKQPTIDIASMFLPGFESIKTDSSSHSIDFGIRRLDHVAGNFPELAPVINYLKFFTGFHEFAGFTAKDVGTSESGMNSMILASNNEKVIIGVNEPVYGTKRRSQIQTFLEHNEGPGIQHLALQSEDIFQTLREMKRRSVVGGFEFMPPPPPTYYRNLKNRAADVLSDEQMNACEEFGILVDRDNEGTLLQIFTKPLGDRPTIFIEIIQRIGCMISGVNMQQKPGCGGFGKGNISELFKSIEEYEKTFEAQTSDIHII >cds-PLY98790.1 pep primary_assembly:Lsat_Salinas_v7:7:23739403:23745371:-1 gene:gene-LSAT_7X18801 transcript:rna-gnl|WGS:NBSK|LSAT_7X18801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLHSHVIFFLFYPFLSLAIDTITPTQPLITNQTLVSNGEVFELGFFNTSNYLYIGIWYKQIEPRTIVWVANRDTPITSSSGSLTIVNNSNLVLVNQTGAVVWSSNQSTQVVNTVVQLLDNGNFVLRPENDENPENYIWQSFDYPTDTLLPEMKLGWDRKSGINRVLQSWKTNNDPTTGDYTFKMNIIGFPELLTVKNETIIWRTGPWNGMRFSGVPAMKGVSMMQFELEENSDEISYSFEMLDSSIYSRLVINSSGINQRFVWAKTTNTWSVFWSFPDDLCDQFGECGSFGICDATTAPICNCTTGFRPKNQQAWDLRDGLDGCFRSSDLDCGSDGFLPMNNMKLPESSNVFVDQTMNLSECGAICKKNCSCAAYASMNITEGGSGCVIWVGDLMDMRQYADSENGGQDLYVRVAASDLVQSMGVGSSKNGSGNGNHVGKIVGITASTCVVLTFLLILVYLKKKKTRNLKKSINRTGPQERTEEFLVNDGTIVPSRRDYYSETSMDELELPLFDFTTLAKATNNFSDKNKLGQGGFGCVYKGTLTEGEVVAVKRLSRICEQGIEELKNEVRLIAKLQHRNLVRVLGCCIEVEEKLLIYEYMDNKSLDMFLFACNLIIAVDKEKNMILNWKIRLDIIRGIARGLLYLHQDSRFKIIHRDMKASNILLDKDMNPKISDFGIARIFGSDQTEAETKIVVGTYGYMSPEYAMEGHFSTKSDVFSFGVLILEIVSGKRNRGSSNTNNQLNLLGQAWKLWNEDNSLELLDESIKVKFSENEVLRCIQIGLLCVQEQSEDRPDMAKVVLLLSSETVRMPRPKHPGFFIRKLNNESESSRKDDDSVSINGITISILDGR >cds-PLY81914.1 pep primary_assembly:Lsat_Salinas_v7:8:120670803:120673794:1 gene:gene-LSAT_8X84821 transcript:rna-gnl|WGS:NBSK|LSAT_8X84821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRMESKIHGDRGPGKNKRKWNEIEDEKLVEAMVEIRNSGSHFKSDNGFKPGLFGAVEIRLAVSLPNSRIKAKPHIESRIKTLKSDWSIVHDMMSWNHGTTKMVLDGIMKIRCLKLLILFGKHMLKYRRMQQSREATSFLITGTYV >cds-PLY86924.1 pep primary_assembly:Lsat_Salinas_v7:5:259698957:259701676:-1 gene:gene-LSAT_5X129781 transcript:rna-gnl|WGS:NBSK|LSAT_5X129781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSLAGLQDHLKLAREYALEGLYDTSVIFFDGAIAQINKHLNSLDDPMVRAKWTNVKKNLLEETEVVKQLDAEKRSLKETIMGRRSSSPPISAKKSSFVFQPLDEYPTSSNAPSMDDPDVWRPPNRDTSNRRSARAGPGGTRKANQDGVWARSSATRGGATARGGKTGASSKVNSGLRSSTTGKKGTASGKSNTGKDDAVNSESEEGKSKRGQYEGPDADLAAQLERDVLDAAPGVRFDDVAGLTEAKRLLEEAVVLPLLMPDYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARSYAPSTIFIDEIDSLCTSRGASGEHESSRRVKSEVLVQVDGVSNTGTNEDGSRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKELIKINLRTVEVSADVDIDDVARRTEGYSGDDLTNVCRDASLNGMRRKIAGKTREEIKNMSKDEISNDPIAMCDFEEAITKVQPSVSAADIERHEKWFAEFGSA >cds-PLY97878.1 pep primary_assembly:Lsat_Salinas_v7:2:216271035:216271434:1 gene:gene-LSAT_2X136481 transcript:rna-gnl|WGS:NBSK|LSAT_2X136481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLILQVYNHLQKLLGVKNEVGSGFSWSLTHRSNLPPDASSMELSQRVECNSKLVVALSVIGECFVPILEKRSGINLIHNVVYKCGSNFNRLTMASLQLYRRGVMK >cds-PLY71412.1 pep primary_assembly:Lsat_Salinas_v7:4:238769955:238770909:1 gene:gene-LSAT_4X128260 transcript:rna-gnl|WGS:NBSK|LSAT_4X128260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVINIKDIDFEMVSQLLDFVPFGGQIPSSFFLQALLCHEHYLKEQMEEVENHRHYHYHQDFFKLCFLCRSIRTYFGGGFLEMAYLNTDD >cds-PLY72116.1 pep primary_assembly:Lsat_Salinas_v7:7:57391230:57392318:1 gene:gene-LSAT_7X41760 transcript:rna-gnl|WGS:NBSK|LSAT_7X41760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRSMTRNHNHDDDHASSSSSRKRFKTFDKGGVAPWSNLNHDVLFLVMMELGMVDFLAFGGVCKSWRSFAASNRNMFMASKPPMKTCIDPHDNNKDFYFYMEDFERRRFKTIIPHSAGSTCFGLTCGYLILYGRETHNFWLVNPITKHELHFPDYPFFSIANEEEMRAILVFSPSMSRWVFVILHRLIKIISFCIAGKRGWNHVSCTHPIDSPLAIVDLHVFKGKIYTLQSDFSLAELRLDPNQEQKWTLLEINNFPKPDLLHLELVSSGENLYVISRISALNKVMELDFVKTKWVKPEKIIREYVFFHSRLKSSAAIKRTQYKSYDYFNGTAERKQRMLFYATMWYFPHDCLNVNLLDE >cds-PLY69201.1 pep primary_assembly:Lsat_Salinas_v7:1:79869784:79872181:1 gene:gene-LSAT_1X68400 transcript:rna-gnl|WGS:NBSK|LSAT_1X68400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWESPSDAMPWVGLYVGVASLVCTLAMAADLFQGFRQWKLWFPCRFFTINAVSITLIAIAMKLPVDLTTDVSQGLDTTAKFLSIVFLVAMLANFLPSLGLMNDKELLMNIVALGILIITITVNVWIQISTTTPLTIPILIIIMIFSLPWPFSVALTVSASRRILQHKYKELHRLASNHQEMNTNMNMNMNFSYKRLICYVKKHWMMAETGNPQFVVACSSVSSALGVICSVLVCISFYFLITLFGDTSNLQYGRSDYKWSINVIVTIQSIGTIVGSIAPIFRCLSSTIDFNFSKKWIKNHLNVFRVEKHWIQRLQHWKRCHVRSHIPGRHCKKAFHSIKNMILNFCIGIQITVVIICNTICLLPRSFLIFCKSLFKSFKQEPNVSTNGNVNLDQEYTRYVLQVEVETKLSKRILRNALNSMTRLLHESEEKEPSNLIKLLKKSTGFQGVLEFDSDQVPSLHQEETNNCWSLVVVTLTAIAISLPNIANIHVKELLSSMREGLQFVMHVEESLNVNIDLVKARKAARRMWTDVEVYYRWLQIDLQKKAREGRTSKDILQLLGDEAIKIVIEFMRRKNGILDHSLRKFIAANSMYRISQTILLHCNEQENWPNDEGIFEFISTMIADMLSACFTNLPRVIAMKCHDDAIEKREENIRTTTRVLGKSKKILKILKKRQVPNLNSDSMTYVDKWHALPKSYIHNCCSPSKRIQLPVSLSSNQSFVVTIM >cds-PLY97742.1 pep primary_assembly:Lsat_Salinas_v7:1:20914670:20919562:1 gene:gene-LSAT_1X18880 transcript:rna-gnl|WGS:NBSK|LSAT_1X18880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAIPPNKGYIISSFPNLVDSSDFKSDFAWGAATSAYQIEGAASEGGRGPCIWDIFCKKHPDAIVNGDNGNNGTEAYYKYKEDVQMIKKMGVNSYRFSISWSRILPGGKLSKGINKEGVDYYNNLINELISNGITPYITLFHWDTPEALEEEYMGFLNEKIIYDFMSYAGFCFMEFGDRVKNWITINEPQAYASNGYASGTYAPGRGKKNGAGNPGTEPYIVGHNLLLSHAAIVDLYRQRFQESQGGKIGITLNTYFFEPLNSEKQEDKDAAIRALDFMFGWFMEPCFSGKYPDIMIKNVTGGRLPEFTEEQAKLLKGSYDFIGFNYYSSYYATTLNPSQEPSYLTDSLVDKRPEGLDGKLIGPKAAGDWLYSYPPGFYKVLMHIKHTYGDPVILITENGWSEENRNDIEIEVACADIERIDYHHSHLQYLRDAIRDGVRVVGYFAWSLMDNFEWKDGYSVRFGLFYVDYNDGKYTRYPKNSAIWFMNFLENHKKVSEQKKLHEGDTKKLKNTYRVNEQKKLYVWYFYTIFFLSVLVASYILYNFDVLFG >cds-PLY62934.1 pep primary_assembly:Lsat_Salinas_v7:2:212541180:212542122:1 gene:gene-LSAT_2X131941 transcript:rna-gnl|WGS:NBSK|LSAT_2X131941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDTMKVEVESECPPEPPVAAVEKAIVPVEPHVHEEKPTDDTKALAIIEKPTEVCEEKPKEGSINRDDVLAKVATEKKLALITAWEESEKSKAENKAQQKLSSIIAWENSKKADLEAELKKMEEDLEKKKAKYIEKMKNRIVLLHKKAEEKKAIAEAKCGEDLIKAEEVAAKCRATGETPKKLLGWF >cds-PLY92693.1 pep primary_assembly:Lsat_Salinas_v7:3:117310509:117311477:-1 gene:gene-LSAT_3X81720 transcript:rna-gnl|WGS:NBSK|LSAT_3X81720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEQKWIHEGLITESLPNGMFRVRLDNEDMILGYVSGKIRRSFIRILPGDRVKIERSYNLSTPKQRFERLAIPKKGSRGRIGSRKSTRKIPKGVIHIQASFNNTIVTVTDVRGRVVSWSSAGTSGFRGMQRAEVMIKGPGLGRDAALRAIRRSGILLTFVRDVTPMPHNDKAISKL >cds-PLY87169.1 pep primary_assembly:Lsat_Salinas_v7:5:254924544:254926116:1 gene:gene-LSAT_5X128101 transcript:rna-gnl|WGS:NBSK|LSAT_5X128101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGKEILHKMKEKVGLSSCSAETGKGKSKMSKHVTHGYHLVKGKSYHDMEDYVFAQFKKVDDNELGLFAIFDGHLSHEVPDYLRSHLFENILNEARNTLFLHSIKLYFIDSLHLLFPSFQPDFWTETECAIRRAYRITDETILEKAVDLGKGGSTAVTAILINCKKLVVANVGDSRAVICKKGVAKQLSIDHEPSKEREVIEDRGGFVSTFPGDVPRVDGRLAVARAFGDKSLKEHLSSEPDVFMEMIDDDTEFIILASDGVWKVMTNQEAVDCIMNVKDARAAAKQLTEEALTRKSTDDISCIVVRF >cds-PLY90506.1 pep primary_assembly:Lsat_Salinas_v7:2:41612897:41615066:-1 gene:gene-LSAT_2X18861 transcript:rna-gnl|WGS:NBSK|LSAT_2X18861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g49240, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G49240) UniProtKB/Swiss-Prot;Acc:Q9M3A8] MALSKPAFLNHLKLLVRTHHHPLRPAVISLRHLSFATPEDAAAERRRRKRRLRIEPPLNALRSNTQSQPRPTPTPNQNPNAPKIPEHVSVLTGKRLELHNRILKLIRENDLQEAVLLTRHSVYSNCKPTIFTCNAVMNACLRQSKYADLLNLHRFITQAAIAANIVSYNLIINTYMDCRKIDTALEHYKQLIDNAPFEPNTTTFRIIVKGLVDNDKLEKALEIKDDMLSKGFEADPTVYYHLMTGQAKNENPDGIFNLYEELKEKLGGFVHDGVVYGSVMKAYFLKGMEKEAMECYEEALREESKIRMSAMAYNSLLDALSKNGKFDIALKLFDRMLQEHNPPILLRVNLGSYNLMVDGYCAEKRFDEAINTFNQMGKTRCHPDTLSFNNLIEQLCNNGMLAKAEELYNTMNEKQVTPDEYTFVVLMDTCFQENRPDDAAAYFKTMIESKLRPNLTVYNRLMDGLVKVGKVNEAKSFFDMMVPKLKMDDDSYKFIMKALFDIKKHDEALEMIGRMLREEPLEFSDELQVFVREELKKEDREDDLVKLLADIEREKAEVAAKEAEVAAKEAEETEKAKARITSVYSNFLPLKLKGDDGGERVVDSEVKAEEGEEEEDDVSGEKASA >cds-PLY70607.1 pep primary_assembly:Lsat_Salinas_v7:1:88353481:88354791:-1 gene:gene-LSAT_1X75680 transcript:rna-gnl|WGS:NBSK|LSAT_1X75680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >cds-PLY74696.1 pep primary_assembly:Lsat_Salinas_v7:5:25896238:25897399:1 gene:gene-LSAT_5X13421 transcript:rna-gnl|WGS:NBSK|LSAT_5X13421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGGCCIARCSSSGGGGRMSMSYGMSKVEKIMLKFRPIAPKPLAAGSGSSCSTMEDTDGYGGTRRRKRKYVRVNGNKAKKDTSTVSKKRKASASLPSVVSGGGDAVVTLSLMPETPDRKEKSPARSSSTENQDLVISPSPLVVNRNKLAPIYFDGHVRGTESHLVPVTDHSVAMASPLPLRSQVVSFVTMESVTEKWLNGDGIGFTDEARVMSMETDACPSFITSSRDMVVWTNKAYSEMTAGGVGDETVVVVKKYNRVPMPVSLPSFTCKVKVTWGTEKTSSSSNLTAPCDVWRLQHGGYAWRLDVKAALSLGR >cds-PLY78144.1 pep primary_assembly:Lsat_Salinas_v7:8:228852738:228854540:1 gene:gene-LSAT_8X140280 transcript:rna-gnl|WGS:NBSK|LSAT_8X140280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFQLQSADFCNLKTQYKINAKSVSYGIFPTYLKFGWLRQHKVNPILKKQILRDVNCEVTPGEITAIAGPSGAGKTTLIEVLGGVIPPCRLSGEVLVNDRPMNSTFFRRVSGYVTQEDLLFPLLTVEETLLYSARLRLPGGLDKSKARVQDLLVELGLCHVSGERIGGDNHRGISGGEKRRVSIGVDLVHDPSVLLMDEPTSGLDSGAAFQLISMLHSMAKNHHKTIVLTIHQPGFRILELFDKIMLLANGTMVHHGSLNSLEHKLKSAGHDIPRQVNVLEFSMDVIETLIPDVMETVILDMNEPKPQFNGINQDYHLQYPNCVLTEIAILSERFMYTIFRTKELFIGSILQGLLVGLLFGMLFKDMNRSHTQTQIGFFASSVGYLLYTSSQAIPIFFNECWVLMREISTGAYRISSYTIANTLVFLPILFIVALLFAIPTYLLVGLRDDLDGFFLYLLIVWLTLLMSNSFVSLFSVLVSNFMMGISSVAILMGTFSLFSGFFIVNDDIPKYLMFMHHLSLVRYPFESLLINEFGGSKGRWRCLQRSDGGCLVSGEQFLKNENLKDVQKWYNLGMMVVFIFGYRFLSFMVLCYRSYRSRN >cds-PLY95388.1 pep primary_assembly:Lsat_Salinas_v7:9:190421962:190437194:-1 gene:gene-LSAT_9X117561 transcript:rna-gnl|WGS:NBSK|LSAT_9X117561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRTLPVIAYSFILGFYNLLTVYGNAEVDALSALKARLHDPKDVMQSWDSTLVTPCTWHHVTCDNENKVVSVDLGNAGLSGKLVPQLGQLTNLQYLELHSNNITGRIPKELGNLTELVSLDLYRNRLEGDIPERLGNLQKLRFLRLNNNRLTGGIPYSLTTIYSLEIVDLSNNLLEGDIYYSTGSFPRLTPLSFANNTDLASVILPISPSFPSVGNNGKDKGAIAGGAAVGAALVLAGWVIVPAWRWCRERQDHFTDVAEDPKVHLGLLKRFSLRELQVATDDFSNRNILGRGAFGKVYKGRLADGALVAVKRLIDRVQGGELQFQMEVELISMAVHRNLLRLQGFCMTPTERLLVYPYMANGSVASCLKDRTYTRPVLDWPARKRIALGSAKGLAYLHDHCDIKIIHRDVKAANILLDEEFEAVVGDFGLVKLMDCNDTHVTTGVRGTIGHIAPEYLATGRSSERTDVFGYGVMLLELITGRPAFDLARLANYDEEVMLLDWVSILELNDFTK >cds-PLY74295.1 pep primary_assembly:Lsat_Salinas_v7:3:127578555:127578903:1 gene:gene-LSAT_3X87460 transcript:rna-gnl|WGS:NBSK|LSAT_3X87460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDVFEVGGDFHSRTAMNIYPYIREAIESKQVLLEWHPQPGQQTPLVPLPLRKDMFAFERRKAKMLNFSIGYGKIVVGLSHDWKVSVNESR >cds-PLY69093.1 pep primary_assembly:Lsat_Salinas_v7:5:276225953:276229157:1 gene:gene-LSAT_5X144820 transcript:rna-gnl|WGS:NBSK|LSAT_5X144820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin-1 [Source:Projected from Arabidopsis thaliana (AT4G29330) UniProtKB/Swiss-Prot;Acc:Q8VZU9] MSSPAEFYNSLPPISKAYGTLCLVTTTLATLKLLPIYYIALIYEQVFFRLQVWRLLTNFIFLGPFSINFGIRLLMILRYGVQLENGPFNRRTADFLWMMIFGSSTLLVLAAIPFFESYFLGVSLVFMLLYVWSREFPNANINIYGLVQLKAFYLPWAMLALDVIFGSPIAPDLCGILAGHLYYFLTVLHPLASGRNILRTPMWLRKMVAKYKIGAPVYTQTQPAGNTGGAFRGRSYRVGG >cds-PLY84567.1 pep primary_assembly:Lsat_Salinas_v7:1:31088157:31088830:-1 gene:gene-LSAT_1X26901 transcript:rna-gnl|WGS:NBSK|LSAT_1X26901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGFKNRYMVMEVSVDPNKDISKDDPIILSQHNVSKAIKDSILLNFGECGLASSLGSLQVKYVNPITKVCIIRASRDEHQKVLAAITMVRSVGNCPVVFNLLDLSGNIRACKSAALKCEDLIFEKVKIICGIPRTEDVNKHMQNLERIKMLEH >cds-PLY78372.1 pep primary_assembly:Lsat_Salinas_v7:9:10442031:10445162:1 gene:gene-LSAT_9X8160 transcript:rna-gnl|WGS:NBSK|LSAT_9X8160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAHSSHLTAPLRSRSSQTPSPSHSASASATSSIHKRKLSAAEDHAPPFPSSFSDTRDGALTSNDDLESISARGGADSDDSEEFEDVVDDDEEEYDDSSMRNFTATRLENNVGPTGRNTKLKTENTVKVEPSEVGKDGGPGAAGSAAASTPAAVPGIVVKEDTVKSIFTENLQTSGAYCAREESLKKEEEAGKLKFVCVSNDNIDEHMIWLIGLKNIFARQLPNMPKEYIVRLVMDRGHKSVMVIRRNTVVGGITYRPYVSQKFGEIAFCAITSDEQVKGYGTRLMNHLKQHARDMDGLTHFLTYADNNAVGYFNKQGFTKDIFLEKERWHGYIKDYDGGILMECKIDPKLPYTDLSTMIHHQRQAIDEKIRELSNCHIVYPGIDFQKKEAGVPKRIFKLEDILGLREAGWTPDQWGHSRFKIVNASPDGTSNQKLTGFMRSILKAMHDHVDSWPFKEPVDVRDVPDYYDIIRDPMDLKTMTRRVESEQYYVTLEMFLADARRMFANARTYNSPETIYFKCSTRLEAFFSSRVQSGLQSFVKIQQ >cds-PLY76265.1 pep primary_assembly:Lsat_Salinas_v7:8:32690230:32690844:1 gene:gene-LSAT_8X26941 transcript:rna-gnl|WGS:NBSK|LSAT_8X26941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEQEEGEIRPEVGNKDEPMADDKSNICGGAPDDDHHETKVLPKPTTVVGNIDSNVDKPEVAHATAGDLSEEEKSNLPVGGDNEEQINYENPIPKTIETIEITQTINVVNLIRTGKNTAHVEFTPKPRPKCTVDIGPIQNLVPLGCFGPFPNNPNSPFSFKTPAYTRNTNPKKNSKSGGPTTNKRKRIKSPNQSNKQLPPFI >cds-PLY81524.1 pep primary_assembly:Lsat_Salinas_v7:2:126288143:126289092:-1 gene:gene-LSAT_2X58761 transcript:rna-gnl|WGS:NBSK|LSAT_2X58761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDVIGKISRSFKFSACNNDNACDRFKKISSVMIQMPAFSNLRASSTIRSSAGTKTIDRRTVVGGQQRGISIGKALKWWEKGLKPNMKEVTGAEDLVNTLLNAGDKLVIVDFFSPGCGGCRALHPKICQLAEMNPDVQFLQVNYEEHKSMCYSLNVHVLPFFRFYRGAEGRVCGFSCTNATIKKFKDALAKHTTDRCSLGPAKGLEEKELLALGSNRDLSFTYGPKSNQPQPIVSLSVSESSLPLPRSLKPVSEDDKDRSLVSRGQ >cds-PLY76937.1 pep primary_assembly:Lsat_Salinas_v7:8:264012771:264012989:-1 gene:gene-LSAT_8X153760 transcript:rna-gnl|WGS:NBSK|LSAT_8X153760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVISNAKNVKYCDNDEKCCNRKSFHSIWWNRVNGAQKKTKIILDNIWKIDYIKSNYFPTVNIIKIYLLFFLQ >cds-PLY86251.1 pep primary_assembly:Lsat_Salinas_v7:8:54886869:54887330:-1 gene:gene-LSAT_8X40540 transcript:rna-gnl|WGS:NBSK|LSAT_8X40540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDFITGNKKPMYLPYPLWIRLILACDEGYFENHGISIPIPSLSSKIINSAPTEDDLHITIRMQKWIDKAYMVESSEFEEEDDDEEDNDEDAKDNEGVDEEENIANEEEDSSDKEDNPLIQDMISKRTTCPSQAENVTPMPTPIQTATVYQGE >cds-PLY92292.1 pep primary_assembly:Lsat_Salinas_v7:2:209825895:209828962:-1 gene:gene-LSAT_2X130181 transcript:rna-gnl|WGS:NBSK|LSAT_2X130181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKHWLLCKVPDGDYNATTKHSSSSTTNTKSAGKSRKRGGVRPTSSSSSPSSSSSSGGCMSAIFHLFDIQHHHFPFRQPSLIISDSSINIPQEPNFILKGVEAPRNSLELEEQEPNVQQAASSSSSSSLSKVKQETDFIIPVGGIQIKTKRSRLMDEVSSECSSSPGSKTPSLVARLMGLDLLPENSSPRTSLSSPRPSSSSSHATPSNPLSKLSSHKISTHSLPATPRISTAARPSTEVDYHHRLSLQTTKENRRKYGESSTSEYAKQIAQQVRENISRRVGADITNTMKRKEHRRDECLVVLKPKRQSPPPSAINYFDDQGHVRAKENEPVPLSCSSRLKLLEIKNNLNKPISNSAKTSPLSSSTEVMKRPSSLSSSRSPPLIKEEVQVVKQENPVMKVQKCKRIASEKYDLRLKKVHQQEEPFTKKCNKKSTPLSNHLVNVNTTTKFISFKKDIDMASPSSTTLSQKQVPLESITQLPSCQSRSYKETHKLWFQDNVSSNGNGVAAITTTTIGGRSVSDHLEYISRILSHAGIEKTTPISVTHWYSPSHPLHPSIFHQLEKLINPTVTTNFITRKLIFDVADELLVDILKPYISFKPWAAGRNSYKMYGSELIGKLCEKVSSFPAADCRVLEDIDAVIEGDMRSCTKLVGEIAFEEEAEELVAEMERDMVDTLVNEMAGIIWHVGCGGRI >cds-PLY62245.1 pep primary_assembly:Lsat_Salinas_v7:5:165825475:165831316:1 gene:gene-LSAT_5X73240 transcript:rna-gnl|WGS:NBSK|LSAT_5X73240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPVLSRVHDPHLRPNGLSGNNTKPSPFGFLKAMQGCRKGDTEKGIRDLKLYLARFGYLNYQKNPNVTDLEEDHFDEELEVAIKSYQVFYHLNATGTLDGPTVSQMAMPRCGCPDKVTHKHTDHNSLHTVSHYQFLPGTPKWTRSQLTYGFGPSFPAQFMPAVDRAFGKWATASSGYFTFSRAGSYEGADLKISFERGDHGDGYPFNGSGEVLAHAFPPPDGRFHYDADENWVIGAVQGAFDVETLALHEIGHLLGLGHSQFQDAIMWSTLASGVTKGLSPDDIQGLDTLYA >cds-PLY73789.1 pep primary_assembly:Lsat_Salinas_v7:8:150697119:150699198:1 gene:gene-LSAT_8X101341 transcript:rna-gnl|WGS:NBSK|LSAT_8X101341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLQVDRNAKDDDLKKTYRKLAMKWHPDKNPNNKKEAEAKFKTISEAYDVLSDPKKRAIYDQYGEDGLKGQAPPPGPGAFSGKSDGGSATFRFNPRNADEIFSEFFGHSSPFGGMRDMRGSRSSSSFSRSIFSEDPFSQFRSGGGGGGEGFSASASMPCRKAATIERPLPCTLEDLYKGTTKKMKISRDVADHTGRTTTMEEILTIDIKAGWKKGTKITFPEKGNEGRGVIPSDLVFIVDEKPHPVLKRDGNDLVMTQKISLCEALTGYIVQVSTLDGRSLTIPINSVISPNYEEVVKGEGMPMPKEPTKKGNLRVKFTIKFPSRLTSDQKTGIKRLLTCS >cds-PLY95660.1 pep primary_assembly:Lsat_Salinas_v7:2:116639681:116641302:-1 gene:gene-LSAT_2X53840 transcript:rna-gnl|WGS:NBSK|LSAT_2X53840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQTEIEVPSFFVCPISLEIMKDPVTLSTGITYDREAIEKWLYTRKNCMCPITKQVLTDLELTPNHTLRRLIQSWCTINGPYGIERFPTPRIPISKSQILKLLQDSKSPNLQMECLKKLKTIVLESDVNKRSTEAVGAAEYLSYIICNPSCNHTSPSSVDEISGVNGFDNSVTAVDEALNILYHLRLSQTGLKSLFGKTGELVDALTRVMQCAANYDTRTYAVLLLKSMFDVAEPIQVMSLETHFFKELTQILANQVSPKATKAALKLLIEVCPWGRNRIKAVEAGGVTVLIDILLDCTNPTRVSEMVLILLDHLCQSAEGRAELLRHGAGLAVVSKKIFRVSQVASARAVRILHAVAKFSGNTSVIQEMLQLGVVGKLCFVLQVECGSKTSEKAREILKMHARAWKHSSCIPYNLVCSYPS >cds-PLY84391.1 pep primary_assembly:Lsat_Salinas_v7:8:79193375:79194935:-1 gene:gene-LSAT_8X56801 transcript:rna-gnl|WGS:NBSK|LSAT_8X56801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFFFSFLYCHNFACSLPDVSSFYYVMVVPAKQTADDAASSSIVVPIGHYRKFRRLDGLSVLPHYLDCGDCSWASSDNEAEESTTEEEERYEGCEVYQTTIFGLGHSTCVAIGGDHFNGTNFIDCMRKFMVNPHTQDVYKVSWLAGRSLQGVNQRLKDRCRSLFDT >cds-PLY94764.1 pep primary_assembly:Lsat_Salinas_v7:2:177117340:177119133:-1 gene:gene-LSAT_2X98280 transcript:rna-gnl|WGS:NBSK|LSAT_2X98280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLDSDIKKLMSSCYKTNNSSSEYFFEEENDPLLPGIYDDVALISLARVSRFDYASLSCLNTKFNSLIKSGYLNNLRKQLGIIEHSVYMVSDPRGWEGFDPTRKKWMKLPKIPCDECFNHADKESLAVGSELLVFGRELFQFAIWKYRLKSHDWVKCEAMNHPRCLFGSGTLGSIAIVAGGSDQNGNILKSAELYDSTSGKWEMLPNMHSPRRLCSGFFMDGKFYVIGGMTSLNDSLTCGEEFDLNTKKWRKIDGMYIYPNVNRAAQAPPLVAVVKDELYAVEYLSNMVKKYDKKKNEWNVLGRLPVRADSSNGWGLGFKAYGDGVLVVGGQRGPEGEAIVLNFWNPESGVKNGILDWKVLGVKEHSGVFVYNCAVMGC >cds-PLY79288.1 pep primary_assembly:Lsat_Salinas_v7:4:370848521:370856120:1 gene:gene-LSAT_4X182620 transcript:rna-gnl|WGS:NBSK|LSAT_4X182620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDPKKKFPLDAKDYKLYEEIGEGVSASVYRALCVPLNETVAIKVLDLEKCNNDLDGIRREVQTMSLIEHPNLLRAYCSFTTGQSLWVVTPYMAAGSCLHIIKSSYQEGFEEPVIATLLREVLKALVYLHNQGHIHRDVKAGNILVDFNGSVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKEMVAACLVKDPKKRPSSEKLLKHPFFKNARTIDYLENTILNGLFPLGDRFRMLKAKEAELLMQNKELFGEREHLSQQEYIRGISAWNFNLEDLKNQAALIQDYDEISNTEHLNENPKQENGLNDVGLPTERPSPEISDHSDTGSHHEDAIDEIPDLETSLAAFPIKPLQALKGCFDVCEDDENLTDNEQQSDMKPLIKAEEQEAESNDTDNSGQIKSLPRPTDGLRRYLSGSLLPDNNNNNNNNHFSRKKLVADFDRDHLQSRFQVQRNHSGPLQCRQKPKGNNINNSTSGEDVSEGAVVQRKGRFKVLSADHNPKNPVSSGNSSTNSPISITSAASVLPYLQTILQHNAMQREELLKLIKFADQFHGNAYEGSGTNGFSQMHVNSPRERELQAQVIELQQSIGSLVEQLRILKTRNAKGCVEKPSPFGKILV >cds-PLY69896.1 pep primary_assembly:Lsat_Salinas_v7:4:70291193:70294916:-1 gene:gene-LSAT_4X49821 transcript:rna-gnl|WGS:NBSK|LSAT_4X49821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTLTRLLKQRLHRPPCSSSSSSGSFSAAHRCHMASQNKSLRTRIVLVIVFHLQMTYKSATKNVTTSKHAISAPVLSAVGERYYKVTAEALRVCGELVRANIEVSDFDFKPYVHPIYNAILSRLTKQDQDQEVKECAISCMGLIVSTFGDHLTAELLARLPVLVDRMGNEITRLTAIKGIKPTTTVRLQKMAKHVSQDKNFSVCGDGVETTPDDVKEKLMAMDFSEDVKCKLTAQLVWHGL >cds-PLY62238.1 pep primary_assembly:Lsat_Salinas_v7:5:162486824:162487189:-1 gene:gene-LSAT_5X71241 transcript:rna-gnl|WGS:NBSK|LSAT_5X71241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDVDKDGHPVCYNAYNEYQNKELYQATFSDEEKRTDFLRWRIQFLEKSIRKLDFSPDGISTIVQIIDLKFSPSPFKKELRQVVQLLQDNYPEFVAKQVPTSPLIICQFNFLNCLGHDDMF >cds-PLY61951.1 pep primary_assembly:Lsat_Salinas_v7:5:159523157:159523662:1 gene:gene-LSAT_5X69321 transcript:rna-gnl|WGS:NBSK|LSAT_5X69321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCKDTRGKVVMPIFYDVDPCEARRQKRKYVEAFVKHELENKNKVESYRKALVDASNVSGWETSQIANRYESKGIKETVYTISQRL >cds-PLY84962.1 pep primary_assembly:Lsat_Salinas_v7:2:123172344:123183847:1 gene:gene-LSAT_2X56181 transcript:rna-gnl|WGS:NBSK|LSAT_2X56181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSERLKENVSVTIRFRPLSPREIRQGEEIAWYANGETIVRNENTPSIAFAYDQVFGPTITTRHVYDIAAQHVVSGAMEGINGTIFAYGVTSSGKTHTMHGNQRSPGIIPLAIKDAFCIIQETPSREFLLRLSYLEIYNEVVNDLLNPAGHHLRIREDNQGTFVEGVKQEVVLSPAHALSIIAAGEEQRHVASTKLNLHSSRSHTIFTLTIESSPCGENIEGEAVNLSQLNLIDLAGSESSKAETTGIRRKEGAYINKSLLTLGTVISKLSDGRAAHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSNSEETHNTLKFAHHAKHIEIQAAQNKINDEKSLIKTYQNQIRSLKEELHQLEHEGEAKDALLSQIQSLTRLILVSTKSPHQTDPGSRYSFMEEELAYLPKRGRNLSLDHENIQLHVTLNEGTHIKEDKKIKKPQKRDGLTLTSGSDKSSGGMSTTSNPTPTPPANTHTLAIVESRRLSYSSPSESTSEPLQHVEVLTDSEAIQEQLNEKNIECKGLEETITALKQLLSENTTIKAQAVEIEQLKQKVAKITESKEQLESENKRLREESAYANELASAAANELKMMSEQVVKLMNQEHAVEALERPPVFLHPHQIINHQSSSSVILIREINHVFRFDMNELLIKNVFVRYKIGLKMGNIAAKEKEKKREEVVIKIVPPLDSAYVRWLAKDLERIHGYVPSKPRAIKPPDHYIDYMRMNGWLDLDLNDPALAHLFK >cds-PLY99018.1 pep primary_assembly:Lsat_Salinas_v7:1:146052834:146054271:1 gene:gene-LSAT_1X103721 transcript:rna-gnl|WGS:NBSK|LSAT_1X103721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSKLLRFGRRQLHTIVSRDIIKPSSPTPSHLKTYNLSLFDQLAVNAYMPVVAFYPSSSIFQSPHDKTLELKNSLSRTLNQYYPYAGRLAKSCPTYVDCSDDGVEFIEASNDSPLSDFLHQSAHEDLDQLFPDDLIWYKSNHKGHTDENESTSPLSVQVNHFSCGGVAVAVSLSHRIADASSIFNFLNHWATATRSGAGEHHDLSHINPHFLSYKTRNVNLPKNMPDRPQGDYVTRSFVFPNSKINDLKAKITSMTMETGEPISNPTRAEALTWLLHKCAVAAASKTNSGVFKPTGVCHALNLRSNLVESLPETSVGNLYLAMEYPTANESELTPS >cds-PLY83826.1 pep primary_assembly:Lsat_Salinas_v7:3:50055218:50055910:1 gene:gene-LSAT_3X38361 transcript:rna-gnl|WGS:NBSK|LSAT_3X38361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKIGKLVREVEIKSDINLVYEIYKHKPNNSAVIAPDKVQGCDLVSGQWGAPGSVILWRFIHDGKVESAKEIVEEVDDELHKIVFKVIEGGVLEVYNPLIITINVEDMGHKKLVIWTMEFEKVNANIPDPTPYLDLLCAVVGDMDAYFLKRP >cds-PLY74142.1 pep primary_assembly:Lsat_Salinas_v7:9:13327868:13328969:1 gene:gene-LSAT_9X11480 transcript:rna-gnl|WGS:NBSK|LSAT_9X11480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGRRFISFLRRNSSPSRYQFDEICNVILQFISSAEVVVGKEAKPKTWGRRIVSGGLICVTGGVALSALDDLIIYHGCSSKAMEKASKNQAVIDAIGEPIARGPWYNASLAVTHKRHSVSCTFPVSGPQGSGIFQLRAVRNGEENWLSFFRPRDWEILIMEALLHVPENEEKNRTFRISIADDLSLPPACQTCVTGCTRTQEPDASGKTHPQPTPT >cds-PLY69880.1 pep primary_assembly:Lsat_Salinas_v7:4:67984793:67986077:1 gene:gene-LSAT_4X46101 transcript:rna-gnl|WGS:NBSK|LSAT_4X46101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METTYLVVTTALFAAVVNCCPPADLSALLAFKSALTEPYLGIFNTWTGTDCCTNWYGVSCDPTDSHVIHVILRGESEDKLMIDKTSQISYMSGSLSPSVCSLDRLTTLIVSDWKGISGEIPACITSFSHLRILDLTGNQITGEIPADIGKLGKLAVLNIADNNITGNIPPSIVNLRNLMHLDLSNNRISGEIPADIGKLSMMSRVLLKQNQLTGKIPTSITKIYRLADLDLSMNQISGTIPAELGSMPVLSTLYLDNNQLYGEIPVSILRNAGLNIVNLSSNSLVGYLPDVFTPTTYFSMIDLSHNELKGSIPASLTSAKYIGHLDLSNNHFCGAIPAGSPFEQLEASSFSGNDCLCGTPLTRVC >cds-PLY74455.1 pep primary_assembly:Lsat_Salinas_v7:7:36036866:36037573:-1 gene:gene-LSAT_7X26481 transcript:rna-gnl|WGS:NBSK|LSAT_7X26481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLFSKEATVGEKQFSSQGHQGNFSKNEGSGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIEPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGVNFNGNRQGYIPKSGARFQNQYYGLLLKSISLILYKKGTTDLILYKNSRTDTYLSL >cds-PLY70486.1 pep primary_assembly:Lsat_Salinas_v7:1:74182789:74188936:1 gene:gene-LSAT_1X63160 transcript:rna-gnl|WGS:NBSK|LSAT_1X63160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNQPESSSSEPKGPPAAKKDFSTAILERKKSPNRLVVDEAVNDDNSVVAMHPNTMEKLQLFRGDTILIKGKKRRDTVCIALADETCDEPKIRMNKVIRTNLRVRLGDVVSVHQCPDVKYGKRIHVLPLDDTIEGVTGDLFDAYLKPYFLEAYRPVRKGDHFLVRGGMRSVEFKVIETDPGEYCVVAPDTEIFCEGEPIKRDDEDRLDEIGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEIGRLEVIRIHTKNMKLSDDVDLEKIAKETHGYVGADLAALCTEAALQCIREKMDVIDLEDDSIDAEILNSMAVSNEHFQTALGTSNPSALRETVVEVPNCSWDDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSSGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKAAMRKSPVSKDVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERKRSENPDSMDEDEDEVAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFPEAAGAAAAGGSDPFAAAAGGGGDDDDLYS >cds-PLY96761.1 pep primary_assembly:Lsat_Salinas_v7:2:172232134:172235466:1 gene:gene-LSAT_2X94881 transcript:rna-gnl|WGS:NBSK|LSAT_2X94881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSASNSKKEVIRLEREAVIPILKPRLIMTLANLIEHSSDRAEFLKLCKRVEYTIRAWYLLQFEDLMQLYSLFDPVQGARKLEQQNLSSQEIDVLEQNFLSYMFKVMEKSNFKICTNEEIDVAQSGQYLLNLPITVDESKIDKDLLKRYFAEHHHDNLPDFADKYVIFRRGIGLDKTTDYFIMEKVDMIISRLWGWIMRITRIEKLFSKKPSARVMNDQKKDDEITNDQYPDDMYVERVRIENLNISLRSLMSKITIQEPTFDRIIVVYRQASTKGEKERGIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVKFLISAVVGLVAVVGSIETPQADFWVMFAILSAVVGYCAKIYFTFQANMVAYQSLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISFFILMEQGKATLEDLDLQCEELIKEEFGATCNFDVDDAVHKLERMGIVARDSIGRYYCVGLKRANEIIGTTTEELVLKARQENGAS >cds-PLY77357.1 pep primary_assembly:Lsat_Salinas_v7:2:65016691:65019885:1 gene:gene-LSAT_2X28460 transcript:rna-gnl|WGS:NBSK|LSAT_2X28460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADALVTVVAEAILKKVASIAASEIGIVWGYKEKLHTLEGTLKMIRAKLQDAENEKGQKHGVMEWLKQLKDVVDEADDVMDELHYEMLRREVKNRDHVRIKVPSLPSLKKLSFRRELGHKIKNINEKLSQINKDANDLGLQNEQPGSVVQYRPYAETVPNLDEFKIVGREDDEERIIHLLTESRKEEKLTIVPIVGMGGMGKTTLAKSVYNNPKIQQYFDVKAWLCVSVKVDINTLLAKIYESVAGEKPMSETMVNLVRDLEKKLGAKRYLLVLDDVWNEERSLWEEFKRHMMMIKSQIGSGVIVTTRKLDIGTKAMTTDSCPLKGLSDDDCWNIFKGRAFLAGQSPPPELEKIGHDIVKKCRGLPLLVKVIGGVLQNYSDPEKWLAIRNSKVWDLEDETERVQKSLELSLDNLPRRSIAKQCFASCSIFKKDKVMEREELVQLWMALGLVQADEKEKKEMEDVGNNIFQILVSNSLFQDVTRDMDGHINHCSMHDLVHDLSLSLSNLESKCLVGVMNDDFCQENTNVFFLIKRRMMDRTLRTFFFFREVKKNVSFQRFKQMRILILERCGMTKIDDSIGGLVHLRYLDLSFTRISVLPESIGKLYHLQTLKLQNCYHLKFPESMRNLVSLRYCKSEESIPNNIMGQLTSLRTLMPNSFSVLRNKGHGVKELSRLKHLSGKLCIFNLENLSSKEDAVMADLSGKKSLKEIEFNWSTNYGVGPRNDKEVLEGLQPPGDVKILKINKFSGDNFPEWVMKMAVTIDGKGTPLDKLVEITLSGCWRCLSLPTLEHLPHLRDLVLWRMDSLTCLRSSDVTRPTKPLSPSLRLLRLSFLERLEKWIDGAPNSSKMISPVLEKLEITDCPKIILLDECHPHPLVSLRIWDCTGLEYIKSIQGLTSLEYLVIGSCPSLSVIANLPNECHSLKTLSIRRCTNLTSLPHEMFNCFAFLKLLILGPFSKELDSFPSLQGIQKLRNHLYRLELYGWDHWKSIPDVIQHLTSLTQLRILRFGIQELPMWLTKMSSIREMQFISCNRLDEEKVKRGAPREANDVRLNHLRVYMDRDVN >cds-PLY66044.1 pep primary_assembly:Lsat_Salinas_v7:6:49401130:49401432:-1 gene:gene-LSAT_6X35640 transcript:rna-gnl|WGS:NBSK|LSAT_6X35640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGKVINLFDSIATTRDYYMGKVGILTTRRTWINEEITIKVSDVEYRVGVVEYMDDLSPFNPTSFDKVEESEEEEDHEEGIFEAWMEEEDEEGEFRSDQS >cds-PLY67878.1 pep primary_assembly:Lsat_Salinas_v7:5:5450518:5452333:1 gene:gene-LSAT_5X3461 transcript:rna-gnl|WGS:NBSK|LSAT_5X3461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTTPAADPTGSDSDREIVVGTTTVTSTNSEPIVISDSLTTTSQSSPPLVCLFRFAGDSAAGAFMGSVFGYGSGLIKKKGFKGSFPEAVSSAKTFAVLSGVHSLVVCFLKRLRGKDDVINAGVAGCCTGLALSFPGAPQALLQSCITFGAFSFIIEGLNKQQPAMAQALSSWPRRGEEYGKLPPLAFPLPHELKESFSFFCKNIERSSKVNFRRGSSSH >cds-PLY73472.1 pep primary_assembly:Lsat_Salinas_v7:2:100618926:100625551:-1 gene:gene-LSAT_2X43101 transcript:rna-gnl|WGS:NBSK|LSAT_2X43101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAASHTCCRIDSLPRSLASNDCSTRFTAGRRSFPSIVRVKRRNGVTRAVATEPKPKAADPRTSRTVNGSSINGTSTRMETVSQEIKRVRAQMEENEQLASLMKGLRGQNLNDSNFAADDVELRLVETDESSELLPMIYDPAIISAYWGKRPRAVATRILQLTSVAGGFLSRLAWDVINKKVKENEVSRAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSYPDDIAMALLEEELGEPWQNIYSELTTSPIAAASLGQVYKGRLKENGDLVAVKVQRPYVLETVTVDLFIIRNLGLALRRFPQISLDVVGLVDEWAARFFEELDYINEGENGTYFAEMMKKDLPQVVIPKTYTKYTSRKVLTTQWVDGEKLSQSTESDVGELVNVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYDAIVKDFVKLGFIPEGVNLDPILPVLAKVFDQALEGGGAKNINFQELASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGDPEFAIVDEAYPYIAQRLLTDESPRLRSALRYTVYGKAGVFDAERFIDVMQAFESFITAAKSGGGEDLNGDMAELGLLQNQTNFTFPRFQSPASQEQLQPIQTRAALAFLLSDKGNFFREFLLDEIVKGIDAVTREQLVQVMSVLGLQNPTPIFSMVPTFVNIRPTGLIPYITEEDKIILNNVQKIIEFLTSSDSRPRSSDQGLDVNRIIQELIPIMPGLSAKVLPEVLTRLSSRVMARLIRDTFL >cds-PLY91204.1 pep primary_assembly:Lsat_Salinas_v7:3:77749768:77752416:1 gene:gene-LSAT_3X58300 transcript:rna-gnl|WGS:NBSK|LSAT_3X58300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCYMYPQSHQFLLPTCYQTAHQIILLPKMAFCNPPTLLHSSSSRPQFHSNSNHILLRRMPRRFLTISMAKNSTTPNADNDPKPTKFVTFLGKGGSGKTFSAIFAAQHYAMAGLKTCLVIHSQDPTADYILNCKIGATPVTCNDNLSAVRLETTKMILEPLNQLKQADARLNMTQGVLEGVVGEEFGVLPGMDTIFSALQLEKLVGFLRNKTQKTSFDVVIYDGISTEETIRLIGATGKSRLYLRFLRKLAEKTDLGRLAGPSIMRLVNEAFNGGTSSSGFNGQISGEIWDKLEHMLEKGSSFITNPELFGCYLVMDLNNPMSLNAALRYWGCAVQSGALVSGAFGVTNSSCGDECLENAKKTFLPLPFASYPPVLFKDSLNWEGILGNGKSNDARNLLSGLESRSSGYLQLQPVTFNPANKSVTLLMPGFDKSEIKLYQYRGGSELLVEVGDQRRVICLPSKIQGKVGGAKFIDRKLIITMRS >cds-PLY96276.1 pep primary_assembly:Lsat_Salinas_v7:6:157280723:157283286:1 gene:gene-LSAT_6X96400 transcript:rna-gnl|WGS:NBSK|LSAT_6X96400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSTKHKQKHRSSSAAYTVVDKASSAEEEDGDWRQEAINGGSLKLVDLDTGSNGWASPPGDLFSLRGSNYFTKKQKCPSGPWLLHPAGVDWLRSASKLDHVLSRADNRVMHALRKSQCEGSNTAKSFVLAVNLQVPGRDHHSAVFYFATDDPIPSGSLLYRFIHGDDAFRNQRFKIVNRIVKGPWIVKATVGNYSACLLGKALNCHYHSGPNYLEIDVDIGSSKVATAILRLALGCVTAVTIDMGFAVEAQEDEELPEKLFGAVRICQMEMSSATFVDTDTATNRPLIQTAHSNRVQASDLQDDDDDDDANINIEK >cds-PLY95313.1 pep primary_assembly:Lsat_Salinas_v7:4:298272048:298275228:1 gene:gene-LSAT_4X148240 transcript:rna-gnl|WGS:NBSK|LSAT_4X148240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIVENPNPKLPPTSPTISPPNSYGSVVLGGTFDRLHDGHRLFLKAAAELARDQIIVGVCDGPMLSKKQFVELIMPIEERMKTVQDYIKSVKPELAVQVEPITDPYGPSIVEKNLEAIVVSKETLPGGLSVNKKRAERGLSQLKVEVVNLVSEESSGEKLSSSTLRKLEAEKAKKN >cds-PLY74259.1 pep primary_assembly:Lsat_Salinas_v7:1:78210341:78211160:-1 gene:gene-LSAT_1X65761 transcript:rna-gnl|WGS:NBSK|LSAT_1X65761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKVPVSDPGSASAPGGASMMKLTKGRQKIEMKKIKEINSLQVAFSKRRTGLFKKASEICVLTEAQIAILVISPGGRIFVFGHPNPDVLFDCYPNNNNKEYSTTNNTAATQNSTPPLPMVNFNQHYIEVLRELEAEKKRGETIPVSSEGSCWFDEPVDGLDVEEVQQYLCSLDELKKKVLTRADEVTMINNSSAAFVGSSNNFQPVDIPTNTGVDGGLNVQYGEGFGNL >cds-PLY65109.1 pep primary_assembly:Lsat_Salinas_v7:4:5863769:5867330:-1 gene:gene-LSAT_4X3921 transcript:rna-gnl|WGS:NBSK|LSAT_4X3921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGKNSRESSPRIRTDSGHPWSTSVTSTPRHHHHARPAPFGYGPPPAQRRLDRKYSTIADDYETLEQVTSALAQAGLESSNLIVGIDFTKSNEWTGTKSFNRRSLHNIGVVQNPYEQAISIIGKTLSAFDEDNLIPCFGFGDASTHDQSVFSFYPDSSFCEGFEDVLKRYREIAPQLRLSGPTSFAPIIEMAMTIVEASGGQYHVLLIIADGQVTRSGDTEFGQLSSQERKTIDAIVKASTYPLSIILVGVGDGPWDMMKEFDDNIPSRAFDNLQFVNFTNIMSKNVDPMKKQTEFALTALMEIPSQYKATLELNLLGARTGYSPNTVPLPPPCGGNLQFRNRSARQYRVNETAPPSYDNRVCPVCLSNPKDMAFGCGHQTCCNCGIDLQLCPICRDVINTKIRLYQ >cds-PLY98839.1 pep primary_assembly:Lsat_Salinas_v7:7:23007662:23008516:-1 gene:gene-LSAT_7X18401 transcript:rna-gnl|WGS:NBSK|LSAT_7X18401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLTLFSCCGIYESRSSSVFKEKNNKSHNVSLVREKKLGGGRGGGNGGGKGGKTVGKNGHWRPSLCTIYEEDVVKTKRIQVYVPSNAPSGYKHEFVLQGSWDMFSPVPTSFLF >cds-PLY90246.1 pep primary_assembly:Lsat_Salinas_v7:8:14591139:14591662:1 gene:gene-LSAT_8X10660 transcript:rna-gnl|WGS:NBSK|LSAT_8X10660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVILSNSIFQPRKQGEAAEIEDYVTDCIEASSISLLLSFDPEDFVLSKDSQAKCAIFQESKSKVKAEAKFPMKINKQNQLLFRGSLLGQLRKESYKPRGRLLGQKYVYG >cds-PLY71965.1 pep primary_assembly:Lsat_Salinas_v7:3:25314369:25315241:-1 gene:gene-LSAT_3X18761 transcript:rna-gnl|WGS:NBSK|LSAT_3X18761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNLFYTWHRQSPSRAPRVPGTDSAAPGLDPSIIKTFPTFQYSSVKEYRRENYGLECAICLCEFKDENVLRLLTKCCHVFHQECIDLWLGSHKSCPCCRSSLDTPINSPEKSPVSLDSGRGMNEVRENGSNGDSVSIDIRDTNEINDESKMEKHVVIKVNEDREGERIQKTTTEGFGRSKSTGHSIVKKNNGCENDERFTLRLPQDVQAKLIPRHNWTRSCTEFGEYKSKTSSSNAGFGEVTLDRDERKA >cds-PLY99723.1 pep primary_assembly:Lsat_Salinas_v7:9:55358288:55359787:1 gene:gene-LSAT_0X9661 transcript:rna-gnl|WGS:NBSK|LSAT_0X9661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKQGELAVHTPKDLAHNPITQLQTKYKDLENGFKSWLAKQSLPVEAAVVTLTSAAQGAAMGGFMGTLTNDVSSAFPPTPPPGASINSQTMASFQQAQALAGGPLIQARNFAVMTGVNAGISCVMKRLRGKDDVQTSMVAAFGSGAMFSLVSGLGSPTQPANIITSGVFFALVQGGIFKIGEKFSKPSVEDVLYSETRSMLSNLGLQNYEKNFKKGLLTDTTLPLLTDSALRDVNIPPGPRLVILDHVQRYEN >cds-PLY64893.1 pep primary_assembly:Lsat_Salinas_v7:1:166320038:166322552:1 gene:gene-LSAT_1X111401 transcript:rna-gnl|WGS:NBSK|LSAT_1X111401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCSGSTSANGDEAVKKIIKLKPWKHTEPITGEQLKLMRDEFWDTAPHYGGRKEIWDALRAAAEADLSLAQTIVDSAGIIVQKPDLTICYDERGAKYELPKYVLSEPTNLVRGS >cds-PLY76950.1 pep primary_assembly:Lsat_Salinas_v7:7:52119520:52122828:-1 gene:gene-LSAT_7X39141 transcript:rna-gnl|WGS:NBSK|LSAT_7X39141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPKFATSKGLLETHELYKYIMENNVYPREPKPLKELRALTTTHPRAVMGTAPDAGQLIEILLKVIDAKKTIEIGVFTGYSLLLTALAIPEDGKIVAIDVDREAYEIGLPVIQKAGVEHKINFIESEGLPALDKLLEDPRNQGSFDYVFVDADKGNYINYHELILKLLKVNGIVVYDNTLWFGTVAKPEDSVPEGYRRGRTAIVEFNKALAADPRVDISMIPLGDGLTICRRL >cds-PLY80062.1 pep primary_assembly:Lsat_Salinas_v7:4:349341502:349343711:-1 gene:gene-LSAT_4X172081 transcript:rna-gnl|WGS:NBSK|LSAT_4X172081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEALQDSEIASRLVGFDVDNDDSLDDKYKKLQCEIVTLPHDTEDYQLFGKGIYFADLVNKSAQYCFTDKKNLVGLMLLSEVALGEMYELKKAKYMDKPPKRKDSTKGLGKKIPNESEHIRSKDDVVVPCGKPISSNVKAYELIYNEYIVYNTDQIIEEDKGSCCNVFWKWGGVSNEKIGGNKLEELSKSDAIQEFKQLFLEKNGNSWEAWEQKKLQKQPGRFYPLENVFLLPDGQQLASGSGYKNWVPCVAWSPDGKHLVSGSKSG >cds-PLY88623.1 pep primary_assembly:Lsat_Salinas_v7:5:139866232:139867735:1 gene:gene-LSAT_5X62161 transcript:rna-gnl|WGS:NBSK|LSAT_5X62161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKALTKEKKVTSSSQPTGKPTIVPQKNTTNLSSTKNTTLSTSNETKKTRPSPVSSSIPITKKHVPDKTNPRRSIDKSPSPSLHSPSPITRGRLRSPSPATRDRLRSPSPATRDSLRRPSPTMRDRLRSPSPVTRDKLRSPSPSIRDRSPKMSSLLSKTPPLSKHKDTPKSPPLPKPQKPKSPSIRSTMKQTKPTIKLAPKSKPSEFDLKRQKDQNTMILEQDFEKIQHGDESDFSHEMESEDGGYTTHSERSPMALEDDHEMPPSPNGSDTGSQCKESFEEQETEDLQYNLELESEQESEQKLEKEHKVEPEMEHEPEPILDPSPKMEHTEPTLEPESEREVLDLKLEPNLEPEPNLEPESSSKPEMEHKPEPKLEPESNPEHNEEDKPETKDGEEMDTKNMEEEKMVLEENIKVEGGGNEVKHGDTAADVVIVKSTELKDTADYNNVIEETVSKLREKKINKVSALVGAFETVISLQSEQPPG >cds-PLY66234.1 pep primary_assembly:Lsat_Salinas_v7:5:142859271:142864186:-1 gene:gene-LSAT_5X62360 transcript:rna-gnl|WGS:NBSK|LSAT_5X62360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:Projected from Arabidopsis thaliana (AT3G16630) UniProtKB/TrEMBL;Acc:A0A178VP70] MGGQMQQRNASATSLYDQSADGGSHHNAGSANDAGDAVMARWLQSAGLQHLASPVASNGIDQRLLPNLLMQGYGAQSAEEKQRLFKLMRNLNFNGESGSEPFSPTTQGSGGIGASDGFYSPDFRGDFGAGLLDLHAMDDTELLTENVDSEPFEQSPFMPAVTKAVENDQDTLPYRQQRGQTDVDASFGLPTLEKEISSRENNVAKIKVVVRKRPLNKKELSRKEDDVVSVCDNSLTVHEPKLKVDLTAYVERHEFCFDAVLDQQVTNDEVYRETVQPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAEDLVRLLHQPAYRNQKFKLWLSYFEIYGGKLYDLLSDRKKLCMREDGRQQVCIVGLQEFEVLDVQIVKEYIEKGNSARSTGSTGANEESSRSHAILQLVIKKHNEVKDTRRNNYNNNNDGNEKGGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQLHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGSKKDQAGSSQTTTKDSSSANTLSLSDNEDTKIVFTDTGNRRGAQPQRDSISSDFDKQQPLPPSSSNYTRDDTWQQASGFEKERNAYLTSNDTEETTVQKVSPPRRKSGVNWQKKDSSDVFSSVGSKQPSYMMTSSKQTEVEQPHDENINEILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDKPGSLIDNYVTQLSFVLSRKAASLVSLQARLARFQHRLKEQEILSRKRLPR >cds-PLY68598.1 pep primary_assembly:Lsat_Salinas_v7:5:85753:89500:1 gene:gene-LSAT_5X661 transcript:rna-gnl|WGS:NBSK|LSAT_5X661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galactinol--sucrose galactosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G55740) UniProtKB/Swiss-Prot;Acc:Q84VX0] MTVGAGISITDRKLNVFANPVLTDVHDNVFITSSTGDEMINGAFIGVQSSRTGSRMVFPVGKLEGFRFMSVFRFKLWWMTQRIGTCGQDIPPETQFLIVETNDGSHFGEDNEVADGCHQSPLYTVFLPILEGDFRAVIQGNTNNELEICLESGIEESCLVMSVERHLQTFCHRERKKMPDMLNWFGWCTWDAFYTDVTSEGVRQGIESFEKGGISPKFVIIDDGWQSVGMDPASVEAKADNTANYANRLTHIKENHKFQKDGKEGHRVEDPSMGLRHVVMDIKEHHNIKYVYVWHAIIGYWGGVRPGVAEMEHYDSKLCFPVSSPGMEWNEKCDALKAITKNGVGLVHPEKVFNFYNELHSYLASAGVDGVKVDSQNILETLGAGHGGRVRLARKYHHALEASISRNFPDNGIISCMSHNTDGLYSTKRTAVIRASDDFWPRVTASHTVHIASVAYNTIFVGEFMQPDWDMFHSLHPMAEYHGAARAVGGCAIYVSDKPGHHDFNLLKKLVLPDGLILRAKLPGRPTRDCLFCDPTRDGKSLLKIWNLNNLSGVVGVFNCQGAGWCKDGKKILTHDERPGTITGIIRAEDVNYLHTVADSSWVGDAIVYSHLGGELVYLPKNASIPVTLKPREYEVFTVVPVKRILSGGGGNGIGFAPIGLIQMFNSGGAIVEVKYDDEIEEQLGSVWLKVRGGCGDLFGAYSTTRPKRVTIDSNSKDAADFGYQQETGLITIKLPTTVPDKELYNAWNITIQV >cds-PLY62349.1 pep primary_assembly:Lsat_Salinas_v7:4:86632209:86633486:1 gene:gene-LSAT_4X58521 transcript:rna-gnl|WGS:NBSK|LSAT_4X58521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAADLPHRRRPIIKPKKIEKSLRAGLKGNSYKFLFGDLKEMTQMSQRLWVYFSLYLPLASLSLFQSPSAGNGSPLLSVSFLINLTSAMSMMGLYDTTYWLSWLTRHSPSPQPVVSHHGSKVYMFPIFRLGYKFTSFMFGKRYTKTQYVEVVPVLTCLIGHNFRASVVCGDMSHQDRYWALLFVPTDVDTQDLDIKDVRVVNNYDFLNGIEDYVHRIRITRRQGIGIVWVLL >cds-PLY77336.1 pep primary_assembly:Lsat_Salinas_v7:5:144965773:144969395:1 gene:gene-LSAT_5X65380 transcript:rna-gnl|WGS:NBSK|LSAT_5X65380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALAAPGQLNTTESPAWGSRSVDCFEKLEQIGEGTYGQVYMAREIRTGEIVALKRIRMDNEREGFPITAIREIKILKKLHHENVIKLKEIVTSPGPEKDDQGRPGNFDRNALELLEKMLTLDPSQRISAKDALDAEYFWIDPLPCDPKSFKPRKSDSNKDNKTKQQSVRKSNTNNSSSIRDCRHQCSSR >cds-PLY64152.1 pep primary_assembly:Lsat_Salinas_v7:1:1674359:1682666:1 gene:gene-LSAT_1X920 transcript:rna-gnl|WGS:NBSK|LSAT_1X920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLSMAVVAIIVEVGVVFTWAIAMVLELAVAVETTTVGTVAVTVWIALFHYMAMSDDRHRYMPLPDDRSPNRSKSLAYPEAVLLVDPLEPEFKGEVDDKYQYSCETSDLKVHGWISIDPSVGFWQISPSNEFRTGGPTKQELTSHVGPINLAVGIVKFCGTHNLIKKFSLTSIFSRTQMFVSAHYGGNDLVIKFDEGEAWKKVFGPVFMYLNSISTSEDPITLWEDAKKQMLDEVGKWPYRFPASKDFHQAEERGMISGKLMVYDRFISNEQKPAVDAYIGLAPPGDPGSWQFENKGYQFWRKTNEEGHFLIENILAGTYNIYAWVHGFIGDYINDTTITITSGCDIDVGHLVFEPPRHGPTLWEIGVPDRSAAEFYIPDPDIIYTNQFLVNRLNRYSVLYPDHDLVFTIGESDYNRDWFFAHVTRKKEENTFEKTTWTIKFTLNEVNTNGIYKLRLALASANVSDLQVRLNDPNKDLPLFSTGIIGGDNAIARHGIHGLYWLFNIDIPGTNLNTTIENAIYLTQENAGNPFYGVMYDYIRLEGPPSS >cds-PLY80559.1 pep primary_assembly:Lsat_Salinas_v7:6:13582036:13589894:-1 gene:gene-LSAT_6X11741 transcript:rna-gnl|WGS:NBSK|LSAT_6X11741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDTDVSKQIEQMVKFIRQEADEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKQKQVEVRKKIEYSMQLNASRIKVLQAQDDLVTAMREDASKDLLNVSHHHFKHQHNYEALLKALIVQGLLRLKEPSVLLRCRKEDLHKVESVLHSAKEEYAAKAHVHKPEIIVDHIHLPSAPSSDDPHALSCSGGVVLASRDGKIVFENTLDARLDVTFRGKLPEIRKLLFTQSIRASLSRLLTPTTSRHTALSSSFSGLELKSSSPSIQCIRESHLFHTTPQLLGRRGDRDDTVGLQTHKKGKFKKRPENAKPPVEAPYIPPKLKKPTKSSADKTIEIFEGMTTLELAQRCGQSVAMLQSILVNVGEKIGSEFDPLGIDIAELIAIEVGVNVKRLYSYEGSIVVPRAPVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHLGAFVVSMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTIEAMSHARSADVPIVVAINKCDKPASDPERVKVQLASEGLPLEEMGGDVQVVEVSAVTKTGLDRLEEALLLQAELMDLKARVDGAAQAYVVEARLDRGRGPLVTALVKAGTLVVGQHVAVGAEWGKIRAIRDTSGKPTNQATPAMPVEIEGLKGLPMAGDDITVVDSEERARMLSVGRKKKMEKDRLRKMNEEKLSLSGTESFSEENENDSVPERVELPIIVKGDVQGTVQAVTDSLKSLNSPQVFVNVIHVGVGPICQSDLDLAQATGACIVGFNVRTPPHSVTLAAAQANIKIKVHRVIYHLLEDIGNFIVEKAPGTYETEVAGEAQVLNIFELKGRSKSKGDDVKIAGCRVMDGQVMRSSTMRLLRSGEVVFEGCCVSLKRETQDVETVQKGNECGLVLRDCFDFQIGDVIQCLHQVNKKPKFISSESGAVRIEC >cds-PLY89956.1 pep primary_assembly:Lsat_Salinas_v7:2:191926248:191929454:1 gene:gene-LSAT_2X113381 transcript:rna-gnl|WGS:NBSK|LSAT_2X113381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQTRQHIFSRKNNLVIKEGKSLMESLFTSLLFAISLFLLLPISVAVNSIAANQGIKDGETIVSTGEMYELGFFSPANSDNRYLGIWFKKISTGTVVWVANREFPIPNKTGTLKVNIKGHLLLSCCGDTLIWSSNSSVSVRYNTNPVAQLLDTGNFVVKDGSSSSNKTNFIWQSFDYPGDTLLAGMKLGMDFITGTNRTLTSWKGPNDPSPGQYVDYVDTNGYPQIFLMQGLVINVRFGPWNGIRFSGLPNEMPNPIYWVDFVVNQKEAYYKFELKTSVVQMIRLKWDGSIAMWHWNNRSKNWVVYTSGLIDSCGRYGICGPYGSCNINRNPPCSCMEGFEPKSPEEWNIADWSNGCKLQIPLDCQGGDAFRKVIKMKFPDTRHSWYNRSMTLGECEIACRRNCSCTAYANLDIRKGGSGCLLWFGELMDLKVIEENQDLYIRMPSSLLTGPTVPQPDFNSKIQVLTIVLPIVALLICLSVAVYVFSMKKKRSYMKARGRRVHSIDRHNSDVQKEDLELNFFSLSIITKATNNFSVENKLGEGGFGPVYKGVLETGQEIAVKQLSRTSEQGYDEFYNEVVCVAKLQHRNLVKLVGYCMDGDERILIYEYIGYISPEYALHGRFSVKSDVFSFGVLVLEIVSGKKNREFSHEDLNDNLLGHAWRLYTEGKYLDLMSPSLQSSCIISEVKRSIHVGLLCVQNHAQDRPTMSSVVMMLGGDGLLPPPKQPAFFAEEGSRKHCTFSDVDEATITLLDPR >cds-PLY74468.1 pep primary_assembly:Lsat_Salinas_v7:7:41733377:41739782:-1 gene:gene-LSAT_7X31540 transcript:rna-gnl|WGS:NBSK|LSAT_7X31540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Stromal processing peptidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42390) UniProtKB/Swiss-Prot;Acc:Q9FIH8] MEASSIICGSAPLQVKSKSPFSSSSSNKSNYVVSRRNLPANNKKTVTLRCFHTHSRRAVTAPPRYFISKIVGDRITSPLDKPLIQRPLLDQCTRFSCFHHGRRKRTPICNSTSRMLSDKSIFHISKHGVNNVPEKRVQVSCAAVGPDEPHAASTAWPESALEQQSSDLLFDTESIEWRRELEGFLGSELPSHPKLYRGQLENGLRYLILPNKVPQNRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLRTGARSNAYTDFHHTVFHIHSPTSIQDADDDLLPTVLDALNEIAFYPKFLASRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSRRFPIGLEEQIKKWDADKIRKFHERWYFPANATLYIVGDIDNISKTVHHIEAVFGTKHAINETPVPPKTSAFGAMASFLVPKFTGGISADSSHDRSSSLQVDQSKVVKKERHAIRPPVEHNWSLPERNEDLISPQIFQHELLQNFSINMFCKIPVNKVKTYGDLRNVLMKRIFLSALHFRINSRYKSSSPPFTSIELDHSDSGREGCTVTTLTVSAEPKSWQSAIKVAAQEVRRLKEFGVTKGELARYLDALLKDSEQLAAMSDNISSVDNLDFVMESDALGHRVMDHKQSHESLIAVAGTVTLEEVNSIGAQVLEYISDFGKASAPIPAAIVACVPKRVHVDGVGEVDFKITPNEITTAIEEGLKEPIEPEAEFEVPKDLITLEQLDDLKLELKPSFVSVGLNSNDTKVHEKETGITQCRLSNGISINYKISKTETCGGVMRLIVGGGRAAETPDTRGAVVLGVRTLSEGGRVGNFTREQVELFCVNHLINCSLESTEEFLCMEFRFTTRDNGMRAAFQLLHMVLEHSVWLEDAFDRARQLYLSYYRSIPKSLERSTAHKLMIAMLDEDERFVEPTPNSLQNLTLQTVKDAVMSQFVSDNMEVSIVGDFSEDDIDACVMDYLGTIKAKRGAEKALEYTPVVFRPSPNDLHFQQVFLKDTDERACAYIAGPAPNRWGYTVDGTDLLDSISNETQGQLVKTENVNFDLEKRSRNHPLFFAISVGLLAEIINSRLFTEVRDSQGLTYDVSFELNLFDRLTLGWYVISVTSTPGKVHRAVDACKSVLRGLHSKKIGDHELDRAKRTLLMKHEAESKSNAYWLGLIAHLQAASVHRKDISCIKDLTMLYEAATIEDVYLAYEQLKIDEQSLYCCIGVAGAQAGDDVSGSSQEEEYVVGGIPGVIPVGRGSHMMTMPTT >cds-PLY84978.1 pep primary_assembly:Lsat_Salinas_v7:8:63067716:63068670:1 gene:gene-LSAT_8X45721 transcript:rna-gnl|WGS:NBSK|LSAT_8X45721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVSIAISSSFHDPHFYSLCISAPQLGCVVLTELVYAWLWIIDTKRGLFASQVKFHSPAAKLRPQLAPGEPEAPPKKDHVLEILAHRLWIGFFIDRFEVVQHNSAEQLLLVGRMLQLTRDYEIPMEIFPTSSHHCFFSHFHVAKT >cds-PLY76382.1 pep primary_assembly:Lsat_Salinas_v7:8:84357092:84359967:1 gene:gene-LSAT_8X62300 transcript:rna-gnl|WGS:NBSK|LSAT_8X62300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:BRIZ1 [Source:Projected from Arabidopsis thaliana (AT2G42160) UniProtKB/TrEMBL;Acc:E5KGE0] MTLFNVTTRTTLAFIVAVPNYLSPEEFITFCGSHVEYFTDLCFIRNDAVEERYSVLIKLVNQAAADGFCCSYNGKRFGPSETEVCHVYFAQLVEYTDSSEIASIPQPGYTELPTCPVCLERLDHDTSAIKITVCDHSFQCSCITKWPFWSCQVCRLCQQQDGKPTCAVCGTSSNPWVCLICSFVGCGRYEKGHAIEHFRHAQHSYSLELETQQIWDYVGDKYVHRLNQSKIGSKSIATNHQCESLEGECGDKEDDEVDGALFSTKVDAIVDEYNFLLATQMETQRQHYETLLAEAKSRKEILIGEAIQKAEAEKTHEIQHKLEQVAKETKSVSLINEDLAKEQENLKRKCKEVQDREIASLKSKEEKIVDLEEQIRDLKIYIEAQRTLATSSDREEIKGGTLLPVQPNQSSPKTNNNNNSRRRGGGGRKRN >cds-PLY76559.1 pep primary_assembly:Lsat_Salinas_v7:8:91293484:91312301:1 gene:gene-LSAT_8X63920 transcript:rna-gnl|WGS:NBSK|LSAT_8X63920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAKTSASFTLSWRRRILFSVVSRALAAVSRRDGTVNRRILKFIDFRTPASSKPINGVKTYDIVVDSTRKLWFRVFVPTQQSIEDLPVIIFFHGGGFVFLAPDQDIYDVFCRRLSRKLPAIIVSVGYRLAPEHRYPDQHDDCFDVLKFLDDEENCSKSLPEKTNISRCFVAGDSAGGNLVHHVAQRACEFNFQRLKVNGVLAIQPFFGGEERTNSEKKFDGKAPLASLKQTDWYWNVIMPPCESYNRDHPVINVSGPMAVDISKLDFPATMVVVAGFDFLYDWQKRYCEWLKKSGVEVYLVEYTNMFHAFYVFPELPESEQLMWDVKEFIQNVLMKVNLKKNGMEKMVAENGE >cds-PLY99847.1 pep primary_assembly:Lsat_Salinas_v7:4:45704749:45705949:1 gene:gene-LSAT_4X31120 transcript:rna-gnl|WGS:NBSK|LSAT_4X31120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPQRTIVDQVSGWLTVYDGGFVDRTWTGPPQFKFMSDPVPPHHNFNNGVATHDLFTHPDADHRVRVYLPEIPDSGKLPIILHFHGGGFCISQADWFMYYNTYTRLSREAGAIVVSTYLRLAPEHRLPAAIDDAYSTLLWLQDLADEKVHEPWLSSKGDFNRVFLIGDSSGGNIVHQVAKKAAGENLHPLTLAGAIPIHPGFLRSEKSKSELEKPESPFLTLDMLYKFLKLGLPMGSTRDHPITCPMGEVLQGVDLPPYLLCVAEEDLVIDTEMEFYEEMKKAGKKVELLVSNGVGHSFYLNKIAIDVDPKTSVETHKLIQGISDFIRNH >cds-PLY62043.1 pep primary_assembly:Lsat_Salinas_v7:5:331243516:331245084:-1 gene:gene-LSAT_5X185100 transcript:rna-gnl|WGS:NBSK|LSAT_5X185100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDPKLIMVCKLPPPEADKQRMSINQDDYSPAETLIIFDRPIPLIRRPIPVGEGTDDSFVLGFKDPQSWASAYKSCESNIIQQCEAGVRIGCSVSASSKCAPPWWKSMIGGGVSKEELIEREKCEEREMSECFETSRMNCRKFAEDKCLPAFTDARIVVKAGSGDEKAKKCVSELIGKVCMGENQKRVGGVELWTLKGSWSEIKSRIGFTILRGSDLLEKQF >cds-PLY93758.1 pep primary_assembly:Lsat_Salinas_v7:6:147686505:147687096:1 gene:gene-LSAT_6X88560 transcript:rna-gnl|WGS:NBSK|LSAT_6X88560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASHSIIIFIATLVVLATSISAKEYIVGDKSGWTLDFDYQSWAKDKVFIVGDTLVFNYASGAHNVMKVNGTGFQQCVVSSSNGTLTSGRDIIPLQTPGRKWYICGVGKHCELRNMKLFITVLPQTIAPAPSPVTTSASTKLIVPTVYGIVVALFGSVLLVLV >cds-PLY84816.1 pep primary_assembly:Lsat_Salinas_v7:8:24653178:24657476:1 gene:gene-LSAT_8X20341 transcript:rna-gnl|WGS:NBSK|LSAT_8X20341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptotagmin-3 [Source:Projected from Arabidopsis thaliana (AT5G04220) UniProtKB/Swiss-Prot;Acc:Q7XA06] MGFLGIFLGIIGVGIGIVIGLVLGFYLFIYSDPQNEPFEDPIVKPISELDTTSLQDLVPEIPLWLKNPDYDRVDWLNTFLLNMWPYLDKAICAAIRQTTEPIVADYVGQGHRPIEAITFETLSLGTLPPIVQGIKVYETNENQLVMEPAIKWAGNPNIVIVVKISFLRIKIQLVDLQVFAVPRVTLKPLVSAFPCFANVVVSLLEKPHVDFGLKVLGGDVMSIPGLYPLVQDIIKKEVAKLYLWPQTLQIPILDSSLGAVKKPVGVLHVKVIRATKLMKMDILGLSDPYVKLKLSGEMLPSKKTTIKKKTLNPVWNETFKLVVKDPQAQTLQVNVFDWDKVGSHDRLGMQVVPLKILQPNETKELTLDLLKNTNVTDPLKKQQRGQIMLEVTYAPFREDSDVVVTGTLNTPTKKENGAGFGGETPIGAGVLLVTVQGAEDVEGEHHNNPYAVIIFRGETKKTKIIRKTRDPKWNEEFQFMLEEPPIHDKIHIQIMSKRTRMSFYAKESLGHVVINLADVVYNGRINNKFHLIDSKNGLVHVELRWRET >cds-PLY82251.1 pep primary_assembly:Lsat_Salinas_v7:1:66524392:66525945:1 gene:gene-LSAT_1X58621 transcript:rna-gnl|WGS:NBSK|LSAT_1X58621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSQIYGALNLIVFFLAINVNALTAQNPKSSSTQINSNSVLVALLDSHYTELSELVEKALLLQTLEQAVSHHNITIFAPNNEALERQMDPEFKRFLLEPRNLKSLQNLLLYHIIPSRVGAKEWPEQEVVHHQTLCVDESDNRLPLTRGNSGEKMVGGLARVVRPDDVIRADGLIHGIERLLVPRSVQEDFNRRRSLSSISAVLPEGAPVVDPRTHRLKKSATPAPAGAPPALPVYDALAPGPSLAPAPAPGPGGPRHHFDGESQVKDFIQTLLHYGGYNELADILVNLTSLATEMGKLVSEGYVLTVLAPNDEAMAKLTTDQLSDPGAPEQIMYYHIIPEYQTEESMYNSVRRFGKVRYDTLRLPHKVVAEEADGSVKFGQGEESAYLFDPDIYTDGRISVQGIDGVLFPVEETTETPSSKVAPTTAPKVVSKQRRGKTVIP >cds-PLY96080.1 pep primary_assembly:Lsat_Salinas_v7:3:99044103:99044456:-1 gene:gene-LSAT_3X74400 transcript:rna-gnl|WGS:NBSK|LSAT_3X74400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDNRTDQEALESFIELAWTEPTFLRRWLVEVVGSMLQIAKADTLEEGTRQLAIEFVITLAEAKERAPGMMKKLSQFIIRLFCILLQMLLDIEDEPAWHTTENEDKDAGESSNCSVG >cds-PLY71803.1 pep primary_assembly:Lsat_Salinas_v7:3:58829658:58830816:1 gene:gene-LSAT_3X46880 transcript:rna-gnl|WGS:NBSK|LSAT_3X46880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVDGARKSGTVKWFNDTKGFGFITPEDGGEELFVHQSSIRTEGFRSLGDGETVEYVIENGSDGRTKAADVTGPNEGPVQGSTRGGGGGGRGGGGGDRYGGGGGDRYGGGGGGYNGGSRGGRGGGGYGGGGGGYGGGGGYGGGGGGGNACFKCGESGHMARECTQNGGGGGGYGGGGRGGGGYGGGGGRGGGGGGGGGCYNCGEDGHFAIECPTSNNR >cds-PLY87943.1 pep primary_assembly:Lsat_Salinas_v7:4:33085086:33085385:-1 gene:gene-LSAT_4X21701 transcript:rna-gnl|WGS:NBSK|LSAT_4X21701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMDENTLRTNPTTTTICHYEDQMVPSMTTSLFRGGEEESSLEIGNFREDSRAKVPINQGVDGDGDGHDQTVRTGNMQPPIDITRALRISDXEGMENFG >cds-PLY98789.1 pep primary_assembly:Lsat_Salinas_v7:7:22345602:22346127:-1 gene:gene-LSAT_7X17000 transcript:rna-gnl|WGS:NBSK|LSAT_7X17000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNILINLPYAALKAREYLDKPAIHETMVKVSAYLLGEYSHLLARRPGCSPKDIFVIIHEKLPTVSTPTISILLSTYAKILMHSQPPDPELQNQIWAIFSK >cds-PLY79108.1 pep primary_assembly:Lsat_Salinas_v7:2:16275494:16276284:-1 gene:gene-LSAT_2X11060 transcript:rna-gnl|WGS:NBSK|LSAT_2X11060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITTFTPCLNFITNSTTNGSSTPTSDCCNSLKSITSSGTDCLCLIVTGSVPFQIPINQTLAISLPRACRMPGVPLQCKAAAAAPIPSSAGPAPSPGSSSTVQETMTPTSAPESKTTPTLAPQSDMTPDLSPPSTGVDTTNQGSRPTVTPSAAASNYVYSPFILVGTGVTFIGYFLF >cds-PLY93452.1 pep primary_assembly:Lsat_Salinas_v7:9:57916163:57916414:-1 gene:gene-LSAT_9X50921 transcript:rna-gnl|WGS:NBSK|LSAT_9X50921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTENRQGIPLITGRFDSLEQLDEFSRSF >cds-PLY64654.1 pep primary_assembly:Lsat_Salinas_v7:7:135884365:135886653:-1 gene:gene-LSAT_7X82001 transcript:rna-gnl|WGS:NBSK|LSAT_7X82001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANVPISNHHLPSSTWSTTSDNNNPDYNSLIEVTFAQKSTTPTTNPNLLEAQTLSFPRRPRSGHRLPATRNKFEFIFEGEGEDDYNREMLIAKHEVKSHMMEVKVKYGAMDETKKELEGLSVIFVKGEEVDLNFLARVADGAYESELASMTERMSRAQLIGFMESLQGEWCGRSERRKVVDAAEFVKALPIDWKIELALRRRAGLHSIYCRSYVSPSGEHFKSCKDAAIYLKNQSLITDAHSEEGQMRQTENGFHTVPTFEVNGVEDSSVVEVEEDVDWSTTGELYDVKVSTLIECSPCGIVFQDMRELERHLATFHKETTRRFDLPSGTRAFEKHADMDLGNEEQEEVEIINERFKTHCTWCNKEFICEPVDTETMEDASGFMCQPCKDKLCGAFERSLLKCYKD >cds-PLY78361.1 pep primary_assembly:Lsat_Salinas_v7:9:9505613:9507173:-1 gene:gene-LSAT_9X7421 transcript:rna-gnl|WGS:NBSK|LSAT_9X7421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWNQQPFGKTDVESGTSGVLYPTMLESPELRWSFIRKIYSIVAIQLLLTVAVGAVVVSYHPIVTFMTTTTGGLVCYIVLIIAPFITLCPLYYYHQRHPINYLLLAIFTVTLAFAIGLTCAFTSGKVILEAAILTAVVVVSLTLFTFWAARRGYDFNFLGPFLFGAIMVLMVFSLIQIFFPLGKISVMIYGCLASIVFCGYIVYDTDNLIKRYTYDEYIWASISLYLDIINLFLSLLTVFRAADS >cds-PLY98526.1 pep primary_assembly:Lsat_Salinas_v7:1:36297451:36300069:1 gene:gene-LSAT_1X30640 transcript:rna-gnl|WGS:NBSK|LSAT_1X30640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFPVRRVWIAVAARVKSRNNGGGLLKLHDDIQTCGYEDVQVMWEMLHRTESELTSNSRRKRKPRSFWKIFIWQNNNTCSSPFSIDHA >cds-PLY99697.1 pep primary_assembly:Lsat_Salinas_v7:9:56292072:56297835:-1 gene:gene-LSAT_9X47901 transcript:rna-gnl|WGS:NBSK|LSAT_9X47901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKADEISDKHDREPINDVTTGKNRTSENKQHTTSETSNLESVSSSYSTENAESKVNMKISDTNKFNYPNGLEHRDEPNTLSGSVIQNTVPSSSTSVDVEKSSHSSDEHENVKVSVGNQKDIVTQTQKKEVGTNNDDGNLPEDPIKEQGVEEEKVENAPAAVNVKDSSADDSDESDVVEHDVKVCDICGDAGREDLLAICCRCIDGAEHTYCMKKMIDQVPEGDWLCEECKLSEENKRTNSSGQTDTEPASTFIKPGSKRPAGEESRASKDQIKVSGKRRLEESESFTSVKKQALEMITGSPRTSSPSRLHAVTDSPRLQSSKGAFFKSNSFNFSNAKSKTRLVDEIVLQRQKSIKERDSHDSREMGKSTSFRSTNTGRFGTSGSKVKMLSPNPSHVPDLKSLKNKKERSFERSNSIKLPSVSNLSTSSSSGLTPKVDKSQVSSPGVGTASSGNGITNSMEPKPVVLKDENTSKQVVNKESTNLADGVKESSNSTGLTPGTSGYKHTGHSAQTHTADKVQVSNASGVRNTKEVKNRDNKLKDAIEAALLKKPGLVRKNRVSDQSDESSAEVLTDCQGQLSRSSNVDHSKQSNGNNLKHFEGKHSTMELSSYDPVSMSSSLLKVPAIPDHEYIWQGSFEINRSGKTAEFWDGLQAHLSTCASPKVFEAVNNLPHKIHLNGVSRISAWPEQFENSGVKEDNIALYFFAKDVESYEKSYQVLLDDMIRGDLALIGSINGVELLIFPSNQLPEKSHRWNMLFFLWGVFRGKKKKPVDPAKNGIPQVASSIPADKISMVEDVPLPLPGSMDKDKHVDLESKVNLKLPTSFSSSQEKEKATIDSTVNVVPAEIITFKPDINETLSMSVSSNKTDDGQHPVVKYTRNPKVEQIQSSFLPQVPTQELIESKKRAFIDLSEDDDDITTTTTNQNQTNTWRDATTRITVTEEEGSASKKQKSDDAADTYEMQNSNSNSANIFSNQKSEVEKTSNGERFFFPVDINSAFSPDTDTDRVGLGLDLNEDIMTKKDDDDMDDDMSSSLSLSLAFSPGKISKDEGNNRHSTTPPSMLLFRDIVDK >cds-PLY95545.1 pep primary_assembly:Lsat_Salinas_v7:6:175050666:175052078:-1 gene:gene-LSAT_6X107080 transcript:rna-gnl|WGS:NBSK|LSAT_6X107080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENNYSYSSYPDSNTSTPRSREVDCETASYDEQLANNNPNPNPNNNASNYKVKLMCSYGGKILPRPHDNQLTYIGGDTKILTVDRNIRYALILQKLGSLSDSTDICFKYQLPGEDLDALISVTNDEDLEHMMTEYDRIFRSSVKPVRLRLFLFPLSPSSYGSGGESKTEQQWFVDALNAVQISSAQASSPASENPDFLFGFDKGNAPVQAPSKVQDVAAVVVPQANVSEGARPESECGSEDSNSNRLVISDPVVSPTVEIQRQIQESQRMQIAASHEQAMNIDPRAYYGDYYTQKIQPAPATGQPRYWQEQRHMTTGGYTMSVAGTDSPVYLIPSSNGVYSSQAPSPAPAAPAGQTLRPATVQVNQGQAYYGMQRMVNQPEFYREQPMYSTMTQTPVQQQKIGTYQEAIGMVRPQAEMGYGQVGIDATGRQVYYTTSQGGMPTSYQPVVAGPGLAVNQEGKMVVNPNKAP >cds-PLY67863.1 pep primary_assembly:Lsat_Salinas_v7:3:232745764:232746063:1 gene:gene-LSAT_3X130060 transcript:rna-gnl|WGS:NBSK|LSAT_3X130060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQMRSSSSHDEIACGPIKCSYGWCPLLCCGPASTLMVAAAGRVCDAGVKQGQLKILQGALAGMGEKVRVSEAGHQVLAEQNNIVAYEKVTLEDQVDTL >cds-PLY82660.1 pep primary_assembly:Lsat_Salinas_v7:5:84867638:84868979:1 gene:gene-LSAT_5X38981 transcript:rna-gnl|WGS:NBSK|LSAT_5X38981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMKTEFCSNFCIPIFPARENNYFAISNGLILCCWRAPTPFVYYICDPLTRQWITLPRRGHRYHDSFKEGLITKVNEDHMLTSYTVVRLEHLTLNYLNLETFSSETGKWITYKLPCPIPILWRQLACAPIYCYGALHWQVINDKGIHVMLAFDPYKDPKSVCLIPFPDDRDLKSEHNYMGACQLCGESQGSLRYFEVAHDSTQLYLFSMWVMKDYEKGEWCCEFKVRRNDLHSNDLELSNWLLDGWFRPLSFHPLNPNVVYLQCMEPERIVSYNILNKRLDFASKPTDSAQLILWSTAIPFVLPKWPVLDPITTVKSKKRG >cds-PLY79572.1 pep primary_assembly:Lsat_Salinas_v7:8:118278500:118278754:1 gene:gene-LSAT_8X82061 transcript:rna-gnl|WGS:NBSK|LSAT_8X82061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDNNLEPKANDVIPNALAPDVDAPMQQQLVMHPDHDMYMHEFRCLHTDYRRLHRDYFDLYESACDMNTEMVELRDEFYSFHDS >cds-PLY63364.1 pep primary_assembly:Lsat_Salinas_v7:7:148960266:148961594:-1 gene:gene-LSAT_7X88201 transcript:rna-gnl|WGS:NBSK|LSAT_7X88201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKTFFSKKNKVRNKLAILLHSSEPNVFITTHQQPENSEISFNHRSSNASLPSPVMSSCSSPASFMSPINHIPSPYSESPWTLPHDSNGEDGIIYNTGLIGSLIREEGHIYSLASSGDMLYTGSDSKNIRVWKNLMEFSGFKSSSGLVKAIVVFGNRIFTGHEDGKIRVWKYSDKKKKAYKRIGNLPSTKDYIKSSMNPNNYIEARRHRNLPWIKHYDVVSCMCLDEETGLLYSGSWDRTIKVWRLSDSKCLESINAHDDAINSVVAGFDGLVITGSADGTVKVWRREFIGKTKKHLFAYTLLDQDSAVTSVVVNASQATVYAGSSDGLVNFWVRKKQSLSHGGVLRGHKLAVLCLATAGSLLLSGSADNSICVWRSEGSGVHTCLSVLNSHTGPVKCLAVQDRNEDYDDDDDDRKDEEWIVYSGSLDNSLKLWRVSEILA >cds-PLY63331.1 pep primary_assembly:Lsat_Salinas_v7:9:133459701:133464211:1 gene:gene-LSAT_9X85980 transcript:rna-gnl|WGS:NBSK|LSAT_9X85980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDMFGSVRRSLVFRTPDGGDPGTLADKIHSCIRKSRVFSRTSSFLPSPPRVVKENDEKVPTIRWRKGELIGCGAFGQVYMGMNLDSGELLAVKQVSIAVNSASKEKTQVHIRELEEEVKLLKNLSHANIVRYLGTVREEETLNILLEFVPGGSISSLLGKFGPFPEAVIRMYTKQLLLGLDYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKQVVELANGSGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTIIEMATGKPPWSQQYQQEVTALFYIGTTKSHPPIPDNLSFEAQDLLLKCLHKEPEMRPSASDLLQHPFVTGKSQPNSHVATPTMENIEAPSTSCSSILDNSPGSMCNLGTINFSAVSFEESKLEWRADNSDNDMCQMDDINKSFNPMEEPSVNESCILKQQGTLDIEQCFEKVVGDVVQSPSDSCTSFQISRSLSEDDDELTESKIRSFLDDKALELKRLQTPLYEEFYNSVIPSCSIDNNTETSTNGGGGSPNYLKLPPKNRSPNPPYKPVDVASNSSPMSGGEESTHTHTPMDLSSPQSNNNDLTGAESQQPNTNVDFSEVQRKWKEELDQELERKREMMRQVGVGGKTSSPIDRGSNRQRDKSRFASPGK >cds-PLY67908.1 pep primary_assembly:Lsat_Salinas_v7:1:54860095:54861635:1 gene:gene-LSAT_1X49181 transcript:rna-gnl|WGS:NBSK|LSAT_1X49181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAADVDALDFEPDDDDLMDEDAAVDVDASSPRASAPIPKLKSAITGGSVAVAPKKTKGRGFREETDAERNNRMSGRFDSLDSDGGPGPERSIEGWIILVTGVHEEAQEDDLQNAFGEFGEIKNLHLNLDRRTGFVKGYALIEYESFEEAEKAIAAMDGGELLTQNVNVDWAFSKGPFRRRNNRRRSPRGNRSRSPRRRF >cds-PLY78550.1 pep primary_assembly:Lsat_Salinas_v7:1:105786390:105786731:1 gene:gene-LSAT_1X83060 transcript:rna-gnl|WGS:NBSK|LSAT_1X83060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFCVKFRSGLIQKDRGTTRSHPACEDDNEESGGSTKISRTTEEENYSNLETPSSGGTTTQHPTGRDAAKRKEKCKVSNEIVEELRAMRLSRDTEVKVMKKTRRGSTKGTNNH >cds-PLY85881.1 pep primary_assembly:Lsat_Salinas_v7:5:96616311:96621515:1 gene:gene-LSAT_5X48640 transcript:rna-gnl|WGS:NBSK|LSAT_5X48640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTAKWIEEVRTERGTEVIIVLVGNKTDLVDKRQVSIEEGDAKARELGVMFIETSAKAGFNIKPLFRKIAAALPGIENISSTKQEDMVDVNLKSSNNASHSDQQGGGCAC >cds-PLY66900.1 pep primary_assembly:Lsat_Salinas_v7:7:19814445:19815711:1 gene:gene-LSAT_7X16661 transcript:rna-gnl|WGS:NBSK|LSAT_7X16661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISLGVKSKTGDLVKCEVIDGGELKSRRHLNVHSKSATLHSITDKDWEDIKFGVDNEVDFYVVSFVKDAEVVHELKDYLKRCNANVRVIVKIESVDSIVNLPSILSASDGAMVARGDLGAKLPIEEVPLLQEDIIRRCQKLQKPVIVATNMLESMIDHPTPTRAEVFDIAIAVRQGADVVMLSGETDHGNFPLKAVKVMHTVALRIESSSQVNLNSVSLSRHLAYKSHMGEIFAFHAATIANTVATPIIVFTRT >cds-PLY75761.1 pep primary_assembly:Lsat_Salinas_v7:3:67540388:67541226:-1 gene:gene-LSAT_3X52600 transcript:rna-gnl|WGS:NBSK|LSAT_3X52600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEAERLLLQAALENPANQRFLLLSDSCVPLYNFTYIYNYLMGSSKSFVDSFLDMKEGRYNPRMSSIIPMRKWRKGSQDLDKVEF >cds-PLY96399.1 pep primary_assembly:Lsat_Salinas_v7:2:89572427:89574278:1 gene:gene-LSAT_2X37560 transcript:rna-gnl|WGS:NBSK|LSAT_2X37560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFQKPIVIAFLLLTQVIRVFADCSCEDEEIHGNKSETLKYKLIALASILIAGGIGVSLPFAGKILPALRQEKDGFFFVKSFAAGVMLATGFIHILPDAFESLTSPCLKEQVWGDFPFTGFVAMVATIVTLMFESWSAACQPKNQTVAVEGVDDEEKNVGVVTVHMHATHHHSHANDNIQVYRYRIISQAKIKSLAIISMGAFFTLTTPGGIVIGILMSNSYKENSTNSLIVEGVLNAASAGMLIYMALVNLISPDFNNPRMQNKKMLLLGSNVFLLLGAGLMSLLAKWA >cds-PLY86268.1 pep primary_assembly:Lsat_Salinas_v7:8:59022174:59023128:1 gene:gene-LSAT_8X42860 transcript:rna-gnl|WGS:NBSK|LSAT_8X42860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAELTTTVATTTTRRFLILYNQCTRLGDNSEVNPILSNLEFKEVEKTRTSNSFPEFHIGMFKDLGSADGSVREADADRLVMELQAVQKAYNMLEKKEDVDGGLKLEAEKDNGFNSCAPSLR >cds-PLY75790.1 pep primary_assembly:Lsat_Salinas_v7:3:67991414:67994137:-1 gene:gene-LSAT_3X52320 transcript:rna-gnl|WGS:NBSK|LSAT_3X52320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRSNARVAVAAVDHSDLNTDHNKMDNSIKLKFRSNQFLRPTNLFSRNHKQNHQSKSKILAIGFLILVCVLSCYVYLNLQHSTQNRYRIVIDGGSTGSRIHVFEYVIEDGAPVFDFGSKNSLGSMRITPGLSTFAEDPDSAGVSLLELLAFARKRVPEKQWRKTEVRLMATAGLRMLDLGVQERILESCRKVLRRCGFEFSDDLASVISGSDEGVYAWVVANYALGTLGGDPEETTGVIELGGASAQVTFVSRESIPSEFLQTVKFGKISYKLYSHSLLHFGQNIAFDLLQESIVAKGPNSGRTVDPCSPKGYEHNVMTRKEKLTPTLVKHEQLSNLHATGNFSECRIASLSFLQKDKDKCAYEKCYIGSTFIPKLEGKFLATENFFHTSKFFGLSPRTFLSELMVVGPKFCEEDWSNLKVKYPSFHEEDLHRYCFSSAYIVALLHDSLGIALDDTRIGYANKVNDIPLDWALGAFILQSFSDMGVDHFDPMRSIFGNESLTFLGLLAFVAVLLFVVYFVSKWKKPHVKTIYDLEKGKYIVTRVGRFS >cds-PLY77429.1 pep primary_assembly:Lsat_Salinas_v7:9:107910615:107913011:-1 gene:gene-LSAT_9X75581 transcript:rna-gnl|WGS:NBSK|LSAT_9X75581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIMDEEDTQYQARVFNQNFSRFRHLLKEDESYIVIKPNMAAVTNGFSYTDVIGHIVSFRPLETSNPVPSKHYIKLTLSNLDSVHLKVTIFGSQAYQISEYLKNNPTVNFVVIVMQFLKLNIWNGLGEAKSHFEVTKLFINSDIYEINEFKNKLKCHDNFGITEKSITTLQSYSSSYVDDFKGNFPLKTVCEITEPIKEMKFLLVVSIVNIRQNLPWYYEACKKCGKKIILVPKANHSYTNPEGISETMVVECTNAQCKKSEFQSVIKFIIPINVQDCTGTIGLTLFDREARRLLNISAYELKKIHDAAGDSDALFPMQLNVLKNLKFGFVVDTTEYNVNNYNNIYTVLRVTEDMSIVSELESKIELMSIQSVSLNQVALESDDVVQPVQKDVISQTDESFTPSTVDKSTATSPSKISGDLKRNLQEIYDVDSGDDLSSTKAKRKSTAEETPLLIPKMEK >cds-PLY83325.1 pep primary_assembly:Lsat_Salinas_v7:1:63906484:63910003:1 gene:gene-LSAT_1X53400 transcript:rna-gnl|WGS:NBSK|LSAT_1X53400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSACFWVFTTLYLYTSNASPITGISSSKTIESLATNQQLYDGETLVSPDQRFELGFFCPSNPCKDVKFLGIWYKNIAPTTVVWVANRKRPIRRTSSGVHLMLDDYGVFVIQDGSKRVIWRSPPKNYSPSVGRKPVLQLLQSGNLVVRDCDINLSCGGYIWESFNHPSDTLLPGMELSLDYGSRLRYRAITSWRKKDDPSDGDFKFGFDRSVQAPQLVLTKENGVRLSRWGPWDGQKFSGMNSLMDNPILSPTLRFDNDQVSLKFEALNRSILLRLVLSPLGSLQFLWWKSKNEGWITILTLNKDNCDRIGSCGPYGICYSDDPSCRCLEEGFMAISSVDWCGFECSSGCKRKNDLNCTAGDGFLKYEQMKLPDNSSVWGALSINECENKCAKECSCMAYTSLNMYGNGSIICVVWLNDLIDLRTVHGGGNDIYIRMSHIELALEQEQSPLTEFGGSQEEDSDCRVFELDEIATATNNFCTSNEIGSGGFGRVYKGELPRGEEVAVKRLAESSWQGIREFKNEVTLIAKLQHRNLVKLLGYCIEGNERILVYEYLSNHSLDHIIFDQFKKRLLMWDNRFKIIKGVAKGLLYLHDDSRLRVIHRDLKASNILLDSEMNPKISDFGLARILASEKGETTNRVIGTHGYMSPEYIMNGHFSTKSDVYSFGVLALEIISGKKNWGFQHPDHNLNLLGHAWKLWTEGRSSELMEPVLGDSIIQEDEIVKCIHIGLLCVQKQPEDRLTMSEVVNILQGEHCINVRQPDEPGFFAGRSMMGLGFSTTAERNLESVNEVTMTTISGR >cds-PLY93929.1 pep primary_assembly:Lsat_Salinas_v7:1:196261008:196276041:1 gene:gene-LSAT_1X127401 transcript:rna-gnl|WGS:NBSK|LSAT_1X127401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAEVANGAVATLSSYPKGDVKNNTNTNQASKKSRETDRRRRRRKQKKNKSVGGEETNNDASAKDDGALTGDDNAKENSDPQKVLEQVEIEYVPEKAELDGYFDDEFRKIFEKFTFKETAASEENDKTEETAANAASNKKASSDSEEEEQDVQQKDKSGVSNKKKKLQRRMKIAELKQISTRPDVVEVWDATSADPKLLVFLKSYRNTVPVPRHWCQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDNKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYHEGKEFEVKLREMKPGTLSHELKEALGMPEGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPPGASFGYHPGGWGKPPVDEFGRPVYGDVFGQQEELPNYEEEPVDKTKHWGDLEEEEEEEEEEEVEEQYEEEDLEDGIQSVDSLSSTPTGVETPDVIDLRKQQRKEPEKPLYQVLEEKEEKIAPGTLLGTTHTYVIGGAPQDKPSGKRVDLLRGQKTDRVDVSLQPEELELMDNVLPAKYEEAREEEKLRSQKEDFSDMVAESGVSGSQERPSGVRSVKSVRSKECQECPESGASIRSQECQECPEARSVRPESGVSGVSEVRSVRPESGVSSVSRFRSVLPDSGVIGSQERPSGVSKNQERSSRVRSVRSVRMSDVFVRS >cds-PLY92191.1 pep primary_assembly:Lsat_Salinas_v7:6:74204490:74205668:-1 gene:gene-LSAT_6X54001 transcript:rna-gnl|WGS:NBSK|LSAT_6X54001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCLSKSWNALLSVPSFIKSHLHRSIQNNKNDGILLFFTKPFSFDSKPFIARPYRSPNLELTNFIKLPVKPQSENSRGKVIGSVNGLICFKYGSNHKPKHTLCKRDPDSYFIYGSDHGSEDIYIWNPSLSALLTLPPYSMPSHSIQQFFRLGFDPKTDDYKVVKITRRLLILPNAIAYMEWLPVEIYSMRKGSWKFITQRVPLHYQEIYDFDYLCVDGHVGHLHWHGGYYLGKLVSKTILAFDLDAETFSEITLPDSVNGDNVNNVSTIIGVLDGKLCAISKAHPDYECVVWVMDEYGVAESWVKHHVFPSFRGDIYPYGFTLQNEFLFQLSFRVSRFSHALYDPVAAKTKIFKFVTYMDREKVVDYVDSLVWVTPAEQRGRSCCSISQFQF >cds-PLY70405.1 pep primary_assembly:Lsat_Salinas_v7:9:124028702:124031240:1 gene:gene-LSAT_9X83321 transcript:rna-gnl|WGS:NBSK|LSAT_9X83321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLESDVFRIPSGYNAPQQVHITQGDHVGKAVIVSWVTEDEPGSSTVLYWRHNSSNKQTANGKVSTYKYYNYTSGYIHHCNIHNLEFNTKYYYKVGIGNTTRTFWFMIPPKVGPNVPYTFGIIGDLGQTFDSNRTLSNYEMNPVKGETVLFLGDLSYADEYPFHDNARWDTWGRFVERSVAYQPWIWTSGNHELDYEPKIGETKLFKPFLNRYHVPFKASKSTHPLWYSIKRASAYIIILSSYSAYGKSTPQYKWLRKELRKVNRSETPWLIVLMHSPWYNSNNAHFMEGETMRVVFEPFFVQSKVDIVFAGHVHAYERSERVSNIAYNIVNGRCTPVKDQSAPVYITIGDGGNIQGLASNFTEPQPAYSAFREASFGHATLEIMNQTHAFYAWHRNGDECSVQADSLWLYNRYWYPVDDSTAKS >cds-PLY98035.1 pep primary_assembly:Lsat_Salinas_v7:1:112948392:112951854:-1 gene:gene-LSAT_1X88201 transcript:rna-gnl|WGS:NBSK|LSAT_1X88201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G01950) UniProtKB/TrEMBL;Acc:C0LGJ7] MVVNVLHLYLYSCIALTSILCELGSSLRPRSIVKPDPYRSSSAIKTDSEALIEFKNMIEDDPDGVLSSWQLTNSPCKWHGVTCTQGRVTQLDLSDSDLEGSISFSPFSSLDMLTLLNLSENKHLFINSTSIFQLPFGLEQILLSNSGVTGSIPDKFLVKFKNLAVINLSQNSLTGVLPETLVSNPDIVQVLDLSSNSIFGTIPVSFSNYTNLKNLNLSYNVITGNIPKSLSKLKNLQVLDFSHNLLTGWIPEELGNLCESLLELGLSFNNITGTFPASFSSCSWLRVLDLSNNNLTGPLPDSMFQNMGSLERLILSNNKISGLFPISISLCQKLKVVDFSSNMFSGTIPSGICPGASSLEELRVPDNLISGEIPEELSQCSKLRTIDLSLNYLNGTIPAKIGNLENLEQFIAWYNGLEGNIPVELGKCKNLKDLILNNNHLSGKIPVELLNCSNLEWISFTSNSLSGEIPPEFGLLSRLAVLQLANNSLSGKIPVELGNCSSLVWLDLNSNKLTGEIPPRIGRHIGAQPLIGILSGNTVVFVRNIGNSCKGIGGLLEFAGIRPERLLQVPTLRTCDFKRMYSGPILSLFTQYQTLEYLDLSYNDLTGIIPDEFGEMIALQVLVLSHNQLSGEIPATLGHLKNMGVFDASHNRLQGHIPESFSNLTFLVQIDLSNNELTGQIPTRGQLSTLPETQYANNTGLCGVPLPECNIYNPAADAGGDVGRYGGGGRRSSAKTWANNIIMGILILVALVCLLIVWAIAMRVKRKVIEEQQMLEQLKASHSATTWKIEKEKEPLSINVATFQRQLRKLKFSQLIEATNGFSSESLIGCGGFGEVFRARLKDGSSVAIKKLVRLSCQGDREFMAEMETLGKINHKNLVPLLGYCKIGEERLLVYEFMKFGSLDEMLHRRTSDRRILNWDERKKIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDHEMEARVSDFGMARLINALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSLGVVFLELLSGKRPTDKEDFGDTNLVGWTKMKVREGKSREVIDPELLLSTNEQEREDVKEIIRFLEITLQCVDDFPSRRPSMLQIVASIRDLNVPRNPVT >cds-PLY67530.1 pep primary_assembly:Lsat_Salinas_v7:6:71510741:71513396:-1 gene:gene-LSAT_6X51220 transcript:rna-gnl|WGS:NBSK|LSAT_6X51220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQLINDGSWWWEVISSNKDQLTQLAVGTISAMILAILWHILKSLSSSDGAPPLPPGPRSLPIVGYLPILGGDMHKQFSNLAHIYGPIFKFHLGSKLHVVINSPDIAKVVVREQDEIFANRNPSIAAFTMSFGARDVIWSDNNSDWRNLRKLFVHEVLSNKNLEACRYFRRDEVRKTIKNIYSKIGTTINISEIAFLTEVNVLTSMVWENTSDAFAKGSHFVAELKMIASNVVELMGQPNVSDIFPSLAWLDLQGVLRKTKKLLYQVDQIFTSIIDDRIESNSRKPKDAVRPQGKKDFLQILLDLMDHEDATSISITQIKGLLLDIMVAGSETTTTLIEWAMAEIMRNRDIMKRIQKELVDVVGLDNIVEESHLLKLQYLEATIKETFRLHPVLPLILPRSPSKDCIVAGFTIPKGCTVLLNLWSIHHDPKYWDNPLNFNPERFLTNKYDFKGSNLNFFPFGSGRRLCPGAPLAEKMQMYILASLLHSFDWSLPEGEEHDLSENFGFTLKKKEPLIVVPSQRLPNVSLYM >cds-PLY77978.1 pep primary_assembly:Lsat_Salinas_v7:1:22286818:22288721:1 gene:gene-LSAT_1X19380 transcript:rna-gnl|WGS:NBSK|LSAT_1X19380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKWILFNIIILICFQISSIRSQQHYTANQVLNCKTNDEFLYTCNGHHHHHLSCQSFLIFKSKPPFDSVTTIAALMSSNPHELSRINHVTETAVFPIDKDVVIPVNCSCSDRFNYKAITTFLLSPLAKTYFIVANLTFQGLSTCSSLMHANRYSEFDLEDGFELKVPLRCACPTKDQFLSGTKYLLTYSIVFKDNVPDIARRFNVSVESVLKANGFSETNPTLFPFTTILIPLAEEPSISGRVIRPETSTVPSAKRSKSKKPLKVGISVVCSLLVFLGVLVIYMRKGKKVQDSEEMLHSRKDLLVEIASFERIIKAFSFRELQKATKNFDHKYRIKDSVYRGVFGNQIVAVKKTGIDVSMQVNMLSKINHINLTKLYGFCMNQDSLYLVFEYMKMGSLRDWLKSGKRSKETHSLCRRVQIAMDVAHGLHYLHNFAKPMYIHNNINSSNILLDSNLRAKISNFNHARTTDHIRVLTTRIVGSRGYMAPEYVGNGSVTSKADVYSFGVVMMELISGKDAVFREGGQEVLLPTTMATIMDDGVNAERELSCMIDLADEEDGSMEYVVQMMKLSLTCVKQDPESRPSMDEVVSSLVRIHVDLQRTVDRCSGRKILTKSDPFNEINVCDDDKEGCNV >cds-PLY74799.1 pep primary_assembly:Lsat_Salinas_v7:6:120396992:120397445:1 gene:gene-LSAT_6X72221 transcript:rna-gnl|WGS:NBSK|LSAT_6X72221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKNLHSYQNAHMEYNQRSAPVDSVNATTTVFKCYILVIYIQAIILETNLKTLEFWTRSYGCTISCPKFIFFDREFKGVYGFWVTMEY >cds-PLY94958.1 pep primary_assembly:Lsat_Salinas_v7:4:112754146:112754905:1 gene:gene-LSAT_4X72021 transcript:rna-gnl|WGS:NBSK|LSAT_4X72021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKICITRPKFLIGEDYGAKYAISVARGNHNGLNLNNEPFWLSPTKGFIRGIKSLFAFLAEQPSQLKYIEWPGFQNTLKTAMLTLVLVAMLIVGLSSVDSGLWYLLVTILRKPA >cds-PLY69134.1 pep primary_assembly:Lsat_Salinas_v7:5:284395237:284396726:1 gene:gene-LSAT_5X151201 transcript:rna-gnl|WGS:NBSK|LSAT_5X151201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSKEEERSALYQKIKQLRSLSHSNAVHKTSIIVDASKYIEELKKKVERLNEDITSSSEYSTLPMQVRVETLDKGFRINVYSEEDCPGLLVSILEAFEELGLDVCDAAVSSSNKFHLQAISENEGHVDDIDAHVVKQAILKAIKNTSERNNQD >cds-PLY99164.1 pep primary_assembly:Lsat_Salinas_v7:6:129863547:129864936:1 gene:gene-LSAT_6X79040 transcript:rna-gnl|WGS:NBSK|LSAT_6X79040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTLNVGQVASNICLATESNLATASPIAKATCENMISGTGMKPGDILKTSIGKIIVVNNTMLNVETYTCRCFSVCL >cds-PLY81203.1 pep primary_assembly:Lsat_Salinas_v7:5:339554484:339555089:-1 gene:gene-LSAT_5X190500 transcript:rna-gnl|WGS:NBSK|LSAT_5X190500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLPNDVDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >cds-PLY88104.1 pep primary_assembly:Lsat_Salinas_v7:6:182516647:182518622:-1 gene:gene-LSAT_6X110920 transcript:rna-gnl|WGS:NBSK|LSAT_6X110920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNNRSSDSLLPTETLELDSGLSLVPRFKLNLTVHRADASVKPLDEWQLKRSLIDFLKSSFSVIVPEEDLHVRKFKDINKRKREDPVARGKLFIRQLGFLSSSSEDQEKKVREWKKTVVAKIDGIELSLVGVKFRLTVEIPQSDDFEAMRKDWEENFAFGGGDRGYYKGRKREPDTIVLRGVPSRWFAETRVSSKPSILVTHTIFSALGKIRNLDVGEDDGIGKDADEDDEDIVPGLQCKILVRFDNHNEFCKALKVLCGRSLQKEGSRLKADYEVNWDKDDVFRNATSQSEVNNRSTPKVAAGNYNRSEANRYQPYVPRYSQNNARSKRFKE >cds-PLY84108.1 pep primary_assembly:Lsat_Salinas_v7:6:189457520:189458021:1 gene:gene-LSAT_6X116400 transcript:rna-gnl|WGS:NBSK|LSAT_6X116400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTCMAQNFSAIFIFGDSLVDVGNNNYITTFAKANYEPVGIDFGKPTGRFTNGRTVCDILGQSLGFKHFPPPYLAPTTCGSVVLDGVNYASGAGGILDESGANYMSR >cds-PLY80959.1 pep primary_assembly:Lsat_Salinas_v7:4:294939404:294939730:-1 gene:gene-LSAT_4X149261 transcript:rna-gnl|WGS:NBSK|LSAT_4X149261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYLMKAQESRLGNLIKGIEQKDAKRLAFHLKIYDYEIQKLRDVSKECHELFIEKVNATTESLDLKVVEPKSLMLEEVKKIEENYIFLHGKVDVIAGAITRLVEFNNK >cds-PLY70309.1 pep primary_assembly:Lsat_Salinas_v7:4:101130976:101136443:-1 gene:gene-LSAT_4X64520 transcript:rna-gnl|WGS:NBSK|LSAT_4X64520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEGNWNNPTTMPDLQISPAGPHGGSPGKDQQAAGVGILLQIMMLVLSFILGHVLRRHRFYYLPEASASLLIGLIVGGLANISNTETSIRAWFNFHEEFFFLFLLPPIIFQSGFSLAPKPFFSNFGAIVTFAILGTFIASIVTGLLVYLGGVMYLMYRLPLVECLMFGALISATDPVTVLSIFQELGSDMNLYALVFGESVLNDAMAISLYRTMSLVRSNSSEQNFFLIVVRFLETFVGSMSAGVGVGFTSALLFKYAGLDIDNLQNLECCLFVLFPYFSYMLAEGLGLSGIVSILFTGMVMKHYSFSNLSENSQRFVSAFFHLISSLAETFVFIYMGFDIAMEQHSWSHVGFIFFSIIFIGLARAANVFTCAYLLNLVRPAQRQIPVKHQKALWYSGLRGAMAFALALQSVHDLPEGHGQTIFTATTAIVVLTVLLIGGSTGTMLEALQVIGGDTHDGHLTDSFEANNGYVAPFDGEPSSGNRLKMRLKEFHRSAASFRALDRNYLTPFFTTQSGDRDDNDDSHAEDSLLRSRREGFH >cds-PLY98295.1 pep primary_assembly:Lsat_Salinas_v7:7:167794878:167795189:1 gene:gene-LSAT_7X99820 transcript:rna-gnl|WGS:NBSK|LSAT_7X99820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSKELVKTRFFSTGHGVKLEGSMNYAKGKSWALLLSIYKWRRRSCGDSWSRQRAPLEQKAASCKHYSKSSELHNKSEASRGSLLSFSAASYRTMEGVCTSFR >cds-PLY74769.1 pep primary_assembly:Lsat_Salinas_v7:6:120692040:120692886:1 gene:gene-LSAT_6X71901 transcript:rna-gnl|WGS:NBSK|LSAT_6X71901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTFPSGCAPLIFNLVVAVVAIVLLCFYSNPNPPKLSIEEFSVPAFNNSSNHTTGNIYFDLKLRNMNKAIGLYYDDPLSIAFFYYPYDEPYQKYAWVGTLAAFYQGNGKTNHIRSLKGNDLQLPSTVVVDPEEHTEDLVNTDHVRSLLKDHLQLPSTLGETRKEMVGRILEVNIRIAVVINYRFKYWVGSSKHQLKLGANVVVDNNTGEKVSSGSIELVESAASVGGPVMVVGLFTSFLLVICF >cds-PLY86266.1 pep primary_assembly:Lsat_Salinas_v7:8:58035390:58037530:1 gene:gene-LSAT_8X41701 transcript:rna-gnl|WGS:NBSK|LSAT_8X41701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVGHLGQLPLSSASMATSFASFTGFSVLLGMGSALETLCGQSYGAKQYHMLGIQMQRAMLTLTTLSIPLAFIWFYTPTIFIALGQDQEISNGAGTFIRWMIPSLFAYALLQCLNKFLQTQNIVVPMMISSGITALLHTLLCWVLVFKLGFGIKGAALANTISNWVNVFFLGFYVKFSNACLHTWTGFSKEAFHDVLSFMKLAVPSALMICLEYWTFDMVVLLSGLLPNPKLETSVLSISLNTCWMVYMISVGLGGAISTRVSNELGASRPKAARLAVCVVVVIAILEGLIVGTITILVRNVWGKLYSNDDEVIKYVAKMMPLLALSDFLDGFQCVLSGAARGCGWQNVCAIINLGAYYVVGIPCALLFAFVLHVGGMGLWMGIICALSVQVVALVAINLSTNWNDEAWKAINRVQTSREVVNE >cds-PLY84434.1 pep primary_assembly:Lsat_Salinas_v7:8:280953613:280954004:-1 gene:gene-LSAT_8X159221 transcript:rna-gnl|WGS:NBSK|LSAT_8X159221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEIGGTDYNNPIFDYKPIEEVESYVPLVIDTIISAVNELIDMGAQTLVVPGTFPIGCSSSILTVRASRNKKDYDNRTGCLIRQ >cds-PLY66224.1 pep primary_assembly:Lsat_Salinas_v7:1:176105477:176107707:-1 gene:gene-LSAT_1X116501 transcript:rna-gnl|WGS:NBSK|LSAT_1X116501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFAMRVKVPPNSANLQEARHRTFDFFRAACRSIPSIMDIYNLYDVVNPSELRSTIAAQIRKNAHITNPKVIDMLLFKGMEELSNITEHAKQRHHIIGQYVVGNKGLVQDVDTKDEGLSPFLKNFYNSNY >cds-PLY79992.1 pep primary_assembly:Lsat_Salinas_v7:9:44528035:44529714:-1 gene:gene-LSAT_9X41241 transcript:rna-gnl|WGS:NBSK|LSAT_9X41241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFVEKQESDDQKDQNIENTGADVEVEDSAWVAKIDRNMSQSSVNVIEDEEDEDVQGKIEIGPRRTLKEQYEADKDDESLMRWKEQLLGAVDINAVAETLDPEVKILSLAIVSPGRQDLVLPIPDNGKIKGTWFTLKEGSRYTIKFTFEVHNNIVSGLKYTNTVWKTGLRVDKTKEMIGTFSPQQELYTHEIPEDTTPSGILARGSYSAKTKFLDDDNKCYLDLNYTFDIRKDWQLS >cds-PLY84518.1 pep primary_assembly:Lsat_Salinas_v7:1:31117287:31119383:1 gene:gene-LSAT_1X26960 transcript:rna-gnl|WGS:NBSK|LSAT_1X26960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNHKDDKRHHRTTTNNKSTSDTEEYYSPGTSPLQPDELSYAHSKAIVAVDKYYTSSRSPTSHHQKLPQTLNLQPPEKSQSPTVAYNRSAREESVTGVTKVGPGGLEEGNGGAGGERRSRVATPGLRRSKREVMVDRSALGFRVLELILCLIAFSVMAADKTQGWSGDSFDRYREYRYVVGVNAIAFAYAAFQAIDMTYLLINGNHIISYSLRPHFDFLIDQASTSTTSCIFYILAYLLISASSSAATRVDDWVSNWGKDEFTQMATASIGISFLAFLAFALSSLISGYNLCTQSSL >cds-PLY87635.1 pep primary_assembly:Lsat_Salinas_v7:MU040392.1:342582:346877:-1 gene:gene-LSAT_0X47481 transcript:rna-gnl|WGS:NBSK|LSAT_0X47481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHANSLLLQREKHLKTVDGYSDIIVMRHFESGVARRAAMTANIHVINVGDGSGQHPSQALLDVYTIEREIGKLYGIKVELVGDLANGRTVRSLAYLLAKYNDVKIYFVSPEVVKMKEDIKEYLTLKGVEWEESADLKEVASKCDVVYQTRVQKKHFGERSDLYEEAHGKYIIDRDVLGVMQKHAIFMHPLPRLDEITVDVDGDPRAAYCRQAKNGLYIRMALLKLLLVEAKAAEDARRRVEAEAAAEAKRKRDLEREAARQALLKGPHLLAPTGSNLECHGKEGTVFVG >cds-PLY91695.1 pep primary_assembly:Lsat_Salinas_v7:8:13251161:13252169:-1 gene:gene-LSAT_8X10621 transcript:rna-gnl|WGS:NBSK|LSAT_8X10621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEENLPNILSDGLGSRHLELNQKTDLTLKGVVGTIINKYFPRLTQSQRQLFEASPFGIFLGMHIPHGDLLLVHLMMLHEVRTQEVFEMGRFLFDIERRHLEFGETEYILICGLKFGRYVDLLYNEKGRSNSSLCARLFPDISNARLRLKDLEDFIMSPKYLEVEDEDVVMLSS >cds-PLY75211.1 pep primary_assembly:Lsat_Salinas_v7:2:204158749:204159090:-1 gene:gene-LSAT_2X125861 transcript:rna-gnl|WGS:NBSK|LSAT_2X125861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLTGTDNDNTDSFEFVPLSIDAFGSTVIVEGCDQDREISWIHAWTVNSYGIITQVREYFNTSLTVTRFGTTTTTNSSKSRSITSLHCPSLWESTLSNRVGKSVPGLVLAI >cds-PLY76717.1 pep primary_assembly:Lsat_Salinas_v7:3:140450427:140451436:-1 gene:gene-LSAT_3X94320 transcript:rna-gnl|WGS:NBSK|LSAT_3X94320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFKYGDEASFYKILSSDSEDHLTLPPAFAEKYLEKDNNKRGTVVLKTKSAVEWSVKYFKIKDEYYFMDGWVKFMKDNRLQMGDLLVFSLLSPPPNSIFQVVFYAPNGCVKHQICSSDLPCKEQRSRNGSLESAKSFKSDKPFYSVTMKLSYLGEWGLYVPIRFLKRYLLSNNEMSVNCVLEVSDGRKWGPIKCRDYKTCGKLYGPNWKKFREDNQLGVGDVCVLELMNEMKKVLKVTIFGGC >cds-PLY91032.1 pep primary_assembly:Lsat_Salinas_v7:1:202677089:202680424:1 gene:gene-LSAT_1X123460 transcript:rna-gnl|WGS:NBSK|LSAT_1X123460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPNWVLVLFQFYILTHLFGLAVIHGDYECGNRGTYTHNGAYEKNLNHTLSTLSEVYKGDGLFYTSSKSSPEDDTNTAYALGVCPGEFLNDICIECLRFAKDQLKKECPSQKKATGWYRRTCILSYSNSSFDIYNGEDKDILFFPTTSYVTNWKINSMTEKLTNLFKQLGRKAASDGYSETYYSNALRTSGGNYIYGTSRCIQANRSLSCKDCLVLAIDSLETMYNGDAEGLLYYGYSCLLRYTISVIYIGDPSTGLPPTNPPTPSPNTNHSTIPSDDEGKEKLNVILPAISAAAVTLAISTFFLFLKVCRICTKKGEEEELFEASDDDTGEIIYFRLNTMHAATSNFSVSNKLGEGGFGPVYWGELSDGKKIAVKRLSENSSQGMQEFKTEVKLIIKLQHKNLVRLLGCCMKGKERLLVYEYMSNSSLDKYLFDPKKGKELDWAKRVNIVSGIAKGLRYLHEDSRLKIIHRDLKASNVLLDDEMNPKISDFGTARIFGLNQVEANTDRVVGTYGYMAPEYAMEGMFSIKSDVYSFGVLLLEIISGKRNSRLFHEERHQNLLSYAWMLWDGGKAEQLIDENLKDDCPVDEALKWLRIALLCIEEDPNDRPTMSSVVSMLEGEWKYLSEPKPPLSFSQFITSDESLSTWKGDNSEFYSSNSILEDTDHRGA >cds-PLY62562.1 pep primary_assembly:Lsat_Salinas_v7:9:74109248:74118508:1 gene:gene-LSAT_9X62000 transcript:rna-gnl|WGS:NBSK|LSAT_9X62000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTTSNLPTEITFGRLPHASFQRHRFPPSLGPTSASFLACSTTTIGRSDLYTVKIIVKFRVAWNFVKVGTCVTYLLFDRILEKVSYNLDYRSKNDDAWYTSGVVLENGKWLRVKFKDFKSGDADEVFSMGNFSKHDELEEFLRRFCPVSVPVEENECSTVIEGMTVCATYKVDGSIRYFDTIVDGVCCKEHKLEKCVCTYLLCWKHGLGEGTVTAQSIVDICFIMSGAPDPRVTDFAKLVMEKLSSQSSLIPKTPFLSRKISSNQTLNKLQGGRRGLKSNDFICQLPEDVLLVIISLLSLKDAVVTGSLSTRWRFLWCKLHKLEFDAGETLNNIITDRKLLREAQFKFFKQVNDVIESYNQTVIQHFRIRFDLYAGKAEVIDKWLQFAADKKVEMLELDFMKHGIKIRDPCFNYDFPLRLSDRNIEHLFELPSSAFAVVELISLKKLVLKSVNVSNAILEELLINSPQLEVLCIHRSLYLMHVEIGGKAFNLKHLEITNCCEVESIYLYDFNLISFIYNGQAIDLGLTDLPKLKELDIGRGLARLKTNVFGKISTCFSYIQVLSFKIGQPKQSLILASIPELPNVKNLRLTIGAYEDDSLLEVASLANSCPSLEAFLIKLLWISPIKRRRDIRRGATRPHEHLKLVEIHGYYGRGSDVELVAYFVDNAVALKEILIDPRCQARKGTPTSMRFFNMNEKAAQYSAKRQLQSITPRGVKLVIL >cds-PLY77709.1 pep primary_assembly:Lsat_Salinas_v7:9:19223261:19223964:-1 gene:gene-LSAT_9X14281 transcript:rna-gnl|WGS:NBSK|LSAT_9X14281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAGKAKLPLAYGEGTSKIGCPTGDMRCGGRHEYYRKESLKEKFGGMSGEGIRIRYVGYNRENTKNIQGGGCVNGHVGCTDVSGKNRNNKYKIYLNKNGYPNIENNDVTDHNLTNNRNNRNENGSRNNIGNESGSNNGKINGKVFRNGNIVNNGDGSVNNNSVGIGDESGKGNNGHYIERSHPAPGSN >cds-PLY81155.1 pep primary_assembly:Lsat_Salinas_v7:9:67080212:67080601:1 gene:gene-LSAT_9X57901 transcript:rna-gnl|WGS:NBSK|LSAT_9X57901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLGSGIERNLSIMRLTFTKPPQPYMVKRLVVAPILTSQPLEGSSTNGGECHVDAWSISAVAAPTHVDPSRTEVVNIANTLPFPTSNGPRRREKLSWPWGSLLLMLGVPTLKVCATAAGSCPSAKFNFL >cds-PLY74746.1 pep primary_assembly:Lsat_Salinas_v7:6:119097958:119106014:-1 gene:gene-LSAT_6X71181 transcript:rna-gnl|WGS:NBSK|LSAT_6X71181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFVGRTVRKEFKGFGVFAGVVKSYDSPSGFFEIGYEDGDSEEIDFSELAALLEVDGVVMEHSPSLVTKKSSRVGKRPKKRRRVLGSSNSGNENDFSSSSSGNAVVADDNNLDSVEGESKSEGNLAKNLTKKLDFSSNDNNDASTNGENLTPKDVGLNVNSGMHDLDNNGMRNDNLDLNNENDQESKSKDLGDDSVKKEQIFDLNMDLDYPENKNQDIDLGRYVKGANSGGNETTNLSSTDHHGTLESGKTRGGKRRKLMDSPKMAAESALRRSARRRAKFSNEVRESSSIKIEGDEHTLPLPSPVISAVSEEHPAISVSEESEQPVILPSKLELPPSTGNVNLDGLPLFDLFSVYTFLRSFSTILFLSPFEFWDFVASIRSKSPTLLIDSIHVSLLRTLRKHLEFQPIENSTSATNCLRDLNWDLLDSITWPIFMVEYLLLKPEFDLSHLKLFKNDYYKQPESLKLKVLHFICDDVVESEAITSELNRRTIGIEYSDFDQPTKLLSIKKHQFGQVVDEKETSDWNSDECCLCKMDGNLICCDGCPAAFHSKCVGIATNLLPPGDWFCPECVVDKKNFRIDVVKAIRGAELLGVDPHGRFYYSTCGYILVSDNCDAESFHYYDMNNLTPLINALELSDTPYETISTAITNHLHSYEKLHGTTFQRIANDDNTLTEKNSSISGDQDSDLEIDYLNLYSFARVASSVVGEWTRKPSEKAPQTPSKSLEELISIQMKSISKTSVDFCWSNIRNLSVDARKEKCGWCLACKFPTDDGNCLFFANNAAFLESFTSDVMGFDSKISRKDRLVDVMCHILCIEDRLHGLLLGPWLNPHFPKLYRKTFLQASHIAPVKRLLLMLELNIRPRVLSDEWLKHVDSVVTVGSASHIVTSKPRIPSRNTIGRKKSQFLDSEPHSSKNAASGLGLFWWRGGRLTRKLFSWKVLPHSLASKAARQGGGKKIEGILYPENSDFPKRTKVLAWRASVESAFTVEQLALQIRELDANIKWEEIENTIHLAKMDKDSLKYMRSFKKAIVRRKSSEGAIVKYLLDFGKRRFIPDTVVKHGSKVEESSNERKKYWVEESYVPLFLLKGFEDKRIALKSNKMSSSKLTFPFSGRVFNSPKKDVFSYLFSKAEQSNTLPCGYCNKDVAIRDAVSCQHCEGFFHKTHVRKTSGGKGGKCTYACHNCNVGDMIKATPKKKKKIKSKKTKKPLKVTEKRGKGKQVVVPLRRSSRKPKIVSFQSKKNPMKKKKSKTGGRKKKLMKSINEVIKPKGKRGRPRKIKESFRKKRSLVYATYWLNGLLLSRKPDDERVIDFRARNHIPPFERLDSVADQPKCCLCQELEFRSSLNYVSCVTCKDWYHGDAFGLRDEHISVIIGFKCHKCRERAPPICPHLNPVTESKLVTGLSQQTENGAESGVMCDQGKGILGKESLVNGDLVMANGFVDAMEVVEEDGIGNGM >cds-PLY87324.1 pep primary_assembly:Lsat_Salinas_v7:3:111888829:111889391:1 gene:gene-LSAT_3X80881 transcript:rna-gnl|WGS:NBSK|LSAT_3X80881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERLTRSFSTSTVDINKAWRRKIYDGKIDGSPDHRKDMKTVKFGESRHGRLWKIKKLFHLDGGSDEHDHVDEVAEGGKKSKSKPHQSKIAESKDKFESRLMLEIYKNMSASHELSSMYN >cds-PLY71472.1 pep primary_assembly:Lsat_Salinas_v7:7:190874922:190915145:1 gene:gene-LSAT_7X115621 transcript:rna-gnl|WGS:NBSK|LSAT_7X115621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHESKSIKQIVVEISQKLHPVTSSANEKLIGIEARMQRLRSDLQIGSGGVRMIGIWGVGGGGKTTLASSIYEEISSKFDSCCFVENIREESSRFGLRKLQEKIISEMDVSRVGGGMNLINNRFQHKKVLIVLDDVDRLDQLNALAGSHNWFGEGSRIIITTRDVHLLNAHEVVVHNISLLNDDEAIKLFCKHACRGSTPIKDYKKLSEEVVFYAGGLPLALTVLGSFLCDKNIHEWRSALARLKEIPIDNILETLKISFDGLTRVEKELFLDIACFFRWEDKDKAMEILDACGFHPVIGVKVLIQKALITISESDVFDMHDLVQEMGHHIVRGEHPNNPEKYSRVWKKKDVLKIWATVDATKELDKIEAIKMNFNSELANKQEQCLRLIGAKMKNLRYMELIGDPAKPLLDHFTLRELYCLKLAIGSQKQLWKGCKLLPNLKILELHCLSKLITTPDFDGLPNVERFTLSECECLEEIHPSIGRLERLVFLSIKFCSKFKRFPPISRLKKLETLSFSYCPKLFSVVDNIPDVHLDNSGKEVASYQPCTGFFVTCWMCGCSNLPGVECCLPHNNKNRIGLLRFFHGLQELGLRKLNLSSCQLGDEDIGSGVWELPNLQELNLESNKFSRLSFSQVRLPQLKWLNVTNCYCLVELSELPSSIAVINADGCSSLESFGDVSNCKWLWKVSFHGSYKVHPLYGYKLLDSMLQVWL >cds-PLY74123.1 pep primary_assembly:Lsat_Salinas_v7:9:10981130:10984123:1 gene:gene-LSAT_9X9621 transcript:rna-gnl|WGS:NBSK|LSAT_9X9621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFRRTPPFAHSAANSVSKNAYSGNLSSLLQESIKKAPPLIPQKTQLESFLTINCKSSTINLDNASHYFDYMILLQPIPPISSFNQLLNSVSKIKHHKVAIFLYRKMNEAHVSPNLITLNIVLNCYCDMHQVDFAFGILGMILKRGFTPDIVTYTSLVKGLFKRNKIIEAVRLFKKFIRLGVRPHVMTYGTLINGLCRNGKIEAAVRLHEDIMSGNIGLGFICKPNIVCYSILITNLCKDGFINKAKELFLEMKSRRISPDVVVYTSLINGLVYSDNLDEAKYLFIEMMNEGISPSVMTFNLLVNVLCKEGKSNEASGLFELMVQIGEHVDSFSYNILMEGYCWEGKIDKARELYILMVDKGIEPDVRTHNVLINGYIKINRTEEAIRIFRQMTRSRKIKPTIVTYNALLTGVLKKGDVLNAQKLFDEMLHDLTPSSCTYNIMLNGLCKNDCVLQALDLLETLENNGVVVLDIKAYNSVIDGLCKAGKMETAWDVYMKLSSKGLVPTVVTYTILIHGFCKTGQLTKVDDLFLEMLEKGCVPNAVTFNAFMRKFSRSDMSPKVIELLKKMVENKVVPDAFMVSLISKDEKFGKDLDMLLNT >cds-PLY79153.1 pep primary_assembly:Lsat_Salinas_v7:4:218395086:218404868:-1 gene:gene-LSAT_4X122821 transcript:rna-gnl|WGS:NBSK|LSAT_4X122821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVLLVFLLTERGISQSTDHDSNTNNSHPTVLAINHTPINLLNFVKNRKASTLTELRIEVLNTSFDDTVFEEYQCRNYLSTDQCVVCSEAGPSEAGMCTAGLGAYVVFDNRFARYEDLADFYNKPDVTEDGTGTPFSTCGTQLASTTTFKPVVDSLLSDLRNATPKAFNFYVALTKEITSEDATVYGIGQCVGNKTVCQTCMNTAYNKSNNCLPSKEGRFFNMGCFARYSKTPLFNQTTTDVTSVLKGNSSKATILGGTVSGVVLFILILVFWLLYRLRKAKNTEQVDLKGAVMYSYRDLQLATNNFCEENILGRGGFGEVFKGNLDVKTVVAVKKLQVHHARSKDEFENEVKLITHIHHRNLLRLLGWSSEGSDLLLVLEYMPNGSLDQFLWGAKRGTLDWNQRYEIIFGIARGLAHLHNEFHVKIIHRDIKSSNILLTDDFKPKIADFGLARFQPEDQSHVDTKFAGTLGYTAPEYALQGHLSDKVDTYSFGVVVLEIISGRRSTEVNRSSSDFLLEHAWKLYEKKIHKKCIDETLDLKQYEQEHVMNIIEIALLCTQSPVSKRPTMCEVVLMLQDGQSVGKRQLIRPSFGMVHARSGVDPQEREWTFIHRGNPQPVTMEAIKKLFEELKENKSQKMNRFLDAHPGEPEQPPVIEDVTPLVASAPFATGALSAAGAPSVGGVPSFIGARTVINLAVPQGS >cds-PLY79296.1 pep primary_assembly:Lsat_Salinas_v7:4:370693288:370697359:1 gene:gene-LSAT_4X182480 transcript:rna-gnl|WGS:NBSK|LSAT_4X182480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLSLTKGPPPPMVLVPPLFDFPPLAARTRMLEPSYNLLFGKLALKSLFEDYFDAANHFSTIFMLKPVDDHHVDLVATVSGPLDNKPDDPIVGNALFRWQSDVDDPHTFTDLYVSSSDPILLMRACAYYPKYGFGAFGIFPVLKKQRLSFGATLLPYSLGDDVPKSAWLVSKIGRLTAGVQYDPQFEKKDGAKYKNLKNWNCAIGYGLGSGSPLSPSFNFGLEYAQNSQVKNPLEENEIIGITNYIDFGFELQTRVDDQKSSNNSTFNIAASWQANKNILLKGKVGPLCSSLSLAFKSWWKPAFSFNVTATRDRAIGKTCFGVGLRVDNVREASYQRADPNYVMLTPNKEHLADGIQWKSGMRPMLQSDVSSGNFDSLPRELRPYGRMF >cds-PLY98541.1 pep primary_assembly:Lsat_Salinas_v7:1:37794664:37801170:-1 gene:gene-LSAT_1X31280 transcript:rna-gnl|WGS:NBSK|LSAT_1X31280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVQNGNWDDVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGPLPKAGGFPPLGAHGPFQPTPGPVPTPLAGWMSNPPAVAHPSVSGSAIGLGGPSMPAALKHPRTPPTNPSLDFPSGDSDHMSKRTRPMGINEEVNLPINVLPVSFPGHSSSHSQAFNAPDDLPKTVARTLNQGSSPMSMDFHPIQQTLLLVGTNVGDIGLWEVGSRDKLVLRNFKVWDLGACSMPMQAALVKDPGVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGGDDVRQHLEIDAHIGGVNDLAFSHPNKQLCVITCGDDKTIKVWDAATGARQYTFEGHDAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNMGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKDGESHIVEWNESEGAVKRTYLGFRKRSLGVVQFDTTKNRFLAAGDDFSIKYWDMDNTQLLMSVDADGGLPASPRIRFNKDGALLAVSSNENGIKILANSDGLRLLRTFENLSYDAASRTPEAPKNGDTRNMPDVKPRITEESNDKSKIWKLTEISESSQCRSLKLPENMRISRLIYTNSGNAILALASNAIHLLWKWQRNERNSSGKATAGISPQLWQPSSGILMTNDVADMNPEESVSCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMAPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNVLVSSGADSQLCVWSSDGWEKQKAKYLPLPQGRTTAAQSDTRVQFHHDQVHFLVVHETQLAIYETSKLECVKQWVQRESSAPISHATFSCDSQLIYACFLDATVCVFTASHLRLRCRINPSVYLSPSILSNLNVHPMVIAAHPQEPNQFALGLSDGVVHVFEPLESEGKWGVPPPAENGSTSNVAASSPVGGSGSEQGPR >cds-PLY93821.1 pep primary_assembly:Lsat_Salinas_v7:6:147730953:147731760:1 gene:gene-LSAT_6X88600 transcript:rna-gnl|WGS:NBSK|LSAT_6X88600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASHWIIIFMATIVVLATSISAKEYIVGDGSGWTLDFDYQAWAKDKVFMVGDTLVFNYAPGAHNVMKVDGNSFQKCLIAPVSNGTLTSGRDVIPLKTPGMKWYVCGVGKHCELRKMKLAIAVLPQTMTPAPAPSVSTSIKLEVSAIYGFLVSLFGGFLLLLA >cds-PLY93985.1 pep primary_assembly:Lsat_Salinas_v7:8:234328153:234331947:1 gene:gene-LSAT_8X142340 transcript:rna-gnl|WGS:NBSK|LSAT_8X142340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSACIVGNGLSNRKHFHLSPSLPSLDKTPKLRVITASLDKKPHDRRGFLKLLLGNAGIGIATPALLTAGNAYADDQGVSNSRMSYSRFLEYLDKDRVNKVDLFENGTIAIVEAVSPELGNRVQRVRVQLPGLSQELLQKFREKNIDFAAHNAQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRSPGGMGGPGGPGNPLAFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFVEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVVAATNRADILDSALLRPGRFDRQVMVDVPDVRGRTEILKVHSGNKKFDKDVSLEVIAMRTPGFSGADLANLLNEAAILAGRRAKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDAVQKVTLVPRGQARGLTWFIPADDPTLISRQQLFARIVGGLGGRAAEEVIFGDSEVTTGAAGDLQQITGLAKQMVVTFGMSEIGPWSLMDGSGQSQDVIMRMMARNSMSERLAEDIDSAIKKLSDSAYEIALSHIRNNREAMDKIVEVLIEKETMGGDEFRALLSEFTEIPVENRVAPVTPSPVSV >cds-PLY67955.1 pep primary_assembly:Lsat_Salinas_v7:3:216865376:216866330:-1 gene:gene-LSAT_3X125141 transcript:rna-gnl|WGS:NBSK|LSAT_3X125141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGCRKGEKLKGVRDLKLYLARFGYLNYQKNPNNVTDLEEDNFDDELEEAVKSYQVYYHLNATGTLDGPTVSQMVMPRCGFPDKETHHSHNTNNSLHTVSHYRFFPGTPKWPRGKKHLTYGFGSRFPTRFMPPVARAFTKWATASQYFTFSRATTYQGADLKITFQRGSHGDSRPFDGPGGVLAHAFAPPSGIFHYDADDPWVVGAVPNAFDVETLALHEIGHLLGLDHSQFQNAIMWGSFAAGVTKGLNSDDIQGIRALYGN >cds-PLY92793.1 pep primary_assembly:Lsat_Salinas_v7:2:148562912:148564600:1 gene:gene-LSAT_2X74520 transcript:rna-gnl|WGS:NBSK|LSAT_2X74520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKLPPSLKTGKNLGLPIRSLFKNVQQSPQESELESQPSSHHSHRKPKSITRKPNTSLTTATSHPSSPPPINFTSPDISAAKTLFNSLATTTRYSSLLNNRFCNAVLQSFSSVSSNIQDSIILLNHMTKIHPSFTPDKFSYHILLCQSCKKPDSDLANVNKTLNLMASKGFEPDKVTTDVAIRTLCSTGHEEHAIELIKKLSQVQFTKPDTYTYNFLVKGLIKTRTLSSVSVFINEMKEGFDVKPDLVTYTILIDNVCNGKNLREAMRLLDVLKEEGFKPDCYLYNTIMKGYCMLSHGGDVLRVYKKMIEEEIQPDLVTYNTLIYGLSKSGKVKEAIKFLNEMAKMGHFPDVVTYTSLMNGLCRDGNALGALELLGEMETKGCNPNECTYNTLLHGLCKGRHLDKGVELYGVMKEGDMKLESGSYGTFLRTLCRNGRVAEAYEVFDYAIESNSLTEVAAYTTLESTLKWLKKAKEQGLAV >cds-PLY69344.1 pep primary_assembly:Lsat_Salinas_v7:7:81817026:81820969:-1 gene:gene-LSAT_7X57441 transcript:rna-gnl|WGS:NBSK|LSAT_7X57441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAHLKLRGATARVNNQQATFELKHRVVIALNKISDRDTYQIGVDELERTIKSLTPENVSPFLSCILDTDSEQKKAVRKECIRLMGTLATFHHDLIGPHIGKMVSSIVKRLKDPDAVVRDACVDTMGVLASRLTSFEGNFVVLVKPLFEAICEQNKHVQNGSALCLARVIDNAMDPPVSILQRMLIKTTKLLNNPHFMVKPAIIELNRSIIQAGGASTESSLSVAMTSIQEALKNSDWSTRKAASLALAEFASSNASYFGSFKSSSIHSLELCRFDKVKPVRDTVLQALQLWRSLKETDAFECSEARSSIKGGDYNESKVKDTRIPLSVRKNGSSSSNVGSPHNSKPNDWNIKVIVPNTHNNFLLYPKDEESEGSSVTKAFTSTQDIGYEYVPMDDKHEFSSTSNIDTEKFEAKLMGVNRLLVDKEMSSEEQRYYSKIEDCKSNESNVRESVSESIHGCCMQTTKEISLFREKLQDIDNKQSNLLYLLKGFTSKTTDSLSIIQTKVSSLEHVVDQMAQNIDDHKGRNLKSTSNFLKKSSPRPSTCTPRQSLDTLTRQSPLQPPKQSDASEDSTFCRGKSGNSTNQGIDSWMDPMSRNNVVKDTQKDISRLGIQSAINRKKDLDAAYVDALNSCDEVALVYLFDKTGPVLEKLSHMTVNVIVSTLATFLSEQRFMNSIIPWLHQVVELSGVHGSNHLLLTAKTRREFLYAIQEAMNMELPNTTSRRSITQLVTKMHQVWGKCS >cds-PLY67110.1 pep primary_assembly:Lsat_Salinas_v7:4:243472235:243475524:-1 gene:gene-LSAT_4X130161 transcript:rna-gnl|WGS:NBSK|LSAT_4X130161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFFSHLSIFLVSCSFCIQNQFAVSATLSIETDKQALISIKSQTITQPPEALATWDPASSSPCNWTRVLCDDRNRRVVGLDLSMLRITGPVSPYIGNLSFLRSLELQNNQFNGILPETITNLFNLQLLNISFNRIQGTIPANISECINLRVVDFMGNRLSGSIPESLTLLINLRILNLARNQISGFIPPSIGNLTSLSTLNLGTNSLTGPIPSDLSRLRNLKILDITINKLTGTVPPSFYNMSLLEVFAVASNDLRGEIPYNIGDTLPNLLDFNFCINRFTGTIPGSLHNLTNIRNIRMAHTQMHGTVPPGLGNLRELTLYNIGHNNIVSEQGEGLGFLNSFVNSTKLDFLAIDGNHFDGVIPESIGNLSKTLRNLYMGSNRISGSIPSSIGQLKGLALLNLSYNSISSKIPPEIGQLENLQELFLAKNRFTSNIPNSLGNLGRLTHIDLSSNELGGNIPTSFTNFKSLISMDLSMNKLNGSIPREVLNLPSLSIILNLSSNSLTGSLPPEIRSLERVVTVDLSNNNLSGDIPESIQNCKSLEQLIISKNSLSENIPNSLGELKGLETLDLSSNQLSGSIPLELQNLNVLKLLNLSFNNLEGKVPSDGIFSNLTRVHLEGNPKLCYDSKCTRDDTNKVVVILVVVISSVIAILIISIALFFYFRKHKAIMIMETLDSFKGQHQIVTYDQLCSATGNFDEENLIGRGSFGSVYKGYLHLKGRSMEIAVKVLDMETTGSLTSFLAECGVLRHLRHRNLVKLITSCSSLDPKNKEFRALVYEYMKNRSLESWIGKEMGLLERLNVAIDVACGLTYLHHECVVAPVVHCDLKPSNILLDEDFTAKIGDFGLASMLLEKDKLVSSSHVLKGSMGYIPPEYGMGANPSTKGDVYSYGIMVMEIFSGKRPTDESFVGGLSLKTWVQSAFPGNLDQVVDHDLIQDPEELYSEELCSEELCLDARSMNLKMKLHCLSTVMGVALSCANDTPEGRITIIEALRKLKSVQDMFRNSSPSMNGRY >cds-PLY96739.1 pep primary_assembly:Lsat_Salinas_v7:2:171572262:171572679:1 gene:gene-LSAT_2X93200 transcript:rna-gnl|WGS:NBSK|LSAT_2X93200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALCLPLIAIPIVDSKELRPSGHGLPNEAESPETAQADSPQMSSFFGGGFSSTTQPLPEAKNFSDPSLSSCHVGGGDHVRKVLIVSSLVCGIAGIVLLVVAGFLFLHRFRNQRSTVVLSS >cds-PLY87114.1 pep primary_assembly:Lsat_Salinas_v7:5:266425262:266427082:1 gene:gene-LSAT_5X137541 transcript:rna-gnl|WGS:NBSK|LSAT_5X137541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIIPKCFSFIILIINMMLFSLISSALSQSPTYMAFVVNATALPPEDSFDYIVVGGGTAGCPLAATLSVNHRVLLLERGGVPHINPTIMRQEGFIPTLRQVDPFHSAAQAFTSEEGVPNARGRILGGSSAINAGFYSRADDEFYDRSGINWDPLLLERSYQWVEKSIVFEPQLQLWQTALRDGLLEIGVQPYNGFTLKHSLGTKIGGSTFNSSGHRHSAADLLNLARETNIKIAVYASVERILFAASDSPESKESAIGVVIRDSAGYFHHALLREKGEVILSAGAIGSPHLLLLSGVGPRSYLSSWGIPVVNHSPFVGEFLYDNPRNGISFVSPKLLEHSLIQVVGITDSGAYLEASSNVLPFPSPVHSIFMRSSSSPLYFSVASIMEKTIGPLSAGSLRLASTEIRVNPNVRFNYFSNPVDLQRCVNGTRKVGELLRSQAMSSYRFLGWSGAEFRFMGLPLPANQTSDEEMSDFCRRTVSTIWHYHGGCVVGRVVDPNLKVIGVDSLRVIDGSVFSISPGTNPQATVLMLGRHMGLKILIERMQKANT >cds-PLY78475.1 pep primary_assembly:Lsat_Salinas_v7:7:175169380:175173640:1 gene:gene-LSAT_7X104681 transcript:rna-gnl|WGS:NBSK|LSAT_7X104681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVLFIIPRESPPQLDEHFSRPMKEFVSLCLKKSPSERPSAKELLKHRFIRNARKTSKLLERIRERPKFKIKEDDDDDDDLPGGTTVLREGSDTFNMTRISRVDDTVRVRNDGWDFSTSSSTNTGTVRSSVRPPQNKDRKSDTSSTQKKLQVFTGKAAGDEEDMSPVGGTGTVVIRSAGAQRSSFSGDQNTMSNMLTSLDDASTSGTVVYRGSHHEDSDTPRTPKSKLGIQERSSVASPENSAINLAEAKAALQGGGMRKGKSRGLTKVKKDVHDARKPLTKEEERLRVSATSASATLSTLIIPSLKEAVSDDLEASIVHNVINSLMDMEYTKPGSCEFLVTRLLHRLASSKEPSLKNVQEVATRGFSKGKKVTEQPENVNQEDDSKNKQQKNEAQPNGNLGPLARFLLSRWQGHSP >cds-PLY81984.1 pep primary_assembly:Lsat_Salinas_v7:8:263899466:263900549:-1 gene:gene-LSAT_0X32300 transcript:rna-gnl|WGS:NBSK|LSAT_0X32300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATMDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLLSKEATVGEKQFSSQGHQGNFSKNEGAGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIEPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGVNFNGMFSSPIEIDITSAPSATAASSPVKMSLSGQSRPKHTL >cds-PLY70382.1 pep primary_assembly:Lsat_Salinas_v7:4:99291125:99294728:1 gene:gene-LSAT_4X64320 transcript:rna-gnl|WGS:NBSK|LSAT_4X64320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMSMKTWMRKPVLVLLFVTVLAPIVLYTDRLATFSSFSIDEYVSEPSTLSLNRDVRSRNLLPQELSAAKEETASDVYLGKSTRSIQLLDGERSRKTRQLNEESKEHDAIVIRQVTQGFHEADHSDNRHESTENQKLKASEHKLLSTEAETKKEGNSIKPAKTKSKKADEHVLTDTRVKYLKDQLIRGRLYLSLSATRTNTQFIKELRLRMKELQRALGDATKDADLPKNANEKLKAMEQTLAKGKQIQDDCTAVVKKLRAIIHSTEEQLRVHKKQALFLTHLTAKTVPKGLHCLPLRLSTEYYSLNSSAQQFPNQQNLHDPNLFHYALFSDNVLATAVVVNSTVSNAKDPSKHVFHIVTDRLNYAAMRMWFLANPAGEATIQVENIEEFTWLNASYSPVLKQLGSQNMIDYYFKTRKSESDSNLKFRNPKYLSIMNHLRFYLAEIFPKLSKVVFLDDDIVVQKDLSGLWSIDLKGKVIGAVETCGENFHRFDRYLNFSNPLIAKNFDPRACGWAYGMNVFDLEEWRKQNITQVYHSWQKLNNERQLWKLGTLPPGLITFWKRVYPLERTWHVLGLGYNPSVSQREIEKAAVIHYNGNLKPWLEIGIPKFRGYWNRFVDYDQAYMRDCNMSP >cds-PLY87123.1 pep primary_assembly:Lsat_Salinas_v7:5:262696180:262697102:-1 gene:gene-LSAT_5X133620 transcript:rna-gnl|WGS:NBSK|LSAT_5X133620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTYGTIPTSSSGGTNLEFLTRARDRIQTGLGTRRPWKEMFNLASINFPQSVSDAFSRIKTNIGYFRMNYAIVVLIILFLSLLWNPTSLIVFTVLMAAWLFLYFLRDEPLTICQSVIDDRVVLGLLSVVTIVLLLLTDATMNILLSILGGLVLVVIHAVLRKTDDLFLDEDGLEAGGYLVASSP >cds-PLY85383.1 pep primary_assembly:Lsat_Salinas_v7:5:243096216:243097321:1 gene:gene-LSAT_5X120481 transcript:rna-gnl|WGS:NBSK|LSAT_5X120481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEIHDELGRPIQLTDEFGRPVQLTDEHGVPVKLTGVATTQGHTHTTIGSELQDKITPGFATTDQGYNHTTIGSELRHKTMHDQTTDTTMGSETHGGTHFAPTPIDYLKHGAGAVAGAGAATVGAAAGAAKGAVTGGGAPVSHTQAHGAAGGDEKQLEHESPSSSSPSSEDDGEGGRRKKKGLMQKIKEKLPGRHKKEEKQATAHSANTKVSSAGEGPASIRVEHHDEKKGPIDKIKEKLPGTH >cds-PLY64143.1 pep primary_assembly:Lsat_Salinas_v7:1:2922620:2924404:1 gene:gene-LSAT_1X2320 transcript:rna-gnl|WGS:NBSK|LSAT_1X2320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCNAESAISTCDSYNLSRKKSFSNPHNHQFKIRDFCFADLEAATKGFSHTNFLGKGSHGSVYRAILDGGALIAAVKRTTTHHSPDKTSVRGIQCTATIATPAENEIETLSRVRSPRLVNLLGFGSDPVDGRKLIVVEYMPNGSLYDLLHKNDAKTPGLARRVRIALQVAKAVHHLHTSNPPVIHRDIKSSNVLFDGKWNARLGDFGLALRGNVEDVKVRCTPPAGTLGYLDPCYLAPGDLSAKSDVFSFGILLLEIFSGRNAIDLKYSPPSVVDWAAPLIRSGEYGEIFDPNIEISSDESAVRQLAVLAARCVKSTAEKRPAMAEVLQCLVTASKRITSLKWNNLGLARPRVRRTSPPSIKYEPLIESPKTVKASRASASASASRRRTKKGASSVPLKLKNKSNDIDETDSSHVSRSKSIGSFHDITSLPSDSSLGRKKGGPGLSVTMNRSKSTGELTGLVCKRNCGIVLQMIRNPNIRELESSKLLVKFSKF >cds-PLY63852.1 pep primary_assembly:Lsat_Salinas_v7:2:84359754:84362438:1 gene:gene-LSAT_2X34721 transcript:rna-gnl|WGS:NBSK|LSAT_2X34721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLAAGIGYVLLALGPSLTLFVTVISTKPFLILTLLSSTLVWLISLIVLSGVWRVFLPLKTTAWWPYAILILTSIAFQEGLRLVLWRGYKKLEDMLDAFADRVAKPRLYLTDKMQIALAGGLGHGLAHAVFFCLSLLTPSFGPATFYVDKCSYAPFFLISAIISLAFVTIHTFSMVIAFNGYAEGNKTDQMVVPFFHLIAGTLTLVNLVSGGCIVGIPLLYVVALSTLAYCGNMVWRRFTETQIRLTPQNQS >cds-PLY79222.1 pep primary_assembly:Lsat_Salinas_v7:5:299962510:299963634:1 gene:gene-LSAT_5X160240 transcript:rna-gnl|WGS:NBSK|LSAT_5X160240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLQISVPDVYPLSFVDDDDHEKTNLALLYDRYKDRFITFPKEKGWMTEDLYMYQGFWHQSKNVVSVETVMATQDSFKAHPSDVYLATLPKSGTTWLKALAFAIVNRNRYKNKPPSTHPLQNSNPHNCLPFVETEVLRTNPTYDNAHTPRLYATHMPYTSLPQSILDSSCRLVYMCRNPKDVFVSLFHFANSLRGQSRDLMTFEEGFDMFCKGVIPNGPYWDHVNGYYKVSLEQPTRILFLTYEDMKMDTRNNVKLLAKFLGCPFTEEEEGKGVVEEVVSLCGFENLSEVNKHGSLSIGIPNHAFFREGKVGDWRNHLTIEMGQNLDQITKEKFHSWDLLLNTCL >cds-PLY73688.1 pep primary_assembly:Lsat_Salinas_v7:5:203706271:203712967:-1 gene:gene-LSAT_5X92300 transcript:rna-gnl|WGS:NBSK|LSAT_5X92300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRTARRVFEFGRTYVVKPKGKHQATIVWLHGLGDNGSSWSQLLETLPLPNIEWICPTSPSQPLTLFGGFPTTAWFDISDLSEDAHQDVESMDASAAHVLSLLSTEPPNIKLGVGGFSMGAATALYSATCFSHGKFGNGNSYSTHLDAIVGLSGWLPCAKDLSNKVEGEEAANRASSLPILLCHGKVNVLELELASIFGGLLAISHNPKERKGRVQPKERNE >cds-PLY63260.1 pep primary_assembly:Lsat_Salinas_v7:4:201416181:201416615:1 gene:gene-LSAT_4X111461 transcript:rna-gnl|WGS:NBSK|LSAT_4X111461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGSGFQTRGSGFLTRGLGFLTRGSGFLTIGSRFQTRGLGFLTRGSGFWKRGSGFLNKRFRVPHKRFKVPRKRFKVPDKRFRVPDKRFKVPHKRFRVPDKRFRVPYKRFRVPDKRFRNPYKRFRLPDKRFRIPQQEVQGSSQEV >cds-PLY76107.1 pep primary_assembly:Lsat_Salinas_v7:9:30247598:30247803:1 gene:gene-LSAT_9X26780 transcript:rna-gnl|WGS:NBSK|LSAT_9X26780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSVAWNEDVIKISQMAKSMTRFPEACRFSITQLSENLRATDGKDELSKCFKSQISHSLQSNG >cds-PLY84687.1 pep primary_assembly:Lsat_Salinas_v7:2:150171040:150172379:1 gene:gene-LSAT_2X75901 transcript:rna-gnl|WGS:NBSK|LSAT_2X75901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMKEGLVVRGRVEIDTRKPFNSVKEAVSLFGEKVLVGEVYAHKLKEMESVERENNQQRTKVGLGEVKQTLEKAKDEANLMTCYLTSLKQQLEETKSELDQLKSTRGSNPNYQTPINLETEEIKFMENPIPTPMKPLTNVKNEPHDDQHLFESKQNNLVKFDDSPPTKVIVEVPKMQEINPSSFKTKKAKKKTLIPLLSGIFSKRG >cds-PLY97065.1 pep primary_assembly:Lsat_Salinas_v7:4:72222757:72223149:1 gene:gene-LSAT_4X48800 transcript:rna-gnl|WGS:NBSK|LSAT_4X48800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERNYTFLHGKVDVIAIAIPKSAELNPKYTDKLEAKSEKDSQVFEKMEEFLSSIKESILKVNLLHQSTVSQESCSQLILNIESSIESELAPILELVLHFHLMPHVQCKCQKGETKELEELVLQKILRKEL >cds-PLY73053.1 pep primary_assembly:Lsat_Salinas_v7:9:35799481:35802082:1 gene:gene-LSAT_9X33541 transcript:rna-gnl|WGS:NBSK|LSAT_9X33541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVSKNTIQDHLYRGSFLSVDDDSHFITSPDNTFTCGFYGFQTNAYWFAIWFTNSKERTVVWTANSNKPVNGHGSKLTLETNGAMVLTDVDGTFVWETNPTSTDVDRVVLLNTGNLVLMNNKDQILWQSFDYPTDTLLPSQSLTRSKSLISAFSKESFQPGYFSLSYGSNNVLTMFYDGPEISSVYWPSPDPSLNVWAYGRTPFNNTRYAFFNDLGVFNSSDGLQFNSSDMGFGIRRRLTMDYDGNLRFYSLNESTGLWSISWQAISQSCNIHGICGRNGVCVYGDKPECSCPPHYEWSDPNDLGKGCKPTFNNTCGKSATFGFVPMQHTDYYGFDLNFSSRISFESCRDICLGDCRCEAFGYRLTGEGTCYVKSDLFNGYQYPNFPATMYFKVPIGMEAPESASILNGSKAICTDVPVMVGSPSMYKSPAGKVKWVYLYSFAIAFGLVEALVILLGWWIFYGNNALVNNLEEGYRMVSSQFRGFSYRELLKATRNFKEEIGRGGSGVVYKGILEDERVVAVKRLGDVSEEGGEFWTEVSTIGKINHMNLVRMWGFCSQRKHKLLVYEFVENQSLDKRLFSSSFLQWKERFKVAIGVAKGLAYLHHECLEWVIHCDVKPENILLDESFEPKIADFGLAKLTQRGGQGSEFTRIRGTKGYMAPEWASNLPITAKVDVYSYGVLVLEMTRGSRLSNIVVQEGEEEEEETELMKFVRVMKTKLQGEEMDLWVEEMIDSRLGGLFSRKQAVKLVEIGVSCVEEDRNKRPTMDSVVQVLIDCEPE >cds-PLY94584.1 pep primary_assembly:Lsat_Salinas_v7:8:182497520:182497726:-1 gene:gene-LSAT_8X118740 transcript:rna-gnl|WGS:NBSK|LSAT_8X118740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVKGGDGLEHFREKQRAATFSCDGDGYSPIGTWWVSASDMRGALRLTVCFKDFGEALPSSHGENQVK >cds-PLY93500.1 pep primary_assembly:Lsat_Salinas_v7:9:87174216:87175939:1 gene:gene-LSAT_9X68660 transcript:rna-gnl|WGS:NBSK|LSAT_9X68660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSESEIQNAHLPNEIIKEILSRLPVKSLLQFRCVSKHWKFEIANTDIIKSHLKHALSSSTQHRILIPSSPLLSLSYNPSPNNIYESTEIHCPFLNPRTHTKIMGSCNGLVCLMDDTRDMIIYNPSTRRHFKPFQLAQQALYFSNRVEFVYGFGCGSGSNPNDMRMIRFPRFARDFKYNKFMEHDKITTSSSSYDFIDTVGTFLNGVLHWLAHRSGNNDDHRLIASFNVSEETFLDICLPNQDSRLPCYVLGVLHGCLSALCNAICYTEVEVWLMEEYGVVDSWKIFVKIPLYTGIENISYMRHLRSLNDDEILLEINLQSFVIYDAKKKMFRHVTSAKELILFGDAVVYVETLLSPEVLCVMH >cds-PLY84138.1 pep primary_assembly:Lsat_Salinas_v7:6:191708209:191709702:1 gene:gene-LSAT_6X117141 transcript:rna-gnl|WGS:NBSK|LSAT_6X117141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKALSKMVLNGVVSFKSSRATSMASGSLYHYGMGFSTTAPNDPDTHDDFKPTNKLENSGVTLKDIIEQDVKNNPVMVYMKGDPNRPLCGFSSLAVKILQEYRVPISSRNILEDSELKSAVKAFSTWPTFPQIFINGEFIGGSDIILDMHKASDLSLINHLNETT >cds-PLY80498.1 pep primary_assembly:Lsat_Salinas_v7:2:135984383:135987122:1 gene:gene-LSAT_2X65120 transcript:rna-gnl|WGS:NBSK|LSAT_2X65120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANTPFLLETRFNFNPSLKQTLTLPPLRSFPIKLLSGNNHVFKLNYSPYKVIRYSSKLRIKCFFMSPKPKGEYGIGGNPCRQGNGIKAVANYNEVFWRSIKNIRKALQFPVVTGVLLGLLILYNRQHGCVALAASGGRMGGSSFSSSSRPTSSDSSYWSSSSSTRPSSPDSSYSSSTWPSSSDYSNRSSSFTRPKSSDSSYSSSTRPSSDYSYSSKRRKTSDTYYSSSTSFEGGCSRPEVGADDTPIFLFFLIMILLVYSAMATQTDGRSSRSPTPPAEKTSVLKLQVGLLGTAKSLQRDLNRIAETADTSSPWGLSYILQETTLALLRHPDYCISGYSFVDEKWSIEEVEKIFNQFSIEERGKFNEETLVNVNNIRKQSARSKRSNGFRNEYIVITIIVAAGGEHKLPPINSSAQLKEALQKLASIPSSRIMAVEVLWTPQMENDTLTQQEYIEDYWMLHPL >cds-PLY72687.1 pep primary_assembly:Lsat_Salinas_v7:6:27919916:27921196:-1 gene:gene-LSAT_6X21561 transcript:rna-gnl|WGS:NBSK|LSAT_6X21561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFNVEITATHIIKPSSSTQDHLKTHDLSLFDQLSPSVYPPIIFFYDPKPNSNLAPRLKTSLSRVLSSFYPYAGRVNGDVFVDCNDAGIPYSEATVDCSLSDVLQQYDLNLMKQFVPLTEESENPDHTIPLLVQVSYFKCGGIAIGACSSHKIGDASNFFSFIREWANFSLSDNPVLVPEFSISSLFPRIGFLNFNTGIKIPMNEKLVRKRFVFSASSISSLKAQTSSSSRVQAVTALTWKCAMNAVKKPEITSSVAMTLVNMRGRLNPPLPETSFGNFVGSFLAEKSFDDGGEIELRGLAGQLRHGFKEFCDVYMKQVQDSKDGIFAILNYSKKIGEMLGRDGTEVFTFSSWCGFPLYEIDFGCGKPRWISVTNTPFKNGIMMMDTKEGNGIEVWANLEEEVMAIFEQDDELLAYCSPSMCDDRR >cds-PLY72962.1 pep primary_assembly:Lsat_Salinas_v7:8:135424008:135426705:-1 gene:gene-LSAT_8X94021 transcript:rna-gnl|WGS:NBSK|LSAT_8X94021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTEEPPLSASTTSLLDKPLHQLTEDDISQLTREDCRRYLKQKGMRRPSWNKSQAIQQVVMLKALLEPTPDSDGCRRKLHITHLQQETPNTRAQKGTSADTEVSVSADESVPGQRHDMDQSDLFGDNNFVLPGITGVTDEGKGQMTIFYSGKVNVYDDVPADKAQTLFKLASSPLQFHQDDGNMTTFPLPFHLQPPTILTTTESSVMHLPTLQTVRKTDKSRMHREEISIFHEENSGEEVSGRGSRKASVQRYLEKRKDRFKRQNKLKASECGSSSSFHHVFLTHHNENEQSLSTTTSPPNEPV >cds-PLY70939.1 pep primary_assembly:Lsat_Salinas_v7:8:228231427:228231723:-1 gene:gene-LSAT_8X139121 transcript:rna-gnl|WGS:NBSK|LSAT_8X139121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVPHILDLAGSGREGLLQLFNTGHHILDGSGVLLRLGIDALHRREHCGELFKKCRGGSMAGLRVPPAAAAEIEAWRIAMQAETSHPHHLQVEGSLME >cds-PLY78782.1 pep primary_assembly:Lsat_Salinas_v7:8:60981056:60981825:1 gene:gene-LSAT_8X43820 transcript:rna-gnl|WGS:NBSK|LSAT_8X43820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASILYLILSLSLFFTPNSQADQGVAQECPYPCYPPPTGGGGGGGGSGGGGGNTPTTTTYPPPSQTGYFPPPSGIFPYNPPNPNYYGNGPPAPDPIVPWFPFYYKKPPHSPDRSSSTGGRIRSTAAMFFIIFSFLLLVC >cds-PLY84072.1 pep primary_assembly:Lsat_Salinas_v7:6:191774127:191775141:1 gene:gene-LSAT_6X117821 transcript:rna-gnl|WGS:NBSK|LSAT_6X117821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSMKSLIPELQEVMLKLEVARRVVEGTITPEVISKTRSREILKLFSELYKQSYMENMLLAYNGKKSVFVAVPLPFESKEFVVKLTESNGREKEFKVNIKFVSRKNLHHLIQFLSDRQHDNPQETIQALNVVLREATSINV >cds-PLY80616.1 pep primary_assembly:Lsat_Salinas_v7:4:11466140:11466319:-1 gene:gene-LSAT_4X7260 transcript:rna-gnl|WGS:NBSK|LSAT_4X7260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIGRQMVTARDLIDEAKKQIVFLSTCVIGLSYFMSCELPLLEFFSRLCLFSLPNLLQN >cds-PLY74690.1 pep primary_assembly:Lsat_Salinas_v7:5:26036002:26039385:-1 gene:gene-LSAT_5X13320 transcript:rna-gnl|WGS:NBSK|LSAT_5X13320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT3G48500) TAIR;Acc:AT3G48500] MQTLLNPHPISFLPPPKILNPSKLFKSRNINPSLHFISTGKFTVKGFSADEFPVDEDFIEKFGPKDVETEDEARRRNWIEGGWAPWEEILTPEGDFARKSLNEGEEVALENPDSIEAFKMLRPSYRKKKMEELGLTEDEFYAKQFELKGDIPEPLKTTWAGPLVVRHVPPRDWPPKGWEVDKKELEFIREAHKFSARVDLEQVQNEAKSNTDDLCLDRYKVFLKQYNEWVDANRDRLEEESYKYDQDYFPGRRKRGKDYKEGMYELPFYYPGQICVGKVTTLHLYQGAFVDIGGVHDGWVPIKRNDWYWIRHHIKVGMHVIVEILAKRDPYRFRFPIEMRFVDPNIDHLIFKRFDYPPIFHREEDTSMDELRRDCRRPPISKDDPGIKVEEEPLICNHPYVNTLWQIHVAEQLIMDDMEMNPEKYEGKKLSELSDEVEFNEENSVEYSQDYYNQTLLPKRIVNVSVKDLDLDAAYAERQHHNKLKIEAMEKGATSYKIDKLRRNHEMDEYDFVHWRRSVEEREALLRDISCRRAVGLPLEEPGRYHDPSSVAKDKYDPENPLYRYDYWGEPKSSEKTKQNRLRDFHNKGIIGRGMVWYETSYEDAMKNQDETRAMEMAETRNKEEEDEDDEVDDVIYEIVSEPQPEVNGVQTNVFSDEYYD >cds-PLY91113.1 pep primary_assembly:Lsat_Salinas_v7:3:82800148:82802931:1 gene:gene-LSAT_3X64221 transcript:rna-gnl|WGS:NBSK|LSAT_3X64221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSLVLCGTMRAHTDWVTAIATPIDSPDMIETSSHDKLLNHKYDPLLEMRSFKPVKIMSSSYPKTPRYRKKHKTVDLKAIKKWGRQILNGLHYLHNQNPPVIHRDLKCDNIFVNGNNGEIKIGDLGLATVM >cds-PLY75151.1 pep primary_assembly:Lsat_Salinas_v7:4:61433610:61445149:1 gene:gene-LSAT_4X41580 transcript:rna-gnl|WGS:NBSK|LSAT_4X41580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPIKTVVVLVQENRSFDHMLGWMKSMNPEIDGVTGKESNLLSTTNHHSQRLYHTNKAGFIQPDPGHSFEATYEQIFGVEWSESSTPGLTPTMDGFAQQAEKVQPGLSEVVMTGFDPDSLPVYKELVSEFAVCDRWFSSIPTLTQPNRLYVHSATSYGATINDTEMMIKGYPQKTIFESVEDGGHSFGIYYQLPPSTLFYRNLRKLKYIDNFHQFDLHFKRHCKEGKLPNYVVVEQRYFGIKGLPGNDDHPSHDVSDGQKFVKEVYEALRSSPQWNEILFVIVYDEHGGFYDHVPTPNVGIPNPDGLIGPSPYNFQFDRLGVRVPAILVSPWIERGTVLHKPTGPYPTSEFEHSSIPATVKKIFGLKSHLTKRDEWAGTFEGVARRTTPRTDCPVTLPDPMKMREFEPDENEKLSEFQQELVQLGAVLNGDHKRDMFPSKLVENMTVTEGAKYLEDAYKKFCEDCEQAKRDGKDESHIVCLEEEPTPESPKKSSRDMVLQNELADEQLKHFQDTYKEQVKPGGSREVVLSSNGVHDDNDLPEDEGGSHVPISDDDLDKDNDLQASAFLWSRQMN >cds-PLY64832.1 pep primary_assembly:Lsat_Salinas_v7:8:265628100:265632946:-1 gene:gene-LSAT_8X154861 transcript:rna-gnl|WGS:NBSK|LSAT_8X154861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNKKRTKTADRVDPVDVAEVSPESIPVYPFPDEVLEPVLSPINSHKDHSFVSLVCKDWYNAERWSRRHVFIGNYYSLSPKIVARRIPQIQSVTLKGKLRFSDFNLVPEDWGADVHPWLSILAKAYPFLEELRLKRMAVCYSFNSLETLSTLKFAQRAKYIKNHATINEDASGDVLATRMQIHQLKKEVSQLQSVINGGTGTGNHENDAWAVGSPGGFIWEGCQGSFSLVTVVKRMSQVLFIFTDKIKS >cds-PLY78380.1 pep primary_assembly:Lsat_Salinas_v7:9:8943629:8943913:1 gene:gene-LSAT_9X6321 transcript:rna-gnl|WGS:NBSK|LSAT_9X6321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNHRRCPSLVRSVEAQSDEDEGRRHFFRRGEGNNVRCKTKDYDGVSRGWFTYIRLKGFRVGGWWWQRRWGDEGGGGSTDDSGVGDALLQRKRN >cds-PLY92666.1 pep primary_assembly:Lsat_Salinas_v7:2:160053191:160054324:-1 gene:gene-LSAT_2X83760 transcript:rna-gnl|WGS:NBSK|LSAT_2X83760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSDVMDHWLLEKRDWNRNFVPLERIVWVNIEGLSLSTWSKDLFRRILSKWGSIAQMDDYVGEDVYRNRVCILTIAQQIISDTVKVRVDVKLFNIRIKEAPGWTPSFVSDTPKSEPEGCEERNFLDGNDNDIGSNSSTGNAEESLDPFGIYETMERMKVEERRNKISTSYHSWGKSKKHTNDAQELEGQNGNKSVENVHYTHSAASVNEKMQIMSPVQSCLELQAGPRPCESQNQIATTPNEIVQQPSRSSETTHTIPITDVSMQASNGQNLVQAPVIPHDSPRMNNVSHIAPFGFSGGYARMSGVEVSEDSLSHPLGFSERINGDYNLGSDCCVSENLVTEEIKKTMETGQKVGFKMDGCLEQVTGVVNREGMIKQ >cds-PLY64013.1 pep primary_assembly:Lsat_Salinas_v7:4:190171532:190173682:1 gene:gene-LSAT_4X109161 transcript:rna-gnl|WGS:NBSK|LSAT_4X109161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLKSKWQNVDILNPKHFLLYHYSTFPPTPPSNDLQKLCDVVSHGVGSLDELEATLNSVNVSFCSSLITQVLDSSKKEAPTRRLLRFFLWSEKHSDVKLEDKDYNHAIRVFSEKKDFLALDMLISNLGKQNMAMETSTFSTVSETLVKLGRVDEALGIFKNLHKLKCPQDSTTVNAIVSALCSKGHVKRAEGVIYHHKDKISNVKLVIYKNLLHGLSIQENVKESRRIIKDMKGAGITPDLFCYNTFLKCLCKKNLKSNPSGLVPEALNVMIEMRSYKIAPTTVSYNILLSCLGRTRRVKESLQILNTMKKTVCSCSCSCAPDWVTYYLVARVLYLSGRFGKGKQMVDEMIEEGLIPERKFYYDLIGVLCGVERVNYALELFDLMKKSSLGGYEKVYDLLIPKLCKNGEFEKGKELWDEAMSMNLKLECSSDVLNPLITKVFKPMRKVEEEVKIVESDKVKIGGKKVKGNSYVKKGKKLFISNKNGRKLTVHKKGKKKRASMKINKTEATKIKSNN >cds-PLY87011.1 pep primary_assembly:Lsat_Salinas_v7:5:265432419:265433062:-1 gene:gene-LSAT_5X136980 transcript:rna-gnl|WGS:NBSK|LSAT_5X136980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCHHPPPLWQIPRVGFRYWLVRKYIISEDGEVDVRVAVTCIILSTKDTPLAMVAVGSCLGVYYMITKIVRKMPCRIYATLKELNLGMMENEWNVSFHWNRRFLNEFNSFHFLEFMKRNNFVSPKVAYETATTLQVQLDEF >cds-PLY70251.1 pep primary_assembly:Lsat_Salinas_v7:4:205402182:205404696:-1 gene:gene-LSAT_4X116401 transcript:rna-gnl|WGS:NBSK|LSAT_4X116401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEDKVSLLRYALQRAFQAVPNRLMTNNAYLALLGASLNASTTDEGLNFYDSQHRFEHSQLLLVLLSSLPHAPKTFQCRVLQDLLILVCNHAVSIYTLVPGDRAFEADNGLNDNSEFTIENEDETDQAKIQEVVEVQDTIPSFWMLHLLSNTLGDKIGAYVEQYVETHL >cds-PLY74000.1 pep primary_assembly:Lsat_Salinas_v7:1:33267886:33271225:-1 gene:gene-LSAT_1X28480 transcript:rna-gnl|WGS:NBSK|LSAT_1X28480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMHFGSVPVLVASSPEAAKEIMKTHDLKFCNRPKLRIVDIVVYGSNDITFSPYGEYWRQVKSIAVVHLLNTTRVQSFRQTREEEVGLMIDMIEKSSGSVVDLSELLFRLVNNIVCKAALGRTYPGSKFADLLERFVWVLGAVSLGSYIPWLSWVDKLSGLEGKAHKVAKDFDDFLEGVVAEHVDKRRGMDVHAQSGEDQDLVDILLDVKGDNTTGFTFHRNTVKALILDVFAAGTDTTFASLVWSISELLRHPRAMEKLKQEVTKIAQGRSMILEKDLENMQYLKAVIKETLRMYPPIPLLIPHESTEDVKLLGYDIPAGTQTLVNAWAIGRDPTAWEEPEEFKPERFLNSSTDYKGLHYELLPFGGGRRGCPGIPFATVIFELALANVIYKFDLALPNGVKNTDLDMREKFGITLHKEAPLLVMATTSFK >cds-PLY89164.1 pep primary_assembly:Lsat_Salinas_v7:3:20337688:20341094:-1 gene:gene-LSAT_3X13380 transcript:rna-gnl|WGS:NBSK|LSAT_3X13380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRRSRKLQQIEEDVLSDGYGTHKPIEVKLVEGENVPQQEEGDRGDCGVYMFMFMEMLALGVEVETC >cds-PLY75208.1 pep primary_assembly:Lsat_Salinas_v7:2:203700315:203703517:-1 gene:gene-LSAT_2X125521 transcript:rna-gnl|WGS:NBSK|LSAT_2X125521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPNDSPEPEFDPRVPSVPVSYPIKTLEDLKLRTYFDSFHFQFNKASVPLRRSGVDGVSLPNRPRIMVCHDMAGGYTDDKWVQAGSNADAYSIWHWYLMDVFIYFSHSLVTLPPPGWVNAAHKHGVKVLGTFIVEWDEGKLIADQFLASTTVADMYAERLSELAVALGFDGWLINMEVSLDIEKIPIMKEFVSHLTQVMHSSVPGSLVIWYDSVTTEGQLNWQNQLNNYNKPFFDICDGIFTNYSWTEDYPKLSAAVAGDRKFDVYMGIDVFGRGTYGGGQWTANVALDVIKKDDVSAAIFAPGWVYETKQPPDFQTAQNRWWSLVEKSWGITQSYPKVLPFYSNFDRGNSYHFSIDGKSVSDAPWNNLSNQSFQPVLEFSGDTTTETIQASVDLKEASYSGGGNITFKGALEDGAYLKKRIFQGELHMGDSPVYFTYSVKSDGSSMIGLSLEFTNTINMENRTSVLLASSGDTLLTMNQFSSKFDSVIMPRHVKKTSSGWVIQESSVTMVGSTLTNIHAVCYKTQSKLTSSSEYYSILGHISIKSSPQNMSFPPASEWNVKSQNVNWKSGSVSLKIQWTLNSGVSPGFSKYNVYVENEEGKLVKSSNFLGVASVEAFYISDLLVPPGISSLKFIIQACGSGGASQELVDSPFLRLQVEGS >cds-PLY74548.1 pep primary_assembly:Lsat_Salinas_v7:7:36987843:36994996:-1 gene:gene-LSAT_7X27441 transcript:rna-gnl|WGS:NBSK|LSAT_7X27441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSILLQQSINCFIYNYQVPTLPLSLPPFLSMYVSITLTGFILSELKQLGKNLKNGLAPTKPNLMQKCTCSRRIGGIRARAAFDAIETATSPTAFPFFQTDSQQEVTPASKLELADPEFYKMGFVRSMRAYGVEFREGPDGFGVYASKDIEPTKRAKVVMEIPLELMLTISQKTPWMFFPDIIPIGHPIFDVINSTNPETDWDLRLACLLLYAFDHDKNFWQLYGDFLPSAEECTSLLLATEDDLMELHDENLASTMRKERSRVLDFWIKNWHSNMPLKVKRLARDPERFVWAVSMAQTRHINFRIRIGSLIQNANMFAPYADMLNHSCKPNCFFHWRFRDRMFEVMTNAGQRIKKGEEMTVNYMSGQRNTTLMQRYGLSSPNNPWDVLPFSGNAKIHLDSFLSVFNIFGSHQDYYHNENGKFTGRKRSNCRSHSHSNLYCFEQDEGCFNCNSGTEGDAEVKPQPNGQLHTDSQMALPLVTVSAPLYTKENGVMWASSPDRLELPKPFHHSNSSDSPCVSESGSDIFSKRDVIQKLRQQLKRRDDMILEMQDQIAELRNSLSSQHSHSAHLQSQLESANRELFESEREIQRLRKAIADICVGNQNGYLEVENGMESEKVEMLKREVGELKELIEGKDYLLQNYKEQKSELSLKVKELQHRLDSHVPNIL >cds-PLY73880.1 pep primary_assembly:Lsat_Salinas_v7:3:39063777:39064787:1 gene:gene-LSAT_3X30001 transcript:rna-gnl|WGS:NBSK|LSAT_3X30001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNMTTFFGMSLGAFVFWQSMDKVHVWIALHQDEKQERMEKEAEIKRVREQLLQENKERDPIA >cds-PLY81242.1 pep primary_assembly:Lsat_Salinas_v7:4:306773608:306782142:-1 gene:gene-LSAT_4X155600 transcript:rna-gnl|WGS:NBSK|LSAT_4X155600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKSSLCNCVVNFLLEENYLLSAFELLHELLDDGRDDQAIRLKEFFADPIQFPPDQISRFNSLRVADPQSLLEEKEALEEKLAIRDYENRLAQEDILKLKTELQKKSEAKQDEPSDAKAKNGSSIQQSGETKFSDLGPLKAEERHDLNCAVKEYLLLAGYRLTAMTFYEEVTDQNLDDWENSSASVPDALRHYYYQYLSSTTEAAEEKLSMQKENDSLVKENEKLKHEKQTLLRSRDLVDSQIATLKKSLETLQKDIKEKDNQVQDVKHSLEQRRKEITVYKAEITSLQKQTEAAQSEHVPPGDVEDEESYKEQIQSLQQEIERLHATKSGDTTPVKNVEEITDRKDEVIKLCENDMILSPSTNMRDQNTDDKNHEFSKSDDNDVAKDLLKDNMQVKTYNGLTPESNTPVQHDSKKGPGTIQILSDALPKIVPYVLINHREELLPLMMCAIERHPDSNTRDSLTHTLFNLIKRPDEQQRRIIMDACVTLARNVGEMRTETELLPQCWEQISHMYEERRLLVAQSCGELAGFVRPEIRDSLILSIVQQLIEDSATVVREAAARNLALLLPLFPNMDKYIKVEEMMFHLVCDPSGAVVDTTIKELVPAVLKWGNKLDHILRVLISHMLSSVECCPPVSGVEDSVESHFHVLGERERWTVDVLLRLLMQLLPIVHQIAIDTCSYESFSESTGTFFSLQLLQKYAEGRLDWPTFEWLHDDCFPSLIQILSLLPQKEDSLRKRISSFLLAVSTRFGEDYLTHIILPLFLVAVGDNADLSCFRSTSQPKIKALRPIIAMDARIATLCVLPVLLAGVLGFPNKHELLTEYLRNLLIQSADKTTQSAIHSADVYNSVRFLCLFEEHHNLVFNILWEMVVSSNMDLKINAAKLLKVIVPYIDAKVASSHVLPALVTLGSEPNLHVLYASIDAFGTVAQNFKNDVIVDKIRVQMDAFLEDGSHEATIAVIRALVVAVPHTTESLKDYIL >cds-PLY94930.1 pep primary_assembly:Lsat_Salinas_v7:4:113997644:114000663:-1 gene:gene-LSAT_4X71580 transcript:rna-gnl|WGS:NBSK|LSAT_4X71580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance 10 [Source:Projected from Arabidopsis thaliana (AT2G20980) UniProtKB/TrEMBL;Acc:Q5XVE2] MSTHGDDLDLLLSLQDRVLETPPSSPSPHSPGYLSDDGCARQRGHADMSVFRTAVEDCLDYEPEPSKKPLKSSHGKKSSDVDVEKFSGLRIQNQLVSRTELSDRLADIRFVRLTSIKNLLSGDTLSGCWATIGVLCEKGEIRTSSTGKPYSIWTIGCLDEKTVSLFLFGNTYQKNSKEEIGTIFALFNCEVRKNAKSKSGFTLTVFSAPQVLKLGTSADFGQCKTCNQVINKRHGVYCKFHTKNASEKYSTKRVEFMGGNLRTAFNYKERMQTEGIYMVENQTNVAKHGQPAKVHSIEGLRRALSNAGKVTTNTYSQGIRFLSQVAGKSSPVLNKPVKSSTDKRKSEVVGSNPPDVKTSQQLNAKRVKIEKSQMPQVEVKQGKEKTVELEYVSSDDDSDSIF >cds-PLY97940.1 pep primary_assembly:Lsat_Salinas_v7:3:18764635:18764915:1 gene:gene-LSAT_3X14540 transcript:rna-gnl|WGS:NBSK|LSAT_3X14540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISVKPILIMGSGVVGPGFIPLNCPSFNIHKVWIFRNLPTFAHQQDEGADWYNDEESCLTFL >cds-PLY68746.1 pep primary_assembly:Lsat_Salinas_v7:4:185372494:185374074:-1 gene:gene-LSAT_4X108460 transcript:rna-gnl|WGS:NBSK|LSAT_4X108460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTSAIPTVDLSPFFTAGDDESCRKKCKDLIAEACSDYGFFQIVNHGVPLDLINQAMELSKTFFNYNDEVKRECSSSPDSPLPAGYNKQPDHSPDKNEYLLMFPPESPFNVLPTNPPDFRKILEEMFKYFVTTCEILEAIINDCLGLPPNFLKEYNNDRSWDFMVTMRYLPATESENNGITEHEDGNLITIVLQEDIGGLEVCKDGEWIPVIPSKGTLVVNLSDVIQVLSNKKFKSATHRVVRPKGRHRHSYVFFYNLQGDKWVEPLPNFSSAIGVKPKYRGFYYKDYQALRMRNKTHPPSTPEEIIRIAHYEI >cds-PLY87287.1 pep primary_assembly:Lsat_Salinas_v7:4:331954726:331955892:1 gene:gene-LSAT_4X164640 transcript:rna-gnl|WGS:NBSK|LSAT_4X164640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKEMSIKAEEKNINDGEEAEGFVKTSISMRSFPPVSASSEDVPAVAYNMDGIGNKTEQPWSFRMFSNEDVTIGAWMLAMNVNHEENHQLCQTECTPTSIAVWDLPKCLG >cds-PLY91238.1 pep primary_assembly:Lsat_Salinas_v7:3:74472703:74477057:1 gene:gene-LSAT_3X62321 transcript:rna-gnl|WGS:NBSK|LSAT_3X62321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKHTLVFTIFLLLNQSLSWDPRYEACVAKDCGDGRKVTYPFFINELHDSSCGYPGFELRCSNGSSILRIAENDFIVKDIQYKNSSLRLQDAAILPNQTESCPSKIRNITLDPNRFRIDNVSTTKLILISNCSSVLPVNLKRYRIRSCEESNEVVMLANDMNLRNVTTSCGYGRKVVETLVELSGEEGRSQVVDGDNYPEVVERGFVLHWLAADCGVCESSGGRCGFNQITFGFRCFCRDRPHMVSCRTALCIGLGAILVVIMLCFIRKFKRRRSTKSYVNVENFLKNHEFLAKRYSYLQVKKMTNTFEVKVGQGGFGSVYRGVLRNGNLVAVKILSELKGKGEDFINEVASVGKTSHVNIVSLVGFCFEGHKRALIYEFMPNGSLEKFIHDQASSDNIQLGWKKLHEIALGIARGLEYLHIGCNTRILHFDIKPHNILLDQDFSPKISDFGLAKLFPEKRSMISMSHMRGTPGYIAPEVYSRNFGQVSHKSDVYSYGMMILEMVGGRKNIEAEVDHTSEIYFPHWIYKKVEFDEELGLHRSMSNEENEMARKMIIVGLWCIQTNPVNRPTITKALEMLEGDLASLEIPPKPYLSSPSRLGASSITD >cds-PLY94939.1 pep primary_assembly:Lsat_Salinas_v7:4:108690133:108691567:-1 gene:gene-LSAT_4X70420 transcript:rna-gnl|WGS:NBSK|LSAT_4X70420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRLEKGAGVLDKSVIEKTTPGRESEFDLRKSRKMAPPYRVMLHNDNYNKREYVVQVLMKGGNISAITCDLSLTRIGQDAVLYVESLIESIMGGLEGLISILDSEGASTKSQLKLQMAFDGQERYMKRSWEPSDKADLHFVYKDVEGVSNQWDDIQRKLRNLPPKPSAFKPDPFTPAEDEDSKPKTKSWIDNKTEELKDLEDDLDDSCFLEEYKYRQLP >cds-PLY89362.1 pep primary_assembly:Lsat_Salinas_v7:MU039850.1:1089:3993:1 gene:gene-LSAT_0X44621 transcript:rna-gnl|WGS:NBSK|LSAT_0X44621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIGKHQSPVVAFSSSSRLHQQSLRYAVLGAGFAGLSVAWHLLEHSPIELHISIDVLDEVGIGGGASGVSGGLLHPYSPKVKPLWRAAECWEESLKLLRIAETAIQTKESSFNNGEIAHSSYEFIARRRGILRPAVSLKNMSIMNENAQNSLASCRIQSINEDAAQILMPNICVPSNLAFHMQGAINIHPQPYLEALYVACEALARDMSSVGPGEKDINFHKKSIDNLLQLEGEYDAVIVCLGARSTFLPELSGRLPLRTCRGVTAHFHLPENIGEEIPEHSPSILSDAWLAIQNPRNLHVGSTWDWKSCNYSQKVSMEEASRALEELLPKASAVYPSLRKWVFHGASAGLRAMPPLTGNGSLPLLGRIDDFITQNHSSKFWLFSGLGSRGLLYHAWLGKLMAQAVISCDEGVIPQEFTSWRPKL >cds-PLY68224.1 pep primary_assembly:Lsat_Salinas_v7:6:180511340:180512494:-1 gene:gene-LSAT_6X109980 transcript:rna-gnl|WGS:NBSK|LSAT_6X109980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRSNPSDLDGKKSETVSIKRAPTSKPPFTLADIKKTIPPHCFERSLIRSFSYLVYDLTLVWILYYLATTYIPQLPHPLPYLAWPVYWFVQGCVFIGIWVIGHECGHHAFSDHVWVDDCIGFVIHSCLLTPYFSWKISHRRHHSNTGSLDRDEVYVPKTKSKLSSSAFYLDNPIGRTFTLAVKLSLGWYIYLSINAAGRPYDKFASHYDPRSPIFSDNERVLILITDIGLVSFSFLLYKVATFAGFANVFCVYGGALMVMNAFLVTITYLQHTHPSLPRYDDSEWNWMNGALSTMDRDYGVLNKVFHNVTDTHVVHHLFSYIPHYHAMEATKAIRPIVGEFYQKDSTPFFLALWRESKNCLFIEPDEGDEKNKGIYWFRSQY >cds-PLY71618.1 pep primary_assembly:Lsat_Salinas_v7:9:135970344:135980881:-1 gene:gene-LSAT_9X87721 transcript:rna-gnl|WGS:NBSK|LSAT_9X87721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVGSEEIESLRIELSEIGRSLRSSFRRHTSSFRANSEISCANDHEQTDENLLKWAAIDRLPTFERLRSSLFDEEDADGHDGRGKKVVDVTKLLPPERHMFIEKLIKHIENDNLQLLQKLRKRTDKVGVQLPSVEVRYKNLHVEAECEVVHGKPLPTLWNSLQSMLSDFVIIPGLKSKRAKITILNDVTGVIKPGRMTLLLGPPGCGKTTLLKALSGNLNKSLKMSGEISYNGYKLDEFVAQKTSAYISQNDLHIPEMTVRETLDFSARCQGTGSRAEIMMEVNRREKEGGIIPDPYIDTFMKAISIDGQKTTLQTNYILKILGLDICADTMFGDAMRRGISGGQKKRLTTGEMIVGPTKALFMDEISNGLDSSTTYQIISCLQQLAHITDASVLISLLQPSPEAFDLFDDVILMAEGMIVYHGPRNNVLEYFEGFGFKCPERKGVADFLQEVVSRKDQAKFWCRDEQPYSYISIENLSTRFKECYLAKEVNEDISKPFTRLQSHENAISFDVYSLSKWALFRACMSREILLMKRNSFIYIFKLVQLVIIAFITMTVFFRTRLKVDVLDANYYLGSLFYALIILLVDGFPELSMTVSRLSVFYKQRDMYFYPAWAYAIPASILKLPLSILEAIVWTSLTYYVIGYSPEPGRFFRQMLLLFGMHFTSISMFRFFASFFQTVVASTTAGSISILFLLSFGGFIIPHTAMPSWLKWGFWVSPLSYGEIGLAVNEFLSPRWNKITSTNTTIGLQTLQSRGLDFDGYYFWISLGALFGFALLFNIGFILSLSYLKAPGTRAIISKEKFFDVQKSKESKHHNHRDETTKTSNTHEITELHEGKMVLPFEPLTITFQDLQYYVEPSPEMREHGFTGKRLQLLHDITGAFRPGVLTALMGVSGAGKTTLLDVLAGRKTSGTVEGEIKIGGYPKVQETFARISGYCEQTDIHSPQITVEESVIFSAWLRLHPEIDSKTKYKFIEEILETVELYAIKDALVGVPGVSGLSTEQRKRLTIAVEVVANPSIIFMDEPTTGLDARSAAIVMRAVKNIVDTGRTIVCTIHQPSIDIFEAFDELILLKNGGRMIYCGPLGRHSSSVIKYFESISSVPKIKDNYNPATWMLEVTSASVEAELGIDFAQIFSTSALYKSNRDLVNTLGKPPSGSKELYFPTRFPQNGWGQFKACLWKQHLSYWRSPSYNLMRSLHMLFASFIFGLLFWDQGRKIHNQQSLFNVLGSMFSAVLFCGINNSSSVLPYISMERTVLYRERFSGMYASWAYALAQVTIEFPYLFAQSLAFVCITYPMIGYYWSAYKIFMYFYAFLCTLMYFTYLGMLLVAMTPSFPVAAILQSAFYTIFNLFGGFLIPRPKIPNWWIWLYYLTPTSWSLNAMLTSQFGDVKKEILVFGETKSVEDFLRDYFGFHHDQLPLAGVLLALYPIILASLFAYCISKLNFQRR >cds-PLY97948.1 pep primary_assembly:Lsat_Salinas_v7:3:18174972:18179214:1 gene:gene-LSAT_3X13840 transcript:rna-gnl|WGS:NBSK|LSAT_3X13840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVLLQSERQVERPFVATIENMYSDIQKGVIEDGSGNMKDDAIVAPVYEVGDDTIENDVSKTCISICGLQDDGESEVGDDTIVDDISKTPISICGLQDCGESEDACAVIEVDFSEKSFIGSSITPMKR >cds-PLY67444.1 pep primary_assembly:Lsat_Salinas_v7:6:68496869:68501159:1 gene:gene-LSAT_6X48640 transcript:rna-gnl|WGS:NBSK|LSAT_6X48640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPMDRSSSWGSQSQSGSEDSSRGRSSNAAVLSIECLKGTSRAEEWTGEMLETGDIVEEIKIGNISITAPFKNGKSGVQKILHNSFKSKETSIRVRIRRGSDEFAELVACIVPNNGSTGGKKQYMLRSIDDPNYTVGFVDRTEADCLALQASRGSRMESALAAAQLHDGYVAYPWQKQMQELLPIPNSSCFLSILFLPKSSDSVSHRYNDLEDTLSRANAWHTASQASGVPIVFMNIQTESLLTKISGETASSTVNTGSLSDLANLANVSLYGFEDYHGVDIGVVRGVRLWFAPIGGEVAVEIKIKDGDTKLGFAIGRTQEGFIQVTSVLGVEEDSPATRSGLSSLYAEAKKARKLLVVSRISNQKVLPWMVSPEGAIRCYDTVSISQKLSLHRHTKVPISLHVFAWDQEVAMLGNANLRSQTYAPVVSQLPKETQTAHLATANANRGRENDGSMFGVGRDTAGEESFRFHNFSVPNNWV >cds-PLY88075.1 pep primary_assembly:Lsat_Salinas_v7:6:181360904:181375305:-1 gene:gene-LSAT_6X109681 transcript:rna-gnl|WGS:NBSK|LSAT_6X109681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCFPTWVEENKKEPRIKKQSLGDSRGIVLYSAHLITNTDHVKGLLQKLDFKFPLTVSCVHFICSSIGAYVMIKVLKVKPLISVEPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWMVWRKYFDWRIWASLVPIVGGILLTSVTELSFNAFGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILAVPALLLEGAGVIAWIQTSPNLLSSFIIIIGSGVMAFCLNFSIFYVIHSTTAVTFNVAGNLKVAFAVAFSWMIFKNPISPMNAFGCGVTLVGCTFYGYVRHRLANQLPGTPRTPRTPRSRLEMAPLVNDKIDDKV >cds-PLY94809.1 pep primary_assembly:Lsat_Salinas_v7:2:176350787:176351482:1 gene:gene-LSAT_2X100421 transcript:rna-gnl|WGS:NBSK|LSAT_2X100421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSRNQLQVTHVQSQEGVKVCDCVVPAKERTCWKITNPGRRFWNCQNSMTRLRKCSFFEWKDEEQADGYYKNMLYSLKQKLDAKDELSEMNKLRRRIAEVEFLLSQEQYKLAKSEKEVHDARKAIGRYRMIVALLFACLALCVLKLGGSM >cds-PLY86978.1 pep primary_assembly:Lsat_Salinas_v7:5:259809927:259811334:-1 gene:gene-LSAT_5X129841 transcript:rna-gnl|WGS:NBSK|LSAT_5X129841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKASRTFYTASLKYPQLIVSASFKPPSTAMHVYSSRIGRHRGTVEAPDALLPVFTTFVLLEIYLIVDCLKSNGGRIPSLKSSSNRGCTLITIDEGCCFYGFRTSKIYVQLPQIMLISPPIEPHHIHRTTDANFALALRSGKSFQLEIR >cds-PLY80370.1 pep primary_assembly:Lsat_Salinas_v7:MU041981.1:60761:61130:-1 gene:gene-LSAT_0X28060 transcript:rna-gnl|WGS:NBSK|LSAT_0X28060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTTIRNIEIALGKGGPLARVAVVVAKLIAKEGKSATLKLPSRKVLGKVVNVVVHEKNLGSVGCKCWLVMNPVENPHGGGEGRAPTSKKPTTPWGYHGIGK >cds-PLY72128.1 pep primary_assembly:Lsat_Salinas_v7:7:57325149:57326211:1 gene:gene-LSAT_7X41680 transcript:rna-gnl|WGS:NBSK|LSAT_7X41680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRNQNDDDDDNASSSSSSRNTSFDKDHVGPWSDVNHDVLFLVMMQLRVVDFIAFRGVCKSWRSFAVSKSSIFLASKPPMKISINPHANNQDCYIYLKEDFEEPMFKTILPHSAGRICIGSTCGYLILFSSETRDFWLVNPITRHELHFPDFPLCVADLPTHEKEMRAIKGILVFSPSISGRVFVVLSSKLSLSFYIAGKPGWNHVSSSLPIVDVHVFKGKIYTLHSDCSLGELRLDLNSKWKGKWMLLETKSFPKPNLYFLQLVSANEKLLVINWVSNQKIFMELDSEEMKWVSPKKTIQECAIFYSSLTSCGVIKPESWTRHKRYGQGVFRCEGMWYFPNDCLNVNLLNE >cds-PLY87751.1 pep primary_assembly:Lsat_Salinas_v7:5:200113085:200116003:-1 gene:gene-LSAT_5X91300 transcript:rna-gnl|WGS:NBSK|LSAT_5X91300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIEESTVNQSIIDSSVIEGQKAQTIKNPSESQIKQPFRKRKLCEPKDIFLSCPLQGLKSEVVVVFSKILNVCLRPMLSTTHIG >cds-PLY74130.1 pep primary_assembly:Lsat_Salinas_v7:9:10604218:10605837:1 gene:gene-LSAT_9X10001 transcript:rna-gnl|WGS:NBSK|LSAT_9X10001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSFEETELRLGLPGGGGGNKTSEVGDTAVHCNGKRSYDETIMDLKLKLSSDDQETKNDQEKNLFEATGGGDGNCSVNPPAKAQVVGWPPVRSYRKNMLSIQKNISLRGHEEFTGGCGGVAFVKVSMDGAPYLRKVDLKMYKSYQDLSDALGNMFSSFTIGNCGSHGLKDFMNESKLMDLLNSSDYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGKEAIGLAPKAMEKCKNRS >cds-PLY66611.1 pep primary_assembly:Lsat_Salinas_v7:4:283298189:283299623:-1 gene:gene-LSAT_4X144300 transcript:rna-gnl|WGS:NBSK|LSAT_4X144300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNTVMMLSLSDPLPCVLSTAKIGGASEAEVGEKKDRGTDALNHNEKLFSLKTVADGQNSVNSRALRIPFPWLVFNEKIKVNSVFLLDTTVVSDFVLLLFGASISKGDIDGHLKMLGGYLEFFMEPSLAELYHNLRKDLDELFQYKFFDTSIYGSGFPRAADERPSPLLKKSKHGILISVAVKPQASRANSVAETFSEFKHLLSCV >cds-PLY80030.1 pep primary_assembly:Lsat_Salinas_v7:9:45313071:45313985:1 gene:gene-LSAT_9X41200 transcript:rna-gnl|WGS:NBSK|LSAT_9X41200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEEKKHHHLFHHNKDDEESFAAYPVEGTHDYKEDEKKHKKDEHLGEVGAVAAGLYAKHEKHEEKKDPENAHRHKLEEEIAATAAVGAGGYAFHEHHDKKEDEKAQDTKKHHHLF >cds-PLY77422.1 pep primary_assembly:Lsat_Salinas_v7:3:147374483:147375903:1 gene:gene-LSAT_3X96881 transcript:rna-gnl|WGS:NBSK|LSAT_3X96881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKFHEKKLLKKVNFLEWKREGGHREAHVMHRYHVTGRDDYKKYSSLCRMAQKLVNILKQMDPRDPYRIEMTDALLEKLYNMGVIPSQKSLALCDKLSVSSFCRRRLATVLVRLKFAEHLKEAVTYIEQGHVRVGPDTVTDPAFLVTRNMEDFITWVDTSKIKRKVMVYNDKLDDYDAMV >cds-PLY62168.1 pep primary_assembly:Lsat_Salinas_v7:2:152783771:152785496:-1 gene:gene-LSAT_2X77780 transcript:rna-gnl|WGS:NBSK|LSAT_2X77780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTKSKSKLPTVKTIVSTIGSVAAAAMVVRSVARDYLPPEFQNYLYLGLRNFINKFSTHLTLVIYESDGFQDNAIYNAMEHYVAARMSTEIHRMKVTKTPNQNNIALTMEVNEEFTDVYNGVKLYWSSVSKKTPTRQYRSHDDSNYSYRTDQRSLELTFHREHKDLVMNEYFPFVLKEAEIKKQEQKTLKLFTVTQSSSYSRPTKWTSVNLDHPANFTTLAMDSDIKEKVMKDLDRFVERREYYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLNFDIYDLELTDVKSNSELRTLLVATANRSILVVEDIDCSVELHDREAGEAAKAVSRKNGKMYPEEKKVTLSGFLNFIDGLWSSCGDERIIIFTTNRKEKLDPALIRPGRMDVHIHMSYCTPCGFRQLVSNYLGITHHTLFKQIEDLMCEIKVTPAEIAEQLLKDDDPDVVFSGLIEFFDVKRKENEEVEAKEKKKKEEIELALKEIEKSEQSD >cds-PLY91544.1 pep primary_assembly:Lsat_Salinas_v7:1:11755758:11758547:-1 gene:gene-LSAT_5X101441 transcript:rna-gnl|WGS:NBSK|LSAT_5X101441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCGSSKVDDLPLVKRCRARKEMIKSAMDYRYDLSSSHVAYFRSLNDIGYALSRFVDEELVVSSSSASSPVLTLPSDEGSKTDTSISYIHSIDRNNDSHLQISSDSESDLHSSSGGHIHIHDDDDDDDSVPGNNSKSSLSSFYPPYNHNHNQTNWNDVDPYRRNLAPSPYQFPNGPSYESAWAPYGGIFYSYSGNSNMYYMKKSAPARNTVVHKEERGFQSDYNSNVNANGGFSGFTKHNPTEAPSPPPPPKVSDWDFLNLFEGYENGYPSYGYGHGYSSPDTSEVREREGIPDLEEETENESHSEEVKLDTKRNKREDTTRFVPKENIQVNSSQSSGGSSKRVPMPSRNSEGTSDSVPSHSIDIEVDTSIRSTVSESVGEKKEASVDADEEVESSRLSSLKRLSPHGSLGLEEVFNEIKNEFNIAFGYGKEVDMLLESGKVPYHPKFALLKVALSMIMYPFSSSLNPKQSQLSRSQTTKLARSYHLDAVASISLSSTLEQLYTWEKKLYKQVKDEERLRLKYDKMSKKLKDLDARGAEYTKIDAVRASVRRTMTKLDVCIKSIDAISSKIQKVRDEELQPQLSELIYGFVRMWKLIVKCHRKQFQVVSSSKTWNLKANMSPRSDNATVELIIVVLSWCKHFEDWINAQKSFVNSLNAWLQECIDHESEVTIDGNIPFSPGRIGAPPIFMICNDWHNGVKRVSEKHVSTAIRVFASNLRKLLERREDELNQRLKTEQLAKDFSEKRQNLRIDALERGFLEADDRKMELRLLRKKTEEARRRHKEAVKLVHDAASSCLKGGLIPVFKALQDFSCDAFKAHEQIRLQIQGKLT >cds-PLY96590.1 pep primary_assembly:Lsat_Salinas_v7:4:6730767:6732842:1 gene:gene-LSAT_4X4041 transcript:rna-gnl|WGS:NBSK|LSAT_4X4041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLSKFVDKVYLMAEGGSHYCSKKSDDICSDVCDEDSTISSAMSRVRCILRGLDLKTLIFLFVLVPTVIFGVYIHGQKISYFLRPLWESPPKPFHEIPHYYHENVSMENLCKLHGWKTREFPRRVFDAVLFSNEVDLLTIRWHELYPYVTEFVLLESNSTFTGLPKPLVFSGHREQFKFVEPRLTYGMIPGRFHKKENPFVEEAYQRVVLDYLLKKAGIEDDDLLIMSDVDEIPSRHTINLLRWCDDIPPVLHLRLKNYLYSFEFFSDNNSWRASAHRYKSGTTTYAHFRQSDVILADAGWHCSFCFRRVSEFIFKMKAYSHFDRVRFKKFLNPERVQKVICKGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPAHLLENASDYRFLLPGNCLRESG >cds-PLY83553.1 pep primary_assembly:Lsat_Salinas_v7:1:65059919:65065454:1 gene:gene-LSAT_1X55601 transcript:rna-gnl|WGS:NBSK|LSAT_1X55601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANHNKYRDQRDRPDLSAPESLQLYLDTRFEQLKVATELQLWQEAFRSVEDIYGLMCIVKKTPKASFLVIYYAKLTRIFWISSSHIYHAFSWLKLFTLQKSFNKNLTQKDLQLIASSVVLAALSVPPYDRSHGASHMELENQKERNLRVANLIGFIVDPRSETREVISRSSLLSKLVSKSVMSCVTQEVRDLYHILEHEFSPLDLALKVQPLLMKISKLGGKLSSASSVPEIQLSEYIPSLEKLATLRLLQQVSRVYQVMKIETLTGMIPFFDFSVVEKISVDAVKHNFISMKVDHRKGAVLFGSQGLESEGIRDHLSVFAESLTKARSMVIPPTLKALKLGETLTSLTETVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESRRLKQQKITEEAERKRLAAEYAQRRNQRILKEIEERELEEAQQLLKEAGKRGKKKGKKPIMEGEKITKQTLMEMALNEQLKERQEMEKKLQKLAKTLDHLERAKREEASPLVEAAFQQRLVEEKAQHEHFQQLEIEQSRQRHAGDLEKKKRLSRMMKDKETFQQRVFSKREAEYKKMKKEQEDRINQIIQSRQQERETRRKMICYIKIEEERQKKLRAEEEARQREEAERRKKEEAERRAKLDEIAEKQRQRERELEEKERQRREEVLRGTPATLSPIRHTESPSSPRSSKPGLVTATAASPSPGKYVPRHLRERITGGPQSPPSPDHDRWGRQDDRPSTDRWRSTTFGGGGAPRSTWSSSRR >cds-PLY83904.1 pep primary_assembly:Lsat_Salinas_v7:3:52178033:52180596:-1 gene:gene-LSAT_3X39820 transcript:rna-gnl|WGS:NBSK|LSAT_3X39820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCFFKERNPGRFLVLLCFSLTITLSSGANTISANQSLSGDHTIISEGEQFELGFFKAGNTSNYYIGIWYKKLKSNPLSIVWVANRETPISDRFRSELKTIDGNLVLLNESKSQIWSTNVTTTTTTTLNSATAVILDNGNLVLRDSGSNSIEPFWQSFDHPTHTWLPGAKLGYDNRTKQSQIIRSWRSNEDPAVGLFSVELHPPGKEYVSKWNGFQQYWTSGAWDGEAFYLVPETRLDYNYIYSYHENVNESYFIYSVYDPSIISLFILDVSGTIQQLAWSENNKELNLIWFEPKTQCEVYALCGSFGICRQSGSPFCNCLTGFNPRSESDWNQSDFSSGCVRKTDLQCGRNMEKQDFLKIRVKNLPPNNSVAVGSAGECRTTCLNDCLCNAYSFVAAQCLVWDGNLLNLSEDDGSGNTIYIKVASKDLPRPKKSNNLIVVVGVVGGVVLFLVVVLVLIYRKKRVSLSVGKTTMEGLLVAFVYKDLQIATKNFSDKLGEGGFGSVFKGVLLDSSIVAVKKLESISQGEKQFRSEVSTIGTIQHVNLVRLRGFCAQGNNKLLVYDYMANSSLDTHLFHGKQVLNWETRYQIALGIARGLVYLHEKCRDCIIHCDIKPENILLDAEFCPKIADFGLAKLVGREFSRVLTTIRGTRGYLAPEWLSGVAVTAKADVYSYGKMLFELVYGKRNTVPCEDSRSTFFPSLVADVLNGGGDILSLLDSRLNGKASVEEVTKVCKIACWCIQYEEESRPSMSLVEQMLEGVLAVNMPPIPKSFTLFVDNPEPIIFFMESPSNGSSQAGNNSS >cds-PLY70950.1 pep primary_assembly:Lsat_Salinas_v7:5:150876360:150876701:-1 gene:gene-LSAT_5X66640 transcript:rna-gnl|WGS:NBSK|LSAT_5X66640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDQHTEVIDIPNDGNMEKSVAPNMDVGVNDDGSNQTMVHKCVDREVFAMVDQGGGENVWLVGFGRDGAGFAGSTKKQGSISLSFSPNWSLREDSCLFVFETIVNFNKNAFPQ >cds-PLY66209.1 pep primary_assembly:Lsat_Salinas_v7:2:167984907:167985185:-1 gene:gene-LSAT_2X90421 transcript:rna-gnl|WGS:NBSK|LSAT_2X90421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIDGECPIELIGSCRNKVQVYRSVRMPQLHTSLHFHLTPIVMINGSSRCDLFLNSQNFCRSIPAGAENPSLSRLCYRKLRGSRNMRALILG >cds-PLY63767.1 pep primary_assembly:Lsat_Salinas_v7:6:24382094:24384430:-1 gene:gene-LSAT_6X19461 transcript:rna-gnl|WGS:NBSK|LSAT_6X19461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLAIKRLMMLGSSCASWKPAGAGAGTVPDQFPAGLRVLVVDDDPTCLMILEKMLKNCNYEATICNRAEIGLSLLRENKNGHDIVLSDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDSNSVVMKGVTHGACDYLIKPVRIEALRNIWQHVVRKRKHEWKDFEPEASPDVAAADAADQHQKVPEDIDYSSSANEERNWKNSKRKKDDEDEAEADAEERDESSSLKKPRVVWSVELHQQFVAAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKYRLYLRRLSSSQHLGSTFLGSPDGGFASMTSFTAGQFQSAVLARSNNTKSPISVPMMDQRNIFNFENPKLLHGIPTNMEPKQFVGLQQSQQQQQLSYNNQILIPVGGQTRSNGNRQPGNYRNDDLLQYPEQFNQDDLLTAILKQQQQEAFGLPENEFGFNGHGLDDLPV >cds-PLY80261.1 pep primary_assembly:Lsat_Salinas_v7:6:124690635:124691833:1 gene:gene-LSAT_6X74341 transcript:rna-gnl|WGS:NBSK|LSAT_6X74341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCIRKATIDDLLAMQACNLFCLPENYQMKYYFYHILSWPQLLYVAEDYGGKIVGYVLAKMEEETTECHGHITSLAVLRTHRKLGLATKLMAAAQNAMEQVFGAEYVSLHVRKSNRAAFNLYTETLGYKIHDMEAKYYADGEDAYDMRKELKGKTLHQHHHHHHHHHGGGCCSGDAKAE >cds-PLY62664.1 pep primary_assembly:Lsat_Salinas_v7:1:35275621:35278435:-1 gene:gene-LSAT_1X30101 transcript:rna-gnl|WGS:NBSK|LSAT_1X30101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSPGDEHSENHHRPAERSNPVKKPASVSMDHVLSALGETKEERETRIRSLYNFFDTSNAGYLDSAQIAVGLSALQIPADYKYAKDLLRVCDANKDGRVDYQEFKRYMDDKELELYRIFQAIDVEHSGSILPEELYDALVKAGIELDDDELASFVERVDKDNNGIITFEEWRDFLLLYPHEATIENIYQYWERVSLVDIGEQAVIPTGISKHVHASKYLIAGGVAGAASRTATAPLDRLKVVLQVQTTKASIGPAVRNIWKEGGVVSFFRGNGLNVVKVAPESAIKFYTYEMLKNWIGGGDKDDIGTSGRLLAGGMAGAVAQTAIYPMDLVKTRLQTHVCEGGKAPSLVKLSKDIWVHEGPRAFYKGIIPSLLGIIPYAGIDLAVYENLKEMSKTYIFLDKDPGPLAQLGCGTVSGALGATCVYPLQVVRTRMQANRPGGADAYRGMSDVFLKTYQNEGARGFYKGLFPNLLKVVPAASITYMVYEAMKKTLDLE >cds-PLY92287.1 pep primary_assembly:Lsat_Salinas_v7:2:210239642:210241425:-1 gene:gene-LSAT_2X130521 transcript:rna-gnl|WGS:NBSK|LSAT_2X130521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAWLLGIKNLQIQPYHLPPLGPNDVKVEIKALGICGSDVHHFKNMRVANFIVKKPMVIGHECAGIIKEIGHNVKSVAVGDRVALEPGISCQSCNLCKNGSYNLCKKMKFFGSPPTNGALANQVIHPENLVFKLPDNVSLEEGAMCEPLSVGVHACRRANVSPDTKVLIFGAGPIGLVTMLAARAFGAPKIIVADVDELRLSFAKDLGADATIQVSKNIQDIEKETTMIFEAMGESVDISFDCVGFDKTMSTALNATRAGGKVCLVGLGQTQMTIPLVAAASREIDVIGIFRYRNTWPLCIELLRTKKIDVMPLITHRYKFTQQDVEKAFETSAQGGNAIKVMFNL >cds-PLY79668.1 pep primary_assembly:Lsat_Salinas_v7:5:253600343:253600522:1 gene:gene-LSAT_5X128700 transcript:rna-gnl|WGS:NBSK|LSAT_5X128700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIKAFKPLVCSLKLLEKESNIVVIGCFVAIAKESTSLRSVPIVGSRQTYYINTLSWDY >cds-PLY67428.1 pep primary_assembly:Lsat_Salinas_v7:6:73297446:73298771:1 gene:gene-LSAT_6X52341 transcript:rna-gnl|WGS:NBSK|LSAT_6X52341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQCLYHRHLTPATSYTSNAPPSISTKYAIDPLDVHLFRHRCLPPPLSPRFYLLSIRVALTLFCRHVQPPSGHRRYNLLAGHRPPFHSILNKPQRSNGINKSWFLLKLQHQTISAPVCYDAQPRDKFKRDSLYIDKQEKLRNFNHKKVSRKRCMWFFKGHGWKYGLGFVDGIFPVLSPDAQQILNFMKKETDVNKVWDALSSLPPTHTTWDDIISVVQFRLNKRWDPIILLRTILLSLYYIYDILVCSFANIYSH >cds-PLY95176.1 pep primary_assembly:Lsat_Salinas_v7:1:169709431:169710303:1 gene:gene-LSAT_1X113961 transcript:rna-gnl|WGS:NBSK|LSAT_1X113961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTMALSPLLSCFLLWSLVSTATAFDITKILNQYTDYTIFNEELSRTRVAEEINMRTSVTVLVISNGAMSAVTSQKEGSIADIMRIHVVLDYYDSAKIKTLKNETTLTTLYQTTGSADKEQGFITVNKENNEIKLGSAVSGSQMSARVNKVVTSVPYDVSVIEISSPIIPNGIDASDPPSQLSSPSPSPDQSYSTPLPPPSSSPSDSPADSSSDHSSSANTTAPAFAPSNESKQKPIYGQAPAVPSPSDADVDSDDDDDADAPSDKASSSSRKAIVSGIIMLLASFVASL >cds-PLY80007.1 pep primary_assembly:Lsat_Salinas_v7:9:46544154:46546611:-1 gene:gene-LSAT_9X43101 transcript:rna-gnl|WGS:NBSK|LSAT_9X43101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTQFVTSRRMGIYDPLQQISMWDDGFGANLNPNTSPGMMMMTQVDSRLANKTEYNSQESLEPSPEARATKTISDKLQRRLAQNREAARKSRLRKKAYVQQLESGRLKLVQLEQELERARRQGAYGGLLNTGNSLLSGNVMNAGIATFEMEYELWIGEQRKKDDDLRKVLLTHTSDVELRMFVDTGLNHYYDLFRMKADAAKADVFYLLNGLWRTPVERFFQWIGGFRPSELLYILIPQIELTDTQLVKARGLRQSCEQAEEALSQGMEKLQQTLAQSITIDITGAGSYTTQMNCALERLEALEIFLNQADHLRQQTLQQMYQILTLRQAAKALLALGEYFQRLRVLSSIWSGRPHDRGTLLS >cds-PLY73045.1 pep primary_assembly:Lsat_Salinas_v7:9:37573949:37577466:1 gene:gene-LSAT_9X35001 transcript:rna-gnl|WGS:NBSK|LSAT_9X35001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDDERGEEKELDLSSPEVVTKYKSAAEIVNKALQLVLSECKPNTKIVDICEKGDSYIREQTGSMYKNVKKKIERGVAFPTCLSVNNTVCHYSPLASDETVLKEGDILKIDMGCHIDGFIAVVGHTHVLQQGPVTGRAADVIAAANTAAEVALRLVRPGKKNQDVTEAIQKVAAAYDCKIVEGVLSHQMKQFVIDGNKVVLSVSNPDTRVDDAEFEENEVYAIDIVTTTGDAKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEISQKFPIMPFSARALEEKRARLGLVECVNHELLQPYPVLHEKPGDFVAHIKFTVLLMPNGSDRITSHSLQELQPTKTVDDPEIKAWLALAVKSKKKGGGKKKKGKKGEKGEGDEAVAMEETTNGES >cds-PLY99485.1 pep primary_assembly:Lsat_Salinas_v7:4:57368461:57372825:-1 gene:gene-LSAT_4X38381 transcript:rna-gnl|WGS:NBSK|LSAT_4X38381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSATVSGRSRSILIKVCNSLLSNTAFALLPKPPIFQCSHCFNTSSSSNRKCDYLEDFSPLKNLNSEDAVISPNERRRIILGLGKLIKKKQGFVLKKFSRDFRPFLLVKIMTQFENRHGAFAFFKFVFREESDRIVKSCCVACHVLAMHGFRIRAQDVLSWMIRRIGVSRGQDLMEFMWRGHFGYESDFSVLDSLMRAFTNAEMDSHALQISRIMRGLGMQPCLSALSILFKLLLRIGDYGSVWKLFRDMIRKGPFPSNIAFNVMIHGFCRKGQVQTGESLLHLMSKFQCEPDVYTYNILINAYCIHGRTSDVWSWVDLMVKRGCTPNTATFSTIINALSKEGKIKEARDIFDEMQENGVSPNTIVYNALMDGFVKAKEIDKATDLFEDMKNNHIKADGVTYNILVSGYHKYERVENLDNSLSYLNRSMCGPLSDYSWPDVTVAILCSEGRTDEATKHLEDMLKEGLPLSVIAFNSIICAYSKKGLETKAFDAYHMMMKYGLTPSSSTCNSLLMCLSRNGKLLEAEELMHYMIQNQFPVNKVAFTVIIDGYFKIGDVMGAQRLWAFMKSKSISPDTVAFSAYIDGLSKSGLVEEAYNVFLQMKNKGVFPNNFTYNSLIAGFCNVGRLNEALKLEKEMIQMDLIPDLFTINILINGYCKQGRMKYALDTYTDMHRFNLVPDIVTYNTLLSGYCKAFDMDTADNLVYKMYTSGWDPDITTYNIRIHGFFVTRKMKKAVLVLNQLLSSGIVPNTITYNTMLNAVCSDIHNDAMILTAKLLKMAFVPNIVTTNLLLSHLRKQRLPQMALKWVEDLKELCLEFDEVTYKILERADCDVKERGDQGVEEDAVYISEEGFFIDFFMHLTYDYLCRNKFYSSRRMIKSGSGRSCNIEQPMLEVNK >cds-PLY94491.1 pep primary_assembly:Lsat_Salinas_v7:2:155910069:155913259:1 gene:gene-LSAT_2X81300 transcript:rna-gnl|WGS:NBSK|LSAT_2X81300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAADSPDFDRADISPLVLSDEDSMGSKRKEITNNELEGSSSRKKKNKKGHTPRPACSWVHFSRDFIKEYSASHPESSGLKVATKAASNAWKVMSLEEKEIYTKRAREVWDDYLSSTPAREPKPRKQANLVTRCSPGRLVNVIKQFTPEQKEAVKSMGFGSLLDLKCRTLRRSLCLWLLERFNTIRRSLEICGKRIPLSPVDVELVMGLSASGKDVVSSGSDDVVADLRLRYNASNRGISVRFLEEKLGEQEAGEDFKRAFLLYVLGTLLCPTARLDVSPSFLHFLTDMDSIHEYNWAKFLLDKLVREVARFRQGKQRAVGGCLLFLQLFYYESVAIGVPGELGPVVVPCLSSWTEENISEREKQEKELGGYGSGEVICKERGLGVHVDTLPDTHRAYRSLAPRSLAPQEEHQHLGGGGVSVVMNYKDQITLPTKIEGFGYNNNVNLSVSHNNNNNNNTMDYDQNNGIGIGIAYDQNQCPVPNCTFNGQYENLSDHFSTKHWDSGRRFRYNCPLPVSLGMHETFLVLQAEEDGLLFLLNKGTENIGHTVTITGIGPNESDERFLYYLVSERGGSSLRLKSYTQNLPGRVDGIPPADFLLVPFGYLNSSGELNLEVCIWNQQI >cds-PLY76022.1 pep primary_assembly:Lsat_Salinas_v7:5:319515216:319517520:-1 gene:gene-LSAT_5X175101 transcript:rna-gnl|WGS:NBSK|LSAT_5X175101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2 subunit beta [Source:Projected from Arabidopsis thaliana (AT5G20920) UniProtKB/Swiss-Prot;Acc:Q41969] MQLAPFDPSKKKKKKKVVIHDPADGPVEQLAEKTESLSVSEGLEPTFTGLKKKKKKQAETIPLEEEKENEGEDVDYPIGEDEEGEGIVLQEQKLPWEGTDRDYIYEELLGRVFHILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKSMHRQPEHVMTFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYVNEYVICNGCKSPDTILSKENRLFFLRCEKCGSGRSVAQIKAGFVARVGRRKAGT >cds-PLY65699.1 pep primary_assembly:Lsat_Salinas_v7:5:270797480:270799157:1 gene:gene-LSAT_5X141200 transcript:rna-gnl|WGS:NBSK|LSAT_5X141200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSTEGGGGGGSLRNNNGGRFPFTASQWQELEHQALVYKYMISGMPIPPDLLYTIKKSLESSTKLLLHHHQPPHPSIGWNCFQMGFGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVEVNLSTHPNSKTPPTPTPIPMISSKSPNYPSPNSHPISSYSRSMGSNVLSSQDHFLLESNPYRKSYVGMKEEIDEHSFFSESSGTIKSSDSWQLEPLAMNNPNSSLAHLKQQTSFSDYHQNGYLQYPHEQHQDQGSYYNHQIGLKIARKDEPQKVMHHFFDEWPPNDENNQDSSTTQLSISIPSSAHDFFLTHNDK >cds-PLY74817.1 pep primary_assembly:Lsat_Salinas_v7:5:58960033:58964354:-1 gene:gene-LSAT_5X27501 transcript:rna-gnl|WGS:NBSK|LSAT_5X27501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPPHPNTAASDELPPPPTPATTPPSTPTIQKTISKPMEMEMSDSEVVRVEIPRGNLPEVADNINHDFAQEWEDCLQNSETTKCSERKRQMEKVPPLLLKGKKGRRNRQCYQPVVISLGPYHHKRDDLAEAEKYKLITLEEYRLSCETRTSMDSLYKKVFKVVHDARKCYINGSTDEYNDEEFNRMMLHDGCFILFFIECVASHPNTLAMLNNEYLGALGFAHVARDILLLENQIPFIVLQVLLDLRFPKDRGEKILNDFFNYLKYGEISTKEKNVLGKKKPLHLLELYRSHFISLSSFSIKKINDDANEKWNYVKRNRSFPSIMELKAKGIFLKCTKFENESSSENIKFHSHCCYGKLELVRQSVYSNSKAIYLNMIAYEMCPHNPNDFRVSTYIRVMKSLVVHRDDVKELRNNNILLHSLGRDEDVVKMYDEIEAPAVNLYMFNQLRRGIEKHCTNKYKMWVAELINVHFSSPWKTVALFVATAILFISFLQTYFTIKPLPDDSTRDILKILRQFEYSKPPSTPV >cds-PLY93503.1 pep primary_assembly:Lsat_Salinas_v7:5:325705544:325709802:1 gene:gene-LSAT_5X179820 transcript:rna-gnl|WGS:NBSK|LSAT_5X179820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METSCRKKKEDDFHVLHKVPSGDGPYVKAKHTQLVEKDPEGAIIWFWKAINGGDRVESALKDMAVVMKQLDRTEEAIEAIKSFRCLCSKHSQPSLDNLLIDLYKKCGRFDEEIGLLKQKLRVIYRGEAFNGKPTKTARSHGKKFQVSVKQETAKILGNLGWAYMQKSNFMAAEVVYKKAQMIDPDANKACNLGLCLMKQARYKEAQLSLFDILSGRFPGADDSKACARAQQLLTELKTCRQPPSGLPENLLGLDLDDEFVTDLERLINVWAPYKSKRLPIFEEIDQFRDQLAF >cds-PLY66823.1 pep primary_assembly:Lsat_Salinas_v7:7:18219181:18220272:-1 gene:gene-LSAT_7X14220 transcript:rna-gnl|WGS:NBSK|LSAT_7X14220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGVDRFRRRRTLQERLGVGLVCCGSTWDIEPSTMGVRDGDDDDEDDEASVGDDPVDLPNQLTDEIQTPLESNSNPDCVLPSPRMSLADVLEAERQSRPTTVAADGGDSLTSGNDNDVRLTTTIPVTPARVSLMRLLEETDDHDEKEGGGMDPVCCVCMRRKKGAAFIPCGHTFCRVCSRELWLNRGSCPLCNRSILEILDIY >cds-PLY75518.1 pep primary_assembly:Lsat_Salinas_v7:9:34015634:34015846:1 gene:gene-LSAT_9X30140 transcript:rna-gnl|WGS:NBSK|LSAT_9X30140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMAVVEVSVLVVAVALLVVVAALVIVVVDSKGGSGGGGDGGGVGGWSVAPVVVVGSGNGCEFSDKL >cds-PLY87537.1 pep primary_assembly:Lsat_Salinas_v7:8:97529276:97552310:-1 gene:gene-LSAT_8X68201 transcript:rna-gnl|WGS:NBSK|LSAT_8X68201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLSIIGPTVKSPEDLLKTASVEITKGINGLDKLVLRQIHGSTVEVYLYGAHVTSWKNDHGKELLFLSSKAIFSPPTAIRGGIPIIFPQFSNLGPLRSHGFARNRVWTMENNSHPLQSKPINGVFVDLLLKPTEDDLNIWPNRFEYRLRITLGLKGDLIMTSRIKNTNTDKKQFNFTFAYHNYFRVSNISEVRVEGLGKLDYLDNTQNRTRFTETRDVITINSEIDRIYLSTPKKLAILDHKKKQTVSIQKHGLPDAVVWNPWDKKAKSMADFGDDEYKHMLCVEAAAIEKPITLKPGEEWKVRQELSAVPSSYCSGQLDPNKVLQSS >cds-PLY88824.1 pep primary_assembly:Lsat_Salinas_v7:4:93641373:93646865:-1 gene:gene-LSAT_4X61541 transcript:rna-gnl|WGS:NBSK|LSAT_4X61541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04350) UniProtKB/Swiss-Prot;Acc:Q9XEA0] MIQIQWLLPPQPLTSSLHHHRRSLLFSSRRRSLPPKPSSTLTFSNVSTKYFGFRVCNCVNSERITEESNEVVKVRAYPFHEIEQRWQKFWDENRTFRTPDDDELDTSKPKFYVLDMFPYPSGAGLHVGHPLGYTATDILARFKRMQGFNVLHPMGWDAFGLPAEQYAIETGTHPKVTTIKNIDRFRTQLKSLGFSFDWEREISTTEPDYYKWTQWIFLQLLKRGLAYQAEVAVNWCPALGTVLANEEVVDGVSERGGHPVIRKPMRQWMLKITAYADRLLEDLDDLDWPESIKEMQRNWIGRSEGAEVDFHVLSKDCQVTDAKITVYTTRPDTIFGVTYLVLAPEHPLLSSIVSESQQNIVTLVDEYKEVASRKSDLERTELQKEKSGVFSGCYAKNPVNAEAIPIYIADYVLGSYGTGAIMAVPAHDSRDHEFALKYNLPIHWVITSDECCDDFEKPYSGEGNVINSSSSTSGLHINGLHSKEAAVEVINWVEKTGNGNRKINYKLRDWLFARQRYWGEPIPVVFLDENSESETLPIPIPIPIPETELPLTLPELDDFTPTGTGDPPLSKAVSWVNTIESSSGKPAKRETNTMPQWAGSCWYYLRFMDPKNSSQLVDKKKEMYWSPVDVYVGGAEHAVLHLLYSRFWHKVLYDIGIVSTKEPFKCVINQGIILGEVQYMASKDKDGNFVSADSIHASGDHKQERIPDEKVTKSGASFVLKDNPNIKLIARAHKMSKSRGNVVNPDDVVSEYGADSLRLYEMFMGPLRDSKTWNTSGIEGVHRFLGRSWRLIVGSPLSDGTYKNQTLVVDENPSLDQLKSLHRCIEKVTDEIEATRFNTGISAMMEFVNTAYKWDKLPKSIVEPFVLLLSPYAPHIAEELWFRLGHSTSLAYESFPKANPAYLKDTTITLPVQINGKTRGTVQVEVICTEEEAFKLASLDQKLSKYLEGKIVKKRVYVPGKILNVILERETNKVASR >cds-PLY76772.1 pep primary_assembly:Lsat_Salinas_v7:4:189520754:189522334:1 gene:gene-LSAT_4X109600 transcript:rna-gnl|WGS:NBSK|LSAT_4X109600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLCDPAQEDDQMDMPPGFRFHPTDEELITHYLSNKVVDSTFFAKAIGEVDMNRIEPWELPTMAKMGEKEWYFFCFRDKKYPTGLRTNRATAAGYWKATGKDKEIYRGRLLVGMKKTLVFYMGRAPKGEKTNWVIHEYRLEGKFSLQNLPKAAKNEWVICRVFHKTSGGKKVPFSGLMRMDSGGVTRSLPPLMDSPAAFGGRNINPSTSDSLHVPCFSNQVDIQTTQKNMINSFINTSIFPFDSSSIPLLEGQSDPLMHQFPSVSSFPVHDQAILRGLIETYSHGLKIERDIFTGSQETGVSFGKNISNLEKGNIGIEDQETPSTSVGPIDLDGFWNY >cds-PLY98710.1 pep primary_assembly:Lsat_Salinas_v7:8:8955132:8955593:-1 gene:gene-LSAT_8X7100 transcript:rna-gnl|WGS:NBSK|LSAT_8X7100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRDWLLQVGVVCIMDNLIEHLKFTIRFSQIRYAAFVVGEEFGHVGLKADIDSGAYDPEASDSRSKHTTSLNDAFLTFSMMDYAAILGLGPLDMAVIRHLCVLDDAGEPAYDALIRAAGGGNIGAGGHGPTPKAWWTWTNTRLVPIIHYRFSS >cds-PLY96063.1 pep primary_assembly:Lsat_Salinas_v7:8:22460140:22461516:-1 gene:gene-LSAT_8X16101 transcript:rna-gnl|WGS:NBSK|LSAT_8X16101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRLSEELRIPRKSWTRNQRPGNEGLILNNGASARSPFCIEPNSKTLASSAVVPARKVPVLYYLSRNGQLEHPHLIEVPLSSSHGLYLRDVMNTLNCHRGKGIANIYSWSFKRSYKSGYVWHDASEDDLIQPTNGHDYVLKGSELLQIQTCQNQAETYHSGDNFGSTTAPAVVRRNQSWSSFDNPQECLALKCESNRELAAKFAPDAATQTEEQGRQEHVTVELSTDEIPSPPPSNSSSEVSEAVNGSRYVDQSEKVMETMEDRHGGSGRMKVSQVLMQLFTCGADSCRHVRPLESKQDQACQADKQVACMIKALKNES >cds-PLY64422.1 pep primary_assembly:Lsat_Salinas_v7:5:37581276:37590139:1 gene:gene-LSAT_5X18540 transcript:rna-gnl|WGS:NBSK|LSAT_5X18540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLKKEKKIKRTLVIPIIDFMLTDFGLSKVGLINSTNDVSGPAVSGTSLLGDNGTQSSLSSPFMSATETQQERHKNRSAVGQLHTIWHPRFFWEMDMVKHHPIFRDINWDTLAKQKAAFVPSSESALDTSYFTSS >cds-PLY93367.1 pep primary_assembly:Lsat_Salinas_v7:9:62082582:62085557:1 gene:gene-LSAT_9X53780 transcript:rna-gnl|WGS:NBSK|LSAT_9X53780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQRHGSSIVEVYRIIEELRVETVAVFVGPVSYLLLSKLAKGVEKTFDLLSLLDKILPIYKYAFFPNHFHVLYNKVESQFHYNKNYPCMEVIVELKEAGATWIQVDVDTLVKDLEGYQLKGFTKAYSELESACSGHNVIVANYFADIPAEAFKTLTSLPGEPNQLSDIIVEPLRDRIVTSLLQASMVKLMEERNMKTLDLNLAALSTRCSKDLELNLAKSLSCEMGQCTTAYPYNQLFGALVLKNYEMQDATLLSWKLMYIVD >cds-PLY91654.1 pep primary_assembly:Lsat_Salinas_v7:8:10174713:10177403:-1 gene:gene-LSAT_8X7341 transcript:rna-gnl|WGS:NBSK|LSAT_8X7341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPPIKVFLGSFAFAVFWVLAVFPAVPFMPIGRTAGSLLGAMLMVLFQVITPDQAYASIDLPILGLLFGTMVVSVYLERADMFKYLGKLLAWKSQGSKDLLCRICLISAVSSAFFTNDTSCVVLTEFVLKIARQQNLPPHPFLLALASSANIGSSATPIGNPQNLVIAVQSKIPFGEFLFGIVMAMLVGVFVNALILLCMFWKVLSVQKDEEEPPVEVSTQEDMNSHRFSPATMSHLSSQTSHELAASLDAMSHHLHNSPGVNGTLDNLTLRNRITLSDEIHTPKDITVTENGNGIGNGDSGLGLEEKDEKSAKWKIMLWKTGVYVITIGMLVSFLLGLNMSWTAITAALALVVLDFKDARPCLEKVSYSLLVFFCGMFVTVDGFNRTGIPSAVWDFMEPYAQIDHISGVAILALVIVVLSNLASNVPTVLLLGARVAAAAASISPEKEKKAWLILAWVSTVAGNLSLLGSAANLIVCEQARRAQNFGYNLTFWAHLKFGVPSTIIVTAIGLTLIRA >cds-PLY79414.1 pep primary_assembly:Lsat_Salinas_v7:3:78365645:78367684:1 gene:gene-LSAT_3X58500 transcript:rna-gnl|WGS:NBSK|LSAT_3X58500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVQLTSPLMPFLALPSSVVDGKEHWMHLGMKTIFHIEGSSNDDKGGCNGKPRGRKIC >cds-PLY89601.1 pep primary_assembly:Lsat_Salinas_v7:9:39406997:39410847:1 gene:gene-LSAT_9X35821 transcript:rna-gnl|WGS:NBSK|LSAT_9X35821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;2 [Source:Projected from Arabidopsis thaliana (AT2G38060) TAIR;Acc:AT2G38060] MAPAAGNAAVTSKALAFGARSSKLSDAHLNSIRFRKPLFGFRYNIERKWGNLSLQGGGGRNMEAIVEKGRKRGGLVKCTAEGIERGILTGGRGQEGTFVMPERLKVVALMACVMCLCNADRVVMSVAIVPLAAKHGWSSSFLGIVQSSFLWGYIFSSVIGGALVDKYGGKRVLAWGVAFWSLATLLTPWAANHSTIALLAMRAFFGLAEGVALPSMTILLSRWFPCNERATAVGLSMGGFHLGNVVGLVLTPIVMSSIGLSGPFILFSSLGLLWLTTWTLRVTNDPQESPSISKSELRLIQAGKSDSVPLKKGEFPPLRLLLSKMPTWAIIFANVTNNWGYFVLLSWMPVYFKTVFNVNLKQAAWFSAVPWGTMAFSGYVAGAASDYLIKRGYSLTFVRKVMQSIGFIGPGFALLCLNYAKTPVIASVFITVALSLSSFSQAGFLLNMQDIAPQYAGFLHGISNSAGTLAAIVSTIGTGYFVEWLGSFQAFLTVTACLYFVTAIFWNLFATGERVF >cds-PLY68180.1 pep primary_assembly:Lsat_Salinas_v7:8:119245851:119247026:-1 gene:gene-LSAT_8X83101 transcript:rna-gnl|WGS:NBSK|LSAT_8X83101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFNFIRRSFLLHARPHINHELCCYGSLSSSSSKSSPFTTKTSASLNQHSFTVTYLINSCGISPDSAILVSKHLDLSKSLNTADSVLAFLNNYGFTKSQVSKVIYTRPKLLLSDPETTLLPSFQTLNSLGLSNTDIAAVVAARPKGILAKKLQDTAIPCFNYLKSVLGSDDKVISCIKRFPLALTYDLKVYAAENIQLLLDTGVPKLRIASMLAQQPRTFFTSADRFKKVVGDVKEMGFDPSKSRFLWAIHAIRAMSKSTWDKKMELYKKWGWSEDEIFVAFEKYPGCMMASPDKISRILDFLVNTMGWERSYIVQWPIVICFSSEKRIIPRCLVYQYLAEKGLIEEKDGFCFNKWLMYSESKFLKWLVKKYEEEAPELLKLYQKHLDEAN >cds-PLY90351.1 pep primary_assembly:Lsat_Salinas_v7:2:198177389:198180197:-1 gene:gene-LSAT_2X119240 transcript:rna-gnl|WGS:NBSK|LSAT_2X119240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPPYHSPPRRDRGHGGRPRSPPRRAYGGERGRHKDQNHGSLLVRNIPLNCRPEELRVPFERFGVVRDVYLPKNYYTGEPRGFAFVQFVDAYEAGEAQYHMNGRMFAGREISVVLASESRKRPEEMRRRTRPRESSGYEGRRSHHGGRSRSHSRSPRRPSGSRSRQRSRSYSPAPKRRGGDYSESPPPVRREPQVDDDRSRRSYSPGYDDAAANDHNVDRRRSPYKSSGRAARSPSGSRSRSRSRSGDDMSPPPRT >cds-PLY92503.1 pep primary_assembly:Lsat_Salinas_v7:2:152284702:152285527:-1 gene:gene-LSAT_2X76400 transcript:rna-gnl|WGS:NBSK|LSAT_2X76400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMYYKRALKLQAFFDMANEQGMFECYKAINFPSEDEKKSQSLLNARLEAIAEMGDQDDTFMKKFEKLLFQI >cds-PLY64430.1 pep primary_assembly:Lsat_Salinas_v7:5:338218315:338237205:1 gene:gene-LSAT_5X191360 transcript:rna-gnl|WGS:NBSK|LSAT_5X191360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKDQKFLRCFFFVIVFSIQVVALDSTDAIQGCGGFVEARSSLIKSRKPTDAKLDYSHIAVELRTLDGLVKDRTQCAPNGYYFIPVYEKGSYVIKVKGPEGWSWDPDQVPVLVDHTGCNRNEDINFRFTGFSISGKVVGAIGGESCSSTDGGPSNVNVELLDSSGNIVSSVLTSVAGSYSFTNIIPGRYNLHASHADLNIEVKGSTEVDLGFGNSQVEDVFFVSGYNIRGLVVAQGNPILGVHFYLYSDDVKEVHCPQGSGNPSGHRTALCHAISDADGTFIFKSIPCGVYELVPYYKGENTVFDVSPASVTVTVQHGHATISEKFQVTGFSVGGRVVDTNGKGVDGVKIVVDGVDRSFTDKQGYYKLDQVTSKQYQIEAIKDHYKFEKLVNLMASAPKLRHILHIVFIFFLTSLTFNAYRFQVLPNMASVVDIKALSYGVCGSVQIVDSGNKAKVALTHGPQNVKPQMKQTDDSGYFCFEVTPGDYRLSAIAATPATTPDLLFLPPHIDVNVNGPLLSLKFYQAQVNVHGSVTCKEKCDSSVSVALVRLDAKSHEEKLTVGLTDQSSEFLFQNVLPGKYALEVNHLSEGAISGEDKWCWEQSSVHVNIKAEDVEGINFVQKGYWVNLVSTHDVDTYLHQSDGSRVNLKIKKGPQRICIEPPGVHELHLVNSCILFGSSPVKIDTANTLPIYLKGEKYLVKGQIRVELSSQDGVHELPENLLVEILNDEGTSIDGSSARLVVNGNEQSDTANYEFEVWANPGERLTFVPRDIRSKKEKNILFYPSQHQVKVAENGCQASVPPFSGRLGLYIEGSVSPPLPDVVIRIIAAGDSQNGQIKKNGLAFSTTTNADGLFVVGPLYDDITYHIEASKPGYHVKEIGPYSFSCQKLGQISVHIHSKEDANELFPSVLLSLSGEDGYRNNSISAAGGTFVFDNLFPGSFYLRPLLKEYAFSPSAEAVELGSGESREVVFQATRVAYSAMGIVTLLSGEPKEGVLVEARSELKSFYEETVTDSSGSYRLRGLHPDTTYTIKVARKSGFDTSTIERASPDSVVVQVGYEDMRGIDFLVFEKPDVTLVTGTIDGERMKELHEYLRLEVRSATDPSNIESLLPLSLSNFFQVKGLPKGKHLLQLRSSLSSNTIKFESQVIEVDLEKHTQIHVGRLGYKVEDDNHKQELTPAPVYPLIIGVSVIALFISMPRLKDMYQTNVGASASTKKDVRKIPVRKRTY >cds-PLY78765.1 pep primary_assembly:Lsat_Salinas_v7:9:50854780:50855911:1 gene:gene-LSAT_9X46521 transcript:rna-gnl|WGS:NBSK|LSAT_9X46521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSSGATRKADTKLAVKKTAAKGKAAKDPNKPKRPASAFFVFMEDFRKQFKEDHPDNKSVAAVGKAGGAKWKSMSDSEKAPFQAKADKRKKEYEKNLDTYNKKLAGGGNDDDDEDSDKSKSEVNDEADEDESDDEEDDD >cds-PLY79467.1 pep primary_assembly:Lsat_Salinas_v7:MU042146.1:945:2388:1 gene:gene-LSAT_0X45820 transcript:rna-gnl|WGS:NBSK|LSAT_0X45820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMREGCVFLSLVVLLTLCGVKVAAVGLPSESAPLIRHYYKVHNTCANVEPFVRQQVKAFMEKDKTIAPKVVKLLYADCMVNGCDASILLDGPNTEKTSPKNRGLAAFAFIDIVKKVIEQRCPRAVSCADILNIVARDAIYFSGGPSYPVFLGRRDGLKSDAAWVDLPSPSISWESALAYFTSKGLNVQDMATLLGGHMMGRTRCSSILDRLYDFNKTGKADPTMEPTTLSYLQKQCPKKVKLGQPNPLINLNPENPTHKFTNSYYKRALANKAVLGVDQQLRYGGDTYELTDQYANSLADFKGEFAFSMSRMGGLKVLTGSSGQIRKDCRVVNK >cds-PLY74209.1 pep primary_assembly:Lsat_Salinas_v7:5:145339865:145342109:-1 gene:gene-LSAT_5X64901 transcript:rna-gnl|WGS:NBSK|LSAT_5X64901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPTLDVGDRIMAEKVSYIFRKPEVSDIVIFKAPPILQEFGYSSGDVFIKRIVAKAGDWVEVRGGKLLVNGVAQDEEFILEPLKYEMKPMGSTSGEKHCGFETLWIMDLSSGIIQEVVKGSSKIIEICGQLIKEKSSLVKEILPSQQLPQNNFSVEGISRAGLLYSVFLAETLDVVQDIDWVISLGNAFAKQYELYTYDDEHSALLHRYELIIIIITFF >cds-PLY62724.1 pep primary_assembly:Lsat_Salinas_v7:6:55508995:55509713:-1 gene:gene-LSAT_6X39460 transcript:rna-gnl|WGS:NBSK|LSAT_6X39460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEETPFRAREKLIEKQRLFQSIQNSTHLKGPMDKITSVAIPLALAGSSIFLIVRGIYNMSHGIGKKA >cds-PLY82736.1 pep primary_assembly:Lsat_Salinas_v7:2:144995960:144996142:-1 gene:gene-LSAT_2X71601 transcript:rna-gnl|WGS:NBSK|LSAT_2X71601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADVKSDGDEIVLGHEAITECQVDVQEVDAEAVADEEKCPEEGNMADEEDFVGAAENEDR >cds-PLY65321.1 pep primary_assembly:Lsat_Salinas_v7:4:251224931:251228905:-1 gene:gene-LSAT_4X131541 transcript:rna-gnl|WGS:NBSK|LSAT_4X131541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NPGR1 [Source:Projected from Arabidopsis thaliana (AT1G27460) UniProtKB/Swiss-Prot;Acc:Q9CB03] MLCACSGEQFKVEEPISRSPESLATRDFSASGISSRTGTGDWDSKFEDAQVDEAESTLKEALSLNYEEARALLGRLEYQRGNFDAALQVFYGIDIRSLSPRMIRAISDRTLQRNSKAKRENSVVGVMSLHSVSLLLEAILLKAKSLQEMGRFKEAAKECKMILDIVESALPNGIPDSIAQDCKLQEMFHKALELLPKLWIEAGLLNEAIIAYRRALVNSWNLDPQRLSTLQKDLASILLYGGVEATLPHPKPWDPPYPKSNTEEALILLFILMRKVSCKEIKWDPEIISHLTFALSTCGQFECLAVHIEQLLPSAYTRIERWFFLALCYSAAGKIESAINILKKISGSFKEESNSKPHLPSLLLGAKLCSQDPKYSHEGITFARKVIKLGDEIENRHFESIAHKFLGVCYGNAARVSFSDSERVVYNQESISSLNKAAASITFNDDPEVLFNLGLENAIQRNLEAAFEKIMMYSDMICGSSAKGFKLLALIVSGEQRFEDSETIVDIGLDETGRVDQLELLRLKAVLQIAQEQPKQAIETYKVLLSLIQTHGELQKVNLDFEESERKLEMEAWLDLANIYTKLESFSDAEICVGKAKLKEFYYPRTWHSTGLLFEAQSLHKEALVAFSISLSIEPDYVPSLISTAETLMRMGGSGSGTHTVPIARSLLMNTLRLEPTNHEAWFNLGLICKKEGLMHQAADFFQAAHELNLSAPVQSFV >cds-PLY64137.1 pep primary_assembly:Lsat_Salinas_v7:1:1602610:1607845:1 gene:gene-LSAT_1X860 transcript:rna-gnl|WGS:NBSK|LSAT_1X860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPIGVQLQIEDHHVVIDNGIVQVTISNPEGIVTGIRYNGLDNVLEILNNESDRGYWDVVWSALDGSGKTGLFEVIKATSFKVIAESEELVEISFSRPWDPSLKGKLVPLNIDKRFILVRGCSGFYTYAIYEHLGSQEWQAFSLAETRVAFKLRKDKFHYMAVSDDRRRYMPLPDDRSPGRGQPLAYPEAVLLADPVEPEFKGEVDDKYQYTCENRNLMVHGWISNDPPIGFWQITPSDEFRTGGPLKQNLCSHVGPTCLAVFVGAHYAGDDLVPKFGQGEAWKKVFGPVFIYLNSVMDGDDPLTLWDDAKTQMMIEVKSWPYSFPASEDFPKSNQRGNICGKLLVRDRFVQDNDMPTNGAYVGLAQPGEVGSWQRECKDYQFWTKTDENGVFSITNIRTGNYNIYAWVPGFIGDYRNDDAITITAGCDINVGTLVYEPLRSGPTLWEIGIPDRSAAEFFVPDPNPQYVNKLFLDQPQKFVN >cds-PLY91385.1 pep primary_assembly:Lsat_Salinas_v7:8:46842742:46842972:-1 gene:gene-LSAT_8X36160 transcript:rna-gnl|WGS:NBSK|LSAT_8X36160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFCTTIWREHKWCCSHGGVSSPFSGSKLPDLSHLQHLPILVSAIYPFRVFPGFQMLKVLASITNLHFKFHQTRNRG >cds-PLY74479.1 pep primary_assembly:Lsat_Salinas_v7:7:37570227:37572311:1 gene:gene-LSAT_7X27840 transcript:rna-gnl|WGS:NBSK|LSAT_7X27840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALDSIEGYASLIENILHLPSEVASPREISEIPSDIKTKWQWHLFEAIEDREYVNRTLRIHHLLDKVEGQRNRAPRAISEIPTNDSFIYDLWEEEKRDQIMKARRAREDDEVRDKSEQPRGTWEEVYKNAKKADRNKNDLHERDDGELERTGQPLCIYEPYFGQGSWSFLHTNSLYRGIRLAGECLKREKDRVSHYLHSNSEPKLLEVVFGNRTVDVVVVVVIVVVPHGIGGAEGPDRIFVGGLPYYFTEVQIRELLESFGPLRGFDLVKDRDTGNSKGYGLCVYEPQTLPVLHLMA >cds-PLY98727.1 pep primary_assembly:Lsat_Salinas_v7:6:103065007:103074621:1 gene:gene-LSAT_6X68921 transcript:rna-gnl|WGS:NBSK|LSAT_6X68921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLNKRSNSGVEVEEEGHGGGRGYTEDGTVDLRGNPVLRSKRGGWRACSFVVVYEVFERMAYYGISSNLVIYLTKKLRQGTVTSSNNVTNWVGTIWMTPILGAYFADAVLGRYWTFIICAAIYLSGMCLLTLSVSLPGLKPPSCDSGTDCKKVSTLQLAVFFGALYTLAVGTGGTKPNISTIGADQFDDFDPKEKAQKLSFFNWWMFSIFFGTLFANTVLVYIQDNVGWSLGYGLPTLGLLISILIFLAGTPFYRHKVPTGSPFTKMGRVILVAVKKWKVPLPTDPKQLYELDPTEYSKKGKYRIDSTPTLRFLNKACVRTEDITIPWMLCPVTEVEETKQILRMIPILIATIIPSTMIAQISTLFVKQGTTLERKIGSFEIPPASLAGFVTLSMLICVVLYDRFFVKIMAKWTKNPRGITLLQRMGTGMVIHIIIMVVASLTDRYRLSVAKDHGVVESGKQVPLSIFVLLPQFVLMGMADSFLEVAKIEFFYDQAPESMKSLGTSYSMTSLGLGSFFSSFLLSTVSHITMRNGHKGWILNNLNASHLDYYYAFFAVLNLLNFIFFLVMTKFYVYKAEVSDSMIILQEELKSNIEHTVAKQEAASRFNLT >cds-PLY75158.1 pep primary_assembly:Lsat_Salinas_v7:1:184710237:184711907:-1 gene:gene-LSAT_1X120680 transcript:rna-gnl|WGS:NBSK|LSAT_1X120680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEGIKRKKLENQLKLETKLRDLEVEWSGGSDTIDEALARIQHREEAALKRGRAMAYAFSHQWRPSSRYNLGPYDSEAATAEWGWSWLDRWIAIQPWENRVLVELTQKKLNSPANKAMKNSKSPSMKNSKSMKTSPNGMKTPRNRKLSYMATNKIETPLKV >cds-PLY62101.1 pep primary_assembly:Lsat_Salinas_v7:6:95856606:95862337:1 gene:gene-LSAT_6X64280 transcript:rna-gnl|WGS:NBSK|LSAT_6X64280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSFKYVIVGGGVSAGYAAREFAKQGVKPGELAIISKEGVAPYERPALSKAYLFPEGAARLPGFHTCVGSGGERLLPEWYTEKGINLILNTEIVKADLASKTLTSAAGETFTYKVLIIATGSTTLKLTDFGVEGASAKNILYLREIDDADKLVEAIKAKKNGKAVVVGGGYIGLELSAVLKINNFDVKMVYPEPWCMPRLFTADIAAFYESYYEKKGVSIIKGTVAVGFTSNDSGEVKEVKLKDGRVLEADIVVVGVGGRPLISLFKGQVEEEKGGIKTDGFFKTSVPDVYAVGDVATFPMKLYGDIRRVEHVDHSRKSAEQAVKAIFASEQGKEIADYDYLPYFYSRSFDLSWQFYGDNVGDSVIFGDHDPSSAKPKFGSYWINNGKVVGVFLEGGAPEENQAIAKVAKLQPPASSLDVLAKEGLDFASKI >cds-PLY73230.1 pep primary_assembly:Lsat_Salinas_v7:8:178572994:178573825:-1 gene:gene-LSAT_8X115861 transcript:rna-gnl|WGS:NBSK|LSAT_8X115861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHKKSSRXWLVCICKAWIEAWSFVQKLGDVVLIPAGCAYQVRNLKGSSGLDVVGTNCHVTNLPKATSTTGRPALKPTRRNPATTANLQSTTPS >cds-PLY78604.1 pep primary_assembly:Lsat_Salinas_v7:1:105152522:105156100:1 gene:gene-LSAT_0X5121 transcript:rna-gnl|WGS:NBSK|LSAT_0X5121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVFDVASRQSFLNTAKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGEAKAREYNVMFIETSAKAGFNIKALFRKIASALPGMETLSTTKQEDMVDVNLKSSNTSGSQHPQSGGCAC >cds-PLY86572.1 pep primary_assembly:Lsat_Salinas_v7:9:5212394:5212801:-1 gene:gene-LSAT_9X2221 transcript:rna-gnl|WGS:NBSK|LSAT_9X2221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIVTRLVANKAVVIFSKSSCCICHSIRTLICSFGANPTVYELDEHPDGQLIERELKALGCKPCIPSVFIGQELIGGANEIMSMHLRGHLVPMLIKERAIWL >cds-PLY73974.1 pep primary_assembly:Lsat_Salinas_v7:8:62224958:62227375:-1 gene:gene-LSAT_8X44501 transcript:rna-gnl|WGS:NBSK|LSAT_8X44501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKREYPQQDYTFFNGGSSTSASASTAAASGSGFSDVTGKSKMWDEVEEQDAGVDELLAVLGYKVKSSDMADVAQKIEHLEGVLGNDDGLSQLASDSVHYNPSDLSSWLESMICELNPTNQPTVIDDSFVNNTSSVTPSAVDSSSVFVDDLQRIPGNAIYPPAKKQKPSSPSTGASSSYNPNPIVLVDTQENGIRLVHTLMACAEAVQQDDLKLAETLVKQAGILAVSQAGAMRKVATYFAEALARRIYRLYPKTPQDSPAFQDLLQMHFYETCPYLKFAHFTANQAILEAFAGKKKVHVIDFSMKQGMQWPALMQALALRPGGPPTFRLTGIGPPSGDNTDHLQEVGWKLAQLADTIHVEFEYRGFLAESLADLEPSMLDLREDEVVAVNSVFELHQLLARPGAVEKVLSAVKEMKPEILTVVEQEANHNGPVFLERFTESLHYYSTLFDSLESSGNGGGVVEGGGIPAASNQDKIMSEVYLGKQICNVVACEGPDRVERHQTLSQWKTRFESGGFEAVHLGSNAYKQASMLLALFAGGDGYRVEENNGCLMLGWHTRPLITTSAWKLR >cds-PLY80077.1 pep primary_assembly:Lsat_Salinas_v7:4:347751987:347752139:-1 gene:gene-LSAT_4X171080 transcript:rna-gnl|WGS:NBSK|LSAT_4X171080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSSHEKQQSSYFVGIKIIKEIKLFLAQGILDFLPNFIFIPNPTSNSL >cds-PLY65671.1 pep primary_assembly:Lsat_Salinas_v7:5:270742567:270747313:1 gene:gene-LSAT_5X141180 transcript:rna-gnl|WGS:NBSK|LSAT_5X141180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKGNGTHEEASSPGWGASFLVQTAEDASGTAVTPPSPSPRPSVVFSSKGDTSPLQKLQNQVFRALKGLSPPSEDKSRTYNPEVLTSQKRQWASFQLDALHQRILKEPSRLFESMVVVGLHPNCDIQALQRQYFGRRSEGPGRHQFRVEPNLEPQVLFVYPPDKQLPLRSKDLLSFCFPGGLEVHTIERTPSMSELNEILLGQEHLKQNDLSFVFRLQGADDSTLYGCCVLVDELVQQPSRLISIMGDGHFSTPPLSRHVLTTRRCYCILTRLPFFELHFGVLNSIFTEERLDRLTKSIGELDLDLDLDSSVENDGEVYLEAENGASASVEHVQELNGNMETCDDNHNHVDDKQLTQKQIPNAILPFLRFQQYDSSDSSSSFQGSPSEDRNFRSDLDSPETEEASFSGQEDNEHKEILDWAKNNNHGSLQIICEYNRLCVPTRGSTTKFHPLEHLHPLEFHRPDETVLHMAGSTVDLMSCSTTFELSEAHRALSVEEEATALSVWAISCICGSMRLEHVLTIFTGALLEKQMVFVCSNLGILSASVLSIIPLIRPYQWQSFFMPVLPNDMLDFLDAPVPFVVGVKSRTAEVQSKSGNVILVDANKNQVKSSYMPHFPQYKELFAALTPYHAQLVGESYLGRRRPVYDCTDVQVEAAKGFLRVLRSYLDSLCSNLRSHTITNVQSNDDKVSLLLKESFIESFPSRDRPFMKLFVDTQMFSVHTDLVLSLFQKD >cds-PLY97076.1 pep primary_assembly:Lsat_Salinas_v7:4:74937968:74939359:-1 gene:gene-LSAT_4X50361 transcript:rna-gnl|WGS:NBSK|LSAT_4X50361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTHFLELGLEDFIALYPAPSQTPKHPKSHRHIAIPVALPSFAGDLKTDGNHKRSVLQSFRSQQI >cds-PLY73608.1 pep primary_assembly:Lsat_Salinas_v7:5:205316715:205317972:-1 gene:gene-LSAT_5X93541 transcript:rna-gnl|WGS:NBSK|LSAT_5X93541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEAETFSSISKDHDTQQVLKVLEALKQASHDLQKNPDSKKTDGGVCFGCSPSIKALLELENESESILSNDPHLTTLSTHLTALKTLIHNSYNARQRYRGLRSFLIRRLNNHEISRVAGSIESEIQAWIDREFIETLMQTLRRADETKDEVLIDLMEQFEERIARGFDRDLQDCILRSKVFNELEKILCDSTFSKNVREASAFAIVELITFNKDVFVGEVLMGKTVSALVSLSSARSIQILSILIKSIRSPIVDELVSDGEIPKIIAFLASEDFSIRTMAMDCVLEIGYYGRKEAIDAMLEEGLVKKLVELQKFETGGVLMDVRGESMNTEMRPFESCVARFAVQLEVGEGLRQREKRALKQQVLKRVRAAHVSDAEAATIVAEVLWGSSP >cds-PLY90357.1 pep primary_assembly:Lsat_Salinas_v7:2:198437123:198438835:1 gene:gene-LSAT_2X119480 transcript:rna-gnl|WGS:NBSK|LSAT_2X119480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLIQELAHLKIPIEDIKLATNNFSDDNFIGEGGFGRVYKGQLGDSTSATTTVAVKRLDVKGGQGQQEFLMEIVMLSSYKHDNLVSLVGFCEEGEEKIIVYEHEVRGSLDNYLATDYLTWVQRLQICLGAARGLNYLHSGVGEGHRVLHRDIKSSNVLLDQNWEAKISDFGLSKIGPMNQDITFLVTKLAGTFGYVDPLYVATGVLTKESDVYSFGVVLFEVLCGRRAMIGKYDEEQRLLSHLVKHRYEEGKLDEIIFPNIRKQIKPNSLQTFSRIAYQCLNIDRKRRPTMAVIIEQLQISLELQISCSRITRIGLWGSRTGGGPWSFLLDSNQKLRKITIAHKQWIYAIGFTTEDFSGSLDSSQHYGKARRGITISKVDFDVDEEIIGIDGTVGEKMGMKSTLTLISSLCFITNKKRSGPFGPEVGTRFSVPWDLGSFAGFFGRAGLYIDGLGIYLKATQ >cds-PLY64190.1 pep primary_assembly:Lsat_Salinas_v7:7:1450079:1463618:-1 gene:gene-LSAT_7X2960 transcript:rna-gnl|WGS:NBSK|LSAT_7X2960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNRCSPEALLSIILGMSKEQKESVRSMGFGALLKMKIIDILLKLGFYVLQKSDYERMVIDIKGKELKVTAESVHDMLGIPIGGTKLTQLDQWSKDDTSYDEWKQQFKKDSIIRLSAIKNVFVSTTQADFNFKLNFLVLFVNTFCESTSMGRCNLFPLSYISRRTYIRNIDWCSYVLDCLVKTKNSYIPYSDTSFFVGRSAFLVENSEDINVRGTEAKNTKDGGEEKHTETKKGNAEYKDTHPSFSLGLSHDSDQTSTKKNVLNPNPISVSIPTEVGPSKHDLDQPTEKKLADAFKSHFKCRITDTKPKLTHQESIVCEWLFNLQGNTSDVVIQTKYGPIIERAIMESLYANTYIFGDVLDTWSDLLNHQELERDFGNSPYRLFLKVGLSSLQTAYLTSTLSDEKKYEKFKENFHESTNGYKKILNIKDIDMVFFPAVRSAHIFVIVLNLKKLSIESLDNNAVDGDYEGKYRVILKPLKQFYKEDESRNEAGQGATNEVTQNGKDSKLILLEHVAFDSVFDGWKEGILAIGTLGFDPALLKGSEHKEDTYLCEISRKELFVADNGDEDHNGEQELEMEFPLVLKACKHGFFHDQKDDHQQCDEVSKTDDRKDVEDLEGVDVDDVKRARKIGQRTTLADLFSADSETNLLKNKWLANVDHVNGHFHTTESNKKHASNDDRVALISNTKLTTKDNTTHIIKKINRVSL >cds-PLY63712.1 pep primary_assembly:Lsat_Salinas_v7:9:84871324:84872634:1 gene:gene-LSAT_9X66241 transcript:rna-gnl|WGS:NBSK|LSAT_9X66241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKTKADLFAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRTSIAQVLTVISQTQKAMLREAYKNKKYLPLDLRPKKTRAIRRRLTKHQVSLKTEREKKKEKYFPLRKYAIKA >cds-PLY68124.1 pep primary_assembly:Lsat_Salinas_v7:8:33799980:33801453:1 gene:gene-LSAT_8X25901 transcript:rna-gnl|WGS:NBSK|LSAT_8X25901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGRLGHVVLGIINKLVSSPTTEEQKFYLLNIVIQSYGYDAVAVLLFLVLSKYDFSIYCLKCFEHLDIIKSELENACPGVVSYVDLLVVAAYESVILAGGPFYLAHTGRKDSNRSFSQLSYELPSPLYDLSTNVARFATGVFTDKETVTLLGSLVGYILLSVSSWFMAISWRFAPYRGGISVKGEESWEACLIFEEGLWRRF >cds-PLY84718.1 pep primary_assembly:Lsat_Salinas_v7:5:230010005:230012311:1 gene:gene-LSAT_5X110200 transcript:rna-gnl|WGS:NBSK|LSAT_5X110200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEANINNQRHHQASIYEGVNLQPVNLHHHQQHGISINNDDNQHHVVEDVVVHEDDDVAVGEEESIDNPSRIRYDNHNSHHHHQHNTLQNSGSIETGMEDMSVQPHALYVQDAEIQPVSGGVAGAGGGGGADQLTLSFQGEVYVFDAVSPEKVQGVLLLLGGYEVPTGAPTLGIPPQNQRGLNEFPGRSSQPQRAASLSRFREKRKERCFDKKIRYTVRKEVALRMQRKKGQFTSSKANSDETGLPSSDWNGGPGQDEETTCRHCGISSNSTPMMRRGPSGPRTLCNACGLKWANKGVLRDLNKVLADPTTGKTIIEQVQSGGGEGNGSDGVNVVFNGGDGSAVTAER >cds-PLY94847.1 pep primary_assembly:Lsat_Salinas_v7:2:180129121:180132874:1 gene:gene-LSAT_2X102000 transcript:rna-gnl|WGS:NBSK|LSAT_2X102000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:D-lactate dehydrogenase [cytochrome], mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G06580) UniProtKB/Swiss-Prot;Acc:Q94AX4] MAFFTWLSRARSSCKPSKFFQNFFVQSNHTARFQFQSQSRILENTIIVNSSNSNKQSWRTYLLPIVLAISGGSLALQLQKNPSLCDSPNLQERSGRIGGKDSTERVVKGSYKPVPPELITELKTICQDNITLDYDERYFHGKPQYSFHKAVNIPDVVVFPRSEDEVSKIVRSCNKHKVPIVPYGGATSIEGHTLSPNGGVCIDMTLMKNVKALHVEDMDVVVEPGIGWMELNEYLAPYGLFFPLDPGPGATIGGMCGTRCSGSLAVRYGTMRDNVINLKAVLADGEVVKTASRARKSAAGYDLTRLMIGSEGTLGVITEVTLRLQKIPEHSIVAMCNFPTVKDAADVAIAAMLSGIQVSRVELLDEVQVKAVNLANGKDLPEFPTLMFEFIGTEAYTREQTLIVQKIVSEHRGSDFVFAEDPQAKKELWKIRKEALYACFAMAPGFEAMTTDVCVPLSHLAEIISKSKEVLDASSLLCTVIAHAGDGNFHTVILFDPADDEQRKEAERLNNFMVHAALSMEGTCTGEHGVGTGKMKYLEKELGTENLRTMKKIKAALDPNGIMNPGKLIPPHICL >cds-PLY89262.1 pep primary_assembly:Lsat_Salinas_v7:5:310558491:310560660:-1 gene:gene-LSAT_5X168181 transcript:rna-gnl|WGS:NBSK|LSAT_5X168181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RNApolymerase 14 kDa subunit [Source:Projected from Arabidopsis thaliana (AT2G29540) UniProtKB/TrEMBL;Acc:A8MRK9] MEHGSYQDLSASTHTLNDEDHTLANSLRFTLNQDPRVALCGYSIPHPSEAKVNIRVQTTGDPAKEVLKDSCQDLMMICQHVRSTFEQAVADFKNNEGSESQQYIKVKKPRNDKCKNHATQQDIHDSATLPEVTWLGSHHHGAGG >cds-PLY66767.1 pep primary_assembly:Lsat_Salinas_v7:8:72190629:72191213:-1 gene:gene-LSAT_8X52440 transcript:rna-gnl|WGS:NBSK|LSAT_8X52440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKFGSFSKKPCASYQAKSVYKIASCNLQVVLDTSLYDVVLAPIVEALKGHPLFVPLIISTNKVPFEYLVRAHDTASVNDKLDRVELELINGDIVYLNKHIFLESMGLPSAFICSHYVTPSTTQIFCKLLSIGHKPPLENTSHFKKSKLPVAWNFLVHLIIHFLTSKTGRTDQLSISWLQIQYGIITGQLQTIY >cds-PLY67171.1 pep primary_assembly:Lsat_Salinas_v7:6:162521178:162524486:1 gene:gene-LSAT_6X99680 transcript:rna-gnl|WGS:NBSK|LSAT_6X99680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase, cytosolic [Source:Projected from Arabidopsis thaliana (AT1G43670) UniProtKB/Swiss-Prot;Acc:Q9MA79] MDHAADAFRTDLMTITRFVLNEQSKHPESRGDFSILLSHIVLGCKFVCSAVNKAGLAKLIGLAGETNVQAKEQKKLDVLSNEVFVKALVSSGRTCILVSEEDEDAIIVEPSKRGRYCVVFDPLDGSSNIDCGVSIGTIFGIYMIKDGDEATLEDVLQPGKNMVAAGYCMYGSSCTLVLSTGSGVNGFTLDPSLGEFILTHADIKIPKKGKIYSVNEGNAKNWDGPTAKFVEKCKYPTDGSSAKSLRYIGSMVADVHRTLLYGGVFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQSFTGKERALDLVPKKIHERSPIFLGSYDDVEEIKALYAAEEKAN >cds-PLY85217.1 pep primary_assembly:Lsat_Salinas_v7:8:182728457:182729113:-1 gene:gene-LSAT_8X119020 transcript:rna-gnl|WGS:NBSK|LSAT_8X119020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLGVNSNKVVSKKGSRFRGLCRKQEEISEGEREKRQEKSLKKRGDQMDEVWIDDRVEKISVMLKLVSDVSDCMILDPDEKIMGKFLCDMMIDDEYSMDDCVNVSDEMILDIYTDGFEGFPNEHVDEFYPTFSGFVVNFVKDIKYMIEEEKMIMEPMENIKVSFLELATKDEKKDGLLLRMLTVAIHNTRHKQMMTEKKTMKDDGKSEIPEIPLLVNV >cds-PLY91792.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1258452:1261395:-1 gene:gene-LSAT_0X800 transcript:rna-gnl|WGS:NBSK|LSAT_0X800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDCDQSKVFVGGISWETTEDVLRDHFRNYGTVVGSVIAKDRTTGSPRGFAFVSFSDASALDKVLVDTHTILGRTVEVKKAVPRSEQNQNHQEQNRGQNRNNGKSNGTKSNDNIKTKKIFVGGLSANLTEDDFKFYFEKFGRITDVVVMHDNVTHRPRGFGFITFDSEDSVEEVMQKNFHELCGKLVEVKRAVPKEISATTSGNTGGITAGNRDSNFSGHQQAAYRPRYEVLPSYGPFPGYGGYPYGGGVFGGGFPVGGYGLAPVTPRVPWGGPAMVSIRGNPLPLTIYPAYLNGGHGLMSMAANGYNGIVGGVPSGMPSQLGGGGGEQLMVDVDNNNSLGSSGGEVGRNEQSGGVEGNMNMN >cds-PLY92210.1 pep primary_assembly:Lsat_Salinas_v7:6:75620405:75620686:-1 gene:gene-LSAT_6X53041 transcript:rna-gnl|WGS:NBSK|LSAT_6X53041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METVKETKDSLAVKIAEIKSLISGEVKKMEENYKLLHKKVDVTAGAITCLVEFNNENTKPFEAKSVKDEKVSEKMEELLSGIKETLSKVDLSN >cds-PLY79532.1 pep primary_assembly:Lsat_Salinas_v7:1:33447083:33449404:1 gene:gene-LSAT_1X29121 transcript:rna-gnl|WGS:NBSK|LSAT_1X29121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNSMKNVGGMSVPTGFGNSSATAPQSMAPPMNHSHHPQPQSHEGGEAHFSGHFQLSEPQAQALAQAQYVQAQAQAQARAAHARFQAQLQAQAQAQSLSQKPNPMVGINSNTNIGVSSPSLITPMNAKRSGQKPSSRPPSSSGAASGSPLKTMELTPAARRRKRGPPEKQIPDKVAALLPESALYTQLLEFEGRVDSALARKKVDIQESIKNPPRIQKMLRIYIFNTFTNQTNSGIHKENTEPPSWSLKILGRLLENGTETSNNPKFSSFFKKITIYLDQNLYPDNHVILWESSRSLALNEGFEVKRKGDKEFTAIIRLEMNYTPEKFKLSPALSEVLGLEVETRPRIIAAIWQYVKMKKLQIPTDTSFFTCDPPLRKLFGEDKVKFALVSQKISQHLSPPQPIHLEHKIKLSGENPVGNTCYDVLVDVPFSLDKDMSNFLENLEKHREIDACDEAICSAIKKIHEHRRRRAFFLGFSQSPSEFINAFIASQSKDLKTAAGDATRIAEKEHRSEFYNQPWVEDAVIRYLNRKPTAGSDAP >cds-PLY70793.1 pep primary_assembly:Lsat_Salinas_v7:3:135043532:135043711:1 gene:gene-LSAT_3X89361 transcript:rna-gnl|WGS:NBSK|LSAT_3X89361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSWTGNSYFKPRYKKFKKKKKGKPKQIWVPKNRSPEHEAKKNTELRKGIMKNFMKEDI >cds-PLY86909.1 pep primary_assembly:Lsat_Salinas_v7:5:260594386:260594892:-1 gene:gene-LSAT_5X130601 transcript:rna-gnl|WGS:NBSK|LSAT_5X130601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDGELDFSNHEIFVGDIPSSGSLNSFFDEIFNDTHTCTHKHTCNPPGPDYHVHTKILLATSDDDKTPIEDTAESSNKKGKNRPSGNREAVRKYREKKKACAASLEDEVVRLTALNQQLMRRVQSQVGLEAEVARQKSLLVDIRGRIDGEIRSFPYQKRHHPVGNQNW >cds-PLY84577.1 pep primary_assembly:Lsat_Salinas_v7:1:32231342:32232417:1 gene:gene-LSAT_1X27341 transcript:rna-gnl|WGS:NBSK|LSAT_1X27341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFNDLLSRFAYLLGAFSVGNYIGWLSWVDRLSGLEARTKKVAEEFDEFLESVLEEHINKKRAVEGDIGGENYENQDYVDILLDAQRENTTNFILDRDIIKATIIDVFAAGTDTTFAAIEWAISELIRHPKVMKKLQKEVSEIAQGKSMITEEDLEKMNYLHAVLKETLRLHTPLPLLISRKSTEYVNLMGYDIPAGTQVIINAWAIGRDPSLWEDAEQFKPERFLNSCIDFKGVHFEFLPFGAGRRGCPGIQFAIVINELVLANLVYKYDLALPDDVRGEELDMSEYTGLTLHRKFPLLVVPNPHF >cds-PLY91222.1 pep primary_assembly:Lsat_Salinas_v7:3:76910775:76917605:1 gene:gene-LSAT_3X59620 transcript:rna-gnl|WGS:NBSK|LSAT_3X59620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNGFHFTFSVLLDEPHVADVKKNIENVQGDVYPAAQQMLIHQGKVLKDATTLEENKVAENSFIVIMLSKTKPTSGEASTAASAPKAPQTSATPPAQAATQAPPPVTQPVSAPSPAPPASDPAPVVNATTGNVYDQVASNLVSGSNLEGTIQHLLDMGGGNWDRDTVVRALRAAFNNPERAVEYLYSGIPEPAELPPAAGVSPLPGLQAAQPPPAAVVPPSGPNSNPLDLFPQGLPDMGANAPAGAAGNLDFLRNSPQFQALRAMVQANPQILQPMLQELGKQNPHLVRLIQEHQADFLRLINEPVEGGENVLGDLAAAMPQAVTVTPEEREAIERLEAMGFDRALVLEVFFACNKNEELAANYLLDHMHEFED >cds-PLY84928.1 pep primary_assembly:Lsat_Salinas_v7:6:15809569:15810922:1 gene:gene-LSAT_6X10381 transcript:rna-gnl|WGS:NBSK|LSAT_6X10381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAINKPTIIILIIATVAALAIPSASATEHIVGGDKGWVFGFDYQTWALQQRFYVGDKLVFNYPVGKYNVFEVGDAGWEDCYVSSKKKGLTSGHDVITLETPGNKFFICQAKNDEGKRCCEEGSMKFHITVFVPNDPGAPGKLYVVGDDKGWTLNVDYQAWANGKKFNIGDQLLFKYPAGKHSVFQAIDETSFKECIPPNADEGLSNGYDIVTLDAPDTWFICGAPDHCKKGMKFFVHASDPSKSPGFQGSRKLIPPKL >cds-PLY82306.1 pep primary_assembly:Lsat_Salinas_v7:1:67241336:67242075:-1 gene:gene-LSAT_1X57221 transcript:rna-gnl|WGS:NBSK|LSAT_1X57221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDPLSDNRNKQPWLRHFSDQNDYTQSPSPFNRRNYYPDHSAINGPGEHPPLHPHQPINNFAKPPPTTTNLRFGMWGRGGAKAYFRFHGGIGDGCGGVGCRSTNENTIARPLQLFEALNPAHLQIDVLKKACEGGFVNPAIGKWFPPMETSAGTGVFLPAYQKRIGVIKVDDCKTNASKFNYKCS >cds-PLY67053.1 pep primary_assembly:Lsat_Salinas_v7:5:280164350:280168171:-1 gene:gene-LSAT_5X147281 transcript:rna-gnl|WGS:NBSK|LSAT_5X147281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSSISLSLSSSSPITVRSNGGANSAASDLFFSNTATPSQLRFCGLRREAFSGCKSSNNALLFKQLRPKTKISASLSTNGNSSKGFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQNEHHMKSFGLQVAAAGYDRQGVADHAQNLATKIRNNLTNSMKSLGVDILTGFGSVVGPQKVKYGTIGGTETVITAKNIIIATGSVPFVPKGVEVDGKTVITSDHALKLETVPEWIVIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIGKLAQRVLINPRKIDYHTGVFATKITPAKDGKPVTIELIDAKTKEPKDTLEVDAALIATGRAPFTNGLGLENVNVETQRGFIPVDERMRVIDSKGELVPHLYCIGDANGKMMLAHAASAQGISVVEQVSGKDHVLNHLSIPAACFTHPEISMVGLTEPQAREKAEKEGFEISIAKTSFKANTKALAENEGEGIAKMIYRPDNGEILGVHIFGMHAADLIHEASNAIALGTRIQDIKYAVHAHPTLSEVIDELFKSAKVRGKASLLPPQLTFSLVLKWLKTRFLVRLLNQFRSKLVEGQEAVDLS >cds-PLY77038.1 pep primary_assembly:Lsat_Salinas_v7:8:152028021:152030066:-1 gene:gene-LSAT_8X101920 transcript:rna-gnl|WGS:NBSK|LSAT_8X101920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSQETKCSKTSSDSYPNEEGEEESESKEVLELKDGGSSSNSTIEESDKKPSVRPYVRSKMPRLRWTNDLHLRFVQAIEKLGGQERATPKLVLQLMNIKGLHIAHVKSHLQMYRSKKIEDPNQVANPRIEDGDRNIFNLSQLPMLQCYNRTYDSAFRYEDPPPYRRDWMHNALREHCSFQKTKPRFYNIADRILAINHVEQANRSMQSNLQPPLNEKFSWEVNDNFGSRICEKMDMLPNPNEKLNPFKIQEHQNISLDLESTSLEKHKGTKRKASDLSLDLNLSLGVHSEKGVEEGDDDGEGEERNLSLSLYSPYFSRNRKKLITEDDHSNDQKAKRASTLDLTI >cds-PLY63654.1 pep primary_assembly:Lsat_Salinas_v7:4:128664707:128665180:-1 gene:gene-LSAT_4X80920 transcript:rna-gnl|WGS:NBSK|LSAT_4X80920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNINFVYFVVYLLFCYKILPTTDDYSTSLGAAATVSRIVIGVMVVAQLFSSVYFSAWSNRSYFRPLIFSNIVLFMGNVMLGSARAVNKRYISDCVPLKIRVQASADFVSASANL >cds-PLY78320.1 pep primary_assembly:Lsat_Salinas_v7:2:181765515:181767733:-1 gene:gene-LSAT_2X105320 transcript:rna-gnl|WGS:NBSK|LSAT_2X105320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSPGINLVMTVIGFTVSTMFIVFVCTRLICARIHYISSRRSFRMSSRSDLNVLERGIRGAEPLVAASFPTKKYSDPFFSCAKDAQCIVCLSEYHADDTLRILPFCGHFFHSSCIDIWLQQHCTCPVCRVSLRETFDRRYTLQPVSSSAIRFPSRADDNRRIDPTTVGQLRSNNNEQEAEPNNSNMNETSGSKQSGSRSEV >cds-PLY81431.1 pep primary_assembly:Lsat_Salinas_v7:5:335499701:335501486:1 gene:gene-LSAT_5X187740 transcript:rna-gnl|WGS:NBSK|LSAT_5X187740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT4G02980) UniProtKB/Swiss-Prot;Acc:P33487] MAELTVILYMLTISLFTATVSASQCSTNGFPLVRNINELPQDNYGRPGLSHITVAGSLMHGLKEVEIWLQTFAPGARTPIHRHSCEEVFIVLKGSGTLYLSSNSHSKSPGKPQEFNVFSNSTFYIPVNDAHQLWNTNDKEDLQVLVVISRPPAKIFMYDDWLMPHTAARLKFPYFWDEQCYQTNSKDEL >cds-PLY91676.1 pep primary_assembly:Lsat_Salinas_v7:8:12264846:12266325:1 gene:gene-LSAT_8X9201 transcript:rna-gnl|WGS:NBSK|LSAT_8X9201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGATCSGKSRLAINLTSQFPIEIINADSMQVYEGLHVLTNKVPLDDQKESISILLQDIEFCVEHTSSTGQKIGNFCTVMAGNYTLVWDNLYSTFFKKALRYKVDCIPPVVEPVPSIESEDGEGII >cds-PLY72583.1 pep primary_assembly:Lsat_Salinas_v7:3:200232565:200244198:-1 gene:gene-LSAT_3X120400 transcript:rna-gnl|WGS:NBSK|LSAT_3X120400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome 2, blue light photoreceptor, Promotion of flowering tim [Source: Projected from Oryza sativa (Os02g0625000)] MGSSCNEKTIVWFRRDLRIEDNPALADAARDGSVFPVFIWCPKEEQQFYPGRVSRWWLKQSLIHLGQSLKSLGADLVLMKAQSTISALIDCITAIGATKVVYNQLYDPVSLVRDHNIKQKLGELGVPVQTYNADMLYEPWEVYDNEGYAFTTFNAYWEKCLKMHNDPIIRLPPCRLMQAPGSVEDCTIEKLGLEDESEKPSNTLLGRGWAPGWINAEKALNTFIENHLLDYAKNRLKVTGNSTSILSPYIHYGELSIKKIFHTVIMKQILWAQDQNHEGEKSVTYFLKSIGFREYSRYICFNFPFTHERSLLRNLKYFPWQANEAHFKAWRQGRTGYPLVDAGMRELWATGWLHNKIRVITASFLVKCLLLPWQWGMKYFWDTLLDADLESDVLGWQYISGSLPDGHDLRRLDSPEVQGFKYDPEGEYIRQWLPELSRIPTEWIHHPWNAPLAVLQASGVDLGLNYPEPIIDIEVARDNLTTAILLMNEKESASKQDNNCEGTNEVVNDNCDLENLRIPKVLLKKEKRVTCPSNSSNDQKVPSMMKNSSGVNNNNGVKRSRQEPDEYNLNDCKSESEFSKLDDDLCSTAVSSSGSKKQATGNSSFCF >cds-PLY72879.1 pep primary_assembly:Lsat_Salinas_v7:5:167485430:167486002:-1 gene:gene-LSAT_5X74620 transcript:rna-gnl|WGS:NBSK|LSAT_5X74620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEAPLDVNAMGNRITPSGTGHPQSSENCIGLISPNINVCKEPTVNGVIEPNIFPLNLAHSGCFGPFPSIISSPIQLNPNSTSVVISVGCHSLLKRKRCQRSPSADQIIFPMNLFPDQDTSQFNLVPNIPLPSPVGPNPLEIRRFPDLMVPISEIEATAVVGAMIGFDIQPDIPLLSEVHGGIGEHNGPR >cds-PLY68289.1 pep primary_assembly:Lsat_Salinas_v7:1:27469963:27472515:1 gene:gene-LSAT_1X23701 transcript:rna-gnl|WGS:NBSK|LSAT_1X23701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIPYLYISLLLLLASYLFTSHFRRKFSNLPPTVFPTLPIIGHLYLLKQPLYRTFAKLSAKYGPILLLRLGSRRVLLVSSPSAAEECLTKNDIVFANRPHMLFGKIIGNNYTSLVWSPYGENWRNLRRIASIEILSIHRLNEFHDVRVDEGRFLIRKLLSQSSAVDLKSVFYELTLNVMMRMISGKRYFGGDIPEVEEEGKRFRAILSETFLLSVAANVGDYLPFLSWFGVKGLQNKLNALKEKRDVFFQGLIEHIRKSKGENSKKTMIEVLLSLQESDPQYYTDEMIKSFVLVLLSAGTDTSAGTMEWAMSLLLNNPQVLKKAQNEIHTVIGTDRLIDESDVINLPYLRCIINETQRLYPAGPLLVPHESSEECIVGGYKIPRGTMLLVNQWAIHHDPNIWTDPERFDPERFEGVEGTRDGFKLMPFGSGRRSCPGEGLAIRVMGSTLGLLIQCFEWERISEKMVDMSEGLGLTLPKAEPLVAKCKPRLEMKNLLSQL >cds-PLY72392.1 pep primary_assembly:Lsat_Salinas_v7:4:147646008:147647854:-1 gene:gene-LSAT_4X91180 transcript:rna-gnl|WGS:NBSK|LSAT_4X91180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVKGKYTGLYCYNRKVGLGRRAFIKSHEKLKSKTNHLSQLWSSEAFTSLLASPDNPKAPLRIPSRRSFFPKVQNDYLFSSSHKSFSTTPTGNSQGENEDNNQKISVTFFDKDGEEQEIKVPIGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDVEQYNKLEDPTDEENDMLDLAFGLTETSRLGCQVIAKPELDGLRLALPAATRNFAVDGYKPKPH >cds-PLY89289.1 pep primary_assembly:Lsat_Salinas_v7:2:51816020:51817894:1 gene:gene-LSAT_2X24081 transcript:rna-gnl|WGS:NBSK|LSAT_2X24081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFLFFFISFFFFYSSSSQKICPNCGLQKVPYPLSTNPNCGNPDYTLKCHNQKLYFNALNGTSYLVLKINPQTQKLVIQTQPWLPNQCITQDFPVSEGLWLNQSLPFNISSQNTIFIFNCSPRLLVSPLNCTRSSLCHEYLENSGRVDPARVLQCANTINPCCTFIAGGLPSAYKIRLHSSGCRAFRSIINLENEKPAKEWEEGVEIQWAPPPEPVCKTQTDCSGESKCEITEKGISRCICNRRYYWDHVLGVCSKKGISKTGFPVKISIGVVLFFVAAVVMATVTIGKSRKIRRKAEVAKKREELFKSNSGMKSARMFTLKELKTSTNGFSKDRILGIGGFGEVYKGNLPDGTIIAVKSAKVGNIKSTQQVLNEVAILSQVNHKNLVQLLGCCIEAEQPLMIYEFISKGTLYQHLHKESSNFLNWQLRVKICLQTAEALAYLHNSAHTPIYHRDIKSTNILLDDDFNAKVSDFGLSRLARPGLSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLEVLTTKKAIDFERGEEDVNLAVYVAQRSDSVMEVVDRRLVGEEPPGPVVVSVKMFCKLALACLREKKGDRPNMKEVVQELQSIINVLNQEEVSNEASVVSLG >cds-PLY84206.1 pep primary_assembly:Lsat_Salinas_v7:7:77093596:77096616:-1 gene:gene-LSAT_7X54881 transcript:rna-gnl|WGS:NBSK|LSAT_7X54881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELLIASDATPPLSVLATAKVAGVSLTVNPTLTAGSPPVLVLTNGLKLRGTNVLLKYLARTSTAIPNLYERDAFETGQIDEWLEYAPIFSSGSEYEGACKYVDGYLLHRTFLIGHSLSVADITIWSYLAGAGKRWESLMKSKKYQNLGRWYTMISTQHAAVLDELTSSFSKKKDSSKPSSSTNVTDESNKKQIANGVVNSNRPEVDLPHVEMGKVCLRFAPEPSGFLHIGHAKAALLNQYFAQKYNGKIILRFDDTNPAKESNEFVDSLITDVGTLGIKYEKITYTSDYFQILMEMAEKLIKEGKAYIDDTPREQMKHERMEGIESKCRNQSIDENIKLWNQMILGTERGLQCCLRGKFPSMQDPNKSMRDPVYYRCNPMPHHRIGSKYKIYPTYDFACPFVDSYEGITHALRSSEYHDRNPQYFQVQEDMGLRKVHIYEFSRLNMVYTLLSKRKLLWFVENRKVDGWNDARFPTVQGIVRRGLQIEALIQFILEQGASKNLNLMEWDKLWNINKRIIDPVCPRHTAIVEENRVLLTLLDGPHKPFVRVVPKHKKHPAAGDKATTFTKKIWIEQVDAKAINPNEEITLMDWGNAIVKEIKKGENGNVTELIGVLHLEGSVKTTKLKLTWLPETNELVPLSLVEFGYLITKKKVEEDEDFVDVVNTDSKKEIGAVGDSNMRNVKRGDIVQLERKGYFRCDVPFIRPSKPIVLYAIPDGRQTATK >cds-PLY67982.1 pep primary_assembly:Lsat_Salinas_v7:2:12629282:12632087:-1 gene:gene-LSAT_2X5900 transcript:rna-gnl|WGS:NBSK|LSAT_2X5900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFFVGGNWKCNGTAEDVKKIVATLNAGQIPSTDVVEVVVSPPFVFLTSVKSELRPEIQVAAQNCWVKKGGAFTGEVSAEMLANLGVPWVILGHSERRALLNETNEFGGDKVAYALSQGLKVIACVGETLEQREAGTTMEVVAAQTKAIADKISSWDNVVLAYEPVWAIGTGKVASPAQAQEVHAGLRKWFCDNVSAEVSASTRIIYGGSVSGSNCKELGGQTDVDGFLVGGASLKPEFIDIIKAAEVKKSA >cds-PLY73508.1 pep primary_assembly:Lsat_Salinas_v7:4:21978760:21981762:-1 gene:gene-LSAT_4X15080 transcript:rna-gnl|WGS:NBSK|LSAT_4X15080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKMKKVQKRDISSDDDDDNITYQLQNVDDEKHGIEDNDTNGDEGSDDEEEYDSEMEIMNLENEEDVSGNEDSDTMGEDDDDENESDAEDDDDDVDMKIEDNNHSDQEELEKELNDLRHQEQDLFRNIRRDPNEDLQKSEAVKNQRALWDKTLEFRFLLQKSFSNSNRLPQEPIRSAFCNSDEGVKEAYSDLIDSTKKTLDSILKLQEALVEKNPLIMEATEENSKSVEASRKGDEEWFKISKMQSRIGSFRDMSVDKWQRKTQVTSGAAGIKNKFQAFNQNISEQVAFYMRDPSRMIKGMQQRRSVVPVFGNNNVPNSSVDDDGDGDPELLDDSEFYQQLLREFFETVDPESSEAAFYALKRLQTKKRKIVDRRASKSRKIRYHIHEKIVNFMAPQPVNIPPMAPKLFENLFGLKTQKLASSLS >cds-PLY83900.1 pep primary_assembly:Lsat_Salinas_v7:3:48251434:48254387:1 gene:gene-LSAT_3X38921 transcript:rna-gnl|WGS:NBSK|LSAT_3X38921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPIRWLGELLEKTHWSFVVAVVVIYGINQGFGYALAKVGTDYYMKDVQKLQPSESQVYLQIARFPWFIKPVWGLFTDIVPFFGYHRRPYFILAGIVGILSMLFLSLHEKLHIGLALLSLMAGNAGGAIADVTVDACIAHQSVTHGSLAPHMQSLCSMSSSIGALIGYSLSGVFLHLIGPKGVYGLLSIPYALMLLVGILLDEQRALTDYRQVPKKFWDAIMRMWTTLKRQDVWGQCFYMFISFALSLNIHEALFKWETDSKAGPSFSQEVIGFISSIGSVGSLLGAILYQHSLKTHPIRNLLFWAQLFLGLSGMLDLVLILRLNLKLGIPDMLFVIINESVFQLVQNLKWLTFYVITSKLCPSDIEGTFFALVMSIDNLGNSSSEWLGASLLCYLNVTGTQFDKLWQAILIRNVMRIAPLCFLCLVPNGGEDSEFGLSSLQDSEVLEPMNVELTPLIASASS >cds-PLY80608.1 pep primary_assembly:Lsat_Salinas_v7:6:12178877:12181460:1 gene:gene-LSAT_6X8140 transcript:rna-gnl|WGS:NBSK|LSAT_6X8140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRFWFLVYSIVCFFIANSVQLDDTQSFIVRIQNDLKPSVFADVEHWYKATLGSLNSRKLDYHKFNSDKKPNQEFLHVYKTVFHGFSARLTPQEAQELEAHPSVVAVLPDQTLQLHTTRSIQFLGLDSNEPNGLLKESDYGSNAIIGILDTGISPEASSFHDQDLGPIPSDWKGECMEGEKFTKNLCNQKIIGARYFTAGFDASIQETNSSAQIRSSRDTDGHGTHTASTAAGRVVANASLFGYAEGVAVGVAPKARVAAYKICWGESCRESDILAGLDKAVEDGVHVISISVGGSRSRPYHLDPIAIGAFGAMTRGVLVSASAGNGGPDAMSVTNTAPWITTVGASTIDRRFPADLILEDGTVITGASVSSSTTIPATKFFPLIHGRNASQGRFYNSRAATCMPESLDTELVRGKIVICDRGGNARVKKGEVVKEAGGIGVIVSNVSPQGEGLVSDSYTIPGMLITESGGKKLHAYISSSKNPMAKIIVHGTRTGVKPAPVVASFSSRGPSIDSLYVLKPDVIAPGVDILAAWPNDVPPSEIPSDLRRTRFNIASGTSMSCPHVSGLAALLKGAHPDWSPAMIRSAIMTTAYMVDREGKPLLDEQSYNEANVWGTGSGHIDPGKAVDPGLVYDITANDYIQFLCAMNYSTQAFRQFSPKPVRCRGKQNKPWNINYPAISIAYGEPRGSSEPEVVVTRTVTHVGEGTSNYNAIVTSPKGVNVTVVPQRMQFTVKGEKQSYTVKIESDHKVTGSWGNMETELGKLIWTDGKHNVVSPIVVIWQHLF >cds-PLY95440.1 pep primary_assembly:Lsat_Salinas_v7:9:190010000:190014925:-1 gene:gene-LSAT_9X117041 transcript:rna-gnl|WGS:NBSK|LSAT_9X117041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 64, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G09420) UniProtKB/Swiss-Prot;Acc:F4KCL7] MSLKAINLERVKNNVSNPKVWIVIGVSVAGILILTAETRRRRLKGRYVIREDYGAFIERFELLPFPQPPPPAAKQPLSGLNFAVSDVFDVKEYVSGFGNPDWKRTHEEAGKTAIVVTALLKDGATCVGKTVMDELSFGTTGENSHYGTPTNPAMPSYVPGGSSSGSAVAVAAELVDFAIGTDTIGGVRIPASFCGILGFRPSHGVVSTIGVLPNSQSLDTVGIFARDPSVLHRVGHVLLRLNPVEPRRTRRIVVADDLFQLSKVPQQKTVYIVSKVTEKLSGYQQPKHMNIGQYICSNVPGLKYFHNEESNLQNGTNALKALSSAMLLLQRYEFKTNHEEWIKTVKPRLGSDVSDHIHAAISATHENIKAYYKVRTEMRAALRSLLKDDGILVIPTVADTPLKLDSKKGLLTEYNERLFALLSIATMSGCCQVSIPFGKHEDSCIGVSFIAFHGSDKFLLDTVLDMHQSLQGQVNAVTSLPPSLDLNGNMDASELLKEKGNAAYKGKQWNKAVSYYTEAIKLDESNATFYCNRAAAYLELGCFQQAEEDCSKAISLDKKNVKAYLRRGTARESVLYYKEALQDFKHALVLEPQNKVAKGAEKRLRKLVS >cds-PLY90537.1 pep primary_assembly:Lsat_Salinas_v7:1:212951417:212951776:1 gene:gene-LSAT_1X129940 transcript:rna-gnl|WGS:NBSK|LSAT_1X129940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTEPVQTPVTRSPASHMEFFPIPDVWSCDGKPRLTSNSNGDMRITSRLNAAPTVASISTTTKTTTTGLFDLHNEDNIGGVSKLLAAAANSKKKGRRRSRLATQVFTAAMVSAADRYHV >cds-PLY73671.1 pep primary_assembly:Lsat_Salinas_v7:5:203113566:203114021:-1 gene:gene-LSAT_5X93980 transcript:rna-gnl|WGS:NBSK|LSAT_5X93980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPSTNGSECARFSLETLILTDNKFGGEIPKSLVRLSDFREVNLAFNQLTGTIPEALGNLTCLQELDLSWNKLSGLIPNSIGNLLLLKNLDLSSNLLNGTIPFSIRRLSNVENLRFPYNQLCGVPLSLGNLSKLQYLNIRDNFSQGPFPSF >cds-PLY78342.1 pep primary_assembly:Lsat_Salinas_v7:5:210480781:210481997:1 gene:gene-LSAT_5X95540 transcript:rna-gnl|WGS:NBSK|LSAT_5X95540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSNPALNSWFRHENPKESSSLEHLFIRHNPRSPTISLYSLNPKNDSPKKIARASSEADLSGSFVTNGMSPLCKLSSIAVAEELEGEESDDCVLLFSTSGLYHNGHGFGVIGGGGGEGKISGRGGHGNHNENDSTDFYYQNMIEANPGNAMLLSNYAKYLKEARCDYPKAEEYCSRAIQANPSDGNVFSMYADLIWETHKDARRAQSYFDQAVQASPDDCYVMASYARFLWDAAAADDDDEEEECEVDMNILTPIAAAC >cds-PLY87791.1 pep primary_assembly:Lsat_Salinas_v7:8:223625493:223626843:1 gene:gene-LSAT_8X137540 transcript:rna-gnl|WGS:NBSK|LSAT_8X137540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVNNGIPMDEEIIEIIMRGLDIDGNGDINHQKFKSEIKGWLDTFCNKENPSQNNNQEMDRYHQNEAKAKTEEKYKAMALLIVGIIMLTVLAEPLVESVRRFSESVKIEPFYVSFILVPLATNVRTAIAPIRAAMQKKHQITSLTLSEIYHKVFMNNILGFSVLVSVIYCRGLTLHFSAEILVVAIVCIIMGLLASFKSKFPNWTLIIAFSLYPLSLVMVYFVADTFQFS >cds-PLY68567.1 pep primary_assembly:Lsat_Salinas_v7:2:21266376:21267744:-1 gene:gene-LSAT_2X10260 transcript:rna-gnl|WGS:NBSK|LSAT_2X10260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPISCQTFIFDIDTTVDSTPADDSITELPPRSFDGAIATELDCCSLPSKRELKLEKLNSLIQSPEAIVQGKKLLFQARLGEILSEFEFADHLDSVQNPLASSIYNFYVLIETTGSNESNDKENVEGFIVDAMKSGLISNGAISQDLNHASSFWQIREGIPKALQKAGVVYEMRMLFS >cds-PLY70631.1 pep primary_assembly:Lsat_Salinas_v7:4:226985547:226985858:-1 gene:gene-LSAT_4X124140 transcript:rna-gnl|WGS:NBSK|LSAT_4X124140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPRSPDSISINSRDRLNFADFHTIYCDCGAEIAEHKMEVTRLKEEMGRDLVLSRVESLALHRRIQRTEKKVTLLTILVIGLVIVPFGFMVEKFLRIVNP >cds-PLY75975.1 pep primary_assembly:Lsat_Salinas_v7:2:15498602:15500345:1 gene:gene-LSAT_2X7740 transcript:rna-gnl|WGS:NBSK|LSAT_2X7740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKTNILLLYIFCYLLVDIDALTASGWSKGHATFYGGSDASGTMGGACGYGDLHLAGYGTRTAALSTSLFNKGKACGQCYKIVCDRKADSRWCKKGSVTITATNFCPPNYALPNNAGGWCNPPLQHFDMAQPAWEKIGIYKGGIIPVIFQRVPCKRHGGVRFTLNGRDYFELVLITNLGGAGSIQSVRVKGSRTGWTSMSSNWGSNWQSNSYLNGQSLSFMVTTTDGVTKTFSNIVPANWRFGQTYSSRIQF >cds-PLY64891.1 pep primary_assembly:Lsat_Salinas_v7:1:166358883:166367128:1 gene:gene-LSAT_1X111321 transcript:rna-gnl|WGS:NBSK|LSAT_1X111321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDNEKVGDARICKDNMDKSFGDCSIPQENLNADINEELELSEATGEEDASNILYSEEAKSKGYKVEMVIVGDDCALPPPRGIAGRRGLAGTILVHKIAGATAASGLPLSDVAAEARHACGVVGTMGVALSVCTLPGQPKSDHLGPGKMELSLGIHGEPGVVVADIQPVDLVVSHVLIRILSSVLDMPAYEA >cds-PLY75293.1 pep primary_assembly:Lsat_Salinas_v7:3:158082690:158084395:-1 gene:gene-LSAT_3X99141 transcript:rna-gnl|WGS:NBSK|LSAT_3X99141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDNYGSHDHEEHMELPPGFRFHPTDDELIIHYLYRKVFDDSFASRAIGDVDLNKFEPWELPLRAKIGEKEWYFFCVRDRKYPTGLRSNRATEMGYWKATGKDREIYKAKTLVGMKKTLVFYKGRAPKGEKTNWIMHEFRLEGRLKNLSASSKGEWVISKVFEKNCGGKKIQISGVSGINYNSYEDELEPSNLPPLMDVSRTVSESIEEQKPTKEELIETYNKSISMMPSMNDNLFGVSNNQMVPNIEYLQYHDPSILMLLIDKDDHSSMQQNSKSEFGNNQDYAMNLAGLVDLDWVWNY >cds-PLY66226.1 pep primary_assembly:Lsat_Salinas_v7:1:175639007:175645150:1 gene:gene-LSAT_1X116100 transcript:rna-gnl|WGS:NBSK|LSAT_1X116100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G18390) UniProtKB/TrEMBL;Acc:Q9LS51] MKLTEVAVPLRNTKFIFPFPRNSHLLFFSSSSSSFLKPSLVKPLPSIRSTTDNSTTSTTTNPSPSSPWLNNWSSPPPNPSIKPESGKRSETRNSSEPAASGGSTRTTAIDRIVLRLRNLGLGSDDEEEEAENEYPGSSEMALTGDEKLGDLLRRDWIRPDRMLVEDDDEETVLPWEREQEGNQEEGREIGGVKKRTMKAPTLAELTLEDTELRRLRTVGTTIRERISVPKAGITGAVLEKIHDQWRKSELVRLKFHEVLARDMKTAHEIVQRRTGGLVIWQSGSVMMVFRGVNYTGPSSRPESTEKDNNTLFVPDVSPASNPTTRNNDSATTSVATRTPVVAESMTEEEAEFNSVLDRLGPRFQEWWGTGILPVDADLLPQTIPGFRTPFRLLPTGMRPRLTNSEMTNLRKLAKSLPCHFALGRNRNHQGLASAILKLWEKSMVAKIAVKRGIQNTNNELMAEELKRLTGGVLLLRNKYYIVIYRGKDFVPKSVASALVERQEMTKEIQDAEEKVRNGAIEAVAVAGVEKDGEATPLAGSLAEFYQAQAQWGREKTTEEHEKMLLEASKTKVTRVVKKLEHKLFIAQSKRSKADKELAKIRESWLPSGAPEDQETITDEERVMFRRVGLRMKPYLPLGIRGVFDGVIENMHLHWKHRELVKLISKQKDIEFVEETARFLEYESGGILIDIVRVPKGYAIIYYRGKNYQRPISIRPRSLPSKARALKRWKALQRYEALTEHVAELENNIKQTKAENGGVENIKDIGAQNSEEIELFGQSMESQQSEDESSWTNSDDDDDDDDDEWENDEDEDDDANS >cds-PLY64131.1 pep primary_assembly:Lsat_Salinas_v7:1:2151798:2154338:1 gene:gene-LSAT_1X1881 transcript:rna-gnl|WGS:NBSK|LSAT_1X1881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHMQKLADYVGLPCRIARGCKYCVEDHRSSCLVKIQNDKSTREYVMDLIGQPGNMYNPDSSINGDILSSVPSPFQSSHLKEVEQVYVDRASISQVKSIEGGGGVCEEESSVVESKEMRCVPVPVPVPIDRNYRVCEMIETPKAVKYSSSEQLSDIDQGKSTIRSNSFPVTAPRYLTLEPSLAMDWLEIAWDDLHIKERIGAGSFGTVHRAEWHGSDVAVKVLTVQDFQDDQLKEFLREVSIMKRVRHPNVVLFMGAVTVRPHFSIVTEYLPRGSLFRLIHRPTAGEIMDQRRRIRMALDVAKGINYLHCLNPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELVTMQQPWNGLSPAQVVGAVAFQNRKLTIPINTPPALTSLMESCWADDPAQRPTFKSIVNSLKKLLKSPAQMGPP >cds-PLY90877.1 pep primary_assembly:Lsat_Salinas_v7:1:57534758:57534997:-1 gene:gene-LSAT_1X50060 transcript:rna-gnl|WGS:NBSK|LSAT_1X50060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARRTPDTIPSLLSSTTSPEGPSAGQTSRVTGEGTTKAVPLFVIKELLRGQATTDDDWLRRRGGGSFPCRSTTRCSEFP >cds-PLY81318.1 pep primary_assembly:Lsat_Salinas_v7:4:37779087:37779725:-1 gene:gene-LSAT_4X25301 transcript:rna-gnl|WGS:NBSK|LSAT_4X25301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLNITSASYGSTWRILRHNLATEILQPSRVKSYSRARKWALQTLIGRLQQQQKETAGIKVIDHFEQAMFSLFALMCFGKKLDEHHIIDIIRKLRRRLLITQPGSLRVNILSIFPRLGKIFLIYQWKELLQTQKDVVQLLLPLITSNSRTEARRLVGENEIVTYVDTLVNLQLPGRKLEANNGNGGLNFHVCMNYSLLMALFSINFIPYIN >cds-PLY63009.1 pep primary_assembly:Lsat_Salinas_v7:8:183719313:183720464:1 gene:gene-LSAT_8X119741 transcript:rna-gnl|WGS:NBSK|LSAT_8X119741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQKQKENTGGPLTTDVDTSPPFASVMEAVSRFGGVGFWRPHSHNPTQPSQTSSEQLLDHLQNNSATEERETLEELKVKLQKEVKAEASIHRSEDRNNEVRVELSENEILKRVKEATEDVKHCTRILEEVLRRVEATRNGTYLDSDAVVVSSPMMSIGQILSRKLFLAGERPEKSCMRWKVSLAEIIGKGSGNGAKKGGEKRVPAKRAKLGFGGGCGGGGGGDEKGVPAKRMKLGFGGISSMVARKKTWKQSR >cds-PLY84787.1 pep primary_assembly:Lsat_Salinas_v7:8:23811777:23814020:1 gene:gene-LSAT_8X18540 transcript:rna-gnl|WGS:NBSK|LSAT_8X18540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSHHRIRCMLAFFTILLARTSAAIIDLQWDVASDNTRGPSPAAQPVITINGKFPGPLINATTNDIVRVNVFNNLDEPMLFTWNGIQQRLNSWQDGVSGTNCAIKPGTNWTYAFVVKDQIGTFTYFPSINYQKLAGGFGPIRVNNRIVINVPFPKPEAEFDLLIGDWSFNDYKDVRSGLGASTDVPDMMLMNGKSPYGYSTSKPYESFTVTKGKLAWKTYRFRVSNVGTILSFNFRIEKHKMVVVETEGSYTKQITLDSIDVHVGQSYSVLVTADQDDSDYYIVATPKLFNTTDDSPLVAKGVLHYTNSGSPVGGSLPPGPDPWDINFSVNQARSIRWNLTAGAARPNPQGTFNVSNVTLSETIILHGSEAIINRVYRNVVNNVSYINHVTPLKLADYYANGTGVFQVGHFPIDSVKPFAMYGVSVVGGAHKGWHEIVFQNELTRMDSWHLDGYGFYVVGFGDGEWTPKSRDTYNLYDPVVRSTVQVYPGGWTTVYVFLDNPGMWNLRSQLLKHWYLGQELYVRVHDDDPNPAKENPPPENLLLCGIFGEIKAPSGAPEPQPGW >cds-PLY98930.1 pep primary_assembly:Lsat_Salinas_v7:7:51462201:51463350:1 gene:gene-LSAT_7X37320 transcript:rna-gnl|WGS:NBSK|LSAT_7X37320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERPPPPPPPPLPQPPKETLARGYKFVWRILLISNLALGAYIFTRPRKKEAMKKDKKVNVVHEIKTIPDSEEHEHTTTPVYEPEKIPIQENQWSKSFKW >cds-PLY82561.1 pep primary_assembly:Lsat_Salinas_v7:2:186062395:186064217:1 gene:gene-LSAT_2X106741 transcript:rna-gnl|WGS:NBSK|LSAT_2X106741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRKTENPHAIFIPFPLQGHLIPSVLLAVKLASKGFTITFVNTESIHHSIIKSSSQSAINGTHHHDDGDLFAEARKSGLDIRYASVSDGLPLGFDRSLNHDQYMECLLHVFSAHVDEFVGNLVKGDVSINCLIADSFHVWPLMISKKYNLVNISFWTEPALVLNLYYHMDLLKKNGHYDPLDKHEDVIDYIPGVDSIKPRDMMSYLQATNTNTVSHRIIHKALFEDAKSADFMICNTIHELESHTISTLNQMQPFYAIGPIFPNKFTQKLVSTNLWYELDCTRWLNNRPLRSVLYVSFGSYAHISKHDLAEIAHGLLQSGVTFVWALRPDIVSSNDTNALPLGFEDKIKDQGLIVPWCDQKSVLSHPSIGGFVTHCGWNSVLESIWCGVPLICFPLLTDQFPNRKLVVDDWKIGINLCEGNLVEKEDVTKKVKGLMIGEKSSELRNEIKKVKTTLQDALALGGSSQRNFDQFISEVRIKTNNMK >cds-PLY95811.1 pep primary_assembly:Lsat_Salinas_v7:7:176336145:176336903:-1 gene:gene-LSAT_7X103961 transcript:rna-gnl|WGS:NBSK|LSAT_7X103961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSYCRFIGFAINKNLQQSAARVHVYPSNFFSTLPAHPNHKDSNLVDDIRPTGSGLGLGSETGADFDRVNVVKSTATPSSSTYERRENESFVAETAKQGVAKALETGLEIGEMAKKTLDNMWDITKDTTNMVKEAVTSDPEEKNDVPPTDQFVDDLRKRADGYDLKKKG >cds-PLY66084.1 pep primary_assembly:Lsat_Salinas_v7:2:207057137:207059711:1 gene:gene-LSAT_2X127881 transcript:rna-gnl|WGS:NBSK|LSAT_2X127881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKNILILVITLSSSFLLFVLIFLIWLCRRKGSARDESWDKEANPECKEIDVEEVDMKGDLIRFEGGEDLTCFDILDAPGEVIGKSSYGTLYRANLLSDSVLLLRFLRPACCTGKVQDVMHVVQVLGSIRHPNLVPLSGFYSGPRGEKLFVHPFYRRGNLAQFIRDENDESHKWEVILKISIGIARGLDYLHTGFQKPIIHGNLKSKNILLGKNHHPFISDFGIHLLLNPTASQEMLEDAAVEGYKAPELTKMKDSSTQSDIHNLGVILLELVTGKEPINGKANPDQDFYLPNSIRNAMMDRRMSDICLKGLSHVKEDVILKFVDLALVCCSVGPTERPDSKQICKKLEEIGAKS >cds-PLY82499.1 pep primary_assembly:Lsat_Salinas_v7:2:185123568:185124736:1 gene:gene-LSAT_2X107281 transcript:rna-gnl|WGS:NBSK|LSAT_2X107281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENSEVLKATETQKLINRRGNLVTASSDENLKDIFHHIRTSKSAAVINFGASWCHACGQILPSFNKLSNKFPKLSFIYADIDECPETTQHIRYTPTFHFFRDGERVDEMFGAGEERLHDRLWLHSDS >cds-PLY74753.1 pep primary_assembly:Lsat_Salinas_v7:6:120826211:120827200:1 gene:gene-LSAT_6X71841 transcript:rna-gnl|WGS:NBSK|LSAT_6X71841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGPWWIATYIQLSVYGIAYYFTVLLLSRNRPTIYLDNLSVVSLRNTSTSLTNNTAVYLLLRIQKNSGLGIYYEDPLNLTITYLPPTISTDSNVTIGQSAIKGFYQGHGEVKHIQASVVIQDLFSMTEQRRRLGETHVSLYGPAKVIDFIVDLEANIKFKLFENHEYHLRTRSTVEVNDNTGTSVLKTIQIKYACGLNDWGVVKWVLAVPLSILLHFLFNIACWLAFQVLLFACLYQPK >cds-PLY70857.1 pep primary_assembly:Lsat_Salinas_v7:8:116993848:116995511:-1 gene:gene-LSAT_8X81220 transcript:rna-gnl|WGS:NBSK|LSAT_8X81220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLTGKIRKKNDGGGGGGGGGEGWGMDIFLVFFPEEHQQDLNSSTPSSSLSPFRFPTVNTLFKRMNSSHILRRAQSTISICALLIFLMLLLFTLSTFEPNNNFISSRLPNSHTTPRSNSRALQGLGTLYTRGTIAMNNLLLCHVTESVTTKDLKLFLRAFHRSGLASNSDLVFIFPSISTPQSFDDVILEENDFFLKIMGRYKAELCNGSKVLGFPASFDLTQFVKSGKKVREKGEPIWGGKLKTNNSSLHDCGETDHIESTRISYGSVVGFGVGELDPENSLSGFVDHVPMSLRRWASYPMILGRVRRSYKHVMLVDVKKVLLLGDPFNRVKNQSPESVFLSSTPPPLPPLSARHRPKNSENTHQKTNTINTAVIMGGVRGVRRLSAAMLTEIVRATTSKPHNKRKNSVTESGMLSRLAMNEFTLKTIRLVTSSESIREAKSLTESAMTNRTILWRENNDTDIIESVIMKHICSFPIDSTVYTDC >cds-PLY91306.1 pep primary_assembly:Lsat_Salinas_v7:5:250705134:250706286:-1 gene:gene-LSAT_5X125561 transcript:rna-gnl|WGS:NBSK|LSAT_5X125561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSLFKLSMLLSLLSDVKLGSWPGILTQKEAVAVGGFPQQCNRIECPTYDVINSGEGYEIRVYNSSMWATTSPIDDISFVDGTRTGFLQLFNYIQGKNNYNEQIEMTAPVLTEIAPSDGPFCESSFLVSFYVPKKNQVDPPPATGISIQKWGPTYVAVRQFGGFIKDSDVGVEAAALSASLSGTTWLDAIKKSHSRDITTVYTVAQYNSPFEFDNRVNEIWFKFEM >cds-PLY84729.1 pep primary_assembly:Lsat_Salinas_v7:5:228943400:228943678:1 gene:gene-LSAT_5X108780 transcript:rna-gnl|WGS:NBSK|LSAT_5X108780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVIFVVFVSFGCVFFLALTLFALCCIIKKLKCSKMAEKTEMVHIDEHLKVSENVVQGRNGMKAVAITIDDDLHVDEEEEYRKKEKLGKQHH >cds-PLY78826.1 pep primary_assembly:Lsat_Salinas_v7:8:289692464:289694848:1 gene:gene-LSAT_8X160680 transcript:rna-gnl|WGS:NBSK|LSAT_8X160680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELIKLSKFKLQLQTLITEVRELREKERASSNQLHDYVQKQKQSDEAFCVKIKELEAELTSSNELRQKLERKIQFLEDENYLLENKHKELKETISSILQEKDGFVKAYQESTCEMKRSIESRDRKITILSEKITAHLLSFDTIRKQASFVKQVVDNATHVVNEKEEVVSQLKMKLDKVCAFENLFIEKIKDLETKLKIKESEFHTKDRALHSEVGMLVVTVKKIQDTMTRMDEEIQNAQLHKKEFVDDGISIKVM >cds-PLY62327.1 pep primary_assembly:Lsat_Salinas_v7:4:87471610:87477282:-1 gene:gene-LSAT_4X58020 transcript:rna-gnl|WGS:NBSK|LSAT_4X58020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTEAIGIINQCSERRSADYKPNIWNYDFLQSLKSEFHEEEYRLQVQKARDEVKSLFVETLEPVAKLELIDDVEKLGLIAYFQKEINGIIDSFQSFKDENGYVNIESDLYGTSLCFRILRLHGFNISQGMFKGFVENVEKNMKVNVKAMVSLFEASHLALEGEDILGKARALASLYLKNVYQQLDVEHGKEIYDALRFPLNMRVEWFNIRSHIQGYAKVSPSNSNLLKLAKLNFNMVQAVHQSELKDMLSWWKKVGVMKNLSFTRNRVVESYLWSVGVAFEPQYGYLRKCLTKVINLVLIIDDVYDVFGTLDELETFTHIIERWATEGTERLPEPMKFCLNILYDTVDEISNELLSEKGYMVKTYLQNAWKDFCKGLLAEARWYHNGYTPGLHEYLDNGWVTSCGPLLSIHTVFWDLYERQEEAINFLKINRDLVYNTSMIIRLCNDQGTSKAELSRGDAPTSIMCHMTEANVTEEVAKKFIKRMITDVWTQINNVSTSLSPSIKHITNMARVAHFIYHNGDGFGIQDRETRDQVLVLLVHPLAVD >cds-PLY77550.1 pep primary_assembly:Lsat_Salinas_v7:2:164983712:164983987:-1 gene:gene-LSAT_2X86200 transcript:rna-gnl|WGS:NBSK|LSAT_2X86200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPSITIFLYFYLPMSNGDWGSFSLRHGLVELCDGLPTSIKYFKEEFFFVHASAFSGPMAYGVTTDRVSGPVPELSPDEMLITERLASNFV >cds-PLY79264.1 pep primary_assembly:Lsat_Salinas_v7:9:5303919:5304513:1 gene:gene-LSAT_9X5741 transcript:rna-gnl|WGS:NBSK|LSAT_9X5741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLINSILKHGKKSLAYQIIYRAVKKIQQKIETNPRSVLRQAIHGVTPGIAVKARRVGGSTQQVPIEIRSTQGKALVIRWLLATSRKRPVPNYSRTPHRQIHHHPSSATPHPRSLDNSNMDPTTFYHPHHSSPSPPFNLQLDLSSSITTLIYPSQPTLPTISHHQSYNPSFSPSDHHTTIETPLIVINTK >cds-PLY95298.1 pep primary_assembly:Lsat_Salinas_v7:4:299874659:299878562:-1 gene:gene-LSAT_4X151120 transcript:rna-gnl|WGS:NBSK|LSAT_4X151120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDHGMRRSVEELRQTFKSGKTRGVDWRKSQLRAILRLIEENKDNLYQLLQSELGKHPVESYRDEIGIVKKSVDLALSCIDQWMSPKKGWLPLLFLPASRKLLSEPLGVVLIIGSWNFPISLILDPLIGAISAGNTVVLKPSELAPNCSSFLAKLLRVYLDSKAIKVIEGGREVSNQLLQYKWDKIFFTGSVKVGKLVMAAAANHLTPVTLELGGKCPAIFDSLPNADIKVATKRVASGKWGACCGQACIGVDYMLVEQKFATTLIEELKKTIKTFYGDDVRNLKNMSKIINKFHFDRLRNLLEDPAVANCIVYGGSFDEKNLIIEPTILLDPPLDAEIMNEEIFGPLLPIITLDNIKESIEFINSKSKPLALYAFTKNENFKKQILTETSSGSVTFNDTMIQFACDDLPFGGVGQSGFGRYHGKYSFDAFSHEKAVLERSFYFELDPRHPPWNNYKLEFLRLAYNYDYVGLVLLLLGLKKPLSS >cds-PLY99294.1 pep primary_assembly:Lsat_Salinas_v7:3:93436737:93439773:-1 gene:gene-LSAT_3X70161 transcript:rna-gnl|WGS:NBSK|LSAT_3X70161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEANDGDGAVTDGLEVDGSDDVTINIWCSGGIKFVVQVSLDSSVESFKSVIAKSCDVPAEQQRLVYKGRLLKDDRTLRSYDLEAEQTVHLVRRLPPATVDLSEFTEYQQIQQPGIQNLIRINQSTLELLSTHPEALRNVLMNSPRFRELMKRKPAYARIFSDPVIFGAMADFLVIRELAARVPGGGGGRSGGVGTRRHPAGTDRSVPPVGLGGLAIPGLERLFRFPSDPIARNQIMQNPTISQLTQDFLSNPQNMNMILNPRFGEIMQTPVLNSQSQPTSPDTMQKKMALHQSPSYLLGEQQSTRGESQTSGDTGTQSQPLMNTFNGEKKCMLVNNVGPEEVYASELAQLQAMGFHDTDQNLEALTATKGNILAAVDRLRGGQ >cds-PLY73344.1 pep primary_assembly:Lsat_Salinas_v7:7:63064730:63072218:1 gene:gene-LSAT_7X46860 transcript:rna-gnl|WGS:NBSK|LSAT_7X46860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDKTGGSDSYPPTHLSSPPPSLTYAPPVRVQEVSMICFLPLEAAVLHLVAVEKAKYIYIAGFFLTVSPESIQLVVEHAAAANKVFTINPSTPFICEFSKDAQEKALPYVDYVFGNKTEARTFSKVHGWETDNVEEIAIG >cds-PLY66506.1 pep primary_assembly:Lsat_Salinas_v7:4:341900093:341900879:1 gene:gene-LSAT_4X168401 transcript:rna-gnl|WGS:NBSK|LSAT_4X168401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVSATIAAIEKVFPPQSSTCFIREQVTKHVLLVVSTTIAAIAAIEKVFHPPSSTCFVWFAIENFP >cds-PLY74229.1 pep primary_assembly:Lsat_Salinas_v7:1:78914153:78915678:-1 gene:gene-LSAT_1X66441 transcript:rna-gnl|WGS:NBSK|LSAT_1X66441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSFRWWFTAVLVLAVSVTVNACPPSDRAALLAFKAALHEPYLGIFDSWKGNDCCSKWYGVTCDPTTKRVADIALRGESEDPIFQKAKRTGYMTGSVSPELCKLERLSNVVIADWKGISGTIPSCITTLPFLRHLDLVGNQISGEIPSDIGKLSLLTVLNLADNKITGRIPRSIANLTSLMHLDLRNNLISGTIPINIGKLRMLSRALLSNNQISGQIPNTISYIYRLSDLDLSLNRISGPIPEALGKMKVLATLNLDGNMISGQLPTSLMNSGISILNLSKNGIEGSIPNAFGPRSYFMVMDLSYNNLKGPIPESIASASYVGHLDLSHNHLCGAIPAGSWFDHLEASSFTYNDCLCGKPLRAC >cds-PLY93904.1 pep primary_assembly:Lsat_Salinas_v7:7:181954331:181957470:-1 gene:gene-LSAT_7X107800 transcript:rna-gnl|WGS:NBSK|LSAT_7X107800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative beta-glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) UniProtKB/Swiss-Prot;Acc:Q9FIU7] MFLRSESTSRADFPDGFTFGTASSAYQFEGAVDEGNKGDSIWDTFSRLPGRILDFSNADQAVDQYHRFKTDIDLMKNLGMDAYRFSISWSRIFPNGTGKPNPQGIEYYNNLIDSLLEKGIQPYATLYHWDLPQTLEDKYEGWLNTQIIEDFKHYAFTCFEEFGDRVKHWITFNEPHGFAIQGYDYGIQAPGRCSFLFHLLCKKGKSSEEPYIVAHNILLSHATVYHGYHDHFKAKQGGVIGISLDIKWYEPFSESDEDQEAATRAMDFGLGWFLDPLLLGNYPLSMQTLVDERLPEITPEVSEFLIGTLDFVGINHYTSLYAKNDKTRIRKFILKDASTDAAVITPSSRNGKSIGEKGTSTWLHIVPWGLRKLAIYIKEKYGNPPVIITENGMDDPNKSSIDLQEALQDDKRINYHRDYLSNLSAAIREDGCDVRGYFVWSLLDNWEWNYGYTIRFGLYYVDYKNNLTRIPKKSVDWFKSVLKLEENITTSFAT >cds-PLY78133.1 pep primary_assembly:Lsat_Salinas_v7:2:61676730:61694793:-1 gene:gene-LSAT_2X27661 transcript:rna-gnl|WGS:NBSK|LSAT_2X27661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRLDVIETEVAASCCWDDPSSGGGRNGTSDSRGSCKQRLVAATAWASRYVGEIGGEAIRFVISDLFHNLRNEDRHTFLHEGAGHQVLSASVEIVFDNSDNRIPVDKEEVHLRRTIGTQKDEYFLDGKHITKPGNKRNQVIQVIQYVDERLKELDEEKAELKDYQQLDKQRKSLEYSIYDKQLNDAQRELDEVNKERHGISEELVRYSKSSVEKEEELEKLDKSFKDLTREVQGLTRDKQTIEKQQTVAVKKHTEVDLDVKELEEKIIGSTKAKDEAEKQLEVLHREIMESTEELNKIRPLYDKQVKEEEDITKEIMEKEKKLSILYHKQGGATQFCSKAARNKWLQNVIDKYNKVLSSDEEQEKRLNDEIGKLEGDMAAQDAYIQSHQREIISLETQISGYREGLNCYKSKRDELHDKRKSLWGTESKLIAEIELLKVELEKAKKNLAYATPGDIRRGISCVRRICKDYNIRGVFGSVIELLECNEDVFTAVEVTAGNSLFHMVVETDEIFNKVIRHLNTKEGGRVTFIPLNRVKAPHVTYPLETSGVKPLLKELKYHPKYDQAFSQVFARTLLCDNLKLATQTASTHGLDCITYGDKEINAIVSDKQKDDAKLRHEKSDVEQLKQEVANYKKNTRKISEVLEKKKKLLANVKTQIEQVKSNIEMKRDEMGTELADHLTPEEKDLLSQLNPEITELKERLVTSRRTRVEIETRKSELETNLSTNLAKRKQELEEVKESQETDTLNVEAEAKKQELQDAKMFVEQVKKQLKRVCESIDNRNKELMNMNKKKSDLKAHLEEYKKTRQNKDKRAEQLISRKNILRIKQEEYAKKIRGLGPLSSEVFEMHKWKSIKELYKMLHACNEQLKQFSHVNKKALDQYSNFIDQREELQKRQQELDAGDEKIKELISILDQRRDESIERTFKGVAKHFREVFSELVQGGHGSLIMMKNKNGDPADNDYDEDELPVADREGRIEKYVGVKVKVSFTGQRETQSMKQLSGGQKTVVALALIFAIQRCDPAPFYLFDEIDAALDPQYRKAVGSILSCT >cds-PLY65436.1 pep primary_assembly:Lsat_Salinas_v7:9:184839382:184843064:1 gene:gene-LSAT_9X113901 transcript:rna-gnl|WGS:NBSK|LSAT_9X113901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMILRVLPDIAIRSRHLSTQSLPLFRINRIFLKPLVTKSFSPVSASTIEPVVSNPPTTTKEQRRQSVPGSIHETAALEWVSRNGLCGELSENDVGRRVRLCGWVALHRGHGGLTFVNIRDHSGIVQVTTLPNEFPEAHSIVNDLRLEYVVAIEGVVRPRPSESVNKNMKTGMIEVTAEQVQVLNAVKAKLPFLVTSAEDAKDSIKEEIRLRYRCLDLRRPEMSSNIMLRHQVVKLITRYLEDDHGFVNIETPILSRSTPEGARDYLVPSRVQPGTFYALPQSPQLFKQMLMVSGFDKYYQIARCFRDEDLRADRQPEFTQLDIELAFTPLENMLSLNEDLIRKVFLDIKGVELPNPFPRLTYAEAMSRYGSDRPDTRFALELKDVSDIFSDSSFKVFSDVLSNGGIIKVLCVPSGAKSFSNTALKKGEILSEAIKSGAKGLPFLKITEDGKLEGVPALTSSLDPTKKDLFLKRCEAGPGDLILFAVGPTSSVNKTLDRLRMYVANLLGLVDHTKHSILWVTDFPMFEWNESEERLEALHHPFTAPNPEDMEDLASARALAYDMVYNGVEIGGGSLRIYRRDVQQKVFEIIGISPEQAEAKFGYLLEALDMGAPPHGGIAYGFDRLVMLLSGSSSIRDVIAFPKTTTAQCALTRSPSEVDPQQLKDLSYRMQ >cds-PLY87229.1 pep primary_assembly:Lsat_Salinas_v7:4:309075425:309078300:-1 gene:gene-LSAT_4X154860 transcript:rna-gnl|WGS:NBSK|LSAT_4X154860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQSSTGKYLTVDVHYSGLFAPNPLKYLDPEKITVHDVDFGGFTYKEFLLWLRNLTKGSCDNVYYCSRKETLGEGKVKVEFVQEVDVESDSDSKVQSELHSEVESYEVDFEDDNHRYKDVHQPEVEVDVQGQGQGVVGGVEAQGQGEGQEGEDQAAVVDQIEA >cds-PLY84244.1 pep primary_assembly:Lsat_Salinas_v7:7:78253370:78257740:-1 gene:gene-LSAT_7X56041 transcript:rna-gnl|WGS:NBSK|LSAT_7X56041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGGEGLSSCGHCKNLAPEGKKAAKNLQGKVKLGHVNYDDKKDVMEEKCGPAAICFVSFLPDILDSKAEGRNKYIEILLSVAEKFKRSPYRNGSGFLQSCMTLWKDPQGRAVVTWYGGVLVIEKGVKGGDILSAGMCVLYGGLLVTRQDLNVKSYYKKLLNLLKKIKLASNSKALKTTFGATQVIDMGTVFDFMNCHV >cds-PLY65487.1 pep primary_assembly:Lsat_Salinas_v7:8:32290677:32291888:1 gene:gene-LSAT_8X25460 transcript:rna-gnl|WGS:NBSK|LSAT_8X25460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTMIDLGRERGPIGVHIITSATAVDCSSREVGLRRSLRSLLDCIVPACCAAGFEPHSESANFSPEATSSSSPFSSDTDSPSSSSSSSSLTVTRSLSSSSTIVKGTFFGQRNGRVSFCIQDDNHHHHRRTPSRRMSTTATATTMSSFSQPLLLLEFAIPTSYLAKEMQHGLLRIALVEEENSHRQQPPIIFDVPVWSMYCNGRKVGYATRRKMTAADMAILTRMQSVSAGAGVLPPENDHGSDGFMYLRASFRRIIGSPNSESFHMKNPEHDERSFSNSQSGGQELSIFLLRSSS >cds-PLY90891.1 pep primary_assembly:Lsat_Salinas_v7:1:57354895:57355319:1 gene:gene-LSAT_1X48321 transcript:rna-gnl|WGS:NBSK|LSAT_1X48321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTDHSNSSNSCSDLHSIIIEQSFHDQSSSSMNIDHSNNNNSRYSFDSRYSFDSRYSFDFELYNTETFKLVPYDKLIHKKRFKGLLFDSLDIALDFYRTYGQESGFDVNSNSNQN >cds-PLY67966.1 pep primary_assembly:Lsat_Salinas_v7:2:12884634:12885836:-1 gene:gene-LSAT_2X5520 transcript:rna-gnl|WGS:NBSK|LSAT_2X5520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSILVDWSELQPELIESIAKKLKVHKDYVRFRAVCSNWRKSTSKTPKHLPCQLPWLMLPQTNNQNRQSHLRAFFSLSDNKTYRLSLPEASNIRRRCGSSHGWLVILEETPAVFLINPLTRVKHHLPPLSSFPNVTKFNFYDIGREYTLKTSEGDAYTCSLKEMRDSFIKKVVFSSSPSDSHSDYFALAILNQTGDLAYCKKGDSFWKFIDNAQSYSEDAVYHNGCFYAVSKYGTIAVCDVSQSLPNVSFIQTPLQVGGDMQYLVNLDDELLLVTRYLELGFDVDQHQLDIFYKTTEFRVYKLVLNGPKWESLSKLDEWALFVGENSSMAFRASDFQGCKGNQIYFTDDYSEWNYDGANGDHDLGVYDLEDGCVVGLPCYPRKFYNGRRWPPPIWVTPSLH >cds-PLY91843.1 pep primary_assembly:Lsat_Salinas_v7:6:32754834:32755975:-1 gene:gene-LSAT_6X26220 transcript:rna-gnl|WGS:NBSK|LSAT_6X26220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase isoenzyme 6 homolog [Source:Projected from Arabidopsis thaliana (AT5G60340) UniProtKB/Swiss-Prot;Acc:Q9FJI1] MRSKPNILVTGTPGTGKTTTSAALAEATSLRHINIGDLVKDKKLHDGWDDQLECYIVNEDLVCDELEEIMEGGGNIVDHHGCDFFPERWFDRVVVLQTENSILYDRLTKRGYSGAKLTNNIECEIFQVLLEEAKDSYKEEIVVAMKSDSVDDMNSNVATITEWVRTWSSTN >cds-PLY62020.1 pep primary_assembly:Lsat_Salinas_v7:5:130216588:130220580:-1 gene:gene-LSAT_5X55401 transcript:rna-gnl|WGS:NBSK|LSAT_5X55401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFASSLPRGHHHQASVSVSTFSFSNSRKQRSFKSLISQSILAFISPITRNTSIISAATSDSSSSGSATSFHGLRYIVGDNIDTDQIIPAEYLTLVPSKLDEYKKLGSYALIGLPASYEIRFVEPGEYQSKYSINRERAKSNWEKRNVISMFSPTWRSKLEDAFLWIGGWRMTLAIHFLYSKPGIQLEAKIGDLIRGLKTADLGDLSPNQMNRIDELQKKIIHQE >cds-PLY78800.1 pep primary_assembly:Lsat_Salinas_v7:3:168797656:168800063:1 gene:gene-LSAT_3X104720 transcript:rna-gnl|WGS:NBSK|LSAT_3X104720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRVETKSNSDNEEENTTKNNKIPRKGRMVISEDKYVEGVKLRLRGKTLDMQYEKETCQVLVNQLKGVSPTIQNSLSELDVHGDDVNQSNEKDEDASEAGNELVGVVSDENLLELLELAMSSNTAETVKRARELMELGVYPMVLMSQMAALIMDIICWNISSLESIRDTGAVASSRATEIGLDILAQTIQVSPSY >cds-PLY96468.1 pep primary_assembly:Lsat_Salinas_v7:2:124988253:124993534:-1 gene:gene-LSAT_2X58401 transcript:rna-gnl|WGS:NBSK|LSAT_2X58401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSVNRPTDLELAQVAAILGSDNSQFETLISHLMSAANDQRSQAETLFNICKQNHPDTLVLKLSQLLHSSPHAEARAMSAVLLRRILTIASDESQSQTLYPSLSPTTQLTLKSTLLESVTRESSKSISKKLCDTVSELASLVLPENGWPELLPFMFQCVTSGNPRHRESALLIFAQLAQYIGDTLVPYLDTLHAVFLGCLGTGTDPDVRIAALSASINFIQALEEASDRDKLHDLLPLMMQTLTEALNSGEESTAQEAIELLIELAGTEPRFLRKQIAEVVGAMLQIAEAETLEEGTKHLAVEFVITLAEARERAPGMIRKLPQFIKRLFEILMKMLLDVEDDPAWHSAEVEHEDAGESSNYSVGQECLDRLSMSLGGNTIVPVVSEILPAYLAAPEWQKHHAALIALAQIAEGCSKVMTKNLEQVVSMVLNSFQNPHPRVRWAAINAIGQLSTDLGPDLQNQYHHLVLPALASAMDDFHNPRVQAHAASAVLNFSENCTSELLQPYLDGIVGKLLVLLQNPKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKAILMNATDKTNRMLRAKSMECISLVGMAVGKDKFKDDAKQVMDVLMSLQGSQLETDDPTISYMLQAWARLCKCLGQDFLPYMNVVMPPLLHSAQLKPDVTITSADDSDDDIDESDDESIETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELIRSAKLAVEKGQSQGRNESYVKQLSDYIIPALVEALHKEPETEICSSMIDSIKECVQICGPLLDENQVRSIVEEIKQVITASSARRNERGERVKAEDFDAEEGEMLKEENEQEEELFDQVGDCLGTLLKTFKAPFLPLFDELLPYLMPFWGKDRTSEEKRIAICIFDDVAEHCGDAALKYYETFLPLVLEACNDTSTDVRQAAVYGVGICAEFGGAAFRPFVGEALTRLDGVIRRPDALHADNVMAYDNAVSALGKICQFHRDSINAAQIVPAWLNCLPLKGDLIEAKVVHNQLCSMVERSDGELLGLNHQYLPKIVSVFADVLFAGKDLASEETVGRMINLLRTLPPSTLASTFSSLQPQQQLALQSVLSS >cds-PLY85549.1 pep primary_assembly:Lsat_Salinas_v7:2:196218812:196220799:-1 gene:gene-LSAT_2X117001 transcript:rna-gnl|WGS:NBSK|LSAT_2X117001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWPLHILLNKLLKVEGHDDHEEVHNMSFAERYDSKPSLKNRNVNKTVLGHSHFVEVPHIKQLRSWDCGLACVLMVLRTLGLNHFDIQDLEDLCCTTSIWTVDLAYLLQKLSIRFSYFTVTLGANPDFSVETFYKEQLATDIKRVDMLFQRSVEEGIKIEFRSIKGEEIIFLILSGKYVVIALVDQCILSKSWKEDVHTPQFYNGTAAYTGHYVVICGYDAHNDEFEIRDPASSRKSDRISSNCLEKARKSYGTDEDILLVMNYV >cds-PLY65573.1 pep primary_assembly:Lsat_Salinas_v7:2:79765702:79767452:-1 gene:gene-LSAT_2X35880 transcript:rna-gnl|WGS:NBSK|LSAT_2X35880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDDDFTDEEIEVDELERRMWRDKMKLKKLKESCNTKENMDTAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVSGASDNLREWWKDKVRFDRNGPAAAAKFQADNSIAGENEKTSSIGSTPHSLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPTGNEDWWPELGLQKDQPGPPPYKKPHDLKKAWKVGVLTAVIKHMFPDVDKIRKLVRQSKCLQDKMTAKESATWLAVVNQEETLARELYPNQCLPEPPVMSSGWTGSFDAGEYDVETQPGPESDFEILDIKPGNLGILNYQDRKRKSPPTPSEAMDHKIYTCDFPKCPYNQPFHGFLDRISKDNHGLNCPYRNNDQSPFVGVSRVQNFHLNEIKQPFDVSILGVPEDGQKMINDLMSFYDDNIQENNIKTDSRNIAGRNDSFFGQSGIRFQGDNFPHHGFEANSNLQQGHHFDQCNVQQGGFKMVFGAPFNVDYTLPKQDGSIWY >cds-PLY84510.1 pep primary_assembly:Lsat_Salinas_v7:1:29667394:29670691:-1 gene:gene-LSAT_1X25241 transcript:rna-gnl|WGS:NBSK|LSAT_1X25241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLCAVQIKTAARCPYISKTNHLCDKPIASWKSRKMASFDVGKVCEIRVPRGTVSSHQSFVVRAMGKKNSQNNSDSSSSAEGEGLKGNNPPEPESNKKSQYKATDWREFRAVLYLNQQSMLNKQDEGVVHESKSLGSKWAHPLSAPETGCVLVATEKLDGVQTFERSVVFLLGSGTRHPQEGPFGVIINRPLHKKIKNMKPTNLHLATTFSDCLLHFGGPLEASIFLLRSGPKKTVLDFEEVIPNLSFGSRNSLDEASGLVKSGVLKPQDFRFFVGYAGWQIDQLKEEIDAGLWHVAACSSNLIFGGTQSSLSSSSDGLWEEILQLMGGSYSELSRKPKQDF >cds-PLY95271.1 pep primary_assembly:Lsat_Salinas_v7:8:137360230:137363325:-1 gene:gene-LSAT_8X94540 transcript:rna-gnl|WGS:NBSK|LSAT_8X94540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGSTSITGTSRGWRVVGALEGCGVDRWQWLVVVEVFWKEKTDAESTIVSPARCEDMAVTSQEHNNLTDDYPLLIDHTENHENHHFIDVERGSSNASSSGSSHDSTPPPSNGSNPPGRRGERWNPFNTLLWISIELLFTLGQIIAAIVVLSISQHENPQNPLFAWVIGYAAGCAACLPLLYWRYLHRNQASGQRSAQLGQPHSERNTPPEPNSYITISFAPSSEEQTRQTTTTTTSSDAWNGLNVVGSNVRVNMLVDHFKMAVDCFFAVWFVVGNVWIFGDQSSSIDAPNLYRLSIVFLAISCIGYAMPFILCGMICCCFPCIVSILGIREDMNQIRGASQDSINALPTHKFKIKRAQKNDSKEYDSGVDEGGILAGGTENERLISGEDAVCCICLAKYRDDDLLRELPCTHFFHTECVDKWLKINASCPLCKFEICTQQP >cds-PLY96773.1 pep primary_assembly:Lsat_Salinas_v7:2:170860201:170861043:-1 gene:gene-LSAT_2X93661 transcript:rna-gnl|WGS:NBSK|LSAT_2X93661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSNQAAFSFLTNIVRATFGLGTAVTVVNSSLYTVDGGQRAVLFDRFRGVIDDTAGEGTHFLIPWLQTPYIFDIRTRPHTFSSISGTKDLQMVNLTLRVLSRPDVNRLPAIFKTLGLEYDEKVLPSTGNEVLKAVVAQFNADQLLTERPRVSALVRDTLIRRAKDFNIVLDDVAITHLSYGAEFSKAVEQKQVAQQEAERSKFVVAKAEQERRAAIIRAEGESESAKLISDATAAAGMGLIELRRIEASREISSTLARSNSIMYLPNSGSQMLLGLNPSR >cds-PLY75351.1 pep primary_assembly:Lsat_Salinas_v7:6:178539878:178545428:1 gene:gene-LSAT_6X108420 transcript:rna-gnl|WGS:NBSK|LSAT_6X108420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIEGFTLLQFRFLVPPQQGQFRPMGQGMPPGQSQPPQFSQPHQQMSPWPRPSQPANATSSFIAPPMPYMQHPNMTCTSSSQNSATTNTHLPGLTGPGAPLSSSFTFITSSFGHPQNAMNASSNQQAMNVPNVFQEASSDWQEFIAADGRRYFYNKRTKMSSWEKPLELMTPVEKADSSTFWKEFTTAEGKRYYYNKETKQSKWTIPDELKMAREQAEKEASRSSQSLSSGSSVNDSCLTIPVVAVKPHSPVSPTVNDAPSVLVNTSSSPKTNLEITSSNDVANALDEASMQDIKEAKKDISDVGKVNVTLLEEKVMDAEPLLYANKKEAKLAFRSLLESANLEADWSWEQAMRVIINDKRYGALKTLGERKQAFNEYLVEKKKLEAEEKRLKLKKAKDEFMQMLEESKELTSSMRWSKAIALFEDDKRYKAIERPSEREDLFQDYLVDLKKKERAKLQEEKRQNRLEYRHFLETCGFIKVDTQWRKVKDWLEDDERCSRLEKIDRLEIFQEYIRELEKEDDEQRKLKKEEIKKMERKNRDEFSKMMEEHVVSGMLTVNTQWVDYFQKVKESEAYHAVASNTSGATAKDLFEDVAEELEKKYHDDKTRVKDALKIKKVSVAATWTFKDFKDAIQDDIISPPLSDINLQLVFEDLLKRAKEKEKKEHKRRRNLAKDFTQLLDNIKEINASSTWEDCKQLFEESNVYRSIGDEKFCQVAFEEYVARLVEKGTDNKHKQEDEKMKREKKEKGKDRERKHGQSPIDHRKSRKHVSHESDDESKHKRHKDDGEHVHDHEEELEDGEIC >cds-PLY79430.1 pep primary_assembly:Lsat_Salinas_v7:3:78929671:78930927:-1 gene:gene-LSAT_3X60480 transcript:rna-gnl|WGS:NBSK|LSAT_3X60480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHQSKIMLSSNLLLFSLAILIITLLRIPNIAYASLEETNALLEWKSSLQIPRNSLLSSWIPLPMNSSASVQCTSRFGVVCNADWSIHRLNLSSSGFKGTLHQFAFSFLRNLTHFDLSVNNFFGPIPPEIRLLTKLVYLDLSLNKFSGIIPPEIGNLHQLTILYLYSNNISGSIPIELGNLKSLTELEVSNNQLNGSIPSSLGNLDNVQRLILKDNKFSGPIPTELGNLKSLTHLGVSNNQLSGSIPSSLGALTSLVALYMHYNQLSGPIPIQIVNLKSLSDLRMKNNQISGFIPPEFGNSTQLQRLYLSSNHLVGEIPKEFGKMKSMLYLYLSDNQLSGIIPLELQFYEFLEKLDLSGNRLNGSIPKSIGHWAHIHYLNLSNNQLSEKIPSEIGKLGQLTELDLSQNLLTEEIPSEV >cds-PLY64359.1 pep primary_assembly:Lsat_Salinas_v7:4:26381295:26383027:-1 gene:gene-LSAT_4X18921 transcript:rna-gnl|WGS:NBSK|LSAT_4X18921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGFPLLCILFTLSLPSILSRSHLPTSTGETSELDVSASIQKTLDVLSFTPRPSQSLMASAFDSSSSSSLLSLQLHSRVSVHKSSHQDYESVMLARLARDSARVESLQTRVDLAVNGVRKADLKPVDTELAAEDLEVPVISGTSQGSGEYFCRVGIGRPASQVYMVLDTGSDVNWVQCAPCGDCYQQADPIFEPASSSSYSPLTCDAHQCKSLDVSECRNGTCLYEVSYGDGSYTVGDFVTETVTLEGSTAVQNIAIGCGHNNEGLFVGAAGLIGLGGGALSFPSQINATSYSYCLVDRDSDSASTLDFNSPTPHDAITAPLLRSTKLDTFYYVGLRGLSVAGQLLHIPSSTFKLTTDGNAGVIVDSGTAVTRLQTEAYNALRDAFVKGTKGLPSANGVALFDTCYDLSEKKSVEVPTVAFHFSNGKKLDLPAKNYLIPVDSSGTFCFAFAPTSSSLSIIGNVQQQGTRVSYDLENSLIGFSPNKC >cds-PLY89821.1 pep primary_assembly:Lsat_Salinas_v7:4:323395922:323396497:1 gene:gene-LSAT_4X161240 transcript:rna-gnl|WGS:NBSK|LSAT_4X161240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYDPLWNKVATNGEVPDSTHVSNDSPTFITVEVSKTDDGDDLSGEIIFEDYNTTVGFIFVFKTFNILQKPSSSKPCFDFGLSSSSEESKEENHQGNTHSSAPLKATLEGDHGNKTQDDPIDDSKPKESNYDEYEDEKKSRSSYLGRGSSQENIMDPFALLELRDNIDSTKSKVDKVDQKVAGHARKLIKKS >cds-PLY72367.1 pep primary_assembly:Lsat_Salinas_v7:5:5906396:5908622:1 gene:gene-LSAT_5X3101 transcript:rna-gnl|WGS:NBSK|LSAT_5X3101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEVITAADASSSLRSYSSESSVLPSNLPLLSAFLSLFIAQFLKVFTHWFKERKWDTRRMLSSGGMPSSHSATVTALAACIGLQEGTGSSSFAIAVVLSCVVMYDATGVRLHAGRQAELLNQIVCELPPEHPLSNVRPLRDSLGHTPLQVAAGAVLGIVMAFIMKISA >cds-PLY87408.1 pep primary_assembly:Lsat_Salinas_v7:4:12412367:12412822:-1 gene:gene-LSAT_4X8240 transcript:rna-gnl|WGS:NBSK|LSAT_4X8240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSINKKRSPCEKLMQIMRSFHKLSSFPLTGTDVRSTSSLSPPHSHHPMVKQPKNPTTKPPNSHHVDGLDGGGSSSFIKIQGMDHHDIGIADDVSRYSGMQTNEIKASVTNLKASDYIRRFHERNRHESVSVVLPPPPPPPPLPRQGRFLVK >cds-PLY84158.1 pep primary_assembly:Lsat_Salinas_v7:6:191694625:191700518:-1 gene:gene-LSAT_6X117160 transcript:rna-gnl|WGS:NBSK|LSAT_6X117160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 40 [Source:Projected from Arabidopsis thaliana (AT3G06480) UniProtKB/Swiss-Prot;Acc:Q9SQV1] MAAEGASDALGPRYATPDPSLPEPWKGLVDGSSGVLYYWNPETNITQYEKPTALPPPVPPASKPASISTAQPNGVPGQGQQPHQLTQNTQQFTPQPDQQGGPAMQQMGHHFRPQMQPQQGNNMPQSHSQGYPFPHQQMQYGGYQPNMPPQQMQQYPHQQDHRPAFPQRDDGNSQTGAIAPPGQATQYGGSQFSMQQQPPSFGQHGPNFQNQMGQPLLHGQQPNAPPVGMKMGFEDNPPGRGGGNDPYFNAKNDVPNQPKLAAIPMARMQPEMNPASHNMYGQAQAMGGPPYMNNPMMRPNPSVMASPDAMSLSSVDVYRQKHDVTATGDNVPAPFMSFESAGLPPELLREIRAAGFASPTPIQAQTWPIALQNRDIVAIAKTGSGKTLGYLIPAFMLLKRCHNNPQNGPTVVVLSPTRELATQIQDEAIKFGRSIRVSCTCLYGGAPKGPQLKELERGADIVVATPGRLNDILEMRKVDFRQVALLVLDEADRMLDMGFEPQIRKIVNEIPPRRQTLMYTATWPKEVRKIAGDLLVNPVQVNIGNADELAANKSITQYVEVVPHMEKQRRVEQILRSEERGSKIIIFCSTKKLCDQLTRSIGRNFGAAAIHGDKSQQERDWVLNQFRSGKSPILVATDVAARGLDIKDVRVVINYDFPNGVEDYVHRIGRTGRAGAKGVAYTFFSEQDWKHAPDLIKLLEGANQPVPNEVRDIATRGAPAFGRDRAAGGGGRWDSGGGGGRGGMRDGGGFRGGRVDSRDGGFGGRGGGGDGRFGGFSGRGFGGRGGQRDDGFGGRGGFGGRGGGRDGNFGGRGGGGGRGIGFGGADGGWDRNDRFGGRGGGGRGSGSVSVRGRFDNRRDGDRSSRGRSYSRSPDRVRTWNSRSRSRSRSYSRSRSYSRSRSRSRSWSRSRSRSRSRSPTPVRRERRRESKFDQKETDLPPGVPPLVPSGSQQQGQEVSGAGAGAGAEAPQIPSQVDPGLDQIGQG >cds-PLY92546.1 pep primary_assembly:Lsat_Salinas_v7:5:78984169:78985460:-1 gene:gene-LSAT_5X36341 transcript:rna-gnl|WGS:NBSK|LSAT_5X36341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSKWEEDLLKNADAVIDKSPMEDLYAGVFFNQNRKKYWIDKATFGNCFMVFARDFTVSWGSDKRYWDWPSIKETSEVFIDVAELLMVCWLAVESKFDTANLTAGMNYEVVFVMMIKEKSRGWNAPVTFTLILPNGNKQEHEESLLKKPRSQWFEIQVGEFMVEPKNDGIIEFSLTKSKENWKTGLVFKGVVIRPKK >cds-PLY68820.1 pep primary_assembly:Lsat_Salinas_v7:3:62702503:62703468:-1 gene:gene-LSAT_3X49620 transcript:rna-gnl|WGS:NBSK|LSAT_3X49620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSKFLLLLIISIFLISLSQVSSSSSSEAGIEAQAHQPQVVKGPNRRFLGFVDCGSLCAVRCGKHSRPNVCTRACGTCCQRCNCVPPGTHGNREQCGSCYTDMLTHGNRPKCP >cds-PLY97343.1 pep primary_assembly:Lsat_Salinas_v7:4:285806247:285806696:1 gene:gene-LSAT_4X145420 transcript:rna-gnl|WGS:NBSK|LSAT_4X145420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTTGVSNPIRSPSFRLSVSVSAQQSAFAVGVLSDLYAFHRSTGNSLCPYHALRPIIPDNACILCITAAAGTELADAYSPDTVIASSPGKEVHDPWAFYLHAALLRQAFXARDRLEPLFYQ >cds-PLY72031.1 pep primary_assembly:Lsat_Salinas_v7:8:114947607:114949384:1 gene:gene-LSAT_8X79361 transcript:rna-gnl|WGS:NBSK|LSAT_8X79361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDIYSYFILLLISFISILFIRSYYASSLCKSRLLPSPLALPIIGHLHLLAPIPHQALHKLSTRYGPVFRFLLGSVPCVAVSSPEMAKEFLRTYEASFLDRPRNTTTVYLTYGSKDFMFAPYGSYWKLMKKLIMSELLNGRTLDLLLPVRQDEINRFMNMLSQNAKLGMPVDLKGELFKLTNNVISRMIVSERCSEDENEASNMMKLVTEITEISGRFNLADYIWFCKNLDLQGFGKRLKELHPLYDKLVERIIREHEEERRKKKDKDEVKDLLDILLNVSEDDSMELKVSRENIKAFILNLFVAGSDSSALTIEWGLAELINHPRVMKKATEEIDRVVGKNRILEESDIPNLPYLQAIVKETLRLHPTAPIIPRTSTEDCIVGGYHIPSKTTVFVNVWALGRDPENWKDPLEFNPERFEGSQVDVRGQHFQMLPFGSGRRMCPGMSLAMQIAQVALGAMLQCFEWKAGQHGDTARVDMKEGPGITLPRANPLVCIPVPRLHSLTVADLKFG >cds-PLY85095.1 pep primary_assembly:Lsat_Salinas_v7:7:6037448:6039122:1 gene:gene-LSAT_7X5140 transcript:rna-gnl|WGS:NBSK|LSAT_7X5140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSNPFIRNPILQILQSKCKTLDTYQQAHAQIITTGLILHTYPLTQLLSAILSSTLPTSLSYALTIFDRVPNPTTYIFNTLISSILTHHNHHGQRTHLAFSLYNQILCIRAIKPNSYSYPSLFKACGSNPIWVKHGRALHTHVLKFLDPTEYDQFVQASLVSFYSKCGKFGVCRYLFDQISEPDLGLWNSILSAYNSSADDTGSSMEVLCLFSDMQRGSKIKPNEISFVALINACADLGAFSEGIWAHLYMVKNNLKLNRFVVTSLIDLFVNCGFLEFACQVFDELPKKDIFCYNAMIRGFATHGYGHEAIDLFNKLLLEGLAPDDVTMVLIISACSHVGLVDEGCELFYSMRERYGVEPKLEHYGCLVDLLGRAGRVNEALEKIRAMPMEPNAVLWRSLLSATRNHGNLEIGEVALEHLIKLEPETSGNYVLLSNVYADMNKWEGVKRVRRLMKDNGIEKAQGMSVVDV >cds-PLY93349.1 pep primary_assembly:Lsat_Salinas_v7:9:57554236:57558102:1 gene:gene-LSAT_9X50520 transcript:rna-gnl|WGS:NBSK|LSAT_9X50520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNEKMPINAGSEQPPSPMAAQNDSSSVAMNGGGNSFGQAKSPIFTTKAFQKLEDDIKLVGKNILYHEENIKYLNKVRGSFAHKIADMEVTLAKNQSSNNDMTKCNVLSQKRSLEETVESIMQHEKSAASVLCHLTRNVSKMSSARNVIGVLATLGHVDNINLSRLLSEYLGLDTMLAIVCKSYQAVRCLETYDQEGSIKQTTGLYRLGACHGKSIDGRFNVICLQGLIPYVGEFMPDDPQRRLVILEPKLPNGESPAGFLGFAVNMIYIDNENLAFVTDNGYGLRETLFYSLFSRLQVYRTRNDMLQALPLISHGAISLDGGIIKSRSVLILGTPKEIGVRFGIWSGDSFPSKDYTKIEKEIKELKWKSDKINYDIRREQDLLANEKSKFEVKKKEFLDFISQRLIDPMQHPVQATHEGATTPKHGLSKTIVEID >cds-PLY79568.1 pep primary_assembly:Lsat_Salinas_v7:8:118222713:118226390:-1 gene:gene-LSAT_8X82100 transcript:rna-gnl|WGS:NBSK|LSAT_8X82100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRYMDGELSLNPKSTANPFDFIKNPDKLASMVHDSSIPQQSSLNPMSTANPSDFMLNPDKLASIVHNYDIETLQTLGGVDAIAEIVDVWMHEGVESSDLSSRQDIYGINKYSKKPSKSFLMFVWEAIHDPTLIILIVCSVVLIGMGLVTNGWLDGLGILLIILLIVTITAVSDYYIQSLQFKDDDSKKKKKISFQVTRDGCTQKVTDYELVVGDVVHLFIGDQVPADGIFISGFNFLIDKSSLTGETDPVHISEKKPFLFAGTIVQDGSATMLVTAVGVKTEWGKLMETLNKGEDKITRVLQIKVKDVSTIIEKIGWVFSILTFFVLTVRFLVGKAMRNEFSSWSSNDAMSMLGHFTTAVTIIVATVTKGIPLALTLTLAFAMNKLRNVNARVTNPSACEAMCFCTCICTDMTGTLTTNRMFVDKIFVSGETKDARDSGGQVLSLGLSDSVSSVLLQGIFKCTGSEVVDDESGKTSILGTPTETAILQYGLDLGGDFNAIDSDIRMLKINSFNSTQKKMSVIVSLPGVRTRAFCKGAPEVVLGLCDKMIDVGGEIVPMSEENINFITDVVDEFAADGLRTLCLAYLDVEGSFGCNDDMPEGGYTLIAVFGIKDPLRPGVKEAVETCLAAGISIHMVTGDDIRRAEVIAKQCGILTDEGLAIEGSDFPIIPRLVQVMGSSSPTDKHEFVKRLKDMSEVVAVAGEGTIRAPALQESDIGFAMGIAGTKVAKGQADVILMDDDFATIVKVAKWGRAVYVNFQKFVQFQLTVNMVALMINLVSACITGTAPLTAVQLLWVNLIMGTLSALALATGPPNDGLMKRPPVKLTESFITKMMWRNIIGQSIYQMAVLFVLNFSKKPILNLHGPNATAILXGSQS >cds-PLY83108.1 pep primary_assembly:Lsat_Salinas_v7:2:8583698:8587037:-1 gene:gene-LSAT_2X3900 transcript:rna-gnl|WGS:NBSK|LSAT_2X3900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGTNENLPDVIRPTRPQGGGGGGGGLKAGCGKPVAPLGQNRRALSDINKNIIRAPPAYPYAANGLPGTISAQTTTKPSLPLPTGKPSFPLPEGKKPKVQIIPDEIDTEDLNIIDVEDDFNAPMFVQHTEAMLDEIDKMDEDVYEEPLLDIDNADKGNPLAVVEYIDEIFAHYRKQEVLTCVSPTYMSQQHDITDRMRGILIDWLVEVHYKFELMEETLYLTVNLIDRFLERQTVSRKKLQLVGVTAMLLACKYEEISVPVVEDFIVISDKAYTRSEVLDMEKVMVNTLQFNLSVPTPYVFTKRFLKAAQSQKELEALAFYLMDLCLVEYEMVKFPPSLLAAAAVFTAECTLNGSKKWTKTTQLHSHYSQHQLLECSKMMVSLHQKSGTGKLVSVFKKYGTSKYGHVARTQSPAHFMLEPRFWD >cds-PLY73439.1 pep primary_assembly:Lsat_Salinas_v7:4:179267111:179268436:1 gene:gene-LSAT_4X106220 transcript:rna-gnl|WGS:NBSK|LSAT_4X106220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQGEEVNTRSPPEVEIQERGEIYFFYRPKVNKEEAHSSDDVQRMYIVLRPESGETSTQVKQKEEEEEEEDDDPPPTQGGHGSQEVNIEKNSLLRFIVMGQKKLPDPTKKSRPLWGFVELVTTKIEDVKDALKGEEYDTAKSGHRHKAAARPVGEGIYRILRHHSGKKMHTHLIYKLEFPSEEEKNEPQEALNIEKEASFLIQIKNPTQKGQFRGLNKKKRAVFPAHLQGQFGKLRYHAADPPDFLNYEGCEFLLISASDDIEEELGLELKSEVEETQDASCSDLLNTFGETSSTNALLKGIWV >cds-PLY82851.1 pep primary_assembly:Lsat_Salinas_v7:1:86887043:86887732:-1 gene:gene-LSAT_1X71801 transcript:rna-gnl|WGS:NBSK|LSAT_1X71801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILAITMAALYGVAVAQSSGCNNELEGMSPCLNYISGDASTPSSGCCSQLAIVVQTQPQCLCQVLNGGGSSFGIKINETKALDLPKACNVQTPSTSKCNGNASSSTGSSGSSDVSSTRVATIPTVFLLLVAEYAMVF >cds-PLY84517.1 pep primary_assembly:Lsat_Salinas_v7:1:29256528:29260923:-1 gene:gene-LSAT_1X24721 transcript:rna-gnl|WGS:NBSK|LSAT_1X24721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKALAMDDNNDYEVVIIGAGICGLATAVSLQKKGIKSVVMEASESLRNITGAAITIHQNGWRALDHLGLADTLRRTTIPIQRKGETRCLRRKDLIDTLYAALPPATVKFGCKLDSIKFDSKTTKSVLQFNDGSLIIAKVVIGCEGSTSIVANFLNLKPTKMFPFCEVRGLSNYPNGHSFDYEFTRFRKNNNLVGRTPIDDKLVYWFCTQPLIPGDERRWEDPELIRRSTLALLNDYPQEIKEMIEIADANSLSFSHLRYRSPLELLTGSFCKGKVTIAGDAMHVMGPFLGQGGSSGLEDAVVIASNLDQKGLDHVEDAFDLYVKKRRMRVVGLSVQTYLTAVQSLLTFNHSRNNSTFTVPEVIIATSHIPLLRNSFQDLPLISLLATRMCVKEPVVKLHRPSDCLNLPPPSVAACGHHQPPPEYYLLL >cds-PLY96704.1 pep primary_assembly:Lsat_Salinas_v7:6:77879595:77880950:-1 gene:gene-LSAT_6X55440 transcript:rna-gnl|WGS:NBSK|LSAT_6X55440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSSPKHRDKDSSKDYEHRSSKHTDDHHHSKNDKHRDANGDDRREPEKSDDGEDRMKRERSYNRELREKSVDNRWRHNHKRKDRGVESDDDDKRTDGSSTDDIKNVGISLDALSKAKATLLKRKELAEKMKKIPMLNKGADSARDGLKPPSSSRIPPPPPQSATAGGLPQLAELTAPLISMFPGQLAPKVTVQSEPSKALVLRLDAFNRDVDENGNVVNKQKVNNLNTIKVDINKQKKDAFQDLEVDPHKNPHFDWRMGIDKTKLLRPKRTSFQFVEEGKWAKEAEIIKLKSKFGELQSRELKAKQAHLIPDVEWWDVSVLDILKNEKITLYMEHPRPIEPPFKEAPPPPQPLKLTKKERKKLRTQRRLAIQKTRQEMIQQGLLEPPKPKIKMSNLMKVLGSEATQHPTRLEMEIRSAAAEREQAHIDRNIARKLTPAERRNKKKRKTFQ >cds-PLY71378.1 pep primary_assembly:Lsat_Salinas_v7:5:104175788:104178030:1 gene:gene-LSAT_5X44981 transcript:rna-gnl|WGS:NBSK|LSAT_5X44981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFLNLPPPSLHPLLNHNHTSHSSLPFRPNATPIHRSLTLPFIFRHKFISPKRVVYSILHTDDGVATCSAEPDNPNNDVKIVAIVGEGAVSPLKSASWLDVMLHTANRLKWVDEDYEMRVFTDNISDSNTSVQNLERALTSADLLLIVSVTKPDPVKWIQPYIQKIQNVFCFDSSPALQNKLGGSFVPKEKTSKIFNRISNKKTNETIEVAQTISEAWIRNNSDDIRFCLLVIINSYIKPVAILKNLRAQGFSTLNCMVKNCGRQVLNCLLDPDCRKALECLNQCSPVDQVCNYQCIASYESPILEEFSLCVLQKHNCLDLDAKIPEKPLVPPMVNFRGEILSHEMAEDLFVGWLGVGDFKWSWRVVSGQNPAYDQFPCQYQLFYRGKARGSFWYEPVFQVKTLDGKLVWRRRKYRVKRGKVVGTFYFSVLDNGVVSNEYWTIVDVAEDFSWGLFHYSGAARVAGQCYTGAVLVSPNGEYPNEREKDRLLLALDRCCIKEWELFDVNNFSCKDPPLGVPEGSHLHATLKVNHQN >cds-PLY97373.1 pep primary_assembly:Lsat_Salinas_v7:3:245133981:245135049:-1 gene:gene-LSAT_3X135681 transcript:rna-gnl|WGS:NBSK|LSAT_3X135681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEESSENKSNISPDSSSSVADFDVFEKVKPFVGDSVVEWVEYAIQQAVDMIVVIDGRRIMGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVMFAHYPSHKIYIYIYIXXXXXXXXLANIYLFPFFHCNRFFLS >cds-PLY99191.1 pep primary_assembly:Lsat_Salinas_v7:8:90170176:90171775:1 gene:gene-LSAT_8X59760 transcript:rna-gnl|WGS:NBSK|LSAT_8X59760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISDLALAKDDYMIKGAKIECNVDRPFAFLQGKTLEEYGDYYIQKPTIGLNNGAIKFVDGPHKLYFQYSTKVIRCKDFCGPRNSFAFIDFKQLHSNSVQPNVSFDIIGNVFQCFPLEPPKDKQEQRITLKMEDLEGHEVFVTLWGRYAEEIVAYVSKHHGHFVMIIQLAKFKNIRHRPYVNNTYLATKLFIEDDIEEISAFKKSLQARKDSSCSSVSRASGSSIMYSLHDDFLQKNAFYKISAIHELNEIAMAKMLLLNQGI >cds-PLY90055.1 pep primary_assembly:Lsat_Salinas_v7:6:17089188:17090863:-1 gene:gene-LSAT_6X7640 transcript:rna-gnl|WGS:NBSK|LSAT_6X7640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METGEDSEIPIENINNHDVNLVVLIAVGNRSNHGVGLEAHIGRMSDGMGIKGSEVWKSSTPNTSSGEAKLSKKMESNSNFISHSLKNTYTNLTSLCLPCSSSSDHHLRYGEAVKQETTSLGREQIDVIGAFPQTVGVQLKKIIMKSLLLLIIEIQIGVELNTHKCIIIENEKIHIKLII >cds-PLY96236.1 pep primary_assembly:Lsat_Salinas_v7:7:182680165:182684391:1 gene:gene-LSAT_7X108221 transcript:rna-gnl|WGS:NBSK|LSAT_7X108221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDYVNNPANMHDFSLMNTKAFANLKGSGGNIWEVFEVLDDARRAIFRNTVFGYFIDVPRLQGDALLFHKMFLHQIRPDPVLSPDGIKRLYFRVGNTKMVYGPEEFCLITGFNFGEYPKNIGRKGSEKLISSKKRCLLRERLFPDHTNSSVKIGDLKSLILNQTFLALDDLDAVRVCLIYILCEGFLGKEVNDRVPQDWFYLAENLDLWNSFAWGSYLWDFTYVDLEDTWNKIHHYLSLPERGQTLKYSVSGFTAPIRIWIYEMIPAVRACGFALRKNKDLPRMKRWSGTKKLKWVDVNKIWSKMQEGLPPRQNMLPGDGEMTSFYYMSFQEYVYGEGKAVPSPVRDHFRRQDESSSSMSSSGRSHGRGRGSGKHKLDELLKRVHALEQHVFMNQQKPTEVFFEEVNNEQFWNDIIFEEPTVSQRNYDEQVVQDEVMNKNNTTQNVFGDTQDDKVLEESTQYAGNKFDDDVCDVNDYSEVKEEWEERNDNAGNKFDDDVPDEDELIIMGNVDYFHDDDDDKEVTPDKPRSRKPSQFLCTPYTELHTTPKQKRRTKKKVGMKSTSPVPPPVFGVAHDFSMLRLQPYVAGGEDVIQNYVLHSYDVQHRLFNFVLDRDFWSSLFGHTHDGWLESAHITIWYRLLMERRFESDRHTIMPPNFFVSHALEEGQDWRAFMAGIATYPNFMVAWWDVDTVLLPIHSSPNHWLFGELRLASMEVHIYDSLGRGAYEKFQSEGIFSKFERRVANYLDKIKYWARRNIPRIPLNMQFIYEENVPQQSSHLGDCGVFLCMFMEQLVSGQPIRVLIDPKNAALEFRLRMAKIIWGSSLAPL >cds-PLY79416.1 pep primary_assembly:Lsat_Salinas_v7:3:79001670:79004119:-1 gene:gene-LSAT_3X60380 transcript:rna-gnl|WGS:NBSK|LSAT_3X60380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVAVRAAVSAFRREEESQVHHSSKRRRERSDQDRVNYDDSMFMETPRGKGLRLYIGNLPSHMDEVLVQTTKSRRIRMVERKTRKRSVDKPKTKHLEARHTMDSKEKKKRSVTLIRDHSKEYLSNLTVEFDQFDRAIGPNRFKFTSYHGVTTRKMISILIDSWDLVDQCDKDQLWLNIKNYWHIRDDNHKAQVLRDCNTQWKAYKSALLKLWEKGVNPVKEYPYLDKAMWKKFIVLKSTEEFEDQKIQRLFQSHTYIIVLVQSTIVRLGVWLLRYELDA >cds-PLY94361.1 pep primary_assembly:Lsat_Salinas_v7:6:8606782:8608834:1 gene:gene-LSAT_6X5421 transcript:rna-gnl|WGS:NBSK|LSAT_6X5421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCFSKGVELNTSPRFYHYPIASEERQPLSSSNSGGVSALSTGLLVDTNLETSSIPDTYRPPPAPIPYDVNVDHHPRSPLSNNREASGVGEITISSNNSPSGETVIKETKGSECKDLTNIELVATKEVEDDLDKSGELKKPTGPILPPEECPTCLEEYDEENPKIITKCEHHFHLSCILEWMERSDTCPVCDQVGLYSIGAFM >cds-PLY73575.1 pep primary_assembly:Lsat_Salinas_v7:4:320513733:320517381:1 gene:gene-LSAT_4X159660 transcript:rna-gnl|WGS:NBSK|LSAT_4X159660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVRGGYAGDTARKVSFEAPRKFTTVFKSHLKETFFPDDPFYDFKGKSLPVKAKKAIQYFVPIFQWLPNYKAKLFLYDLLAGITIASLAIPQGISYAKLANIPPVIGLYSSFVPPLIYAVFGSSKYLAVGTVASSSLIIAATISTKVNPTENPQLYLNLVFTATLISGLIELVLGVFRLGILVDFLSHSTITGFMGGTALIICLHQLKGIFGLKHFTPHTDVISVIRAIFQNRKEIIWESTLIGAIFLIFLQLARFVKQKKPKLFWVSAIAPMFVVIIGCVLAYVWHAEKHGIAIVGELKKGINPPSLKNIDFDRKYIAAPLQAGFITALVALAEGIAIGRSFAILQNEQIDGNKEMIAFGLMNIVGSLTSCYLTTGPFSKTAVNYNAGCKTQMANVVMSLCMMLTLLFLAPLFSYTPLVALSAIIMSAMLGLIEYDKAYHLYKTDKFDFIICMAAFLGVAFVSMDVGLMLSVGLALVRALLYIARPTSSKLCNIPDTSLYRDVEQYPDATDVSKTVIVQIGSPIYFANAGYMRERVLRCVRDEKANRLQGDDVEYVLLDLGGVSSIDMTGVETLVEIRKTLEAKYIKVVLVNPRLEVMEKLIVTNFIEKIGKERVFLSIEDAIEGCKFSLSHERYL >cds-PLY68109.1 pep primary_assembly:Lsat_Salinas_v7:8:34356206:34360146:1 gene:gene-LSAT_8X27340 transcript:rna-gnl|WGS:NBSK|LSAT_8X27340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWDESHELGSQSDDSFQYERQHIEPIYDAFICPLTKQIMRDPVTLENGQTFEREAIENWFNECKENNRKLICPLTLKELKTTDMNPSIALRNTIEEWNARNEAVQLDMARKSLNIGCPETDILRALRFVQDLCKRNLSNKHIIRNADLIPMIVDMLKSSSRRVRCTTLKTLHVVVEDDDDNKEIMAEGDNVRTIVKFLSHEQSKEREEAVSLLFELSKSEAMVEKIGSVNGAILILVGMTSSKSENVSTVEKADKILENLENNENNVRQMAENGRLQPLLTLLLEGSPELKLSMASYLGELALSNDVKVYVATSVGPALINLMKTTNIQSREAALKALNQVSSCEPSAKILVDQGILSPLVQHLFTSQSQLPMRLKEISATILANIVASDSDFDSIPVGPNHQTLVSEDIIHNLLHLISNTGPAIECKLLHVLVGLTNSPVTLISVVSAIKSSGAINSLVQFIEAPQQDLRLASIKLLHNLSPNMGQELASSLRGAAGQLGGLIKVISENIASTEEQAAAVGLLADLPERDMGLTRQMLDEGAFEMVVSRIKMIRQGEPRRSRFVTPYLEGLVRVLSRLTFVLTEEPKAVSLCREHDLAGLFVDLLQVNGLDNVQMVSAFSLENLSQESKNLTQLPEIPPPGYCGVMFPCFSKQPVMTGLCRVHRGACSRRDTFCLLEGPGLARLVALLDHTNELVIEAALAALSTLLDDGVNIEQGVAALCESEGIKPILDVLVEKKTDNLWRRAVWVVERLLRTEDIAYEVSGDPNVSTALVQAFQHGDYRTRQIAERALKHVDKIPNFSGIFPNT >cds-PLY76505.1 pep primary_assembly:Lsat_Salinas_v7:4:175475747:175478052:-1 gene:gene-LSAT_4X103720 transcript:rna-gnl|WGS:NBSK|LSAT_4X103720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSISLRRSITTASFLSERIKQTENEIVQMFQLSRPREDSRNLPTNQRTSRKSSARMLDERFIRILKIFKWGPDAEKALEVLKLRVDHRLVREVLNIDVDINVKMQFFKWAGKRRHFVHDSTTYMTLISCLSDSEMFGEMWKMIQEMVRQSVVIDPKDLSDIVRLLGKAKMVNKALSLFYQVKGRKCKPTASTYNSIILMLMQENHPEKVYDLYSEMCNEGNCFPDTITYSALMSAFAKLGHDNPAIRLFDEMKENGFHPNAKIYTTLLSIYFKAGKVEKALDLVTEMKEKGCMPTVYTYTELIRGLGKAGRVEESYGIYIDMVKHGCKPDVILINNVINILGKSGRLSDARKVFDEMSSFQIEPNVVTYNTMIKALFESKASHEASSLFEKMKTNNIVPTSFTYSILIDGFCKTNKVEKALLLLEEMDEKGFPPCPAAYCSLINTLGKAKRYEAANELFQELRENCGNSSSRVYAIMIKNLGKCGRLNEAKDLFNEIKKLGFVPDVYAYNALMSGMVRGGLIDEACSLMRDMEESGCVGDINSHNIILNGLAKSGGPQRAIVMFENMKRMKMKPDVVTYNTLLGCLSHAGMFEEAAKLMREMRSCGFEYDAITYSSILDAVGKIDDEHGTHQVP >cds-PLY97947.1 pep primary_assembly:Lsat_Salinas_v7:3:18601296:18601692:-1 gene:gene-LSAT_3X14381 transcript:rna-gnl|WGS:NBSK|LSAT_3X14381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCPKLASFTLRELLEEEEEERNKEKRCRAKIQGQNQSSLRARIYCLFEVALHENPRSSLYHAF >cds-PLY61736.1 pep primary_assembly:Lsat_Salinas_v7:5:215027493:215027885:-1 gene:gene-LSAT_5X98680 transcript:rna-gnl|WGS:NBSK|LSAT_5X98680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRVSIQVDVYFQGILAKYPIRYTGGITQRLSDINFAGMDKNGCYEFIERFTGEKCVKLYYCQPDIHFPKEWLEEHKEEVIDSIVEEVLDGV >cds-PLY63516.1 pep primary_assembly:Lsat_Salinas_v7:5:326685912:326690967:-1 gene:gene-LSAT_5X183861 transcript:rna-gnl|WGS:NBSK|LSAT_5X183861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSIQSSSKKRKNKGLGDNNKRKKKHQDLSDVRKLGEQLLSSRAHINNLPLLLNFLDLKSSYPREFLLESLLSLQSFFTPLLPQLPSSSAKPTEDPESIYQTWVRSKFDDFVQCLIQLALSPSLDEEALREVVMDTLMECVKVGNAGTFHSSIYHKFIHSLVYSTEEYDTLLNLLVTKYFKYIDVRYFTYISLEKITRTLDVKDCQDTDPESANTKLESPARASGQLLVCNIHHVISKIPPLEQLGENSEYEMWNGSGVFSKEGKTKSKKTKGTEEKQGEVKKSSNDVASSANISKKMKLKFSKAWMSFLRLPLPIDVYKEVLVALHQTVIPYLSNPILLCDFLTRSYDIGGVISVMALSSLFVLMTEHGLEYPNLYVKLYALLEPSIFIAKHRAKFFQLLDSCLKSPLLPAYLAASFAKKLSRLALTVPPSGGLVIIALIHNLLRRHPSINCLVHQEDDGETETVKSGIDHFNNEETDLLKTNAMRSSLWEIDTLRHHYCPPVSRFVLSLENDLTVRSKTTEVAIKDFSSGSYATIFKEEIRRRVKQVPLAFNKTTPTSLFSETDFAGWIFQSSDC >cds-PLY63005.1 pep primary_assembly:Lsat_Salinas_v7:8:184354446:184360188:-1 gene:gene-LSAT_8X120121 transcript:rna-gnl|WGS:NBSK|LSAT_8X120121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADPVTSTVVGKIVDLLFSAAKREIDYVRNRTKNVEKLKSETQKLKDTRERIPQLIKAAKEKGEALVNGEEVKNWMNKAGDEISKVELFLEEEANAKKTCFNLQPCVNLCTLSHYSKMAKNKTASLLDLQNAGESHEKCLSIPTRTPGLVDLYQPKNLDGIDSHKLALRDTIQAIKDESNQIVGIYGSGGVGKTTLAKEVAVKMKNLFADIVFITVSQTVNVKEIKENVEVAAKRIINGEKILIILDDIWEPPVLSDLGIPCGKDHMNCKILLTSRKINVYEAMNVDKKIPVNILIPTEAWILFKRVVGDEKIANQDRLEKIANDVSKECGGLPLLIEAVGNALKSKPIDRWEAALKQLQKNAPVDIDPEIRQAFTKLKLSYDLLGSEVAKWCFLMCSLFKEDGIIDMLRLAEYGVALQKFNDPDSINDAQESAQTAVDILTSSSLLLSEGDKVKMHDVVRGVALFITSSSQGEEKDKFLVEAGKNLTEWQPRNRTSDKYTKISLMNNRIRKLPDHQLHFPHLDTFLIRSNYLSIIPDDFFEGMKEVKVLDMSLNEIISLPKSLKLLTKLITLDLSGNESLDEISILGELKGLEILKVRGTGIKVIPKEIGQLINLRLLDAGECYYLSDVTPGVISKLTCLEELYIGTDEENAVSRLCLMEIRKLKFFRALHFSTNSNACHLFPEGTYFEKLQEFFFQFIDIDTERFLLQSDFDRSKSHLKRRLRIARSKFPFKMSIKKLFQESDGIELDYIQDLDNIIPDLYVENTSDKLKAIELYSSENVSCLVKTTIEDEDATKEKLFSQVEQIRLHDLKNLKLLFDCSFQYISLRNLQDITISSCSSLLTVFPLSVAQGLYNLRRIQIWDCSSLVVVISGGDEQTTVSDIEQTEDIEIEVGIHDANIEFRWLARIDLTNLPQLKSFYSGDSTIKYPSLKFIQVKNCPSMKSTWGHGVDDMLNVDDTPNHNFTPKEGKLLPIECELLPIEGELLL >cds-PLY97356.1 pep primary_assembly:Lsat_Salinas_v7:4:287058621:287060630:1 gene:gene-LSAT_4X144860 transcript:rna-gnl|WGS:NBSK|LSAT_4X144860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G36060) TAIR;Acc:AT4G36060] MDQWNAKLELHQQQYQHISNSHSDQRETAEVEVKDTSDARKVQKADREKLRRDKLNEQFIELGHLLDPDRPKNDKSSIIIDTIQILKDLTNEVNRLKAECSALSEESCELIQEKNELREEKSSLKSDIENLNTQYQQRVGVMYPWGGIDPSSVVMPPPFSYPVALPVPAGPLPIHPFPFYANHNPPGASIMPYPNPNPANYHINNQTLPVYVSRSSKQESGNKSSEQFRGSNSNDEKGDNSSDVVTDLELKTPGSQVKRLLLPFGKS >cds-PLY96384.1 pep primary_assembly:Lsat_Salinas_v7:2:89489226:89492947:1 gene:gene-LSAT_2X37520 transcript:rna-gnl|WGS:NBSK|LSAT_2X37520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin biosynthesis protein CNX1 [Source:Projected from Arabidopsis thaliana (AT5G20990) UniProtKB/Swiss-Prot;Acc:Q39054] MISVDEALGIVLDVSKRLPPVTVPIHDALGKVLAQDIRAPDPLPPYPASIKDGYAVIAADGPGEYPIITESRAGNDGLGVIVTPGTVAYVTTGGPIPDGADAVVQVEDTERVETASSEPNRVRILVKINKGVDIRPVGCDISKDAIVLKADELLGAAEIGLLATVGVLTVKVYPTPTIGVLSTGDELVEPTNESLSRGKIRDSNRAMILAAAIQQKCKVVDLGIAGDDEDEIRAVLDKALSTDNHNDIDILLTSGGVSMGDRDFVKPLLQQKGRVYFDKINMKPGKPLTFAELTTEKMKKVVAFGLPGNPVSCLVCFNLFVIPSIRNLSGWTNPRLPRVQVYLKHSIKTDNFRKEFCRAIIKWENNAGLGFPGFVAEVTGHQMSSRLLSMKSANALLELSPSGKVIPSGSLVSATLISDLSGFALGPKVLLSSDLDNLITQEGQSTTSMKVSGDYKVAILTVSDTVASGAGPDRSGPRAVSVVESCSEKLGGATVAATDVVPDEIENIREILKRWSDVDKMDLILTLGGTGFTPRDVTPEATKGVIEKETPGLLYVMMQESLKVTQFAMLSRAAAGIRGSTLIINMPGNPNAVGECMDALIPALKHALKQIKGDKREKHPRHVPHAHADSKDVWESSYQAANNHTKHDPPSSLHTCGCE >cds-PLY99389.1 pep primary_assembly:Lsat_Salinas_v7:7:145090278:145091427:-1 gene:gene-LSAT_7X86560 transcript:rna-gnl|WGS:NBSK|LSAT_7X86560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKIGIANWIALDDDEIQDTNVDEEEFIEDTPQINLVDDSAKDDQSNVNKRKRTNSKTKTSHKKTNKWQRNILLLGLI >cds-PLY84038.1 pep primary_assembly:Lsat_Salinas_v7:6:188534528:188540342:1 gene:gene-LSAT_6X114260 transcript:rna-gnl|WGS:NBSK|LSAT_6X114260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVPSQLVGSEIHGFKTMQDLDIPTMFEEAKTRWLRPNEIHAMLCNHKHFNIHVKPVNLPKSGTIVLFDRKMLRNFRRDGHNWKKKKDGKTVKEAHEHLKVGNDERIHVYYAHGEDQSTLVRRCYWLLDKKLEHIVLVHYRDTQELQSSPTIESNASSDAPASWTLSEEHDGVDQVYNVEPNETIINHKMTLHELNTLEWDDLVVPNDSDNLITHEGGNIPYFDQDDQYGLNGIVNTMDPGFINSSNDILDSLEKDGLKNQDSFGRWMNYNMTDTDSPLVIDEPIGKETVRNPSSQSQSLEQIFNITEVSPSWASSKEETKVLVVGVFHEEHLHLSNSNLFCVCGDVCVPIETVQPGVFRCFMPPHTPGVVNLFITPDGQTPISQLIPFEYRAPPTQQNITISSQEKPEWEDFQVKMRLAHLLFSTSRSLNILSSHVPPKVIKEAKIFAHKTRSIVKSWDLLVDSIVGKKIAFSQAKNSLFELILQNRLLEWLLERLLEGSKIPERDDEGQGVIHLCAVLDYTWAIFPYSWSGLSIDFRDKRGWTALHWAAHYGKQRTVASLLSAGANPNLVTDPTSENPGGCTPADLASKNGYEGLAAFLAEKALLAHFEAMTLAGNVSGSLQSTIPKDDDYTAADEPVTEEEQYLKETLAAYRRAADAAARIQAAFREQSFKLRSKAVEFANTEDEARCIIAAMRIQHAYRKHETRKQMAAAVRIQHRFRTWKIRKDFLNKRRQAIKIQAFFRGFQVRKQYKKIVWSVGVLEKAILRWRLKRKGFRGLKVASNNDDVDNNENEENIVEENFYNASRKQAEERVERSIIRVQAMFRSKRAQEEYRKMKQALSQASLEYDELLNPATINMER >cds-PLY70358.1 pep primary_assembly:Lsat_Salinas_v7:4:100671740:100673111:1 gene:gene-LSAT_4X64761 transcript:rna-gnl|WGS:NBSK|LSAT_4X64761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLESVKNLHAPSNHVILHVCITNIEGLSISSDIWVSKFGVFMGFEVMEMQGALKVLDEMPDCVNLPIIKDVLEIANVLNSKWKRQVELMYIITYDILFGQDSSLTGDPEKYLILRKSQLQSALAKILLKKGAKRIEDLMSQYKIPADVKKPRYVRVNTLKLDVETAVSELSKDNMVEKDDMIPDLLVLPPATDLHNHPLVTNGSVFMQGKASSMVAVALGPKPGWETL >cds-PLY90167.1 pep primary_assembly:Lsat_Salinas_v7:7:14338911:14344208:-1 gene:gene-LSAT_7X11581 transcript:rna-gnl|WGS:NBSK|LSAT_7X11581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHDIQAKLLRRDEEEEEGDLKGRIWDESRKIWRVALPGVISRVCAFGTIVVTQSFIGHISDLDLAGYALVQTLSVRFVNGILLGMSSATETLCGQAFGAGQHHMMGIYLQRSWIVDLISLTVLLPIFIFATQIFKILGEDESVSNSGGYISLWFIPFVYNFVFSLTIQMYLQAQLKNMVIAWLSIFQFGIHIPLSLLFVYKLNLGVGGAMIALSMSSWFLVMGEFVYIFGGWCPYSWRGFTSAAFKDLLPVVKLSISSGVMVCLELWYNAVLVLLAGYMANAEVAISAFSICLNINAWEFMISLGFLGAACVRVANELGRGNAKAAKFSIKVLLGTSIAIGVFFFVVCLIFRKKLAYLFTDDVRVADTVSDLSLLLSFSVLLNSIYPVLSGVAVGAGMQGTVAIVNLVCFYLIGIPMGALLGYMTNLQVKGIWIGMIGGVVTQTLALVYMAWTTDWDDQVKRASERLNRFYVKASDNDNQEQIR >cds-PLY72149.1 pep primary_assembly:Lsat_Salinas_v7:7:52785403:52787149:1 gene:gene-LSAT_7X38281 transcript:rna-gnl|WGS:NBSK|LSAT_7X38281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNLPATLVFQILSRLDDSADVARCRAAWKTFDTVAPDLPCINLQYPLKRYIELRSRDSDSSSSSSLPSPLKTIILHLISNSRSLESVHIGAENLPLDVSHADVEDYGDDMYLTDGAFVKEWLPRVSGTLKSLSISDFWVHSGWRRSEVLPLVSTCCHNLLELELKHAWLSVENMNPMPMLTSLTLEFIRLEDKNLTELNKSFPNLQVLNLIDVRGLKLPTIHLLHLKTCQWTITDAQSCLILITPNLTTLSLECRKVVALYIEAPLLSDLHLAIDHLGAVSIKTFENLKSLSLKSSYICSLIKNFPHLKTIENLTLNSGDLGVGAVDDSKFTLKKMLTYFPTVTSLCFKTSAWLSFEVLYESFDRVCLDGRLGLTTFRGYLLRVDPALTFSLVACVLDQCKNLVDVSLLIHRDHAAAHVSRRFMDWCVARWPRLNWRWGAWEEGTEDTWITNGIPNAQIN >cds-PLY93725.1 pep primary_assembly:Lsat_Salinas_v7:2:200842795:200843909:1 gene:gene-LSAT_2X121520 transcript:rna-gnl|WGS:NBSK|LSAT_2X121520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVYLGANKYRERQPIGTAAQVPDKDYQEPPPAPFFEPSELTSWSFYRAGIAEFIATFLFLYVTVLTVMGVVKSPTKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALYYMVMQCLGAICGAGVVKGFEGSKQYKLLGGGANTIAHGYTKGDGLGAEIIGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNQDHAWNDHWVFWVGPFIGAALAALYHVVVIRAIPFKNK >cds-PLY79709.1 pep primary_assembly:Lsat_Salinas_v7:8:125135087:125139102:-1 gene:gene-LSAT_8X86601 transcript:rna-gnl|WGS:NBSK|LSAT_8X86601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGVPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRIEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLVKKYRDTTTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFEDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQIAAPPTRGLGLGAGPSSGTPPVIPNGEESSRRRNPAQVTNSGNLPKEKSPIANDAAISTDAMMSSAGFGGRGVASGSMRRGNDVDPTAMGGRSRQQRGSPPGGSSTTGGKKYETTLKGMESLHFDDEERVK >cds-PLY92203.1 pep primary_assembly:Lsat_Salinas_v7:6:75524987:75525352:-1 gene:gene-LSAT_6X52961 transcript:rna-gnl|WGS:NBSK|LSAT_6X52961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVKEFPLFIQRFTNEECVNVYFFMQDIEFPEGLRIIAIEKDYQEFIQVRYDSGCVIQVYMDHLGSNVHQWILDDEAEVCSPEDKMSGVGGITRTCIAWDLFRTRLMTCKVMYMMILIPLL >cds-PLY66796.1 pep primary_assembly:Lsat_Salinas_v7:5:18692737:18696136:-1 gene:gene-LSAT_5X9501 transcript:rna-gnl|WGS:NBSK|LSAT_5X9501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEENRRKMSSLQLCCFLVIGCLVSTIYASSDAIFYESFDESFEGSWIVSEKEDYSGEWKHSKSEGHDDYGLLVSEKARKYAIVKELEKPVELKDGTVVLQFEVRLQNGLECGGAYLKYLRPQEAGWTAKGFDNESPYSIMFGPDKCGATNKVHFILKHKNPKSGEYVEHHLKFPPSVPSDKLTHVYTAILKPDNEVRILVDGEEKKKANFLSGDDFEPALIPDKTIPDPEDKKPEDWDERAKIPDPEATKPEDWDEDAPMDILDEEAEKPEGWLEDEPEEVDDPEAVKPEDWDDEEDGEWEAPKIDNPKCEAAPGCGEWKRPLKRNPAYKGKWHAPLIDNPAYKGIWKPRDIPNPDYFELETPNFEPIAAIGIEIWTMQDGILFDNVLIASDEKTAETIRETTWKPKFKVEEEKHKAEEQEAGFDGLKGIQKAVFDVLYKIADLPFLGDHKVKVLELIEKAEKQPNITIGVIVSIVVVIFSVLLKLLFGGKKATPKVTVAEPAKKEEGESSNTGAAAAAEEEEKNDEQNEDAAAPRRRTRRDI >cds-PLY82062.1 pep primary_assembly:Lsat_Salinas_v7:8:53545744:53552226:-1 gene:gene-LSAT_8X39781 transcript:rna-gnl|WGS:NBSK|LSAT_8X39781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTLNQIEVETIPQLDWVTRIGAKIIVESRRQCVYMCVVFLVHRNPCTKNEGESIMTKGFHEEQHLKLVDSLMEVGLNHCALYSNDKLFDASQYAFFGRPTTEKIEFGCLEEDEDNPSTGVPDDECHLFDKEELNRSVSGPRHPGVIGDRGSGSISREKDTKLGETAPFWTFRAASYPDLEAPAPIPTASNISHTVDENLSAVSGRDVYPMEYYNRFSMNQVLDPHWGVLYEEDLTGHKSHTVNILVNNAPGVLNLVTGVISRKGYNIQDWFFILISLVAGPAEMEGLTRITSVIPGTDESIEKLVFIFKGQKHLLVIVRGRKIAGVTINIVQKSVSIATMALVLKV >cds-PLY64525.1 pep primary_assembly:Lsat_Salinas_v7:6:34467043:34467390:-1 gene:gene-LSAT_6X25320 transcript:rna-gnl|WGS:NBSK|LSAT_6X25320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREITRLPLVERGNEGSNGLVSPSQLTVGAVSPVHSPVFVPSGSPVGVQTGIGSTPTRKRRILHVMPSSNEETESNDAGPRPRKLVGLYMWPGFLVVSGYSRRPIFWEKIGSGGA >cds-PLY88633.1 pep primary_assembly:Lsat_Salinas_v7:5:139369867:139373423:1 gene:gene-LSAT_5X60820 transcript:rna-gnl|WGS:NBSK|LSAT_5X60820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGILLTVFQGPLLFYFLSLFFLVERLQCLTSDGEALVNFRTNIISSDGMLHQWRPEDPDPCGWKGVTCDLKTKRVVYLNLSNHKLSGPISPDIGRLDNLKFLDLHYNNFYGAIPPELGNCTELQGLFLQNNYLSGFIPTEIGNLSNLQTLDISSNSLSGSIPTSLGNLKSLLNFNVSNNFLSGPIPSDGVLDQFGTASFLGNRGLCGKHINQLCKDDDGGSSGSQPTGSQNVKKNSGRLLISASATVGALLLVALMCFWGCFLYKKLGKNDAKGLAVDVGGGASIVMFHGDLPYSSKDIIKKLETLNEEHVIGAGGFGTVYKLSMDDGNVFALKRILKLNEGFDRFFERELQILGSIKHRYLVNLRGYCNSPTSKLLIYDYLSGGSLDEALHEKSELLDWDARINVIMGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNFEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLMLEVISGKRPTDASFIEKGLNIVGWLNYLITEDRQREIMDTNCEGVESETLDALLSVAIQCVSSTPEERPTMHRVVQTLESEVMTPCPSDFYDSASD >cds-PLY62299.1 pep primary_assembly:Lsat_Salinas_v7:5:163695864:163699261:-1 gene:gene-LSAT_5X72000 transcript:rna-gnl|WGS:NBSK|LSAT_5X72000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g14455 [Source:Projected from Arabidopsis thaliana (AT4G14455) UniProtKB/TrEMBL;Acc:Q147J7] MSYRRDNRASRSALFDNLDGIEEGGLRASSSYADEQENDKSLNILQDKVVFLKRLTGDIHDEVQSHNRMLDRMGNGMDSARGIMSGTMDRFKMVFEKKSSRRTCKLVMYFVMSFFIIYYLFRRYYDIIVEVFAALYFSNPT >cds-PLY82342.1 pep primary_assembly:Lsat_Salinas_v7:5:312860815:312867718:1 gene:gene-LSAT_5X170441 transcript:rna-gnl|WGS:NBSK|LSAT_5X170441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKWVTGGDTSVLKQHYEKKVLDLEQEKRVLQIYKGLMRRSLLSVRSFFKTTSSDFCKRKPYVNLCNSENLKQTREVSYCKQLVNECYSSRKAAEGLKREIDMLHKRRAELEDKLKAENFEVLQSEIMQLEMAIQVIEDDEREPIDLEEKLKNSQSKLIQKDEQLQELKEQLQELKDRVNTVCLAIQRKNDEMQDARQELIHGLEMYPYCSYVGIKRMGVVDSMPFYFGCHLTKKTRKETTKNPTNLLIKCRKLVEDQNWHPFKAGSDEKEIIDEENEEMVRLKAECSEEQYHAVVTALKERNEYEINGTHPVQELWNYKEKRKASLKEGIDCILEAWKIHKQQ >cds-PLY77744.1 pep primary_assembly:Lsat_Salinas_v7:2:170307354:170310840:1 gene:gene-LSAT_2X91021 transcript:rna-gnl|WGS:NBSK|LSAT_2X91021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQSLSTYTNKLPSSNPDKRLLTTTNPLTTTNPLTGTPSSMNPMQQQQPHQQQHQQQQLMGPMSNPVAQQLLGLSSNPLQQQLMGPKNNPMMNSMANPMMNSMANPMMNSMVNPMGNTMHTTPLHHQMVTPAHSPLMQQQNRDERNSIFSASDDNVIMKQVLDTHLPDGTDVDVKPLLNIVEDILRHATISVDSTSSAEHTDMGKIEGKLDNKPHQMNAVVMLNSLSHIIDKLACEMSFRCLSGGDGHTTALALFHTVGNFHWDAKLVLTLSAFALNYGEFWLLAQIYSSNQLARSMAILRQVPMIMENSAPLKPRFDILNKLIRSVLELTQCIMQFKELPSMYVTTDVPAMARALNTLPTAVYWNVRGIIACAAQITSLTSMGHEYGISSSELQSWELSTLILKINHLHEFLKKQLEDCHRVVGDRREMDFRNSFNQLFETIHIDNMKILRILISPRDDNLPLFDGATKKRVSLEVLRKRNVLLLISGLDMSSDELSILEQIYSESRIQGTRLDALYEVVWMPIVDPSIEYTEAMNKQFEEMKNRMPWFSVYHPSIIDRAVKRSIGDRWHFRSKPILVVLDPQGRELSPNAIHMMWIWGSNAFPFTSAREEALWREETWRLELLVSGMDPTILNWIRDDKYIFLYGGDDIEWIRKFTSNARAMATAARIPLEMAYVGKSKKRENVRRAIATINVEKLSYCWQDTTLIWFFWTRIESMLFSKIQLKRADDQDPIMLQIKKLLSYDKDGSWALLCRGSQILTNGHGSTMLQTPTDFDLWKEHVPTRGFDLSFTDYHDKLHVAANNCCRFEFPIAAGRIPEGMRCPECHRLMEKYIAFLCCHDQTGLLEPY >cds-PLY73055.1 pep primary_assembly:Lsat_Salinas_v7:9:36835194:36840756:-1 gene:gene-LSAT_9X34621 transcript:rna-gnl|WGS:NBSK|LSAT_9X34621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNLALVIVAIVVCVLVFIFNLYLLVNYQHPDDKNLAYFPKFVVVLGLSVAAISILMLPADVANRQACQHAIYNGACNLTLPMKDLWLAIYIIDAILVFFVIPFAMFYYEGDQDKTIGKRIKSALIWVVTTAIVCGLVLGILYGVIGKVDFTVRHLSSSTVQFPSTFQFSSGQPCVSNGVRQCSAYDASPSSETTWTMRSTFPEYVVALATIVGSVLFSIFGGVGIACLPLGLIFSFIRRPKAVITRSQYIKEATELGKKARELKKAADALHQEERSGSKGRKWRKNMKALEKELFHLEDDVKALEEMYPQGEKAETTWAMTVLGYLAKLILGVFGLIVSIAWVAHIIIYLLIDPPLSPFLNEVFIKLDDIWGLLGTAAFAFFCFYLLLAVIAGAMMLGLRLVFITIHPMKWGGTLMNSFLFNVALILLCSISVIQFCSTAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNIFQIAFVVLAGLTFVYYAAFGWRRKKPSGRFQLSS >cds-PLY72607.1 pep primary_assembly:Lsat_Salinas_v7:5:44665802:44666060:-1 gene:gene-LSAT_5X21761 transcript:rna-gnl|WGS:NBSK|LSAT_5X21761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDDGWLRDTPDTVLILNCNFGTRFSAAKVVVTHYFETDLIDRLDEIVVFDADP >cds-PLY85285.1 pep primary_assembly:Lsat_Salinas_v7:3:72472251:72473371:-1 gene:gene-LSAT_3X56781 transcript:rna-gnl|WGS:NBSK|LSAT_3X56781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKKGRPRKKVHSDPNQASGAKSVKSKNDGLDGHVKGRGCEEQVKDLFDNEDIDDDSLVDMMCTFEASLSQPKDKYQKSDGFPDAMDAIIQSILHANDDKGVEEVEPDLTKQLDEVEDAMDAILKGTDEKSQSENEGNPEPEFTEGNASDVLPEMVMLDLESVADLLGAGYSMAEIESLRGVKVELDDMPAVEMDVNEVEDIPYVDGVMEGNEDDGLINDGVEGNEGHGEGDDADEVAGEGDGEVDGDGAGEGDGDGAGEGDGEGNGAGAGEDDGEGNGAGAGEDDAADMEGNDADDEGHVPPRRTRKPSERIILQKLKKPCFDKDGRGSTSSYPVDLE >cds-PLY84124.1 pep primary_assembly:Lsat_Salinas_v7:6:186347477:186348880:-1 gene:gene-LSAT_6X113381 transcript:rna-gnl|WGS:NBSK|LSAT_6X113381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKFIPLSLLLLLLLLPSSAAPTLSISQQFKEAPQFYNSPECPSTDHTEDGSTVHVAMTLDAAYIRGSMAAILSVLQHSSCPENIIFHFVTSASSNTFLLHDTIANSFPYLRFNVYPFEDSVVAGLISTSIRAALDCPLNYARSYLADILPTHVRKVVYLDSDLVLVDDIAKLAATPLRDSILAAPEYCNANFTFYFTPTFWSNPSLSSTFDDRKPCYFNTGVMVMDLDRWRDGGYTTKIEEWMELQKRMRIYELGSLPPFLLVFAGKIAPVDHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKSKPWVRLDAERPCPLDALWAPYDLLKPPFSFDS >cds-PLY90759.1 pep primary_assembly:Lsat_Salinas_v7:3:36217208:36217825:-1 gene:gene-LSAT_3X26540 transcript:rna-gnl|WGS:NBSK|LSAT_3X26540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGVTKLPLMPLISLNSNRFSKPIHCTSLQQQGIKVDGGIECEPCDGRGWLICDFCKGQKTNVKSENNRIYRRCPSCRAVGYVLCSKCKVFKCVTFPDQSDGEN >cds-PLY70983.1 pep primary_assembly:Lsat_Salinas_v7:9:70312193:70314513:1 gene:gene-LSAT_9X59881 transcript:rna-gnl|WGS:NBSK|LSAT_9X59881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQDPSNPIPNPDPNPMNAAPFPFRASHHRRAHSEVNFRLPDDLDLVSDTFDAPSGSFEELGSEDDLFCTYMDIEKLGSNLTDGGGGGSGGGGPDIGRISNSGGGGGGASEDNNGDGEKSSSRPRHRHSNSMDSSSLYSESIEAKKAMAPDKLAELWTIDPKRAKRILANRQSAARSKERKARYISELERKVQTLQTEATTLSAQLTLFQVNSFDTRFTLTFGLIFFIVQRDTTGLSSENTELKLRLQAMEQQAQLRDALNEALKQEVERLRVATGEIASCSDGYNLGMHHVPYNQPNFFTNQNQPDPQKYHQSNMSNHRHHPLLAATHGSALSDTLQQDPLGRFQGLDISNRNGSHLIKTEGPSISASESSSTF >cds-PLY93051.1 pep primary_assembly:Lsat_Salinas_v7:9:27236915:27240273:1 gene:gene-LSAT_9X23900 transcript:rna-gnl|WGS:NBSK|LSAT_9X23900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYSVFFPVLSLLLMIGNYDNVGFLVYGVVDEYRRDDFPAEFVFGSATSAYQIEGAVLEDGRSYSIWDTFAHSGGPWYNGANGDIACDGYHKYKKDIQLMADMGLEAYRFSISWSRLIPNGRGPLNVKGLQYYNDVINSLIAHGIEPHVTLHHIDLPQILEDEYGGWISRKAVKDFVAYADVCFREFGDRVLHWTTFNEGNIFSLGGYDFGGSPPGRCSSPFGVNCSKGDSTSEPYLVTHHLLLAHASAVRLYRQKYKVMQHGFVGINVYAFWFEPDTNTTEDIKAVERIQDFYVGWFMNPLVNGDYPEIVKKNAGNRIPTFTKLESKRIKGSFDFFGINHYQTLYVKDNPSSLKTDPRDIVADMAVSFTFATGREIPPIDQVAPLGLQKLLNYVKEKYGNPPVYIHENGKEQPRNGTLMDTPRVEYLHAYIGALLDAIRNGSNTKGYFVWSFLDLFELSGGYTSGYGLYYVDMDDMELTRYPKLSAHWYANFLKGKNISGIIPKLKVEDAFSSSH >cds-PLY97750.1 pep primary_assembly:Lsat_Salinas_v7:4:374754797:374755239:1 gene:gene-LSAT_4X185761 transcript:rna-gnl|WGS:NBSK|LSAT_4X185761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWALEYDPDLYNLYEEPDSDRPEDAKAKPKSSRQCGKFEREILRSGAKDEETLPISVFLVASVLKEKSVKLLTEAKGLDDVVKILNDTSWNLDAKKSCSGAMTLHKKYLKKV >cds-PLY75858.1 pep primary_assembly:Lsat_Salinas_v7:9:195592907:195594071:-1 gene:gene-LSAT_9X120080 transcript:rna-gnl|WGS:NBSK|LSAT_9X120080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLGENQHLKIKLEEIKSATNNFDNNNVIGKGGFGNVYKGVLSQSEGLTMVAFKRLDRKLGQGNPEFLKEILMLSRYTHENLISLLGFCDEDDEKILVYEHAYHGSLDRHLSANTLTWWKRLKICLTAAKGLCYLHDPKGTEQRVIHRDIKSSNILLDESWNAKLSDMGLSKIGPANQQHSFLATNVVGTYGYIDPLYMETYLLTKESDVYSFGMVLFEVLCGRLCYEYNNGHFMSLWPMWKKSYEEKKLDQIIFEDLKEHMNPSSLETFSGIAYRCLQKSREERPKMSDVVEELENALDIQKTEGPMDFEEMIETAVAPHVYRSKEELQMLLYRGMLVNGGKTVIS >cds-PLY75722.1 pep primary_assembly:Lsat_Salinas_v7:5:137529891:137530904:1 gene:gene-LSAT_5X59960 transcript:rna-gnl|WGS:NBSK|LSAT_5X59960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDSKSAASVTEEWKNNLHTAKNGDIFEIIKTAIVLAASNRPSEFHIRRHEIAQTLLSDELIKHSECDKCKNQSPKVSNETEDKTKIVFETLNTSVVINEESREWSNSHGKPPIEKQIVPTCKQQQPIMVNTLKSNTEAKFHISKVNDDLGPRVYSQGVAEVLRMKKILERSGDESESVQVVCDLLTKLQSMELTMKTLETTKIGRTITTLKKHASEKVRQIAVKLVKEWKRTVEEWIKKESNHVELTSQQVNPINLNMNTKRKLEGSEKDAKIGNGGVVDSLEKFEAEKRTMQKVYAKEEYMKKKKRRTQVIEVHEAVLLPCIDDNRRKVNWRRRC >cds-PLY78301.1 pep primary_assembly:Lsat_Salinas_v7:3:173379346:173383136:1 gene:gene-LSAT_3X104620 transcript:rna-gnl|WGS:NBSK|LSAT_3X104620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKPFLGYENFGGFLLFIVYFLSVSIIFVQSQSSANDAVVMQALKSNLSPPKSLDWSDPNPCKWQQIQCSRDNRVTRIQAGNQNLKGYLPQTLNNLTELQVLEFQNNQLTGSLPSLAGLTQLQNLLLSNNSLTSIPTDFFDGMSSLQHVYLDYNGFSSWSIPDSLKSASTLQVFSATSANITGKIPDFFGGDAFAGLTTLHLSFNYLEGGLPSSFSGSSIQSLWLNGQNSRSKLNGTLQVLQNMTQLTEVWLHGNLFSGPLPDFSGLNELQNLSLRDNSLTGPVPQSLLGLQSLKVVNLTNNLLQGPTPSFDKTVAVDLSGLNSFCLPNPGAPCDNRVDILLGVAESVGYPHTFADNWKGNDPCNSWLGITCSSDGNITVINFQKMGLTGTISPKFASFKSLQRLILSNNNLTGTIPDELKDLPSLIAIDFSNNHLYGPVPDFSKTVNVKTEGNLDIGKAGPSLTPVSPSGGGGGSKPNGGGGGGGGKSSNTGVVVGSVVGGVCAVFFAGLLGVCVYKAKRKQTNRIPYQNTMVIHPRHSASDGDGVKITIAGSSAPTNESFSHTSSGPSDIHIVEAGNMVISIQVLKNVTNNFSPNNILGRGGFGTVYKGELHDGTKIAVKRMESGVMSEKGLDEFKSEIAVLTKVRHRHLVALLGYCLDGNERLLVYEYMPQGTLSRFLFDWQEEGLKPLEWTKRLIIALDVARGVEYLHGLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPDGKASLVTRLAGTFGYLAPEYAVTGRVTTKIDVFSFGVILMELITGRRALDETQQEESVHLVQWFRRMHINKDTFQKAIDPTLDLDEEALASLSTVAELAGHCCAREPHQRPDMSHAVNVLSSLAELWKPSDPDPDDIYGIDLDMTLPQAVKKWQALEGMSGYDNSSVIGSNDNTQTSIPTRPSGFADSFTSQDGR >cds-PLY67532.1 pep primary_assembly:Lsat_Salinas_v7:6:72619035:72620164:-1 gene:gene-LSAT_6X51800 transcript:rna-gnl|WGS:NBSK|LSAT_6X51800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGSVFLFVGLWHLVNHIKLHVQNPKAFHFSPWVPTTRIRYLELYYIMSSCSMAIVMEIFVLPDHHHPFDTDGTIPSNHMQNMEHLFIFMMFIIYAGFAILLDKFQPKAQYELTQLLLGIAFGHQLLITHLHSTGNMGIQGQYHMLLQILILISFISTLMAIGYQKSFIVSFIRSISIFFQGLWLMVMGFMLWTKSLIPKGCFLNLEQGHHVVRCNGDQAVERAKSLVTIQFSWYLLLVTIFAISLYLAMIKIYDKQVDYQSLVRYDEEHADEDIETQSKTSKLDQSHSFLQMEKSLAPLDMEL >cds-PLY94629.1 pep primary_assembly:Lsat_Salinas_v7:8:144943703:144944592:-1 gene:gene-LSAT_8X97781 transcript:rna-gnl|WGS:NBSK|LSAT_8X97781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKRMSHPWRKNKLKFQSLLMKKKPKKNENKSKRTKKKETDERESKIRAAEERLLQKDIPRTTDDYEKLIRSSPNSSFIIVDYKNYINLQALRTINIREESEKLHVWVAYFNLENKYGFPPEDAVFLFKKYLKFEKSHGDEDKAEYVKAEALKDEEILAQHVPKTRVMRVYSRMAKQSFEKSGYKFVRGERNENKKKGVL >cds-PLY92839.1 pep primary_assembly:Lsat_Salinas_v7:5:328130322:328130832:1 gene:gene-LSAT_5X182521 transcript:rna-gnl|WGS:NBSK|LSAT_5X182521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMKEMFRKERLKKERLRKKKLKELLFSGYTHVDAVVAMKEEREIEEREAEEEEVEEEGVEGIEVEEEEFEEREVGSRVIPLGPGSYDFHLEKKRTPSERIRKLKFMKIVEDADGGGSSKIPWILD >cds-PLY66591.1 pep primary_assembly:Lsat_Salinas_v7:6:165636117:165640460:-1 gene:gene-LSAT_6X101281 transcript:rna-gnl|WGS:NBSK|LSAT_6X101281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSNFFSFLILSLYVSLPPPVSSLSPDGQTLLSLLTSADSLSKTSSAVLSSWNSSSITPCSWQGVTCSPQNRVISLSIPNTFLNLSFIPPQLSSLSSLQLLNLSSTNISGQIPPSFGSFPHLRLLDLSSNSIFGNIPPELGQLSSLQFLYLDSNQLSGKIPPQLSNLSSLQYFCIQDNLINSTIPPQLGSLVSLQEFRIGGNPYLTGEIPSELGFLSNLTTFGVAATGLSGVIPATFKNMISLQTLAIYDTEITGSIPPELGLCSELRNLYLHMNKLNGPIPRELGKLQKLTSLLLWGNSLTGTIPPELSNCSSLVVFDVSANELSGQIPNELGRLTVLEELHLSENSLTGPIPPELSNCTSLTAIQLDKNQISGEIPWQIGNLKMLQSFFLWGNMVSGMIPSSFGNCTELYSLDLSKNKLTGEIPDEIFGLKKLSKLLLLGNSLSGKVPKSVGKCQSLVRLRLGENQLSGPIPKEIGQLPNLVFLDLYTNHFSGVLPNEIGNITVLELLDIHNNHISGEIPPELGELVNLEQLDLSQNGFTGEIPASFGNFSYLNKLILNNNLLTGIIPASIKNLQKLTLLDLSSNSLSGQIPPEIGSITSLTISLDLSWNRFQGEIPESINGLTQLQSLDLSHNSFHGKIMILSSLTSLTSLNISYNNFSGPIPVTPFFRTLTPESFIQNTGLCESIDGYSCSSRSPTRNRLKSAKTACLVIVILISISIVAAATWIFMSRNRYMIKNSLPDQNPDSRGDDFSYPWTFIPFTKLGFSIDNILDCLKDENVIGKGCSGVVYKAEMPNGEIIAVKKLWKTRKDEEPAIDSFSAEIQILGHIRHRNIVKLLGYCSNRSVKLLLYNHIANGNLQQLLLTNRNLDWETRYKIAVGSAQGLAYLHHDCVPAILHRDVKCNNILLDCKYEAYLADFGLAKLMKYGYTMNITEKSDVYSYGVVLLEILSGRSAVENRVREGSHIVEWVKKKMGSFEPAITILDTKLQGLPDQMVQEMLQTLGIAMFCVNSSPSERPTMKEVVALLMEVKSSPEEWGKTSQPLMKQSSTHS >cds-PLY76459.1 pep primary_assembly:Lsat_Salinas_v7:5:199429926:199431830:1 gene:gene-LSAT_5X90301 transcript:rna-gnl|WGS:NBSK|LSAT_5X90301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESRYSGFNSQIHNHLYSHLYPTPSSSSYPSGYTNFSNQILEGGLYSVGGQGRYDILRQIEKNKIREEILAKERRQYMIEQEIRRMENSGGYPSLSSLMLGHTDSHHHHHHHLHRVPPQLLRGQAYETVDGRIAMPFQEDYGVTGHQFQRRPNINEIITSPPKAGEKQFIDIERNISSFISQRKLSGQMMAGSKRKSPPPQSPAAAGCSSSSKKRVNELSCAICEVSATSERGLEEHLAGKKHQAKMAELKAGHAGKSIKKSPESVQMVSSEDKKPEGESSGKKFKFWCRMCKTGASSKKEMNMHRKGVSHLTNQLKRAQKSRDKSGGKRKKV >cds-PLY75049.1 pep primary_assembly:Lsat_Salinas_v7:2:66750323:66754387:1 gene:gene-LSAT_2X30700 transcript:rna-gnl|WGS:NBSK|LSAT_2X30700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRNRIRGPWDFEHELTGGRQKLILGLDGGTTDTVCICMPITPFTPNHNPLEAPPVYARAVAGCSNHNSVGETAARETLEQVMAEALLKSGSTRSSVRAVCLAVSGVNHPTDQQRILDWLRDIFPSDVEFFVENDAVAALASGTMGKLHGCVLIAGTGTIAYGYTEDGREARASGAGPILGDWGSGYGIAAKALTAVIRAYDGRGPQTMLTCSMLHELQLSSPDELIGWTYADPSWARIATLVPVVVSCAEAGDQVANDILLHAVQELASSVKAVVHRLGLCGKDGKDTFPLVMVGGILEANRRWDIGKEVISCIANDFPGTHPIRPKVEPAVGAALLAWNYLIEQAQLDQSDGDYV >cds-PLY62436.1 pep primary_assembly:Lsat_Salinas_v7:3:196633471:196634294:1 gene:gene-LSAT_3X117361 transcript:rna-gnl|WGS:NBSK|LSAT_3X117361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLLIQDAIFRHAWPVLMRVVLQKDEVAGNLAQLHNSAAALWRVDLHHLSLHTTHYCLRKVYCLRIKAYMNKGKKITEELLLCTMLNETEEHDRSERTGK >cds-PLY66840.1 pep primary_assembly:Lsat_Salinas_v7:7:20997557:20999864:1 gene:gene-LSAT_7X17561 transcript:rna-gnl|WGS:NBSK|LSAT_7X17561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKADKVDKWFQEGITKHGPIWKASLFGYPTVVLHGPAANKFVYTFDGNILTNTQPPSMSRIIGSKNLSELTGNDHKRVRAALTSFLKLEVLKQYVAKVDEEIQHHLQTHWHGKHEVQVQPLIKTLTFNVICSLLFGIERGLKRDKILPHFKHMIEGVLAIPINLPFTQFNRGILARRKLVPIILDLIREKREALEEQKQQANHHKDLITELLCMRNEDGSTTTSDEEITDNIILVMAAGYDTTSALLTFLVRLLANNESIYSTLVHEQEEIAKNKAHGEALTWEDLTKMKYTWRVASEMLRINPPVNLNFRRTMQDIEYGGFIIPKGWQVLISQSMTHMNNDIFQDPTMFDPKRFEKHAPQPPPFSFVPFGAGPRMCPGIELAKMEILAMTHRLVTRFSWELVKKDESFKRNPMPEFDQGLFVRIKPTKA >cds-PLY63785.1 pep primary_assembly:Lsat_Salinas_v7:6:24451941:24453994:1 gene:gene-LSAT_6X19560 transcript:rna-gnl|WGS:NBSK|LSAT_6X19560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSSWDSLRKQARNLEAELDDQMHLYRKLVSTKVDDGNESSNLGSSIEQLLKHLQQVISQMQAWVSSGGSEIFSHTLTRHHEIHHDLTQEFNRLRSSLRAKREHASLLEDFREFDRARLDIEEGGGSHEQSLLKERATLMRNTGQMDGVISQAQETLGALVFQRSTFRGVNSKLSNVSSRLPTVNSIISAINKKKSMDTIILSLVASVCTFLILIYWITK >cds-PLY87993.1 pep primary_assembly:Lsat_Salinas_v7:6:171245014:171246497:-1 gene:gene-LSAT_6X104200 transcript:rna-gnl|WGS:NBSK|LSAT_6X104200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLRFVCFPSPSLFPNQQNPQFFQPINPQFFQPINPNPSSLQSLSLSASLPNRFLKTHRPNFLVFQTQSAVEAPQVETSTDTVQQLEEEEVEDEGSRTRLLAQNVPWTCTADEIRPLFEKHGTVVDIEVSMYSKTRNRGLVFVSMGSHEEALAAFTNLQSYEFMGRTLNVTWAKPKKSKDPSTPAQPKPAPVHNLFVANLPFQARSKDLMDFFNAENSNPVSAEVIFHEKPRSSAGYGFVAFNTKHEAEAALSAFQGKIFMGRAIRVSPSKRFLRQSTKKALESKEESTKSDEVEV >cds-PLY99418.1 pep primary_assembly:Lsat_Salinas_v7:4:103376386:103376833:-1 gene:gene-LSAT_4X65560 transcript:rna-gnl|WGS:NBSK|LSAT_4X65560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGRQLHEDFKDVFFVDDEMMDEDEMLEGLKLDYGDEEDYVAVVEHSYGNIFSKKNTMEVALKYGFENFPNSILLKEWFEKKA >cds-PLY78704.1 pep primary_assembly:Lsat_Salinas_v7:9:47233207:47240577:-1 gene:gene-LSAT_9X44640 transcript:rna-gnl|WGS:NBSK|LSAT_9X44640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVPTSVSSSTDHVFSVEGHDQLQLQLSSEDRQRITERTKWVLDSPDPPGFRQEILGSIRNAVCSKKNKKPNATHEPPLACVMAFLSSVFPILNWGREYKVSMFKNDLLSGLTLASLCIPQSIGYAALAQLKPQYGLYTSVVPPLIYSTMGTSRELAIGPVAVVSLLISAMCSKVVNPMVDPVSYNKLVFTVTFFTGAFQTLFGLFRLGFLIDFLSQAAIVGFMAGAAIVIGLQQLKGLLGISHFTTKTDVVSVLIAVVKSFHDSWYPQNFILGCSFLIFILITRFIGKRNKRLFWLPAIAPLVSVILSTLIVYLTKGDEHGIKIIKHFKGGLNPSSVNQLEFNSPHLRESVKIGLVCAIIALTEAVAVGRSFATIKGYNMDGNKEMIAMGFMNIAGSMSSCYVATGSFSRTAVNFSAGCQTAVSNIVMAITVLVSLQLLTGLLYYTPVAILASIILSALPGLINYTEAYNIWKVDKLDFLACAGAFFGVLFASVEMGLMVAVAVSFAKIILNALRPRVEELGRLPGTEIFCEVDQYPVAQAVPGVLIIRLNSGLLCFTNANPLRDRILKWVTEENGKEATKRPISGIILDMSSVTNIDYAGILALEETNKKLLSGGIKLAIASPRWQVIHKLKVAKFVDKVGRDCIFLTVCEAVDSFVGSKFNGPRNC >cds-PLY93555.1 pep primary_assembly:Lsat_Salinas_v7:2:175228978:175233140:1 gene:gene-LSAT_2X99340 transcript:rna-gnl|WGS:NBSK|LSAT_2X99340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGSARNRNEFYVRMKLLRSRHGKTKTPFRFRYFKWILWFSLSLYFFATSLGNHRPATTSLKTIIPQPQLRSVSGVFSDAAVLRQPRSLKDLRVYIYELPSKYNSDWLSNKRCSNHLFASEVAIHKALIKSNVRTFDPAVADFFFMPIYVSCNFSTVNGFPAIGHARGLLSSAVELISSEFPFWNRSNGSDHVFVASHDFGACFHSMENKAIADGIPEFMRNSIVLQTFGVRYHHPCQDVENIVIPPYVSPEKVQSVLSMSPITGRRDIFLFFRGKMEVHPKNVSGQFYSKRVRTEILRKYRNDRRFYLRRNRFAGYLSEIVRSVFCLCPLGWAPWSPRLVESIALGCIPVIIADGIRLPFETAVPWSEISLTVAERDVGKLRRILDYVAATNLSAIQRNLWDPKVKQALLFHDDVEVGDATWQILVALSEKLE >cds-PLY94496.1 pep primary_assembly:Lsat_Salinas_v7:2:156030538:156035317:-1 gene:gene-LSAT_2X81521 transcript:rna-gnl|WGS:NBSK|LSAT_2X81521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDSLERLESESSGMKDDLSMEIDPPFKENLATAEDWRKALQKVVPAVVVLRTTACRAFDTESAGASYATGFVVDKSRGIILTNRHVVKPGPVVAEAMFVNREEIPVYPIYRDPVHDFGFFRYDPAAIQFLSYEEIPLAPDAACVGLEVRVVGNDSGEKVSILAGTIARLDRDAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVVDWQGRAVALNAGSKSSSASAFFLPLERVVRALNFLQKGKDSFQHKWEAVTIPRGTLQTTFIHKGFDETRRLGLQSQTEQLVRLASPLSETGMLVVDSVVPGGPGHKKLEPGDVLIRMNNEVTTQFLKMETLLDNSVDQNVDLELERGGKPLNVKLTVEDLHLITPNYFLEISGAVIHPLSYQQARNFRFQCGLVYVLEPGYMFFRAGVPKHAIIKKFAGEEILNLQDFINVVSKLCKGGRVPLEYISYTDRHRRKSVLVTVDRHEWYASPQIYTRDDNSGLWIGKQALPHGCSFLSCGTKINGVLVNGVKTEDGSDTGVKKRRVGEEVSDYNLNEEPIIESHEDVKDSQGTITTADFGNASVAERVIEPTLVMFEVYVPSSCMLDGVHSQHFFGTGVIIHHSENMGLVAVDKNTVAISASDIMLSFAAFPVEIPGEVVFLHPVHNYALVAYDPSALGPTGASVVQAAQLLPEPALRRGESVYLVGLSRSLQATSRKSVVTNPCAALNISSADSPRYRATNMEVIELDTDFGSSFSGVLTDEQGRVKAIWSSFSTQVKYGSSSEDHQFVRGVPIYTISQILEKIISGAKGQKGPCLLINGIKRQMPLVRILEVELYPTLLSKARSFGLSDDWIQELVKKDPVRRQVLRVKGCLAGSKAENLLTQGDMLLAVNKKAVTCFRDIEDACQELDDEVEGRLLLTVFRQGCEMEILVGTDVRDGNGTTRVINWCGCFLQDPHPAVRALGFLPDEGHGVYVTRWSHGSPVHRYGLYALQWIVEVNGKPTPDLEAFVNVTKEIENGEFVRVKTVYLNGKPRVLTLKQDLHYWPTWELRFDPETAMWHRKTIKALQTIKDV >cds-PLY72103.1 pep primary_assembly:Lsat_Salinas_v7:7:57429520:57430119:1 gene:gene-LSAT_7X41860 transcript:rna-gnl|WGS:NBSK|LSAT_7X41860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYFSQFTVTRKKSVKDGLNVFYAACRPRDKAHRLHTRRMQRRENNVQSFEKLRQ >cds-PLY82826.1 pep primary_assembly:Lsat_Salinas_v7:1:87179134:87184793:1 gene:gene-LSAT_1X72220 transcript:rna-gnl|WGS:NBSK|LSAT_1X72220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNEGGGSSGVTSAVKPTNFALLSEGCVSYILSQTSPRDVGRASSISRGFKCFADSDAVWERFLPADYLDIIARSVSPVVYSSKKELYFRLCDSPILLDDGNLSFYLNKKNGKKCFMLGAHALSIAWQDTLVFWRWRSLTESRFSKVAELLAVCWLEIKGNFRTKMLTSATSYAAYLVYNIGEISHGLDFTGKTLVRHVGGDDDDDDVAKTVYLKPPKTGGGLQDGCRDGEVMMMGGGPQRRVDGWMEIELGRFYNGENAIDDEVEMCFMETRQLHWKSGLIVEGIDIRPID >cds-PLY75222.1 pep primary_assembly:Lsat_Salinas_v7:2:203575788:203576291:-1 gene:gene-LSAT_2X124381 transcript:rna-gnl|WGS:NBSK|LSAT_2X124381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCVILERETAYSSKDISVNTLIESFIFYLFGFPFAPAALYYSESTQLVDRRFRESLLVYGCCIVVEFLINPR >cds-PLY81196.1 pep primary_assembly:Lsat_Salinas_v7:8:287309282:287313071:1 gene:gene-LSAT_8X161781 transcript:rna-gnl|WGS:NBSK|LSAT_8X161781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTASSKFSSPVLLEHLFIAAIAFNCFVVYASSDPSVSPELEGGREIGVGQRGLKTFKEKATGTNITFDCSPFGPCIPCLYSEKKDENFRCSETGYRIPIRCIKILNQGDEEENEDKKKKKQKGRSLSEDSSSSSTSSSSGIEVGKEVYLTYRSCIPAIDEEKLSFIGFEAIMLVMLACSSFVIYKRKGTLGMQGGFIRLPTNPPRF >cds-PLY93625.1 pep primary_assembly:Lsat_Salinas_v7:8:114512035:114512510:-1 gene:gene-LSAT_8X74101 transcript:rna-gnl|WGS:NBSK|LSAT_8X74101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAILKGTDDKSQSENEGNPEPEFTEGNGSDVLPEMVMLDLESVADLLGAGYSMVEIESMRGVQVELDDMPPVEMDVNEVEDIPYVDGEMEGNEGEGDADDAGEVAGEDEGEVMVMVLVRVMVLVK >cds-PLY74228.1 pep primary_assembly:Lsat_Salinas_v7:1:77645403:77648043:-1 gene:gene-LSAT_1X65581 transcript:rna-gnl|WGS:NBSK|LSAT_1X65581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSGDGFSSPPSSLSSFIHDPIPNPNPNPNPNSSVSGKRKRNQAGNPDPDAEVIALSPTSLMATNRFVCEICSKGFQRDQNLQLHRRGHNLPWKLKQRNKNEVIKKKVYICPEKSCVHHDPSRALGDLTGVKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRKDSFITHRAFCDALMEENSRMTSLTVLPSMPHLGFRNDLMIMNGGGPGGGSGGAGNTQFHQGVFGGGLESPLDVNGSKQRLPIWLDHNANNPHLENTNSSTFLGSSSSNYNNGGMLQPEMVNWLSRYNGLPQGLSLKEEEESQKEMQLNSMFNYGGSNTQNNLMCSSLPPPPPPPVANMSATALLQKAAQMGSTRSTNPGVSGGKDTGFGLMSTALSSLSSPRNGERLMMMTTPPADGSRQSKATGGNEFGDGDLTRDFLGVARNERNVSFSLQQELSKFASSTMAFDQFNRNHE >cds-PLY71143.1 pep primary_assembly:Lsat_Salinas_v7:9:82993737:82996631:-1 gene:gene-LSAT_9X65520 transcript:rna-gnl|WGS:NBSK|LSAT_9X65520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLAGNRKLQRGREVEEVELASMMEAAVGAVAVVEQPWWRNWAVGSGGRGIYHSLRRPERTFIFEIVSFQNCYGYEQKISGAVGNDFVKIDQKDGHVTVSTRLLPESVRLALEEKTRRKVVLLYELIPPHNPRSSLQPSSHHGEGQNATNSLRRYGKIYHEHNLTLTKGNISSYKCSGCKELGIGDRYKCNHCSYILHPDCMYYTRITTHKFLDGSTFKFHQTRFDSKDRYCDACGSDIEGFFYHCEKTSKDLHPCCLKLTETVDVGETKFMLRRKLTTECFYCSSKKKDHKDNCWCYSLESQDMQVHVSCMKDALQNCLKGERNNNMSTMVVVRQRKQTSEGLKWVQRLLKVAVCVLSGNPFPLLEVALEVFE >cds-PLY80173.1 pep primary_assembly:Lsat_Salinas_v7:4:186842925:186848013:1 gene:gene-LSAT_4X108900 transcript:rna-gnl|WGS:NBSK|LSAT_4X108900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKREHLNELFLGLSNALEIREENNGKAFILDETNRIFKCMIDQITRLKEENATLLSESQYMTTEKNELEYETRALDIQIGELKSVVQKMPDLNESPIESQELDFPVVSLAYPLYASVRAIETKSPVDDQQWLTYWVLYSMITLFELTFAKLIEWIPFWSYAKLIVTCWLVIPYFSGAAYVYEQYVRPFYNGKQTINIWYVPRKKDVFSKPDDILVAAEKYIQENGPDAFQEIIHRSDREVRYRGSSNIFGEDDHRF >cds-PLY78106.1 pep primary_assembly:Lsat_Salinas_v7:1:6341954:6343766:1 gene:gene-LSAT_1X5380 transcript:rna-gnl|WGS:NBSK|LSAT_1X5380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLHSVMDICSRHPTLKWAQRGDLLYITIDLPDAKAVNLKLEPEGKFYFSATSGADNIPYEIDINLYDNVNVDESKASVGSRNIVYIVKKEESKWWSRLLKQEGRTPTFIKVDWNKWVDEDEQDDKAGPDMDYDDVNFSSLNLGGGGGGEEEEEDFDDEDEDESDTATEEEKEEETINASSQVEAKAKA >cds-PLY81836.1 pep primary_assembly:Lsat_Salinas_v7:3:31949000:31949176:1 gene:gene-LSAT_3X21640 transcript:rna-gnl|WGS:NBSK|LSAT_3X21640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKVLDMAMQMHGATRVSGDTVLSPLWAQARTLRIADGPDEVHLGTIGKLELKRAKL >cds-PLY76411.1 pep primary_assembly:Lsat_Salinas_v7:9:126540321:126541133:-1 gene:gene-LSAT_9X82400 transcript:rna-gnl|WGS:NBSK|LSAT_9X82400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQIAIRFLRIHTVDEVFTTTFRNPTNKLFLPTDRSYSSSSFDLIHATLFPGNGIGPEIATSVKQIKGVDLRGSRLSLIGSSDAEGSGDDDEGKGNGKKMMMSSVVLGKYDIMKTKRIPLKFLEEEDDLSLHVQAIRNEFNKRRMAEKDIGVGDDDSILSPKRLYVDNRFGVVVFSGKDALVK >cds-PLY90753.1 pep primary_assembly:Lsat_Salinas_v7:3:35933306:35934091:-1 gene:gene-LSAT_3X26900 transcript:rna-gnl|WGS:NBSK|LSAT_3X26900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPYGTVRPSLAKGTVFPFGDGVIHSVPLEAGHLRVSVDIIYGDHHSFLLPISPIEGVYNLGQALHSFIQWPRDSITLIKKDKRPFIEPLPMSTQAAPILHDQEKEKENVNVEEAKEHKKKAKKKQEYEKNLPRKKTRKLEASKEMMGDEA >cds-PLY64743.1 pep primary_assembly:Lsat_Salinas_v7:7:103756599:103758710:-1 gene:gene-LSAT_7X68000 transcript:rna-gnl|WGS:NBSK|LSAT_7X68000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSLFKEEQACESSKHASTSNQSIFDQETGSSNTLEKGQSEVIVKSPSFICFTLLMDKTLVRMVVAEALGSFIVMFSIGGIIKSTELMRGVGLLEYAVTAALAVVMVVFSIGHISGAHVNPAVTIAFATVGPFPWRRVPLYILAQVAGCAMATYAGVLVYGMKPEVMTTRPLLGSNAAFWAEFLASFFVLFLTASLVHAPPSVTQFSGFIVAVGIALGVLITAPISGGSMNPARSLGPALVSFNFHGLWIYLTAPILGAVSGAFMLRMLKPCTSSTSSPSSSSSSRRSQFLQIH >cds-PLY90828.1 pep primary_assembly:Lsat_Salinas_v7:6:155710881:155711275:1 gene:gene-LSAT_6X94120 transcript:rna-gnl|WGS:NBSK|LSAT_6X94120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEDRRRHEQPTNSGRRSMKRKLEDELIVDHKFSSTDAVSQQDLLYEICAQVEVLDSTFSSSEAHRALVKRSLHALFEFANNEEAAIQYEAVSFCTIS >cds-PLY81146.1 pep primary_assembly:Lsat_Salinas_v7:9:66328053:66331444:-1 gene:gene-LSAT_9X58300 transcript:rna-gnl|WGS:NBSK|LSAT_9X58300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor SF3a60 homolog [Source:Projected from Arabidopsis thaliana (AT5G06160) UniProtKB/Swiss-Prot;Acc:Q9FG01] MSSTLLELTRAAHEETEKLERLIVKDLQNVPASNKDRLSQSHRVRHMIDQITSTTHKLIEIYEDKDNARKDEIAALGGQTASGTNVFSAFYDRLKEIREYHRRHPSARVIDTTDEYEELLQEEPQLEFSGEEAYGRYLDMHELYNDYINSKFGKQLDYSSYLEVFSQPHTIPRKLKMTRQYREYLSKMMEYLVYFFERTEPLQDLDRLFSKVSAEFEEQWANGKVDGWKNEGQENGHVSDEHTSIDLDYYSTVEELVELGPEKLKEALAALGLKTGGTVQQRAERLFLTKNTPLEKLDKKHFAKGSRKPQQNGGVAVSNQEDDSKNIALMEAKIEKLCEILSETIMRTKENVEKKQALTYEEMEAEREDEEVPDIESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNYSYWGRRAYERHFKEFRHQYGMRRLGIPNTKNFNEITSIEEAQILWERIKEKQGVNKWRPELEEEYEDREGNIYNKKTYTDLQRQGLI >cds-PLY93530.1 pep primary_assembly:Lsat_Salinas_v7:4:277539567:277540472:1 gene:gene-LSAT_4X143581 transcript:rna-gnl|WGS:NBSK|LSAT_4X143581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISYKQNTACGVRSIRFPTTSYPITHRIENELTHIKTWVLSSWSCKPTTETVSESLCKLSPLYGLLQELISSLSSIQNENWVDELMDALVGFLDVCGIMRDIVLEYKGHVVVLQCALRRRKGVSTLENSIVQYNSFRKRITKDVKRLIASFKQSMARKLDHQHDHDHEVVIKTVKEVTNVTVTIFESLLKLFLMEESKTPTENKWSIVVSKLFQKSRVTCEEHHHLQQEQRSRITYDFEGLDVVCPTRSIKDGLWSWSNGKCQLETMEAQLGRIEDSLESIYMTLIRTRGSLLNTVSYMN >cds-PLY78286.1 pep primary_assembly:Lsat_Salinas_v7:1:131579596:131580167:1 gene:gene-LSAT_1X97381 transcript:rna-gnl|WGS:NBSK|LSAT_1X97381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISTSHFMYFAIVVCSLATILGNNNSVSAQCQGDVQGLMEQCARYVQKSGPKIQPSGGCCGVVKNVDLSCVCNHITVEVESVISMEKAAFIAEACGKPLAHGSQCGSYIVP >cds-PLY83457.1 pep primary_assembly:Lsat_Salinas_v7:9:89805128:89806479:-1 gene:gene-LSAT_9X68280 transcript:rna-gnl|WGS:NBSK|LSAT_9X68280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 3-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04260) UniProtKB/Swiss-Prot;Acc:Q8VZT6] MSDAARLVAISPLQSLKSPYPPSNRVVFSSCGSSRFVSDLCDSLKRQGNVDGGRVKAITNSSQQGSIQGLDTSSPVSIELKPILNETQFDRMIAEAEQLDESVVILWMAKWCRKCIYLKPKLEKLAADYHPRVKFYCIDVNNVPYKLVVRAGVTKMPTIQLWKDSKKHGEVIGGHKAYLVVSEVRDMIEGEDES >cds-PLY74518.1 pep primary_assembly:Lsat_Salinas_v7:7:35369924:35371610:-1 gene:gene-LSAT_7X27101 transcript:rna-gnl|WGS:NBSK|LSAT_7X27101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCRTLTCAPTNVAIVAVASCVLSLERLKVGTNIEDIYLEHRIERLTECLGSLSGWKRCIKSMIDLLEDCVSQYHVFVANELFKEEQLTNENKRREEGDDVRSKRNMVEVSIVIKIVHKLYKEWQQSKKEVSIGVVSPYPAQVVSIEEKICYKYEKRDGCSVNVKSIDEFQGGEEDIIILSNVRSNSHGNVGFTSSHQRTNVALNRARTLARSDSIWKDLVFDARNRRCMFDADADKCLKEIIIDAKKELEPLNDMVKGNSLLLKHVKWKILFSDNFRKSFGKLMDSRMKNVVVDFLQKLSGGWRPNDGNTDLKVLKIYMAEGLYLICSIDIIKEFKYIHVLRIWDILPLKEIPNLRKELDNIFATYTNDHMYRCTTKHLEGYAHLPFSKSF >cds-PLY66462.1 pep primary_assembly:Lsat_Salinas_v7:5:327996296:327997945:1 gene:gene-LSAT_5X183501 transcript:rna-gnl|WGS:NBSK|LSAT_5X183501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFKASLILSFLLLFSTCLARHQQQQQKQQQLPQNQCQIGRIDALEPYDRIQAEAGVTEFWNADEKQFQCAGVEFLRHVIQPGGLLLPSYVNAPLLSFIEKGRGVHGVILPGCPETYEYSQEQQFSGQSERRGQKFQGLQDRHQKVRNLNQGDVVAIPAGTAHWIYNDGETELVAVVFFDTQNSANQLDQNHRRFFLAGNPQGQSEQQQGQRQPRRQQGQTQQEQPYRNAGNIFNGFDVEFLAEAFNVDRETAEKLQGQRDQRGHIVNVEQDLQIIRPPQTREQQEREQQGGRQGGRSNGFEETICSLKLSENIDNPTHADFVNPQAGRITNLNSFKFPVLRQLQLSAERGELHPNAIQAPHWTLNAHSLVYITDGSLRIQIVNNAGESVFDDELREGQVVVIPQNFAVIKRAGEQGSRWISFKTNDNAMIANLAGRVSAIRSMPVDVVANAYQLSRNDAQKLKFSQQESLLLSPSSYSRSQGRASA >cds-PLY76114.1 pep primary_assembly:Lsat_Salinas_v7:9:31800081:31803073:-1 gene:gene-LSAT_9X27380 transcript:rna-gnl|WGS:NBSK|LSAT_9X27380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVEETERGSFFYKDVLPFAAMVLVELMVVSGNTLYKSASANGINSYVFTFYVFFIGFIFILPLPFILHRRTSVPVPPINFSIVGKIFMLSVLMYLSQIFGYIGLKYSSPTLSSIMSNLSPAFTFILAFFFRMESIDFRSYTSQAKIIGTIVSISGAIIATVYSGPSVLSNPISINWIVGGILLASQYFLLAFALVAQAKIMKEYPVDVMVVFVFGISGLFVAGVAGLVMAQDLDAWKLQPNLLLVTILYMGFSSGFLNVVIQIWTLRLKGPVYVAMFKPLTIVIAIVMGVLFLGDLLHLGSVMGGIIITVGFYGVVWGKAKEESPSSIQITAPLLQPHHNLEQGPCIH >cds-PLY65504.1 pep primary_assembly:Lsat_Salinas_v7:3:172843:182386:1 gene:gene-LSAT_3X1001 transcript:rna-gnl|WGS:NBSK|LSAT_3X1001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVKPTKVLDFWRRHKIKVYVSLGLCGGGYLLYKFYDAYSKRISELERQLADEQENDELLKAQMQMHFENIQRIANTMTLPHAMLYLSSRVSEELDLLHLTERLIKGKGQPNSLTPSEKLELWDRLKILSFTRMVLSIWAMTAISLYIRIQVNILGRHLYIDTAQDLGGFQTEDGELMDRSDEQLFLASADFLSSQRIPTLISNMQAATTEVLRGVGTGFQNTTAISDTTITTAIFTSMVRDRVKGAGLKQEKPNKFLSLILRDGENSGGEVPGAEKSVIEEQRATDFHVERLTEHQRSTWEKATLFNNRLEWGYLGYPSNGLKNDNWAAIDSWGSQLLNGKMDLVLTSKQNISPLVHWNENNNVHHNQLPSPSPTPAMAYVFQRDRRVRSSSRTE >cds-PLY86187.1 pep primary_assembly:Lsat_Salinas_v7:3:82546808:82548987:-1 gene:gene-LSAT_3X63601 transcript:rna-gnl|WGS:NBSK|LSAT_3X63601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALIDIDLKTVRIQALDLIHFITEREGRFDEFNQTVNCSLLEVLILLFSTETSFVEETDDCIEVRPVACLPKPPDPNDLYPRQSRSKGVKLAWSYHISHCIGCCLEVVVILNEIPQDVEEVFLTGATSKFGRVIAIYLARSKVRVLSTERFISIWKEIPLDNRHLLIQVTKYQETKQCKTWIMGKWTTPSEQNWAPPGTHFRHFVVPLVFEFRRDFTYSKLPAMKLPVDVEGLGICEVM >cds-PLY79674.1 pep primary_assembly:Lsat_Salinas_v7:5:254430402:254430722:-1 gene:gene-LSAT_5X127701 transcript:rna-gnl|WGS:NBSK|LSAT_5X127701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRQASVKNHQMRWVSELKFDSQTYHESDDAYVLQLLKAIEGETRVLAASMIMDEISKGTKDFMKEVFEKVKRKLNKFRLWIYNANIKQLVDVHRHEYFSYLGQKV >cds-PLY68690.1 pep primary_assembly:Lsat_Salinas_v7:7:84387987:84391166:-1 gene:gene-LSAT_7X59080 transcript:rna-gnl|WGS:NBSK|LSAT_7X59080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g33170 [Source:Projected from Arabidopsis thaliana (AT4G33170) UniProtKB/Swiss-Prot;Acc:Q9SMZ2] MQRHYNFRRSSSLRPFSATNLRSHFPDVTPQNTQSCYSTIASSSSSQSPWFPFIRTAIARNDLSFGKSTHALIITHGHTTSDRFLTNNLINMYSKCGALLSARRLFDEMPQRDLVTWNSILAAYASSCDSHSGNVEEGFRLFRLLLRSSDVSLTKLTFAPVLKLCLMSSYVWASECVHGYSVKIGLESEVFISGALVNIYTKFDKVRDARMVFDEMPEHDRDVVLWNVMLKAYVKSGLQEESFHFLSDFHRNEFVCPDIGSLQCFLNGFSEEDDSSKNYKDQVQAYAIKLSFTNEDDTSLNVISWNKTMSHYHQSGDHSSAIKSFLDMNRSNVRNDEVTFIVSLSTVVALHDPKLGQQIHCMSIKPGFETTVNVSNSLINMYSKTGCLTSARKVFFHMEETDIVSWNSMINSYVQSGLMEESVKLYIKLLHNGLKPDNFTLASVLRACSSFLTDQNHAYTIKTGLENDTFVSTSLVDSYSRNGRTNEAKFLFLDKSEFDLASWNAMVFGFISSGNSHEAWELFTQMHKNGKKPDEITLATMCKASSFLVSSKHGKQIHGYALKHGVDQDLYLSSSLLDMYIKCGEITDAHKVFDEMPTPDDVAWTSMISGCVENGNEDNVFLIYHKMRQSGVFPDEFTFATLIKASSLTTSLEQGKQIHANAIKSDCVLDTYVNTSLIDFYAKCGNIEESYQLFKRTRVQNIVIWNAMLVGLAQYGHGKQALELFNELKSVANLSPDKVTFIGVLSACSHSGLIQEAYEHFNTMIENYGIAPDIEHYSCLVDCLGRGGRLLEAEKLIESMPFQPSGSMYRALLNACKLQGDMKTGKSVAKKLLELEPFDASAYVLLSNIYAGSNQWSEVADSRRMMMMKNVKKDPGFSWINVKRKAHVFVVDDRSHLESEKIYEKVEDLVKLIKEDGYVPDTDYVLLDVEEEEKERSLYYHSEKLAIAFGLMSLDECVTIRVIKNLRVCGDCHNAIKHVSKVFGREIVVRDANRFHRFSNGVCSCGDYW >cds-PLY66824.1 pep primary_assembly:Lsat_Salinas_v7:7:20775245:20779088:-1 gene:gene-LSAT_7X17820 transcript:rna-gnl|WGS:NBSK|LSAT_7X17820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVIGFDIGNENCAIAVVKSGAIEVLLNNESNRETPAVVSFGEKQRFMGSAGATFATKFPKSTISQIKRLIGKLYNEPGVKEDLTLLPFETSEGQRGGVLIHLEYMNRKMTFTPVEILGMLFSHLKQMTEKNLESPVIDCVIGIPSYFTDLQRREYLHAASIAGLRPLQLVHDCTAVALGYGMFKTDFLKKGPTIVLFLDIGQCDTQVTVAAFEKGKMEILAHSFDPNLGGRDFDEVLFSHFATQFKQQYGIDVYTNVRASMRLRTACEKLKKVLSANAEAPLSIECLVDDKDLVGFITREEFEKLSAKLLERVTDVCHMAIKDYNLHKIHTVELVGSGSRIPSIVSKISFLFKREPMRTLNGSECVARGCALYCAKLSPTINVQDYEIKDIFPYSVGLSFVDGENRRHPELMPFPKGSSFPMNRAVSYDGNTTVSCELFYTNKPDSAVSTRVGRFMISSDQISGDKNAKVTVNVKLNVHGIVEIQSASVLEVIQPPTDNSFQSRTKQLIINKIGNNFGWNDDAAYKPTDSTQLFNPRHAVEPRRRSNRGIEQKLSVSENHHVLTTKEEIDTAQQKAQMFAKQDIMVEKTKEKRNTLESFIYDTRTKLSSSYRSMATKSEVDIISNHLQDTEDWLYEEGDDESEQVYIKKLQVLTKLLVPIEARYKDDNDRQEAITALQTCIRENLQAAPSHKKQEVNYECSIVQGLVNRLSQPQDSLTKNVYYTSIINGITQTLKGRCEVILNPKPSLLNYEEPVDSYLQQNPNYQMQLDN >cds-PLY95049.1 pep primary_assembly:Lsat_Salinas_v7:5:226456638:226457607:1 gene:gene-LSAT_5X106240 transcript:rna-gnl|WGS:NBSK|LSAT_5X106240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVNHMKGAIIFSDLGFESDTLQDHLSVLAINLNKSRSLIYPSQSKASKLCAMLPSLADIVDKEHKKLLARKSIIEEEETKRIKLQKKTEEVEQKRLATEFNERKHQHILREIEEREREEAQELFNDVGKRIKKKGKKPIIEGVSKVKEKKKDWSFFHADDCWLFLLILHEKVTEQSLMELVMQEQVRERQEMEKRIQKLIKTMDHFERAKREEAAPLIEAGFQRCLAEEKLWHEHEQQAIYLLCNIKKM >cds-PLY78502.1 pep primary_assembly:Lsat_Salinas_v7:4:124112505:124115807:1 gene:gene-LSAT_4X78921 transcript:rna-gnl|WGS:NBSK|LSAT_4X78921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEACAECTQNCLLMHKKEKNPSPVATSFVKVMFGDEYSKVLFLPPKFAHTVQKKSGRSTWLEDSNGEKWKVRFTKIDGLLAFEEGWNTFCLAHGLKVGDLLVFHYIMESYFVVSMYGESGCPENRHFGFTPNPMKETRKEHKLTTVDHHIPSNATTNGNHHHLEIKDSVGSQQKEISIKKKRTDDMMSPNTHDHLVASSSQSQPSDSDKEKSQRSKPLVNTNPDNTNCETPTIVKNNHSAPVQDSEDEHLGVNSLQKHDVSILQTSPKKSSGGRKDDVAAGGGGDGTKVKESGKLLETLSKKMTEKPKENTFKPSIKKRLRSTMFPMTPTKIVKKDSVLTSQTDVSGSKSRNDDGAPASSPKPIVSPPKIIKKEPISAREEHDSRFHNVGVPHKPIAKPMEMPNKSPPTLKRDSTGVMKTSSSGKTDFNNSHKEESNNFLQKVKPEPVDYEDTPWTGPTNTSFSAVMSSYQYLEIPKWVKLKSKVILLRNKADLWPVLYQNKVGLKALTQSWEAFARQRGIQIGDNCEFVLESEANSNFTCSVFTVHVTSK >cds-PLY85592.1 pep primary_assembly:Lsat_Salinas_v7:2:121130902:121131147:-1 gene:gene-LSAT_2X54620 transcript:rna-gnl|WGS:NBSK|LSAT_2X54620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWGEMMATGDEALSGEMTATDNAAIPAVDETMCGCIFWYALSVFSGHDGTRGKKNKEGGKEVSYFPVSDVYYVDPVSNLR >cds-PLY85752.1 pep primary_assembly:Lsat_Salinas_v7:1:47808979:47809773:-1 gene:gene-LSAT_1X40940 transcript:rna-gnl|WGS:NBSK|LSAT_1X40940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNKHDNQSSTEVSKSPRQLQRKLDSPVKPEEHPDLDPMKNSSKRRNTAAVSLDGLLTPKNEDPDHTLMMKTDIHIPEKRTPVDDPLWRGNAVGKEVKELLEAVEHHYPNTFQRVQIRVKPFWSAILEGFHATIKRFMGTSVDALTVDQIASLQEDLKELEEFKFDLSWALKRLDMVNRLKFGNEPLHKELMALEDSLEPLKATVDMRWKQLMEAHDMYKRAVSEYENGIDARNKKTQEMEQMFGADFDRVLKGHLGFGLLPGY >cds-PLY68840.1 pep primary_assembly:Lsat_Salinas_v7:3:63190159:63192803:-1 gene:gene-LSAT_3X49320 transcript:rna-gnl|WGS:NBSK|LSAT_3X49320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit alpha-1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G59900) UniProtKB/Swiss-Prot;Acc:P52901] MALARRSSNLLKPLSTASSSSFLHRRPFSTDDSTTITIETSVPFTGHNCEPPSRSIDTTPKELMTFFTDMALMRRMEIAADSLYKSKLIRGFCHLYDGQEAVSIGMEAAITKKDCIITAYRDHCIFLGRGGTLLESFAELMGRQAGCSRGKGGSMHFYKKDACFFGGHGIVGAQVPLGCGLAFAQKYRKEDHVTFTMYGDGAANQGQLFEALNMAALWDLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDAFAVKQACKFAKEHALKNGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERIRKLILAHDIANEKELKDIEKLARKEVDEAIAKAKESPMPDEPELFTNVYRKGYGVESFGADRKELRATLP >cds-PLY94634.1 pep primary_assembly:Lsat_Salinas_v7:1:40663433:40666732:1 gene:gene-LSAT_1X36061 transcript:rna-gnl|WGS:NBSK|LSAT_1X36061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKESAGKVGGGLPSVDARYTQWKYLVPILYDWLANHNLLWPSLSCRWGPQIEQATYKNRQRLYLSEQTDGSVPNTLVIANCEIVKPRVAAAEHISQFHEEARSPYVKKFKTIIHPGEVNRIRELPQNMNIVATHTDSPDVLIWDVEAQPNRHAVLGATESRPDLILTGHQENAEFALDMCRSAPFVLSGGKDKSVVLWSIHDHISTLATKTGGTNDKPSESPVIQARGVFQGHEDTVEDVQFCPSSEQEFCSVGDDSCLILWDARTGSSPVVKVEKAHNADLHCVDWNPIDENLILTGSADNTIRLFDRRNLTNNGVGSPIHIFQNHTAAVLCVQWSPDKSSVFGSSAEDGVLNIWDHNKIGERSGEASKGLLFRHSGHRDKVVDFHWNAHDPWTIVSVSDDDETTGGGGTLQIWRMIDLIYRPQQEVITELDKFRSHILTCSSQSHQDLTNQNS >cds-PLY95861.1 pep primary_assembly:Lsat_Salinas_v7:5:64600383:64600943:1 gene:gene-LSAT_5X31360 transcript:rna-gnl|WGS:NBSK|LSAT_5X31360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCKNEKIVPPLKEKKHPCRPKKPHSDETPSKRQTRSGGRGGRGPTRERGGKGSSAGTSGGGGRSLIDEMFDSPMENEVINMIDNFEEELYRAEMERGESTHMMQFPESQFDEGVPINQDDRVPETQFVIENANWEDQYHVVGGISIYVPIIREKLKPRNPSG >cds-PLY71924.1 pep primary_assembly:Lsat_Salinas_v7:3:25920484:25921115:1 gene:gene-LSAT_3X18141 transcript:rna-gnl|WGS:NBSK|LSAT_3X18141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDGVRVWSYWGQVVDLWLGVRAIRKASSTVIIQRDRVIIFWFLIEPSSIALVWIIRMVRIVEASHANYARMGKERLIDVLIYDTYNMITCCNITITLRHMLVTPKEM >cds-PLY84789.1 pep primary_assembly:Lsat_Salinas_v7:8:24659666:24660690:1 gene:gene-LSAT_8X20321 transcript:rna-gnl|WGS:NBSK|LSAT_8X20321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIDIVMQVALILITLFMFLWMQKIPQNLFTKFRYRNRSSYSAKRHFIIGAQLLAKSRSTKDRSSAVKLAKTAAEEADKSIALDPKDAASHILKALALDVQGFGTSALEALDVALSPLTSKSLSSEERGDALLKRAEIKIKGSKRGLVDSAIDDLQQSVKLKGDKATAFRLLGECYEKKEMKEEAVEAYEGALKVDPKCTPAQDALNRLGSSSISTQ >cds-PLY96106.1 pep primary_assembly:Lsat_Salinas_v7:3:99146960:99149587:1 gene:gene-LSAT_3X74361 transcript:rna-gnl|WGS:NBSK|LSAT_3X74361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTPNPNRLYPVVELNFKGVFLRNPFSYKHGGEAVDELDQAVNEGGEAFNEGEDNVNVSEVHVQQDYDEVELAPL >cds-PLY77365.1 pep primary_assembly:Lsat_Salinas_v7:1:207178335:207181241:1 gene:gene-LSAT_1X122220 transcript:rna-gnl|WGS:NBSK|LSAT_1X122220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPLVHPAVLVETFEHGESVTRYVDDLEGQVRLKSSLAHIGTHALLKMLLVDNFIHADMHPGNILVRSKSSRKGIFKSKPHVIFLDVGMTAELSKSDRVNLLEFFKAVARRDGRTAAECTLKLSKQQNCPDPHAFIKEVTESFDYWGTPEGDIVHPADCMHQVLEQVRRHRVNVDGNVCTVMVTTLVLEGWQRKLDPDYDVMHTLQTLLLKEDRAKSLTHTIESLMDP >cds-PLY98861.1 pep primary_assembly:Lsat_Salinas_v7:5:20569223:20575630:-1 gene:gene-LSAT_5X10520 transcript:rna-gnl|WGS:NBSK|LSAT_5X10520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTNAAAREHVDEIRRRKFSIGGEPNPLTEDLHQAVKNLSAELYAKDVHFLMELIQNAEDNEYPEGVDPSLEFVITSKDITNTGAPATLLVFNNEKGFSDKNIESICSVGRSTKKDLRKRGYIGEKGIGFKSVFLITAQPYIFSNGYQIRFNEKPCEHCNVGYIVPEWVEEDPTLSAIQSVYGSATSLPTTTLVLPLKPEKVKPVKDQLSSVHPEVLLFLSKIRRLSVRKGNEDPRLDTVNAISISSEKNFFTSKSMDAVSYTVHLTADADDSDDEDETECGYYMWKQRFPVKQENKVDVRMEVEEWTITLAFPIGERLSRGSTLPGIYSFLPTETITNFPFIIQADFLLASSRENILWDNKWNKGILDCVPLAFLNAFTSLVKSTENAPVSSLPFMFRFLPVYESSHPKLNHVRDAIKAKLMNEAIVPCESHTEQKLFCKPNEVGRLKPAFWSILKKARRQGVSFSNISSHGAYLLASSFDKSDYNVILDFLEIKPVSHEWYAKCIGSSNLVMGVSEDVYIQLLLFIAGSWGSCFYKTNMKNTPLIKYIGRDGKVDVITLVSGTNKLLAADSDSDDSDDFSWLINWNTEFQCSLGKFFLPKVTQEAMQSCSKKTTLVKWLKEKVKVEFVSVCEYAELLGPSLKSNSKLAVIYAHFLHNSLQKDYLRMYEVQNLCCDMPIVDNYGQVTREHREVLVPANGSKWVELIGSNPWRQHNYVELGEDYTRSMTHFGDVTSGKELVLFLQKYVEASDVPNLSPPNAAIPTLSSPLTKKNTFLLLKWLRNLRLSEVSLPKRFLSSIKNGSWLKVSLNGSPGYRPPSESFMLDSTKGSLLQNGSVLVDIPLVDEKYYGVTMKKYKDELETIGVRFQDSDACKFIGKRLMDLATSSQLTKDNVLSILKFIEYLGKHSIPCGEFIKVIREKEWLRTTRGDKTPSKSVLFSQDWNAASQISDIPFLDQDYYDTEILSYKNELNLLGVVMNFDNSYQLVLDNLKSSSSLTCLSPEAMLLILRCIQNLQSSDKLVQAIKNNKCLKTNLGYRCPSECFLSNPESEWGCLLKVFGSFPVLDEKFYGRSIFSMSNELKKIGVMVDFEDASKEFSRTFKQQASLSSIKKEHVLSFVQCYGKLMKLEIKFSSDFKKCIREEKWIRTRLGGYRPPKECILFGAEWEPISTISLLPFIDDNFYGNEIHNYRADLKGLGVITDFKDGAKFVANGLFLPQDCSSLTPANVYALLDSVKKLKEESGTDLPSEFLDKVSQKNWLKTYFGYKRPDECLLFDSSWDSLLKRKDGPFIDEGFYGTRIGSYRKELNVLGVITDSNKECQLLAGYLECHSNFETIGRIYNYLSTFKWEPVDEDSKRIWIPRGTDNGEWVLPQDCVLHDKNNLFGEQLNVLENCKYDGKILDLFANTLNVKVHPSIDDYCKLWKAWESSGSQIVTHKECCAFWEFVVRNWNPRTEDTFKNNLSKLPVLDPASNVIFLFDKCDVFIGDDLFLTDLFTKTFSRPIFVWFPQPSQKTLTRTKLVDIYTKLGVRILSESAQKNISDIDHAGFEPVNLKEKINKKGLFKLILAFLADPNLKIEPDKRHEAVSRVLAIEAFETPEKMSVRYSLTFSCGEVVDVEPRRMIRWDKQLSKLYMQKMERASGHKNVIEYASHFAEEIAEGVLWDNEELVPDLCELIRLGFLLEFDEEAVEFLMKIKNLQIFLEDQDFLSSTFS >cds-PLY76371.1 pep primary_assembly:Lsat_Salinas_v7:6:170729269:170732395:1 gene:gene-LSAT_6X104561 transcript:rna-gnl|WGS:NBSK|LSAT_6X104561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTYFEQFGHILEAVIITDKITGKSKGYGFVTYEDAESAKRACDDPNPVIDGRKANCNIASHGRNQGSSTTMTYSGAGSPVAPLLPPQPPSSVVYPPYGYAAYSPEYAYHQAMYNSAMQHAYYGPTSAPYYYGQSPTQLASPRGALTPQAQRLQQPSYMYYPTPPMGQVDYPTPPPPVLVLPPKQPVPSPSPITGSETPRNTTEETDDATISPRTPNT >cds-PLY76645.1 pep primary_assembly:Lsat_Salinas_v7:4:117116453:117117780:-1 gene:gene-LSAT_4X74361 transcript:rna-gnl|WGS:NBSK|LSAT_4X74361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSESHSMNGQNSGDGGSGEIMLFGVRVKVDPMRKSVSMNDLSQYVQIQPATHGSSSNSNNNFDGSTAVAADTGYASADDAVRNQSNGGRERKRGVPWTEEEHKLFLLGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRSNLNRRRRRSSLFDITTDSVAAIPVEEQKDDEDNKSQQISPLSGFPMAAFSPPSPLPLMENQMKTEAFCNGGQFNQSTRTNEKNSLSLSLNLSLSYDNNRMVDSSAFQVIPPFNNGDRMISVG >cds-PLY73102.1 pep primary_assembly:Lsat_Salinas_v7:9:22089805:22095524:-1 gene:gene-LSAT_9X20980 transcript:rna-gnl|WGS:NBSK|LSAT_9X20980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRHEKGVNVQVLLRCRPFSDDELRNNAPQVVTCNEYQREVAVSQSIAGKQIDRVFTFDKVFGPTSQQKDLYEQAITPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECKRSKSGRDGELPSHAGLIPRAVKQIFDTLEHQNAEYSVKVTFLELYNEEITDLLAHEEISRVVEDKQKKLLPLMEDGKGGVLVRGLEEEIVTSASEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKESTPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKTTLIKDLYGEIERLKAEVYASREKNGVYMPKERYYQEELERKSMADQIERMEIKIENQEKQYEELQTKFNARVQECSDLSNKLNSTQNDLNQTSKALANTQEELKKCEYVIKERDFIISEQKKSENALTHQACVLRSDLEKSLKDNASLFMKIAREDKLNADNRSVVNKFESELTQDIKSLCNMVATSSSQQNEQLQCIEKFCHTFININDKAVNELKKKVCASKALYVSHLEALQNVVVLHKANSNANLEEVLSLTSSNASSVEKVLAEEASEGLSIFDELHGSLSTQQGEMAHFARELRQANISNFINEFFDKLMEKSKDLGSHAIQVNEIQTKNIDEFQKAYEEHAKSDAEKLIADVTSLLSNHICRQKEMVDTRLATIKETATGSKKILDGQVSSFERITSDAKRKWEEFSTQAENDAKESADFAAAKHCRFESVLQKCFDTTETALQHSRKTQDLVIEMGKKHVTEVNSCVKSGVESNEQHDAEISSLRATAEHDVLKNSENISMQFNGTSEEEREVVSSILETTKSQTKNLESLHKDHGTQSASIQQKSHDTFHQKYMDYEATGNTPVRSDTDVPSKMSIESLRAMPMESLMEEFRENHSFEGKDSKISPATATTTTRPPLTQIN >cds-PLY97934.1 pep primary_assembly:Lsat_Salinas_v7:3:18927544:18929352:-1 gene:gene-LSAT_3X14701 transcript:rna-gnl|WGS:NBSK|LSAT_3X14701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEMDLSVNVNGQSKVPPGFRFHPTEEELLHYYLRKKVAYEKIDLDVIREVDLNKLEPWDIQDKCNIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVVHSSLRRIGMRKTLVFYKGRAPHGQKSDYIMHEYRLDDNIITTQDSSASNMSDYTHEEGWVVCRVFKKKNYHKSLESPHRSLPDSMDTRAQLQLLNKDDVLEQLLVYMDSRSCKQEIENFTTTQFVNPMFLHLPRLASSTQLTTSPPESSATFDQGSSLKTYDSMSDLLAEAEHSRNIHTKMMEDHECISNWADLDKFVASQLNGQMEESKQLYSCYGSESNDEKLDFSVDKDEQDVSARRNVASCNSELDIWSLTRPSSLDPLCHLSI >cds-PLY71479.1 pep primary_assembly:Lsat_Salinas_v7:7:191508225:191513500:-1 gene:gene-LSAT_7X116441 transcript:rna-gnl|WGS:NBSK|LSAT_7X116441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPGNQNQQPGGAPFDVQRLFNPSSSPPPISPTLQNPNHPYPPPSSAASYPPPTVTGGPYSYHPPQTNPLFHHQFHIPPPPFHPQQQPPPENHLPSNLQHQRSLPYPTSPLQPPSPKNTNPNHGARLMALLSAPPPSIPDIPSQSSIPLPNPNLNSMLPSSTGPSRMSSSKLPKGRHLVGDRVVYDIDVRLPGEIQPQLEVTPITKYGSDPCLVVGRQIAVNKTYICYGLKPGTIRVLNINTALRSLLKGLAQRVTDMAFFAEDVHLLASASMDGRVYVWKITEEPDEDDKPQITGKIVTAVQIAGEGEPVHPRVCWHCHKQEVLVVGIGNRILRFDTTKIGRGETYSADEPLRCHVDKLIDGIQFVGKHDGEVTDLSMCQWMTTRLVSASVDGTIKIWEDRKSSPIAVLRPHDGLPVNSVTFLTAPHRPDHIILITGGPLNREMKIWASESEEGWLLPSDADSWHCTQTLELKSSETRTEDSFFNQVVALSQSGLLLLANAKKNAIYAVHLDYGPNPESTRMDYIAEFTVTMPILSFTGTSDLLPHGEHIIQVYCVQTQAIQQYALDLSQCLPPPFYDEKIGLESKLDDMPLAEASASSLNETDISCVLSPPVSSSPKLSRNVSDLRTPSGGFEPRQQVNGYEDLKILETSNSNLANTPSLDDDTRIDESKVVKDDRTVKFMHPTHLVTPAELMATSSSEINHVTEVTHDVAVNPDTQNAEVEVKVVREMGTSQNADEAKEKSKLFSSQASGLGIDMARETYELKQLDEVADQTSDVLDDVQDMEIESAVPVGPTSKSKKKKGKNAQSVLKSTDSCDELGGSLSLSILSQIQSMQEAIGQILSSQKEIQKQIPVVVGSPITKEGKRIEAGIGKTMEKIHKANSDAYLARCQEEFAKQEKSNRERYQQIATSAANSHKELLTSSEKILKKEMAAVGPAVGRSVTPVIEKVVTTAVSEAFQRGIGDKAVNQLEKTVNTKLEATVSRQIQVQFQTSGKQALQEALKSSMEASVLPAFEMSCKTMFDQIDSTFQKGMVDHTTAAHQQVESIHSPLAFALRDTINSASSITQTLTSELTDGQRKLVALAGSKSVNPLLSQISNGPNSGFHEKMEAPVDPTKELSRLVYEHKYEEAFTSALQRSDVRIVSWLCSQVDLQGILTSNPVPLSQGVLLSLLQQLACDIGNDTSRKLGWMMDVVVAIKPTDGIIAMHVRPIFEQVYSIMNHQSSIPTTSVAELSSIRVVMRLVNSTLRTM >cds-PLY73194.1 pep primary_assembly:Lsat_Salinas_v7:MU043575.1:14830:15458:1 gene:gene-LSAT_0X42320 transcript:rna-gnl|WGS:NBSK|LSAT_0X42320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWHIHEYEVKSDVLFLPGVIGPVERQDQEKAPKTYGKTIHFFGSLAMVFCSKRKGITERIWKVEDQKSKVASQIWDRKSEV >cds-PLY81852.1 pep primary_assembly:Lsat_Salinas_v7:3:33797170:33797735:1 gene:gene-LSAT_3X24741 transcript:rna-gnl|WGS:NBSK|LSAT_3X24741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCSYLSRNHHHHDHVKPRNTHWWMILFLLSCTIDHLVSGSNIPTHSNFFRAVSRGRQRALVLGCGSDPNFCSNPEKNPWGGSVCCFGKFCKDVKSDGNHCGVCGHVCGYELVCCDGECVDVRNDNRHCGGCFEECLGQGRCSYAMCDYG >cds-PLY69665.1 pep primary_assembly:Lsat_Salinas_v7:5:213583500:213585111:-1 gene:gene-LSAT_5X97600 transcript:rna-gnl|WGS:NBSK|LSAT_5X97600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGELELEARGLENPSDSDPLLPSHQRADSESPSSSPSSPPAAASSIEVKDEDVEEGSIATCRICLECDGEEDDDLISPCMCKGTQQFVHRSCLDHWRSVKVIGMMGGLAYIADKDGSFRDSFNDSWDRILSRHPIPFYYCIGVLVFFVLLGFFGIILHCSSLNNDPRMAGCQNCCYGWGILDCFPASMEACFALVIVFVVIFAILGIAYGFLAATMAIQRIWQRHYHILTKRELTQEYVVEDLHGCYTPAKLEPEHIERLKMLKLL >cds-PLY74748.1 pep primary_assembly:Lsat_Salinas_v7:6:119568545:119568911:1 gene:gene-LSAT_6X72641 transcript:rna-gnl|WGS:NBSK|LSAT_6X72641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDLEAKIKFKSIENKKSNLMVRSAVEVNGNTGTSVLKTIQMKYASGSNNWGAVQWLLFFPLFISFVVTTFISALIPLLLVLVFVF >cds-PLY95679.1 pep primary_assembly:Lsat_Salinas_v7:2:116336063:116341271:-1 gene:gene-LSAT_2X54120 transcript:rna-gnl|WGS:NBSK|LSAT_2X54120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQILAPILLLLFIVSYVLLTGYWNRKSGSSKINLPPGSFGWPFIGETLSLIRAGLKGTPERFVQNRIEKHGSPLVFKTSLLGHSIAVLCGPAGNKFLFGNENKLVALWWPSSVTEIFGRCLITVRGDEAKWMRKMLLSYLGPDAFATHYATTMDIVTRRHIQVHWQGREEVNVYKTVKLFAFELACRLFMSLEDPNYIAKLGSLFNVFLKGVGGLPLDFPGTRFYKSKKLADAIRTELKGVIKERRVALEEGKASSSQDFLSHLLTSCDENGRFLTEMEMANNILLLLFTGHDTTTISISLIIKSLGEYPDVYEKVLREQLEISKGKEAGEMLTWEDVQKMRYTWNVVSEVMRINPPVVGSFREAMVDFEYAGYTIPKGWKLYWSAVTTHRDEANFQDVTHFDPSRFEGVGPIPFTYVPFGGGPRMCVGKEFARLEILVFLHNIVTNFKWDLLVPNEKIIYDAMATPIKGLPIRLHPHQA >cds-PLY82642.1 pep primary_assembly:Lsat_Salinas_v7:5:84799501:84799905:-1 gene:gene-LSAT_5X38261 transcript:rna-gnl|WGS:NBSK|LSAT_5X38261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSGRAEHSWSPNYGRHMDENHSNGVTNEDMAISLLQTQMELSLIREDFQDQLRELRQAVNRHLDAMNLEVDDVRAGQMDISHMVVDLKNHLVSLQGAYVKMVFKDNKRKKLMSCVGIFGVVCASVVTYLVFK >cds-PLY72334.1 pep primary_assembly:Lsat_Salinas_v7:1:173973247:173973772:-1 gene:gene-LSAT_1X115680 transcript:rna-gnl|WGS:NBSK|LSAT_1X115680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNILINLPYAALKAREYLDKPAIHETMVKVSAYLLGEYSHLLARRPGCSPKDIFVIIHEKLPTVSTPTISILLSTYAKILMHSQPPDPELQNQIWAIFSK >cds-PLY64302.1 pep primary_assembly:Lsat_Salinas_v7:5:84351131:84352425:1 gene:gene-LSAT_0X24581 transcript:rna-gnl|WGS:NBSK|LSAT_0X24581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METMDAPVLEVRTEGEPTVAEELGQNVDEIIVKVDEEDVKNKVDGFLDFVLKNYNVSREDTSTLQAFMISLCWIGASLAKHKIDRRESFDLGKKQLNFDCKEEQVNSVHLKLEEAK >cds-PLY68481.1 pep primary_assembly:Lsat_Salinas_v7:2:213023579:213025368:1 gene:gene-LSAT_2X134080 transcript:rna-gnl|WGS:NBSK|LSAT_2X134080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESMTVVLYPSPGIGHLVSMVELGKLIHTHHPLLSILILITPAPFETGSTDKYIKTVSATIPSIMFHHLPTIAIPPDLSSDFVALNFAIPQLYNPIFHNTLVAISEKSTIKAVILDFFTNAAFQVAKSLQLPTYYFYTGGASGLCVFLYMPTINNITSDSIKDQNIYFDIPGVPPIHSSHFPAAVVDKKGTAYENFINTARNMAESSGIITNTFVGFEERAVASLRDGKCISDGLTPPIYFIGPLIAGGNHVDPSENECLKWLNSQPSKSVVFLCFGSLGVFKKEQLKEIAIGLERSEQRFLWVVRDPPPDEENESNSGGGKELDLDAILPEGFLARTADKGLVVKNWAPQPVILGHDSVGGFVSHCGWNSVLEAVAAGVPIVAWPLYAEQKMNRVYLVEEMKVALAVDMSSDGFVTATAVEEKVKELMEGEEGRVVREQILEMSERAKAATEDGGSSRVEFFKLTNSWTAL >cds-PLY61677.1 pep primary_assembly:Lsat_Salinas_v7:9:138084163:138087753:-1 gene:gene-LSAT_9X88640 transcript:rna-gnl|WGS:NBSK|LSAT_9X88640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEEQESEPLSKKVSRGFSAVNLTIKISTSTHNPRKEISINDIVSASSISSSSSYSSSSSSAFVSALQSPYISPRATGDDPCHHLENKIIANPSTITTFTQTSTPESHCGSHSDDMPSTSDDFSDSAKLKIVDCVPVTCPDNNTNNATVPRISFSFPVTRIPFAKGSSSAKLRSCDIYIGYHGQNPNLIRFCKWLKSELELQGIACFVADRAKYEDSQSHEIADRVICSVTFGIVVVTKHSLLNHLASEEIRYFAQKKNLIPVFFDTNLNEVSNVLGRNSDGKDCNEAIEWLMKFHEFKLEANEGNWRSCVCRSVGILTGKLGRKSTVAEKETENNVDEMPFPKNRFFIGREEEIAEIETTLFGETEIEFDGIRDGKLKEPNSDVTIAPLIGKHSVKRPKPKHKKTKSFRSSVVCINGEPGMGKTEVALEFAHRYSRRYKLVIWVGGESQHLSQNLLNLSLHLGLDVSADSEKERGRIRSFDELETEAFKRVKRELFRDIPYLLIIDNLETEKDLHNLIQGNTGSSSSHVIITTRLEKVMKFEPMQLQPLPLSDAMMLIKGRRTKEYSFQDDEILRKFDEKLGRSSFGLSVIGSLLSEITISPFALFQAIDQIPKEATSHFDEPFWGNNQFLLKVLIFCVTILQEAKGIKNHLALRMLLTGAWFSPSLISPNLLAVASTHMTPCTKNRFKKCVNSGNFTLFCSSGFIKSYTWKKEEDSALVLVKLGLVRRSNNQYSTSCIMFHPITQVFGKKKGGLLAAKAMVYSIRKIGNPMLNSNHLWASVFLVFGFKTTPPLVQLKALDMVYFIKKIALPLALCAFTTFSRCNSALELLKVCTNVLEEVEKSFESKIEDWCRGSLCWKKKKKTNMNMKIDEYVCQDVTLLKATLLETRAKLLLRGGHFDYGEELCRTCISIRTVMLGHNHAQTLAAQETLSKLVRMRSKM >cds-PLY98180.1 pep primary_assembly:Lsat_Salinas_v7:3:28665947:28669439:1 gene:gene-LSAT_3X20261 transcript:rna-gnl|WGS:NBSK|LSAT_3X20261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYGHNDCEPRRSGSFSRISSTNSSFRRQSFNFNQSGANDDSDHLSVSEAGDIGDRALHSKRHSGNESGRPVFPFEENLVLPIQEHSFKESHLPTPSPSSPDAILHDKGQNQDCKKELPWFMTYISSRVHLAVLGILGVLTRYLLEKLFGPQVVGATSDNSYMYVDLPPNMIGSFLMGWFGVVFKGDISKFSPELAVGLTTGYLGSLTTFSGWNQKMLELSVNGQWVFSFLGFFLGLFLVAYSFIFGVETAKGVKWVFNKTNLNSKCGFELKNNIISESILIVLMITLLGLLWGVSIALLKRDFESDKSTSQLWLGCIVGPIGVWIRFYLAKFNGKGLGRQHIMKWMPFGTLIANVSASCIMATFATLKKAVKDEHFDIVATGIQFGLCGCLSTVSTFIAEFGAMRESVDPWKAYVYAFTTMIVSFVFGTFIYSVPVWAKSWS >cds-PLY85264.1 pep primary_assembly:Lsat_Salinas_v7:3:72029468:72031050:-1 gene:gene-LSAT_3X54040 transcript:rna-gnl|WGS:NBSK|LSAT_3X54040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKEDKERLNQLLVHHLNTIHETFQVLNQTPPSSLDKGSWDDVVKLGEHLYKQATTVGMLWTGEGPDAKALEETMASYSNLLQGFLLLSHGSKIGAGTTLSACIHASVKQVIDCSFMLLKESVASYGNNSKAHKLSIPQIVGTVWDACSALKKTPGTNITAIGRSMTQIAVSVKDVLREMKELKPTINEVSKPTSNEVSKPQNDDAHESDNSSEGDLGSDLSPEEMKVAELAINVVSETLSTIKEIIRSITGLLKNPQTENESVQTVDSLEKLLVICKSMGLQVDEIGACLYPPQEVSAIRGASEKMMSFVGEMQVEVEKIKGNSDAFVHASNGLMSCLRELELGLGCSSDDELVGEMENLVVDD >cds-PLY86793.1 pep primary_assembly:Lsat_Salinas_v7:5:16327321:16330195:-1 gene:gene-LSAT_5X7920 transcript:rna-gnl|WGS:NBSK|LSAT_5X7920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSDHLDASLLIQLIKRTKVSNILLEQSSKQEKKNMVSLKPLLILLLTFISLGVSKNTPQQKQQSSTGVIGGGYGVFPVRIGRSVLEGESDDENSSLILAEERTRRKDPTKGLEYYTGGWNISDAHYFYSVSFSAVPVFVIAAIWFVGFGMSLLVICCYYSCFRRIHYSYSRIAYVLSLAFLTLFTIAAIIGCVVLYMGQGKFHKSTSDTLEFVVRESKDTVHKLNNVLDILDTAKGIGVDQVSLPANIKNNIDRVDEMINAAATDLDSETEENEKDIQDVLNSVRLSLIIIAAVMLLVALLGFLFSIFGFQVLVYILVVCGWILVTATFILCGIFFTLHNVMGDTCVAMDEWIQNPTAHTALDEILPCFDNATAQETLFQSKDVTFQLVGMVNMIIVKVANIDPPPFPGFLGYNQSGPLVPILCNPLNDDKTDRKCQAGELDAGDASQVWKDYVCQVSEKDICTNVGRLTPKMYDQMSAAANVISGLSNYGPFLAGLLNCTFVRETFTGIHEDHCPGLTKYSRWVYIGLAMVSAAVMHSLVLWVLYARERRHRKYTKLGVPASTQSSFAK >cds-PLY82933.1 pep primary_assembly:Lsat_Salinas_v7:1:18572373:18574334:-1 gene:gene-LSAT_1X16101 transcript:rna-gnl|WGS:NBSK|LSAT_1X16101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKGGKAVATKKKTAEKVVNPLFEKRPKQFGIGGALPPKKDLHRFVRWPQVVRIQRKRRILKQRLKVPPALNQFTKTLDKNLATTLFKMLLKYRPEDKAAKKERLLKRAQAETEGKTVEAKKPIVVKYGLNHITYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKSRLGTIVHQKTAAALCLTTVKNEDKMEFSRILEAIKANFNDKYEEYRKKWGGGIMGSKSQAKTKAKERVLAKEAAQRLN >cds-PLY98896.1 pep primary_assembly:Lsat_Salinas_v7:7:49239808:49242933:-1 gene:gene-LSAT_7X35060 transcript:rna-gnl|WGS:NBSK|LSAT_7X35060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVLRSRKVVSVAQNEHSHKPVKNGAQIEPSTPAKEVESTNKSSYETTPSPHMLVQSSDKLGSGSVSNQELRRSARLSSKSSSCNFVEIVVSRRKRKTLNQGNGESGGYESDVSNVRAPSEANSMLVSDLGTEECDKDKEEIPSAKKVKNGRLLSQDFNVLGSESVQESDVGNKSLNLRSSKKLLNPGKELSSCQDEKGTEEVKSETVVNGASQASLTIEDKQKGVLVDYGELGLEKKIKISDAEEMKIDDISDTMKMRSNIHKIEEKGKGKVGEADSSSNISNSKDDSHVSLQQENVERAETSTRNKERFKNIARENASRFAYFSIQEEKQEDEDEQLEPQTEENGVVEDWPGPFSTAMKIIKDRAANTGQQNSLTSEILPSIPLTWVPKTKPVKKRVAPSLQELCMPIIAENVDAITSLESVPDVIRHKLTQKLCDTRKMNPHFLDLLASGSPSEIRVHECSWLSEEQLTKTINKTDISKLNVLQLDQCGRCLPDYVLFTTLAHLKMSELTNLSLRGACRLSDAGLNVLLTSAPSLRSINLGCCSLLTSEGIINLADKLGSILKELYIDECFGLDPIEILPALLKLQHLEVLSISRFETVNDSFIKQLVGVRGHNIKELILADCTKLTDKSLKAIAESCPGLCSIDLTNLCRLTDTAIGHLANGCRGIQTMKFGRNVFSDEAVAAYIEACGQSLKELSLNHVDKVANHTALSLAKHARNLQSLDLSWCRNMTNEAVGLIVDSCLSLRTLKLFGCTQITNVFLDGHSNEEVKVIGLKESEIIKNVEMADLLPLRYSSAT >cds-PLY76900.1 pep primary_assembly:Lsat_Salinas_v7:6:30065203:30067517:-1 gene:gene-LSAT_6X22680 transcript:rna-gnl|WGS:NBSK|LSAT_6X22680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKNTNNGESDVDRTQYYDITMNILFAMVSEPYYLLHFLTFFSYFSIRFSTSQLFSPEFAAHLLRRELQALLAFVTLTAVKMVKEETWDGFVADMLLFAKIFLVGISLVVDYHLTLWFMLAFLVIYIFTQQPPYTGLGSSAQLTPLQLEALLTEGGTSKFWLVEFRSLFSSTCIRTSRVLPELSITFSNKNISFGVVDLGLFPNTAARFGVSLGIPDQLPTFILFENAEEISRFPEINSVAKASDITKKRLCRHFELDKHLLDYVSGK >cds-PLY66356.1 pep primary_assembly:Lsat_Salinas_v7:5:288440571:288443545:1 gene:gene-LSAT_5X153581 transcript:rna-gnl|WGS:NBSK|LSAT_5X153581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVARSILGFKSKFAIVATALGAGAGAAAIAKSDDPATSLKLCTTVPVRLFRLSLTAATIAFDYEYSLWGLPEDVNERTRVKHEVHTRSARRLEELCLNNGGIYIKLGQHISQLEYLVPQEYINTLRESMLNRCPTSSYDQVCQVVKRELGGPPEEIFDEFDPVPIASASLAQVHVARTHEGDKVAVKVQHMHMTDTAAADYATVELIVNTLHRIFPSFDYRWLVDEVRDSLPKELDFLNEAKNSIKCMDNFRRLSPDIAEYVYAPLVYWNLSTTKVLTMEFVEGAEVNDLKSIKKLGVSPHDIARLVSRTFAEMMFKHGFVHCDPHAANLIIRTMPSQRSGIFGRKKPQLVLLDHGLYKELDVSTRTNYAALWKGLVLADVKAIKENCIKLGAGEDLYALFAGILTMRPWDRVIDPAVDHLAIQGNSSDQSELQMYASLYFPQITELLHKLPRVILLMLKTNDCLRAVNNALIERPSVESFIIIGRVSSEALIEEKLLNANSVFRLVSVWMEEISLEARFFIMQLALWMLQFRRALVF >cds-PLY70353.1 pep primary_assembly:Lsat_Salinas_v7:4:98918404:98920318:1 gene:gene-LSAT_4X64000 transcript:rna-gnl|WGS:NBSK|LSAT_4X64000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSFQIRTRPNVATSPSKPAASPSPLTGSPKGSPVVGEIDTRAPFQSVKAAVNLFGETSPKSGKPALRRSRSKNDEKVLEKETELHWTLKELDKYKELVKSSESIKAQARRDLEKARTTLHELTSKLEIIGEDKHAALEITEAAKYKAQQLELLKSSSTELSNDGWEEDLDMERQQYRASANELISTKQELTNLKQDFDAALEAKLAAFQQAADAQHAAKVNNEKMVGLTKEVDQMRETLHRVKLASQKAHEEHLNLIEEKDYRVESTKKAKEELDMKIESLRKEHQLSELRILGQKLEETTEAINVLEEQLREVRVADKETLENAKLEVVEAKKRLEETKEEQVSVAAVVKTLEQELEKVRRDINLLRGDDLKREEQQAELDRIKNEIEEASFEITKATDGIKELELKIKEMVLEAERAKKEEESAKEQTEALNKQAENNKGSNKAAEESLEVALRELEKAKAAQELANEQIQKKTSEKDQAGNDNNNMIKISTEEYEALKRKTDEAEKAADEKIATAMAQVETIKKREKETLEKLEKITEEANGIDESLADALKMAEMAEAAKEAIESELKKFKYKDQKDGN >cds-PLY80617.1 pep primary_assembly:Lsat_Salinas_v7:4:11400209:11400430:1 gene:gene-LSAT_4X7341 transcript:rna-gnl|WGS:NBSK|LSAT_4X7341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQVIKQAFTILAEGLSRGVSFTRFVVYRVCDAFSCKVSDILQAFHYVIPDEVDIISISLVNERSFDITSNSI >cds-PLY81465.1 pep primary_assembly:Lsat_Salinas_v7:5:335300854:335304935:1 gene:gene-LSAT_5X188500 transcript:rna-gnl|WGS:NBSK|LSAT_5X188500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEETENTVQDEIRAPLVLQDEKHKDNNFMVYLSTFVAVCGSFAFGSCAGYSSPTQSAIREDLNLSLAEYSLFGSILTFGAMIGAIASGPMADFFGRKGALRISTVFCTAGWLAIYFAQGPLALDIGRLATGFGMGVFSYVVPVFIAEIAPKNLRGALTAANQLMICTGVSVAFIIGTVLTWRTLALTGLIPCAVLLVGLFFVPESPRWLAKIGRKKEFDAALRKLRGKDADISEEADEIQDYIETLQKLPKAKIFDLFQRRYLRSVTIGVGLMVCQQFGGINGICFYTSSIFESAGFPADIGTIIYAILQVIITALNALFVDKAGRKPLLLVSGAGLVMGCLLAALSFYFKTYEIGLAAAPALAVTGILLYIASFSAGMGAVPWIIMSEIFPINIKGAAGSLATLTNWFGAWAVSFTFNFLLSWSSYGTFLVYAAINLAGIVFIIIMVPETKGRTLEQIQAAINN >cds-PLY67707.1 pep primary_assembly:Lsat_Salinas_v7:4:2574442:2576694:1 gene:gene-LSAT_4X400 transcript:rna-gnl|WGS:NBSK|LSAT_4X400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQMDNRNSSAVKRARTDGGRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSAPRQMLTPQGQGHGHGHGHGHGHGYSSSSPYANSGAPSSMYMPYGSSLFNGTSMSPYDVPLSGGSAYYNYNNHRLSGGGNPYRPMHLSAPSYPGGPIIGNGMYGVPQLMDRYGLGLPMGPRPGFFPEETPQKKDGTRENDWACPKCGNVNFSFRTVCNMRKCNTPKPGSQGGKSGKSSNMPEGSWKCEKCNNINYPFRTKCNRQNCDAEKPSESQNFPEEEEEEEEEVEGNDQVCFVKCLIQLFFWLNKSVLFLVLHYTMNVKGKLLLVIFYLLPFLFF >cds-PLY69400.1 pep primary_assembly:Lsat_Salinas_v7:5:301725000:301725545:-1 gene:gene-LSAT_5X161660 transcript:rna-gnl|WGS:NBSK|LSAT_5X161660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRLERDYDDLQPLPPPPVTVGESHSTHGSLEKLVVVLAVITIVGVIAGMIARLCGGRHYGGNGDHEMEGWIERRCKSCIDGGVSSAPPPPPPEETAKPEKEEAKKDQHEKK >cds-PLY62388.1 pep primary_assembly:Lsat_Salinas_v7:7:107860518:107862980:-1 gene:gene-LSAT_7X69401 transcript:rna-gnl|WGS:NBSK|LSAT_7X69401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAASPTTLSLLPTTSSSSTTSRISPTVRLPSRFLRSPLRGLGFAAADPLFSAHVASKLQSLKTSARPITGVVSMAKRSVGDLSPADLKGKKVFVRADLNVPLDDSQNITDDTRIRAAVPTIKHLISNGAKVILSSHLGRPKGVTPKYSLAPLVPRLSELIGVEVVKADDCIGPDVEKLVASLPDGGVLLLENVRFYKEEEKNDPGFAEKLASLADLYVNDAFGTAHRAHASTEGVTKFLRPSVAGFLLQKELDYLDGAVSNPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLDLATTLLAKAKAKGVSLLLPTDVVIADKFAPDANSKIVPASAIPDGWMGLDIGPDSVKTFNDALETTKTVIWNGPMGVFEFDKFAVGTESVAKKLAELSGKGVTTIIGGGDSVAAVEKVGVAEVMSHISTGGGASLELLEGKILPGVDALDEAVAVPV >cds-PLY63224.1 pep primary_assembly:Lsat_Salinas_v7:6:86638555:86640165:-1 gene:gene-LSAT_6X60280 transcript:rna-gnl|WGS:NBSK|LSAT_6X60280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNQREKDRERANARGGAKGKNKDDGLTPEQRRERDGKALQEKAAKKAEKAAGSGDAGKSTKK >cds-PLY98765.1 pep primary_assembly:Lsat_Salinas_v7:1:7823572:7824174:-1 gene:gene-LSAT_1X6501 transcript:rna-gnl|WGS:NBSK|LSAT_1X6501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRLPRGRGSGRRKIQLKRIENERERAVTLTKRHNGLFKKANELATLCGVQIAIICFSLRGKPLSFGSPSVPFIINKFLNLNQVDQQPDDSITRFVNSYHESNVQALNQELDELNEKLANEKKRGQMLQEQLKASLGWNTYEEYIKSLGIQELMQLKSKLDELKRNAQRNIYVISGSSSSNGEYEADLSKIGAPKDYLKM >cds-PLY75743.1 pep primary_assembly:Lsat_Salinas_v7:4:332994741:332999894:1 gene:gene-LSAT_4X165341 transcript:rna-gnl|WGS:NBSK|LSAT_4X165341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNGSPDLRKEHEESNGCSTPVEADEDDNSKGKGVGSVSNSSSSVVVMDEDDGSDDDDSERGSSRKRSSRLFGFSIDGDGDGDPPVTHQFFPVDDDSEVGPTSSLSVGMSSVNAFPAAHWVGVQFCHQSPGGLVSGGATSAGGFLGKSAAPEIPQPLKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSLQDYDDDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEVEAARAYDKAAIKYNGKDAVTNFDPTIYENESNVTEHSGNNNNNDQSNISSSDHNLDLSLGHNSAPTKEGSQDHGFRPVALGMGQSERYNDTLHLQSIGSSRGNHDFHGYGHLTRPVDPSMFHMLRPPPLNSLNHQIQHSSSTLAGGGSRNNGNGASLHLYANSAAASSGFPQQRFNLRQPPAPPHAQTWLHKNGYNTTLS >cds-PLY85683.1 pep primary_assembly:Lsat_Salinas_v7:7:158180200:158183103:1 gene:gene-LSAT_7X93161 transcript:rna-gnl|WGS:NBSK|LSAT_7X93161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQIISLLYILITVSCARSSLSHDEECSALFQFKQSMIHQDDEALCSASWFQTFHSWKPTSNASIVGFDCCSWHGVECRNGDAYGHVIGLDLSESFLCGHINSNTTIFNLVHLQSLNLAMNNFHESQIPSEIARLKQLRSLNLSYCGFSGQIPIEISQMMHLSSLDLSRNPLELHTPSMENLMQNLTRLEELDLSLVDISSSIPHFLVNFSSLRLLRLYNCSLRNEFPIAIFELPKLKILNVALNPNLIGYLPEFRNNNLLDLRLSRCSFSGRIPRSLSNLTQLTFLTLGGNKFTGFIPSLVGLSELNALELNGNNFEKGQLPDWLGKLTKLNQLYLSDNNLNGEIPSFLANLTKLSVLSLGLNSLNGHIPSSFFNLTQLTMLDLQGNHLQGPISSSFSKFRGLRVLRLNYNSFIGRVDLDIFLGLNKLEVLMLGDNKISLVPSDNYTISTLPQMKDLQLSSCNLKIFPSFLRHQNRMRTLILDRNKIEGRVPIWIWNNSQETLQMIDLSFNSITGFHKHPNFLTWEYLEGFIIRNNHVQGQIPIPPQTIVFYDVSNNNMSGEIPSLLCEMKSLRQLDLSSNNMSGTLPSCFDSLSNSLVDLNLRGNKFHGTMMDAFMHGSMLESIDLSENRFMGQLPRSLTNCTNLEVLSLGDNSFHGDFPFWLGTLSNLQVLVLRSNKFYGPIQGSTSVSSQFSNLRIIDLSNNNFSGELDQSYFRTWNAMKSVYVGESSALGIGMNFEAGYSKSSYSMTLIHKGVRTNYEKILTIFMAIDLSCNHFEGEIPLSLQDLRGLESLNLSNNHFSGGVLPSLGYLKNLESLDLSRNKLLGEIPQQLVELGFLSIFNVSFNNLEGRIPHGKQFDTFENNSYMGNSRLCGQPLSKECQDSKASQLPPTTRDTYESEFESLLPNERIDWIIVFCGVGSGLVVGVVIGNFLYTRYSHRFTKRKEIWVRPLRNTRRNQGTIIC >cds-PLY71160.1 pep primary_assembly:Lsat_Salinas_v7:9:81738851:81741195:-1 gene:gene-LSAT_9X64940 transcript:rna-gnl|WGS:NBSK|LSAT_9X64940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSTFGAVNRVPLSFNGSSGSAVVPNSSFLGSNLKKIVNSRLINNNKSFSFKIFAAEKEIEETQQTDKDRWKGLAYDMSDDQQDITRGKGMVDSLFQAPQDAGTHFAVMSSYEYVSTGLRSYNLDNNMDGFYIAPAFMDKLVVHITKNFMTLPNIKVPLILGVWGGKGQGKSFQCELVFAKMGITPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCIGIFKTDGLSPEGVTKLVDTFPGQSIDFFGALRARVYDDAVREWIGGIGVEGIGKRLVNSREGPPTFEQPKITVEKLLEYGNMLVQEQDNVKRVQLAETYLDSAALGDANRDSIARGEFYGKAAQQVQVPVPEGCTDPGAANFDPTARSDDGSCNYTL >cds-PLY62855.1 pep primary_assembly:Lsat_Salinas_v7:4:30212867:30223516:1 gene:gene-LSAT_4X16981 transcript:rna-gnl|WGS:NBSK|LSAT_4X16981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFSLQGLEHLHLTHCVIELPLTFDGFITLRSLELFDANITAQTLQQILTNCPILEEFILLCGGLSDFKKMVEVQSQSLDTISALPQDTIEKILTHMPIQDALRTSILSRKWRHCWKGMPKLVFDDKYLNTSSCSREIRKYKFVTAIFHVLMLHNGPILEFSISINPDTKIVDEIDQIILYLSQSKNIKKFTFKIWSNDIMGLFIFEDYKLPSVFFSLQGLEHIHLTRCVIELPLTFNGFIMLRSLNLCDVNITGKTLQQLLTNCPILEEFILIVCQDITSTPRTKSTFLELSKCLSSVQVLKIAKYYIKQYAEDDSMQQKLPTLLVHLRILFLDVCFLKQDELSYALSVISSSPNLEKIKIEIDIKYKVCGEETRNDLLDLQDYSGINLDHLEELEITNFGNYDPEMEFLKLIVAKSPVLKKARIKLNSDVSMDKENKMLRDLLRLTFPRASLGVDIIVER >cds-PLY76394.1 pep primary_assembly:Lsat_Salinas_v7:8:84379712:84387131:-1 gene:gene-LSAT_8X62341 transcript:rna-gnl|WGS:NBSK|LSAT_8X62341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHRLEHESGFFFNMRYFEEMVTNGEWDVVEKYLSGFTKVDDNRYSMKIFFEIQKQKYLEALDKKDRSKAVEILVKDLKVFSAFNEDLFKEITQLLTLENFRDNEQLSKYGDTKSARGIMLGELKKLIEANPLFREKLTFPSLKNSRLRTLINQSLNWQHQLCKNPKPNPDIKTLFIDHSCGQSQPNGARAPSPVTNPLMTSVPKPAGFPPLGAHGPFQAAPPPMATSMTGWMTNPAAVPHPSASAGPIGFAPPNNAAMLKRPRTPPANNPAVDYQTADSEHAFKRTRAFGISDEVNHMHGNILPIAYGGQSHGQSSSSYSSDDLPKAVVMNLNQGSMVMSMDFHPVQQILLLVGTISGEIMIWDLGSREKLVQKDFKVWDIGVCSMPLQASLNNENTASVNRVTWSPDGTLFGVAYSRNIVHIYSYHGGDDLRNHLEIEAHGGNVNDLAFSYPNKQLCIVTCGDDRLIKVWDAVTGAKQYTFEGHEAPVYSVCPHFKENIQFIFSTATDGNIKAWLYDNIGSRVDYDAPGHSSTRMGYSCDGTRLFSCGTNKEGESFIVEWNESEGAVKRTYNGLGKRAMGFVQFDTTKNRFIAAGDEGVVKFWDMDNVNLLTTIDAEGGLPASPFIRFNKEGILLAVSTSENGIKILANQDGVRLLRTMENRSFDASRVASASSMKGLTAMMPTFGGANANAPGGPSMMERVPPMPSMVMMSGESRNLVDRQRIGDEAMEKSRNLKVTEISEPSQCRSSRLPDDTSSAMRVTRLIYTNSGVAVLALAANAVHKLWKWQRNDRNSTGKATASVLPQLWQPVSGILMTNDISETNPEDATACFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHHKRITGLAFSNVLNVLVSSGADSQLCVWSTDGWEKQTIKQLQIPGGRVPAAHADTHVQFHQDQTHLLVVHESQIAIFEAPKLDCLKQWGPREASGAITHATYSCDSQSIYVTFEDGSIDILTASTLRLRCRISSTAYLPTNPNSRVYPLVIAAHPSEPNQFALGLTDGGVCIIEPLESEGKWGGSPVVEGGVGPSAAAGGGSAGGGGANATN >cds-PLY76282.1 pep primary_assembly:Lsat_Salinas_v7:8:33100176:33101562:1 gene:gene-LSAT_8X26360 transcript:rna-gnl|WGS:NBSK|LSAT_8X26360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINSEINTIDVTGPTAFHKQPILAAKKVALRDNSNLNRDTNPAILLPVEGQSFMDSAKISGIKRHTPDYSSSSLLNNNSPHEHFNYPRRKVSQLNGKNTHPNYIASKTSVPRFKVSNDDDQMTERFIRLQNFIKQCDGSNHRENIQLLLRLSPLELSRHAVELEKRAIQLTIEEGQEMQRMQALNILGKPLATRNTMPIIQHKN >cds-PLY89085.1 pep primary_assembly:Lsat_Salinas_v7:9:28943919:28944547:1 gene:gene-LSAT_9X25720 transcript:rna-gnl|WGS:NBSK|LSAT_9X25720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIFGEIIRKLAIITAGRAFTHLIQRHHEDHHRLVTHGVYSIVRHPGYTGFLIWSVGTQIMLCNPVSTVGFSLVVWNFFHRRIPYEEFFLRQFFGVEYDEFAKRVPSGIPFVK >cds-PLY68165.1 pep primary_assembly:Lsat_Salinas_v7:7:70654785:70660865:-1 gene:gene-LSAT_7X50561 transcript:rna-gnl|WGS:NBSK|LSAT_7X50561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLIHLYNLYNKFDIFLPFFHAYTVKYIANIEKKHREPEEAKEILKLVCDEIDKLKTTDKPHDPRYYKRPVHEAARQDAYEVVDEILMRCPEAIRYKDKSGYDIVQLAVMHRSENIYELINILGERRNVYRTIEDSSQNNMLHLVGRLAPTHKLKLRTGAALQLQRELQWHEVVKNMVSPAYITKENIFNETPDMVFTKEHKHLVKEGEQWMKAVAESCSITAALIATIVFAAAITVPGGSKQDTGIPVFTENVAFTVFAVADAISLFASSTSLLVFQSILTGRFSEQDFRTSLPNRLILGLCSLMISTTAMMVAFSATLFFVFCHGKRWMLAPICVLAFLPILSFATFQLPLMVDLIYSTYGYYEYISKMVRNKNRPRLLPYGIRLFFG >cds-PLY65862.1 pep primary_assembly:Lsat_Salinas_v7:4:86451927:86453926:1 gene:gene-LSAT_4X56361 transcript:rna-gnl|WGS:NBSK|LSAT_4X56361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCEKNGGIRKGPWTTEEDQKLIDYIHKNGYRNWRTLPKNAGLQRCGKSCRLRWTNYLKPDIKRGKFSSEEEETIIKLHSILGNKWSTIAAHLPGRTDNEIKNFWNTHIRKRLLRMGIDPITHKTRLDILALSSILNSSIYNSPQMNLLRIQPMVNPELLRLAASHLSSLGNQNPNFIHQKDLLENTLQVQENHHLVQDQTLIQEMSNCTSLSTPTCVVTSSSETSKLIQPNVNEFPSSISDFKPQTYPYIDILTCNNLENFVTLYDNYGYHINPQSNVSNKFCLTSSFVDSSTASSSSTLMNSISNSTYIDGSTREEDREIGNCNNLFKSESQELMNPNYTCPWYAKLKRTRYAYGLLTSV >cds-PLY93399.1 pep primary_assembly:Lsat_Salinas_v7:9:64390344:64392270:1 gene:gene-LSAT_9X55961 transcript:rna-gnl|WGS:NBSK|LSAT_9X55961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSIAQIDHRFVQSIFCFCGISRSICSTSNGFIDGFQRIEKYLIDYRKSSNCDTVSDENQEEESVDSVGTSGRYEEEEEEHHQQNVFNRSSFLRNTKNAAAMALKVLQQDGPGFDARSALDNLEIQVSGLLVREVLIGILKNINQANRERCVKLGYKFFIWSGQKQDYNHTVNTYHLIMQIFAESQEYKAMWRLLDEMTEKGYPVTSRTFNIFICSCGEAGIAKKVVERFIKSNSFNFRPFKHSFNAILHSLHAIKHYNLIEWVYQQMLADGRQPDTLTYNIIMYAKYRLGRLDQFHSLFDEMGRNGFPPDLHTFNILLHIYGRANKPAEAVVHLSMMKEAGIEPNVLHFTNLIDALSRAGNMDACKYFFDLMVEQGCEPDVVAYTVMINAHIAGGQFEKAEEMFSDMFDNGKIPNVYTYNTMIRGLCMAGKFEYACLMLKEMESRGCNPNFLVYKTLVSFLKNAGKVFEANEVIKGMVEKGQYGHLLKRIKKYKRC >cds-PLY63585.1 pep primary_assembly:Lsat_Salinas_v7:MU045890.1:669533:670504:1 gene:gene-LSAT_0X13280 transcript:rna-gnl|WGS:NBSK|LSAT_0X13280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGVSPSFSCYSSDSLTSMAVAKVIHEEHAARFQEFGDLSEDDFEFSVGLSDEDVSKEENHSRSWTVFPVFNHDLMMKDDEDRDQVKGKEDEREESSWCSSSEADELESPRSKAYCVLWRPKSDSGSPPRVSKCKKSSSTGSGSKRWSIRYLLRRSNSEGKEPVVLMTPKKVESPKQKRNSGEVSKVGSRLKVQTPVHELFYVKRRAENEVVRRKSYLPYRQGLVGFFSNVNGMGKMLPF >cds-PLY73047.1 pep primary_assembly:Lsat_Salinas_v7:9:37584980:37585651:-1 gene:gene-LSAT_9X34960 transcript:rna-gnl|WGS:NBSK|LSAT_9X34960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMGLNNCRISHVLRSNPFVFKDLIVDFWKNASVNNKGEGGVGNIESVIKGINIVISEQIIRDVLEFGDALKLPTKYPSSKVKEVLEKMCYEGTYPPTIKKQQPPYWRFLAHYFIICISGRKSGFDEISQTATSAIVALSMNWDYNFSKFGFEEMNRNHQGMKKDQFLMYPRFLQMILNEKYSQIERSSNTLEMKALGPSTFGLMKQSRKTTKITFQGARELV >cds-PLY83906.1 pep primary_assembly:Lsat_Salinas_v7:5:187065517:187066399:1 gene:gene-LSAT_5X83520 transcript:rna-gnl|WGS:NBSK|LSAT_5X83520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKTVELDLTAICDNNLPKTNKSSGQIISSFGHECWIRAHSSHNQELNKSKGNAIHGNYVSLETTPMNKKIKSHTIESITPEFGNLKNISLQPNTPQTQAPKDIQEINYDLYIAERIARSLVAYDKAYL >cds-PLY81407.1 pep primary_assembly:Lsat_Salinas_v7:9:95757143:95762009:1 gene:gene-LSAT_9X72921 transcript:rna-gnl|WGS:NBSK|LSAT_9X72921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G11870) UniProtKB/Swiss-Prot;Acc:Q8RWT8] MGLQCCFGGTTIQTLKLASIPYMTCSTSSSLRSSAAISRRLTLFKTLSASLSRNSTHRFPFLIRALSSAAASAQPVADSTTIASADYSVVKPQWKAAIDFKSILENKSAVAANLKNRNSTANLELVIELYEKLLQLQKEVEELRYERNAVANKMKGKVEPLERQRLIEEGKNLKEKLFTLEEDLIKLTDSLQREAQCIPNMTHPDVPIGGEDSSIIRKTIGVPREFTFPIKDHVQLGKELDLFDFDAAAEVSGSKFYYLKNEAVLLEMGLINWTLSEVMKRGFTPLTTPEIARSLVVEKCGFQPRGDNTQVYSIEGSDQCLIGTAEIPVGGIHMDSILADSALPLKYVAFSHCFRTEAGAAGAATRGLYRVHQFSKVEMFILCRPEESNTYHQELIEIEEDLFSKLGLHFKTLDMGTGDLGAPAYRKFDIEAWMPGLDRYGEISSASNCTDYQSRRLGIRFRPENPTNPGNTKKGKARNLAPPEFVHTLNATACAVPRMIVCLLENYQQEDGTVIVPEPLRPFMGGIKLITHKSK >cds-PLY67077.1 pep primary_assembly:Lsat_Salinas_v7:5:283172109:283181384:-1 gene:gene-LSAT_5X149520 transcript:rna-gnl|WGS:NBSK|LSAT_5X149520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTWQIFSDAGNSFRWQCSHVKLSSEPQKALIQKPSSPHHLPSIADLLVQGFSKLYEDQNDEIETPPSFQTGLRKPVVVKQSSLVKASSILGDHDDEVTVLPKSNQSGEIETPPTFRTGLGKPVVVKQSSLVKASSILGEQDDGATVLSKSGYSKLYKNKSGEIDTPPSFRTGLGKPVVVKQSSLVQASAILGDQDDGATVGYSKLHENQSGETETPPTFRTGLGKPVVVKQSSLVKASSILGDEDDRDIFPFKSGYSKLHENQNSEIETPPTFRTGLGKPVVIKQSSLMKASTIFGDEDDGAIVSSKSGYSKLHGNQSDEVKTPPSVLTGFGKPVVVKQSSSLKASYVLGDQNGGASVLSKSGYSNPYGNQSDEVETPPAFRTGLGKLVAVKQSSLVKASSILGDQDDGAIADTGLVNREENSKIGGTPFTFRTGSGKSVAIKQSSMAKALSMFGCQDDDAFVDTGRDDGTSCSNSMFQTGSGKAVNICSTGLMKARTLLGLEENSDHNEMTSARTNLGFQDVGEIREVARGSILSSSRPPPIKFQTAGGRSVKVYGDALKRARSLLGDPDVGNLLKEGDACYPAFSSNKKLGNNMLNKENNVCNSFSDGIPNAKQKSNNFIFPVKSVLSDKKTVSRLENIGLRSNLIKEFDAAEHDITTKEYNNHIPLVDISNTIGLNGTGEKRKPSSRIYPSPFKKPRNSKFVPPLNKKSTFIPPLNKSSTVVSNGTMPKMPEGSCCKKKVSTRYPFQFPRKYIKEYFVEPPYNMFENIPEWLRKINPENADKHMFEDECGLKCIGVDTFCHMLTQSGCSALSKEWIANHYRWIIWKLACYERCYPAKFSGKLLTVSNVVEELKYRYEREYNNGHRSALKRILEGDAPPSSHLVLCIASIKLKCNEEQDAEAPSTSNIELTDGWYSVKGLLDDLLLKQLLAGKLFVGQKLRICGAGLSGWNAPVSPLEASSMISLCLHMNGTYKAHWAERLGFCKSGCVPLALKCIKGSGGVVPSTLVGVTRIYPVLYRERLSEGGFVVRSERMESKRMQLYDYRRSSIVEGVMSEFERGSKSFETDDDDEGERISHLLEKAAEPEVLMAEMTSEQLTSFASYQAKIEASRQSEMQKSIKKALDEAGLSRNEVNTLMRVRVVGLTSKIHNHKASPQPQQALITIWNPTEKQQSELVEGQAYTVSGLTPINSDSCTIYMRASGSTNKWRLLSPSSTQHFLPFFSPRKPVLLSEMGEVGISSEFDVAAFVVYVGEVHKFGDEKRQWVFVTDGTTTTHDLSLSDSLLAISFFSPSVDCDSIVPINYNLVGSTVGFCNLIKRAKDQMNRIWVADATENSTYFLSYDGGNSKHLKDAAASADRWAKAKASTLDVPKNMGKGAKKIVKNATEKKQKPLPEVLKEYDLPSGLFPREVKKYELDEATKKLTVTLPKFCEVTYRDSSILRFSNSVTAHLEKAKLSEIEGLKTKGMLWVKVTCIAREDTKLHFTAGLGKTRETKVYEMVRDGIPVDKF >cds-PLY95707.1 pep primary_assembly:Lsat_Salinas_v7:2:111633819:111636213:1 gene:gene-LSAT_2X51040 transcript:rna-gnl|WGS:NBSK|LSAT_2X51040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSLLIHQPLPCSYISSSSSSSCSKPKFTLNSSPCSSFTSYPFGKCLRWNVLPPTTTVLFSLPQSSLFRTSALAVEEILEKSTLEDSVSSLPKVPMPKIDKSGRFCSPRAARELALSIIYAACLEGSDPVRLFERRVNARRELGYEFDKETLMEYNHMSFGGPPVKTETAEEADELLQLDEKASEIEAEVLSAPPKLVYSKLILRFTRKLLVAVAEKWDDHVVVIEKVAPQNWKNEPAGRILELSILHLAMSEIDVLGTRHQIVINEAVDLAKRFCDGSAPRTVNGCLRTFIKGIKGNSVALAQDLETKKEIISNEL >cds-PLY76524.1 pep primary_assembly:Lsat_Salinas_v7:5:12465955:12467053:-1 gene:gene-LSAT_5X6641 transcript:rna-gnl|WGS:NBSK|LSAT_5X6641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIHRKVTFIAFNSSISLMNRWFMSDNNCLVALQEWQPRVSTRLLFREFRAVTFILVKNGEVSWKVDQDCDATSEITVSITGKTPSNIFGVDSMIISSPVRLVIDSSDHTELEKTSSANLITNSTENDNANDYTKLL >cds-PLY92730.1 pep primary_assembly:Lsat_Salinas_v7:7:5390917:5398554:-1 gene:gene-LSAT_7X4420 transcript:rna-gnl|WGS:NBSK|LSAT_7X4420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTTEAVIEFLGCVPLLQRLPSLSLRKIAQVVTVKHYEPHEYVVREGEAGNGIYFIWEGEAEVSGYVQADEHNRPEFQLKRYDYFGNGVAVSAQQADVIALTKLTCLVLPQEHCSLLQSKSIWSADKTLESCSLVESILHLEPIEVNIFKGITLPDAPRFGKVFGGQFIGQALAAASKTVDSLKIVHSLHVYFLLVGDLEIPIIYHVHRVRDGNSFATRRIDAIQKGNVVFTMIASFQKEEVGFDHQLPSMPAVPDPELLLSMEDLRERRLTDPRLPRTYRNKVATAKFIPWPIEIRFCEPSNSTNYDKRPASLRYWFKAKGKLSDDEALHRCVAAYTSDLIFLNVSLNPHRSKGLKTSSVSLDHSMWFHRPFRADEWLLFVISSPTAYNARGFVSGQMFNRKGELVASVTQEGLIRKVRKPPSPAVSKL >cds-PLY93103.1 pep primary_assembly:Lsat_Salinas_v7:9:119339771:119340408:1 gene:gene-LSAT_9X80101 transcript:rna-gnl|WGS:NBSK|LSAT_9X80101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDLFDKEDIDDDSLVDMMCTFEASLSQAKYNYQKGVEYVEPDLTKILDEVEDAMDAILKGTDVKSQSENEGNHEPEFTEGNASDILLEMVMLDLESVADLLGAGYNMAEIESMKGVQVELDDMPPVEMLRIFHMLMVRWKEMRVRVMLMMLVK >cds-PLY78010.1 pep primary_assembly:Lsat_Salinas_v7:9:44359954:44362029:1 gene:gene-LSAT_9X39781 transcript:rna-gnl|WGS:NBSK|LSAT_9X39781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLYNHNYSPARAVSPQIRTNGDADSQYLSELLAEHQKLQPFTQVLPVCTRLLSQELMRVSSMLQNQGYNELERMRHRSPSPMASSDLMSQIPGGWNGFPQERLSGMTMDWQGTPASPSSYTVKRILRLDIPVDTFPNFNFVGRLLGPRGNSLKRIEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNEQLHILIEADLPPSVVDLRLRQAQEIIQELLKPVDESEDYIKRQQLRELAMLNSSLREESPGPSGSMSPFNTSGMKRAKTGR >cds-PLY74294.1 pep primary_assembly:Lsat_Salinas_v7:3:127064297:127068253:-1 gene:gene-LSAT_3X87281 transcript:rna-gnl|WGS:NBSK|LSAT_3X87281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVIFGIVKGIRQNLCWYYIACSNYKKSQKQKESFTDKVDGSHEVAEFVIYECANPKCKNIKISIIPRFKIPLRVQDNTGTLTLTLLDQEAKKLFKYRAKELNDKNIKAKSRYQLWTVSSEQPKNTPIVEYGKGNDKRFTIRVVHDGFFTDYPGKAYEKTEVHFITFVKIDLLNMDLLGSFSKSLGYTTMGYWYHMPTEQYSGLSMTPIFDVDALGNFKAMVREHQFSEIEHLYVEHKPIYVPSNFSHFMMNSPAKRVEKLIHLFVTEQPMAIVDDGIAYIKHMLNMTILRGKMEDVMDMVKENVIAWKDLV >cds-PLY85342.1 pep primary_assembly:Lsat_Salinas_v7:5:2004634:2005458:1 gene:gene-LSAT_5X301 transcript:rna-gnl|WGS:NBSK|LSAT_5X301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNLRPPPSPPPEPPSVYCTPSPQSKATTPSPMSRIFMSRQQSSVKPSPRTKLVATPQQGLTMGMEFPYEHITSKAHDRLLLRRHRHTNPAIWCSAIICLIFSLLVIFFGIATLIVFLVVKPRTPVLDTNRANLSVIYFDAPGNFNGDFTFMANFSNPNRKLEVKFEQSVMELLFEDSVIATQSIRPFSQRPKETGVVAINFVSSLVTLPPSYAMELRKQVLNNKVLYSVRGTFKVRASLGAIHFSYWLHGLCDLQITSPPTGSLMARTCKTKR >cds-PLY76068.1 pep primary_assembly:Lsat_Salinas_v7:9:31566765:31567282:1 gene:gene-LSAT_9X27701 transcript:rna-gnl|WGS:NBSK|LSAT_9X27701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSIVKKASVLVVVALSAVATVSAQAMAPAPSPDAGAAFSLPASGVMIGTSLVLSFVALLRN >cds-PLY93693.1 pep primary_assembly:Lsat_Salinas_v7:2:200643743:200645447:1 gene:gene-LSAT_2X121400 transcript:rna-gnl|WGS:NBSK|LSAT_2X121400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDLDCKAKMVSSDSMPSRSPRRTSSNKLTALVPPPPVRVPDLSPASDTDCFAYEHYLRLPQIKELWNTVEFPGWKNEAVLKPALQALEFTFRFVSIVLSDPRPYVNQREWRRRLESLATSQIEVISLFCEDDKIETAPIVNISGSGGVLTREGSSAEVWKLNDGEDATVLVSQISEESLLPRLDTWKKSEDVAQKILYSIECQMRRCPYTLGLGEPNLNGKPSLNYDAVCKPSELHALSKTPPDQANVNNYENRTVFTTHQILESWIYVAQQLLNRIESEIDSNDFENASSDSYILEQVWKLLTEVEDLHLLMDPDDFLRLKNQLDVKTTTESESFCFRSKSLIEITKRSKDLRHKVPYILDVEVDPMGGPRIQEAAMKLYRKKHNPVKIHLLQGLQAIEAAVKKFYYSYKQLLVVAMGSVEAKGSLAFVTVDSSDSLAQIFLEPTYFPSLDGAKTFLGDYWSHEGRGR >cds-PLY83044.1 pep primary_assembly:Lsat_Salinas_v7:5:51194703:51195314:1 gene:gene-LSAT_5X24180 transcript:rna-gnl|WGS:NBSK|LSAT_5X24180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIFTFSTLKFQVRDYIHVVNLADGHTVALKKLSDPKIGCEVYNLGTGKGTSVLEMVAAFEKASGKRIPVVTARRRPGNAEVVYAEVVKTLH >cds-PLY74044.1 pep primary_assembly:Lsat_Salinas_v7:1:194626022:194627901:-1 gene:gene-LSAT_1X124461 transcript:rna-gnl|WGS:NBSK|LSAT_1X124461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQIAHKFLQVNGLKLHVAELGSESSPAVIFLHGFPEIWYTWRHQMIAVANAGFRVIAPDFRGYGLSDSPAEPEKASFDDLINDTASILDSLAISKVFVIAKDFGAMVAYPFALLHPHKVAGIIALGLPFMPPGAFTHHFVLPEGLYVRRWQEPGRAEADFGRFDVKTVVRNIYILFSQSEMPIANENEEIMDLVKPLTRLPSWLTEEDLSVYVDLYEKSGFRTALQVPYRSLQMVGSTVQDPKIEAQTLLIIGEEDYAFKIPGLDEYVKSGEVKKYVPNQETIYVPGGSHFVHEQFPDHVNECILTFLDNNKHLVVV >cds-PLY68966.1 pep primary_assembly:Lsat_Salinas_v7:9:141418713:141424873:1 gene:gene-LSAT_9X90181 transcript:rna-gnl|WGS:NBSK|LSAT_9X90181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQNVSRFGMFYNSSMNTPTDFLLNPIFLHRCFASIQFLFLLFISISCVWKRFKIDQTVVAKQSSGYLFLKQTLFCSLLLSLFNLVLCFLNNFYWYRNGWSDEKIVTLLHAVLGTLIWSFVSVYLHTLVSNSSTQSSKYPLVLRVWWVFFFTVSCYSLVVDYINYKRTHNPPSMFILSDSVSSLLGLFLCFVGLSHNREEEGQNHNLEEPLLNSSSDRVRVEIPSTYENASFFSLLTFSWMSAIIAKGNKKPLDLEDVPQLADIDSVKQVFPILLQKIESLNDENHQITTFGLTKALFYIVWKEVVITGFLGLASSLTSFVGPYLIDEFVQYLNGHKAYKNQGFVLVAAFFVSKMVGCFTQRHWYFKLQQAGIRARSAIVAMIYQKGLTISGQSKQGNSSGEIINFMAVDAERVGDYAWYMHDFWLVLIQVGVALALLYKNLGLAAIASLVATIVVLLANLPLGNIQEKLQDDLMKSKDKRMKATSEILRNMRILKLQGWEMKFLSKIIKLRDEEENALKKYMYTLSLTSFIFWGAPIVVAVVTFATCLFFGIPLESGKVLSALATFKILQEPIYNLPDSISVFFQTKVSLDRIATYLRLTDIDSNAIDKVPPGSSDVAVEIINGNFAWDANASSSNLTLKDINIRVNHGMRVAVCGTVGSGKSSLLSCILGEVSKISGSVKVEGTKAYVAQSPWIQSGKIEDNILFGREMDREKYDKVLEACSLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECMLQFLESKTVIYITHQIEFLPAADLILVLKDGRITQAGKYNDILNSGSEFMDLVGAHKDALSAIDSMEANVQQGTTISKKITNETQNSKTDDISGSKAQLVQEEEREKGKVGFSVYWKYITTAYGGALAPFIVLAQIVFQILQIGSNYWMAWGSPVSESDPAPVNGSTLIMVYVILAVGCALCILARGLLLATVAYKAATILFHKMHLSIFRSPMSFFDSTPSGRILNRASTDQSAVDMQIPYQVGSFVFAIIQLLGIIAVMSQAAWQVIVIFIPVGVMCIWLQQYYLPSAREMARLVGVCKGPVIQNFAETISGSTTIRSFDQQGRFQDTNLKLNDDFARPKFHAAAAMEWLGIRLDMLSTLTFAVFLIFLVSIPEGTIDPSIAGLAATYGLTLNTLNGWVVWTLTNLENKIISVERIFQYSSIPSEPPLVIESNRPDDQWPSQGEVDIRHLQVRYAPHMPLVLRGLTCTFKGGMKTGIVGRTGSGKSTLIQTLFRLVDPAAGEILIDGINISTIGLHDLRSKLSIIPQDPTMFEGTIRSNLDPLEEYTDDKIWEALDKCQLGDEVRSKEGKLDSSVTENGENWSVGQRQLVCLGRVLLKKTKVLVLDEATASVDTATDGMIQQTLARHFTDSTVIMIAHRITSVLDSDMVLVLEQGLIDEYDSPTKLLEDKSSSFAKLVAEYSMRSNSNFEN >cds-PLY93959.1 pep primary_assembly:Lsat_Salinas_v7:8:110638049:110639428:-1 gene:gene-LSAT_8X75541 transcript:rna-gnl|WGS:NBSK|LSAT_8X75541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDDDYMGDLSQFLPSESQPSPNPPAQKVPNINGGSKATSLQSSKKRPKTRNWQEQRKLKREIKQVEEDERTLASLESAIPQSNIGFKMLKQMGYTPGSGLGGSGRAEPVGLEIRRSRAGIGREDPIKEKLRKDEEMLWEKRKKEEELMADFGCRVKERWRNKRVVVNFHKAKGVLDQLENKEVVEVEKKDDDDEKDDEDDEEEEVITEEDLHEILMKLRDDFSYCLFCGCQYESMEALLANCPGINEDDH >cds-PLY82007.1 pep primary_assembly:Lsat_Salinas_v7:9:155855113:155859550:1 gene:gene-LSAT_9X97780 transcript:rna-gnl|WGS:NBSK|LSAT_9X97780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEAATPLIAEAEAAGGGNDSCLWWKKILNWEEAKHQILFSLPMILTNVAYYCIPLISVMFAGHLGEAELAASNLANSWATVTGLSFMVGLSGALETLCGQGFGAKIYRMLGIYLQSSCLISIFFSILISILWFFTEPILILLQQDPQISKIAALYIKYLVPGLFAYGVLNNILRFLQTQSVVTPLVICSLIPLILHIAITYALVHWTSLSFIGAPIAVSISLWIAVVMLAGYVLLSGNFKETWQGFSMECFSYVFTSLKLALPSAAMVCLEYWAFELLVLLAGILPNSEITTSLIAMCVNTEAIAYMVTYGLSAAASTRVSNELGAKNIDKAKEAMAVTIKLSVILALVIVLALGFGHNIWAGFFSNSRVIINQFASMTPFLMISITVDSIQGVLSGVARGCGWQHLAVCVNLAMFYVIGMPVAVALAFLVKLYAKGLWLGLICGLSCQTGGLLLLMYLKKWTEVELKDSNSYGDTILV >cds-PLY92220.1 pep primary_assembly:Lsat_Salinas_v7:6:76213666:76213974:-1 gene:gene-LSAT_6X53521 transcript:rna-gnl|WGS:NBSK|LSAT_6X53521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLNTMLVASAATVSVEIWQSIACFSERITSEELLDLVIYFPLQQLGCFALCLWNFFCVPTWPADSYIYDDGDYSDSDSYYNLSSAGGCFSGYDPYSDSHSD >cds-PLY94771.1 pep primary_assembly:Lsat_Salinas_v7:2:179573354:179574097:1 gene:gene-LSAT_2X101600 transcript:rna-gnl|WGS:NBSK|LSAT_2X101600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGSQVRFTHTNGAANLPTPPSTIVASSSSIMSQLKAQVMASQATDIDADDDGLDFRFAPPSINRRPPVNAYIIAHKQLYPFKIRIDACTFLSLSHSFKNKSASPTAVA >cds-PLY87027.1 pep primary_assembly:Lsat_Salinas_v7:5:262041654:262042357:1 gene:gene-LSAT_5X134001 transcript:rna-gnl|WGS:NBSK|LSAT_5X134001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGIHIEERLLQKDIPRTTDDYEKLIRSSPNSSFIWIKNMTFFLSLNEVEKARSMAERALRTINIREESEKMHVWVAYFNLENEYGFPPEDAVFLFKKYLEFEKSHGDEDRAKYVKAEALKFIKKF >cds-PLY96250.1 pep primary_assembly:Lsat_Salinas_v7:7:182586896:182595743:-1 gene:gene-LSAT_7X108360 transcript:rna-gnl|WGS:NBSK|LSAT_7X108360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESILARALEYTLKYWLKSFTRDQFKLQGRTVQLSNLDINGDALHASLGLPPALNVTTAKVGKLEIILPYLSNVQVDPIVVQIDKLDLVLEENDDLDAYKSTDSAQTPSSPAKGSGYGFADKIADGMTLEIRTVNLLLETHGGARRRGGATWASPMASITIRNLLLYTTNENWQAVNLKEARDFSNDKKFIYVFKKLEWEHLSIDLLPHPDMFAAFSEGAFKDDDGAKRVFFGGERFLEGISGEAYITIQRTDLNSPLGLELQLHIPEAVCPALSEPGLRALLRFFTGLYVCLNRGDVNPNAQELDLLMQSLLFSRSSLSDGEITKCLTRVMIGGLFLRDTSSRPPCALVQPSMNDAAEEPLSIPDFGKNFCPPIYPLGDDQWKLSDRVPLISLHCLQFLPSPTPPSFSTQTVIDCQPLMIHLQEESCLRISSLLADGIMVNSGDISLDFSINSLELNIKGIDITIPLENQKSNNPSFDNSFTGAKLHIENLFFHESPSLRLKLLNLDKDPACFCLWKGQPIDASQKKWTGGASVLNLSLETRNRNVINDSGLQSSELTCVEVKDACIQVAMVTADGTPLTDVPPPGGVVRVGIACEQYQSNTSVDQLFFVLDLYTYFGIVSEKMAMVGKSKRKKAVKSDGNLIEKVPGDTAVSLAVKNLKLTFLESSIQEIMPLVQFFGEDLFMEVTHRTLGGAMAISSILRWDKVQVDCAETFETNLINSNGKEFCLPKLRPVFWVQKGRNNQPFLNLRMVHVIPYNAQDTECHSVSLSACVAGVRLAGGMNYTESLLHRFGILGPDGGPGVGLSEGLDRLSAGPFSKLFKPSSHKSIEEKDSGYLQLGTPDDVDVLLELKDWLFALEGADVAESLFFNREEGSWHTTFESFKVKANSRKSRLVNGKNNSKHPIESVTVGVEGLKTLKPQQQHKGVVPSNGHKERVEPHGGVDLEADIVLSEDDGVNGVINWVVESLKFSVKHPVEAIVTKDELQHVAKLCKSEVDSMGRITAGVLRVLKLEGSIGQTAMDQLSNLGSEGFDKIFSANSNGSSSVVGLSPSSLLASDDKRSTSLGSTLSSLEVALLDTHTNCTALAANLSTSESTKPHLHSVQELTLKLESMQKLLAKLQTQL >cds-PLY69989.1 pep primary_assembly:Lsat_Salinas_v7:8:63543678:63543887:1 gene:gene-LSAT_8X45541 transcript:rna-gnl|WGS:NBSK|LSAT_8X45541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTYTPLEGDAMLQIYKSLKAHIHFSENGENNFVTWTLEYEKINEDVPDPDALIDLAYKVTKVVELHLLK >cds-PLY95889.1 pep primary_assembly:Lsat_Salinas_v7:5:80042907:80049578:-1 gene:gene-LSAT_5X36980 transcript:rna-gnl|WGS:NBSK|LSAT_5X36980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSRLGFLLTSSFRRKSPLSTFFIHDTSSKSILLDSSRVLYRRSSNINNGAPFSRFLSSILHYGGARYLSSYAVDQFSDDEYECDYENNPASSSVANIDEWKWKLSMLLHSNTEQEIITRDKRDKRDYEQISNLAKKMGLYCEIYGKVVVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLLQEHLDRMQLNSGDVTGESSHHAELANRGSNGNPDSLVDGSLMEKVLQRRSLQMRNMQRSWEESPEGKKMLQARMSLPAFKEKERLLQAIAQNQVIIISGETGCGKTTQLPQYILESEIESGRGAFCNILCTQPRRISAMAVAERVSSERGEPLGESVGYKVRLEGMKGKNTHLLFCTSGILLRRLLSDHNLHGVTHVFVDEIHERGMNEDFLLIVLKDLLPRRRDLRLILMSATLNAELFSNYFQEAPMIHIPGFTHPVRAHFLEDILEITGYKLTSFNQIDDYGQEKLWKTQRQLVPRKRKNQITSLVEDALTQSNFENYSSKARDSLSCWNPDNIGFNLIEAVLCHISRKERQGAVLVFMTGWDDISCLKSQLKAHPLLGDPNRVQLLTCHGSMATSEQKLIFEKPPPNVRKIVLATNMAEASITINDVVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECFHLYPRCVYDAFSEYQLPELLRTPLNSLCLQIKSLEVGSIGEFLSAALQPPEPLAVQNAVDFLKMIGALDENENLTHLGKYLAMLPLDPKLGKMLIMGAFFRCFDPILTIVAGLSVRDPFLLPQEKKDQASTAKSRFSAKDYSDHMALVRAYEGWKEAEREGSAYEYCWRNFLSAQTLQAIHSLRNQFIHILKDAQILETESGINNRLSHNQSLVRAIICSGLFPGIASVVHRETSMSFKTMDDGQVLLYANSVNTRYQTIPYPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGGVVNGVSPGHLKMLGGYIDFFMDPNLADTYVILKKEFDQLLQNKLKDPDLDIHKEGKYLMLAVQELVSGDQCEGRFVFGRETKRVKESNDNDSFTRDGANPKSLLQTLLMRAGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPKKNKQLAERDAAVEALGWLTHTSGLKKDEDGDSPLDLTDNMLKLLSKPRKPKSR >cds-PLY75435.1 pep primary_assembly:Lsat_Salinas_v7:7:71886773:71887072:1 gene:gene-LSAT_7X52100 transcript:rna-gnl|WGS:NBSK|LSAT_7X52100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYMIGLKKIYLCATHFLISSSFSLFIPKKFYCWALGAAQSVTTEIANSDASVSEARVCTTAVRFMLQVLNWDFISSGRVAKNSIDVYSFVAKEDSNST >cds-PLY76770.1 pep primary_assembly:Lsat_Salinas_v7:4:189630469:189632780:-1 gene:gene-LSAT_4X109621 transcript:rna-gnl|WGS:NBSK|LSAT_4X109621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:fucosyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT1G74420) TAIR;Acc:AT1G74420] MRRLNKNYGDPPKFSDLGGGDISRDQQTRFGLNPLKLMGCFVVCLMGLSVLFSISIILKDSSPNSIWAVADARVLDNDMASPRDFGQEDDPMESFVKHKKKLHSAGFEHEDKLLGGLLSSRFDQDSCLSRYESASYRKESPYKPSSFLISKLRRYEALHKRCGPYTESYNKTVGKLRSNGMIEEEASDCKYLIWVSFSGLGNRILTLGSAFLYALLTDRVLLIDRGVDMDDLFCEPFPEVSWLLPLDFPIYDQFKSLNQKSPECFGSIVSNNSSSSSSSSSGYVYLHLVHDYDANDKLFFCDQDQSFLQNVPWVIMKSDNYFIPSLFLMPSFEQELLTLFPDQQTVFHFLGRYLFFPRNPIWGLITRYYNSYLSQADEKIGIQVRVFDTRPGPFQYVLDQILSCSLHNNFLPEINQNESSVTPKNQISKAVILTSLSSGYFEKIRDMYWENPTVTGEVIQVFQPSHEGYQQTGKKMHNRKAWAEMYLLSLTDKLITSSWSTFGYVAQSLGGLNPWILNKPENETTPNPACTKAMSIEPCFHAPPFFDCKKRSGIDTGEVVPHVRHCEDMSWGLKLVNPIGVNVA >cds-PLY65428.1 pep primary_assembly:Lsat_Salinas_v7:9:185305165:185308443:-1 gene:gene-LSAT_9X113801 transcript:rna-gnl|WGS:NBSK|LSAT_9X113801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLASRNQTSYGHQSFMGEIPNYSNNNPRHLNPNLNPNPNQKPKSNKKKHFPNAAINGRPHNHNSHPVDSCAVVVSQAASDDAYSFNQRPIETSGGAGYGNSFNHGGYVSYNVSACSKSEINELRKKLVADLERIRNLNDRIHAGDLNPRSTNGKFKKLSGNKRQPSTMPFGSSNKEPKHFRQGLVNGSAGGGEADENLLKMCRQVLTKLMKHKLSWVFNKPVDAAALGLHDYHQIIKRPMDLGTVKSNLSRGLYASPLDFASDVRLVFENAMLYNPRTDEVHGMADQLLTHFEELFRPIQAKLATQHVVNEFSAVDDIDGSSWDDIQTPERSKKMKTAAPVAPSISNKQNHSTASNPIIPPAVQSPVRSPSPLPMQPVEPVKPLSTSTRSAIGKLPKPRAKDPNKREMNMEEKQKLGLGLQSMPQEKMPQLVQIIRKRNDHLAHEGDEIELDIEALDTETLWELDRFVTNWKKLVSKTKRQALLVNNTSAAGASVSADIDDAPVMEKIDGMKKSKKEAGEEDVDIGDEMPESSFPHVEIEKDDGGGQGQGTGGGGHGNNENGSSSSSSSSSSSSDSSSSSDSDSGSSSGSDSDADDAQS >cds-PLY81735.1 pep primary_assembly:Lsat_Salinas_v7:3:33795145:33796910:1 gene:gene-LSAT_3X24761 transcript:rna-gnl|WGS:NBSK|LSAT_3X24761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGITGNKGRCYDFWMDFSECMSRCREPKDCSLLREDYLECLHHSKEFQRRNRIYKEEQRQIRAAAQKAKGGVGDGDGVPHH >cds-PLY97953.1 pep primary_assembly:Lsat_Salinas_v7:3:19234355:19237610:-1 gene:gene-LSAT_3X14921 transcript:rna-gnl|WGS:NBSK|LSAT_3X14921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIHKAAIDPEYTVKGFTKMAKAGIYTPRKCILDFHKNRNPNFFSHYLHPFLNPHSPVAAAFFATRNNLFNIMNQLDMSNSNSQSKKRKMVPDVESEEKIVYTYNIDMAPQDTQSSGSSQFMRNMDDSISAVESILKYTFKNKKLLEEALTHSSYTESQSYQRLEILGDSVLGVLITKFVYLAYPELDPGQISLLRAANISTEKLARVAVRNGLYKYVRHKTSSLNDKVREFVIAVEEEGEEEMVVHGGQMKAPKVLADIVESITGAVFQDCDFNFQILWEVIRELLEPMVMLNVLEKRPQPVTMLYEACQKEGKGVEIKNSRQGEINIASVYVDGKFIASGSSENKENAKLHAAEVALSKLMRLKRTTDAISLQMKGNSGEVTEIKGAKRKIHELCIRRRWPKATYRIEHELGPAHDRRYIASVQIELSDKIFFMKGEERSKVKKAENSAASVMFFSLLELGY >cds-PLY87749.1 pep primary_assembly:Lsat_Salinas_v7:5:200567433:200572278:-1 gene:gene-LSAT_5X91020 transcript:rna-gnl|WGS:NBSK|LSAT_5X91020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 23 [Source:Projected from Arabidopsis thaliana (AT5G57990) UniProtKB/Swiss-Prot;Acc:Q9FPS4] MAETLSLIDKNAIVTQKPISRENPGGESTESLAPVDKSPFRRIEFHLARKPFTGFTKGGGDGSFRLETLNPTSTSSNNTYGNQQGVGLDSVGGGSSAKKRDASELGNGLDPELSFGITFRRIGAGLENLGNTCFLNSVLQCLTYTEPLAAYLQSGKHQVTCQKAGFCALCAIQKHVSRALQSSGRSLAPKDLVSNLRCISRTFRNSRQEDAHEYMVNLLESMHKCCLPTGVPSESQSAYDKSLVHKIFGGRLRSQVKCMQCNYCSNKFDPFLDLSLEILRADTLYKAFANFTAKEQLDGGAKQYQCQQCKQKVKALKQLTVHKAPNVLTVHLKRFGSHMSGQKIDKKIQFGSTLDLKPFVTGPYDGDLKYTLYGVLVHAGWSTHSGHYYCFVRTSSGMWYSLDDNRVYQVSEKKVFEQKAYMLFYFRDQKSFPSKKTTDVVHQKEKIPMNNGLSVSKETQKIPMSNGLNVSKETQKIPMRNGSSVVPKDTPIPLNVPKETQISSIVQKETQMNVILSNGSSVTGATEQKAKKEDLVPKSSESSVNSKNGDFSVPNVNLGNAESKTDKGETLKENGRGELVVGSNSGGDGEKGAAMVVDTPDLPKMESLTNKEPHDQKVHKKAKNLPEMGSLTKKKDVDRKPNKKVKNFLKCKKIVSMKVSANVLMGTSLNRRKKKKHKQGKKIKKLDKEKSVNEGQPSSKKVKEQDVVKPPVENGFKERVNKDGAVLATNDLNGVSTGIQSNHLRDNSTQKDLLHLLTRGLDDRTVPRWDEGESTTSHIRERKRDLTIGYIGDEWDEEYDRGKRKKVRISKTEFDGKNPFQDIANERLKSEKPNLSKRPVNMKNEHFKKSRSGRPFRL >cds-PLY64883.1 pep primary_assembly:Lsat_Salinas_v7:3:17752644:17755050:1 gene:gene-LSAT_3X12760 transcript:rna-gnl|WGS:NBSK|LSAT_3X12760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSADFARNTLGVIGNIISIILFLSTVPTFYRIWKNKSVERFSPVPYLVTFVNCGLWIFYGLPFVHPHSLLVTTTNGAGILIESVYLLLFIIYSDHKNRIRVLLVLLFEIIFLGVLFVLVLTLAHTTKLRSSIVGGICVAGNIMMYASPLSVMKMVITTKSVEYMPFLLSLFCFANGVCWFSYALIRFDPFVAVPNGLGALFGLAQLILYATYYKSTQEMIAKRKDKSELVLGNGNVATSAGAINGEVERV >cds-PLY79860.1 pep primary_assembly:Lsat_Salinas_v7:8:14793585:14796634:-1 gene:gene-LSAT_8X10961 transcript:rna-gnl|WGS:NBSK|LSAT_8X10961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRITASPRPCNGRRVVAKKRPRGGVDGFVNSVKKLQRREICSKRDRAFRMCDAQERFRNIRLQEEYDTHDPKGHCSMVLPFLKKRSKIIEIVAARDIVFALAQSGVCAAFSRETNQRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDSFSSLKCRTTRIEYIRRGQPDAGFGLFESESLKWPGFVEFDDVNGKVLTFSAQDSIYKVFDLKNYTMLYSISDKNVQEIKISPGIMLLIYNKASGHVPLKILSIEDGTVLKCFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNSDLTEVSRTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKTESDDPLTEGNAGSINISNILTGKCLAKVKAKNGVPLDECSCSGNNVISNGGSGRSCKCTSRKRIRASRIMSSVAEALEDITALFYDEERNEIYTGNRLGLVHVWSN >cds-PLY94437.1 pep primary_assembly:Lsat_Salinas_v7:6:10299021:10299281:1 gene:gene-LSAT_6X7260 transcript:rna-gnl|WGS:NBSK|LSAT_6X7260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METTLDDLHHLTIAGSVIGSRVILVHPSVAHDPLTMSMVPYSPWRSSDHPQLSTMRARLGGHESCSSDFSLSQHWFIGFDSRRKHR >cds-PLY94469.1 pep primary_assembly:Lsat_Salinas_v7:2:158783273:158787145:1 gene:gene-LSAT_2X83261 transcript:rna-gnl|WGS:NBSK|LSAT_2X83261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNENFGRVKPKHSSDESLRDWRTLCGVVKNPKRRFRFTANLAKRNEVAAMRRTNQEKLKVAVLVSKAAVHFLQGVQPSDYTLPEEVKFAGFEICADEVASIVEGHDSKKLKHHGGVDGIAKKLNTSTTSGISTDKQTLISRQEHFGINKFTETPLRSFWVFVWEALQDMTLMVLGVCAFVSLIVGIATEGWPRGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKISIQVTRNGYRQKLSIYELLVGDIVHLAIGDQVPADGLFLSGFSVSIDESSLTGESEPIMVTTENPYLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAIITFTVLVQKLITHKINQKTHWKWSGDDALELLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKACICTKVLDLTKKVNDIPDNALKLLLQSIFTNTGGEVVINQRGNREILGTPTETAILEFGLLLGGDFKSEREGSKVVRVEPFTSTKKRMGVVLKLPEGIVRAHCKGASEIILAACENMINGNGDVVPLDEGSVNDLKGIIDNFAGEALRTLCLAYIDLNSDVSPETAIPASGYTCIGIVGIKDPVRPGVKESVALCRAAGISVRMVTGDNITTAKAIAKECGILTDDGIAIEGPDFREKSLDELYYLIPKIQVMARSSPLDKHTLVRHLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALVVNFTSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRQPVGRTGSFISIVMWRNILGQSIYQFTVIWLLQSKGKSFFGLYGDDSDLILNTLIFNAFVFCQLFNEINSREMEKEDVTDGIWDNNVFVSVISATFIFQVIIVEYLGTFANTSPLTIIQWFFSIFIGFLSMPIAVYLKRIPYLLNIKTN >cds-PLY70144.1 pep primary_assembly:Lsat_Salinas_v7:3:10892403:10892753:-1 gene:gene-LSAT_3X6200 transcript:rna-gnl|WGS:NBSK|LSAT_3X6200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPQMSLSNYDGALKIVDADEESGFTIFDSSFIESGLSLEELRWKFYEKNAVVGPPLSVEVSNSPPMDIEANLVRVPVGDADRPFFPLPSDVAAPLILFHAKESALILNAIVGLLL >cds-PLY74227.1 pep primary_assembly:Lsat_Salinas_v7:1:78574987:78575349:1 gene:gene-LSAT_1X66040 transcript:rna-gnl|WGS:NBSK|LSAT_1X66040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDLFVVDDTKKRRGGVARIKSRNLRFSSFAESVMDTKEDDEDEEEEEHDDDDDEIKVSDEVFVRNEVDDDEIEEEGYLKTTKKKNRSSSFRYQSCFTDETHGLLILVGRSNMECYWFEN >cds-PLY72824.1 pep primary_assembly:Lsat_Salinas_v7:6:22593965:22598771:-1 gene:gene-LSAT_6X17801 transcript:rna-gnl|WGS:NBSK|LSAT_6X17801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLGDIGVSALINIVTAFAFLLAFALLRIQPINDRVYFPKWYIIGARSSPRHTGNFVGKFVNLNFNTYLTFLNWMPQAMRMKEPEIIEHAGLDSAAFLRIYVLGLKIFGPAAIVAFLVLIPVNVSGGLLFFLSHDLVISDIDKLSISNVPPKSSKFFAHILMMYLFTFWACYMLYKEYDVVASMRLNFLASKSRRAEQFTVLVKNVPDVHENSVSDTVDYFFKKNHSTHYLCHQAVYNANKFARAVKKRQKLQNYLDYNELKFERSPHKRPTKKTGFLGLWGKKVDSIEYYQQQVKELDEKLTMERERIFKDPKCIMPAAFVSFNSRWGAAVCAQTQQSKNPILWLTDWAPEPRDVYWKNLAIPFVSLSIRRLVISLAVFALVFFYIIPIAFVQSLANLDGLERVVPFLKPVIEWSFIKSFLQGFLPGLALKVFLWLLPGILMTMSKIEGHVAFSVLERRTAGKYYFFMLVNVFLGSIVTGTAFEQLHSFLHQSPTEIPRTIGVSIPMKATFFITYIMVDGWAGMAGEILRLKPLIMFHLKNMLLVKTKRDREKAMNPKSIDFPETIPSLQLYFLMGAVYAVVTPILLPFILIFFAFAYFVYRHQVINVYNQRYESAAAFWPHVHTRIIANLLISQFLLLGLLSTKKAASSTPLLAVLPILTVTFHNYCKSRFEPAFQKYPLEEAVEKDAQDEVYEGEMGMKSFLSEAYLHPVLRSFEEVELVELGVERIPSQPTTELAFGLDSPESKVSPKNQKQNLEVVVEQHESVFTMSHDETSVHQTHNT >cds-PLY99768.1 pep primary_assembly:Lsat_Salinas_v7:9:53432348:53434165:1 gene:gene-LSAT_0X7361 transcript:rna-gnl|WGS:NBSK|LSAT_0X7361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNLANMGSGVSASVDENDDEDISKSAFASFQAREEEIEKMKMQVKEKVEMKLGQAEEQTRRLAQVWEELEVLTDPMRKEVASVRKKIDVANREVRSLGQSCQKKEKEYKEALDAFHQKNNEKNQLTATLVELVKESENVRMKKLEELSKNIDPSC >cds-PLY76377.1 pep primary_assembly:Lsat_Salinas_v7:6:170804552:170805615:1 gene:gene-LSAT_6X104501 transcript:rna-gnl|WGS:NBSK|LSAT_6X104501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMATTTTTFLLHLLFVFFSILHASFATRKLTELLPDSSDLMKYHNGPLLSGNISVNLIWYGNFNPTQKSIISDFITSLSVSTSPKPSVAKWWKTTGNYHTKSNNPITLSLSLAKQTSDPMYSIGKSLTNDQLVKLASNHASENTVSIVLTAEDVTVTGFCSSRCGTHKSSYNVNGHKGKKYRFVYIWVGNSVTQCPGQCAWPFHQPIYGPQGAPLVAPNNDVGVDGMVINLASLLAATATNPFGDGYYQGDASAPLEAASACQGVYGKGAYPGYPGDLLVDGTTGASFNAYGTSGRKYLVPSLFDPLTSTCSALV >cds-PLY79319.1 pep primary_assembly:Lsat_Salinas_v7:4:240554:243129:1 gene:gene-LSAT_4X381 transcript:rna-gnl|WGS:NBSK|LSAT_4X381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.1 [Source:Projected from Arabidopsis thaliana (AT5G13400) UniProtKB/Swiss-Prot;Acc:Q9LYR6] MGIREIKSPEVLSETPAVVSTDENPESLKSKKLGMYFVESDNRRSPFAGGYTTLGTTPVNIHGKPITDLSKTGGWLAAFFIFGNEMAERMAYFGLSVNMVAFMFYVMHRPFTSSANAVNNFLGISQASSVLGGFLADAYLGRYWTIAIFTTIYLLGLTGITLCATLNVLVPNQDECNQLSLLLGNCEPAKPWQMFYLYTVLYVTGFGAAGIRPCVSSFGADQFDERSRDYNTNLDRFFNLFYLSVTMGAIVAFTAVVYIQMELGWGFAFGALAVAMAISNIVFFVGTPLYRHRLPGGSPLTRVAQVLVAAFRKRNASFNSSEYVGLYELQGKRSAVKGSGKIAHTDDFRCLDKAALRLKEDGTNMSPWYLCTVTQVEEVKILLKLIPIPTCSIMLSVILTEYLTLSVQQAYTLNTHMGRLKLPVTCMPVFPGLSIFLLLSLYYVIFVPLSRHITGHPRGASQLQRVGLGLAISIVSVGWAGFFERYRRNYAIREGYEGSFLSPMPGLSAYWLLIQYCLIGLAEVFSVVGLLEFLYEEAPDAMKSIGSAYAAVAGGLGCFIATILNSIINSLTGNEEKRQTSWLSQNINTGRFDYFYWLLTALSIINFSLFLYAARRYKYRVKDIVETKELPQR >cds-PLY69651.1 pep primary_assembly:Lsat_Salinas_v7:5:47757046:47757422:1 gene:gene-LSAT_5X24041 transcript:rna-gnl|WGS:NBSK|LSAT_5X24041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSFIEDSYVQPDAVKKSKVKAETKLPLKIDKTSQLLFRGSLLGQLRKESYKPRGRLLGQNMVYGRKPIYGPCPPRPCSPCINIGVRV >cds-PLY68589.1 pep primary_assembly:Lsat_Salinas_v7:2:21221488:21223782:-1 gene:gene-LSAT_2X10300 transcript:rna-gnl|WGS:NBSK|LSAT_2X10300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMMKRAEIDTTAPFKSVKEAVALFGEKVLAGGVYANKLKEIENNVKEYEKIPMPITIELEETKQRLEEAQEDRMLMATCLSSLQQELERTKYELQQLKQNSKNHPGIEEDFEFIEDVTKFEVKPESTSHNATSELEFQKKRYVTFANPPSVAQVMIQPCDATVLERHPSLRKKKKKALIPLIGGIFTKKKNVIHV >cds-PLY97021.1 pep primary_assembly:Lsat_Salinas_v7:2:31642194:31643091:1 gene:gene-LSAT_0X34461 transcript:rna-gnl|WGS:NBSK|LSAT_0X34461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTIPISKTPIYPVFLSCFQTLAISLPPAYRMPGVPLQCQAAAAAPIPSACNNHFNAEVQLNFQKRRGHGIFSLLHPRFSGVLGEALDLAARWSRDVITVEKVVLEQSNSHYELQGEYMLPGSRAGIETGKGN >cds-PLY98972.1 pep primary_assembly:Lsat_Salinas_v7:7:49558402:49558818:-1 gene:gene-LSAT_7X34760 transcript:rna-gnl|WGS:NBSK|LSAT_7X34760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVGKQFFKKKKLPLPIDLSHKNWKEQIERACSAALLFFSTSTCCVVRVAKASMERDDIIENVSAAIDEIIEFIPKKLGGVRSLHLKFSDSATLPLYQSLPDIKLRIEVVTEKNVEQESMEVEESDKKKKSKNGKFDR >cds-PLY69703.1 pep primary_assembly:Lsat_Salinas_v7:5:211390631:211393963:-1 gene:gene-LSAT_5X97160 transcript:rna-gnl|WGS:NBSK|LSAT_5X97160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHSFFSDSYAEMAAKSVRGRPNYVPPHQRNNYNADETTRYGYQTGNNGSGRGRGRGGGGRGGGRGFVRGYSVVDKEFHDPNRHARTHNQYDNLTEKFNELDVSETENKSMAINFDAYEDIPVEITGSDVPKPVNTFADIDLGGSLNDNIKNRCKYVKPTPIQRHAIPVALAGRDLMACAQTGSGKTAAFCFPIICGVLKIQSPVPYGRREMVSYPLALILSPTRELCCQIFEEAKKFCHQTGVKVAVAYGGAPIQHQLRSFEKGVDILVATPGRLTDMIERSRVSLKKIKHLALDEADRMLDMGFEPQIRKIVECLDMPPPGQRQTMLFSATFPSEIQRLASDFLSNYIFLSVGRVGSSTDLIVQKVVFVEDEEKREYLRNLLHDQKANGNLGKNALIVIFVETKRAADTLENWLCRIGFPATAIHGDKVQYEREKALRSFKNGMTPILVATDVASRGLDIPCVAHVINFDLPRDIDSYVHRIGRTGRAGKSGLATAFFNSKNSVIAKSLSDLMKESHQEAPNWLDEYASSYSSSSDRRYGSGKFGARDFRSGNGNGNSYGESYYGGNGNGNSYGGGYGGSRNSNSYGRNGNSNSYGGGYGRNGSSNSYGGGYGGNGNSNSYGGNENSNSYGNVDYGESSGGFDYGAPAAEAVDYGGSWAAGGGSGYESVVADGWE >cds-PLY97112.1 pep primary_assembly:Lsat_Salinas_v7:4:71654701:71658005:-1 gene:gene-LSAT_4X48400 transcript:rna-gnl|WGS:NBSK|LSAT_4X48400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:MEE62 [Source:Projected from Arabidopsis thaliana (AT5G45800) UniProtKB/TrEMBL;Acc:A0A178UKF2] MNLFSCFVTTLVLSTFFMLSESANCNNTDHVIISKAFMSVYNFNSSWLVCSNSQISEINLSSRNLTGALSWKFLKNLTHIHTINLSNNSLKGYIPSWFWSIPNLVEVNLSKNKLGGTIGFESGSGLSSIQVLNLSFNRFTNLAHLSNFSNLSVLDMSYNNLKVLPFGLNSVKKLQHLDLSSCNISGDLKPISNLTSLEFLDVANNHMSGTFPDDFPPLSNINFLNISFNNFSGVLPYDKVQRFGNSSFINSGMLFKFSNTSVNHTATVPVSVHHPKESHVKPHQILSAHSNPKDPNSVKEYKKPSSKKRLIWIIIISSISFLVLLAMGVCIYCIFKKRKMAKRNKWAISKPVHQHQPFKIEKSGPFSFETESGSSWVVDVREPSSAAVVMFEKPLMSFTFKDLMAATSQFGKESLLAEGRCGPVYRAVLPGEIHVAVKVLENARGMSHDDAVSMFENLSKLKHPNLLPISGYCIAGKEKLVLYEFMANGDLHRWLQELPTGKTDVEDWSTDTWEYPVDSSSPEKMEWRTRHNIAIGIARGLAYLHHAQSTPVVHGHLVPSNILLSDYLDPRIAGIGLSHDHDRVHAQTTDSDVFSFGVVLIELLTGQTGSEETVVKARKLVREGRGVDALDSRLRLGDNSVSEMVECLRVGYLCTAETPGKRPTMQQVLGMLKDIHPITAELN >cds-PLY90354.1 pep primary_assembly:Lsat_Salinas_v7:2:198727180:198727615:1 gene:gene-LSAT_2X119940 transcript:rna-gnl|WGS:NBSK|LSAT_2X119940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQTKETMEQVLETQVDVDAEVVRETQSIKDLKESGYTEDEIERFVAAEHNEDDDEEGTYKTHP >cds-PLY96772.1 pep primary_assembly:Lsat_Salinas_v7:2:172335820:172337295:-1 gene:gene-LSAT_2X95140 transcript:rna-gnl|WGS:NBSK|LSAT_2X95140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCSMGFHSRLTSSSRIPSFEFVSGSTAVSPPAVRCPGRRSHTSSSLKVGIKIKTCSRRIDSTRCFAALNPELKTTLDKVVTSHKVILFMKGTKDFPQCGFSNTVVQILRSANVPFETINILDNEMLRQGLKEYSQWPTFPQLYIDGEFFGGCDITVEAYKSGELQELLEKASLS >cds-PLY82942.1 pep primary_assembly:Lsat_Salinas_v7:1:19315630:19316955:-1 gene:gene-LSAT_1X16741 transcript:rna-gnl|WGS:NBSK|LSAT_1X16741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPHSIYATSLLLLLSFQLLWTISEAVVHASDTFTYVNEGDFGDYFVEYDANYRALPPFSNPFQLCFYNTTPNAFTLALRMGTVRSKSLMRWVWEANRGNPVRENATLTFGTDGNLVLADSGGRIAWQTNTANKGVVGFQMLPTGNMVLHDGKGNFIWQSFDYPTDTLLVGQSLRAGGASMLVSRASDENNINGQYSLVMEPRHLAMYYKGANSPIPMLYWTSIEWFTIMKGSLTNLTLNSVTDPDEGFIYYMSFDYFTTNPSSSSNRYMAYSRYNNTLSYLRLGIDGNLRFYTYTPNVQDVAWELVYTFLDRGSLEGECQLPERCGKFGLCENSQCVACPTPNGLSGWSKDCEAKKVPCKASDFGYYKLEGVDHFMITYTTGDDGRKQSECESKCTKDCKCMGYFYHTDDSRCWIAYDLKTLTRVENSAHLAYIKTPKK >cds-PLY63396.1 pep primary_assembly:Lsat_Salinas_v7:7:151891468:151892298:-1 gene:gene-LSAT_7X89121 transcript:rna-gnl|WGS:NBSK|LSAT_7X89121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLPSPTLCSSSPHSSHRPPPPPHRHLHFRTSHQENLRYLKSLGIIQPSFTKPPSPETLSQILSTINYFKSKGFSEPDFPRIAFLSPHLFSPTFNPTTIDPVFHFLAVDLAASPEESRGLILKCPHILESDPEFCLKPTLEYLKKLGITRLQSPTTLNAHLLDTRVSKMEEKMRFLRGVGFSDEESRRVCGRFPAIFGYGIENNLRPKFKYLVMEMKRNGRDEVNKFPQYFGFSLENRIKPRHLHLKRRNVDAAEVPLNRMLLWSDERFFKKWK >cds-PLY85878.1 pep primary_assembly:Lsat_Salinas_v7:5:42395122:42399211:1 gene:gene-LSAT_5X20501 transcript:rna-gnl|WGS:NBSK|LSAT_5X20501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVWIHYKKMSVFSRLTADAPPHRAFPNSQLLPSPPTSPPSHPSHTSGATIAQQNSDSYAGSSDAKEQIHKLTHVEKLQLKFDLKPRIMEALETRLKELEQKMLDINPKLQEGHIVRWLQTPDIRTLRQGEKKHYNQKVDGYIFTIVLWELLHNKLPFEDMYNLQAAYLQLSSFGRSTRRFSNDYEFMLDGGLRFSQFINQLVNSMLRRGGFRTPLKPREATPFLTPSLGQGIVNTSSLTHQPQPASLGLFFLLLANTTYSEHILKRATRPFG >cds-PLY64999.1 pep primary_assembly:Lsat_Salinas_v7:8:88896412:88896648:-1 gene:gene-LSAT_8X61621 transcript:rna-gnl|WGS:NBSK|LSAT_8X61621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGGDRRWPVAELAFLASEFGQMDCRSGCPEVFGEEFPMKNKELMEGGGGVKLFWFSSEREENDGGTAIYRLKKGVGW >cds-PLY68445.1 pep primary_assembly:Lsat_Salinas_v7:2:60216032:60217014:1 gene:gene-LSAT_2X28021 transcript:rna-gnl|WGS:NBSK|LSAT_2X28021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMEGSQGVRYRRFLSPLSAAPFVALAGFGLYEFGFPEVVRCVEIGLPQPIFVVFCPG >cds-PLY73742.1 pep primary_assembly:Lsat_Salinas_v7:8:170049999:170050214:1 gene:gene-LSAT_8X110840 transcript:rna-gnl|WGS:NBSK|LSAT_8X110840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRPLVVEESNEVNISVIDLSGLNLDDAVLRKDTLELISYACREWVFFQVVNHSVSHQLMAKTCKVWRELF >cds-PLY61649.1 pep primary_assembly:Lsat_Salinas_v7:2:47202305:47203293:1 gene:gene-LSAT_2X21441 transcript:rna-gnl|WGS:NBSK|LSAT_2X21441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAATASFAFSRLLSSATKPHLTSASTSLLPANHRSLAFSSSISPLRIKNLGLTHRHAIRYSTTPKVSATISVGDKLPDATLSYFDSDGELQTTTITDLTKSKKAILFAVPGAFTPTCSQKHLPGFVEKSSELKSKGVDTIACISVNDAFVMKAWKADLKVGDEVLLLSDGNGDFTKAIGCELDLSDKPIGLGVRSRRYAMLVEDGVVKVLNLEEGGAFTSSGADDMLKAL >cds-PLY65597.1 pep primary_assembly:Lsat_Salinas_v7:7:163755156:163756517:1 gene:gene-LSAT_7X95360 transcript:rna-gnl|WGS:NBSK|LSAT_7X95360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLPPPSTSTPFLQSFLMDSSFQIQNSSFQIEPRKKKLKLLDEDDDRNHGEGFGLENLPRDVLLDILAKLPISSLIQFRLVCRSWKLLSVDPELSRLHHAAVAENDPTLLFHCDYPIRNQLSFVELSSGGGGDEDEDDEDDEDKIVRKISTPFCSSMPEFNVVGSCNGLLCLSDSLYGEPVYVFNPFSRDYLELPKSKQFQEQEVMFGFGFHPITNEYKVVKIVYYRNRPGKRRVIRNNRNYPKSEVQILTISKETNKNPWRSLGKVPYQLDRQAAEVPVVNGRIHWLSRPGRVAGVLGRAIISFDLKDEQFKVVTKPTHVTVIRSNFHLAVIRGCLAAVISCGYGKLEIWVMKEYDSQESWMKEFVIHGVYPARVPNHENHYQIGRPGLSRRMVRVLCVLKNGEILLEYRGGSLVKYDPKWKEFKDVVFSKMPKLFQTIVHLGTLNWIHTSM >cds-PLY78399.1 pep primary_assembly:Lsat_Salinas_v7:3:235769499:235770251:1 gene:gene-LSAT_3X132281 transcript:rna-gnl|WGS:NBSK|LSAT_3X132281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCNGKSVEGILWVGVVKCSGMVVVGILSVVVVKCSDMVGEEISLVVGVMCSDKVGVVTSLVVVVKCNGIVVVEISLVVVEETSQVVVVRCSDMVVVEWALVLALASHKS >cds-PLY66168.1 pep primary_assembly:Lsat_Salinas_v7:2:4720437:4723028:-1 gene:gene-LSAT_2X3201 transcript:rna-gnl|WGS:NBSK|LSAT_2X3201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:BUBR1 [Source:Projected from Arabidopsis thaliana (AT2G33560) UniProtKB/TrEMBL;Acc:A0A178VU51] MADIVDPRNMVMDPETEFLASKQETGNEWELFKENVRPLKRGRNVKLLNDSLKSNSDLQIRNSLIDTRRKLIKAIDEYEGEDPLQPWIDCIKWVQDAFPPGGDCSGLVVILEQCVRTFWHEEQYKNDLRYLKVWLEYAGYCDDAEVVYSFLDTNKIGEIHSIFYISYATHMESKNKIKTANDIYECGIARNAQPIEKLKSAYKKFFVRSMSRPKAIEEESMDTRQPTRSFGTILARADSGNRPLESSEIARKRQKQEGAAASFKVYKETTGRSSMQQQPESSKPELKNWNTLGGRADRNKENNAIPAKWTSHKIPQRPVARTAAPAPAPALPCIEVFVDEECLGPQNVRNEGGGASALQLRDKDGKDLKKETEILRENPLCHFPPSSMR >cds-PLY92352.1 pep primary_assembly:Lsat_Salinas_v7:9:179941216:179942393:-1 gene:gene-LSAT_9X110860 transcript:rna-gnl|WGS:NBSK|LSAT_9X110860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDMMGLPIGGEDINELPFCDKGNQILEEWKGKYTADKFNGKEYLKRIQAITKDSLMFRLKFLTLFINNFIKSMLMGTNQIKVVRKLVLVEYFSKLNWCKYMLDCLGSRKKLLKRDDKSSYNSVPIALLIYAIKLDKRLPFIVHITGVNLLEIQHLEISLVGFGRQFQDEHEDVDMKDETGGEEEQLVSFKRDFGDEEAYAAILQHSYGLIVTEKHTMEFALKDGLEKFLDSVVLKEWMEKMNELFMEVNEGENNKKVHGSEGYNELNLNDIGDGGEGNSSLVRGLIINEVNA >cds-PLY87407.1 pep primary_assembly:Lsat_Salinas_v7:4:12447575:12453925:-1 gene:gene-LSAT_4X8160 transcript:rna-gnl|WGS:NBSK|LSAT_4X8160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSHADVDRQIEQLMECKALTESEVKTLCDQARAILVEEYNVQPVKCPVTVCGDIHGQFYDLIELFRIGGSTPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRLTILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRGLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIASQFNHTNGLTLISRAHQLVMEGFNWCQDKNAVIVFRWYPVCHSPIATFGTNESQLPDLSARGXNGLTLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEVGENMEQNFLQFDPAPKQIEPDTTRKTPDYFL >cds-PLY71343.1 pep primary_assembly:Lsat_Salinas_v7:7:185381765:185384983:-1 gene:gene-LSAT_7X110680 transcript:rna-gnl|WGS:NBSK|LSAT_7X110680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAFLSRTLFAHRFVSSFAFNQKPQKLAPLFFKKPLHSWAFPSQSVYRRQTLVRSDMASTMVGSTSPFSTQSMSTSEPVVSVDWLHANLREPDMKVLDASWYMPDEQRNPLQEYQVAHIPGALFFDVDGISDRTTNLPHMLPSEEAFAAAVSALGIENKDGVVVYDGKGIFSAARVWWMFRVFGHDRVWVLDGGLPMWRASGFDVESSASSDAILKASAASEAIEKVYHGQAVGPVTFQTKYQPHLVWTLEQVKKNTEDQTHQHVDARSKARFDGVAAEPRKGIRSGHVPGSKCIPFAQMLDSSQTLLSADQLKKKFEQEGISLEGPVMASCGTGVTACILALGLHRLGKTDVPVYDGSWTEWGAQEDTPVATSTPTPTS >cds-PLY96048.1 pep primary_assembly:Lsat_Salinas_v7:8:21509367:21510593:-1 gene:gene-LSAT_8X16520 transcript:rna-gnl|WGS:NBSK|LSAT_8X16520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIYREEVIQTLIESLHKKDFPNSQVAALDALSSLSGHLSASEKSLNKVRLLNLAIAKEGELIIRTIVEYSEASYSVNSSWRTCKAHLSSRTVSDICNIQAQKILRCILSDCWMTKHSISYQLTHLTNLNDNNAYDYVGTSYVMGRILVFIVENRKLQLVAEKETKGVVYSLNAFNGKLLAAINKKSSCTNGCSVTMGPANYNPNVDTMATFLLSMYKLMEISLLLV >cds-PLY96663.1 pep primary_assembly:Lsat_Salinas_v7:7:44373848:44379015:-1 gene:gene-LSAT_7X30521 transcript:rna-gnl|WGS:NBSK|LSAT_7X30521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM3 [Source:Projected from Arabidopsis thaliana (AT5G46280) UniProtKB/Swiss-Prot;Acc:Q9FL33] MDISEEQRASNKKAFSELFDTNDSLDEDIRSMIQNKRHRLIFQLSHLFQRGAEDLARRLLHTPSEYIQSLSDFITERTRSIDPKFLKEGEQVLVGFDGPFVSRKVTPRDLLSEFIGSMVKVEGIITKCSLVRPKVVKSVHYCPSTTQFTSREYRDITSTMGLPTGSVYPTRDDNGNLLVTEYGLCSYKDHQTLSMQEVPENSAPGQLPRTVDVIAEDDLVDSCKPGDRVAIVGIYKAIPGKSQGSVNGVFRTVLIANNVSLLNKEANAPVYSSDDIKKIKQIAERDDTFELLSRSLAPSIYGHAWIKKAVILLMLSGTEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVICIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSITPTKNIGLPDSLLSRFDLLFIVLDQMDPVIDRRISDHVLRMHRFRSATDGGDDVASMYGREDEVEVDGSIFVEYNRMLHGKTKGKKLKDEALKIKFLKKYIHYAKHRIQPDLTDEASEQIATAYAELRNSHGNSRTGGTLPITARTLETIIRLSTAHAKLKLSKQILKSDVDAALKVLNFAIYHQELTDMEDRRQHEESQKADNDGDNNNNGSVRRKRKPDATTQTGTETEPMDVDEPPVGQEQTTSAERIAAFRSAFEQHRHEKRFEQITITEIEQVVNIRAAVPYSRAEIISLLESWRDEGSVMIVDDVVYPTY >cds-PLY87948.1 pep primary_assembly:Lsat_Salinas_v7:4:338952182:338957888:1 gene:gene-LSAT_4X167920 transcript:rna-gnl|WGS:NBSK|LSAT_4X167920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTEITTTTTTTTSIRNRPPNTGNLITVLSIDGGGIRGIIPGVILEYLESQLQELDGDEARLVDYFDVIAGTSTGALITVMLTAPDENNKPFYAAKDIVPFYLENTPKIFKQIGGPFAGIIKLLKALIGPKYNGKYLTTLVTGLLGTLKLSQTLTNVVIPTFDIRDMQPVVFSSFQVTREPTTDALLSDICLGTSAAPTYLPAHYFQNGDREFNLIDGGVAANNPSLVAIGEVTRQVMKEDPNFVPISPLDYGRYLVISLGTGTEKQPPIYDAKTAAKWGVLGWMVNNGSAPLIDSFQQASADLVVFHNNVVFEALNSTDSYLRIQDETLTGDLASVDVATTQNLNNLVDVGKALLDKPVSRVNSDTGVFEVLPNGGTNREALKRFAKQLSDERKLREANCTAQEVLE >cds-PLY82983.1 pep primary_assembly:Lsat_Salinas_v7:1:20110353:20122917:1 gene:gene-LSAT_1X16840 transcript:rna-gnl|WGS:NBSK|LSAT_1X16840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQGPVVCPSVQRKQGGIHAIPAINGSLTKAKGLRSGMWGFRGVYSHRIHIHNQSRSKTNSITCTFSSSSNGNGSMAESFNENDSDYVDSSVVEAVEVKSGPEGFMIKMRDGRHLRCAHNNPQGGHVPDYAPHPAIVLKMEDGTGILLPIIVLEMPSVLLMAAIRNVQIARPSMYQVVQEMVDKMGYKVDDETDCLSFDLRPSDAINIAVRCKVPIQVNKFLAYSDGMKIVESAKPSLHGSSSSDGLIFKELDRPSGQPCVETKEFNLIRNMLIAAVEERYRDAALIYFYGLLQHNGGISSLNFDPRGTGHNRSKYVMRLYYVAGWEGTSSYYECNEHNSDFEISGEEKRSKMGTLKKAAKNASSRIRHSLKKKSRKKNNHELSISIEDVRDPEEVNSVNNFRQILVAEEFLPPQFDDYFTMLRFLKARNFDIEKSKHMWVNMLQWRKAFGTDNIFEDFEFNELKEVLEHYPQGYHGVDKEGRPIYIELLGKSDPDKVMLVTTLERYVTYHVQEFEKTTSIRFPACSLAAHKRITSGTTILDVQGVGLKNLTRSALDVIRKLQQIDNDYYPETLGRMYIVNAGSGFKMIWKAIQNFLDPKTRSKIHVLGHKYKNTLLEVIDAREQGGCLQSDKGPWQDHNILQLVSSGKAKCCVQEISTLSSEASTAESASEIEEIAHKGTKHNKDPILAPVYEEHEKDIPVDRVVDKRLKKEASHQEPVVIQGPQMATSTFHAHIWISLMFFLSIFAFVRSVGVSVSNLAMNTILLIRRPNIEAELSYLVQKVCELEGKFNNLQLKSEELPNDKVELLNATVCRVDALEAELISTKQALHEALMKQDELLAYVDGQKRVESRY >cds-PLY79514.1 pep primary_assembly:Lsat_Salinas_v7:1:33582699:33586062:-1 gene:gene-LSAT_1X28960 transcript:rna-gnl|WGS:NBSK|LSAT_1X28960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVKIFLYIKLTKNSVEKSEGALKSHLTQSLQNPPQNLTVGLFLSSLLPPLACRHQANVHCVCPANTTAPPVLLIGISPLLPMSIVVGKPATEEANSKVDDEEVSEDEVDEEEDSWSSDSEIGEALDYLDAKDDSGAVDGALVLNARRPNAHGGIHSRPNASPLQPLSNRNQKFTNHIRASPLEEWEGRWDSGMSNSVTTAIRESVRGMAIGKTKTTEKADRATVEQAIDPRTRMVLFKMLNRGIFHDINGCISTGKEANVYHATRSDGQELAIKVYKTSVLVFKDRDRYVQGDYRFRYGYCKHNPRKMVKTWAEKEMRNLMRLKAAGIRCPTPILLRLHVLVMEFIGNTGWAAPRLKDAALSLDKLREGYFEMITSMRVLYQKCKLVHGDLSEYNILYHEGHMYIIDVSQSVDLDHPHALDFLREDCVHVSDFFKKGGVAVMTIRELFDFIVDPSINEDSVDSYLEEAQQKVLARGDEISAEEEIADAVFVQSYIPKTLDNVTNAEEDVIRLTSGQDTGDMYYKTITGLNHARSIEQQQHNEANLGQNAPGSGQTEGLDLHDDDESESETDDDDDSSEEGSFSDDEKMTAEDKKAARKENKKKVKEEKREARKSKVPKAVKKKKKKLAKAKKYR >cds-PLY99922.1 pep primary_assembly:Lsat_Salinas_v7:7:16245111:16245843:-1 gene:gene-LSAT_7X13241 transcript:rna-gnl|WGS:NBSK|LSAT_7X13241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDGKEQEGAQRFYNKYSSLSNNITLKLHLLLPMVILLSFLLTFTSYNSYHYPMSAFLLPLFTHTFEKKYLFILCTTILTFITKKNLHSGLKENLHHEKLLYSLDEINVAAVVEGDLQECEYGRLDDQESKEEHEENTENSEKDSEVATEDEADGEVFMEEGILGGPSRVEEHAAMNMDTEELNKKIEEFIRKMREELRVEAEQHLINV >cds-PLY98602.1 pep primary_assembly:Lsat_Salinas_v7:1:38481203:38485244:-1 gene:gene-LSAT_1X34361 transcript:rna-gnl|WGS:NBSK|LSAT_1X34361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLMSQASPSHGIRTEILLCKSSVNNTSAFRPRISTITFPWDSSSKSSQKMHHVDAIGVKSKRGNQVIVAASPPTEDAVVATDPLTKEDLVGYLASGCKPKENWRIGTEHEKFGFELKTLKPMTYGQIADLLNAISERFDWEKIMEGDNIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFIGIGFQPKLERKDIPIMPKGRYEIMRNYMPKVGTLGLDMMFRTCTVQVNLDFSSEADMIRKFRAGLALQPIATALFANSPFTEGKPNGYLSMRSQIWTDTDNNRAGMLPFVFDDSFGFEQYVEYALDVPMYFVYRKHKYIDCAGLSFRDFLAGKLGPIPGEYPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGILYDDVSLQKVLDMTADWTAEERLMLRNKVPVSGLKTPFRDGLLKHVAEEVVNFAKDGLERRGYKETGFLNEVAEVVRTGLTPAEKLLELYHGKWGQNVDPVFEELLY >cds-PLY81415.1 pep primary_assembly:Lsat_Salinas_v7:3:217353637:217355851:1 gene:gene-LSAT_3X125960 transcript:rna-gnl|WGS:NBSK|LSAT_3X125960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHHKRYNFVILWVLLLLSHNIESFSWFFTTGKVESSEKPSTFPDVSHNVVSEFSMESFNSKKGMTLVEKAKRKVVASNSCWQNAYQNLFTGCSEILAGEEQRSRLAWHLTDCFQKDTGRTDAFKRQTERLVNELKRSAEYAEEKLENIEEKAERLSHSSQHIHESLASIGIQTQQVAKTSKNVEQHVGVVLDHSQSVYEQSLKLADSQMELRNGQNKMNERLDEGMMMLNESANKIGGELKNLKNEVVEVEKEIGKVGDAMFMKMDTLQSKADDIENIAETSLDKQKQLLDSQNAALELIELGHSQQQELIQRQQQLKQVHDHLVENSKSILAAQEIFESKQTSMFVAIDKLFTLHNAILLESRAIKAFVVYFILIFTLYMFTSTKQTYNVRSRLYIGLCVTFVTELVVLRYGNDIEQQTWIISIVRSIFMLLASCQLLYAIYTYRDYETLNHRMLQALIEKVNSMQGNKQMVVDDDDDDDDDDDVDWSSWVDTDLPEDESEDFDYMLPEGVGEVSITPSVSRQYNLRQRHL >cds-PLY94936.1 pep primary_assembly:Lsat_Salinas_v7:4:112510339:112521230:1 gene:gene-LSAT_4X72121 transcript:rna-gnl|WGS:NBSK|LSAT_4X72121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTSLSISKKIPRVSPKLFATVPHPAWFEASFPLAISLPADKLRPAYFIVNGVIYFLQGKATAAAANKVEVVRKADHMVPAAMAACCRQGAYHTDQVAAVADHILDFEGKLKVEAGWLVLVLHMKDMNVDPCCGAGLLYPSEGIHRRWDGGYRITSTAATWDQAAFVLSVPRRKLANKTHAYETHADETQETLCTSAFPNTHVKNIARLFHGQLVFFVGMCLNFDATKFEVLFLVCNLYVLLILVDKDLVVCFMYFVHQVKLMEERNMKPLDSNLAALSARCSKDLELNLLKSFLSEMGQCTTAYPYNQLLGALVLKNYERQDATLLSWNLMY >cds-PLY74895.1 pep primary_assembly:Lsat_Salinas_v7:6:97621733:97625522:1 gene:gene-LSAT_6X65541 transcript:rna-gnl|WGS:NBSK|LSAT_6X65541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGSLPILPLPAPPSDGNLGPLPPSQVQDEPMPEAEDDQNKSNSAPASIATHTRTIGIIHPPPDIRIIVDKTAGFVAKNGPEFEKRIIISNAANPKFNFLNGSDPYHAYYQHRLSEFRSQNQNPAQQSDAPPPETTPSSAPADGDAPEKTDPSAKFRPVRKILDPPEAEQYSIRLPEGITGEELDIIKLTAQFVARNGKSFLTGLTSRENNNPQFHFLKPTHSMFMFFTSLADAYSKVLMPPKGLPEKLKKSVADMTTVLERGLHRLEWEQSQEQARQKAEDEIEQERLLMSMIDWHDFVVVETIDFADDEDDDLPPPMTLEEVIRRSKMAAAVEEEEEVMEPGKEVEMEMDEEEVQLVQEGMRAASLEENGDDGNGNGKEVVAARVRVVAEENEPPMRIVKNWKRPEDRIPAERNPTKFVVSPITGELIPVSEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISKNIVGLARTRPDIFGTTEEEVSNAVKQEIEKKNDEQQPKQVIWDGHTGSIGRTATQAMSQNADDLLDDGRNLPGPAAPPPRPGVPSVRPLPPPPGLALNMPRVPPGAVQYAGVPPPVRPPTMVMPQRQVPPPPAMQMGYGQQGYMNRPPLPVNPASIPVPLPPGSQFTPLPPRPFMTQHGMVMAPPLPMPQGMLPPPPPEEAPPPLPEEPEPKKQRLDDSFLVPEDQFLAQHPGPIRIAVSVPNLDEGNLKGQILEITIQSLSETVGSLKEKIAGDIQLPANKQKLSGKAGFLKDNLSLAYYNVGGGEMLSLSLRERGGRKR >cds-PLY74742.1 pep primary_assembly:Lsat_Salinas_v7:6:121003297:121003681:1 gene:gene-LSAT_6X71721 transcript:rna-gnl|WGS:NBSK|LSAT_6X71721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSYSFSSSLNRSSKKSTVNDPETCGYGFPARILTSTTPKNPGRHFMVCNEMPLMEVVEGMKAELVALTTLQRISFDWNGITK >cds-PLY77641.1 pep primary_assembly:Lsat_Salinas_v7:5:173915858:173916127:1 gene:gene-LSAT_5X76020 transcript:rna-gnl|WGS:NBSK|LSAT_5X76020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEQSFSYGGFRVSDLDMPTDYELHVMQTQVKIEEMRDDLRQQLGEFREEISYLKKIVTVIAVVEVLVVSMIGVCCCVECSGWGFWWV >cds-PLY87652.1 pep primary_assembly:Lsat_Salinas_v7:1:123081346:123081603:-1 gene:gene-LSAT_1X93201 transcript:rna-gnl|WGS:NBSK|LSAT_1X93201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGERLLVYEFMSHGTLHDHLHGGFSPFNWPLLLPIAMQDAKGLEFLHIEINLSIADRDVKSLSILLDSDWGTRISDFGLSETTTT >cds-PLY78932.1 pep primary_assembly:Lsat_Salinas_v7:8:2934410:2934949:-1 gene:gene-LSAT_8X2480 transcript:rna-gnl|WGS:NBSK|LSAT_8X2480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKTLTTKYISVFETYHHDLKEFRTSLRKEFDLFREVASRAVKELPSSIDVGIPEIDGVVEQLGRTAIKDDKILVGLILSCEEDYAHCFPFLEKGAAVYSPELLLNGIAT >cds-PLY98802.1 pep primary_assembly:Lsat_Salinas_v7:7:23830775:23831506:-1 gene:gene-LSAT_7X18841 transcript:rna-gnl|WGS:NBSK|LSAT_7X18841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGHFSTKSDVFSFGVLILEIVSGKRNRGSSNTSNKLNLLAQAWKLWNEGNALELLDESLRDKFLENEVLRCIQVGLLCVQGQPEDRPNMSKVLILLSNETVQIPPPKHPGLFTRKINNETESSSKGDDSMTVNGITISILDGR >cds-PLY63136.1 pep primary_assembly:Lsat_Salinas_v7:4:65650062:65651462:-1 gene:gene-LSAT_4X44300 transcript:rna-gnl|WGS:NBSK|LSAT_4X44300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLCVTSQGAIGLLIKHQVVSDSTLSIALQSVLDALHEPADSKIFIFGTKALEAFVDRLIEFPEFCQQVLQISHLQGTHSELVSIIEQTLGRTSSSHSESDEAHNQCSSIPPPENVVEPVRSLKEGECGIQEPSDSAHKSGTQDLIQEAAPTSPKALQEDTALLSSNDQLSKEMESLCITSTDDCPMIENISDDPDSSTVEVDEDHITTEANAYFQQMFSGQLTVDAMVQMLMQFKGSSEKSFFANPSYYALWLPDHTL >cds-PLY90728.1 pep primary_assembly:Lsat_Salinas_v7:1:163933:164777:1 gene:gene-LSAT_1X1381 transcript:rna-gnl|WGS:NBSK|LSAT_1X1381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVNHAIKKIHILFNQFTGEYLIAPCYLRCTHKYVYVSFLDKWEVNQGALVYIFYGDELREMFGHEVHSYGFYTMYGFFIAMICGQRINESHYHILAKQELKKEYIYRRQGSEQGSY >cds-PLY62917.1 pep primary_assembly:Lsat_Salinas_v7:3:144485185:144486144:1 gene:gene-LSAT_3X94941 transcript:rna-gnl|WGS:NBSK|LSAT_3X94941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLFNIDLEIPSKVAAPKLFKAYLDFNKVAPKVDPETYKSVEIIKGDGGPGTVNNITFADGLPFTNGKSKVDTIDAENLSLSYTIFEGDVLMGVSDSATHHIKFIPSADGGCTYKHTIVNKCIGDNKFTDDQVKLTKESFNKTFKAMEAHINANPNAY >cds-PLY96753.1 pep primary_assembly:Lsat_Salinas_v7:2:171955611:171957392:-1 gene:gene-LSAT_2X94381 transcript:rna-gnl|WGS:NBSK|LSAT_2X94381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDVLELKFRLLDGSDIGPFRYSPASTVAMLKERIVAEWPKDKKGGPKSANDIKLINAGKILENNKTVGQCRTPFGELPKGVITMHAVVQMSLTKAKTEKKMDEAPKTNVCACTIL >cds-PLY70986.1 pep primary_assembly:Lsat_Salinas_v7:9:72561229:72563580:-1 gene:gene-LSAT_9X58560 transcript:rna-gnl|WGS:NBSK|LSAT_9X58560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNALRFLYGQCCSEPPTTTTTYGGAGDQQLGHHGVSSTSVGVSALAQHLYHFEITSQVPEGLSKHVSSSKKAQSNWYKKLSKAWRESKPPPKTPEEASRLVIRTLQRHQRPDIEGILSFYGLPLPHSLVELTAGDAPPLADGLKFELHTLPVDARAVADGDTVTVYVSTSDAPESSRVPQEVHMAAVERNEARAHKNYTKADALQKQIKDAGYGVLHINNEEILARKYRIRLRGIDAPESAMPYGKEAKDELVKIIDGKCLKILIFDEDQYGRFVGDIYCNGIFVQELMLKKGLAWHYTAYDKRPELEKWEKSARAKRIGLWASSNPEMPWEWRKNRREHR >cds-PLY69564.1 pep primary_assembly:Lsat_Salinas_v7:4:84912682:84913391:1 gene:gene-LSAT_4X56120 transcript:rna-gnl|WGS:NBSK|LSAT_4X56120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSFCFLLVLVLLAATSLEILNAETCKPSGGIRGKKPPHGKCNRENDSDCCVQGKFYTTYTCSAPVTSETKATLTLNSFQKGGDGGGPSECDKKYHSDDTPVVALSTGWYKGGDRCHKYITINGNGRSVKAMVVDECDSTMGCDAIHDYQPPCPNNIVDASKAVWKALGVSESNWGDLDITWTE >cds-PLY76166.1 pep primary_assembly:Lsat_Salinas_v7:4:53788314:53794555:-1 gene:gene-LSAT_4X36761 transcript:rna-gnl|WGS:NBSK|LSAT_4X36761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGFLDNSHAGDGGGGGGARIVADIPYNMRGGALSQPLSKSMFSSPGLSLALQTTMEGGGGGGGGGGIGDIGRMPESYEISGGGGGRRSRDEEHESRSGSDNMDGGASGDDPDADDGKPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSRRLCLETRQVKFWFQNRRTQMKTQLERHENSILRQENDKLRAENMSIREAMRNPMCSNCGGPAMIGDISLEEQHLRIENARLKDELDRVCALAGKFLGRPVSSMAPPMPNSSLELGVGGNNHFGGGGVLSSTASALPLGPPDFGVGISTASSVVPSSRASNNVMGIDHSLERSMYLELALAAMDELVKLAQTDEPLWLRIEGGREIMNPNEYSRAITPCIGLKPNEYVSEASRETGMVIINSLALVETLMDSNKWAEMFPCMIARTSTTDVISNGMGGTRNGALQLMHAELQVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSIDTIRENSPSFSSCRRLPSGCVVQDMPNGYSKVTWVEHAEYDESAVHELYRPLVRAGMGFGAQRWVAALQRQCECLAILMSSAVSTRDHTAITSSGRKSMLKLAQRMTDNFCAGVCASTVYKWNKLCANNVDKDVRVMTRQSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAMNANQSSMLILQETCIDAAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGTGGRVGSETDNNSGGSGGGAGGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALPSDN >cds-PLY62951.1 pep primary_assembly:Lsat_Salinas_v7:2:212039156:212043575:-1 gene:gene-LSAT_2X132660 transcript:rna-gnl|WGS:NBSK|LSAT_2X132660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G23880) UniProtKB/Swiss-Prot;Acc:Q9LKF9] MGTSVQVTPLCGVYNENPLSYLVSIDGFNFLIDCGWNDHFDPSLLQPLSRIASTVDAVLLSHSDTLHLGALPYAMKQFGLSAPVYATEPVFRLGLLTMYDHYLSRKQVSEFDLFTLDDIDSAFQNVTRLTYSQNCHLSGKGEGIVIAPHVAGHLLGGTVWKITKDGEDVIYAVDFNHRKERHLNGTVLESFVRPAVLITDAYNALSNQPSRRQRDQEFIDAILNTLRGNGNVLLPVDTAGRILELLLILEQYWEQHHLTYPIFFLTHVSSSTVDYVKSFLEWMSDSIAKSFEHTRDNAFLLKHVTLLINKTELENIPEGPKIVLASMASLEVGFSHDIFVEWAADSRNLVLFTERGQFGSRARILQSDPPPKAVKVTLSKRVPLVGEELAAYEEEQEKIKKEEALKASLVKEEESNSKAVVGTDVSKSDPMIIDGHAQADVVGEAYRDVLIDGFVPPSSVAPMFPFYDDTSEWDDFGEVINPDDYVIKDEDMDMGSMAVGGDVDGKLDEGTASLMLDTTPSKVVSSELTVQVKCCLVYMDFEGRSDGRSMKSILAHVAPLKLVLVHGSAEATEHLKQHCLKHVCPHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVLFKKLGDYEIAWIDSEVEKTENGSISSLLPLSTTPHTPPPHKSVLVGDLKMADFKQFLAGKGVQVEFAGGALRCGEYVTLRKVGDASQKGGAAAIQQIVIEGPLCDEYYKIREYLYSQFYSL >cds-PLY69123.1 pep primary_assembly:Lsat_Salinas_v7:5:285619486:285623771:-1 gene:gene-LSAT_5X150120 transcript:rna-gnl|WGS:NBSK|LSAT_5X150120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK5 [Source:Projected from Arabidopsis thaliana (AT3G51630) UniProtKB/Swiss-Prot;Acc:Q9SCU5] MYRSRSNDSIRGAKSQLEYAEMDPSGRYGRFKEVLGKGATKTVYKAFDEVLALEVAWNQVKLNDIFRSPEDLQRLYSEVHLLKNLNHTSIMRFHTSWIDVDRRTFNFITEMFTAGSLREYRQKYKRVNIQAIKDWARQILEGLAYLHNYDPPVIHRDLKCDNIFINGHLGQVKIGDLGLAATLCESQHAHSVIGTPEFMAPEMYEEDYDELVDIYSFGMCVLEMLTSEYPYSECSNPAQIYKKVTSGKLPNAFYQIEDAEAQEFVGKCLQNASSRPSARDLLMEPFLLVEDIDQTMNKSTISTQKPTFIEKKPEKMISSFPNIVPKRTTDMRITGTMNVEDDSIFLKVLISDKTGIARNIYFPFDIASDTAHDVATEMVKELEIHDWDPFDIAEMINKEIVTLIPTWKKQTMCLKNLHHHSFCYDDDNDDDDEDNTPHPFHSSSSQSSSQSSLQSLLRRFDAVHVQADNTMISSTLDHWNRGKAINNDDTDSQSSSSCNYSNFTYCSDIEEEYGSRSSIRQDQQLVGKESFTRFCGEERVSTRCSRQKVHQETTQHRNIRRTRSVVDIRSQLLHRSLVEEIHKRRLFKTVAAIENIGYHEPTW >cds-PLY95725.1 pep primary_assembly:Lsat_Salinas_v7:2:113496643:113498892:-1 gene:gene-LSAT_2X52361 transcript:rna-gnl|WGS:NBSK|LSAT_2X52361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMASSSMKLSLFFIFITLTIFSSISSALASLSSSCHPQIHPFLHDLQLQCPTTIVSSSPIEMNGESLDNFMSSYKTNAYTSILFYASWCPFSINAQAKFHALASMYPQIKHVKVEQSSALPSVFSRNGIHSLPTILITNRTSRMQHHGPKDLDSLLNFYQRTTGLEPTMHLTEEQLELDSSFESKNINSLKQIISSEPYLVFSILFVSIKSLLFLCPEIIPKIIALWAAYIPRLNLSIFGESKQLLTHALHLFDVKSAFKKLKISKNRNFRNGVVFGVGVVGRDVIG >cds-PLY91093.1 pep primary_assembly:Lsat_Salinas_v7:MU039493.1:121036:121536:-1 gene:gene-LSAT_0X30800 transcript:rna-gnl|WGS:NBSK|LSAT_0X30800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSKFTIEVNHTGSFVHKPIVYFNPVKMVVSNVDFRSMSFMEFISYVKNLVKDGTINVYYCLPQRSLRDGLRVLEDENDYVRFLDVGYEYGGMINLYIDHSQYPVMEWIEEEIAEDGSVDGNTDDDEDVDFELSDDEFVEHEPDDEVIQLQPSDDPFIRRKFFKPP >cds-PLY76643.1 pep primary_assembly:Lsat_Salinas_v7:4:116291647:116302055:1 gene:gene-LSAT_4X74700 transcript:rna-gnl|WGS:NBSK|LSAT_4X74700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTKSVDASLWWDPFTDLLTELENLSPSSELPTSLVNKLKENHSWLLGSVSLFKPPSQKSREALDSQQLQIGSHQLTIQPRLKELATKISSSLCLDEVQSYILVERSCEHDTSDLVALEPLHLKAMLQYYIERQCVLKCIRQIFMLSLYAEDGSKVDQSLKEIMQKLISEGLENGLLSVIESLLSATYPESMDVDVFSLWAEEMLIEDNLVLDILFLAYYESFCTCDGKQWKNLCLLYQRMISGACNFGKLAISSEAVQSIYHAKVQLLLILIETLYLENLLQMIHDETPFREGNISLTVSDIQEVDAIISTFDAFETKESGPLILTWAVFLCLISSLPDKQEHDVLMEIDHVGYVRQAFGAASLSYFDEILHSDLLKDSEGPIAGSRSVLRTFVSAFIASYEISLQLEDNNLKLILNILGEIYQGEESLCVQFWDRDSFIDGPVRCLLYNLEGEFPFRTVELIYLLSALSEGAWPAECVYKFLDKSVGLSTLVDLRVNLEYDINSRIVETRLPMSVPGLEGLEIPGNTRGHVLKFINDNSALVRWEFTQSGVLVLLLRVAQEMYPDGSEEVLATLGLFSRLVTFNKDVCYSLMSIGDTFHGKDITGLNVAEIICTLVKNLSPNRSGALMMSMGVNILAMMLNCCPSRVIPTVLKTNIFDVALKMNPFSSDSDALSSGSWLLSGRLAKLLLIDCEHNDSSFPLAVSVLEFTIQLLEKGIENDFLLALVIFCIQYVLVNHEYWKYKVRHFRWKVTLKVLEFVKTCILSISHSPKMGDIVRDLLLCDSSVHSALFRIVCITTPALEKLYVSRMYGVMEIEGLQLAICSVLDIFSLLFDLSKDALPGYPIFHQAVLSSATKPIPVVTAIISLISFSWNPKIQFGAVSALSMLLLTADDLQPYMSANACFGLDDKQIADFRKSIALIISEQSPLNEDLIANTFKMLASAAYYQPAFLLAIVDSKDNTAEVASGSLGPKGENLLDTLVVYIGKSSEMIKSQPKMLLNVMDFLMALWQGASQFINVVEHLKKSENFWSQLSTCISVTLSTDPLLSSYRYQCQADILQMMSLEMFLQKKVLHPEIIRKTSELSKSSNAEKADDNHDGPRDILSAWCNNSVLSDLIKSYASCEYDNDKYLKAQVSAALFSVQAIENLRNDCTGSLSSSLVEKLSNLGRKLHDLPAFSELLSQYRQQRYREEKKLKSLIMSDLYYYMKGEFEGRKIEHKLFKELFQFLLESKFLESYQNKEAENLSIHAKNVLLFDYTRLEKDLGIDLWDVSEWKGLKKDAETMLYHMKDVNSMLILSNSKLLALKALTTMLPVYDEDLRWKANIGGGLSERLISSCIEHICQSLHEATESSLQSTDSSNHTLHFLEAQAELLLHFLKFIQRKQSFPSSILIIKTITSSLKSIKDSNSLKLLLMSLLSCINSPSFKSIKNESEVTDVFSRLLPILCNFIEPVDHFTLSISTIDLVIKTLSTPTTWFPIIQEHLKGQNVIKKLPDKKYSNSVPVILKFLLTFARVRKGAEMLVNMGFFSSLRVLLDENEKEKEKIWGLGFAVVSMILYSLKDSPSASDTLDYVISCFILEKPDFISYCLNTPNFAPILDKKKRARPESQTSLTDLKETQHTLLLICMLAKHENLWSKSMKKEMDVELRERSIRLLAFISRGPESNSRSGWFLSLSSSNAVVVKDKMGNTDGCDADMAAIEVYKIAFYVLKFLCLQADAAAKRAEEVGFVDVAHFPDLPMPDILHGLQDQGIAIVRELCEEGKKLRPETEGLCILLIQITEKCLYLEFCVSQVCGIRPVMGRLEDFSKELKLFFTATKEHVFLEDWVKSLKQISSYVYPGIGLLQTEGCL >cds-PLY76122.1 pep primary_assembly:Lsat_Salinas_v7:9:30832231:30834088:1 gene:gene-LSAT_9X28100 transcript:rna-gnl|WGS:NBSK|LSAT_9X28100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADYHVYFTIFLMSLITTILVCWSLFKSSGAKYHLPPSPFSLPIIGHLHLLAPNPHQAFHKLSLRHGPVFRIFLGSVPCVVACSPEMAKEFLKTYENAYLDRPQNSAVVYLTYGSKDFSFAPYGSYWKFMKKIITSQLLNGTTLDMLLPIRRDEINRFMKSISHKAKIGKAVDLEGEVVKITNNVISRMLMKERCSDKDDEAGEMRILVAEMAEIMGRFNLSNYIWFIKNLDFQGFGKRLNDIGRRFDTLIERIMKDHEETRKQKETGEVKDLLDILLDISEDESMEIKLTRDNIKAFIMDIFTAGTDTSAITIQWALAELINHPNIMKKAVEEIEKVVGKDRLLQESDIPNLPYLQAIIKETLRLHPAAPMIPRQSTEECTVAGYFIPANTTIFVNVWALGRDPNHWENPLEFKPERFQESQLDVRGQHFQMLPFGRGRRMCPGISIALSLIQATLGAMIQCFEWKAGKHGNLITVDMEEGHGLSLARANPLVCVPIIRLDSLPLPT >cds-PLY75172.1 pep primary_assembly:Lsat_Salinas_v7:5:37418012:37419746:-1 gene:gene-LSAT_5X17481 transcript:rna-gnl|WGS:NBSK|LSAT_5X17481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQLQSQSHCCTQCGSNGHDSRSCLTAADDSSSDVSGIGAGEIMLFGVRVKVDPMRKSVSMNNLSQYEHPATRESSNNNIDVSAAVAVAADTGYASADDAVRNQSNGSRERKRGVPWTEDEHKLFLLGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRSNLNRRRRRSSLFDITTDSVAVMQTEEQQVHDVEKTQAVVQPSIPSSMTVSPVHFENQVNNGSMLLIRSIPVFPTPNPSTMVDLNLNQKYETSPLPVSLNLSLSFDHNHNQSSYQVISGFNTGDGMISVA >cds-PLY83020.1 pep primary_assembly:Lsat_Salinas_v7:5:50478510:50481996:-1 gene:gene-LSAT_5X24941 transcript:rna-gnl|WGS:NBSK|LSAT_5X24941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSIEIMESNLTTSKNQEEKCELKPELADYGSLKDDGDYPSGEFEFKKPGAWKSFVVKLRMLTVYPLQRVRKGSVLNIKLRGKITDQVKGRFSSGLSLPQICENLIKAAYDPRISGVYLHIETLKCGWAKIEETRRHILDFRKSGKFIVGYAPVWHEKEYYIACACEELYAPPSAYFSLYGLTAQASFLGGVLEKVGVEPQVERIGKYKSFGDRLTRKNISEENREVLTTLVDNIYGNWVNTVSQSKGKKKEDIERFINEGVYQVEKLKEDGWITDIKYEDEVTSMLKKKLGIVEKKKLPLVAYKKYSRVRKWSLGLTGGKDQIAVIRASGSISRVGGSFFEPNSGIIAEKFIEKIRKVRESKRYKAVIIRIDSPGGDVLASDLMWREISLLAESKPVIASMVDVSASGGYYMAMAAQSIVSENLTLTGSIGVVSAKFNFGKLYERIGFNKETISKGQFADLYASHRPFRPDEEKLFAESAQNMYKRFRDKAAASRSMSVEKMEEIAQGRLWTGDDAASKGLVDAIGGFSRAVAIAKHKAKIPQHKKVRLVEVSKQSLSLPQILFRMMTSATGLHQLHDGFTSGDGVQARMDDGIMFQGSEGSLLAVADPILNLLKDYLNFV >cds-PLY91406.1 pep primary_assembly:Lsat_Salinas_v7:3:56433739:56437033:1 gene:gene-LSAT_3X43541 transcript:rna-gnl|WGS:NBSK|LSAT_3X43541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSRIAFYFSSLVTILITTTMIFVSHGSNETDYQALLQFKSMITNDPLSSWNTSFHFCDWRGVSCGKRHRRVTSLSLNSRGLQGSLSPHVGNLSFLRQLDLMNNSFQGTIPNELSRLSRLNFLYLGINKFEGVIPTNLSHCSNIERLSLASNKLVGSIPKELGFLSKLTAISVQDNNLTGGLSSFLGNITSIKVFSARNNPLGGSIPETLGHWKSLTEFYCGGCNLYGNIPHSIFNLSLLTRFSLARNQLTGDLPSAIGEMLPNLELLQLSRNLLTGPLSPSISNMSNLTFLEVNNNNFSGKLTTDFGKLKNIYFLSLAFNSFRSGEADSMKFLDTLGNCTRLELLRLDNCKFQGVLPTTIGNLSDQLGLLNLEGNYLYGNLPSSIGNLVGLETLVLARNGFTGKIPSTFGKLQKLQIVDLSRNQFLGPIPDAIGNLSLLITLDISFNRLESHIPSSIGNCHQLSELYLNDNKINGKIPIQLVQLSTLSIALILSRNNLSGSLPIEVGKLTMLTSLDLSDNNLSGDIPSSLGSCTSLVFFSLKGNLFQGTIPSSLSSMRGASKLDLSHNNLSGQIPRFLEGFILLEYVNLSFNDLEGEVPVIGVFANSSAFSILGNTKLCGGLAELGLPKCNKMNRNHKKRFPLFIILLIPIASTFSIVLCFVYVWCKKKKDQPCQSSPEAQSNMQVLSYSQLLKATNGFSKDNLIGEGGFSSVYKGTTDHDDKFVAVKVLRLQTRGAHKSFIAECEAWRNIRHRNLLKIINICSSVDFQGNEFKALVYEFMPNGSLHDWLHSSATKSRLNLLQRINILIDVASALDYLHNHCLPTIVHCDLKPSNILLDHEMVAHVGDFGLAKFLGTNSNQNSTSGIRGTIGYAPPEYGIGNEMTSSGDVYSFGILLLETMTGKRPTDNTFNEGLNIHKFAYMALPDHVTDVIDHDLLNFLQEDVIDTKCTLKNAKNIEECLSSTIKIGVSCSMDSPSQRMKIEKVVNELRHILDMLLNM >cds-PLY87032.1 pep primary_assembly:Lsat_Salinas_v7:5:263879789:263881846:1 gene:gene-LSAT_5X135640 transcript:rna-gnl|WGS:NBSK|LSAT_5X135640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGEETPRTPFWIQSTTNVRRAELYRRRASSLFFNSGVLIILLLVFAILSMVFIIPSVISFTSQIFRPNLVKRSWDSINLVLVLVALAFGFLSRNINNDKKSGFDRSRSDLSTGALVASPSSSTPHQWYEFPDQPITGLRRHRSTSSYPDLRELSPPWNHRVEAPWRFSDDTHLNSHRVLNYDRYYFRREQDSDTKEVHVDTVVDLPKEDSYVPPPPPPPQPPIPEEESYPPSLQPQAPEDESYSRPSQPPAPEDESYSPPPQLPSPQSLPPQPPAPRPSAAKKKPIRRYHNVAADYGEISSRSEVNEVLPETEPPVFQDSERRGETSRKRGKGERRRARSSEPRKLASPIDDPAPESPSPPRILPEFQDPERNTGGVERKRTGGNATKRFFTSFYQKKKKRLRQRSMDNLDTLLRHSQPPVNFHLPPPSPPPPPPPPPPPPSSVLNNLFTSKKERRKKIASSIPPSPPPPPPPRTAARAPKPNITRVSPFITEKPRVPVKMHFFNGIDDSSSGGESPMNRIPPPPPMPPFKMPDWKFPVEGDYVRVQSTLSSGSLSPDGDDAHSPSSAAPSPLFCPSPDVDTKADTFIARFRAGLKLEKINSFNQNQGLRMSNLGPGPGPSNH >cds-PLY65709.1 pep primary_assembly:Lsat_Salinas_v7:5:273432320:273440736:-1 gene:gene-LSAT_5X142620 transcript:rna-gnl|WGS:NBSK|LSAT_5X142620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPQPVQVLYCGVCGLPAEYCEFGPDFEKCKPWLIQHAAEIYPDLLQDPNAKEVDKVSNQLQSSSIADGTSASGSSAPKQEPVKKLPGGKIKKKERQEVIIEKVTRNKRKSITTIKGLELFGIKLSEASKKLGKKFATGASVVKGPTEKDQIDVQGDIAYDIVDFITQTWPDVPETAIFFIEDGKKVPAV >cds-PLY88559.1 pep primary_assembly:Lsat_Salinas_v7:7:8503217:8506856:-1 gene:gene-LSAT_7X6461 transcript:rna-gnl|WGS:NBSK|LSAT_7X6461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYPTFFLLLSCLLPFIYAISISGRRNSRLPPGPYGFPIIGNLLEFGPNPHHSLAILSKRYGPLMSLKLGSRMTIVISSPDMAKEFFQTHDISFSSRSVPNAVRAGDSDKYSIVWLPAGDRWRKLRRITKEYLFSVQQLDASEFLRREKVQELLNHVSQCCTDQKALNVGGAAFTTSLNILSNFMFSLDLAQYGAESTQEFKDAVCALLEAGGKPTLPDLFPILNSLELLGLSRKENVNATKLLTIFEKIIHERLQTRSDSSSYDGISTTNNDVLDLLLNLNLKNESIISQNDMKHLFLSLYIAGTDTTSITVEWAMAELIRNPKKMEMARSELVKVTHNNNRNIQESDISQLPYLQAVIKETLRLHPPVPFLIPHQAIHDVDVQGFIVPKDAQILCNVWAMGLDPNIWSDPKIFMPERFLDVKIDYKGHDFELIPFGAGRRICPGLNLANRMLHIVLGSLIHKFDWKVVGNCRTQDIDMEEKFGITLQKAVPLMAIPVNL >cds-PLY98566.1 pep primary_assembly:Lsat_Salinas_v7:1:37116440:37117592:1 gene:gene-LSAT_1X31961 transcript:rna-gnl|WGS:NBSK|LSAT_1X31961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCRGTNSIKCRKPGSVPVYLNVYDLTSMNEYAYWVGLGVYHSGVQVHGVEYAFGSHEQPTTGIFEGEPKQCEGFTFRKQILIGWTEMSLRDVRGFMEMLSHDYTGISYNLITRNCNHFCNDACLQLTGNPIPNWINRLARIGFLCNCIVPASINSTKVGIEDHKVCNEAEIKTKLRSRSNRFTSSSSSPSLSSVDRPRSHGRTVLPSSSLLMLDSPSSRAITVCNGNHM >cds-PLY93044.1 pep primary_assembly:Lsat_Salinas_v7:9:26988789:26990813:1 gene:gene-LSAT_9X23640 transcript:rna-gnl|WGS:NBSK|LSAT_9X23640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METRQKQLALSLLKTPHSIKCAKHLRSIHVVLLRTGLHHSSFAVGNFVSCCANLGLMYYATQLFDKMPEPNSFVWNTLIRGFQQNHEPKKAMDLFDRMRIEPDGPDRFTYPFVVRSCTELMEHLRGVCIHGLLFKVGLELDTFIGTSLIEFYGSFGDTKAARRVFDELPTKDKVAWTIMLSSCVNKDTDLKETRKLFDQMPGKDLVVWNIMIFQYIKAGDIQNAKVLFNLAPVKDLLMYNTLLGGYARYCEVNIMLDFFHKMPTKDLVSWNSVISGLVRDKRINEAITHFHQMQSENIHPNEITLVTLLSACAQVGALDTGRWLHSYINRNNSSSNLVVSTSLVDMYSKCGDLDSARQVFDKMPNRDVVAWNAMIMGFSMNGRSKTTLQLFTRMKREQVKPNEITILGVLCACVHAGLVKEGQKLFDSMDQEHGLEPRVEHYGCMVDLLGRSGLLNEAYSLIQSMRVEPHVGVWGALLGACKLHKNVELAETAMSHLNELEHEDGGYLTIMSNIYANVGRWDDVYRVRELMKEKGIGKLRGCSSIEVNGVIYEFGAGEKVHERVEEIYEMIDEISGGLGVVGHVGRRSEVFFDVEDEEKDEVLMYHSEKMAVAFGLISMEKGSVIRVVKNLRICGDCHDVMKVISKMYEREIVVRDRSRFHHFKNGCCSCGDYW >cds-PLY62321.1 pep primary_assembly:Lsat_Salinas_v7:8:72655102:72656369:-1 gene:gene-LSAT_8X51461 transcript:rna-gnl|WGS:NBSK|LSAT_8X51461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQIHHVCRPILSPPSTGSQSLRPTKQFTIGRDVQRSVASTNSWGEFGEWTVRGYLIWWEVNMWRRLKESEFINKSLSALGDVISALASKTSHIPYRNSKLTHILQSSLGELSLYIML >cds-PLY64485.1 pep primary_assembly:Lsat_Salinas_v7:3:15421471:15425171:1 gene:gene-LSAT_3X10920 transcript:rna-gnl|WGS:NBSK|LSAT_3X10920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLNLTSPWPNRLYFHSTHHHRFLSTSNEAAANSSKWQLFCNFRTPNHNNYNIKASLSESYHEGSVVAGDGAGNDDYELIISRVSAAKDASEALEVFGEMTKRSGGAISTSDCCSIISSALDRNNADLALSVFKAMRSSFNAEEVGVRDKDVGDVWKWPRPDVNTYTLLVRGLAASLRVSDALTIIANVCRVGVSPGEEVPFGKIVRCPTCTIAVAVAQPQNDKTALMQIVSCSKCRYQYELVSGNITSIESEEISMDVPAWKRGLQLLQLLKETTPAAVHSIVVQTPSGMGRTHRFATKTVDLPAKEGERVTIALAAPLSVYREVGPLKFSPKTPNYYPGEPMSLTNHQDSRESPLLRAPTKNQPLSLFTPTVFFPLVTVLATGDVASGMIDPGLPQFITVAAVSSLAVGATLNGLVFPQLNRLPQRVVETVAIRQQLLSQYDTLQSRIKDLKDAAENEVWMLARMCQLENKIVAVGEPSYRARRSRVKRVREGLESSLKSRLELIESYARISSMIEIEVEMDSDVLAAEAASNVETIAEQIQQIMELENLEEKWRIQAEANDEVEKLLSTEIIP >cds-PLY94937.1 pep primary_assembly:Lsat_Salinas_v7:4:108384970:108388018:-1 gene:gene-LSAT_4X68841 transcript:rna-gnl|WGS:NBSK|LSAT_4X68841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVGAMPLPTSTDHEKETPTRLEGRFTAMVVCWVLGIGCLFSWNSMLTIEDYYVNLFPKYHPSRILTLVYQPFSLATLAILAYHEATINTRKRNLFGYILFFFGTLAVLLLDLATSGKGGIGSFIGLCAISAAFGVADAYVQGGMIGDLSFMLPEFIQSFLAGLAASGAITSGLRLLTKGVFDNSQNGLRKGALLFFSLCTIFELLCVLLYAFVFPKLPIVKYYRSKAASEGSKTVSADLVAGGIYSRSGEDEANSTIHERLSNKELLRENIDYAMDMFLIYLLTLSIFPGFLSEDTGSHSLGSWYALVLIAMYNVWDLVGRYIPLIKCVKLESRKGLMIAVLARYLLIPAFYFTAKYADQGWMIFLTSFLGLSNGYLTVCVLTSAPKGYKGPEQNALGNILVLFLMGGLFAGVTSDWLWLIGKGW >cds-PLY65590.1 pep primary_assembly:Lsat_Salinas_v7:4:269649265:269649522:-1 gene:gene-LSAT_4X138941 transcript:rna-gnl|WGS:NBSK|LSAT_4X138941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVVAPEDEEDGDHGRAFVLPLFLPSFVVASVMARTDVGGCQPPWPPLCRCYYRQTLCCFCCRAMNGTRWVAASGPRARRSYYYI >cds-PLY86535.1 pep primary_assembly:Lsat_Salinas_v7:2:208147018:208148877:-1 gene:gene-LSAT_2X129120 transcript:rna-gnl|WGS:NBSK|LSAT_2X129120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 7 [Source:Projected from Arabidopsis thaliana (AT5G62390) UniProtKB/Swiss-Prot;Acc:Q9LVA0] MSRSSKFDIIDYYLSESSTIFPPFPKPFALLNPTFPFEATTELDLALELLNPTLPSLDLMSPFDDIDTITDLIHVERRTPFGTSTARKIITRRRSTTAELYLKSLSDRVSALEQGFDLANDEKAKSDRKYTWTAEINSEKDGLDRKFKLTTEIKGGKKKEKTSKWTAEIKRKGEDARKYTFTASTANAAIEDDSGSEKKEKDKNKNKKKDKKKEKGPRIVEIQGSPDHGALLMKKAFGRALERNKGKKKELSPQDAAMFIQMTFRAYLIKRSQTLRALRELAVAKGKLKELRTLFNNFSYRRRVAVDAEERQKFSEKVIVLLLTVDAIEGADIMVRAAKRSMVDELEAMLDVVDPQPGGGGGGRSLSMKRRTFDMPDGVIQKEIAQGVAEVVRMLGQEEE >cds-PLY76905.1 pep primary_assembly:Lsat_Salinas_v7:6:29645709:29652976:1 gene:gene-LSAT_6X22840 transcript:rna-gnl|WGS:NBSK|LSAT_6X22840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQTHWEADKMLDVYIHDYLVKRDLKASAQAFQQEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQPQQQPPHPQQQQQQQQQQQQQQHQQMQMQQLMLQRQAQQQQQQQQQQQQQQQQQQQQQQQAQQQQQQQQRRDGAHLLNGASNRLVGNEPLMRQNPGVNAIATKMYEEKLKVPLPRDSLDDAAMKRYGENVGQLLDPNQASILKSAAAAAGQPSGQVLHGTAGSMSPQVQARSQQLPGSAPEIKTEMNPILNPRAAGPEVSLIGIPGSNQGGNNLTLKGWPLTGLDQLRSGLMQQQKSFMQGSQPFHQLQMMSPQHQQQLLLAQQNMTSQSANDESRRLRMLLTNRSMGMGKDGSGLSTSVGDIPNVGSPMPVLPRGDTDMLLKLKMAQLQQQQQQQQQQQNGGNQQQQQQQQIHHSLSAPQPQNSNLNIQQEKIVGASSVGDGSMSNSFRGNDQASKNQTGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPNLPHNDSSSKSLMMFRPDGGPTNLTSPSNQLADMDRFVEDGSLDDNVESFLSHDDTDLRDTVGGRCMDVSKGFTFTEVHSVRASASKVVCCHFSSDGKLLASGGHDKKAVLWYTDSLKPKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPGFSLRTFMGHSTSVMSLDFHPNKDDLICSCDGDGEIRYWSINNGSCSRVFKGATAQVRFQPRHGRFLAAAAENIVSILDVETQACRHTLTGHTKPIHSVCWDPSGEYLASVSEDSVRVWSLMAGNEGECVHDLSCNGNKFHSCVFHPSYASLLVIGCYQSLELWNMSENKTMTLSAHEGLIAGLALSTVTGLVASASHDKIVKLWK >cds-PLY71608.1 pep primary_assembly:Lsat_Salinas_v7:2:103254678:103257576:1 gene:gene-LSAT_2X45340 transcript:rna-gnl|WGS:NBSK|LSAT_2X45340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVSDLGVSKIGPLGQPFTHVSTDVKGSFGYFDPNYFMTRRLTRKSDVYSFGVVLLEVLCGRPAIDPTLGENELGLVGWAMGFIKEGVLDHIIDPSLKGQIIPDCLKAFVGIIEKCLKTRPKERPTMTEVVVELEAVLVMQEKANSFVLESCSRYENEERGNLNPKSGKITFSRMFRSVFPVKTSATRVEQLEKKKGQSICLLSCTMSNKDDLLSKTTNSFHEVNFKREVINSRLKVFTVAELKITTRNFSNDMIAGEGAFGKVFKGWVEHETFAPSKVAFGMAVAVKKLNTDGYQGFEEWQAEVTILGRLSHPNLVHLIGYCCEDKDLFLIYEFMNKGSLENYILKKGFGLELPWSMRLKIMIGTARGLAFLHSTENQIIFRDLKSSNILLDQDFNAKICDFGLAIHGPTKGDTHIMTEVMGTHGYVAPEYVATGHLNGKNDVYAFGVVLLETLTGLRAIDKTRPNKEQNLVEWIRPMLHSKRKVKSIVDPSLGNDYLLKGVYECAALVLRCTQAESIQRPSIEQVLQSLEGISGII >cds-PLY94665.1 pep primary_assembly:Lsat_Salinas_v7:1:41122162:41126865:1 gene:gene-LSAT_1X36500 transcript:rna-gnl|WGS:NBSK|LSAT_1X36500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCEANGKNIDPTVGGLVWVRRRNGSWWPGRILGPDELPESCLVSPRLGTPVKLLGRDDASVDWYNLEKSKRVKSFRCGEYDECIEKAKASAVVPSKKAVKYARREDAILHALEIESSRLPKKSPQQSDTKHLHPDQDQDQDEEETTEAMSDKLSGLEYISNSPPELELELELTPNDSEDDGNEGSKKRMRGLEDIGISGAFKRRRSQVAHVHEFLKKKNRRRQLTKVLETTAMVTVPVMCEQLTGSGSFLPENKVSGSFSVVINNNNNSDSSNNDVSLSPTDSKQKDKENGSSTMVSEKLQSGQSSLVDTAPVGPNEIQESGSINSGGTSKWQHKGKRNSRNKSKTIHKFLDPDVTHVCKVKSEQITESLPVEEATATATAPHRSLPYRQSRFTVNPKYESSSDVGFRKQDSGSLYDVNIEVNSGHHPQHVPYISLMSKLTGQPITGHPLLVEVLNGNESLSDLELNSSECHSSSCELGAVNGVVNNGDVRMALEARVSGNGKSPGKAKRNGNGILSNKKIRRLSSLTGSKRPANETGKFKKPGEACVPLKVVFGRINTALGR >cds-PLY65294.1 pep primary_assembly:Lsat_Salinas_v7:8:104993264:104997263:1 gene:gene-LSAT_8X70980 transcript:rna-gnl|WGS:NBSK|LSAT_8X70980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSSVNYDLENGEIVKSSPVAKSLLRTRSQTDVLSSVRFRILRNFLANVRIVILGTKLFLLFPAIPMAFVAHYYSFRRPWVFALSLIGLTPLAERLSFLTEQIAYFTGPTVGGLVNATCGNATELIIAMFALHQRKIHVLKYSLLGSIISNLLLVLGSSLLCGGIANLNKEQKFDHKQADANSLLLLLGLLCQILPLLFNFHNSNVPKLQDIVVLHLSRASSIVMLIAYVAYLIFQLKTHTQLFESQEDEGADINEDLDKETASIGFWSAFIWLCAMTIIISILSEYIVGTIEAASETWGISVSFISIILLPIVGNAAEHAGSIIFAFKNKLDISLGVAMGSATQISMFVVPLCVIIAWIMGIPMSLDFGLLETGCLALSILLTALALQDGSSHYLKGVTLTLAYIVIGACFFVQHVPLDESNNNLAPVGNAVI >cds-PLY87233.1 pep primary_assembly:Lsat_Salinas_v7:4:194491809:194492054:-1 gene:gene-LSAT_4X113700 transcript:rna-gnl|WGS:NBSK|LSAT_4X113700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFLGLDELVEDDMSTVARARKIQRFFGQPFHVPDVFTGAPRKYVELGERIASFQVSLVNYIRLSVIPTTNGCHLITTFNH >cds-PLY92663.1 pep primary_assembly:Lsat_Salinas_v7:2:160375743:160378213:1 gene:gene-LSAT_2X83980 transcript:rna-gnl|WGS:NBSK|LSAT_2X83980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNNKKTFQYLKSKGLRYLMKEYSNRNVMTLVDEETPPLSDMDKKFVLVKERDDLQLWGDEDDEEETKSSIRVHDSYDNDDDAGEQTPNTAIVSNLVNNDEDDENETGEGRGGPWFNVKEIEVQLLLPL >cds-PLY75348.1 pep primary_assembly:Lsat_Salinas_v7:4:204838097:204839851:1 gene:gene-LSAT_4X115980 transcript:rna-gnl|WGS:NBSK|LSAT_4X115980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >cds-PLY89179.1 pep primary_assembly:Lsat_Salinas_v7:3:22023965:22024150:-1 gene:gene-LSAT_3X16281 transcript:rna-gnl|WGS:NBSK|LSAT_3X16281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVQVMRRSSGIRGSGICHPCALPAQTVASSLGVGVLVPYGSIHMMFALLPGDSGYTVLAQ >cds-PLY93484.1 pep primary_assembly:Lsat_Salinas_v7:9:61793988:61797600:1 gene:gene-LSAT_9X53700 transcript:rna-gnl|WGS:NBSK|LSAT_9X53700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGREWLYKAAGGGDASSGRRGKGKPPSTTSKPVNIKTKKERNSSSSGCMSAIFNFFDVQHHQFGLRYPSFISESAINNPQQFNIGLQGVEAPRNSLESPESAMDVAPSSSSSSSVKEKSNLNIPMGGIQIKTKRSRLSDQDLSSEYSSSPSTKTPNLVARLMGLDLLPEYSSPRPSSSSSTPVTTHKHSRSLPATPRISTASRGSTDNDYHHRFSLQIDKENCNSYKRQEHESLQMKMARRRSELLLHRSDDENTSLYAKQIANQVRERISRRLGTDITNTVSSQSSTTRNKEQRRDSNLVLLKPKKPAPSPLMTQAPAPAPASKQKQDDTNTTPFSSSPKLRLLDIKSNLSKPNSNSQSSPFKEVGSKPETRPVRHQKPLTKPEKPVKDQKIQRIASERYELRLKNVRQQDDAFVSKICKKSTPLPNHVVNVKNTTKFLSFKKEMTSSSSTRLPQKQVSLVSMTQLPSRLNSSYNQNDIRMFKLSDQDSNAATTSSSDPNGGSFSDHFDYISRILDLCGIHSTTLISIGQWYSPSHPLHPSIFHQLEKHHHPTTTAGRRLMFELVDELLAEILKPYMNLKPWVCPKTSDSCGMRGSDLIKKLCEKIESIPAADCEVLEDIDGLIERDIGGSMRRLWSTAFEAEAEDIVKEIESEIVETLMHEFSTVTVAPAGSDK >cds-PLY93354.1 pep primary_assembly:Lsat_Salinas_v7:9:59853328:59859658:-1 gene:gene-LSAT_9X52781 transcript:rna-gnl|WGS:NBSK|LSAT_9X52781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEKNIAFPTKKVLGLCVLMAVLLTLVPCTMSLNDEGKALMSIKASFSNVVNVLLDWDEDQNDDLCSWRGILCDNISTTVVALNLSNLNLGGEISPAIGDLRNLQSVDLQGNKLTGQIPDEIGSCVSLVLLDLSDNMLYGDIPFSISKLKQLELLNLKNNQITGPIPSTLTQIPNLKTLDLAQNQLTGEIPRLIYWNEVLQYLGLRGNSLTGTLSADMCQLTGLWYFDVRGNNLTGTIPDNIGNCTSFEILDISYNQITGEIPYNIGFLQVATLSLQGNKLTGKIPEVIGLMQALAVLDLSENELVGPIPPIFGNLSFTGKLYLHGNQLTGPIPPELGNMTKLSYLQLNNNHLTGGIPPELGNLDQLFELNLALNDLEGPIPEGISSCTALNQLNVHGNFLNGSIPSGFRNLESLTYLNLSSNKFKGTIPFLLGRIINLDTLDLSSNHFSGPIPASIGDLEHLLTLNLSHNHLDGSIPQEFGNLRSVQIIDMSFNKLHEAIPVEMGQLQNIISLILNNNNLNGVIPNQLSNCFSLTNLNISYNNISGVVPPTRIFSRFPPDSFLGNPMLCGNWLGSICDPYSTKSKVLFSRTTIVCMTLGFVILIAMVTLTILKSNKSKQYITEANKGVQGPPKLVILHMDMAIHTYDDILRVTENFNEKYIIGYGSSSTVYKCCLKNSRPIAIKRLYPYTQYQHNFFHEFETELATIGSIRHRNLVSLHGYSLSPTGNLLFYDYMPNGSLWDLLHGPSKKVKLDWETRHKIAVGAAQGLAYLHHDCNPRIIHRDVKSSNILLDENFEPHLSDFGIAKSLPTTKTYASTYVLGTIGYIDPEYARTSRVTEKSDVYSFGIVLLELLTGKKAVENESNLHQLILSKADDNTVMEAVDPEVWVTCMDLGDVKKTFQLALLCTRRNPCERPTMHEVAGILQSLLTGVKKGGGGEKKYEKMVVGDDRKKQGNEDENSSDAQWFFKFRELVSNHTF >cds-PLY74785.1 pep primary_assembly:Lsat_Salinas_v7:6:118985135:118987564:-1 gene:gene-LSAT_6X71141 transcript:rna-gnl|WGS:NBSK|LSAT_6X71141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTHQSSLPSYHTTNISNLVFAILGSTNAWHYRKSYIESWWRPNITRGYLYLDTAPTDDLLPWSHSSPPFRISDDNTKLLEETKHVSPFMVRMVHALIEVFREEREGVRWYIMGDDDSIFFVDNLVDELSKYDHTKYIYIGDNSESISSNQIFSYDMGFGGAGVILSYPLAEMVQKNLEDCLGRYPYLRFADQTLMTCINDFGVSLTIHRGLHQMDLHGDVSGFLSSHPQTPLLSLHHLDHLDPIFQSMDRFESAKHLMKAADIDQSRLVQQTICYDRRLTWSFSCSWGYSVQIYEKVIPRSILKFPFETFKPWFLDSQPPLFIFNTRPVVTNDPCATPHVFTFESIKTINESEVQTNYVRMASRGLPTCELAGNHSADLISRIEVVSPIKKPKQASQVRDVENGVGDGHGFLAIATF >cds-PLY85132.1 pep primary_assembly:Lsat_Salinas_v7:9:146351887:146357465:1 gene:gene-LSAT_9X93860 transcript:rna-gnl|WGS:NBSK|LSAT_9X93860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitate hydratase [Source:Projected from Arabidopsis thaliana (AT4G26970) UniProtKB/TrEMBL;Acc:A0A178UVE5] MYIAITNSSSSSAILRASSKVRFSTSLQPKPCFVKASLLSSPFRSVSTSSAFRSVSRWSHGVDWRSSVTSRAQIVAVAPVVQQFQRKIATMASENPFKGIFTSLPKPGGGEFGKYYSLPALNDPRIDKLPYSIKILLESAIRNCDDFQVTKNDVEKIIDWENTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNRLGGDSKKINPLVPVDLVIDHSVQVDVARSANAVQANMDLEFQRNNERFAFLKWGSHAFDNMLVVPPGSGIVHQVNLEYLGRVVFNTDGVLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLSGKLQNGVTATDLVLTVTQMLRKHGVVGKFVEFFGEGMSELSLADRATIANMSPEYGATMGFFPVDRVTLQYLKLTGRSDETVAMIEAYLRANNMFVDYSEPQQEKVYSAHLQLDLNDVEPCISGPKRPHDRVPIKDMKADWHACLDNKVGFKGFAVPKEAQEKVVSFPFNGQTAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLEVKPWVKTSLAPGSGVVTKYLLQSGLQKYLDQQGFSIVGYGCTTCIGNSGDLHESVASAITDNDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFENEPIGTTKDGKNVYFRDVWPSTEEIAQVVQSSVLPDMFKSTYNAITQGNPMWNKLSVPTSSLYSWDPNSTYIHEPPYFKDMTMDPPGPHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKFLLDRGVDRRDFNSYGSRRGNDEIMARGTFANIRIVNKLLNGEVGPKTIHIPTGEKLSVFDVAMRYKEAGQDTIVLAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDAETLGLTGHERYTIDLPSKVSEIKPGQDVTVTTDNGKSFTCTARFDTQVELTYFDHGGILQYVIRNLIKE >cds-PLY78613.1 pep primary_assembly:Lsat_Salinas_v7:4:150746829:150748708:-1 gene:gene-LSAT_4X92601 transcript:rna-gnl|WGS:NBSK|LSAT_4X92601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWAGPEDIYLSTSLASYLDKKLLVLLRDGRKLLGILRSFDQFANAVLEGACERVIVGDLYCDIPLGLYVIRGENVVLIGELELEKEELPPHMTRVSETEIKRAQKVEREASDLKGTMRKRMEFLDMD >cds-PLY80620.1 pep primary_assembly:Lsat_Salinas_v7:8:249629432:249630981:-1 gene:gene-LSAT_8X148441 transcript:rna-gnl|WGS:NBSK|LSAT_8X148441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRGISYKKPNNMCCDKRRRDDNIMGEKMIRSRKRLKSSSATPVATVSGQCDFLDTLPDDIVLFILAKFGATAACPADFVSVLSTCKRLKALGVHSIVLSKASPKAFAFKSENWSESAHRFLKQCSDAGNIEACYTLGMIRFYCLQNRGSGASLMAKAAINSHAPALYSLAVIQFNGSGGLKTDKNLRAGVALCARAAFLGHIDALRELGHCLQDGYGVRQNIAEGRRFLVQANARELAAGLSTTPSALMSGNWLTCNPLPHLRHGNMVGPRCPLLSDFGCNVTAREPHPSNRFLTDWFSDKVLSSGLRLCSHAGCGRPETRKHEFRRCSVCGAVNYCSRACQTLDWKMRHKMECTLANTWVDDGDGEDNGNVNDDGMVV >cds-PLY81215.1 pep primary_assembly:Lsat_Salinas_v7:7:108550954:108562040:1 gene:gene-LSAT_7X69261 transcript:rna-gnl|WGS:NBSK|LSAT_7X69261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEEDHQETELSDNQKIDIAKWFLLNSPPGEIQYVAKDLRSVLRDDNAYQMAASEAFPFYNKTHIISLQFPDRSGDVLVSSFSEISEDEYLDPRTAQVGKIDHVKQICTDIRPAKDEELPTPYIEEYRCALDAEVCKYVAEAYPKGVCSVYCISGKDVEEPGSDFELVVLITATRLSPQNFCNGSWRSIWNIEFMDELQTVEVRGKMQVGAHYFEEGNVQLEAQHECKDSTMFQSPDDSSFSLANIIRHHETEYMSSLETSYSNLPDSTFKDLRRKLPVTRTLFPWHNTMQFSLTRDITKELGIEK >cds-PLY65557.1 pep primary_assembly:Lsat_Salinas_v7:9:144037058:144040633:-1 gene:gene-LSAT_9X93240 transcript:rna-gnl|WGS:NBSK|LSAT_9X93240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDGRVALLAAKRRGFTRSASHASDELQSFRSWLRWMCVDQSNGWTASLSWFVFIIFTFVVPSFSHFYLACSDCDNRHARPYDSLVQLSLSGIATLSFISLSQFVRIYGLRRFLFFDKLCDESEIVRRGYTEHLHRSLKILSIFVVPCFAAESAYKIWWYTSGATAIPFLGNVIVSDSVACIMELCSWLYRTVVFFLVCVLFRLICCLQILRLQDFAQVFQVDSDVESVLREHLRIRRHLKIISHRYRAFITFALIIVTVSQFAALLDTTRKKADLSIFKSGELGLVSVSLLAGLMILLRSAARITHKAQGVTCLAAKWHVCATIDIFESPEADTETPTAGGVFGNNGNGLPFEPPSSDFDDVGSEEDELDNTKIIPAYAYSTISFQKRQALVTYFENNTAGITVFGFMLDRSSLHTIAMIEMSLVLWLLGKTINEIS >cds-PLY84952.1 pep primary_assembly:Lsat_Salinas_v7:2:124289611:124290495:1 gene:gene-LSAT_2X56961 transcript:rna-gnl|WGS:NBSK|LSAT_2X56961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGASRNLQGEMERVLKIVQEGVDVFDSIGNLINDTDIANEKEKFEADLKNEIKKLRECRNRLKTSMDTVLRNQGYRLEGGKLLRITGKGGVSCEKTSGDAQHRNI >cds-PLY70963.1 pep primary_assembly:Lsat_Salinas_v7:2:43822605:43822919:-1 gene:gene-LSAT_2X19301 transcript:rna-gnl|WGS:NBSK|LSAT_2X19301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSLISVVGDELLAEMDEHFRVLGTYLEVGGLRTPEVFSRILMLVDLLVSLGVGIRSLSRVELLMWRVPFIPSFVRPRQMSDFQSVFCTIQLGIGGARLFFSSN >cds-PLY63626.1 pep primary_assembly:Lsat_Salinas_v7:4:129688371:129694327:1 gene:gene-LSAT_4X81480 transcript:rna-gnl|WGS:NBSK|LSAT_4X81480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENPTNRQVTFSKRRDGLLKKAIELSILCDAEVALLVFSPSGKAYHFSSHDMDMTIRRYRNEKGLHKMNNHGVRTIEVWKNEMDEMKKTIEILETKHKHLAGEDLSSLGIKELKQLERQLRIGVDRVRSKKWRLLSEHISLLKRNHKTLQEEHTILQKKLHELLNEADEYSGLDSSDHAIQRFIPDGQSHSPLNMNQLGFSNN >cds-PLY78132.1 pep primary_assembly:Lsat_Salinas_v7:2:62912361:62915580:-1 gene:gene-LSAT_2X26920 transcript:rna-gnl|WGS:NBSK|LSAT_2X26920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADALVTVVAEAILKKVASIAASEIGIVWGYKEKLHTLEVTLKMIRAKLQDAENEKGQKHGVMEWLKQLKDVVGEADDVMDEVHYEMLRREVKNSDQVGIKVPSLPSLKKVLFRREMGHKIKNINEKLSQINKDANELGLQNEQPGPVVQYRPYPETVPNLEEFKIVGREDDEERIIHLLTESRKEEKLTIVPIVGMGGMGKTTLAKSIFNNPKIQQHFDVKAWLCVSVKADINTLLAKIYESLAREKPKSETMVNLISYLEEKLGSKRYLLVLDDVWDEERSHWEEFKRHMMMIKSKIGSGVIVTTRKLDIGTKAMTMDSCPLKGLSDDHCWNIFKERAFLAGQSPPPELEKIGHDIVKKCRGLPLLVKVVGGVLQNYNDPKKWLAIRNSKVWDLEDETERVQKSLELSLDNLPRRSIAKQCFASCSIFKKDKVMKREELVQLWMALGLVQADEEKNKEMEDVGNDIFQNLVNNSLFQVVDRDEYGHITRCSMHDLVHDLSLSLSNLESKCLVGVMKDDFCRENTNVFFLIERRMMARTWATFFFRSEVEKNVSFQRYKCMRILKLKRSGIKKIDDSIGGLMHLRYLDLSYTDIRVLPDSIGKLYHLQTLKLQNCYYLNKFPESMRNLISLRYCKSFRSIPKNILGQLTSLRTLVPNSFSLLKNEGRGIKELSRLKHLSGKLCIFNLENISSKEDAVMADLSGKKSLNEIEFSWSSNRGADRNKDVLEGLQPPRDVKILRIDYFCGDNFPDWVMKMAIHIEGKWMPLDKLVSIKLSDCRSCLSLPMLENLPHLWDLVLEHMDSLTCLRSSDVTGSAKHLSPSLRWLRLDGMKRLEKWIDGAPNSSKMISPVLEKLEIFYCPKIIVLDECHPHPLVSLRIWYCTGLEYIKSIQGLTSLESLVIGSCPSLSVIANFPNECHSLKTLRIANCDKLASLPHEMFERLGSLGVNS >cds-PLY83530.1 pep primary_assembly:Lsat_Salinas_v7:8:188976761:188977743:-1 gene:gene-LSAT_8X122580 transcript:rna-gnl|WGS:NBSK|LSAT_8X122580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPDCRSKEDWSHLCDYWETDKAHKYADQMKNNREKVVISSRGGSRSIANHKFSMKNKKTQLPPTPIKLYHKLHFHPTKERLNDETHIQYENILQMKEDECTKLVSTGISITPEMEYEIEKKVIKTVCAKHKTLLSRWKASSGPIMRKKDLHILSTTEPSQLASTNEMALKNKVTALEEEVRENKEKVKQSEEKCEKMLQFMISKFPDSQNILCSPDKEVFHAYDDMTNISDEE >cds-PLY86229.1 pep primary_assembly:Lsat_Salinas_v7:8:55489271:55492918:1 gene:gene-LSAT_8X41181 transcript:rna-gnl|WGS:NBSK|LSAT_8X41181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDPVILPSSRIIVDRPMIQRHLLSDPEGETIRITVKNKPASGAGMLSSAGLSEKIKPLNLAPPPEKVKSKPLGLAPPPVASGKIRSPIPPAPNDPAAVRMTSTTHHIVARNSTNAFTYFSQLEVCPNKHKSEAENFHNGCLLESKTYIGGRMLRLAFYYSPKFFDV >cds-PLY78874.1 pep primary_assembly:Lsat_Salinas_v7:5:305440306:305441835:1 gene:gene-LSAT_5X164841 transcript:rna-gnl|WGS:NBSK|LSAT_5X164841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFKKLPRPPPLCRPPPPSTTANLLPPPPPPDTSLTTLPILSLTSSTSTTQSQLTDFINTHLKNNLTPNDLLSFLKNQLHHHPKFAHLDLHVFRHASTLDSFRHDHSTYEWMVRTLAITHRLDSLSPLLDFIVSNPCPCSDGIFSCSRTEPIFRFAINAYCNVGRFDDALQAFDKMRKLVDGKPSTAIYNIMIHSFVKYWKFEKGLEFYGRMIQDRVNPDVVTFNILINGYFRNSKFGLALEVFKEMRVKGCVPNVVTFNTLIKGFFREKKLKEGIGMAYEMIELGCSLSSVTCEILIDGLTKEGRVYEACDLILDFSRKGVLPKKFDYFGLIESLCDQRNEVNKARLIVDEIWEKGNAPSSITCTILIEGLRRVKNTEAAFKLMDKMLNYKDTIVPDSVTFNCLLGDMCSSGRSGEANNLRVLAYEKGVCVDEVSYSILVSGYSKEGKKEEGKVIVDEMLDKGFIPDIATYNRLMKGLGFG >cds-PLY62686.1 pep primary_assembly:Lsat_Salinas_v7:4:335066170:335067143:1 gene:gene-LSAT_4X165621 transcript:rna-gnl|WGS:NBSK|LSAT_4X165621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMMMELDKLPDPFSLPFHDLSDSSIMDLNFSGGNSTINHRAPPHQLLQHPSYPTPQFTISHDHYPRASWLQQKPDSVEAMREMIFRMAALQPIQIDPESVKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAVHYMKFLKKQVQVMEQAAVGGGGGNIPSTGINGVIGICPTTTMTHHDANNYPNVIRACGEPSAHMVGSMELLK >cds-PLY91239.1 pep primary_assembly:Lsat_Salinas_v7:3:75168384:75168785:-1 gene:gene-LSAT_3X57220 transcript:rna-gnl|WGS:NBSK|LSAT_3X57220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVNQNKNVLYSVSIANSLGAGNRAPILVTSEYSSWPDCIKLYLECHDSDIWTFISMGKPTAEFMRDTKVPESDVCSKTSKVISGSVSVAAQLRERKIKKFEAKEMQELLYRIPHDIYEKLPDKDKGSPFNV >cds-PLY74075.1 pep primary_assembly:Lsat_Salinas_v7:9:12416637:12420085:1 gene:gene-LSAT_9X10280 transcript:rna-gnl|WGS:NBSK|LSAT_9X10280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDTIHDLQLFLKRVLITDIVRLLPVTKWEDRRIFTVGRSNLKFTKLRIGVLSLSKTRNSEVNVPGTIPEKIKKKMFMNVSRWRSNLVGPTKSEIIILSLDILYLMDSGKNEAHEMLKVAHLKYDNASDALMKKAREVAHKFVEEFSVGYEIPFNPRNSNTRNA >cds-PLY85097.1 pep primary_assembly:Lsat_Salinas_v7:1:45764618:45765901:1 gene:gene-LSAT_1X40700 transcript:rna-gnl|WGS:NBSK|LSAT_1X40700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIRSGGHDYEGLSYTADVPFVMLDFTNMRSIDVDVANRSAWVQPGAVLGELYYSISQKTDTLYFPAGVCPTVGVGGYMGGGGYGNLLRKYGTAADNVVDVRFMDVNGNILDRKSMGKDLFWAIRGGGASSFGIVLAWKLRLVPVPEKVTVFILNKTLEEGATKIFHKYQYVAPTIDRNLHIRTQVFAEYIGNTTKKTIRIMFEGIYQGTRDTLLPLLDEKFPELGVRREICEEIRSIQSTVVFWGLPSSTPIEILTNRSAIAKLNNKSKSDYVRTPIPIRGLRKIWRKLMQNDGSALLMINPFGGRMADYSESAIPYPHRAGVLLQILKTVNFNGQTSDTTPTSLKRIMWLRSLDELLTPYVSKNPREAYSNYNDLDLGVGSSNYEEASLWGERYRKRDNFQKLIRIKAKVDPDNFFPRPQSIPVF >cds-PLY87681.1 pep primary_assembly:Lsat_Salinas_v7:6:46061075:46061593:-1 gene:gene-LSAT_6X34081 transcript:rna-gnl|WGS:NBSK|LSAT_6X34081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEDINIDDQDDDEDNQNQGVDTSQGFEDGDVNINLDSTSFHQNIVDPFALLQLRDNVEKVNSKVKTVSSKTISLDSMLDLGIKPLSEIKVVAPSKIDKSNQLDQLIFHHLSRTLEEAEEHYKSNIDHHISTRNMMLKIHDDMISATDKLIKQTHVHHERQIQTFEEEIK >cds-PLY78603.1 pep primary_assembly:Lsat_Salinas_v7:1:104589466:104591915:1 gene:gene-LSAT_1X82600 transcript:rna-gnl|WGS:NBSK|LSAT_1X82600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVAVRAAVSAFRREEESQVHHSSKRRRERSDQDRVNYDDSMFMETPRGKGLRLYIGNLPSHMDEVLVQTTKSRRIRMVERKTRKRSVDKPKTKHLEARHTMDSKEKKKRSVTLIRDHSKEYLSNLTVEFDQFDRAIGPNRFKFTSYHGVTTRKMISILIDSWDLVDQCDKDQLWLNIKNYWHIRDDNHKAQVLRDCNTQWKAYKSALLKLWEKGVNPVKEYPYLDKAMWKKFIVLKSTEEFEDQKIQRLFQSHTYIIVLVQSTIVRLGVWLLRYELDA >cds-PLY85846.1 pep primary_assembly:Lsat_Salinas_v7:4:120366203:120372694:1 gene:gene-LSAT_4X75700 transcript:rna-gnl|WGS:NBSK|LSAT_4X75700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:modifier of snc1 [Source:Projected from Arabidopsis thaliana (AT4G24680) TAIR;Acc:AT4G24680] MTSSMLAGERRWASARRGGMTVLGKVAVPKPLNLPSQKLENHGLDPNVEIVPKGSLSWGSRPSSSTSNPWGASAVSPNSDGNTVSPRHPTGRPSSGGGLSRPSTAGSERTHEPSANTWGPNSRPSSASGVLTSNQSTLTTSRPLSAETRPGSSHLSRFAEPTFDNSVAWGPNGTSDKLSIPSKGNDFSLSSGDFPTLGSEKDAKSSEPHDHESHVRPGSASGRTAPFKERNEMSQHDTKSGSVETWTREGPPHVEEHWQGEPHQYLNPNVPPQHFDAWRGPPMNAPGVWYRGPPPPGGPPYPPVPHGGYPMEPFPYYRPQMPPPLANSQQGPPPGPGPRGHHPRNGDFYRPQMPDAFIRPGMPIRPGFYPGPVPYEGYFGPPMGYNHNPNDRDNPFMGMPPGPPVYNMCPPQNPSELGDPHFRGGVRGPGNMYVPEQLDSVPPHEEPRGPYKVLRKRENERNADVDEGSWENQTTTNSLALEKNEQPQPRPSFHKSDTRRNEDMSSRRNTPAENNPPPSRVLGSQNYPSNSKASSESWGKRSEIVTPVSEVAQDVSANPKDASLIQKIEGLNAKVRGASDVASGNLKEEQKNRLVNNPNPKDNSTLTFGTISNTEDLAPPRDINLSKDNTSKSTTASASVVSRQPHHGARKGRPVNQDNDGWRKKSPIPGSENVVHVSAENSETKNTGDSLTPMVDPADGQAQRARMRELAKQRAIQLQKEEEERIREQKAKALAKLEELNRRTTLAADGTTQTAEASATATSVVEQEDVEGSSQKPKTGPDTDTSKVSSDLNTKGQSQAVVQVSNSKNSEQEAVVDAEKPESKTVPVPLSTTQQRKRNNKSSKNKPKVDDVIEGDVAKVSSDPTSHVEVVDSSKDAMQSNSQYNKGQQHSRRMPRNPQANNNNNRSADRFHGNDGVVWAPVRAHNKEDRGDEHSQSQSQSQRVVQDDVVVPAKTSVQTNLKSRRAEMERYVPKPVAKELAQQSSTPSSPMKGALEEDTSEELVAQPVVPVSSDNISKQNKVPMKSQQQGVSVKKNNNNNQKAVGVGVSQKSEVTGETNVMHDWDPSDGWFMPEYPPPTTNTTSTTSVVKDEGGGNKGKKPAPAAYNKSQSRSTVAVAVKNHQDEAEVITERPTSAKENRSTHWQPKPQAQAAAYKGQGGGGEEHHHGGEEGRRERKPRGGGVRIHSPNNNMEDEAPPFEQQQQPGFRKYGQNNRGGGGGRQHNSNNNANINRERPRQNLHYEYQPVGSNKLDGGAGAADGSGNAAGQRYKEKGSGQSRRGGGGGNFYGRQ >cds-PLY85480.1 pep primary_assembly:Lsat_Salinas_v7:3:42408409:42410365:-1 gene:gene-LSAT_3X32600 transcript:rna-gnl|WGS:NBSK|LSAT_3X32600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVDSKLLNVGALLIATLVAAKIIAAFLIPRSRKRLPPVVKAFPVVGGLLRFLKGPIVMLRQEYPKLGSVFTLNLVNKNITFLIGPEVSAHFFKASETDLSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTESLRVNKLKGYVDHMIFEAEEFFSKWGDSGEVDLKLELEHLIILTASRCLLGEEVRNKLFDDVSALFHDLDNGMLPISVIFPYLPIPAHRRRDQARKKLAEIFATIITSRKQSGKSENDMLQCFIDSKYKNGRPTSESEVTGLLIAALFAGQHTSSITSTWTGAYLLCNQKHMAAVVEEQKDLVKKHGDKVDHDILSEMNVLYRCIKEALRLHPPLIMLLRSSHSDFTVTTKEGEDYDIPKGHIVATSPAFANRLPHIFKDPDTYDPDRFGPEREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFELELVSPFPEIDWNAMVVGVKGKVMVRYKRKVLQ >cds-PLY85196.1 pep primary_assembly:Lsat_Salinas_v7:9:148679504:148682570:1 gene:gene-LSAT_9X94841 transcript:rna-gnl|WGS:NBSK|LSAT_9X94841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRSYQQSSSSGSFGPPKSKSRHNPDGDSFLEDESTKIFAKKVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLIQLYAKRGDAVLDLACGKGGDLIKWDKAKIGYYVGIDIADGSIEDCRTRYNGDADHHQRRKKFTFPARLLSGDCFEVNTVQLDKALADDAPFDLCSCQFAMHYSWSTEARARRALANVSSLLRPGGIFIGTMPDANVIVKKLRAADGLAFGNSVYWIHFDDEFSEKKFKSSTPFGIKYKFHLEDAVDCPEWIVPFHVFKSLAEEYDLELVFVKNSHAFVHEYMKKPEFIELMRRLGALGDGNQDQTTLSPDEWEVAYLYLAYVLRKRGQPEQNRGNTRRDKGKMHLEKEDITYIRS >cds-PLY64807.1 pep primary_assembly:Lsat_Salinas_v7:2:102248463:102248882:1 gene:gene-LSAT_2X45101 transcript:rna-gnl|WGS:NBSK|LSAT_2X45101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEVCSGDPDPNKQGVRVWLGTFATAEEAARAYDRAAFDMRGHMAVLNFPTEYPPTFSVAAYNASTRATTSSSSMATLTIKPFPIDYFWMISEGFPNTIASIPIGC >cds-PLY68829.1 pep primary_assembly:Lsat_Salinas_v7:3:63029347:63031468:1 gene:gene-LSAT_3X49401 transcript:rna-gnl|WGS:NBSK|LSAT_3X49401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHFTRLEEFKGVIVDEEGLDVFYWRNLDDDDEPKRTIFKKEHPKEEEKKMISVDHGRRKSNTQIQEIQLLTGKSSASLPPANQQILKNQLSSRSPPPTPPTSPPPPLLVLPPPPPKPKTGGLTLTSSSTPPSNVKHKPLHCDKVNTNVEHSTAWDKGSFRFNGDLIGALFGTIAANKKSPRGDPTTSPTPKPEKKSGPPSQVFILDTRKSQNIAIILRSLTVSRREIIDCLLEGKGIDINTLEKLSRINPTKEEEQLILNYDHDITRLADAESFLYHILRTVPSAFTRFNAMFFKLNYDSEVSHIKNTLQTVEKACNELRNRGLFVKLLEAILKAGNQMNAGTSRGNAQAVNLNSLLKLSNVKSSDGKTSLLHFVVEEVVRLEGKRCMINRNHSLRNSTVSLNCDTSIGKDYIRLGLPIVGGVSSEFYNVKKAAGIEYDALSKSSSRLNDRLTELMKTVEECGGGGDGGRGFVKEMEKFVERAEWEIHELGEEEERVIRVVKKTNEYYQVGASKDKGRKQFQLFGIVKAFLEMVDKACVDIAVKLQKRRTGCGEAAALAAVVVPSMPTTPNRPSVKFPVLPVNFISSSSSSDSGEDL >cds-PLY77924.1 pep primary_assembly:Lsat_Salinas_v7:1:22027372:22027770:1 gene:gene-LSAT_1X18621 transcript:rna-gnl|WGS:NBSK|LSAT_1X18621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAIALRKILKKYDKVHNSVCGVNFRSKLQAEHLEILHSPWLIELVAFYMNFSESNEIICYELCSYFSCDLSVIISKLVLKLVLPDYVVLEYSLTCVVCLV >cds-PLY62475.1 pep primary_assembly:Lsat_Salinas_v7:1:84046672:84052434:-1 gene:gene-LSAT_1X69121 transcript:rna-gnl|WGS:NBSK|LSAT_1X69121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKPPRSPILRETSFDMPPQNPSSMKQNRSSNRKQKSSKENAPPSNTNVIPDSSHNPSFTGKPSPSVASKLKSLLPPRPPSSNPLKRKLGTEFQPENGVSGSSDTGVKVIVRVRPQSKNEEGETIVQKTSGDSLSILGQQFTFDHVADTTATQANIFQLVGAPLVENCLAGFNSSIFAYGQTGSGKTFTIWGPTNALLEENSSSDEQGLTPRVFERLFSRINEEQDKHADKQLMYQCRCSFLEIYNEQVTDLLNPSQKNLHLREDTKTGVYVENLTESSICNMKDVTELLKKGLSNRKTGATSINIESSRSHSVFTCVVESRCKSMDGLSCLKTSRMNLVDLAGSERQKSTGAAGDRLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSKLTFLLQESLGGNAKLAMICAISPAQSCRSETYSTLRFAQRAKAIKNKAVVNEQMQDNVNTLREVIRQLRDELVRVKATGNQGDTCGGYSTGWNARRSLHLLKFTLNCPMILPRVDDDGDEEMEIVKMEEDKVSEDITDVKMAIVPSTTEDNQDSLSVLTEIPPVLKSPTPSVSPITENNSSRKSLRTCTMLTASQKEQETRVFKDLENQLENHFAKKLNHNLAKSLHRGLEVIDKNRKTSAYERLSFKFCYKSLDSKPKLVRKDIGVQTLNEKKLEEEEEKVFLCRNCNCKSSKQVEEDGNLQLVPVDDDKSKQLVPKAVEKVLTGAIRREMALEELCTKQDSEINQLNRLLQQYKHERECNLIIGQIREDKIARLESLMDGILSPEEFKDDELASLTNENKILKENYKNHPEVLRTEIEFQRFQDELERYQNFFELGEKDILLEEIQDLRNQLQSYIDSSQKPVFQITHQSGAQSEEEELESIKQELEATRLLMEKQKEEINTERKCSKELKDALQMAIESHARMLEQYADLEEKHGHLVTTQTRIEDGVADIIKAASKAGIKGAESRFINKLANEYSSLKAEREKERHVYRDEKKGLQEQLKDTAEAVQAASELLVRLKEAEEATRAREAEEEKEKAYKQIENLKRKYEDGELTKLPLVDEENDQHLEGEFEKFYVEDEELTKLPEPSSWFSGYDSCNI >cds-PLY83833.1 pep primary_assembly:Lsat_Salinas_v7:3:51466831:51467196:-1 gene:gene-LSAT_3X40540 transcript:rna-gnl|WGS:NBSK|LSAT_3X40540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLLHVNLQNLNTGFIIHDRIFFLSFSIEGCTSFIITCTDFAYLSNLKTGEKDLFYQLGPQQLKYMTFLSESSDRFAFSSMALLEAMAAGCGRFLVWEIDRRLDLAWWWMDLMVVFHLAKP >cds-PLY84737.1 pep primary_assembly:Lsat_Salinas_v7:5:230016817:230022013:-1 gene:gene-LSAT_5X110221 transcript:rna-gnl|WGS:NBSK|LSAT_5X110221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEPVVPSSPQQQPSSSNSNAAPLGHNVIPIVNKLQDIFAQLGSSSTIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLLQTKRKPDGTDEEYGEFLHVPGKRFYNFNEIRKEIQAETDREAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIRLPSCLILAVTPANADLANSDALQIAGNADPDGYRTIGVITKLDIMDRGTDARNFLLGKVIPLRLGFIGVVNRSQEDIMFNRTIKDALAAEEKFFRSRPVYNELADRCGVPQLAKKLNQILVKHIKTVLPGLKARISAALVSVAKEHASYGEITESKAGMGALLLNILSKYSEAFSSMIEGKNEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEDLTDDDIRTAIQNATGPRSALFVPEVPFEVLIRRQIARLLDPSLQCARFIYDELIKMSHRCMVNELQRFPVLRKRMDDVIGNFLRDGLQPSETMIGHIVEMEMDYINTSHPNFVGGSKAVEVALQQVKSSKLATTVSRQKDGVESEKAPQSERGIKSRAILARPVNGIVTEQHNQPGSRAVGEVEKPTAGSTSWGISSIFGGSESRTSVKENPANKLFNDEPIQAMDHSFSMIHLREPPTVLRPSDAHSDQESVEIHVTKLLLRSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIKKLYRDDLFEQMLQEPDEVATKRKRTRDMLRVLQQAFRTLDELPLEAETVERGYSLTSNDTTGLPKIHGLPTSSSSSFYNDSYAAASPKNQRSRKSTSNSGELQSPFYGNVEANGNSRSSLLGFYPTLDDQ >cds-PLY75735.1 pep primary_assembly:Lsat_Salinas_v7:4:333463297:333470107:1 gene:gene-LSAT_4X165081 transcript:rna-gnl|WGS:NBSK|LSAT_4X165081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAVPLPSYTAAGRSESPDVSTIVGPQGAAIAPEEISLVIESFKKKVDADRCVYIMKRMEENRIKLAEVTNNHYKLSVERRNLKTSVADQTVDLLTKRQKDAIDMQNGVNANNDDSDSSSSQEDGHASAILLGSSIAVKNAVRPIKLPEVDKLPPYTTWIFLDRNQRMTEDQSVLGRRRIYYDQNGGEALICSDSEEEAIDEEEEKKEFVESEDYIIRMTIQQLGSSDAVLDLLGQRLSRKPSELKARYEVLVNRENAVEVSKPGNVEFDMSSLFDKDLEAALDSFDNLFCRRCLIFDCKLHGCSQDLIFPVEKHCARVEEEKVPCGPHCYRQVQKTEGISVTSPTQLNSEQKATPKSSDGNGVHKSRKKSKTLSVLQKLKSSRSENTSSNTKNMTESSESETRPTVHDGHSSKRGTRQRNSKRIADHVMVAIKKRQKKPTASDSDSISVPSGSLGSHKENEEASSSSLKVKSLSGRRGRRKDSFAPAAGGDRSSFQAEASDCPSKEITSKHNEKWKKEEFVDESVMCRQEVEQIEFKSWKTIEKSLFEKGLEIFGRNSCLIARNLMNNMKTCSEVFYAMNSSENKLSSQGGDGTSSLGDGSRIDSNENMGTTLRRRSRFLRRRGRVRRLKYSWKSAGYHSMRKRISDKKELPCRQYNPCGCQTSCGKECSCLVSGTCCEKYCGCPKTCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWIGCGDGTLGIPGQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVPKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQYVLDAYRKGDKLKFANHSPVPNCYAKVIMVAGDHRVGIFAKERISGGEELFYDYRYEPDRAPAWAKKPESSSATKKEETGPSSGRAKKLA >cds-PLY63195.1 pep primary_assembly:Lsat_Salinas_v7:6:89103909:89106263:1 gene:gene-LSAT_6X61141 transcript:rna-gnl|WGS:NBSK|LSAT_6X61141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKALRVLFTNTKKHSPAKKCSFTPLFTDETQFISLIHASKTIKHLQQIHAQIILHQQFSNTRIVTQLISSSSFKKNFLNYALSIFRHSKNPNLFLFNSLIRALTDNSYYMSSISYFLVMLRSNIRPNSLTFPFVLKSTSALQEGWLGMEIHTEILKLGLLYDRFVLLHLVDMYAKVGLLDCASQLFDESSQWGDDARSTLIWNVLINGFCKAGNWGKALELFEEMPEKYGSTWSSLIDGLMKAGEINRAMELWHSMEEKDVVSWTTMIDGFSQNEQHEKAISMFFEMLEEGGKPNDHTIVCVLLACAKAGALDTGVRIHDYILSNGFTIKRGITTALVDMYSKCGSIENASHVFDKTEDKDLHTWTVMIWGCAINGYLHKALEFFNKMKSTGIKPDGVVFLAILTACSHVGNVEKGLDFFNKMKKDYSIPPTMKHYAVMVDLYGRSGRLDEALRLIKNMEIAPDFVIWGALFSACRTHKNIKMAEYASEKLLELEPKHPGSYVFLSNVYAGVDRWQDVEKVRSKMKNKGVVKDPGWSYIEIKGKVTSFVAGDCGHDLSDEIHLKLNEIVKSAREHGYMPETEWVLHNIEEEEKEDALGSHSEKLALAFALICSGDNEVIRIVKNLKICGDCHSLMKYASKMTGRGIVVRDIKRFHHFKDGSCSCQDYW >cds-PLY96776.1 pep primary_assembly:Lsat_Salinas_v7:2:172071838:172074422:-1 gene:gene-LSAT_2X94540 transcript:rna-gnl|WGS:NBSK|LSAT_2X94540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSDNSRQSLIPNFLYTSSASPSSLLMGNTSNPTLFPSTSPAKQNNNFVIPAPNEPLGKIEMYSPRFYAACTVGGILSCGLTHMTVTPLDLVKCNMQIDPVKYKSISSGFGVLLKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYATKYKTLIYLAGSASAEIIADVALCPMEAVKVRVQTQPGFARGLSDGLPKFVRSDGVLGLYKGLVPLWGRQIPYTMMKFASFETIVEMMYKYAIPTPKNECSKSLQLGVSFAGGYVAGVLCAIVSHPADNLVSFLNNAKGASVGDAVKKLGVLGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGAAPVAIEAAKE >cds-PLY78425.1 pep primary_assembly:Lsat_Salinas_v7:2:167644690:167646451:-1 gene:gene-LSAT_2X88900 transcript:rna-gnl|WGS:NBSK|LSAT_2X88900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSKQRPVCRNCKGPCAPITRSYSMHVHHPPQSDGDSYHVVALKSTTLGYLQLDPSYSNEARSAKNPGVVQIHQDPLRQNEIHDKESNEFAVGVIDAKTWSKMIEEKIPKIIPRTPIRTPPGEPESINAWELMEGLDDTSPLRPKSAANHIRSFSFHVNPNSFTSFGESTTEFPEQDRQTEISGKPLCLPIPENNSSDSNSLFDSNDTSVASDFDTEVISSFRKSLELLPPANPFHLKPSIDEKDPPSSDGYGDDDSLDITNSKKSLQNGFFGDGKNISPPRVQEKLVLYFTSLRGVRKTYEDCCHVRHILKATGVRVDERDVSMHSGFKEELKELLGGFIGGGLPKVFIGNKCIGGAAEIRRLHEDGQLEKALESCEMMDGGGYVGGGGVGGCEACGDIRFLPCETCSGSCKIYYDDDSDNDEVDDEEKEENDYGFQRCPDCNENGLIRCPVCCD >cds-PLY71486.1 pep primary_assembly:Lsat_Salinas_v7:7:190844707:190845413:-1 gene:gene-LSAT_7X115640 transcript:rna-gnl|WGS:NBSK|LSAT_7X115640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSNPLFSSPLPLSPLRSDVPLPLTFSFKTKATLNDSFSTSGPTSESPQTEIFEDRLSQVRVRYRSGIRKKVDARKSKKSGKKSGRSSGSRENMYLPPVPLKEPIFRLSESGFWILLELQVYGLLDLIKNKDMKKDDVNVIKGSLKMNGCMSMHSEKGSLGLTGLQNLGKTCL >cds-PLY98247.1 pep primary_assembly:Lsat_Salinas_v7:7:171257634:171262175:-1 gene:gene-LSAT_7X100120 transcript:rna-gnl|WGS:NBSK|LSAT_7X100120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGNNYNANSPVPSSRIDRLLRQRLRKNNKASNSNDANGNGNVNGNEGLDHEQRLREGDDFVEQYLEGASAARDRWEKPDSGLFTQRLLVVANRLPVSAVRRGEESWSLEVSGGGLVSALLGVKEVEAKWIGWAGVNVPDEPGQRALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNEHYEEGDVVWCHDYHLMFLPKCLKDHNKNMKVGWFLHTPFPSSEIHRTLPSRSELLRAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIHALEAPQVQEHIRELKERFSGRKVMLGVDRLDMIKGIPQKILAFEKFLEENQYWHDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTTVPIHHLDRSLDFQALCALYAVTDIALVTSLRDGMNLVSYEFVACQDEKRGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGQALNMSAEEREKRHLHNFSHVTTHTAQEWAETFVSELNDTVLEAQQRIRQVPPLLPVEEAIDRYLQSSNRLLILGFNATLTEPVDSPDRRGGDQIREMDLKLHPDLKEPLTRLCSDPKTTVVVLSGSDRGVLDENFGDYDMWLAAENGMFLRSTRGDWMTTMPEHSNMEWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYSDVEFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRAVGVTKGVTIVRILGEIVHSKSISAPIDYVLCVGHSLGKDEDIYTFFEPELPSDNIGIARPKISDATKSCGDRKSGRSGSKSSQKQIQRNTENKRNNNSSSTSNGINSSGSSNSNSVGNGGRRSSQSPDKITWNVLDLKGDNYFSCAVGRKRTNARYMLDTSDDVVSFLRDLARAS >cds-PLY93698.1 pep primary_assembly:Lsat_Salinas_v7:2:200263828:200268028:1 gene:gene-LSAT_2X121140 transcript:rna-gnl|WGS:NBSK|LSAT_2X121140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGLSLPKDALFLGFDSSTQSLKATVLDSSLNIVSSEIVHFDSELPHYKTKDGVYRDPSINGRIVSPTLMWVEALDLILKRLKDSKKFDFKKLAAVSGSGQQHGSVYWKKGSSKSLSSLDPKKPLVDQFGEAFSTKESPIWMDSSTTQQCKAIEKALGGALELSKLTGSRAHERYTGPQIKRIFEMQPQVYNNTERISLVSSFMASLLIGGYACIDHTDGAGMNLMDIKDRTWSKKALEATAPGLEEKLGKLAPAHAVAGLIAPYFVERFQFNKECLVVQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITMDHNPSLEGHVFPNPVDTKGYMVMLCYKNGSLTREDIRDRCADKSWDVFNQSLKQTPPLNGGKIGFYYKDHEILPPLPIGYHRYILENFNGGTLDGVSEREVKEFDPPSEIRALIEGQFVSMRGHAERFGMPSPPKRIIATGGASANHTILTSIASIFGCNVYTVQRPDSASLGAALRAAHGWVCKNKGSFVPISYLYKDKLEKTAFGCKAVATITAQDNELVAKYALFMKKRMEIENRLVQTLGR >cds-PLY64167.1 pep primary_assembly:Lsat_Salinas_v7:2:86716960:86720660:-1 gene:gene-LSAT_2X38680 transcript:rna-gnl|WGS:NBSK|LSAT_2X38680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDNEKNALVNSPTSSRSITETVNGSHHFVIQGYSLAKGMGIGKHIASDNFTIGGYQWAIYFYPDGKNPEDNSTYVSVFIALASEGTDVRALFELTLVDQSGKEKHKIHSHFDRSLESGPYTLKYRGSMWGYKRFYRRALLESSDYLKDDCLRINCTVGVVVSAVDCPRLHSIKVPESDIGSHFGMLLDNKEGSDVVFNVSGQKFHAHKLVLAARSPVFRSVFFKQDEDGDEHDEIDVADMEPKVFKAMLHFIYRDALMEDELGSSSFSETCVSDSLIAKLLAAADKYDLGRLRRMCESHLCKDISVNSVGRALALADRYHATELKSVCLRFAAENLAAVMRSDGFEYLKEKCPKLQSELLKTVAGCEDDDSSSNSGGGGAAGKSRSVCGQLSDGGDTNGRRVRQRT >cds-PLY94387.1 pep primary_assembly:Lsat_Salinas_v7:6:9124164:9125958:1 gene:gene-LSAT_6X6441 transcript:rna-gnl|WGS:NBSK|LSAT_6X6441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQAGQCGNQIGAKFWEVVCDEHGIDASGKYYGNSHVQLERVNVYYNEASGGRYVPRAVLVDLEPGTMDSLRAGPYGKIFRPDNFIFGQNGAGNNWAKGHYTEGAELVDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISTTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTIPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLAMSSTFMGNSTSIQEMFRRVSEQFTVMFRRKAFLHWYTSEGMDEMEFTEAESNMNDLVSEYQQYQDAVADDDVEDDGYGEEEEEN >cds-PLY75758.1 pep primary_assembly:Lsat_Salinas_v7:3:68454481:68455146:-1 gene:gene-LSAT_3X52100 transcript:rna-gnl|WGS:NBSK|LSAT_3X52100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQSNDNGIKIYTISSSANFDHQPPSPPPMPPPDFTTTTGGRRRRAMANGVQKTLSKTSMLVNFLPTGTLLTFEMLLPSVYGKGECTTVTTLMINVLLGICTMSCFFFHFTDSFRGSDGKIYYGFVSPWGLKVFKSDIGVEVPDDERYKLGFTDFVHAMMSSMVFVAIAFSDHRVTNCLFPRHTKEMDEVMQSFPLMVGVVCSGLFLVFPNTRYGIGCLSA >cds-PLY70914.1 pep primary_assembly:Lsat_Salinas_v7:9:14834734:14838271:1 gene:gene-LSAT_9X12280 transcript:rna-gnl|WGS:NBSK|LSAT_9X12280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQHHPQLTLAKSSRHSYNEWIFRDVPSDITIEVNGIAFALHKFPLVSRSGQIRKAVSENRDSVISRIALQNLPGGTETFELAAKFCYGINFEITPSNVAQLICVSEYLEMTEDYSNNNLGSRADEYLNHVVCQNLEMSVLVLQQCENLLPKADELKIVTRCIDAIASKACVEQIASSFSRLEYSSSGRLHMSRQKCELDWWIKDLSVLRIDLYQRVMTAMKCRGVRPESIGESLMNYAQKELTKKPNGGMSDHERLVVETIVGLLPVEKLAVPLSFLFGLLRSAVMLDCTVASRLDLERRIGSLLDIATLDDLLIPSFRHAGDTLFDVDTVHRILVNFAQQDESDDDDDDDDQDGSGFGSDGLDSPSETALFKVSKLVDNYLAEIAPDANLKLSRFIAVAECLPAHARTVHDGLYRSIDVYLKAHQGLSEAEKKKLCKLIDFQKLSPEAGAHAAQNDRLPLQSIVQVLYIEQLRLRNALYCSDLGGGDHQKPPPVHHQSWRINSGALSAAMSPKDNYASLRRENRELKLELTRLRMRLNDLEKEHVCMKRNMEKSNSRKFMSSFSKKISKFNLFGHSSSRGSRSTSPSRNSQRTDSKVTVRTE >cds-PLY93765.1 pep primary_assembly:Lsat_Salinas_v7:6:145361898:145364002:1 gene:gene-LSAT_6X87960 transcript:rna-gnl|WGS:NBSK|LSAT_6X87960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTGIKARRVHPNRMSQPPEPSPKALVTPSGSDPDSSLNPMGFQLGFNKSAQTPNLNPNFDDETLDHYTYEQLEDSILKKIELLYIKAISQLVSSGYDEHAVLEAILRNGHCHGKMDPLTNILHNAQIYLSTADSGCNDKFVSTEKVFANLKLLREATLISLLCWLRKSYPFMSRRDAMCWLLVSDLHTIRVSSSKISNDDGDGVNTQMDDPHPNWSEPTPSMLVLLKDNADFLAAEYRSLDGDSLVADVKHSKVSLEGLCMKNQTSTKSILKRFNDLNPKDEILMSLMKEIKDLDTRINEKKKWADEKALQAAKRLCEDRNELKKLRMEKEDNEWTKKQKSPLDIDHPTMKSLIDAEMALRALDTECDHALMLMSYLEIENLEIKAEIMASKLSASESVTSIVESAKREKKHLKKILAWEKQKGKLEEDITSEKQKLVEIEEEMIQVEASKKTAEEKWREEQESKKHALARVEQEMILKEQTISDNKRIQESLHARIDLDLKCQKDDIQRLEQELSRVKSLTDPDHYRASLFGTHFGNDTIGKMLHGSSDEGDESDDEAYYECVMCGENEVSVVFLPCAHEVVCGDCNKGLKKDECPTCGVAIEQRIRVFGGSS >cds-PLY76360.1 pep primary_assembly:Lsat_Salinas_v7:6:170413251:170414495:-1 gene:gene-LSAT_6X102921 transcript:rna-gnl|WGS:NBSK|LSAT_6X102921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGILGSVQLNSISQHHQIHIPNASHSNSPQPRSLNLPRQDLLDDGRREEFLEICFPLYKASMAGDWENAKDILDKRQELVRFSINRNNETALHVAAYRGNTFFVENLVRLMENEDLELRNSSSNTALCLAAVAGHVKVAKILVNKNKALLDITGSQEKMPLYMAALSGKKAMVKYLYKNSERMTSGFWTDESRGCVLLACVEAELFGK >cds-PLY78585.1 pep primary_assembly:Lsat_Salinas_v7:1:103872406:103873618:1 gene:gene-LSAT_1X83741 transcript:rna-gnl|WGS:NBSK|LSAT_1X83741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRYEGDWVDGKYDGYGMETWARGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWSNGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYSFANGHRYEGSWHEGRRQGLGMYTFRNGETQSGHWQNGVLDVPSSQSATYPVSPVAVYHSKVLNAIQEARRAGEKAYDVAKVDERVNRAVAAANRAANAARVAAIKAVQKQMHHRTNNDDLPIPVVQNFHHLSAQL >cds-PLY77826.1 pep primary_assembly:Lsat_Salinas_v7:1:178228248:178230312:1 gene:gene-LSAT_1X116980 transcript:rna-gnl|WGS:NBSK|LSAT_1X116980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRVRVSSQQVPVQKLGDSQMTLSPKFKLASIQSRLLDPLLESELNLQGDPLIPGLPDDIALTCLLRLPVTTHPATRAVCKRWYQLFCNKERFFSKRNEMGFQDPWLFVFSFHKCTGKIQWHVLDLTHFSWHTIPPMPCVDKVCPHGLRCVSFPSNGSLFVCGGIIFDADCPLNVVMKFDIKKNHWTIMKKMITARSFFASGVINEKIYVAGGNSTDLFELNSGEVMDPKNGIWHPIANMRTNMAVYDAAVLNGKLLVTEGWFWPFYVVPRGQIYDPKTDNWENMADGLREGWTGSSVVIYGHLFVVTEHERTKLKVYDMNSDTWKSVDGPPLPDKICKPFSVNCYGSFIYVVGRNLHVAVGRILIGNGKEKSPGFLVEWEVVEAPDGLGNLTPSSAQILFG >cds-PLY79880.1 pep primary_assembly:Lsat_Salinas_v7:8:15687961:15688753:1 gene:gene-LSAT_8X13361 transcript:rna-gnl|WGS:NBSK|LSAT_8X13361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLTGTDKEITDSFEFVPLSIDAFGSTVIVEGCDQRRDISWIHAWTVNSHGIITQVREYFNTSLTVTRFLNSTKPVSVTSLHCPSVWESSLANRIGKSVPGLVLAI >cds-PLY93561.1 pep primary_assembly:Lsat_Salinas_v7:2:175916957:175919721:1 gene:gene-LSAT_2X98781 transcript:rna-gnl|WGS:NBSK|LSAT_2X98781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NCRK [Source:Projected from Arabidopsis thaliana (AT2G28250) UniProtKB/TrEMBL;Acc:A0A178VW29] MKLQLEVALACFISLILIQHIICDEVAETPDINKWTCTCYANELNHHVIIPPNCSSSCNCISENSSQNKWKCTCPSDKLPEVATSHESNCFRSCNCNSGSLSEAQSLKKQFSSKAVLIILLLCMVITTLAIFTSVMCLYIYRKHTCPKKQPPLSSSSDKDTSYNSSTNLISYKTSSLQNSKIYIHSPKNPITGFIHKASFLFRKQTRTVQGTITSFPYSELETATNRFSETNLIGVGVSSHVYYGQLKDGKLVAVKRLKSQGGGPDAEVTFLTEIELLSRLHHCHVVPLLGYCLEYQYHTKQPEMLLVFEYMPNGNLRECLDGVSEKCLDWGARIAVAIGAARGLEYLHEAAAPRILHRDVKSSNILLDDNWRAKITDLGMAKSLVSDGVPSCSSSPARMQGTFGYFAPEYAIVGRASLMSDVFSFGVVLLELISGRHPIYKSQDKGEESLVIWATPLLQDSRRVSKELPDPRLKGKFEEEEMQVMAYLAKECLLLDPDARPTMSEVVQILLTIAPEKSKRRNFSVDRFQVSL >cds-PLY73846.1 pep primary_assembly:Lsat_Salinas_v7:7:69990906:69992904:1 gene:gene-LSAT_7X50380 transcript:rna-gnl|WGS:NBSK|LSAT_7X50380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVENLVIIGSGPAGYTAAIYAGRANLKPVVFKGYQIGGAICDGATGATAKRLKLPREDEFWSRGISACAICDGASPIFKGEVLAVVGGGDTGTEEAIYLTKYARHVHLLVRRDQLKASRAMQDRLVKEETLG >cds-PLY95863.1 pep primary_assembly:Lsat_Salinas_v7:5:318154219:318154683:-1 gene:gene-LSAT_5X173800 transcript:rna-gnl|WGS:NBSK|LSAT_5X173800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGQNKKIVCDLYKALAARDTKSVHRLLAPDIDWWFHGPPAHKYNLMQLLTGSCVIEDTDPFKVVVVVDLGSMVVAEGYHLYDNRKTCWVHAWSVENGKIITQVKEYLNTSLTVFSYTKSSNIFLASPRSPKCKNVWQSKLADNASVPHLLLVL >cds-PLY95352.1 pep primary_assembly:Lsat_Salinas_v7:5:267074722:267076382:1 gene:gene-LSAT_5X138981 transcript:rna-gnl|WGS:NBSK|LSAT_5X138981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFESKSNTMKGLSFHSKSPWILASLHSIVRSHEVIGMDQHVYITHAKLPMALSLNEGFVTGEVLASTPKKEWLSLHETTATFLSATLLSRQSEQIPSTIPPSTSRETRSSSTLSINTNDNALVDKKDVDHGKKKSDNFATEKLKIGDKLEDPVTISSKSVKQAPQVVKDVKKAEKVYIDNSDLDSNTNKSIDIHLNIRLPRGISVQEKFEPTSTLKMVKNYIDEKQESSIGHYDLAIPYPHNTLTKLSLLDRKALIIVPHLKSTSHYKPQSVIPNHYTSVSTVATSAPDGEGYFSLRRKVLSYVNPLPYLRGNNSV >cds-PLY67103.1 pep primary_assembly:Lsat_Salinas_v7:4:241971553:241971780:-1 gene:gene-LSAT_4X129700 transcript:rna-gnl|WGS:NBSK|LSAT_4X129700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARINYVFTISLLLLTSLFFNIVARPINIGKPIDYAEDDMDISSLASIKIGGGPSEGEKGHEYPNAGYFGNIKNS >cds-PLY93550.1 pep primary_assembly:Lsat_Salinas_v7:2:173130570:173135320:1 gene:gene-LSAT_2X96001 transcript:rna-gnl|WGS:NBSK|LSAT_2X96001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGSILDFIDNKTILVTGATGFLAKIFVEKILRVQPNVKKLYLLVRATDDKSAMQRFNTEAVAKDLFKTLKEKYGTNLQSFLSEKVTPIAGDITYENLGIKDSNLIQEMWKSVDVVVNVAATTNFDERYDIALALNTFGARNVYNFAAKCVKIKLLLHVSTAYVSGETPGLILESPYRLGDALNGVDGLDINVEKKIIEEKLKELSADETSTDKSITLAMKDLGIERANKFGWPNTYVFTKALGEMVLGHLKGDMPLVILRPTIILSTYKEPFPGWIEGIRTIDSLAVGYGKGRLACFLGDPESTIDVIPADMVANAMIATMAAHANQPGETIYHVGSSVSNPLKYKGIQKSGYNYFSKNPWINKDGTPVIVSEVKVLSSMDSFRRYFNLRYLLPLQGLQVVNSALCHAFSGTYSDLKRKINFVLRVVELYKPYLFSKSFYDDMNTEKLRQLVKENEVEANIFYFDPKTIDWEDYFLHTHLPGAVKHVFK >cds-PLY93052.1 pep primary_assembly:Lsat_Salinas_v7:9:26836748:26837095:-1 gene:gene-LSAT_9X23561 transcript:rna-gnl|WGS:NBSK|LSAT_9X23561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCEKLSVVVRNARDHFIVPTIVDVQMANFAVLNTLTFASLDAKDFHYIGVISEVMLKEVPTDNEVVTIYMKIPKPGPRYMSPTMQAALEFGVVTRTGGKRRGKAVEEGVSRKPSK >cds-PLY62794.1 pep primary_assembly:Lsat_Salinas_v7:4:30380853:30383175:1 gene:gene-LSAT_4X16921 transcript:rna-gnl|WGS:NBSK|LSAT_4X16921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSQDRISDLPQDTIEKILTHMPIQDALKTSILSTKWRHCWKGMPKLVFDDKYLNTSSSSKEIKKYKFVNAIFHVLMLHNGPVLEFSISINIDTEIDDEIYQIILHLSKSKNIKKFISKFWSNDVMKLLFFKRYKLPSVFFSLHGLEHLHLTHCVIELPLTFNGFITLKSLKLCNVNITLKTLQQLLTNCPILEEFILIGSQNNSIALGNKCTFLELSKCLPSVHVLKISKYYIKFAKGSMQKLPTLLVHLRILFLDLCFLKQDELSNALSVIRSSPNLEKIKIELCWIHMLCGQETCKGLLDLQDYYLGMKLDRLEELEITSFHNHALEMEFVKLIMAKSPMLKKARIKLNSDVSVDQENKILRDLFRLTFPRASLGVDIIVER >cds-PLY95950.1 pep primary_assembly:Lsat_Salinas_v7:9:40589059:40591831:-1 gene:gene-LSAT_9X36221 transcript:rna-gnl|WGS:NBSK|LSAT_9X36221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Patellin-6 [Source:Projected from Arabidopsis thaliana (AT3G51670) UniProtKB/Swiss-Prot;Acc:Q9SCU1] MDSSSPSPISLPDQKPKKTFVDSLMDSATTPHRTPSFKEDTYHLHNLKPSEKKALQELKDKLTTTSDDDNASMWGIPLLPPTATTEKSDVILLKFLRARDFRVQDSLNMLLKCLSWRKDFGADSIVEEDLGFKELEGLVAYMNGYDREGHPVCYNAYGVFKDKEMYEKIFGDNDKLKKFLRWRVQVLERGIKMLHLRPGGINSIIQVTDLKDMPKRELRVASNHILSLFQDNYPEMVARKIFINVPWYFSMLYSMFSPFLTQRTKSKFVLSKEGNVAETLYKYIRPEDVPVQYGGLSRPSDLNNGPPKPASEFTVKGGEKVNIQIEGIEAGATITWDIVVGGWDLEYSAEYVPIANGSYSIAVEKTRKMGPTEEAVHNSYTAKEAGKLVLSVDNSVSRKRKVASYRYLVRKSGVVM >cds-PLY69463.1 pep primary_assembly:Lsat_Salinas_v7:6:44171005:44176081:-1 gene:gene-LSAT_6X31381 transcript:rna-gnl|WGS:NBSK|LSAT_6X31381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGILRLITGWPGPSGFGSATTAEQVTAGIDAGDLTVVITGGASGIGLETSRVLALRGAHVIIAARNVEAANEAKQNILKSNENAKIDVLQLDLSSLKSVKDFADNFIALNLPLNILINNAGIMFCPYQLSQDEIEMQFATNHLGHFYLTNLLLDKMKNTASSTGIEGRIVNLSSVAHLHTYEEGIRFDSINDKKSYSDKKAYGQSKLANILHANELSRRLEEEGVNITVNSVHPGLIMTNLMKYSLNLMRIMKLLTYLLWKNVPQGAATTCYVAMHPDLKGVSGKYFLDCNEWPASDFARNPTMAKKLWDFSNHLLDSALQHS >cds-PLY90923.1 pep primary_assembly:Lsat_Salinas_v7:6:122879998:122880459:1 gene:gene-LSAT_6X75641 transcript:rna-gnl|WGS:NBSK|LSAT_6X75641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPIPIRDMGTTALGKICVLVQGVGWQWRVLDDDIMEPIRQVEPEHIPELAFKRRQRPRNMEHDKPEPSLANHLGMDYPPFPQSGPFVPPPAQPGHDSDGPSGTHHGDTDDDNEDDTEDDKGEYESNNE >cds-PLY82093.1 pep primary_assembly:Lsat_Salinas_v7:1:128348341:128348974:-1 gene:gene-LSAT_1X96461 transcript:rna-gnl|WGS:NBSK|LSAT_1X96461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLYCDHLKTKNSSLKVLWREYMDLNLGRLDVIGFLFFVTGTIVGEKEEGVWVVVFEIARL >cds-PLY82520.1 pep primary_assembly:Lsat_Salinas_v7:2:184699370:184701420:1 gene:gene-LSAT_2X108000 transcript:rna-gnl|WGS:NBSK|LSAT_2X108000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKTWSRLTCTFRKILLPELQLSRPYSKILPGVASNIRILNNKITNLIRNSKLDDARMLFDKSKQRNTVTWNSMLSGYVRRREIVKARQLFDEMPERDTVSWNTMISGYVGCRCLNEGRILFDEMPCRDLVSWNTIISGYAKNGKMNEALRLFTQAPNRNPVSWNAMVTGFLQNGDLRNAVEFFKKMPERDAASLSALVSGLILNGELDEAEKILLDTSYENDTIMDKVHAYNTLIAGYGRKGRIKDARNIFDRIPSHHDHGRAVKFKRNVVSWNTMIMCYVKARDILSARVLFEEMMERDTCSWNTMINGYIEMSEMEEASNLFKKMPNPDVYTWNSLISGFGETGKLEIARELFDKMPERNQVSWNSIISAHEKTKKYKTAIELFINMQIEGEKPDRHTLSSILSACSELADIQLGIQIHQKVIKIVTPDVPLNNSLITMYARCGAITQAMAIFEEMKSYKDVISWNAMIGGYASHGYATSALQLFGLMKEESVKPTYITFISVLNACANTGLVEEGRMHFKSMVDEFGIEPRVEHFATLVDILGRNGHFEEAMDVIRGMKIEPDKAVWGALLGACKVHNDVKLARVAAEALIKLEPESSTAYVLLHNMYADIEQWDDATEIRRLMEKHNIKKAAGYSLV >cds-PLY68308.1 pep primary_assembly:Lsat_Salinas_v7:7:98103607:98105614:1 gene:gene-LSAT_7X65640 transcript:rna-gnl|WGS:NBSK|LSAT_7X65640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYVQCMLQLVQLAHNGIQIMFSYSSLYAQIIIGNGLDVDEYVRSANKFDYSECIEVGPVACLPKPPDPNELYPRQSRRQVPFVPFSIFLIKIASIHTFTWSFQNLSILFGLTAKESNWLGAITSAAVIILDEMFPYRKNFFGKMKPKKKDDLEQVSGLLNKKLRILNDGMNIVKVGNTEKNARENFACGACGQMFRQLL >cds-PLY71891.1 pep primary_assembly:Lsat_Salinas_v7:8:278154319:278156697:-1 gene:gene-LSAT_8X159641 transcript:rna-gnl|WGS:NBSK|LSAT_8X159641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQNLAFVGLSYSSPIVACGMGNLIPSISFILAALCRRTNVDLKSSSTRAKLIGTLISIIGAVSLTLYRGPVIKDSSAHLRLAPRLFVFLSNNEHWVVGCILFATASLTFSVWNILQVGTLEKCPDVMTVASSYSLFGTVQSALLALIIERDPNAWRLQFDMGLLVIVSTAVFASLIKSHVHMWCIQRKGQFYVTMFRPLGVPIASTFGCIFFAETFHYGSMMSAAITGIGYYTMMWGQMREDESRRGKVDLVEERTPLLQEEDQV >cds-PLY63184.1 pep primary_assembly:Lsat_Salinas_v7:6:89110409:89113725:1 gene:gene-LSAT_6X61121 transcript:rna-gnl|WGS:NBSK|LSAT_6X61121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQTRSNSSKPAIISEEQQTNTHTNTYTESSETLAEKEPELMVKKRVPDWLNNSMLSSPAPVVSPPSKSRSQSPPPPSSSHHDLLGSYTSKSSSVYSYNSSTVIQPPVPIPPPTFIRPEPPTKPARTPRTDFRDPLTRISDSDNDISSSVEDASRQTNLIQALSRKIINMRELRRLASEGIPDGAGIRSTVWKLLLAYLPCDKALWSSELAKKRSQYNQFKEDFLMNPSEITRKLEDSASLQNGENTSAGKGLLPRSEIPHGEHPLSLGKTSVWNQFFQDTEIIDQIDRDVKRTHPDIDFFCGDSASSKANQDSLRNILIVYAKLNPGIRYVQGMNEILAPLFYVFKNDPDEDYSRNAEADTFFCFVELLSGIRDNFVQQLDNSVVGIRSTILKLSLLLKEHDEELWRHLDITTKVNPQFYAFRWITLLLTQEFIFTDSLHIWDTLLSDPEGPQETLLRVCCAMLILVRRRLLAGDFTANLKLLQSYPSTTNISHLLYVANKLRSHHHS >cds-PLY68269.1 pep primary_assembly:Lsat_Salinas_v7:1:27383639:27385407:1 gene:gene-LSAT_1X23801 transcript:rna-gnl|WGS:NBSK|LSAT_1X23801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVSYLYVSFLLLLGSYLFTSLFRRKFSNLPPTVFPSLPVIGHLYLLKPPLYRTLAKISDKYGPIVRLQLGFRPVVVISSPSLVEECFTKNDVILANRPRMLFGKIIGVNYTSLAWAPYGDNWRNLRRIASIEILSIHRLNEFHDIRAEEGRSLIRKLASSSSPVTMKNLFYELTLNVMMRMIAGKRYFGGDNPVLEQEGIRFREMLHETFILAGASNVGDYLPILSWFGVKGLEKRLIALQEKRDVFFQGIIDELRKSKGSDQTGNKRKTMIEVLLSLQESDPEYYTDALIRSFVLVLLAAGSDTSAGTMEWTMSLLLNHPEVLKKAQKEIDHVVGNDRLVDESDISNLPYLRCIINETLRLKPPGPLLVPHEASEDCVIGGFNIPGGTMVLVNQWAIHHDPKVWADPETYNPERFEGVEGTRDGFKLLPFGYGRRSCPGEGLAVRVLGMTLGTMIQCFDWERISEEMVDMSEAPGLTMPKAVPLVAKCKPRLQMENLLSQL >cds-PLY82319.1 pep primary_assembly:Lsat_Salinas_v7:1:67525688:67526514:1 gene:gene-LSAT_1X57440 transcript:rna-gnl|WGS:NBSK|LSAT_1X57440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSEYLKSPYIIRAVDIIKGVPRQEKRVAEWIFSLQGEPNDIVFHTLDGFSAEMFHMESFFPTCELFGHVIDCWSQVLNLDESKRAPKSPLRVYCKTDVTNSYLESDLTESQRKDKFIENLVLSIEDMDASLRYVGLLFLPIIRSFHIFLFVINLQHLEFVIIDNNKVDDRYGQLPQIIVSD >cds-PLY96339.1 pep primary_assembly:Lsat_Salinas_v7:5:193807699:193808411:1 gene:gene-LSAT_5X86680 transcript:rna-gnl|WGS:NBSK|LSAT_5X86680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSLAPSSPVAPQPSPWHSPVPYLFGGLAAMMGLIAFALLVLACSYWKLSDHLENGDRDIESGDGNTDATTPPENNTNQEASDMQEKYFVIMAGEAKPTFLATPSSSRTTSFGSSSWRSNSTALTEGSSSSVVEMSVEVKHISSVENN >cds-PLY68063.1 pep primary_assembly:Lsat_Salinas_v7:5:293808533:293810126:1 gene:gene-LSAT_7X62320 transcript:rna-gnl|WGS:NBSK|LSAT_7X62320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREDESNWFSKWEEQLPSPEDLMPLTQTLITPDLAIAFDIRSPHNLHSPSLQHHQQPPPISTPSSQPNSGEFDSPELGGGGAGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSSGSGNGSGGDPATDHLFASSPVPAHFLHQGRPNSDHYLPYVPVAALQQQHHHQQMAAAAVVAGHHHPQLQPRYGQIGHFGSPRNGQFEHPFLNRQSHSHSHSHSQQPIHRVGTPIHNSVPSSYVDDLESANGRKVLTLFPTGDD >cds-PLY95526.1 pep primary_assembly:Lsat_Salinas_v7:8:115697534:115699284:1 gene:gene-LSAT_8X80440 transcript:rna-gnl|WGS:NBSK|LSAT_8X80440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKVSDAELVLYLHPSKSKNVSQAIFRELSSMLFKFNETFDGVLLAYSASTQKELAKILPGLNPYFGVRLQAQLLLFYPKPDMLLEGKIVKLAEQSIHIVVLGFSSATIIEEDIREEFSYKVKHGKEVYGSNVNRRHKIEIGTILRFVVKSFDEEILHISGSLLPNHTGSVSWLDKPAEEAHTESNTKKRREVEMQNDKVSEDSFADKHRVKKSKEKQQSSNGM >cds-PLY69371.1 pep primary_assembly:Lsat_Salinas_v7:4:114672119:114672403:1 gene:gene-LSAT_4X72540 transcript:rna-gnl|WGS:NBSK|LSAT_4X72540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNHRSCPSLVRSVEAQSDEDEGRHHFFQRGEGNNVGCKTKDYDGVSRGWFTYIRLKGFHVGGWWWQRRWGDEGGGGSTDDSGVGDALLQRKRN >cds-PLY90747.1 pep primary_assembly:Lsat_Salinas_v7:3:35690272:35691715:1 gene:gene-LSAT_3X27101 transcript:rna-gnl|WGS:NBSK|LSAT_3X27101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMREGCVFLSLVVLLTLCGVKVAAVGLPSESAPLIRHYYKVHNTCANVEPFVRQQVKAFMEKDKTIAPKVVKLLYADCMVNGCDASILLDGPNTEKTSPKNRGLAAFAFIDIVKKVIEQRCPRAVSCADILNIVARDAIYFSGGPSYPVFLGRRDGLKSDAAWVDLPSPSISWESALAYFTSKGLNVQDMATLLGGHMMGRTRCSSILDRLYDFNKTGKADPTMEPTTLSYLQKQCPKKVKLGQPNPLINLNPENPTHKFTNSYYKRALANKAVLGVDQQLRYGGDTYELTDQYANSLADFKGEFAFSMSRMGGLKVLTGSSGQIRKDCRVVNK >cds-PLY93737.1 pep primary_assembly:Lsat_Salinas_v7:6:142298324:142300545:1 gene:gene-LSAT_6X84920 transcript:rna-gnl|WGS:NBSK|LSAT_6X84920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:Projected from Arabidopsis thaliana (AT4G11240) UniProtKB/TrEMBL;Acc:A0A178V1Q1] MEDAILDEIIRKLLDAKGGRVPKQVQITEAEIRQLCVAAKEVFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGYPPESNYLFLGDYVDRGKQSIETICLLLAYKIKYKENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTDCFNCLPVSALIDEKILCMHGGLSPDLKNLDNIRNIARPVDVPDQGLLCDLLWADPDRDVEGWGENDRGVSYTFGADKVAEYLEKHDLDLICRAHQVVEDGYEFFASRQLVTIFSAPNYCGEFDNAGAMMSVDDTLTCSFQILKASEKKGRFDFGNNMLRPGTPPHKGGKR >cds-PLY67541.1 pep primary_assembly:Lsat_Salinas_v7:3:54168091:54172176:-1 gene:gene-LSAT_3X41820 transcript:rna-gnl|WGS:NBSK|LSAT_3X41820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRFSCFHSPTHAQKPKKTVQLPTEAMQKTLEDYHVKQPKKDPVSLKESPEGKNATSSPSSMVQDWKSEGLKSTNDNYSEDGHQIKTMRKSVSLGTGLAHYQDMPPGDESSSSHNNHSDSGGQNDEKEEYHNAQSSISPQISSNLVNHTSELSPENSEIRFSGEGTAGSGSQTPPCTTPHAIVKSNSLPYLHSDHSNVVHQSRSSEDLKAFDSRPDNSDNNSGEISPENDSEGHDDKIDGDDGYDYVGSAKDWIVPAVDELGKGKSVQEDYSDCRWEELPNEDFKIKRIEKWVLDLQHCSSLEETNVVSDIIDQHGQNGKSVVLDPMAASKNDAKALLGMDAAKRYISSLGASATSAQLSNHGLVVIPFLSAFTSLRALNLSGNSIVRITSGALPRGLHILNISKNSISTIEGLRELTRLRVLDLSYNRILRIGHGLASCSSLKELYLAGNKISEVEGLHRLLKLNVLDLRFNKLSTTKSLGQLAANYNSLQAISLEGNPAQKNIGDEQLKKFLLGLLPHLAYFNRQSIKSGTMKDSADRAARLGISAHQIERGVRAESKKSTHGRKSQPAVGRGRHGRLPPSGVKVTGDRQQQFHDASTSLLSFMPDLAMRRAHSEGLLRAV >cds-PLY73155.1 pep primary_assembly:Lsat_Salinas_v7:2:191536004:191536186:1 gene:gene-LSAT_2X112421 transcript:rna-gnl|WGS:NBSK|LSAT_2X112421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVTGLVVVVVVVMIVLVAVAVEVVAVMVVVVVAKMVVVVGGGSGGDGGDSCGDGGGG >cds-PLY71172.1 pep primary_assembly:Lsat_Salinas_v7:2:132788588:132789067:1 gene:gene-LSAT_2X63001 transcript:rna-gnl|WGS:NBSK|LSAT_2X63001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRLISDLRVIFSLGNARIDQRRASLGISDSQGTPMPGEALKDFYKRTNSYWQMAAYEHTAHTGKEHRKDGFDLAETRFKELKPILVHKLLNG >cds-PLY72263.1 pep primary_assembly:Lsat_Salinas_v7:7:88224504:88225277:1 gene:gene-LSAT_7X60421 transcript:rna-gnl|WGS:NBSK|LSAT_7X60421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKGDGNVRIWKDYTLRGKQKLVTTFSSIHGHKPGVRSVGVVVDWQQQSDFMFASGEISLTLVWDLDKEQIVSSIPLALDCNILALVASQVHGGQYAAGFVDRYIVSASQAGDIQFLDIRNQSDAYLTIDAHRGS >cds-PLY68095.1 pep primary_assembly:Lsat_Salinas_v7:8:34465621:34468084:-1 gene:gene-LSAT_8X27581 transcript:rna-gnl|WGS:NBSK|LSAT_8X27581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEGLIDSGRVTAAEKVFDEMPQRDVVSWNSMMSGYYRNGFPEKTIDGFISMVRCSTTLPDAYSFSCVMKACASLENLNLAFQLHSFSEKFKFLGDDSVDSSIVDMYIKCGTPDIAEHVFLKMPNPNLFSWNSMIYGYSQLYDAQRALELFDQMPKRDLVSWNMIISILSKHGHVTKTLGMFIEMCIQGFKPNSKTYASVLSACTSAHELKWGIHLHGRIVRMQQHIDAYIGTGLIDMYAKCGHFKKAHKIFNNLKEHNIVSWTSMIGGAIHSGNEVEAISLFKQMKEIPITSDQFTLATVLGACCGLKDIHLGTQIHGYSIRIGMESLIPVANALVTMYAKCGDICNANHAFKLMTFKDIISWTTMITIFYNIGNIEKAREYFDQMPERNLVSWNSMLKGYVQNGIWEEGFKVFVLMRQKGVKPDCITFITSISACANAAILKLGNQVVSQSVKFGFGNDVSVKNSIITMYSKCGQIQDAKKTFDSMVSKNLISWNAMMDGYAQSGKGNLVIDTFEKMIWSGILPDHISYVSVLSGCSHSGLQPEGQRYFNMLLKDERISPTCEHYACMVDLLCRAGFIEKAKDLIDKMPIEPNAAVWGALLGGCRIHGNATMAETALKNLVVLDAEDSGSYVLLANLYSDSGELDSVSDVRRVMKEKGIRKNPGCSWIEVDNRVHVFTVDDTNHPRINDVYKKLEEIIKKVEDVGMYSSNKAKAYHSEKLAMAFGLMSLPAWMPIHIMKNLRICDDCHSVMKLVSLVTSRELVIRDANRFHHFRDGFCSCRDYW >cds-PLY81027.1 pep primary_assembly:Lsat_Salinas_v7:9:176386046:176389229:1 gene:gene-LSAT_9X108561 transcript:rna-gnl|WGS:NBSK|LSAT_9X108561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLVLFWAEIYHQARSLPADKLRPAYFIVNGVIYFLQVVELYFLYPSEGIHRRWDGEYRITSTTATWDQAAFVLSVPRRKPVDETHADETHETLCTSVFPNTHNIARLFQGQLVFFVGMCLNFDATKFEVLFLVCNLYVLLILVDKDLVVCFMYFVHQVKLMEERNMKPLDSNLAALSARCNKDLELNLAKSFLSEMGQCPTDYPYNQLLGALILKNYERQDATLLSGNLMYRVD >cds-PLY77713.1 pep primary_assembly:Lsat_Salinas_v7:9:19908915:19910912:-1 gene:gene-LSAT_9X18401 transcript:rna-gnl|WGS:NBSK|LSAT_9X18401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCDSEVVSQQISLFRSLIQTRRFDDGTLRILESVLISRDVKLMLDVRRSLRDFMRHESLCVLSEFKEKSVDHNLLIIEFFVHAFAFIGDLEAGPLNIICLSLRYEALILRELKSATDHQLHVSYGEWLTFAEHAFENRFYAISRKACEKALACFQTNDVLKPKETDALSDIEAIRKVKRLKDLAMIRVASQSVQAQGVKYLKRKTAECDNISMPVIKEKRHSASILFRNGIKKHNTRKLQQLRRL >cds-PLY71744.1 pep primary_assembly:Lsat_Salinas_v7:3:47025788:47032011:1 gene:gene-LSAT_3X36561 transcript:rna-gnl|WGS:NBSK|LSAT_3X36561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRLGLMGFLLLLNSVPSRSAVSSIDLGSEWIKVAVVNLKPGQAPISIAINEMSKRKSPALVAFHANDRLIGEEAAGIVARYPDKVYSNVRDLIGKPFSHVKKILDSLYLPFNVVEDSRGGAGIKIDDGVTVYSAEELTAMILGYALKLAEFHSKVPVKDAVITVPPYFGQAERKGLLQAAQLAGLNALSLVNEHSGAALQYGIDKDFANGSRHVIFYDMGSSSTYAALVYFSAYNTKEYGKTVSVNQFQVKDVKWDPELGGQNLELRLVEHFADEFNKQVGNGVDVRKSPKAMAKLKKQVKRTKEILSANSAAPFSVESLLDDRDFRSTITRQKFEEMCEDLWERSIIPVKELLKHSGLKVDEIYAFELIGGGTRVPKLQAKLQEFLGKSDVDRHLDADEATVLGASLHAANISDGIKLNRKLGMVDGSMYGFMMDLEGPQLVKDETTTQLLVPRLKKLPIKMFRSITHNKDFDVSLLYEDEHLLPPGVTSRTFAKYSVSGLTDASEKYMSRNLSSPVKASLHFSLSRSGIFSLDRADAVIEISEWVEVPKKNVSAPLNLTVEADSTKETDASDDTSTHSVNTTANDQNTLDLGTEKKLKKRTFRIPLKISEKTVGSGVPLSDEALAEGIKRLNALDAKDAERRRTAETKNDLEAYIYATREKLDYLDELQTVSSSEQRQSFIEKLDEVQDWLYMDGEDASATQFEERLDQLKDIGDPIFFRYKELTARPEASKSARQYLTELQEIVSGWESKKPWIPKEKIDQVLREAENLNKWLNDKEAEQKKISASATPAYTSQEVMSKLFDLQDKVASTNRIPKPKPKVEKVSVKNETETPTPTEKEETKGSDESSSESKKEETDRESTHDEL >cds-PLY90455.1 pep primary_assembly:Lsat_Salinas_v7:9:91744493:91746993:1 gene:gene-LSAT_9X70501 transcript:rna-gnl|WGS:NBSK|LSAT_9X70501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNSARNFGFREVAIATKNFRRECLLGESAFGKVYKGTLQGSGQIVAVKQLDRHGTKANKEFLVEVTKLSHLQHPNLVQLIGYCADGDQRILVYEYMQSGGLKYHLHEVPPEGKPLDWITRMKIASGSAQAMEYLHEKANPPILYRNFKSSNIMLDENFNPKLTDYGLVKLELDSGNTMQQRMVATVGCAPEYEQNGELTPKSDVYSFGIVLLELITGRKALDTNLPIDEQNVVNWAQPYFREPKRFPEMADPQLQGAFPERSLNQAVGVAAMCVQEEPSVRPLISDVVAALSFLTVAPPSPKTHLAPASTNNPHEQKRSSSSSSSREAEQEHQEASSQNDGTEEEISYTDSDEEYEENPIGNNNNKVEYDDDDGSWSSESLYNEDDYGEDESQQEETSYRTKSKPKSIKRKVTFQTESINGSRNSSKRSSKSFKTHSNNSLRKKSVNRKSSRNKRVDENLSKKSHDAFDDDQSSSVTNNSNNKSNSRSNNKSSNMHSSSRRSKSKKLQIVESSDSSFSSSSDESSRRIVTFN >cds-PLY82870.1 pep primary_assembly:Lsat_Salinas_v7:4:17540003:17543114:1 gene:gene-LSAT_4X12421 transcript:rna-gnl|WGS:NBSK|LSAT_4X12421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRQLLKLSRRSHPTLAATTTTRYAPFATAVSIASDTRAPAPPHPNQMIYDRVAEQVKSKLKRLENPDPRFLKHNSPFPVLADHTSILTYPETRVTTLPNGLRVATESNLASKTATVGVWIDAGSRFETEETNGVAHFLEHMIFKGTAKRSVRDLEEEIENMGGHLNAYTSREQTTYYAKVMGGDVPKALDILSDILQNSTFDEKLINRERGVILREMEEVGLQTEEVIFDHLHATAFQYTPLGRTILGPSENIEKITKKDIEDYISTHYAAHRMVISASGAVKHEDVVDQVKKMFTKLSANPMTTTQLVEKEPAIFTGSEIRMRDDDMPLAQFAIAFNGASWTDPDSVALMVMQAMLGSWNKSAGGGKHMGSQLSQMVAISELAESMMAFNTNYKDTGLFGVYAVAKADCLDDLSFAIMQEMTKLCYRVGDDDVTRARNQLKSSLLLHVDGTSPTAEDIGRQLITYGRRIPFAELFARIDAVDAATIKRVANRFIFDQDMAIAASGPVKLLPDYNWFRRRTYMLRY >cds-PLY79768.1 pep primary_assembly:Lsat_Salinas_v7:1:179569662:179571899:-1 gene:gene-LSAT_1X117620 transcript:rna-gnl|WGS:NBSK|LSAT_1X117620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLLLLNEAESVGSETIDINIVGSSKTKKQTKKTSRSKHGKKNVESGKSDVNKDKEETIPSDITSVGRKKRKGSARNTPIKDNKKSSEASGERKKPRSLNELLMESMSGSKKDNEKAKIGVDMEDKGNVVKRKKAVNDAHSEKSPNRKKGKIEHENVKIKTMEGKVRKVSKDHPEGYRRLATRMTPGRINATMKVMSPAKKNGIVSMGFGSLLNIDMDTTPGLLNYYLLDHYYPDNSHLVLENMVITITKDTVHDMLGLPIVGEDFLRMSSCEKDNEVLQEWKSQYDKKGFNGEEYLKTIKNTKQDNLMFRLNFLTLFINTFAKSTLSGTDQINVMNKLVLVKDFSKIDWCKKLPENFEDIDDDDEMVDEDEMLDGLMREYGDEEAGVAVIEHSYGIILPEKKNIEKALKNGIEKFPDSLMLKEWHKKIKNLLSNEIKKQISTQPLFKTPPLFKTLSPTPSLIAKHQPIAIEDAFLWI >cds-PLY87881.1 pep primary_assembly:Lsat_Salinas_v7:3:44141851:44142342:1 gene:gene-LSAT_0X8920 transcript:rna-gnl|WGS:NBSK|LSAT_0X8920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRVGILTAHQGLINTNITIAIDNLPYQITIVEDIFESSRLSPVMARNDFDFHPSTQWNINGDDFGSNGDDVDDFGEYEVDTEGDIDDIPLLEASMELQAYLVEGESTPETVVENLFHTLSNNIINGKTKSGSQSSPDSRHLSPTQLQEKKPTQTSPIQPI >cds-PLY98265.1 pep primary_assembly:Lsat_Salinas_v7:7:169431138:169433119:-1 gene:gene-LSAT_7X100741 transcript:rna-gnl|WGS:NBSK|LSAT_7X100741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSFQSFATFTSITTRTLPNSTSDRRYNSYPKQIHHLQISCNVAPDDKEKLVVVPETQKLILPKSSLDTLNVDRRNMLLGLGGLYTTVNFTSPAAFAAPITTPNFSTCVTSNLGFQDPNKAVRSRACCPPAPATSTAPKDFVFPKDQVIRIRPAAHRTTTEYVAKYKAAIQAMRDLPDEHPHSFVAQAKIHCAYCNGGYTQIASGFPDKELQIHNSWLFFPFHRWYLYFYERILGKLIDDPTFALPYWNWDHPNGMTFPAFLEDDSAFDAYRNRKHLPPALVDLNYSGSDRHATCIRQITSNMTLMYKQMISNAGDTTSFFGSEYRAGNDAYRNGDPSVGSIEAGCHTAVHRWMGDPGMPNNEDMGNFYSAGYDPAFYIHHANVDRMWKLWKDMGIKGHSEPTHLDWRNASYVFYDENEQLVRVYNKDCVSLEKLKYDYEYSPPLWKISRSSIRRTLPEPIPYNMKSAETVKQLPDVKFPLKLDKITKVVVKRPAKSRSQEDKEKANELLLIKGIKFNSDKFIKFDVFVNGQDDVSESFEEESEFAGSFAQLPHNHGDDMLMKSGIRFGLTELLEEMEAEDDEFILVTLVPKVWFEEVTIDEIKVELVPII >cds-PLY78033.1 pep primary_assembly:Lsat_Salinas_v7:9:44077803:44078556:1 gene:gene-LSAT_9X40181 transcript:rna-gnl|WGS:NBSK|LSAT_9X40181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKVYPKSVVPLPPLFPSDRPRLVTQSSTVLTVWKKSLLFSCDGFTVFDTTGNLVFRVDNYANANKAEIVLMDASGHSLHTIRRKRLTLTDSWLIYDGETTVNPRFSVTKHVNFLNSKSLAHVSFTGSGSGSGSPRINGNKKNVAYEIEGSYTQRSCVVYDDKRRRVAEIKRKEAVAGVAFGGDVFRLVVQPEIDSSVAMALVVLLDQMFGGSSSSRRFAT >cds-PLY88504.1 pep primary_assembly:Lsat_Salinas_v7:2:154777141:154778915:1 gene:gene-LSAT_2X79701 transcript:rna-gnl|WGS:NBSK|LSAT_2X79701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGKIGRGLMVPMLAANFVVYIIVIGLAAWSLDKYIDGQQNHPHLGGNTSTTYMLEFGLLGGATGMCSVVIGFMHHRAWRSASLASASSSAFLAWAITSIAFGFAWKEIKIGGRRGKRLQTLELFITISTFSQMLYLMLLHAGLFNRRYGPDYLSHRDDTIIHRAPTQSHPSTSTLD >cds-PLY94972.1 pep primary_assembly:Lsat_Salinas_v7:4:111636089:111638104:-1 gene:gene-LSAT_4X70001 transcript:rna-gnl|WGS:NBSK|LSAT_4X70001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKKRDRASIDTAVVDICRREVGRLSTRKFVNRLAASEDLVLRLDLFRKLEKHRGCVNTVSFNEDGDILVSGSDDRRVILWDWQTGNVKLSFHSGHNNNILQANIMPGTDDRSIVTCAADGQVRHAMIPEHGEIEPKLLGKHQGRAHKLANEPGSPHIFYTCGEDGLVQHFDLRSGDATELFTCQPIPDRSFVGVVNLNTIAIDPRNPNLFAIAGSDEYTRLYDIRKYKWDGSTPFGKPTDYFCPWPLINDENLGITGIAYSDQSELLVSYCDDFIYLFSKDMGLGGDINVILDSSNSSDSEMESDGKDGPRVFKGHRNCVTVKGVGFFGPKCEYVVSGSDCGRMFIWRKSDMVLVRVLEADKQVVNCIESHPYISMLASSGIERDIKIWTPTAVEKANFPTNVEKVRLLALNCITLFVCRINWDSN >cds-PLY72207.1 pep primary_assembly:Lsat_Salinas_v7:7:56015775:56017971:-1 gene:gene-LSAT_7X40200 transcript:rna-gnl|WGS:NBSK|LSAT_7X40200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCVVAVARQRGIDVVLNDESQRETPALVCFGDKQRFLGTAGVATSMMNPKNTISQIKRLIGRPFSDPELQQDLKALPFSVTEGLDGFPLINARYLGETKSFTPTQVMGMVFSNMKTIAEKNLNAAVVDCCIGVPIYFTDLQRRAVMDAATIAGLHPLRLMHETTATALAYGIYKTDLPENEQLNVAFIDIGHASMQVCSEAQCPLVQYITRELLTCLIMEHVMRFASPEYAPVRSDSVVGELKLSEPTDVILLGTFVQKRTNPLSHYNHNVLAHISCDLITLGIPKIEKLEIEGKAFHTNLYAVRCNYSGGKEVFGNGTVDVVVVVDIVVVPQSTKSKP >cds-PLY78049.1 pep primary_assembly:Lsat_Salinas_v7:4:183608753:183611199:-1 gene:gene-LSAT_4X107300 transcript:rna-gnl|WGS:NBSK|LSAT_4X107300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLWSTVVIGLLPLVGWLFWWWNDVWYGLVMATLRSSKGDTKLPPGNMGLPILGDTISFLWYFKFLRRPDDYINSKRHKYGDGIGMYKTHLFGKPSVITFLPVTNKLVLRDTESFKYGWAMIELSGKTSLAGVHGKAHLRLRSFVSRSINQPDALRQIALAMQPRMISALQSWTKCRKITFYDEMKKVSFENIGMYFASIKPGATLDRLKKYLAGVVSGFRAYPLNIPGFTFYHALQCRRKTQEFFREELDKRRKNNGENDQPIQDLMDGLMNIKDEDGNHLSDTEVLDNITGILLAGFESTVVVTTWALYYLAKYPNVLQKLREENLSLKSNKSELLVTSDEILKLEYTMKVVDETIRLANIAGFVVRVATKDFEYQGYTIPKGWNVIVWLRNVHTDPKNFDHPLCFDPDRWNGSMLPENFQAFGAGPRICVGNMLARLQIAMFLHHLSTGYKWQLVNPDAKVKYLPHSKLEDGLEITIEKL >cds-PLY88074.1 pep primary_assembly:Lsat_Salinas_v7:6:181118935:181119722:-1 gene:gene-LSAT_6X109441 transcript:rna-gnl|WGS:NBSK|LSAT_6X109441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDQTEEQTTTVAAGEDSDITVKQAKPSVDETVKKLEKMSFSIWPPTQRTRDAVIKRLIETLSDKSVLSDRYGIVPADEAADVASRIEEDAFNAASASPVAANDDGIEILQCYSKEISKRMLDTVKSRSASSAASLTPDEKDGATTDSSAQDGESSTVEA >cds-PLY73035.1 pep primary_assembly:Lsat_Salinas_v7:9:36912224:36914256:1 gene:gene-LSAT_9X34760 transcript:rna-gnl|WGS:NBSK|LSAT_9X34760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVTVGIDLGTTYSCVAVWRHNRVEIIPNEQGNRTTPSCVAFTDSERFIGDAAKNQIAMNPVNTIFDAKRFIGRRFSDDMVQADKSLYPFKITGGLNDKPVILVTYKGEEKKFSAEEISSMVLSKMKETSEAYLGVTVKNAVITVPAYFNDCQRQATKDAGTVAGLKILRMINEPTAAAIAYGLENKAGITDETNALIFDLGGGTFDVALVNIGEGIFEVKATAGDSRLGGEDFDNAMVTHFVGEFKRKHKKDISQNPRALGRLRVACERAKRILSSATQTSVDIDCLYEGEDFSSLITRAKFEEINMDFFKKCMTTVEACLSDANMDKNSVNEVVLVGGSTRIPKIQEMLQEFFNGIELCKSINADEAIAYGAAILGAKLSGDGDEMVRDLQLVDVTSLSLGIQTVGEIVTVLIPRNTPMPTKVEKTFSTTSDNQTCVLVQVYQGERAKSKDNCLLGEFELSGIPMAPRCVPRINVCFDIDDNGILSVSAEETASGQSNKIAIINDDGKLSKEEIEKMVKDAERFRAEDQEHRKKVDAYNALERYAYCMKNKIRDSSVVSRMRREDLKKMEAAIEQAILWLDANEDGEVAVLMEKKKALERVCDPFIVELYE >cds-PLY95799.1 pep primary_assembly:Lsat_Salinas_v7:7:92978719:92978892:-1 gene:gene-LSAT_7X64260 transcript:rna-gnl|WGS:NBSK|LSAT_7X64260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTCKHIHSVHVLKNYVLSNFCLKGTVLLRLEQMPRKLTKPMRYSIYPFAAVSFILC >cds-PLY63611.1 pep primary_assembly:Lsat_Salinas_v7:4:132359152:132360806:-1 gene:gene-LSAT_4X82321 transcript:rna-gnl|WGS:NBSK|LSAT_4X82321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARINMVHLTLAFGLLGNIVSFMVFLAPIPTFYKIYKKKSTEGYQSAPYVVGLFSAMLWIYYAFLKTNVMLLITINSVGCIIETLYICFFLFYAPKKARMESLKLIILLVIVGFGLIVFSTQFLVSGVNRGVIVGWICLVFSLCVFVAPLGVLREVIKTKSVEYMPILLSVALTVSAVMWFFYGLLLGDFNIAIPNVLGFTFGIIQMTLYFAYKNKTLITNEKVYDFEEKTYPISEMVEQEIKDHKTIDVVKRSAVMCSDILPVVAKLKEKENDVGHVAVEPHAHTSVPNHIIEVAV >cds-PLY68448.1 pep primary_assembly:Lsat_Salinas_v7:2:213393710:213395152:1 gene:gene-LSAT_2X133700 transcript:rna-gnl|WGS:NBSK|LSAT_2X133700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSWPYDCILIQLFIVLAISPLAMIMSVAKINEKINACFSLKLVNAKGIVAEDSPFCSPRGRRNGTSTPFFSLIFSLFPGVQAAPPATIRFLDELLHRRRTFLHYNHRNTTTVSSVSDELLHQRRDSSIFQLLYNHRSTATVLSVFDDDHHLSSTTISSTTTGGMMSLLVKLKTEDSVKRGVIDGVDIKSRRHLNVRGKSATLPSIIEKDWDDIKFGVSNQVDFYAVSFVKDAEVIHELKNYLKNSIPNLHSIITASDGGKLKFTEKRTHCLLYFGE >cds-PLY72984.1 pep primary_assembly:Lsat_Salinas_v7:8:64551643:64555553:1 gene:gene-LSAT_8X46261 transcript:rna-gnl|WGS:NBSK|LSAT_8X46261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADGLSAIPAAVLRNLSDKLYEKRKNGALELEGIVKQLTVAGDHDRINALINLLTHEFTFSNQTSHRKGGLIGLAAITVGLSAEAAQHLEQIVPPVINSFSDQDSRVRYYACEALYNIAKVVRGDFIVFFNKIFDALCKLSADSDLNVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRASSPDEFTRWTAITWINEFVKLGGDQLVPYYADILGAILPCIADKEERIRVVARETNEELLAIKAHPSEGFDVGAILSIVKRQLSSEYEATRIESLHWISTLLNRHRTEVLSFLNDIFDTLLKALSDPSDQVVLLVLEVHACIAKDQYNFRHLVVFLVQNFRKNGLLLEKRGALIIRRLCVLLEAERVYRELSAILEGESDLNFASTMVQALNLILLTSSELSDLRNLLKQSLVNAAGKDFFLSLYASWTHSSMAIISLCLLAQAYQHASCVIQSLTEEDINVRFLVQLDKLINLLETPIFAYLRLQLLEPGKYVWLLKALNGLLMLLPQQSAAFKILNTRLKTVPSIHFSEDGNMNDDSISISPNGINFASWLQRFQRLQQQHRLHCKSLNTSTSSKVKEVKKTEEFRGPPVPELTRPPSRLSRKAPGQLQL >cds-PLY93826.1 pep primary_assembly:Lsat_Salinas_v7:6:143254489:143257771:-1 gene:gene-LSAT_6X86341 transcript:rna-gnl|WGS:NBSK|LSAT_6X86341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVESEVYSSRIFSHFREEIGEEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEPPTGHEEDGEYEFDNSSCGSDVGEKDNFFSGAMEFSKASKPRVRYTRPWGQGQGQGQSQSTKHFNRIGSKEVQSNTNPSYTKVNFAKLGFDTLWRYYSTFNLGNIHSNPTKEQLVIAIQKHFASQRVSEVEVIMEFVHAAKRQKKGRTHRETS >cds-PLY69744.1 pep primary_assembly:Lsat_Salinas_v7:5:232369691:232375162:-1 gene:gene-LSAT_5X116940 transcript:rna-gnl|WGS:NBSK|LSAT_5X116940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 4 [Source:Projected from Arabidopsis thaliana (AT1G49960) UniProtKB/Swiss-Prot;Acc:P93039] MTVAKDDKFVPHPVKDQFPGVEFCINSNPPWAEAAVLAFQHYLVMLGTIVLISSIIVPPMGGGPVEKAYMIQTMLFVAGMNTLLQTWFGTRLPVVIGGSFRFVVPMLYIALSHRYSFYFEPAIRFRHTMRAMQGALMISSLLPIILGFLGIWRIVVRFLSPLSAVPLVTLVGLGLYAQGFPLLAECVEIGLPQLILLVLFSQYVPYWYKSKWLDRFAVLISVAIVWAYASLLTAAGAYKTRPPNTQFSCRVDRSGLVSAASWIKFPYPLQWGRPTIHAGDVFVMLAAAFVSLIESTGTFIAAARYGSATHVPSSVLSRGAAWLGIGILMDGLWGTGTGSTASVENVGLLAVTKVGSRRVVQMSAGKFGAIVASIPLPIIGALYCVLFGYMSSAGLGLLQYCNLNSYRTKFILGFSLFMGLSVPQYFNDYVITTGAGPVRSRSTWFNEAMLVIFTSPATVAAIVGMFLDRTLGYNHKDVRTDGGRHWWGKFKYFERDVRSAEFYSLPYGLSKYFPSV >cds-PLY81422.1 pep primary_assembly:Lsat_Salinas_v7:3:217838582:217842806:-1 gene:gene-LSAT_3X126721 transcript:rna-gnl|WGS:NBSK|LSAT_3X126721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVSLLQFSRISRRFSLFPFLRPPRSSSISLRRSCKPRLCFSFFRADSPRHFSVTASSSPDWKNHDTTGDETYDVIVVGGGHAGCEAALASARLGAKTLLLTINIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKMADRCYLQKRVLNISRGPAVRALRAQTDKREYAIEMKKIVESTPNLSIREAMVTDLLMGTNDDVKGVKTFFGMNFYSKSVVLTTGTFMSGKVWVGRTSMAAGRAGESASHGLTEHLQSLGFETDRLKTGTPARVDSRTVDFSVLEPQHGDEEVNWFSFDTDYHIEREQMCCYLTRTTEVTHQLIRDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKDSHQIFLEPEGRSVPDLYVQGFSTGLPERLQLPLLRTLPGLEKCSMLRPAYAVEYDYLPAHQCARSLMTKKIQGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEYRLILRSDNADSRLTPLGREIGLIDDKRWTSYQGKQARILEEKKRLKTVRVTSEELAAEVSCLSGQPVKDHSTLEGLLKKPHIEYKVLEKHGFGNNELSRIEKECIEIDIKYEGLIARQHSQLQQMVHRQHKPLPADLDYNSMNTLSHEAREKLSKVRPQTIGQASRVGGVSPADITALLIIMESNRRKAQELKKHQILASVISGDST >cds-PLY67802.1 pep primary_assembly:Lsat_Salinas_v7:9:163922366:163923148:1 gene:gene-LSAT_0X6420 transcript:rna-gnl|WGS:NBSK|LSAT_0X6420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCPRISQILNLAYLNLVPSLNLNPFSIATLFYEPSTRTRLSFESAMKRLGGKVLTTENAREFSSAAKGETLEDSIRTVEGYSDIIVIRHFESGAARRVVMTAIPFINVGDGPVCSLAYLLAKYNDVKIYFVSPEVVKMKEDIKEYLTLKGVEWEESANLKEVASKCEWDVG >cds-PLY83137.1 pep primary_assembly:Lsat_Salinas_v7:3:95297538:95299100:1 gene:gene-LSAT_3X71141 transcript:rna-gnl|WGS:NBSK|LSAT_3X71141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRRGNNGGQRPSGNREWNQHTRFNNMHRQRGFRRGFVNRSTSPHVSNSFMPPQMHVPIPPFGNNFMYPDLASYVQGSTPPSLITPMQSALSFPVQDQDLHEKIVKQIDYYFSDENLVKDLYLRQKMDEQGWVHVNLIASFKKVLCLTDNVKLILDVMRASRCVEVRGEKMRKRNDWMRWIIPSSINVESFYGNSVSSLAAMIFRGQPWLK >cds-PLY71210.1 pep primary_assembly:Lsat_Salinas_v7:3:120436242:120439999:1 gene:gene-LSAT_3X85361 transcript:rna-gnl|WGS:NBSK|LSAT_3X85361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGRPGYLDDRPRGRYGGRSSGGYQGGPSGIKNTNQNIYINSEYPTQGPLAQHTTVHSQTLPSTVPSNQMDASSSLGSKVVKSTTMDKLHVWKADLTKTLEITETEIDYLVHELKSLVSPEEVNGAEDSDVGNDDA >cds-PLY62380.1 pep primary_assembly:Lsat_Salinas_v7:8:113302618:113304822:-1 gene:gene-LSAT_8X77140 transcript:rna-gnl|WGS:NBSK|LSAT_8X77140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:1-(5-phosphoribosyl)-5-[(5-phosphoribosylamino)methylideneamino] imidazole-4-carboxamide isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G36230) UniProtKB/Swiss-Prot;Acc:O82782] MRLQSLHYSSTWLQLNSSDRVLKSSIYTSPRKQKFVAASSSSSKPQQLSIKCNVKFRPCIDIHKGKVKQIVGSTLSDLKESDSSLVTNFESDKSAAEYATLYKEDGLQGGHVIMLGADPLSNKSAIEALHAYPGGLQVGGGINSGNALSYIEEGASHIIITSYVFNNGEMDLERLKGLVDIVGKERLVLDLSCRKKDGRYAIVTDRWQKFSDVFLDEMTLNFLSAYADEFLVHGVDVEGKKLGIDEELVTLLGKYSPIPVTYAGGVTVMEDLERIKVAGMGRVDVTVGSALDIFGGDLGYKDVVAWHSQQEALTV >cds-PLY89977.1 pep primary_assembly:Lsat_Salinas_v7:8:209113809:209114012:-1 gene:gene-LSAT_8X131640 transcript:rna-gnl|WGS:NBSK|LSAT_8X131640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCFCFLVDQTRQVRRCKPAAGLCSRCGGGASVADMKTATRFCYVPFYWKSWKAIMCTFCGAILKTY >cds-PLY71276.1 pep primary_assembly:Lsat_Salinas_v7:5:96108047:96110619:1 gene:gene-LSAT_5X43580 transcript:rna-gnl|WGS:NBSK|LSAT_5X43580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:HCC2 [Source:Projected from Arabidopsis thaliana (AT4G39740) UniProtKB/TrEMBL;Acc:A0A178V000] MPVLRVSLFSHGKKFAESLFSSTRCVTPMKFRSSSYMRSANMSYKSGPLLTQEQSTSPIWRSYLIPTSVLGGIGGALLFWHMNDEKRAILKGQGSNEGCSTGKGPVIGGPFSLIDSDGRLVTEKDLLGEWILLYFGYTSSPDVGPAELSKLAKAVDTLGSKRNINIRPVFVTIDPQRDTPSQLHAYLKEFDGRIKGLTGPVGSIRQMAHEYRVYFKKIEEDGDDYLVESSHNMYLMNPNMEIVRSFGLEYNAEQLSEDIYKEFQKIET >cds-PLY82887.1 pep primary_assembly:Lsat_Salinas_v7:4:18139045:18140705:-1 gene:gene-LSAT_4X12661 transcript:rna-gnl|WGS:NBSK|LSAT_4X12661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTSEHGYDRLSEVKKFDESKIGVKGLVDSGVTTIPSFFHQPPENLPGPKPKNRPKLTVPVIDLSAERSTVVEEIRRSASTLGFFQIVNHSIPTTLIDSVLNGLKSFFEQPTEYKMQFYHREAGKGAAYSTNFDLYQSKAASWRDTLQVRMAPVEPDWDAVPEMCREALKEWDKAAVGLAEELMSILCQGLGVKSDRLKELSFLEARVDASHYYPRCPQPDLTVGLTAHTDPGALTVLVQNEVGGLLQVKCGDDWADVEAVPGAVVINIGDLLQMMSNDEYKSVEHRVLANPVEGARVSVAVFFNPSNREGTYGPFPEVISAEKPAVYKEFTYADYIGRFFRKELDGKTLTNFYRIDNKTG >cds-PLY86536.1 pep primary_assembly:Lsat_Salinas_v7:2:208645041:208645878:-1 gene:gene-LSAT_2X128840 transcript:rna-gnl|WGS:NBSK|LSAT_2X128840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METKTLILIALFCLAGTTAFAAVKPTSNYIKSSCATVTYPALCEQSLTPFAKTIKRSPSQLARTALAVSFKQSQSTQAYLNKLKRFKGLKPRERSAIGDCLEEVSDSLDRVSKSINELKTCDRVKGQEFTWHMSNVQTWVSSALTDENTCMDGFGGRAMEGRIKTSVRAQITSVAHVTSNALALVNSFAQKKH >cds-PLY95930.1 pep primary_assembly:Lsat_Salinas_v7:9:40952037:40953279:-1 gene:gene-LSAT_9X36761 transcript:rna-gnl|WGS:NBSK|LSAT_9X36761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGNDTPGIGIDLGTTYSCVAVWKHGHIQIIPNDQGNRTTPSCVAFVNGECLIGDGAKNQVAMNPAANTIFDAKRLIGRRFSDSKVQDDIKLWPFRVIQGIADTPKIVVSYKGTKDAGAIAGLNVMRIINEPTAAAIAYGLDNKSDITSKINVLVFDLGGGTFDVSLLTIAEGGSIREVKAVAGDTHLGGEDFDSRMVDHCAREFKRRWNKDLTGNKRALGRLRCACEKAKRILSCNILSSIEIDGFA >cds-PLY72928.1 pep primary_assembly:Lsat_Salinas_v7:1:91234887:91239556:-1 gene:gene-LSAT_1X73780 transcript:rna-gnl|WGS:NBSK|LSAT_1X73780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSFVLRGTMRAHTDWVTAITTPIDNSDMIETYSRDKLLNHKYDLLMEMRSFKAVKIMLSSYPKTHSLIDYIRASLTFNKKQETAAHWPNFRPLLTSFTRTTSINQSPSFPLPLKRVLDNSIKRKAKMGKGEFLDLTGEKLNGAELVACGIATHXLHIITKLERLGQLMTDDPSVISGSLAQYGLMKANSSGVRRGKESSS >cds-PLY79334.1 pep primary_assembly:Lsat_Salinas_v7:9:54470629:54481247:-1 gene:gene-LSAT_9X49400 transcript:rna-gnl|WGS:NBSK|LSAT_9X49400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIEEETRTIPQVLYETRHHASRPYSPNYPPVHHQLNEATKGGFLRFLSAQGLNEFREKWATSKYPQKLSKWISMFVSPSGEHVAIAVGNEITILQRDDNYQEPCGIFTCSYPITYTFGAWSEDHGVLGIFDNTDTLYFIRANGEEITRITKQHLKVPLPIISFVIHDDKDVKKSCLCTFSILASDGSVHEIEISQDPSASISTTSSSNVGALLQKQFPQNISCCGSHPDNSLFAVVSSAVSITSTSSLSIGPYSITLWQWCRQSGLQQLASAEFEGLYTKTKGYTDQMSCPKVVFSPQGEYIATLDLRGCLVIYKLDEKHLLPVVHYKQKKDLTNILDFTWWADHILVVATRTGNITMIDIQSGVKILEDDSEYALPTLERVTQLSGCIFILESKNFEASDLVLFEPVTLEKYKQFDSAKLQWSLISFAKRSVEELYDIYISSRQFQAALELADRHGLDKDEVLKAQWLCSLQGTSEINTLLSRIKDQDFVLSECLDRVGQTEDAVRTLLSYGLNLTNRCKFSDVEDEEGSPSWDFRLARLKMLQFRDRLETFLGINRFSAQDYIKFRSLPIHEAAIALAESGKLGALNLLFKRHPYSISPSMLEILSAIPETVPVQTYAQLLPGSSPLQVTVLREEDWVECKKMVNYINTLPQSDIQIRTEPIVKQLTGFTWPSRDELSTWYKHRARDMDTLSGQLDNCLSLIDFACRKGIKELEDFHKLISYLHELIYSEDDDDHDMNFSMSLVTWEQLSDYEKFKLMLKGYNEENVIKKLRDRAVPFMEKHHGPSADVADTVDSFLVRWMKEVAMENKIEVCLVVIEEGCYFFRNEAEAVDSALRCLYFFTATDKWSTMGSMLFKLPHLHGSEVDDLKKRLKLAEGHVEAGRLLAFYQVPKPIAFFLEAQSDSKSVKQILRLILSKFIRRQPGRTDNDWANMWRDFQSLQEKAFPFVDLEYMLMEFCRGLLKAGKFSLARNYLKGSGSLVLPTDKAENIVILAAREYFFSASSLSSSEIWKAKECLDILPSSRNVMIESDIIEALTVKLPRLGVHILPLQYRQIKDPMEIIKLAITSQTGAYLNINELIEIAKLLGLNSQDEISSVQEAIAREAAVAGDLQLAFDLCLVMAKKGHGPVWDLCTALARGPALENMDINSRKQLLGFALSHCDKDSIGELLHAWKDLDMQSQCEKISMLTGDHPPVDQVEVTDFSSIKKTLSDVSDYDSDSDSMLRENGKISSFLGSWLPWLLEVSREGDNGKRFVSGSFRVSVGMRAMATVVSWLGRNDFCPKDDLIGSLAELIMEPPVTEEEDVLGCAFLLNLNDAFYGVQIIEEQVKSREDYEEICSMMNLGMIYSLLHNSGAECEGPAERRELLLRKFQEKYSSLSSDERNKIDQAQSSFWREWKLKLEEQKRVADHTRAIEQIVPGIETSRFLSGDINYMESVIFSFVETVKTEKKRILKDVMKLASDYGLDQTKVLLKFLSSTLLSEIWTIDDIKSELSQFETKILLNHPEDIFKTISLSIYPEINGQNKERLSYIYHLLSITFPIIEQKNKLFDTIATDPSHLSINELDNFYTLMEQECSKLSFIKDLNFKNIANLNGPNLGCVTNEVYAHVNEDTVEQLADTMKTLTGIYKDHVPEGLIPWPYVYGYYVSTSLTFLENSAKSNHHFQTPENLRFFINDLELTYDKCKKYIKLIAYPGASVMGIMKKFFKITPSLNDGFDHLSHDSKWKEDLLLLVNFWLRLIDDLKTFVSSNDLEAKFSPDCVLICLESFVELVKDESIPTSNGWAATFSYINFGLVGDVYTEILTFCRSMMFSGCRFKTIACVYHAAISKFPPDTNLSDEIRRHYENIMGLSRLYLRILETILKDLARGSLDHQNLYHVLSSLSELEGDLDELKKVRIVIWERLAEFSDNMEIPSHIRVHMLEVMQFITSSSFSTELQENVVPWDGWGNMGISSSNTPRVTDSSNRFTHTLIALKSSQLLSTISPTLEVSPNDLSTIDSAVSCFLNLSEHAVLRPHVNVLMSVLGEWEGLFGKLSVKEDVKNPPPETPVNDWGNDDWDEGWEVEEDLVERETKKDDNTLTVHALHSCWAEIFKKLISLSQSKDILKIIDDSKPKQNGVLVNEDSACELTQSLLKMDCYLALKVAVLLPYEKLHLECLTVVEDKLKEGNIPTTVLTDYEVFISLLASGLVSTIITKPCYGNIFSYLNYMVGSLSRKLQEADFLVFRRVLLPCYLSELVKGNQVMLAGLVVTKIMHTNASLGLINVAEDSLRKYLENQLQVLSLTGFEFDCEPLVNTVAELSGKLENLIKSAVELLS >cds-PLY90472.1 pep primary_assembly:Lsat_Salinas_v7:9:93437593:93441531:1 gene:gene-LSAT_9X71060 transcript:rna-gnl|WGS:NBSK|LSAT_9X71060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNCLQNLPRSFSFMSLQSFGIQSAIHPCFVNTMTNQHEKRLMNATCLHRSCLVKVTPSSSTSIDMEGAKSEEKSDVYSNNMTEAMGAVLTYRHELGMNYDFITPDLIVGSCLQTPEDVDKLRSIGVKTIYCLQQDSDLEYFSVDIGAIREYASTFNDIQHLRAEIRDFDAFDMRLRLPYVVSKLHKAINRNGGITYIHCTAGLGRAPATALAYMFWVQGYKLNDAVTFLLSKRSCFPKVDAIKSATADILTGLKKKPVTLTWKGDKSSTVEISGLDIGWGQKIPLEYNEKEGSWILHKDLPEGRYEYKYIVDGEWLTNEHELVTPANKDGHVNNYIQVSDNDPKSVSSELWGRLARDDFDLSTNEREIIRQFLDTCPDDV >cds-PLY63672.1 pep primary_assembly:Lsat_Salinas_v7:4:129763391:129764631:-1 gene:gene-LSAT_4X81541 transcript:rna-gnl|WGS:NBSK|LSAT_4X81541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSTSGSSKRVCSEINNSVQAATCVVDGCTADLRNCRKYHQRHKVCEVHSKSPEVSIKTEKLRFCQQCSRFHPLDEFDEGKRSCRKRLDGHNRRRRKPRPYLFPANHHGTGVVQPSGPPVHTAMASMTTSSVWSHLDHAPSLLSSSEASFSHVVHPPSGSFSATISLENDSDAGWGGMIDTTSNYNQDPQEFPFYWRYK >cds-PLY69719.1 pep primary_assembly:Lsat_Salinas_v7:2:162164142:162164702:1 gene:gene-LSAT_2X83900 transcript:rna-gnl|WGS:NBSK|LSAT_2X83900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSADGQFLNLLLKLINAKNTMEIGVYTGYSLLSTALALPEDGKILALDINRENYEIGLPIIEKAGVAHKIDFREGPALPLLDQMVEDAKFHGSFDFIFVDADKDNYLNYHKRLIDLVKIGGVIGYDNTLWNGSLVAPADAPLRKYVRYYRDFVLELNKALAADPRVEICQLPVGDGITLCRRIS >cds-PLY92222.1 pep primary_assembly:Lsat_Salinas_v7:6:74579579:74581867:1 gene:gene-LSAT_6X54400 transcript:rna-gnl|WGS:NBSK|LSAT_6X54400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPLGFEISGSAYDSDSYVDGNRKGNNLVSENGIFEFGFFEKDGGEFVVEIRYNLGLKTANLPVWTVGGGVRVPINSTLKLSMDGRLILFENPSNSIVWSSNTSNSGVESVTLLNNGNLVLIDSQNTVIWESFHRPTNTLLPGQFLQYPQNLRPPSTKSITSYYTFVIRPEGIALMWESNITYWKPNLSTSRATIKEVRFDSNGVLGLYDNSNKVIWSISSTDFGDTSVNLRHLRIDQDGNLRIYSWNNVLRSWKVGWQAVEDQCNVFGSCGLYSVCGYNSTGPICGCLYSDSVDEGNSIPSSDSGSGCKKMVDLANCKHHTSMLLMKQTVLYGLYPPHDIDMMLSEESCKEYCSNDTTCLALTSKNDGSGLCTLKRTGFISGSTGPSIPSTSFLKVCLVPQAVATKGGNPNAAPKSISFPFQGNYRKVIGAIAFVILVTVSVILGIQMFTLLFVYHKRRKNSEKIVIGAKTNLNNIALVRLSFKEVEELTSGFSTPLGSSVFKGVLPEKMAVVVKVVEGTVVSEKDFVKATAVLGGTHHRNLVSVKGFCFEPKQKVVLIYEYISNGSADKWVFEDQIERNWQKRVDIALGVTRALAYLHTECQLCIPHGNLKLENVLFDERMVPKLTDFGIKSFLCSSSSSSSNQSPSEEDIYMLGKLLIEIVLCGRDAAGDYSLDQVMEKVIIEQKYLDNEDLSGVERVVRIALWCMQNQPFLRPSVGEVMKVLEGTLSVDRPPSSFAYRYGDDTEKEVVVGEIESGS >cds-PLY98761.1 pep primary_assembly:Lsat_Salinas_v7:1:8751152:8755692:1 gene:gene-LSAT_1X8940 transcript:rna-gnl|WGS:NBSK|LSAT_1X8940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRRREMEKQSSSELRSTIDELSLLAVMKLKHHRHLHHHNDPVITDDLPNDTHIPTRPFLSVCNFLLHLLDKIGPTMAVLRQDIHRNILRLEKMQELDPVLYSNVVEILKKEASEGTSRKMSSCSKAFVWLTRSLDFTSTLLDLIGKDLGVNIEQAVQEAYETTLKPWHGWISSTAYRVALKLVPDNKTLIGILMSETVDQETLKEELKSFTSLLASFLNELHTILETYKLHKVKAT >cds-PLY83989.1 pep primary_assembly:Lsat_Salinas_v7:8:35506855:35510181:-1 gene:gene-LSAT_8X28300 transcript:rna-gnl|WGS:NBSK|LSAT_8X28300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGKSSSNTAGKPLRCRAAIARKAGEPLVIEEVIVAPPNPREVRIKITCTSLCHSDITLWKLKDPPAIFPRILGHEAVGVVESVGEGVHEVVEGDTVIPTFVSDCGECRDCLSEKSNLCTKFPFSISPWMRDETSRFTDINGETLYHFVYVSSFSEYTVVDIAHVTKIDPAIPANRACLLSCGVSTGVGAAWRTAKVEAGATVVIFGLGAIGLAVAEGARLCGAKRIIGIDVNQDKCEIGKKFGVTDFVNSRNIGDKTVSEQIIIEMTDGGADYCFECVGLTSLVHEAYASTRKGWGKTVVVGLDDPSSMLTLSTFELLYSGKSLMGSFFGGLKPKTDINILGKRYMDKNVSNIVYVFAFIRGL >cds-PLY67212.1 pep primary_assembly:Lsat_Salinas_v7:6:133875530:133875937:-1 gene:gene-LSAT_6X80801 transcript:rna-gnl|WGS:NBSK|LSAT_6X80801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCTAFRAAADTLNQTRFGGLKNMTIKIDQLYFTIASALKPLQANKLPGDMEIIQDVKWLYVVFEHVEKLLTLGSGSGNQWSHQQREIGIWSCKDCGKVKAGGPYTLK >cds-PLY80124.1 pep primary_assembly:Lsat_Salinas_v7:2:74585136:74585566:-1 gene:gene-LSAT_2X33081 transcript:rna-gnl|WGS:NBSK|LSAT_2X33081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLFNTLRLMVCPGLDPNAFNLVASTTFYSESTRAMLCLVRIETDPADRTQLHTIVASADPTLTFELKELLTINCFPIKCGD >cds-PLY63469.1 pep primary_assembly:Lsat_Salinas_v7:7:148433564:148434648:1 gene:gene-LSAT_7X87521 transcript:rna-gnl|WGS:NBSK|LSAT_7X87521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CCG-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT2G15890) UniProtKB/Swiss-Prot;Acc:Q9XIM0] MIRSVPLAPSMTTTICCSSRNNAYIPKLEPFSRSKFDRIVKDPPLIQKSENDLADYCSTLEGDPSYSCWRAYFELKDLEKEQPKEVVERVILESGGVKSLVRCLHGISEIHKSKKQLEHQNESNMGNSNSEITAAAGGGRTCPVPDGLPKSRAEMEEEEKGKMPDSPFTRLLRSKGRFPAWYSPAPDHETD >cds-PLY81132.1 pep primary_assembly:Lsat_Salinas_v7:9:67426893:67428116:1 gene:gene-LSAT_9X57361 transcript:rna-gnl|WGS:NBSK|LSAT_9X57361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNELNPKPPALTDPSCPLFTHLRRYPLITNKPIKNLFSFFDNPDLIKLVPRALFHRTTRQGHNHLYRLPSPAKRTDSKSPALTSSRSFTPRLRASKVAEIFTDGDSSGDLNKTVEELRRYDTKGSELCMTLAFKYSKQLFEIYKNKEDPFFMP >cds-PLY80618.1 pep primary_assembly:Lsat_Salinas_v7:4:11642491:11645561:1 gene:gene-LSAT_4X7180 transcript:rna-gnl|WGS:NBSK|LSAT_4X7180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVASSGNNETRRTRHSITTTTETSLLVWWGITIQRQIVQVERPVLQAPKLKVGNREDICPCNGRWNFNKKKLFTPIKIEKWVAVNFSAKCDACYLAPELINCGRNRGVIFDRPFSLMEEDPHNPKFGPVVSAKVPGAPQFLLCILPERKNSGLYEIQFDKQGRILGAAVRTYVLERSHVFQVSDPERNYHSFYMLCAAPPEDAKKFKLGYLRLFHYLDPTICYEVANIHDGREELEARLLIFDLYVSMGIQC >cds-PLY92748.1 pep primary_assembly:Lsat_Salinas_v7:8:66602151:66603873:1 gene:gene-LSAT_8X46800 transcript:rna-gnl|WGS:NBSK|LSAT_8X46800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division topological specificity factor homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69390) UniProtKB/Swiss-Prot;Acc:Q9C4Z7] MAISGDFRVSAALGPSPTNPLRISLPPKIDHVNFLNAGPTLSETTTPKWSTSITHTHNTRCNSKRPLTFMNDYEMSTSSFNQDAESFLLNAINMGFFERLSLAWKIVFPSPSMIKNSNASVAKQRLKMILFSDRCAVSEEAKQKIVSNIVKTLSDFVVIESQDKVQLSVATDPAMGTIYSVTVPVRRVKAEYQEEDGEGTIMNVEYKDNGVDSGSMDVKFDFYVPE >cds-PLY65537.1 pep primary_assembly:Lsat_Salinas_v7:9:143897319:143897480:-1 gene:gene-LSAT_0X33461 transcript:rna-gnl|WGS:NBSK|LSAT_0X33461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFSPAFKAHLHHRDLHLPISSFSTDLLSINTLFSLEDPNHCQPPPHHAHYSL >cds-PLY73395.1 pep primary_assembly:Lsat_Salinas_v7:9:154012376:154013514:-1 gene:gene-LSAT_9X96200 transcript:rna-gnl|WGS:NBSK|LSAT_9X96200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVAERYISQISKKRVFFKSSSASKVDNPSLKGMKKMHEQKNGSTSSKSTFSSLWLAKKFLSVKKLVKTHPLKKTSSGKITRIEDVTLNQDSRKIKKSSNVVGTSSNIKQHGNNEEVLRKYRKFKKFDIVEDYSDHYYKGSNSEKWQPPRNWAKKIQEEWRVLMELPDTIFVRVYESRMDLLRAVIKGAEGTPYHDVNNKGLFSERALSFWWPEN >cds-PLY64030.1 pep primary_assembly:Lsat_Salinas_v7:5:59728887:59730007:1 gene:gene-LSAT_5X29181 transcript:rna-gnl|WGS:NBSK|LSAT_5X29181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNINNIPDIEGPAPKVQKIDDRNGSSDHQLPFFKVKKLSEKAVLPARGSSLAAGYDLSSATDTKVPARGKTLIPTDLSIAVPEGTYARIAPRSGLAWKHSIDVGAGVIDADYRGPVGVILFNHSDNDFEVKTGDRIAQLIIQKIIVPEVMEVDDLDSTVRGAGGFGSTGV >cds-PLY98932.1 pep primary_assembly:Lsat_Salinas_v7:7:51827586:51828167:-1 gene:gene-LSAT_7X37641 transcript:rna-gnl|WGS:NBSK|LSAT_7X37641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFTREHKELVIEGEKWMKATAESYTITAALITTIVFAAAITVPGGNNQDTGIPLFTNHTAFTIFAISDAISLFAAVTSLLMFLSILTARFAEQDFLFKLPTKLIIGLATLFISTTAMIIAFGATLYLVFGQRNSRILTPIVVLTCLPITSFVTLQFPLIIDLVSATYGRRIFGKKYVAYSKDSLERMKRPFY >cds-PLY68799.1 pep primary_assembly:Lsat_Salinas_v7:3:61389488:61392552:-1 gene:gene-LSAT_3X48760 transcript:rna-gnl|WGS:NBSK|LSAT_3X48760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVEGESTQTGVSTVDGGDAEIALNIRCSNGNKFSVRASLGSTVVDFKDLLAQNCDVPANQQRLIYKGRILKDDQTLDSYGLQADHTIHMVRGSAPAPADAPPAIGNPNTPSLGSQGGVPNEGLGLGGPGSGAPLFPGLGFGGLGGSGAPFGSGLPEFEQVQQQLTQNPNMMRDMMNMPAVQNILNNPEIMRGMIMSNPQMREIIDRNPELAHILNDPAILRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTTGGTTNDPGSNPFAALLGNQGPAVNVNNNNNNNPETTPGSAAPNSNPLPNPWGAPAGGVQPTRAPNVSGLGGLGLPGADRTGGMPDMSQLLQNPAVSQMMQSLLSNPQYMEQIVSQNPQLRSMFDSNPQLREMMQNPEVLRQLTSPQMMQQMMSLQQLLPQLNQPQSTLNPTQTGASPGSQNNMGLDMLMSMFGGLGAGGMGVPNVPDVAPEQLYATQLSQLQEMGFFDVQENIRALRATSGNVHAAVERLLGNFGP >cds-PLY85979.1 pep primary_assembly:Lsat_Salinas_v7:3:132273541:132275081:1 gene:gene-LSAT_3X90261 transcript:rna-gnl|WGS:NBSK|LSAT_3X90261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTGSRAQPSRTFMSQTCKKRLSGLILPNVMTSTNDLSAFLIQTHSLAPYGLRRLSTLSPPCRRRSGDDFPKSNFSAAYTSSSSTEHRHIRSEEKAWAHWRKLKQPKLIVAPMYDASELPFRMLCRKYGAEAAYTPMLHSRIFAESKKYRSKEFTTCKEDRPLFVQFCGNDPEILLEAAYQVESYCDYVDINLGCPQRIARTGNYGAFLMDNLPLIKSLVTKLATNLTTPVSCKIRLFPSLHDTINYVKLLEDSGCSLIAVHGRTRDERTKERANWDAIKSVKSSVKIPVLANGNIRHMDDVEKCLELTGADGVMSAEALLDNPALFGGFRSGDWVAEGDDGFEDGGVDRGRLMVEYLKLCEKYPVKMGIIVSHVYGMLGKWFDEHPDVRDDFNKEKELSFEYIYRMVDRLRARGVQFPLYVKKI >cds-PLY96780.1 pep primary_assembly:Lsat_Salinas_v7:2:170673255:170675698:1 gene:gene-LSAT_2X93460 transcript:rna-gnl|WGS:NBSK|LSAT_2X93460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRGFGKDKRVNSAIALVEWLKLRKHASKSFPGPNLFIYNSLLGALKQADGFDQVEKIMTDMTLEGISPNIVTYNTLMGIHLAQGHEMKALELFKEIQTKGLSPSPASYSTALLAYRRMEDGFGALDFYVDFRNRYQNGEIGKNDKNIDWDLEAVKLEDFVIRISYQIMRRWLVQIENSTSKVLKLLTVMDQVGLKTGRSEHEKLIWACTREDHYIVAKELYKRIRESDSEISLSVCNHVIWLLGKAKKWWAALEIYEDLLDKGPKPNNMSYELIVSHFNFLLTAARRKGIWRWGVGLLNKMQEKGLKPGSKEWNSVLIACSKASEASAAIQIFTRMIENGEKPTIISYGALLSALEKGKLYDEAVRVWKHLIKMGVEPNSYAYTIMASIYAAQGKFNIVESIIREMPVSGVEVTVVTFNAIISACARNNYGGPAYEWFQRMEVEKIEANEVSYEMLIQALVKDGKPKVAYDMYLKGRRKGLSLSTKAYDTVVEAAVDGGASIDLSLLGARPPAPAPESKIRTDVNQEYVDIVND >cds-PLY65591.1 pep primary_assembly:Lsat_Salinas_v7:7:163801067:163806092:1 gene:gene-LSAT_7X95440 transcript:rna-gnl|WGS:NBSK|LSAT_7X95440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSTLLRTSPFIHRRPLHSSRIPEPFAPFRYHVNTKPLWKHKLQISRTSSFFDAKVYPKNPITREIVPEESTKDSSRKEGFEKFGGLLRCGFLLGLLVLGVIRCQRALAFDPGSDLGVLAQTGVVHTQGFWNGPKISQIFRVFREQGLILAALLGLSAFFSMAETSITTLSPWKVRELAEKEDENGVFKLLRTDITRFLTTILIGTTVVNIGATALFTEAATTVFGEAGVSAATGVMTVVVLLLTEITPKSIAVHNATAVARAVVRPVAWLSIVLYPVGRVVTFLSMGMLKILGLKGKSEPSVTEDELKLMLRVAELSGAIEEEEQDMIENVLEIKDTHVKEVMTPLIDVVAVDSGATLVDFHQLWVTHQYSRVPVFEQRIDNIVGVAYAMDLLDLVQKGDMLETAMVGEIAHKPAYFVPDSMLVWNLLREFRIRKVHMAVVLNEYGGTVGIVTLEDVVEEIVGEIFDENDSKEEIDRKTGNIVKRGDGVFDVDANTSIDQLCEDLDIILPEDHQYETVSGFICEAFGYIPRTGESVKVILKKANEEEDDESKQENKNEDQKEKSQTFKLEILAGNCRKVNTVRFERINNHSAKEIKEAARIIPRFWKKNWKNYESSGSGDDETFYQETPQTTTINNNQ >cds-PLY63370.1 pep primary_assembly:Lsat_Salinas_v7:7:148558584:148558820:1 gene:gene-LSAT_7X88040 transcript:rna-gnl|WGS:NBSK|LSAT_7X88040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGIIDMWSSEVKKMSNDMKGHINGSSPCEPDSLKSNQVSQIWSWTEALLNRVNSPPPLAFPVYSEASVAMLLDCFSP >cds-PLY74128.1 pep primary_assembly:Lsat_Salinas_v7:9:11136550:11139670:1 gene:gene-LSAT_9X9321 transcript:rna-gnl|WGS:NBSK|LSAT_9X9321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGQNQREIAQKRIKCQEIMEKGEDDGINALPDCLLLEILSRLPSTKDAIITSTLAKRWEHVWTWVPSLIFKHSNHSFENPNSSSDFASLVDKTLTQCRQSKLKKFQVHTFYDIRLESQFNNWIHYAISCNVEELNLKFLGREPEFLLDQFLFINSCFTDVRLAGCKLNPTGAISWENLRSLCISNVNLDEDLIVKILSGSPLLETLVVEYCYGHGRPNIISDDESESESESEASDIIKIRMSAPTSLSPTQCPKLKKFKVYTSYDVHFQSQLNIWIHYAIRCNVKELDLEFWNTDSEYEFILGQIVFTSSCFTELRVDGCMLNPVGEISWKSLRSLCISGYQSLDEDLIENILSGSPVLETLILDNCYGYNRLDITSKSVKNLVLRGYEDFSYVESEADIIEINAPNILSLTIDHDVSLCKLLLLNVSSLVKAHLDYTCTKLTTPNEVEEEVLKGCIMNLRHVTEVELGYLCSKHPGEAATCRKQHVPIQRHGVITTKNLINSMKRLHIEPQKQGWLPRHDINSLISYMFNPNTRKASVEALQQILKGIEVVKNANKNNASPDILFIALALVLHIVAAKEMISQGVQDLIKRHQAQTISSMKGPDISIWGWKLKEQRPLLGVAEDKGSDTLVTLILNKPGVKICEIKASGFDENRKEKLQVLATTLAGGQLIMEELGMNIDRVEADTSDHDKERFQERIAKFSGGVGLLKIIEVSESKVSQKKVKVTNALKVTKAGAEILVEVPRKFVNVVGEMMMPREAPGRLSLYVIVNENRKVIETVKKIFDAVEQGGVENAHHGKAKDKIRTGRVWVLYNVFIHLEGKVKVWAAGIDKPN >cds-PLY82161.1 pep primary_assembly:Lsat_Salinas_v7:1:15747955:15749337:1 gene:gene-LSAT_1X14140 transcript:rna-gnl|WGS:NBSK|LSAT_1X14140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVQEFGSECEGPNQRCVYISYLDSVKYFRPERKSVSGESLRTFIYHEISIGYLEYCKTWGFTTCYIWACPLIKVEDYIFYCHLETQRTPKQDKLRQWYNSMLKKGSEDGVVMDYTNLYNQFFVASGEGNTKIIAARLPFFDSDYWSGAAKNIVRKLELEETSDGGLQSKLPNKRILKAMGQDKPDVAVKNVLVMQKLIFLLALGQTILLVMENFMIVHLQHVCTQFHEVILSGSTWFCSHCKKIQLCSRCFNAEKNLSRSEMHTCHSGEKNILSELSLQVMVKNVTVDTKDKDDVFVNSFFETRDAFLNKCQKSHF >cds-PLY65417.1 pep primary_assembly:Lsat_Salinas_v7:1:61144280:61150544:1 gene:gene-LSAT_1X52361 transcript:rna-gnl|WGS:NBSK|LSAT_1X52361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSEASEEKIKRRREQLEWFEMQSLELVSENPSPPLGSSSSQNKETMEMEGENSKNAEKDKGVSVGFGELFRFADRLDYVLMGIGTIGAFVHGCSLPIFLRFFADLVNSFGSNVNNIDKMTDEVLRYAFYFLIVGAAIWASSWAEISCWMWTGERQSTKMRIKYLEAALSQDIQFFDTEVRTSDVVYAINTDAVMVQDAISEKLGNFIHYMATFVSGFVVGFTAVWQLALVTLAVVPLIAIIGAIHTITLAKLSSKSQEALSEAGNIAEQTIAQIRTVLAYVGESRALQAYSSALKISQKLGYKTGFSKGLGLGATYFTVFCCYALLLWYGGYLVRHHYTNGGLAISTMFSVMIGGLALGQSAPSMSAFAKARVAAGKIYRIIDHKPSVDKNSESGLELDSVSGQLELKNIEFSYPSRPDVKILNNFTLTVPAGKTIALVGSSGSGKSTVVSLIERFYDPTSGQVMLDGHDIKGLNLRWLRQQIGLVSQEPALFATTIKENILLGRPDAYMGEIEEAARVSNAHSFIIKLPDAYDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVVVLQQGSVSEIGTHDDLMSKGENGVYAKLIKMQEVAHETAMNNARKSSARPSSARPSSARNSVSSPIMTRNSSYGRSPFSRRLSDFSTSEFSLSVEGGYPNYKLEKLPFKEQASSFWRLVKMNSPEWSYALVGSVGSVVCGSLSAFFAYVLSAVLSVYYSQDHEYMIKQIGKYCYLLIGVSSAALIFNTLQHSFWDVVGENLTKRVREKMLAAVLKNEMAWFDQEENESSRVAARLALDANNVRSAIGDRISVIMQNSALMLVACTTGFILQWRLALVLVAVFPVVVAATVLQKMFMQGFSGDLEGAHAKATQLAGEAVANMRTVAAFNSESKIVDLFKTSLDTPLRRCFWKGQIAGQGFGIAQFLLYGSYAIGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMQSVFELLDRKTEIEPDDQDSTTVPDKIRGEIELKHIDFAYPSRPDTLIFRDLSLRARAGKSLALVGPSGCGKSSVISLIQRFYDPSSGRVAIDGKDIRKYNLKSLRRHIAVVPQEPCLFATTIYENIAYGHETATEAEIIEAATLANAHKFISALPSGYKTFVGERGVQLSGGQKQRVAIARAFLRKAEIVLLDEATSALDVESEKCIQEALERVCLGKTTIVVAHRLSTIRNVHSIAVIDDGKVVEQGSHSHLLKTYVDGCYAKMIQLQRFSHGHEVVNMASIGSSSS >cds-PLY92890.1 pep primary_assembly:Lsat_Salinas_v7:3:181846080:181846268:1 gene:gene-LSAT_3X109641 transcript:rna-gnl|WGS:NBSK|LSAT_3X109641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFQAIPGYILLTLAEKKTTKDACDILKTIYMGVFTTPDRGGGTSRAVRLSSWITSKLNI >cds-PLY69761.1 pep primary_assembly:Lsat_Salinas_v7:5:232816345:232817733:-1 gene:gene-LSAT_5X114241 transcript:rna-gnl|WGS:NBSK|LSAT_5X114241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESVVSMLGDWNSFNGGNVTEEAYFMAQLLDNFPLIPYDPQNAASSPLWPHLSLDGVDETWVYLSDDTNSSLDFPSQNHNSSYGSRDLFPTLSGPTKDNELSATSMKRSSSMVDVYENKDKIKCRKSKKPVSTSNEDVDISGQFMKIYRADEDPKQAEILSSNGKTKASRGSAADPQSVYARKRREKINKRLRILQNLVPNGTKVDISTMLEEAVEYVKFLQLQIKLLSSDDLWMYAPIAYNGMDIGLH >cds-PLY91795.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:2489240:2489590:-1 gene:gene-LSAT_0X18080 transcript:rna-gnl|WGS:NBSK|LSAT_0X18080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDRKRVSTSSSKNGVVTGSVWDNIMKGSFKVFNGDDKNQEIEKPIGKNNPNETTGEIVKMEKARSENKKVLGELSVSVDGIGIKKSPVQIKKGRQEWSKQQSASKKPICSFDLD >cds-PLY91342.1 pep primary_assembly:Lsat_Salinas_v7:8:45157363:45158899:1 gene:gene-LSAT_8X34460 transcript:rna-gnl|WGS:NBSK|LSAT_8X34460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGLNMRNWGYYEPSFREHLGLQLMSPIPDTKPFLSTRENSIMMNPNPTTNHDHIPNYPPSDPSIPIHYMRDNWIQRERFLHILPGNHNFPVIPTASTSLVMPSLHIAPPPPLDLSKDTVTTMEDSVVDRKDSVNVNGGDGDRGGSVKKRGSTTTTAAAAGKPPRAKKQKKTPSTPKENGNSSSQRPKTMKRSMDVVINGIDMDISGIPIPVCSCTGASQQCYRWGSGGWQSACCTTTISMHPLPMSTKRRGARIAGRKMSRGAFKKVLEKLASEGYNFANAIDLRTFWAKHGTNKFVTIR >cds-PLY76415.1 pep primary_assembly:Lsat_Salinas_v7:9:126517662:126520440:1 gene:gene-LSAT_9X82441 transcript:rna-gnl|WGS:NBSK|LSAT_9X82441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAQPIPRTADEVFNDFKGRRAGLIKALTTEKENLCLYGLPNEGWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLSVAFYFGARFGFGKSERKRLFQMINDLPTVFEVVSGAVKNPKDQAEVLNNSTKSKSSGKMSRPSESVPKGLKMTPPPNDEEDSGEEEEDEQGTALCGACGDNYATDEFWICCDICERWFHGKCVKITPAKAEHIKQYKCPTCSTKRARLQV >cds-PLY98860.1 pep primary_assembly:Lsat_Salinas_v7:1:159068431:159070246:-1 gene:gene-LSAT_1X109460 transcript:rna-gnl|WGS:NBSK|LSAT_1X109460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SMG1 [Source:Projected from Arabidopsis thaliana (AT5G47960) UniProtKB/TrEMBL;Acc:A0A178UQI5] MANYHGDFNQKIDYVFKIVLIGDSAVGKSQLLARFSRNEFSLDSKATIGVEFQTKTMVIDHKNVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHIARWLQELRGHADNNIIIMLIGNKSDLDSQRDVSMEDAKEFAEREGLFFMETSALEATNVEPAFLTILTEIYRTVSKKSLVANEEAEGNSALLKGTNILVPGQEQPVYGVSKFGCCTSS >cds-PLY74896.1 pep primary_assembly:Lsat_Salinas_v7:6:97625785:97627291:-1 gene:gene-LSAT_6X65520 transcript:rna-gnl|WGS:NBSK|LSAT_6X65520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNPNYFGNRHDEHLGVNKIGKNIRKSPPPYFANPAIQPPYPQVYTVNKNDFRDTVQRLTGSPSHHQEPPPGPHRSSPIRMQKIRPPRLAPINVYRPQMPLLPPRPAAQYGQPPPMTSGDHMGWPNAAESPISAYMRYLQHSVLDSGLRPPPPLFPSPRMTGPPPLLPSPVTNRPLNPPSPTSQFLLSSPSGYLNLLSPLSPYPLLSPGYRFPPPLTQDFSFSPVAAQSGIFGPRPPPPPSPGMGFPSPGFFQFSSPRWRD >cds-PLY83212.1 pep primary_assembly:Lsat_Salinas_v7:1:49627246:49627566:-1 gene:gene-LSAT_1X44101 transcript:rna-gnl|WGS:NBSK|LSAT_1X44101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQPSPLPPCASQLPVATPFYLASPTDPGHRRTEMTGDDNAATEVSKAGASVSNQRHHPFATSVAFSLHCRLFPLKAMSPEIRVCSNSACVDWMIGKDQEEPSPPP >cds-PLY73637.1 pep primary_assembly:Lsat_Salinas_v7:5:204589024:204590472:1 gene:gene-LSAT_5X93021 transcript:rna-gnl|WGS:NBSK|LSAT_5X93021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTDSLPVKTAQQMAMDGDQPPPNYIFNATTNYSKFGPLETSPPFAPVPIIDISCFFSSARKVDQQTELAKLRSALSTWGCFQAVNHGLSDSYLDNVRQAIKKFFELPLEEKTKYFRETGSVEGYGNDMTYSKNQAQDWCDRLFLRILPEDERKLRFWPQNPSNLRETIDEYTKKIKFISMVIFEAMAKSLDLKENSFSKFFMEERDVLQGRFILYPPCPMPDKVFGLKAHSDRSGITLLLQDPGVEGLQVWNDGKWYMVPVIRDAIFVNLGDQMQIMSNGIFKSPVHRVVTNKEKGRISVAMFTEPEPNKEISPVDVLVDEKRPRVYKTVKNYAVFNHECFQKGIVALDAVKL >cds-PLY91423.1 pep primary_assembly:Lsat_Salinas_v7:3:55964946:55966694:-1 gene:gene-LSAT_3X44180 transcript:rna-gnl|WGS:NBSK|LSAT_3X44180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGAASYLARRAAQREKVRILYRRALKDTLNWAVHRHLFYPDADALRERFDANKNVEDIETIDRMIADGEAQYNKWRHPDPYIVPWAPGGSKFTRNPTPPSGIEIVYDYGREDHI >cds-PLY68020.1 pep primary_assembly:Lsat_Salinas_v7:8:249270470:249274343:-1 gene:gene-LSAT_8X147520 transcript:rna-gnl|WGS:NBSK|LSAT_8X147520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine-1-phosphate lyase [Source:Projected from Arabidopsis thaliana (AT1G27980) UniProtKB/Swiss-Prot;Acc:Q9C509] MESSILPAIIRLRASANSFLSQFEPLLLVVAPLITFLVAQIVQSFIQVVHEKGFKATLIGFIMTWVKLVPGVKSYIDAEKQKVVDKLQSSGKSSRDGWRTELPSSGLGNRVLEQMKNEKEKDAAWQGKCSGTVYIGGNESEGHFSLINEACSMFAHTNPLHLDVFKSVVRFEAEVVAMTAALLGSKEKASGGQICGNMTSGGTESILLAVKSSRDYMKASKSITCPEMIIPESAHSAYDKAAQYFKIKLWRVPVNKEFQADVKAIKKYINKNTILIVGSAPGFPHGIIDPIEELGELALSYRICLHVDLCLGGFVLPFARKLGYPIPPFDFSVQGVTSISADVHKYGLAPKGTSIVLYRNHEIRKHQFVAVTEWSGGLYVSPTIAGSRPGSLIAGAWAAMLSLGQEGYLEHTREIMEASKRLQNGVKQIPELFVIGRPDMTIVAFGSNVIDIFEVNDLLSSKGWHLNPLQRPNSIHICVTLQHVPIVDDFLKDLKDSVQIVKQNPGPISGGLAPIYGAAGKIPDRGMVNELLVDYMDNAC >cds-PLY63655.1 pep primary_assembly:Lsat_Salinas_v7:4:128758827:128759093:-1 gene:gene-LSAT_4X80800 transcript:rna-gnl|WGS:NBSK|LSAT_4X80800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDETLDTLKAQAPIVDSYDKLSKQINLSFQRVFEKIDDLKLDVLLASEAGPSNAKGGERMFHWKPSTIFQLRDESTNEDELMVTREIK >cds-PLY83566.1 pep primary_assembly:Lsat_Salinas_v7:1:65030201:65033083:-1 gene:gene-LSAT_1X55640 transcript:rna-gnl|WGS:NBSK|LSAT_1X55640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g53700, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53700) UniProtKB/Swiss-Prot;Acc:Q9LFF1] MAFSSCLSCDPWTLPQNLNYPSLLFTPTKLANFVTFASTKHYEQLTTLPSEKELIDILRREKDEHSVVNAFKWALKQPSFTPSLSIYEEMLKKLGQVGSIDSIRGVLDDMKKSSCKVAEDTFFILIESFAKFNLYDEAICVLFIMEEEFGVKPGTHTFNFLLNVLVDGNKLKLVEDVHSLMSSKRVKKDVSTFNILIKALCKAHQMRPAILMMEDMPTHGLTPDEKTYTTLMHGFIEEGNLDGALRIKEQMGLAQCEFTNISVNTLIHGFCKMGRISEALNFIQEMSKEGFYPDRFTFNTLVNGLCKAGHVDHALEILEVMLLEGSDPDVYTYNTVISGLCKIGKVEKANEILSQMVERDCAPNTITYNTIISGLCKENQIEAASKLALGLTSQGISPDVCTFNALIQGLCLSSKFETALQVFDEMKTKGCQPDEFTYNMLINWYCNKGKLDSALKLLKEMEATGIPRNVITYNTLIDGFCKNKKFEEAGEIFDQMELQGISRNVVTYNTLIDGLCKNRKAEEAAMLMDQMIIEGLKPDKFTYNSILSHFCKMGDIKRATDTVQVMITNGCEPDIVTYGTLIQGLCKAGRIDVACRLLRSIQLKNMVVTPHAYNPVIQTLFKKRGAESAMEFFREMEGKGCVPDEVSYRIVAKGLCSGGGPIGQAVDFVFEMTEKGYVPEFSSVYMLAEGLCALQMEETLVKLVDRIMNTAMFNDSEVKMIMGYLKIRKFEDALAALDRFLALRKPKRGYW >cds-PLY62546.1 pep primary_assembly:Lsat_Salinas_v7:4:355440069:355442267:-1 gene:gene-LSAT_4X175101 transcript:rna-gnl|WGS:NBSK|LSAT_4X175101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNQWRLLLQRCKPVSELQFKVQSSTTIPLSRNRTFNSLIPHSRTLPIHFQNPSFPRLFTSSSELAVEQSKGTDQSVITSTLIELYSDPVKTNEDVMLELKSKPDLASTDYVNELFKNRSSEPGAAKAFFDWVMSKESDNLSSNSCNRLLGMLGANGLVKEFWDLVDIMKKKGYGVKKGASVRAIAKFEDEGMKDEVQKLRDLFALGSIDASVEKVSSRVCKVIQQTPWGDGVETKLQEMGIVYSGDLVKMILENLGTDPNKAVIFFRWIEESGLYKHDEKTYNAIARELAREDYIDKFWRLIDEMRAAGFDLEKATYIRVLNQFVRKKMLNDAVDLYEFAMSGNIKPSDQDCPFLLRKIVTSNELDMDLFSKVLKIYKESGNTMKNSFLDTILKSLTSVGRYGKCNKILKSMEEVGFLPDENSQGKIAFQLTKNNKIEESIEFLDLMESPSYKTFGSLIQGYCLSGHLEKACDCFQKMITKQGPDFSGYPLETITNAYCAKEKPLEGYNCLLEAVNEKEVKPWHTTYKLLITKLLEQGLFEEAINLLAPMKRHGYPPYLDPFVKYVAKTGTIDDTLMFLKAMTVKKVPSFAVYLRVFKAYFKAGRGNEAQDFLAKCPRFIRNHVEVLNLFSELKRGKDDSAPTLTLTPTPVAA >cds-PLY75553.1 pep primary_assembly:Lsat_Salinas_v7:9:33926784:33929396:-1 gene:gene-LSAT_9X30021 transcript:rna-gnl|WGS:NBSK|LSAT_9X30021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGGPSGFNNAPITRAFVIACCVFTIVFGIQGRSNKLGLSYQDIWKKLQLWKLIVSTFTFSSTPELMFGAYLLYYFRVFERQIGSNKYAVFVSFSIIVSSLLQLFGQAFLNDPTLSVLTSGPYGLIFSSFVPFYFDIPVSTRFRVNALSFSDKSFIYLAGLQLLLSSWKRSLVPGLCGILAGTLYRLNVLRIRRLKFPELIASFFSRLSLPSVGSASPAPPPRNGPSFAARQVEGNYRAPVSSTPEPPEDSIETLVSMGFDRNSARQALVHARNDINAATNILLESQVH >cds-PLY78779.1 pep primary_assembly:Lsat_Salinas_v7:8:61399320:61402317:-1 gene:gene-LSAT_8X44740 transcript:rna-gnl|WGS:NBSK|LSAT_8X44740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDIENVVKRKKVVNDAHSKKSPKRKKGTIRHENVNIKTKEEKVRKVSKDHPEGYRRLATRMTPGRISVAIKVMSLVQKTGIVCMGFGSLLNIDMDTASGLLNYYLLDHYDPNSSRLVLENMVITITKDTVHDMLGLPNEGEDFLSMTSCEKDNQVLQEWKSQYDKNGFNGGRIPEKDQKNKARQEEIWNIGSGHVLHQGFTYHFKSNTFIHAIIIDCWSSLLNKMEELRDVGSVSRIFFDTFFEEILGGSMSSDRTKNLFDSMLILHLKSLPKPEKLKDIGLKTWLGNYLKTENYQKSTIFNKIKARVMKMPWKVEKEGSDCGVYLMRHMESYMGENEGRWDCGFTGKKQSDVVALNNLRIKYMARLMKSEYN >cds-PLY81623.1 pep primary_assembly:Lsat_Salinas_v7:2:1588067:1588850:-1 gene:gene-LSAT_2X1040 transcript:rna-gnl|WGS:NBSK|LSAT_2X1040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKKITASDDVLKGLEEWLCIEPSHPTRRIPASVNCLATLLKEPVVRSSFVQADGVKLLVPLISPASTQQSIRLLYETCLCVWLLSYYEPTIEYLATSRALPRLLELVKGSTKEKVVRLIVLTFKNLL >cds-PLY68489.1 pep primary_assembly:Lsat_Salinas_v7:2:214719801:214720369:-1 gene:gene-LSAT_2X136321 transcript:rna-gnl|WGS:NBSK|LSAT_2X136321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPITKTTLLISLLVLLYTCSANGIRDVVFSNGVMNPLGRKIALVDAMVDDYNDPGANPKHDPRKGGGHGPPTST >cds-PLY78122.1 pep primary_assembly:Lsat_Salinas_v7:2:62593231:62595723:-1 gene:gene-LSAT_2X28260 transcript:rna-gnl|WGS:NBSK|LSAT_2X28260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVTIAAEGILKKVLSIAAGELAIAWGYEEKLTSLHSKLEMVRAKLLDAEEKKETRAVMVWLKQLKDAVDEADDVLDEVHYEMLRRQIKKQDRMARKVVCLPSLKKFSFRYKIGHKIQNINEKLLKINTEASSLGLQNQHPACPVLNRLYWRETVPNQEEFKIVGRDNDKLHIIELLTQSRKEEKIIIVPIVGMGGIGKTALAKSVYNDKKIEQHFDVKAWLCVSVKIDINALLAKIYESLAKKKPTSDLRINLIESLKEQLASKRYLLVLDDVWVEERPYWEEFRSCMLNVSSQNGSGILVTTRKHEIGTHDMHMDACHLNGLSDDHCWDIFREKAFVAGTSVSPELVKIGGDIVEKCGGLPLLLNVIGGMLAHYNNIEKWLSIKNSKVWDLEEERDRVQKSLELSFDNLPNSIVKQCFAYCSIFKKDTVMEREELVQLWMTLGLVQADEERNKAMEDVGNDIFQILVSNSLFQDVKRDEYGYITRCSMHDLVHDLSLSLSKHESLCLEDATNDDIACVPRVKHLAFYQEQNYKLAAEVSMFIERNTVARSLRTLFIEGELEKKFSFQRLKCIRILQLKCYTLQKLDDSIGGLVHLRYLDLTYTSIHVLPESVGKLYHLQTLKLPDIKQFPGAMRNLISLRYFKCYKNIPANIVGQLTSLRTLPSFTVLRKKGHGIEELRHLNNLVGSLYISHLENVSSKEKAVKAHLSRKKKLCEIEFNWCVDSQGSTRVDKEVLEGLQPPADLKILTITSFSGDNFPEWVIKMAINIEGKETPLDKLVSLTLYDCRSCLSLPMLEYGGLDMLKEFRCYRINQVFVSIVEISWAI >cds-PLY92696.1 pep primary_assembly:Lsat_Salinas_v7:8:109821563:109825682:1 gene:gene-LSAT_8X74200 transcript:rna-gnl|WGS:NBSK|LSAT_8X74200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASYMNLLSDPIIITTVTPLFLLLVFLYVYKQQQTNGRKYYPVGGNVFGILINFNTMHDYMAHRAEKYKTYRMLSPLHREIFTIDPTNVEYILKTNFKNYGKGTYTRDILKELLGDGIFTVDGDKWREQRKVSSHEFSTTVLRDFSSVIFRNNTIKLGNILSEAANNMQIVDINDLFLKTTMDSIFNVGFGIDLDNMSGSSEEGVRFSRAFDDANTLTYRRFVDVFWKIKKYLNIGSEAELKKNIQIIDEFVYKLIQIKTEEMHKTKNEHSVFIKKDILSRFLQIKDKDPKFIRDIILNFVLAGKDPIGLTMSWFIYMLCKHPDVQDRVAKEIKEATNVKEDIVRNVADFAAHVSELALGKMQYLHAALTETIRLYPALPLDPKICFADDVLPDGGIVKKGDMVSYLPYAMGRMKFVWGDDALEFKPERWLDENGCFHPESPFKFTAFQAGLRSCLGQDFAYRQMKIFSSILLGCFVFKLSDDNNISRYRTSINLHVDGPLKIRVFNRFDLLNP >cds-PLY74286.1 pep primary_assembly:Lsat_Salinas_v7:8:164643659:164645310:-1 gene:gene-LSAT_8X109400 transcript:rna-gnl|WGS:NBSK|LSAT_8X109400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLLHFTAIPPAVCSQLSSSRSTSSVAFNAFSRVHLIQTPFVLKHKGQGSMIMKAVAGVDGVEPESTTPAAVEAIDESLSVEKLPLESKVQAQVDQKLKMKLAKKIRMRRKRLVRKRHLRKKGRWPPSKMKKNKNV >cds-PLY79831.1 pep primary_assembly:Lsat_Salinas_v7:8:19063350:19064893:-1 gene:gene-LSAT_8X14921 transcript:rna-gnl|WGS:NBSK|LSAT_8X14921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVLNFTFCCSKLHCLPPSKPSSPILQIPSSPQVRTQYKITDDSPISFSESMSKVCITALVSASLFFAADPALAFKGGGPYGSEVTRGQDLTGKDFSGRTLIKQDFKTSILRQANFKGAKLLGASFFDSDLTGADLSDADLRGADFSLANVAKANLTNANLEGALVTGNTSFKGSIITGADFTDVPLREDQKEYLCKIADGVNSVTGNDTRETLFCK >cds-PLY74917.1 pep primary_assembly:Lsat_Salinas_v7:3:130640394:130640955:-1 gene:gene-LSAT_3X88840 transcript:rna-gnl|WGS:NBSK|LSAT_3X88840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPRMNRHIPFSSTSLSTPSIGDVAQHGSAPPFVVTTETLIQDEDSPRPAFPQVENITQSLGRRLSKFEENVVEMTQFTELGDDDTDDMVIDDSPPNSPGDNPPPPLPPSSNPPPSNSPPQTPSPSSGSPPQSYAAKKGGNSRESHDQQK >cds-PLY93824.1 pep primary_assembly:Lsat_Salinas_v7:6:147986998:147990430:1 gene:gene-LSAT_6X88820 transcript:rna-gnl|WGS:NBSK|LSAT_6X88820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHPENNEKKKKKKVIDSDVVDVDNHIPRPHIERPSRLTRLLKPSQYLSSLYISVQTAPDIVQVW >cds-PLY91185.1 pep primary_assembly:Lsat_Salinas_v7:4:124873580:124875645:-1 gene:gene-LSAT_4X78640 transcript:rna-gnl|WGS:NBSK|LSAT_4X78640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTRHLSILSFFTLILLVHARLNLHPTDHEALLEVYKDLGVSSGTDNICNAAGVFCERRISNNSYVLRVTRIVFKPRRLRGSISPAIGKLSELKELSIPNNHLVDQIPTQITDCRKLEVLDLHSNRLSGKVPAGLSSLVRLRVLDLSSNKFSGDLDFLKYFPNLEKLSLANNMFVGKVPASLRSFRNLRFFNISGNSFLEGPMPTMKQFESPSEELQNGNKNLVPRRYVFAESNNTSSPVRNQTTNSGSRFDDLQAPAPAPTYEPSKKHKSKTKKIIRWALGFLAGVIAGIICGTIVSILFKMILNKIKGTPNDSGPAIFSQLIKAEELAFLEKDDGVASLQIIGKGGCGEVYKCELPESKVKTIAIKKIIQPPKDATELAEEDTKLLNKKMRQIKSEIQTVGQIRHRNLLPLLAHVSRPNCHYLVYEFMKNGSLQDILQQVKEGSRELDWPARHRVALGVAAGLEYLHMSHTPRIVHRDLKPANVLLDDDMEARIADFGLAKSIPDADTHMTSSNVAGTLGYIAPEYHQTMKFTDKCDIYSFGILLAVLVMGKLPSDEFFQRTSEMSLVKWMRNVITSEDPKQAIDSKLLGNGYEEQMLLVLKIACFCTMDNPKERPNSKDARLMLAQINH >cds-PLY96294.1 pep primary_assembly:Lsat_Salinas_v7:6:157252180:157254022:1 gene:gene-LSAT_6X96340 transcript:rna-gnl|WGS:NBSK|LSAT_6X96340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 55 [Source:Projected from Arabidopsis thaliana (AT5G49130) UniProtKB/Swiss-Prot;Acc:Q9FH21] MPYHTQINLIVPMVMEEMKMMTTLSFPLFTMGLVGYLKNMIAVACMGKMGRLELAGGALAIGFTNITGYSVLSGLAMGMEPLCSQAFGSRNLTMVNLTLQRTILMLLFASLPIGFLWLNLEPIMLKLHQDPEITRIASLYCRYAAPDLIVNSFLYPLRIFLRSKGATWSLMWCTLIPTLVHYPITNTLAFTLHLGVQGVAISTFLTNLSTSVLILGYMSFTHNTPKETLCEPNVKESGEGWGVLFKLAVSSCLAVCLEWWWYEFMTILAGYLHKPHVTLATSAIVIQTTSLMYTLPSALSMSISTRVGNELGAGQPGKAHLATLVAIGLAVLTSVFGLLGITLGREAWGKVFTKDNEVLKLTMTILPIIGVCELANCPQTTCSGVLRGSARPSTGARINLCSFYLVGTPVAIVLAFVWKFEFLGLCYGLLAAQMACLVSILTVVYRTDWEEESKNARKLVGGRSECIYEGQIMECEQGIGFI >cds-PLY93714.1 pep primary_assembly:Lsat_Salinas_v7:2:201667734:201668378:1 gene:gene-LSAT_2X122800 transcript:rna-gnl|WGS:NBSK|LSAT_2X122800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTENDNEAVYQRVHLHYNGVFVRHPFGYVGGNKFLFTDVDWAGMDVRDFFDFIDRAIGEEFQNAYYCLPNIPLSRGIRLIVDDLDFAQFIDSGYEYGEILVYVDHNGNRLEEWWDDDMNLVVSEDNESGLEDDGVPRKEENTAPDETHPNVGLKAEVIDIDNVPLNKTTGDEFLSKLCPPEGDTGGNEVEEEDVEIHSISTLICNGRGTYLFLG >cds-PLY93411.1 pep primary_assembly:Lsat_Salinas_v7:9:57921713:57923970:-1 gene:gene-LSAT_9X50981 transcript:rna-gnl|WGS:NBSK|LSAT_9X50981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCPYLFIIKGHYSVMIHQPAGSFSEVATGEFILEVGELLKLRETLTRVYVQRTGKPLWVVSEDMERDVFMSATEAQAYGIVDLVAVE >cds-PLY70972.1 pep primary_assembly:Lsat_Salinas_v7:7:116949124:116957881:-1 gene:gene-LSAT_7X71440 transcript:rna-gnl|WGS:NBSK|LSAT_7X71440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASYMDFLSNLIIILALLFFLLVFFYAYNQQETYGRKTKKYHPVAGTVFSLFIHFNTVHDYLTNLAKKYKTYRLISPFNGEIYTTDPMNVEYILKTNFENYGKGTYTHDLLEDLLGHGIFAVDGEKWREQRKVSSHEFSTKVSRNFSSVIFRKNAIKLGNILLEAANNNHKIDIYFYQVLYLNLYT >cds-PLY93490.1 pep primary_assembly:Lsat_Salinas_v7:9:58726680:58730513:1 gene:gene-LSAT_9X51980 transcript:rna-gnl|WGS:NBSK|LSAT_9X51980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKDKSVVIENPSGSGVAKTSSSNRLFVREEEESERKQTTYDFPLPIVTRNGSSKYDFVKVKVWLGDNADHYYVLSRFLLSRMLTVTKIPNHTALKIALELKKLLVDNSLLDVSQSDLETNLFKLMNWRGYGQEYISRYRMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLASSPLLARDFSSSDELITEFCRECRIVRKGLAGDLKKAMKDGKPIIIEGMHVDPSIYLIDDGNTNKNAEKMSKGPESVPENITIHNMKDQAVERKAIHKREKSGAPEPVVIPIVLKMAEFDHKALLEEWISTRKFSSYPIKDKDKLISNLNTIQDYLCSFTSQGSEVANISTTTFPQTLDWLHNHLLQRIEQGISSASKENVGQHDET >cds-PLY64715.1 pep primary_assembly:Lsat_Salinas_v7:7:138840505:138841495:-1 gene:gene-LSAT_7X83821 transcript:rna-gnl|WGS:NBSK|LSAT_7X83821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDISFGGERAKILNGGQDLSLSLDQYSGSGFQSKHEYLFGRFDMQLKLVPGNSAGTVTTFYLSSQGAGHDEIDFEFLGNSTGNPYTIHTNVYSQGKGNKEQQFHLWFDPTAAFHTYTIVWNSLRIIFLIDNIPVRVFNNNDAAGVPFPKSQPMRVYASLWNADDWATQGGRVKTDWTNAPFTALYRKFNANAKKVGPNSVSTSSINDNQSWSTQGLDAAGRNRIRWVQTKHMIYNYCNDRKRFPNGISAECKTSRFL >cds-PLY75159.1 pep primary_assembly:Lsat_Salinas_v7:1:184481080:184481916:1 gene:gene-LSAT_1X120721 transcript:rna-gnl|WGS:NBSK|LSAT_1X120721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRQIKVSTTSRTKERRIRLSPNTAIQFYDVQDRLGYDRATNAIDWLMKEAKVAIDALGDVNQDDNQGNKMPATTTIFNPSETFLPTTYDQIQQNTNHEQTNSLDHNLSALMNPINRCPQEIDLFSTSKASVDFTWSPNYNRGEGYGFVNREPIQSSFAPPIAHTSNNGFYGCYFQQEIQVQEDEKDNLRS >cds-PLY94188.1 pep primary_assembly:Lsat_Salinas_v7:5:321291487:321294491:-1 gene:gene-LSAT_5X176800 transcript:rna-gnl|WGS:NBSK|LSAT_5X176800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKILESIYLFLLIYLSFTSFFAQSAPLNAIVLLVPGFTGILPSKHYAGYVTLDVIRGKKLYYYYVLSERDPSKDPVVLWLNGGPGCSSFDGFVYEHGPFNFEKDKTGGLPKLHLNPYAWNKVANMIFLDSPVGVGMSYSLDKSDYHTTDTKTALDSHKFLLEWFKLYPEYLSNPCYIAGESFAGIYIPTLSYQVIRGLEIGDKPTINFKGYIIGNGVCDQVFDGNAIVPFVHGMGLISDELYEEVNKTCKGEFYKLRNKECESKMRKVDDVLDGLNIYNILEPCYHANNSNKMNENTKLPLSFRKLGETERPLPVRTRIFGRAWPFKAPVKPGYVPTWPEILESTQAVPCIDDSVASRWLNDEAVRVAIHADPIILAGKWMICTDRIKYHSNAGSMIQYHKILTSRGYRALIFRYIRSLLYISK >cds-PLY66791.1 pep primary_assembly:Lsat_Salinas_v7:9:118762824:118765308:1 gene:gene-LSAT_9X76941 transcript:rna-gnl|WGS:NBSK|LSAT_9X76941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24460) UniProtKB/Swiss-Prot;Acc:A1L4X0] MAILFNPNITLKLNNASKLDIPNYLLQNPRKPSSLSSFHLYSPMRLRWVNGNAYGSDFSYRKMVPTRHQSDSKFVVRSSVKSSQSQVPSNSNGRIIFWSAVTLVLAIGNRVLYKLALVPMKEYPFFLAQVNTFGYVAIYFSILYIRHRAGIVTDEMMILPKWRFAVIGMLEALGVVAGMYAAAMLPGPAIPILNQTFLLWQLGFSALLLGRRYSWNKIAGCLLVAAGVVTAIARYHNFLFLEPTIFWWFLSVCSQVRSNHQNNGMIAQHISTVF >cds-PLY95189.1 pep primary_assembly:Lsat_Salinas_v7:6:168859078:168860282:1 gene:gene-LSAT_6X103400 transcript:rna-gnl|WGS:NBSK|LSAT_6X103400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSLQSSSGCGDGGVGGGGCDEVYDSRTESMFLNPPPTQFNHMPMFQQPPPSSSSSSHHQPQPPSTFFDPSSFSQPLNPNTNPMYNLDSLWSRNQNSMYNLDSQQDPYLNPTPVDDNINPDTEPEKVGHVTTKNPKKRTRASRRAPTTVLTTDTTNFRQMVQEFTGIPAAPFSTSSSSSPFSRRLDLYGGGLAPLNPIRPSAQKIQLQQQPTYLNSTTATTSNFQLPPAETHLFTKQPLNLSNLQRQMFQFQSLSQTRLPQQSSVIEGENHRSEPPNAFESSSSTSLKRWRGQEETLMNLEGGRGNDQNAVVSSRSDGDQLPGNEDSWICPSD >cds-PLY72185.1 pep primary_assembly:Lsat_Salinas_v7:7:59429174:59431205:1 gene:gene-LSAT_7X42561 transcript:rna-gnl|WGS:NBSK|LSAT_7X42561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRTHQAHNNKNNLTSSNESLISSILLVLLETSSHNSCFLEHQQHRYTEQHQTHIQEIKRTSIWDKRVKDKSSIMKYKKGSMVEVLTKENFCHHSWRCAKILSKNSHNYTVTYDIYPGFTNKEDIEQISMKSIRPYPPLLELPESCVPGYVVEVFHNLSWKMAIVLKAFNWDQFVVRLVGSSHELKVTKSELRVPQSFQNGEWIVIDKFKTLINLNSYKDKVLTYKEDVVSNDSVMSSSDSCSINSYNGSDCFEDIERRDSDAESVCEMEDDDDDDDDGCVSLEDEIHRLELNAYRCTMEALHASGPLTWEKETMVTNLRMLLHISNDEHLIQIKNLISSASSNTCNR >cds-PLY75112.1 pep primary_assembly:Lsat_Salinas_v7:5:156701542:156702194:1 gene:gene-LSAT_5X67680 transcript:rna-gnl|WGS:NBSK|LSAT_5X67680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLYVEYMGSAARVILLPSIRDAHHDYVFPQRAFDMNIADLNHHITCITNPGMISTNKVVVVWMS >cds-PLY74986.1 pep primary_assembly:Lsat_Salinas_v7:5:86172166:86174556:1 gene:gene-LSAT_5X39921 transcript:rna-gnl|WGS:NBSK|LSAT_5X39921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVEPKSANESDEFPVGMRVLAVDDDPACLKILEALLRKCQYHVTTTNQAVLALQMLRENKEKFDLVISDVCMPDMDGFKLLEHVGLEMDLPVIMLSSYGDTKHVTKGIYHGACDYLLKPVRIEELKLIWQHVIRRKIGKNRLDEVENVFSDKNEKPNKRRKDSSAQKKPRLSWTKDLHNKFVAAVKHLGVDKAIPTSILKVMNVENLTKQNVASHLQKYRGYLKRISHEENQKGNMVISPNRRLDFAYLPTFIGYENQSLGTNSYPPNNLIGESSTPSGMGIFGISSLPMIQENDSQKLATTINLQEAPNVVDESILYPVTQNALGNLVCPIQSSCYVSNDGFTPMGNNVEGMIPPYSTTYDGGVGSLEDIAAAMMREEKGKGKVIVEGHSGYYDEVCYATSENYIYT >cds-PLY80207.1 pep primary_assembly:Lsat_Salinas_v7:MU042018.1:433802:438070:1 gene:gene-LSAT_3X106041 transcript:rna-gnl|WGS:NBSK|LSAT_3X106041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIFDERPVFDESYSRSGRRYPRNGDLSSNLQVYFFPGLNLRQSREFARFRQGKQRAVGGCLLFLQLFYYESLAIGVPGELGPVVIPCLSELGLIVIPCLSSWTEENISEREKQEKELGGYRSGEVIFKERGLGVHVDTLPDSHRAYLSLAPQEEHQHLEGGGVSVVMNYKDQVTLPTKIKAFGYNNNVNVSMSVNNNNNNNTMDYDQNNGIGIRVGIAYYQNQCPVPNCTFNGQYENLSDHFSKVGFRLVLLRKFSLFLSQIGLTGFSLSDSNGCVTDFVRPTLTITKILLTE >cds-PLY65746.1 pep primary_assembly:Lsat_Salinas_v7:5:275501864:275511907:1 gene:gene-LSAT_5X146360 transcript:rna-gnl|WGS:NBSK|LSAT_5X146360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVVVVAVVTEMTLAVCGGGGGSHGGDGGGGNKDGGGRDGNRTGNRTLARFSSSSAVAAKSSSGGLFSLFTGGSGSSSPPLDFPLKGIELPPSLPDHVEQGNTKITTLSNGIKIASETSPNPAASIGLYVNSGSIYETPASYGATHVLERMAFKSTLNRSHLRVVREVEAIGGNVTASASREQMGYSYDALRSYVPQMVELLVDSVRNQAFLDWEVKEQIEKVKAEIGEYGNNPEALLLEAIHSAGYAGGLGNPLLASEGLINRINSTVLEDFVAANYTAPRMVLAASGVEHEELLKYAEPLLSDLPGGTQVEEPKSVYVGGDHRVMADTGRTSFALAFELPGGWLKEKEAMTLSVLQMLMGGGGSFSAGGPGKGMYSRLYLRVLNEYPEIQSFSAFNSIYNHTALFGIQATTSSDFVSKAVDIAVKELIAVATNGEVNQVQLERAKQSTKSAILMNLESRMVASEDIGRQVLTYGERKPVEHFLKAVDQVSANDITSIAKKLLSSPLTMASHGNVINVPTYDSISSKFH >cds-PLY85448.1 pep primary_assembly:Lsat_Salinas_v7:3:42926313:42928897:-1 gene:gene-LSAT_3X33201 transcript:rna-gnl|WGS:NBSK|LSAT_3X33201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSKFGLLLAGAVAVGAAAAVLKIKGPSVWDKDAVVELFRHMSDRLGNWAIPVYVGIHTISLSLCLPYAVFFEAGASLLFGFFPALLCVFSAKVMGASLSFWIGRILFRRSSSAVAWAHGNKYFHVLLRGVERDGWKFVLLARFSPIPSYVINYALAATNVQFLRDFLAPTVLGCLPMILQNTSIGSLAGAAVASTSASQSDKSKIWSYVFPMLGISSSILISLRIKKYSSSISLDVKPEEKTR >cds-PLY90057.1 pep primary_assembly:Lsat_Salinas_v7:6:17595119:17599374:-1 gene:gene-LSAT_6X13221 transcript:rna-gnl|WGS:NBSK|LSAT_6X13221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAQYSSGADYATTKRKYDDSTTPPPPSSTRRATGFSAPIIPSQSPDSAPAYNSVPPPVDEIELAKQRAQEIAARIFSSAEAKRPKFDNGGGGGGYDSNDSKGFSSGPPDYGQKTYSTTAVSSAYGYGNPSKKIDIPNGRVGVIIGKGGETIKYLQMQSGAKIQVTRDMDSDPHSLTRTVELTGTSESIAKAEQLIKDVLAEAESGGSGIVSRRMPGESGGAEQFVMKVPNNKVGLIIGKGGETIKNMQASTGARIQVIPLHPPPGDTSTERTVQIDGSSEQIEAAKQLVNEVISENRPRNSMGSGGGGYSQQGYQARPQTNTWAPPAPQMQQQGYGYMQPGAYPGQPAQYSQPQYGGYPRSGGYAAGWDQSGQQTAPGAAAAGGAGGGYDYYNQQQAPQTQPPGGTVAAADGSGYGYSQQGQGYGQDGYGGYSQSGYAQGYDQQGYGNPAAGYNETSDGQTGSYGGQGDTTAAQAPPPASGAQSGYVQPPPPAAASYGSYGAQPPSGYGGYGQKPPVTPPAYGQPPPQQSPNAAPQGGGYAQPASYSGYGQADASGQRPPYGGAAAGDGQAAYGQQPAAAAYGGSYGGGYPQQPPAYAGDAAAPATQASQGSSGGGAVAKASPQQS >cds-PLY99354.1 pep primary_assembly:Lsat_Salinas_v7:1:81660220:81660727:-1 gene:gene-LSAT_1X68900 transcript:rna-gnl|WGS:NBSK|LSAT_1X68900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILSLRCPSKTHFSINPTLRKTLLAHRLSPHGRNCSDYSDPSRMESMQMMRLFSVTIIMMMAVSAVSAADPPTPAPMSAATTIYIPTAVASLSALFFAFLF >cds-PLY64606.1 pep primary_assembly:Lsat_Salinas_v7:6:36859588:36861339:-1 gene:gene-LSAT_6X28141 transcript:rna-gnl|WGS:NBSK|LSAT_6X28141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNVHFIMIPLMCPGHLIPMMNMAKLIAKHSATVTIVITPRNAARFGGFLHRAVASGLSIRILQLQFPTTGYGLPEGCENLDDLPTLKLTKNFFDASAKLQEPLEEVFEELNPRPSCIISDKTLSWTADVARKFEIPWVIFDGMSCFTRLATHNLLDSKIHEQVGDFDPFWLPGLPDKITITRSQLPGMFNPGKGAHEKGINIIREKIRAAELGAYGIVINSFEELEKRYIDEYRKVKGKVWCIGPFSQANKNDIDKASMVNNHECITWLDCQKPGSVVYACLGSLTRLTPPQFIELALGLEKSKFPFILVEKGGSRTEEIEKWLKEDGFEERVKGRGVLIHGWAPQVLILSHPSVGAFLTHCGWNSTIEGISVGVPMITWPQFSEQFFNERLVVEVVRSGVGVGAKSVRYLGEEDAAGIQVKREDVCKAVKVVMDEGIEGKERREKAQYFREMAEKALEEGGSSWLNLKLFIEDIMLHTNKDMAG >cds-PLY63499.1 pep primary_assembly:Lsat_Salinas_v7:9:137422124:137424027:1 gene:gene-LSAT_9X88221 transcript:rna-gnl|WGS:NBSK|LSAT_9X88221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADASSVTDEPEVQSEQEKLKHLEFVEAALVQAIVYASKAYDFAKDKTGPLKPSVETFETHVKTVVGPAYEKLQDYPIVALKFVDRKVDESVTQIDGAMPPYVKGLTTTTKGLLVKVDPVAEGYASSAWKTLNYLPFVTTVAKAIAPSATLITEKYNQTAQQTSSFLPLVPTEKISRVFAIPSDTKPDEPVEEVPRGEEEAVEEAGEEVVEPAAAGEEEVVEA >cds-PLY80906.1 pep primary_assembly:Lsat_Salinas_v7:8:258661196:258664877:1 gene:gene-LSAT_8X151100 transcript:rna-gnl|WGS:NBSK|LSAT_8X151100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKVRNSISSVCLGSCVTTRGEEDGVNDSPLAGPDGSQLTGGKIGDRQLAEAETNLAINVIPGLVELYEVQGRGELQLGILLENMRRENFELSISPPRVMYKIEKGVKLEPIEEVTIEVMEALSHRRAEVTDMGPVARNFGKTRMTLTCPSRGLVGYRSVFSSDIRGTGFMHRAFMGILLQKSGVFRYDIHYWLGKDATECTKEAHRLMDCETVFKWILKNSTKSENMNW >cds-PLY73152.1 pep primary_assembly:Lsat_Salinas_v7:2:190719016:190721708:1 gene:gene-LSAT_2X113081 transcript:rna-gnl|WGS:NBSK|LSAT_2X113081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLMILLPLILLLASLASTTALTSDNPSFPSTQAKKMIRDFNLSPEREINIVEDDGGLRLSSVQQNSIVEKRFKFPKFTDPNGASVADLGHHAGYYQIKHSHAARMFYFFFESRGKNTDPVVIWLTGGPGCSGELALFYENGPFKIQNDSSLVWNEYGWDQVSNLLYVDQPTGTGFSYSTDERDIRHNEQGVSDDLYDFLQAFFKEHPELVNNDFFITGESFAGHYIPAFAARVVKGNKAKEGIHINLKGFAIGNGLTDPLVQYPAYTDYALEMGLIKESDYKDINKHLPLCETAIELCGTDGTEACMAACEACDAIFTSIKSIAGNINHYDIRKECVGSLCYDFSVLENFLDEKSVREALGVGDLDFVACSPVVNQALIVDWMRNLEPGIPLLLADGIRLLVYAGEYDLICNWLGNSRWVHAMEWSGKKEFGASPELPFEVDGFEVGLFKTHEPLTFLKIYDAGHMVPMDQPKAALSMLKRWMRGSLSETASQTHSVVDSDTNSFI >cds-PLY80148.1 pep primary_assembly:Lsat_Salinas_v7:3:40630825:40631784:1 gene:gene-LSAT_3X30661 transcript:rna-gnl|WGS:NBSK|LSAT_3X30661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEFSSSNYSSNLSHTMINNYDYSQKYKGVVPQQNGNWGAQIYANNRRIWLGTFKSEIDAAMAYDRASLKLRSADAPRNFPWTKITLQEAKFQTHYTMEIILAMIKDGTYQSKFDDFWKIEKENEQNNNVLSPDQKGNFGFSCRVLFQKELTPSDVGKLNRLVIPKKYAIRYFPHVPDNESEGGGGVHVNDEVNLSFYDVQKRLWKFRYCYWKSSQSFVFTRGWNQFVKEKKLMAKDRIVFYYHENLQVSNMGFWVIDTCWSSSNIGVGLKLGVGAQENGELRRETEESFQDQKMDDEIIEKSSVKTKGLKLFGVLITE >cds-PLY89248.1 pep primary_assembly:Lsat_Salinas_v7:5:310194984:310196224:1 gene:gene-LSAT_5X167800 transcript:rna-gnl|WGS:NBSK|LSAT_5X167800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATFSGLEIGLSFVCGCVLLGCAAELYYILWWKKRRIDIENQNLKLSFSSCSSNYTPTHLSSYISCWKNLNSSEPTKTQEKQQDPEMGLVEDLVLMGSEEESFDLELMRLHNLHGPPRFLTTINEETKEDLESERSRKGSRTRSLSDLLVHFDTPQASPPLKASQLLNPESFQFHHHGFNPLYDIDINKVGSSPPPTFKFLRDAEEKLLRRLMELESEKEANSSAKMVEKDGSVKLVESKGKIQQSHQISAASKVIPLSSSPSNNE >cds-PLY97664.1 pep primary_assembly:Lsat_Salinas_v7:8:6891126:6892905:1 gene:gene-LSAT_8X5021 transcript:rna-gnl|WGS:NBSK|LSAT_8X5021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAGRDLGCQKTMDGKVNEDRCHTDIPSCCLKAQAYTPDPELQANCHATVVSGWFSEHQSSSDNKAKRMYFHNPMWPGEAHSLAVEKILFKERSEYQEVLVFESATYGKVLVLDGILQLSEKDECAYQEMIAHLPLCSIKSPKNVLVVGGGDGGVLREISRHSSVELIDICEIDKMVIDVSKKFFPELAVGFEDPRVHLHVGDAVEFIRNIPEGKYDAIIVDSSDPVGPAQELVERPFFEMLAKALRPGGVLCNMAESMWLHTHLIHDMISVCQKIFKGSVHYAWASVPTYPSGVIGFILCSTEGPPVDFRNPVNPIEKLQGALEHQRELKFYNSQMHRAAFALPSFVRKEVKSL >cds-PLY69078.1 pep primary_assembly:Lsat_Salinas_v7:5:276687243:276688043:1 gene:gene-LSAT_5X144981 transcript:rna-gnl|WGS:NBSK|LSAT_5X144981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSMALSSAFAGQAVKTVPSGSEVFGNGRVSMRKTAAAKKVAPSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWATQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVANNAWSYATNFVPGK >cds-PLY93471.1 pep primary_assembly:Lsat_Salinas_v7:9:60753300:60756684:1 gene:gene-LSAT_9X53261 transcript:rna-gnl|WGS:NBSK|LSAT_9X53261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDNKGGDKSKKQKHSTPSPKENPVQESLEDPSVAVVGPTFLSPPPPLPQPPPPPPPSSQLYITTEPVVNPKRPRYTSATGQWKLLPSPSQKPIPINVVVATEKTPSPSPSTNQPPPTHPVVAAASSSDTTSSPSHSPRPSVSGQETSKSENEQQYTQHQLRKGKYVSPVWKPNEMLWLARAWRVQYQGGGGTPGSDHASENLNPITIEGNVITPTPPSQLQGRGKTRAEKDREVAEFLQRNGVNRDAKTAGTKWDNMLGEFRKVYEWERGGEREQIGKSYFRLSPYERKLHRLPASFDEEVFEELAQFMGSRMRTPSNSICRGNSPFLLTSGDQESRLAVVDTKSLPFPPPFRDDDLHFSSSGRGVSRHLISDQGYIHGVRGSLLGLETSPADVVGGGQYETSSTSTSKELRRIGKVRMVWEELVSLWAEDGEHHRGRVKVQGTSFLNADELTFLDDAMVACTLEAFEDANSPLKGFSVDRFLPGQQVKVFGRRKSTYSTPSHHNNTGIERTQPPSEPSIRSLTSWEFQDPTEYYLGCLRVPPPSLPGLIDLPWYLQEPPPEELRFPIRKDLYRDLPQGKELFFTTSSEPLDCRSITLEILSPIARSNPSLTISTRESFIGVWDDCINRVILKFSNLEMGFIRKPTQLMSSNDVSQDQWPNVTGFVRNFCLWRGEETDRLREDNNLDPSSLMVQKLLWSYSDLPYILGYYAVGYIVTFCALSRTHDRLIRTDLHTIDLSTPGDRIKALVPCWRIAGLLSLLADRCANVQQINKAFPYSDFERIDLGNGNIIELTPNYMTRVFSSKRKWVTVKEIYDFLDHRIPHSEYICQSSERDLSLSFKPRGCKLKLSNMEQLVEALKHVTKALVALHDLSFMHRDLGWDKVMRRSDRENEWFVIGFDEAASAPQIYPPQQEGVASKTTTVVGGGGGGRHAPEMKGRGMHGVKVDVWGVGQMLKTCGLVGLPKALRELQNRCLDQNPEQRPTAADCYHHLLQLQSSMSAAAGDY >cds-PLY76099.1 pep primary_assembly:Lsat_Salinas_v7:9:30578208:30578528:1 gene:gene-LSAT_9X27861 transcript:rna-gnl|WGS:NBSK|LSAT_9X27861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTITNDKGRPSKDKIEKMVQEAGKYESRDEEPKKKLEVKNALENYTYNMRNTAKDEKLGEKLTLVDKKIEDAIDEVIVWLYTNQLAEGDEFKDKMKELFPILKLLG >cds-PLY99356.1 pep primary_assembly:Lsat_Salinas_v7:1:81447983:81449969:1 gene:gene-LSAT_1X68640 transcript:rna-gnl|WGS:NBSK|LSAT_1X68640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSMRFSLLLMLIMMPLSSFASSSQTCPQIKPFLHDLQLQCPTTIMYSSPIKMNGDSLDKVLSSSHMNAHVAILFYASSCPFSTNFLPKFDALTSMFPQIKHVTIEQSSVPPIVFSRFGIHGVPSILIINKTTRIRHHGSKELLSLVHFYEKATGLEPLIDLTQDEIGFPESKSKVLESCTKSEPYLLFSLFFIFLKTLLYLYPNMVSNFIALWFTYIPHLNLAIFGESRQILARVLHLVDLKRAFLSFGKLKLIKSVVLVGQDFFLGRKAVGFCHKHV >cds-PLY81365.1 pep primary_assembly:Lsat_Salinas_v7:4:35546314:35548578:-1 gene:gene-LSAT_4X23500 transcript:rna-gnl|WGS:NBSK|LSAT_4X23500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar iron transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G01770) UniProtKB/Swiss-Prot;Acc:Q9ZUA5] MGTVGESEKYLLNRHKEHHFTAGEVVRDIIIGVSDGLTVPFALAAGLSGANASSSIILTAGIAEVAAGAISMGLGGYLAAKSEADHYARELQREQEEIDTIPDTEAAEVAEILAEYGVEPHEYQPVVNALRKNPKAWLDFMMKFELGLEKPDPKRALQSALTIAIAYVLGGAVPLSPYMFIPIAEKALVASVIVTIFALLVFGFAKGYFTGDRPVWSALQTALIGVIASAAAFGLAKAVQG >cds-PLY68264.1 pep primary_assembly:Lsat_Salinas_v7:3:189089965:189090414:-1 gene:gene-LSAT_3X113620 transcript:rna-gnl|WGS:NBSK|LSAT_3X113620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVDGLEARIAMGMYMGIHNPTTLRSTPSFMRTKLRAKPSAALIASSRTEGRTVGGLLLQPKHDLNEVKGDDDTVNNIIIKKKKRVFFLDVNPICYDGSTPSLHSFAHWIFLFFSEVSLTDPVIVVSTTSLHYVSMPNFKPNRPIVLSQ >cds-PLY70021.1 pep primary_assembly:Lsat_Salinas_v7:8:146253874:146255349:-1 gene:gene-LSAT_8X98880 transcript:rna-gnl|WGS:NBSK|LSAT_8X98880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGVCARVSIGQVLLSVRCKDGNSQNAQEALRRAKFKFPGRQKIIVSRKWGFTKFSRTDYVQWKSENRIMSDGVNAKLLGCHGPLANRQPGRAFINAVA >cds-PLY90903.1 pep primary_assembly:Lsat_Salinas_v7:1:57402437:57403470:-1 gene:gene-LSAT_1X48220 transcript:rna-gnl|WGS:NBSK|LSAT_1X48220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKEAPIVTPLMDFIRQKRAAEGESQRLLPNGKLMKRGKEKEIPLISSGLSSVKNSHGSNAPKQREGQGSSGRVIRSMLFILTKFGFDTTCHDRIGMYLHSNPPASPYFYGHHEYERSYWHVPSSFGSDTTCHGHKFIINPCPCGVSRSPIKPRYQPYHVRHAKWFRHAMGSSGKRH >cds-PLY64119.1 pep primary_assembly:Lsat_Salinas_v7:1:1920049:1925222:1 gene:gene-LSAT_1X2021 transcript:rna-gnl|WGS:NBSK|LSAT_1X2021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLICRRWELVVFELSAMRGVVAYGVMVVMEAERGLIGVVVNNGIVQITLSNPEGHLTGIQYKGIDNLLEIMNDEPNRGYWDVVWSPPGSAGTKGTLERIAGTSCEVVIETEDQVELSFSRTWDSSDEGKLVPLKIDKRYILLRGSSGFYTYAIYQHLEEWPSFNLDNTRIAFKLRKDKFHYMAMSDDRKRNMPLPDDRLPGRGEELAYPEAVLLVDPVEPNFKGQVDDKYQYACELKDLRVHGWICKDPSVGFWQITPSNEFRVGGPIKPELNSHVGPTTLAVFASTHYGGSDMVIKFSQGESWKKVFGPIFIYLNTVSDEEDPLTLWDDAKNQMSIEVELWPYDFPASADFQYGDQRGVVSGRLLVQDRLIYGDDCISAKGAYIGLAPPGEAGSWQRECKKYQFWTEANEDGYFEIPNIIIGEYNLYAWVPGFIGDYTNSTIINITSGVNIHMGDLVYEPPRDGPTLWEIGFPDRSAAEFYIPDPDPIYANNFLTHDPNRFRQYGLWDRYSDLYPDEDLVYTVGESNYTNDFFFAHVLRKTNNDTYEKTTWTIKFNLDNVNESEAYILRLALASAHQSNLQVRINDLNKDPVFSTGKIGGDNAIARHGIHGVYWLFNIKIPCNYFYTCRENLIYLTQANNQSRFQGVMYDYIRFEALPTSIVKKN >cds-PLY73826.1 pep primary_assembly:Lsat_Salinas_v7:7:69612992:69614508:1 gene:gene-LSAT_7X50120 transcript:rna-gnl|WGS:NBSK|LSAT_7X50120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFAQLCSDLKRKLRPFTSDEPGGKKITFERVLLNTCQEAFESLVKPRKETRPTITAPDKESERSCRDKERIVKQRGFGSIRLIGELFKHKMVTESIVRHIVLEKAIRFMDFRQYSPGFTSSDIYGSQPRKKPLANQRFPHPRVASFTIATYNALVDTLNGVFTPLRSEEYFWYPVDYQESIRGQSKPVKAATLSGESNADEIKRITISLLNEYFSVLILDDVLQFVEELKFPYDYPEFVKEAIILFLDRSPPCVEPIAKLLDFLLLKKILVKSDLRTGYASLLTLDDVDSDLRLAPNDFGEIMGHLVLSRCIDFKVVGEVIDEIEDEHYFRQAVFDGVVRIVGSSSSANVLLAAQADDVAACKSLY >cds-PLY88712.1 pep primary_assembly:Lsat_Salinas_v7:5:66417251:66418872:1 gene:gene-LSAT_5X30901 transcript:rna-gnl|WGS:NBSK|LSAT_5X30901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAIYKVLKRAFAGIFLESSHRFRKRRGNQRFSDEKSAGSCGGSMTVFDKLTDELLIEILIRLPQKQANICKCVSIRFRSLISRSYFIRRYVNYHQNNNCFGLYYQSQLLFPKIRPSEIQKDIAFGFPMFESPGFSLSFIKKEILQFLASNNGLVLCSAPLRNPIVYFVCNPLTKQWIPLPPPPTNIKTVYIGFICNPRYSCNDDDERGSFKVVRIEVVNCNSHWDLSDTLKLEIFCSVLGRWREEYFMSNSTHDHGFFCGWDSWCPSAVVCDGLLYWNSVSNSGIFCYDPYNGECRTIKLPHEIRNQVRHFRYISGNCCLGEYADRLRYFYFSRRSWNYRVWELKNGGGCEWLLLHEVELDEMKCGDKNIDKNKMGLLSPHPLNQDILFFWCPAELPSFTIRIVEYDMEKKLLTLPCLLRDARVISFFFPLFSPFVLPCWPTAVPPIQQHGLS >cds-PLY64031.1 pep primary_assembly:Lsat_Salinas_v7:1:127744021:127746664:-1 gene:gene-LSAT_1X96161 transcript:rna-gnl|WGS:NBSK|LSAT_1X96161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDRYTHQQLRIGLVSPQQISTWSKKILPNGEIVGEVTKPYTFHYKTNKPEKDGLFCERIFGPIKSGICACGNYRVIGDEKEDPQFCEQCGVEFVDSRIRRYQMGYIKLAYPVMHVWYLKRLPSYIVTLLDKPLNELEDLVYCGIRNEKLSSHLIQSGCPETFTSDFEGGRSYPNFYFARPIDKKPTFLRLRGLLEYEIQPWKYRIPIFFTTRSFDTFRNREMSTGGGSIRQQLANLDLRIIIDYSLVEWKELEEEEPTGNEWEDRKVGRRKDFLLRRMELAKHFIRTNIEPKWMVLRLLPVLPPELRPIYHIDEDKLVTSDINEIYRRIIYRNNTLTDLLTTSIATPEELIISQEKLLQEAVDALLDNGICGQPMRDDHNRVYKSLSDVIEGKEGRVRETLLGKRVDYSGRSVIVVGPSLSLHRCGLPREIAIELFQAFVIRDLIRKHLASNIGVAKSQIRKKKPIVWEILQEILDDHPVLLNRAPTLHRLGIQAFLPVLVEGRAICLHPLVCKGFNADFDGDQMAVHVPLSLEAQAEARLLMFSHMNLLSPTIGDPISAPTQDMLSGLYVLTSGNRRGICVNRYNPCNRRNYQNEDNNYKYTKKKEPFFCNPYDAIGAYRQKRINLGSPLWLRWRLDQRVIAAREVPIEIHYESVGTYYEIYGQS >cds-PLY79131.1 pep primary_assembly:Lsat_Salinas_v7:9:91271908:91275842:1 gene:gene-LSAT_9X69841 transcript:rna-gnl|WGS:NBSK|LSAT_9X69841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPSKCVILMLSYCFLFSLVAASNENALVRSEAEIEAISHHYQTLKLRSFESSSICSPSTNGEKRRGSLEVVHKHGPCSKLTEDMAKPLTLDEIFSHDQSRVDSILNKVRQDIQGSKTTLPAKSGSSIGTLNYIVTIGLGTPKRDLTFVFDTGSDLTWTQCQPCAGSCYRQKEPIFAPSSSSTYSNISCSSSECAELPSATGNLPRCSSSTCVYRIQYGDNSVTVGLFGKDKLTLTSNDVVDGFFFGCGQNNAGLFGGAAGLLGLGRDKLSLVLQSAKKYGKVFSYCLPSTTSSTGFLTFGSSGIGSNVIYTPISASQDSSLYGLDLEAIYVKGNKLEISPNVFMTSGMIIDSGTVITRLPPTAYSVLSKAFRAEMTQYPLTQGPMLFDTCYDFSNYGNVTIPKISMVWGGNVNVEIPPPGILIPVSAEVYCFAFAANRGDSDLGIFGNTQQKTLEVVYDLTAGKIGFGPGGCS >cds-PLY90862.1 pep primary_assembly:Lsat_Salinas_v7:9:161429313:161433062:-1 gene:gene-LSAT_9X101600 transcript:rna-gnl|WGS:NBSK|LSAT_9X101600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQDPRRKNPKEVEFFTEYGESNRYKILEIIGKGSYGVVCSAIDTHTGEKVAIKKITDIFDHASDAIRILREIKLLRLLRHPDIVEIKRIMLPPSRRAFKDIYVVFELMESDLHQVIKANDDLTHEHHRFFLYQMLRALKYMHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDAPQAVLWTDYVATRWYRAPELCGSFSSKYTPAIDIWSIGCIFAEVLTGKPLFPGKSVVHQLEFITDLLGTPSADTISGVRNDKARKYLMDMRKKQPVPFSQKFINIDPLALRLLQRMLAFDPKDRPTSEEALADTYFKGLAKLEREPSCQSISKMEFEFERRRVTKEDIRELIFREILEYHPQLLKDYMAGNENTNFHYPSAIGQFRRQFAYLEENGGKSGPAMPLDRKHTSLPRSSVNSSMIASRPQQNTVSFDNRRVIDNTITSTSSRVTDTPGNVPPYKAAASTTRPPPKMPTPTIGNQGRGIGPALPYEEVYDPRVMVKNTVAPQYVYMTTNGPEPVRDPMGPSPHPYQQPKLSGGIVIDLNSNPYYTQPPHGHHVVHTHGHEVHPQGQTQFGHGHGHGHVTANAAHTVVQIGLS >cds-PLY74403.1 pep primary_assembly:Lsat_Salinas_v7:1:173682408:173682897:1 gene:gene-LSAT_1X115380 transcript:rna-gnl|WGS:NBSK|LSAT_1X115380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMSRFQGRLNLLEVMQLAVKAALIKAYNKGSKTRVIRRADLITLPGVLLYNNRSM >cds-PLY90372.1 pep primary_assembly:Lsat_Salinas_v7:7:186830759:186838041:1 gene:gene-LSAT_7X111881 transcript:rna-gnl|WGS:NBSK|LSAT_7X111881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLKKTGVSARVINAMFSQWGIAESAVTAMGWNISGELCSGAAVDTTDFDSQGYNPAIKCDCNIPNATACHITRLKVYAMDAVGPIPEGLWTLRYLTNLNLAQNYLTGPLSPSIGNLTRMQYMTIGINALSGQVPPELGQLTDLRSLGFGTNNFNGSLPSELGNLRLLDQIYIDSAGVGGEIPPSFANLRNMQTVWASDNNFTGRIPSFIGNWSQLRSLRFEGNSFEGSIPPSFSNLTLLQDLRISGLSNGTLDFIRDLKSLNVLMLRNNRISGSIPSDIGEYVNLTQLDLSFNNLSGPIPAGLFNLSQLSFLFLGNNSLTGTLPNEKSRTLSNIDLSYNQLSGTLPSWANNQTLQVNIVVNNFTLNNSALNCLQSGFPCNRGSPIYSDFGINCGGPQITSSSQLVHEQDNEQLGPATYYLTPERRWGVSNVGRRDNPRYTAFALRQFTNTLDSELFQTARLSAGSLRYYGLGLENGNYTVNLRFAELAIEDGSTWRSLGRRVFDIYVQGNRVFQDFNIKREAGGASFSPVSKEVTVEVINNYLEIHLLWTGKGTCCVPTQGDFGPLISAISATPNFVPTVSNNPPSNKKKNNTGLIVAGILVPIVVVSFMVLLALYLLRQRRKKKNNDDNYDEEFLGIDTKPYTFGYGDLRDATDDFSPANKLGEGGFGPVYKGTLDDGRVIAVKQLSIASHQGKSQFVAEIATISAVQHRNLVKLYGCCIDGEKRLLVYEYLENKSLDQALFGRKKLSLTWSTRFEICMGLARGLSYLHEESRIRIIHRDVKSSNVLLDSDLTPKISDFGLAKLYDDKKTHMSTRVAGTIGYLAPEYAMRGHLTEKADVFGFGVVALEIVSGRPNSDSSLDDERIYLLEWAWNLHEANRELELVDEELSEFDENEVKRVIRVALLCTQTSPTYRPSMSRVVAMISGDVEASGEITRPEYLTGFKFNDATTFKSAVPTSASTTTTTTTTGTSDGVASTSNSTVSPSLLSPHDVSRPMLHDIIGEGR >cds-PLY67475.1 pep primary_assembly:Lsat_Salinas_v7:6:69755520:69759027:-1 gene:gene-LSAT_6X49701 transcript:rna-gnl|WGS:NBSK|LSAT_6X49701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLRVNGMIVGFVAAVIYLIRESEAVSNAGLPPNWGLTTVNRSSFPSDFIFGSSSSSFQNEGGTNEDGRAPSIWDTFTRRHSDKIADHSNGNMATDSYHRYKEDVKMIRQMSMDAYSFSISWSRVIPLGKISGGVNERGISYYNNLINELRANDLEPFVTLFHFDLPQALEDEYGGFLSSRVVNDFRDFAELCFRRFGDRVKYWITMNEPWSYSVGGYEKGNFAPGRCSDAVGKCPAGDSGIEPYIVTHNMLLAHAAATKLYHDIYKGPQNGKIGISLVTRWMVPYSDVKLHRDAAIRALDFDFGWFMNPLTFGDYPENMRINVANRLPRFTAEESYTLRNSLDFLGLNYYTANFVQHVSEAVTDNMTRSSDSQAELSIERNGIPIGSKGGSDWLRSYPQGIHDLLVYIKNNYNNPIIYITENGVDEPNNSSLSLRTVLQDDFRVQYYVVHLQKLLQCINSGVNVKGYFARSLMDSFEWDRGYTVRFGLHFVDYNNDLRRYPKFSSTWLTNFMRIKG >cds-PLY75654.1 pep primary_assembly:Lsat_Salinas_v7:1:99781850:99782745:1 gene:gene-LSAT_1X81061 transcript:rna-gnl|WGS:NBSK|LSAT_1X81061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQMLFAYGCHDAMLLRLLHTPCLRLTDVSIVFMKPIQDFVRAERLTLATMLSNLPKVRSLVTDGHFLKFLSAEKNLNWLPHAVNGLHNLLLTDFELGDLDQLHGALYLLRNSPNLGNLGIVPWYMGPQVDAGPALNHLESLNCLDSTLNELQTVMIASLEGSRPELLFIKLLLAHSPSLKKFTFRLNEVDVQKRLKIGEDLLQFPRASPKAELVYLNLEL >cds-PLY70756.1 pep primary_assembly:Lsat_Salinas_v7:8:165919946:165923565:-1 gene:gene-LSAT_8X109060 transcript:rna-gnl|WGS:NBSK|LSAT_8X109060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPALEAVEFDGSSSSLKRVCVMDAGGCLGSSLVYSLLQRVEMESMERRIVDNKNVRVFHTDPMDYQSIVEALEGCFGLFYSFEPQFDQPSYDELMAEMEVRAAHNVLEACAQTDTIDKVVFTSSATAVFWRDPSDSTPSLGDFDERNWSNVNFCKKFKLWHGLSKTLTEKTAWALAMDRGVSMVSINAGLLLSPDLTVTHPYLKGAAEMYKDGVFVTVDLKFLVDSHICIYEDSSAYGRYLCFNHVINSNEDAVNLAQILLPADISSLPPSMMVGENKMMPQRISNKKLNKLMANFEGGATLSATE >cds-PLY86937.1 pep primary_assembly:Lsat_Salinas_v7:5:260149295:260149883:-1 gene:gene-LSAT_5X130221 transcript:rna-gnl|WGS:NBSK|LSAT_5X130221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METSVRWCKSGSLSCCWSRRTTNYDELLSLSVSTSKPRIIWRILWRKVQKAKKKMYTFSESTRFGYEASDYAQNFDHGLMSNESDDLSRSFSARFAVPNSAIFHRKRLIA >cds-PLY90824.1 pep primary_assembly:Lsat_Salinas_v7:6:154937170:154938221:-1 gene:gene-LSAT_6X92761 transcript:rna-gnl|WGS:NBSK|LSAT_6X92761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPNEVAALEFIRQHLLDEFESSPIDQIFTDFTYTPSFNSDDHCSISSSHCDSSSSTTSPLSDFSNHSIDYDLTRTISFSETESTEHETTNPVSTKPVERTAGGREERRYRGVRQRPWGKFAAEIRDPKRRGSRVWLGTFDSAIEAAEAYDKAAFAMRGSKAILNFPLEVASLKKMDEYGGGHKRDREHEVVVERNTIMAETAVDDPATPSSNWITFFNS >cds-PLY63319.1 pep primary_assembly:Lsat_Salinas_v7:9:133100786:133101307:-1 gene:gene-LSAT_9X84961 transcript:rna-gnl|WGS:NBSK|LSAT_9X84961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRNSWHKKPSFTILNIFKGKKAKGNEEAEWDDYVKAYKVFSSDQDGARWVAQPGIDKRAGAYIDSITGGWNHLSIAD >cds-PLY64997.1 pep primary_assembly:Lsat_Salinas_v7:4:208567893:208573237:-1 gene:gene-LSAT_4X117321 transcript:rna-gnl|WGS:NBSK|LSAT_4X117321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPTFHESSDASGRQFLLRTECDLLVNVADTQLKITNGIAWPTSKTVIHSQPISKGCVKKTVLSVDLRCLKCRKKVMMSISSIEGINSIALDPSKNTATIIGEADPISIIRKVRKFKRLAHLISVGPAKEEKKEEKKDEKKDEKKDGKKDQKKDVSAHADVLPLTPRTCHRCDVWYVVNQDYVRPCEIL >cds-PLY86392.1 pep primary_assembly:Lsat_Salinas_v7:8:283416440:283418246:1 gene:gene-LSAT_8X160241 transcript:rna-gnl|WGS:NBSK|LSAT_8X160241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNGVLLKPNTLFQIPISPTSPLLHSNKTHHSFFSIQLPKHKLLSFHVKLSSGFPVFASKTSIKVETNIPETENSDFEDEFDEQFEEDDEDEVEDEDEEIFVPLKNMRQWTRNKPQGFGEGKEYDTSVEDKLLEELEQSRVAQLANVTNLKKNPEDGNPNSKKEKLLKQKVPEAIPNGTRVRLINLPKKKNIHRDLQAAFKPFSGIINIIPAVLGNEKTREPVCKGFAFVDFKSEKEANRFVDIISTEPITFGKVEKQIRCEIMKSTSPNPPSIKAPVHGTKNSLPITNPNALLPSSNPVTETLLDSYVEDAAFDTFKNIDENEDEFVKFDNVIQEKEKEMVSEPLKKVGEKEKKKKKKMKTVKKKVENVTKQNIPGSANRLKMKEKALLSGVFSKYGGKSAMVAKGTEVE >cds-PLY95488.1 pep primary_assembly:Lsat_Salinas_v7:4:264789971:264794538:1 gene:gene-LSAT_4X137260 transcript:rna-gnl|WGS:NBSK|LSAT_4X137260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich SC35-like splicing factor SCL28 [Source:Projected from Arabidopsis thaliana (AT5G18810) UniProtKB/Swiss-Prot;Acc:Q1PDV2] MGRYNRSGRSRSYSPRRSRTPPPPTRRRYDDPRDSRYDDFRDRRSNPHPHRRRSPAPSGLLVRNISLDSRPEDLRIPFERFGPVKDVYLPKNYYTGEPRGFGFVKFCNAEDAAEAKHQLNHCVIGGREIAIVFAEENRKTPREMRTTSQPSGRYGGGSGRRSPPRFSRRRSYSRSLSPARREFSNRDRRDRDKFVPERSRSRSRSPRDGRKSRNYSRSPQRNGRDPVFQEVKGSPHEKRATEDAGPPSRSFSRSPPHQSIAHTR >cds-PLY82303.1 pep primary_assembly:Lsat_Salinas_v7:1:67761024:67762264:-1 gene:gene-LSAT_1X57741 transcript:rna-gnl|WGS:NBSK|LSAT_1X57741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSITKRLEGKVALITGAASGIGECTAKLFAEHGAKVVIADIQDQLGQAVCEAIGTSNSIYVHCDVTNEEDIKNAVDTAVTTYGKLDIMFNNAGVVDPIKARIIDNEKANFERVLNVNVTGVFLCMKHAARVMVPQRSGTIISSSSLSSHLGGIASHAYCCSKHAIVGLTKNLAVELGQFGIRVNCLSAYGIATPLTKKITGLEKEAFENAMNLTANLKGVTLKTDDAAYAALFLVSDEAKYISGQNLLIDGGLSYANTSFNFFKYGEEL >cds-PLY65250.1 pep primary_assembly:Lsat_Salinas_v7:8:231588025:231591505:-1 gene:gene-LSAT_4X85660 transcript:rna-gnl|WGS:NBSK|LSAT_4X85660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNHRGSGIHLIFVSVFLVANICSCLGVENTSMVCLEQERLALLKFKQSVIDSYGMLSSWVGTDCCMWERVHCDSVTGNIRSLNLRGSIMGIYYSLVGDGVSFSLAELRHLSYLDLSWNHFGGSQIPDFIGSFKQLSYLNLSDAGFQGIIPHHIGNLSNLKVLDLSSNYELKADDMTWTFRLSSLEHLDLSNVNLAGAQNRDMSLYMIPLLKELSLSDCGLSNADLAPFLNSSRILSNIKHLDLSRNSFKGPFPEFLLNTESLVFLDLGFNSFDGPLPGFLQNLTSLSFLDLSGFDLSLTWNFANLLNMFPSLTELHLSACRLHKRLLSPPQLNFSTLSNIQRLDLSVNSFGGIFPSYLTNMSSLRVLDLSENRLNSSVPIMPNLVELDLSTNEFKQLEHVGIWRQCHLKKLSVSDNYFVIEISGEPKNVSECSEYALESLEIRRGLNGTIPEALGRLANLREIDLSSNGLTGPIPKSLRRLRFLEVLYMSRSHLTGPIPAFLGNLNTLDLSFNQLNGLIPESFGKLTDLMLLSLRSNQLTGSIPTSLGGLVSLQVLDVSSNMLNGTIPVSIGQLAKLHNLDLSNNSLEGVVFESHFANLSMLKYLDTSSNTRLTFNVSHEWLPPFELKTLNLRSCNIANGFPQWLRYQRKLETLALSNATISGPLPTWLLKMPIIPFFDLSHNKLNGSLTNLPNGRNDSVNNLFIGWIPRSLLLENNLFTGSIPRSLCRRTDLKYLDLSRNRLTGNIPKCLGNLQELHTMIFSSNRLSGVIPSSLALCSSLYRLKLNDNNFIAEPPLELRNLRNLEVLDLGDNQFCGNIPEWVGESLTYLMVLRLHKNNFTGRIPRSLCKSSNLHILDVAYNNLMGNIPDCLGELTAMLSFTFPDYRRPEDNVIQVMNGVVLEYTRTWDLVLNMDLSSNKLVGEIPVQLTELVMLLGLNLSNNNLIGNIPDSIGNMTKLLSLDLSRNELTGMIPPSMAALNFLSHLNLSNNNLWGIIPTGNQLQTLDDPSIYAGNKDLCGPPLPRNCSNHEGPTTISKKKNEAADERAKERFFYVDVMSGFATGFWGIIGFLLFKKQWRQKVFMLAEETMDKIYIAVVVRVAKMKRGREDE >cds-PLY97157.1 pep primary_assembly:Lsat_Salinas_v7:3:138440810:138444936:1 gene:gene-LSAT_3X92801 transcript:rna-gnl|WGS:NBSK|LSAT_3X92801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MEMEGRVYSDLYRNSSEDMFIRTLMESPVGMPSPTMEILGFKNLSSNFRADSHNPSSLVHNRSRQLSRRLLVDQATQQNGVSFERKPSNENFIPQSSLVVGESSNDVNAHPIRNVGASNLYLAKAWFHSSQPMTRSRSSELRKRYVAMQNSQTAIGMEAMHNASGNRVNQLKQQFVNQSQINEASMYHTNGFIPQSHSSSSNINELQFGTIDKVSSVVSMLKGTLERKKLRSQTEKEAIEDDSLGYYSTMNNVNEIQDYEVQETFQDLSTNEMKDSGLFQIVQGGIDADMENFVAPTNHIQMSFASREASQSESSPVISNGFDACDGQSNSGQTPSVCESSRKQVGIAKTLGTREQMYDNLQEDHKQKRSLIRFGSVTSAASVDSRDPTKKRRVERSRKMAEAKGRTQTPVISTDMQSILKRCENLEKEVRSLKLNLAFMNRKDSEQTKQIEELQKQHEEMKDEKERLLEEIERILSEPDKM >cds-PLY76827.1 pep primary_assembly:Lsat_Salinas_v7:3:3066778:3071755:-1 gene:gene-LSAT_3X1420 transcript:rna-gnl|WGS:NBSK|LSAT_3X1420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVKEMHPLCCISLESPSIGDQSPEPRVKLSRSCSVADMRSDGNAVGLRRSGSESCSGSTSFAGVLYKWTNYGKGWRSRWFLLRNGVISYSKILQPETLAAGEDVRFIGDVSSGRLKRLDRSGSSRHHKHQKAVGIVHLKVSSFRESRSDDRRFYIFTATKTLHLRTNSKKDRVAWIESLASTRNLFTLRRLNSKPSILPPDLSLSTERLKKRLLEEGISEVLIKDCEQIMLLEFSEIKGQVQLLCEERSNLLDTLRQLEASNIEGETSGVPEGDYQLTQQEFTDRGKYSEWSTTESSQELEDQGSEDEEACFFDTKDCFCFPTATDVMGEAIIERRKKLPDPCEKEKGVSLWSLIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEHGNSLERVLNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPEKGVRFFSEKVSHHPTLIACHSQGRGWKFWGDSNIRTKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKVYCDHHGLMHIRGNREYSCKLKFKEQSILERNPRQVHGFVEDVNGKKQATLFGKWDDSMYYINGITNVKPKDMSDAHLLWQRTLPPVNLTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYDMANSEKLRLETRQRMSRKLQENGWKPRWFKKEGEDGTYRYIGGYWEEREDGKWEGCPNIFGEFSNDSINIS >cds-PLY65506.1 pep primary_assembly:Lsat_Salinas_v7:3:1606395:1609050:-1 gene:gene-LSAT_3X681 transcript:rna-gnl|WGS:NBSK|LSAT_3X681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVRGMVDEEVALVIQSSNLNSNSKEGSNNSNGGPISVLDTRRSSSPSTSTSSFTTNVNNIHQKWPEEMGFRISESVANLADWSALHPIAPEFCLQHKRFGVGVGLDDMLSGGSHEQDHDLLRWISGDVDVTLLQIDENAPPSPLNLPPQNPHKNYNSQHPGHRKPPAFDQSHEFLLKKQNQQLSLHQQKSMIAAMAVAKQEPPSPPQKQLICDQLFAAAELMLSGNFSHAQGILARLNHQLPSAMSSCNYNPIQRSGFYFKQALQTQMAFLTPIPIPNPNPNPTLSNGMFKMCAYKLLSEVSPIIQFINFTSNQTLLEALGDSDYIHIIDFDIGIGAQWASFIQELPRKNNNGCSLKITAFASPSTHHPVELGLMHENLSQFAHQIGISFQLEVVNFDSFDPNSFSVSGNYAIAVNFPIWSTSTRLPAIPSLLHFIKQVSPRIVVALDRGNERTDLPFPQYLLQGLQYYEVLLDSIDAANVPPYTANKIEMFLLEPQINSMVTGKLQSPEPMPHWKTLFTAGGYFPVTMSSFAEAQADCVVKRSQAQGSGFHVERRHSSLVLCWQNRQLMAVSAWRC >cds-PLY97540.1 pep primary_assembly:Lsat_Salinas_v7:5:239871175:239873667:1 gene:gene-LSAT_5X118400 transcript:rna-gnl|WGS:NBSK|LSAT_5X118400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPDSIFDDQFPAPEIGNLAVDEELPPLEDVDHTINNYCNPFDAQGLSEQVQDLDNHDQTPFSIPDFDISTIGISYSKLKSKLAYPEQNSPASLELLSYRRKFKGLPEVSTDGIVLDCVGKLSTVEIIELAAEKFIKFSTQRGNAYTMFTHPYGSSAFTSLSIDETREVELVFQLLTAAEKVGRKQFDIASKYIARCGSVACDSGSPVERLVYHLCEALQKRIGKEIGIPFATKLEKQGIKNENPMALGTNMTFLAVYQALPFNQVLHFTGIQAILDQVGTSSKVHLIDIHIRCGVQWTAMMQAFAEQSPQPELLKLTAFATTLDFQKVEETGRRLKNFAKTLSLPFLFKTLVLSDITEVEKHQFEVQDGEAIAIYCNMILRAMISRPQRLENMMRAIKTINPAIIVVAEVEANHTSTSFVKRFTETLFFYGAFFDCINVCMSQDNAHRAVMEGVHFAGGMQNLVAAEEGEPMSRSVKLDTWRSFFARFGMVEYELSDSCIYQAKLVLQQFPCVSSCMLENNDKFLIVGWKGTPLVSLSTWKFI >cds-PLY84429.1 pep primary_assembly:Lsat_Salinas_v7:4:289926044:289926907:-1 gene:gene-LSAT_4X149900 transcript:rna-gnl|WGS:NBSK|LSAT_4X149900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEQKVGLGEMRNKKIRISTDAEENFPGINASDDNGNVIGGEQVQGLATDEDAQMISIETEKKVYPFIGNGRYAHFGQTYSHDFHRRAAASYPICDGFSQFRPPNLFNVAEDYENQEFGRDPNRLDYFRVMPFDVFGDDHLTGIVGYGGGVGGRLDRGLVEGLIGSDTAVAGGGMRLRVPVGISANNSTEAVLDRVNQEKGNGVDGGGGGRRVVGPRLEKERGSSETAGVGGGDGGEGVVERLLGLKKDGATVTVRVAVIVVMLLMMVMVTVMMVLVLVMRKMEVKG >cds-PLY89627.1 pep primary_assembly:Lsat_Salinas_v7:8:220873215:220875746:1 gene:gene-LSAT_8X133781 transcript:rna-gnl|WGS:NBSK|LSAT_8X133781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKQEWGFKENHELHAAAAITVRNILQAIIGNIDETRTGKPMIHLGHGDPSVYPCFQTSTVVEDALVEAIRSSKYNCYAPGVGIIPARRAVAEHLSRDLPYKLTTDDIFLTVGANHAIEVLVKVLARPGANILFPRPNYSLYEAQSRCNLLEVRHFDLIAEKGWEVDIHGVKALADDNTVAIVLINPGNPCGNVFTFEHMQKIAEIARQLGILVIADEVYAYQVFGENPFIPMGVFGDIAPVVTLGTLSKRWIVPGWRFGWIAITDPTGILHKTGISSSIKSCLELTADPPTVIQAAVPHIMENTPESFFLNINKLLKEAADLFYERLKEIPLLECPHKPEGSMFAMVKINISAFDDVVDDTDFCMKLAKEESMILFPGYAVGLKNWVRVSFASEPKVLEDAIGRIKAFCLRHAKQ >cds-PLY84550.1 pep primary_assembly:Lsat_Salinas_v7:1:30725199:30725613:-1 gene:gene-LSAT_1X26621 transcript:rna-gnl|WGS:NBSK|LSAT_1X26621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFYLDFQDSRDDARPSPPSVPVVILQLFCFEELGKAPHVFDGMPHIRCTFLLCSISSHSHAHGRIIDGYTYTSIMFSSFW >cds-PLY99012.1 pep primary_assembly:Lsat_Salinas_v7:5:28860878:28862145:1 gene:gene-LSAT_5X13900 transcript:rna-gnl|WGS:NBSK|LSAT_5X13900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQDPVGKPAVDKQDDSTAVTRSGQSIFMSVYKTKVADQCWLITITWCKNMLLHGLSVSIDGPQPETTCKFELKPWYFWRKQGSKSFVLDGKSIDVFWDLKSAKFHGETEPTSEYYVAVVCDDEVVLLLGDLKKDAYRKTGCRPALIDPVLVSRKEHVFGKKKFITRVKFHEKGGFHEISIESKNTSTDHGVDTEMEIRIDGHLMIHVKHLQWKFRGNECVNLKNVKLEVYWDVHDWLFNHGLRHAVFIINSTTPAAFPATPLSWSCDSSEGFDSSGLYEFCLFLFAWKVE >cds-PLY63166.1 pep primary_assembly:Lsat_Salinas_v7:4:312272451:312278237:1 gene:gene-LSAT_4X156361 transcript:rna-gnl|WGS:NBSK|LSAT_4X156361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMALALRRLSSSADKPLQRLFNGGHLYSMSSLPSEAVYEKERPGVTWPKQLNAPLEVVDPEIADIIELEKARQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALEAFRLDPAKWGVNVQSLSGSPSNFQVYTALLKAHDRIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSATLFRPKLIVAGASAYARLYDYARIRKVCDKQKAILLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGLKEVTKQGKEVFYDYEDKINQAVFPGLQGGPHNHTITGLAVALKQATTAEYKAYQEQVMSNCARFAQTLMDSGYELVSGGTENHLVLVNLKPKGIDGSRVEKVMEAVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVAYFFDLAVKLALKIKGEAQGTKLKDFVAAMESSTFQSELSKLRHDVEEYAKQFPTIGFEKETMKYKN >cds-PLY72352.1 pep primary_assembly:Lsat_Salinas_v7:3:107691005:107692799:-1 gene:gene-LSAT_3X77600 transcript:rna-gnl|WGS:NBSK|LSAT_3X77600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITRSKLVEQLRDSQIRSQHKWSPLVIFSPKPNLSSWIDVGVAIFWLIVLIILVTSSYMTLYLRHYWLSFIIICLSISIPIRLRISRQTIARKKDRRLLLPLSM >cds-PLY81292.1 pep primary_assembly:Lsat_Salinas_v7:3:203679267:203687563:1 gene:gene-LSAT_3X119060 transcript:rna-gnl|WGS:NBSK|LSAT_3X119060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKMAELTGHTSRVLFMAQGKGDGLAIQRSGLQDNWVDAKGYCSYHFGEVLDSRYEVLAVHGKGVFSTVVRAKDLKAGSTDPEEVAIKIIRKNDTMYKAGLEELVILKKLVGANMEIGATMCVLKKFGRNIGLKLTVVRAYAKQLFIALKHLRNCGVLHTDIKPDNMLQIELEKKSEPAGAGNRKMSKNKIQNQANTTTTRSSNRIAKWEMEHGPSTPSRNSRVAIPRG >cds-PLY81821.1 pep primary_assembly:Lsat_Salinas_v7:3:33746032:33746235:-1 gene:gene-LSAT_3X24800 transcript:rna-gnl|WGS:NBSK|LSAT_3X24800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRLELLTVKCMAIVELGGIGYGGAVDLQWWVRSVVKEEWTTEVFDFHLVRNKDVKEEMVRLLYV >cds-PLY78237.1 pep primary_assembly:Lsat_Salinas_v7:6:7846880:7850779:-1 gene:gene-LSAT_6X4840 transcript:rna-gnl|WGS:NBSK|LSAT_6X4840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVQKDSEKIIWDQMRTHASNNTSPILSNRVGSGLPKLMLYLISFVCATYLVYTLKLLNTPNLPCGEHDLIFSSRASSQNVTLTPAIVAPEKTELKHIVFGIAASAKLWEKRKNYIKLWWKKEAKMRGIVWLDNPVKTQPDDGLPPLKISGDTAHFAYKNKQGHRSAIRISRIISETIRLGMDNVRWFVMGDDDTVFITDNLVRVLNKYDHNQYYYIGSLSESHLQNIYFSYGMAYGGGGFAISYPLAKALEKMQDRCIQRYPGLYGSDDRMQACMAELGVPLTKELGFHQYDVYGNLFGLLAAHPVTPIVSLHHLDVVEPIFPEVTRVEALKRLMLPMKLDSAGLIQQSICYDTSKSWTVSVSWGFAIQVFRGIMSPREVEMPSRTFLNWYRRADYTAYAFNTRPVARNPCQKPFVFYMTKVRLNSTTNETVSEYTRHRVPHPPCKWKMLDPSILDKVVVYKRPDPQLWDRSPRRNCCRVLESKRKGLVVDVGLCGEGEISEVE >cds-PLY68800.1 pep primary_assembly:Lsat_Salinas_v7:3:64120141:64124424:-1 gene:gene-LSAT_3X50400 transcript:rna-gnl|WGS:NBSK|LSAT_3X50400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKTRSMQKRKNAKDKKETKVPKKMKHQSRKSPSKRKTPEKQQLQDSDSDFESSHPSKKAKKKKKGVTNQKRKKKPMVKEFYSMKNRCSPEALLSIILGMSKEQKESVRSMGFGALLKMKITDIPLKLGFYVLQKFDSERMLIDFEGKELKVTAESVHDMLGIPIGEPSLHNWINGLRMIQLFYADNIHSEALTVTRKRPTICYWSSEKIRYRETFEQEKGRFGVGELNEEFVNEQNEGDTDLEDSDSDKDEDHSVENQKEGEIGVKEKDGKRNENQNDEEEKDDNAEETNNHEETIQQTENQNLLDKVVDNVLGIGVSSLNSQEDEIWNHPEKKSIFDNIYIGSPMSTGKTNTLAEKEKSEGVHEQGTKVEKTKGDDTGKENSEDRNEGGTEAKNTKDGCEEKQTEIEKGNAEDRVLYLSGKKKSENQNKKGEKADKTKGNKGDTHPSFSLGLSQNSDQTSSKKSNESSPKKALTKKQIKDDHQKVVIRLAKKNVLNPNPISVSIPTEVGPSKHDLDQPREKKLADAFKSPFKCRITDTKPKLTHQESIVCEWLFNLQGNTSDVVVQKKYGQIAERVVMESLYASMEIFGEVLDTWSDLLNHQELERDFGNSPYRHFLKVGVSTAYLTSTLSDERKYEKFMENFHDSTNGYKEILNIKDIDMKNLFVRYFKEINHPRANAVSKKVSNPNDLKCHGEQLKTKLIVGSLQ >cds-PLY66797.1 pep primary_assembly:Lsat_Salinas_v7:5:18671503:18675764:1 gene:gene-LSAT_5X9460 transcript:rna-gnl|WGS:NBSK|LSAT_5X9460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTGDGKDGLPESNRDTEIVGSDRTAFSGPLNKRGTRKSARFNIPDDSGSSSGGGSMKSRNNDDYVEITLDVGDDSVAVHSVKTAGDVEDPELTLLAKGLEKRSSLGASVVRNASSRIRQVSEELRKLTSISKRPTAGRYDRTKSAATHALKGLKFISKTDGAAAWAALEKRFDELTATTTGLLPRALFGECIGMNKDSKEFAGELFDALSRRRNITGDLINKAQLKEFWDQIADQSFDSRLQTFFDMVDKDADGRINEAEVREIISLSASANKLSNIQKQADEYAALIMEELDPDNLGYIMIESLETLLLQAPTQSVRGESKNLSMMLSQKLRNANDRNILQRGYDRFKYFLQDNWQRCWVIALWIGAMAGLFTWKYIQYKNRAAFDVMGHCVCVAKGAAETLKLNMALILLPVCRNTITWLRNKTKLGVVVPFDDNLNFHKVIAVGITIGVGLHAISHLACDFPRLLSATEEEYEPMQRFFGDQPDTYWHFVKEAAGYTGIIMVVLMAIAFTLATPWLRRGRLNLPKPLKKLTGFNAFWYSHHLFVIVYTMLIVHGIKIYLTKEWYKKTTWMYLAVPILLYMGERLTRALRSSVKPVKMLKVAVYPGNVLALHMSKPQGFKYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLKTVFSQVCQPPANGKSGLLRAEFQNNPNFPKVLIDGPYGAPAQDYKKYDVVLLVGLGIGATPMISIVKDIVNNMKTKKEEDEALENGGNSPLPPASPMSKKSTSNFNTTRAYFYWVTREQGSFDWFKGVMNEVAETDQNGVIEMHNYCTSVYEEGDARSALITMLQSLNHAKNGVDVVSGTRVKSHFAKPNWRSVFKRIALTHSGQRIGVFYCGAPAPVKELKQLAADFSHKTTTKFDFHKENF >cds-PLY86408.1 pep primary_assembly:Lsat_Salinas_v7:2:148190565:148199386:1 gene:gene-LSAT_2X73420 transcript:rna-gnl|WGS:NBSK|LSAT_2X73420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELDPIIATDTSLKQAEDERSNGTISKLLGGVNHTLRKLIFRVISSRPIPEHIAFILDGNRRFAKKWKLTEGAGHKAGFFALMAVLKYCYEIGVKYVTIYAFSLDNFNRRPDEVQYVMDLMQEKIEGFLNELTLINQYGVRVLFIGDLDRLYEPVRIAAEKAMEATAKNSRTYLLVCVAYTSSHEIPRAIHEACQEKSEANSIRVMNSDINHGGQSVIKVVDLEKHMYMGVAPDPDILVRSSGETRLSNFLLWQTTNSFLYSPKALWPEMGFWQVVWGILEFQNNYQYYEKKKKQA >cds-PLY66120.1 pep primary_assembly:Lsat_Salinas_v7:7:32158006:32159277:-1 gene:gene-LSAT_7X24160 transcript:rna-gnl|WGS:NBSK|LSAT_7X24160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 7 [Source:Projected from Arabidopsis thaliana (AT1G29260) UniProtKB/Swiss-Prot;Acc:Q9XF57] MPAFKTPFNGYAVKFSPFYEQRLAVATAQNFGILGNGRIHVIDLSPAGPIAEIAAYDTADGVYDVSWSESHDSLLVAAVADGSVKLYDLSLPPTSNPVRSLSEHTRETHSVDYNAVRRDSFLSSSWDDTIKLWTIDRPTSVRTFKEHAYCVYSAAWNPRHADVFASASGDCTARIWDVREPGSTMILPAHEFEILSCDWNKYDDAVIATSSVDKSIKVWDVRNYRVPVAVLNGHGYAVRKVKFSPHRASVIASCSYDMTVCLWDYMVEDSLIGRYDHHTEFAVGVDMSVLVEGLLASTGWDEFAYVWQHGTDPKAP >cds-PLY65013.1 pep primary_assembly:Lsat_Salinas_v7:1:119734022:119738632:-1 gene:gene-LSAT_1X91820 transcript:rna-gnl|WGS:NBSK|LSAT_1X91820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative ALA-interacting subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G46150) UniProtKB/Swiss-Prot;Acc:Q67YS6] MDIDAGATSAVGTDNQQTPVLRGRSKAIHQFTQQTLPACKPVLTPAWVIGTFFMMGVLFIPVGLISLHASQSVHEIVDRYDVDCVPDNFKNNKIAYIKNSSVSKSCHRYLKAHKHMKAPIYIYYQLDNFYQNHRRYVKSKSDDQLLHGLGYNKTSSCEPQAFDNGLPIVPCGLIAWSLFNDTFSFSRGTKKLEIERKNIAWKSDREHKFGNKVYPFNFQNGSLIGGGKLDTTIPLSDQEDLIVWMRTAAFPSFRKLYGRIEEDLEEDDIIIVHLKNNYNTYSFGGTKTLVLSTSSWLGGKNSFLGVAYIFVGSSSILIAFIFLLLHVKNPR >cds-PLY71031.1 pep primary_assembly:Lsat_Salinas_v7:5:43314982:43316102:1 gene:gene-LSAT_5X20961 transcript:rna-gnl|WGS:NBSK|LSAT_5X20961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQKLWQEEDNHEGFGQLFVVSEEQKLDWCDMFYITTLPHNLRQFQLFQKLPPVLRDKLEAYSMEIKKLAMAILGQMAKALGIDGEEMSELFDDGVQSMRMNYYPPCPEPESALGFTPHSDADALTILYQLTETEGLQVRKDGKWVSVKPLPNALVVNIGDIMEIITNGVYKSIEHRAIVHSNIERLSVATFYSSSMGTELGPARSLVAQHNIANFRRVPLEEYFKGFFARKLDGKSFLDYMKVEELKKNVT >cds-PLY93556.1 pep primary_assembly:Lsat_Salinas_v7:2:173353561:173354900:1 gene:gene-LSAT_2X95861 transcript:rna-gnl|WGS:NBSK|LSAT_2X95861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVIAAYLLALLGGNTSPSAEDLKKILGSVGADADEDRIELLLSEIKDKDITELIASGREKLASVPSGGGGGVAVAATGGGGAAPAAAAAAEPKKEEKAAEKEESDDDMGFSLFD >cds-PLY80878.1 pep primary_assembly:Lsat_Salinas_v7:8:128269096:128269561:1 gene:gene-LSAT_8X87801 transcript:rna-gnl|WGS:NBSK|LSAT_8X87801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCHALCVEGGMVLATVIALVPGIDPSTSCLITEGLLFAWWMCGIYTGMARQSLQRKYHLRDSPCDPCGVHCCLHWCALCQEHREMKLHLAENVEETLMSPPRIQEIYIYIYLMYCVNV >cds-PLY75097.1 pep primary_assembly:Lsat_Salinas_v7:2:94752690:94753004:-1 gene:gene-LSAT_2X42060 transcript:rna-gnl|WGS:NBSK|LSAT_2X42060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRDVPSSSKILEGFRQLTPLGFRPLTSEMQTVLVAVDKPKKGGKGSKKGVTRLLQQKVHQVLQNLRLKSESHQQPLLLLLLKKKTTSSQMKNSYTIGKRRVRL >cds-PLY82691.1 pep primary_assembly:Lsat_Salinas_v7:2:18398714:18403133:1 gene:gene-LSAT_2X8321 transcript:rna-gnl|WGS:NBSK|LSAT_2X8321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP sulfurylase 2 [Source:Projected from Arabidopsis thaliana (AT1G19920) UniProtKB/Swiss-Prot;Acc:Q43870] MAASHVIKSSLIEPDGGVLIDLVVPENQRELKASQAEGMEKVQLTKIDLEWVHVISEGWASPLKGFMREDEYLQSLHFNSLRMKNDDGYGSIVNMSLPIVLAIDDATKEKIGGANDVALVGPDQKIVAILRSIEIYKHNKEERIARTWGTIAPGLPYVEEVITPSGNWLIGGDLEVLSPIKYNDGLDNYRLSPKQLRKEFDRRQADAVFAFQLRNPVHNGHALLMNDTRRRLLDMGYKNPILLLHPLGGYTKADDVPLDVLEDGVLDPETTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFRVAAYDTVAKQMAFFDPSRAKDFLFISGTKMRTFARTGESPPNGFMCPGGWDVLVKYYASLQTEEAKATVSA >cds-PLY64384.1 pep primary_assembly:Lsat_Salinas_v7:4:27293164:27296370:-1 gene:gene-LSAT_4X19700 transcript:rna-gnl|WGS:NBSK|LSAT_4X19700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPATALLLLSLLFSPSISFPFDTAYHIDCGSSTTTTDKFNTTWLSDRYFTAGSNGLVSEPLLFQSFHEKTLRYFPISSGKKNCYIFPELPSGRYYLRTFTVYDNYDGKSHSPSFDLSVEGTLVFSWRSPWEEDISRYGAYSDLIFFTSDGDADVCFYSIATDPPVIGSLELIQIDPASYDPVLTGNDSSILVNYGRLTCGSGQWGPGFSNDTDVFGRAWQSDAKFRSANSIGIKSVTAVRNVINTDTSPNYFPAKLYDSAVTLTGKGNLEYELPVDAKLDYLVWLHFAEIDVSVNQKGKRVFDVIINDENVTRIDIFKEVGGFAAYSYHYVAKNLSSTPLIVKLVPVIGSPLLCGLENYAIVPADVMTVPEQASAMQALKESLRVPERMGWNGDPCAPTNWDAWEGVTCHPNKDESALVIFQIDLGSQGLKGYISDKIDLLTNLVSLNLSSNSLGGTLPAGLGQNSLKKLDLSNNLISGSIPDSLTSSNLQLVLLNGNKLEGQVPEELYSVGVHGGAIDLSGNKGLCGVPSLPDCPMFWKNGGLSNGGKIAVGVSCGVLFLFVAVIIYICIRRRRNDYDFGLPQELMSLAAKRNKYQRQKSLMALEMESQHAKGFIPSYN >cds-PLY97543.1 pep primary_assembly:Lsat_Salinas_v7:5:233401531:233403715:1 gene:gene-LSAT_5X115400 transcript:rna-gnl|WGS:NBSK|LSAT_5X115400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMEGFRVLDEKSLREYIKATPSLASKLGNEVDNFKIKEVGDGNLNFVYIVISPSGSIVIKQAIPYVRCIGESWPMTKERSYFEATALKRQHGLSPKHVPEVYHFDRTMSLIGMRYIEPPHIILRKGLIAGVEYPLLAEHMAEFMANTLFYTSLLYLTTTQLKSAVAEFCGNVELCRLTEQVVFSDPYKISEFNRWTSPYLDTDAEAVRNDQILKLEVAELKSKFCEKTQALIHGDLHTGSVMVTHESTQVIDPEFAFYGPMGFDIGAFIGNLFLAYFAQDGHVDQGNDRKTYKAWIMDTIADTWNLFYKKFTSLWDIHKEGPGEAYLHEIYNDLELRELVKQKYMMDLFHDSLGFAAAKMIRRIVGVAHVEDFESISDLVKRSDCERRALEFAKNLTKKRRNFNTIADVIALAK >cds-PLY86733.1 pep primary_assembly:Lsat_Salinas_v7:2:197040656:197042238:1 gene:gene-LSAT_2X118881 transcript:rna-gnl|WGS:NBSK|LSAT_2X118881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSKHSISQDKKVESLRPKTDHVVVGLSKGSRTLSSQSQSQTGIHMRNGNDIFLSAFSSSNGKKRHDAPYSFVNVLGVPRMAVAGAKPEFFVPRMKDDVKENESLLLNEVKMWDNDSIVWGHKIKRKTDVSWESLRQRGILEVVNESESKSKVRESEKVEKDGKFGVDRAPVRYILAT >cds-PLY97327.1 pep primary_assembly:Lsat_Salinas_v7:3:137538893:137539153:1 gene:gene-LSAT_3X91901 transcript:rna-gnl|WGS:NBSK|LSAT_3X91901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARWCRTLLCCVLIMLFVNNDVLQMTEARKIAGSFHCTSKCLEGKLVNDENGQVPTSGVNTAGGDVDAFRPTNPGHSPGVGHSVHV >cds-PLY73239.1 pep primary_assembly:Lsat_Salinas_v7:8:44786277:44788803:-1 gene:gene-LSAT_8X34221 transcript:rna-gnl|WGS:NBSK|LSAT_8X34221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDNHLHHHHFTATHGPGPGPSSSSDLFSSPDNGLPSKRKRRPAGTPDPDAEVVSLSPKILLESDQYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRDSPEVKKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNNKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACTIRRIHSDLPTFQPACSSRTASSTSPSNDKILTSIPTMARSHHRQPPPQTVFSQSQEDMQNNLELQLLPSLSLYEQNNNNQNHLNLSIGNGVCLQKEEEMKMALADQAFAEDARQQAKKQIEMAEMEFENAKRIRQQAQVELERAKILREQATRKISSTMLEITCYSCRQRFEASSNNFGATTADETSIAPSYMSSAVTEGEGD >cds-PLY83606.1 pep primary_assembly:Lsat_Salinas_v7:3:73652055:73654581:-1 gene:gene-LSAT_3X62620 transcript:rna-gnl|WGS:NBSK|LSAT_3X62620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILVVFFASFLLSCLPFLHSATNHSISTSICPKSFVCPHLAPSKYPFYNATDTQCGLIKVNCTSYGGEIHLGRDSYQIQGNFVSDSSIWVVNKVFGKLVEKERCEALMYNFSSPSPLLYSTSIKPFIRLYKCTNNSTYDAQTEAYFHQFNYNSYNKCKDHNFYYKYSISNTTILSDLPLTCQAIRLPVETGDHKVLNETNIFSLLSSKFSVLIKLSPSCDKCHKEEGQCHTQNGQFLCSNAKMGDAHWHNHDGLKSTNTKKVLAGSAFILILSLLMFIIWRRYKTNPPSYYSSKDDISLNNEDGSLFYGVSVFSYTELEDATQNFDPSKELGNGGFGAVYYGKLQDGREVAVKRLYEHNYKRVKQFINEVKILARLRHPNLVVLYGCTSRQSHELLLVYEYISNGTVADHLHGELANPSFLTWPLRMNIAIETARALVYLHASEIIHRDVKTSNILLDHNFSAKVADFGLSRLIPNDVTHVSTAPQGTPGYVDPQYHHCYQLTDKSDVYSFGVVLIELISSMVAVDLSRSQDEISLANLALNRIQRCALDQLIDPDLGSDSDAEIMRMITSVAELAFQCLQYYSEMRPTMSEVLDVLEDIQAPGRIDSVKAPPPSEASDKTVLLKDFLPSPVSVTGEWHSESTVSTTLSVT >cds-PLY74505.1 pep primary_assembly:Lsat_Salinas_v7:7:37469084:37473035:-1 gene:gene-LSAT_7X27781 transcript:rna-gnl|WGS:NBSK|LSAT_7X27781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVDEIERQVSKFQRIGSNGDNSSNPHKIATLRGNLDLRNHEHRFPDENGGVGGRLCGWPASRIVRVSRASGGKDRHSKVLTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAVEWLIKAASGSIAELPSLDPSFTGADGHNQHHHNDHNNQHQKQLSDEKKSTGHDAEFEDPNYQNHHEQNNNNNNNNNVSLSKSSACSSTSETSKGSGLSLSRSENRVRARERAREMAAKKEKEKDTDPVRASVVTQVNGIAHNSSFTDLLTGGINSNTVSPNPAATPESRMQWTTPMDYFFNRPPQSSPQIIQMPQFNIAAVDNHPQQHHFSFLQENFAPVVTTSGGDGGGESYNLNFSIAASSSGGGIAPGFNRGTLQSNLLSILPHHHHHFQRFQSPSMVDGSTSTNFPFFIAPTIGSGAPEHFPATGYDSQRRQLYGGADGGARHSDHHKEKSKN >cds-PLY79826.1 pep primary_assembly:Lsat_Salinas_v7:8:14999153:15004679:1 gene:gene-LSAT_8X11060 transcript:rna-gnl|WGS:NBSK|LSAT_8X11060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTLSSSLVLNLLIHVGLPVITIITFYFLNIIWMKPQRLRRKLEKQGIRGPKPSFPYGNVGEMQKIQAAAIAMKASKSSDGDFVGDDYTSTLFPYFEQWRKQYGSIYTYSTGNKQHLYINDPELVKEMNQSITLGLGKPSYVTKRLSPLLGNGILRSNGHFWVHQRKIIAPEFFMDKVKGMVGLMSESAEPLLRKWEAACIEGEGSGIADIRVDDDLRAVSADVISRACFGSSYTKGKEIFSKLRTLQKTISSKGMLFGLPTYGLRKDVKSLEKEIDSLIWEAVCERKCQQTPLLKKDLLQMILEEAMDHFASKDESKHFIVDNCKNIYFAGHESTSVAASWCLMLLALHPEWQTHISDEMSEACPNGVLDVDSLPKLKSVTMVIQEAMRLFPPAAFVSREALERTQIGHVDVPKGVCIWSLIPTLHRDPEIWGPDSHVFRPERFINGVTKACKSPQAYVPFGVGARSCLGRNFAMAQLKVVISLITSKFKFSLSPNYQHSPAYRMVVQPGHGVNIIVQKS >cds-PLY86521.1 pep primary_assembly:Lsat_Salinas_v7:4:198340068:198343162:1 gene:gene-LSAT_4X112420 transcript:rna-gnl|WGS:NBSK|LSAT_4X112420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQKKRFSIGTMGLKSLGVCRVEKLKSSMVNARSPRMKLWLIRATTTVLLWTCFVQLTALGGTWGPRVLKGWPSCFTQDSHSASALDLKLLPTVPARVLPPKRVYKNNGYLVVSCNGGLNQMRSAICDMVAIARYLNVTLIVPELDKTSFWSDPSEFEDIFDVDHFITSLRDEVRILKELPPRLKKRVELGIVHTMPPVSWSDISYYHNQILPLIQKYKVVHLNRTDARLANNNQPLELQKLRCRVNFGALRFTTQIEELGKRVVNLLRKNGPFLVLHLRYEMDMLAFSGCTQGCNNEEIEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALTLRALDIDHDIQIYIAAGEIYGGKRRMDSLQDAFPKLVRKETLLGADDLQYFQNHSSQMAALDYLVALESDIFVPTYDGNMAKVVEGHRRFLGFKKTILLDRRVLVDLIDKYTNGILNWDEFSISVKQVHQQRMGNPTKRLVISDKPKEEDYFYANPEECLHLQTSDDQTVSIL >cds-PLY81340.1 pep primary_assembly:Lsat_Salinas_v7:4:37944313:37945746:-1 gene:gene-LSAT_4X25441 transcript:rna-gnl|WGS:NBSK|LSAT_4X25441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGLRPIITNLKSKYGPLITFSFGSRPLIFICSQDLAHEILIQKSSSVADRPSYSSLEESCTITKASYGSTWRFLRRNLATEILQPSRVKSYSGARKWALQILIGRLQQQQQQEEAAGVKVIDHFEHAMFSLFALMCFGMKLDEHRINEIISKSRDMLFAVHPGNLGVNILCTFPRLGKILLRKKWKDILQKREDQEKVMLPLVKSRIEAVNNSEPQLGGNQMVAYVDTLMHLQLPEENANNGNGGKLTDKDLVSLCNEFLNAGTDTTISALQWIMANLVKYPHIQRKLYDEIVSVVGPPPPLPPPGVELKSFIREEDLQNMSYLKAVVLEGLRRHSPAPFVLPHRAQEEAELRGFTIPRGATVNFTVADMGLDPKVWDDPVEFKPERFLQVNNGVFDVTGRKGIKMMPFGVGKRMCPGYALGLLHLESFVANLIWYFHWTPPDGHDVDLTEKAEFTIVMMNPLQAKISSRTDKITT >cds-PLY84466.1 pep primary_assembly:Lsat_Salinas_v7:7:127944505:127948835:-1 gene:gene-LSAT_7X76440 transcript:rna-gnl|WGS:NBSK|LSAT_7X76440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVSAAITVLCERLISTDLLKLARSEGIDSQLNKWKKTLPLIQAVLDDASQKQIADRAVQLWVNDLHNLAYDIDDVLDDLATEAGQRKLNHESHASTSSNKVLKIIPTCCTNFTPRNIMYGRLMSSKLDEISNKLRDLVDQKINLGLNVNVNAERPNRLERRLGQTSLVDESKIMGRKGDKEALLKKLLRNERFDQNVGIVSIVGLGGIGKTTLAKVVYNHEKVKDEFEFRIWVCVSGEFDVLDISKAILEAVSGEEKRSSNLDLIHVVLKEKLLKKKFLLVLDDVWNEDESKWELLQSPLVVGAPGSKIMVTTRNTRVVTMMDSDEVYHLDVLSNEDALSLFAQNALGEKNFDKHPTLKLHGEGIMKKCGRLPLALKTLGRVLKTTRNVDEWEKLLNSEIWDIEDGSEILPALKLSYYHLPPHLKQLFAYCSLFPKDYVFDKNELVLLWMAEGFLSQSKGNNSMENLGHHYFEKLKSRSFFQNSTNDELRYTMHGLINDLATSVAGEFFFRFDELRYANETFKNFRLHHFSFINLQSYAQLKKLKSASCLRTFLPMSGGFLHDVLDELLPKLQYLRVLSLANQITKGVPNSVGSLKHLRYLNFSYCGISDLPEQITDLYNLQSLLLRGCFRLSCLPKGFIKLINLRHLDMSDTPMLTKMPLGIGGLISLQTLTKVIIEETNTFKISELKRLLDLQGRLSIMGLDKVIHPIQAKDASLHQKKGLDVLELVYSDVFDESRNEMIEYEVLKELTPHHNLKNLKILFFMGMRFPSWVGDPVFDQLTEVTLRGCTCSHLPTLGHLNSLKKLIVQKMNELKTIGLELLTPTNSNSFIHIAFPSLEVLKFLDMQNWEKWSTFGDEDNNDEISRSLFPRLHKIFIKHCPKLTQVSIGLIPSLRVLHIKECSEMVLRSMVGVSTSLVALKMWKVKGLTQLHEVDLMHLGALENLYIDGCDELMYLWEQKTEACKSFVSLKKLEIRNCKKLVSLSLSLSLVEKEREVNLGNTITNSMESLKEVIFRNCDTLESYNCLNSVERLVISCCHSLTSLTFSSSFTKTISSDCVFCLTSLDIRNCKNLKSFPYEHFQSLTSLEQLSIYDCQSIDYTFPCGLWPPNLSSLVIGCLNKPMSEWSPQNFPTSLVELHLNGKNSGVVSFVVEKDVKNTTTTSSSSLSFLLPKSLASLTLDDFMNVESVSEVLQHLSCLKRLHIMSCPKLRDLPEISSTSDHPSSSLTIKDNQSLQQRVEKQENNRLHNLKEAVKVGALPRFLCFRASHVQLAAMALPLTELKA >cds-PLY84237.1 pep primary_assembly:Lsat_Salinas_v7:7:76786907:76821469:-1 gene:gene-LSAT_7X54661 transcript:rna-gnl|WGS:NBSK|LSAT_7X54661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARSFSIEEENLVASIDISNGKKVIINRPNKLNCLNYQVIVQLLKMFEVYENDPTIKFLMLTGNGRAFCSGGDITSLMMLTSAGHWSFGANFFRKQYTLNYLLGTYKKPLIVLLDGIVMGGGAGLSMNATFRIVTENTIFAMPEASIGSVPDVGSSNFLSRLPGHFGEYVALTGVRLNGIEMVKCGLATHFILSKNLSSLENALSMMTSSNAGSLTKISEIIKNFEHGKNNKLEKTNSRRIWPHASMKSGLLML >cds-PLY80131.1 pep primary_assembly:Lsat_Salinas_v7:3:106532987:106537574:1 gene:gene-LSAT_3X78600 transcript:rna-gnl|WGS:NBSK|LSAT_3X78600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTSMAYYGAGGTPPFFASTVASPTPHPYMWGGQHPMMSPYGTPVPYPALYPPAGVYAHPNMPMTPTTAPPNTEMEAKAYEGKERSTTTNKKSKGISGNGNVGVRTGESGKAASSSGNDGGATQSADSGSDGSSDGSDENDQNEFSGGKKGSFNQMLADANAQNNNIQTPVPGNPVASIPGTNLNMGMDLWNPAAGNTAIKMRPGVSRGVVPPPMMPDQWVQQDERELKRQKRKQSNRESARRSRLRKQAECEELQTRVEALTSENHTLRDELQRLSEECQKLTSENNSIKDELTRFCGPEAVSKLDEGNS >cds-PLY87080.1 pep primary_assembly:Lsat_Salinas_v7:5:260377056:260378161:1 gene:gene-LSAT_5X130340 transcript:rna-gnl|WGS:NBSK|LSAT_5X130340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQGGKAKPLKQPKSEKKEYDEVDKANIQKKKDEEKALKELRAKAQKGALGGTGLKKSGKK >cds-PLY93684.1 pep primary_assembly:Lsat_Salinas_v7:2:200229279:200231792:-1 gene:gene-LSAT_2X121001 transcript:rna-gnl|WGS:NBSK|LSAT_2X121001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSHIALLFSNLVIFLIFATISAFDGGNETDYQALLKFKSMITNEGLSSWNASYHFCDWGGVSCAKQNKRVTTLPLVTMLPYLVYLQLWGNQLSGPLPPSISNCSKLEHLEVTANYFSGKLIDFAKLKDIYQISLGVNTFGFGETDDMKFIDTLKNCSRLDVLLLDDCRFQGALPTSIGNLSDQLSFLHLGRNHFSGSLPSSIGNLVGLTKLVLGENRFTGIIPSTIGKLQNLQEAYLYDNLFSGPIPDTIGNLSSLTKLWLNSNKLEWHIPSLLGNCHNLSMLYLDHNEFSGPIPKQLLQIPALTIALNLSQNNLFGSLPIEVGELKMLSSLDLSHNNLSGNIPSSLGGCTSLVFLNLRGNLFQGMVPSSLSSMRGVSMLDLSHNNLSGKIPQFLEQLTLLEYVDLSFNDFEGEVPVTGVFANASAFSVLGNRRLCGGLPELGLPKCNETRDKHKKRFPLFAIFILIASTLFTILCFAYVWCKKRKSQPSQSSRDEQFMKVSYAQILKATNGFSQANLIGEGGFGSVYKGVLDDTTVAVKVIHLQNRGAHRSFIAECEAWRNIRHRNLLKIITSCSSLDFQGNDFKALVYEFMPNGSLHDWLHSSANTSRLNLFQRIKILIDVASALDYLHNHCLPTMVHCDVKPSNILLDDDMVAHVGDFGLAQFLGANSNQNSTSGIRGTIGYAPLEYGVGSEMTSSGDVYNFGILLLEVMTGKRPTDNIFNEGLSLHKFAYMALPDHVTDVIDDDLLKFLQEDAIPMIADVKKIEECMALTVKLGVSCSVDSPTQRMNIVNVVHELQHILDTLQNS >cds-PLY92472.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:2050939:2051364:1 gene:gene-LSAT_0X18520 transcript:rna-gnl|WGS:NBSK|LSAT_0X18520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLDMEKQWRIASGLVSGTPTNDLGGSRNLLRHQLNASFPGVFHGDEMAGNCFLRVPRQSLDAIGDGQSSLSGEADLSRSSGCWLQIEKTEVGDSCRRSCWRRRSYKWLNVCEVRLPPSLFYLLVFEGDHGRRWSTVIVAI >cds-PLY78563.1 pep primary_assembly:Lsat_Salinas_v7:1:103929752:103930095:1 gene:gene-LSAT_1X83681 transcript:rna-gnl|WGS:NBSK|LSAT_1X83681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTGKVETYPLAIQGFHLRCLPSRLSCLPISTGFGAECISAPHLFQNHRGITGETTAEEITLCLLALCGIIDYDGSMKKTTSETTEM >cds-PLY72351.1 pep primary_assembly:Lsat_Salinas_v7:3:107586942:107588034:1 gene:gene-LSAT_3X77741 transcript:rna-gnl|WGS:NBSK|LSAT_3X77741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVIDVVEGMKAGLIAFKTQVEKVKEDMEQMKKENVVEGMKVDFVALKIEVEKVKEDMEQMKKENYSDVIAMKEKLYKFTIGALLLGTGLAPCGGGGGWAAASGGGGGWVVVAAVGGGGWVGGGGWAASGGGGGGWVVVVGGGGG >cds-PLY64461.1 pep primary_assembly:Lsat_Salinas_v7:3:15534648:15535557:1 gene:gene-LSAT_3X11160 transcript:rna-gnl|WGS:NBSK|LSAT_3X11160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAQASLFTPTLSNPVSPWKQSSFTAVKQLKLTSRTFTIKAAAEEVATPTKEAPVGFTPPELDPNTPSPIFAGSTGGLLRKAQVEEFYVITWESPKEQIFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKIKYQFYRVFPNGEVQYLHPKDGVYPEKVNAGRQGVGQNMRSIGKNVSPIEVKFTGKQPYDI >cds-PLY90623.1 pep primary_assembly:Lsat_Salinas_v7:6:51589793:51591223:-1 gene:gene-LSAT_6X37581 transcript:rna-gnl|WGS:NBSK|LSAT_6X37581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIPPIAEDDKAHCEVLLFNRWTYDDVQVSDLSVEDYITATASKHPIYMPHTAGRYQARRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIVKHAMEIIHLLTDANPIQIIVDAVINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNVKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >cds-PLY72662.1 pep primary_assembly:Lsat_Salinas_v7:8:209815186:209816360:1 gene:gene-LSAT_8X132261 transcript:rna-gnl|WGS:NBSK|LSAT_8X132261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCCLTTTAATAAAAAAHDSKTSSKRRDAPPSLPFEEETVKEVLSEIPVASKKPSPLISSSPTKITADYQQIPHPEHDFKSKNAVVQLTKNPIEENASEISSEMYTYSASFSAATTTTTIGTGTIDEDGEVTQKVKKLPPAKMVSRRQPTNYSGERATRKERAIRPPARRSAVPSTDKKPHFPSRNVTTAQRHGNVVPSSVIRREPPARRSRSPALRGEAGKLTKVRERSPVQKSGNRVPVTMTENNGNVKLKKIKGGGDGGGVPEPEEPGTCESLENPRVSLECFIFL >cds-PLY68580.1 pep primary_assembly:Lsat_Salinas_v7:2:20988430:20989239:-1 gene:gene-LSAT_2X10701 transcript:rna-gnl|WGS:NBSK|LSAT_2X10701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQCNLLSANFILVPRKSQHLLIVIRHTTLGALASTNGLMWKEILVVYISRQFESNLRMMAADADETDKNTGTADFNTQLSQFDMFGLDVKILIVADGGEISFKQLPDDGKIYDSEEQSTTFEVPCIIP >cds-PLY62780.1 pep primary_assembly:Lsat_Salinas_v7:4:30479790:30480194:-1 gene:gene-LSAT_4X16860 transcript:rna-gnl|WGS:NBSK|LSAT_4X16860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGTEGKKKTLPRVVVDDFEGARGWHSRRRWRRRHRGTRQSPAGGVLQIGDENGASMTGKGEREESLPVVADEGLEKWRVDERQGARRWPADVEGDIKSCTIDMQWEGWVGVCKKKKRETSGIQGKRGRRVLFF >cds-PLY82410.1 pep primary_assembly:Lsat_Salinas_v7:2:183294534:183295928:1 gene:gene-LSAT_2X105620 transcript:rna-gnl|WGS:NBSK|LSAT_2X105620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRVVIERYYGKMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKTDLIEVDKETIEMLNALGMGDLPGVVKASVEPQALPSVPAFGRGGGGFARRY >cds-PLY92415.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:460264:460437:-1 gene:gene-LSAT_0X11040 transcript:rna-gnl|WGS:NBSK|LSAT_0X11040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFVDERPAPSRTQLLENMFVDPTGVVIAPDDSYIYMCEDPKFTQRNCCNSFQIATI >cds-PLY73503.1 pep primary_assembly:Lsat_Salinas_v7:4:22003007:22005275:-1 gene:gene-LSAT_4X15060 transcript:rna-gnl|WGS:NBSK|LSAT_4X15060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLFQKFQQFVATLAKSPTFAKDPRRLQFEADINRLFLCTSYNRVGKDAEDADIEEIINMASKAELADQERQVQENIHSQITNFCTSMDEILLPDFNSHDPSLKSSSQQNPTTPRPSGLSLAIGRNTPTNINLLDVPETKQLTFTEVSQKLKDVTGYTLELKPSQIPHEQAGRGLFIDGEADVGSVIALYPGVIYSPAFYRYIPGYPRINAQNPYLITRYDGTIINGQPWGQGGETREPWGQSRVYQSNPSSDSGSMSGSGSDRVWKMLSKPLEGSKLGFNGDEVLERRNPLALGHFANHPAKGVEPNVMVCPYDFPLSEKGMRVYIPNVVFGGKEGEGVKMKRFGSFWFKSGGVSDNNGEVEGPIMKSLALVAMRPLCNEEIYLNYRLSNSKRRPSWYAPVDEEEDRRRWS >cds-PLY91084.1 pep primary_assembly:Lsat_Salinas_v7:9:124656152:124659514:-1 gene:gene-LSAT_9X81600 transcript:rna-gnl|WGS:NBSK|LSAT_9X81600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGCQTHVDDTENLKTHRSILVGNIHVFFNPSRGDIKLGQVRLFLAKAHRLLETWGNIPIILGGDFNSMPQSAMYQFIASSEMQSFIYYESMMNINLNIQHHERKKICGQNCPLHYPTFQCHSNYYSSRWNKEEIRLATGTKHSTYLKHKLKLTSAYHGVPCNYATRLTRDEYGEPLATSFHSRFMGTFILKVECIFLPYLFDVKTKSGLKRDRGFIFSIVFFFRKPADPEKEPLDALERKTAFQRVRKWTRKVNLFEKDFVFIPVIYNYHWSLIVMCHLGEVAKYKDEEDVTELIKVPCVLHMDPIRGSHTGLKGLMQSYLKEEWKGRQQEASKDISSRFDNLRFISLELPQQPNSFDCGLFLLHYVELFLEQAPINFNPFKITKSVHFVRTWTIYLNTDWFPPADASLKRVVIQRLVYDLLQQPYDEAEAFYKVFSQVSAKRFLLANFDQPFEEVIYPKEDVDVVSISKRDVDLLLPDTFVNDTIIDFYVKYLKNKISKMKPLDLNLAALSAKCNKDLELNLAKSLLSEMGQCTTAYPYNQLFGALVLKNYEREDATLLSWNLMYIVD >cds-PLY93658.1 pep primary_assembly:Lsat_Salinas_v7:2:200354891:200355975:1 gene:gene-LSAT_2X121260 transcript:rna-gnl|WGS:NBSK|LSAT_2X121260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYVYCCIKKKKKEKSSEPMLTESFEKISYETLFKATEGFSSQNLIGTGSFASVYKGVVDENGSTVAIKVLDLQRRGASKSFIAECEALRHIRHRNLVKVITCCSSLDFQGNDFKALVYDFMPNGSLESWLHSHTTPHEYDQSRQLDLVQRISIAYDVACALDYLHYRCGNVVVHCDLKPSNILLDADMFAHVGDFGLTKIISLKNILMKTRVVQVLSEEQSEYGLGNEVSTSGDMYSYGILLLEMLTGKKPVDPMFQDGLSLHLYARKALADGFVLQIVDPMLLNDDVKETCLISLVKIGVQCSSESPQDRMNIGNVIHELLSVKVAARS >cds-PLY75783.1 pep primary_assembly:Lsat_Salinas_v7:3:68499315:68500425:1 gene:gene-LSAT_3X52001 transcript:rna-gnl|WGS:NBSK|LSAT_3X52001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKAEQLEVGGATSKVSTRVGSNKGISAMDLVLRIVGVVGTLASSIAMGTTEQTLPFFTRFVRFNAQYDDFPSFRLFVIVNAIVCTYFVLTIPLSIVHVMRSAARGSRILLVILDTVMLALLTAGASTATSIVYLAHNGNSSANWLPVCQQFNNFCQRASGSLIGSFGGIVVFILLILLAAIALSRQRKHSL >cds-PLY83494.1 pep primary_assembly:Lsat_Salinas_v7:3:176982646:176986962:1 gene:gene-LSAT_3X104860 transcript:rna-gnl|WGS:NBSK|LSAT_3X104860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSDNSYNKEEENLKQETSPNNSHTTTLDSRFNQTLRNVQGLLKGRSFPGKVLITRSDPADGTILASPGGSSSRSPSEINSPPSKHDHILRNKPNTSTADNKSNSSLSISNSEDKAKEAPRFVMGARATDTARIMKFTKVLGGTTVILDKLRELAWSGVPSYLRPNVWRLLLGYAPPNSDRREGVLRRKRIEYFDCVAQYYDVSDEERTDEEISMLRQIAVDCPRTMPDVTFFQQAEVQKSLECILYTWAIRHPASGYVQGINDLATPFLVVFLSEHLEGSVESWSIADLDPEKISNVEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVARHIDKQGLEFLQFAFRWFNCLLIREIPFHLVTRLWDTYLAEGDALPDFIVYIFASFLLTWSDKLLKLDFQEMVMFLQHLPTHNWNHQELEMVLSRAFMWHTMFDSCPNHLAT >cds-PLY80824.1 pep primary_assembly:Lsat_Salinas_v7:3:191975780:191975947:-1 gene:gene-LSAT_3X114181 transcript:rna-gnl|WGS:NBSK|LSAT_3X114181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENPRVDPSISYVVLSWCFRDSKSEKGDRSRVTKNVNSYQATKRAQGVKFAFAAD >cds-PLY86550.1 pep primary_assembly:Lsat_Salinas_v7:3:256262456:256266615:-1 gene:gene-LSAT_3X140201 transcript:rna-gnl|WGS:NBSK|LSAT_3X140201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSIDLVKGRLLNGSNEFNHRWRLFMSSIALVSFSTITNEQKLFAEKCCLEIYSCEDFLHMLLMEVDHLQDVAGPYIQTQGAKKEVVVAAGWALELNGSYTIKSYLEIVLEKLPASERNSSGINGQQAARLQELVILKTFKEKFKRDQREIQVIMQVGDAELEMRGSHHGNLQQ >cds-PLY85543.1 pep primary_assembly:Lsat_Salinas_v7:2:196052224:196054152:-1 gene:gene-LSAT_2X116861 transcript:rna-gnl|WGS:NBSK|LSAT_2X116861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENQVGRSSCRRQRVVLFPLPFQGHINPMLQLANILYFNGFSITIIHTNFNSQYTSNYPQFTFRAVLDNDSKDNNLAKLSSKGIGDLLSGIMVLNQCSEESLRQELDQMLIASKQQQEPIVCLITDALWYFTQSVADSLKLPRMVLRTSSLFCVNVYASIPVLEDQGYFNQANSVFDEENIDLGLKNIISPNNERDFKKPEGTELVFDLEERVPEIPVLKVKDISNMRIKGQTDPTAKLLAKMLKQTKASSGIIWNSFKELEEPEFQKICKDFPVPSFLIGPFHKYFPASLSSLLEPERSFMSWLDHQAPKSVLYISFGSAAQLEKQDFMEVVHGLAISKQPFLWVMRPAFVKGSEWIDLLPNWFLDLVGERGHIVKWAPQQEVLAHQATGAFWTHNGWNSTLESICEGVPMICSPFWGDQPLDARYVSDVLKVGVYLENGWGKEEIASAIRRVMVEEEREEFRERARCLKEKVNVSLMKGGCSYESLQSLLGYISLL >cds-PLY99352.1 pep primary_assembly:Lsat_Salinas_v7:1:81693642:81694088:-1 gene:gene-LSAT_1X68880 transcript:rna-gnl|WGS:NBSK|LSAT_1X68880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTALPIVETQSGDVSVYIPTNIISITDGQIFLSTDLFNAGIRPAINVGISVSRVGSATQIKAMKQVAGKLKLEPAQFAELEAFAQFASDLDKTTQNQLARGQRLRELLKQSQSTPFRVEEQVLTIYTGTNGYLDSLKIFGFICHCVHS >cds-PLY99654.1 pep primary_assembly:Lsat_Salinas_v7:6:81912794:81917646:-1 gene:gene-LSAT_6X58281 transcript:rna-gnl|WGS:NBSK|LSAT_6X58281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFSAAIGSPYSSVSRIAFLSHLLATDVSATKTPPLTWNYSTTNFFICRSSLTPKKPSPSIPAPKVSTSDDTSKTQLLDLIDEDDDVVRQARRSADWKAARAHYENGIVYQGRIEGSNGGGLLIRFYSLVGFLPYPQLSPSHYCKEPEKSIQEIAKTLTGTLISVKVIHAEEEKRKLIFSEKEATWSKYSNSVKVGNVYDARVGSVEDYGAFAHLRFPDGCYHLTGLVHVSEVSWDLVQDVRDILNEGDLVKVKVIGVDRNKSRITLSIKQLEEDPLLETLEKVIPQDNSGGSPSEDYVIDPLPGLETIVEELKREEGIIDIKITRQGFEKRVVSQDLQLWLSNAPPNGNQFTLLARAGRQVQEIQLVTSLDQDGIKFALQRVLERVP >cds-PLY76781.1 pep primary_assembly:Lsat_Salinas_v7:4:188873413:188875501:-1 gene:gene-LSAT_4X109440 transcript:rna-gnl|WGS:NBSK|LSAT_4X109440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSRNKGKRRSYSSSPTRNHRSPTTSSGPAPTTSSSSSGSPPSASVIDAQFVTGDDDLTYLHDLTISPQDKFSNPRSFPYSVKQQCWEKAEKVKGRDPDRWRRDPLGNTIFRKLVGCPGCLCHDYDHILPYSKGGKSTLENCQVLQATVNRSKGNRTEMSRADLIQKSSYCRVSGRDMDLLELSAYGNVRRGQDSGGCIMQ >cds-PLY74705.1 pep primary_assembly:Lsat_Salinas_v7:5:25704277:25705154:1 gene:gene-LSAT_5X13621 transcript:rna-gnl|WGS:NBSK|LSAT_5X13621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLIKAKRYLEDVLVHKQAIPFTRFCRGVGRTAQAKNRHSNGQGRWPAKSAKFILDLLKNAESNAEVKGLDVDALHISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELTLSEKEEPVKKEPETQLASRKTKNQA >cds-PLY78046.1 pep primary_assembly:Lsat_Salinas_v7:4:183606156:183607233:-1 gene:gene-LSAT_4X107320 transcript:rna-gnl|WGS:NBSK|LSAT_4X107320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTAPPTTTATAFLKTVDRRLSSANTSPLRRIFPANKSSKILAMAPKKKVNKYDKSWKKEWFGAGLFFEGTEEVNVDVFKKLEKRKVLSNVEKAGLLSKAEKLGFTLSSIEKLGILSKAEELGLLSLLETAAGFSPAALASAALPVLVAAILAIVYIPDDSTALVVVQTVIAGTLAATATGLFVGSIVLEGVQEAD >cds-PLY83701.1 pep primary_assembly:Lsat_Salinas_v7:4:44729157:44730848:-1 gene:gene-LSAT_4X30101 transcript:rna-gnl|WGS:NBSK|LSAT_4X30101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRCSTAGLGYVAPTEGSGNTCIMDKADTVAVESHRYYLARRTVLEMLRDRGCVIADYEFTRTLTEFRLVFGDEPDPDHLRICVPLSSKPSKKILVIFCNPGDINKATAKHMLLNITNKDTLLRIILVLQGKMNIHARALFNESEVKVEFFPITELFVNITKHVAAPKHEILTAEQKEQMLKKYELADTQIPYMWVDDAIARYYGLEKKQVVKITYNSNITGSYVTYRCVI >cds-PLY88857.1 pep primary_assembly:Lsat_Salinas_v7:1:205037974:205039052:1 gene:gene-LSAT_1X122420 transcript:rna-gnl|WGS:NBSK|LSAT_1X122420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEDLLDLSKMFNAVKSLVRVPSVDPKYKIALLASKQDHCLVDLLHAWQDGKLPVQISSVISNHDRVGNTHVMRFLERHEIPYHYLSKSKEKNVEDEILGLVEDTDFLVLARYMQAFEAGVKLIGATTHFVTEELDGGPIIEQMVERVSHKDNLLSFIQKSENLEKQCLMKAIKSYCELRVLPYQHNRTVVF >cds-PLY90409.1 pep primary_assembly:Lsat_Salinas_v7:8:213984820:213989261:-1 gene:gene-LSAT_8X133141 transcript:rna-gnl|WGS:NBSK|LSAT_8X133141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTHLELHVDALELFRISSRDINIKQSKQSNQMAMEQYPQETVPQTNFVKDSETTSDKWKSLSESIDESENSPSAGFDCNICLDSVQDPVVTLCGHLYCWPCIYKWIQHQNTSPETPNKHNTQCPVCKHDISQKTLVPLYSQGQTAKPQSEEKSLDLEMSIPRRPVSPSCGVVRGPTEQVNHRGYQQQAQNVINPTSPTTGMLGEMVYGGLFGNTQASLYTYPNSYNLVTISTQRARRHAIQADRSLGRIWFFLFCCIMLCLVLF >cds-PLY87593.1 pep primary_assembly:Lsat_Salinas_v7:8:111403946:111405633:-1 gene:gene-LSAT_8X77860 transcript:rna-gnl|WGS:NBSK|LSAT_8X77860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:Projected from Arabidopsis thaliana (AT2G39060) UniProtKB/TrEMBL;Acc:A0A178VR23] MLVTSYFKISYCVYVGNIIAFLVFLAPLPTFYTIYRKKSSEGYQAIPYMVALFSAALLLYYAFLKTNAYMIVSINGFGCLIEITYLAVYIFYAPKSLKISTIKLISIFNIGGLGMVTVVSLTLAKGPKRVALVGWICAIINLAVFAAPLSIMRKVIRTKSVEYMPFMLSFFLTLCAIAWFFYGFFVDDYYIAVPNIAGFLFGITQMILYCVYKDSKKQTGEDQVAKSNGKGKSREDFDMEVMVCNSNQSLHNK >cds-PLY97647.1 pep primary_assembly:Lsat_Salinas_v7:5:237765485:237767783:1 gene:gene-LSAT_5X112600 transcript:rna-gnl|WGS:NBSK|LSAT_5X112600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSQDPNIFFASKQKRYGDIFKTRILGYPCVMLASPEAARFVLVTHPHLFKPTYPKSKENLIGPSALFFHQGNYHARMRKLVQTSFSPEASRKLIKDIENIAISSLQSWSNGQVINTFLQMKKFAFEVGVLSIFGQLEKKYTQELKENYSILEKGYNCFPTSLPGTAYHKALKARRRLNRIIGEIVQERKEKKWLASNLLGQLLNFRDEMGNTLSDEQIADNIIGVLFAAQDTTASVLTWILKYLHDDPKLLDYVKAEQKALCESENGEEQGLTWSKTRQMPLTYRVILETLRKASIISFTFREATVDVEYEGYLIPKGWKVMPLFRNIHHNPEFFTDPGIFDPFRFENPPKPNTYMPFGNGIHACPGNELAKVEMLVLLHHMLTKYRWELVGSTNFIQYSPFPIPEHGLQARFKKEI >cds-PLY99023.1 pep primary_assembly:Lsat_Salinas_v7:8:246034639:246036522:1 gene:gene-LSAT_8X146221 transcript:rna-gnl|WGS:NBSK|LSAT_8X146221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRVVQGWKCPPQMVDFISSLGWGANNESFGKVDYGKVDFTSSKYTILSYMLPIKPGKSLYSTVRELVENALDSAESIGELPLVEITIWRDVKMRAFDDANHQTYVDLKQAFRLNKEGESRSHRSFLTGSWQHMLTGLNIKMETSEDNNVKKS >cds-PLY81687.1 pep primary_assembly:Lsat_Salinas_v7:3:159600151:159602623:-1 gene:gene-LSAT_3X99980 transcript:rna-gnl|WGS:NBSK|LSAT_3X99980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKAHSSGDTDELSITMEASENQQLKVSSMFHAYYPPGFIRKVVAEVVATFLLVFVTCGAAALSGTHEHKVSYLGASLAGGLIVTVMIYAVGHISGAHMNPAVTIAFATVRRFPWNQVPFYAGAQVIGSISASFSLKVILQPIKYLGTTTPSGTDLQALIMEIIVTFTMMFVTSAVATDSKAVGELAGIAVGSSVCITSILAGPISGGSMNPARTIGPAIASNVYKSIWVYVVGPITGTLSAVMCYSFIRETNEPIQAISSFKFLRMRSHDEHVDVKDGISYLK >cds-PLY91228.1 pep primary_assembly:Lsat_Salinas_v7:3:76078201:76080258:-1 gene:gene-LSAT_3X59241 transcript:rna-gnl|WGS:NBSK|LSAT_3X59241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDKKLANVVGGKTARACDNCIRKRARWYCAADDAFLCQSCDASVHSANPLARRHERVRLKVASLKLSSLEKPAPPPWHHGFTKKPRTPRGGNHHKQASRRTSKSEESSMSFNPLHLVPEIGSDETTAVTSDEQLLYQVPIFDPFAAELCLSGNSNEVATPVKQTEATTPVDADLHNGSKVTFDLNSFNGLILPSDMELAEFAADVESLLGKGLDEESFAMEGLGLLDCREKDSGGESLERVKVEEDQMQCETVENEMEMMREPFQLSFDYDSPLWEEKDEKLTVEGGVGDRMGVVKEDEDYHVVINIERYDDENKKQKRILKLDYEGIIAAWDEQRSPWTTGDRPELDPDDCWPECLGGCGIMHHQHHHPYGDMGMITGNPAMLDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRSSSSGFPLLSK >cds-PLY71201.1 pep primary_assembly:Lsat_Salinas_v7:2:133452063:133452266:-1 gene:gene-LSAT_2X61441 transcript:rna-gnl|WGS:NBSK|LSAT_2X61441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSHHLIIWWCAELVYPGRVFTNYTIPRNDVVIADENVATHYKESRNNGFSILALAMKVKHVELCDS >cds-PLY78803.1 pep primary_assembly:Lsat_Salinas_v7:8:300093849:300095477:-1 gene:gene-LSAT_8X166460 transcript:rna-gnl|WGS:NBSK|LSAT_8X166460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLFDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDENLGAVPFLILGNKIDIPYAASEDELRYHLGLTGVTTGKGKVNLQDSSVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >cds-PLY86805.1 pep primary_assembly:Lsat_Salinas_v7:5:14615250:14616518:-1 gene:gene-LSAT_5X8421 transcript:rna-gnl|WGS:NBSK|LSAT_5X8421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFHKAFAHPPEELNSPAAHHCTKQPKLPEETLEEFLSVHPTNTFSMTFGDAAALAYVKPDRPYSVHQRLFTAFDDIYCLFMGSLNNLCSQIKQYGLSKNTNEAMFVIEAYRTLRDRGPYPADQVIKDLEGSFAFVVYDSKSGTVFTALGSDGGVKLYWGIAADGSVVISDDLEVIKAGCAKSFAPFPKGCMFHSEGGLMSFEHPMNKIKAMPRVDSEGVMCGANFKVDTYSRVNSIPRRGSETNWTQWDDHC >cds-PLY95200.1 pep primary_assembly:Lsat_Salinas_v7:8:61515905:61516180:-1 gene:gene-LSAT_8X44640 transcript:rna-gnl|WGS:NBSK|LSAT_8X44640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPALTEIFGDDSILQFGGGTLGHPWRNAPGFVANQVALEACVQACNEGCDLATEGNEIIREATKWSPELAAACEVWKEIKFEFQAMDTLDQ >cds-PLY79336.1 pep primary_assembly:Lsat_Salinas_v7:9:53881274:53882068:-1 gene:gene-LSAT_9X50001 transcript:rna-gnl|WGS:NBSK|LSAT_9X50001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL26 [Source:Projected from Arabidopsis thaliana (AT5G53730) UniProtKB/TrEMBL;Acc:A0A178UFX4] MSQINEKSPQHCAKKKLININKNHKKLFYTFTTFILSILLLILVIWFLLHPSKPQFSLKEADIYQLTLSKSHLLNSSIQITLLSNNPNQKVGIYYDEIQVYASYKGQQITLDSSVPPFYQDYKDTNLLSMVLVANGLPVDPSFGYEVGRDQMEGRLVLSIKANGELRWKVGTWVSGRYRFNVNCVVVLPFGQSMPSGPLTSKGSQCSTTV >cds-PLY95504.1 pep primary_assembly:Lsat_Salinas_v7:4:143299388:143300880:-1 gene:gene-LSAT_4X88500 transcript:rna-gnl|WGS:NBSK|LSAT_4X88500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEKKQKDTKKASSQSKPCMSNQDRGKAVLDDEEESEDPPTEQIRCLTLHSQNSPKLGESFSWKRYRLWKQDRYHRSARLERQLKSLEDRIDKQLKRFQAQYSRAKNPTRPKDVAELLMPKSSPPLELATLSWLGATQKAISQLIHDLRIEEAVIDEEMMEIQANCILRIPFAIANEKPNGSTLDQVNSELKKVHRLIVKAQNFRTNALETVVKKILCQSDAAEFLVAFDGIQETVHQFSKDYKMRKGPVTVPLISGSEIVGVDSGYSLCKFL >cds-PLY66068.1 pep primary_assembly:Lsat_Salinas_v7:2:206710869:206714218:1 gene:gene-LSAT_2X127081 transcript:rna-gnl|WGS:NBSK|LSAT_2X127081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMATTAVIGLTAGKRILCSSSYYSDVNEKLSCSSNLGFTYVPTTNLISSKKSPNYTHSITRSRDTSPVRALKEHVDTTVAPPITDKWVQGFDHLDEEVSEHDLPVDALLLLHKSLLEKQWTLSTDAPTKDKSSRKVHVTGSGVSARRRRINAQNKKPTVNEDGGIGNKQLRSIISPELVQNCQKGYLKGMKNETLLTHSEVVALSEKIKIGLHLEEEKSRLKERLGSEPSEKQLAASLKISRVELQAKQIECSLAREKLALSNVGLVMSVALKYKHMGADMSDLIQGGLIGLLRGIEKYDSSRGHKISTYVYWWIRQGVTRTFFENSRTLRLPTHLHERLGAIRNAKAKLERKGITPSIDKIAETLNMSRKKVTNATEAVCKVFSLDKEAFPSLNGLPGETLHSYIADDCPENDPWHGVDEGALKDEVRNLIKMTLGEREREIIHLYYGLDNEYLTWEDISRRKGLSRERVRQVGLVALEKLKHAARNTKLAAMLVNH >cds-PLY88420.1 pep primary_assembly:Lsat_Salinas_v7:8:87551833:87553959:-1 gene:gene-LSAT_8X63220 transcript:rna-gnl|WGS:NBSK|LSAT_8X63220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPFISGNLTSPALVSNIRSGDLRVIASIAQIYKPYVLLRRGFRWDEANLGEIEANKHVRQKITEPKTPYHPMMHDTDGSLYPIGGSDSFLEGDDKSNIRLNADAIRLALNEMASSSSNSNSHSGWTYSDDEDEADVMDHDLDDEGGKSARRFREKRKAHYDEYRKVKELQKKESMKKDDEK >cds-PLY97110.1 pep primary_assembly:Lsat_Salinas_v7:4:74939248:74942707:1 gene:gene-LSAT_4X50380 transcript:rna-gnl|WGS:NBSK|LSAT_4X50380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVKASIATTVYPSHQPFTVDHILPLSHLDTDRNMNVPFRYVRAYAAADHQNADPFDVITAALSTALVKFYPYTGSLHRRKSDGRFELHCSVGNGVPVIPATVDSPLSSVNYLDDADEEFIELLVPNPDQEARLTHVLMLQVTRFSCGGFTLGAAVHHVLCDGIGATLFFNAMAEIARGENEMKVEPVWDRSKLLGPREPARTEFPIEEFLSLDKDFVPYLGSNEDVVREICNVKDEWLDRFKIFLQEKSGSSFTTFEALGAVLWQARVKASKTPRKEEVKFGYAVNIRRLIQPPLPAGYWGNGCVPMYAQTTAGDLIDKPIWETAQLIKKSKRNVSDEYVHSFIDFQELNYEKGINAGKWVSAVTDWRHLGHSTVDFGWGGPVTVIPLSRNLLGSSEPCFFLPYSAATQGKKDGFKVLLYIHKDAVVGFREEMEKFVNMEFA >cds-PLY83428.1 pep primary_assembly:Lsat_Salinas_v7:5:330218011:330221553:1 gene:gene-LSAT_5X186740 transcript:rna-gnl|WGS:NBSK|LSAT_5X186740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSPRAQGDAAFLPQVLCSQKKDIPIVEARTMDEIYDTLAERVVPTAASGTNTSAIHIVGLAGPPGAGKTTIASEVAKRVNKLWPQKSCAFDSQVQPPQIAVVLPMDGFHLYRHQLDSMEDPKEAHARRGAPWTFNPELFLKCLKRLREQGSVYAPSFDHGVGDPVEDDIFINVQHKVVIVEGNYLLLEDGTWKEIASMLDEKWFVDVDIDIAMERVLKRHISTGNTPEFAKQRIEYNDRPNAELIFKSKKKADLIIKSVAL >cds-PLY86635.1 pep primary_assembly:Lsat_Salinas_v7:1:3459972:3460586:1 gene:gene-LSAT_1X2620 transcript:rna-gnl|WGS:NBSK|LSAT_1X2620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSPSGGGIIPSNSGSSWTEDSFEIKVLMEPSPEPEMEGTSARSAIPRVDEAGPPPLTHNCSLESSMRNRIARLEGDGSSYLLDKEKGEYWSDIKLALGQAPSQQEYQRLLEFENRDLQIRELKHECLRLFQKVLTQNPTLAAQAPYNPQEAFNDFLGQHRDRLDRRELEVDVGERDQEEIKFLDLLRQRLKKDGPAYVTYIFK >cds-PLY64554.1 pep primary_assembly:Lsat_Salinas_v7:6:33614821:33616979:1 gene:gene-LSAT_6X25801 transcript:rna-gnl|WGS:NBSK|LSAT_6X25801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQRVATSLIMTLNEKKFIESALLSEIRVDGRRPFDYRTLTITFGSEDGSSEVQLGQTQVIGFVTAQLVQPYRDRPNEGSFSIYTEFSPMADPSFETGRPTDIAIELGRIIDRGLRESRAVDTESLCVISGKLVWSIRIDLHIIDNGGNLVDAANIAALAALSTFRRPECTFGGEDGQQLIIHPPDVREPLPLIIHHLPIAVTFGFIGKENTMVIDPTHYEEAVMGGSMTATLNTNGDVCAIQKAGGEGVPHSVIMQCLRIASVKAADITNKIKNSVESYNTERSLRKVKRHNPSTVVVEFGQQGCGDVAKHHMERLNLGSDESSVISHSDDVELGRKLIENDKMEKRNITAKRFGGGTATWYSFTYDVFYISL >cds-PLY91878.1 pep primary_assembly:Lsat_Salinas_v7:8:202676383:202678217:-1 gene:gene-LSAT_8X129161 transcript:rna-gnl|WGS:NBSK|LSAT_8X129161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIQKHIALLLQFLLVALVFDVANGYPLKLGFYQKTCPRAEAIVKRTTANYIYRAPSLAAALLRMQFHDCFVRAEKDGIPNLSLRGFQVIDAAKTAVEAACPGVVSCADILSLVARDAIHQIKGPYWPVPLGRRDGRVSIASESFTLPAPFANITQLKAQFVSKGLSVKDLAVLSGGHTVGISHCSTIATRLYNFTGKGDTDPSLDPRYVPQLKRICFPTDKTTLLAMDPGSSKSFDEDYYSVVLKRRGLFQSDAALLNDKTTSAYVKLQAKSRGYTFFKDFQASMVKMGQIGVLTGKAGEIRRHCALIN >cds-PLY96316.1 pep primary_assembly:Lsat_Salinas_v7:5:191861992:191862716:1 gene:gene-LSAT_5X84741 transcript:rna-gnl|WGS:NBSK|LSAT_5X84741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTFSNVVFLFCMVISIISASANAAYGVAKLPEIPHKMKEKEIPKFIEVQGLIYCKSGPKFIPLKGATARVTCLARNQKGLELAPFSISSCPADDKGYFLAKLSPPSTKFLKNAQWELKECKAFLESSPLKECKVPLDINGGLKGAHIISSSTHRLLKNANLYSLKPFFYTSDKPQTVSDNKKY >cds-PLY87570.1 pep primary_assembly:Lsat_Salinas_v7:8:186241575:186243476:1 gene:gene-LSAT_8X120961 transcript:rna-gnl|WGS:NBSK|LSAT_8X120961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLVLLQKCRLHELENKSRISVTGASKMLANILYSYRGMGLSVGTMIAGWDEKGSVQSKRSLLLELQQRSTKFDSIIEKHQNIRFALVERMPVLDEANFSRRRNGSTSIPSTSMGSSVPNGVIKTTAAPLVDLLDLGGGEEPPPPNTNSMMTGHNFLQDLLNVGISPSSSQRDKIMKYSKTMVASQLYEEPVDPSVASQKSIFAVGMICCDKGKTNHSSKQVIFVESLCSFQTYLPLMLSFVTCLNRVEHSGGQRVCLDLQKLDQFSFFPSQVVGIEGHNLSGHYLTATKIVDYVPLSVPDDENIPQKKRQAMDEDNKTADLSDITSDLSLVCKACSSIY >cds-PLY92658.1 pep primary_assembly:Lsat_Salinas_v7:2:161133647:161136334:1 gene:gene-LSAT_2X85360 transcript:rna-gnl|WGS:NBSK|LSAT_2X85360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYCKSATHTHTIEDLKTRVESRLSVYHPTLPIGTPPTTTSPTKKTLCCEMLFNQKPAVRRRYLRWNQFIPTSWFRSGTGSHLLDFRHFNEEAIENNGHQDSIVYVLCCRGDFFVVGFLSKVAEDLSLLQDEKRLRESLSFANTCDAVNVTRRGAISALPTREPVTDAFLNTVL >cds-PLY87633.1 pep primary_assembly:Lsat_Salinas_v7:MU040392.1:18301:20265:1 gene:gene-LSAT_0X23560 transcript:rna-gnl|WGS:NBSK|LSAT_0X23560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHDVPPASKILEGYHTVPASGFRPLNPTMRLIIVEADKHKKGGNKGSKKGEKKKGDKEGPSEPANTPKKRKAKVAPSATSLKKQKLKIVARNTKSHIRFETENFHSDTQSNVRNEEESPVRNEEEEPICNEDFTSNPEKTSSKPTTLVKTNVKSEYEPKGNEKLFSEELIIDDSEEKELDEEELKRRKAREAEFDEHQRIIRAAKAKEKVEREARFILQSRKLLFPVSTVKQIQSEAVDSPKQYWFESVVSFELQNTEDSQLDLPVAPKAFKFCSFIKVANVPSTDIGTDQMLFTFYLKHMRPHYDTWSASKITAMKVTGPIETDNFPNAKFNVVRGSAS >cds-PLY66452.1 pep primary_assembly:Lsat_Salinas_v7:5:320729004:320729540:-1 gene:gene-LSAT_5X176540 transcript:rna-gnl|WGS:NBSK|LSAT_5X176540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTVAAFLQLDLRLMKFDHFFLNGSSSYQIKTEIVGDLKLFIMDLETRSRQNHLMFLSPGECCRRHLKMKSNRREVVSRSLSNEIEEAGEHQ >cds-PLY74995.1 pep primary_assembly:Lsat_Salinas_v7:5:87956665:87960426:1 gene:gene-LSAT_5X42060 transcript:rna-gnl|WGS:NBSK|LSAT_5X42060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNGRGFGVHVFFVCVFLVATTCTCLGVGNITVVCSVQERLALLRFKQSVRDEFKILASWVGNDCCQWERIQCDGVSGNVVGLLLRGGYFASEGYLVGKEVDSSLAELMHLKYLDLSDNDFGGSRIPEFIASLKHLSYLNLSYVRFQGIIPHHIGNLSNLKVIDLSSNSELIVNDMSWTFGLSLLEHLDLSSLNLFGARNLDMVLYMIPSLKKLSLSHCRLSNADFRNPSRIVTNIEHLDLGFNSFKGPLPGFLKNMTSLTFLDLSDFDVNLAWNFANLLNMIPSLSELHLSSCGLDNTFLSSTHLNFSTLSNIQHLDLSVNSIEGTFPSLFTNMSSLRVLDLSGNSLNSWVPVMPNLLELDLSVNEFKQIEHVGIWRHCQLKQLNAASNSFDKEMIDSPKNKSECSRYALEILDLSGSLNGTIPEPLGRMVNLRFLGMADGELTGSIPESLGRLRFLEVLHLSSNNGLIGPIPDSIGRLRFLEVLDISYNKLTGPIPTFIGQKLSKLDLSFNRLNGSIPESFGNLAALTYLYLQSNRLTGSIPLLIGKLYNLDLSDNRLNGSIPESLGRLVSLQDISLNSNLLTGPIPVSLGQLVNLLYLDVSNNSLEGVVSEAHFAKLLNLKGLFTSFNARLTFNISREWMPPFQLETLDLRSCTIANEFPRWLRNQRKLCWVFLSNTNLSGPLPTWLQTLPIIQILDLSHNKLSGPLTNLPLHRNVDTFDPNLLGLLFLVNNLFNESIPKSLCTRIDLGFLDLSKNRLTGKIPKCLENLKELKTMIFSSNKLSGVIPSFTGLNNSSLGQLKFNDNNLIGELPRELGNCGSLYVLDLGDNNLSGIIPKWIGEHLTNLMVLRLHKNNFTGTIPKSLCKASSLHILDVAYNNLTGSIPDCLGELNAMLNSSLGTGSANPLNPDENVIQVMKGVVLQYTTTWPMVFNMDLSSNKLVGQIPVELTALSRLIGLNLSNNLLSGGIPDSIGNMAALNSLDFSRNKLSGLIPSSMAALNFLSHLNLSHNKLWGRIPSGNQLQTLNDPSIYDGNKDLCGSPLPKNCSYHQDPTTTLKKKYEADDDDSKEVWFYMDITSGFATGFLGIIGVLLFKKQWRHKIFMFTEETMDKIYVVVMVRVNKMKRGRDAL >cds-PLY85367.1 pep primary_assembly:Lsat_Salinas_v7:5:244323968:244328828:-1 gene:gene-LSAT_5X120921 transcript:rna-gnl|WGS:NBSK|LSAT_5X120921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAFFFRDALPHYKLADIISGGRGGRRGRGGGRKGLFGSDHITEDDVIGCGDDIPLAVWPPLGVPLLLDKQHVEVAKQEETGMELTLENQEESGMELTSQNKGVEVRDKEASTNKDEGPISHGVEDPIPIKVEGSPPPIPHEEDKGPIPIQVEDPIPHKDQDTPSNKDQEPIQIKEQDPSIISEDQGPIPIEVEDPEDQEPIPTKEQEPSIIHEDQGPIPIEVEVEDPISHEDHESIPTKDQKPISNGEHEHQEFIPNEAKESIPSRNEDKKPILDKVQEPMANEDEKPIPDEGQEPTPHEDKESIPILPQKEMRSQVSTSERIVKNRLRNGSVEKDGEGSSSMEKAKAVMLDN >cds-PLY76994.1 pep primary_assembly:Lsat_Salinas_v7:6:66664003:66664527:-1 gene:gene-LSAT_6X48220 transcript:rna-gnl|WGS:NBSK|LSAT_6X48220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVIAPTPSHPEMSEIGRVEAGLQKEILVVGIPNTDATIDDQPILDTGDQSETGDYEGFLDLGFMPPVCVHFVPLNVVYHDSYFTVEIPQGTNSDIESDNDQFNPQNRKASFLGGDHDAKAGSSSTDGDPSSPPPSKKSKIIFYLNILAGIWGITVDEVREIMIENNAAIREKN >cds-PLY86167.1 pep primary_assembly:Lsat_Salinas_v7:6:156663254:156665914:-1 gene:gene-LSAT_6X94581 transcript:rna-gnl|WGS:NBSK|LSAT_6X94581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLAFLILQFVQTNCNVDGGSIELGTSLVADAQSTTNPWYSQSKMFAFGFHPQGSSYVVAIWLVNSGETTVVWTAYPDDPPVSPNTTLKLTHQGELVVSSKQGLDNKIIASNVSSAVMKDNGNFVMYNDQMGVVWQSFDYPTDSMLLGQSLFGGQQLVSSVSKTNYSSGRFRIKMQIDGNLVMYPKNTEDDYASAYWSSGTFEHKTSRNYLYLNDTGLMLINGSNSDTIKYFYTTLNYPVIYRATLGDDGIFRLYFYNDSNSSPIIVWKKTDCPCTVKNICGLNSYCTLNHDQPDCVCLPGSDFVDLDFKHWGCERNFTKAMCKSGKENDTYYHMVSEEGLLCEDYPYYRAITHFKEECRDSCLKDCDCDAAFFKNSFCERYKFPLRYVKRVYDEPTTYLSFFKTIRVNLKATSVDKEMMTSSSKKTWLLVLVISLVFSMYSCISLSFTGYFVFKFRLFKYGRLLERRSLGLAEDLILQSYSYKELKKATHGFKQELGRGSFGRVYKGSFDKASRVIAVKRLEKMVEEGEKEFRAEMQVIGKTHHRNLVRLLGYCAEGKERLLVYEYMSNGTLADRLFRSETLPNWSERVQIALDVARGILYLHEECKTPIIHCDIKPQNILMDDFWTAKISDFGLAKLLMPDQTKTFTMVRGTRGYLAPEWQKNTPISVKVDIFSYGIVLLETICCRKNLEVQVSNMEEIVLSTWAYKCFERGQLDLLVGDEQVDMATLERLVKVGLWCIHDEPAFRPSMKSVVLMLEGITEIATPPCPISVW >cds-PLY82172.1 pep primary_assembly:Lsat_Salinas_v7:1:16111522:16117497:1 gene:gene-LSAT_1X13360 transcript:rna-gnl|WGS:NBSK|LSAT_1X13360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDASNGQKFLCSATPSSSFPRIWRCQSSTVATTMGGDGSFAASTSCSDELDEDGGERRWVRRREEVAVATTFVGGGKSSNGRGCSDSLLLLRPCLGSPGKTRERKKRLVVTEKQLSP >cds-PLY80424.1 pep primary_assembly:Lsat_Salinas_v7:4:361461656:361462794:-1 gene:gene-LSAT_4X177801 transcript:rna-gnl|WGS:NBSK|LSAT_4X177801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESCKDHRYMILVCVQDDSASTILTMFDREAYGLLGISARDLAEKHTSLGFSLAIYPPELNFLKNKHLAFKVSVTKYNVRFQNSVYTISRVTEEKQIIETLERKLLQLQDWVSHSNENVTPSTGDILNQ >cds-PLY92142.1 pep primary_assembly:Lsat_Salinas_v7:8:5419798:5422579:-1 gene:gene-LSAT_8X4341 transcript:rna-gnl|WGS:NBSK|LSAT_8X4341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator-like APRR5 [Source:Projected from Arabidopsis thaliana (AT5G24470) UniProtKB/Swiss-Prot;Acc:Q6LA42] MGEALLSSDGGGRLANMPELMMRSEDKTNDGGGDSPTTATTNNVVRWEKFLPKMTLRVMLVEADDCTRQIITALLRKCSYKVVAVSDGLKAWEVLKGRSQNIDLILTEVELPSISGFALLTLIMEHQACKNIPVIMMSAHDSVSTVYKCMLRGAADFLVKPVRKNELKNLWQHVWRRQASTAGGNGNHEESDTQQKVEATAENNATSNRSSGYMACIKRNRECIEKGSDAQSSCTKPDMEADGPAIEETNDLTSTQEKTMAIDVRWENVNAIVDANVAINSTSREAIDLIGSFDSYPKPSYKSSLNYGTNKIDHPSPLLDLSLRRCHPSSSVNQLSDDKHRLKQSDVSAFSRYINRTQPPLTSGSASISNLQKECETTNSDNIITQQAQAESQSQFQSPKERVFPVPVPVKGLRFENPNPNPCLFQPNPYYICNPESADLVMVERKGSDQGHNTTETMEDRGHLSSPTDHSGSSSFCNNGTLSRLNSIGSGSNGHGNLGFDGNSSHRSIQREAALHKFRMKRKDRCFDKKVRYESRKKLAEQRPRVKGQFVRQGQISNSSPMEADTELATTKV >cds-PLY78528.1 pep primary_assembly:Lsat_Salinas_v7:1:107821041:107830245:-1 gene:gene-LSAT_1X84721 transcript:rna-gnl|WGS:NBSK|LSAT_1X84721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQDAAATANLQLSEVYWSLVAKADKKFSKIRDLPYYEHHRYDTHFHKVFKVYTKLWKFQQENRQKLVESGLKRWEIGDIASRIGQLYFGQYMRTSQASYLSEAYIFYEAVLTREYFKDGLFQDLNLANKQLRFLARFLTVCLVLNRREMVYQLVNQLKMLVSEIKRAFQDTDFKEWKRVIRDITKFLKVDTAFMNMRPLRYSIVLDHDPDNLPHVSFIKRKLRLRDAILCSYHPNEVKFSELTIDNFRMLQCLEWEPSGSFYQSNGNGISNPWSNIGQSGPHQGSIRVNYYSQEISDPTLPPNPRKAVLYSPSTTHFIAVLATLCEELPADGVLLIYLSASGASNYGADNIQTNMTQSDAVCDNSLGGLNIGSHGNGVFNLIYPCDLLPFTRKPLFLIIDSDNSKAFQGINKGEKGEPIAILLSPTSSYPTPHNNTIDPRIPNGSQFTSFLTSPLQSLIILLGFSSSDIQIQMENLNKAQNILSSCLDKWGEMLASLDTIHPVWAQVLEDPFIRRLLLRFIFCRAILSLYAPISGKKEYVPECSPPLPNAFLPTTPLSIETISQIADIFGATTMFNI >cds-PLY98966.1 pep primary_assembly:Lsat_Salinas_v7:7:48711205:48716412:-1 gene:gene-LSAT_7X35600 transcript:rna-gnl|WGS:NBSK|LSAT_7X35600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGEIGVGIFIYTRSFTVVKRKISLFGSVYILDVLIVQGKSLKTVGGQVCQICGDNVGSTEKGELFIACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRQKGSPAVFGDKEEDVDVDVDENTADFPLTESQADKQKIAQRMLSWHMTYGRGEDTNAPAYDKEIPRNHIPSLTSAQEVSGEFSAASPERLSMASPPPGGRRTHSLPYHGDVNQSPNIRVVDPVREFGTAGLGNVAWKERVDGWKLKQDKNVVPLTTSHAASERGQDIDATTDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLVILCIFLHYRITNPVTNAYPLWLLSVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGETSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYSIEPRAPEWYFNQKIDYLKDKIQPTFVKDRRLMKREYEEFKIRINALVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGFDSEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKAVREAMCFMMDPNLGKYVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKKKREAGFLSSCFGGSKKKSQSNKKGLDKKQSSKHVDPTVPIFNLEDIEEGVEGAGLDDEKSLLMSQMTLEKRFGQSAVFVASTLMENGGVPQSAAPETLLKEAIHVISCGYEDKTDWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYNGRLKWLERFAYINTTIYPITSIPLVVYCTLPAVCLLTGKFIIPQISNLASLWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYLFKWTTLLIAPTTLLIVNLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGKQNRMPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDVKYCGINC >cds-PLY65875.1 pep primary_assembly:Lsat_Salinas_v7:4:85800864:85801127:-1 gene:gene-LSAT_4X56840 transcript:rna-gnl|WGS:NBSK|LSAT_4X56840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKKIKKKKQQLEDPLDEVVQATDFDDGSDKSPILTSDIGFASAQKDSPVKSTFEANGSLGGSVKVSNTDTTPLWVILQLLPSLSRQ >cds-PLY83474.1 pep primary_assembly:Lsat_Salinas_v7:2:182591417:182594622:-1 gene:gene-LSAT_2X105021 transcript:rna-gnl|WGS:NBSK|LSAT_2X105021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGMKRSARVSGDTILRSGRRLVSKRIRLTSMAIVDTQENKVELIDHEKHEREIKLGESKKHINGTYQVKGTDHEEPREIKENGHRTDEQDDEIKLGESKKTSLVDDNLDGGDKVSRTKQFGAVYTRKRKRTIPGDSSLKNNSLEEKCGSDKMFKNKYFKKRVRTNLVSGIFNSNLGFNGKDVLSKGPVIFFGTCSDRSNGFSCSVSSVLRYMRKVKVFSWRQFSSFLLSELTLSIYSSIGIRSSQDTTCRMGHGFLKVFGSVLSIPLFTVDFISLPFCFLYMHSSLLLKFAFLSYALISHPVVENAMDDDIKVPSVVTELHHFSKYMLVTKPRSSRKRVPRVSLFKKWQTHVDNNIVPVPGVREVAGYEQEEYVPFRLPDIYISSKSDEVSRTLQKSYPIYDMESADEEWLKKFNKEQFGANDCVLEDTFEKVIDAFERGSYCSSIDYSNATSAINRCVGLASKDVLEALYRYWMTKRKKKRSPLVRVFQCYPRKRVRKHATNIVLRKKRSLRRRKSQLHAGKHVGFLKAMLMMNEQKTLEEAANAYMKVHETQEDVRRSEMAAIVKRQEAQALMETADLATYRATMALRIAEARAATTGFSL >cds-PLY90871.1 pep primary_assembly:Lsat_Salinas_v7:9:163319607:163321698:1 gene:gene-LSAT_9X102320 transcript:rna-gnl|WGS:NBSK|LSAT_9X102320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLALSSLPTSTTTKKPLFSKTSSHVKPFHRFKVSCNAPADNNDKTVNNSDTPKLILPKTPLETQNVDRRNLLLGLGGLYGAANLTTIPSAFGIPIAAPDNISDCVAATSNLRNSKDAIRGLACCPPVLSTNKPMDYVLPSNPVIRVRPAAQKATADYIAKYQQAIQAMKDLPEDHPHSWKQQGKIHCAYCNGGYNQEQSGYPNLQLQIHNSWLFFPFHRWYLYFYEKILGKLINDPTFALPYWNWDNPTGMVIPAMFEQNSKTNSLFDPLRDAKHLPPSIFDVEYAGADTGATCIDQIAINLSSMYRQMVTNSTDTKRFFGGEFVAGNDPLASEFNVAGTVEAGVHTAAHRWVGNSRMANSEDMGNFYSAGYDPLFYVHHANVDRMWQIWKDIDKKTHKDPTSGDWLNASYVFYDENENLVRVYNRDCVDINRMGYDYERSAIPWIRSRPTAHAKGANVAAKSAGIVQKVEDIVFPLKLNKIVKVLVKRPATNRTKEEKEKANELLFVNGITFDAERFLKIDVFVNDVDDGIQTTAADSEFAGSFAQLPHNHGDKMFMRSGAAFGITELLEDIEAEGDDSVVVTLVPRTGCDEVTIGEIKIQLVPIV >cds-PLY99855.1 pep primary_assembly:Lsat_Salinas_v7:4:46294299:46295768:-1 gene:gene-LSAT_4X31601 transcript:rna-gnl|WGS:NBSK|LSAT_4X31601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTVEEPMLSRLDRLDTIVRQLEEIRGGNRTPKSSCASTPSSGTLTSEGQISSIDFSPRSLEKHCRPIDDVIVETGVKGTLIERLLYVEERVAKLCMQMEEEIEGEKRRLVEEEAMKKKTTTTERKKKRGLKELVKSCVTGNNSSKRM >cds-PLY87095.1 pep primary_assembly:Lsat_Salinas_v7:5:257952739:257953649:1 gene:gene-LSAT_5X133461 transcript:rna-gnl|WGS:NBSK|LSAT_5X133461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLCKDQKAKFLEDWNIWLKGWLVPKLIHTSTTILWIEYQSIRIILVVGIRSLQKPFSGCDPIEVLYGHSMTVNCVTWIPRRHQMLASSSDDQTIRIWGPNPSQKIHLKGLI >cds-PLY85118.1 pep primary_assembly:Lsat_Salinas_v7:4:195788036:195788548:-1 gene:gene-LSAT_4X114400 transcript:rna-gnl|WGS:NBSK|LSAT_4X114400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRRIPDYPDAYAGWNALSIGICCFFVVVTITSRSGNNKRCAPSPWAVEQNPTTPEWMVQSPPAFHTFGELPAIKETKSSVNRPGLRIYSNYQRILQILGGMGITGRLDSKESADQFCVIYGNPFAN >cds-PLY96884.1 pep primary_assembly:Lsat_Salinas_v7:2:108003367:108008004:1 gene:gene-LSAT_2X49000 transcript:rna-gnl|WGS:NBSK|LSAT_2X49000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGKKSQKKPNRKELVSVKSNKKDDILSESQKKPNRKEFVSVKSKKKEDILSESDMEDEELEQQSVDSDEGGDSGSEISLDGDDTLARDFLDGSDDEVKGSDSDAELDSDSDSDDHDPQTESTAIDAANKRIQQEGQDELQLNIKEQPDEFRLPTQEELEEEARGPPDLTGLQQRIKEIVRILSNFSALRQEGATRKQYIEQLKLDLGSYYGYNEFLISSFVEMFPPVELMELIEAFEKPRPITLRTNTLKTRRRDLAGVLLNRGINLDPLSKWSKVGLVVYDHQVPYGATPEYMAGHYMVQSASSFLPVMALAPQEKERIVDMAASPGGKTTYIAALMKNTGIIYANEMKEKRLSKLTSNLQRLGVTNTVVCSYDGRELPKVLGHNTADRVLLDAPCSGTGVISKDESVKTSKTAVDVQNFSRLQKELILAAIDMVDANSKTGGYVVYSTCSMMVIENEDVIDYALKKRDVKLVPCGLDFGRPGFVRFRERRFHPSLEKTRRFYPHVHNMDGFFVAKLKKMSNSKGVSAASEVEQEEVEEEEVSEMEEVEIPQQQQKKELLKKKSTTKSNDLKRKFHDKPPTFEKRKKYKPPPREEISKAREEKREALREAKKKATKQTKSSSSSKKGREGV >cds-PLY66113.1 pep primary_assembly:Lsat_Salinas_v7:7:30418990:30427407:-1 gene:gene-LSAT_7X22900 transcript:rna-gnl|WGS:NBSK|LSAT_7X22900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTCNARDLESAQELRILATKYNVTSIIVFGDSSVDPGNNNNLPNTWHKGNFLPYGADFGNSKPTGRFTNGRLSTDFIAAALGYRKIIKAYLDRNLMEEDLLHGVSFASGGSGYDDFTANVTNVISLRKQLDYFKEYKLRLRMLVGEEGSHRIVSNAVFILSMGTNDFLQNYYIDPTRSKTFTIVQYQHFLINCMEKYIKEMQSLGVRRLALVGMEPFGCMPMIKTLKNSDKCDEEMNRVAFTFNSLLMEKLSTLKTTLLMQTAFVNIYGVIQNIIQNPTKYGFSEVKKGCCGSGLTEFGTSLKGLGTCMDHSTYIYWDAVHFTEKMYYVIADEVVKSMSSSF >cds-PLY68794.1 pep primary_assembly:Lsat_Salinas_v7:MU044503.1:17828:22160:-1 gene:gene-LSAT_0X30981 transcript:rna-gnl|WGS:NBSK|LSAT_0X30981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIIVSAFFSVFFEKLASEAIKKIARAKGIDSQLRKLKRSLIQIRALLNDASQKEISDEAVKEWLNGLQHLAYDIDDLLDDLATEGMHRELTDEFGASTSLVRKIIPTCCTDFPLSSRMSSKLDAITTKLQELVEEKENLGLSVKDESPKHMNRRLETSLVDASSIVGRKDEKDALIHKLYEPCDRNYSIVPIVGMGGVGKTTLARLLYDEMQGKAHFELNAWVCVSDEFDIFNITKVIFQAIGGGNQEFKDLNLLQVALKEKISKKRFLLVLDDVWSESYTDWEILERPFLAGAPGSKIIITTRKLSLLTQLGYNQPYTLSVLSHENAVSLFCQHAFGENNFDSHPSLKPHGEGIVEKCDGLPLALIALGRLLRTKSDEEEWKELLNSEIWRLGNRDKIIPALRLSYHDLPANLKLLFAYCSLFPKDYMFDMEELILLWMAEGFLHQSSTGKSMERMGVECFEDLLSRSFFQHAPNDESFFVMHDLINDLAMSVAGEFFSRLDIEMKKEVGKEDSRKYRHMSFVCETFMVYTKFKAFKGANSLRTLLALSVGMINSWQTFYLSNKVLDNLLEELPLLRVLSLSNLSIREVPEFVGNLKHLRYLNLSQTKITHLPDNIGNLYNLQALIVSGCSYLKKLPESFSKLKNLRHFDMRDTPLLKKMPLGIGELKSLRTLSKIIIEGDSGFSITELKDLKDLQGKISFKGLDKVQNPMHAQEVNLSHRRLSELGVEWSDVFDNSRMETVEQEVLNVMKPHNDNLKRLKIVSYGGTEFPSWVGDPSFHRLAKVTLNGCKKCTSLPLLGQLLFLKELYIGGMDAVKVVGSELLGTTGLGFPSLEILCFRDMKGWEVWSTNNNGVLVDTAFPCLQDLSIWNCPNLVRVSIEALPSLRVLRISGCGHEVLGSLVRVASSVTKLDIDKISGLNDQVWGGVIEHLGAVEELCILRCNEIRYLWESEAEASKVLVNLSRLHVGSCSNMVSLGEKEEDNCGSNLTSLTSLTFMDCDSLEHCSCPHSLKSLTIWNCNKLLEKELVGARKKPLINSNILMLESVDIIDWPNLKSITDLSSFNHLRNLRIKNCPNMESFPDHELPKLNVLTHLTILNCQSMDASFPIGLWPPKLCWLEIGGLKKPISKWGPQNFPTSLVNLLLVGGGSEDVSNISQLSHLLPSSLTSLYIEEFEKVESVSKGLQHLTSLQHLLIRNCPKTRDLPEMLLPSLLSLEIRGCPNLKERSSKRGSYWPLVSRIPCIRIY >cds-PLY71540.1 pep primary_assembly:Lsat_Salinas_v7:7:28567398:28568855:1 gene:gene-LSAT_7X20821 transcript:rna-gnl|WGS:NBSK|LSAT_7X20821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELTKSKTSCSKKLEKLKTPPLQGPLSRAFSRSALCNVRSLTSPPIGDLKSSLSTGDLGSSVSTSDLGLTSRHRRLQRLLKFASPKFVSQPLIMFFQSFPSILHLDPGSRSVPDPPKNDTNNDTGFTFPGPNPQIGSENGDATKSSEFGDQKMSSRAPFVERMAARAGHNALRLNTEIIKSSDNSQTQQSPYLFSPGVSPTSFLESPVFLSNSLVQPSPTTGKFQFVPNGNGRSSMMFLDSSNRVKENFFEDTNNTSFAFKPFPDSAPVSRDHVNPPFMSTQSFQHNETLVQSERQFPPQKIEPTQNETSALHIRSGFLNGNSERSQEHH >cds-PLY85591.1 pep primary_assembly:Lsat_Salinas_v7:2:119383093:119384233:-1 gene:gene-LSAT_2X55601 transcript:rna-gnl|WGS:NBSK|LSAT_2X55601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTGSSLVWFPCTHRYSCSDCNFPNVNKTNIPRFIPKLSSSAKIIGCNNTKCGWVFGSTDPIRCNGDQICPAYMLQYGSGSTSGLLLSETMDFDEGDVNDFLVGCSILSTRQPSGIAGFGRGSSSLPVQMGLKKFSYCLLSHRFDDTPVSSKLVLVRNSSNSGAGDSGISYTKFHKNPMSSTAAFQEYYYVTLRKITVGGKTVKIPYGFLVPGSDGNGGTIIDSGTTFTFMDSHVYDLVAKEFENQMSKYKRAADVESESGLRPCFDIAGKPAEFPELMFHFKGGAKLSLPLADYFSFLGDSGVLCMTIVSSNLVGSNSRIGPSIIIGNYQQQNIYLEYDLENGRLGFKKQICK >cds-PLY79538.1 pep primary_assembly:Lsat_Salinas_v7:1:34356484:34356783:-1 gene:gene-LSAT_1X32540 transcript:rna-gnl|WGS:NBSK|LSAT_1X32540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQASLFALIIPNQTSPWKQSQPISFNTVKPSKPTTCFTTIKVAATWEVPTKEALIGFIPPELDPNTSSPIFVGSTGGLLRKARVEEFYVITWNSPKE >cds-PLY66888.1 pep primary_assembly:Lsat_Salinas_v7:7:19069137:19071078:-1 gene:gene-LSAT_7X15221 transcript:rna-gnl|WGS:NBSK|LSAT_7X15221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEKKRESLIDWMIEARDAFMNETLYLTINIGDIFLASKTAANRELRCVGVVAMFIASKYVEMLTDPMVYDFVEILNNQHEKQDVLVMEKWILSQLEWSLTVPTPYVFLTSFLKAAASITPLEIEVHIYFVFFRFDVIKPSPVPNGEENTPNQSTSLLSINTYITTIPTKHSTTTVLLFSILLTTCVALSAAFAFAFLFFSSVTSTNHHHNTTALQIAGPLSDTFTMASHEPKWWLGEPIWETIANQGLKAATYFLPGSNVKKGSWVGHWRKALQVFSVMRKDKISPNLLFMKYS >cds-PLY98740.1 pep primary_assembly:Lsat_Salinas_v7:6:103342460:103343733:-1 gene:gene-LSAT_6X68700 transcript:rna-gnl|WGS:NBSK|LSAT_6X68700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGTHFTSFLFLFPLGVRRLVSSSSIYLNNPSLYRSKTWYFSNPTWRNVDFFVFLVALPIASFSHLFLFLAFSGHPTYKFSFLQQSVVVFLFWVLLIFIAIKEFIDPIAMPEHFIFVFAGVAFLIEYLMNGKGIVGLGELEYSFLGGLTLVCSAACFFLSLKPSAYFADFLLSSGLVLKGTWVLQVGLSLYTDAFAFKGCGKVVIAPSQGQGNTDVKCDLEEDKLRGMALMNLLFVVHSIMVLIMCFVLLGLLSRNKVRPGDPPIMAQLDSDRMLMHPLPALEME >cds-PLY67726.1 pep primary_assembly:Lsat_Salinas_v7:4:3996185:3997490:-1 gene:gene-LSAT_4X3300 transcript:rna-gnl|WGS:NBSK|LSAT_4X3300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSFQSPMDTRTTAMLETSCGYLLQELQMIWDEIGEDEFEREKVLVDLEHECLQVYRRKVDNANMSRARLHQEVADSEAQFTHLLLSLDERSLPRPEKMAGALKEQLGAIAPALKKLQMRKAERMKQFRTVQSQIQKISAEIAGQSEYDDSLPDVIVNENDLSLKKLEEYQMELQRLHADKNDRLERVKNYINTIQKLSSTLGMDSSMIITKVHPSLNDLSGLTKNMSDRILDKLNSTVESLEAEKRLRIEKLRNLGNSLKKLWDLMDTPYIERALFSHISGSTSSSTNMSAPGSLAIKMIEEVCIEFRSIIMIK >cds-PLY71832.1 pep primary_assembly:Lsat_Salinas_v7:3:59247952:59249241:-1 gene:gene-LSAT_3X46481 transcript:rna-gnl|WGS:NBSK|LSAT_3X46481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDDRLIAHIKTHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLNRGIDPATHRLITDSTNNHHNNNITPTASAATHNVNSSPDVTTTTNISFASAATTSPSTIHHLIKNEQVEDDMKLRCDSPDRRNIKNTSPENQERCPDLNLELRIGPPRHHQNNAISSSSSYIQQHHHQSVEYYQPLMTGGRNSGGSTICFACSLGIQNSKECSCTTGLNLTGGKSTGYDFLGLKNGALDYRSLEMK >cds-PLY90140.1 pep primary_assembly:Lsat_Salinas_v7:7:14711301:14714331:-1 gene:gene-LSAT_7X12420 transcript:rna-gnl|WGS:NBSK|LSAT_7X12420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSKQAKASECEVGVDMISNLPDPVLQLILSRLQGTEEVIRTSILSKRWRYLWTSVPSIDIDYTRRLKPSKIFKKNRFKDFVYWVLLNKTLDLDSFRLWCSNYYNMSTIRQWIHVAVMRKVKLLDLMFCSREESEDIEVPHCLVTCSSLEVLRLCLFECALCLPSITGFPALRVLELNNVRLEKCDLVKRFLESFPLLEELSLIDCIVFEWQDLCISSPKLKNLRIENHYEETGECCYTRKYSRIEISCPKLVFLKLAGNVAMRFRFGTLYSLKEAVIHITDLYEAEEQLDTFEYFDEISHVEYLSINIYCIKWCFDPTVDISVSLPNLKTLEQTVHAYNFMNTLIPILTRLPDLESFHLIIPKDAYVLKYWKLDDAETMRVLTHHLKKVKFLEFDEDKPKLDLARALLEHGHALEEMVFCWADETRFREKSMETMNQLSKFHKASSSVKLRCIINPSQANSNPAQNILEIDSIQAESSSCSSNSSVKLLGRGSS >cds-PLY74855.1 pep primary_assembly:Lsat_Salinas_v7:8:106700915:106701715:1 gene:gene-LSAT_8X72760 transcript:rna-gnl|WGS:NBSK|LSAT_8X72760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSTRTMYVQVIEDLISNIREEFINNGGPGEGVLTELQGELKMMQPGAILGPIDRSFMKLTTPRIPKNHVHDLNVPYEGLEELKRFELLFIPSSIVSLSRIACVLTPTQTPLPAQTTLPATTLTHLPSSMDNSYNLPAMGTPMTPNDYPHVNNKGASESRVGRPSP >cds-PLY82292.1 pep primary_assembly:Lsat_Salinas_v7:1:65721365:65721907:1 gene:gene-LSAT_1X56120 transcript:rna-gnl|WGS:NBSK|LSAT_1X56120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTYTDEHISTISPSRIFKASIIDSHNLMPKLLPDAIKSVEFIKGDGGAGSIKQINFAGGFVKHEIDEVNEKTFTYKYSLIEGMGISDKIEKVSYDIKFEGSPDNGTIAKMTTTIYTHGDFELKEEELNAGKEKVLGLYKVVEGYLLKNPDAYV >cds-PLY94288.1 pep primary_assembly:Lsat_Salinas_v7:7:165755669:165756685:-1 gene:gene-LSAT_7X97640 transcript:rna-gnl|WGS:NBSK|LSAT_7X97640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNLNIYCFVCNRRNVQDKEYDRKQNHNDASASTKKRFYEKGYSDLNHDILFLIMMQLGIVDFIWFSGVCKSWRSLALSNWKMFMASRLPVSMRITYRPYEKKEWYLELEESEGRKFKTFIPHSDGGRTFFGSTRGYLVFFVWKTRDFWLVNPITRHELHFPDHPLIVYFSRPTGLKAILVFSPSDTVVSEWVLVVSKRFCDSIWFSVAGSRSWTEVNVPSITSAIYDLHFFKEKIYVLFSCSLYVLQLYPNPKFTLLQTNNFQCSCYWGEFVSTAANLYVMINLDWLHKLDFDEMKWVRCEKVDEHADVKLGIWANIWSMWYFPHDFLNVNLLHHKP >cds-PLY95948.1 pep primary_assembly:Lsat_Salinas_v7:9:40685023:40687356:-1 gene:gene-LSAT_9X36381 transcript:rna-gnl|WGS:NBSK|LSAT_9X36381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 26 [Source:Projected from Arabidopsis thaliana (AT5G07100) UniProtKB/Swiss-Prot;Acc:Q9C5T3] MNSFGGRLNTRFSFDNSSRSLVSNDNKNEERKWDLFEFNNGVESPKQSFITPFYTSPFTSTNPNQFLDPPFFSFNSNIISSSGSYSDFHFKEEKANDTNLNFQSQIWPTSSSISGNKKEESTKSQALDWNVESQQKPTDFSIEISKTEPKSIQSPQSHSNFNSIQATNEQNRYQDGYNWRKYGQKQIKRSNNPQSYYKCTYPNCPTKKKVEKDLNGFITKIIYKEKHNHTMPTNFQKSSSNSFNNTIFEHSNRFQPYSECSFIEHEQELGSLICKSRIDHENEPEAKRWKLDEVESEVISSSLSKTLEEPRLVVETKSDIDILDDGYKWRKYGQKVVKGNMNPRSYYKCTYVGCRVRKLVERASHDFQSVITTYEARHNHSVPMPRRGGNYAINQASTSTTTTTSNNCGAVISRPVPNYSNNFHGRKGFTGSQTHILQALENSTNYSYSEKLYLNIAPER >cds-PLY64448.1 pep primary_assembly:Lsat_Salinas_v7:3:15219568:15224215:1 gene:gene-LSAT_3X10580 transcript:rna-gnl|WGS:NBSK|LSAT_3X10580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMFMRLYSIATTLFLLIGIVPLALAGAHDYRQALSKGILFFEAQRSGYLPGTQRVKWRGNSGLMDGKVNGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSIIEYGKQMATSGELAHAMDAVKWGTDYLMKAHPEPNVLYGEVGDGNTDHYCWQRPEDMTTSRNAYRIDKNNPGSDLAGETAAAMAAASIVFHRYNPAYSKKLLTHAYQDELLWAAAWMYKATSNKYYLDYLGRNGDALGGTGWSMTEFGWDVKYAGVQTLVAKFLMGGKAGNNGPVFGKYQEKAEFFMCSCLGKSNRNVQRTPGGLIFRQRWNNLQFVTSASFLLTVYADYLTSARRNLHCSSGTVTPPELIAFAKSQVDYILGDNPRATSYMVGYGNNYPRQVHHRGSSIVSIKVNPSFVSCRGGYATWYSRKASDPNLLTGAIVGGPDAYDNFADERNNFQQTEPATYNNAPLIGVLARLHAGHAGNYNQLLPVEIPLRKPIAVQPKPTPQGLIGISQKTTSSWVANGKTYYRYSVIVTNKSRMTIKKLNLSITKLFGPVWGLTKNSNGSYGLPTWVSSLAAGKSIDFVYIHTDSPAVVSVSSYTMA >cds-PLY65011.1 pep primary_assembly:Lsat_Salinas_v7:1:116765592:116768394:-1 gene:gene-LSAT_1X86140 transcript:rna-gnl|WGS:NBSK|LSAT_1X86140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIADIHEDIIKTHILTRLDGQTLAAAGCASSQLQSLCSDQKLWSDICSYNWPSTVDPLVIQAISNFPSGYRSFYSDSSSSPTYRLSTTTSLPATSHIISSVDLRYHDELIFSTVESTNTTPSDWFQSSPFRIDLLQLKELIPSSIKFSGDNQVLLSNLEKNMTLSWIMIDPSQNRAVNLSSIKPVSVRRNWLTGNIEVIFAVVIAPDVPLHGNDYVNCNIQITCGVKEGGGELNMSGASLMVLDMDGKCLSGKESMVILQGLAVAQRRRRRYSGGGEEQKERYGEFIQRRRERKEKMERRERRLDMACVASGVGILMAFWSFALSCNIESDGEDDEDAISNTMIVGEENKFDDMSDTLEEGEIELDLESERVPESQFEVNDHEATVVESPVEALADVPGGVGVEAIIIDGSQENLNGELGRNKEDQQSQFNGITQMWVDTIEDGCMADDVEHGNPLMSLGKIREQLRKGGIGPFPNPDPRVSNEPNNVSNLEMDPNGSYLKRKKVR >cds-PLY67208.1 pep primary_assembly:Lsat_Salinas_v7:6:133360303:133362072:1 gene:gene-LSAT_6X80480 transcript:rna-gnl|WGS:NBSK|LSAT_6X80480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEINHFSHSHPLKLVNMETILGANFDSRGGGGGEEKEKPGVIGCYACQNPISSGFAYGCTQCRHFMHKKCSQVAAVLNLPSIYEHPLTVFDFGYTKSASWSCYVCRNQCKIKGFCYCYSTHTVFNACIDCCLIEIARKAEADAIKEAGRIKIEHNGHPQHILTLQLRSASFRCDACNTKDEGFFYLCDSCDFMIHKTCASLAPTIQLPHHPNHELVLIYSLPEKVFKFAYYCEFCSIYIRRNDWLYHCANCRYFAHIKCALTAEQPCIQRDGPSTSTVDEDENGLLHFPMLDEFTDPLKLLHSEKLTQDDDDEKTEHQHWSHEHPLILHVQPQPNSMSDCSDPIEVCHGCVQPLSLPYYSCKDGCSFALHKYCAELPLKLQHPLHPDHSLVLINTWGHEKHNECNGCYSDGNTFLYRCETCKFYLDVNCAFLPRTIKHKSHKHPLIQVIDPRPLCNACDMWSEGISYACKACSFILGMHCAMRSPYSLGHRYCKGHEIPLTYPPVMDHPEDFFCDMRMKCTPNSPSIIVAIAKILFTVNALVESIIIQTFSKKAP >cds-PLY63908.1 pep primary_assembly:Lsat_Salinas_v7:5:127257608:127261752:-1 gene:gene-LSAT_5X56841 transcript:rna-gnl|WGS:NBSK|LSAT_5X56841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGAHGATMAAAAAISSSSVPPTTSATPIPGKSLVLLSSLPSSFPSRRRRTNHLRKKILKTLENKPYPDISNPEFPRKTPPIIIEETVQQQTTETDQLSLSQTTGFIDGIAGEFSTRSFVKIGLYLVGAFVFQTICAALIFGWNDLEGEDRNLNSDEYKKPRALDSKENPNLMETKEGGILLVDKSEMDNRIVEIQEMAREARQQERVEAKRKGLVEEEEEDDDDIDIDHDLIDKSLKEKEVDNRLMKLRKSLEGNYEKLPPKFPIKEDSAPLMFKKKYKYKSPSIDSGEKPKGFDNTKDLHVVNGEFINKDQEQVDESIPIIEPINKLVNGSEKTKQRATKTESIKGASKEIRKPRGFGQESQSNTSISSKNDDSYSNGSLKGKKGRNRKSGGDFGDMKISSKIDFWWTSLPYVLAILMQRGGKSEESEGLFTLRSNFKSKSGLSHTVAFEDRGDATNFCYLLESFFQDLEDFTTNIIPIPTNELEDAVKSEIMKVVVVKKGQLELYVGQPLTDVESALRTLIQQQTE >cds-PLY99104.1 pep primary_assembly:Lsat_Salinas_v7:5:138489659:138491137:-1 gene:gene-LSAT_5X59181 transcript:rna-gnl|WGS:NBSK|LSAT_5X59181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMLPVKSLIRFTCISKAWKSLIENSEFIANHIFRPQHMLVTFKDQKIVSFVDDDTFPDQGLVPTLPRSVHQHSRIVGSSQGLLCFNAFTDSNQPNLGYISRTYMTVIWNPSIRKSIVRNVSIELYENDETVLGFGVCPLTYDPMIMVITQFHKWPVKVGFTGLWDVKVYRLSSAKWKSLSRNLPSKSVRVQCPQVIVDRFIYWCAEQRLTIDNGLRRPCEGLVVIGGKKPPCTQPQKSRGYDLEPRSWPHEVYTMRHHTQ >cds-PLY85476.1 pep primary_assembly:Lsat_Salinas_v7:3:42353625:42355357:1 gene:gene-LSAT_3X32681 transcript:rna-gnl|WGS:NBSK|LSAT_3X32681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRRCSSLSSWWSSTPASSAIISRNPINGCGVDSTSDAPRSVSHPNPLFPNSIFLHHIRGLSSSTIAPTHDLGFEVPSTVAAIKNPTSKITYDEHNHERYPPGDPSKRAFAYFVLTGGRFVYASLIRLLVLKFVLSMSASKDVLALASIEVDLSSIEPGTTVTVKWRGKPVFIRRRTDDDIKLANSVDVNSLRDPQEDAIRVQNPEWLIVVGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLAEDKLLIG >cds-PLY97917.1 pep primary_assembly:Lsat_Salinas_v7:4:82715856:82719226:-1 gene:gene-LSAT_4X55321 transcript:rna-gnl|WGS:NBSK|LSAT_4X55321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDGLGLECQVCGFVGLDDGSDGFFYCQRCGSQADGIRDTAVDDNEMLLTKENVGGIVQRRVAVVKPEPLSQSQPPSQFWETLRTQENDEDDDGVGPTKPIDFGRGPRTLSYEDYYSEIRMRYVMGVQIMIELQFKALVENFNVCPIIVDMVEPIWLRFVASTKLFTDDWADEVINESESQVQGETEAVAPNAKHKSEPHNILGKRSVMIWYRSVSKTIPLPYSLAISFLLCHLAREPILSTDIAKWTLEGKLPYFTAFLEIEKQIGSPTNACPLSSSCMFRPLHCISIQKLESLAASIAHSIGLELPPVNFYGIAARYLGQLSLPVETILPHASRIYEWSMPPELWLPGNEFRLPTRACKRKDGKESKILDKKVESESESESELESESECKMSSLSNNLVSESYDKRLPKQSNLDATEILLLLESKYSQLIDTSVDGRDLETYLEYCKNVVFAGVELSFEDHEEDQIIEDLWNYYHKEEEDHKPSSPSSNCGSHKRPLDFSKTNTNMNKVKKPKDENASETSNETQKEKAIRRMISNMEEKRFCYIPPRTNIKRPDDYLHYTRKKDDGNYTYASHADYYILLRSCARVGRLDVRVMHGAVLSFERRLAWLEKNIDQCVKEMPSFQVSCELCQQDDMNGDESMDFSKLNL >cds-PLY66236.1 pep primary_assembly:Lsat_Salinas_v7:5:142935860:142937875:1 gene:gene-LSAT_5X63360 transcript:rna-gnl|WGS:NBSK|LSAT_5X63360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HIGH ARSENIC CONTENT 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G21045) UniProtKB/Swiss-Prot;Acc:Q8RUD6] MDAPKSVEDVVTVDVHDARKLLIDSGHRYLDVRTNEEFNKSHVDNAINIPYMFITQEGRVKNPEFVAQVSALCDKEDSLVVACNSGGRSLKASVDLLIAGYKNVKNMAGGYSAWVDNGFAQDSAPPEELKTACKFRR >cds-PLY73264.1 pep primary_assembly:Lsat_Salinas_v7:8:211844267:211846205:-1 gene:gene-LSAT_8X132101 transcript:rna-gnl|WGS:NBSK|LSAT_8X132101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKNSGKSKNFTEETQNFTHMDFGQMGFDETREWKQVFDEASMADRHRNARPLKKIKSPEHQSTSSSSSSSSKLFPFAFDGNNHQTIESLQQYRSNFSQPLPIYPSQPQNPQMISFNPQQHYQQPPMIHQNFGFPPYFSGEHSGSSQQQLLQYWSDLSPRGRMMMMNKMRPPFQQIQPIHTTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPERFFKKNKNESVSGGGQNSGQESSSSSPPTPLVKDDTEDLKSKESEEVVTENEGVHGDPITREENSDPAWGEMAENWYNSGWGPGSAMWDSIDSNNNLMFPSDFNLENHEEHQKEYQQQEHGNYDFSDFESQMNPFVWKDEASF >cds-PLY65808.1 pep primary_assembly:Lsat_Salinas_v7:5:268918398:268918919:-1 gene:gene-LSAT_5X139821 transcript:rna-gnl|WGS:NBSK|LSAT_5X139821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAIVEVALQTHHIAKFGGDLDTIDWITIFEKVLGTLRGHVRGIGSKPSSTAGTSVPFHRSSPILK >cds-PLY67911.1 pep primary_assembly:Lsat_Salinas_v7:1:55382799:55387455:1 gene:gene-LSAT_1X48821 transcript:rna-gnl|WGS:NBSK|LSAT_1X48821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPHCVLSYPKWRRQVLVPGPVIVGAGPSGLAAAACLREQGVPSVVLERSNCIASLWQLKTYDRLRLHLPKKFCELPLMSFPEDFPAYPTKQQFIEYLETYAKRFRIEPVFEQSVERAEYDESVGMWRVKSVGMKGEEMEYVCRWLVVATGENAEAVVPTIKGMAEFCGEVKHTSEYKSGSAYKGKKVLVVGCGNSGMEVCLDLCNHNAKPSLVVRDAVHVLPRDIMGQSTFGLSMRLLKWFPMRLVDWFLLIMSWWILGNTARFGLDRPTVGPLELKNLSGKTPVLDVGALAKIKSGDIMICPSIQHIGHRNVKFVNGKVEDFDAIILATGYKSNVPSWLKEKNMFSEIDGYPRRPFPNGWKGAYGLYVVGFTKRGLLGASADAKRIAEDISKCWKAEANHPSSTIKHSFLLQP >cds-PLY94156.1 pep primary_assembly:Lsat_Salinas_v7:5:33867037:33868963:-1 gene:gene-LSAT_5X14640 transcript:rna-gnl|WGS:NBSK|LSAT_5X14640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:HCA2 [Source:Projected from Arabidopsis thaliana (AT5G62940) UniProtKB/TrEMBL;Acc:A0A178UF22] MGLTSLQVCMDSSDWFQGTIQEEIGMDSSSPSGITDPMLACSRPLMERRLRPQQDQALKCPRCDSTHTKFCYYNNYSLTQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKVSSSSKKSTSATPNNHSNDNSINIHHQNHQQNPHLINPNHHLIMNSTDLQLSYPESSMQFSASNLFGIGNSSNIPSFMFENLNNSATRAIDFMENSGGGGGSQFLGIMGTGGYAADMGGANILAQNYHGGNLCSPFGGMTLDSINNPFMERGLISYNHEGNDVHDDTISSLDVKPKLLALEWQDQNCSSDHHGGAASSGSGGYLTGLGSSWGGLVNGYGTSTTNPLV >cds-PLY89729.1 pep primary_assembly:Lsat_Salinas_v7:7:42350741:42352177:1 gene:gene-LSAT_7X31101 transcript:rna-gnl|WGS:NBSK|LSAT_7X31101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESAAALAVELSIWNEVADFYRRASELYNECGRSQPASDALAKGARALEDAKPEVAITMYTDACLLLEEDGKENMVFDIYRAITSVYIKLEKYTDAATFLLRWAVAANKCNALHSQCKAYLSAIIVYLYAHDFKEAEKCYNDCCQIDTFLSSDQSHCASKLLSAYREADIEEIQRVAKSSTVSNLDHVIIRLARKLPTGEVIAMEINSVEHGEALDEDDLT >cds-PLY61728.1 pep primary_assembly:Lsat_Salinas_v7:5:214113223:214113773:1 gene:gene-LSAT_5X98200 transcript:rna-gnl|WGS:NBSK|LSAT_5X98200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTESIRGRLGRSHSNMEKNDSGYYCTPAAKFEGDGDDDDGDYDYAPAA >cds-PLY69738.1 pep primary_assembly:Lsat_Salinas_v7:8:117439911:117441624:-1 gene:gene-LSAT_8X80941 transcript:rna-gnl|WGS:NBSK|LSAT_8X80941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQIHEVCVVMVPFIAQGHLNQLLHLSRLISAYNLPVHFVCATNHTRQAKLRIHGWDPLAVDNIHFHEFPVPSYPNPPPNPNATIRFPNHLMPSFKAIMHLREPFSKLLSDLSATTKRVVIVHDYLMSSVVQDFSLVPNAEVYMFQSCCAFTAFLYHWEETQTLKLDDETESLWKKVPSHDGCFSQEFFELLESEDSSFKKISSGTLYDTSKVFEEKFLSLLKNEGIDSGTTKNWAIGPFNPVTISDHKNPTTESKKLFNWLDKQEANSVIYVSFGTTVSLSDEEAREIAIGLEESGQKFIWVVREADKGDIFDGEDDRKVELPEGYEERVETKGVGVVVRGWAPQLEILGHPSTGGFMSHCGWNSCMEGITMGVPIAAWPMHSDQPRNSVLITEFLGVGIYAREWFRRDEKVVASMVEGAVRRLMSSEEGCLVRKKAAELGFAVRRSVEEGGVMRKELDAFVTEITRS >cds-PLY65175.1 pep primary_assembly:Lsat_Salinas_v7:6:110270394:110271004:-1 gene:gene-LSAT_6X66400 transcript:rna-gnl|WGS:NBSK|LSAT_6X66400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGILSWWKGNKDTTSSGTGSGSKITEKPESKAIVSSEKAADEVPGMNGAVEVSRPGPNVDVTVFEFGSIAASSDKVTLAGYCPVSDDLEPCRWEILPASGSDAPQFRVVF >cds-PLY66807.1 pep primary_assembly:Lsat_Salinas_v7:3:202547586:202547924:1 gene:gene-LSAT_3X119000 transcript:rna-gnl|WGS:NBSK|LSAT_3X119000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVANKLTGKTDVVDNLKEKTDAVDTLKEKAVAIDDNLSACTLDYDRKAELIAFDETKSGVKGLVDAGITEVPRIFRLPSPENQTPTKSRHQSTVFRSSTLKESRKIRSGERR >cds-PLY77834.1 pep primary_assembly:Lsat_Salinas_v7:1:23209180:23211224:1 gene:gene-LSAT_1X19881 transcript:rna-gnl|WGS:NBSK|LSAT_1X19881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSSWAKIAIVWKQSSSALTSMLQAVDYVSEGGQFGDYDEKVVKSLRVSCWLNAAACSLKLDDHKNAIFLCSKMLDVEFYNVKALYRRAQAYMETYDYELTELDIKKALETDPQNREVKSIHKTLKQLEAESNKRDAKLYTNMFAQMANDCSIQTKIEKVEKNEKDGVMGMELEKEDQLKI >cds-PLY85694.1 pep primary_assembly:Lsat_Salinas_v7:7:158184531:158187636:-1 gene:gene-LSAT_7X93140 transcript:rna-gnl|WGS:NBSK|LSAT_7X93140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAKKRFAAVASRRQIPPFVSKFVISLLVLFCFVVFFGLFSQFKLQSSSVSTEFGFDEFRLEDYRMKIAFLFLVRDNLPLDFLWHNFFKNADPENFTIYIHSKPGFVFDESVTRSAFFYNRQLKNSVEVGWGKPTMIEAEKLLFKAALEDTNNQIFILLSDSCVPLYNFSYIYKYIMSSPRSFVDSFIDDATEKRYNPEMSPDIPEDKWRKGSQWITLVRRHAEVVAYDHVVFPIFNKHCKRRPLLDLSKGNESLKEQQQHNCIPDEHYVPTLLEMRGIEGELVRRTLTYSLWNQSTETMNTLAWHPVTFGYTSASQKNIQAIKDIDRVYFKSENRTEWCGGPCYLFARKFSRGAAMRVLTNGLVGPYDPKTLFLDPT >cds-PLY92484.1 pep primary_assembly:Lsat_Salinas_v7:2:152165382:152168509:-1 gene:gene-LSAT_2X76500 transcript:rna-gnl|WGS:NBSK|LSAT_2X76500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAKVAIAVVGGFLGWIYTRIKPPPLRVCGSPGGPPITSPRIQLNDGRHLPYREWGVSKDKANYKIIVISYCPPTETDLSSGKKLVVVVYNSQGWKRSDVIRLPVVSENIAVHDSNGKEVNFYAGFDGTTGEQASGAYISRPNGTYSIDTQEQITRVFKNKEHAGVEFTVGPIPIDDRVGKEIVTQITTTMKSNKTFYIDSNGRDFLQRIRDYREDWDLEVNQPIDRNYYPVNLGIYLKDETSELLLLVDRSVRGSGIVDGQLELTLHRILLYDDGKGVVEALNETVCVGNDCRGLIVQGKYYLRIDPIGEGAKWRRSYGQEIYSPLLLAFTEQDEKTGTNFQVSTFSGMDSSYSLPDNVALLTLQELEDGKILLRLAHLYEVVDLSSSSLYYYYINXSFNVF >cds-PLY82353.1 pep primary_assembly:Lsat_Salinas_v7:5:312275380:312276138:-1 gene:gene-LSAT_5X171121 transcript:rna-gnl|WGS:NBSK|LSAT_5X171121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIIPSFFGSRRSSVFDPFSLDIWDPFDGLLTSSLSDVRATVRETTPRVDWKETPAAHIFTADLPGLKKEEVKVEVEEGRILKISGERSSEKEEKTDKWHRVERSSGKFQRSFRLPENAKVDEMKASMENGVLTVTVPKQEVKKPEVKAIDISG >cds-PLY95644.1 pep primary_assembly:Lsat_Salinas_v7:9:117674165:117689024:1 gene:gene-LSAT_9X80761 transcript:rna-gnl|WGS:NBSK|LSAT_9X80761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAIDLYNGLSLCMVKSSNGFRFSSSLKTKTCDFSRNQRKLNCMASISATVEEGRQQLTGDSFIRHHLRELSPYQSILPFEVLSTRLGRKPEEIVKLDANENPYGPPPEVFEALGAMKFPYIYPDPESRRLRAALAEDSGLESEYILVGCGADELIDLIMRCVLDPGDSIVDCPPTFTMYEFDAAVNCARVIKVPRKTDFSLDVEKIAEAVEHQKPKIIFLTSPNNPDGSVISDDVLLKILDLPILVVLDEAYIEFSGLDSKMRWVKQHDNLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAELSACAALQNPTYLEKVKVALVEERERLYALLKQVPFLNPYPSHSNFILCEVTAGRDAKNLKEDLAKMGVMIRHYSNKELKGYVRVSVGKPDQTDALMDCLTRLS >cds-PLY97545.1 pep primary_assembly:Lsat_Salinas_v7:5:234455814:234458787:1 gene:gene-LSAT_5X116880 transcript:rna-gnl|WGS:NBSK|LSAT_5X116880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVASFLSCASSRPPLSSSSSSSSSSLVLRRNQSVSFPSVSFRRAPKDLINVKTLVFASSDGIRAHEGDSRSKKSMLLKLIQEIEPLDVSIIQRDVPPTTIDAMKRTISGMLGLLPSDQFQVLIEALWKPLSKLLVSSLMTGYTLRNAEYRLCLERNFDTYEETTDHKQTIGIEDSKLIHNSITTNTHKSEETSENPPEFPDFGEMTPEVQQYILKLQSRLFSVKKELHEVKRKNAALQMQQFVGEEKNELLDYLRSLQPEKVAELSEPTSADLKETIHSIVHGLLATLSPKMHSQSQTSIGIGSSDHDHDHDVVEKEREKENTSIQFQPLVSLTRDYLARLLFWCMLVGHYLRGLEYRMELVELLSLSHKEEDYDL >cds-PLY95706.1 pep primary_assembly:Lsat_Salinas_v7:2:113809174:113809919:1 gene:gene-LSAT_2X52761 transcript:rna-gnl|WGS:NBSK|LSAT_2X52761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDCRMISREEVQKVQNLIEQCLSRYTNKKHVIDILYREQNIEPIFTKIVWERLEEENQEFFKIYYTKLALKHQITQFNDLLHQQAVADLNQANSYGAVVNGSYIPGSNFVFHEGVNHNNVPENIAMKQAETMQQYHFPAVVLGGFNGGGLFIQVEMQNGPVTGEYGGGYSEREWGCSRWT >cds-PLY96397.1 pep primary_assembly:Lsat_Salinas_v7:2:89197626:89200113:-1 gene:gene-LSAT_2X37361 transcript:rna-gnl|WGS:NBSK|LSAT_2X37361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKGLPFSVDTWTPCSNRKRHHFLTHAHKDHSQGISTHSSFPIYATRLTKSLTLNCYPQLNESLFVDIEIGQPIAINDPVETFTVTAFDANHCPGAVMFLFEGTFGNILHTGDCRLTPECLQRLPEKYLGKTSREPKCRLDYIFLDCTFATFSSKMPSKHLAIRQVIDCIWKHPDARVVYLTCDLLGQEEILENICKTFGSKIFINKENNPECFQSLSLTIPNILSQDPSSRFHLFDGFPRLSERAEAKIIEARANFQPDPLIIRPSAQWYVFEDVSSESEKRKNSRFKEAIRDSFGIWHVCYSMHSSRDELEWALQLLAPKRVVSTTPEFRAIELGYVRRHCSFDNLAPDDPLWKLLDIDLEAPLVNEDIQEEVTVNKKEMLTVSSPVKGTCLTLFGRARAGLGNSTLSYEDLVKPEIPTRNVDEVGSDGEVPEGQHDGSKSNGLTKGIGKRATPYSPTGMSNGVNENIRKLYRSMHIPVPKPLPSLVEILNNRKRAKRSFML >cds-PLY80260.1 pep primary_assembly:Lsat_Salinas_v7:6:124760249:124761610:-1 gene:gene-LSAT_6X74260 transcript:rna-gnl|WGS:NBSK|LSAT_6X74260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPYLTISFHEYQGDEYERSKAYKAIERYLSSNSSNGVKRLKANVIKNSKFRSVVLSMEDYEEVIDEFQGMTIWWSSSKIIPQHRALITYNDGDEKRYYQLTCHRKHKDVITNVYVPHVLDEGEAIAKKTRQRKLYTNGKGTSWSHIIFDHPSTFDTLAMHPEKKEDILNDLRSFIKSKDYYKKVGKSWKRGYLLYGPPGTGKSSMIAAMANLLDYDIYDLELTSVNDNTDLRKLLIQTSSKSIIVIEDIDCSLDLTGERKEIKKGKNTEEEKNLVHKKEKVKKKKGSEVTLSGLLNFIDGLWSACGSERLIVFTTNHIQKLDPALIRRGRMDKHIELSFCCFETFKVLAKNYLDIESHDLFPSISRLLEETNMSPADVAENLMPKSADIKNAENCLKDLIKALENVKEEARLKAAEEELSDQVLSDSGDEDSKDTDTESCDSGDEDSSEGED >cds-PLY78303.1 pep primary_assembly:Lsat_Salinas_v7:2:181279601:181281753:-1 gene:gene-LSAT_2X102920 transcript:rna-gnl|WGS:NBSK|LSAT_2X102920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTPTIPTHNNRIVDSTHHRLLEVVKTALIKIFVSPYATVCDLYCGRVPDEERWDEAQIGHFIGIDEASSGVREVQEAWESQRKTYTSDFYELDPCIENLEPNLGDKGNTADIVCCMQHLQFCFESEEKVRRLLHNVSSLLKPGGYLIGITLDSSTIWAKYQKNVEAYHNRSGAMKPNLVPNCIRSENYMITFEVEEEKFPFFGKKYQMKIANDTSAETHCLVHFPSLIRLAREAGLEYVEIQNLLEFYDDNRAQFSGMLLEAGHGLVDPRGRLLPKFYDVLGLYTTFIFQKPDPDVAPPLMTPLLHDHGTQILDEREWQGSVWRDEEKIGASHSESTFSLGKITEQKGILGPGPADLRFSEAI >cds-PLY72304.1 pep primary_assembly:Lsat_Salinas_v7:4:60629118:60630941:-1 gene:gene-LSAT_4X42140 transcript:rna-gnl|WGS:NBSK|LSAT_4X42140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase 2 [Source:Projected from Arabidopsis thaliana (AT1G05590) UniProtKB/Swiss-Prot;Acc:Q9SYK0] MGTNNFSTILNLLLLFLTLVPSTSAVGSPINIWPKPRSFIWTTPQAIPFSPSFAITSPPHPYLTPAVNRYLSQIQTANYNPLLAPAINFTTSLPLQTLTITISDLKATLSHAVNESYTLTIPSKSTSATLTAVTPWGAMRGLESFSQLVWGSPARVAAGLVISDWPIFEHRGILLDTSRNYYGVEDLLRLIGGMSANKLNVFHWHITDSHSFPLVLKSEPALAGNGSYGSDMQYSTEDVKRIVQFGLEHGVRVIPEIDMPGKISFLINVSVFFHVLQPQPIDVNNGFFSYRLAGHTGSWAEAYPEIVTCANMFWWPGAAEDRLAAEPGTGHLNPLIPKTYDVLKNIFTELTTLFPDTFFHGGADEVVPGCWKTDSTIQKYLANNGTLSQVLEIFINSTYPYILSLNRTAVYWEDVILDGEIKVNPSILPPETTIMQTWNGGPNNTKRLVSAGYRTIVSSADYYYLDCGHGDFTGNNSIYDQPPGTEQGNGGSWCGPFKTWQLIYNYDITYGLTEAEAKLVLGGEVALWSEQADATVLDSRIWPRSSAMAEVLWSGNRDEAGMKRSGEATDRLNEWINRMVKRGVKAEPIQPLWCIRNPGMCNNAMTA >cds-PLY85430.1 pep primary_assembly:Lsat_Salinas_v7:2:70671689:70672358:-1 gene:gene-LSAT_2X32281 transcript:rna-gnl|WGS:NBSK|LSAT_2X32281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFTATTLLKKKILMITTIYGAITSTISNRLSLKTSFTQDSVERAHAENTLKVFSANIDYISQCQYILHNASNPYALMLASSSLLTEHTLSLHLRNYIISHLAKRGHEIQLYVIGSLIQLLCRVTKFGWFDDDSFRDVVKDSTNILIQTTFVCTDNDPALGISYLPSLYIH >cds-PLY92958.1 pep primary_assembly:Lsat_Salinas_v7:5:48368496:48370285:-1 gene:gene-LSAT_5X23641 transcript:rna-gnl|WGS:NBSK|LSAT_5X23641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSPPLMAAHLRILLRRRYFSSSLPPPPPPPPLRSIHHFLHPHKPSLSKPYSLFTPFPPFSKFFSSQTQIETPPEDSQDIAQSLSYELLKDPNEQSLPLPHRLDLSFSHITLTSNIILTALNLSPESGRTALDFHKWLSTRTDFKLTDETLAYFIDYFGRRKDFKATHELLVASKGISGVKTFESSIDRLVRAGRPTQAVAFFDKMESDYAFVRNMDSLKFIVSKLCEHGFASYAEKMVTNVANEFFPDEFICDTLIKGWCVDGKLDEARRLSQEMYRGGFEIGPVAYNAILDCVCKLCRKKDPFRLQFEAEKVLIDMDVAGVPRNVETFNVLINNLCKIRKTEEAMNLFDRMGEWGCHPNEKTFLVLIKSLYQAARTGEGDEMIDKMKSAGFGEALDKKSYYEFLKILCGIERIDHALTVFAKMKKDGCEPGIKTYDLLMGKLCAHGRVEKANVLFKEAESKGVGVVVKAYKLDPRFMKKPKMVKEKKRETLPEKMARKRRRLKQIRLSFVKKPKKAQRRAY >cds-PLY69903.1 pep primary_assembly:Lsat_Salinas_v7:4:69614058:69618105:1 gene:gene-LSAT_4X46821 transcript:rna-gnl|WGS:NBSK|LSAT_4X46821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQRWRLEFKLQRSAVDKSGRYLNCNSLNGSIPAWINSGDTAQELGMCLSQFPCQKEHYSIHINCRGPKVTIGNLTYEVDGAAKFVPTRDFWGPSSTGNALGGNHRLSKYVATNVSALTIDDNQLYTTTRLSPLSITYYGRCLPNGNFTVTLHFAEIVFRDNMSYQSLGRR >cds-PLY71241.1 pep primary_assembly:Lsat_Salinas_v7:6:19425337:19427522:-1 gene:gene-LSAT_6X14580 transcript:rna-gnl|WGS:NBSK|LSAT_6X14580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 711A1 [Source:Projected from Arabidopsis thaliana (AT2G26170) UniProtKB/Swiss-Prot;Acc:B9DFU2] METSISKLIAQFRGLIPLTTPPSFSLSSSSSIFTILALVAGILVYFYGPFWGVRKVPGPPAIPFVGHLPLLAQHGPDLFSLLASKYGPIFRFHMGRQPLVIVADPELCRQVGIKKFKEFPNRSIPSPILASPLHRKGLFWIRDSRWSTMRNTILSMYQPSHLAKLIPMMQSFIETTSQNLPIEEDQDINLNELSLKMATDVIGKAAFGFDFGLSKPIHHQHNDTQIDSFIKQHIYSTKMLKMDLSASFSIIIGLLLPILQEPFRQILKRIPYTLDWKIERTNKNLNCQIDEIVVKKMEDKERGSNDFLSLILNARESETTTTKLFTPDYISGVTYEHLLAGSATTSFTLSSIVYLVSGHPEVEKKLLEEIDAFGPHDKIPTADDLQSRFPYLDQVVKEAMRFYVVSPLVARETSTQVEIGGYVLPKGTWVWLAIGVLAKDPKNFPDPDKFKPERFDPNCDEEKRRHPYAYIPFGIGPRACIGQKFSLQEIKLTLVHLYQRYVFRHSPNMETPLEFDFGIVLNFKYGVKVRAIKRT >cds-PLY80778.1 pep primary_assembly:Lsat_Salinas_v7:5:103096071:103096711:-1 gene:gene-LSAT_5X48201 transcript:rna-gnl|WGS:NBSK|LSAT_5X48201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRDHELQFLVTANTCFVPLQAVSLPPILHGNDVVVAAETGSGKTHGYLVPLFNKLCTTTNDSLNTDQQLNRPHMSLVLCPNVMLCEQLVRMANCICNDNGEPLLRVAAVCGRQGWPVNKPNIIVSTPAAILNFLHAIDPERRRCANFICDVKHVVMFHLYHNFNCLLDEFFLLTFFNKR >cds-PLY95991.1 pep primary_assembly:Lsat_Salinas_v7:9:43058404:43061535:-1 gene:gene-LSAT_9X38740 transcript:rna-gnl|WGS:NBSK|LSAT_9X38740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVETLFEDIFRVDQLDPDGKKFDKVNRIEARSDQFDMYMQLDVNTDVYPMHVGEKFMMVLATTLNLDGTPDSGFFSPGGRKSLADKFDYVMHGKLYRINEEGSGANVKADIYVSFGGLLMLLRGDPSIAAKFELDQRLFILMRKVDKA >cds-PLY65636.1 pep primary_assembly:Lsat_Salinas_v7:7:27082802:27086148:-1 gene:gene-LSAT_7X21181 transcript:rna-gnl|WGS:NBSK|LSAT_7X21181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEEHDQGYNDDEEDITQEDAWTVISSYFEEKGLVRQQLDSFDEFIQNSMQEIVDESADIEIRRESQHNPGHRPDCAEGSSGQCIHATLPYIRTKIPIIIVFRALGFVADKDILEHICYDFADTQMMELLRPSLEEAFVIQNQQVALDYIGKRGARAGVTKEDRIKFAHDILQKEMLPHVGVGEYCETKKAFYFGYIIHRLLLCALGQRVEDDRDHYGNKRLDLACPLLGSLFRTHFRQLTRDVRAYVQKCVNKGKDINLQFAIKANTITNGLKYSIATGNWGKANAAGTKAGVSQVLNRLTYASTLSHLRRVNSPIGREGKLAKPRQLHNSQWGMTCPAETPEGQEISPAVIPEATKIFVNGVWVGVHRNPDMLVKTLRRLRRRDDMNTEIGVVRDTRLKEVRIYTDYGRCSRPLFVVENQRLLIKKKDIQKLQQRETPEDGGWRDLVANRFIEYIDTEEEENTMISMTINVSNST >cds-PLY92787.1 pep primary_assembly:Lsat_Salinas_v7:2:148931905:148933424:1 gene:gene-LSAT_2X74780 transcript:rna-gnl|WGS:NBSK|LSAT_2X74780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEATCLNIILFFSMFDNQSRNSIWHVIEAGRTCLLMRWPMLMLESLVQRLNENLIRTQVLLASHLQLQLNLEGSMVCNPSGLDTEEPLPVAVFALTTCELGSLITHVLKNHSRSAPPHLLLLVYKILTIHVLLV >cds-PLY79782.1 pep primary_assembly:Lsat_Salinas_v7:1:181769398:181772249:1 gene:gene-LSAT_1X118581 transcript:rna-gnl|WGS:NBSK|LSAT_1X118581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDKSLARNLFPVRPSSGGRPSSLPDRSGLQRIPLTGGSLPGARSSPFPDPNGLPRIPLTGGSVPGARSSPLPDPSGLPRIHLTGGSIPGANSSPLPDPSGLPRGGFVSGATSSPSPNGLPRIPLSGGLSRTSVRTTRSGFSGSSSMGDINTNMGLTDAIADTGVVQNVRNGIAYGYEMTGNNEDPASDFLYNGSEHENEPEHGHESMHEPESPMVQTPHYSGTHGGSNDVDSNGSHRPFITRKGYKFGRQSIHRAIVKIFWQSINEPWITYKKIPKEVVTQMFERFRTQYRWDPNEEGLIREGFENTLKDRYRGRMRDAREASKWDTDEWKKLSKSGKNNRNTDSGGAARHTGGSIGFEEHRLKLKQLTGEDPSFIDLYYKTHLTAESKKIYFGGDKEAQVDFVNERSRVAIESYNTTLSQKYGDDTTQHNVNDPELWTQTQLLRKGGKQKGPIYGAGYSDLHFLMTGAYSYESTSASADFAKSQQEVNELRQKMSNMEQAMEEKQSEMNLQMQQMQNEMELQVQRQLAAFMKQINPSDNPPSSS >cds-PLY91969.1 pep primary_assembly:Lsat_Salinas_v7:7:125436314:125440564:-1 gene:gene-LSAT_7X76261 transcript:rna-gnl|WGS:NBSK|LSAT_7X76261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSLFVIIITLCLSIPSSVSTDFDALVTLKQGFDSIPFALDTWNSSNPTSICSWVGIKCSHNRVISLDLSNMRIHGSVSPVISSLDTLTELSLDGNNFTGEINLSNLTSLRFLNISNNAFSGGLEWNYANLQNLEVLDAYNNNLSFPLPTEITSLKKLKYLDLGGNFFYGKIPESYGKMVSLEYLSLAGNDLHGKIPVELGNLTNLKEIYMGYYNVFEGGIPKEFGKLVNLVHMDLSSCELDGPIPPELGNLISIDTIFLHINLLSGPIPKQLGNLTSLVNLDLSANALSGEIPNELGNLKNLKLLNLFMNRLHGSIPDFVADYPDLQKLALWSNNFTGVIPENLGRNRNLQEIDLSSNKLTGTIPRDLCASNQLRILILLKNFLFGSIPEDLGTCSTLVRVRLGENYLNGSIPDGFIYLPELNLLELQNNYLSGNLSDNKNPSSRPVKLSQLNLSYNQLSGTLPPSLSNFSSLQILLLAGNKFSGPIPATIGQLHQVLKLDLSGNSLSGAIPPDIGNCVHLTYLDLSQNNLSGSIPLEISDIRILNYLNVSRNCLSDSIPKSIGTMKSLTIADFSFNDLTGKLPESGQFTYFNASSFAGNPQLCGRLLNNPCNVSGIKDSANKKPGRFKLIFALGLLICSLVFAVVAMVKAKTFTKSGTNTWKMTSFQKLEFTVSDVLECIKDGNVIGQGGAGIVYHGKMPSGTEIAVKKLVGFGNTTHDHGFRAEIRTLGNIRHRNIVRLLAFCSNKDTNLLVYEYMRNGSLGEAIHAKSKGGSLGWRLRYKISIEAARGLCYLHHDCSPLIVHRDVKSNNILLNASYEAHVADFGLAKYLVDGGASECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGKRPVGGFGDGVDIVQWAKTLTRCRKEEVGCIIDSRLANVPQDEAMHLFFIAMLCIQENSVERPTMREVVQMLSEFPRDSTHTQTSSSSVVYLQPKKLDKDKTYPKVGQDLLV >cds-PLY95323.1 pep primary_assembly:Lsat_Salinas_v7:8:242517180:242517610:-1 gene:gene-LSAT_8X144841 transcript:rna-gnl|WGS:NBSK|LSAT_8X144841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRILHVVMSAGELESERAGEPGRLIRDLYCTASQVIQNQGKLSCLMINDINASLGRFDELQI >cds-PLY87837.1 pep primary_assembly:Lsat_Salinas_v7:2:65499342:65500996:1 gene:gene-LSAT_2X29160 transcript:rna-gnl|WGS:NBSK|LSAT_2X29160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSDVELATEHSIGHSVLQVLNDDKEKGKDLGNRGLPLIFMVPSVLRDLSTRSFNPRMVSIGPLHKQDKHLQEFEAQKATYVHRFLYSLGTTPDKMLEECVLKVSRKIILIKACYSDSMTYNDEELVRMMVIDACFILYFIHNIIERFGPFPLNMLLTPSINLDLLLIENQIPFFVLRDIFESTILKYNPATSLNLYMHRLLITYNIFGDNSVSRNVSVDTNHDHLLGLFHKYFHPVNNIQTGVPTLPKRHSAMELDRAGVNFMTNQDDNWALAMKLELLPRFSCFPWFWNKPTLKMPQLLVDDRTELVLRNLIIYEQSSLVPKFVTTYAWAMGMLIETPQDVAKLVKSGVLVTVSSDQNARNLIYNICKEVVYENFFYHQEWDELDNYYNSYWPNAVAGLKRTYFSSPWNMIALFAGITLFVLTVVQTIFTVMGENPIIVVKH >cds-PLY73783.1 pep primary_assembly:Lsat_Salinas_v7:8:292180622:292181341:1 gene:gene-LSAT_8X162640 transcript:rna-gnl|WGS:NBSK|LSAT_8X162640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWKEVRRRRAAEKVDRVITTFFVTNVPKEATKKEISDAFIGFGRLTDVFMGLRKGKNGKYYVFIRFTDVKNVKEMEKRLDGTMVRGRKLEVNLALHKRKEPPTMAKNNPTGRKNNTFTMKNSLHGVWWRSRDHRTFVEVVDQRKNIHTSPPTPLSIYPHIALHRDAQSWLRKTSLIGEAILLDHLGHMPKLLSLRNDIYMEIKYVGGLKVLLQFNDSITAKEFRDNKQRWQEHLKWVE >cds-PLY77254.1 pep primary_assembly:Lsat_Salinas_v7:3:57469006:57470525:1 gene:gene-LSAT_3X44541 transcript:rna-gnl|WGS:NBSK|LSAT_3X44541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEELGLGTVSFIRVAWIFATLSIAVACFPLPGLGWFRTALLGIIKRGKILQSKSKLTVPQRFFFHFYVVGVLWTTILLVSLWSCAIREHVYNVWLSEAVFLLLLMEVQVLRRFYESIYVFNYSPSARMHILGYLLGLLYYVLAPLSLCCNFAPQVFDFVSGRVGMSRPGFDNIWMFLTLFLRLPCYAWIGAAIFFWGWGSLRDKTKKLDEYVIPNGDWFEYVSSPHYTAEIVIYGGLVMASGGSDFSLWLLFAFVVANLVFAAMETQKWYHQKFDDYPRNRCIIFPFVY >cds-PLY98345.1 pep primary_assembly:Lsat_Salinas_v7:6:16055552:16059249:-1 gene:gene-LSAT_6X9480 transcript:rna-gnl|WGS:NBSK|LSAT_6X9480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLYKELWKACAGPLVDVPRDGERVFYFPQGHMEQLEESNNQEIDQSIPHFNLKSKILCRVVYTQLLAEQDTDEVYAQITLLPEADQSDPTSLDKCIDDPPKASIHSFCKVLTASDTSTHGGFSVLRKHANECLPALDMSQPTPTQELVAKDLHGTEWRFKHIFRGQPRRHLLTTGWSTFVTAKRLVAGDSFVFLRGENGELRVGVKRVGQQHNSMPSSVISSRSMRLGVLATASHAVSTQTRFVVYYKPRTSQFIIGLNKYIESVNNKFSIGMRFNMRFECEDSPERRFTGMITGVEDLSHQWECSQWRSLKVQWVEPTSIVRPDRVSPWEIETETHATTFIPTSSGQPVGVGVGPNKTKRPRPPVNLPLPNVDLLKKSENVSTCRLFGFDLKIVVPSVERHEPDQKDCKQTGLTRTRTKVQMQGVAVGRAVDLTMLKGYNHLIDELEEMFEIKGKLRSKSQWEIVFTDDEGDMMLMGDDPWQEFCKMVKRILICSCQDVKKMSCGSKLQLSSYLDNELSGFSLEAAEH >cds-PLY85632.1 pep primary_assembly:Lsat_Salinas_v7:5:76639397:76640285:-1 gene:gene-LSAT_5X35680 transcript:rna-gnl|WGS:NBSK|LSAT_5X35680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGASFNHVSRESSDIDRLANFYQEIFGFERIESPKFEFKVIWLRQSPSFCLHLIERNPNTKLPEGPWSATDAVADTKNLFRGHHLCFTVSNFDSFVKTLKEKGIEIHERTQPNGKTKQVFFFDPDGEFYKYISLSKLHEWSL >cds-PLY85330.1 pep primary_assembly:Lsat_Salinas_v7:8:277414969:277416085:1 gene:gene-LSAT_8X159521 transcript:rna-gnl|WGS:NBSK|LSAT_8X159521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLRMSQTVFSNLVATMGSSVRSRLSSYVVIKMGISVYDTRLQTLIARMTNFEPFTSNKLRMVISSNVSYHPSFVNLSGYTLDWFFIPFGFMLVWVDFGLAD >cds-PLY70416.1 pep primary_assembly:Lsat_Salinas_v7:9:124205024:124205524:-1 gene:gene-LSAT_9X83180 transcript:rna-gnl|WGS:NBSK|LSAT_9X83180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGGSIRCSLTSSATPLLASESPSSLLASTSSLKLPTTRSMLLLIQLLHLLILTDIPFLHQLIYQTSDATNDTSTTLVYRIELLHL >cds-PLY88766.1 pep primary_assembly:Lsat_Salinas_v7:4:165991831:165992037:1 gene:gene-LSAT_4X98501 transcript:rna-gnl|WGS:NBSK|LSAT_4X98501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKKNIMSFHILIGGFFHSGDLDTSHKMFDEMPERNITTCNALIVGLTDFECNEEALRLFSEMNVVGF >cds-PLY68459.1 pep primary_assembly:Lsat_Salinas_v7:2:212991731:212993934:1 gene:gene-LSAT_2X134020 transcript:rna-gnl|WGS:NBSK|LSAT_2X134020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHNSNSEIVIDKLADIDASIESWNIRAQVVMIWKQTYKNNPNMVNSFDMILMDQEVTKNGDDSDGEPFNCNGYGGVFDVFDKVRVGIHVQDETGSASFVLFDRHVKDLIHRGNHWLMEKISKDQGHQKIPDEFNTMLNRKFVFKVQISKFNLENNYHTYTVHKMADDELVLGAVFKHSPAYEENSIHSDGTPINKSIK >cds-PLY87413.1 pep primary_assembly:Lsat_Salinas_v7:5:41260500:41262367:-1 gene:gene-LSAT_9X27040 transcript:rna-gnl|WGS:NBSK|LSAT_9X27040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTRKIGNVGLPLMNIIKMKGVPILHQLHMEECLLRTSSDNWCIINDGTDKPNIVMGISGKPSELVEITPVIQDKIPVIRRFTGGGTVIVDDGTIFVSFICNKDDVPHVQPYPRPIMSWSSLLYSKVFHGVADFKLRENDYVFGVRKFGGNAQSITKNRWIHHTSFLWDYKTHNMSYLKLPKRAPEYRLARDHLDFICPIKDYLSRSDFISKTIDASATYFSLTSTQPPINLEFNPTSKLLTRVELEASAIKSL >cds-PLY71470.1 pep primary_assembly:Lsat_Salinas_v7:7:190805789:190810848:1 gene:gene-LSAT_7X115701 transcript:rna-gnl|WGS:NBSK|LSAT_7X115701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISIDDHPPPDPSSSHHHHHQQNQISHDLKLSDIDLKFLDADLDDTNDNHLPNFSIRDYVFGLRSKDIACNWPFSPTSLQLCLKHGVKNLLPPFQPLDLLRDNSSVISRCNLEDPLHDEETIISFHEKPSRNDDQFKSKGEQPSGFVTVSTNSSCSKRHNSQEHLIRTKSKEKPPASSHHTENIKKSRLVMKLNPGVEPVEEISMASKICPVCKTFSSSSNTTLNAHIDQCLTGEGTMKWTDNPKVIVKHRVKPRKTRLMLEIYKTAPHCTIEELDKRNGTSWATNSSFPAQEFQFQEEEEEAEEEEKQEQSPRTTTVNHEVVDNEGDVYIDTDGTKVRILSVPKSGSLENNHGNRKLLKGVKGSKLVIGTNKKKFKTSLHKQKHHKKYLKLIPNAKKVCSTKPQATNDEEEDAAIAENCRKDEPPRKPVNDPVDDLAIVRPPWACSKRTNLVKKLTGKRKHRKNLRIESDKSSSRCDLGNPPLSSDDVAPSQSRKKTRTSSPLMAEFRKESTRMHEDNEGSPNSSSPSKNSDRADTRSKGRKFLRKNLSFPVAKLNLKRKSSSFNGTFQDSSKENSLSRNEEHVAAVRNNQETRSRSVTSKTSNEESSDGVETADMDALHDIQSVRNETLLSNLEQVCVVPPPNFTGLSNSFDPEFSKCVEMYQEQLLCSTNRAPDVEKHDFFFHEDDPIPIPGPPGSFLPPSPGGDVVSEEQLQANSSSTTTTRVPSSDQNHHHDTNDRDSMSNSPVSTVSNPSLARSDSRSTSVAPDDTKYFPTSFKNDHQPCCCSRKDGAFSYNHESSIVRRQTMESNKSSSAPGVNFNFRSDTFPLSNYSTSQAPETAIFPFPTMKKLPIYSDNDSVASPSKPVLRLMGKNLTVVKTDEDVLPSPSSQPFRPPQGSHQHHPQPPVIFTQFQNGSESQRFNVYPHPQVNNMRSTTHGGFMAPVDRYGHGGHYAVPHARSHHNYNSKEIIVIDDLPENELDDCMRNEILRRNHGQMNPLYSMYQAQSSSHLYSSGGGGGGGSTVFRGGSFNGDLGKWNVGSSSSSPSRSTSHMRSSTSYYPPSYP >cds-PLY72094.1 pep primary_assembly:Lsat_Salinas_v7:9:197023277:197025205:1 gene:gene-LSAT_9X121760 transcript:rna-gnl|WGS:NBSK|LSAT_9X121760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENNVDIESEGGEKLETNGSIFEDRDMIREPLLLKSRVNTTSQIAIVGANVCPIESLDYEIVENDLFKQDWRSRKKVQIFQYVVLKWTLVLLIGIATGLVAFFNNIAVENIAGFKLLLTGNLMLKQNYYQAFAALAGCNVVLATCAGVLCAYVAPAAAGSGIPEVKAYLNGVDAHSILAPSTLFVKIFGSIFGVAAGFVVGKEGPMVHTGACIANLLGQGGSRKYHLTWKWLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWY >cds-PLY74812.1 pep primary_assembly:Lsat_Salinas_v7:5:59008826:59013857:1 gene:gene-LSAT_5X27540 transcript:rna-gnl|WGS:NBSK|LSAT_5X27540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPASSSASTALPGCKRTSLRINPKTLRIPVSGSSCHINLLLSISNSKCLFKTNSKMRIPKTLTLSFCFSFLYSSPSRCPQFPSLVPDLGLCSSRRFFTTKRSQGSFQSRASYKMDIPSDGAISETQLQSPNGSEKSQGSCLWASPNGGQKIEIGKHIFCNRAVNMKNIVAVGFDMDYTLAQYKPETFESLAYNGTVKKLVTNLGYPNELLDWSFDWTYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFRLLSKDEKVATYGNTLVRDAFDEPDYALIDTLFSLAEAYLFAQLVDFKDNNPGKISEEKDYFRMYRDVRAAVDLCHRDGTLKQMVANDPKRYINEDNSLVPMLQMLRSSGFAVFLVTNSLWDYTNVVMNFLCGPQKVNGSSLLTLDWLQHFDVVITGSAKPKFFHDENRANLFAVDTDSGMLINTDNGSPMAQVGASSVEVPDQQIPDKGYRVFQGGNVGHLHKLLNVESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEKEVKLLWSLRDTGKHLILLRSERDQIEDQIHHLKWSLQCECMEANEMEKMSSKLELLEAQCERVRVTHQEAQKVFHEKFHMVWGQLMKTGYQNSRFAHQVERFACLYTSQVGNLALYSPSKYYRPSEDFMPHEFDLLSL >cds-PLY85046.1 pep primary_assembly:Lsat_Salinas_v7:7:7419930:7420907:1 gene:gene-LSAT_7X6821 transcript:rna-gnl|WGS:NBSK|LSAT_7X6821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPAAAAGSRSDNEMKDDCCQPSLKDLQHKGNLIPEGHKVLIFSQSCIMLDIIQGFSEFVVMAADTEDFEMISHIQFLTKYKRWKLFDIDITMLVTSVQPDDHKLEELVVREVYQTFKEW >cds-PLY64216.1 pep primary_assembly:Lsat_Salinas_v7:7:2540219:2550581:-1 gene:gene-LSAT_7X1381 transcript:rna-gnl|WGS:NBSK|LSAT_7X1381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A1 PLIP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G61680) UniProtKB/Swiss-Prot;Acc:Q7Y220] MMACSSSISVSSQPESPITGKRPHILNRSISGQNLRQHARIQRAYSDNNLCYSAKASMNQPKLKNSPSMGIFDFNLSRSIIPNSLKALLFETDSEETHCHELTDVSSDIEMEKKRANWVERLMEIRSRWVQKQQSNEINGDNEEKECDDEDDGCEVDYSDDEGIESINQETFSQLLDKVSWSDTKDFSQLAFLCNMAYVIPEIEEDDLRRYYDLTFVTSSLEKKGLAEAMAADSVCLPVSVSVSEKEMKRINRTSAYEIAASAATYVRSHAGGLINLVSEPKHKEDDVADYCPNPRVYNSEMAAYMAASTMTAVVAAPEKEKQEAAKDLQSIHSSPCEWFICDDSSIYTRCFVIQGSDSVASWQANLFFEPTKFEGTEVLVHRGIYEAAKGIYEQLMPHIVEHVERYGERAKLQFTGHSLGGSLSLLVNLMLLTREVVKASALRPVVTFGSPFVFCNGQKILDRLGLHENHIHCVMMHRDIVPRAFSCNYPNHVAQLLKRLCPTFRSHPCLNRNSLLYTPLGKMFILQPDENSSPHHPLLPPGTALYAMSNNSNSGSTKTKAANAIRAFLNSPHPIETLQHPTAYGSDGTILRDHDSSNYLKAVNGIIRQHTKTFKKKPTSRQRNVMWPLLTSQSPHYWSQETKLKVSVSDQKRLISTEVA >cds-PLY85488.1 pep primary_assembly:Lsat_Salinas_v7:3:41645335:41646482:1 gene:gene-LSAT_3X31741 transcript:rna-gnl|WGS:NBSK|LSAT_3X31741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLNSDNNGGLRLPPGFRFFPTDEELVMHFLKRKVQSRPVASPIIAEADVCRSDPWDLPGDPKQERYFYSNMEIKYPNGKRSNRVTTSGYWKATGLDKQIVDSKNKQIVGSKKTLVFYRGKAPNGSKTNWVMHEYKLANPIQGMENWVICRMFLKKNGRKSGENDVRVEEAGPIFYDFLAGIPRTGDLNLEPAASSSGSSGVTNASPMADEGEENSKYMRGN >cds-PLY90176.1 pep primary_assembly:Lsat_Salinas_v7:7:13748805:13753646:-1 gene:gene-LSAT_7X10841 transcript:rna-gnl|WGS:NBSK|LSAT_7X10841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 4 [Source:Projected from Arabidopsis thaliana (AT1G29000) UniProtKB/Swiss-Prot;Acc:Q9SHQ8] MAKVEEKKETITIAVYKANLHCPKCAHDIKKPLMRTPGVHKVDAKHEKGQITVEGTFEVKKIHERLEKWSRKKVEILSQDKKILEKKDAKKETIIKTTKIKAYMHCEKCEHDLRAKLLKHKGIHNVKTDIKSQTVVIEGVIEAEKIVTYMQKRARKHAEIIPIPPPKEKVETKEKVIVDVVSTKIVEFQEKKKVEAKTKDGEVPYFVHYVYAPQMFSDENPNACLVM >cds-PLY62368.1 pep primary_assembly:Lsat_Salinas_v7:8:113543231:113544544:-1 gene:gene-LSAT_8X76080 transcript:rna-gnl|WGS:NBSK|LSAT_8X76080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHLRNLPVKYRMGQEFAYGMKYMGGLSVGIRFRNPDDVKQFLLDKEQWGKWFIEFKVGSSYDGNIERIACLKVVGLPLHMWSEENFSRIARWVGQPIGPLEIPLSMQDVSHGKICVLTGRNTKINEEVLVESKGNVQKVGLVEENFDWSPFPAGPWISEDP >cds-PLY95903.1 pep primary_assembly:Lsat_Salinas_v7:3:186291202:186293602:1 gene:gene-LSAT_3X110661 transcript:rna-gnl|WGS:NBSK|LSAT_3X110661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRQPSSPEMAKFQLKDTIEALLKLTLTLSIEESLDLGLSKEFCSNLLKDDEDDHTYPSSTSNTDLSEGVPPYPLYKHLASALCKSISNGAVHSMNANIPLIHEDSSMKQKEREWNKFILEKGSDLVNMLETVEFGLHVQEPFFSQIKDGKKTIEGRCAGGDYNRIESGSLVLFNKCLLLQVHDVHCYGSFSDMLATEDLAKVLPGVETVEEGVEIYRRFYSEEKERENGVLAMFLTKPTSQLYNHLAAILEALHYEGVTRLFGISHTVGTIPDALPLPRSSLLSAFLTPHNPNV >cds-PLY75256.1 pep primary_assembly:Lsat_Salinas_v7:7:65162003:65166828:-1 gene:gene-LSAT_7X46640 transcript:rna-gnl|WGS:NBSK|LSAT_7X46640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSSSSSSLLNHTCCHDVFLSFRGEDTRNSFTDHLYAALKRAGVRTFRDNDNIDRGQELKPEIERAIKESRASIVVLSEKYANSRWCLDELLLILEQRLSFNHFVLPVFYHVDPSDVRNQRRSFAIEVEEGVEGSKWTEYNVNRWKAALADVADLTGMVYSGSETEFITKIVGTIDSELDLKLVSTPAHLIGIDSRAIAINSWLEKEQSGDNVLAICGMGGSGKTTLAQFIYNSNKQKFGSSSYLEEIGKHSKQSDGLLGLQKQLLTDILGGNNIGSISNVSAGTRKVEDALLVKRALIILDDIDGHDQLDALLGTRASRTQSKIIITTRLLDIRAWFRSISWRCEVQESELLNDDESLQLLSFHAFGSKIPMKGFKELAVQLAKYCGGNPLALKVLGSSLFSDAEEPREKNSMIGVWRSTLNSLNSLKGDLDCKIQAILQKSFDSLPHASNKQLFLHIVFFFVGEYEGYVAKILEHEWHAKAGIRTLINRCLLTISPSKKLMVHQLLQEMARNIVLQESRDPAARSRVSQTDESYRLLRNGEGSKTIEGLALDMQKLHEGMTSNPSTLDTATLAKMDKLKLLKLEHVELKGSYKNFPELRWLYWTSFRLKKIPSSLFGSSLVAIHMSYGFLEKFEPPMVLNSLKILNLKWSFNLVNIRHLSRLPNLETLILSYCFRLSLTHVLETIRGLKKLSLLDFGGCNQPWKDGGMQASLLVPFPDSLKLLFLYRCSNPLTPLTNLKMLRVLDVSSCPNIKYLLCLPSTLEELCTSWCSSLEKITFESAGFRLRKFEYLGCGNLYEIQGLFKLVPLEYLDEADLGHMKWIKGYHDTKEMHICDDFTTYRSHRAVLYEYGIMSTYLARIRDQSMPTPKYMSLSAFLSFRVPSCPENGRICGLNITASYRGSGISLSASYRDKYTRALFAKVSNTSKGLTWMYNPVIYANVEVGEDAGWFSYWPIGNILDAGDEINVSIIVGDGWMVSRCGVSLVFMDDDDEVEPKYYKDYKKEEEIIGGALSEFELATGSYYLCRCDYFKSTTPDWFNMLVGDNIPRKDLHGWRKSHQSDYKTSYMEPFAYRDDPYSDWL >cds-PLY67575.1 pep primary_assembly:Lsat_Salinas_v7:3:54142507:54144696:-1 gene:gene-LSAT_3X41840 transcript:rna-gnl|WGS:NBSK|LSAT_3X41840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERGMISVDRWAEGSQVYFLTHLHADHTAGLSSRWKKGPLFCSSITAKLFSPKFPGFDLSLLRVLEIGQWYSLSLVSLWSGLETRVEVIAIDANHCPGAVMYLFRGDFGNMLYTGDFRWEVASKITEMGKNMLLSALNNHKVDTLYIDNTYCNPSYSFPSRDVAAQQVVNIINSYPEHDIIIGIDSLGKEELLLYISNTLKVKDKDQKECMQLMQIWVWPERLQTMHILGLHDNFTTKTTLTRIRAVPRYSFSIETLQGLNTMRPTIGIMPSGLPWALNKNKSSCGLPSMKTETIDLDTGNRYRNKVEKQHEYIYTVAYSDHSCFTDIVDFVKFICPTHMKGIVSSSSSYVDPCYHLRHIYGTSSLYGKYMAEEDRESGKGKCKSDERLKRKRIKQYHSSLHKSRVSLLRRFKCGVKLD >cds-PLY92942.1 pep primary_assembly:Lsat_Salinas_v7:3:115198585:115201614:1 gene:gene-LSAT_3X81141 transcript:rna-gnl|WGS:NBSK|LSAT_3X81141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVSQIDSQHPLPVSSSLATITASTTANFEDDDGIYRYNDDGDRKFKNFLHKMIWDFGFVCFFPTVSKKKDEMKKKMANNLEHNKAWLLAESGGCVAELANAEPHSVHSSFRFSLCSQVELESMSVNSSSATVLMVNLDNGLMEPKSQELKWRRIESLERSISPVAHTLVRFSYGEILSATRNFSKGRVLGRGALSYVFKGRIGILRTTVAIKKLDKEDKEAPKAFCRELMIASSLHNPFIVPLVGFCIDPEEGLFLIYKYVSGGSLERYLRETRKGKNGGSKLPWSVRYKVARGVAEAVRYLHNGTERCVVHRDIKPSNILLSSRKSPKLCDFGLATWTSAPSVPFLCKTVKGTFGYLAPEYFQHGKVSDKTDVYAFGVVLLELITGRKPIESRRGVGEENLVLWAKPLIQQGSIEKLLDPRVEFTQKNMGQITRMIEAADACINNEESKRPNIDTIISILNGIEANLPKRTKPGNSLSEGLPQIRQTKNEMKSHLALAMLGVSELEDSHDHLYCR >cds-PLY88324.1 pep primary_assembly:Lsat_Salinas_v7:3:86646180:86649895:-1 gene:gene-LSAT_3X66941 transcript:rna-gnl|WGS:NBSK|LSAT_3X66941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQPVTSQKIDRNNTMLRWYCSAYRGMNGEVFVKNLNTTLSSLRKQLSRVNNYHVVSKTVINGESVYGQALCRAHLSTAECLSCFDSAVSYLKVCGLGNGAHVFYDDCDLRYENKNFYNDAIMRTSTIGICGNTTSSHPKEQKKTASGLLSDLRTAIPRIPSYFAASTRQITGTNQTVYAIAQCGPNITQSLCAECLEIRFRLLDNCLPSTSGRAIDDGCFMRYSEIPFFQANQTTDITSFLTNGYSRNLRSIIGGVVGGIGFLLVILAFFFWRRQSKKSKHRREDNPSGTTDLLQRTTVYTYKDLKTATNNFSNENIIGGGFNMLYKGVMKDQVTVAIKKIVIASKSGKTDLDSEIQIIGNVHHRYLVRFLGCCRKGPHMYLVLEYMENGSLDKFLYGDKRGTLNWQQRFDIIYGTARGLAYLHDQYHVTIIHSNIKPSNILLDNEFQPKISDFGLLKLLPEDKSHLSTKVSAKVTGTLFNGYIAPEYAMNGLCSEKVDVYSFGVVILEIISGKCSNDMLQNEFLSLKLVDHARSLYENDDHLTLMDVTLDPSEYMKEDAKKIIEIALMCIHSTASARPSISDVVILLSGLSSEVKRPILRSMMDDSDMKIQVEL >cds-PLY84860.1 pep primary_assembly:Lsat_Salinas_v7:8:171379505:171379804:-1 gene:gene-LSAT_8X111781 transcript:rna-gnl|WGS:NBSK|LSAT_8X111781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKREENSQLYMLEGAKLIGAGAATIASAGAAIGIGNVLSSSIHSVARNPSLAKQSFGYAILGFALTEAIASFAPMMAFLISSVFRSKNQRKKVSVS >cds-PLY75924.1 pep primary_assembly:Lsat_Salinas_v7:9:199122879:199123653:-1 gene:gene-LSAT_9X123081 transcript:rna-gnl|WGS:NBSK|LSAT_9X123081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDEHDKLLPVANVGRIMKRILPPTAKISKEAKETIQECASEFISFVTGEASDKCHKENRKTVNGDDICWALGSLGFDDYSQAIARYLHKHREFERHRASAAAASSSAALDASNKTNIVNSNDEATAREASTSINCKDRLYYPNTSPLEFR >cds-PLY88408.1 pep primary_assembly:Lsat_Salinas_v7:4:156031788:156033849:-1 gene:gene-LSAT_4X94880 transcript:rna-gnl|WGS:NBSK|LSAT_4X94880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVMLYWDDMLLMVGPYGDPVCYLDDGPIILILECDGARILSNLNMEFLQRAPASIESIFNIGSTEPTTLLYDALDHFDRRNAKEEFPHPLSRVKQPAGYRLSYQIVDSLIWLGIRDIINDVRKKKLKLGPVTYLNGSQGSETDIPHGYIWSPHLVPKPKELVRWFDAGPKPIYIGFGSLPVQEPEKMTQTIVKALEISGQRGIINKGWGGLGIYKVFGSSVTEPKDFVYSLDNIPHDWLFLQCASMVHYGGAGTTTVGLNATCPTTIVPFFGDQPFWGERVHSRGVGPPHIPVDQFNLTKLVDAIKFMLDPKVKERAVELAKVMENDKMFT >cds-PLY92644.1 pep primary_assembly:Lsat_Salinas_v7:2:160005368:160007329:-1 gene:gene-LSAT_2X83800 transcript:rna-gnl|WGS:NBSK|LSAT_2X83800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSISRTPTTSKILPNLSIINKQQPPQYVHQRKILESQIVSLLDSSNNLNQAKQIHAHIIRKCLDQCSYVITKLIRVLTAKFNVPMDPYPLRVFHQVKHPNPFLFTALIRGYSVQGPFMESVNLYNLMRRQGIGPVSFTFTALLKACSGGGVSLGMQIHGQVISLGMFTYDLFVGNTLIDMYVRGELLDSARKVFDEMSERNEISWTTLIVAYARHGNMDEASELFQGLPTKDMVAWTSMVMGFSQNANPRKALDYFEKMQEAQVKTDEVTLASVISACAQLGAPKYAKWVREVAEKAGFGPENNIIIGSALVDMYSKCGCIDDACNVFDKMPEKNVYSYSSLILGFAMHGYAQKAINLFEKMVKTDVKPNVVTFLGVLTACSHGGLVKQGQDLFEVMEKDYNVTPNADHYTCMVDLLGRAGCIDDAYDLINKMPILPHAGVWGALLGACRIHKNPKIAEIAANHLFELEPNAIGNYILLSNTYASAKKWNDVSRIRGLFRSKGLKKNPAYSWVEGAKGVIHEFLAGDMGHPRSLEIKRELEDLLCKLRLDGYKPVLSCVPYDVSDDEKMKILWGHSEKLALAYGLLGDSRIIRIVKNIRICEDCHVVMCGASKVSGREIVVRDNMRFHHFHDGVCSCNNFW >cds-PLY75859.1 pep primary_assembly:Lsat_Salinas_v7:9:195686725:195687503:1 gene:gene-LSAT_9X120001 transcript:rna-gnl|WGS:NBSK|LSAT_9X120001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIVTWNTYLPIRMSNVYTVPSTLPAIILVFVKQIDITLSRKEREGNPNLNWLSAMRSTVPAPWSNLVLILCLKVVKLGTNCFSVPLPNPFNSDEEKSPPQSPTATSKPGFSIITQTIGRQLHNVASFLAPPPQQSSSSTPSLQYDDSEEASPSSTSSYTGIKNDLAEIGGNFKTGLSLLSSNKAVSEISKFSSNLLQFDLADDLEEIDPVGIIDDVINFVQEISLRPEC >cds-PLY88531.1 pep primary_assembly:Lsat_Salinas_v7:7:134428847:134433363:1 gene:gene-LSAT_7X81140 transcript:rna-gnl|WGS:NBSK|LSAT_7X81140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:EDTS5 [Source:Projected from Arabidopsis thaliana (AT2G13810) UniProtKB/TrEMBL;Acc:A0A178VQ02] MSIFSSCKFVPHAILLQPKASLKTPNQSLATVHCTKVVRNVNLEKLRHNYLFPEIEARALEHMKKYPDANIIRLGIGDTTEPIPDIITSNMAEYAKALSTRKGYKGYGAEQGHKALRKAIAESFYKDLGVKDAEVFVSDGSQCDISRLQLLLGSSVSVAVQDPNFPAYMDSSVIIGQAGDFLDETLKYKNIEYMTCGPHNNFFPDLSTTSRTDIIFFCSPNNPTGHAASHEQLTQLVEFARKNGSIIVYDSAYSVYITDGSPKSIYEIPGSRECAIEISSFSKIAGFTGVRLGWTVVPDELYYANKVPVIHDFDRIVCTCFNGASSIAQAGGLACLSPEGFKAVMSVVDYYKENAKILVETFTSLGLVVYGGVNAPYVWVHFPGSRSWDMFSHILNKTHIITVPGSGFGPGGEGYIRVTAFGQRENILEASVRLKNVYL >cds-PLY70156.1 pep primary_assembly:Lsat_Salinas_v7:3:11017809:11018102:1 gene:gene-LSAT_3X6061 transcript:rna-gnl|WGS:NBSK|LSAT_3X6061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEAPQSVWQAYAQVHKNAAKWRGKKFPHYWDLCLVFGKDRANGRDAQTTADVISEINNEQQEPDDYM >cds-PLY82738.1 pep primary_assembly:Lsat_Salinas_v7:2:146637519:146644939:1 gene:gene-LSAT_2X72800 transcript:rna-gnl|WGS:NBSK|LSAT_2X72800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDVPSIMSQSVRTNRSSFSSSNGYETQSHYSAITNGDDYDSDGSNFAPPTPMTLSNSIPAELAGAIPLIDKFQVEGFLRSMQKQINSGGKRGFFSKRSVGPQDREKFTFEDMLCFQKDPIPTSLLKINGDLVSRAVKLFQTILKYTGIDSSEKGNQIGIEERIELVGKLYKQALKRSELRDELFAQISKQTRNCPDRQHLIRAWELMYLCASCMPPSKDIGGYLSEYIHDVAHNSNTDPDVQVYALNTLNALKCSVKAGPRHTIPGREEIEALLIGKKLTTIVFFLDETFEEIAYDMATTVANAVEELAGIIKLSAYSSFSLYECRKVVTASKSPEPGNEEYIGLDDNKYIGDLLAEFKSAKDRSKGEISQCKLTFKKKLFRESDEAIADPMFVQLSYVQLQHDYILGNYPVGKDDAAQLSALQILVEIGFVIKPESCTDWTLLLERFLPRQIAITRAKRDWELDILGRYRSMENLTKEDARQQFLRILRMLPYGYSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARTASNTSSVNGDIPTNSKPPIADVGEKRVQDLSKALEECEKTAKQLSEELNEKQKKEMNMQEEMETLKDMLRSEKKSLEELSSEYNEIRSLCEEKESNLQAVLIEKRNMEARLSQLSKGELENNTKKELVEATNQVLQRIQDELRTRNSELHAAEETKKKLLNEKMLLEERISRLEKKKVDEIRMLEKDLEQERKMTKPRISELEKKVAELTQKLANAESTLAIKDNELSNLHINLKELEDLREMKEDIDRKNEQTAAILKMQATQLAEYQALYKEELVLRKRYFNIIEDMKGKIRVYCRLRPLTPKEMNDKEKDVLTSVDEFTVQHLGRDEKIKQHCYDRVFDGNATQEDVFNDTRYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSENNPGLTPLATSELFKILRKDRNKFNFSLKAYMLELYQDTLVDLLIPKQAKRAKLEIKKDSKGMVTVENATVIPISTYEDLKNVIQRGTDQRHTTETLMNEASSRSHLILSIVIESTNLQTQSIARGKLSFVDLAGSERVKKSGSAGNQLKEAQSINKSLSALGDVISALSSGNQHIPYRNHKLTMLMSDSLGGNAKTLMFVNISPAESNLDETYNSLTYASRVRSIVNDPSKNVSSKEVARLKKLLAYWKEQAGKRGDDEELVEIQEERTPKEKGDNRHSM >cds-PLY95311.1 pep primary_assembly:Lsat_Salinas_v7:4:300097849:300099900:-1 gene:gene-LSAT_4X151040 transcript:rna-gnl|WGS:NBSK|LSAT_4X151040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDSQENPTRRHLCCRHGHFAHPVDDVYPHPTRPSCVSLFPSILVGQPLLILGFAKPTIIMDTRFGLTTILSLDRMTTLLLFLLAIFNACAIISRFTRIAGETFRMLISGLVSEFKAPKSVEPNSPKDQFQWLYTNGLIGIIFSFGLLYAALRIRRARSWLYGTCISSLLKPGGLAIFYIILTCRHGQGSSSLYLGCLHPNSYDSWARCINEQRVSNFLQLILLAVSICVMPLITLIPTSVLWGYFAYMAIDTLPGNQFWERILLIFVPHSRIYKVLERVHTSFVEYVLYRTIFMFTIFQIVYFLMCFGVTWIPIVSILFPVPFFLLITIQQHILPKLFHPYHLSELDAAKYEEILNLKEIDGVDSKNDEDEVTLCVHLVLLN >cds-PLY84216.1 pep primary_assembly:Lsat_Salinas_v7:7:78027804:78031245:-1 gene:gene-LSAT_7X55781 transcript:rna-gnl|WGS:NBSK|LSAT_7X55781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASALKEENSSNITSLTPELKGALHQSILDYFHRNGFSKTLKRFQSEAPIQTDTQKASLLHLEDIFCNYNTCNADSNKNNSNTPVLGNDEAIKKDNFCITNEEITTKKKKKKGVIESENGAIKDQQEVTDKKIFEFGKNCGQDIIVNERETKPKKKKKIKHDSNSSIQAEEATSIVVKTPVNDTINGLKIEDSTKKVADKEKKKKNKKLSNDSGDTEKEGIEPYETKKEEKSSKKRKRSDSDENEIVTEESKCQKTETLKEKKSKKEENNGQETSNKQTNGQLEMNGEKSGAHKSTKKQQNVTTEPKTVNAFQRVKIDQVEFAHEKLQDNSYWAKDGAEIGYGAKAQEVLGQVRGRDFRHEKTKKKRGSYRGGLIDLQSHSIKFNYSDEE >cds-PLY68864.1 pep primary_assembly:Lsat_Salinas_v7:3:63208266:63208661:1 gene:gene-LSAT_3X49301 transcript:rna-gnl|WGS:NBSK|LSAT_3X49301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPRRVPTNPRRDEESIDCLLASTVLILLLLLQPPSVEPHHQSTTRLRAANCHGYLAAAAAGELERGFRFCSIISRYCHLRGSLSFVECHHVGGCFRGPSKMHRGRYPSPPPLATGEGGCACFGVRGLLLQ >cds-PLY98191.1 pep primary_assembly:Lsat_Salinas_v7:8:290082938:290090502:1 gene:gene-LSAT_8X162521 transcript:rna-gnl|WGS:NBSK|LSAT_8X162521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGWREASGSRQSSDSASAGTPLGIDRNLSSNTIRLGRVQPQAPGHRTVFCNDREANQLAKYKGNSVSTTKYNVATFLPKGLYEQFRRVANLYFLTISCLSFTPVSPVSPITNVIPLSLVLFVSLVKEAFEDWKRLQNDMTINNTAVDVLQDQRWESIPWKKLQVGDVVRVKQDTFFPADLLLLASTNTDGVCYTETANLDGETNLKIRKALEKTWDYLTPEKASEFKGEIHCEQPNNSLYTFTGNLLLQKQTLPIGPNHILLRGCSLRNTEYIVGTVIFTGHETKVMMNSMNVPSKRSTLERKLDKVIATLFGVLLSLCLIGAIGSAVFVNNKYHYLMLWVNGDSQQFNPSNRFVVFILSIFTLITLYSPIIPISLYVSIEMIKFIQSTKFINNDLRMYHSETNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIAGGTYGSGVTEIEMGAARRNGVNVKEVQKTSNTAREKGFNFDDSRLMLGSWRNEPNPEMCKEFFRCLAICHTVLPEGEESPEKLRYQAASPDEAALVTAAKNFGFFFYRRTPTQIYVRESHVQKMGSVQDCKYEILNVLEFNSTRKRQSVVCRYEDGRLVLYCKGADNVIFERLASGNDELKKVSREHLEQYGEAGLRTLCLAYKDLSPDMYEAWNEKFIQSKSALRDRERKLDEVAELIENDLTLIGCTAIEDKLQEGVPACIETLAKAGIKIWVLTGDKLETAINIAYACKLINNDMKQFVISSETDAIRDVENRGDQVEIAQFIRETVKNELHKCHEEAQSIIHGSTGQKLSLLIDGKCLMYALDPSLRSTLLSLSLSCNSVVCCRVSPLQKAQVTRLVRKGANKITLSIGDGANDVGMIQAAHVGVGISGLEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYLRLCKVVSYFFYKNLTFTLTQFWYTFQTGYSGQRFYDDWFQSLYNVIFTALPVIIVGLLDKDVSALLSKKYPQLYKEGIQDAYFNWKVVGTLACFSVYQSVVVYNFAVFSSTTGLTSAGKMLGLWDISSTAFTCLVITVNLRLLMMCNTVTRWHTISIGASILAWFAFIFIYSIVFVNKGTYFTIYVLMSTPYFYLLTILVPIVALLGDFLYQGVQRWFYPYDYQIVQEIHRHEPESIGAGLLEVGNQLTPEEERRYAFALLPREKSKHTGFAFDSPGYESFFATQQGVTVPQKAWDVIRRASMKPKRSTRDSRS >cds-PLY90165.1 pep primary_assembly:Lsat_Salinas_v7:7:13249850:13250936:-1 gene:gene-LSAT_7X10441 transcript:rna-gnl|WGS:NBSK|LSAT_7X10441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTNSNTGGGSSGYWWWVAASGVQMAWGIYSFRKGYSGDSRLMPLKAFGVASLFVGATATATVGTFSASGIHSVKDAMELGANIRSGLGVKGRG >cds-PLY64240.1 pep primary_assembly:Lsat_Salinas_v7:7:3249215:3252433:1 gene:gene-LSAT_7X2120 transcript:rna-gnl|WGS:NBSK|LSAT_7X2120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTGFDPEQVIEEFEGLTKDAKRIQTETLKKILEENSEAEYLKKWRLDGKTDPESYSSRVPLVTHKDLEPLIQQIADGAPYPILTGKPITTITLSSGTTQGKRKFVPFNNELTETTMQIYRTSFAFRNREFPIGNGKSLSFIYGSKQFKTKGGLLAGTATTNVYRSEQFKKTMKAMQTPCCSPDEVIFGPDFHQSLYCHLLCGVIYRDDIQVISSTFAHSIVHSFRSFELVWEELCSDIKTGTLSSRITVPSIRTAMAKILKPNPELADKIHETCLSLTNWYGLIEHLFPNAKYIYGIMTGSMEPYLKKLRHYAGGIPLLSADYGSSEGWIGANVNPTRPPEMATFAVLPNIGYFEFIPLREIDVASQDRTLTEFGSLMVEPRPVGLTDVKVDEEYEVVVTNVAGLYRYRLGDVVKVVGFHNSTPELQFVCRRNLMPTINIDKNTEKDLQLSVEAAAKLLAAEKLEVVDFTSQVDLSSEPGRYVIYWEVSGEAREEVLKECCNCLDSAFVDAGYVSSRKVHAIGPLELRVLKRGTFQKILDHYVGLGSTLNQFKTPRCVGPTNQIVLQILVNNVVESYVSTAFG >cds-PLY78000.1 pep primary_assembly:Lsat_Salinas_v7:9:44207904:44208877:1 gene:gene-LSAT_9X39941 transcript:rna-gnl|WGS:NBSK|LSAT_9X39941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METTTTTVADNSTKKNQLKSSSFRLRSPSLNSVRLRRIFDLFDTNHDELITVDELSRALILLGLDTNMDELDSIIKTFIQPGNTGLTFEDFQALHKDIDDLFFRLDDNYDPNNNNNEDQDDEGGSCEGGKEEELEMMEAFKVFDEDGDGYISATELQTVLVKLGFAEGNEIGRVQKMISSVDRNKDGRVDFMEFKDMMRTVIVLK >cds-PLY66977.1 pep primary_assembly:Lsat_Salinas_v7:7:122557423:122561095:1 gene:gene-LSAT_7X74521 transcript:rna-gnl|WGS:NBSK|LSAT_7X74521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56350) UniProtKB/Swiss-Prot;Acc:F4I532] MSSNILRKAYNKRPSSIISRYQSISKLFLSSSNPQIQSTDFYSSIDKPQHHASKFEFLSKNASGVSLASNKRLNFSCGCSLNNTRLLFSTQAAVQPSTADGLTVDAIVANQWTILDESESDWKSHASAIAQSIRLIKKRLQWEKLKVRLEMLSFQLEKPDLWDDPVHAGKISREHGSLMGKMKLVNGLEQELIEHIDMIKLAREEEDHDLESESVKALLKMRQSVKEKELEALLAGEHDSCSCYIEVQAGAGGTESMDWAGMVMQMYKMWAERRGYGVTVVDEMRGEIAGIKRATIKVDGEYAYGYAKAEVGAHRLVRISPFDAAKRRHTSFAAVAVTPILGDGSHHVQINESDLRIERYRSGGAGGQHANVTDSAVRITHIPTGITAACQNERSQHQNKASAMAVLQSRLDQREMARQAQMNSQYTQSLTDNSWGNQIRSYVLHPYRMVKDLRTNFEVSDTDSVLEGEIDDFILSFLTDSMDKENE >cds-PLY68932.1 pep primary_assembly:Lsat_Salinas_v7:2:194310543:194310722:1 gene:gene-LSAT_2X116220 transcript:rna-gnl|WGS:NBSK|LSAT_2X116220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNFGRMRRNNGDGSPQQRKRRHISDFRSKIPVTEEGLIAGARRFPPTVVDVVVFIRDD >cds-PLY88255.1 pep primary_assembly:Lsat_Salinas_v7:4:154963566:154965451:-1 gene:gene-LSAT_4X95040 transcript:rna-gnl|WGS:NBSK|LSAT_4X95040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTAEEESNSDQIVHTPPEIDWEMLDKSKFFFLGAGLFSCVSATLYPVVVLKTRQQVSSSHLSSLKTAFSILRYEGFRGLYRGFGTSLIGTIPARATYMTALELTKSNVGTSIIKLGFPEPTAAAIANAMAGLSAALAAQLVWTPVDVVSQRLMVQGNDYSNQKISTSDQKSNKYMNGIDAFRKILNTNGPRGLYRGFGISIMTYAPSNAVWWASYSVAQRLVWGGIGSYMCNENENGGVVAFTPDSRTVMAVQGVSAAMAGGVSALVTMPLDTIKTRLQVLDSSDDNNGRKVPTIGQTVRNLVREGGWMAFYRGLGPRWASMSMSATTMITTYELLKRLSTKNQENLIR >cds-PLY62325.1 pep primary_assembly:Lsat_Salinas_v7:4:88316518:88316814:-1 gene:gene-LSAT_4X57721 transcript:rna-gnl|WGS:NBSK|LSAT_4X57721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDDSEDFSYGGCRFQTVKQRLKRLIDGLGFAIEFRPILHLPETSFSTPIFIDHLRLLSLFTHTHRRHRFIPWNYTTSGDIGAYFLRHFPSDSFDG >cds-PLY79889.1 pep primary_assembly:Lsat_Salinas_v7:8:17966622:17968790:1 gene:gene-LSAT_8X12560 transcript:rna-gnl|WGS:NBSK|LSAT_8X12560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFIGTQQKCKACGKTVYPVELLSADGVDYHKSCFRCSHCKGTLKLSNYSSMEGVLYCKPHFDQLFKESGNFNKNFQSPARFAENLTPHLTKSPSKAAGMFSGTQEKCATCGKTAYPLEKVTVENEAYHKSCFKCSHGGCSLSPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASVKRSAAATAAAAVAATAVPEA >cds-PLY75903.1 pep primary_assembly:Lsat_Salinas_v7:9:199430027:199434504:-1 gene:gene-LSAT_9X123161 transcript:rna-gnl|WGS:NBSK|LSAT_9X123161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADVDRRKRIKIEDKKVKGTLVLMNNHSISTIKDEVLLGRKVSLQLISAAHCESTVRGIRGKLGKETNLKNWVSTVITRSTKGDSTFDLDFDWEEEIGVPGAFLITNRHNSEFYLKTLTLEDVPNCGRVHFICNSWVYPEEHYNKQYRIFFSNQTYLPWKTPEGLRHYREEELEELRGNGTGKREEWERVYDYDVYNDLSEPDKGSDHVRPTFGGTPDYPYPRRCRTGRPPSKTDPDTESRVPILQSLTIYVPRDERFSDLKMGDVYAYGIKLVSQGLLPGFEAVLDKISDEIVGTLENILKHKFENIPSNRFNEFSSFEDVLKLYKGGLPVPKSEFLESIREKIPFEFFRELFRSDGEHVSKFPVPQIIQGDESAWRTDEEFGREMLAGINPVVIRRLQEFPPCSKLDPRKFGNQNSTLTEDHIKHQLDGLSVLEAISCNKLFILDHHDPLMPYLRGINETSTKTYATRTILFLQKDGTLKPVAIELSLPHPDGDEFGVISTVHTPAKEGAKGTIWLLAKAYANVNDSGYHQLVCHWLHTHASMEPFIIATNRQLSVLHPIHKLLHPHFRDTMNINALARQTLINAGGLLEKTVFPDKYAMELSCNMYKEWAFTEQALPADLVKRGLAVEDPSSRHGIRLIIQDYPFAVDGLEVWSAIKSWVTNYINIYYKNNNEIQNDTELQQWWTEVRTKGHGDKKHETWWPTMQALDDLIQSCTIIIWTASALHAAVNFGHRRFIPEPPSADYDELETDPEKAFLKTVTPQLQSVLGISLIEILSRHSADEVFLGQRDTPEWTTDDVALDAFEKFGEDLREIEGRILEMNLDEKLKNRNGPAKMPYTLLYPSSEIGLTGRGIPNSVSI >cds-PLY75527.1 pep primary_assembly:Lsat_Salinas_v7:9:34439044:34439307:-1 gene:gene-LSAT_9X31941 transcript:rna-gnl|WGS:NBSK|LSAT_9X31941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYERGDKVEITNKEEGFTGSYYIANIIFLLSRKEYIIWYKTLLNDVGFAPLRQIITADPIQALPPEVMATEFSLLDLVDAYDKDEW >cds-PLY84339.1 pep primary_assembly:Lsat_Salinas_v7:5:190441222:190448351:1 gene:gene-LSAT_5X85041 transcript:rna-gnl|WGS:NBSK|LSAT_5X85041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSTRSETEQVLFEEILGARKVVLNRSTKLNVLTYKMLGKISEKLRTYENDPMVKLIVLKANGKVFCVGGDLVSASKFVAYGHWSFGTSYYRKEFCLDYLLATYKKPMVAILDGSVMGGGVGISIHSTFRIVTENTIFAMPEASIGLFPDVGASYFLSRLPGSFGEYIGLTGARLDGAEMVAMGLATHFVPSQNIQSMEKALEKMVASSDATSVTTISMIINKFAQEVKVKPESVITRLDMINQCFSRKSCEEILYSLEHLAIQVQEKWIHNAIRSMRFASPLCLKIFLRIIRQGRSQNIEQCLDTEYIAISHILRRTVSNDFYEGSRAILIEKDKKPQWVPSKLEEVSDEMVAKCFLRSFTEDDDWFPLRLPLRYDKAKAMTSKL >cds-PLY96865.1 pep primary_assembly:Lsat_Salinas_v7:2:108091820:108098707:1 gene:gene-LSAT_2X49120 transcript:rna-gnl|WGS:NBSK|LSAT_2X49120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQTDPRQAQQQQFQQQQQQKQHQLLQQAARVSYNNGDHHRESSFVLSTEIDPSSANVNLKLSQGLDIREADEDMLMSLAHQKYKSGDFRLALDHSKAVYDRNPMRTDNLLLMGAIYYQLHDFDMCIAKNEEALRIDRNFAECYGNMANAWKEKGNIDVAIRYYLIAIELRPNFADAWSNLGSAYMRKGRLTEAAQCCRQALSLNPRLVDAHSNLGNLMKAQGLVQEAYNCYVEALRIQPTFAIAWSNLAGLFMESRDLNRALQYYKEAVKLKPTFSDAYLNLGNVYKALGMATEAIVCYQRALQSKPDYAMAFGNLASIYYEQGNLEMAINHYKQAIARDAGFLEAYNNLGNALKDAGKVEEAIHCYRQCLSLQPSHPQALTNLGNIYMEWNMMTAAAQCYKATLTVTTGLSAPFNNLAIIYKQQGNYADAISCYNEVLRIDPLAADGLVNRGNTYKEIGRVNEAIQDYSHAIVIRPTMAEAHANLASAYKDSGHVEAAIKSYRQALAIRSDFPEATCNLLHTLQCVCDWDDRKRMFIEVENILRRQIKMSVIPSVQPFHAIAYPLDPLLALEISRKYAAHCSVIASRFSLPPFKHPLPLPIKITGGYNRLKIGYVSSDFGNHPLSHLMGSVFGMHNRENVEVFCYALSPNDGSEWRVRIQSEAEHFKDVSAMTSDMIARLINEDQIQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGANYIQYLVTDEFVSPTRFAHIYSEKLVHLPHCYFVNDYKQKNLDVLDVNCQPKRSAYGLPENKFIFACFNQLYKMDPEIFITWCNILKRVPNSALWLLRFPAAGEMRLRAYAAAQGVQPDQIIFTDVAMKNEHIRRSSLADLCLDTPLCNAHTTGTDVLWAGLPMVTLPLEKMATRVAGSLCLATGVGEEMIVNSMKEYEERAVYLALNRSKLEELKSKLKLSRLTCPLFDTSRWVKNLERAYFKMWNVHCLGEQPKHLKVVENDTEYPYDR >cds-PLY93999.1 pep primary_assembly:Lsat_Salinas_v7:2:71147302:71152717:1 gene:gene-LSAT_2X32160 transcript:rna-gnl|WGS:NBSK|LSAT_2X32160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFQVVVLAGGTSKKLAPLVSKDVPKSLLPVANRPVLSYVLELLEQSNLKDLIVVVEGEDTDGLVENWISEAYVDRLHVQVASVPEEVGTAGALRAIAHHLTASDILVVSGDLVCDISPGAVAAAHRRHDAVVTAMLCSLPVSGTQESGSSAGKDKTKKPGRINIVGLDLTEQFLLHIAAGMDVEKDIRVQKSILRAVGKMEIRADLMDAHLYAFKRSVLQDVLNKKQTFRSLKQDVLPYLVKSQLRSEILLNGAQAEENGNEKDAFSNNKVMLSQLLANASTPSFHELYALGPNGFAPTERKTHKCCVYIANKTSYCARLNSIQAFSDINRDVIGDASHLSRCSFSVHNNVIHPSAVLGSKTTVGPQCMLGEGSQMGDKCSVKRSVIGRHCRIGSNVKVVNSVIMNHVTIGDGCSINGSVVSSNVQLQERAVLKDCQIGAGFVVTAGSEHKGESLARK >cds-PLY90001.1 pep primary_assembly:Lsat_Salinas_v7:3:84335804:84336694:-1 gene:gene-LSAT_3X64520 transcript:rna-gnl|WGS:NBSK|LSAT_3X64520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATEEDTNLPSLPPYPQMIFEAIDALKQKEGSNKSSILNHIESTYGNLPSGGTALLTDNLKNLKDSGELVLLRNNYMRPDPNSPLKRGRGRPSKPKDPAALEKNPAAESGSEVKRGRGRPKKDPNAPPAAKKVKISTGSGRPRGRPRKVQPELTGVEVN >cds-PLY74412.1 pep primary_assembly:Lsat_Salinas_v7:2:13820919:13828665:-1 gene:gene-LSAT_2X6921 transcript:rna-gnl|WGS:NBSK|LSAT_2X6921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLMGSGDSDSDPIIYLHGVLEFTISEAQCLPNMDVLTQRVRRCLNALEPSKPPTASSKKGTPRRRRFVTCDPYASVCLAGATVARTRVIPNSQDPVWDEHFVTPVAHPVTHVEIQIKDNDVFGAELIGVAMISAERIQSGELIDDWFPIIGPSGKQPKPNCAIRLQLQYTSCEEQNDQSIEGEESFCLRDSYFPVRSGGSVTLYQDAHTVEGTLPEIELDGGEEGGECNFFKQRGCWEDICHAILEAHHLVYIVGWSIYDKVKLIREPNMPLPNGGDLTLGELLKYKSEEAAYDVLTNFEQRWRKATRWSELGRRFKRISHCHEDALLKIERISWILNPSSDLPEDAPASWLSRDNDPQNWHVQVFRSIDSGSLRGFPKDAREAENQKLVCAKHLVIDKSIQKAYIQAIRSAKKFIYIENQYFLGSSYGWLSHKDAGADHLIPMEITLKITSKIRANERFAVYIIIPMWPEGHPTSAPVQEILFWQGQTMQMMYDIIAQELKNSEITDAHPQDYLNFYCLGNRERGKEEDSTSGSNSVSASYKNGRFMIYVHAKGMIVDDEYVILGSANINQRSMAGSRDTEIAMGAYQPNHTWTQKQDHPHGQVYGYRMSLWAEHMGIEDHIKEPQSLDCVQNVNNLAEENWTRFTSDDFTPLQGHLLKYPVKVDEDGKVSPLPGHECFPDVGGKVLGSLSNLPSALTT >cds-PLY72413.1 pep primary_assembly:Lsat_Salinas_v7:5:23395371:23397193:1 gene:gene-LSAT_5X11840 transcript:rna-gnl|WGS:NBSK|LSAT_5X11840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAQRKNLKPTLMPPPKQSTTLQFLFDLDSKCCTSQNDDKIIQSLDSRNDELSTIIIYCTRSRLDAQELALKRLKLTQLLSIIKTSVTPVSDETLEILLKMVASNLFRPLPPPYVGTCSGEEDDVIAAPSAAWAHLQIVYDILLRLINKKDVKALRGEHSDESRILNPGLSPEEHFIGRNFVLNLLALFNSDEPRERDAVKNIVHRIYSKFTFYRSFMRQAMIDILLQFIYESDHRQSGIGEILEIWGSIINGFMVPLKDEHKVFLSRVLIPLHKPKSMTGYHRQLAYCVSQFVQKEAELGGVVIGKILRYWPVTNCQKEVLLIGEIEEIVENMDRRQYLKVALPLWSQIAKCINSDNSQVAERGLYVWNNEQLMKVVSEDIEMVFPYIVEALEKNLTCHWSKNVQELTENVKILLQELDPILYKTCVEMIKIRELTARLEENTRRRKWERIEMATLATTKN >cds-PLY63015.1 pep primary_assembly:Lsat_Salinas_v7:8:183830315:183833688:1 gene:gene-LSAT_8X119601 transcript:rna-gnl|WGS:NBSK|LSAT_8X119601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:Projected from Arabidopsis thaliana (AT4G35440) UniProtKB/TrEMBL;Acc:F4JN11] MRTVIPIANARNLLHQASYNNHTSSSLSSPVFRLAAGSLQRNVHHALCFHPRPLSIFWIVRADKPQRIQSARATKDDQLPLERGGQKDLGGVGAEEATLLGENSGIISACFVGLLTGLCVVLFNNAVHEIRDICWDGIPSRGASWLRELPHEKMWMRIILIPTCGGLIVSLLNIFQTTFLDEGDSADRLKAVMKPILKGVAAAVTLGTGNSLGPEGPSVEIGASVAKGIGSLFDRNAQRKLSLKAAGSAAGISSGFNAAVAGCFFAVESVLWPSPAESSLSLTNTTSTVILSAVIASVVSEIGLGSEPAFTVPDYDFRSATELPLYLLLGIFCGLVSLSFSSCTSWMMMTTNKIQNTFSIPKPVFPILGGFTVGLIALLYPEILYWGFENVDTLLETRPFVKGLSVDLLLQLIAVKIVATSFCRACGLVGGYYAPSLFIGAATGMAYGKIFGSFIPQFNSIFHISGMEVASPQAYGLVGMAATLAGVCQVPLTSVLLLFELTHDYRIVLPLLGAVGLSSWITSRSLKKKDDSENDFSKKKENENHNKFETKELLVSEAMRREYVSVVMSTMLMEVVALMLENNQSCVLIVDDDNLLIGLLTLENIQDFCKLSQQTNKIPQELIVSELCSLKNDMCRFPQTVTPEMSLYSAEVIMNMYGVTHLPVISEDQKAFPVGILDRESINLACRALETREHLVWFSTLNNTTT >cds-PLY91887.1 pep primary_assembly:Lsat_Salinas_v7:8:202738730:202740280:1 gene:gene-LSAT_8X129280 transcript:rna-gnl|WGS:NBSK|LSAT_8X129280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIQKHVALLLQFLLVALVFDIANGYPLKLGFYQKTCPRAEAIVKRTTANYIYRAPSLAAALLRMQFHDCFVRGCDGSVLINSTRKNQAEKDGIPNLSLRGFQVIDAAKTAVEAACPGVVSCADILSLVARDAIHQIKGPYWPVPLGRRDGRVSIASESFTLPAPFANITQLKAQFVSKGLSVKDLAVLSGGHTVGISHCSTIATRLYNFTGKGDTDPSLDPRYVPQLKRICFPTDKTTLLAMDPGSSKSFDEDYYSVVLKRRGLFQSDAALLNDKTTAAYVKLQAKSHGYTFFKDFQASMVKMGQIGVLTGKAGEIRRHCALIN >cds-PLY69139.1 pep primary_assembly:Lsat_Salinas_v7:5:285710145:285710929:-1 gene:gene-LSAT_5X150020 transcript:rna-gnl|WGS:NBSK|LSAT_5X150020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVGDQPNISDETSIIFRICEEEVLTLHVEEHSRICAIADCCDQTGISVDERLVRVAETLEKLMELISQKDNRSPDAAKASSSVTEVCDFMSPKLSDWSRRGSEDMLGCFPDQGDNSVSMDDLKAHPSMSCRTRFGPKSDQGMTTSSAGSMTPRSPLMTPKTSQIELLLAGKGAYSEHDDLPQMNELADIARCAGNTPLDDDRSLSYLLTCFDDLRVMIDRRKFDALTVETFGARIEKLIR >cds-PLY62685.1 pep primary_assembly:Lsat_Salinas_v7:4:336067341:336067970:-1 gene:gene-LSAT_4X166381 transcript:rna-gnl|WGS:NBSK|LSAT_4X166381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCISNHKPQFIVDTVARLLPLSNLDFRRSFTTISTTPHQQHHQGFFPSYCRLQSLEKALFTLRHFRIPNVTTTISITHIPLWHQRLRLWHGCRVLEPIKEQYHIISYDDFYQNLLYDLMFPSIQLPANPSNI >cds-PLY64601.1 pep primary_assembly:Lsat_Salinas_v7:6:37785048:37788856:-1 gene:gene-LSAT_6X27301 transcript:rna-gnl|WGS:NBSK|LSAT_6X27301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigF, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G36990) UniProtKB/Swiss-Prot;Acc:Q9LD95] MEAAASKMLSSSSLSLPPRTQLKQSPPPPSGPLPNTIKNAIAALKFCEPSAPAHSSMLATTLAQHFPTSVLIQEQRRESKPLLQTIKDEKTSQIGADSSTHEVDPTSSDEYLKEFQCQLLQWPGLWYMLPSSHTREKPLSPLTTTQPVPNGSKKLMDVEMQQVLDLAKKALSASKEAASLADDGESLGVDLDNSITSSLGSRHQTELQTKKVKFVKSTRHQERQSKRRKGTKSNVVIRETKNSTKPELEKKTSYKDSNLHDPLRMFLARPVTRELLTSKEELQLIVHIKAGMRLEEARSRFHDQFNREPTLVEWAEAANLSSHELKSQLHLGNSSREKLICANLRMVVYIAKQYRGRGLDLQDLLQEGSMGLMRSVQKFKPQAGCRFATYAYWWIRQSIRRAIFQNSKLIRLPEGVYNLLYKVSEAKRVCIREGNHDPSQKQIAEQAGMTLEKLQKLRSVQKITLSLQKPIYPNDTTTYEEITPDTTIDSPDTSVSKQVMRNHISNLLSVLNPKERKVIRLRYGIGGEVRKSLADIGIILGVSKERIRQLESRSLFKLKQYSESQGLDAYKDLLI >cds-PLY63669.1 pep primary_assembly:Lsat_Salinas_v7:4:133113358:133115170:1 gene:gene-LSAT_4X83080 transcript:rna-gnl|WGS:NBSK|LSAT_4X83080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNLVSPSNSLLVQYKDSLTSTSYGVIPKKVTFKAIEGTSTYSQVVKKKSKSKPIVVVDKDVTTMTVTEKSWKSGSKKVMNVATDKTGKYGSNKEVPIISIKVVQEDVKDVCIPEIVVRKSKKLNTKSKFSGVVMKDATEEKAQQNRKHKILGVFKQIKKVRSLTNKDPFTKATTTSRTFEVDHDSKETKMQFLGMPISLSMFHLERMPLLIKYQRASIPDVTINTFVMDTNIGSSEQTITSIPEKTIVFLSPMWRRSNSYKYEVKALQDVAREQHAIFGNLVNSSKESIESKLKDLWDLFSKQVMNLDNFCESIKDKVDFFTSTRTLVEYVSAFNKDYAVDLKLKREADDKVFTNIEQSLSGFHDKLKKFDFSSTSSISQKKISSMILSVESCFNTELSPILHFGSSLTHQCSMSVYELVTGRRNGG >cds-PLY99078.1 pep primary_assembly:Lsat_Salinas_v7:6:149125213:149127649:1 gene:gene-LSAT_6X89741 transcript:rna-gnl|WGS:NBSK|LSAT_6X89741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLVDEDGDEWPTKYLPRKIGLSGGWKAFAKDYNLVDGEALTLWWNEIRMLVVLWGVMVAKKFGSYGGGDDNPKLVVNKA >cds-PLY76844.1 pep primary_assembly:Lsat_Salinas_v7:3:5721379:5722102:1 gene:gene-LSAT_3X3721 transcript:rna-gnl|WGS:NBSK|LSAT_3X3721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGLPCCAWNEDVVSKVASIWGDVCSLDDDGDAPLAIKRACIKTSMPTLIHETVKVVAQDCQEGNGNEEVADSLNVDNTPNLGDRGAGFVDRKGRCFQTSGRKNKVDRCFEDDERVKSIPVDASVKSPTRTERDSLSADEEIRQGGVVGGGASKSLSQSPRFQREKLHGNFNLNASASRSSGSNGTSKLL >cds-PLY83926.1 pep primary_assembly:Lsat_Salinas_v7:8:288214388:288215864:-1 gene:gene-LSAT_8X161920 transcript:rna-gnl|WGS:NBSK|LSAT_8X161920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLQLLLFLFLITINNLSAAQTTLSHGGFFLDITKDVQTQQYTTQLLIGTPQLPTKLVVHLSGQSLWLNCAQSSSSRQFVRHGSLPCLMAKSGVQTPIPPSPTICNVHQENPITGSTNFGDLAEDIVTVFDDMGSPATVDRFFFLCSPEYLLKGLATSANGMLSFGRSKIAFQSQAVNNFNIPRKFVLCLSSSNGFIISGIDISKSLSYTPLISTHGHQDGYYVNVKAMKIKGRKLVLQPIRGVEISTVVPYTTMKSPIYGIFTKAYVKAAASMSMTMVAPVAPFGVCFSSQAKVPEIELVLQSEVVKWMIQRRNSMVQVSDSVMCLGFVDGGLNMSGSVVLGGYQLEDHILEFNVATGMLGFSSSLLTKGNSCSNMKTALVSTPTESL >cds-PLY83812.1 pep primary_assembly:Lsat_Salinas_v7:3:51803063:51803541:1 gene:gene-LSAT_3X40141 transcript:rna-gnl|WGS:NBSK|LSAT_3X40141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTRVWMAAGVALVNGHTDQGCKLKSLIKSIRHSKKAFTSSSTAEHSDLRPFSSFLGAKIDAGNKKTNSDDSLRQVMYLSCWGPS >cds-PLY68252.1 pep primary_assembly:Lsat_Salinas_v7:4:237724979:237725636:-1 gene:gene-LSAT_4X127821 transcript:rna-gnl|WGS:NBSK|LSAT_4X127821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAPESGEKQQKQQLDREIRDMMNALTRRLAHLHKKPNEREGASNIHDHDKEEEDANGGFGIITMAGSNEGATMRGELDLMSMENHKLGTTQHNDESFTPLTTYLNGNFQGVNNSIMVGGSYSTNDPGIHLDVDEHYMQHKGELGSQKYGKWIP >cds-PLY99706.1 pep primary_assembly:Lsat_Salinas_v7:9:57498796:57501128:-1 gene:gene-LSAT_9X49041 transcript:rna-gnl|WGS:NBSK|LSAT_9X49041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEKEENGGDCGEDDDEWRDLIATPNRLISDDFFVLKSEKLDDREEDIGKGNDGSSSEDRDRVRGRRVSIAERRAAMRGNSDTNASSIRVSVSSSRVTAWPPILTIPCGISPTSLLDSPVLLPNSQASPTTGSFQYPPPLSQEEILGMKSELDDMNCDTSSFIYQPDGGSLSWPSFSQVQNQPPLMCEHPGALMEETVTKGSSDGFKVPKSTIAGVKQDDDSKRHMDGYQKETSFSNMRNSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSHDGHITEIIYKGAHNHQIQGHRSNINGSPDANGSYVKIEERDNISIHPEWNTDRMDRSSSTSVGIDNPDLISPPKGKSIGIIESNPNYDYNEEDGTNIGTHSPGDDADEDKSDLKRRKKGNYLLETSLVTRAMREPRVVVQIESEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSTGCPVRKHVERASDDLKSVLTTYEGKHNHEVPAARNSSHATMDVGSTSNAPPIPLSRIPTIPNSEPQVQDLPLRFDRKISNGYIPPNFVANFDTKPTKFEPSSMYQEYIPYQNPITFNSVLPDFPISLPMSMPMAHNFGYNNNGKHGRESFIGGQMQHLRDNNGRFIRPKLEQDDGFYDTFMCAPDHVNDAASRYCRVIPNFPS >cds-PLY73805.1 pep primary_assembly:Lsat_Salinas_v7:7:69166087:69169155:1 gene:gene-LSAT_7X49680 transcript:rna-gnl|WGS:NBSK|LSAT_7X49680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFAHFGSIVLLTLFFRSNFGQQQEQPTPIPNTDEFFISDFFQKMGILNSSISNVYNFSSPVCSWQVVFCDANQEHVIGLNAPNLGLSGSIPDNTIGKLTKLQSLDLSSNQITNLPNDFWSLGSLKTLNLSNNTISMNLPSNIGNFGSLEKLDLSFNNFFGSLPESISSLISLQVLNLNRNGFDSTVPLGILACRSLISLDFSSNSFNGSLPDGFGSSFPKLKSLNLAGNEMKGKDSDFSKLESLKYLNISKNLFQGSVVEIFQESLEVVDLSSNHFEGLISQVNFSSLVYLDVSDNEVSGEFFSNLSETHNLKHLNFANNRFSKQNFLKIDQIRSLEYLNLSHTNLIGGIGDQISMLTHLKTLDLSSNHLTGKIPLLTSKTLQNLDLSFNNLTGEIPISLLRKLPWMERFNFSYNNLTLCDAQFSLETLHSAFIGSSNSCPIAANPNLLKTKTHTHKGLELALALTISLIVLLGALLFCAFGCRKKTQMWALKQDSYKEEQVISGPFSFQTDSTTWVADVKVANLVPVVIFEKPLLNFTFSDLLSATSNFDRGTLLAEGRFGPVYRGFLPGGIHVAVKVLVLGSTMTDQEAARELEYLGRIKHPNLVPLTGYCLAGEKRIAIYDYMENGNLHNLLHDLPLGIQTTEDWRFDTWQAEADESNGISNSISNSNSIGIQHVGSGDLLATWQFRHKIALGTARALAFLHHGCSPPIIHRDVKASSVYLDLNLEPRLSDFGLAKIFGNDLQDEMARGSFGYVPPEFLNQDGSSNSSSPCVITPKSDVYGFGVILLELISGKNPVEDEYPDENNSKDSNLVSWVRGLVRKNCGFLAIDPKIHNTGIEAHMVEALKIGYLCTADLPAKRPSMQQVVGLLKDLEPVMN >cds-PLY72242.1 pep primary_assembly:Lsat_Salinas_v7:7:87353756:87354508:1 gene:gene-LSAT_7X61061 transcript:rna-gnl|WGS:NBSK|LSAT_7X61061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDISTLMGFDSPLLHNLHHILTATDDTTTNNKSSNAGPTGAYVRDARAMAATPADVKEYPNSYVFIVDMPGLKSGDIKVQVEEDNVLVISGERKREHDQEEKEGVKYVRMERRIGKFMRKFVLPENANMEKISAICQDGVLTVTVEKLPPPEPKKPKTIQVQVA >cds-PLY79322.1 pep primary_assembly:Lsat_Salinas_v7:4:1048984:1058384:-1 gene:gene-LSAT_4X1321 transcript:rna-gnl|WGS:NBSK|LSAT_4X1321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMFPVHRQNLRKKNSFGCYGGACGGGRRYLEGEYDCFISLGGASDLMAEDESRTEISINNEETAGSSSKQDNIHQEINKEDDGGWLQLSLGGGTHVQSTMSHNNYQISLGPRLVELDLLPGGSGSSSGGGETSSQQILMRPLNNLTAAPLPPPPPQHHHHHLGFQAPDFRSSLPIITAAPSPTFFLQQHRGSPASTTIDSLPAMTFIHHDQQYDNNFLPFRPYPLTLNPHLSSSPSFSGSLEQPPGSGSYQGRPLHFPGGLDITGPPPRIDLRVVDPPRRPHSGVWFILQASQNQTKEPYLPQVPKSYLRIKDGRMTVRLLIKYLVNKLKLDSEFEVEITCKGQQLLPFMTLQHVRDNIWNSPRNEVVFPHSSATIDHLMLLNYGRIA >cds-PLY79689.1 pep primary_assembly:Lsat_Salinas_v7:5:253975342:253975611:1 gene:gene-LSAT_5X127600 transcript:rna-gnl|WGS:NBSK|LSAT_5X127600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPIFSDMESAPSLSFLIDKTGKSLTVELIPLPLNHVPFILPHSNSPIIPIDEFEVTTMINNLIGFKIDAKNPMLGEILGVSSENIGPS >cds-PLY95970.1 pep primary_assembly:Lsat_Salinas_v7:9:40510888:40511438:1 gene:gene-LSAT_9X36160 transcript:rna-gnl|WGS:NBSK|LSAT_9X36160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSPAILPITNPKTISTGESHSPAAAPDFRPFINHITETVRSGLSQHRPWSELVSDRSTFSKPESLTDAATRIQKNYSYFHFNYLTIVLAFIGVSIFTNPFSLIILFGLSAAWLFLTHFDFGTCRNGNCFCSWCV >cds-PLY72625.1 pep primary_assembly:Lsat_Salinas_v7:6:48131877:48135969:1 gene:gene-LSAT_6X35080 transcript:rna-gnl|WGS:NBSK|LSAT_6X35080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEARAANRQAAQPPSQASIAGGGGGGGQILHPMRRHLPFSSTRPPFIPSDDYHRFSSPNNGNRVATTADQETEVLIVKSPPVKRKLGTDYNGVPSNEWTNLGYAATTNSPLHTPVSAKGTRINARSKVTKNTKSAPQTPVSNTGSPAPLTPVGSCRYDSSLGLLTKKFINLIKHAEDGILDLNNAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIRWKGLDSSKPGELEDDVALLQAEVQKLSMEEHKLDESIREMQERMSDLSEDNTNQKWLFVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIILRSTMGPIDVYLVSQFEEKFEDIEQAGAPLASNSGSDDNPTTEMTIDPHPQNVHGNTMNINTDNNNNNNSNNNNHNNNNNNNMSQDSPGRIMRILPSDVDNDADYWLLSDREVPLTDIWNTELGGIEWDGVDLLSEEFGLTEVGTPRASTPPDGHADVAFNTSLG >cds-PLY97079.1 pep primary_assembly:Lsat_Salinas_v7:4:74953550:74956176:1 gene:gene-LSAT_4X50420 transcript:rna-gnl|WGS:NBSK|LSAT_4X50420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKRGDDYLPAAIGIDLGTTYSCVAAWKYDRIEIIPNNQGNRTTPSCVAFIDEARLIGDGAKNQATMNPVNTIFDAKRLIGRTFSDSKVQDDMKSWPFKVIEGPADTPKIVVSYKGKTKKFLAEEISSMILGKMKETAESYLGKVVKDAVITIPAYFNNSQRQATKDAGAIAGLNIISMINEPTAAAIAYGLEPFKSTKEKKKNVLVFDLGGGTFDVSILTILKGGRFEVKAVAGDTHLGGEDFDNRMVDHCVGEFKRKWGKDLIPNKKALGRLRCACEKAKRILSCDTQTSIELDCLHEGIDFSMKFSRAKFEELNMDYFDRCMKTLETCLSDAKMEISWVSDVILVGGSTRIPKIQSMLQKLFYWKELYKSLNPDEAVAYGAAVLGAKLSGISSDQRCRDLVLLDVTPLSLGVETQGERFDVVIPRNTQIPMKKSKIYVTCADNQTFEDIKVYQGERARSKDNHLLGMLKVSGIPPAPKGVAKLDVCFEIDANGILTVTIQILSTGKTTKLRITNENGRLSKEEIEKMIKDADKYKHEDEEYNKKVSAFNALEDCIYNMKKKIKNMAYSVRLNEMERVIADTIKWIENHQDASLDKVHAMKEYLESICMREF >cds-PLY69130.1 pep primary_assembly:Lsat_Salinas_v7:5:283824963:283827604:1 gene:gene-LSAT_5X149600 transcript:rna-gnl|WGS:NBSK|LSAT_5X149600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLDGTPSNQTQKKPAIPAYVKAISGSLGGIVEASCLQPIDVIKTRLQLDRAGYYKGILHCGSTTVTNEGVRALWKGLTPFATHLTLKYALRMFSNAVLQSVFKDSNTGKISDGGRFLSGFGAGVIEALVIVTPFEVVKIRLQQQKGLSQELLKYKGPIHCGRLIIREEGVRGLWAGASPTVMRNGTNQAVMFTAKNTFDGLLWRKHEGDGKVLQPWQSMISGFLAGTAGPVCTGPFDVVKTRLMAQSKSGGEGKYYKGMFDAIKKIYGEEGVFALWKGLVPRLMRIPPGQAIMWGVADQVMGFYEKKYVHDIPLPV >cds-PLY71947.1 pep primary_assembly:Lsat_Salinas_v7:3:24647791:24648312:1 gene:gene-LSAT_3X18340 transcript:rna-gnl|WGS:NBSK|LSAT_3X18340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILKMQRIRNFLSHASRSDRVGLNMMLREGISPNVHDYDNITALHLAASEGHALIIELLLPYKADVNLDDRWHKIWGTGKTSPVILVLRHGKETNEMTIVERAVKGYRDSTTVISRVFDSG >cds-PLY72138.1 pep primary_assembly:Lsat_Salinas_v7:7:52539422:52539970:1 gene:gene-LSAT_0X25501 transcript:rna-gnl|WGS:NBSK|LSAT_0X25501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFSREHKELVIEGEKWMKSTAESYTITAALIITIVFAAAITVPGGSDQNKGIPIFTNHTAFTVFAISDAISLFAAVTSLLMFLSILTARFAEQDFLFKLPTKLIIGLATLFISTTAMIVAFAATLYLVFGQSNFRILIPIVVLTCLPITSFVTLQFPLVIDLISATYGRSIFGKKRDHPFY >cds-PLY64007.1 pep primary_assembly:Lsat_Salinas_v7:4:190349961:190352010:1 gene:gene-LSAT_4X109061 transcript:rna-gnl|WGS:NBSK|LSAT_4X109061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVMSFALNIEHPYKSLVAAFKRLAITNKELVKVAYRSRVVQMDGQDTQDELERRDLKEELVERERRHFSSKYKGYGGKITSKDSLSFIGNLIGSPDDSSLGFLRSEGEMRSTGAFDLLEKMLVFDPNSFLWYFNDRRDGWLSNSGGKLREGEMRSASDDGFERDIFERDTSDLGLQGSRFV >cds-PLY74671.1 pep primary_assembly:Lsat_Salinas_v7:5:177214874:177217572:-1 gene:gene-LSAT_5X79500 transcript:rna-gnl|WGS:NBSK|LSAT_5X79500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PEX10 [Source:Projected from Arabidopsis thaliana (AT2G26350) UniProtKB/TrEMBL;Acc:A0A178VWJ2] MGIEAGESSGAGRPETSSGREDAGPATTPTSTSNSVRRRFSLAAQPEIMRAAQKDDQYASFVYEACRDAFRHLFGTRAAISYQNETKLLGQMLYYVLTTGAGQQTLGEEYCDVTQVAGLHGLPPTPARRALFIVYQSAVPYIAERVSSRIASRSIALTESESLSDDIHGDASRSIHGQPSASQLSSSPSPIVSISPLSRFKHKITSLWLHTVQRWPVVLPLAREFLQLLLRANLMFFYFEGLYYHISKRAAGIRYVFIGKPMNQRPRYQILGMFLLVQLCVIAAEGLRRSNLASITSSVQQTSLSLHQASAGRGLPVLNEEGNLITGDTEKVSDSFTTSEQSGSSVISKCTLCLSNRQNPTATPCGHVFCWNCIMEWCNEKPECPLCRSPLTHSTLVCLYHSDF >cds-PLY85674.1 pep primary_assembly:Lsat_Salinas_v7:7:158122510:158125534:-1 gene:gene-LSAT_7X93220 transcript:rna-gnl|WGS:NBSK|LSAT_7X93220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLPSFSTTLHISTGSSQMSSKMITMSRITSSSSSPPPVKTSITSQPTSLPIRTVPGSYGLPLIGPFIDRLNYAWFQGSEDFFRKRIEKNQSTVFRTNVPPSFPFFLANPNVVAVLDCKSFAHMFDMELVEKKDILVGDFMPSTKFTGDRRVCAYLDTAEPQHEQIKNFTMDILKRSSTIWIPTLTTLLDTMFDTIESQLPSGPVNYLIPIQKFNFSFLSRVIAGANPENSPDMAANGYIRMDRWLAVQLLPTVPITAFQPLVEIFLHSFPYPHFLVSNDYNKLYEFIEKEGKDVISRGQTDFNLTKEDTIHNLLFTLGFNAFGGFSIFLPSLLSALGSADRRIQENLRQEVREKTGSGLTFRAVNEMELVQSFVYETLRLNPPVPLQYGRARKDFNLSSHDSVFNIKKGELLCGFQPLVMKDPKIFDDPESFIADRFMNKGKELLTYLYWSNGPQNGTPSASNKQCAGKDFVTLTASMFLAHLLMRYDSVTIADGSFSVLEKAKQ >cds-PLY85593.1 pep primary_assembly:Lsat_Salinas_v7:2:119499551:119503346:1 gene:gene-LSAT_2X55341 transcript:rna-gnl|WGS:NBSK|LSAT_2X55341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEARVSNLHEAPSPPPASAGVGGRGPIPHPMRRHLSLYSTKPPFIPSDDYHRFSTGNDGKRIATTADQDSEVIIVRSPQVKRKLGTSHYNEVASTVNSPVCTPVSAKGGKVNSRSKGTKSNKPIPQSPLHISGSPSPATRYDSSLGLLTKKFINLITHAEDGIVDLNNAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIRWKGLDSSKPEELEDDVTLLQAEVQRLSMEEQRLDESIREMQERMREFSEDDNNQKWLFVTEDDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIILRSTMGPIDLYLVSQFEDMDGVEEQVPMQIASSSGSNDNPTTEIAVVEPHPQNVNSSMTASPGRITKILPSDVDNEADYWLLSDREVPLTDMWNTDLVGDMEWDGVDLLSEEFGLADDVTTPPTSVNADITIQR >cds-PLY95142.1 pep primary_assembly:Lsat_Salinas_v7:1:91917589:91917798:-1 gene:gene-LSAT_1X76540 transcript:rna-gnl|WGS:NBSK|LSAT_1X76540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSRKEEPKAPIKPIVKKEPKDKETLFRDDPIIDNDSEEEITEEELKRQKVYEAEMDEHQRIIREAE >cds-PLY71762.1 pep primary_assembly:Lsat_Salinas_v7:3:47625281:47627484:1 gene:gene-LSAT_3X35861 transcript:rna-gnl|WGS:NBSK|LSAT_3X35861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSEREIFVYTAKLAEQAERYDEMVEAMTKVAKLGVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEESRGNDQNAKRIKEYRHKVESELNTICNDIMSVIDDHLIPSCGESGESHVFYYKMKGDYYRYMAEFKSGNDKKDVADLSMKAYEAATTTAEAELPPTHPIRLGLALNFSVFYYEIMNSAERACHLAKQAFDEAISELDTLNEESYKDSTLIMQLLRDNLTLWTSDISEEGEDLHKHDGGGKTGGEIENAE >cds-PLY65771.1 pep primary_assembly:Lsat_Salinas_v7:5:274957968:274962192:-1 gene:gene-LSAT_5X145881 transcript:rna-gnl|WGS:NBSK|LSAT_5X145881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIAMDSSSSSLLYPPPEVPKKLHDENRQKLLKHLRENLDSSSRPLHGFVLLQGGEEQTRYCTDHEDLFRQESYFAYLFGVREPGFYGAIDVNTGNSILFAPRLPSEYAVWMGEIKPLSHFKEVYTVSMVHYTDEITEVLNNQYHGSGKPLLFLLHGLNTDSKNFSKPASFEGIEKFETDVKTLHPILTECRVLKSKLELSVIQYANDISSEAHIEVMRKTKPEMKEYQMESLFLHHTYYYGSCRHCSYTCICATGDNSSVLHYGHAAAPNDKVNGKFTSNQLLIYNAVLDAHDDVISTMKPGVNWVDMHKLAEKTILESLKKGSLLVGDVDEMMVERLGSVFMPHGLGHLMGLDTHDPGGYLKGAERPKEAGLRSLRTSRDLLEGMVITVEPGCYFIDALVIPAMDSSKTSKFFNREAITKFRGFGGVRIESDLYVTSDGCVNMTKVPRKIEDIEAVMAGAPWPVKKSVFENGGS >cds-PLY84911.1 pep primary_assembly:Lsat_Salinas_v7:6:15218166:15218460:1 gene:gene-LSAT_6X11420 transcript:rna-gnl|WGS:NBSK|LSAT_6X11420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKVCSSTVVASVLAASTAVLSSSHSNATAQELKVRNSGESSVVEKEKFAPRFDGLRFIETLVTAHR >cds-PLY96766.1 pep primary_assembly:Lsat_Salinas_v7:2:171596133:171596342:1 gene:gene-LSAT_2X93260 transcript:rna-gnl|WGS:NBSK|LSAT_2X93260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADWAPVLIGVLLFVLLSPGLLFQLPGKGRAVEFNNFQTSGVSICVHTIIFFGMITILLIAVGISINIG >cds-PLY96658.1 pep primary_assembly:Lsat_Salinas_v7:7:45306637:45306876:-1 gene:gene-LSAT_7X33200 transcript:rna-gnl|WGS:NBSK|LSAT_7X33200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPFPPCECICSGLYQRKWPELLGVAGLDACLAIEKSNPNVRAFIRLISESPEKDFCCNRVLVYVENHHGIVVKVPKVG >cds-PLY80761.1 pep primary_assembly:Lsat_Salinas_v7:8:137712934:137714497:1 gene:gene-LSAT_8X95561 transcript:rna-gnl|WGS:NBSK|LSAT_8X95561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSKVDGKHVVFVKFSDKHMKLLNAFIRQNPGLLEKSFSLMLKVPRFIDFDNKRSHFRSKIKHQHDHHHSPLRISVIRAYILEDSYNQLRMRSTQDLKSRPTIHFQGEEGIDAGGLIREWELFCLPLLATTQHSSQNLIPFIRQNISHTLSLVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPGYFKSLKWMLENDISDILDLTFSIDADEEKLILCKRTNVTDYELILGGRNIQVMEENKHKYVDLIVEHRLTTTIRPQINAILEGFTELIPRDLISIFHDKELELLISGLPDIDLYIPENCAGSQLR >cds-PLY83580.1 pep primary_assembly:Lsat_Salinas_v7:5:17606529:17608573:-1 gene:gene-LSAT_5X8001 transcript:rna-gnl|WGS:NBSK|LSAT_5X8001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIQHGVIVKMESDFGKLFIGGISWDTDEARLKEYFSGYGEVIEAVIMRDRITGRARGFGFIVFADPAVAERVVMEKHMIDGRTVEAKKAVPREDHHGLNLNRNNNNSIQGSPGPGGRTKKIFVGGLASTVTESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITFDSEESVDRVLHKTFHELNGKMVEVKRAVPKELSPGPSRSPLVGVGFNYGLTRPNTFLTSTYPQGYNMSSIYGGRTXXXXXRFSPIAQSGRNGFSPFGSSGYGMGMNLDPGLSPNAFGTTSNNMGYGRVLSPYSNRFVTPIGYNQSNVNVRNESFIGSTTRNVWGNGGGLTSPGGSGPYLGSGTNGGFGVFGNGSNWGSNPISTQGGGVSSSGYNGGSIGENNYGIGGGGGGGLGRNNGVTHEGSYGNLYRGGSMYGETTWQQQPSNKLEGSGPGPGSFGYGLDNSEDVTGRSSEGYVGNYSIANRQTNRGMCYVYV >cds-PLY71787.1 pep primary_assembly:Lsat_Salinas_v7:6:90029325:90032918:-1 gene:gene-LSAT_6X61521 transcript:rna-gnl|WGS:NBSK|LSAT_6X61521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNPLVSGDLSLQKGPTGNNKSLSLKNPPTDSDQQHWLFESRGTYGIGNAYHDKNDRETGTKLAKFLDKPWKPLTRKTQVPPSVLSPYRFLVAIRLVVQFLFLSWRLQNPNFEAMWLWAISISCEIWFAFSWLLDQLPKLNPINRATDLVALKDKFESKSAENPSGRSDLPGIDVFISTADPDKEPPLVTANTILSILAVEWPIEKVSVYISDDGGAILTFEAMAEAVDFGHLWVPFCRKHNIEPRNPESYFTQKIDHTKNKKRADFVKDHRWMKREYDEFKVRINGLPDVIRKRCERYNHREMKEKKNDSSGLGEAAKATWMADGTHWPGTWFTPTTDHKKGDHAGIIQLMSRVPENDPVMGKDNEGKLDFTGVDIRLPMFVYVSREKRPGYDHQKKAGAMNALVRSSAILSNGPFILNLDCDHYIYNTMAIREGMCFMLDRGGDRVCYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPVYVGTGCMFRRYALYGFSPPRAIEYRGIVGQKKKPAATLSPGRSLQAVRDQDHDLDTSKEEHPDLSLPKKFGNSNMFVDSIAVAEYQGRPLADHVSVKNGRPAGALLAPRPPLDALTVAEAITVISCWYEENTEWGDRIGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGTAPINMTDRLHQVLRWATGSVEIFFSKNNAFLASSRLKFLQRIAYINVGMYPFTSIFLVAYLFLPALCMLTGQFIVPNIDATFLTYLLIMTITLALISLLEVKWSGIALEEWWRNEQFWAIGGSSAHLGAVIQGLLKVIAGIEISFTLTSKSAAEDDDDIYADLYVVKWSSLFVMPLTICITNIVALIMGTARTLFSVIPQWNKLFGGTFFSFWVLAHMYPFMKGLMGRRGKVTTILYVWAGLLSITVSLLWITISPPDDLGKI >cds-PLY80987.1 pep primary_assembly:Lsat_Salinas_v7:9:175313370:175314453:1 gene:gene-LSAT_9X107900 transcript:rna-gnl|WGS:NBSK|LSAT_9X107900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEILISNYRVFEIPTASYERSGGCYGAPRGGFRGGHRGCFSNGDSEDGDRPRRPYERYSGTGCGNEFKREGAGRGNWGTQADEITQETEEVVVEGEKKQSGHSQDKHVSWHVEQLDIRWCHGVYCCFSSYYCGVLWHFCKHCATRLGTLGT >cds-PLY69038.1 pep primary_assembly:Lsat_Salinas_v7:9:141904165:141904605:-1 gene:gene-LSAT_9X91561 transcript:rna-gnl|WGS:NBSK|LSAT_9X91561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMFFLSFRGTDTRKTFVDHLYHTLQDKGIRTYKDDEEIKKGKKINDELIRSIQDSKFYIVVFSKDYASSSWCLEELVKILECQKTNEHTAYPLFYDVEPTEVRQQSGPVGEAFSKHEKEEAAEKWREALKEAADLAGWELKKTADG >cds-PLY95635.1 pep primary_assembly:Lsat_Salinas_v7:7:101774555:101775831:1 gene:gene-LSAT_7X67901 transcript:rna-gnl|WGS:NBSK|LSAT_7X67901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGWSEVNRRRQKNVRKQWSHRGVTTMFVSNIPDGVSKESIGRLFKKYGDLMDVYMATKKDAKKKNFAFVRFKKFSREKEMEAVKQGLTCRGSILTINISRFERTGRSTKKVQENTAPNAVQQPMGQSFRDGRSFVEVATGRNQTVIPPPPVLEKNLVSLVEENFSRIASEFGNVLVPIDIPPSLQDLSMVNVCVLTESRKRINDEIEVEFNRNIVKVGVFESDFDWSPFPFSPSELFVLESNQDVRVVDENASNTDENILEDGEIKDVSDDGDEGISETILPNETEKKMDAAGTGGDPAIGVAPCTVAIEDGSGEVQQ >cds-PLY83922.1 pep primary_assembly:Lsat_Salinas_v7:8:255255826:255256302:-1 gene:gene-LSAT_8X150021 transcript:rna-gnl|WGS:NBSK|LSAT_8X150021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAVLLFFYFLYKIGIYHCIFHMLWKLVRACIWSFFSAWEQGCEFLCQMLCNAKGKRRRRRRDIEMGDMSINGSDEETDMETSFSYRNNGRRRLLSRDHKRNHFRRSLRPKSHRLRVGVDGDSVYATKRKHIKHGDVRVVKTSSFARKGTNHKRSRRK >cds-PLY83942.1 pep primary_assembly:Lsat_Salinas_v7:8:37400986:37402353:-1 gene:gene-LSAT_8X30081 transcript:rna-gnl|WGS:NBSK|LSAT_8X30081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAVMRNSSGDYKHRIGNPKRNFGNRALVSYPSDNQLLTSFLATGYCGGGGLMSMPPSSLSHSYPPVFGGRQNLQYHRQQPPLLPLPIPLPLNHLNMNISRSNSSSNNNIRLSPPRINKSVKNRGRDHSLTPKKSKNQKKDVKREERDGDLLPPATTEKKEAPPAEKCSTESSINEMMGPDPKELPKDVISRVFSSSLHNSCEIVSLPDDKFSGSVVFTPSPPPSSLPLPTFSLRPKLSCKAEAAAAGSGIDTGATDSLRRLLRLR >cds-PLY65909.1 pep primary_assembly:Lsat_Salinas_v7:4:140877531:140879389:-1 gene:gene-LSAT_4X86221 transcript:rna-gnl|WGS:NBSK|LSAT_4X86221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTLSSTTSSHSFKKMNINEHEWVESMRKSILEHEEEIDKVPVCIFTVPQVLLATDPESYIPQQIALGPFHHWREEVYEMQRYKLAAARRTQKNMNVSFERIVEIMKKNDEPRIRACYHKFLDMSGDALIWMMAVDMAFLLEFLQVYSMKEEGRVLKKVTSSMSHLVDASGTKLSHMAILRDLVKVENQIPLFLIKTMLEHQSKKANHEKSPEETLKSMLIGLYHELSPFQEKELPDVDINDCDHLLDFLYHMTVPNNKELGIMEAEIDIEEEAITEVNDEDKEETFAKETDLQRVMSYIWNLLSKSNAGLVNFFKKLIFGRPMALLMKLPWKILSNLPILKLMKEPMERMLVKFQGKGEENSEDDGGEPKVPTIEEITIPSVTEMANAGIIFSPVNGGILDITFDNITSTLYLPVVDLDVNSEVYLRNLVAYEACVAAGPLVVARYTELMNGIIDTEEDAKYLRERGIVLNHLKSDKEVADLWNGMSKSVKLTKVAKMDKVIEDVNKRYGRTWRVKMANFFNKYVFGSWKFLTVLAALFMLLLTGLQAFCSVYSCARVFHQLPDIQGGGTEGN >cds-PLY80237.1 pep primary_assembly:Lsat_Salinas_v7:6:125202805:125203059:-1 gene:gene-LSAT_6X76881 transcript:rna-gnl|WGS:NBSK|LSAT_6X76881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMMRLCMLLLFVSLGFHCIKASRTHKILPPALPMIKTLASSESVPSKKGLMLSRYKKYEVRAFRPTCPGPSPGAGHVNPPGSC >cds-PLY88489.1 pep primary_assembly:Lsat_Salinas_v7:4:292125722:292128957:-1 gene:gene-LSAT_4X150321 transcript:rna-gnl|WGS:NBSK|LSAT_4X150321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRVPLKAKILKENIIHILPFVCFSMAKKKELRVIRVIPILHANFGSQVVYFAVVNPTYNHGIPYQGNLLENSTRSSVGSRHLSQQFAPAFINSVGGVSGSWNPEGDMSLDRRYVSSLLDELKNNKNKSFELSDVVDHVIEFSTDQYGSRFIQQKLESATVKEKNIIFPEIVPHARSLMTDVFGNYVIQKFFEHGTKSQRRELAGQIIGHVLPLSLQMYGCRVIQKALEVVEVDQQTEMVAELDGSIMKCVRDQNGNHVIQKCIECVPQDRVQFIVSSFFGQVVSLSSHPYGCRVIQRVLEHCDDRNTQAVMDEIMNSVCTLAQDQYGNYVIQHVLQHGKPHERSAIINKIVGETVKMSLQKFASNVVEKCLTYGSPNERQLLVNEMLGSTDENEPLQDSNSLFFFFAMMKDPFGNYVVQKVLETCDDQTCELILYILDIFPLFKSFIKFSLLDTELDERMRDLNLLSNSHFWRWP >cds-PLY73876.1 pep primary_assembly:Lsat_Salinas_v7:3:37898343:37902015:1 gene:gene-LSAT_3X28600 transcript:rna-gnl|WGS:NBSK|LSAT_3X28600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMKLCVFETLRLGVLCLLTCLGVAYATLSPSGVNYEVVALMAIKGDLKDPRNIMDNWDLSSVDPCSWRMVTCNLDRSVSALGLPSQNLSGTLSSAIGNLSNLQSITLQNNAIFGPIPDSIGKLQKLQTLDLSGNIFNGQLPSSLGDLNSLNFLRLNNNSLSGPVPQSLSQVEGLTLVDVSYNNLTGPLPKLSARTFRIIGNPLLCGQNSVNNCSNIYPEPLSFPPDGFTDQSSSNDKTRHLAVALGTSLSGIFVIILIVALLIWWRYRKNQQIFFDVNDQYDPEVCLGHLRRYTFKELRAATDHFNAKNILGRGGYGIVYKGTLNDGTIVAVKRLKDSNTFGGEIQFQTEVETISLAVHRNLLRLWGFCSTENERLLVYPFMPNGSVASRLKDNMEGRPVLDWTRRKNIALGTARGLLYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAIRGTVGHIAPEYLSTGQSSSKTDVFGFGILLLELITGQKALDFGRAANQKGVMLDWVKKLHQEGKLNLMVDKALNNDFDRVELQEMIQVALLCTQFNPSYRPKMSEVLRMLEGEGLVERWEASQKVETPRFRGLEGIPQRYSDYIEESSLVVEAMELSGPR >cds-PLY81723.1 pep primary_assembly:Lsat_Salinas_v7:3:31120524:31125959:1 gene:gene-LSAT_3X22081 transcript:rna-gnl|WGS:NBSK|LSAT_3X22081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPVSETSHWSVDQTVSPPSYTKTSSQEIEQEQPSYDIWLTVSEIPLFTQTQGQQARLLATLARGDLFQNETLARSADAVVACRALMNLLEDQPSKEMKVVAICALQNLVMHSRSNKRAVAEAGGVQLVLDLIGSAAIEKDVWATGTVHEEYLKALNTLFGNFPRLRAYEPATLSILHFVTSLKTGSEATQEAALDVLFLLRLACHYEHYLSTKFPFGSYTQVFIDPEMAVSSLSLGASMSIFSSQILYEEKIIDQTIDTRINLTYGLARQWFGASAVIKQCHYEHYLSTKFPFGSYTQVFIDPEMAVSSLSLGASMSIFSSQILYEEKIIDQVALMLEGMGSSAQILFTVSRDGFISTNLETLLIIHNYKICP >cds-PLY67243.1 pep primary_assembly:Lsat_Salinas_v7:6:136751406:136757504:-1 gene:gene-LSAT_6X82381 transcript:rna-gnl|WGS:NBSK|LSAT_6X82381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGKSSFRLPILQDAYFDEKFEGVIDNSLLQVPIGGGIIGQVAYTNKHMWMTSEDHYKQHSFSGSIWDMFLTIVVIPVEPQGVVRFGSNQKILENMEFVNQTKRIFREIVNGGRSEFYSYSSNQSLISSEDLNLVFDSLPDFGIPDEFFRTEEFNVSQWFSPPKNDLFSPSIGFNPFDSDVKRESPTISCIDVDVIGNNGGLEAILAPVKGGNRSGFHSFSSESESKPKPKPDQHDHDITSLGRKERLFSKLGIEELLEGISGISNVVSTSCIEGDQVSVKRRKIGNCKWEVSSLQKEVIMPKLETSLQMVDAYSGSGSSVVLQGENRVEPVKPTKKKAKPGTRPRPKDRQQILDRMAELRQLIPSGEKMSIDCLLDRTIKHMMFLESVTKQSDRIKQAEEPKRNSIDSNDPSTNGVTWACELGNQTMVCPLIVEDLGATGQMIIEMLCEEQGFFLEIMDIIRRFGLIILKGVMEARGDKIYARFIVEPEVNKNVTRHEIFLGLVQFLQTMDPNEDKTCMKAGNSLLDDFHQFEIQNLMNLVDMQHCVNL >cds-PLY90217.1 pep primary_assembly:Lsat_Salinas_v7:8:274487626:274492148:1 gene:gene-LSAT_8X157261 transcript:rna-gnl|WGS:NBSK|LSAT_8X157261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPNWVLLIIQFTILTHLSVLTITHGDSVCGTQGTYKQNSAYQKNLNDTLSSLTESHNGNGFFTASSDSSQDDDQSTTTTYAVALCPGDFDVESCLGCVKSATERLRRDCPNQKKATGWYYGTCMLTYSNSTFERNDEVLSIRESYISNSDSILDALGNLFKELQGKAAVDGYNTTSYSDAIILPRSTGSIQATMQCFPNISHDICHECLMNATDYLLTCCNGSAEVVVSYRYSCFLRYAIDLVNFSDPPMPPTNPSTIQPPGHKEEVFDALDDDTGEIIYFRLNEMNAATSNFSVANKLGEGGFGPVFWGELSDGKKIAVKRLSHNSSQGMQEFKTEVKLIIKLQHKNLVRLLGCCMKGNERLLVYEYMCNSSLDKYLFDLEKAKELNWAKRVKIVNGIAKGLRYLHEDSRLKIIHRDLKASNVLLDEEMNPKISDFGTARIFGTNQIEANTDRVVGTYGYMAPEYAMEGLFSIKSDVYSFGVLLLEIMSGKKNNRLFHEEHDQNLLSYAWMLWKEGKGEKLIDENLKDDCPVDEALKWMRIALLCIQEDPNDRPTMSSVASMLEGEWKIVSEPKPPMSFGQFIMSDQSSSTWNADDSGFYSSNETTHEQEGKSSENNRIDHGG >cds-PLY71514.1 pep primary_assembly:Lsat_Salinas_v7:5:122039115:122039778:1 gene:gene-LSAT_5X52981 transcript:rna-gnl|WGS:NBSK|LSAT_5X52981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPNEGLFVLNSETSKKGKIKVVHLVDVPGHSRLRPKLDEYVPRAAGLVFVLDAVDFLPNCRAVSEYLYDILTKSSVVKRKIPLLILCNKVDKVTAHTKEFIRKQLEKEIDKLRTSRKFVSDADISNEFTLGIPGEPF >cds-PLY70742.1 pep primary_assembly:Lsat_Salinas_v7:8:168397941:168398381:-1 gene:gene-LSAT_8X110681 transcript:rna-gnl|WGS:NBSK|LSAT_8X110681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGHMGLANSLALKLYGVTSNMQDLVGGTISRNENGEPSGLMVDSAMKVVLSCIPEVFVEERRQALDRASRYTLMRGVTTVVDFERSFPGASLEHSWEEYWPGASLEHMATRYFLELILK >cds-PLY83073.1 pep primary_assembly:Lsat_Salinas_v7:9:107107369:107108665:-1 gene:gene-LSAT_9X76421 transcript:rna-gnl|WGS:NBSK|LSAT_9X76421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGFVEGGIASIIAGCSTHPLDLIKVRMQLQGESAPVFALNGSSGATITMPRPPPPAGPISVGVKIFRTEGVAALFSGVSATVLRQTLYSTTRMGLYEIFKEKWSDPNTGNLTLTRKIGSGLLAGGIGAAVGNPADVAMVRMQADGRLPAAQRRNYKGVADAISRMVKQEGVTSLWRGSSLTVNRAMIVTASQLASYDQIKETILSKGVMGDGLGTHVTASFAAGFVAAVASNPVDVIKTRVMNMKVEEGVEPPYKGAVDCAVKTVRAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKILKDY >cds-PLY76359.1 pep primary_assembly:Lsat_Salinas_v7:6:170565116:170567174:-1 gene:gene-LSAT_6X103221 transcript:rna-gnl|WGS:NBSK|LSAT_6X103221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYISRSTITMSPTRVLRTGFILLAILGRLTCADELSRVSNPVCPTKSIKASILGIGDSICPSSGIGYSIGVIEGDDTSIQKALSMIDANTHNYIVVLFYASWCPFSTIFKPSLSLMSSFYPSIPHFAIEESRVKPSLLSKYGVNGFPTLFILNSTMRVRYHGPRTLSSLMTFYTDVTGVKAESMDKKSLDKMDTFVHNKSNNSSEPEFCPFSWAKSPENLLRQETYLFLATVFVVFRSVYLTYPFIVTSARYARRTRFLNIWSLWDHPVTYLNRAIQFFSSLTGCMGVDFFNGRKH >cds-PLY68975.1 pep primary_assembly:Lsat_Salinas_v7:9:141055442:141062863:1 gene:gene-LSAT_9X90520 transcript:rna-gnl|WGS:NBSK|LSAT_9X90520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH5 [Source:Projected from Arabidopsis thaliana (AT3G20475) UniProtKB/Swiss-Prot;Acc:F4JEP5] MEEVDETEAVPQVYMACLMHGHSDSYTDGGNEVPTVKIMKSSIFCYEQAWHRLVYLRVTGMDDGLNIKERICFLSSMMDIESEVQVRVSGGLLAILENERVVDTIEQNECGSISITIDSIMQISLNKFLKVDSAAHEALQIFQTDKHPSHMGIGRAKEGFSVFGMMNKCVTPMGKRLLRSWFLRPILDLDNLNSRLNAIQISFFVSSEELSTALRDTLKSVKDVPHILKKFNSPSSICTCGDWTSFLKSLAALLHINKIFELGISDNLQEHANYSSLHIVEKATSCISMDLAYVYELVIGVIDVSRSKEKGYETTVKEGFCEELDELRQIYEELPEFLEEVSSLELARLPYMSGDKLIPQIVYINQIGYLMCIFQEKLDEHDLEKLQDYEFAFSDEDGEVRKFYYRNAKTRELDSVLGDIYHKILDMERAIIRNLVSHILEFSIHLINGVKFAAELDCLLSLALVARQNNYVRPTLTSETVLDIRNGRHVLQEMTVDTFIPNDTKIIDQGNIHIITGPNYSGKSIYIKQVALIVFLAHIGSFVPADAAKVGLTDRIFCAMGSKVMAAEQSTFMIDLHQVGMMLRHATSRSLCLLDEFGKGTLTEDGIGLLGGTIDHFISMYSPPKVLICTHLTQIFTDIDLSESNKVKYYTMSVLRPDNNSEDIEEIVFLYRLIPGHALLSYGLHCALLAGVPQEVIRRAAYILDATTKGIHMDRVCNEKISAKDKQYKDAVEKLLAFDTANGDLASFFQDVFPGQP >cds-PLY80443.1 pep primary_assembly:Lsat_Salinas_v7:4:176388954:176390354:1 gene:gene-LSAT_4X104420 transcript:rna-gnl|WGS:NBSK|LSAT_4X104420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSQGLGLEITELRLGLPGGGSGGEKNRTFSGSIHGGGGGGDGGCGKNRNMDEVVGWPPVCVFRKKSIREVTKLYVKVSMDGAPILRKIDLSCFKCYSDLGMALEKLFDCYGIGEAMKEESESCEYVAIYEDKDRDWMLVGDVPWS >cds-PLY71578.1 pep primary_assembly:Lsat_Salinas_v7:1:116044449:116044844:1 gene:gene-LSAT_1X88180 transcript:rna-gnl|WGS:NBSK|LSAT_1X88180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIDGECPIELIGSCRNKVQVYRSVRMPQLHTSLHFHLTPIVMINGSSRRDLLLNSQNFCRSIPAGAENPSLSRLCYRRLWGSRNRRALILGWAYYLDAFSSYPLRTWLPSVYRGHDNWYTRGASFPVLSY >cds-PLY87014.1 pep primary_assembly:Lsat_Salinas_v7:5:254927809:254928616:-1 gene:gene-LSAT_5X128080 transcript:rna-gnl|WGS:NBSK|LSAT_5X128080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVIGSELAEVDGKGSKIGKPEIQGRTMVDAKSGNDGGGGCCHRAVVESEKEPPLSSMKEDVHSINLLPTIIEIKYGFVKAFESAHEVQHVYLRVVELVVAILDGPLPTATLDGPLPTITLDGPATPVGALSSTLAKLQASPKLRKLREAAKSITKHIGPQAHEVAAKVDGYVRGMISASGSTLFKEHGL >cds-PLY84153.1 pep primary_assembly:Lsat_Salinas_v7:6:191242250:191242786:-1 gene:gene-LSAT_6X117500 transcript:rna-gnl|WGS:NBSK|LSAT_6X117500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLDISYIHVLFLAFLTTSFPLPTKGDLIDDVCAEMPDNKPLCLDTLRADPRSKTQNFQVLAQISFDAAMKDASGLPPFVESLQISVRDSGVKERISGCVRNAENALGSITQAKQFLESRRYGIAYENARLANNTLSICGHTFLTPPAIEPLELKQAFDRSEALISIFMVVTRHMF >cds-PLY71775.1 pep primary_assembly:Lsat_Salinas_v7:3:47775021:47776872:-1 gene:gene-LSAT_3X38780 transcript:rna-gnl|WGS:NBSK|LSAT_3X38780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEWKFRENSKLKTASAFSIKLVLDDVKTNVNPSDERTIIPLGHGDPSSFPCFRTTQVAEDAVVDALRSANFNGYAPKGGVLQARRSIAEYLSRNLPYKLSPDDVYVTLGAKQAIEVVLSSLRKPGANILLPKPGYPAYEALAILNQIEVRHFDLVQENGWEVDLNGLETMADDKTVAMVIINPGNPCGNIFSHHHLQKIAETARRLGILVISDEAYAHLTFGSKPFVPMGVFGSVVPVLTLGSLSKRWLIPGWRLGWIARNDPDGVFNGYGFVECIKRSLNTNSEAATFIQAAVPQILEKTSDDFFVKTIDILRKDAEMCYECLKDISCFTCLQKPQGSLFLMVKLDLSKLEGIKDDMEFCSKLAKEESVILLPGFVLRMKNWVRVTFAIEPSALEDGLERIQAFCLRHAIKK >cds-PLY79663.1 pep primary_assembly:Lsat_Salinas_v7:5:253969054:253969795:-1 gene:gene-LSAT_5X127561 transcript:rna-gnl|WGS:NBSK|LSAT_5X127561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein ATHB-52 [Source:Projected from Arabidopsis thaliana (AT5G53980) UniProtKB/Swiss-Prot;Acc:Q9FN29] MNHSTYHNQKPNPNKPNKHTTKRLTEDQVRLLESYFDSSKKLEPDRKHQLSRQLGIPPRQIAIWYQNKRARWKNQSLEHDYTMLQLQLEASVLETKHLQKEVDYLRTELNKVQSEQARHNRYYNNDYQPLMDSSFSRCGDDVGSSSKSLEDDTEHLCGFGKQVFDAAEMYTAARMMGMDILHF >cds-PLY91376.1 pep primary_assembly:Lsat_Salinas_v7:8:47516273:47519435:1 gene:gene-LSAT_8X35440 transcript:rna-gnl|WGS:NBSK|LSAT_8X35440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTASSFVSGSGSGSLSSKPATGVSDQFPVGLRVLVVDDDVTCLKILEQMLRRCSYHVTTCSQATAALNILRERKGGFDVVLSDVHMPDMDGFKLLELVGLEMDLPVIMMSADGRTNLVLRGIRHGACDYLIKPIREEQLKNIWQHVIRKKWNENKEHENSGSMEHKRGGGGGDDNHDSEYGSSVNEGGENGLLTCHKKRRSIKEEDDCELESDDPSASKKPRVVWSVELHQQFVSAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRLSGVGGQQGGGQNSFCGPIEQNKKLGLYGRFEIQALAASGQIPPETLAALHAELFGRPTGNVNLPLVDHQPILQSSNYGQPLPSKQISQPVVEDVHSWHSNNMIGNYGGLGGQNGNLIGVMPSQQVQKQAITVQPSRLVVPNNQSSSVSFQGGNSGVLVNSGEKQISFGECVQVLDQGPLRNLGFVGKGNSIPSRFAVYEDESLANNLNQWDNFGKKVKQEPNIEFMSVFSE >cds-PLY70333.1 pep primary_assembly:Lsat_Salinas_v7:4:100673382:100676161:1 gene:gene-LSAT_4X64741 transcript:rna-gnl|WGS:NBSK|LSAT_4X64741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLENLDTLNSSGTDDKQGTENREDLDSRASGTKTNGGDSSDNEATSSVNDKGIKRSAGGDIAPTTRHYRSVSMDSFMGRMNFADESPKLPPSPGGHIGQLSPNNSIDSNSNTNTFSLEFGNGEFTGAELKKIMANEKLAEIALSDPKRAKRILANRQSAARSKERKMRYITELEHKVRRSLIRNMWNERIKGTKRNVEVWQALLVVRSLVFPPTDEDSETWLKFASLCRKSGRISQAKSTLIKLLQDLAIELSSSSGLQVSTPTGFGGVPHFLAETLDVVQDIDWVISLGNAFAKQYELYTYDDEHSALLHRYELIIIIITFF >cds-PLY93195.1 pep primary_assembly:Lsat_Salinas_v7:8:109449139:109450509:-1 gene:gene-LSAT_8X75000 transcript:rna-gnl|WGS:NBSK|LSAT_8X75000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNLISRNLSFSAFKATPVHILFPRSLCSSTAASDNSFTVSYLIDSCGFPPDKAISASKFLKFKTPDRADSVIAFFKNQGFTETQISNLVRKFPTALRYHPEKNLLPKFEFLGSIGLSHSDIFKLFTGRPNLLGRSLKNHIEPTYNILRDLLQSNNRTAIAIRRCAWVLDLNFHTNMFANMQILLDVGVPGSKMLYLLTHQPRDFLAPTDQFKKAVEEVVEMSFDPLKITFVLAVHALRSMSKSTWEKKMETYEKWGWSKAQILLAFRINPWCMMMSEEKIDKVMEFLVNKMGFETSIVSKNFAIFSLSMEKRIIPRSMVYQYCLDNGLLKDKKICGFSWWLQCSDNIFIKRLERYEKEAPGVLKLYQEKLDHCN >cds-PLY64642.1 pep primary_assembly:Lsat_Salinas_v7:6:38665941:38667688:-1 gene:gene-LSAT_6X31260 transcript:rna-gnl|WGS:NBSK|LSAT_6X31260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLSLLVLSVRVNVETTTHSLTSFIDNDPSIKPLIYRIHGPPNSTSPTSNITTRRRRFPQLTRVRTRTLDDDSFPGDYEFDHRCFGSSLPNSQLNATSFILDSFDPQLGFSDSVSDNGIRASEIVRSTAKMTFTLIEIIEKEENDVIHRSNNSAPLNETAESESEFQFFLKRFGLEHHDFTALLFLIGALSASYWFMILGFVATYTIVHGVIFLMVLNDFCKSHNSFAINFSIGLFLGVKRLSPFILMRWAIRDALTHLLGFCFFVSIKDQYSFLKIFLRYKFMPFSIMSPWVKGFEKEIYWFLKSWIILDLFMSYAFAVLAWIVMAGSRRSWREIVKEGYHLLSLRLQPAVDLMFFEVVVCGRYVRWVISQHFGVFFAVAFQSFMEVYFMVAWMMYYLSVKSIHANSSGQPFGKQELEAMLEDVK >cds-PLY77938.1 pep primary_assembly:Lsat_Salinas_v7:1:21685400:21689059:-1 gene:gene-LSAT_1X18180 transcript:rna-gnl|WGS:NBSK|LSAT_1X18180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLHNRVEPPLTKDSKGIARAPVRLAKLSVDKVLPAPCSTSVLYPETGGNLHCFTAITSCAVLDILTPLYEEYSGRKCTYYRDYPYSSFGSGDELIDGKEDEYAWLEEIDAPDVAITTADIVSKSVAVEFEVGGSGIRIEGMEKGSGMIHPNMGTLLGVDGDTSTNDTIIALASGLSGSNRISSLHSSEGNQLQMWLMRRVFMEMRNYAGDRDGPGTGLAWGCDLSYDYVKINYATSLGPGSLDKCWSSKCSHGYQDVIDCSYALSNPILVYMSKVDGRFNFSPISVNFLTEVAKVIFALVMLLIHARNQKIGEKPLLSVSSFVQAARNNVLLEIYYKNSTGGSELSTN >cds-PLY89652.1 pep primary_assembly:Lsat_Salinas_v7:9:96683883:96684843:1 gene:gene-LSAT_9X72680 transcript:rna-gnl|WGS:NBSK|LSAT_9X72680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTDDIFGESPTGVRKPEKGDGLAIQRSGLQDNWDDAEGYYILDSRYEVLVAHGNGVFSTVVRAKDLKAGSTDPEEVAIKIIRNNEKMYKAGLEELVILKKLVGANMEDRRHCVRFISSFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLRNCGVLHTDIKPDNMLSKGETFLSLVPGTLK >cds-PLY95197.1 pep primary_assembly:Lsat_Salinas_v7:8:61547171:61548594:-1 gene:gene-LSAT_8X44580 transcript:rna-gnl|WGS:NBSK|LSAT_8X44580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPESQSNTGRPLEHMHGVHVVQHSPFELEEISQNGNFQQSTHGRLTIGVNQLLRVHTVQRVWQQRPSCLRPINSCLHGDRHLAERIANVLTSIPFIAVGIQAPRKNLNSKLYANSLIGVGIASSLYHSSRGKWRKYLRWADYTMIATATVCLSRALREENPKLLMAASALCLPIQPLMVSAVHTGMMEVAFARRALEDPRLKVVHNVHKMSALLGGAFFVADDLFPEIPYLHAGWHLAAAIGVGACNKLLE >cds-PLY85373.1 pep primary_assembly:Lsat_Salinas_v7:5:243138802:243143061:1 gene:gene-LSAT_5X120421 transcript:rna-gnl|WGS:NBSK|LSAT_5X120421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVDDGTETHHRGVCTPSVKGRQTMDSNGEIISPSPRYKHVYMSDKTKSKRKNFDHTDPFAIRDFVLGFDSPKYGSVTKDIEDLFSRKKQMFMPTFRKFPLLGVDSLDLKPGKDISIAIENTKHDTNAQAQQLVVIIDSDDDDEPTSQIPSKSFQDAVLKFPSKPLEDTKLMILSKPHEDIELKIPSKAYNDTLLNEPTEQPMEQLLGGDDALDQATKEGGDRDTLTVVVPSVEKEKSFKDDDMDSEKKHDDVDNDKKHDDVDSGGKKHDKGKYVGVEDDDSDEQPEADFDGLGDIWKEMTIGLESSKDRIPASHENANEEGKDCDHTFILKEDIGSVCRVCGIIDRRIESIIEFQRPKTIKSTRTYHYESQTKRGDRANPVLDGVKLPGNDFSVCEVIAHPRHKKQMKPHQIEGFNFLLSNLVCDNPGGCILAHAPGSGKTFMLISFIQSFMAKYPDARPLVILPRGILGTWKKEFTRWQVEDLPLFDLYSVKAEGRVQQFEVLKQWANQRSILFLGYQQFSSIVCDDDTSSITVSCQEILLTYPSLLILDEGHTPRNQDTNILTSLEKIQTPRKVVLSGTLYQNHVREVFNILNLVRPKFLRMENSKAIKRRILSRVPIESRRNLLKKSTDNEFYEVVEHTLLKDEDFKRKVTVIEDLRDMTNKVLHYYKGDSLDELPGLVDFSVFLNLSPKQQRHVTELKKLKKKFKISSDGSAIYVHPALKSVLKTTKDKNDDDISKIDEILEKLDEREGVKAKFYLNLLRLCESEGEKLLVFGQYLLPLKFLLRLTVKTKGWSLGKEVFMITGDHDNDEREIAMDQFNNSPDSRVFFGSIKACGEGISLVGASRIIILDIHLNPSVTRQAIGRAFRPGQIRKVYTYRLIAADSPEADDHETCFKKESIAKMWFEWNEYCGHHDFEMETTSVEDCGDPFLETSWLNEDVTAIYKR >cds-PLY93921.1 pep primary_assembly:Lsat_Salinas_v7:7:180704989:180708930:1 gene:gene-LSAT_7X107541 transcript:rna-gnl|WGS:NBSK|LSAT_7X107541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKATIFLLLLVPLNIQSMISSLELHTISDSKFLTEGDTLVSPAGLLELGFFRPGSSDKKYVGIWYKKIPVQTVVWVANRNNPIPGDSSGTLKIIQTGNLVLVNDTNDVVWSTNMTSSGTNAIAQLDDFGNLVVRDGIIKNKILWQSFDYPTDTLLPGMKFGRNFLTGKEWALSSWKSNQDPAPGDYTWTVDTRGYPQNLLRIYGSIKFRGGPWNGVRFSGASGFSKSMIFTTEYVINETEVAYTYYLQNSSVESRFALNSSGQLERYVWVEEAKKWQLILALPKDICDTYNICNAYGSCSILTSQTCGCIDEKRFMPKNQKGWEIADWSGGCVRRTPLDCKNGSDGFVKYPSVKLPDTKSSWFNMSMSLKECEARCLKNCSCMAFANTDIRGDGSGCLMFFNELMDIRVYSEGNGGQDLYIRMASSELVAQNVLEKKRRSKMKIIIPAIFLGVVIGLSATWFWYICRKRHHPQPKREGEYSHGGESQSEGMELPLFTFSIIAKATDSFAPENKLGEGGFGPVYKGILEEGQEIAVKRLSKTSSQGLDEFKNEVICISKLQHRNLVRLLGCSIDGNEKLLVYEYMPNRSLDWFIFDKTRSSLLDWPTRFNIIKGIARGLLYLHQDSRLRIIHRDLKASNILLDLDMNPKISDFGIARSFGGNETQANTERVVGTYGYMSPEYALDGIFSIKSDVFSFGVLVLEIVSGKRNRGFVHPEHDNNLIGHAWRMHNEGRTMELIDSTFCKSSDPCEVLRSVEVGLLCVQQSPDDRPEMSSVVLMLGNEGAMLKPKQPAFFTERNFLGPDFSSSTYPTSSTNDVTVTEVVAR >cds-PLY75725.1 pep primary_assembly:Lsat_Salinas_v7:5:137017716:137018084:-1 gene:gene-LSAT_5X59581 transcript:rna-gnl|WGS:NBSK|LSAT_5X59581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMHHQNKIKRYEEREVEISKWREYYCSKEGIEAEKAKWMNIYYSTLKEKEVHKEEQDVSSELSESELEAESSPQLPPPPPQLATTAVSNSPKPFPAVAGVAAVSTTFTAFVSTISRVREEK >cds-PLY88064.1 pep primary_assembly:Lsat_Salinas_v7:6:183592974:183595746:-1 gene:gene-LSAT_6X111920 transcript:rna-gnl|WGS:NBSK|LSAT_6X111920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVGLTWRHAMWKSYDIWHFYSLRSPNHLTKLFGSSSKSTTTAVSSSFSSLFGMEYTLPPPVTEAPPCSVASQMMGVEAGLSNFVEQEESSMMESPKISEWTDEKHNLYLNSMEASFVYQLYNSLDTRCGKTQNECFVDTKSSRKVHSGAHYPSGEFKVLQHGSWSRIDFRRENSVVNVADRPHVTSSNPWIQHFRKAKESISPQENGTLSTITQHTSYGNTEVIDQNFVEDSAIEIVTTPYNKKRKNTSAVSKLGNGQAASFWHLSCNGNGR >cds-PLY72282.1 pep primary_assembly:Lsat_Salinas_v7:5:109628685:109629613:1 gene:gene-LSAT_5X44120 transcript:rna-gnl|WGS:NBSK|LSAT_5X44120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVNRLFFNLLIVFIIAAVVRSSDPDCVYTIYVRTGSILKAGTDSNMTLTLYDAAGYGIRINNLEAWGGLMGPGYNYFERSNLDIFSGRGPCLTGAPCEMNITSDGTGAHHGWYCNYIEVTTTGAHIPCAQQTFTVEQWLATDTSPYELTAVRNYCGSDGDGSLSAHRRHVIRGTASSLLSVV >cds-PLY97399.1 pep primary_assembly:Lsat_Salinas_v7:4:14808396:14811576:-1 gene:gene-LSAT_4X9780 transcript:rna-gnl|WGS:NBSK|LSAT_4X9780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR-RED IMPAIRED RESPONSE 1 [Source:Projected from Arabidopsis thaliana (AT4G15090) UniProtKB/Swiss-Prot;Acc:Q9SWG3] MSRHITDVIDEETDRDAQIITSPTKDTTNIEMEKDLEVPEGIVFESHESAYSFYQEYAKSMGFTTSIKNSRRSKKSKEFIDAKFACSRYGVTPESDSGTSRRPSVKKTDCKASMHVKRRKDSKWYIHEFIKEHNHELLPALAYHFRIHKNVKLAEKNNIDILHAVSERTKKMYVQMSRQSGAYRNVDFSRNELNYQFDRGRYLALEEGDGQAMLEYFTHIKKENPNFFYAVDLNEDQRLRNLLWVDAKSRKDYINFTDVVSFDISYVRSNDKMPFALFIGVNHHFQAMLLGCAIVADESKTTCVWLMKTWLRAMGGKYPKVVITDQGKAVEAAAMEVFPASRHLFSLWSILEKVPETFAHIIRRHDKFMTKFFKCIYKSLTDEQFDMRWWKLVGRFELQDNEWFHSLYEDRKKWVPSFMRDVFLAGMSTPQRGESVNSFFDKYIHKKVTLKEFVKQYATILQNRYDEEALADFDTWHKQPALKSPSPWEKQMSSIYTHTIFKKFQVEVLGVVGCHPKKEREDGCVTIYTVDDCEKNESFNVTWDESKSEVWCSCLLFEYKGFLCRHTMIVLQICGHSVIPSRYILKRWTKDAKNDDQNKNGVMEKTQNRVQLYNDLCKHAIQLGEEGSLCEESYDIAFRALVDALRACVDVNNKESSNGTVLIRDVEDENLGGVSGNKSKRKSVNKKRKIQSEPEPVMLEARDSLQQMESMSSDGITLHGYYGAQQNVQGLLQLNLMEPPHDGYYVNQQSMQGLGQLNSLAPTHDGFFEAQQSIHGLGHLDFRPPSFGYSMQDEPSLRTNQMHNNPSRHS >cds-PLY92870.1 pep primary_assembly:Lsat_Salinas_v7:8:40755841:40759111:1 gene:gene-LSAT_8X32001 transcript:rna-gnl|WGS:NBSK|LSAT_8X32001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSKGYYRRGAAYLAMGKFKEALKDFQQVKRICPNDPDASKKLKECEKAVMKLKFEEAIATPSSEQHSVAESIDFRTIDVEPQYGGARIEGDVVTLEFIKKMMHEFKNQKSLHKRYAFQIVMQTREILMALPSLVDVKVPDGKHFTFFDLLNIFELNGLPSDENPYLFNGDFVDRGSFSVEVILTLFAFKCMSQSAIYLSRGNHESKSMNKIYGFEGEVKSKLTDKFVELFAQVFCYLPLAHVINNKIFVVHGGLFSTDGVKLSQIKAINRFCEPPEEGLMCELLWSDPQSDPGRGPSKRGVGLSFGGDVTKRFLKDNNLDLIVRSHEVKDDGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPVMEPKIVTFSAVTHPDVKPMAYASNFLRMFS >cds-PLY94002.1 pep primary_assembly:Lsat_Salinas_v7:2:71210554:71220177:-1 gene:gene-LSAT_2X31860 transcript:rna-gnl|WGS:NBSK|LSAT_2X31860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIRRGNFTPHEEGMIVHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKIKSLQTSFDSQMSPSDTTTCTTVSIPKVSTGKSHQNTTITSTKNPHQYPDIYASSTQNISRLLEGWMRSSSSSTLEEKIAKQERGKECFDGLGESVDFEFESVLSFDNGCWDKMMGGDYYQKVGHGYDDKAACVLHERKLQKQESLSNPPLNFFERWLLDETANQLEGALEQQERQAAMERRKLEMVCQAAEDKEEIREMQTHLATLQGPPDATRLE >cds-PLY62690.1 pep primary_assembly:Lsat_Salinas_v7:4:335497969:335498974:1 gene:gene-LSAT_4X165481 transcript:rna-gnl|WGS:NBSK|LSAT_4X165481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVVAFRGTETFDADAWSSDVDLSWYELPGIGKVHGGFMKALGLQKSLGWPEELTHRTKNQEQPLAYYVIRDMLKQALKENNKVKFIVTGHSLGGALAVLFPAVLMSHNEVELLDMLEGVYTFGQPRVGDKRFGKFMKENLENFDVKYYRTVYSNDLVPRLPYDDSTMMFKHFGTCLYFDSFYNGKIMEEEPNKNYFSPLSALPKLINAVWEIIRSFMIPYTRGEDYSEGFVLKMYRFIGLMIAGVPAHGLQDYVNVVRLGSDLYKDPRSISDNEIKLMIDDGTLK >cds-PLY64919.1 pep primary_assembly:Lsat_Salinas_v7:8:133085979:133087428:-1 gene:gene-LSAT_8X92361 transcript:rna-gnl|WGS:NBSK|LSAT_8X92361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRYVEIGRIALVNYGKDYGRLVVIVDVIDQNRALVDAPDMVRGQMNFKRLTLTDIKIDINRVPKKKTLVAALEAADVKNKWESSSWGRKLIVQKKRASLNDFDRFKIMLAKIKKAGVVRQELAKLKKETTA >cds-PLY84372.1 pep primary_assembly:Lsat_Salinas_v7:4:215904918:215908248:-1 gene:gene-LSAT_4X121720 transcript:rna-gnl|WGS:NBSK|LSAT_4X121720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSEPHGMSSEPHSQHSELNLEEGHIKDDLPLLTSSSSSTSKPTTTDDKPGPSSSSTPEPDIEELEKQCAAYARHDMYGTMGRAKLPWTQKVLLGIAIITLFPLRVVSATLVVVLYYLICRACTLCLAPNQEHEDEQDDYSHMGGWRRRIIYWFGRVLSRALLFVFGFYWIKETYRDPDMDNKFNHELEVNHESNEIERPGAIISNHVSHLDILYHMSSSFPSFVAKKSVSKLPLVGLISQCLGCVYVQRESKTSDFKGVSGVVNDRINESLHDKSAPMMMLFPEGTTTNGDYILPFKTGAFLSKAPVLPVILRYPYEKFSPAWDTISGVRHVILLLCQFVNYITVLRLPLYYPSQEEKDDPKLYAENVRRLMAREGNLIMTDIGLAEKRVYHAAIHGTLTIV >cds-PLY86914.1 pep primary_assembly:Lsat_Salinas_v7:5:257157899:257168406:1 gene:gene-LSAT_5X132480 transcript:rna-gnl|WGS:NBSK|LSAT_5X132480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFLQERFKRFRTLNGSQWLQFSYSRFPCRIGTCMTPMELTSSHLLASEVIPSGVVTAVLYPGAIAKALFNDKPIPKYNALLDSYKLKNLKQNPSVTDLQHIEVLAGSYLAIAGAMIGLYRSRRLGFFGMILLIWGLSKEPHFNKRHEVFKNYKNAISVYYPPMSITVVSAFLSIRNDVRKIVSCFKWSFSKSKYK >cds-PLY65438.1 pep primary_assembly:Lsat_Salinas_v7:9:184495216:184497547:1 gene:gene-LSAT_9X113301 transcript:rna-gnl|WGS:NBSK|LSAT_9X113301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAILLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGAEDEGDEGEEY >cds-PLY94587.1 pep primary_assembly:Lsat_Salinas_v7:8:181128675:181129823:-1 gene:gene-LSAT_8X118380 transcript:rna-gnl|WGS:NBSK|LSAT_8X118380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGYLLDPPVVHNEFKSMIVGLNNCRIYHALRSNIVIYKDLVTEFCKNAAINKHGADGVEHVTPLRSNISSSVEVSDHDDHDSNDIGDMSFRLSVPPKEPVNEVVIIPAETETEINIFRQPNTPTPEQMDALTKELQSTARKPHQAVYVSVESPSDSDKHEPNASLVPKKKRWRDPRP >cds-PLY76637.1 pep primary_assembly:Lsat_Salinas_v7:4:117252675:117253890:1 gene:gene-LSAT_4X74421 transcript:rna-gnl|WGS:NBSK|LSAT_4X74421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVISKAGDLTFKAFTLGLGVATIYLGGSFSFNVYRGLAWHNAQSKIENDVTPDQKS >cds-PLY79441.1 pep primary_assembly:Lsat_Salinas_v7:3:80356390:80356773:-1 gene:gene-LSAT_3X58821 transcript:rna-gnl|WGS:NBSK|LSAT_3X58821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFFPLTCKVDVQVDLEAELEVERVVMVESDSVSDFESESDVEANIEVESASDVVPEMEANIEVPEVDVEANIEVPKVDVEANIEVPEVEANIEVPLVQDNIEEEVQDEVEHGIQVNIQEEVQDDVE >cds-PLY78532.1 pep primary_assembly:Lsat_Salinas_v7:1:105747263:105752333:1 gene:gene-LSAT_1X83000 transcript:rna-gnl|WGS:NBSK|LSAT_1X83000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSTRFFKKEDIDLMVMDYFLTHGYANVAESFEVELGCKVGVNLELLAYQKGVTDHIQNHQITEAIDLFKTAFGQDDSRWWWRERRSESDDDDKDNSGSWCAPDVTVVFDKGGVRNQFVCMPGDDGRATLGLGLPVNIV >cds-PLY88302.1 pep primary_assembly:Lsat_Salinas_v7:8:237168677:237169334:-1 gene:gene-LSAT_8X142780 transcript:rna-gnl|WGS:NBSK|LSAT_8X142780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDDIQPSDYTSSPPPAAALPTASLVAAPLPGQLSACSSRCSLSEAVGSLPELNVYGHDYHTKDGSAIRDYIHVLNLVDGLVAALKELDEFL >cds-PLY72004.1 pep primary_assembly:Lsat_Salinas_v7:8:149116456:149119216:-1 gene:gene-LSAT_8X100441 transcript:rna-gnl|WGS:NBSK|LSAT_8X100441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENRDMDIIIDTFKLVVNSVKEQKDTNNFSRTINMVPSGFRDLSPSSFDPRVVSIGPLHRHDEALQLFEDQKATYVDSLLQRVPSPPEQTLKECLLKVVASIDMIRASYPRIEKAYSDTELSTMMVMDACFILEFILMISKSSDDQKQLLRGSPMIYDLVLVENQIPFLALNLIFDLTIAKSQLTTTLNELLLDLVQFFNIFETKLTVSDRTVDFHLDHILGFLETCYWPSLKYTPSEGLPGSAIHSTIELNRAGIIFTPYRDERWQMTMEYKSSKFPYFSWFWFKPSLRMPVLRIDNITELILRNLIAYERTSTYPSYMTSYAAAMDMLVDTQEDIAKLIESKVVLNHLGSNQKATSMIKSICAPNRLENFYYVDQCQELDEYYNRYWPKNIAVLKGTYFSSPWNTIALLAGIILFALTVVQTIYTVNAA >cds-PLY61929.1 pep primary_assembly:Lsat_Salinas_v7:9:186123682:186124368:-1 gene:gene-LSAT_9X114401 transcript:rna-gnl|WGS:NBSK|LSAT_9X114401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISTVAIHIFGDVPSPPLFGILQDKVDNWRTSILILTSILFLAAGIWFIGIFLHSVDRYEEDSEHLGTRVEQPDITPLLESEVANGDITSALL >cds-PLY62663.1 pep primary_assembly:Lsat_Salinas_v7:1:34861782:34862585:-1 gene:gene-LSAT_1X29921 transcript:rna-gnl|WGS:NBSK|LSAT_1X29921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKFTVLRLVLFMLVLVLRPSTEGQVVVPVVPLTPFIPRPLCNYQMALANQACLYLPFTQVPPQAPRAPFGPPSPPSDDERHDHRHGHRHGHRHDDDDDDNEHEHEQEHNHNHGHGHRQHQHRRHHRHRNTPMEDECCKWLSQVDDQCVCDLLVRLPPFLARPVHKYSVIVGGSCNITYACGSRFRV >cds-PLY97771.1 pep primary_assembly:Lsat_Salinas_v7:4:374853134:374855095:1 gene:gene-LSAT_4X185681 transcript:rna-gnl|WGS:NBSK|LSAT_4X185681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSVVGMVVSNKMQKSVVVAVDRLFHNKLYNRYVKRTSKFMAHDEQNQCNIGDRVKLDPSRPLSKRKNWVVAEILKKAQIYAPPTKTPLPDSSSS >cds-PLY81402.1 pep primary_assembly:Lsat_Salinas_v7:8:31717651:31720717:1 gene:gene-LSAT_8X24961 transcript:rna-gnl|WGS:NBSK|LSAT_8X24961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQHLMQMQPMMAAYYPTNSVTTDHIQQYLDENKSLILKIVESQNSGKMTECAENQAKLQRNLMYLAAIADSQPQSPAVHSQYQLGGMMQQGGGHYMQQQHQQAAQQMTPQALMAARSSMLYNQQQYSSLQQQAAMHSHLGMNSGGGGGGGLHMLQSDSTSAAGGSGSHLGIGGFPDFSRKQDHNSIGLSGSTTEGRGGGGGGDGGESLYLKSADHEGN >cds-PLY98240.1 pep primary_assembly:Lsat_Salinas_v7:7:166935347:166936078:-1 gene:gene-LSAT_7X99061 transcript:rna-gnl|WGS:NBSK|LSAT_7X99061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVGVLLPRGVEPTSKATKASKKAKKPDQQSSIPEQIEKEVSKQIHEKVEKEVSKELILTKTCILKQTKKPAHRPRHSPEPPIIKGERIHDVPVLVSPTLKKCKAHKMVKKINRKKRQLAYSLNEVFIETDLDSGSERTHVRYEESGLCFETPQRNSLVKWTFEETGSLGGSINVSHTDTTNNLGESPSTTIPAKAVVIPSEVLRTEFASEEV >cds-PLY71425.1 pep primary_assembly:Lsat_Salinas_v7:MU043955.1:282095:285566:1 gene:gene-LSAT_0X14941 transcript:rna-gnl|WGS:NBSK|LSAT_0X14941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMISCLIESVDMIEDNGIHRENELRTKRRFDRCKIKGDDVVVLNSCSGHLLNMKVDGCASIMGHYVVRNFDADRMVLNLHHGEIPINRQVIHEMLGLPLGNVTIKSMAYREVTDDTITVWKKQFDDEDNIRPRAVQQLLYVESFRCDSVKIVRGRPAICIWNVDKLCERERVECRTIGLGMGEFQEPFQFINEASGTSNVGQEKVQGNDAGGVTISDRRCKGNQGEEIFSGSGESVETTISTIKEMHDMLVQQKKVLEDKINDAVKKYPENRLVKEWKNKVNDLFNKVSASEEPEKSQWWYDNAGEIERTLILATSHKQFDNSPIAKCSIQMSQEYADFVNRSGRKSFQTTPPYEMEMPIPLSVVLFNNDEKGFKRRGYRPRMKSEYLKSPYIIRAVDIIKGVPRQEKRVAERIFSLQGEPDQVLNLDESKRAPESPLRVYCKTDVTNSYLESDLTESQRKDKFIENLVLSIEDMDASLRYVGLFVIIDNNKVDDHIDERYGQLPQIIKEYIVHYLKSQNHPKAEMFSHVMPHRLEMPWRTINKHIDCGVFTMRHMETYMGGSMNEFKVGFKNESSAQDDQLVKLRTKYLYKIVTHEYNVQKDYVLQKVDEFHKIPSRQRSQLLAIAKKQIHTRLDDFS >cds-PLY68513.1 pep primary_assembly:Lsat_Salinas_v7:2:212733154:212733438:1 gene:gene-LSAT_2X133840 transcript:rna-gnl|WGS:NBSK|LSAT_2X133840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTISPSFPPGTFLLATVLGPVSPVNELTGSIPPEIGNLTNLQHLYLGYYNGYTSGIPQEIGNLSSLIHLDAANCGLSGEVPLEIGKLQNLDTLW >cds-PLY77414.1 pep primary_assembly:Lsat_Salinas_v7:3:146844425:146846562:-1 gene:gene-LSAT_3X95100 transcript:rna-gnl|WGS:NBSK|LSAT_3X95100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSMAAKFAFFPPTPPSYRVVEGGESKLKMTDIQERDNVDVLKLKTKRGTEIVALYVKNPAAALTVLYSHGNAADLGQMYDLFCELSLHLRVNLLGYDYTGYGRSSGKPTEHNTYADIEAAYRCLVETYNVKEEDVILYGQSVGSGPTLDLASRLSRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIKLVRCPVLVIHGTADDVVDCSHGKQLWELCVEKYEPLWVKGGNHCDLELFPEYIRHLKKFISAVEKSTRLKIISGSTTDHGDKHRVSTDCRDKPRPSMDRVDHSRTSTDRREKSRSSVDRREKSKKGVGAENVMVGVDQPEKARNSIDRFGGMMRSAVLCNIDCFRPNGATGVGKS >cds-PLY98931.1 pep primary_assembly:Lsat_Salinas_v7:7:49126155:49127872:1 gene:gene-LSAT_7X35221 transcript:rna-gnl|WGS:NBSK|LSAT_7X35221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPHTTLTPHGSASRDITGDYGSNAGDFDDSSLPIIHKEGDKFGDHSIHSAVMDLFWQSMDDVWPTYKSITEKTCKQMFARFRTKYRWYTTENEAIYTTFNNVLKERYRDRMRDLRKLSARMARRDGLPLKKDFSKYFPEMYQYRPHIVPESVWPRLCDHWSTEKWQKLSDAAQTNRNTRDSNGKTSRHTAGSKSQLGKDPEFCDLYAKTHGTSESKIRYFEGERDNIEYCSETAKHAKDAYLEGLVMKYVEDPANHKHDAEVWVESQIRRTEGKKNGHIYCIGASDANFVVCGITSSESTQSTQSNNNTQEEVDRLREEVSNMRQLQEKMVQQMERMARMMNDTTNQANNPPHTPPEDGV >cds-PLY98519.1 pep primary_assembly:Lsat_Salinas_v7:7:126420193:126421818:-1 gene:gene-LSAT_7X75620 transcript:rna-gnl|WGS:NBSK|LSAT_7X75620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEISHRRSLRFLLLLSLISVQFLSGFSDDSKSKNETKTDDNSSSSSSSMKGSTILMLCIAVAAAVGLSFFLFRLWQKKKREEQYARLLKLFEEDDELEVELGLRD >cds-PLY63975.1 pep primary_assembly:Lsat_Salinas_v7:7:119184481:119192613:1 gene:gene-LSAT_7X72680 transcript:rna-gnl|WGS:NBSK|LSAT_7X72680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVDDPINLGLENNIGEETIRNLHNPERQIEFEGINVDDKIYLALEVNNIDKTIGNKNLEENFESKNLVEGGEMIGREKIREGNVIEMVVGDNIESSIVTPKHDPKGMNIIDKMVADNNIGESSIVIPKHNPKEKLLDSSIPFVERFRLFDEAVNNYLYDIKRKANFNSINMVFFPIDNCGHFYGFLFNLTNPENIIIDNIRYTKKVEDIYGEIPKLVSFEIEDGGFGCGKVVITQMTRDSEGPSNVKDNLYDTVEEYEENFDKMFNKVSSRKEDMYGIISDCISKFPDVNITNELKEKFIKLFSDPSFSSADNQKNENEKKGSHERVESQNGDTGENNISSYKSPYMDKVVTLFDRIDLQNVLLIQSSELLDETKSFNERFLTFETRVDKFLSNFKADVDFNDLKLVVFPIHNDDQMYAVVFNLTYPQVHIIDNIKTKSLEETYGMTPTSLKLYFIRYLEKTTFIVNKIKCLRSTTVKMMKIDWNTKKLTTENGTLLMRHMEKYCGEKQGKWKWKKAVMCKMCNL >cds-PLY61695.1 pep primary_assembly:Lsat_Salinas_v7:5:216515989:216518566:1 gene:gene-LSAT_5X99740 transcript:rna-gnl|WGS:NBSK|LSAT_5X99740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVTPEEQNIDHATDSFPLLIESRVHHENEHIIDLERGDDDDDDDASSSSNASTNSLSHGLSNSPRASTSQLIRSSSSGSTSNGVGRRGEGFGRRRWSPFDTLLWISIELVFTLGQIIASIVVLCISQKENPQTPLFAWIVGYAAGCFASLPFLYWRYLHRNQSTEPGSSQARQPPSEANHTPEPNSYITISFARSSEEETRPPTSPDTWNGLNVGSNARLSMLVDHFKMALDCFFAVWFVVGNVWIFGGHSSSADAPNLYRLCIVFLTFSCIGYAMPFILCGMICCCLPCIISILGVREDMNQMRGASEDSISALPTHKFKLKKNTNNNNNNEMMKDDDSGVDEGGILAAGTEKERVISGEDAVCCICLAKYADNDLLRELPCTHFFHIQCVDKWLKINASCPLCKFEIGGSNDNSSTEDSNQQQA >cds-PLY70509.1 pep primary_assembly:Lsat_Salinas_v7:1:71194360:71197575:1 gene:gene-LSAT_1X61740 transcript:rna-gnl|WGS:NBSK|LSAT_1X61740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFVTKLFFSSYNGAKEAAIHHYTKSFRGFSAMLTSDQATRLSENEDVISVFESRMNQLHTTKSWRFLGVDSIPQYNKLPMDIKSDVIVGVIDTGFWPESQSFDDYGLGPVPIKFKGECVPGQNFTQSNCNRKIIGARYYSKGFEAINGPLDNLNRTFFRSARDTDGHGTHTSSTIAGSKVSNVSLHGLASGIATGGVPSARLSIYKACWFNDCEDADLLAALDDAIHDGVDVISMSLGPIPPQPVYFEDVISIGSFHAFEKGIVVCASAGNSFLPNTAANVAPWILTVGASTIDREFPSYVLLGNMKQLKGFGVNTTPDLGKQYSLISGGVAAASGIPSRNASFCKKNTLDSNLIKGKIVVCKLERLTEDRKEKAIAIKEGGGAGMILVDPLAKYVLFQPAIRSVLIGQEEAQELQSYMNTFKNSTARIYQTVTHVGIKPAPVMAIFSSKGPNIITPDIIKPDITAPGVNILAAWSPLATEDTAGNNLNYNIISGTSMSCPHVAGVAALLKSVHPNWTPAMIKSAIMTTAVTTDNTRNLIKNDDSTYATPFDYGSGHINPVAAVNPGLIYDFDTNDLINLLCSSGATLSQLKNLTTTPVYCKNPPTPSYNFNYPSIGVANLTGNLSVYRTVTYIGEGPAVFYSKLEITGVKASVYPNVMRFGKSGEKMTYRIDFGTYKSSNGSFEFGSLTWMNNIYRVKSPIAVNVVSA >cds-PLY86107.1 pep primary_assembly:Lsat_Salinas_v7:7:139533974:139534174:-1 gene:gene-LSAT_7X83240 transcript:rna-gnl|WGS:NBSK|LSAT_7X83240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAVAVMVEVGVVLTQAITMVLEAMAVVEITTGVAVAVVLWIALLAIAVAAAFVVDSVVEAKAEAA >cds-PLY77630.1 pep primary_assembly:Lsat_Salinas_v7:4:275378082:275379915:1 gene:gene-LSAT_4X141281 transcript:rna-gnl|WGS:NBSK|LSAT_4X141281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSESFGDMIAFDNNNSFHLHFFLNKSFSVYHCLGLDHLATIVSFNNQGRKRWENTKKKKKTYTTLEGMKKARSKVNRTKMLEM >cds-PLY78885.1 pep primary_assembly:Lsat_Salinas_v7:5:306037483:306041048:-1 gene:gene-LSAT_5X166181 transcript:rna-gnl|WGS:NBSK|LSAT_5X166181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALATCTSPLSMPLETRDSIRAPAVFGWRVSTLKMTRWKPNSTSSYSPKITCSSINKFNNKDSFHNLHPEVYLLRGDGNNTVITPQESLRDSTISTNYNEAKIKVIGVGGGGSNAVNRMIENAMKGVEFYIVNTDVQAMKMSPVFPNHRLQIGQELTRGLGAGGNPDVGMNAAKESRQSIEEALNGSDMVFVTAGMGGGTGTGAAPIIAGVAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIALLRENVDTLIVIPNDKLLTAISPSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGIGTGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLLEVNTAAEVIYDLVDPTANLIFGAVIDPLISGQVSITLIATGFKRQEESDEPPLQAAQADVGGFNRRPPQDGSLFEIPEFLRKKGRSRYPRA >cds-PLY95000.1 pep primary_assembly:Lsat_Salinas_v7:5:5234653:5237832:-1 gene:gene-LSAT_5X2400 transcript:rna-gnl|WGS:NBSK|LSAT_5X2400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRVVPPSVNNGSETEIRFRGVRKRPWDVVCPRRHHPRPAPLLPYDSGCDSSNSVVVDGGDIGDIASSSSRKHFLQFDLNIQPPLNEIEFPAEDDLIYTTLRL >cds-PLY67340.1 pep primary_assembly:Lsat_Salinas_v7:4:19173643:19177026:-1 gene:gene-LSAT_4X13601 transcript:rna-gnl|WGS:NBSK|LSAT_4X13601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATMQTWRKAYGALKDQTTVGLAHVNSDFKDVDVAIVKATNHVECPPKERHIRKVLAATSAIRPRADVQYCLHALARRLAKTRNWTVALKTLIVIHRALREGDPTFREELLNFQQRGRVLQLANFKDDSSPIAWDCSAWVRTYGLFLEERLECFKILKYDIEAERIPRPAQGEDNKGYSRTRDLDSEQLLEQLPSLQQLLYRLMGCRPEGAAVGNYVIQYALALVLKESFKIYCAVNDGIINLIDKFFEMPRHEAIKALDIYKRAGQQAGTLSDFYEVCKGLELARNFQFPVLREPPQSFLATMEEYIREAPRMVSVPSETLEYPERLMLTYKPEEDTDPQEDTNSPIDEAIPESTDDYVSNDDSAPAPSPPPPPPTFNSRDPDDLLGLNFDAPNASSLEDSNALALAIIPTDGVSNGSGGVQTKDFDPTGWELALVSTPSTDISSFQDRQLGGGLDSLTLNSLYDEGAYRASQQPIYGSPAPNPFESGDPFHSAPPQHQPQPQPNPFGGPYQPPAATYGPPQPNLMMAPPNPFVDSGFGSFPVNSNNQPPTTNPFGAALL >cds-PLY87334.1 pep primary_assembly:Lsat_Salinas_v7:3:112200273:112201071:1 gene:gene-LSAT_3X80541 transcript:rna-gnl|WGS:NBSK|LSAT_3X80541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLQKFKLLATQCALAGSPSRSPATSPVIHLRRRKTLRMLLSRRLPRREEFLDRRGNNDVDSSDNRKDVGGVRQKLKDLLVSSPPSPRLLEQNRTDGGEETKRWSGGGVRRGGSRGLRPLSGTLRQRLLRRAWRPVLGTIPE >cds-PLY98423.1 pep primary_assembly:Lsat_Salinas_v7:7:88878747:88880646:1 gene:gene-LSAT_7X61220 transcript:rna-gnl|WGS:NBSK|LSAT_7X61220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEQKKGPEVSELKKQLEAARQKGITVQALVAINPGNPTGLRFLLKKTNDKLWNFARKKVWYTKRFNSFKKICRSMGYGDKDIPLVSFQSVSKDDSSMDECFIHGLDRRSGINLIHNVVYNCGWSIMVNTDVQRIKIAEEKTISEHQFLNTESESNSIPTLLSDARYEVMEKIYAEMQNLRGNLKLSYIIL >cds-PLY96480.1 pep primary_assembly:Lsat_Salinas_v7:2:124540571:124548457:1 gene:gene-LSAT_2X57721 transcript:rna-gnl|WGS:NBSK|LSAT_2X57721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVLEEFKHLEIQLEAIESATNNFAVDYLIGEGGFGKVYMAELLLSKGQTTVAIKRLDLNMGQGNSEFWKEVTMLSMYKHQNIVSLLGYCDKNDEKILVYEFASNKSLDLHLNNKDLTWARRLKICIGVARGLAYLHNPAGTQQRVLHRDIKSSNILLDENWNARMGDLGLSKFGPANQKHTFVISNNIAGTLGYCDPQYLETGILTKETDVYSFGVVLFEVLCGRLCLGQNDKNHSFTDLGEIHPSSLGVFSKMAYECLTRDTKQRPLMDTMVTEHERALEDQNPIVLPPHRQEEGYLQTPILFHNETYLRKVLQLLVTKMEGERGVLR >cds-PLY94742.1 pep primary_assembly:Lsat_Salinas_v7:2:178326581:178329030:-1 gene:gene-LSAT_2X97220 transcript:rna-gnl|WGS:NBSK|LSAT_2X97220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVAVRAAVSAFRREEESQVHHSSKRRRERSDQDRVNYDDSMFMETPRGKGLRLYIGNLPSHMDEVLVQTTKSRRIRMVERKTRKRSVDKPKTKHLEARHTMDSKEKKKRSVTLIRDHSKEYLSNLTVEFDQFDRAIGPNRFKFTSYHGVTTRKMISILIDSWDLVDQCDKDQLWLNIKNYWHIRDDNHKAQVLRDCNTQWKAYKSALLKLWEKGVNPVKEYPYLDKAMWKKFIVLKSTEEFEDQKIQRLFQSHTYIIVLVQSTIVRLGVWLLRYELDA >cds-PLY91960.1 pep primary_assembly:Lsat_Salinas_v7:2:20510079:20511394:-1 gene:gene-LSAT_2X11401 transcript:rna-gnl|WGS:NBSK|LSAT_2X11401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDELPFHIQEAILKRLPIKSLIQFRSVSRTWKSLIDSSEFIAAHSISHTQPQHLFVWYTDTQEDKYVSFVDDDSFPQHRFVPSLPLSIRLPKIVGSSYGLLCFQGYKFFSSSYRKRMAIILNPSIRKSIAIPLPDMLYTNHKIVLGFGVCPVTIDTKVIQITQMRWSWGSEMKSEIGNFWEVMVYKLSSGKFTSLSSNLPSISIHIIGRQVVIDRYIYWCAVDCTTVDSVLKTRNLIMSFDLTNESFEVIDLPDRIAILHLSQFSVSKLRESLVLLEYSKDGYDIFNEEQLCCTLWMVEHGVEISFTKLFSIEAPGDLMRAVGFRRKGGPIMEVQDYIFESTEELVVYEPNSEQSNHLISGSSFIVNSYIETLVLLGCSDCSSY >cds-PLY88077.1 pep primary_assembly:Lsat_Salinas_v7:6:183529848:183530045:-1 gene:gene-LSAT_6X111980 transcript:rna-gnl|WGS:NBSK|LSAT_6X111980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDEIPPLSNKIVKFLKAIASPEDDNDLPLPDSVVDVTVHVFPEVEESDQNYENHHEDDDEENHN >cds-PLY98807.1 pep primary_assembly:Lsat_Salinas_v7:7:25224707:25226007:-1 gene:gene-LSAT_7X19621 transcript:rna-gnl|WGS:NBSK|LSAT_7X19621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFDSIEVATPKKKQFLGSESFFCCERSLLEALPQEILIKILCGVDHDDLKRLFHVSKPIREAAVIAKELHFKYSTPKKIPAFRCSLNLEEIPSRDFDEIEAPNAPKQSRVSSSRLTKKNLAAITVSLFASYPSDEQQGQ >cds-PLY71165.1 pep primary_assembly:Lsat_Salinas_v7:9:83648681:83654214:1 gene:gene-LSAT_9X65161 transcript:rna-gnl|WGS:NBSK|LSAT_9X65161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENTTTTSPSPPTTSNHDTNAKVFANSSKTREEGELSASENDEFAGSQFPDSTTLPRVPLNKDAYMIGKSGLTSNSTYSGVALKTSVQSNNRKGTEKNRVPFVISFSDDDSGSDSEEHMENTIESDDMTRGVMENRKLPISVGNRNPQIVQKFAKTNTKVPKKLSVSRTFLSSMNRVNGTSSKNNNGNTFHIKKSNAPSKNKVGPNVHINSSKLQDLRQLIAIRENELKKASSSIKNMNPKGTVVRSRDSTEVMEPKEPEKKRLKVTEPPTNTLMSVDQQNRPLTESTFVADISAPESDGPKGRYDGSYSDKDTAHPSVTQQTKKVRNHNMPLTNLPSGTGIIRNSRRHNRITNMVESSTPLVAKTTKTSPHKQARLKNPTFWNHFGPTNISETADMDLKSLLEIEEQQDKELDEAQEHRRKCEIEERNALKAYRKAQRALAEANTKCSYLYHKRELFSANLRSHVMEDSTMFWSNSNMPVPRQHTGPKLITTESCGEPDTSTSDEPQEEEEEDKTNDVCSSLHDDDDDDDDDDDDEQTSAFELKAADSSLDEGTCSERSQRTKNENLISVVDPTEDSLLLEATLRSQLFARLGNRIPKKMESVQSQNMEVAVEREDGEIMEASGNFYGSLTDPILRSAFSHVKFEKTDELKVVDEEVCGAQHQHQHQHQQPTDITDFISNSKLDIHIGEIGSYSNNLPINPSWPLCMFELRGKCNNDECPWQHLKDYSSNDGEGGLALKSGNGCVPLAPPTYLVCLDSLKAESHPYKYLLAQTVEQRWQKYFSASLVVSTSFLVDLHSDEPYLHGPETRIEVHGGWNRQSSYFHTQNLKEGLPDQHMDDTDQPLEMALLKLTRDVNKQKGRREALIVLARALEEHPTSVLLWIVYLHIYYSNQKSIGKDDLFHYAIEHNDSSYELWLMFINSREKLDDRLHGYNTAMSALSRHASVLNFNSACILDLFLQMINCLVSSGKVNNAIRKVYNLIPSTKNPSDFNIQSHLTTSDKSVLWICSIYLIMYKKLPETILQQFECPKELPGLQWHSVNLTPDEKQQVVALMELISDSLTLEESHSHFFALNHIRCTAVLEGFESTKNLLNKYLQLYPSRMELILLSIRVNEFDSVNVDSAFEHALTNWAGKPGVQCIWNQYAENALRNEKTDFAKELMERWVLISSSQSDVVFGLLNLSLHKQLQNEHTEAQIAIQQALEVASVKDYIHCVSEHAMFFQKNGFDLSKPVGFLTRLNRYVTDSRATRPHEPLSRSFIKTIKNPKIQKLMNNLLSPVSSDFSLMNTVLESCFGPCLVPFEVSEKAAEFVDFVEGLMELRPGNYELALSLCKMLTQSGASSIGISNSNSNSNSNSVCFWAGSVLMNCLFQAVPVAPESVWVEAGGVLGKMLEFKSILESFHKRAISVYPYSLKLWKSYLGLLCGNEANAVIEMAREKGINL >cds-PLY98713.1 pep primary_assembly:Lsat_Salinas_v7:8:9167975:9169390:1 gene:gene-LSAT_8X6901 transcript:rna-gnl|WGS:NBSK|LSAT_8X6901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASATLTHPSSLSHTSSPLPTVSRTYPFIASLSNTALSFSYPKNQILRSITFVPQTGLASFSSWNGLRHNGFSISLKSLRFEKRKKCRGKVVFASLFGVGAPEALVIGVVALLVFGPKGLAEIAKNLGQTLRTFQPTIRELQEVSREFKSTLEKEIGLDELKNPALRNTNNIPTLSSTPLSVSTSENSQATTTETPAAATTASTNEDFQAAATPLGATTEDSQATPATPVGVTEDSQVIASPLDVTTGDSQALATTPAAT >cds-PLY77666.1 pep primary_assembly:Lsat_Salinas_v7:9:20112280:20116181:1 gene:gene-LSAT_9X18560 transcript:rna-gnl|WGS:NBSK|LSAT_9X18560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFCGAFSSFLLHMLPKKRPAEVIKLDDDSSSDNKTDNLHKKPRVGCLISSCSSINSRTTITGTADCDQKKSSGGVESNSNSSNSVVVEDNNSASNMGFEDGNLQEIDEDLHSRQLAVYGRETMRRLFASNVLISGMQGLGAEIAKNLILAGVKSVTLHDEGAVELWDLSSNFLFSENDVGKNRAQASVQKLQELNNAVLVSTLPTKLTKEQLSNFQAVVFTDIDLKTAIEFDDYCHNHQPPIAFIKTEVRGLFGNIFCDFGPEFTVVDVDGEEPHTGIIASISNDNPALITCVDDERLGFQDGDLVIFSEIHGMTELNDGKPRKVKSCRPYSFFLEEDTTNFGTYVKGGIVTQVKQSKVLNFKPLKEALGNPGEFLLSDFSKFDRPPLLHLAFQALDKFVSESGRFPVSGSEDDARKLIDIAGNMNESLGDGKLEDINPKLLRDFAYGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSIESLPTEPLDPEDYKPLNSRYDAQISVFGAKFQKKLEETRSFLVGSGALGCEFLKNLALMGVSCGAKGKLTVTDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAASLINPRLQIEALQNRVGPETENVFDDTFWENLNVVVNALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPSEVNAYLSNPGEYTSGMRNAGDAQARDNLERVLECLDREKCESFQDCITWARLKFEDYFCNRMKQLIFTFPEDAATSTGAPFWSAPKRFPRPLEFSVSDPSHLHFVLSGAILRAETFGIPIPEWAKNPKKLAEAVEKVIVPDFQPKQGVRIETDENATTLSAASVDDSAVIDQLIKNIERARKSLPSDYTMKPIQFEKDDDTNYHMDFIAGLANMRARNYSIPEVDKLRAKFIAGRIIPAIATSTAMATGLVCLELYKVIDGGHKVEDYRNTFANLALPLFSMAEPVPPKVMKHQDLTWTVWDRWTIKGNPTLRELIKWLADKGLDAYSISCGSCLLYNSMFPRHKDRMDKKVVDLARDVAKMEIPEYRRHLDLMVACEDEEENDIDIPQVSVYFR >cds-PLY88700.1 pep primary_assembly:Lsat_Salinas_v7:5:67737411:67741153:1 gene:gene-LSAT_5X31501 transcript:rna-gnl|WGS:NBSK|LSAT_5X31501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGGDYDCFDVSNLFLSVSVDLKSVEGMKVVCHELAATSNDPEGSLMDDLVKDADKLISCLATKVAKTFDFSLMGASSS >cds-PLY82439.1 pep primary_assembly:Lsat_Salinas_v7:2:184381787:184384228:-1 gene:gene-LSAT_2X107641 transcript:rna-gnl|WGS:NBSK|LSAT_2X107641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEHEDGEAIPIFAMVSQRTVPQSHRCADEEQICSASATFPQSPLCGSMQQTEKEAKKEAFRKYLDSSGVLDALTKVLVALYEQSDKPSSAVEFVQQKLGGPSLSEYEKLQAEMSDLQIRYNELLALHQETCNQLEELKNTHTVVSSKEKVEGELPTDNL >cds-PLY64547.1 pep primary_assembly:Lsat_Salinas_v7:6:33671933:33696414:1 gene:gene-LSAT_6X25701 transcript:rna-gnl|WGS:NBSK|LSAT_6X25701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 13 [Source:Projected from Arabidopsis thaliana (AT1G51460) UniProtKB/Swiss-Prot;Acc:Q9C8J8] MAIMGPSGSGKSTLLDSLAGRLTGNVIMTGNVLLNGKKKRLDYGAIAYVTQEDILLGTLTVKETITYSAKLRLPGDLTKSQLNDIVEGTIIEMGLQDCTDKLIGNWHLRGISGGEKKRLSIALEILTKPTLLFLDEPTSGLDSASAFFVVQALRSVARDGRRTVVSSIHQPSSEVFALFDDLFLLSGGETVFFGEAKLAIEFFSDAGIPCPSRRNPSDHFLRCINSDFDRINATLQGSQRQLDTKGICDTILSCSTTAHIKGMLVHKFKSSKYAAAARTSIKEISTIKGLMVERMGGSKASWWKQLTTLTTRSFINMCRDVGYYWLRIGVYIAVSICVGTVFYDIGTNYHAMLARGACGGFISGFMIFMSIGGFPSFIEEMKIFHRERLNGHYGVGVFILSNFISSLPFLAFMSFSTALITYNMVKFHSGFIHQLYACLDLLLSIAAVESCMMVIAAVVPNFMMGIIIGAGFIGVMMMTAGFFRLLPELPKVFWRYPVSYINYMSWALQGAYKNDMIGLEFDSEYEGEPKLPGEFILTTVLGISLDHSKWWDLAAVAAIIISYRLLFFVILKLKEQAKPVVREIYTRRTLHHLKKRPSFRKTSPFPSKRHQPAHSLSSQEGLNSPLH >cds-PLY83337.1 pep primary_assembly:Lsat_Salinas_v7:1:63607090:63608176:1 gene:gene-LSAT_1X53641 transcript:rna-gnl|WGS:NBSK|LSAT_1X53641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPNKSPFVSSSLIITAFLLFATFDFGVCRVEKGMIRSQKLGGVRDITEQGLNGIEIETLARFAVEEHNKKENSLLKFSRLIKAKEQVVAGKMYYLTLEATDAAGKIKAYETKVWVKPWINFKQMQEFKQVSNDLFV >cds-PLY79596.1 pep primary_assembly:Lsat_Salinas_v7:2:166375522:166377212:1 gene:gene-LSAT_2X87941 transcript:rna-gnl|WGS:NBSK|LSAT_2X87941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWNFASKCLAGTGALRFRNGFRKLKQGGKELSDDEASSTVSKDDGLECPICCESFNIVENIPYVLWCGHSLCKNCVLALNWAIVKVPPLPIQLPLLISCPWCNLLSPRLVLRGNLRFPRKNYFLLWMVERINGEKVKSDSGSCGECGPHKNQNQCQTSVNVNVHRHQSLGTTQNSGGGLQSYVQKGLVFFVELTAKFPLVLIFVLIVLYAIPASAAVLGLYVLVTILFGLPSFLMLYFAYPSLDWLVREIMA >cds-PLY65943.1 pep primary_assembly:Lsat_Salinas_v7:4:137440512:137440691:-1 gene:gene-LSAT_0X37641 transcript:rna-gnl|WGS:NBSK|LSAT_0X37641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIETSNNVYGLYDSRYMHKTTRRGNKEQEGQQMADMDNRPDHSTGDKKTTIVGEDGRA >cds-PLY95102.1 pep primary_assembly:Lsat_Salinas_v7:1:94177104:94186379:1 gene:gene-LSAT_1X77560 transcript:rna-gnl|WGS:NBSK|LSAT_1X77560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSEYIEVSSSSSSKDHKYDVFLSFRGVDTRYGFTDHLHKALLDANISTFLNDEEIETGDLKPELESAIKSSRVSVIVLSKNYANSSWCLDELVLILEQRMSSNQIVIPIFYHVEPTHVRKQQSTFGLSMAKHIQKMEGETNANKKSHLAQKIDGWIRALTEVANLKGENANGRRETELIEEIVKEIYRRLCVSSRRPLPQLFGVDSSIKFVSSWLRDASSQTTDVLTILGMGGIGKTSLAKYVYGLHSHEFDTSSFIEDISRRCGEKFNGLLDLQKQLYHDISKISSIQVHDVSIYTSKIENAVAQKKVFIVLDDVDSLDQLGALIGSKGFHLGSKIIITTKDAWVTESCALFKTNVKPRHAKHLLQVLSETESKKLLCFHAFMCNDPKAGYEEVLEKFVKYCEGHPLALEVLGKSLYNRDVAYWEGCIEGLKKEIGSHISNVLRMSFDSLPSKNDQDLFKHIACFFVGMDKDIAETILRACRINTRSGIPNLIDRCLLSIGWNNEFKMHQLLQETGRFIVHQESPDKPWKRSRLWCHEESFKVLKQKKAKENLLGLALDMRMLEKEKLRASFVLKTDALSNMDNLRLLQLNYLNISGSYENFPEELRWLCMHGFPLKLMPLDYPMENLVVLDMSYSNIQSFAIYDSNPHRVEKSRKQCLKDKRLLGSLKILNLSFCEELCSIGGFEELPALERLIVTNCIGLVELCESIEACVELFLIDLSYCNKLEKLPRTINMLKKVTTLLLEGCNLGESQMEIMDMDSREIVKAKTSSSAVLDAIPSDLKFFAASLPSSLVRLSLANNKFSTESFPKDFSYLSMLKELYLDDNPIVSLPNCVRSLPRLEMLSMKNCKMLTSVEHPPHTLKEMDLDFNSKTLLRKLVFDPKMFPLKLWILSDHLALSSIEIQGMFKIQPMVGVEKKVLCSLGWTNLDLPNKIPMRTYYRGRGSEESEIQMYYEFGIFSNFYGGKEMPNWISCRSKGPSVSFTIPSSPNSLRGLNFCYVYTFPFPYDQFILLPMITISNITKNCTWIYNHYVYRVNVGEECVILLSHWMFGMNEMEGGDQVTITTVTEDVEQLTEECGVSFVFDDGKMDEEEDVLGYYKSWNHIIGGDLSAFQATTGEYYLSSRRHLWHGTGVVPFYRDVIQKDSPIYKEKKGCFRALSPR >cds-PLY96421.1 pep primary_assembly:Lsat_Salinas_v7:4:344552201:344552901:-1 gene:gene-LSAT_4X170101 transcript:rna-gnl|WGS:NBSK|LSAT_4X170101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQCLSTNSFCFNSSLCDCHPGYLYHATTNICSPFIVSSANEFLVGTRVDYSINLNSILVYDLNDNSVNSENMTKSGSIVQATGTSPELLFTSFELLFTSFESSRRNSNSMLVSQWTIWRFRKHQVLGYKIRESCFIKIKEKVDCFSDITVYDTLSSIFKIGKILERFHTLILGTFCQIILGIVLVIQ >cds-PLY91308.1 pep primary_assembly:Lsat_Salinas_v7:5:251171563:251176891:1 gene:gene-LSAT_5X126200 transcript:rna-gnl|WGS:NBSK|LSAT_5X126200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLASVAVIGGAWWLWTVVQWVWLRPKAIEQCLRKQGLNGPHYRLLHGDMNQMATMARQAAVNSKPISFSDDFLPIILPFHHHIIQTYGKNSFAWMGPTARINIMDPDLIKEVLVNNKVYKKPTPNPLVRFLVSGITSYEDQKWDKHRKILAPALTQDKLKHMSSAMYTSCFDILVTQWSKLVFEKGSCELDVQPYIDDFASDVISRNAFGSSYEQGRRIYRLQKEQAVLTREVLQSVYLPGRRFLPTKTNKRMKEIDNELRSILSKVMEQKELAMVSGKSDDDHEDLLSLLLKSTARANGDMGMSVDEAIEECKSFYFAGQESSSNLLVWTMILLSQHPTWQTRAREDVRKVFGHNKPNYEGLSRLKVVTMILYEVLRLYSPATIFTRITYEETKLGDLTVPAGVQFLLPVIFVHHDREIWGEDAKEFNPERFSEGIAKATKNKLAFFPFSWGPRICIGNNFALMEAKLAISTILQHFSFDLSPSYTHSPSYVVTLQPRHGAHLILHKI >cds-PLY78061.1 pep primary_assembly:Lsat_Salinas_v7:4:354585088:354585681:1 gene:gene-LSAT_4X174860 transcript:rna-gnl|WGS:NBSK|LSAT_4X174860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQASEPTDFHYLVPTNPNPNTPHLAMNLSNSPTIPFDVNTMTNPFYHLHMNPRVQDLNMQSMYFGSNSTSDEADEQQLSLINERKQRRMISNRESARRSRMRKQKHLDELWSQVMWLRNENHQLIDKLNSFTETHEKVVQENTQLKDEVSGLRQMVTDMQLNGTYSALRDLDDIHCNDAYLRPESSNQSGSSSSDFL >cds-PLY68353.1 pep primary_assembly:Lsat_Salinas_v7:4:191964257:191968764:1 gene:gene-LSAT_4X110160 transcript:rna-gnl|WGS:NBSK|LSAT_4X110160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFWTSFFLFLSSISVILAQNVEEGHLVINGSLPMAHTDPNYICATIDWWPNTKCDYHHCPWGSSSALNLDLSHPFLTKAVQAFKCMRIRVGGSLQDQVLYNIGNFTGPCHPFKKMKGGLFGFSKGCLNMSRWDELNQFFNKTRALVTFGLNALHGRHQVTKGVWGGDWNHNNARDFIKYTVSKGYQIDSWEFGNELSGKGIGAMVDAEQYASDVIELRGIIDNSYTKFQPKPLLVAPGGFFDKQWFSKLLQVSGSEIVNVMTHHIYNLGPGVDPNLVKKILDPHFLSRASVTFNALHETIKTDGPWASSWVGESGGAYNSGGLHVSDTFVNSFWYLDQLAMAAKYHTKVYCRQTLIGGNYGLLNRTTFIPNPDYYSALLWDRLMGSGVLDVERVNIPPHLRTYAHCSKGKRGITVLLINLSNQTNFKLDVHNIMNMNLHIKTSRKKASFVDDLKKTVSWVGLKSTDENLTREEYHLTPQHGDITSKTMLLNGVPLKLNENGDIPCFKPNFVKVDSKISIAPLSIKFLKFPNFDAPGCS >cds-PLY93036.1 pep primary_assembly:Lsat_Salinas_v7:5:2771302:2774821:1 gene:gene-LSAT_5X1401 transcript:rna-gnl|WGS:NBSK|LSAT_5X1401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTYPVMGKEEANTEVGKTVANGTKPDNAVSENTKDEGKDKSKEVNVDKVDAKEKEINDSKEETESEAMEVDDLKEKEDKGSKKHARKKGGVEKGNNNNKNKASEEKKEDPKTPVARAIDRPVRERKSVERLVAVIEKDTAREFHIEKGRGTALKDIPNVAFKLSKKKVSDDVLKLLHTVLFGRRGKALLVKSNILRFSGFVWHENEEKQKAKVQEKLDKYKKEKLFEFCDLLDIPIVKTSAKKEDVVVKLIDFLLLPHITTSELVSEKEQKQKSKSAEGSEEEEEEEEEDHEKVNGGPEKSESEGQSGEEGSKKRKLLRGVSKKPSSKKDSASINKSKQKETQIQKKPSKSNKDQVPTSTSTSRKKKSHEEKPSTSKEKTGKKAVTETMKEEKQKPSDNELKAAVCEILKQVDFNTATFTDILKLLGKRFNTDLTPRKATIKLMIQDELTKLADVTDDDNQQEEGGAVKTPKQASATKA >cds-PLY77798.1 pep primary_assembly:Lsat_Salinas_v7:2:169615725:169618191:1 gene:gene-LSAT_2X91861 transcript:rna-gnl|WGS:NBSK|LSAT_2X91861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHALRLALMLILLAIVHPSSSSYDFEHCKILVKEWASSSLHSDTKDGHILKDLLFFLHVPRNGGRTYFHCFLKKLYSSALECPQSYEKLRFNPHKPGCRLLVTHDDYSMMSKLPTEKTSVVTIFRNPIERVFSAYEFSIEVPARFLVHPNLTSVLKMSSRIRYKNGGISTLEIWPWKNLVPWMREDLFTRVAGLTNNSNIREAHELRQCVITHEILGKYVLEVAKKRLDDMLYVGITEDHRESATMFANVVGAQVISQ >cds-PLY70079.1 pep primary_assembly:Lsat_Salinas_v7:4:203888584:203889907:-1 gene:gene-LSAT_4X115821 transcript:rna-gnl|WGS:NBSK|LSAT_4X115821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNSGAILCQLSALKDMLDQVNDEIEANFQITREIESEIVKCSEFERALASRESELMKTVYMLQFDIKGLMAVYDESRATRECLEKELSCLRKKRDETLGRMNNKRETFRTMCLDFQKEISEDGNTKLGKLLSEKEYLENEVHLLRGKINSLQTSMSDFIDEILEGINAHNSALVVEIESGNLENDKLVKDINELKTTMLVAMSPSP >cds-PLY72866.1 pep primary_assembly:Lsat_Salinas_v7:5:169389755:169390062:-1 gene:gene-LSAT_5X73360 transcript:rna-gnl|WGS:NBSK|LSAT_5X73360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTSVQNRLILLFSIKEENVNIVVHDTLMYLDPEYQHTGNLNEKSDAYSFRVVLSKLITGKKNPSYRESLPGEVLVKVFCQVHGGWQPHANGGTEIIK >cds-PLY85850.1 pep primary_assembly:Lsat_Salinas_v7:4:120077574:120078333:1 gene:gene-LSAT_4X75560 transcript:rna-gnl|WGS:NBSK|LSAT_4X75560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMDIPDGIEIKIKAKIIEVKGPRGTLTRNFKHLNLDFMLITDEETGKKKLKVDAWFGSRKTTAAIRTALSHVGNLITGVTQGFRYKMRFVYARFPINASISNTNSAIEIRNFLGEKKVRKVDMLDGVTVVRSEKVKDELILDGNDIELVSRSCALINQKCHVKNKDIRKFLDGIYVSDKRKIEEGDN >cds-PLY79841.1 pep primary_assembly:Lsat_Salinas_v7:8:16131480:16136211:-1 gene:gene-LSAT_8X12920 transcript:rna-gnl|WGS:NBSK|LSAT_8X12920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYTSSDLPPLRGSVRDFIFPNSRHKDSLRLSSSLQDFSAYNQLDPEEGNLNHNHGLDQIDFSRKNSGTNFLKEKPSPKSRKWVILVCFLFFASLIYTSLHLYSNWSKKSSRFFVVLDCGSTGTRVYIYQASINHQKDQNLPILLKSIPQDLNSKPSSQSGRAYNRKETEPGFDKLVNNVTGLTHAINPLLYWAEKQIPKHAHKSTSLFLYATAGVRRLPTADSDWVLNNAWLILKNSSFVCKREWVKIISGTEEAFYGWIALNYHTHMLGDKNRSNKQTYGALDLGGSSLQVTFETNDYTNTTLNVTIGQVNHRLSAYSLSGYGLNDAFDKSVLHLLKMNPESSNKVIIRHPCLQSGYDEHYIGKNGNPVRLMGAPNWEECSKLAKLTLNSSELSKKHTYGQFYAMSGFYVVYRFFNLSDKAPLNEVLSKGRKFCEESWEVAKSSVPAQPFIEQYCFRAPYVVLLLREGLGIKDSQVNIGSGGITWTTGVALLEAGKVIWRRPGPGTGFYNYKLFEIEMHPLTLLVILLGSLCLLAFVLSLVGRRFSRKLNLPVFRHRNVSGNSVLNISSPFNYRRWSPVISGEGRMKTPLSPVARGTDVQLADSSLYPSIPHSISSGSLGQMQFDSNGTLGAFYPSPHRGRMHLQSRRSQSRDDLASSLSDTHTHLMKI >cds-PLY75884.1 pep primary_assembly:Lsat_Salinas_v7:1:171770944:171772889:-1 gene:gene-LSAT_1X114920 transcript:rna-gnl|WGS:NBSK|LSAT_1X114920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIVTVEDAYPGVDAELLKKACHGWGTDEKAVIAILAHRNATQRKLIREAYQDMYDEDLVKRLEHELSGDFERAVYRWNLDPADRNAVLASVALRKEHRDYRVIIELSCTLSPEELFDVKRAYQCRYKRSLEEDIASHTSDDLRKASCIGLVSIHRYQGDEVNLKLAKSESIILRNAIEEKTLNHEEILRIITTRSKPQLMATLNH >cds-PLY63285.1 pep primary_assembly:Lsat_Salinas_v7:3:89903641:89904258:1 gene:gene-LSAT_3X69280 transcript:rna-gnl|WGS:NBSK|LSAT_3X69280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIVFLIALTLPADPITLIVDTTFVGHLGFAELAVVGVPISIINLVAKLFYIPLLNITTLFVAEEQAVLAKDDDDDDDFTFLSHDSMSGSKKKFLPSVSTSLALDAAFGIGETITLFFGYGSLLNHCCCNRDQEEG >cds-PLY96246.1 pep primary_assembly:Lsat_Salinas_v7:7:182582466:182585233:1 gene:gene-LSAT_7X108381 transcript:rna-gnl|WGS:NBSK|LSAT_7X108381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKITLGCEPVIGSLIPSKKRDYRVTNRLQEGKRPIYAVVFNFIDSRYYNVFATVGGNRVTVYQCLEGGVIAVLQSYIDEDKDESFYTVSWACDADGTPLLVAGGINGIIRVIDAGNEKIHKSFVGHGDSVNEIRTQALRPSLVLSASKDESVRLWNVQTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWTYVERSFTWEGSPSQFPTKYVQFPVLIASIHTNYVDCNRWLGDFILSKSVDNEFVLWEPKMKEQSPGEGTVDILQKYPVPECDIWFIKLSCDFHYNAAAIGNREGKIFVWELQTSPPSLIARLSHVQSKSPIRQTAMSFDGSTILSCCEDGTIWRWDTVATS >cds-PLY94466.1 pep primary_assembly:Lsat_Salinas_v7:4:143872403:143873839:-1 gene:gene-LSAT_4X89921 transcript:rna-gnl|WGS:NBSK|LSAT_4X89921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTTMMGVKNDNMHAAVEPQRLRDKKVKELVSEKRRLVEVPYTATLAHTMNALVANQVVAMPVAAPPGHWIGAGGSMILESDKHTGSVRKHYIGMITMLDILAHIAGDNPDDSGDHSNMEQRMAVPVSSVIGHCLESLSLWTLNPSTSIMDCMEVFSKGIHRALVPLDSSMENVSGVELVESASSYRMLTQMDLMRFLKGHESDLKHVLDRTLNDLGALVEPIFGVTDHTKVIDAIKSMRAGSLNAVPIVESFNAATEDHSQLVNGKGRKLIGTFSATDLRGCPVSHLQSWLTASVMEYTRSLLSVSANMAGSSPRELVTSCAESPLAEVVDKVVVNHVHRVWVVDSDGRLEGLVSLTDIIRVIRTSLLE >cds-PLY95681.1 pep primary_assembly:Lsat_Salinas_v7:2:113726864:113729074:-1 gene:gene-LSAT_2X52420 transcript:rna-gnl|WGS:NBSK|LSAT_2X52420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKPKPIAGVWPTVKPFVNGGASGMLATCVIQPIDMIKVRIQLGQGSAVSVTKNMLKNDGVGAFYKGLSAGLLRQATYTTARLGTFRILTNKALEANEGKPLPLYQKALCGLTAGAIGACVGSPADLALIRMQADATLPLAQRRNYTNAFHALYRITADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVEFFKDNLGFGEGATILGASSVSGFFAAACSLPFDYVKTQIQKMQPNAEGKYPYTGSLDCAMKTLKAGGPFKFYTGFPVYCVRIAPHVMVLSLPLSLSLSLSLSHTHTHTHTHTHIYIYIYIYIYIYIYIYTNTHKNTYKNWF >cds-PLY69611.1 pep primary_assembly:Lsat_Salinas_v7:5:313417980:313418530:-1 gene:gene-LSAT_5X172580 transcript:rna-gnl|WGS:NBSK|LSAT_5X172580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGTFNQTKPLDLLVGSRVCFSFDPRSATDRWPIVFLERVVSKLFDQDLSEAVSFLLSYLVVNLMPQLVYPGRVFTNYAVPGDDVVIADENVATRYKESLDLLQVVISKEKSLISRSGSAEFANNFRVRDLTVDLPPVSIKKRY >cds-PLY61985.1 pep primary_assembly:Lsat_Salinas_v7:5:159736286:159746339:1 gene:gene-LSAT_5X69560 transcript:rna-gnl|WGS:NBSK|LSAT_5X69560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTITKNGSLTEANIDDGEIGDTVVKDEVAVDDKKRRHPIVCGLLAGDNHKRRRAVDDRDRYLACRAPSSLRSPHTLLLNHEKVDEINFISPCYNAVRSSSTAGLLAEKKENQDIKEERTDLMDDKGIEEQNRVSEPEAEAKQGLQMKKKRGRKRGWKKHNGNTGAAIKSEKSSSTVRKQEAINGNEEQNRVSEPEAEEKQGVQMKKKSGRKRGWKKHNGNTGAAIKSEKSPSTDRKHEAIKGNEEQNRVSEPEAEEKQGVQMKKKRGRKRGWKKHNGNTGATIQSEKSPSRDRKHEASNSSMKDGFKLNNYAKNMVLNENGNLVHVLQGKSNMCHQCQRNDKGRVVQCQKCTTKRYCVPCMTTWYPNMTEEMFAECCPVCCDNCNCKHCLRDVHPKVKKKIDFKPNDDQKVRYSIYILHVLYPFLKRINEEQIKEKAIESKIQGSSLSEVRLKKVKCFVDERVRCDCCKTSIFDLHRSCPSCHYDLCLQCCWELRDGNLQGNKEEVIFEFNDPGPDYLHGGKRLKVKKAAEDPAPKEKQTHEWKSLDDGRIPCPPETMGGCGHGILELMHIKPLDSVPKLLEKAQKFLKMYKLEEDMSDIPKKWCTCSSDGGGQQLRKAASREISNDNYLYCPRAIDIKTGDLKHFQWHWSKGEPVIVSNVLETTLGLSWEPMVMWRAFRQITNLNHDRLLDVTAVNCLDWCEVDISVHQFFIGYLEGKYDIEGWPYILKLKAWPISNLFEERLPRHGVEFITSLPFKEYTHPRDGYLNLAVKLPENSLKPDVGPKTYIAYGVAQELGRGDSVTKLHCDDSDVVNLLTHTASVTPNPEQLKEIDKLKQQHRAQDEMELFGLVGKTQLDVDNMKDVTFQKGTLGTCVDGSDLGDGGALWDIFRREDTPKLQEYLKKHFTEFRDVYCCPVQKVIHPLHDQTFYLTMEHKRKLKEEFGIEPWSFVQKLGDAVFIPAGCAHQVRNLKSCIKVALDFVSPENVGECIRLTDDFRLLPQNHRAKEDKLGVKKIAVHAVEAAVKDLENFVPKVPITENLQTSQNSEKGARGEEVGVDIDHDTASEEGDNSEHSNQESKVAHSSLSIDEFVAQLRAIRVTRDREVELMGKKVEIMEKRLDLKLKREEMKAKKLHHMHLNTLLLKDHLSPEDEDIKCHLWAMLYGKLL >cds-PLY86321.1 pep primary_assembly:Lsat_Salinas_v7:9:34873437:34874093:-1 gene:gene-LSAT_9X32981 transcript:rna-gnl|WGS:NBSK|LSAT_9X32981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKPASLLFMFLLITSYRFQSHPLMTEARPLSIIPQQRYLSSFDTLGMICKCCDLPKNECTSKWNGSCSNIQCIPWRFH >cds-PLY64729.1 pep primary_assembly:Lsat_Salinas_v7:5:21064752:21065381:1 gene:gene-LSAT_5X11580 transcript:rna-gnl|WGS:NBSK|LSAT_5X11580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREGSRRTGFRPNRARSQRVQSNNKRKIEQCKGERSCPLLSGNPPPHMSKKRERGRKNNRFTLAHEVAGLAR >cds-PLY94345.1 pep primary_assembly:Lsat_Salinas_v7:7:164812688:164813762:1 gene:gene-LSAT_7X96781 transcript:rna-gnl|WGS:NBSK|LSAT_7X96781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSDNESGGHNAGGELSAREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKVYLAKYREIEGEKTTLGRQGEKDGSSGGGGDAAAGGGGSGGGVNSGGYNDSGGGMYGGMQNNMMMGRHHHQMYNSGSYHHQMGMGLGSPKGSLGRSGSIGGGSGGASTVKPR >cds-PLY86656.1 pep primary_assembly:Lsat_Salinas_v7:4:279516535:279519132:1 gene:gene-LSAT_4X143380 transcript:rna-gnl|WGS:NBSK|LSAT_4X143380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYYRHRPDLICFVEETFRAYRSLAERYDKMSKDLQKANTTIASIFPDQVSYDDFDDFDSPPNIPKTLPPQNQGPNAANIPKVPQLPSKNLKGLISNASKKIQMTKAFKEDHRSRIVPKSGLTEEQALEEIDKIQKDILAMQTMKEFTKSEYENGLSKFWELENKINTKQQKICRLEDEFKVMRVIEDGDARTVMAQAALKSCKDTLEKLEEKRENSNQAAKLEHERIVDAKKKFKALKRKLLPKEVDESEKDDRGDSKISNEDNEKEVMEKIKEGLHEQSNNPVTISGLAYTIDKLANKVIGLESTVSSQTAYIDKLRIYTGELLTQIQNMEADKGSDEVQMRKKLTNIEKKLHAVEDLEKDAEKQNSSLKTFFFESRCNIDYLTEKLHDVQPDDEVEVYSPAAEEANSMSDDGFVEQETLSSEEDVFEETEIPNNSNDDGVMNASITKKKVGTNDDYGVTNANTKKTNDDIVKNVDVKKPEAKIEERSVHGNEDVLKNSVSRKETRDNTTENLEESNDESQKNPLKEYTRTFKNYKETKKKLSEEEKNKQRTLFELIVLVRELKTGMVKKDSEIQMLKQKLKQLQDDVGKQNEENWQEVKQDDVQQDKDDDEIILSIDEVETFSEIEMKLRADIDAILDENLDFWMRFSTQFHQVQKFKTEVEDLKGEIGKVKAKKIDTKALEGKSSESMFTTDLRSDIRPIYRHLKEIQSELTMWIEQADHLRHELQTRCTSLTNIQEEITMALKEGMLEDEIKFSTHQAAKFQGEILNMEQENNRVNEELEAGLDHVRALHLETKKTLRKLEDEFGLSDGQNPYRQSTAPQPSGPGIPLRSFIFGVKAKKQKPSIFGGRNQRKAPTGKSGVK >cds-PLY86527.1 pep primary_assembly:Lsat_Salinas_v7:2:208753978:208755783:1 gene:gene-LSAT_2X128761 transcript:rna-gnl|WGS:NBSK|LSAT_2X128761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATISTNEFLDMTVEAAIDEARIVLKRAQGIESKYPNVPGKSQWGSCVDYFDGVVFTLNMIQNNTLQPDPLDVQTWLSASLAYINVCEKGFELIKKTDTMLPVISTNLTLLILNSLAISLLMKGSSTNITPGVVDSEYFLNEYKPRPDVVVAHDGSGDFKSVQEAVDSAMNRPHPGRYVIYVKAGIYEENVVIPRTVELITMFGDGINKTIISGSRRSGDAVLETVKVSDLKESATFQAWGRGFIARDMTFRNTAGPLGSQAVALLTSSDKSVFYHCSIEGYQDTLFTFSSRQFFKECKIFGTVDFIFGDAAAVFQDCQIFLRRPRPGGGLVVTAHGRKYENETTGYTLQGCKITAGNDLKPVIDQYKAAFLGRPWFACARTVYMQSFLDDLVDPVGWLDSWGYNQTIYYGEYENYGPGSSTSGRVKWHGYHVITDPKIALPFTVAEILSGNEWLPGTGVPFKPGFENK >cds-PLY96426.1 pep primary_assembly:Lsat_Salinas_v7:4:343324207:343328144:-1 gene:gene-LSAT_4X169521 transcript:rna-gnl|WGS:NBSK|LSAT_4X169521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFASQMIPEWREAYMNYSYLKTLLKEILIFRQRQIMYPSPAKAPVKATSLKRKVSLFRAFSGLTSRYGNISPRKDEEDEVILVSAMQVADEEQGEEFRSYQTTFLRSAEEGGEFELVFFRQLDLEFNRVIQFYRGKVEEVVKQAEELNIQMDALIALRIKVNDPHATPPFINEKTTGAYPLEVIQEINTSGDGNEEEEEEKNRLDDRKGYKMASLEVLNHIKINATAETPRSTVRSVFHFGRSELHYNKKELKDAQEKLKLAFIEFHEKLRFLKSYSFLNQLAFSKIMKKYDKITSRNASDAYLKMVDESYLGQSDEVVKLIERVEAAFIKHFCNGNRHQGMDTLRPKAKRERHRITFFVGCFFGCSLALVVAIIALVHARDLLQHRSRTAYMNTIFPLYSLFGFVVLHILMYAANVYYWTRYRVNYSFIFGFKQGTELGFKEVLLLGSGLSVVTLAGILSNLEMDLDDRTQSYKALTELLPLGLVIVFLLITICPFNIFYRANRFFLLVCLWHCVCAPFYVVTLPDFFLADQFTSQVQLLRSLEFYVCYYGWGDYKKRDASKCIDSDIYKTIYIVVAVVPYWLRVLQCLRRLCEGKDSTQALNALKYFSIIVAVVARTIQTQMIDTDARYMTIKYIALATSIFATIFATYWDLVMDWGLLCRNSENPWLRDKLILPKRSIYFIAMVLNVLLRLAWMQTVLGFREAPFLHRNALVAIVASLEIIRRGLWNFFRLENEHLNNVGKFRAVKSVPLPFSHEDRQKDL >cds-PLY94555.1 pep primary_assembly:Lsat_Salinas_v7:2:155981110:155981448:-1 gene:gene-LSAT_2X81361 transcript:rna-gnl|WGS:NBSK|LSAT_2X81361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILEDERRAICRYNENEVLPNVEGVVGGIQEYRVNRRKVHNCNIHHVLRADLVVHIYRAHIQPSLEFSHGDLFDESDKDFDMFVESEDSDEESDAGENDEDDQGDDEDDDSE >cds-PLY81436.1 pep primary_assembly:Lsat_Salinas_v7:5:334049018:334050034:1 gene:gene-LSAT_5X184340 transcript:rna-gnl|WGS:NBSK|LSAT_5X184340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFSNFILISSLVSLFTDLPIIKDVLEIANVLNSKWKRQVELMYIITYDILFGQDSSLTGDPEKYLILRKSQLQSALAKILLKKGAKRIEDLMSQYKIPDVKKPRYVRVNTLKLDVETVVSELSKDNMVEKDDMIPDLLVLPPATDLHNHPLVTNGSVFMQGKASSMVAVALGPKPGWETL >cds-PLY71341.1 pep primary_assembly:Lsat_Salinas_v7:7:185430532:185433594:-1 gene:gene-LSAT_7X110600 transcript:rna-gnl|WGS:NBSK|LSAT_7X110600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFLGMHRVGVIANQFLYWNALTPCSSLKSLHRGMHQFGGTIISVFLEKGFSEITNETVGKSLHAFCIKNYLRLSIFHTNTLINMYSKHGKFEAARYVFDEMPQRNEATWNTMISALVRVSLYSDAFLLLSQMRAQGFETSGFVIASLLTGCTGSGFMLHQGFQIHGLILKNGLLYNVYAGTALLNFYTSYGFHSSAHSLFDKMPEKNVVSWTSLMVGYSDNGNPMEVINLYHKMKHEDVKCNQNTFTTVITSCGSLENESLGLQVLGHVIKSGFEYDLSVSNSLISMFGNIGSIQDACYVFNQMSTRDTISWNSMISAYARNHSFKESFQCFKFMRHLHEDLDPITLSALLSVCSSMDNLLYGAAVHGLVHKLGFDLNLSLCNTLLLFYSETGKLKDMVKLFEEMPDKDLISWNTIISGYIQEGVHLDALKVFVKMLQRQRPVNHVTFSSALSACSNHDFLAEAEILHALVFTSGFHGNLIVGNALVTMYGKQKMMWQAEKVFERMPEKDLVTWNTLIGGYTECEQPNQTIKAFNFMRKQNEPINHITLIQVLSSCVTPNQLFSHGKPLHGYLILSGFDSDDYVKNSLITMYGKCNDLDSSTRIFNGFVNKDYVSWNAFLAANAHGHGEEVLKRFTEMKKNGIHLDQFTLSSALSAASTLSALEEGQQIHGLTFKSGFDSHQYVTTAVTDMYGKCGEINDVMKMLPEAKIRPIVLWNILISSFARQGLFQEAIEAFHEMVKMGFKPDHVTFVSLLSACSHGGLVDKGLEYYSLMTTKFGVPIGIEHCVCIIDLLGRSGKLLEAENFIKKMPVPPNDFVWRSLLAACRIHGNLELGKQALKHLLESNPLDDSAFVLYSNVCASIGKWDFVHDVRSEMEFGNVKKKPACSWIKMKRKVSSFAIGDKSHPESGKIYGKLDEIKKMVKESGYVCDMKFALQDIDEEQKEDHLWKHSERLALAYGLISTPEGSDLQIFKNLRVCGDCHELFKFVSEIVRRKIILRDPFRFHHFRDGKCSCGDYW >cds-PLY66852.1 pep primary_assembly:Lsat_Salinas_v7:7:17591594:17597205:-1 gene:gene-LSAT_7X13280 transcript:rna-gnl|WGS:NBSK|LSAT_7X13280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERIKITNNEIGQPVGPGAAQSTTFVGVIACDVNFAPLTYNWKKIPPENKENMWQKVLTKFDIGLNCRRWVLLSIRNKWRTFKSRLADLDHRVPPDQWSALVSLWSSEKSQVGDPKSDTSNGVILATTNVVLGQFKTCVILLGGFIMFGSNPSWQAAKTKVGKSNGYGSKPGSRAISSDERERIVVRMFKFKELQLEQIQDLENDLMKCFREDLHRRLLSTDFKKQVDGIERLQKLYGFIMCISATTARAFKSVLQGILLSSEGEKLNSMNLLLYMSPMAVVFLLPTSLIMDLDVLDATITPGLKHRFMWLLLSVNSTMAYAASLTDFLVTKHTSALTLQEGKPCETRAALRRSVREYGSDVAEQSGEVIQSMSGPFFSRCLILGFREMYVPQEPQMEIHPLALSSCGVVGPTDWNEALDIIRYGSPEQTKPHGHCDVHSVLYSGGLLEEWKDASLRWVLMAVTIIMPLFFIRLQFKLKLMEERNMKPLDLNLAALSARCSKDLELNLAKSLLSEMGQCTTAYPYNHLFGALVLKNYERQDATLLGWNLMYIVD >cds-PLY92634.1 pep primary_assembly:Lsat_Salinas_v7:2:160000856:160002779:1 gene:gene-LSAT_2X83821 transcript:rna-gnl|WGS:NBSK|LSAT_2X83821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARLGYVFRKPLFQSQLHLLSAHLSPPPPYAVEALRQTALSIPPEGSDHYYHQSVKMGRKAGELHINAKKFGGLSKPCMKDMITFLGCLSLNHNSDEKCTRQRQLLDTCLESQSGKKRKPWGTLNYHLQRLNRGRK >cds-PLY68621.1 pep primary_assembly:Lsat_Salinas_v7:2:72511240:72511581:-1 gene:gene-LSAT_2X32820 transcript:rna-gnl|WGS:NBSK|LSAT_2X32820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKRLKTLLLSRCYVFEKLPEDLGLLESLEELSVTYCKIRDVPSSICKLKHLREFDLRCCDQLKKLPEKVGSLKCLQVLDVQGTSISHLPQSISLLKALKIFGFKSEDQSIYT >cds-PLY79425.1 pep primary_assembly:Lsat_Salinas_v7:3:78701606:78703785:-1 gene:gene-LSAT_3X60700 transcript:rna-gnl|WGS:NBSK|LSAT_3X60700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLNENKFSGQIPTNIGDLKSLTDLVMTNNHFCGSIPSSLANLSNLQYMYLNENKFSGQIPTNIGDLKSLTYLHMSQNQLGGFIPSSLANLSNLQYMYLNENKFSGHIPNDLGNLKSLYGIEAIQNQLSGFIPSSLANLSNLQYLYLADNILSGSIPQGLGSLELCHLQMFDNQLSGHLPEDLCHGGKLQIFTIDGNQLTGPISRGLRNCSSLIRTRFDQNQFIGDVSSSFGIYPRLEYLDISHNNFHGQLSQKWSKCNHLTALLMAYNNISGSIPPEFANSTQLQRLDLSSNHLVGEIPKEFGKMKSMLNLSLANNRLSGIIPTELEYCELLEALDLSTNRLNGSIPRSISQWKHIHYLNLSNNKLSEKIPSEIGKLVQLTEFDLSQNLLTQEIPSEVESLKNLQKLNLSHNRLSGSIPNTFTSLPSGIDIDLSDNELTGPVPLCSNFLNASLQGNPDNFDEAYAIGTGGYGTVYKAQLQPNNVVAVKKLHSSSENVDQNGFLNEVRTLTNIRHRNIVKLYGYCSHARHSFFIYEYLEKGSLGSILRIDDLAKELDWLKRVSIVKAVANGLAYMHHDCSPPIIHRDISIANILLDYDYEAHISDFGTSKLLKLHSSNWTTIEGTHGYIAPSNVIL >cds-PLY91108.1 pep primary_assembly:Lsat_Salinas_v7:MU039484.1:439893:440570:-1 gene:gene-LSAT_0X6180 transcript:rna-gnl|WGS:NBSK|LSAT_0X6180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAGQSCTRMASDICYAVTHTFSLMVAVTDRVRRVGADQGQLKILQGALAGMREEVHDSEAGRQVLVEQHIIVAYEKAALEHQVTTLEDRSERLEDQVSSLTREKDVLANRLVRCQLQLARAHVKGSVTRGNLQWVLEKGVVRVIDKVIKSVEFSIGVWGVRDACEALGFEKGNNWVVALQLPKNLKSQILVVSQEGLKRCMPLYRPWLTRISRAFSLGEAGL >cds-PLY65302.1 pep primary_assembly:Lsat_Salinas_v7:8:104213736:104216226:1 gene:gene-LSAT_8X70560 transcript:rna-gnl|WGS:NBSK|LSAT_8X70560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 16 [Source:Projected from Arabidopsis thaliana (AT3G43860) UniProtKB/Swiss-Prot;Acc:Q8VYG3] MAEYSCSLMVLLTLFSSFLVCINANFDYKDALKKSIIFLEAQRSGKLPPHHRPPWRGDSALDDGKAAGVDLAGGYYDAGDNVKYGFPMAFTVTTLAWAAYFYQPELTAAGEIHNVRNAIRWGTDYFLKASSLRNRFFVQVGDPVTDHKCWMRPEKMKSARPVLELNEKKPGTEIAAETAAALAASAIVFRGVDQPYSQKLLNGAKKLFQFAQEHRGTFDGECPFYCSFSGYRDELLWGATWLYIATKRPIYLKFIQDESTTAVVTEFSWDLKFAGAQILLSQLYWEGQKDLQTFKQQADGFVCNILPGSPYRQVFMTPGGLIHLRDGANSQYVTSTAFLFSVYSDLLARHKMQVTCGDKRFTCVQIMDFAKKQMDYLLGNNPVKRSMMVGFGKDPPLHAHHRGASVPVDAKDDVNCGMSFVYWYNTDKPNPNELTGAILGGPDRADRFVDARVNSSMTEPCTYINSCAVGVLAKLAKQYGKP >cds-PLY69180.1 pep primary_assembly:Lsat_Salinas_v7:3:204156372:204156983:-1 gene:gene-LSAT_3X119640 transcript:rna-gnl|WGS:NBSK|LSAT_3X119640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTQTVPVSQEVRHQVSSGGSSGTGTTSNLRDSIPKLFASFKSQNHVLSFVVMLMAVIILLMHIRIVVLLLRPQTVQVVSNTSWMSNINTRIDMRGGTVNLLNKQIDRLKEETLIVETLLQKMRHEQDMCTQPIIPIQSPCTRERNYTKKRGSLNPEMPLEWKI >cds-PLY62360.1 pep primary_assembly:Lsat_Salinas_v7:8:113454565:113457065:-1 gene:gene-LSAT_8X76840 transcript:rna-gnl|WGS:NBSK|LSAT_8X76840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKCYPTVSDEYQKAVEKARRKLRGFIADKKCAPLMLRLAWHSAGTYDVKTKTGGPFGTMKNKVELGHAANNGLDIAVRLLEPIKEQFPIISYGDFYQLAGVVAVEVTGGPDVPFHPGREDKEEPPVEGRLPDATKGNDHLRQVFVETMGLEDIDIVTLSGGHTLGAAHKERSGFEGPWTPNPLIFDNSYFTELLAGEKEGLLKLPTDKALLDDPVFRPLVEKYAADEDAFFADYAVSHMKLSELGFADA >cds-PLY84404.1 pep primary_assembly:Lsat_Salinas_v7:8:79131311:79133977:-1 gene:gene-LSAT_8X56761 transcript:rna-gnl|WGS:NBSK|LSAT_8X56761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDSMIASEGNNKEAMEVEVAPALISVHPAQDSVAVAVGCELRVFNLREGCSITLGAESGEACDHKESIRAIRYGAKGKVFVSAGDDKVVKIWSTDSWRCLYSVPTEKKVSAVAISDNGQFVCYADKFGVVWVVELDELHENQTPVQKKGSPLLAHYCSIITSLEFSPDGNFIVTADRDFKIRVTMLPKKPIDGAHEIQSYCLGHTEFVSCLAFVYNQEFPQGYLVSGSGDSTVRLWDFASGLLLHTCEVGSEVGHQQTNGTENNHFAVTDLCATPDGSAIFVAVQGLPGILVLSCNLSAKTLSVLRVVSISGEDFIPTSLGSSLTSDFLWMVMGVSNLPGFDSSSLARVRVISGLNKCNLGSVEEDDNIKILEDKQVPCGEKVLEKLQGSLCIDKDVFSAAAEAVKASMRNLLIKKQYSSERREFRKRGRNDRKGKR >cds-PLY85642.1 pep primary_assembly:Lsat_Salinas_v7:2:4286018:4288553:1 gene:gene-LSAT_2X2301 transcript:rna-gnl|WGS:NBSK|LSAT_2X2301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDYSVQPFADPDNKGESASRLKMKTTGSKIATQRRRPVLSLMGRKIKAKQKQHAVNRTDNQVESISQDNICYETKGQMLMNTTSLSNKYQPKLFQEIVGHTVVVKTLSNAIQKKKIAPLYLFYGPNGTGKTSTARVFAMALNCESTFHNTKPCCSCKGCSRSLYTMDLCSANCISGFEKIKTLLHNTSFNQAIPGLKVFIIEDCHLLTVDAWNELMRLVENPYATNLVFLLITTHAHSFTGNISSRCQKFYFRKLHDEEVAQKLSRILVHERMGIEKEALKLIVAKSEGSLRDAENILDQLALLGTSINTLIAQQLVCVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVSGNTMNAIRYAKKLSESVEPASFVSQLANLITNILSGSQVLDSSSSPSQRSRSQLSKTQSARLCYILKLLVETERKLRSSNHQTSSIIATFLDIASLKKASKSRIPKRSSYSTEIRHDKTAAEISEESLSKALEVAMGCPVSLHMSLEQDGKSRTMSGSLPLAFDYLDSNKNEGQSEGFRLRKSKSCSTSQRPHRYSAKGRDRNTGQLQSLTNMLENPTAEYRLTTKDPQRTKLVHPGDSKYATQTMRPNRSRHRWLSLSSIPQSDASVEPYSQDVIYEKSNKDGEDGVKKSSKDRRFREPSADQL >cds-PLY73349.1 pep primary_assembly:Lsat_Salinas_v7:7:62776074:62779340:1 gene:gene-LSAT_7X44840 transcript:rna-gnl|WGS:NBSK|LSAT_7X44840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGFKELAVHLAQYCAGSPLALKVLGSSLFVDVGQPWKINSMLEVWRSALNSLNSLKGDLDCKIQGILQKSFDSLPHASNKELFLHIAFFFVGEYEGYVVKIMENDWHAKAGIRTLINRCLLTISPKKKLMMHQLLQEMARNIVLQESRDPAARSRVLQNDESYRLLSKGEGSETIEGLALDMYKLRKGRTSNPTTLKTASLATMDKLKFLKLKHVELKGSYKNFPELRWLCWSHCHLEKIPSGLLGSRLVAIDMRNGGLEKFEPPMVLNSMKILNLGWSINLVSVRHLSRLPNLETLILSFCFSLSLTHVCESIGCLKKLSLLDFRGCNHRGKVASNKKYENQLLVPLPDSLKVLFLSEQSPSFYNHMNFGSNLLMTPTNYTNLKMLRVLDVSRSQNIKSLLCLPSALQELYTSWCYSLEKITFQSARCRLRKFEYNFCKNLCEIQGFYKLVPLANLDEADLGHMKWIKAYHDTKVDLKDVDVFKFDWHMQVLYEYGTISTFLQGIKAQSLLTSEYMLSSASLSFRVPSSPEKCRIRGLNIIASYRFSDTLHEDEDTKWALFTKVSNTTKGLTWMYNPFIYDIPGTEEDVMWLSYWPLGNILDAGDEINVSIIVGDGWEVSRCGASLVFIDDDDEVELEYYKNYKKEEEVIGGDLSEFELTTGAYYLCRRDYFKSTTPTPDWLNMLVGDTLPHKGKFLFELMLFIKIKQQILIQSYITQS >cds-PLY97993.1 pep primary_assembly:Lsat_Salinas_v7:8:286875773:286876261:1 gene:gene-LSAT_8X162321 transcript:rna-gnl|WGS:NBSK|LSAT_8X162321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFGRSLLQRESLLRVSGEERSPEILISFHSSGSTSNQWRKLKNPWFPGRTLFRPSCFRTGKKKRFFAQLAHSAGPTCISYLAEEASDRLEFLPSWDSMDQDLLLLYGQYRSTLVDHMDVEKASHFDELETSLFHFYLPSSYLCFVCSPEEFDLFNLGIPPK >cds-PLY96820.1 pep primary_assembly:Lsat_Salinas_v7:2:172144965:172145426:1 gene:gene-LSAT_2X94501 transcript:rna-gnl|WGS:NBSK|LSAT_2X94501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDEGPRQSSNDDDVWWWWVTTSWRCLVGDEVGFANSHINGRWRSGFCQRWVVTKLRWQLHCLRFLSFQVSSTRERAGRSKAMVRTCLGRVFAGGGSRHGEVDEVFEKLGGLRWWWQFASISTKRGKNTVVFGSLLDRNSKGMVVGLDQDRRG >cds-PLY71573.1 pep primary_assembly:Lsat_Salinas_v7:3:53221307:53223832:1 gene:gene-LSAT_3X39141 transcript:rna-gnl|WGS:NBSK|LSAT_3X39141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVQENGSGGDNGMSSVPLGAKNKYRRMDSELNDYSDDDLEVAPYKQTQQERTKSTRKYVFACAVFASLNNVLLGYDVGVMSGAIIFIQEDLKITEFQEEILVGILSVISLLGSLGGGRASDALGRKWTMGIAAIIFQIGALIMTLAPSFQVLMMGRLLAGIGIGFGVMIAPVYIAEISPTISRGSFTSFPEIFINIGILLGYVSNYAFSGFSSHINWRIMLAVGILPSVFIAFALFIIPESPRWLVMQNRVDEARSVLMKTNEIEAEVEERLSEILKAAGIGTGAGTGENQEEKAVWRELLNPSPALRRMLITGFGIQCFQQITGIDATVYYSPEILQTAGIEEKSRLLAATVAVGIAKTLFILVAIMLIDRIGRKPLLYVSTIGMTVCLCGLAISLSLFKGTTLGVELAILSICGNVAFFSIGIGPVCWVLTSEIFPLRLRAQASALGAVGNRVCSGVVAMSFLSVSRAISMAGTFLIFTILSFLSVGFVYKLVPETKGKSLEQIELLFQKDRNWNEEEVELSDTQQLVKNEGN >cds-PLY91172.1 pep primary_assembly:Lsat_Salinas_v7:4:161598626:161599616:1 gene:gene-LSAT_4X96961 transcript:rna-gnl|WGS:NBSK|LSAT_4X96961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAMHLRSISIFLLLSLVLFHGVSATTIMMINKCPHPVWPGIQPGAGQPVLARGGFKLPPKRSYTLFLPPAWSGRLWGREGCAFDASGRGKCSTGDCGGSLNCNGMGGTPPATLAEITLTAEQDFYDVSLVDGYNLPISITPFKGTGKCTYAGCVSDLNVMCPVGLQVRSHDKRRVVACKSACSAFNSPRFCCTGSYGNPQTCKPTAYSRIFKVACPRAYSYAYDDPTSIATCTGASYFLTFCPHH >cds-PLY86164.1 pep primary_assembly:Lsat_Salinas_v7:6:156150186:156151947:1 gene:gene-LSAT_6X95120 transcript:rna-gnl|WGS:NBSK|LSAT_6X95120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMALFSSTTPHLPSLSSSFKTHFPINPFLSLKPQRHLLFVSVRATENGAGAVIAVEEKKASEPKASEEATLGNGAPMAEQEEEAMPKFEDPKWVSGTWDLKQFQKGGATDWDGVIDAEVKRRKWLEDNPESSNNDFPVVFDTSIIPWWAWMKRFHLPEAELLNGRAAMVGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLLIRKNEDLDTLKKLFDETTFYDKQWQATWQDEKKE >cds-PLY78641.1 pep primary_assembly:Lsat_Salinas_v7:9:48512141:48513372:1 gene:gene-LSAT_9X43840 transcript:rna-gnl|WGS:NBSK|LSAT_9X43840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKREGKGPPSRDLMVCFPTRAHLRLMPKSVSSPRRLNYHQNHLRKSMRGGAGGGRASPYLWSGTKQMSSDNLSEPTSPKVTCAGQIKVRPSTRSCKNWQTVMEEIERLHNRKKKPTWGATLGFKKEIMQFLTCLRRIKFDFHCLGGFSHVDITSDDEDEDEDSNDKEINQDQHQDYEHDKDSRTVFSKWFMVLQENQDSGVFEKEEKSLKSETPEDLPCLPPANALFLMRCRSAPAKSWMEEKQEEEEEEEENDDDDVEDHDKEEKEHVDDHDIEKKENKRKSLKELMKTESDFYKLSCDIAKETWVIGGIHKDPFSRSRSWKR >cds-PLY90088.1 pep primary_assembly:Lsat_Salinas_v7:6:17429824:17437101:-1 gene:gene-LSAT_6X7360 transcript:rna-gnl|WGS:NBSK|LSAT_6X7360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH128 [Source:Projected from Arabidopsis thaliana (AT1G05805) UniProtKB/Swiss-Prot;Acc:Q8H102] MYPTSNSSSASQTSGGDPNNINGSNVNGGGGGAQQGLARYRSAPVSFLTTTVDSVINGQTQHTTVGNHNMVGGGGTPTRFFSPPDSTSSQLSTGDRLQTTSFRLNEFATAFNGLKDGRGSRLSSQLSFTGTSSFSQISQENDIANSLMFSNSSHNKRAKLDINGLNVMDSELNFGLSESALEAATMEKMMDLPHDSVPCKIRAKRGCATHPRSIAERERRTRISGKLKKLQDLVPNMDKQTSYSDMLDLAVQHIKGLQTHVQNLNNELQNCSCGCKPK >cds-PLY89154.1 pep primary_assembly:Lsat_Salinas_v7:3:22106210:22108994:1 gene:gene-LSAT_3X16380 transcript:rna-gnl|WGS:NBSK|LSAT_3X16380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACKGFLECLLKLLNFLLTLLGLAMVGYGIYLFVMYKNATDDDMDALPTNEGLIQLGRPMLMAVSLSSNSFFDHLPTAWFIYLFIGVGAIVFVISCFGCIGAATRSGCCLTCYSLLLILLILVEVGCAAFIFFDKTWRDEIPTDETGQFDAIYGFLKKNWNIAKWVALGAIIFQALVFLLALMVRAANAPPEYDSDDEYISGPRQQRQPLIGRQQPPVTGVPVTGTLDSRPSRNDAWSARMREKYGLDTSEFTYNPNDPNRSQQGNAQPTEEKGGCIIM >cds-PLY90926.1 pep primary_assembly:Lsat_Salinas_v7:6:122884104:122887530:-1 gene:gene-LSAT_6X75620 transcript:rna-gnl|WGS:NBSK|LSAT_6X75620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDPVETPSGHSFERYAIEKWLAEGNNGCSITKTPLKASGLRTNKTLRQSMEEWRDRNTMIFIGSMKSRILSNEEEEVIVSLGKLRVLCLERELHQEWMMMEDYLPVLVLLLSTKNFKVRSHVLVILRILATNNDDRKETIAKTHDGIKLIVCSLARKIKESKLALQLLMELSENEVARNIIGSSQGRQPLEPLQSFYCLITGDVMEDPVETPSGHSFERYAIEKWLAEGNNGCSITKTPLKASGLRTNKTLRQSMEEWRDRNTMIFIGSMKSRILSNEEEEVIVSLGKLRVLCLERELHQEWLMMEDYLPVLVSLLSTKNFKVRSHVLVILRILATNNDDRKETIAKTHDGIKLIVCSLARKIKESKLALQFLMELSENEVARNIIGSSQGSETVQREMADTLSEVDMTDHGKLTVCESGAVESLVAMLSHVNIEMKKAAILALEKRSGVPQNGLKMIKQGAVDLLFGILFHESLSMPIVVEKVVATIMNLALSLTSGELDQHEIPFLESEENVFKLFSLISINGPNVQQSILRTFLVVSQSPPGSNIRTTLRKVSFPIFCLSPLITSSIGAHLRSISSSDLFGSSTGSVMRARKPSRPIAVKLLCGLIKDGSENDETFQEHVGPKCVETLLRIIPASDKVEEGVASMEIISNLPKNPQMKRWILYGTALELIVSILTNQFQKPEIMIESASRALC >cds-PLY72396.1 pep primary_assembly:Lsat_Salinas_v7:3:188398416:188400405:-1 gene:gene-LSAT_3X112381 transcript:rna-gnl|WGS:NBSK|LSAT_3X112381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNIEKYRKEDADASSPFSRLPDEIILQIINKLIDLKTLGFCYLVSKRFSSIVLQVDAISFTVPFIDPNVPDKNTVDDVAASRPFPPMVSSFFGVSFLSAYTFLSKFKGVKSLCIQLASFGHRAIENRCLFKWKVKCGNRIESFMFLSPHSVYDKDGLSLSGNGDGEEENLVNHGMFRRNFEISLQCLEDVIAWHIMLMDIVKDLPMLEEVSITDSGRRGRLSLSGEKLIEVKEWVNSASKAEFNRVEVPTVMSNGYIPLLKLPVSGYVMKGIYFGVMKMKDLEGGNDGLMKIEDASEDKEEAAYTEAVMEILEKHMGMTLTLVDGVLV >cds-PLY65535.1 pep primary_assembly:Lsat_Salinas_v7:9:143334588:143340017:-1 gene:gene-LSAT_9X90700 transcript:rna-gnl|WGS:NBSK|LSAT_9X90700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTASIHKSFKYDVFLSFRGEDTRTNFVDHLYNALQQQSIYTYKDGEAIKKGNLISAELIGSIEGSRFYIIVFSRNYASSSWCLDELVKIMECHKMTDHTAYPVFYDVEPTEVRNQIGTVGEAFVKHENDEAAGKWKEALKEAANLAGWELKNTANGHEAKFIQKIVEEISLQLRSSDFDIDEKLVGMETRVKDVLSLMETGVDDVRMIGIKGMGGGGKTTLARAVFDQISYLFEGKSFIENVREVSSTSLSGLKSLQNQVLKDVSFAQGINISNVHDGKRMIKRMMRGIKVLVVLDDVDHIDQLAALAGMPNWFSPRSRIIITTRDEQVLVAHRVKLIVDVNLLSYNEAICLFSRYAFGTEIPIQGYGELSGKVVRYANGLPLTITVLGSFLCGKNVSEWIDALKRLETIPLNETLQKLELSYVTLEEDYKEIFLNVACILKGWSKELAIEALESCGFHATNGLKILEQKSLITISKPYEFVGMHDHIEEMGRNIVRRLHPNSRLWIKEEIKDILANDLGTNATRYIRFDIGNVNLEIVMKGLRKMKELRFLHVAPPHIQEDFVERHRNHDNVNQHLPNALQYLPNALQYLHWYKYPLSSFPNTFLGNNLVALKMVKSKIVQLWEGGERKVLNKLKILDLSRSNLMTLDLRSAVNLELLRLDGCNSLVEFHLPGRCLNLKSLTLTYSKLRTLDIGQTPNLKHLDLKGCYYLVEFRLPNPCLELISVNLSGSNLRTIDLHSCVNLKLLDLKYCSALVELHMPGKYLNLRSLTLTYSKLRTLHIGQTPNLEDLDLNNCYDLEDFHMADKCPKLTSLNISYSKLKTLDLGLAPNLKNLNLEECNNLVQLHVPFGCLENLVYLVLSGCLRYTSFLFDKRNAASSSRDESIEVGPLAELHLIAKSLKECPLHPDNTLRKFQFQCFYKDDPSSTGNVEKLLSFGLCACTNLETFSESICGLRRLRKLKLECYPEAPKDLDQLECLEELSISTTDMKHLPDSICMLKHLEILQLKDCWSLEKLPEDLGRLECLKMLTLSDTKIKHLPDSICMLKHLVFLELSDCFYLETLPEDLGQLECLETLDLSYAKIERLPDSICMLKHLKNLVLARCSLLKTLPKDLGELECLLELNMSSSMIKHLPDTICMLKDLEHLNLNHCLLLEKLPDDLDRLESLRSLTLRKCKLLRDIPISICKMKRLERLDVTGTCISNLPHDICLLKRLHILGSRGLLESSGFTSKIQTVQYEDTCFVDV >cds-PLY62495.1 pep primary_assembly:Lsat_Salinas_v7:1:83490511:83491740:1 gene:gene-LSAT_1X69980 transcript:rna-gnl|WGS:NBSK|LSAT_1X69980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDDEGEEYLFKVVIIGDSAVGKSNLLSRYARNEFNMHSKATIGVEFQTQSMEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALIVYDISRSTTFDSVSRWLEELNTHSETTVARMLVGNKCDLNNIRAVSVEDGKNLAEKNGLFFMETSALDSTNVKTAFEMVIREIYNNVSRKVLNSDSYKAELSLNRVTLPNDGDAGSKQDQTRYSCCS >cds-PLY79180.1 pep primary_assembly:Lsat_Salinas_v7:5:57317602:57319466:1 gene:gene-LSAT_5X26940 transcript:rna-gnl|WGS:NBSK|LSAT_5X26940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-T1-3 [Source:Projected from Arabidopsis thaliana (AT1G27630) UniProtKB/Swiss-Prot;Acc:Q8LBC0] MQCYISQKEIAKDATVIHISETPHLNRNWYFSRKEIEHNTPSRSDGIDYKQEQHLRKLYCSFLQELGIELKVPQVTIATSMMFCHRFYMRQSHKKNDWQTVATVCMFLACKAEETPRWLGELAVVAYKLIYKWDPSASRRIREIYDKQKDLILIGERLLLITIAFDLNIEHPYKSLVAALKRLAITDKELVKIAWNFVNDWLRTSLCLHHKPHYIAAGSLFLAAKVKNVKLPTAKGKAWWMEFDVSPKQLEGVIQQMMSLWEQSQSQTVSEISKSTTKSNYVKKQVFENSYDTINCVDKTSDTGSGVSDCEPVAIKSDSSSSCKIVSVEGMSTGGNNSQVDVDRIREKIKKRNSIKTMKRKRVEEDMDGDEWIERELEDGIVLESPIPGN >cds-PLY72743.1 pep primary_assembly:Lsat_Salinas_v7:4:374277378:374278914:-1 gene:gene-LSAT_4X184781 transcript:rna-gnl|WGS:NBSK|LSAT_4X184781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSLVRRALSRVHSSPAAKLFLSRAHASEPQNQQAKPVAQSSHSLKNFQIYRWNPDNPGKPELQNYQIDLKECGPMVLDALIKIKNEIDPTLTFRRSCREGICGSCAMNIDGCNGLACLTKIPSGDATMITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKSPAPVGWVGKEIYQSKDDRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWIMDSRDEYTQERLDAVNDEFKLYRCHTILNCARACPKGLNPGKQIQNIKSLES >cds-PLY74187.1 pep primary_assembly:Lsat_Salinas_v7:9:24584601:24585192:-1 gene:gene-LSAT_9X22280 transcript:rna-gnl|WGS:NBSK|LSAT_9X22280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLGKNLWGEELVILAEASMDPAVIYVKQVLDIISKASNKGIKGIAHIPGGGFIDTIYRVFGIGLGALLYNDSCLVPPIFKWIQKAGGIEDGEMKRTFNMGIRMVLVVSKEVSERVVKEEGEMVYRVGEVFSGEKVESTP >cds-PLY68406.1 pep primary_assembly:Lsat_Salinas_v7:8:22866598:22867506:1 gene:gene-LSAT_8X17360 transcript:rna-gnl|WGS:NBSK|LSAT_8X17360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSASYIHMVQHLIEKCLIFGMTKEECMEALCKHANIKPVITSTVWTELEKENMEFFEAYWSQSQYFRKADQISEPVTNEQIQKTVFDLKSKTSKRKDD >cds-PLY99705.1 pep primary_assembly:Lsat_Salinas_v7:9:56448541:56454920:-1 gene:gene-LSAT_9X48121 transcript:rna-gnl|WGS:NBSK|LSAT_9X48121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEVGTQLAPPLYMYNTIGAGRFPDAHPMAKKRSLPYQNPNLIQHQQQQQYLQRLIPTLNEPRNSWNPKNWEWDSSRLVAKPLDMMEISREQQQQQHHHQTAVNPPNPDGSKKSPVGQREEDERLLLKLGGGEEAATRPSKRVRSGSPGSGCAGNYPMCQVDNCKEDLSTAKDYHRRHKVCEVHSKAGKALVGKQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPEDVALQLLIPGNGDKNGANDMDIVGLLTVLARAQGNAEDMSRNSSPLPNKDQLMQILNKINSLPLPVDPGSKQPVPGSLTNVDQEKTSSEVQKVNKKTSSSTMDFLGVLSGSSDAPEPLSQKRNQVIEADKNKTNSGCVNQTMVHSGGERSSTSFQSREDSDCQVMDTPLNLQLQLFSSSPEDDSPPKLASSRKYFSSDSSNPMEDRSPSSSPPVVQRLFPTKASRERMKPESMSTSGEVTANVKATMSLELFGGMNNGADNVSIQSSPYRAGYTSSSGSDQSPSSLNSDPQDRTGRLSFKLFDKDPSHLPGSLRTQVYNWLSQSPSEMEGYIKPGCVVLSIYLSMSSSSWDQLEGNFLQYINALVQESGTDFWGSGRFLAHTEKQIASHKDGKVHLFKSLKAWSSPQLISISPLAVVSGKETSFVLRGRNLRTPGTKIYCTHADGCILEEATESTDQDSTYEEISTRRFTICAPSERGRCFIEIENGFRGTSFPIIIADSTLCQELNLLEAEFGEVQNRQPSLVKETIHFLNELGWLFQKKNGGSGSHYSLTRFKFLLVFSVERDLCGLVKTLLGILLQKGSGGITEDDSSMEMLYTINLLNRAVKRKCKNMVDLLIHYSVVGDTDTDSAASRKYIFPPNLAGPGGITPLHLAACTSDSDDMVDALTNDPNEVGLLSWNSSLDANGLSPFVYATMRNNNSYNTLVAQKLANRIAGQVSVHISNEIELQTARRVDHVDHHELSFRVRDHHVGTKSCSKCAIVTAKHPRRIPGSQGLLHRPYIHSMLAIAANFSIKANSTENHSSPTTMAKVEVDECRGVLKVYSDGSIWRSTEPSFRVSVVDDGSVLWKDVQFDQQNNLHLRLYKPASAVVKKLPVFYYIHGGGFCIGSRTWPNCQNYCFKLALALQAVIVAPDYRLAPENRLPLAVEDGFTSVKWLQSQAVAAEPDPWLVDSADFTRVFISGDSAGGNIAHNMAVRLRAGSKSLEPVQVKGYVLLAPFFGGTQLTESEAHGPKDAFLNWELIDRFWRLSIPVGDTMDHPLVNPFGPVSLDLEPVELDPMLVVCGGADLLKDRAKDYAERLKKLGKKVEYAEFEGQQHGFFTIDPDSQVSKELMEIIKDFIKQYSI >cds-PLY77506.1 pep primary_assembly:Lsat_Salinas_v7:4:49559060:49559711:-1 gene:gene-LSAT_4X33481 transcript:rna-gnl|WGS:NBSK|LSAT_4X33481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAANYESSEHLLLLKQSLSLLQASADVSRRNEFLKSFPVKHKGGTGANLESVVHLLHEMYFPGNDKILGSSQLSDSMIMWDTLKYSLVSTEIAARSEKTSHATNYSISSLYEELRSSSGFILSLLLKIVHNIHAQNSLDVLLRLRCIQQFAKSIHANTLNELPGHTNRVEDNMMSILENADMGIRFSA >cds-PLY94413.1 pep primary_assembly:Lsat_Salinas_v7:6:8776251:8778166:1 gene:gene-LSAT_6X5500 transcript:rna-gnl|WGS:NBSK|LSAT_6X5500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGVDSTRDTINAAATAIASVENRAYQSSSVQKRRWGSFWNITSCFGSQKRNKKIGHAVLVSEPRSSTMEAPTIENLPQPTSIVLPFMAPPSSPASFLQSEPPSATQSPGGLLSFTAASSGMYSPSDPTNMFAVGPYAHERQLVSPPVFSTYTTEPSTAPFTPPPESVHLTTPSSPEVPFARFLGSGNQNGEVDRKFTSSHHESQLFQLYPGSPIGQLISPGSGVSNSGTSSPFPDRGPVVLRNPTGAKLWPRGWESQQESGTTTPNSTNLDRQNSDVGPLTSFSNDDQNMIHHRVSFEITPEEVERRLIADVANGRDSFSDDVSRGHRSTVTFGSVKDFNFDSADGGEGESNRCAEAGEKILGKETGPGPIKNWAFFPMIQPSVS >cds-PLY85707.1 pep primary_assembly:Lsat_Salinas_v7:5:77455114:77458661:1 gene:gene-LSAT_5X35960 transcript:rna-gnl|WGS:NBSK|LSAT_5X35960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein indeterminate-domain 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G02070) UniProtKB/Swiss-Prot;Acc:Q9ZUL3] MAAASSSTSFYGARSDEEQHVSSIPTSSSTIQSAAAQQKKKRSLPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKSNKEVKRKVYLCPEPSCVHHDPSRALGDLTGIKKHYSRKHGEKKYKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPSALGSIGSHLFGSHLSNSMNLGLSQLHDQNHQSSTTNMLSLGNANFEQVMLPPSQTPLFPQSQQQQMANSSSPFFLSDPNQGLNQVFDYDQQSQQHGLMGNKPNIHGLMQLPDLHSNNTKITPNLFNLGFFSGNSNPTNGLKNSENDTQNNSNMSSSGFMLPDHQFNTGGGSHDHMFLNSNNPHQESPMTPHMSATALLQKAAQMGSTTSNNNATTLLKSFGSSSTSTVGGDAKTHTGRQSLSATSFDVRGDQSLQSEMESDNQLQGLMNTLRSGGAPSMFDGYGGNRNRNMNFGNMNEATKFNQNNFSLSVGNSNRTTLDFLGVGYSQRDQQQQQQQTQTQTQTQQPQQQQQHHGRINISSLDPKMDSSSTQGSHAHGGSSKLQGK >cds-PLY90734.1 pep primary_assembly:Lsat_Salinas_v7:3:36214278:36214810:1 gene:gene-LSAT_3X26581 transcript:rna-gnl|WGS:NBSK|LSAT_3X26581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIESMGWLEIELDDTPPVEMDELDVDEGEADFVNDVLNDGGVIEGEGEGVNHGNEAAGDVLNNEVADDGNVVDDEGHMIVPKTRKRKPLERITKLKLKKAVFDKDGVVPHVQIQ >cds-PLY64683.1 pep primary_assembly:Lsat_Salinas_v7:7:139104053:139104926:-1 gene:gene-LSAT_7X82720 transcript:rna-gnl|WGS:NBSK|LSAT_7X82720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEEVEKLKVEIQRLGSKQDDGSYKVTFGVLFHDDRCANIFEALVGTLRAAKRRKLLTYDGELLLQGVHDNVEIVLKPTPTPTAAV >cds-PLY63369.1 pep primary_assembly:Lsat_Salinas_v7:7:148480806:148481620:-1 gene:gene-LSAT_7X87961 transcript:rna-gnl|WGS:NBSK|LSAT_7X87961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKLMFPAIMMATVIAVRSLDTNHVFDPCSDSKVRRWDGFTFGIAFSSKDSFFSNQIQLSPCDRRLSLRGNATLAVFRPKVDELTFLTINTDFDPAKAGGYMVAFAGKQYAARSIPTLVADKSNIITSFTLVLELQEGRLINLYWKKVGCKSCTERSGVCVNNQECAIPISKCDINGGSVDCNLKVQLAFSGTDKGLGVLNSWYEVQKLREYLLAG >cds-PLY81556.1 pep primary_assembly:Lsat_Salinas_v7:2:127745996:127752163:-1 gene:gene-LSAT_2X59740 transcript:rna-gnl|WGS:NBSK|LSAT_2X59740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAGNDDHSSPKATGGDNDIQDINNERDDREEKREMVNLTDDFLVAHDPSITSMVANSLNGSLIEVASSSSPFNYSRRDHNHSTNITGYMTQCIRKNKAHDEDKDSSWLPSKNSNTDDSWLPAHVTSKSYASHHPKGHTDVDDSWLPTNNDTKGKGRTNTSAEKDIDYSWLPSTFIDKKEKKQKNDNVKEKNKKKTDSKIKNNEKNNISKINKNSNVNTNNTNTDSETQKKSKNNHWALAREVVFSSDQHVQNDDQQHDSSKSKYTVESPSSVGVSTLLRRWSALAEEKNSNENDNLSPACNRSNVGSTCKESKSSTQSPPSTMKDSDWESDNTSRSRRLSCPLPSRDIKDSHASAATKEKIRVGDIIKKLSKEEEMAASQAGGNGNESLPRIRTSLDNQQIEELKGFKDVKVSRIRGRQAFDNFLMLTENNKDRELKWLLERKTVSKFSQRGRLKAMLRFKSLRLDAEPKPKLEAKRHRRSVSKTLDSNNRVAIMDLRERFDSGSEKGETKSRKHRKSVLMNIQNEEQYSTPTAGKEVYVTPKTKAKTTSFNISNKKQEPCPKPEPMTPNLSTPHTTNSCKYMVQKADANSSSNSMRKTRYKDDNKYDFQEDEGQFMSAKTSYTSIEDRGNSDSEETIYTAKKTENFEDWMTSEYSQTRSELDENESYDMQLFETNYDWISDISRPKSEWEDMRKARYQEMLERSSGKADIQRLLERKTVSNFLSSSLRDLIDHVMMNRIQQSHVQVGKKVMVTAKGMSRKEEVEQEYGSVVDEDGDYRSRTRQFSEYSEYVDRTPYSERLWRPNDGAYSSETTTTTTTTSPSLERSLSSNRSQNNSTPQSSPAVIHPSVEMELIYDLRGHMEQLHQEIMELRRSIKGCVNMQVKMQLSFKQNVAVAAATHSVQKKERKPPGIGKTCSICGDMQVDSLLYRCGHMCTCFGCAVELQRISGECPVCEAPIVDVVMAFVHEN >cds-PLY81161.1 pep primary_assembly:Lsat_Salinas_v7:9:67837768:67839390:-1 gene:gene-LSAT_9X56860 transcript:rna-gnl|WGS:NBSK|LSAT_9X56860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRFARPSSLMMMARVKETTGIVGLEVVPNARDVLIGLYNKTLNEIQRVPEDEGYRKAVESFTRHRLKVCQEEEDWEVIEKRLGCGQVEELIEEAQDELKLIDKMIEWDPWGVPDDYECEVVENDAPVPKHVPLHRPGPLPEEFYKTLDAIMTGKLEPPAKKDESAIPASSQ >cds-PLY62641.1 pep primary_assembly:Lsat_Salinas_v7:3:37272933:37275588:-1 gene:gene-LSAT_3X27741 transcript:rna-gnl|WGS:NBSK|LSAT_3X27741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRKRTRSHQKDQQNMGQTHHLIPDSYSNESCYTNSDAFLKQKHKTNSFFTVPGLFVGLNPKNSESDSVRSPTSPLDFKVFSKPNFGNFPIRASPKPNETTQKSWNCNKVGLSIIESLEDETKPSSGKILRSSASKSILFAPQMRIFNNPSLKTDPFDSLSNSLPKNYAIFPSTHIKTSNSKLISEESSSKFWSHSLDSSNLGSNLTTHPPNSNTRLSNTQNPGKDLVGSLSASEIELSEDYTCVRKHGPNPKTTHIFGDCILERHEDEFIPKSSEESDSKPSQVMTSYLSDDFLSFCYSCKKKLEGEDIYMYRGEKAFCSWNCRSEEILIEEEMEKKNNGEASSSLQSEIMQKEDNCEEIFETSMFIAA >cds-PLY90581.1 pep primary_assembly:Lsat_Salinas_v7:6:51731657:51732418:1 gene:gene-LSAT_6X37840 transcript:rna-gnl|WGS:NBSK|LSAT_6X37840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIHLSLRVAPLDLQQGGNFRIPYVHVPAARKSILVYIATAINTFLFLLTKHPLFLRSFGTSTKMGAFSTLFTLVIGGFRGRPMWGTFWVWDARLTSVLISFLIYMGALRFQKLPIEPTPISIRVGPIDIPIIKSSVNWWNTSHQPGSISRYGTSIHVPIPILILSNFANSPFLARILFVLETRLLIPSFLESPLTEEIEAQEGIPTYFPGEPVNTSTTKKGCDKKRSIFRALATVKRSSSDNSSNTRITIIS >cds-PLY85464.1 pep primary_assembly:Lsat_Salinas_v7:3:42263339:42269420:1 gene:gene-LSAT_3X32300 transcript:rna-gnl|WGS:NBSK|LSAT_3X32300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDHNITFIDDVDALRDDLTLKVRVINLWKHMSSYNKDNVWAIELILLDEKGSKIQATVYKKCLYRFINLLKDGSAFYITNPSVASQKPGIFKLTPQDQKLTFVQDTVVTECAEFSGTKFGFSFVEYESILSFLHPEDTSVDVIGLVVVVSEMMIDNPDKSKHRLTIHIQDASAVQLRVILWGDYAYKMQKYIDNNPPNQHVVVILQFAQITVRRDRPSVNTYFTSSKLFINSDIDEITLFKKSLDVDDRPDSTSNTISVIESKQLSEFDDFLVRTKLKTIAEIFEPLEISVTDFNVLKKNNQYGITRVSTNVDIIEQLENKFTDSQTGTTQSLDIGLGEFESQDNRNLKL >cds-PLY64515.1 pep primary_assembly:Lsat_Salinas_v7:8:124402900:124403939:1 gene:gene-LSAT_8X85741 transcript:rna-gnl|WGS:NBSK|LSAT_8X85741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I chlorophyll a/b-binding protein 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G19150) UniProtKB/Swiss-Prot;Acc:Q8LCQ4] MAFTIASTSHSSLSTRGELATKLPSVGRSNNPRYLLRSSHGLKLKAAKGGVSSVCEPLPADRPIWFPGSTPPEWLDGSLPGDFGFDPLGLGSDPETLKWFAQAELMHSRWAMLAVAGILIPEWLESLGFIENFSWFDAGSREYFADPTTLFVVQLALMGWVEGRRWADMVNPGSVDIEPNFPNRKKPKPDVGYPGGLWFDPFMWGRGSPEPVMVLRTKEIKNGRLAMLAFTGFVFQAIYTGQGPLENLTAHLADPGHVNIFSVELTS >cds-PLY73857.1 pep primary_assembly:Lsat_Salinas_v7:4:207238324:207239989:1 gene:gene-LSAT_4X117380 transcript:rna-gnl|WGS:NBSK|LSAT_4X117380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILSSSIVCFAFPGFSFGWGGGAGGDGDGSFGNGQGYPGLFPEFYSFSCPQANDIVMSVLESVIAKEPRMAASLLRLHFHDCFVQGCDASVLLDDNVMFASEKNSGPNSNSIRGFEVIDEIKSKLEEACPQTVSCADILALAARGSTVLSGGPNWELPLGRRDAIQASLSASNNNLPPPNSTIQNLIKLFRRQGLNVVDLVSLSGAHTIGMARCSAFKQRLYNQDGNDEPDSTLEITYSNGLKTVCPKTGGDNKISPLDFASPSTFDNTYFKLIMFGKGLLTSDQVLLAGNVEETMLLVKAYAEDYALFFDQFARSMVKMGNINPLTGYQGEVRKNCRMPN >cds-PLY74272.1 pep primary_assembly:Lsat_Salinas_v7:1:78359974:78360279:-1 gene:gene-LSAT_1X65841 transcript:rna-gnl|WGS:NBSK|LSAT_1X65841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTMTRIGIIEFHEDWFPFKFDLLSNPYVEDSNAVTYSKEDTKSNDRISDTWTPGKNKDLEEGEIEMENADNQTDGGDANDAANSGARDGSSGDTHVGDTP >cds-PLY79966.1 pep primary_assembly:Lsat_Salinas_v7:9:46114662:46123490:1 gene:gene-LSAT_9X42560 transcript:rna-gnl|WGS:NBSK|LSAT_9X42560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCNGERLHDGTKIAFRVRTELEVLDDGYRWRKYGKKKVKSSPNLRNYYKCYTAGCKVKKRVERDRNDSRYVIATYIGRHNHRAQSTIPCNPTGVWTLQFT >cds-PLY96436.1 pep primary_assembly:Lsat_Salinas_v7:4:343611574:343612388:-1 gene:gene-LSAT_4X170381 transcript:rna-gnl|WGS:NBSK|LSAT_4X170381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYYAKGHRYNPNEDEDVDDFDEFDPTPYGGGYDITLTFGRPLPPSDEICYPQSSASSGSYGSGGSSYQSHGGNSSYGGGSGIGRRNDDEGSGHRRQSGSGYGGRSEYDNPSSEYGSGNRRKNDLDGYGSGQGGGRRTESGEYGGGRNTYGRNDDDEDDNRRPSYGRRNDDDDDRRNTYGRRNDDDDDGRRNTYGRRNDDDDDDRKPSYGRRDDDDYDGSRGNRYSDDDRNRFQHRGRYDE >cds-PLY72727.1 pep primary_assembly:Lsat_Salinas_v7:4:373383719:373383916:-1 gene:gene-LSAT_4X184381 transcript:rna-gnl|WGS:NBSK|LSAT_4X184381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLVVRNNEDRQETGNEAAPIGDWRNSDVVVVAARNLPATTIVVESGNHRDNLVVAIPVVAEDEN >cds-PLY84022.1 pep primary_assembly:Lsat_Salinas_v7:6:189056313:189056549:1 gene:gene-LSAT_6X117001 transcript:rna-gnl|WGS:NBSK|LSAT_6X117001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVYRAIMQPKNDDAPSSYVRLPTGDSGRFQSSHINVFRSDQGRSSASPLPSSTTHRPNIGSSMLEFSHQAVM >cds-PLY78404.1 pep primary_assembly:Lsat_Salinas_v7:3:235455490:235459176:1 gene:gene-LSAT_3X132380 transcript:rna-gnl|WGS:NBSK|LSAT_3X132380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSFHRKFHHPQYLLLRPSTTFLLPFTTNLRHPQSPRSTMSVSTSSLQENTEQLLKQVLTYHNQTKHSFTNYARGPRGLDWDNQPNPFRRYISAPLSPLLHPSNSDSSPLYDSLFNSLPPPKPISKTTISEFFYDSLALSAWKSTGFSTWSLRVNPSSGNLHPTEAYIISPPIESVSDSYFVAHYAPKEHSLEIRTQIPSEFFTNFFPNGSFLIGLSSIFWREAWKYGERAFRYCNHDVGHAIAAVSMAAAGQGWDVKLLDGLGHDELKKLMGLDVYPEFKIPSTTVKGKLNEIEFEHPDCLLLVFPNGIQEIDINYRDLSLAISKFSKLDWKGEPNSLSKEHICWDIIYRTSEAIKKPLTIEKNFIIESFQKSGNLSANSYNPLTLRELVRKRRSAVDMDGVTSIEKEKFYQILLHCLPSGFQEGQKRQLGLPYRAMDWDSEVHCVLFVHRVVGLAKGLYFLVRNEEHFDDLKKATRSDFKWEKPEGCPLELPLYELGRADCMKLSKHLSCHQDIASDGCFSLGMVAHLEPTLKNKGMWMYPRLFWETGVLGQVLYLEAHAIGISATGIGCFFDDPVHDVLGLTGSNYQSLYHFTVGGPVVDKRIMSLPAYPGPINDT >cds-PLY74943.1 pep primary_assembly:Lsat_Salinas_v7:5:233305335:233307275:-1 gene:gene-LSAT_5X116280 transcript:rna-gnl|WGS:NBSK|LSAT_5X116280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVGDSASPYSGLGKSACLVETLNPQVAHYLNHLIAPNLASFTLKELLEEEEEERSKEKSRGDTGAAAVSFQSHTHNSQFTRYFRKQREELGMMASHTPQLKFLSWESIQFLDMF >cds-PLY98926.1 pep primary_assembly:Lsat_Salinas_v7:7:51962267:51970776:-1 gene:gene-LSAT_7X37821 transcript:rna-gnl|WGS:NBSK|LSAT_7X37821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYSRPSLSVETPTIHLDGKEHIIRTGCGSVSVTVYGDQEKPPLITYPDLALNHSSCFQALFFCQHSASLLLQNFCIYHITPPGHELGAATICMDDPVPSVEDLSDQILVVLNHFRLGSVMCMGAMAGAYILTQFAIKYSERVTGLILISPICRAPSWNEWFYNKFMSNLLYYHGISDLLKELLIHRYFSKEVCGNINLEVPESDIVRSCRKSLNERDSINVWRFLQAISRRRDITENLKSLECRTIIFVGDSSPFHNEALHMNANLGKTCSSFVEVHACGSMVNEEQPHAMLIPLDSFLMGYKWYKPYRFMGIPRSPIGPRCIAPELLYPENMGVKLKPIKTRVSPLRPRTQKS >cds-PLY97490.1 pep primary_assembly:Lsat_Salinas_v7:1:193059980:193061937:1 gene:gene-LSAT_1X126560 transcript:rna-gnl|WGS:NBSK|LSAT_1X126560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGARGGGRSGSHIGGRDARDRNASESHNNAESQPSSSVRGSNILEQVPSNPSKRKFIEVDSEKEFTDQISVIRAIACILKTMFDGPWTSWKKVDKEHRDAMWEHFKGLYVWPEATDVLARKVWEDCMKKRFPDIMRRAREESLKLAKAANVNASLEGDLNLLKDYHPNWIKKEYWEKMINEVWTTSKWKRLSQSGKNNRNKLEDGSVSKHTGQATLKRPPTGVELYARLHTKRSTQEYITPKAAKVKEAYESAMVAKFGDDTSCHPLLDNETWCDVSGGVKKGRIYGFGSVSDPTRFLEGTSSTITSQEVVYERVRNEMRGEMDAKAAEMEAKHQQMREEMDVKAAAIDAKQQQIDAKYEAMEKMYATLQNMMRN >cds-PLY75574.1 pep primary_assembly:Lsat_Salinas_v7:9:33481358:33482573:1 gene:gene-LSAT_9X31200 transcript:rna-gnl|WGS:NBSK|LSAT_9X31200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTQKREQKTSKGVKEKAPSLQENRNREPTECSKMGNRLHVSKHHERKTSAMAYKNQRSESIVHEVGDLVKHSSNLPSYLQCGGKTGNIQEKTFDFGVLDWNLLQNWKSNTNCNTKPSISINNPAQKSKSPNLQALLQLRMKNDIPFFKLMADSSNNTLVATVKKLPSGKDDSSLTYTFYSVHETKNKIVGHMKISSSYHAEFSGLERDLFVERESVLYDPDLGQSELAAIVVKNTSKEKYGGLGRSKSTVVVLPGDIHTLPKSGKPSSLINRWKSGGACDCGGWDIGCELKVLTNQSESTEIVNPSTSDHIDLCYQGMGNNNCAFSLASLENGVYSVEYNASISLLQVFSICVAV >cds-PLY92844.1 pep primary_assembly:Lsat_Salinas_v7:5:329073710:329075522:1 gene:gene-LSAT_5X181801 transcript:rna-gnl|WGS:NBSK|LSAT_5X181801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEWGIFLVTAPMFRRTNAAGGVQNRPAEDGRVHVVEPRAREALKIQLPGPYGGGPTLVLATDDGAASRTGTGARSCSVIFQMS >cds-PLY84896.1 pep primary_assembly:Lsat_Salinas_v7:8:67693524:67693883:1 gene:gene-LSAT_8X48181 transcript:rna-gnl|WGS:NBSK|LSAT_8X48181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLSSSQSLFHLLLICIFIITITSSTTLPEASAITYQPNRRHQLHYHNSCITISRKEHPKPLCNSRCPPPLPPLLHRHPQVQPPPPPPPPPPINEEIDPRYGVSKRLVPSGPNPLHN >cds-PLY84248.1 pep primary_assembly:Lsat_Salinas_v7:7:78165515:78167360:-1 gene:gene-LSAT_7X55981 transcript:rna-gnl|WGS:NBSK|LSAT_7X55981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLSSAMDGVIRLFKCVNGFPENESEGLASIPFCSSRLLLTSMQATSLIGNRVSSVNTIQQNIGCSVRILPSGEASLEPQAADALVPPSTQTLPPLPATETPAEPQKEPMVEVPPEMPQVQPTSEVVVIETMSVFEEEILGVLKMIAHNINKKPEPPPKPTFEDCEKKLNELGWPKDDPLHLVALAIFCDENDNYRELWMKLDPDVCANWVRMIGRSKRFS >cds-PLY66814.1 pep primary_assembly:Lsat_Salinas_v7:5:45980031:45982269:1 gene:gene-LSAT_5X23180 transcript:rna-gnl|WGS:NBSK|LSAT_5X23180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILFTRLTSVKRTTATATFSIDTAFRTLKTAMTDQMSNGKMGNGRARDHETNDDYFATIHHISNIVRRDIYLERTLNKMCISRIVNSELVYRVLRSCSNSGIESFRFFNWVRTQHPQYEPTTVEFEELLKILAKTRHWETMWKVAHQMKTQKLPISSSVVSFIIEHYGKNGLIDQAVELFNKCKNFNCTQTTEIHNSLLFALCEVKNFQGAYALVRRMVRKGITPDKKTYSILVNGWCSAGKMKEAQSFLEEMSQKGFNPPVRGRDLLIDGLLNAGYLESAKGLVRKMTKEGFVCDVTTFNTLSEAICEWGEIDFCIALYHDVCRLGLCPDIDTYKIMITATSKIGKIDEAFSVLYRSIEDGNKPFPSLYAPILKGLFRNGRFDDAFSFFSDMKVKGHPPNRPVYSMILKMCVRGGRYVEAGNYLMEMIEFKLSPMSRHFDMVTDGLKNCGKHDMAKKIEQLEISLRGM >cds-PLY78346.1 pep primary_assembly:Lsat_Salinas_v7:5:210345187:210358069:1 gene:gene-LSAT_5X95460 transcript:rna-gnl|WGS:NBSK|LSAT_5X95460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTLVNYRGDDDYHTGGSLCSKDSTRLLSVYGPPCKRQRISDSYFLFFEEDQKPSIEVLPEECLYEILRRLPGGQSRSASACVSKRWLTVLSNIRISEIDNGSSTDVEMISPENDGFLTRCIEGKKATDGRLAAIAVGTSGRGGLGKLSIRATNKVTKSGFTAIARGCPSLKVLSLWNLPSIEDESLIEIAKECHSLEKLDLSHCPSISNKGVSAIAESCPNLSSLTIESCKNIGNETLQSIARHCPNLQSISIKDCPLVGDQGVATLLSSPSSNLKKVRLQSLNITDFSLAVIGHYGKSITNLSLISLQTASQKGFWAMGNAKGLQSLISLTVTSCYGITDLSLESIANGCGSLKQMIIKKCCFVSDKGLISFVDSAKSLECLQLEECNRISQHGVFGVLSCNSKLKSLSVVKCMGIKDSAESGLESELESGLGSVRSLTIKDCIGFGNTSLAIIGRLCPDLENIELSGLCGITDSGLLPLLENRNTGLIKVNLSNCVNLTDKFVVDLARVHGGTLEVLNLEGGRRITDDSLAAIAENCVLLNDLDVSKCGITDSGVSCLSEGVQINLQILSVSGCSKITNKCTPFLKKLGQTLVGLNIQQCNSITSSAVDSLVTNLWRQTDTDLFVTLLVSLESETVSTAAMVGHTKPFFSCLVRLAVVGWGSGSGRMVRVMVRCGLKVKEGASEDGGGGLKVVVMKVGGGGDRQWGAVEMSARWWHEEFRQPTAAVCCLTSVVD >cds-PLY66468.1 pep primary_assembly:Lsat_Salinas_v7:5:327131942:327133300:1 gene:gene-LSAT_5X182700 transcript:rna-gnl|WGS:NBSK|LSAT_5X182700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFSRYAFGFLMFVVACFTIGNSQPMLNSVEQESVYRVLESLNSDVPWRSLFPDDLCSSAPHGVVCDYASVTGTLNIVELSFGYVSDFNSNPTCSPNSTLLDPFLFSSFPHLRKLFFYKCFTQQPVSLPDLSRVGSVLEELVFINNPTLFGSLSDNIGNMTSLRRLIITGTKVSGKIPVGFGELTNLEEATLSRNSLTGEIPENVSNLKKLKVLDLSQNGFSGNVPGTIGGLENLLKLDLSSNYFSGEIPETMKGLRVLEFLDLSDNGLVGGGVPLFLSEMSKLKGVYLSGNELGGVIPDIWKNLRGVNGIGLSRVGLVGGIPVSMGVFLGNLSYLGLDNNKLTGEVPKEFENLELLSELNLNNNNLSGKIPFSVGFMGKVGGKLRLEGNSELCVDEGVINSFYKVKIYNAVENPRSALVYGYGISSSRRVGDSTCCWALILVWVVVNLL >cds-PLY88684.1 pep primary_assembly:Lsat_Salinas_v7:5:67446972:67454580:-1 gene:gene-LSAT_5X31640 transcript:rna-gnl|WGS:NBSK|LSAT_5X31640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEGTHLSLEGLMWSGPKEPTKQLSFGYMALVKWDQGFNVEDMSENATDDVEGLDASATHIANMLTNERDDIKLGVAGFSMGAAMALYSATCRVLGQYGNGNRYPINLSVAVALSGWLPCSRIVRSRVHASQEAARRASLLPILLCHGQVDDMVDYKHGEKSAQTLRSTGFQNMTFRTYNGLGHYTTPEEVNDVCYWLVARMGLSGYGV >cds-PLY93282.1 pep primary_assembly:Lsat_Salinas_v7:4:300927397:300931155:-1 gene:gene-LSAT_4X150781 transcript:rna-gnl|WGS:NBSK|LSAT_4X150781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRELHRRRTPLRELPPLHVVPEKSVILFPIAITFYVTWWFIHFVDGFFSPIYTLLGINIFGLGFVTSISFIFLVGVFMSSWLGTNLLSLGEFIIKKMPLMSYIYTASKQISVAISPGQSSNAFKEVAIIKHPSVGEYAIGFITSTLILRKNSGAEELCCVYVPTNHLYMGDIVLVNSKDIMRPDISVREGIEIVISGGMSIPKVLTTTNPQSVIAPRVGKYVIPQV >cds-PLY82379.1 pep primary_assembly:Lsat_Salinas_v7:7:109056123:109060697:-1 gene:gene-LSAT_7X69720 transcript:rna-gnl|WGS:NBSK|LSAT_7X69720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPIAIANSASSSIFVVPYANSGGSSSKIVAQKNKHPQSPKGSEPAPARITSNVKQNLQFLKLWKEYQKRKSGTPKPATSYRRKKVEKGDLPDDDTELYRDPTLTLYYTNQGIDTAVPVLLVDGYNVCGYWPKLKKHFMGGRLDLARQKLVDELITFGMLREVKVVVVFDAMMSGLPMHKENFAGVDIVYSTETCADAWIEKEVAALREDGCPRVWVVTSDRCQQHAAHGAVCHPFSLSLSLSLSLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFSPVPFIFCVTYNAF >cds-PLY70630.1 pep primary_assembly:Lsat_Salinas_v7:4:226859471:226861845:1 gene:gene-LSAT_4X124221 transcript:rna-gnl|WGS:NBSK|LSAT_4X124221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESRRSENPPRVQPWTEEDTSNISPLSIVPQQGSKEEDMIDKLPGQPQVDFDHYSGYVTIDSKAGKALFYYFAESPHNSSTKPLVLWLNGGPGCSSFGYGAMEELGPFRVNSDGKTLYRNDYAWNNVANVLFLESPAGVGFSYSNTSYATGDKQTAKDSYTFLINWLERFPEYKTRDFFITGESYAGHYVPQLASVILSENKKTKTNGTMINLRGIAIGNAVIDDIATDEGMYDYYWTHALNSDETNAGINEYCGYGSGNFSAKCFHYQSQSGSEYGEIDIYNIYAPFCDGSIQKPATGSVKSFDPCSDDYVSSYLNRADVQEALHVRNTSWAFCAGIGMIDSPTTILPTITRLIENGISVWIYSGDTDGRVPVTSSRYSVNKLKLPVETAWRPWYYNKEVGGYVVGYKGVVLTTVRGAGHLVPSYQPERALQMISSFLQGKLPPSLA >cds-PLY62285.1 pep primary_assembly:Lsat_Salinas_v7:5:162420645:162424248:-1 gene:gene-LSAT_5X71201 transcript:rna-gnl|WGS:NBSK|LSAT_5X71201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLVPGVLLKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGGELFQNQGFYLKVSDSSHATYVSLPDENIDLILSDKIQLGQYVHVERLESATPVPILHGVRPVPGRHPCVGTPEDIVATHSLGFLNNNGSSTSSTTTSKLLGNIKLPSKKDTNPTTVSVRSNGAIESKKTSSTSSTLARSKSQLSKLVLNVESRQSLAKVKPSRSIPSSPTSCYSLPTSFEKFSNGVKNQSKIKGSDKEIGKLNLGEKGSGVSSSVKKSGIGNSIKNFVQGIELGPKALRKSWEGNMDVKTPRLKVIKNDLKIEARSTSVPRKSTSERIPYKEEDNNNKSQSLTKSLNKEENKIQTPVKRSMAYGDSLDHDHSNKQKPTLGRKSTAEASTNGLPGNLVKVSLSNRRLTDASAASWSSLPSSLSKLGKEVLKHRDAAQIAAIEAMQEASAAESLLQCISTYSELRCSAKEDNPQPAVEQFLALHSNLNNAHQISESLSKTNHLNSSLDQEETPSEEQLKLSSERQKQATSWVHAAMATNLSSFSVYTKQPKLTTTTTTKTLTKPVLVLESSTNAPSPKTAKPRQSKLKVESREWFLGFVERFLDADVDTASSLSDNGQIAGMLSQLKSVNDWLDAIGCGKDEEETSCISPETIDRIRKKIYDYLLTHVESAAAALGKP >cds-PLY83372.1 pep primary_assembly:Lsat_Salinas_v7:5:95240539:95244196:1 gene:gene-LSAT_5X43780 transcript:rna-gnl|WGS:NBSK|LSAT_5X43780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDAYIFGVDLLGFVHVPVEETAISXIPTYSLCISGVDSNLHEEADLVVEHGKPVNDHNTPDLNFPNTVVPGMPEFDRKLGAPAKRMAPLVTLQKKVYMSILRRELPKLLALSSRTCSHQSLENSVIQLRKAGSHPHLFAGIEPEPYEEGEHLVQVTRERALRNWVFDETAGNIHREFGSGYPGGVVVSIQSRLRAMVARDEFRRRRNKAATIVQVDLE >cds-PLY97435.1 pep primary_assembly:Lsat_Salinas_v7:4:17050132:17050470:1 gene:gene-LSAT_4X12120 transcript:rna-gnl|WGS:NBSK|LSAT_4X12120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNTLSSTPPPLWKFLLLLLLLLLITFTSSKKSPETSIHHRLHDHHCATATISTPKHPHPPCLQSQTLYPRRPPQPPLPPQHLQPPPPPEEIDPRYGVAKRLVPSGPNPLHN >cds-PLY90796.1 pep primary_assembly:Lsat_Salinas_v7:2:106419534:106421341:1 gene:gene-LSAT_2X47620 transcript:rna-gnl|WGS:NBSK|LSAT_2X47620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALAVEKTSSGREYKVKDMSLADFGRLELELAEVEMPGLMSCRTEFGPSQPFKGARITGSLHMTIQTGVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERSLDWGPGGGPDLIVDDGGDATMLIHEGVKAEEEFAKTGKLPDPTSTDNAEFQIVLSIIKEGLQINPTKYHKMKERLVGVSEETTTGVKRLYQMQANGSLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCASAMKQAGARVIVTEIDPICALQALMEGLQVLTLEDVLPHADIFVTTTGNKDIIMVDDMKKMKNNAIVCNIGHFDNEIDMLGLETYPGVKRITIKPQTDRWVFPETKSGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNEKGSGKYKKQVYVLPKHLDEKVAALHLEKLGAKLTKLSEDQADYISVPVNGPYKPAHYRY >cds-PLY77512.1 pep primary_assembly:Lsat_Salinas_v7:4:50771250:50773453:-1 gene:gene-LSAT_4X34160 transcript:rna-gnl|WGS:NBSK|LSAT_4X34160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSFELAILLVFTGILFWQWWSTHVHRKKLPPGPIPLPIIGSLHLLGNLPHRALHKLSQKYGSIMSIRLGSIQSVIVSSPEAAKLFLGTHDAIFASRPNTEAAKYLSYGSKGMTLTEYGPYWRSVRKFCTLELLNVMKVKSFAGMRREEIRLMVEEMKVASMERKVVDLDEAVGALVDGMTCRMIFGKKNKDRSIFKDVLDETMELAGAFNLADYVRILAPFDLQGLTKRFKSLEKGIDEMLETLINEHEEHNLNGSQRSDEMDFIDILMSLKQQYSNTHGELSYTIDRSSMKAILLEMVAGARDTVKTSIEWILAALIKHPRVMKELQKELKTVIGDKNEVEEADLTKLTYLHMVVKETFRLYPVSPLLIPHESMEDVIVDGYYIPKKTRVIINYWAFGRDPNLWSENWEEFLPERFLAKDIDFRGADCQLIQFGIGRRGCPGMNMGLLNVGLVIANIVHCFEWELPDGMSPSDLDMNEKFGLTIPRIKPLLAIPIYRN >cds-PLY93317.1 pep primary_assembly:Lsat_Salinas_v7:1:136229141:136234718:-1 gene:gene-LSAT_1X100221 transcript:rna-gnl|WGS:NBSK|LSAT_1X100221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIISSHSTPCYHLATLRHNFPEKTLDSHSLPSKFSRRRKHKFLHTSLPSTFRLNNYKTVRKSTPLNTLKSAEGVINGDETLENVTKRRQPNVSIPKVVIPGLPDDSKGDVSAPVRSGEWEWKPKFKIHYERSGSRNLDSPQILFLPGFGVGSFHYEKQLKDLGKDYGVWAMDFLGQGMSLPCEDPTQSNGDLEIGRNSMWGFGDETEPWADDLVYSIDLWQEQVRYFIEEVIKEPVYIVGNSLGGYVALYFAACNPNLVKGVTLLNATPFWGFFPNPIRSPKLSQLFPSTGTFPLPPRVKKIIEIVWEKIRDPRTIGEILKQVYADHSTNVDKVFSRILEITEHPAALASFASIMLAPQGEVSFGEALSRCKIHGIPICLMYGKEDPWVRPIWGLQVKRQLPDAPYYQISPAGHCPHDEVPEVVNYLLRGWIKNLESQGFIALPLLDDESGRYDVAKDLEYVRDGTKKSVRVWFYGSEFSMWSKFSSFVNTQIQDLSFKLR >cds-PLY74659.1 pep primary_assembly:Lsat_Salinas_v7:5:176681398:176683418:1 gene:gene-LSAT_5X78620 transcript:rna-gnl|WGS:NBSK|LSAT_5X78620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDKSVPQQQRRRKVAKTEVANGSGGGDGGDTEGTETNGLTKIITSFFFVEEQEKSDKSQVEDKMMFEANHKKEHGDVFDTSNPIKKRKSRTAKPAASIPSDAAAAVDFGYDLNLATSSGGVATEKGGDGPQRRLWVKNRSQDWWDKYNSSDVSEDEFRKAFQMGKDTFEMICNELTASVAKENTMLRDAVPVRQRVAVCIWRLATGESLRLVSKRFGLGISTCHKLVLEVCSAIKDVLMPKYLQWPNDDSMRVITNEFESLSGIPNVVGSMYTTHIPIIAPKVNVAAYFNKRHTDRKQKTCYSVTVQGVVDPRGVFTDVCIGWPGSMPDDQVLEKSALYQRANGGLLKGVWIVGGSGYPLMDWVLVPYTQPHLTWTQHAFNEKIGDVQKVSKDAFARLKGRWTCLQKRTEMKLQDLPVVLGACCVLHNICEMRREEIEPELMNEVVDDEMVPDIALRSAVAMKARDSMAHNLLHHNHAGTSFLS >cds-PLY91739.1 pep primary_assembly:Lsat_Salinas_v7:9:17076954:17080853:1 gene:gene-LSAT_9X16721 transcript:rna-gnl|WGS:NBSK|LSAT_9X16721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANCRLFPLLILLLLSFQSLLTAHEDPSYKDIQVGVILDMESGAGKVIYHCITMAISDFYAANPDCKTRIVFMTSDTKGEPLHAVSAALDLLENTQVQAIIGPESTAQARFLEVLGDKANIPILSLSTTPFSNRNPNLLGIAQDETSQFKGIAAMVELFKAKNVIVICEDTANGREMATYMAREFQEKNIHVTYTSLISTSDSNQQVREELHKLQTMQDTVFIVHTPPSLASNLFSMAKELGMMGEGYMWIVTSKTMDLLGSMDAEAIESMQGAVGFRSYFPSSKELHNFLLKWRKEHDALDPFMEVDSNGIWAYDAVYALAMAVERVQTTSLLDEMLRFKFHGLGGEFKFMNGRSISKPMEVVNVIGKGDRSIGFWMMDTGEFVKEIEKLNSSSNQGLESIMWPGGTTTLNPKRRMLQTNGNKKLRILFPGSSRFQNIAQISVDPRTNLSVVSGFCGDVFNAAFNSLDYGVGVEVVPFSSKDGITYNDVIQKIYLKEFDAAIGDFTITANRSLYVDFTLPFTDLGVGIISRNAKDSMWIFLDPLSANLWITTAFFFIFLGLVIWFIEHRTNEEFQGSAPQQLGTTLWFAFSTLVYAHREKLQSNLSRFVVTVWVFIVLVLTSSYTATLSSLLTVQQIGMKEMSIGFQGLSPVGVVYNKLNVVEAWSEKLYAPEDYAKALTTGRFDAIVAEILYMKTFLAMYSGADFSLIATAPTTNGFGFAFQKGSPLAREMSTQIAKMCEDGTLKALEDKWLKRESALISKDFSSPTPKLLNLYGLRGLFLISGVSMVSALLVSIICLVREKWRMKDKMKIWRCVLHRSSEIHAHESDVESTV >cds-PLY75799.1 pep primary_assembly:Lsat_Salinas_v7:3:68495810:68496885:-1 gene:gene-LSAT_3X52020 transcript:rna-gnl|WGS:NBSK|LSAT_3X52020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSETNVGSKDLNLVDNDQKVEVNNDSSSQEIPKDLEKAEDNPMPTPAQEEAVIKKKYGGLLPRKTPLISKDHDRAFFDSADWALGKGSQKSKGPLEALRPKLQPTPHQQVRSRRSSYAPADEGEVYKTFLSLLVTVDDGSLNLTASEDQGQELELSMDDKSHSEDQNHMI >cds-PLY93840.1 pep primary_assembly:Lsat_Salinas_v7:6:142113853:142115786:-1 gene:gene-LSAT_6X84741 transcript:rna-gnl|WGS:NBSK|LSAT_6X84741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDYEDSKDESLIEKIHDHKNSSSSSSSSSDSDDDSKLSSIKSNVFRLFGREKPVHKVFGGGKPADVFLWRDKKLSGGVLGVATAIWLLFEVLEYNFLPLICHALILSLSVLFLWSNASTFIKKSHPQIPKIYIPEEPLMQIVAMLRIEINQGFATIQDISSGKNLKKFLLVIAGLWIVSSIGSCCNFLTLVYTLFVLGFTVPVIYEKYEDKIDPFGEKAWIEIKKQYAVFDEKVISKIPKSLKNQKKE >cds-PLY89277.1 pep primary_assembly:Lsat_Salinas_v7:2:48467514:48467873:-1 gene:gene-LSAT_2X21640 transcript:rna-gnl|WGS:NBSK|LSAT_2X21640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLNTRIVTSSSEFNTLQERFGFLPEHDMDYPRKGAIISHPPEEKVGVPILIFEAGLRLPTTDFFEEIMRQYGFHVDGLTSNPVNMIVGFELVFQALGVLPQLWAFKAFFNSSTQSGV >cds-PLY90337.1 pep primary_assembly:Lsat_Salinas_v7:2:198425353:198426131:1 gene:gene-LSAT_2X119460 transcript:rna-gnl|WGS:NBSK|LSAT_2X119460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTELCGSNTGGYSWSLLLDNNHKLRKITIDHYDCIFSVRFTTEDLSGSLHSTQRYGGDNGLSPGKITEVHFDADEEITGIRIGVTSEIGGLVLIASIYIMTNKNGHGPFGKEPVSFFSEFWDAGSFAGFYGRAGHFLDGFGCYLKATM >cds-PLY90107.1 pep primary_assembly:Lsat_Salinas_v7:6:17752888:17754219:-1 gene:gene-LSAT_6X13421 transcript:rna-gnl|WGS:NBSK|LSAT_6X13421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAASIPSIWASMNSWFTPTVLFVFLNLMIGTILFTSNLPNHNKPEDQKEKSQNDTTQSKLARSPSILHRIRSFNLYPPRSQQDSTPVTHHQPHPHHQQQPEETLETAATEYVFNHPFPHHQDVQPVSTHTDNLDLNPTRFDSFEQEANADADAARYADFNHTNEIQLANVETHFVFEQNHTVERVTTHFDFGSVDGETLLDTSSIENHEEEKDQFQSLDEVYSSITGGHVNRTKSDTLPASGELPVKLPAKMKKSASLKSAFSHFEEEKIVEARRPATVRERRSAARATETGDVEVDARADDFINKFKHQLKLQRLDSIIRYKDMVNRGTEK >cds-PLY69296.1 pep primary_assembly:Lsat_Salinas_v7:4:104955498:104956118:-1 gene:gene-LSAT_4X67360 transcript:rna-gnl|WGS:NBSK|LSAT_4X67360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPDYEQSQVLDVDEGHDYSKSILPFIRSQASKQSHVIQEEMVNHEIETQEEVITTAVETEEPVGTYHVQEPSCQADDVANTATVDYFEAFVEDYSLYADYDVEFNVQNSCEQQPKVDYLEGMVNDDSGEAFYSESGHGSEGSGDDSNDIEYNVDDSNIQFYVDVDMSEFHNVVDVDEHGILNNHSKDERNDMVDDELEAIATDDY >cds-PLY93129.1 pep primary_assembly:Lsat_Salinas_v7:3:55607077:55608505:1 gene:gene-LSAT_3X43101 transcript:rna-gnl|WGS:NBSK|LSAT_3X43101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSIPLDDPPAASSDDEETEDEQEQHVITHKANAESLSSEEEEEEESDEETIGAVKNPYGKPTIQNPNSKTGESSSEEESESDSEEETPTPLKTSIVKRQTLPTKSSSTLDSNSELRTPTASDFAIIPSKKINALPAPEKIPISKRPSSESDVKDKKKVKLSNGDADDEKKSVNKRVWSDDDEILLLQGFIDYQSIKGCSPLSDMDGFYQFTMDSLPGNATKSQLYEKIRRLKKKFRVNSEKASSNGEDPVFPKPHERKLFEVSKKIWGINGCESVSASAAAGGSNPKPKTKPVKVIPKIEVKEEDEDDKTTIAKVEDFETLYPYWNVALNSECSSSFRFPTGVVALIKENLSLIGEAKAKEMNEKWEAVFENEAVLRRRRIALLSSVNDVETTSLGK >cds-PLY95286.1 pep primary_assembly:Lsat_Salinas_v7:8:112846252:112846521:-1 gene:gene-LSAT_8X74521 transcript:rna-gnl|WGS:NBSK|LSAT_8X74521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEQSFSYGGFRVADLDLPTDDELHAMETQVLIEEMRDEMRQQLGNFREEIHNLKRKVTMTGVVGVAVMSLIWVRVCVECSRWGFWWV >cds-PLY69581.1 pep primary_assembly:Lsat_Salinas_v7:1:13062070:13062264:1 gene:gene-LSAT_1X10841 transcript:rna-gnl|WGS:NBSK|LSAT_1X10841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARQPKRKNMKRKRNTRAVRMGAEKKARKRLKIRRKRRTATRLVVRVERRRRKRILKIKRIQKS >cds-PLY99771.1 pep primary_assembly:Lsat_Salinas_v7:9:52899795:52900352:-1 gene:gene-LSAT_0X8200 transcript:rna-gnl|WGS:NBSK|LSAT_0X8200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPDILTDFIPPPISFGPPGGNYFTFTGMRTLVGAPFPTTFKVTKAAMAEFPALNGQSVSYAVLQFPSNTVNPPHTHPRASELLFVLMGSLDVGFVDTTNKLFTQKLQQGDIFVFPKGLVHFQFNSDAKSPALAVSAFGSANPGTVSVPNSVFNTSISDQVLAMSFKTDVATIQKIKSGLVGK >cds-PLY84897.1 pep primary_assembly:Lsat_Salinas_v7:8:67717308:67720543:1 gene:gene-LSAT_8X48101 transcript:rna-gnl|WGS:NBSK|LSAT_8X48101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g69350, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G69350) UniProtKB/Swiss-Prot;Acc:Q9C507] MTQYMPLFRSCYTTRTLTQLHAHLLVTGQHRSHLASTKLIESYAQMGPLDSAKLVFQTFPRPDSFMYGVLIKRYVWYGLFTEAVNLYYNMLHELTLISSFIFPSVLRACSGSRDLDVGQTVHGRIIKSGLESDPVVQTSLLNMYGEASYLSHARKVFDEMPERDIVSWSSIISMHVRNSQAIQGLKLFREMVTDGHEPDYVTLLSIAEACGELGLGLQSKSIHSYTITRNIKSNDNNTLISSLIAMYGKCGELYTAETLFNSVSNHCTSSWTSMITCYNHNGYFQEALEVFNKMQESKTEPNAITMMGILSSCARLSSLKQGKSIHGFVIRKHLDPDNNILESSLIDLYANCGKLTSCHKIFDTAKNRHLVSWNMVISGYTRDGMFDHALDLFKQMMSCKMSPDEFTIASVVSACGNLNYFNHATQIHGYATKTGILNEFVHNSLIDMYAKCGFVNIAYNIFNNMDHKSVVTWNSMIWGFSYNGKLIEAMNLFDHMFLEGLEMDEVTFLNAIQTCSDLRHLKKGKCIHHKLITNVDRYIDTYIDTALVDMYAKCGDLFMAQRVFDMMSDKSVVSWSAMIDAYGMHGYVDFAISVFDEMIKSKMRPNGITFMNMLSACSHAGYVEKGKFYFESMKDFGVEPNLEHYSCLIDLLSRAGHLDDAYGIINSIPFPPNSGVWGSLLNGCRIHRRLDIMNRIQENLEKIDDTGYYTLLSNISAEGQDWKQFEDVRSKMKTTGLRKLQGCSMIQTR >cds-PLY67095.1 pep primary_assembly:Lsat_Salinas_v7:5:282609253:282611385:1 gene:gene-LSAT_5X148401 transcript:rna-gnl|WGS:NBSK|LSAT_5X148401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRASSFSTFTSKREGGVSLVQGASRGLGLEFVKQLLVKNSQEHVIATCRNPNGAAGLIELKNKFSERLHIQRLDLTIESTIEECARDIGERHGYLNLLVNASGILSIPDVIQPETTLTKVERSSLMLAYEVNAVGPTLVTKAGGGSGTQRDVAVVANISARVGSIGDNRLGGWHSYRASKSALNQLTKCIAVEFARKKDPIICILLHPGTVDTDLSKPFQKNVPKDKLFSKEFSVERLLGIINSSKSHDNGKFFDWDHKEITW >cds-PLY68841.1 pep primary_assembly:Lsat_Salinas_v7:3:61837817:61838279:1 gene:gene-LSAT_3X48301 transcript:rna-gnl|WGS:NBSK|LSAT_3X48301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMALLLTSWLNGRRLRYLILALCLPLLIPIVFAIFPFLCAVEICFRFCRRGRKRCRLKSGPPLDAEGGGQVVVLVELNLLERYLDDQLGLALEIACECDDETDDFYSNRSYLLC >cds-PLY73094.1 pep primary_assembly:Lsat_Salinas_v7:2:82104300:82106313:1 gene:gene-LSAT_2X35760 transcript:rna-gnl|WGS:NBSK|LSAT_2X35760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRILWSVHLKVTIFGTQAYQLSQYLKENPTVNYVVIVMQFVKLNIWNGVGQAKSHFEVTKMFINSDIVEINEYKKRLKADDNVGKSEKKHYFTPKLLFLYTDDFKGTFPLKAICEITEPLKCKDLSCNNSDFHTVIKYIIPINVQDGTGTIGLTLFDREAKRLLDISAYELKKIHEAAGDSDTLFPMQLNVLKNHKFAFLVDITKYNVNNYNNICTILKLTEDVSIVSKLESKLELMSIESVSLNQVPLESDDVVQPVQKDVISQTDESFTPSTIDKSTATSLSKISTDLKRNLQDIYDVDSGDDLSSTKTKRKSIGEETPLLIPKVEK >cds-PLY86338.1 pep primary_assembly:Lsat_Salinas_v7:8:28028756:28029405:1 gene:gene-LSAT_8X22700 transcript:rna-gnl|WGS:NBSK|LSAT_8X22700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSADLEFRLQELPAIQLTSLTLKLPSQEQESSEESCRTQSEQVEECVTPTSPEHRIPEILRCPPAPKKQRHGASPSCKRRLTEFQFFEVVARDEIDSFFKASYELINRNSSKKRRCPL >cds-PLY69156.1 pep primary_assembly:Lsat_Salinas_v7:5:286870077:286872976:1 gene:gene-LSAT_5X151900 transcript:rna-gnl|WGS:NBSK|LSAT_5X151900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLACALIRPVLNLANPRFISERIENVVQNSARKPEKVSACSKCFNSPVAWSGKLNAIACASESCARIPSSNANPPFWIPIHIVIPERPTESTVFNVIAVAHRISTVIDSDMVMVLSFGEMMEYDAPSKLMESDSYFSKLVAEYWSICRT >cds-PLY69110.1 pep primary_assembly:Lsat_Salinas_v7:1:161575576:161577652:-1 gene:gene-LSAT_1X108700 transcript:rna-gnl|WGS:NBSK|LSAT_1X108700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQHSKDQMYLTKTEWATDVVVGLKTIGTMVFSIVVKTVREKWKSMSAIRSSATTYRLITSKDLGSFQINVGHIDESVRYTCQFCSLWFCPIPGRFCQFSTFTLY >cds-PLY64392.1 pep primary_assembly:Lsat_Salinas_v7:4:22575017:22577302:1 gene:gene-LSAT_4X14800 transcript:rna-gnl|WGS:NBSK|LSAT_4X14800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQTAAPPQPPPLSATTAATTATINTTTTTTHPDSVETSPRSRNNDCWAEENSLYPSNNGSTAAAAPGGSAKLRLMCSYGGHIVPRPHDKTLCYVGGDTRIVVVDRHTTLSDLTHRLAKTLLRSSISSTTASFTLKYQLPSEDLDSLISVTTDEDLENMIDEYERLNSSTDVNKSSRLRLFLFPSKPESVSSIGSLLESTNKSEDWFLNALNGTSSMFSDTSSVNCLLGLEDDVSIPEKKDANHKGVIGKHLRGNNSVQDIQSVPDSPMLETSSSFGSASSSPSLANLPPVRVNVDDQQKVGVGIEEQFSHMSIHQQQIKHQEDVSLLTAPAPAPVVITGAPIISKVAITDNQNRVLSEDEKSEQGFQLAYRKQQQQQQQQQHQHKQSIAFDLPSPGSVSSDGSITRRTPPVVYQDPVSQTQFSTNSNNNNRASEHIPSNIHDQNTRIQIQDSAYLISTPTTPTQVDQHQQHPQLHHHPQFIHTAIPPPQYIQHHQYPSGAVPMGSYYQMYPSHSQGQHHAPRPLDQHNFVYYMPPPNNQVPPPPSSLFTGARTVQPATKTESPAAMYRTASSGPGQQQRQLQPQPQYVGYPTPGGNFGYEFTDPSKHVYYATPQSASPSASAAQYQTMVSSLSVPSPVEAAASHLQTDNNLRTTSQP >cds-PLY70368.1 pep primary_assembly:Lsat_Salinas_v7:4:99149143:99149571:-1 gene:gene-LSAT_4X64241 transcript:rna-gnl|WGS:NBSK|LSAT_4X64241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATRSLGRDLTTEEWESFEFRFEFVPEHGVQIPLTDVSLYSHPEGKIGILIALFEAGLRLPTTNFFNLIIWEYRFSVRELTPIAINKIVGFELLYHALGILLTVSTFKHFFNASTQSGTRTLSHRRGVPTLIHDKKSMKNR >cds-PLY63074.1 pep primary_assembly:Lsat_Salinas_v7:8:76000018:76001151:-1 gene:gene-LSAT_8X54740 transcript:rna-gnl|WGS:NBSK|LSAT_8X54740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPVAGNNSTCDNLETWTLRVYIHCEGCKKKVFKVLQSIDGVYKTEIDSLQHKAIVTGSVDGNTLVQKLLKSGKHAEVLPESFEARAAADSAPGKSKKKKNKQNKQKEDETTHADNQHADEEKEESEAATTEGNDGAKEQEIAQVGVAAGDCNGGGGGGGGKKKNKKKKGKVDKKDNNAPPNGDTQGLPAGNAPELMAENVGASMEQLNLSRPGVYATPYDLPAYQNYYPTPAYGVSYSTTYPSAESSYYTPPVYGYAQSHPTSVYYPPPLPPPPAYYPRSAFDDHDDENNGQGRGCIIM >cds-PLY78073.1 pep primary_assembly:Lsat_Salinas_v7:3:256052704:256055892:1 gene:gene-LSAT_3X139961 transcript:rna-gnl|WGS:NBSK|LSAT_3X139961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Beclin-1-like protein [Source:Projected from Arabidopsis thaliana (AT3G61710) UniProtKB/Swiss-Prot;Acc:Q9M367] MKNNSNNNMVSDKTRALPVDPNLPRWVCQNCRHSLSITGVDSYPDKFFNDSSTYRSGMQGSSMHGAGSVLGTRMDQSFVVLPKQRNQSSGVPPRPRNGAMNPDSTQSGKTMEESFVVLPPPAASVYKSDPAADGGGTHVHSHEGGSNSAPTQSNNSGFHSTITVLKRAFEIATTQTQVEQPLCLECMRVLSDKLDKEVEEVNSDIKSYEACLQRLEGEPRNVLSEADFLREKLKIEEEERKLEAAIQETEKECTEVTGELKELELKSNRFKELEERYWHEFNNFQFQLISHQEERDAILAKIEVSQAHLELLKRTNVLNDAFPIWYDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMAQYFRPKFQYRIKILSMGSYPRIMDSSNNTYELFGPVNLFWSTRYDKAMTLFLSCLKDFAEFANSKDKENNIPPDKCFKLPYKIENDKVESYSITQSFNKPENWTKALKYTLCNLKWALYWFVGNTNFQPLSQSATTAPAPAPAQHSDLHGSGSASGSLYRKPKSQPPLPLPPKT >cds-PLY64442.1 pep primary_assembly:Lsat_Salinas_v7:3:15457944:15464095:1 gene:gene-LSAT_3X10980 transcript:rna-gnl|WGS:NBSK|LSAT_3X10980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RSW9 [Source:Projected from Arabidopsis thaliana (AT5G42080) UniProtKB/TrEMBL;Acc:A0A178UEJ4] MESLISLVNKLQRACTALGDHGEASSLPTLWDSLPSIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHTIDQSKEYAEFLHLPSKKFYDFAAVRKEISDETDRETGQTKQISSVPIHLSIYSPNVVNLTLVDLPGLTKVAVDGQSESIVQEIEDMLRAYIQKPNCIILAISPANQDLATSDAIKMSREVDPTGERTIGVLTKIDLMDKGTDAVDILEGKSYRLKFPWVGVVNRSQQDINKRVDMSSARRREREYFSNSRDYKHLAPRMGSEYLAKMLSKHLEGVIKSRIPGIQSLISKTVADLEAELSHLGKPISTDAGGKLLLIMDICRAFDQIYKEHLNGMRSGGDKIYHVFERQLPAALKKLQFEQQLSMENIKKLITEADGYQPHLIAPEQGYRHIIESSLITIKGPAEASVNAVDIILKELVRKAISETMELKQYPSLRKEVERAANESLERMKKESRKATLMLVDMECCYLTADFFRNLPQEFEKGGNPTLSIFDRYNDSYLRRIGATVLQYVNMVLAGLVNSVPKSVVHCQVREAKRSLLDHFFAELGRKTPIQLSNLLNEDPAIMERRSSLAKRLELYKSAQMEISSVSCATMKKNGSFNIYPTDKEKNLFYLLCQVIAHFNLETQLRVAGGWVRDKLLGQECNDIDIAIDNMLGRDFCMKVNEYLASTGEERKEFIEIRSNPDKSKHLETARMRIFDVWIDFVNLRCEDYTQFQNSRIPSMQFGSAEEDAYRRDLTINSMFYNIHTCEVEDFTGRGLDDLKCRKIMTPLEPKKTFLDDPLRVLRAIRFSTRLEFEMGKR >cds-PLY61936.1 pep primary_assembly:Lsat_Salinas_v7:5:160227297:160233977:1 gene:gene-LSAT_5X70020 transcript:rna-gnl|WGS:NBSK|LSAT_5X70020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDISEKWCTFGYQQLRKAASREDSGDNYLYCPRAIDIKPGDLKDFQWHWSKGEPVIVSNVLETTLGLSWEPMVMLRAFHQMENTKQDKLLDVVALKCLDWSEVDVKVQKFFTWYTEGRYYKEGWLHILKLKDWPSSSLFEERLPRHYAEFITSLPFKEYTHPCDGYLNLAVKLPEKSCKPDMGPKTYIAYGVSQELGRGDSVTKLHCHKSDVVNVLTHTATVTLDSEHCKKINVLKKLHKVQDQKELYADNTKDAASEQGTPAIGDESDECTLEEESYEGIDKKVKRKRKDSMKRVEGPDGNKRNKTKKVKGRNGNKQNTRNGRKKVISSDSEEDDLKEGGALWDIFRREDTPKLQEYLKKHFKEFRHSFCLPLQQVIHPIHDQTFYLTMDHKRKLKEEFGIEAWSFVQKLGDAVFILAGCVHQVRNLKSCIKVALEFVSPENIGECIRLTEDFRLLPQNHMAKEDKLEVKKMALYAVEAAVMDLEKLVPKKSQHPKDSQDYQNPECDENSQFVTNLDQVPITENLQTSQNIKNDATREEGLQKDCENVQIMQNTESDAGGEVGLHIDSESIQTLQNIESDVGGEVGLHTNCENQTLQNNEDHARGRVGLQKDHVTTPSCSEHGVGDEESGGNTERSRSSEKGDHSVHSTREVPNSTPNSGGLTSHPSTSRDASKMEGKCMASQSSLSPNEIVVELRAMRVTRDNEVEVMRKRLDLEQKKEERKTKKMHHMHLNTLLAKEHLSPKDEDMKCHLLAMLYGK >cds-PLY98206.1 pep primary_assembly:Lsat_Salinas_v7:2:83687277:83690383:1 gene:gene-LSAT_2X36360 transcript:rna-gnl|WGS:NBSK|LSAT_2X36360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRASTPLLILIALGFFFGTYNLVTLVIHHTSDSLKLGDNGSEWFHDAIRSMTEKKNLKYHVALTATDAPYSKWQCRIMYYWYKKVKDLPGSDMGGFTRVLHSGSSDNLMEEIPTFVVDPLPDGLDRGYVVLNRPWAFVQWLERATIEEEYILMAEPDHIFVNPLPNLARGEHPAGFPFFYIKPAENEKVMRKFYPMEKGPITNIDPIGNSPVIISKHVLEKIAPTWMNISLRMKDDLETDKAFGWVLEMYAYAVASALHNVRHILRKDLMLQPPWDGEVGKKFIIHYTYGCDYNMKGELTYGKIGEWRFDKRSYLRDPPPRNLPLPPPGVPQSVVRLVKMVNEASANIPGWDKLNS >cds-PLY70725.1 pep primary_assembly:Lsat_Salinas_v7:8:168367897:168368970:1 gene:gene-LSAT_8X110620 transcript:rna-gnl|WGS:NBSK|LSAT_8X110620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYMFLAKKTSRKDVCDYKIKGSWSKRNCTVSMGDTSTTITQVNKIQSSENIKFIKVKFMVTIYPNVDYAFVVTLIAIVEAMKSSNTKGEVAAEVTGSWVAEVIGAIFS >cds-PLY93750.1 pep primary_assembly:Lsat_Salinas_v7:6:144867433:144876044:1 gene:gene-LSAT_6X87500 transcript:rna-gnl|WGS:NBSK|LSAT_6X87500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGILNSGVNGSTSTFPDATGRAFTSAFSAQLGSSAADMNHTDAIGLRSMNGNFNIPSMPGAYTSRNSGLNGPLNGVQPPAGSLSNGRYAVNNLPVALSQQSAASSLGLSGITNNGGSGLGQSLGNREQIISSMAMGNLVNGGNIGRSLSSGGLNMPGVASRLNLTAPQMVSLLGNSYSGGGGPLSQNQFQGGNSHLSSMALLSELNRDHSFDMNDFPQLSGHLTSAGGSQRQLGGLARRQNVGFMQQNQEFSIQNEDFPALPGYKGGNADFPVNMHQKEQLRDNVVSMMQSQQHLPVGRSGGFSLGGAYSSHQQQQHAPINGGGGGGGPSYLPANTQDLHFHGSEARNSGMLPTGSRPVSVSGGSYDQLMQQYQHFQKQSQIRLVSPFRDQDLKSPQPSQSPADRFGLLGLLNVIRMNNPDLTPLALGIDLMTLGLNLNSPDNLYKKFSSPWSDESAKGEPHFSIPECFNTKQPAPLNQDSFSRFSPETLFYIFYSMPKDEAQLFAANELHNRGWFYHRELRLWFSRAPNIELLVKTATYERGCYYCFDPNTWETIRKVGNALTCIDSKPKVMAVSASCQPRVKLVTTPPHRNGFVLGHA >cds-PLY64281.1 pep primary_assembly:Lsat_Salinas_v7:3:176182039:176182843:-1 gene:gene-LSAT_3X104301 transcript:rna-gnl|WGS:NBSK|LSAT_3X104301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSRPRLNKDIRLSSTYSSTPSADDIVQHGSTPPQMETTDPLIQDIIHQILQVIIIHLHLHHRILLHLLLHLIYLLELLLHQLAPIPQSDDAKKGENSQESNDQQVVTATTPSLPEICESGRDDNQKAIVLVEQESNIPDADATNNDQPIPDAGDESEIYEYEGSLDLGFVTQAAIPLNIVYLE >cds-PLY69507.1 pep primary_assembly:Lsat_Salinas_v7:6:44712635:44714188:1 gene:gene-LSAT_6X31780 transcript:rna-gnl|WGS:NBSK|LSAT_6X31780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRLITLEPSNVVVIRVEQNQKCTGKLTLRNVMYTMPVAFRLQPVNKLRYTVRPQSGIIYPLTTVTLEIIYDLPPNSTLPESYPYCGDPFFLHSVVVPGAGVKNSSTDSVPSDWFTTKKKQVFVDSGVKIMFVGSMVIAKLITNGSIDEIRDVLEKSDPSWKTVDSVDSEGQTLLHLAISQHRADLVQVLLEFEPDIMARAQSGSTPLEAAVTSGEGLIVELLLAHRAVTERVEPSAYGPIHLAAGSGHVDILKLLLSKSVNVDELTKDGNTALHMAVEGRRRDCARLLLTSGAETNICNGNGDETPLHIAAALGDDNMVKLLLQKGANKNIINRFKKTAYDVANEHGHTRLFSVLGLGDTLCMAATKGDIRTVNKLLKGGVAINGEDQNGWTVLHRASFMGRTDVVRVLIKNGVDIDARDEYGYTALHCAVESGHVDVLELLVKRGADVEARTNKGFTAMEIAESLNYAGITRVLADGNKTGGKSGIGMSPMKKRNTQARVIRGSFDRSASPLTVI >cds-PLY80756.1 pep primary_assembly:Lsat_Salinas_v7:8:138865654:138870104:-1 gene:gene-LSAT_8X105060 transcript:rna-gnl|WGS:NBSK|LSAT_8X105060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEGGGDLNFPPKKTQTEAAAAAAPTTSTDFPAAKKLARQLDFNSVGGSGSASVTATTVVFPEHPQRLMAGQMQQPPPSPSQPKLPPPQPQLHPHPQPHPHPIPLNPSMQQSHAATTHQQPPIRPVKIESPKARPRQNVEIKDGTPKKQKQCNCKHSRCLKLYCECFASGIYCDGCNCVNCHNNVDNESARRDAVEATLERNPNAFRPKIASSPHGTRDHREETGEVVVVLGKHNKGCHCKKSGCLKKYCECFQANILCSDNCRCMDCKNFEGSEERQALFHGDSGNNIAYLQQAANAAITGAIGSSGYGSPPVSRKRKGQELYFGGPMEKDSSSIHRIPQFQQMNHVKASAPSSSSSSMSPVLLPPRVSNVAPVGPSKFTYRSLLADLIRPDDIKELCSVLVVYANEAARMLADEQRESSTLKEEPETEKVVADEPSSGAHNDDDEMGPNGSGSDGPDGSKGRPMSPGTLALMCDEQDTVFTASNSIPGGAHVPCQLPNGQVVTETYAAQEKIVLTAFRDCLNRLITFGELKETQCSSLARSDSGGQSQMESQHEQFNNGFENNGTHMKIPFRAENGELKLKQEKER >cds-PLY63047.1 pep primary_assembly:Lsat_Salinas_v7:8:75646715:75649188:1 gene:gene-LSAT_8X53441 transcript:rna-gnl|WGS:NBSK|LSAT_8X53441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein GTS1 [Source:Projected from Arabidopsis thaliana (AT2G47790) UniProtKB/Swiss-Prot;Acc:Q944S2] MDATDMDVEVNEQHNRIIPDSSSSSKRFALKNSIQTNFGDDYVFQIVPKEDWTTMAVSLSSNTVKLYSPVTGQFVGECCRGQHSDSINHILFSGNILHSCSSDGTLRAWDTRSYQQVSCLNAGPSQEIFSFSFGGSGDHLLAAGCKSQILFWDWRNKKQVACLEESHMDDVTQVHFVPNEKNKLISASIDGLICTYDTSGEINDDDHLQSVINVGTSIGKVGLFGGTYEKLWCLTHIETLSIWDLKESRVEANFEDARTLASNSWSQEHVDYFVDCHYSAVDERLWVIGGTNGGSLGYFPVGYAEGMRSILSPEAVLHGGHGGVVRSVLPSPTMRSVMNNENQNQTHGIFGWTGGEDGRLCCWLSDNSSDINQSWISTSLVEKHPKNRKKKRHQPY >cds-PLY72832.1 pep primary_assembly:Lsat_Salinas_v7:6:21812129:21816774:-1 gene:gene-LSAT_6X15960 transcript:rna-gnl|WGS:NBSK|LSAT_6X15960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTYQGGTGGGESTMESVDWRTQMASDSRKKIVIKIMDTLKKHHPSWALEKPQEIKSIAQRLEEKIYTTTTSQSDYVRKISMKLLTMESRSANLVPNSLQCNSVSSSVNPSNPGSHGIHQVNNQGQQPLPIPVPSNHPQATQQILSQSIHSNIPSSGVQVSAGVSSALPPVNALSQPTISNISSQNPNLQNIQNFVNQRQIPTRQQQQQQQQQQQSQNSQQYLYRHQIHQIAKHNLHIQQQHQNLLQPSHFQPSSLSTAQQNQQSVIQHHQKSALRQQRQQPPASIVHQQPPPPQSNPMGLQTSATNLQQQQQPRLLSQKNSSSNVQQPHQHSIGQHKNFPTIHQQPTMLNNQHSTQVMQSKVSVPQKNHSMQGQRSQPELQVMPQLQTQSGQLQHQLNMKLQSNMSQRDMQQRLPTSGAFQQQNVIDQQQKQLFQQQRAMPEASSTSSDSTAQTGNQNNGDWQEEVYQKIKAMKDKYMPGLTDLYPKIMIKLHLLTCVDHQHNSLPQQPKNEQFEKVKMLKHIFDSCLNFLQVPRSNILPIYKEKLDLYEKQIINIILTFNRKPGPHLQQPLPSPTQVHPHESHMNSPNSTMFQLKQLQPHHQMKHHFLQKQQQQQFNRPTKQQQLQLPHIGVKIESLTRPGPPFSPQISSPTVDQQNPLTSVTKSATCTPLQSANSPFTMSSPSTPSTSHILGDSEKVNLGIPNAGNVGHQSNVASHSLSLPFGTPGISASPLLAEFTSPDGNHGNEALIVSGKSSSTIEKPIEHLLKVVKSISAKSLSASVSDIGSVVSMIDSIAGSRAGIKSRAAIGEDLVATTKCRLQSTTIGKRKTKMEPLNVVSSANNVNDSFKRFSYLEASELESTATSSIKRPRIKTSNALLEEIREINWGLIDTMVGISEEDVGSGTVVRESKEDEMEDLSMKAKLRFHSCVRMLSEPISLEEMTRTWDTCARAVISEYAHQTSGGAGGTFTSKYGPWEDCLTFTASA >cds-PLY78189.1 pep primary_assembly:Lsat_Salinas_v7:8:177572227:177573152:1 gene:gene-LSAT_8X115400 transcript:rna-gnl|WGS:NBSK|LSAT_8X115400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFSSSENNTNDILPLYRCSSPSSSLSPTKRKLSILMKFLEIANMFCYGNVMGRSDYDDD >cds-PLY66391.1 pep primary_assembly:Lsat_Salinas_v7:4:119263818:119266523:1 gene:gene-LSAT_4X75281 transcript:rna-gnl|WGS:NBSK|LSAT_4X75281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein PEX14 [Source:Projected from Arabidopsis thaliana (AT5G62810) UniProtKB/Swiss-Prot;Acc:Q9FXT6] MTTVLEAVKPVTNDQQDADKGATKEPSIFVNTEPIREEQVQNAVKFLSHPKVKGSPVMYRRSFLERKGLTKEEIDESFRRVPDESPGVSTTQPAVVNQGVPSQPTSSIQPQAPTQSLQLQPVTAPSLRSRFHWSHALLAVGVLAISGAGTAVVFKNAVVPRLKSWIRKVVHEEDEKEDLDNETNRKPTLAEEAAAAAKAAAAAASDVARASQEMLASKTEEKKYFGELLSLLDVQVQEMKSMSNSIKKLEGQSKNISGREVQVTSTRPPYTNGRTDYDSRSVRSLSPPPASVVEPPSATPSHPKSYMEIMSMIQRGERPPNIREINDQPPNPDQPVSNPRLTPKPKPWESQAQAQGGGSIYENNNGLNEYGAGPTSVYQANGEGGGAPWWQQKNVKISEVEGEENKVTTGYSERPVQRSAWVPPQAPPVAMAEAAAAIRQPKKSPFENEQGQQLTDEQFLARSTEVTDELQRITKISESGGVEAADGGAGGSSSVVMNTSEIQREEEGSYYEA >cds-PLY73170.1 pep primary_assembly:Lsat_Salinas_v7:8:205805183:205806054:1 gene:gene-LSAT_8X130280 transcript:rna-gnl|WGS:NBSK|LSAT_8X130280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSNTSLLFLLYALINLFILVNTDKVEDQNGPRNAKVYKCRNTGNYTSTSYKNNIKSALYVVAKNIAANNGFYHSTAGTTEPVNAVGLCPGFLRSNFCEECVNSTIPLLETNCPNQKEGVAWVKECMIRYSDRKIMGLLDDWFWVHLPALAVARRPAAEMDKALSDLITKLHTQAAGGTSAKKYATGDITYAPDITLTLVMQCTPDLSKEQCTKCLSTTKHTVRTCCSGKTTARMLSPNCYLHYDHIDFRF >cds-PLY86415.1 pep primary_assembly:Lsat_Salinas_v7:3:202234496:202235825:-1 gene:gene-LSAT_3X120060 transcript:rna-gnl|WGS:NBSK|LSAT_3X120060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTTATETTTSSPSSAYDRQVELKAFDQTKAGVKGLIDAGITQIPRIFIHPPETSTKTTTALEIPVVDLGSRDRTSTVQKIRAASENLGFFQVVNHGIPVSVIDEMLQGVRRFHEQDVEVKKAFYTRDLSRPVVYNCNFDLLSSPAANWGDTFYSFMAPSPPPPEELPEVCRDIQIDYSNRVLKLGSLLFMMISEALGLNASHLGDMDCDKVLLFAGHYYPVCPQPELTMGTAKHTDGGFITVLLQDEIGGLQFLHQNQWIDVPPIPGALVMSNDKLRSVEHRVVANKNGPRVSVACFFGSSVAPSQKVYGPIKELVSDDNPPRYRETTVHEYSQYSFSKALDGVPRLLHLKL >cds-PLY73941.1 pep primary_assembly:Lsat_Salinas_v7:5:36135400:36141423:1 gene:gene-LSAT_5X17820 transcript:rna-gnl|WGS:NBSK|LSAT_5X17820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRLKTPYFSILFFSLDSQTSGGSPVLHLWCHPPTPPAPLSLQNHVLVITALPGVGNSVTVEAIASLLVQYVCVPIVILITDYGRSKTVDYTSRCWEELQTSLQNIGAFKVSFNPITANSITKMLSRICREEKLKIKAEQIDAIAKSSGGDIRNTITSLQYFNLKIRFQGFDRPTKDNILKFNLGSTLSLCPYRGVLDTIAMLGVVLSRYNWNGGEISFENGQRKCLHPCYGMTRATNWVKFSATAGLGVIYGGHLHQGRSLMAPYLPQSGVGGGGGGDSPYSDGQCCEAAGISMRLLTVGTASEKAAEMLVYAHETQHEDIIRGLALQITLTVYGRDEEAVTLIEQMTRDQDPILRYEGMYSGTKNNKAIRQLLHFPVSDVSDDVRRTAVLALGFVLFNPNLYGTLRLSWQPSTVVLDWHLLAEDVVYVFLCCYCGFENILAYWSNCMYFT >cds-PLY80864.1 pep primary_assembly:Lsat_Salinas_v7:4:325876709:325878143:1 gene:gene-LSAT_4X162120 transcript:rna-gnl|WGS:NBSK|LSAT_4X162120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEQAHNVKILGSGDRTIVLAHGFGTDQSVWKHLVPHLVEDYKVVLYDNMGAGTTNPEYFDFDRYSSLEGYAYDVIGILEELKVSSCIYVGHSVSAMIGAVASISRPDLFSKLLMISASPRYLNDVDYFGGYEQEDLDQLFQAMESNFKAWCSGFAPLAVGADMECVSVQEFSRTLFNMRPDIALSVAQTIFQSDMRHLLCHVITPCHIIQSMKDLAVPVVVSEYLHQNLGGESIVEVMSTEGHLPQLSSPDVVVPVILRHIRGDIVV >cds-PLY71477.1 pep primary_assembly:Lsat_Salinas_v7:7:191335631:191337245:-1 gene:gene-LSAT_7X116161 transcript:rna-gnl|WGS:NBSK|LSAT_7X116161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELKDLQKDPPASCSAGPVGEDMFHWQATIMGPSESPFSGGVFLVSINFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSVCSLLTDPNPDDPLVPEIAHMYKNQRDRYETTARSWTQKYAMG >cds-PLY80789.1 pep primary_assembly:Lsat_Salinas_v7:1:70724794:70727613:-1 gene:gene-LSAT_1X59261 transcript:rna-gnl|WGS:NBSK|LSAT_1X59261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKFYPIVCFPESFIGVFTIGVGVNYGRIANNLPPPSQVSTLLRSLNITRVKVYDADPQVLQAFAGTNIEFIIGLGNEYLQRMRDPQQAQTWIQQNVQPYLSQTKITCINVGNEVLGGQDTQLASYLLPAMKTMYGALVNLGLSKQVYITTAHSLQILATSFPPSQGTFQENLIQYIQPILNFHAQVDSPFFINAYPYFAYKGDPNNVPLEYVLFEPNSGSIDPHTNLKYDNMLYAQIDAVYSAIKALGHTDIEVKISETGWPSKGDENEAGATVQNAGIYNRNLMQRMQQGESTPARPSQPIDIYVFALFNENQKGGPTSERNFGLYYPDGSLVYNLGVKSYILPRIDYSSSMKNGLSILSHLVLLMGSLVYFLER >cds-PLY74509.1 pep primary_assembly:Lsat_Salinas_v7:7:32549441:32553552:1 gene:gene-LSAT_7X25121 transcript:rna-gnl|WGS:NBSK|LSAT_7X25121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 2 [Source:Projected from Arabidopsis thaliana (AT2G34190) UniProtKB/Swiss-Prot;Acc:Q94C70] MAEAPKAEDITHLPTDQLQGLEYCIDSNPSWGEAIALGFQHYILSLGTAVMIPSFLVPLMGGTSNDKVRVVQTLLFVQGINTFLQTLFGTRLPTVVGGSWAFMVPIISIIHDPSLATIVDDHMRFLATMRAIQGALIVASSVQIILGYSQLWAICSRFFSPVGMVPVIALTGFGLFDRGFPVVGRCVEFGIPMLILFIMFSQYLKQFQAKQLPILERFALLLTIAVIWAYAHLLTASGAYKHHPEQTQLHCRTDKADLISSAPWIKFPYPLQWGAPTFQAGHAFGMMAAVLVSLIESTGAYKAAARLASATPPPAHVLSRGIGWQGVGILLSGLFGTATGSTVSVENVGLLGSTRVGSRRVIQISAGFMIFFSILGKFGALFASIPFPIFAAAYCVLFGLVASVGLSFLQFTNMNSMRNLFIVGVSFFLGLSIPEYFREYTAGALHGPSHTKAEWFNDFLNTIFFSSPTVALMVSVFLDNTLEFKDSAKDRGMPWWAKFRTFKGDSRNEEFYTLPFNLNRFFPPS >cds-PLY72948.1 pep primary_assembly:Lsat_Salinas_v7:5:9591470:9594243:1 gene:gene-LSAT_5X5301 transcript:rna-gnl|WGS:NBSK|LSAT_5X5301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFSLKQSNVTIPVFSSKIIDHRRNHPEQFSVLPPLSNPKASKISIVSNSKPLHVSSIQTFGSLRTLLYAGRSPKSSVACNAYEADRSDAEVVGDKIEAARRVKIGVYFATWWFLNVIFNIYNKKVLNAFPYPWLTSTLSLAAGSAIMLISWATKVAEAPNTDLDFWKSLFPVALAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFILGETFPLPVYLSLIPIIGGCGLSALTELNFNMTGFMGAMISNLAFVFRNIFSKRGMKGKSVSGMNYYACLSLLSLLILTPFAIAVEGPKMWAAGWQNAISEIGPNFIWWVAAQSIFYHLYNQVSYMSLDEISPLTFSVGNTMKRISVIVSSIIIFHTPVQPVNALGAAIAILGTFLYSQVPF >cds-PLY80967.1 pep primary_assembly:Lsat_Salinas_v7:9:177431075:177451745:-1 gene:gene-LSAT_9X109140 transcript:rna-gnl|WGS:NBSK|LSAT_9X109140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMQGLHHQQQQLAALLSVALPKDKDSSSSSSSQHNNPSSEGGEDDASRLAAINSLHRAIVYPHNSLLVTHSASFLAQGFSQLIADKSYTVRQAAATAYGALCAVLCSLPIGSNGRQNHVILGNLVDRFIGWALPLFSNINAGDGTVEIAAEGLHEFLNVADIGATERYALPILKACQELLEDERTSLSLLHRLLTVLTLISLKFFICFQAHFVDIVDLLLGWAMIPDLAESDRSVIMDSFLQFQKHWVNNLQFSLGLLSKFLGDMDVLLQDGSPGTPQQRQRLLALLSCFSTVLQSTASGLLEINLLEEIKDPLTRMLPQLLQCLLMVGRKYGWSKWIVDSWKCLTLLAEILSERFSSFYSIAVDILFQSLDMRNTAKTLNAEKLTSFQVHGVLKTNLQLLSLQKLGLLSSSVNKILQFDSPVSQLRLHPNHLVTSSSAATYVFLLQHGNNEVVECAMNSLLEELNMLKSMLGGVVAPKVFSKTELFALFKFDMKVLLSCVSLGGGSSLIGQPEIDTLYVNRSKKMIYHVIDNLNPFELPIQGYMELQVTIFKMLNRLSIVEFLSKFSLRKHNNGVGPSMLMLEHFRKYSQLLTKALNVSSPLAVKLEALQWIHTYCQTVKRMHDKSKCADYDSEAIGYLEFFGEIVFSVLDAASDREPKVRCQVALVLEMFLHSKLIHPSQMYALTEVILEKLGDPDEDIKELFLKLLSHALPITVLVCGIHDDVTVTKYRRSIMQWKQIFALKQLPHQLHSKQLVSILSYISQRWKVPLSSWIQRLVHTCHNSKDFALAQQEDTGNLHVISLFLDMNMEEDLLERTCSVNLLAGAWWAIHEAARYCITTRLRTNLGGPSQTFAALERMLVDVAHVLQANTDQSDANLNILGSSYSHAHLLPLRLLLDFVESLKKNVYNAYDGSTVLPSPSRQSFVFFKANKKVCEEWFSRICEPMMNAGLALQCHDATIHYCSLRLQELKSLLGSSMKDKSRTQVIENLQNMKSRFLGDILRVLRHMTLSLCKNHEPEALIGLQKWVSAAFFPLFMEENQSMIGPLSWITGLVYQAQGQYEKAAAHFTHLLQTEESLGSMGSEGVQFAITRIIESYSAVSDWKSLESWLSELQLLRAKHAGKSYSGVLTMAGNELNAIHALAHFDDGDYKSAWGCLDLTPKSSNELALDPKVALQRSEQMLLQAMLYNIEGNLDKVQHELQKAKLMLNETFTTLPLDGLTEAAEHVHQLHCISAFEESCKISGTQDILSSYIREVQFPSNHIYQDCKPWMKTLRVYQSIHSNSPVTLNLSLNLLRLARKQKNLILANRLNDYLKCHLGEESFHKSILSSLQYEGILLMRAENRLEEAYTGLWSFVSPFMLHSSPIVSVIPDGVLKAKACLKLSKWLKKDHLDANLENIILKIRSEYSDDTTNYRPRMDLIVEELVGTATKLSSRLCPTMGKSWISYASWCYAQAKETSDTTLQSCSFSPILDSELVPDRFRLTEEEVSRVKHVVSELLQKARDVKDLDSNNVHAVVQQVVNIIEAAAGELECLSVVVASKLQKFFLFANIDVEGSAFFNELVDVWWCLRKRRVSLFGHAAQAYINYLSHSSSKLWGSQTEGQKNYSYTLKATLHVLHILLNYGVELKVTLEPALSKVPLLAWQEVTPQLFARLSSHPEEDVRKQLEGILVMLAKQSPWSIIYPTLVDINTSEEDPSMELTRILACLNKQYPRLVQDVQLMIKELENVTILWEELWLSTLQDLHSDVIGRINLLKEEASRIAENVTLSHTEKSKINAAKYSAMMAPIVVTLERRLASTSRKPETPHEIWFHNEYMGQIKSAISNFKTPPSAVTLGEVWRPFDTIVASLASYQRKSSISLSEVAPQLALLSSSDVPMPGQGNIVTITSFSEQVVILPTKTKPKKLVIMGSDGQKYPYLLKGREDLRLDARIMQLLQAINGFLHSSPIGIRHYSVTPISGRAGLIQWVENVTSIYSVYKSWQNRVQVAQLSGVASTNTKNSVQSHVPRPTDMFYGKIIPALKEKGIRRVISRKDWPHEVKRKVLLDLMQETPKQLLHQEIWCASEGFKAFRSKLKRYSGSVAAMSMVGHILGLGDRHLDNILLDFHSGDIVHIDYNVCFDKGQRLKVPEIVPFRLTQTLEAALGLMGTEGSFKKNCEAVLGILKKNKDVVLMLLEVFVWDPLVEWTRGDFHDDAAIVGEERKGMELAVSLSLFASRVQEIRVPLQEHHDLLLATLPAVESALQRFADILSQYEIVSSIYNRTEQERSNLILHETSAKTIVAEATNNLEKTRTLYELQGREFSQTKASVAEKALEAAAWIEQHGRIIEALRSSSIPEIKSHINLIGNEKSLSLTSAVLVAGVPFTVVPEPTQVQCHDIDREVSQLISDLDHGITSSVTSLQTYSLALQRILPLNYLTTSPVNSWAQILKLSVSTISSDVLSITRRQGAELVANVREDGFDTVKSLHNDLCVQVEKYADEIERVEKEYQELVNSIGSETESRAKDRLMAAFTKFLQSDFNKNEEILTMVGLAVSSVYNEVKVRLLEVLNHSSGTRADLATLLCEFEEKIEKCVLVAGWVNEIKQSTFNREEDSFETNWASIFKTNLLSCKNLVAQMIENVLPNIVRSVISYDSEIMDAFGSLSQIRGSIDTALEQLIEVQIERGSLMELEQSYFVKVGFITEQQLALEEAAVKGRDHLSWEEAEELASQEEACRAQLEELHQTWNQKDIQTSSLMKREASIRNGLISAENHFQSLIGQEQEKEPQYSRSKALLLALVQPFFELESVDKTLSSYSSDSSNGMITQVTDMITYGSAVPGFIWKFSGILENHLFFMWKVAVMDSFLDSCIRVAASSRDQNLGFDQILNAVKKKLSIQLQEHIGQYLKDKIAPLLLTMLNSEIELLKQPTMTQNEFEEIRDTGGVDRVKQMLEEYCNAHETVRAGMSAASLMKRQVKELKDGLYKTCLDIVQLEWMHDVTLSPLHNCRLICHKFLSSEDNTLPVILNLSRPRLLETIRSSVGKVVRSLDCLQACEQTSITAEGQLERAMGWACGGPNSGLTGSNSNSNSGRTSSGIPPEFHDHLAKRRQLLWGAREKASDIIKICMSILDFEASRDGVLGADGSGRAWQQAYFNALTNLDATYHSFTRTEHEWELAQSDMEAASNGLLVASNELRVASAKAKSSSGDLQETFVAMRDCAYEASIALSAFGSITRGHTALTSECGSMLEEVLAITEGLHDVHTLGKEASALHSSLMGDLSKANSIVLPLESVLTKDVDAMTEAMNKERETKMEISPIHGQAIYHSYHAKIKDACHVIKPLVPSLTFSVKGLHSMLTRLARTANIHAGNLHKALEGLGESQEIRSQDLNLSSRPDLVADDTEYNNNNTNKDNDTLSRSDTECDEDLPQTTGLSLQDKGWISPPDSIYDASSADSCPISTSNSFTGSEVTEPHPDGHDSKETNNVSGSDSVESESREKDDDLKDVALVRSGTSVSSLSEMNIGVENIKIGKQHQESLLDQEETRKSPMQNVDVTGQASSRVKGKNAYAVSVLRRVELKIEGRDITDNREKAKMSTAVNLWMGELTKLTDKIRLKKNHQQQQQQHSFFIRSKTSQNESDDRIEEQEESGFTLVEAGKPASVLLKSPATEDSLSEETVFWLMDRFAPC >cds-PLY99170.1 pep primary_assembly:Lsat_Salinas_v7:6:129867171:129867545:1 gene:gene-LSAT_6X79060 transcript:rna-gnl|WGS:NBSK|LSAT_6X79060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLSVLFLIVCTVAEEENRSGFCYDPQPQPKSDCDAQKCSDKCLDMGYIRGLCKLIYPPTPYAVCVCEKPCNSSEVNQTNLSLN >cds-PLY69179.1 pep primary_assembly:Lsat_Salinas_v7:3:205329676:205336020:1 gene:gene-LSAT_3X121600 transcript:rna-gnl|WGS:NBSK|LSAT_3X121600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGILSYDRRAELTTFDEIKTGVKGLVDAGITEVPRIFLLPSPENLNSNQELSLPTIDLKGIHEDPIRRKQAMEEVKDALGSWGFFQMVNHGIPVDVLEEMKKGVLGFFEQDSEVKKQWYTRDRFGRRVVYNSNFDLYSAPVANWRDSFLCTMYPDPPHPQELPSPCSHVMKLGCSILELMSESLGLAPDQFFNMGCAEEIQVTGHYYPPCPQPELAIGTTEHSDAGFITILQQDLVGGLKIFYQNKWADVRPTPGALLVTNDKFVSARHKVMANKVGPRISVASFFLANLKLEALKVLEPIKELLSEDEPAKYKSATAKEFLDYFYSKGLDKTPALLHFKK >cds-PLY95833.1 pep primary_assembly:Lsat_Salinas_v7:5:65381373:65384806:-1 gene:gene-LSAT_5X30781 transcript:rna-gnl|WGS:NBSK|LSAT_5X30781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGETEMKDTDAYEEELLDYEEEDEKAPDSAGVKVNGEAVKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPVAGQVAALVLCHTRELAYQACICHEFERFSTYLTDIKVAVFYGGVNIKIHKELLKNECPHIVVGTPGRILALARDKDLALKSVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSELEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSAADSDVLNQVQERFEVDIKELPEQIDTSTYSKILFFFRICKMSEM >cds-PLY89021.1 pep primary_assembly:Lsat_Salinas_v7:3:250257975:250259403:1 gene:gene-LSAT_3X137700 transcript:rna-gnl|WGS:NBSK|LSAT_3X137700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLDDIFGPSLNLEDTHLNEGYQEGYKTGFASGKDDGHEVGIKTGFITGEELGFYRGCIDVWTSVIQIEPTCFSTRVQKKIKEMDELVSKYPILDPENENVTDIMGLLRLKFRAICATLNMKLEYKGYPKASEPNEIQF >cds-PLY99254.1 pep primary_assembly:Lsat_Salinas_v7:6:184504489:184504911:-1 gene:gene-LSAT_6X112641 transcript:rna-gnl|WGS:NBSK|LSAT_6X112641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLAITLTATEAEFSNELTDIQCMAPRSANRPAYEKKGMQVLSREDTEALNLCKNMMRRRECPPLMVVLDPVEGFTVEADKCIKDWTIITEYVGDSRENEDEITNSNSVGME >cds-PLY90972.1 pep primary_assembly:Lsat_Salinas_v7:5:287455229:287456167:-1 gene:gene-LSAT_5X151601 transcript:rna-gnl|WGS:NBSK|LSAT_5X151601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAESSPGQLIVISPPIVKLGNNKEDEVVELPLKNNLHIFSDPFLRQNEIHDKESNEFAVGVIDAKTWSKMIEEKIPKIIPKTPIRTPPGEPETINAWELMEGLDDTSLLRPKSAANHIRSFSFHVNPNSFTSFGESVTEFPKQDRQTEFSGKPLCLAIPENHSSDLKSLFDSNDTSMASDFDTAVISSFKKSLDLLPPANPFYIKPLKKV >cds-PLY80042.1 pep primary_assembly:Lsat_Salinas_v7:9:45250207:45251080:-1 gene:gene-LSAT_9X41041 transcript:rna-gnl|WGS:NBSK|LSAT_9X41041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKASYRHLLLFLVVLIFFIDDARMVSLVDPTYGFVDVMLNESNFEYQKPYDTPLCQRYIYQNGTHRFWVYSDDKPHHLGSNTQPRTEIRILPDYTSGVWQFEGLAFIPNGTSGATIVQIHGAAHGNTTSLLRIYNGEMRYYSTQVIDTNLYDRWFKVNLIHDVDGGKVIVFVDNKKKLKIHDQGPGLLHFKFGVYGAPRNISYYMESRWKDVKIYKKY >cds-PLY93944.1 pep primary_assembly:Lsat_Salinas_v7:1:158660164:158660478:1 gene:gene-LSAT_1X109760 transcript:rna-gnl|WGS:NBSK|LSAT_1X109760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRQQMEIILTNLIAHYEAEKLSLKNMQDECWEHKKYKSELMTEMDSKPSSENYLEMQKLLLDNKYKTTQLLKAMQEMEKKYEELINNVKKDIKNLPEDKHPYV >cds-PLY69994.1 pep primary_assembly:Lsat_Salinas_v7:8:145872848:145874985:1 gene:gene-LSAT_8X99141 transcript:rna-gnl|WGS:NBSK|LSAT_8X99141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRGRPPKAQSAKSSFTSNKIMKYEDLYDVNVRRESYNASLLKSEPSCPKQLQPGNGGITYRESLMKFCKDLGPTAQKIALRKLLGQGVNYQARHQLQPLLPSLDVDQPHPRFLDLNSTNSSVRIATPNQVSQPLIGFGDNKGKRIMVDDDKSNFNKRDVGDNLEFLFGKQKTGVQICDNYQQNGGDGSSENQDLVCKNLGYGQVGSWMTINQIGSLLSNYNGNLNQNINNVNGIEDVQGATRNENFNSCVHPSTYVQPSKKIFSSSFGMNDIPWVPPPSTPVAPLQKWWRQPPETNNLVLNDGLWREPELQLALTSYMQTSNQQPKVWIENSWAQNQPSQFQQSNLHGTYQQQQPQPHQFLSYQNLFNNDDSQLQEGSLMPIPPKLWSQTEMFGVVPKQDSFPFGI >cds-PLY67845.1 pep primary_assembly:Lsat_Salinas_v7:9:145442270:145445773:-1 gene:gene-LSAT_9X92800 transcript:rna-gnl|WGS:NBSK|LSAT_9X92800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSTSSIHKSFKYDVFLSFRGEDTRKNFIDHLYSALQQKGIDTYKDDERIDKGKRISDELMGSIEDSKFFIIVLSKNYASSSWCLNELLKIMECHKTTTHIVYPVFYYVEPSEVRNQIGEFGEAFAKHENEEAAGKWRKALKEAADVAGWELKNTADGHEAKVIQQIVEKLSLELRSINVRIDENLVGMKARINNIVSSLGTAPDDVSMIGIWGIGGGGKTTLARAIFNTISFQFEGKSFIENVREVSNASLSGLKSLQKQILSDVLNDQGINVSSENEGENMMWRMMRGRKVLLVLDDVDCMEQLQALAGDPSWFKPGSIIIITTRDEQVLVAHGVKFIHNVNLLSDTEGICLFNRYAFGREIPIQGYEELSRQVLRYAAGLPLTIKVLGSFLCGKSMHDHIEEMGRNIVRRSHPDMPYKHSRLWIDDEIEDILANDLGTKATRCIKFYKERLNPEIVMKGLRKMKELRYLYVAQQSLYSNRAKRNPNCLNDFGLLCCNKVSPYFPDALQYLHWNNYPFGSLPKTFQANNLVALEMINSKIVQLWEGGERKALKKLRFLGLSGPKLRTFDLGLTPNLETLTLGGLGDLVELKIPLECQKLRSLKLYCAKLRPFDLWLTPNLEELFLEGGDMVEFHMPPRCLNLTSLNLKYLKLRTLDIGLTPNLENLSVMHCYDLEEIHMANECVKLRSVYLEGPKLRTVDLGPTPNLKRMDLHGSKDLEEFHIPECPILTHISMSYSKLRIIDLRLVPNLNMLFLVSCKDLVELHLADECQELESLTVMHSKLRTLDLGLTPNIKMLNLEGSYNLLELHAPIGCLKNLVRLDLSGFLGFRSFSFRLNDNTSGIVNKSLKVRPLAELHFTLKTCPFHPDNNLLKFEFTCFHKDDIPPLIRSLTKLISGGACACTKLPRFSRSIGRLRR >cds-PLY62658.1 pep primary_assembly:Lsat_Salinas_v7:1:35322946:35324358:1 gene:gene-LSAT_1X30220 transcript:rna-gnl|WGS:NBSK|LSAT_1X30220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSGIVQNNEISQEEHEKIKQIRDIVEKQDPTSKEYDDLTIRRFLRAQDLDVDKASAMFLKFIKWRKTFVPNGSISVSEIPNELAQNKLFMQGTDRSGRLITLVFGGKHYQNKIGGLEEFKRFVTFTFERITSRMPAGQEKFVAIADVEGWGYSNSDIRAYLASISILQEYYPERLGKMFFVHVPYIFMAAWKMIYPFINKKTREKIIFVENKELKSTLLKDIDESQLPEIYGGKLKLVPIQDS >cds-PLY72735.1 pep primary_assembly:Lsat_Salinas_v7:4:373026368:373027856:1 gene:gene-LSAT_4X184040 transcript:rna-gnl|WGS:NBSK|LSAT_4X184040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQIVCRKKEKGRDQNYPYKVIEVTPPPKSLGVRCFPPNLQCGDGVTIEGQNYTISAVTHRYQLRKGKYEPFEKRLDVLSTGRYILNLYLENLLEQS >cds-PLY93383.1 pep primary_assembly:Lsat_Salinas_v7:9:57859527:57862086:-1 gene:gene-LSAT_9X50841 transcript:rna-gnl|WGS:NBSK|LSAT_9X50841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAIPNPLPPPVSGFITQKFEVYFGLGAILLPFHIVGVAEMKVIIERTGGLVVLAKSFGHSIFKDSFKHVFEKGEESLGLARNGTVKITCSKDIKIQGIIGPCTSLDKKGPVVANTVIGQWNTTSWKLCGLDKDTYLTVFFDISSSDKDPSGNVNPQLYIQTTTR >cds-PLY84499.1 pep primary_assembly:Lsat_Salinas_v7:1:29535459:29541141:1 gene:gene-LSAT_1X25020 transcript:rna-gnl|WGS:NBSK|LSAT_1X25020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEERETEVIHSWSAPRSLSTSLMYSFAQRDDTEVLDEPLYAHYLRVTGVDRPYREELLSKMESDGNKVVKNIIYGPGKKKYRYCKHIAKVRLPGLSDDLTKKGKHFILIRNPIDSLQSFDKVVPSSFHELGLADLVSVYSELSASGKPPPILDVAHLQQHPEAALRGLCEDLDIPFQDSMLKWEAGPKSVDGIWAPWWYKSVHKSTGFVQPVKYRPPFPPSLYDVLEQSLPFYNMLKSHARSTRFQKIPTPSLPVATNEKILVWVGDELLPRDNAMVSVFDSVVQGGDSVWEGLRIYNGKVFKLEEHLDRMFDSAKALAFNNVPTRDEIKEAIFKTLIRNGMFDNAHIRLSLTRGKKVTSGMSPGFNLYGCTLIVLAEWKPPVYDNTKGITLVTATTRRNSPNNLDSKIHHNNLLNNILAKIEGNNANADDAIMLDKDGYVSETNATNIFLVKKGIVMTPHADYCLPGITRATVMELVKKEKLVLVERRISLSEFHTADEVWTTGTMGELSPVVRIDGRVIGDGRVGAITRRLQTSFKKLTTEEELGVPIPAYH >cds-PLY92125.1 pep primary_assembly:Lsat_Salinas_v7:9:192832792:192835114:1 gene:gene-LSAT_9X116081 transcript:rna-gnl|WGS:NBSK|LSAT_9X116081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFFLNYANNGGDIKANKIGKINLVATGKDLMDDEKQGDVLLLFAGVVSSSQEGHHLSDSSATKLPSNARGLPSPASLAVVMRHAQHLLSGPVVHSLSVFISLF >cds-PLY99431.1 pep primary_assembly:Lsat_Salinas_v7:4:103401561:103407814:-1 gene:gene-LSAT_4X65480 transcript:rna-gnl|WGS:NBSK|LSAT_4X65480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRIETVKELPFRVGFTGHSGHIRIEPLPPVKKSSSIDSLPEFILPPAFARETPESIKKFVEDKYLLPRLDPDEFSAEKAGRQWEFDWFDQAKIHLEPTMPRSVVVPAWELPFRRPKPASEKWEPASVQVDVSELMVEAESSIGVRISGPPKDFVKGSINNRPFRPGGLDDSQSLGRNFPEGSCNGEWVREVLDGAPAQLIPPSCKEGMDLGHLKGHPCSWIIHEDKSVPKTTSESTLSVQFDDLFKRAWEEDVMEVPINDGDTPELEINVIELGSPKSVPLEDDNKPDSLDTESSVIDQILSTGSTKGLDDSDSGDGGRQKEPKVWAVTGGSDGIADNFNELVPDMALDFPFELDAFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALASKHCTRAVYTAPIKTISNQKYRDFSGKFDVGLLTGDVSLRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDVERGVVWEEVIIMLPRHINFVLLSATVPNTIEFADWIGRTKQKQIRVTGTTKRPVPLEHCLFYSGELYKICEQEKFIPQGLKSAKDAHRRKTMATAGGGSGAYPSLPTTHDNSRSQKPNSFARGKPIKQTGPQNLGNFGGGGGGGRGNQGYGGGNNNWGNRVSEKSLWLSLINKLGKTSLLPVVIFCFSKNRCDKSADNLRETDLTSRSEKSEIRVFCDKAFSRLKGSDRNLPQIVRLQGLLHRGIGVHHAGLLPIVKEVVEMLFCRGVIKASYAYRNRNSIPIPGLVAKEY >cds-PLY93245.1 pep primary_assembly:Lsat_Salinas_v7:6:159069506:159070848:-1 gene:gene-LSAT_6X97421 transcript:rna-gnl|WGS:NBSK|LSAT_6X97421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRNEEDMKFVGFFGIFKQSFKTIFSWKKIFAQITLTLILPLTIVFLVHREISHHLFGKIEFLNIDSNSLLQIEPIDNDGSRTGAMDWLYYWLFTIAYFIFLTVFSILSTAAVVFTIASIYTDREVVFRNVMKIVPKVWKRLLVTFLFIYLALFIFDVIGGVALVIFGSIFHNSIFGAILLLIFLILYIIGLLYLSFVCQLASVITVLENTHGSKAMRKGKDLANGKKKVGMGISFVLYGFLLGLLIVYELFVEYGGQNLGLEMIWRVMIGILCGLLLLMLFLLLIVTQTMLYLVCKSHHREAIDKLSLSTFLGAYMGETVVYPAGGEEIQLGRPQHQQV >cds-PLY62804.1 pep primary_assembly:Lsat_Salinas_v7:4:31020597:31021481:-1 gene:gene-LSAT_4X16540 transcript:rna-gnl|WGS:NBSK|LSAT_4X16540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLAPDAKGRNKRAHSARMAYEDDDTDEYYLHAGEDEEEEDEAQREYYRKKIMELYEEKMKKFAHEDALAEQIKSEERKKLCKRPTKKIKMQQPKPTTQIVSNQVTQQLKQFITNNEMNAVEERKRSKSTPTVEKSKKVEIVRNQITQELEEFITNELEGTEAKVVIQKTLYKSDMEENQNRLNMPMKQVIKPDEFLRKNEKEDLENGMEFEVKLWGPRLEMHENPMMLKMWHMKTTSNYVLKTEWNRFVKANEKDLEINKTIQVWSFRREEKLCFAIACLERDVDVQNGAA >cds-PLY84102.1 pep primary_assembly:Lsat_Salinas_v7:6:188669791:188671530:-1 gene:gene-LSAT_6X116920 transcript:rna-gnl|WGS:NBSK|LSAT_6X116920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METMAKTEKKPHVIFIPLPAQSHVKAMLKLAQLLHHKGLQITFINTEFIHKRLLKSGGVHSLDGSNGFQFASIPDSIPRSSEDDDGLEHLLHCVETHFLTPFLDLATKLSSPPTLIISDGFMSVFTIDAAKKLGIPVMLYWTLAACGFMGFYQTKSLMEKGLTPLKDESYLTNGFLETIVDWIPGMKRIQLKHLPTNIRTTDPTDKLFTFSMEATQNAHKVSYNIIHTFDTLETSLVDALSSMIPHVYTVGPVQLLLNQIPAKERQAQMSNFNGYSLWKEETECLQWLESKELNSVIYVNYGSSTVMSLQDLIEFGWGLANSNHYFLWIIRSDVVVGESAVLPPEFEEVIKKRGFIGSWCPQEKVLEHPSIGGFLTHGGWGSTIESLSAGVPMICWPYLWDQLTNCRYICKEWEVGMEMEKEVKREEVSKLVKELMGDGGHMMRKKALEWKEKALLATAPNGSSTLNVNNLVEEIIMLSRN >cds-PLY67994.1 pep primary_assembly:Lsat_Salinas_v7:8:295432858:295433226:-1 gene:gene-LSAT_8X163581 transcript:rna-gnl|WGS:NBSK|LSAT_8X163581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILNEKYPQFERPSDTLDIKSLGPNIFGLMKQSREATKVAYQGLKDFVKVLKFAEVEDTPAVSSINVEAAEEHVAPKPKFQFAFEEIKVSDDEDDQEDQGNELSENEFEDFISKVFDSQKRM >cds-PLY97322.1 pep primary_assembly:Lsat_Salinas_v7:3:138156115:138157050:1 gene:gene-LSAT_3X91661 transcript:rna-gnl|WGS:NBSK|LSAT_3X91661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPEMGSYGEDNRTYKARELYVLSDKIEELHKTNEELKQAKDEAMQSWLDSRPIIDELERLQSELANAKEESENNTLVAKLESELMAINMKIRVKKEEELRSRTEINEMTKVSESVRAEMERIKLEINEGKRERSKMKMVIKMKRQTLRTLGLTLRAVRLEVEAYSAASEAALEQIGRSKVSDNTATTDMTQEEYFALMRQAKEETSLADWRVVVATEQRLAAEKSRDLALKRLNDPTFRVGSIKKEKEVEMSEKGALSSRAQTPFPRGQTKLITHREMKRDPSRRQVIKKRSVFVKIKSFLARKIKYLFG >cds-PLY77751.1 pep primary_assembly:Lsat_Salinas_v7:2:170194879:170197003:-1 gene:gene-LSAT_2X91220 transcript:rna-gnl|WGS:NBSK|LSAT_2X91220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQPTEAQICIELENWMDSQDKKIETLSKQMKDLSRTMKELLNEMPQSIEKMIQQSQESQGKQHQQEVESELCHWPTTDVDVSSGDQPQQYTPFVTQPRILADLRGKHTKFSDQVDKFKFGNPRAPFSSSDFNEEEHDAMEIVTQRSQIALAYPAATAIAIATREENPSVKGNTSNSVAGSNLIFRFGPCGLPRLPYHFVHSIGPDSQSSQSPQFDITLLQSLLKPYEAPKLSVISNLIVTSHLIHQEGEDRHLKRFSFGAQSYYPQFKSFQGESRILLLAKITSGLVSSCSQEQNPQKNIMFYDTGWVPKRLEKQVFISSSQQYGGFVLDFHCNHFGPTAQFQNYKALFGLIIQVNSFTQRTIQKLRIKIDMEQESEWIVSLNILIHEDLVFAAKHQLQSIDEDMNNWFYGCQFLQRCKCQKLSVEFSLTTRQGEEMFGASYWRAGGVCRGTPLSRVTTTQLITNKVDSGNRFQKLINLPEINVIEVVLEIIEIKN >cds-PLY64979.1 pep primary_assembly:Lsat_Salinas_v7:8:161349331:161351097:-1 gene:gene-LSAT_8X106940 transcript:rna-gnl|WGS:NBSK|LSAT_8X106940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ycf3-interacting protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G44650) UniProtKB/Swiss-Prot;Acc:Q9LU01] MALLRLPSQFPIPLYTTSTCSISQSTHILSVASPHHRKQYLRHRSTNTSRSLVVVGASKEDSGTELSFPAESNINSTSTTATTTDDEEEEDDEFEEDPDPQDLEYVSQIKRVLELLKKNRDMLFSEVKLTVMIEDPREVERKRLLGIDDADTPTREELAAILEEVNEGKIPRDKIALQMLAEEMVQWPNLEVEATKKGKRKSKYAKATDTGIDPELAAKRLNVDWDTAAEIEEEDGSDESDVPSAVGYGALYLVTAFPVIIGVSVVLILFYNSLQ >cds-PLY72557.1 pep primary_assembly:Lsat_Salinas_v7:2:143076825:143078468:-1 gene:gene-LSAT_2X70881 transcript:rna-gnl|WGS:NBSK|LSAT_2X70881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVSNLISTYHCYHHQHRISSSLFLLRSMVTATSSSSSSSVAATSTGGGAFTTIAERVSLERDIKKSKFIAIAGHIPDERSAQSFLSEVGNQYRSNDDGEPSGTAGKPIHSAIENSGLDRVMVVVIRHFGGIKLGTGGLVRAYGGVATECLKNAPTRLIKSQVRMGVEVSFDLLGVVYHQAFKAEEIKQDYDTGKDGITMVTFKISFDQAEALEEALKTNCSRNLVFYKH >cds-PLY82958.1 pep primary_assembly:Lsat_Salinas_v7:1:18375341:18375878:-1 gene:gene-LSAT_1X16001 transcript:rna-gnl|WGS:NBSK|LSAT_1X16001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKDSTGLDSTMYVLLYGNQRKKIEFLKVRGFLKRDDRLRLSHLSSVFPPFSEIWRLKLETTKILLNPLLNICISLPTNVELRWSSSRLWSLVWFVGENT >cds-PLY89825.1 pep primary_assembly:Lsat_Salinas_v7:4:323846520:323847342:-1 gene:gene-LSAT_4X161541 transcript:rna-gnl|WGS:NBSK|LSAT_4X161541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIARTAPKSLTRFFSLSRHLCTATGTQSQKLERIADEILSLTKLERNDYAVLLRYKMGFNNYGPAVTGIGSPSSAGAASSGPGSDAKAAEKVVFDVKLEKYDAASKIKIIKEVRSFTDLGLKEAKDLVEKVPVVVKKGITKDDAEAIMAKLKDLGATVVLE >cds-PLY82059.1 pep primary_assembly:Lsat_Salinas_v7:8:52040299:52042149:1 gene:gene-LSAT_8X38620 transcript:rna-gnl|WGS:NBSK|LSAT_8X38620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKYQEYIEALEEERRKIRVFERELPLCLELVSQAIERCRQQMSGTIMDCFNGQSDQYSEQTSSEGPVLEEFIPIKPDSSTNDDDVDGQQKQHLSHNSKIICNNETSSPFSRKSDWLTSAQLSIKTPDPPIEEDFLSNKLVAMETNRNGYGAFHPFMKKRSFSPTPNMEGERSLTCPAMVLNAANSCSADTDGGGGGGGGSKGEDKGLYNKKERRCWSPELHRRFLHALQQLGGAHIATPKQIKELMKVDGLTNDEIKSHLQKYRLHTRRVSSPTIHHNDPHPSQLVVVGRIWMPPLEYTTTSPATDNTNKAKGVFTPIASLSPSITASLYKTKPCNQQPYYDGKGSQSHSNSPSTSSMYTTTVSTAF >cds-PLY97016.1 pep primary_assembly:Lsat_Salinas_v7:2:34272839:34276603:-1 gene:gene-LSAT_2X15521 transcript:rna-gnl|WGS:NBSK|LSAT_2X15521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIRFSNLHSRLVFVLLFFVLFCNNGRSVLGMNYTKYRKVGNLRLARIHKHLDNLNKPPVLSIQSPDGDIIDCVHKRKQPAFDHPLLKTHKIQRVPPKMPTVKSMVKTQEEDPSGKSANISQNEGYIRRDAWQMWHQNGKKCPKGTVPVRRSTVHDVLRSNSLYDFGKKRRSNAPLARRADAPDVVSGNGHEHAIAYTGTSSEIYGAKATINVWDPKIEVINEFSLSQIWVLSGSFDGSDLNSIEAGWQVSPELYGDSRPRLFTYWTSDAYQATGCYNLLCSGFIQTNSRIAIGAAISPLSEFEGSQFDVTIVIWKDPKLGNWWMGFGENTLVGYWPAELFTHLADRATMVEWGGEVVNSRANGEHTSTGMGSGHFAEDGFGKASYFRNLEIVDSDNSLISAHDISTLAENNNCYNIKSSSSSQWGTYFYYGGPGRNDQCR >cds-PLY96814.1 pep primary_assembly:Lsat_Salinas_v7:2:172382276:172387259:-1 gene:gene-LSAT_2X95100 transcript:rna-gnl|WGS:NBSK|LSAT_2X95100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGFISDSGYSTKKTTMVLFINERLVECTALKREIEIIYAATLPKASKPFIYMSLILPPEHVDVNVHPTKREEVIIEKIQSVIEMKLGNLNESSTYQEQQAVDCSPVSSISANKASCVNTSTSGPYTTTDNFFFEPLSDLLAYAQKKQPQLLILLQDYVEYMGSAACVILVPSIHDAHHDYVFPQVHVSTHLVITGSLLARVLGFQTQRDSSMGVQTLKKASACGIVKAMRLKHXMWDVKAMRLKHGEEHGCQRVISEEHPITSLLVSGDNKYLIVNLNSQEIHMWDVEGLWEKPLRYKGHRQHKYVIRSCFGGVNSTFIASGSENSQVPATSSKGAGPSMLEGKSGYGSTMQDSPKFTSGDYPAATQKYGQKGENMLIFDFVPTVSNNPPFNNKNNIGFDCMDFGSGCSCELSNDFSPANKLISSGRVILDLFTRCLKDLDAFTTAIVVSIAFSFISASLAVAIMKTRLRAMVTRDEFRRRRNKAATIVQVDLE >cds-PLY83377.1 pep primary_assembly:Lsat_Salinas_v7:5:94703037:94703348:-1 gene:gene-LSAT_5X43200 transcript:rna-gnl|WGS:NBSK|LSAT_5X43200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLQIHHVCRSIPPTPSTGSLSSWPTKQFTIGIDVHRSVALTKSIDSKTRKNLRSSMKVRSSLEMSGPTVVIGQVTDVDKDTFWAIVNDVGDKTVVLDMYTQW >cds-PLY71059.1 pep primary_assembly:Lsat_Salinas_v7:3:162085370:162090302:1 gene:gene-LSAT_3X100660 transcript:rna-gnl|WGS:NBSK|LSAT_3X100660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLEFLYPKLILFVLGFWSSVATYLLHLIRCLTRITSGSSKGVSNDLRIVSTEAIEASLKVTESNMEFEEKQELLQFLSIENDDVDEKVDEEEAPEFSFTFKFPTFEEFNKNQKDFSDLLNLIEPLPEFIDPIEVSMEASKTDTNSDDGSYHVSDKEDQTKDDFEDQGVNDETMVESPNEQKIEEEKEQEEIDTSMEEKLHDESSISSRNLDSPTNDLQFDDGFLSDLDFELQFDEKEQKNWSKPEFLSENDFSTKSRNLKGNENEKSASNDTTNKLESLWEHQELIEQLKMEIKKVKAIGLPTIFEESESPPKIMEELKPWKIEEVYQNGGKMSEVHKFYKSYRERMRKFDIFNYQKMYAIGFLHLKDPLESSSSSKSLVPEITTLLNQSFTTTKGKKHENDPTIKFIKELQSDLEVVYVGQMCLSWEILHWQYEKALEIWESDPHGIRRFNDIADEFQQFQVLIQRFVEDESFQGPRIQNYVKNRCVFRNLLQVPVIREDHSKNKKAKSEVFYDITSDTLVEILEESIRIFWRFVRADKYKRQTPIEFQKPEDSQLFTDLQKDLHKKERKLKEQLRSGNCILKKLRRCKEEEEIEDQVLYFFCQVDMKLVSRVLQMSRLTSDQLIWCHNKLSKVSFVNRKIHVEPSFLLFPC >cds-PLY89197.1 pep primary_assembly:Lsat_Salinas_v7:3:22575843:22577461:1 gene:gene-LSAT_3X16800 transcript:rna-gnl|WGS:NBSK|LSAT_3X16800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPAPLTTPTVGSQSQLVCSGCRNLLLYPVGATSVCCAVCNAVTSVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTINLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCQFITSVGASTSATEQKFNA >cds-PLY75521.1 pep primary_assembly:Lsat_Salinas_v7:9:32058116:32060605:1 gene:gene-LSAT_9X28800 transcript:rna-gnl|WGS:NBSK|LSAT_9X28800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANHVLAPRIKKLLYSNDRAINELLLVDRLQWQRKTRIYLDGLVNYLKRLMLGYENEEVEGLVNKMEVLSGEIDFHLCAGRMNGMLEIIEELCIIRFGSSVEEEIVVGFDDDVETLLDQLTQVSIKRFQVISVVGMAGLGKTTLARKVYRDPLIEYHFDIRAWTCISQIYVKRDLLLGMLSSFIYDLTEEMYKMSDEQLGEKLYRLFKGRRYLVVLDDVWDCMAWNDLQIYFPDDKVGSRVVFTSRDIDVSSHVGAARPAHVLRLRTTDESWDIFQMKVFRSGICPSYLEPTGREIVKKCGGLPLAIVIASGLVKNQSSYRWREVALSLRSFMVHDPSQYMGTLALSYNHLPPHLRWCFLFVGVFPEDYEIPVTKLIWLWIAQGFVHDQGGSRILEDVAKDFMMDLIKRSLLMIAKKRADGQIKACRIHDLLRDLCLRKAEEETFSSEVYRYALVLEDPTSPTTRKHEMRLNLPAKTIHSGLSYPFELGKVLHESGLVFSETYKSLRILDVESIRISLFPSDVVQLVSLRYLAIQAHDGSPQASISNLVNLQMLIISSRKNVVVPKTIWNMVNLRHLYIKSGENLIEEPCLVQATENDDDGGSRLISLQTLSQVSPHSCHNIFSRTPNLRKLVFCGPLISSQGDLEFPNTNSLQNLKELKLLNTVTYPEPTRSCNPIMFPEMLKKLTLSNIGMDWEEMWTFSLLPHLEILKLKFNACIGGRWEASDAEFVRLKVLKLQGLELREWVCWRDTFPVLQRLVLHHCLKLKSIPLDVGRILTLEIIEIRGCSISANSSALKIKEEQESEGNFFLNVHATKTCFRKPLNELRKF >cds-PLY82455.1 pep primary_assembly:Lsat_Salinas_v7:2:184998054:184999710:1 gene:gene-LSAT_2X108380 transcript:rna-gnl|WGS:NBSK|LSAT_2X108380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRITNLETTAFPRLLSFAPARFYTAFAFARRPRRRNSFLFTTSCSTDVSITIATDHNYGNKQVISVTQTLYDYLLTNVREPPILRQLREETATMRGSQMQVSPDQAQLLAMLVQILGANRCIEVGVYTGYSSLAVALVLPESGILVACERDINSLQVAKKYYQQAGVSHKVDVRHGLAVDTLNSMLENGEGCSYDFAFVDAEKRMYQEYFELLLKLVRVGGVIVIDNVLWHGKLADPMVNDKKTESIRSFNKALMDDERVTISMVPVGDGMTICRKR >cds-PLY63691.1 pep primary_assembly:Lsat_Salinas_v7:9:86769450:86771249:-1 gene:gene-LSAT_9X67160 transcript:rna-gnl|WGS:NBSK|LSAT_9X67160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g42920, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42920) UniProtKB/Swiss-Prot;Acc:Q9SJG6] MAPCFCSLNPLPSSSTSISQFISDQPYLSILETKCSTIKDLQIIHAQIIKTGLIKDTIAASRLLSFAATSPAADISYAFKLFNQTQNPNLFTWNTIIRGFSRSSNPRMAISLFIDMLIHSSVDPERLTYPSVFKAYAELGLAGNGAQLHGRILKLGLQFDVYIRNSIVHMYANCGYFGEAIQLFGDGEDMDVVAWNTMILSLAKFGNIGNARALFDEMPHRNSVSWNNMISGYVRTGKWVEALDLFRIMQTEKMKPSEFILVSLLNASANLGALNQGEWIHDYIIKNKVELNVIMITSLINMYCKCGSIERACQVFESSPIKGLSSWNSMIMGLAIHGHGNEAIELFSKLESSIFNPDSVTFIGVLMACSHSRLVEKAKFYFSLMTERYKIEPSIKHYGCMIDVLGRSGLLEEAKIVILSMPMKPDGVIWGSLLSSCRIHGDVKMGEWASRNLVDLGEGESCGHVLLSNVYASGGEFEMAIRERMLMKEKEIEKIPGCSLIEVNGEVHEFVSSGRLHPKVDEIHNLLKNLTLLLHDVKSFNL >cds-PLY87447.1 pep primary_assembly:Lsat_Salinas_v7:2:134382071:134386025:-1 gene:gene-LSAT_2X63520 transcript:rna-gnl|WGS:NBSK|LSAT_2X63520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVRSQKKPSSASADGVSTIPLYRSAPTLEVRLEDFERFAVDRLLVLRGISDGLARGKKPDEMEKLVSDLWKANMRHPNTSEIIDKDIISHFVLRLVYCRTEELRKWFLSMESTLFRYRFRLETSDVHRALMTELGIPYKSVTNAEFEGLKEKLSQVARSIGQQLPTSDTVYYKVPWEEVSELVGSRRVFIKKGYAYVALNQVVSFVIPHFRSHLSKALVLTNRKWTSMIREQEKDRLTPIVEALSTSYLGPDYTQDKEFGEISLKDIDQVARSSFPLCMRHLFDSLREDHHLKHGGRMQLGLFLKGVGLKLDDALAFWKAEFSQKVGAERFDKEYAYGIRHNFGKEGKRTKIILSTPGVGDHHGCPYRHFSEENLRAALGKMGVGSRELEQVVEKAKGRHYQLACTLTFEAIHGASCDSGINHPNQYFKASQNILKEKWYNGLMHSI >cds-PLY93391.1 pep primary_assembly:Lsat_Salinas_v7:9:58418847:58419257:-1 gene:gene-LSAT_9X51581 transcript:rna-gnl|WGS:NBSK|LSAT_9X51581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIYFGESRGHLHLADTPDGSRNHLELNVYEMLKDHSGWFLKYRVELVEFLSAYPEMIRINPDPSIPIYYDYQLLDVVRGEEEDETFFVIQIPGNRIIRYNVADKSFKQVFDTSHFYSGPIGFEEIHPYVETIVSL >cds-PLY86088.1 pep primary_assembly:Lsat_Salinas_v7:7:140206326:140208440:1 gene:gene-LSAT_7X84180 transcript:rna-gnl|WGS:NBSK|LSAT_7X84180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIILSLLLVVLPSSANLIFNFTSFNPNNHELSYYGDAAPSNPVIQLTRNQQDKEMHWSTGRVTYQKLFRLWDKDSGELADFTTRFSFTINSGDQSVYGDGIAFFLAPDGFKLPPKQEGSGIGLVGADHVLNSTLNPFIAVEFDTYRNDWDPPGDHVGININSMVSVKNVTWSNLVANGQRNVARVSYNSSAKRLSVAFTGFDQTGVFTQRLSQLVDLKEYLPEYVSIGFSASTGDYFEIHTIHSWDFNSSLPTHDEIIGPIGSEISINSSAPSPSVVTLLQPVLHQSQRQFKVVLGIGLGLGLCALISGFFMFGCLKKRRIIFQKRDEEDVFGDTKEYEIETGPKKFSYKQLALATKNFSEGEKIGQGGFGGVYKGFMRETNSYVAVKKISSGSKQGIKEYAAEVRTISQLRHKNLVQLVGWCHEGKELLLVYEFMSNGSLDSHLFKGSFLLTWAMRYNVARGLASALLYLQEEWEQCVLHRDIKTSNIMLDSNFNAKLGDFGLARLVDHEIGCQTTILAGTMGYMAPECVLTGRAGKESDVYSYGVVALELASGKKPIDPMAKDCHRRLVDHVWDLYGRGMLSHVADPKLESDFDEGEMECLITVGLWCAHPDPKVRPTIKQAIQVLNFEAPLPNLPKTVPTPTFSATPITMSAFWSSPLVDLTGSGRDYTMCSSSSDEVKSSKHTGSSSASSSASFVDDTQ >cds-PLY86476.1 pep primary_assembly:Lsat_Salinas_v7:7:113692738:113695276:1 gene:gene-LSAT_7X70781 transcript:rna-gnl|WGS:NBSK|LSAT_7X70781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESEGNVNRNLNSVDNPIEIEDDEESDDDCSVDHLLFQKKLIDEDMKKTIEIHSSGSVKIEKDDEEIPDDFFVSDIKNPIEIHSSGSSKIKKDDEEISDSVLYNIHSQGGNFDEDLKNTVEIHSSNSLKIKKDGHQIKKSKSKLLYVDRIKCKEMSIVRRYLVINFWTSEQLKFRESKELANGDFGNGVDAEKGDEELESGYILDIQWVVSDLSKKVEMALQKHAYHQIFHFYKGKLEQLVFDISKFQQSDFKNDSRSDSLSRKITFEDKSFTDKEMGKGFYRKNNGRLYGENDERNNFMNEDVPSFYLGIESEMCTPKKDCLSSGIEIQDKSKSSVVFESPVNLVGNKVDVPSYLSKRVESEPDKKSRPKRNQMLPPVRRSPFVIRAVDIESNLTREENIISNWGQLISKHIRSTWRTPYV >cds-PLY97468.1 pep primary_assembly:Lsat_Salinas_v7:6:91722477:91722863:1 gene:gene-LSAT_6X62741 transcript:rna-gnl|WGS:NBSK|LSAT_6X62741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMRHHHQPEYFSIHGIRYHVRVVNGFTNNSSLPLIIWCASQDGDIGGRALQEGDDFSWDTRLSFWTSTPAFSCTMKWDQIRKKFKAFQIHRDRSRCGVSRKCFWLVKEDGFYFSNDEYNWVKDFSWS >cds-PLY72564.1 pep primary_assembly:Lsat_Salinas_v7:2:142095134:142099390:1 gene:gene-LSAT_2X69740 transcript:rna-gnl|WGS:NBSK|LSAT_2X69740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHDVEKSTSVPPIEPPLGSTVIPVVNKLREVLAKVGGGDSELELPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLLLQLVRSNEEAGEFGEFWHLPGRKFFDFSQIRAEIQAETEREAGGNKRVSNKQICLKIYSHKVLDMTLVDLPGMTKVPVGDQPLDIEAQIREMILSYIKQPRCIILAITPANSDLANSDALQMAGIADPDGHRTIGVITKLDIMDRGTDACNLLLGKTIPLKLGYIGVVNRCQEDIKLNRGIHDALASEEAFFQSHPVYNRVADCCGIPKLAKKLNKIFVQHILKMLPDLKSSIRSKLAIASKEHSNYGHLPRKPDQGSLVLNILLKYSKAFVSMVDGRNEEKLTSEVYGGARIHYIFQSIFVSRLEEVDPFERLTDQDIRTAIHNATGPRSALFVPEVPFQVLVRRQIARLLDPCLQCARLVYNELVKISHSCLVHELQRFPVLKMHINDIVGSFLFEGLQPSQTMIGHLVEMEIDHINTSHPNFIGGNKAVEVASHQVRSYRLGSTTPRTRDGEDSEASGSNRRSTAIVVRSPTNGIVPDQGVRSVADIEKNGSPGSERSSNWIISSFFRGKEVQSRGIDASGSNSDNGILNHKEHGSSIIHLREPPLMLNSSEMHSEHEAVALAVTKQLLESYYTIVRKKIQDSVPKAIMHFLVNHTKRELCNVLIENIYRESLFQKLLQEADDVSLKRKHVEETLIALQEASKDKVIIDFS >cds-PLY75951.1 pep primary_assembly:Lsat_Salinas_v7:5:248312031:248315991:-1 gene:gene-LSAT_5X124700 transcript:rna-gnl|WGS:NBSK|LSAT_5X124700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTFEGVFVNDPWLQSQFTQVELRKLRTKFISARNQAGTVKIVDLPPVLAKLKPFNEVSSQDEVSRMLGDSYSDLAKDLDFEGFLRVYLNLQARASAKLGTSKTFRTTSSFVKSGATTLRHSISETEKASYVNHINNFLGEDKFLKDFLPIDTATNALFDLAKDGVLLCKLINIAVPGTIDERAINTKKVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLAEGRPHLLLGLISQIVKIQLLADVDFKKTPELAEMVEDSKEAEELMSLAPEKVLLKWMNYQLKKAGYKKEVTNFSSDLKDGEAYAHLLNVLAPEHGSTKTLETKDPTERAKLIIEQAEKLDCKRYVTSKDIVEGSTNLNLAFVAQIFHQRNGLKVDKAKTTNVLAEMMTDDETTSREERCFRMWINSLGVETHVNNVWEDIRDGWVLLEVLDKLAPGSVTWRLANKPPIKMPFRKVENCNQVVSIGKELNFSLVNVAGNDIVAGNKKLIIAFLWQLMRFSMLQLLKNLRTHGHGKEITDADILQWANKKVKDTGKSGHMDSFKDKRLSDGIFFLELLSAVEKRVVNWGLVTKGETEEDKKLNATYIISVARKLGCSIFLLPEDILEVNPKMILILTASIMYWSLLQKAKVNEDDRPPDEPTVATEEVEGNPAMDEAATDDGGAGTTDKEETVTEEGNS >cds-PLY88013.1 pep primary_assembly:Lsat_Salinas_v7:MU040244.1:850587:851126:1 gene:gene-LSAT_0X2201 transcript:rna-gnl|WGS:NBSK|LSAT_0X2201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMVTYELQIYLLQVGLFYEDGNPVEAKGIGRKILDMVHRTYESEMGGKGFAYDGEKTLFTVGALPATKLEFNVVLENAASNRTSRGGSPSEGETKRSRRVPQSKQYKVTLSFATKIPIQVVVNALQGHDSEQFHEAVRVLDILLRKHAAKQ >cds-PLY65565.1 pep primary_assembly:Lsat_Salinas_v7:3:250665170:250672534:1 gene:gene-LSAT_3X138581 transcript:rna-gnl|WGS:NBSK|LSAT_3X138581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSVTVFTPMNNNIKFVSFHDLTAKIFDIRVAFDFSGQVVSTEPMRVIKENARETRLMSIIAQYLSIVDIDLNVESSINTTQLNTKTFVAKPKDYYLRFQIKNIDDILDYNEDQGCQKIPDEFNTILNKKFVFKFQISKFNLENNYHVYTVHKMTNDELVVGAVFKDSPPYEENNIHSDGTLINKSIKENSVYVECDNINVVDFDAVTPTTTSLKRPIKIVTTTESFEWSSSKAGVAPHTLKIPKMEKIE >cds-PLY84766.1 pep primary_assembly:Lsat_Salinas_v7:5:230357585:230360843:-1 gene:gene-LSAT_5X110561 transcript:rna-gnl|WGS:NBSK|LSAT_5X110561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPESISGHLLLLALFFLVVSPSFSQSDPRQILLKFKTELAGSSTQVFISWNENNPVCNFTGVVCDSKNSITEINLSNQGLDGTLDFDSICSLESLQKISLGSNLLHGNISSRLSDCTNLQLLDLGMNLFSGKFPDISSLTQLQFLNLNLSGFSGPFPWKSLEKLTNLTYLSVGDNLFDRTPFPSEIFNLVKLQTLYLTNSSIQGTIPEEIGNLVSLKSLELSYNYLVGAIPEGITKLTNLQELELYDNELLGGFPVGFRNLVMLSKLDVSNNSLEGDLSELRNLTRMESLQLFENNFSGEIPAEFGEFKFLKQFSIYDNMFTGELPSKIGSWADFQYIDVSENFLTGSIPPDMCKKGKIIEVLMLQNKFTGGLPETYANCSSLQRLRVSNNSLSGRVPDRIWGLPNVRMIDLSMNQFEGPVTPNIGEAKSLAQLFIAYNRFSGELPEEISKASSLVEMELTHNQFTGEIPARLGDLKKLNSLYLDGNFFSGIIPESLGSCVSLNDLNLAGNSLSGEIPASLGSLHGLNSLNLSNNKLSGVIPSSFSSPRLSLIDLSNNMLIGRVPESLLAEAYNGSFAGNPGLCADRSRGLQQCAPTPRRSGGLGVAKYCFIAGALVLVLSLTCFVLVKSKQKDSESMTNRGFPWDIRQFHVININESEILRSLKRENLIGKGGSGNVYKVELGCGKQLAVKHMWKSNVDPGDRKNHPILPKRKSRWPEYDAEIATLSSIRHVNVVKLYCSITSEDSNLLVYEYMPKGSLWDRLHTCLKIEMNWKVRYEIAVGAAKGLEYLHHGCDRPVIHRDVKSSNILLDEEMKPKIADFGLAKIVEINKIMDSTHVIAGTHGYIAPEYGYTLNVTEKSDIYSFGVVLMELVTGKKPVQPEFGENKDIVRWVHDEMRSNDNRIALVDPNILEEFKGHAADALTIAIRCTMTIPALRPSMKMVVQMLEKIEPYSPIDIMIENDINVVKT >cds-PLY83938.1 pep primary_assembly:Lsat_Salinas_v7:8:47999527:48004848:-1 gene:gene-LSAT_8X36500 transcript:rna-gnl|WGS:NBSK|LSAT_8X36500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKPTYGTNTTIHERIPNTILNGIHIGDKHFEFLAFSTSQLRENSQWMLSRYDKVDAAEIWNRMGDLSSIRNLAKHATRMGQSLSLSKKSFNIEKSEIEFIRDIKVEIDGVKYLFYGIGRMFKKTRMYVPQGRTLMGCLDERKVLKYGEVFLKYYEMETEGCNKHKVVTGNIGVAKIPCLHQGDVRVLKVVYVLVLNHMVGCIVFPQMGPDLIPSEQVELMDYFSGPTMEVDHDVTIKEVVEYRLNR >cds-PLY94366.1 pep primary_assembly:Lsat_Salinas_v7:6:9376819:9379869:1 gene:gene-LSAT_6X5781 transcript:rna-gnl|WGS:NBSK|LSAT_6X5781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDSTGNNSYNRRYPPGIGNGRGGGGGWYGGGGGGGGNFQTNPNYHHQPRSHDQIQQQQHQSQYSQRQQSTQQHYNQKQQWLRTNPNVPVSSTANNNNYEVEKTVQSEGSVDSSSQDWKAQLNIPAADSRFKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDTDNNVIQVIILVPTRELALQTSQVCKELGKHLQIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLTKKGVCILNDCAMLVLDEADKLLSPEFQPSVEHLISFLPQNRQILMFSATFPVTVKDFRDRYLKNPYVVNLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPRNAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPQIDQAIYCL >cds-PLY85445.1 pep primary_assembly:Lsat_Salinas_v7:3:42648047:42650313:-1 gene:gene-LSAT_3X32361 transcript:rna-gnl|WGS:NBSK|LSAT_3X32361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAKARRIRQHQENLRRAQSNVTPPQSTTSSVREESENQLMHHQIXNPQWWRCRQHHRCVILNGFWKLLRLLFLFNISPRQLTEDIDDNSFTDSSSDGSSDYEQEKGSLHYLREKSNNHHPKNDILHRMDHLSMNDENNVVQEDFSSDDSDSATTQSCLIFEYMEHSQPLGREPLCDKILDLAQRFPELKSLRSCDLLPSSWLSVAWYPIYRIPMGPTLKDLDACFLTFHSLHTPTTGNECEHPPVVRHFSGTGVVSLPAFGLASYKFKAPLWVPNELKRLECPDGLFTILYVFVTYIEVLVLKRLECPYHVMCQ >cds-PLY75861.1 pep primary_assembly:Lsat_Salinas_v7:9:195484343:195487523:-1 gene:gene-LSAT_9X120180 transcript:rna-gnl|WGS:NBSK|LSAT_9X120180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGDKMINNHNAVSSVIGKGVTDQSEYYPPTICYDHHHPGNNKTYNHSDGSCHQNSSGASFMDNNGSLLYFMPGYNPYAGQTFLGVDGQNRHFPSSEGMPRYLWNSAYSDAGYQNSTSWGSKSAMVSNGLMKSNAFSSQRFPNYLLDDIQSTSNISQSFLQTPQLYSVNKSGGVAKGYQQCSNFSSFPYQNQGLFANYSMIHASKVRDKSYSNGESEELTCGPRAQSSKLEHQEFGNSFKSDQYNLDGFQTKYEQAKFYIIKSYSEDDVHKCVKYDVWSSTPNGNKKLDIAFLEAEGKRRETGSMCPVFLFFSVNGSGQFVGVAEMTGRVVFEKDMDFWQLDKWSGFFPLKWHIIKDIPNTQLRHIILENNDNRPVTYTRDTQEVGLQQGLEMLDIFKSYPSKTSLLDDLSFYENREKSLKARRIHKVAFQPERNLKSGEGSMSTNGSLDPTSSLINLRNLSLS >cds-PLY75694.1 pep primary_assembly:Lsat_Salinas_v7:8:195539161:195543473:1 gene:gene-LSAT_8X125820 transcript:rna-gnl|WGS:NBSK|LSAT_8X125820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSEDSLRRALAEKQSTIDASGNTIRQLKSSGASKSEIDEAVKALNALKLEKTSIETQLKASISGDANGSVMSRDAFRQAVVNTLERRLFYIPSFKIYRGVAGLYDYGPPGCAVKSNVLAFWRQHFVLEEDMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDHCKDKLEKDLAMSADKAAEFKHILAVLDDLSAEELGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGVSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPDDKSHPKYSEVEKLEFLMFPRDLQMSGQSAKRLCLGEAVSKGIVNNQTLAYFIGRVYLFLTRLGIDEERLRFRQHLPNEMAHYAADCWDAEIESSYGWIECVGIADRSAYDLRAHTDKSGVALVAQEKFAEPKEVEKLVITPIKKELGLAFKGNQKMVVEALEAMSEKEAMDMKSVLETKGEVEFEVCTLEKTVTITKKMVTISKEKKKEHQRVFTPSVIEPSFGIGRIIYCLYEHSFYMRPSKAGDEQLNVFRFPPLVAPIKCTVFPLVQNAQYEQVAKNISRSLTAAGISNKKDITGTSIGKRYARTDELGVPFAVTVDSTSSVTIRERDSKDQIRVSIDEVAAVVKEVSEGRATWADILKKYPAHTSASADE >cds-PLY62122.1 pep primary_assembly:Lsat_Salinas_v7:6:47305554:47307382:-1 gene:gene-LSAT_6X35480 transcript:rna-gnl|WGS:NBSK|LSAT_6X35480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II 22 kDa protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G44575) UniProtKB/Swiss-Prot;Acc:Q9XF91] MAQAMLLTSNTILSGQPLLQSLKPKPFSYHLLPRNLPNLSPATKFTSPVALFKSKAKAPVKKAVVSKPKVEDGIFGTSGGIGFTKQNELFVGRVAMIGFAASLLGEGITGKGILQQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGRFVDEPTTGLDKAVIPPGKGVRGALGLKEGGPLFGFTKANELFVGRLAQLGIAFSLIGEIITGKGALAQLNIETGIPISDIEPLVLFNVAFFFIAALNPGTGKFVTDEEED >cds-PLY77973.1 pep primary_assembly:Lsat_Salinas_v7:1:21208535:21212421:-1 gene:gene-LSAT_1X17521 transcript:rna-gnl|WGS:NBSK|LSAT_1X17521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESNAESPLLTSKDGQQNAHFTGDVEDIPPINGINDFFVQFGVESKKLWYLAGPAIFTTVCQYSLGAVTQTFAGHVGTLDLAAVSIENSVIAGFSLGIMVGMGSALETLCGQAFGAGQVDMLGIYMQRSWVILFVTGLIMMFLYIFATPLLLLIGQTEDISHAAGKMALWMIPQLFAYAFNYPIAKFLQAQSKIMVMAYISAVALVLHTFFSWLLMLKLGWGLWGGAIVLNLSWWFMVIAQMIYIFSGTCGRAWSGFSWDAFSNLWAFVKLSFASAIMLCLETWYFMALVLFAGYLKNAEIAVDALSICTNIVGWAVMVALGFNAAISVRVSNELGAAHPRAAKLSVMVVVFSAFFIGVLLAIILAIFRHQYPALFSDNLQVQEAVYVLTPLLGACLIINNIQPALSGVAIGAGWQAVIAYINIACYYIFGVPLGLSLGFVANWGVKGIWVGMLTGTVVQTLILIWICYGTNWEKEVLNFQFSPCIFFLHSINFQSSN >cds-PLY69883.1 pep primary_assembly:Lsat_Salinas_v7:4:70472772:70473851:1 gene:gene-LSAT_4X49241 transcript:rna-gnl|WGS:NBSK|LSAT_4X49241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTIWLGFGDAVCASISPDQQILDVDTGIGVIELYEISEPITLIRYVSLHDWGYSMDDTGAKTLQREYLRFLLGNVALTEWFRVKPMLDKLSMVKKCMRETERWIFFQQNAYAIVNRIL >cds-PLY74605.1 pep primary_assembly:Lsat_Salinas_v7:7:37153261:37154409:-1 gene:gene-LSAT_7X27661 transcript:rna-gnl|WGS:NBSK|LSAT_7X27661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENTNESVEGEREGEACFEGKSDISQVKKLLFRRMLVGVSDGRFFMGAFYCMDKQGNIILQDAVEYRSTRRSSPSPMEQRGLGLILIPSSCRISCHVDCSIDEHLSLLSLQAQK >cds-PLY93564.1 pep primary_assembly:Lsat_Salinas_v7:2:174533729:174536451:-1 gene:gene-LSAT_2X96881 transcript:rna-gnl|WGS:NBSK|LSAT_2X96881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEDNNRRNGGTGDDRSETSDYSSEDEGTEDYRRGGYHAVRIGDKFKHSRYIVQSKLGWGHFSTVWLAWDTQKSRYVALKVQKSAQHYTEAAMDEITILKQIAEGDPDDQKSVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGVPLHKVKEICFHILGGLDYLHRQLSIIHTDLKPENILLLSMIDPERDRTKSGTPLILSSSKDLLADSGPSKEVKNSNGDLTKNQKKKIKKKAKKAAQNGAGREDLDEVEQDNDSINPDSCSNEKPNGVDSIEDHASSSVVKDDSKNHPDVRERNQLRGRGSRSTRQKLLADVDVKCKLVDFGNACWTYKQFTGDIQTRQYRCPEVLLGSKYSTSADLWSFACICFELATGDVLFDPHSGDNYDRDEDHLALMMELLGMMPRKIALGGRYSREFFNRHGDLRHIRRLRFWPLHKVLKEKYEFSEQEATDLADFLVPILDFVPEKRPTAAQCLSHSWFTGGPRVLTPKADVVDDDNNKSCEKSNEKHEREAMEAGVGNIAIGGVSKTPSKQAS >cds-PLY95105.1 pep primary_assembly:Lsat_Salinas_v7:1:94677887:94679979:1 gene:gene-LSAT_1X78561 transcript:rna-gnl|WGS:NBSK|LSAT_1X78561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hepatocellular carcinoma-associated antigen 59 (InterPro:IPR010756); Ha. [Source:Projected from Arabidopsis thaliana (AT1G02330) TAIR;Acc:AT1G02330] MKKNKNFRKRSIADEEDEQTGVTEDGNEMRLALEEIKFLQKLRERKSGVPAVVKTGQAAAASGVNNAGGLVPKGGDKGEADGEKDDLVLQDTFAQETAVMDEDPHMLKYVEQELAKKKGKNIDEADQVENDIKRAEDELYKIPEHLKVKRRNSEESSTQWTTGIAEIQLPIEFKLKNIEETEAAKKLLQEKRLMGRAKTDSTIPSSYSADYFQRGKDYAEKLRRDHPELYKDKGGANNNGEARAGDSAAAVDGGAGGGGGGGGGRQAATDEFMLERFRKRERHRGTRR >cds-PLY96183.1 pep primary_assembly:Lsat_Salinas_v7:8:101481675:101488066:1 gene:gene-LSAT_8X69001 transcript:rna-gnl|WGS:NBSK|LSAT_8X69001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLGSRACGFDIDQMIKWPRTSTTSSKTISNSSSPSSTLSESSNSPIAISTRKPRAPRKRPNQTYNEAAALLFMACPNIFNTKHLTKRTNNLPTFSKQHCDLFNELPELILPYTAIENSGILLRQRNTEKPCLLVEPKFQSTGELEIESNCVSVELSDECLDDFDTQSLLDEEIEQGIDSIMGDSNSVLVNDESNDIVSTDANTSHGYPMGLGFGARNGVKALRNGDEGNWWRIPMVDMVDITPAPPVKCEKAPVGKKKKKKKVEELMKSENGDFGSRKQRLLLKLDYDAVLNAWSDRGSPVPEEISQSASLRDDVHARGAHIDLFSENGRWRESSATRSTDKNHIKKIRYQLKNLTTDRRPRCKGRFVTKPGSLTCDEET >cds-PLY74170.1 pep primary_assembly:Lsat_Salinas_v7:9:11322875:11328961:1 gene:gene-LSAT_9X8981 transcript:rna-gnl|WGS:NBSK|LSAT_9X8981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPPKPWKAEYAKSGRSSCKTCKTPIAQEKLRLGKMVQATQFDGFMPMWNHATCILKKAKQIKSCDDVEGIETLRWEDQQKIRQYVEGGGIGSQSQSQSSSAPSPPPDVTKCTIEASKTARATCKICNEKIMTGEVRISSKPEGQGARGLAWHHAKCFMESSPNTQLEKLVGWESLSDSDKSTVLSMVKKEVSAIKKGKGEVKEEKETVKGGSKRKGAVDRDQKSKIAKTEDDPLTPKTSKNSNNLVDHSLLEAQTKELWALKDDLKKHVTTSEMREMLEANNQDSSGPEHELRDRCADGMLFGALENCALCSGHLHYSGGMYKCRGFLTEWSKCAYSTSEPERVKGKWNIPEETDNKYLLKWFKTQKVKKPVRIMPPPSASTPGKSAGNGLTQSAHSEKLGDLKVCILGVPSKTMDEWKTKIESVGGQLHSKIKKDTSCLVVSGVPDNLNAEIKKARRMKVPIVREDYLVDCFSKQKKLRFDLYKIEATEESKSMVTVKVKGRSAVHEASGLQDSGHILEHGKSIYNTTLSLSDLSTGINSYYILQIIEEDKGSGCYVFRKWGRVGNEKIGGNKLEELSKSDAIQEFKRLFLEKTGNSWEAWEQKKLQKQPGRFYPLEIDYGVNKDSHQKNKIKDAKSQLAPALEELMKMLFNVETYKAAMMEFEINMAEMPLGKLSKSNIQKGFEVLTELQNLLKEAADNPLKESLIVDASNRFFTVIPSVHPHVIKDEDDFKLKVKMLEALQDIEIASRLVGFDVDNDDSLDDKYKKLQCEMIPLPHDSEDYQLVEKYLQTTHAPTHTDWALELEEVFTVERQGEFDKFVPYKNKLKNKMLLWHGSRLTNYVGILSQGLRIAPPEAPATGYMFGKGIYFADLVSKSAQYCFTDKKNPVGLMLLSEVALGEMYELKKAKYMDKPPKGKDSTKGLGKKIPNESEHIKWKDDVVVPCGKPVSSNVKASELMYNEYIVYNTDQVKLQFLLKVRFRHKR >cds-PLY66964.1 pep primary_assembly:Lsat_Salinas_v7:6:81228441:81229532:1 gene:gene-LSAT_6X57601 transcript:rna-gnl|WGS:NBSK|LSAT_6X57601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLHDDVLPNILKRLNVRDLIRCKSVCKSWENLISDSGFIKFHMNYSYQIDYKNNDIDRRIVMSRVSYRNGSRVCDVDDRLFDDRDCHLLGSSNGLVCISSFASQLVVANPSTREVQTLQVPQILDTKHLCWGFGYDSSTDDYKVVLGFRKRVGWTCFQVLSLKSNVWKLIGDVKYSFHSRIGILCNGALHWIMKDSSSPNKKRVIASFQLSEERFNKISEPDDERYESGVASCPNMNLGIIEDCLCISPCDGFNDNLWMLKNYNGKLSWEMFEKECDMNLALQCLKEQEHYIPNKRTLCREMLFYKTREYICAPIYMESLVSPYVNGRPKRKTQESNSKKSCKVRSFCLSYTTNCGVISGF >cds-PLY63973.1 pep primary_assembly:Lsat_Salinas_v7:7:119499442:119504814:1 gene:gene-LSAT_7X72641 transcript:rna-gnl|WGS:NBSK|LSAT_7X72641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLESNQVEEDLEVKLCHILYHIPVRVNNTFDSSVGFGSDDFYQEACLFHTSSVGETSLFTDHHCGRDQIPSQTVQILQDHNDEVWYLQFSQNGKYLASSSSDNSAIIWEKLIFEKPPPNVRKIVLATNMDEASITINDVVLVVDCGKAKETTYDASNNTPCLLPSWISQASARQVQNVVDFSKMIGALDVNENLTHLDAQLLETKSGISNRLSHNQSSVSAIICSGLFPGIASVVVWLAM >cds-PLY86239.1 pep primary_assembly:Lsat_Salinas_v7:8:58228411:58229059:1 gene:gene-LSAT_8X41521 transcript:rna-gnl|WGS:NBSK|LSAT_8X41521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKEVSHFLDKIYEVGARRIAIFSVGPMGCIPAKVLLPDAHINQCLDKINNVVKDYNKGLEDMVNNIRRKYRDATSVYGLVYNITQDIRANPRSYGFVNVYKACCGGGPLNGILQCGTKGYDKCSNPDDFFFWDYFHPSEHTYKLMSESLWNGGNNQIRPMNLKSLANIXIAYRIYRCN >cds-PLY84093.1 pep primary_assembly:Lsat_Salinas_v7:6:188234479:188237476:-1 gene:gene-LSAT_6X115280 transcript:rna-gnl|WGS:NBSK|LSAT_6X115280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIATTSSGPGVNNHHHQQNQIVIPMPPPMPPPPNLPRSDLLEGSREDYIKIGVPLYEASIIGNWKAAKPILDKQPELIRFAITENYETPLHIAASAESTKAVEEFVENLVNLMEKNDLELQNKSYNTALGLAAAAGNVKIAMVMVKKNRAVMEIPGSNRTMPLYVAALFAKPDMVRYLYHNSNKLSGDFWSHENRGWLLQKCVESDIFDVATKIVNDRPELYAKKGLLTNVLFVLAQKTRAFKQKKPHIFVRIINSIFAVFHVKVGFTEKESEALQLLRILWEKIAIMPKSEIDDIIRGPPLEVQKQGGITRSYPSRVLFTAAKMGGRADDPT >cds-PLY84071.1 pep primary_assembly:Lsat_Salinas_v7:6:188482429:188482811:-1 gene:gene-LSAT_6X114241 transcript:rna-gnl|WGS:NBSK|LSAT_6X114241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDALSSFLFYNRATVRDVKDTILSGCSSGPSRGDFNVAEHIQAYGSNSYKFSYSFLLWLVSFISISIILT >cds-PLY94490.1 pep primary_assembly:Lsat_Salinas_v7:2:156356205:156358861:-1 gene:gene-LSAT_2X82180 transcript:rna-gnl|WGS:NBSK|LSAT_2X82180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHKNSSKKDRTPAYPLRRSPRFLQVVLVDPEDPRTPKPEPRRTRIPSSATPLNFTEKVKISSRGRSLGSKSEGCSQKHRESRNARKKSDNSKTRSTRSTRLTPCAEERTEEKSSRSSKRRNREFKRRVTRSSSHGDVDTNVKKTSYGGFTGNTGNDCLLTQVDTNTSRRCKSTLLKKKVKNSKKKWENNSDRFQTLAFDDNPNEGALLLPITENPTLDEELPKKSIAKLANQNEVAITTPSISHDEQQPMNKKDTIKKNQKNIGVKRKRNQHEGNSGISHGWTKDQESALERAYLQAKPTPHFWKKVSKLVPGKSAQECFDKVHGSHLTPPPPRLRSRARLPNSQDPVLSASKFLSSSSPTTKKPKSYKQKSHVIQRNVRHMLQNQYNKGEQDSSEADLFSVLEPTFTESLTSLTTPVRHLDMDVAFKRSSSTAHYKKSLSRFSGGSSATLVSPPVLKQVKNKALHEKYIDQLHCREASRKVASKKAEKYSSRSEGVKGGSSEKRKDAIKVAKNALVFGARDAINEFEFKQGMALIDIFEDECIVGDDDDDDDDGGQV >cds-PLY90523.1 pep primary_assembly:Lsat_Salinas_v7:2:41396488:41397941:1 gene:gene-LSAT_2X18740 transcript:rna-gnl|WGS:NBSK|LSAT_2X18740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSYGEIFDVSGPVHLLTSFDWRNTFHRRSLAASLVEGVYLLERDRQRGSIQQDAHAPPWWERFQFQLNRVLVDDSDLSYFGAIFELKYAHPFFYQSTPHPPRYVVAFRGTILNSSSRSDDMKLNARCIFDTLEESSRFRTAFDAVWNTVAMVGAANVWIAGHSLGASIAMLAGRNMAKSRYQLETYLFNPPIISLPIEKIIPNETLKHGVRVAGSFLTAGIAAAMNRRREDPEEDPFVVLSEWTPYLFVNPSDTICAEYIGYFEHREKMEEMGVGRIENVATRYSIGSLVYGTIGRESEPLHLLPSAYMTVNIRPSEDFNKAHGLDQWWQDHCQWRSKLYKFK >cds-PLY98958.1 pep primary_assembly:Lsat_Salinas_v7:7:48831552:48835408:-1 gene:gene-LSAT_7X35460 transcript:rna-gnl|WGS:NBSK|LSAT_7X35460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-7B [Source:Projected from Arabidopsis thaliana (AT3G43210) UniProtKB/Swiss-Prot;Acc:Q8LNZ2] MSVSAPVTPATKIRRTPSSTPSGPRIAEEKILVTVRIRPLTPRELSAYDLIAWDCTDENTVVSRNLNHERHNGTYTFDKVFDPSCPTRKIYEQGARDVALSAIKGINATIFAYGQTSSGKTYTMRGIAENVIDDIYAHIKNAMESKFVLKLSALEIYNETVVDLLNRDSGALRLLDDPDKGTIVEKLTEEVIKDAQHLRCLIATCEAQRQVGETSLNDRSSRSHQIIRLTIESSHREESGCVKSLLASLSLVDLAGSERTVQTNADGIRLKEGSHINRSLLTLTTVIRKLSVGKKSGHIPYRDSKLTRILQSSLGGNARTAIICTMSPALSHVDQSRNTLAFANSAKEVTNSAQVNMVVGEKQMVKHLQEEVTRLKAELLTPEASSSKCLRTLLLEKEQKIQQMEIELNEIKRERDLAQSQLEIARKLQKDTKEPVIQNQLAKRLSFSDHISISNRRTSVRKSTQSTQRKPLRQSATCMDPCMLVHEIRKVEMRQRQLGEEANRALELLHKEVSSHRLGNQDAAETIAKLLSDIKDMHGISNSIPEEIEVKDKASLKEEIGRLKCEENTIASLEEKLENVQKSLDTLVMYNNEEASRSPLKTKILKTNAKMSSFIKSPCSPSSSVIHNIENMAPDNQKIEGDKKITPAAASKQPNVKKIQMMFKTAAEDNIQSIKSYVTELKERVAKLQYQKQLLVCQVLELEEANDGGGGATDETDFVEEMEYPVMPRHLVFEEQRNQIIMLWHLCHVSIIHRTQFYMLFRGDTADEIYVQVELRRLTWLEQHLSELGNASPALLGDEPAGSVTSSIKALKQEREYLAKRVSTKLSGEEREMLYKKWEIPMEGKQRRRLQLVNRLWTDPLNMRHVKESAEIVAKLVGFCEMGEHASKEMFALNFVNPSDKKAWMGWNLISNLLHL >cds-PLY88829.1 pep primary_assembly:Lsat_Salinas_v7:8:307923585:307925253:-1 gene:gene-LSAT_8X167541 transcript:rna-gnl|WGS:NBSK|LSAT_8X167541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFNRVNMILWLLWVWMVFGISASTRARPDMLVINFGDANSDTGGVLAGAGLPIGLPHGITFFHRGTGRFGDGRLILDFICEHLKLGYLSPYLESLAPNFTSGVNFAVAGAMILPQFVPFHLDIQVRQFLHFKNRSLQLLSLGSGEFINEDEFHKALYMIDVGQNDLLEALYASNLTYAPVAAQIPSFIAETKLAVQNLYQSGGRKFWVYSTGPQGCAPKELALHAHNDTDLDRIGCFRVHNDLAKLFNKGLRSMCKELRSELKDAAILYVDVYTIKYNLFAKASEYGFVEPFKACCGYGGPPNNYNVKATCGQPGYNMCNNVTSAIVWDGVHYTEAANRIVAAKILSMPSLEGFWST >cds-PLY71674.1 pep primary_assembly:Lsat_Salinas_v7:3:45835284:45836945:1 gene:gene-LSAT_3X35301 transcript:rna-gnl|WGS:NBSK|LSAT_3X35301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFKCSLFLLIFCFLGSTFGVHHARTHLQNLNPNASSLLTGIEIPNHSSFNGISDSSSSSDCGFSSPEKTETSDGRETGRNEFESVKLHLKHRSQGQTSMPAKESLFHSAASDVTRIQTLHKRILEKKIRNTVMNPKKHDESSAVKLKPAVEEAPAVVESYAGKLMGTLKSGVTLGSGEYFMDVFLGTPPKHYSLILDTGSDLNWIQCTPCHDCFEQTGPHYDPQQSSSYRNISCHDRRCSLVSSPELSRPCKAEDESQSCPYFYWYGDSSNTTGDFATETFTVNLTTNVDGHKQQHKEVQVNNVMFGCGHWNRGLFLGAAGLLGLGRGPLSFASQLQSLYGHSFSYCLVDRDSNSSVSSKLIFGEDKGLLNHPELNFTSLIGGKEAPVDVFYYVHIKSVIVAGETLDIPEHTWDLTADGFGGTIIDSGTTLSYFADPAYNIIKKAFMEKVKGYPLVNDFPILEPCYNVSGIADYKKDLPSFGILFDDGAVWNFPVDNYFIKLEPEEIVCLAVLGTPRSSLSIIGNYQQQNFHIMYDTKKSRLGFAPTRCADV >cds-PLY86109.1 pep primary_assembly:Lsat_Salinas_v7:7:139501421:139505161:-1 gene:gene-LSAT_7X83260 transcript:rna-gnl|WGS:NBSK|LSAT_7X83260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVEPPPDTAVHNQESDPAAAAAVVVPVEKETETETKSDMAKTSASLPQPTPSWFTPKRLLVIFCVINMINYVDRGAIASNGVNGSPRVCTKTGVCSHGSGIQGDFNLSNFKDGVISSAFMVGLLVASPIFASLAKSINPFRLIGVGLSVWTFAAAGCGISIDFWSITICRMLVGVGEASFISLAAPFIDDNAPATQRTAWLGIFYMCIPTGIALGYVYGGWVGNGFGWRYAFFGEAILMLPFAILGFVMKPLQMKGFSPTGTKKSLTTPETNVIEIEVITNDKDVPVSKHTSKEPSRFGLFWKDIKALLAEKIFVINVLGYIAYNFVIGAYSYWGPKAGYSIYHMSNADMLFGGITIIGGIVGTLSGGFILDYMNATIPNAFKLLSTATFFGAIFCFSAFCFKNLYAFIVLFLIGEILVFATQGPVNFVCLHTVKPSLRPLSMAMSTVSIHVFGDVPSSPLVGVLQDNIKNWRTSALILTSILFLASGIWFIGIFVQSVDRYNEDSEHQDGNTERTNTTPLLEEKTIETDVGSSEA >cds-PLY78666.1 pep primary_assembly:Lsat_Salinas_v7:9:49644080:49649261:-1 gene:gene-LSAT_9X45700 transcript:rna-gnl|WGS:NBSK|LSAT_9X45700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGSISKDSDYLVDELNMVEDVEVDMKDFHGRVENFLILDNHQSFNAPDVIVDEDLEVIDTKLFELAGVEEDERKKLMRRLNKPNTCSSGVVHETAFHLGTLSIMSGSRDGSRDLGSQSDDSFHYERPHVEPIYDAFICPLSKQVMRDPVTIENGQTFEREAIEKWFNECKENGRKLVCPLTLKELKTTDMNPSIALRNTIEEWNARNEAVQLDMASKSLSPGSSETDTLQALMFIQQLCTKNLSSKHIIRNAELIPMIVEMLKSTSRKVRCMALETLRVVVEDDNDNKEIMADGDKVRTIVKFLSHEQSQEREEAVSLLYELSKSEALCEKIGSVNGAILILVGMTSSKSENLFIVEKADKILENLEKNENNVRQMAENGRLQPLLTLLLEGSSEIKLSMASYLGDLALSNDIKVFVARTVGSSLINLMKTGDMQSREAALKALNQVSSCEASAKVLVEEGILPPLVNDLFAGPNRLPILLKELSATILANIVTCDCDFNSIPVGPNHQTLLSEDIIHNLLHLISNTGPSIECKLVQVLVGITNSPVTVIPVANAIKSSGATISLVQFIEAPQKDLRMASIKLLHNLSLHISQELANCLCGPAGQLGSLFKVISENIASTEEQAAAIGIVADLPEQDVGVTRQMLDERDFEIVVSRTKMIRQGETRRSRFVTPYLEGLVRVLSRITFVLFDEPRAVAFCRDHELAALFTELLQQNGLDNVQIASALALENLSHESKNLTKLPEIPPPGFCGSVFPCLGKKPVMTGLCRVHGGACTQRDTFCVLEGQSLARLVALLDHTNEKVVEASLAALSTLLDDGVNIEEGVSVLCEAEGIKPILDVLLENQTENLRRRAVWMVERLLRTEDIAYEVSGDPNVSTAIVDAFQHGDYRTRQIAERALKHIDKIPNFSGIFPNMG >cds-PLY65920.1 pep primary_assembly:Lsat_Salinas_v7:4:135915495:135919716:-1 gene:gene-LSAT_4X84600 transcript:rna-gnl|WGS:NBSK|LSAT_4X84600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKSDVSSMEVDDQISTNPKFSINVLQLLKSSQMQHGLRFGDYTRYRRYCTARLRRLYKSLKFTHGRGKYTKKSITASTVTEVRFLHLVLYTAERAWSHAMEKRQLPDGPNARQRSYLIGRLRKAVKWATLFAELCSIKGDSRTSLEAEAYASYMKGNLLFEQDQNWDIALKSFKSARQVVYEELGKYGDLENQVLCRERVEELEPSIRYCLHKIGESNLQASELVHIGEIEGPALDLFKAKLEAVMDEARSQQAASMTEFHWLGHRFPISNAKTRVSILKAQELEKELNDRKEGALPSEKRLAVFDKIFAAYHEARSSIRSDLANAGNSENMKDDLSGLDKAIGAVLGQRTIERNQLLVSIAKSKLSKTRDEKNEKVTKPEELVRLYDLLLQNTADLSDLLSSGRDRKEEEVALSEECELKSLIFRAERCFFLGKSYSSAGKRTEAYSLFSLARSLSEKALKKVQSVATHDQAMVKELETLYKDCRSNSCIEHAMGIMEHEKAPNDLSKKISAVSISANGNDKKGEKFLVEKLDAYESAVADSSTRVAPRIAAFQPSFQAIPRNPIVLDLAYNTIDFPSIENRMKKDKKGGFISRLWG >cds-PLY70835.1 pep primary_assembly:Lsat_Salinas_v7:4:58473224:58474294:1 gene:gene-LSAT_4X40101 transcript:rna-gnl|WGS:NBSK|LSAT_4X40101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKSMTRSHNEDKRFKTCDNGGAADLNHDVLFLVMMQLRFIDFLAFSGVCKSWRSFALSNRNKFMASRPPMLMWIHYFSDKNEYCLEDFQERRFKTLFPRSSHRGCVGLTYGYIILFAWETKDFWLVNPITKHELYFPCVPNFDPRFMREGARAVLVFSTSISGWVFVMTYRLSDKIWFCIHGKGGWNHVSSTFPILDLHAFKGKIYALNNDWGLYEMSLNPQHPKLTLLEINNCLKSRFLRPKLVSLAGEKLYVIDHIPGKDGDLYRVQELDFGKMKWVLQEEKTTGEEYVFFLSILLNGAPVDGAAVKLGSQYKMHECFPNISTRSRKGRFYATQDMWYFPHECMNVHHLID >cds-PLY98283.1 pep primary_assembly:Lsat_Salinas_v7:7:172017024:172020134:-1 gene:gene-LSAT_7X102521 transcript:rna-gnl|WGS:NBSK|LSAT_7X102521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANKKGSKMNIAIIHPDLGIGGAERLIVDAAVELASHGHNVHIFTSHHDRNRCFEETLSGTFPVTVYGSFLPRHIFYRLHAVCAYLRCIFVALCVLFNFPSFDIVLADQVSVVIPILKLRKSTKVVFYCHFPDLLLAKYTTLLRRIYRKPINFLEELTTGMADLILVNSHFTKSTFAATFKRLDAQGVKPAVLYPAVNVDQFSEPNAYKLNFLSINRFEKKKNIDLAISAFAMLPSPDVTLTIAGKSTQPIRFLISFLGGFDERLRENVDYLDQLKVLAEIKGVSNKVKFITSCPTSERNTLLSECLCVIYTPKDEHFGIVPLEAMAAHKPVIACNSGGPVETVIDGETGFLCDPTPQGFSSAMAKFLENPRLAETMGAKARAHVSGTFSTMTFGERLNQYLVDVVLSKSKLHVE >cds-PLY77399.1 pep primary_assembly:Lsat_Salinas_v7:9:200164598:200165254:-1 gene:gene-LSAT_9X124521 transcript:rna-gnl|WGS:NBSK|LSAT_9X124521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRSICDGQAIEKLARENLSSIVVQQMNGNPSVSEPCRRVYECKDCDKKYDTFQALGGHRASHKKVKLSGSENSSSWTSLQKLHGCKVCGVEFAIGQALGGHMRRHQGLKLGNNTTVEVDNELQQKKDEDIDNYDNSWRHEVNCALHDTVDEQLRQPAEVVKYLQTSGEDHEMVETAKESTAVVPREEFKCCDLNLPPEDDDRHNEFQVRSYYNFL >cds-PLY76543.1 pep primary_assembly:Lsat_Salinas_v7:8:91003526:91004158:-1 gene:gene-LSAT_8X63521 transcript:rna-gnl|WGS:NBSK|LSAT_8X63521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAINHANAVASNSTAALVKPTKETKSKKPLDMRKIRLQHPQQAKDFTVDFVNDDGKTVCNLCKNTFETMEFLYVHMEGHSDGDWKTFFTVPKIPTVIKMADYSMLPPVLRWKLTRKRGRHASSCKLPSMKKRVGLKEVDHDQDLEALELELRLGRQSITQPSEILRQIQADQESKGRREVVFKFDLNQTPPMDMQEEDEEFADKIDDCGF >cds-PLY79861.1 pep primary_assembly:Lsat_Salinas_v7:8:15464826:15469103:1 gene:gene-LSAT_8X13561 transcript:rna-gnl|WGS:NBSK|LSAT_8X13561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLGREKCWGNSKDSWRTTLVLAYQSLGVVYGDLSISPLYVYKSTFASDIHHSETNEEIFGVLSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSSICRHAKVSLLPNQQTADESLSTYKLKQPPESEKSNRSKVKMLLEKHKSLHTALLILVLLGTCMVIGDGLLTPAISVFSAVSGLELSMSKQHHEYAVIPITCFILVCLFALQHYGTHRVGFFFAPIVLLWLICISGLGLYNIFVWNPKVYKALSPYYMYKFLKKTKTRGWMSLGGILLCITGSEAMFADLGHFSYAAIQIAFSFLVYPALILGYMGQAAYLSKHHQMAYDISYFVSVPESVRWPVLVIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKKHGQIYIPEINWILMVLCIAVTIGFRDTKHLGNASGLAVMAVMMVTTCLTSLVIILCWHKPPIVALCFLFFFGSIELLYFSASLVKFREGAWLPILLALFLVTIMFVWHYATTKKYQYDLQNKVSLEWLLALGSSLGISRVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRILVFVCVKSVPVPFVPPPERYLMGRVGPASFRSYRCIVRYGYRDVHQDVNSFESELVNRLTDFIRYDWSRPEAASDGHEDGGFRSSRSSGEQMLAVIGSLEGFEEHESITQVSVSLGFPTVESMGDIIEMNMNPRRVRFADDDGDDEGGDLRDVQMREELQDLLDAQQSGTAFILGHSHVKTKQGSSILKRLAINFGYNFLRRNCRGPDVVLKVPPASLLEVGMVYVV >cds-PLY62506.1 pep primary_assembly:Lsat_Salinas_v7:1:84084884:84087785:-1 gene:gene-LSAT_1X69221 transcript:rna-gnl|WGS:NBSK|LSAT_1X69221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDFDIPTAGKFNETDGEDAIGDDMDAPEGGAGGKVGEEKEIGKDGLNKKILNEGDGWDTPINGDEVEVHYVGSLLDGTRFDSSLDRELPFKFKLGLGHVIQGWDDGIKTMKKGEKSLFTIPPALAYGESGSPPTIPPNATLQFEIELLSWTKVKDISKDGCILKTIVTEGQNWQTPKDPDEVLVKYEVRLEDGSLVSKSEEVEFTVKDGCFCPALSKAVKTMKKGEKALFTVKPQYGFGENGRDPSGGDECVVPPNTTIQITVELVSWKIVSEVTTDKKVLKKILKEGEGYDRPNDGAIVQVKLVGKLEDGTVFVNKGHNETPFEFKIDEEQVIDGIDRSVKTMKKGEVAVLTIHPEYGFGSIESHQESAIIPANSNVYYDIELISFEKEKDTWELSTQEKIETSGRKKEEGNTLFKRQKYERASKRYEKALSFVEYDSTFSDDEKQESKILKISCNLNNAACKLKLKDYKQTVKLCTKVLDADSKNVKALYRRAQAYIQLVDLDLAEMDIRKALEIDPKNRDVKLEYKLLKEKVKEHNKKDAQFYGNIFAKMNKLEHLQSSVSCSKMDIHT >cds-PLY82689.1 pep primary_assembly:Lsat_Salinas_v7:2:18901314:18902739:-1 gene:gene-LSAT_2X7980 transcript:rna-gnl|WGS:NBSK|LSAT_2X7980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILEHYGLSVDELTQSAVNKIVSFELVCRSLSYVPTFWVFSYFFCSTTNFGVRTLVKRRGIRPLISEHNISRKKWQCQWLWVNQNLVGSRSRRTRYLSDHLPKLFGSNLTMGKRLRKITIVGRNWEDFILMTADMSAAWSSRGKMAQMFVVRCGSSGPASPSQPTVGVVSPVRSPISIPYGFPMGAQTGRDSAPIRKKRSLRVVWSSDEETESDNVGLRSRKDGRTYLRLGFLAVSGIFSAATFLCLIRKREWWYLALWKRPPHQSLGLPWLILVLALCQGVHRVYLKIPVNMRILFLLMEHGLHLTPYLLRHTFWAGRLPEIPCFRRTLPPRSGVGAFILQPQRVCLQVNQVLAWPVTFVTSRPILLPSWLLLQTRFAVLAKIRGS >cds-PLY73412.1 pep primary_assembly:Lsat_Salinas_v7:1:40260558:40261344:-1 gene:gene-LSAT_1X34721 transcript:rna-gnl|WGS:NBSK|LSAT_1X34721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALPQTPEPDTPSSSSESASLHKGVEAPAASKMKKRSRKDVSYKHPVYRGVRMRSWGKWVSEIRQPRKKSRIWLGTFSTAEMAARAHDVAAMSIKGNSAVLNFPELKDSLPRPVSLSPRDVQEAAAKGATMLEFTSSGTPAPPLRPPPGDGSNPADELGEIIELPSLEGCLDSPESSTGLVMVDLVDRWMYPSWAVADIDGFLDFISDQVAENGSSNLIWD >cds-PLY95088.1 pep primary_assembly:Lsat_Salinas_v7:1:92016917:92018985:-1 gene:gene-LSAT_1X76380 transcript:rna-gnl|WGS:NBSK|LSAT_1X76380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRNQQVIFKDYVNGFPKESDMSVTTSATVPLQLPQGSNGVLVKNLYLSCDPYMRGRMTKTEGSYVASFTPGAPITGYGVAKVVESGHSSLKKGDLVWGFTGWQEYSIITAPETLFKIQHTDVPLSYYTGILGMPGMTAYYGFYEIGAPKKGEYVFVSAASGAVGQLVGQFAKLTGCYVVGTAGTKEKVELLKTKFGFDEAFNYKEEPNLDAALKRYFPEGIDIYFENVGGKMLEAVLLNMRVNGRISVCGMISQYNLNQEEGVRNLSCIIMKRLLVKGFIVTDHYNLYPKYIEMVIPLIKEGKICYIEDVVEGLESAPKALVGIFSGKNVGKQVVVVARE >cds-PLY75257.1 pep primary_assembly:Lsat_Salinas_v7:7:65733834:65739475:-1 gene:gene-LSAT_7X48140 transcript:rna-gnl|WGS:NBSK|LSAT_7X48140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVAHFGTYDVFLSFRGEDTRNAFTDHLYEALVQAGLRTFRDNDEIDRGEELKPEIDRAIKESRASVVVLSENYATSTWCLEELWLILEQRKKCNHYVLPVFYHVDPSDVRKQSEPFKIKVNTSPKWTDDNVNRWKAALAKVADLTGLVLSGPETQLLKDIVDIIYNKLDCKQVYLPSNLIGMETRDKEINFWLKQSDAEFLAICGMGGSGKTTLARYIVYSNSQNFESVSIVEDIGSRCKEPHDLLQLQEKLFRDISGGKRRKIPSVSQGTFKIEEVLQVKKALIVLDDIVEPSQLVGLLGSAYINKQSKIIITTRENNIGKWFESKPWRCQEYQMKLLDDNESLELLSRHAFGSKRLMEGYEELAKRVLQYCEGNPLALEVLGSLLAEDNSILFWKSTLSLLGRDIDSGIKRVLIRSYNSLPHDSNKQLFLHIACFFVGKDKDYVVNILEPDYSAVSGIKTLTNRCLLSLSLNNKLMMHPLLQEMGRTIVHQESPKDPAKRSRVWRNKDSYDVLRKGKGSETLEGLALDMKMLTEEKMNHSLTSLDLKTDSLKKMDHLKVLQLNFVHLTGSYENVSEDLRWLCWIGFHKRIIPPNLLMASLVAIDMSSSNLEAFNPPIVLPLLQILNLKDSHNLLFVDNIFRLPKLETLILGNCKSLVHVCETIGGLPRLVLLDITGCKRLRKKVNLLAGINATTSGGGITEQPSFFLPRSVQRLFLKDCNLKNNFSFPLSFSFQPLLQYLNLCNNLFEFLPDYSHLKNLRVLDLSLCSRLKSLLCLPSTLAELYTYYCKSLERITFQSPRFTLQEFGYQGCINLNEIEGFIKLIPIATLKGTDLGHMKWLKEYQDHEVRLVGDDELTIGRSWQVQMLYEFNIRSTSLPHIEDPNMRPEYISKSSSLSFEVPFPPKNRMLKGLDVTFRYKMSGNHCAWFAKISTTNDVDFIYNPKVFGDPGFDEVGVWFSYWPIGNILNAGDKVNVSIDVISGLEVYECGASFVYINDGLRYETPENDMEGVENLGNLSDFRLSSGSYYLCRRDFFELMEVGRLPRGWFRNIIGDMVDHTVHT >cds-PLY95494.1 pep primary_assembly:Lsat_Salinas_v7:6:14625788:14627917:-1 gene:gene-LSAT_6X10640 transcript:rna-gnl|WGS:NBSK|LSAT_6X10640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRTVAASSTATAVTAAATALALNSLSPSSSSSYTYNFTKPFLNSFPSTPNRFDLNKTHTKPPSALHMDAKPALMYDAELPEVMTEFMVDMSCEGCVKVVKNKLQTVNGVKSIDVDLSNQVVRIFGSSPVKTMAEALEQTGRKARLIGQGSPGDVLISAAVAEFKGPKIFGVVRLAQISMELARIEANFSGLSPGKKHAWSINEYGDLTKGVASTGKTFNKAVGDLGTLEVDERGEAFFSGVKKDLTVADLIGRAIAVYESEDRSDNGIAAAVIARSAGVGENYKKLCTCDGTTIWEATNADYVSSKV >cds-PLY68658.1 pep primary_assembly:Lsat_Salinas_v7:5:156397288:156397539:1 gene:gene-LSAT_5X68261 transcript:rna-gnl|WGS:NBSK|LSAT_5X68261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLDASEEESSVNEAKEPAEISTDEKSVDQDSGSNVIQAKESSFYSKAKTSLASIPVLYMPKVLVVMGLCAGGVALWKCLRGG >cds-PLY89026.1 pep primary_assembly:Lsat_Salinas_v7:6:98043487:98044684:1 gene:gene-LSAT_6X66361 transcript:rna-gnl|WGS:NBSK|LSAT_6X66361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSEDHRVFNGWIKEIEGENAHAIKYKKIIQKYDKVDMNLNLNVTRMSNRRIRIRTHALSRENEEIGKERTIVKFDRIEKHNKTTFSDFMMIRMME >cds-PLY89585.1 pep primary_assembly:Lsat_Salinas_v7:4:366641915:366645008:-1 gene:gene-LSAT_4X177040 transcript:rna-gnl|WGS:NBSK|LSAT_4X177040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWMKTVLFGKKSSKSTLSKDASLDKKTSITLNTQSNDLAADSTIISTPVCYVSNINGEESELEKNSSSSGNLINAANDDELIRLEQAATKAQAAFRGYLARRAFWALKGIIRLQAVIRGHLVRRQAVATLHCMRAIVEFQAVVRGQIVRLSGSFPQILQKQTPEEHLDLKEEVDLLQTSLKSEKLSTNAFAVKLVTSLKTKMPLNIQYDPTEPNSVTNWLSRWSTTHFWDPLPPLKKRKPIKSQSKKSKTPENNPLNSSQNKINLELNGKENEKTRRRKSLPVKQHHHVPSYMAATESAKAKLRAQAAAKVAEDGGENGFVNVRRHSLPSTTTITAGKLGLQSPRVQKPLQVNVKGGGKNNKPQITPGGDAGWKR >cds-PLY76982.1 pep primary_assembly:Lsat_Salinas_v7:6:65381710:65382757:-1 gene:gene-LSAT_6X47680 transcript:rna-gnl|WGS:NBSK|LSAT_6X47680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLTQHMEDWVANPYVAESSSSDEEDDEGNVEGEEGGADEKINMNLILTHQTLIWEKHLLRPMPEADNDQYKTNKDDYEGLLNIDFMAQTVLPLNVVYPDVSSTGKVPQGTQSDIESDDNHLSQRKR >cds-PLY97641.1 pep primary_assembly:Lsat_Salinas_v7:5:238151921:238153900:-1 gene:gene-LSAT_5X112901 transcript:rna-gnl|WGS:NBSK|LSAT_5X112901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAPKKVNYEECRQKRLEENKKRMEELKLNALAQSLRIISTPKPSPMKKVNRTPRKPLDLSSVRRSNRVADKPPPSYKEILLEPLGMRRSYGMKVRDLSNRIYASDEDREYASERAEELHSKLQPDFPSFLKPMLISHVSGGFWLGLPVYFCKSYLPKRDEIMTLVDENGDEWPTKYLPRKTGLSGGWKAFAEDHKLVDGDALIFQLIKPTVFKVYITRVNQAGDSDDA >cds-PLY61942.1 pep primary_assembly:Lsat_Salinas_v7:5:161128492:161129877:-1 gene:gene-LSAT_5X70240 transcript:rna-gnl|WGS:NBSK|LSAT_5X70240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSIICIFAMAGVKELRKIAPLRSSFIIQTDFECRWNLVEIDAELSKLTMETKSVLSLIYPSNTYMDLNIGIAFWLAAGGDGILLVGSGADEQCGGYGRHRTKFRESSWGGLNKEMKLDMQRIWKRNLGRDDRCIADNGKEARFPFLDENVIRILLDIPLWEIADLGQPSGVGDKILREVARMLHLNTTTVLPKRAIQFGTRIARELNRKNYGSNRAANQASAGRACIQPI >cds-PLY86184.1 pep primary_assembly:Lsat_Salinas_v7:3:82562740:82567275:-1 gene:gene-LSAT_3X63641 transcript:rna-gnl|WGS:NBSK|LSAT_3X63641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTANCPAIGLIDEQVKSEDELPVETEWSEEHDCVPLKQRLKMLRATVVSRSQKEILIPARSPVDDSLKKEDDLCDSLGFHSDHDGSEGRSDDASFEHIQHGTVHSVGSSLQDRMLSEKLEIKYNEDVDNSEEFLEDLDHVVLKERQRMLLSRELVGSTGTSMENKFSDPCTSSADMILPNAQIKSGESDVSGIRSPIRRENEILEKQDESVSTSCEAKKITDSQNYKNVKVEPLDDDLQSPKKDVPVIPCLHNQIPVKSEPLNNAEIFQDIIDDMMLGDRMRLLASRKFPKSSSHETFENPRAFSSFGNDDKPTSSQSSIPFLPKRPRKRRKTATDSIEKALEEDAPGLLQVLIEKGVLVDEIKLYGENEDDEALDIEESFSELEDVIEKLFSQRQSFLKFGPIRCGKGEKASYCLACLISLVEQARYLRVRKWPVEWGWIVLERPEYGYATYFFELVDTLPVNWQIKRLVTTMKLTSCSRITLIENRPLIVGKDLSEGEARVLMEYGWIADSGLGTMLNYRDRVVHDRKNESESSEWKSKIGKLLTDGYNGGIIVPNDIPKKLMDFDFSHTYTPDVKLEEE >cds-PLY76567.1 pep primary_assembly:Lsat_Salinas_v7:5:221633897:221639056:-1 gene:gene-LSAT_5X104320 transcript:rna-gnl|WGS:NBSK|LSAT_5X104320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAVPSTPRWGSELSQDTAEYLIGTFVGDKTFPLVSDYWQKLLELPFDLHWPANRVEQACQEFARNNGSTRHLAKMLIHLTWCLQESLSNPGGLSVSSMKAVNASYISSVFLKYSIENSTSENLEDLYLSLDESETVQSNICKDESIISMVMHAVLSYIGRVEISPKTYLLHHELLNFMLIAMSTQLLSGPSPGPNDVHPFIDAAMSEDSSLVGLVVHKLLHNYITRPRSKSSSSYTLLSEENQLGVLKRVGSAAANIVLLPLSYFVNSSVEASRSQLADSSINILLILIHYRKCILVESVKNINVSVTSESLLKDETYFAENPYCKALENVKDVEFDRVDIEGNAHSGPLVRLAFASLFDTLGMCLADETAVLLLYALVHGNSDFLEYVLVRTDIDTLLMPLLETLYDASRRTSNQIYMVLIILLILSQDASFNASIHKLILPSVPWYQERLLHQTSLGSLMVIILIRTVKYNMSKMRDVYLHTNCLAALANMAPHVHRLSSYASQRLVSLFDMLSRKYAKLAELKNDKMQINDGELKDDDKLPEDTSAELHIYTDFLRIVLEILNAILTYALPRNPEVIYAIMHRQEVFQPFRNHPRFNELLENIFSVLDFFNSRMDAQKLDGEWSVEKVLQVINTNCRFWRGDGMKMFTQLRFTYEQESHPEEFFIPYVWQLLISRSGFRFNPSSINLFPVELPVEVSYGAVDGNTEEHMLLNVKEAVESSV >cds-PLY88305.1 pep primary_assembly:Lsat_Salinas_v7:8:237462775:237467977:-1 gene:gene-LSAT_8X143381 transcript:rna-gnl|WGS:NBSK|LSAT_8X143381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVRSVPGTPISKIEKTPIQTPGGSRVREEKIVVTVRLRPLNKREQLAKDNVSWECIDDHSIVYKPQLPLERVPQPSSFTFDKVFGPTTITETVYEDGVKTVALSALMGINATIFAYGQTSSGKTYTMRGITEKAVNDIYQHIMQTPERDFRIKISGLEIYNENVRDLLNSESGRNLKLLDDPEKGTVVEKLVEETATDDKHLRSLISVCEAQRQVGETALNDTSSRSHQIIRLTIESTLRETSDCVRSFVSSLNFVDLAGSERASQTHADGARLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPASSHVEQSRNTLFFATRAKEVTNNAQVNMVVSDKQLVKHLQKEVSRLEAELRTPDPSNEKDSKIRQMEREIEELRRQRDQAVSQVDELRRKLQEEPKVSNPPQSSLPLAKKCLSFSGTLPSVIEGKEPTRFEKTRNTTVRQSMRQSSTAPFTLMHEIRKLEHLQEQLGEEANRALEVLQKEVACHRQGNQDAAETIAKLQAEIRDMCAIRPPPKDVDVENIVPVNKSVSANLKDEITRLHSQGSNIANLEEQLENVQKSIDKLIMSLPNNDQMKNQSKKKKSSPLTSSNAVNKPTFIRSPCSPLSSARDVFDAESENRAPENDDTVTGDTPAVSEKETPVKSEEGTPHRRSSSVNMRKMQKLFQNAAEENVRSIRTYVTELKERVAKLQYQKQLLVCQVLELEANEAAGYDVENDENTEDIAEVSPVPWHITFREQRQKIIELWDLCFVSIIHRTQFYMLFKGDPADEIYMEVELRRLSWLEQHLTEHGNATPARGGATDGPTISISSSLKSLKREREFLAKRLTTRLTTEERELLYMKWDVPLEGKQRRIQFVSKLWTNPHDAEHVQESAEIVAKLVGFREGGNLSKEMFELNFVLPSDNRPWIMGWNPISNLLNL >cds-PLY77211.1 pep primary_assembly:Lsat_Salinas_v7:5:8350333:8351641:-1 gene:gene-LSAT_5X4320 transcript:rna-gnl|WGS:NBSK|LSAT_5X4320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYLNDSQCICFLSDDMRQITKIIQSILQCALDFGSFVVPNKSVVNIAQDLRPISPPEKTKEEILLFLLTL >cds-PLY64963.1 pep primary_assembly:Lsat_Salinas_v7:8:160735023:160736445:-1 gene:gene-LSAT_8X107420 transcript:rna-gnl|WGS:NBSK|LSAT_8X107420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLVLYRPSKRPLVYEVVRLLTSTISTSSSSASAKWISAIKNTSSPTKSMQIYTQMQRQSIPVDSFAVLYTLTSCTHLQNLPLLRHLHAHISKLGFTSNVYIMSSLLHGYSNACFDDARVVFDEMPERTIVTWNTMITGFSRSGNLNKARSLFDEMPIRNTASWSAMIASYINSGHQKKGITLFHKMLTHEKLKPDHFSLCTILRACGHMETIGLILGKSIHGFIIRHKYNLNVELGTSLVNMYAKSGFLKVASMVFNMMQYTNVVSWTSLICGAAQHGYIKEARTLFHEMQESHIKPNEFTFTGILSACVHAGLVDEGRKYFKIMKDYGLKPKIHHYCCMVDLFGKAGELEDAYEIIMKMEVDANINILGSYLSSCKVQCNFKMAERVIDRVMEMLNPEKDGGVYCLIADLFALGEKWDDEERFRRLMVTQNVRKTRGVSFIRSVDK >cds-PLY63357.1 pep primary_assembly:Lsat_Salinas_v7:7:152785112:152787819:-1 gene:gene-LSAT_7X91080 transcript:rna-gnl|WGS:NBSK|LSAT_7X91080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPNSPWAFRAGVIIRSSSTKDIWDWFSHPSLVGDHVVSHSPLTEEAEYDPWMSRPPTPIDMEFIKDNEPYEDEEPLEEGPHDEQVGGYPGDISPYPDTSSHGEGNRETQEEDTLESEPSSESPASVQPSSVYASRPRLIYNPIKYIHILIPLAST >cds-PLY87654.1 pep primary_assembly:Lsat_Salinas_v7:2:75400000:75400272:1 gene:gene-LSAT_2X33040 transcript:rna-gnl|WGS:NBSK|LSAT_2X33040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSRSKAFLLFSFFALLLLQLQFVSSVQGTPYSSSTTYNISHPHHHEHHVKCRRIGPKCQTDKPEEDDRVAEYGDDKRKIHTGPNPLHNR >cds-PLY66719.1 pep primary_assembly:Lsat_Salinas_v7:6:79524101:79524571:1 gene:gene-LSAT_6X55080 transcript:rna-gnl|WGS:NBSK|LSAT_6X55080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSLVFLAMQNMNSALQFFSLFQIPVSTTVGINALASSSPPSTGDFSSDVKLAIEQIACFLANNGFPLLITAYPYFSYIHEPSSIQLSFVLFTSRDVVVIDGSLEYKNMFDAMVDAVYSALEKVGAGGDFVRVGGRHKGMVISRRMNWLEPIIRIF >cds-PLY93978.1 pep primary_assembly:Lsat_Salinas_v7:8:234174818:234179566:-1 gene:gene-LSAT_8X142240 transcript:rna-gnl|WGS:NBSK|LSAT_8X142240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCDGANNMRTGVDHKHIEPYAHNMIAMEKAHVDPKTNLQESVIERIKTPRMSFIPSDDDTKDIKNIYVDSMTPVVSRKDGSNTNIQRKLFTNDVAIDSSEEKTPNSKSSSTHEEDNPQTTGRGLMCNSKNNDTKDLMIDHELFLSTLQSRLTKLQKEDMFQTLNKQSSDNSMLSIGNDDNCLAGESQPIDDNNDIMDDFTVEDAFSTIEDMILEKLQKKKTKA >cds-PLY91395.1 pep primary_assembly:Lsat_Salinas_v7:8:47810213:47814687:1 gene:gene-LSAT_8X35620 transcript:rna-gnl|WGS:NBSK|LSAT_8X35620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKISRPTSNNDSATKKKKNKKKINPNAIAMKVKAPVAKPNPFETIWSRRKFDILGKKRKGEERRIGLARSIAIEKAIFSPSLKTHKHVADRKKTLLKDYERSGKSSVFVDKRIGEQNEELGEFDKAILRSQREMRVKLSKKSKYNLSDGEDDEFEIQDDRMYPERDDFEDEVPFDEEDGDPTEAEKRSLILKQLSANGTPSAHGTGVEGEENRQKTKKEVYDEIISKSKFFKAEKAKDKEENDQLIKKLDEQFTSLSSKSISNQMNVVNDVTSKNIINMNSSKIETDQGQDDDYDKLLNVMVLDMRARPSNRTKTPEEIAQEEKERLEELEKERQKRMNAVDDTSDDDDDDDDDDDDGKSTAKKLTSISGDDLGDSFADDEPKTKLEWIQQMLRKENEIDDDDDDDDDDDEDEDEDDDDDDDDDEEGEDVDDDDDDDGEGDDLASEMPQSLKDWEQSDDDDDDDEDDDDDVKLNVKVKPKVSKVKPSGTLQVDLPYTIEAPKTMEELSSLLDNRSDDDIIEAIRRIRAFNAIKVAAENRKKIQVFYGLLLQYFSVSANKKPLNFKLLNLLVKPLMEMSIEIPYFAAICARQRLLRTRSLFAEDIKNSEKSSWPSIKTLFLLRLWSMIFPCSDFRHVVMTPAILLMCEYLTRCPILTGRDVAIGSFLCSMLLFVCKQSKKLCPEALVFLRTLLMAALDKKPEQSQDSEFYHLMELKSPKPLLSINGTVDEIKPLDFITLINSPDDSPYFSSNNFRASMLVAVIDNIQGYTNIYQEFKSFPEIFLPISKLLKEVSSSHSQHDQSDDVALLLKDKIKSTVDAIETKANEHFVSRRPLQMRKQKPVPIKLLNPKFEENFVSNRDYDPDRQRAEDRKLKKLVKREAKGAARELRKDNYFLAEVKARDMARVEEERAKEYGRTRAFLQEQEHAFKSGQLGKGKKRRR >cds-PLY67113.1 pep primary_assembly:Lsat_Salinas_v7:5:292228619:292230197:-1 gene:gene-LSAT_5X152761 transcript:rna-gnl|WGS:NBSK|LSAT_5X152761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSTTATDSVAIISKCKIHPDKNSIIKSLKLSVSDLPMLSCQYIQKGVLLSQPPLPPHQLIPLLKLSLSKTLSHFPALAGRLSTDDKGHVNIICNDSGVEFVHSTATHLFTHQILLPNCDVHPCFKTFFAFDKTLSYAGHHQPIAAVQVTELGDGVFIGCTVNHAVVDGTSFWNFFNTFAEISKGAMKVTNSPNFCRENVFNSPVVLPLPDGGPAATFSGDEPLRERIIHFSRESILKMKFRANNPLWKPQNSDLNDAEIYGKANNDGNGKPNGTLKPKSEISSFQSLCAQLWRSVTRARNFHDTKTTTFRMAVNCRHRLDPKVDPFYFGNLIQSIPTVASVGDLLSHDLSWAANQLHQNVVAHDNATVRRGVKDWESNPKLFPLGNFDGAMITMGSSPRFPMYNNDFGWGRPMAIRSGKANKFDGKISAFPGRDGDGSIDLEVVLAPETMAGLECDQEFMQYVS >cds-PLY64666.1 pep primary_assembly:Lsat_Salinas_v7:7:137705077:137705796:-1 gene:gene-LSAT_7X82461 transcript:rna-gnl|WGS:NBSK|LSAT_7X82461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKIMKMHREDQIKREEETEAKIAEMRKYYYSEEGMEAETAKWRQIYYSNLKGKEKVVNEEEEVSLEDTELESDDDEEESLEVTKLESEPVMEPQLPPSLWSAPQLSPPPSPPLFTSALGFEKGNEATILFMESPNQSVRKREIPLFDNHIPPLPPPPPPYPPLPPLSPPPMRLEITGGNMKRCFTMGEELLTKDKKNRGGRSPQPSAGEKKMDPYRRNRKEEGNPPWQSSNVEDDGEP >cds-PLY94624.1 pep primary_assembly:Lsat_Salinas_v7:8:144657979:144660520:1 gene:gene-LSAT_8X98160 transcript:rna-gnl|WGS:NBSK|LSAT_8X98160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSNFFSSSRTLGTGGFLGKGQINSNVGNTRENRYISYLDHSQNTPNKRDFVQNDRGFGKSNPHLDNHNHIRPAVFELQPCPPPKISNHSNNDGFTTVRCPTTLAAHADQTSGRKLALFQVPFLLGIGEEDTTLTKATESGETDLVYLVLFHIWQKRPALELFGMIQARPIARDLFIRDSRYHILAWLKALEETRLKQK >cds-PLY82847.1 pep primary_assembly:Lsat_Salinas_v7:1:85912600:85913139:-1 gene:gene-LSAT_1X72520 transcript:rna-gnl|WGS:NBSK|LSAT_1X72520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRELGGRPLAGEGNDGSSGPVLLSHPTVGVVFLVHSPVSVASSLPVGACANKGTAPIRKRQSFRVVLSSDEETESDDAGLHPRKRRNTVSMSNIHCGIEDALGDKFSVPVQKEEMVVTGSSITPPPPHTGALSIDLGFGSIFGSVLGFCGSSSRAERSPVVGERGTAHHSSSFKAYIPN >cds-PLY92938.1 pep primary_assembly:Lsat_Salinas_v7:3:114265365:114265541:1 gene:gene-LSAT_3X81501 transcript:rna-gnl|WGS:NBSK|LSAT_3X81501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDPSSPSLVPISESLSLLYVESIAYLQSIEQSSFMNRFPVRIDCFLKIDGSLQLIDC >cds-PLY75191.1 pep primary_assembly:Lsat_Salinas_v7:2:202999893:203001365:-1 gene:gene-LSAT_2X123980 transcript:rna-gnl|WGS:NBSK|LSAT_2X123980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNPSILLHQLDLTNSTPTVALYNTTAPLHHLPSPTVTIHLSPSSSLGLIANPSLFLSLNGSGSSTSASKTQTLRPILPRPASFNLTFSPSQTTPSGVPILANYVPYPFYPTVHHSTNSGENIVQYAMQLVQPYQYLAYPNLNKINVVEGEDPTLRMTTTSTTTSYDPNANPNPRNKDCQREQLQPVQQCQKHRPTKEEINSLVGSVGSSLSLVSNSPPTMMAGTSVSDPTSVAGGNPSSPSLWAATNDDEYPPPSIWDYGDPSFDF >cds-PLY97460.1 pep primary_assembly:Lsat_Salinas_v7:6:90823901:90824251:1 gene:gene-LSAT_6X63141 transcript:rna-gnl|WGS:NBSK|LSAT_6X63141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWYTIHIKSNVNNLAFRCQSKDDDLGMVTRNAGEIYEIKFCLNVWKSTVFFCHFYWESKQRMFEVFRQGKESHEYCFSRVHGFKNDCYWMVGDDGFYFPQSINPPYYWVKKYDWQ >cds-PLY70199.1 pep primary_assembly:Lsat_Salinas_v7:9:848562:849035:1 gene:gene-LSAT_9X1800 transcript:rna-gnl|WGS:NBSK|LSAT_9X1800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAVISYPPPIDVPRSSESVEHENNNIQEGKENNVAIKTLVTLAKIDLLGLGDNKVVKGGATDIPVGGKPNEAVHISTNQSGVHENPNEIVYSFPPNLSVVNINGRMRFELVTVRENGRLQIFMVPYHCSQLVRSHLRNGRVTMWLLKDAHMDLV >cds-PLY64314.1 pep primary_assembly:Lsat_Salinas_v7:4:23718076:23719846:-1 gene:gene-LSAT_4X15881 transcript:rna-gnl|WGS:NBSK|LSAT_4X15881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease 2 [Source:Projected from Arabidopsis thaliana (AT1G68290) UniProtKB/Swiss-Prot;Acc:Q9C9G4] MESLRSALPLLLLFLLPAAVHGWGVDGHYTTCKIAQGRLSPAASNAVKDLLPKYAEGDLASQCSWADHVKFRYHWSSALHYIDTPDNLCTYQYTRDCKDEDGVAGRCVAGAINNYTTQLLDYGKQTTQYNLTEALLFLSHFMGDIHQPLHVGFTSDRGGNSINVHWFTRKSELHHVWDDSIIETAEERFYDSNVENLVNAIQTNITKEWADQVPAWEKCARNQNTCPNIYASEGIKAACSWAYKGVGNDSVLGEDYFLSRLPIVNWRLAQGGVRLAATLNKIFG >cds-PLY71047.1 pep primary_assembly:Lsat_Salinas_v7:3:163133068:163134692:-1 gene:gene-LSAT_3X100480 transcript:rna-gnl|WGS:NBSK|LSAT_3X100480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCTPSKQSVCRNCNSQCSPVRRSYSSDVHRSSTSTHDGDHRHIVALTSTTLGYLQLDSSKPNQVRHDPVRQNLPEKNINDFAVGIMEAKTWSKMINEKITKTAPRTPIRTPPGEPETINTWELMEGLDDSSPLRPPSTVDLDHIRRFSYNVNSNSIPQEKDRDVEVLCKPLWLQIKERNHSDSNSNSNNNTSIASYLDLNQKPLISERQQTSEGNNLDDVKRSLQDGKTEIMTGQTQKDKLILYFTSLRGVRKTYEDCCHVRVILKSSGVRVDERDVSMHSGFKEELKELLGDRFGLGGGLPKVFVGKKYIGGAEEIRRLHDEFQLDKVLEGCEMVDDGGGGGGGGCEGCGDVRFLPCETCSGSCKIYYEVDSDEGEKEEENDYGFQRCPDCNENGLVRCPICCN >cds-PLY96629.1 pep primary_assembly:Lsat_Salinas_v7:7:46256802:46258622:-1 gene:gene-LSAT_7X33721 transcript:rna-gnl|WGS:NBSK|LSAT_7X33721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPLTIVSLAVASFLLFAFWALSPKTSKNLPPGPPKLPIIGNIHQLKSPTPHRVLRNLAKKYGPIMHLQLGQVSTVVVSTPRLAREIMKTNDISFADRPTTTTSQIFFYKAQDIGWAPYGEYWRQMKKICTLELLSAKKVRSFSSIREEELRRISKVLESKAGTPVNFTEMTVEMVNNVICKATLGDSCKDQATLIEVLYDVLKTLSAFNLASYYPGLQFLNVILGKKAKWLKMQKQLDDILEDVLKEHRSKGRNKSDQEDLVDVLLRVKDTGGLDFTVTDEHVKAVVLDMLTAGTDTSSATLEWAMTELMRNPHMMKRAQEEVRSVVKGDTITETDLQSLHYLKLIVKETLRLHAPTPLLVPRECRQACNVDGYDIPAKTKILVNAWACGTDPDSWKDAESFIPERFENCPINYMGADFEFIPFGAGRRICPGLTFGLSMVEYPLANFLYHFDWKLPNGLKPHELDITEITGISTSLKHQLKIVPILKS >cds-PLY69776.1 pep primary_assembly:Lsat_Salinas_v7:5:232643095:232644609:-1 gene:gene-LSAT_5X114061 transcript:rna-gnl|WGS:NBSK|LSAT_5X114061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGLHVILLLICIIHLFAINGEAVTPRETLEIIIGGAAASPSPPPPEYQDCPPPPPPPCSPTPTPTPPPPPPPHPPSPSPQSPPPPSPSPSPTPTPPPLPLPPSPSPQSSPKPTDPPSHGPTPDGFSGFESELLRGYYDVIQAFKKKVTIDPTGITKTWEGNNICRDYKGFICDIVPDVIQKTISGVNFNNRNLYGPNLTLTEFLSGLKDLAFFHANSNNFTGTIPTDIGTLRYLYELDLSNNKLSGNFPNQVLHATKLEFLDLRFNAFFGVVPPQVFLLGLDLLFINNNNFEQTLPENLGSTPALYLTLANNKFVGGIPRSIGQASNTLLEVLFLNNQLTGCLPYEIGLLNKSTVLDVGFNQLTGPIPHSLQCLEKMELLNLANNKFYNEVPEPLCNLPELSNFTASYNYFTRVGPKCRALINKGVLDVKMNCIFYLPNQRSKADCANFFLNLPSCAYEKSLTFVPCSKVYSGNQLEASNVQWATPSPAPAPLMRSYGALSQH >cds-PLY96027.1 pep primary_assembly:Lsat_Salinas_v7:3:194552313:194555467:1 gene:gene-LSAT_3X115961 transcript:rna-gnl|WGS:NBSK|LSAT_3X115961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNCTSDMTPLLWPRQDEVTRRFNLNDGGLLGQPVTDLNLPRMAPVSLRRDDAHHDNMVILPCLKSMTWLMENRTLPPASRVAVINLKLQDYRRTPASESEVKFQLSTVTLEPMLRSMAYINEQLSAPANRVAVINLKLQDTDTSTGESEVKFQVSRDTLGAMLRSMAYIREQLSNAAESASEIPSKKPRK >cds-PLY80157.1 pep primary_assembly:Lsat_Salinas_v7:3:41267743:41269292:-1 gene:gene-LSAT_0X29180 transcript:rna-gnl|WGS:NBSK|LSAT_0X29180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLRHPFHFWSRPLKRTLEQTAMNDRPFRGIVFMCATIWIFRLLLKPSLLLIPSIRLTLPNGLCVATESNLASQTAAVGNSSFDETLINRERGIILHKTEEMRAQTEEVIFDHLHSTAFQYTPPPWKDHSWTY >cds-PLY89842.1 pep primary_assembly:Lsat_Salinas_v7:4:323871946:323873892:-1 gene:gene-LSAT_4X161601 transcript:rna-gnl|WGS:NBSK|LSAT_4X161601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIWEVFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADHDNLSISKGELHDLLSKPALSGIPLLVLGNKIDKPSALSKQALTDQMDLKGIGDREVCCFMISCKNSTNIDQVIDWLVKHSKSKT >cds-PLY62176.1 pep primary_assembly:Lsat_Salinas_v7:2:153379232:153379673:-1 gene:gene-LSAT_2X77360 transcript:rna-gnl|WGS:NBSK|LSAT_2X77360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKRKGRRGYEQLISESTILDNLPPEPKMIRSTSLPANSSGDFPVKVDLTDKQMRKVRKVHPLYSLLEKRRKKKATAKPEFSRYLEYLREGGIWDANSAKPVIY >cds-PLY81158.1 pep primary_assembly:Lsat_Salinas_v7:9:67395346:67396866:1 gene:gene-LSAT_9X57421 transcript:rna-gnl|WGS:NBSK|LSAT_9X57421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEIKCMMLELEVEDLKERNKELEEQITRIQKVKNNDEKGKVTIVDLTGDENENVGLMIENKVLECEKMKAESELSFWKEKAKQLMSQVCELESKLNEDGILHLKKDDSISTPKSANETLETGAKLEYCSKVRKRLQFEENGCSNKKLAPSTPGFAPPFSGVIDISDEDVSDNQIPEVKKLSDSTLKTTPECINLEHIDEIEDDMFGFTSGKRKRCSNIVTSDNETSDDDDDDDDAPICTLIKKDYKDEDKDDISRVPLRRLRKLDDMNKSGNSLSEDEEDDDSLGGFIVESSESESESGSGDSDTDGCDESEDGLNGYKLTLDKIRRKKDLNMKWELEGDMLADFGKNPELCMKAVCVLYKQQTKDEKECKATIYHNERGFSQPDAKRASKVAEFLTEKDPNCDLKKTVEELKRYDSKGIKYCRRLAIKYSKQLFEIYKNKEDPNFMP >cds-PLY94803.1 pep primary_assembly:Lsat_Salinas_v7:2:177024818:177026613:1 gene:gene-LSAT_2X98541 transcript:rna-gnl|WGS:NBSK|LSAT_2X98541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKDVEVAEHHGGKDYHDPPPAPLIDAEELTKWSFYRAVIAEFIATLLFLYVTVLTVIGYKSQTDPALDLDQCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVLYMIAQCLGAICGVGLVKAFQSSYYNRYGGGANELADGYNKGTGLGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNEKAWDDQWIFWVGPFIGAAIAAFYHQFVLRAGAIKALGSFRSNA >cds-PLY65628.1 pep primary_assembly:Lsat_Salinas_v7:MU045286.1:66580:67938:-1 gene:gene-LSAT_0X32321 transcript:rna-gnl|WGS:NBSK|LSAT_0X32321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAIMQQVKHQFRQKEEQEASLIRQLEVVKREKEQLQQTLQILLSYSTPKEEAASSSTTKQPKEEIQEISSDESQPQIALKTEKQTMTPNQEEYPPLQADHKNIKKWYVIFNGENKGVYDDWEIANSYNLGKNVIHKSYKTKTEAEAAYNEAYKAVIRDNVECLKTVLLAPQKPISIPKSLNQLHAKITLEAIPSTKEKEAMKKPTAQKFAKLWGSLVSYTDVHSLMGFYPIARRPGPKAVFLADLSDPVTLSDYFIHGFIDTIYLEGTNLHCISEFPSAVQTVIRNYKTCFAKQERGLFIKIHSSYPIFDEDKQLLVQSITFANMGISNGSKPTKDDLPHDEPTQDHLIFALAGVYLASSRIGNVKDQKSRIRVNYASKNFFIYSLTDNEITTEALKAIGTFEQLFEKFSHHLAELPADLKKQLCKHITHAPRHNCSHCKENIEETPFMED >cds-PLY82503.1 pep primary_assembly:Lsat_Salinas_v7:2:182780370:182783421:-1 gene:gene-LSAT_2X104640 transcript:rna-gnl|WGS:NBSK|LSAT_2X104640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIARFHILQQSSSVLKPTAISSKFPHQSSSPPFYCNPNFFRNNSHIRKLHLTPSLCANSSPNPENSSASGPSGSIVGDLLDYLNESWTQFHATAEAKRQLVAAGFHLLSENDEWELKPGGRYIFTRNMSCLVAFAIGEKYSVGNGFHVIAAHTDSPCLKLKPKSALSKSNYLMVNVQTYGGGLWHTWFDRDLSVAGRVIVRNGDGSFLHKLVKVKRPLLRVPTLAIHLDRTVNKDGFKPNLETHLNPLLATKHDDASVDIKDKNNKDSHHPLLMQILSDELGCEIDDIASIELNICDTQPSCLGGANNEFIFSGRLDNLASSFCALKALVDSSGPKDLSDEHAIRMVALFDNEEVGSDSVQGAGAPTMFQAMRRIIDCLANQCVREGVFERAIRQSFLVSADMAHGVHPNFMEKHEEHHRPELHKGLVIKHNANQRYATSGITAFLFKEVAKIHNLPTQDFVVRNDMGCGSTIGPILASGIGIRTVDCGIPQLSMHSVREICGKEDIDIAYNHFKAFYQTFSSVDRKLNVD >cds-PLY64271.1 pep primary_assembly:Lsat_Salinas_v7:7:4575495:4576448:-1 gene:gene-LSAT_7X3260 transcript:rna-gnl|WGS:NBSK|LSAT_7X3260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEYQLPFHIQEEIMKRLPVKSLIQFRCVSKEWKSLIDSSEFIAAHSNLRHTQKQHLLVSYEEPMDEVNYVCCIDDDTFPQQRSLPTLPRSVQQLIIPRVIGSSHGLFCLYGYDPNNKTKLFVLWNPWIRKPIVVAAPNLPFVGFGVCPVTNDPKIVSVTQSSWDESDGETKVMVYTLSSGTWRSLTTTRDLGDLYISKAWESLASLQYSGDNCDVWMMEHGVHKLFTKIFTITTPHEIVGFRKSGVPIMQLTDDPNDYDEPSKLVVY >cds-PLY70344.1 pep primary_assembly:Lsat_Salinas_v7:4:98348214:98349930:-1 gene:gene-LSAT_4X63601 transcript:rna-gnl|WGS:NBSK|LSAT_4X63601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPEKVTEMVLNVDLKCSGCYKKVKKVICKIPQIRDQVFDVDKNKVKLIVVCCSPEAIRDKLCYKGGGAIQSIEIVEKPEKPKEPEKAKPAAVADKPKEAEKAKPPADKPKDAKPAADKPAGKPKEAEKPKAADKPKDQQEKPKAADKPKDAGKPPEAKPKDAGKPPEAKPKDAGKPPEAKPKDAGKPPEGKPKEAEKPKQEAPKKVDFAPNPEVAKMVYEPVHGYPQMYPQSAYPPMVGYGQYYDQGYGGAPFQHGYGMPIAPPPPPPSYGGFGYDHGGYNNGYNGNRSHYSSNDYGGEEEGEGCSIM >cds-PLY82144.1 pep primary_assembly:Lsat_Salinas_v7:1:14765944:14766219:-1 gene:gene-LSAT_1X13221 transcript:rna-gnl|WGS:NBSK|LSAT_1X13221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRPSTFVCRSLVEVLLGSCFFESSVDEVAAAVEVRRVEALDRTIGFRLFLLVSVAIASDGYASVAEEEQTSSSFFSSSWKGKLGLWWK >cds-PLY97644.1 pep primary_assembly:Lsat_Salinas_v7:5:236833777:236837087:1 gene:gene-LSAT_5X115801 transcript:rna-gnl|WGS:NBSK|LSAT_5X115801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVLQPQPVNGGAASGGNAQQFVPTSLYVGDLEMNVTDSQLFELFSQLGQVVSVRVCRDLSTRRSLGYGYVNYVNPQDAARAIEVLNFTPLNGKAVRIMYSHRDPSVRKSGSGNIFIKNLDKAIDQKALHDTFSTFGNILSCKIATDMTGQSKGYGFVQYDTEEAAQQAIEKLNGMLLNDKQVFVGPFLRKQERELAVDKSKFTNVFVKNLSESTTDDDLNKAFSEYGTITSAVVMRDAEGNSKCFGFVNFENAEDAAKAVDGLNGQKFDDKEWYVGKAQKKNEREQELKQRFEQTMKEAVDKSQGLNLYIKNLDDTISDESLKEFFTPFGTITSCKVMRDPNGTSKGSGFVAFSTSEEASRALTEMNGKMIASKPLYVALAQRKEDRRARLQAQFSQMRPIAMAPAPGGPRMPMYPPGGPGLGQQMFYGQAQPTFIPPQPGFGYQQQLVPGMRPGGGPMPNFFMPMVPPGQQGQRPGGRRGGMPGQQNQQQPVPLMQQQMVPRGRMYRYPPGRNVGEVPMGSIPYDIGNGMPLREAGMAQPIPIGALASALANASPTEQRTMLGESLYPLVEQVEGESAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMDVLRNVGGHGQAGVGSPADQLAALSLNDGIVS >cds-PLY90159.1 pep primary_assembly:Lsat_Salinas_v7:7:14261079:14269399:1 gene:gene-LSAT_7X11520 transcript:rna-gnl|WGS:NBSK|LSAT_7X11520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTTALAVVSFHLSKHKAPLHMVMRGDFIVFFNKIFDALCKLSADSDPNVQSASHLLDKLVKLKGIPVNLHVFFLTTFLDSSDMSHVEISGLFNMLSDSSHEIRQQADLALSKFLSESEKQLRLSSERSTWFTATLLQLGSVPSADLTPSGSSRTASESIRDTGAVASSRAAEIGLDILAQIIQDDLDNITRFLILAREPIIPGIDKPHKFGVKMEECGRKGVGYRNPSVGAIAN >cds-PLY77601.1 pep primary_assembly:Lsat_Salinas_v7:2:165219746:165222454:-1 gene:gene-LSAT_2X89561 transcript:rna-gnl|WGS:NBSK|LSAT_2X89561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYCFRSLTRVSISTILLAILLSSFSFTCTEAYDALDPNGNITIKWDIISWTPDGYVAVVTMYNFQQYRHISPPGWTLGWTWAKKEVIWSMMGSQTTEQGDCSRYKSAPPHCCKKTPTVVDLLPGTPYNQQIANCCKGGVINSWAQDPNNYASSFQVSVGAAGTTNKTVKPPKNFTLLAPGPGYTCGPAVVGKPTKFITPDGRRVTQAMMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVPCPTCTCGCQNNITHPGSCVNPNSPYLASVVNGPGKNSLTPLVQCTKHMCPIRVHWHVKLNYKEYWRVKVTITNFNYRMNYSQWNLVVQHPNFDNLTQIFSFNYKPLTPYSSINDTAMLWGVKFYNDFLNQAGPLGNVQSELLFRKDKSTFTFEKGWAFPRRIYFNGDNCVMPPPDAYPYLPNASSRLSFSLFSLLMGSLVFFFSLV >cds-PLY94384.1 pep primary_assembly:Lsat_Salinas_v7:6:10625712:10626547:1 gene:gene-LSAT_6X13121 transcript:rna-gnl|WGS:NBSK|LSAT_6X13121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDARETRLTCAMNTSFSTGGSSSASGKHPTLHGIRSRNGKWVAEIREPRKSSRIWLGTYPTAEMAAAAYDVAALALKGGDAMLNFQEFVGSYRVPESPEPALIRSAAGEAAELMKLFREKEDDHKKQGGDEGETHQNDEFMDEEAIFDMPNLLVDMAEGMMVSPPRQPTTVEWSSGNSSECDNLWSY >cds-PLY67840.1 pep primary_assembly:Lsat_Salinas_v7:9:144706999:144708719:-1 gene:gene-LSAT_9X92340 transcript:rna-gnl|WGS:NBSK|LSAT_9X92340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLVVLLASIGLFLYQRRSYHVINWVLFGMTPDLILNAYRVHDFVTDLLKLSHGTFMFKGPWFTNMDMLLTSDPTNVHHILSKNFSNYPKGPEFRKIFDILGDGIFNADHDLWEFHRKTSMSLLKHPDFNSHLEENIKNKIEKGLLPLLEFVSNNQQETDMQEIFQRFTFDTICLLLLNYDPETLSLHLPYNACEKAFMEAEESILWRHLLPENVWKLQRRFNIGKEKNLIEASKAFDEFIYKCLSRKENELNDFERVEKEVGLLKYLITSFQGQHHGTSTNTRTFLKDTILNLMIAGKDTTSTGLSWFFYLLAQNPSTESKIREEIEKQVGGSKWKYLNAKELDGLVYLHGGLCEALRLYPPVALEHKAPSKADVLPSGHAVNEHSKIILSFYSMGRMEWIWGEDCLQFKPERWFSEKGGVKHEPSYKFTAFHAGPRTCLGKEMGLIQMKMVATAIIYHYHVELVKDQEVCPADSIILQMKYGLKVRLFPIREIKT >cds-PLY65254.1 pep primary_assembly:Lsat_Salinas_v7:8:231307439:231308745:1 gene:gene-LSAT_8X139400 transcript:rna-gnl|WGS:NBSK|LSAT_8X139400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADETGGEEQQMLSFTRDFGHEEAYAAVIEHSYMVILTEKSTMEVALKDGLEKFPHSVVLHEWIEKMNELFKEVHEGESNKKVHEPECFNELNKNDIGDGGEENSSPVGGLILTEVNIEKEVNYTTPVDTNSLTMTQFHRLPGVNDEMIKLLDETELQVYRRKKLMSGISKDSVVGRNIGEAVDYAAEYDDNDKREKRIPKKAKIFHSPYIEIIVKTEEILNELKSEDMKFRLFATLL >cds-PLY64559.1 pep primary_assembly:Lsat_Salinas_v7:6:34761162:34763037:1 gene:gene-LSAT_6X24941 transcript:rna-gnl|WGS:NBSK|LSAT_6X24941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEESVSESVLYSISPLPLLVIGALPGAGTIRSLFGPFVDLVKSLDLPGWLVHWGHPGNMAVVLFAMGGYGTYLGFRIRFSDNVDEKAMAKDLHPKLLAGMFFFFALGATGGVTSLLTSDRPILESPHAVTGLIGLTLLALQTTLPTLFEGNPGLRNVHGILGSGIMTLFLVHAALGLQLGLSY >cds-PLY63831.1 pep primary_assembly:Lsat_Salinas_v7:7:102049752:102050165:-1 gene:gene-LSAT_7X67581 transcript:rna-gnl|WGS:NBSK|LSAT_7X67581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMDENTLRINPTTTTICHYEDQMVPSITTSLFRGGEEESSSEIGNFREDSRVEVPINQGADGDGQTVRTGNMQPPIDITRALRISDDLPVNSSNSSSSSSRERDGRVVHVWSPSISSFSEMFVGEDTSYLQRRT >cds-PLY75883.1 pep primary_assembly:Lsat_Salinas_v7:1:172437197:172437513:-1 gene:gene-LSAT_1X115160 transcript:rna-gnl|WGS:NBSK|LSAT_1X115160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSIDSTAILFRWLVSIKLLIETNYDWWPEMMTCDGESHCIFKTAKENGDSKDKVDRTTVELKL >cds-PLY89348.1 pep primary_assembly:Lsat_Salinas_v7:5:124622265:124633331:1 gene:gene-LSAT_5X55020 transcript:rna-gnl|WGS:NBSK|LSAT_5X55020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVAVKRLNLTNEVGNRGFKNERNLSRYRNGNIVNLLGYYNDDNEEILVYEYVAKRSLDFYINNNDLRWVQRPKICIGAASGLVYLHNPEAYHESVWHLDIKSGNILLDENWNAKVHPDRASSCKIMLQDIKLPVADIIIQIKDLYEEKSTIIHSFEKVKLEFNASVSDGSVSANFRKDQCIESLIIYFGEDPNHYSWTQGLL >cds-PLY82625.1 pep primary_assembly:Lsat_Salinas_v7:3:104162964:104165481:-1 gene:gene-LSAT_3X76141 transcript:rna-gnl|WGS:NBSK|LSAT_3X76141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCVSLLSLEVKAATKKYQFNVEVKNVSRLCHAKPIVTVNGRFPGPTVYAREGDRVLINVSNHAQYNMSIHWHGLKQYANGWADGPAYITQCPIQTGNSYVYDFNITGQRGTLWWHAHILWLRATVYGAIVILPKQSVPFPFPRPDGEQVIVFGEWWHADVEQVVKQGNALGLPPNMSDAHTINGKPGPLFPCSEKHTFAMEVEQGKKYLLRIVNAALNDELFFAIAGHNMTVVEIDAVYTKPFTTNALLIAPGQTTNVIVSASRTPGRYFMAVRPFQDVPIPVDNKTATAIFQYKNIPTTVIPTLPILPLPNDTNFALSYNQKLRSLNTPNFPANVPLKVDRNLFFTIGLGKSDCATCLNGTRLSASLNNITFVMPETGLLQAHYFNMKGVFKTDFPDKPPTTFNYTGAPLTANLFTSKGTRLSKITFNSTVELVIQDTNLLSVESHPFHLHGFNFFVVGTGIGNFDSSKDPAKYNLVDPPERNTVGVPTGGWVAIRFRADNPGVWFFHCHLELHTGWGLKTAFVVEDGPGKDQAVRPPPKDLPSC >cds-PLY86221.1 pep primary_assembly:Lsat_Salinas_v7:8:225763093:225764628:-1 gene:gene-LSAT_8X138180 transcript:rna-gnl|WGS:NBSK|LSAT_8X138180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKSLDASSNKLTFNFSHEWIPPFQLEDLDLSSCNIPNGFPQWLRNQRKLRALVLSNATISGPLPSWLRKMPVIHFLDLSHNKLSGSLANLPNRGTVRVIGGGATLLLANNIFNESIPRSLCRRTDLDFLDLSRNRLTGKFPKCLENLKLLNTMMFSSNLLSGAIPSYIGLNLSSLRWLKLNDNKFFGELLRELGNLRDLTVLDVGDNELFGSIPKWIGEKLTNLGVLRLHRNNFSGEIPKSLCKMLGLQILDVAHNNLMGFIPHCLGKLNGMVKGPGNLIYNGFADSNENVIQVMKGVEREYTTILDIFFNIDLSSNKLVGEIPVEITTLSMLVGLNLSNNHLSGNIPENIGNMTKLESLDLSGNELTGMIPPSMAALNFLSHLNLSHNNLSGRIPTDHQLQTLIDDPSIYVGNRDLCGPPLPNNCSHHQDQTTVLKKKHKAAEESIKVWWFYMDIMSGFAAGFWGVIGVLLFKKHWRQKLFMFAEEIVDTIYVAVTVRVAKMKRGREAT >cds-PLY83195.1 pep primary_assembly:Lsat_Salinas_v7:4:289166182:289167430:-1 gene:gene-LSAT_4X147001 transcript:rna-gnl|WGS:NBSK|LSAT_4X147001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVQMIGDFPESNIIFSDDEDFEQDYEEVPFHVRRSACMSRSEFVRNVTENPDIWCDTSRLHLFSVTPFDVFGDDDLSGIDSYGGGETVAQRTEEGMGNGGARVGIGGGDSDEHGLRNHFNNFKKTRSGHVRRYNLQFFIWFFTFVNLDKFSLMLMLIFGISIGIWYPCSYAFTLVLHV >cds-PLY74499.1 pep primary_assembly:Lsat_Salinas_v7:7:33410270:33410560:-1 gene:gene-LSAT_7X25900 transcript:rna-gnl|WGS:NBSK|LSAT_7X25900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSSVYSTSIHHFEPYTEGFSVPAPSTYTAVEAPKGEFGVFLVSNGSNRPYRRKIRAPGSAHSQGLDSMSKHHMPADVVTIIGTQDIVSGEVDR >cds-PLY75837.1 pep primary_assembly:Lsat_Salinas_v7:9:195753481:195755246:1 gene:gene-LSAT_9X119881 transcript:rna-gnl|WGS:NBSK|LSAT_9X119881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGDVESISSSLPNSAPGSAPGSPRNKVKFLCSHGGRILPRPSDGHLKYVGGETRVISVPRNIIFTELMRKLSSLLEGDVILKYQLIPEDLDALVTVKSDEDLRHMFEEYDRQDLVGTSRLRTFLFPASPIIIENQIGAMDRHSLEQRYINSINGIIVSSTPIYSNFRPPAINTSQTTFTISSACSSPRTPPESAMPTMAPDAINPDQVTGFRKLGGSMTRAHSSPSLCNLAGNLPTSHKNQSPRSNMNLNLTNQQYPNYHHQLQSYRQPPPPPPPQPQPPPSPYHPHSHPHHGHLPHPSSKPPLNPGPEQYLRQRASGVPDYYRYSNEHSPHSSNPYARSSRGSGHMAYQRGSHYDDYNNLGNSNNRYDRESPPGSPLARSPQYQNSYNVNPKWDSVGGRS >cds-PLY89891.1 pep primary_assembly:Lsat_Salinas_v7:8:67990692:67991372:-1 gene:gene-LSAT_8X48380 transcript:rna-gnl|WGS:NBSK|LSAT_8X48380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVIADFYGSLESIGDISNCKWLWKVSLRGDKKVGPLVGEILLDSILQGNAIEDHFINVVLEQQIPKGFVGRFFRGKTFTKRHPHVKWDLYTQHIQSHDDTFRLHLPDDWCNDFCGFLIRVVTKGLFMRMDISIKQDPDEEDSRFEIWQESNEVPEPEYGGDVRTHVGYVSFSSLRQTTSLSPSYNIISFSIKGY >cds-PLY95860.1 pep primary_assembly:Lsat_Salinas_v7:5:64963077:64964825:1 gene:gene-LSAT_5X30360 transcript:rna-gnl|WGS:NBSK|LSAT_5X30360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELKFFSCKLLSYLLCLSLGFFPSINSAEFFDCITSKLSSNFTSTDIVITPDDTSYSTILQSTIQNLRYSTDKTLKPLAIITPFSYYHVQSTIICSKASGLQIRIRSGGHDDEGLSYTSHDHTPFILLDMSKLRSVTVDLDDNTAWVESGATIGELYYWVSQKSNLLGFPAGECPSVGVGGHLSGGGFGTMARKYGLSADNVIDAYIVDVNGRILDRNSMGEDLFWAIRGGGGSFGVVLSWKISLVYVPPVVTVFSLSKKLDRSGTQIVNKWQYVAHNLTEDLFIHLVINLAPVSEQEQSRTLQLTINALFLGTADKLIEIVEDRFPELGLQKTDCIEMSWIESVVYFSGYLSGEGIDALRDRSLRPWPKSYSVAKSDYVKKPIPEEAFEDIWKWCLQENLLLVIEPFGGRMSEIDATETPYAHREGNLYIIQYIMRWTDDDFKASKKHVDLIREIYEKMTPFVSSNPREAYVNVRDLDLGTNGKGGCGSSYEHALEWGSKYFMGNFRRLAIVKGEVDPTNFFGHEQSIPPLVLSYSY >cds-PLY84400.1 pep primary_assembly:Lsat_Salinas_v7:8:79026397:79027598:-1 gene:gene-LSAT_8X56641 transcript:rna-gnl|WGS:NBSK|LSAT_8X56641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQPRRPQPEDQEPIKYGDVFQVSGEIANKPITPQDAATMQTAENMVLGKIQKGGPAAVMQSAASVNESRGVVSHYDVTSATGDQGVTVSEAVVAGHHIVVGQYVGTNKASSPAPPISGGDDQVTIGEALEAAAISAGEKPVDQSDAAAIQAAEVRATGRMQVVPGGVAAKAQAAASQNARTMRDEDKTKLGDVLMDASTLLPRDKAVTREDAEGVIGAEIRNQPELATYPGGVSASMAAAARLNQK >cds-PLY82242.1 pep primary_assembly:Lsat_Salinas_v7:1:69064290:69067268:1 gene:gene-LSAT_1X60901 transcript:rna-gnl|WGS:NBSK|LSAT_1X60901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATFMNHFKFLQTISLLYVLVTLTCSGSSPSHDEECSALFQFKQTIIHQHDVACAAYDSQVFHSWNNSFDCCSWEGVACSHDHDQYYGHHVMGLDLSQRSLCGRINSSSTLFSLVHLQRLNLSGNDFGDSQIPSEIGRLKQLRSLDLSYSGFSGQIPNEISQLIHLSSLDLSGNSLKLHSPSLKNLVQYLTGLKELHLSGVDISSSVPHFLANFSSLKSLKLRDCSLKNKFPAAILELPELKVLDLAFNTDLTGSLPIFRNISLLEEVILDFTGFIGIVPESLSHLNHLTVLSLTGCSFSGHIPRSLSNMTQLTYLGLGENHFTGSVPSLVSLLNLDGLLLNGSRFEKGCFPNWLGKLTKLSKLYLSDMNINCEIPLFLSNLTKLSEVGMDRNSLTGGIPSWLFNLTQLTYLNLQMNQLQGPIPNTFSSLKSLEYLHLGRNNFSGRVELDMFLGLNKLQTLGLGYNRISLVTTNNYTNTTLPELHKLGLSSCNLKEFPAFLRFQNKLRFLLLDVNKIDGLVPVWIWNNSRETLELINLSGNSITGFDQHPHFLPWTNLQVIFIENNQLRGRLPIPSQSTVIYSVPQNSLTGELPPSICELKSLQQLDLSFNNMSGTLPSCLGILSNSLMSLNLKRNNFHGKMMSACKPGSQLKELDLSENRFTGQLPRSLMNCTRLQVLSLEDNSFHDVFPSWLGTLPRLQVLVLRSNKLHGPIDGSTAVSSRFPMLRIIDLSNNRFSGQLDQNYFQTWHAMSSGNLGVSSVMETNISSKHVLTNFMYSVTLIHKGVRTEYYRILTIDMSIDLSCNHFEGEILQSLQHLRGLQSLNLSNNHFTGRVLPSLGDLKNLEALDLSGNDLSGEIPQQLVQLGFRSIFNVSFNRLEGRIPQGKQFDTFDNNSYIGNPRLCGRPLSKECQDHPKVSRLPPTSSVSESLFPTETIDWIIVFCGVGSGLVVGIAIGNNLHKRYSHQITKRKDRWVRPLRNTRRNQGTIIR >cds-PLY96087.1 pep primary_assembly:Lsat_Salinas_v7:3:98128034:98129197:-1 gene:gene-LSAT_3X73020 transcript:rna-gnl|WGS:NBSK|LSAT_3X73020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKRKVREQEPVNIENKKKAKMEDRLSDLPECLQLHILTSLDAKHAVQTSVLSRTWVSAHTRIPVLEFNSYSFKKLLVFDKFVCDVLRHRDHSAKLERLTFNRSGSCSAKILNSVFDYAFSLGVEQLSVNLQQSRNKTWPVFPVIASFESLKSLTLESQSHMICPYLGPISRSFKSLTTMHLQHALIKDPDPFSGFPMLESLTLEDCHLCMESEKTLRIHALRLTDLTISSLWNISCCEVTTPRLKFFDYKGHNFHLLLPTHEGLPVLEKVVIDFNGLCYRRQEKLMFDDLMAMFYTMKNVKSLTLCSSIVQLLSFFPDELVRRSSPFRELKELKMDFRNLLWQNLFERISVRSKETFQVPLDVKTYLLKNSPDAKFSFTYPIDLK >cds-PLY93095.1 pep primary_assembly:Lsat_Salinas_v7:4:106834640:106838206:1 gene:gene-LSAT_4X69600 transcript:rna-gnl|WGS:NBSK|LSAT_4X69600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKLGPGDFSNLVVALKLSPPPWPTVVAGVFVFISLTLSIYLLFEHLSAYKNPEEQKFLFGIILMAPCYAVESFISLLNPSISVDIEILRDCYEAFAMYCFGRYLIACLGGEERAIEFLEREGRAGSKTPLLEPSSEHGTFKHVFPMSLFLKPWKLGQRVYQIIKIGIVQYMIIKAFTAVLAVILEAFDVYCEGEFKWGCGYPYTAVILNFSQSWALYCLVMFYEITADELAHIHPLAKFLTFKSIVFLTWWQGVAIALLSSFGLVRSPIAQTLQFQSSIQDFIICIEMGIASVVHLYVFPSKPYELMGDLFKGNVSVLGDYASTDCPIDPDEIRDSERPTKLRLPQPNNDIKTKTAIRESVRDVFVGGGEYIVNDLRFTMNQAVVPVEKGFTRFNQKLHKISQNIKKGNKDKRTKDDSCINESPTKRIIRGIDDPLLNGSFSDSGASAAKKKRRHHQRKLSGYTSGESGGESSSEQMYGGYQIHGRRWVTKE >cds-PLY75108.1 pep primary_assembly:Lsat_Salinas_v7:2:37079997:37081411:1 gene:gene-LSAT_2X17620 transcript:rna-gnl|WGS:NBSK|LSAT_2X17620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDRMERFIAFPFATGCVSASSVIVSGNNDKRTKEDIDSPHMVCRSYSLPKDSKFNERAPMSNESMTKSTFRFTALPKPNVSVAFHRLTKSIKSLSQSLAFKEETDESQMEMEIGLPTDVKHVAHVGFDGSVTSELNRPRNLNTTDFLGFCPVSFAQLEGRLAMCAPLDASHDTKHTPPIAKRARNKSEASTM >cds-PLY84469.1 pep primary_assembly:Lsat_Salinas_v7:7:128641794:128644197:-1 gene:gene-LSAT_7X79100 transcript:rna-gnl|WGS:NBSK|LSAT_7X79100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTFPNFLIDYPQICTTFSSKQTGRQGFDQFGALTLKAIMPEEKSLTITNDSNNKKTPHVLTVAGSDSGAGAGIQADLKACAARGVYCSTVITAVTAQNTLGVQDVSILSEESVAAQLKSVLSDMRVDVVKTGMLPSITMVKILLDSLKQYPVQALVVDPVMVSTSGDVLAGPSILDTFRKDLLPMADIVTPNLKETSALLGGIQLETISDMRSAAKSLHDMGPRNVLVKGGDLPSSSDAVDIFFNGKDFYELRSSRIQTRNTHGTGCTLASCIAAELAKGSSMLSAVKVAKRYVESVLEYSKSINIGNGAQGPFDHGLKLKRSVCNSSRMQVFEPSDLFLYAVTDSKMNKKWGRSITDAVKAAIEGGATIVQLREKEGETGEFLESAKACVEICRLHNIPLLINDRVDIALACDADGVHVGQSDMPVATVRALVGPDKIIGVSCKTPEQALKAWGDGADYIGSGGVFPTNTKANNRTIGLDGLRDVCLASKIPVVAIGGINLGNAKEVMELGVVNLEGVAVVSAVFDRECVAEETRKLHDLLKESVVEKVMV >cds-PLY97126.1 pep primary_assembly:Lsat_Salinas_v7:4:75723962:75727185:-1 gene:gene-LSAT_4X50941 transcript:rna-gnl|WGS:NBSK|LSAT_4X50941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Negative regulator of systemic acquired resistance SNI1 [Source:Projected from Arabidopsis thaliana (AT4G18470) UniProtKB/Swiss-Prot;Acc:Q9SWA6] MGTTRRRGGNGGMEENTMAILDTSCFSKSTQHIADDRLSFLEAVRSGFLVPENAPAPTNKIYKEIFQILKVENSLYLIMSSYQLLLELDKRFPQVYLSTAKKSESSSPSSLPCNELVVVEEAWSPFAFGTEFSPNEKEDHGKTSGSLDATAFHDLIKDLVEVADEATTEVLDIKPLRNMLLFQYLVSFLEGDFVIRNLAFTENSDWVTLRESLLNMILVSRKITYKTLIKDCLSAMCQLSQFSMDSSNVLTPSDTESPEVTEKSHTALAIALPEVIKHTCVSVQKFLSMIIELDSSKKAADMEGWTTRADGVRTPAMEIIMDELTYDKNILLPFFQALDKPKLKLDMIVQYFQKYIPKTSVRTRRSNDSTNNSTFGGVLKCFSNENNTKSIIKKINTEVAQLLLAHAFQAFISLPCQDSTESKEDIVDNSLPEICKNMISAFNCLKKTDEHIVIPPFGKEALFTAAVMLSGV >cds-PLY93427.1 pep primary_assembly:Lsat_Salinas_v7:9:58982921:58983253:1 gene:gene-LSAT_9X52160 transcript:rna-gnl|WGS:NBSK|LSAT_9X52160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDMEEKMVEKGVIVSSGIGYTEQQKSEYLERRRLAECSRDFGTRKIKRERGKKVDGGCVKSTVTSGDAISDMNRARKVRWWSVAAIKRVSDGPCISGGRILISKGGEGR >cds-PLY90031.1 pep primary_assembly:Lsat_Salinas_v7:5:185858787:185860025:1 gene:gene-LSAT_5X82700 transcript:rna-gnl|WGS:NBSK|LSAT_5X82700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSKFFLEQVLSIISISLLAVQNLSDFTPSFFLGVLQAIIGGCLANLYVVGINQLSDIDIDKVNKPYLPLASGDLSVKTGILLTSLYAILGFCLGWSTKSWPLKLGLLLWYAFGTAYSVNLPLLRWKSIPALAAMCLWSVQGAIIPILFHLHAQTNIYGRSLSLSQHAIFVFGFMSIYGIVIALFKDIPDVEGDKLNGINSVALQIGKKPVSSNYELLVRVPTEIGYVQVK >cds-PLY89080.1 pep primary_assembly:Lsat_Salinas_v7:9:28497554:28499679:-1 gene:gene-LSAT_9X25020 transcript:rna-gnl|WGS:NBSK|LSAT_9X25020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGDERKRGKPLVLVASPFQGHMTPMLQLGSVLHSKGFSITLAHTKLNSPDPSNHPEFVFLTLSDNFSAIDASANYTKFLEALNNNCKMQLQDHLTRKIEEGKDEMNHTITVIHDNIMYFAEEVASNLNLRSIVLRSCSASYMPAFLALPRLHAEGKLPVQDSMLQELVPELHPLRYKDLPFNDTPTEVATEMLALAERVRTPSAIIWNTMEFLEHSALTQLRQHYQVPIFAIGPLIKMARCPSTSFLEEDTGCISWLDKQAPRSVIYVSLGSLATMDETELTETAWGLAKSKQPFLWVVRPGSVSGSEWIEFLPEGFTEEVRGRGLVLKWAPQKQVLSHSAVGGFWSHCGWNSTLESISEGVPMICQPVMGDQCVNSRYLSYVWRVGLELENLEIKVVESAIRRLLVDEEGQEMRQRAISMKEKAKYSLFKGGSSFNSLKDLVEFTLAR >cds-PLY83944.1 pep primary_assembly:Lsat_Salinas_v7:8:36214864:36215730:-1 gene:gene-LSAT_8X28840 transcript:rna-gnl|WGS:NBSK|LSAT_8X28840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVTYMSSSGDLNTRRQERRINILSASNRSSKSRPALSSADLLHGSIDDGIS >cds-PLY69532.1 pep primary_assembly:Lsat_Salinas_v7:7:118234249:118236283:1 gene:gene-LSAT_7X73300 transcript:rna-gnl|WGS:NBSK|LSAT_7X73300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISRSMSPAISSTPTPFYHSSSSLSFFPKTHHPSHLFQTITFSTTPNCPRNSKTSTSVSGIWDAITGGAGSSREALIAIRRGMVLFRQGDVAGSVAEFDNAIQLDPRQKAYLWQRGLSLYYLDRFEEGAEQFRIDVAQNPNDTEESIWCFLCEAQLYGATEARKRFLEVGRDPRPVMREAYNMFKDGGDPEKLVDVFSKGRESEYFYASLYAGLYYESQNETDKAKVHLVASCKSPYGERLDDYMASLAKVHCQCRNWEL >cds-PLY71128.1 pep primary_assembly:Lsat_Salinas_v7:9:80540585:80558345:1 gene:gene-LSAT_9X64201 transcript:rna-gnl|WGS:NBSK|LSAT_9X64201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHFREITNVFHYKNSEALTKSLKLTLFVFVVTSITFVSLSAFRFQPSRWSAAPGCLLRPKYHNTPETTAESPDSSPQDSEHPTKISHILFGIGGSVRTWSDRRHYSELWWQPNVTRGYVWLDEQPDPKLFSNPSSPPYKVSEPLTHLNNAGSSPAVRIARIVLESFKLRLPEVRWFVMGDDDTVFFGENLVSVLSKYDHRQMYYVGGSSESVEQDVMHSYDMAFGGGGFAVSYPLAVELARIFDGCLDRYRYFYGSDQRVWACVSEIGVSLTKERGFHQMDIRGDAYGLLAAHPMTPLLSLHHLDYIKPLLPNRTKFDSLKTLIQTYQFDPPRLMQQSYCYYNNWWHRWSISISWGYTVQIYPSILTSHELQMPLQTFLTWRSFKDGPFTFNTRPVSSNPCELPAIYYIANVQDYGNDTVTTYERDGSLKKCKKGNYPHAIETVVVLASKMDPSYWIKATCSIMYPRISRIMNLAYLNLVPSLNLNPFSIATLFYEPSTRTRISFESAIKCLGGEVLTTENAREFSSAAKGETLEDSIRTVEGYSDIIVMRHFESGAARRAAMTANIPVINDGDGPGQHPSQALLDVYTIEREIANMDGIKVALVGDLANGRTVRSLAYLLAKYNDVKIYFVSPEVVKMKEDIEEYLTLKGVEWEESADLKELASKCDVVYQTRIQKEHFGERSDLYEEAHGKYIIDRDVLGVMQKHAIVMHPLPRLDEKKRPTEAKAAEDARRRVEAEAAAEAKRKRDLEREAARQALLKGPFDLSKDNIKIKEHKSHGILLSGVIEEAALVAEEISNTKMNSSSVAITPCRTLAKSVLKSNRQELNSESVIPGGFLEWKETMDSWGSKMISAIEVK >cds-PLY85317.1 pep primary_assembly:Lsat_Salinas_v7:5:326115961:326119856:-1 gene:gene-LSAT_5X180041 transcript:rna-gnl|WGS:NBSK|LSAT_5X180041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSIQSDSPVVLGFGGVSVDLLATVASFPNPDDKIRSTSMKVQGGGNAGNALTCAARLGLNARLISKVANDAQGRGVLEELQVDGVDISFFVVSEEGNSAFTYIIVDNQTKTRTCIHTPGWPPMIPSDISSSTLKSALDGVKLAYFDVRLHETALIIAHEANRRNIPILIDAERLRDGLDDFMYLSDYVVCSAKLPQAWTEASTVPSALVSMLFRLPKVKFVIVTLGADGCIMLERSIPADNSEAEETDVDNLVEVLKQKIDTSKAAPTCVSSDVVRFQGNGVGSVCGRLYLGTAEKIPESELVDTTGAGDAFIGAILYAICTNKPPEKMLPFAAQVAAISCRDLGARSGLPRLSHPLLAPFLG >cds-PLY98961.1 pep primary_assembly:Lsat_Salinas_v7:7:48925304:48929062:-1 gene:gene-LSAT_7X35360 transcript:rna-gnl|WGS:NBSK|LSAT_7X35360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEASASSLVCTWIVAACMSVSCQNDQHPSLLSSKPQATNTRWARKRRLLSKCNASASANANANTSFPGFGRLTATNTIEGFMSSCLSPCDRYNSSNGFSLSSSDFFGAASLFRSGNVHLGGRRRRPLRSGEAMAIAIQPSMETVTKKKPPTKQRRVVVTGMGVETPIGNNPNDFYNNLLEGVSGISEIEAFDCSNYPTRIAGEIKNFSTNGWVAPKLSKRMDRFMLYMLTAGKKALADGGITEDQMDELDKTRCGVLIGSAMGGMKVFNDAIEALRVSYRKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADMMLCGGSDAVIIPIGLGGFVACRALSERNNDPAKASRPWDTGRDGFVMGEGAGVLLLEELEHAKKRGATIYAEFLGGSFTCDAYHMTEPHPQGAGVILCIEKALSQAGVAREDVNYINAHATSTPAGDLKEYMALLHCFGKNQDLRVNSTKSMIGHLLGAAGAVEAVATIQAIRTGWIHPNINLDNPDEGVDTKVLVGPKKEKLEVKVALSNSFGFGGHNSSILFAPFQ >cds-PLY68249.1 pep primary_assembly:Lsat_Salinas_v7:4:237723236:237724146:1 gene:gene-LSAT_4X127800 transcript:rna-gnl|WGS:NBSK|LSAT_4X127800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSMLQRERSLPVSQSYNTSSNDPSSVVAGIRRRLSSMSLRIQPSSISGTTSAATAWAMRRSKSVSSMGESTSTSVRNWWDRGWGWILSRKPIFAQDLEFNQEETSVLRSHDKGSWRHVFFKVKSEIRRLVRSDNVGLPQTVRYNSHSYAHNFDDGSSKFRS >cds-PLY76730.1 pep primary_assembly:Lsat_Salinas_v7:7:61340921:61342569:1 gene:gene-LSAT_7X43521 transcript:rna-gnl|WGS:NBSK|LSAT_7X43521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKRRADRNKTPPFPAFSSHTSQMESSLKEKSSHSVGSTGAKSTSSSLMNNKEKSPQSHHQSAANHRHHNVNRTLSSKVPRHYYSLQHSQQKAANCSGTSTSHGKNNDKHSWKFGHRPESGFGYHGGRNNKGSRRVDRIRSNSMMISAISPNVKNMDCGLCRQRMSDFSVVAVLVCGHFYHVECLETRTSNEDRRDPPCPLCIPSGVNN >cds-PLY78392.1 pep primary_assembly:Lsat_Salinas_v7:9:8858326:8862346:1 gene:gene-LSAT_9X6461 transcript:rna-gnl|WGS:NBSK|LSAT_9X6461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELRSSRVLKSGRHRVVLGLENIKSPIAKRKRSSGNKVANEAERSSLRSHKKGANEAEIGVCVDNPDEDLEMGTVFSEGIKVGLNTDDKGLFLGSEVLDPIKDLPVSCSEGEIEEESVECVPVEEGNKSSTSETDHCFKEEIKSPLDCEHESEHVGSAKLADDTKIVHGDDLSMLNASKNADGGIDEVRQKKGRGRPRKKKRKISNLNKNEVEDVNGEVVESGIVKQKRGRKRKNVKKSECNGDERAKRKKVGDEKAKRKKVGVRVSERVLRLRNTVVSGGGTVVDGGRPEKKKKKKIERRGRPRKIRIETNEIPLKPLKKRGRPRKIDGENSQPKVKPKNKKLKQGKEKASEKKRLKDMILKKLKMRKRKLKLVAIKNSNSGLEANKVKRIRIRNNRKSVVGEGIMGRGERKKLLREKLLDILSKSGWTFERRPRLNRVHQDMVYIEPNGRTHWSITRAYSKLTKKIKDGNADTNEVSAFMPMSLVQISMLSKRVDKELGCKKKKNRIQKDGKSIKKVLTTKKKKKDGKPKREKGIIVKNRKPRLLVRGSEKGTKQDNNGCLLYYNRKRNLLSWMIDSGVILSGWKVNYGKTRRKKKASEGTITSDGIVCSCCNQSMSISEFVGHSGGKLNQVFDDIYLESGVSFRKCLLDSWRKEEDSGSIRFNLVDIEGDDPNDDTCNICGDGGNLICCDGCPSTFHQSCLDIQNFPPGDWNCFYCSCKFCGVVSVIAPDKPSSEMLSCGLCEEKFHQSCSTEVGPIDMDSNRPPFCGQKCQQLFERLQTYIGVKNELEDGFTWSLLQRCDISQDLSIQDIKLKVENNSKLAVAFSVMDECFVPIVDGRSGTSIIHNVVYNCGSNFRRLNYGGFVTAVLEKGDELITAASIRLHGSRLAEMPFIGTRHMYRRQGMCRRLLDAIESTLSAIGVEELIIPAIPALLQTWTKVFGFLPLDESKKQELKCMSMIVFPGINMLQKPLFHPSASADSDEKDLECTTNDKSVSQTTPSNAIDCNEMPAENAIDCEKLETTSSNAVGGYEEKPPGVAKDASVGYEQMEEDIIIIMDNGGCPEDDKLTNSNASDNLYDLNFPVKNIPGCSIRPCSTMTCGPHQPENGDSVSVVVKESPLTSQLVPKITFDLNLHPTTTVVDFVGDDSKPCDTRPLVLL >cds-PLY94559.1 pep primary_assembly:Lsat_Salinas_v7:2:156022748:156022975:-1 gene:gene-LSAT_2X81461 transcript:rna-gnl|WGS:NBSK|LSAT_2X81461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGGFPLCVQCGTKSNPCRCKVVGPTVGFIAFAAAAIVEWPVGAVVYLFRHMKGRRIMAHPATVVYPRVNRAIPI >cds-PLY80912.1 pep primary_assembly:Lsat_Salinas_v7:8:134059014:134060223:-1 gene:gene-LSAT_8X93080 transcript:rna-gnl|WGS:NBSK|LSAT_8X93080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRSPCCERMGLKKGPWTHEEDQILIDYIKKYGHDNWRALPKLAGLLRCGKSCRLRWTNYLNPDIKRGNFTREEEQTIIQLHAAIGNRWSAIAAQLPGRTDNEIKNVWHTHLKKRVHEAENQCQASNFQQQKIKLEEYIELSPTSSSIKSSDINSDHAHDQTSDSQLNSPNCEISSVITTDKGDMQVLGRLQEMDNDLWSEIFSGENSGELTVMEAGYKYTSSMNDDMQFWFNVFTRGEELLEF >cds-PLY64736.1 pep primary_assembly:Lsat_Salinas_v7:9:191387751:191389144:1 gene:gene-LSAT_9X116641 transcript:rna-gnl|WGS:NBSK|LSAT_9X116641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGCYSAPKTLKKEKGRAPAPVPQEAKEETIPKVAEFHKEETTPKVTKPHKEEIPSEKTNLDPDVTPKMETCKEHINPEVVISSKEETTLELVLSLKKETTPQVVASKEETSSEVVVLPKDETTLEVPLTPKGETTIEVAIPKGEATIEVAVPEETMEAAVIKGETTSKEATDNESVDAKDIKKDKDEEENKDGEKVANSKLNEEKRLEEEAKIVPTKVNEEESKDIETKKEELKVVKEQ >cds-PLY73781.1 pep primary_assembly:Lsat_Salinas_v7:8:92596993:92597271:1 gene:gene-LSAT_8X64481 transcript:rna-gnl|WGS:NBSK|LSAT_8X64481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIASQLEMTERSSTKFDPIETIAFSDTPDDDVACDQPIPDDVPDPGDQSEADDYEGFLDLGLMQKAIVSIIPLNVIYPSYCFKGEFSQLVP >cds-PLY74241.1 pep primary_assembly:Lsat_Salinas_v7:1:78763866:78766201:1 gene:gene-LSAT_1X66300 transcript:rna-gnl|WGS:NBSK|LSAT_1X66300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAQGGQQQWMMSAQPQPQYAYGAPPPPPQPYHQPSSHEEIRTLWIGDLPYWADESYLHSWFAATNEVLSIKVIRNKATGLPEGYGFVEFASHSTAERVLQSYNGTQIPGTELSFRLNWASSGIGERRPDAGPEHSIFVGDLAPDVTDHLLQETFRTQYPSVRGAKVVTDPNTGRSKGYGFVKFADEMERNRAMSEMNGIYCSTRPMRISAATPKKTTAFQQQYVAPKGLYPATVAAIPVDTDLTNTTVYIGNLDPGVAEEELRSIFLQFGEIVYVKIPAAKGCGFVQFVNRTSAEEAIQRMHGSQIGQTVVHLSWGKSTAAKQDPSGVWGQQADQSQWGSAYYGYGQGYDAYGYGATQDPSLYAYGAAYGGYMQYPQQARYMISIFHFFFGEGGQDMGVVGGVAPPVEQREEIYDPLATPDVEKSNYVYLATHGSAILGRPLWQKTSSSSLQA >cds-PLY91081.1 pep primary_assembly:Lsat_Salinas_v7:9:124296521:124296739:1 gene:gene-LSAT_9X81840 transcript:rna-gnl|WGS:NBSK|LSAT_9X81840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAAFSDITSASKEEASFFLKSHNFDLDSAVSTFFEKTLGLRPHTRIDLAFVGAWSVGDSSPWDLCLRATVV >cds-PLY70163.1 pep primary_assembly:Lsat_Salinas_v7:3:10822148:10823952:-1 gene:gene-LSAT_3X6260 transcript:rna-gnl|WGS:NBSK|LSAT_3X6260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCSSNRVEWNNSPRLYHYPVVSEDRQPLSAHSGVASVVPTGLLVDTNLDTSIPDTYRHPPAPLPYEAIVGDPQTQTPPVQTNCSDPIEDIKISNHGENLAKETMESDCKDQIDIKLVATEEDDGEVKKSNAPFVAPEECPTCLEEYDEENPKIVTKCEHHFHLSCILEWMERSNTCPVCDQIMELNFPIND >cds-PLY90383.1 pep primary_assembly:Lsat_Salinas_v7:9:173994355:173994894:1 gene:gene-LSAT_9X106961 transcript:rna-gnl|WGS:NBSK|LSAT_9X106961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLQTSRSYLEDISDILIPSFNQTFGNIASIFKVMSIAISALEEGEVDTAYMTKHAKLASCHLEIDLVQLEVPILLRTEKDSKGTQVIYLLY >cds-PLY80491.1 pep primary_assembly:Lsat_Salinas_v7:2:137217698:137219239:1 gene:gene-LSAT_2X66941 transcript:rna-gnl|WGS:NBSK|LSAT_2X66941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAKKGHKVSFLVPKNTQYKLDPFNHHPHHIAFVPITVPHIEGLPMGAETSSDVPSSLHPLIMTAMDATQENVERILKDLMVDFVFFDMAHWIPSLCRRVRVKSVNYCVITPVVIGYIFSPARRVHWDKGISESDVIHAPAGFPLSSIKFHAHEARDFTARSNMTFGSEMSLVERVYIGLSQADVLAYNGCKELDGLFRDYLQTQFQKPVLLSGPIVTDPPNDTLTEDLSSWLDRFDPCSVIYCAFGSECTLTMDQFQELLLGFELTGMPFLTALKSPVGVKSINDAIPEGLLERLEGRGLVYDGWVQQNLILQHSSVGCFVTHCGWASLSEALVNKCRLVLLPHGGDQVIHARMMSEVYRVGIEVHKGEEDGLFTKTGVCEAVKKVMDEDGEAGKEVKGNHEKIREFLLNKDVQSAYIDSFSQELQDLLGP >cds-PLY82885.1 pep primary_assembly:Lsat_Salinas_v7:4:17588039:17591750:1 gene:gene-LSAT_4X12361 transcript:rna-gnl|WGS:NBSK|LSAT_4X12361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMMSHLSLHHAKPFNFSNFSSRISPTLALRTSQPVVLSLRNSPEKIPAEFSGKHLRFSGWDQLLRRRGSVQIPVVKAAAAADGSDQEIEISEGLKIDEAPKSFADRFPAPLVTGFFFFTWYFLNVIFNILNKKVYNYFPYPYFVSVVHLLVGVTYCLISWSIGLPKRAPINKDLLGVLTPVAACHALGHVMSNVSFAAVAVSFTHTIKALEPFFSAAASQFVLGHQIPFSLWLSLAPVVLGVSMASLTELSFNWLGFTSAMISNISFTYRSIYSKKAMTGMDSTNVYAYISIIALLFCLPPAILIEGPKLMQYGFRDAIAKVGMVKFVSDLFWIGMFYHLYNQIATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNRISTQTGIGTAIAIAGVAMYSLIKANLEEQKKLDGWYRGK >cds-PLY76766.1 pep primary_assembly:Lsat_Salinas_v7:7:99135260:99137547:-1 gene:gene-LSAT_7X67240 transcript:rna-gnl|WGS:NBSK|LSAT_7X67240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSMSTPDPCELTQPPSFDEFQRQASLMTSCTLLWKELTDHFTSLEQNLLKKSDAIKDKIKTLETQTKTSLNSLEERETTIENSVSIAIRKVEEAMKAAAAAVGTSKSEDAEDNPEVDDSEGLLLRLKSFCVKMDSLGFWGFISSRKKEMDVMRATIPIALAECVDPARFVLEAISEVFPVDKRPDCVNDLGWACVLMLESLIPVMIDPILGHSRAFVTPSVKKTAKEIAETWKESLDERGGIENVKAPEVHTFLQHLVTFGIVKDEDFDLYRKLVVGSAWRKQMPKLAVSLGLGDQMPDMIEELISRGQQVDAVHFTHEVGLVERFPPVSLLKAFLKDAKKAATSIMEDPNNSGRAQHLAARKEQSALRVVIKCIEEYKLEAEFPPENLKKRLQQLEKVKIEKKRPAATSPANKRTRACNVGPMPPAKAGRITNTYVSSFPAPPTFVRSPSHNTQYPPPQYSVYGHGNRSQPVNHYAAYSPEAAPHSPAFTYPGTPPMNYPPPPPAAAYGGYGNGMSPAFQHAYYR >cds-PLY99714.1 pep primary_assembly:Lsat_Salinas_v7:9:55317523:55319973:-1 gene:gene-LSAT_0X9760 transcript:rna-gnl|WGS:NBSK|LSAT_0X9760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPAKSLPVGYRFRPTDEELVNHYLRLKINGFDKEVSCIREVDVCKKEPWDLPDLSVIESIDNEWFFFCPKDRKYQNGQRSNRATVSGYWKATGKDRTIKTNRGSSVIGKKKTLVFYTGRAPKGERTHWVIHEYCATEKELDGTHPGQSPYVLCRLFKKHDGKDENAESLDCVDVDQSDASPPSVVKSSTEDPQSEPVTPNLTGQPNIQPLLKENLKLEELNRTNDPLDFLDHSQLMNDLDLDEALQGFWDASPDNFDSKLFSPLHSQMQLELGSAYNYGMVNSGTGIVNEHYGMQNQYGTNGMEFSNSFLNESEQFSFGDSLAQASIPEFHQYSSESDGEVIQVQPMKLEKVSDENVIFHLPHEHQAPKEESCSSSSSNIALASNGEGNSGTGIIIRNRQPKVANGINFSNQGTAPRRIRLQMKLQVGSVTHGGDQQPIVTEEKKHSPGGSDGEFDESLISNSNVKPKADFSHGMLSWVYMHKVLVVVGLCVGIASLWKCLTY >cds-PLY87245.1 pep primary_assembly:Lsat_Salinas_v7:1:51378095:51378509:-1 gene:gene-LSAT_1X42380 transcript:rna-gnl|WGS:NBSK|LSAT_1X42380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSARWSATIDYFLTDTHCKRSSVNKECRKKQVVKNHGGTCSYGSASFKNDVDVNTFLQNFAFVIALGDIIRSFSKQVDNATNNDEENDDGDND >cds-PLY83843.1 pep primary_assembly:Lsat_Salinas_v7:3:52753970:52757214:-1 gene:gene-LSAT_3X39461 transcript:rna-gnl|WGS:NBSK|LSAT_3X39461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCCISTSSHSTCSSMSEGEVVTMCGVQKRTRTTSSYHMNTLQQMTSIPNRIFTNGKSQSSCIYTQQGRKGVNQDAMIVWEDFMEEGLTFCGVFDGHGPQGHLVARKVRDTLPLKLYSFLDSHESKKKKSTGSNCCSGNSRSDCDEGIEDKDKVDSLWKEAFLKSYKSMDKELRSHPSLDCFCSGSTAVTIVKQGSNLFMGSIGDSRAIMASNDSNNSLVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVSRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRIITEKDKFIVLASDGVWDVLSNEEVIEIVSSSPIRSTAARTVVDSAAREWRNKYPTSRMDDCAVVCLFLDGKMDSESDYEEQGVSSATLQSDCNQSGNVNESDDAQICEPSLQRNFTVRSSEEKNDEVGVVVCDDHGWSGLEGVTRVNSLVQLPRFSEETPGE >cds-PLY78247.1 pep primary_assembly:Lsat_Salinas_v7:7:193965425:193966030:1 gene:gene-LSAT_7X114741 transcript:rna-gnl|WGS:NBSK|LSAT_7X114741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKKFETYDKQVKAAKRSGNQKQQKKVKEKVKFVLKEAEKKSKGKVDENEEIPKARQKWKDYTVKFHFPKPNKLTQPLLQLNDVSFSYPERDKFRLSDEDVGIDIRTHVTIVGDLNPNEGEVRRSQKLRIGRYSQHFVDLLTMGETPVQYLLQLHPEQDGFSKQEAVHAKLGKFGLPSHNHLTPTAKLSGGQKSRVVFTSI >cds-PLY62300.1 pep primary_assembly:Lsat_Salinas_v7:5:166595279:166597362:-1 gene:gene-LSAT_5X75540 transcript:rna-gnl|WGS:NBSK|LSAT_5X75540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLEPPKTSKPADGVDLISDMPDAILLLILSRLPSTEEAIRSSILSRRWRNLWTAIPSLDLHDGEKSQFKEFVYWVLVKRSVDLDCFRLSSSHLYSMSTVGRWINAAVTRNVKQLELTFYPKNNEYIQIPHCLVTCGSLEVLKLNSNVCGLRLPKTMGFPSLRVLDLAFVDLLEDADLVKNFLKNCPLLEDLSLSECLIFKFDLLCISCQKLKKLTIINFYVGKYDGVLCGGIKISCPKLVDLELRGYIGHNCFFECLDSLKKALIVPKLEGNNKCVLFPGISSVESLWIDPYFFIECINTAACDPVLPNLKTLVLSTTMDAFTFDNFNQILKYYPKLESLKLVIQQAFRGSDGTEYGWLDEDETWSILSNDVKRVEFFEFNGEKPKVVTEWFEDILDIFFSWGNEARFSNCYW >cds-PLY86995.1 pep primary_assembly:Lsat_Salinas_v7:5:259842416:259845203:-1 gene:gene-LSAT_5X129921 transcript:rna-gnl|WGS:NBSK|LSAT_5X129921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDDRFRDSSGGRHRRTLGGRKLWELRDDRKWGHDKFEEMSMQERHYDEGRRAPRGRNRGTRGRNRGEDRNFVSGNRPKTYNNNNQNNTSRPVRGRGPRKYQPTSQNNFEASSQNRQPAKSMEKTGHANSGRTSAATSASESTQAPARKNVLASNLNSASPPFYPSGNKETNLNQKKDAQTGSVNKRENYPVSQSNNNMRGRNAVSDSLNIEKLYIDDSGPSFINPTQRAEGRGPVSFGQVYQPVPVPPHHQVNRVSSPNQVHSFQRAPALNQLNPNLQNPGQQFGQRSASGSRASSPPPKESAEMESPTESNDNSKTALVGNGNGNGKGKGSLQTTGMSSFSYHGDQNFPGAPTFLPVMQFAGQHPGGLGVPAVGMAFPGYVAQPNGMGNSEMTWLPVLAGAAGALGAAGALGATYCSPYITMDAAYHARPSGQTSALPPTSKDISSTNKPGSEVKPSQRPELANDELRQRQTKARRHV >cds-PLY65624.1 pep primary_assembly:Lsat_Salinas_v7:4:252732675:252733856:1 gene:gene-LSAT_4X133401 transcript:rna-gnl|WGS:NBSK|LSAT_4X133401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAQPLIKVVAICGSLRKASSNRGLLRSAIELSESIDGITIEYVDVSPLPMLNTDLEVDGKYPPVVEEFRQKILQSDCFLFASPEYNYSVTAPLKNAIDWASRPPNVWADKAAAVVSAGGGFGGGRSQYHLRQIGVFLDLHFINKPEFVLNAFQPPAKFDSDGNLIDPQSKERLKSVLLSLRDFTLRLQKGK >cds-PLY91666.1 pep primary_assembly:Lsat_Salinas_v7:8:12506527:12510448:-1 gene:gene-LSAT_8X8880 transcript:rna-gnl|WGS:NBSK|LSAT_8X8880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKNNRIHHKPHLFKSFFKSIRKSFATSPLPLSDPSSGPSFSTVTPCEEDHRSLCFSLAENLIKRGLLSSARRVIQRLISQSTTVTDEISVINFAVFQGLEPDFSTYSSLICRLVNAGETRVAENLYIDRILRRRLKPDAPLLSSMTICYCKLGKLKEENDHFQKLIGLKSFSIGRACSDLLREVFAQNRFFDAYDYFVRVNDAGILLPVSCYNMLIAGLSFRGYVDEALQVFDTMLERGVPSVSHLWKSLVFGFCKMERVEEAELLSAEMESHGFYVDKVMYTSLINGYCKNKKIKMGMRLFYKMLKIGCQPDAYTYNTLIQGFVNCGLFDKVWVLHKQVMELGLEPDVLTYQIMINKFCKEKKVDCALAMLSSMCSRDITPNVHCYTPIIPALYKENRVEIDELYQKMLDSGVIPDQVLFFTLVKEYPKGHELHLTLKILSAVAKYGCGIDPLVTTKNIEYKIDYLLGRIIESKPHLVNLAYSIYIIGLCMGGKSDAALHTVVFMVNLGFQPLISAFNSLIKCFCQEGFVEHATALIELMEGMGVFPDSTTYLVMISEHCKRGDVASAFDVLSQMDDKRMKPSVAIFDSIIGCLGKEKRVLDAHDMFRKMLQSGKKPDDVLYVRMINVYSKNGQAIEANRLFNHMIKHGIQPSSRAYSAIISGFIKKNMIEKGVMYLENMLKDGFMPNKVLYTSIIDQFLRKGELEFAFRLVSLMERGHIECDDITYITLISGISRNLQCYTGTWNDSHTKSGKEREKLYQLLCEKTLLPMEKDSRIFIRTHEDMKLFATKLIKGIKDTCDMPNLYLYNSILSGYCRMGKFEEAYEQIDMMQKQGVGPNQVTFTILINGHIQAGEIDVAVGLFNKMNSDGCIPDRIVYNIMIKGFCKNKRPIDALSLSYAMCKRGFAPSKIAYEYMLISLCDSRLVNEALSIFEDMMMGYNYLPSQHNGEWLFRILMEEKKWHEAQMVRDMMLDKGRKLPNPMRQRVLMKS >cds-PLY86690.1 pep primary_assembly:Lsat_Salinas_v7:4:318656538:318657322:-1 gene:gene-LSAT_4X158481 transcript:rna-gnl|WGS:NBSK|LSAT_4X158481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVGDQPNISDETSIIFRICEEEVLTLHVEEHSRICAIADCCDQTGISVDERLVRVAETLEKLMELISQKDNRSPDAAKASSSVTEECDLISPKLSDWSRRGSKDMLDCFPDQGDNSVSMDDLKAHPSMSCRTRFGPKSDQGMTTSSAGSMTPRSPLMTPKTSQIELLLARKGPYSEHDDLPQMNELADIARCAGNTPLDDDRSLSYLLTCFDDLRVMIDRRKFDALTVETFGARIEKLIR >cds-PLY93690.1 pep primary_assembly:Lsat_Salinas_v7:2:202031205:202042953:1 gene:gene-LSAT_2X123400 transcript:rna-gnl|WGS:NBSK|LSAT_2X123400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSYDYYKVLGFNRKFKITERRPPPDVIEAFSLCTNRESEMSPDQFRRFLIEFQGEDEVAIDDAKLKMDQVLLHFRPNFTRCSFTVDDFFKYLFLDDLNGPITSQVHHDMTAPLQHYFIYTGHNSYLTGNQLNSDCSDVPIIKALRRGVRVIELDLWPNSSKDGIHVLHGRTMTTPVDLYKCLKSIHEHAFVSSPYPVIITLEDHLTPDLQAKVAEMVTEIFGDVLYCPEAGDNDEFLSPDALKHRIILSTKQPKEYLESKQDSSSSEEDLLVVGKATPSDIKNRTAADEASSRFLVVDSEDESDEEAEDDEDVENKKSGQKAVLEYKQLIGIHAIKAKNGLKKALMVGSGRGKRLSLSEQTLERAASLYGTDLVRFTQKNILRVFPKGTRVTSTNFRPLEAWMHGAQMVAFNMQGYDKSLWMMHGMFRSNGGCGYVKKPDFLIGRDPNDEVFDPKATLEVKKILRVKVYMGDGWRMDFSQTHFDAFSPPDFYTKMYMVGVPTDDTKKKTRIIKDDWIPIWDEEFTFPLTVPELALVKIVVGDYNISEKDDFGGQTCLPVSEIETGIRTVPLHNKKGKKFEHVKLLMRFHFE >cds-PLY96845.1 pep primary_assembly:Lsat_Salinas_v7:2:109444585:109446245:-1 gene:gene-LSAT_2X48240 transcript:rna-gnl|WGS:NBSK|LSAT_2X48240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:15-cis-zeta-carotene isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10830) UniProtKB/Swiss-Prot;Acc:Q9SAC0] MATSILLSSSFSSHPQFPGTPKFIFSPLKLNRTVTPINTCSNRGFLKTTQLLPSNYLRKNRRVFVIGESDTSVSKDVMVGEDSANFDLAKQKITSWIYFTVVLGVVLFILDIAWLDNSTGFGKVFIDSVSSVSESPEVAMFLLTLIFAIVHSGLATLRDPGEKLIGERAFRVLFAGTSLPLAVSTIVYFINHRYDGVQLWQLQSVPWLHHLLWVTNFISFFFLYPSTFNLLEVAAVDKPKMHLWESGIMRITRHPQLVGQVMWCVAHTIWIGNSVAVSASVGLIGHHLFGAWNGDRRLAIRHGEAFEIVKSRTSVFPFAAIIDGRQKLPKDYYKEFIRLPYVVITGLTLGAYFAHPIMQAASFRLHW >cds-PLY78398.1 pep primary_assembly:Lsat_Salinas_v7:1:163147546:163154455:1 gene:gene-LSAT_1X109880 transcript:rna-gnl|WGS:NBSK|LSAT_1X109880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKILQSLASCWDDIVDVDALQVIRLKGAMTNEVYQIKWPTNSNKEGSRKVLVRIYGEGVDVFFDREMEIRTFEFMSKQGQGPRLLGRFSNGRIEEFIRARTLSACDLRDPDISTLIASKLKEFHDLDMPGPKTPMVWDRLHNWLSAAKSMCTVEEAQALRLDAMGKEIETLHKCLAADQHVAFCHNDLQYGNIMIDEDTRSITIIDYEYACYNPFMFDIANHFCEMAADYHTETPHVLEYTKYPGLDERRRFLHVYLTSSSSGNQPSNLEVDKLLEEVEKYTLASHLLWGLWGVISEHVNEIDFDYMEYAKQRFQEYWLQKPKILGSLG >cds-PLY65652.1 pep primary_assembly:Lsat_Salinas_v7:7:27039107:27040359:-1 gene:gene-LSAT_7X21121 transcript:rna-gnl|WGS:NBSK|LSAT_7X21121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECENYFADEFKPDKSLPCFDCSICLDFAKDPVVTLCGHLYCWPCIYKWLSFPTDSGANPPCPVCKSEISHTSVVPLYGRGKTFSDNEPGGKTTNTTAVIPPRPHAALLSPPSSGRQPPYGSFSDGVDGYNPNVWMYGEMIYSRVFGSSSPRLRRRELQVDRSLNRLTFFLFCCFFLCLLLF >cds-PLY72482.1 pep primary_assembly:Lsat_Salinas_v7:2:143353700:143356234:-1 gene:gene-LSAT_2X71081 transcript:rna-gnl|WGS:NBSK|LSAT_2X71081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTCIPLYEASIKGDWKTAKEILDRKPELVRYSITGNGDTALHIAASAKSTKQSEDFLEHLLTYMENKDLELENSSSNTALCLAATAGNVKMVKIMAEKNRALVAITDSEGMTPLYKAALYGNYEVVKYLYENLGGNNWAPQHHSRLLLQCVENNMFDIALKIVKEHPELDSNGSVLGVLARKPDVFAETESDIFKRTFNWVIHPKLQAFEKESKAKALELLRIIWKNISEKRKIEIDDILRGPPDPPPPIMQGDIEKKPVEIWNTVKEPAATIWNNPNAVRKYSSRILFVAAEMGNTRYLVELIRQYPDLIWKVNDKNQSIFHIAVKHRHEGIYNLMYEIGSMKDLITPLKDENDNTMLHLVGKTAKKKQLEDVSRVVALQMQRELLWFKEVEKMIPPSYRKQKNKVGLTPHELFTEEHKELVRQGENWMKDKASQCMVVATLVATIVFAATFTAPGGYNQADVVPYFYRKGTFIVFAVADTISLFSSSTSVLLFLSILTSRYAERDFLESLPIKLMLGLATLFLSITTMMVALSVGFFVLYMKWITLLATLLATMPLLLFAMLQFPLLKDVTRSSYASRHLFRPKKHVLYYENSNSNSRRWFPFTFPFVSSCTSKIMKLL >cds-PLY90498.1 pep primary_assembly:Lsat_Salinas_v7:1:205423215:205428201:-1 gene:gene-LSAT_1X126300 transcript:rna-gnl|WGS:NBSK|LSAT_1X126300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTWCPHCAKNSETHRDYTTGSVCCVDCGKVVSQDLYTEDVTFVKDAGGGAHLAGNLVKMGSACSESHRRTLEKGNVIIYELIDKYGISNVTHATKYYEIAVERGFTRGRRTNQVAAACLYVACREKEKPFLLIEFSSELGVSVYELGTVYLLLCKLLSLQDHPFVQKPVDPSLFMHRYTSGLIKGDGSKQVLNTALHLAVSMKRDWIQTGRKPSGICAAAIYVSSVLHGHNFSRADVVKTVHICEATLQKRLIEFENTEAGSLSIDEFTNKAKEYEKEMQSCKQSSNELKMPELNEVVCQHKSNAVQSGFGLCKDCYLEFCGGLYGSEPPAFQRAELERLANQSVDENDKGSLTEPQCASPSLGKVENVGDTQETSESQKDDPAPAASVDETDTLSDIDDSEVSCYLNNEEESRFKKIIWEQMNKEYMQEQAAKEAAAAAARKLYTGTSEEIREAQALAAAAAEAVASKREKDKKRRALEAKNAKPAQSAAEAASQLFTKKKLSSKINYDAMNSLFDDEPSPKKNRVEDDDNGKGEELGKGDDGEGEEDNNDEWNYEQEMSGYEYDYDANEYDEEY >cds-PLY98694.1 pep primary_assembly:Lsat_Salinas_v7:5:303499869:303500456:-1 gene:gene-LSAT_5X163181 transcript:rna-gnl|WGS:NBSK|LSAT_5X163181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPYKDTATWSFMIMGLATNGRNESAITLFEEMTHKGPVPNDITFVAVLVACNHKSLVTKAWCLIGKMFKVFGIQPGIEHYGCMVDVLARSGQLKRAEILIDLMPMKPDEAIWGSFLHGCLRHSEICLGERVVKRLIALDPNQSGRYVGLANMYADIGRWENVIRLRNMMVERKVDNTPSWSFIKVDGVVHKFFCS >cds-PLY62665.1 pep primary_assembly:Lsat_Salinas_v7:1:35723870:35724514:-1 gene:gene-LSAT_1X30341 transcript:rna-gnl|WGS:NBSK|LSAT_1X30341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKNVAAMKDALVRILTPGRSIDILRDVHVSKEQRKPYVVVFVGVNRVGKSINLAKVAYWLQQHDINVMMAACDTFRLGAVEQLRTHAYRLQVCN >cds-PLY86749.1 pep primary_assembly:Lsat_Salinas_v7:5:60581039:60581501:1 gene:gene-LSAT_5X28821 transcript:rna-gnl|WGS:NBSK|LSAT_5X28821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPMKTKELSLAMAESSSVIGEVQVEQQQGQLITPRKRGRPRKIVDKSEEDDEESLQEEMELKKAKSNEELVKKEDKEPSSPTTTTIAANKDQPRRSRRKSKPRKSC >cds-PLY97041.1 pep primary_assembly:Lsat_Salinas_v7:4:350568199:350571175:-1 gene:gene-LSAT_4X173201 transcript:rna-gnl|WGS:NBSK|LSAT_4X173201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METARSETGSFAQTEINWDKLDKTKFYVVGAGIFTGLTVALYPISVVKTRMQVATKESVEKSAYDVIRGLLKTEGIPGLYRGFGTVITGAIPARIIFLTALETTKVAAFKMVDPFKLSDPTKAAIANGLAGMTASLCSQGVFVPIDVISQRLMVQGYSGHASYNGGADVARKILKQDGIRGLYRGFGLSVMTYSPSSAVWWASYGSSQRLIWNLLGHGNDSNGSLPSQGTVVMVQGAGGIFAGAAASCVTTPLDTIKTRLQVMGQEKRPSARQVIKTLIADDGWKGFYRGIGPRFFSMSAWGTSMILAYEYLSTISSLFF >cds-PLY63251.1 pep primary_assembly:Lsat_Salinas_v7:4:200793393:200794092:-1 gene:gene-LSAT_4X111900 transcript:rna-gnl|WGS:NBSK|LSAT_4X111900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARAVGHDISKDEKNFDIMQNFVPNGMQSQRWTDLCRVTKEWNTDAWLKISASGKSNRNNADSGRKIARHIEGRISYDEHRIRFIAEKGQPPTFLELFLITHLDKTSKKKYFDGDVEGKQFYTERAREAYEEDSNVLLEKYGDDLVDHRIDDVELWAKT >cds-PLY85949.1 pep primary_assembly:Lsat_Salinas_v7:9:99884014:99884837:1 gene:gene-LSAT_9X73760 transcript:rna-gnl|WGS:NBSK|LSAT_9X73760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIRRTDVVMLTLFLSCVMPCLAKVYTVGDSAGWSLSVDYTTWTTGKTFKPGDSLVFNYGSSHSVDEVSSDDYGTCTVGNSIASYTSSPATIILNTTGTHYFICGVPGHCSGGMKVSVPVTGGGASPTPSTGASPTTKPTPTPPTATSTGSAVPASSSAISPVVAVVFSLVSMVFNFVLS >cds-PLY76039.1 pep primary_assembly:Lsat_Salinas_v7:5:320387089:320390996:1 gene:gene-LSAT_5X176100 transcript:rna-gnl|WGS:NBSK|LSAT_5X176100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGEGTSLEFTPTWVVAAVCTLIVGISLAVERLLHYAGKKLKKAGQKPLYEALQKIKEELMLLGFISLLLTVFQSRIIKICVKESIMEHLLPCSLRDRKEATGSKPEAEGTSHLLRHLLAEEATATGYCASKGGKVPLLSLEALHHLHIFIFVLAVVHVTFSVLTVVFGGARIRQWKHWEDSIAKVNFDASQVLKPRVTHVKDHDFIRNRFVGIGKGSAIRGWLHSFFKQFYGSVTKSDYVALRLGFITTHCKANPKFNFHKYMIRALEDDFRKVVGIRQVVNVCPCEQVLIPDFVVCSWYLWVFVVVFLLLNINGWHTYFWIAFFPFILLLAVGTKLEHIIIQLAHEVAEKHIAIEGELVVQPSDDHFWFHRPRIVLFLIHFILFQNAFEIAFFFWIWVTYGFDSCIMGQVRYIIPRLIIGVFIQVLCSYSTLPLYALVTQMGTHFKKSIFDEHMQAKFVGWAQNAKKKVATNSGTNGSSHDGPSGSGGSAGGATAATSAFKGIQLAKLNQSQQS >cds-PLY88944.1 pep primary_assembly:Lsat_Salinas_v7:8:129187574:129187988:1 gene:gene-LSAT_8X88660 transcript:rna-gnl|WGS:NBSK|LSAT_8X88660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVIEISIVGGEGSVPIEQCPSACSVRCSATHHRSHCMDVCIDCCGKCLCVPSSTLGNKDECSCYRDLKTKYGQPKCP >cds-PLY99753.1 pep primary_assembly:Lsat_Salinas_v7:2:130173974:130178041:1 gene:gene-LSAT_2X61121 transcript:rna-gnl|WGS:NBSK|LSAT_2X61121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENMKYGEELVREFLFFRGFTNTLQSFERELATDIGKGFHKDKIFDLIFSVYIPKFQAENLISLLTFFKQCFSSSETILLATLSKLEISVLRYYIVHAVQSGRNDKVIEFFGVHGDDLLQRDHDWMSWFAIPYIKNPRLDPLFRVYFSREWFDALHLSLRNFLSEIFNGTRIPALLKISSEKHTVNHLKNDIKHLNTRLSQLQALLEEKESQLRRSRSNLSTSRQLSIDGNNESMCSTTPLSKESVVSSGEAHSSTTHLSGRKSLEKDINTPQGQSESTSKSSYGGYGDEKNGSIETDESQQEEDFPEVKVDFQETFLGHTSPISCCRFSTSGDNIASASIDGTVRVWTYDSSTSASRNATIYCGAEIMSLEWDCKSDRLLLIGTADGGIKAWNVDAKRVVCDLNTTEAFPSVLDLKCSPVEPLFVSAAASRRNGTNFIDKLGFASLTVWNMRTWKPMTVLPLGKDPPAITSLCFNHNGKILAAAATDGMIHMFDMSAGLQITGWPAHDSAISSVLFGPDETSIFSLGIDGKVFEWSLQNQGKVLWSRNCNRLSNVESSEYYRHEMALDANGKRLLVTSDSVRAPIYQVQDDMNGMKSLPHSAAISTVDWHPTLPIFLTGSVDNSVRVTSIL >cds-PLY96007.1 pep primary_assembly:Lsat_Salinas_v7:9:42449605:42450650:1 gene:gene-LSAT_9X38580 transcript:rna-gnl|WGS:NBSK|LSAT_9X38580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGMIMKLLCSVVACMVVSAPYAEAAITCGVVVTKMLPCLAYLRNGGAVPVPCCNGVKSLNAAAQTTPDRKAACVCLKNAYASYPGIKTNYAISLPGKCGVNIPYKLSPSTDCTKVQ >cds-PLY97190.1 pep primary_assembly:Lsat_Salinas_v7:5:152886866:152888793:-1 gene:gene-LSAT_5X67560 transcript:rna-gnl|WGS:NBSK|LSAT_5X67560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLLNFLYSCKSLKSLKSVHASLLVNGLFATSDIVLNKIIRLYFRFGSAHVAHKVFDEISQPNVFLWTSMIHGHVENKLYSQAFLLFLRMLRDSVAPVNYTITTVLKGLAREARLRDGEVVYGFVIKCGFDLDIMVQNSMLDFFMRCEKTDLARCLFEEMHEKDIVSWNSMILGYCNNGRIDIARDLFSKMPDQNVISWTTIIHGYVKLGDMKEARHLFDEMPVKDLASWNVMLSGYVDYGDIDNAIYIFNTMPFSDLGSWNLIISGCCKIGNLESARNYFDKIPSKNVASWTIMIDGYMKSGNVNDAKVLFDQMPEKNLISWSTMIGGYAKNGEPKTALELLKLFKKQSINPDETFILVVISACSQLGVLDAAESAIRDYVGPDLFSNLHVATSLVDMYAKCGNIKKAIDIFKTINKKDLYCYTTMITAYANHGLGQEAISLFEKMKKDNLTPDGATFIGVLTACNHGGLIDEGWKYFKEMKNEYGIEPIDKHYACMVDLLGRSGCIHDAYNLICGMKVGPTAAVWSALLAACGVHRHVEMAEVAAGELFKIEPENSGNYVLLSNVYAGLGEWENVGKVRAVMRGNRVRKNRGSSWIELECVVYEFVMGDFEYLNCERVKFILDLIREEMEVEDHGMK >cds-PLY77743.1 pep primary_assembly:Lsat_Salinas_v7:2:169900077:169902255:-1 gene:gene-LSAT_2X91600 transcript:rna-gnl|WGS:NBSK|LSAT_2X91600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate/phosphate translocator 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01550) UniProtKB/Swiss-Prot;Acc:Q8H0T6] MESYALALSSSSLNPKPLKHINPPKILPRSSSSSSSPIHLLCRSISSNTPGFTFSSNYKTHNNYYSFLSPKRIYNDLVVARASESSGESANPAEFGRNLQLAAMFGVWYLLNIYFNIFNKQVLKVFPYPMTVTTFQFGCGTVMILIMWALKLHPRPKIYKSQIVPVVMLAVAHTMGNLLTNISLGRVAVSFTHTIKAMEPFFTVLFSALLLSERPTLWVVSSLVPIVGGVALASFTESSFNWIGFGSAMASNVTNQSRNVLSKKFMVRKEEALDNINLFSVITIISFILLIPFMFLLEGFKFTPEYLQSAASQGVNVRELCVRSVLAGVCFHSYQQVSYMILQMVNPVTHAVGNCVKRVVVIVSSVIFFQTPVSPINSLGTGLALAGVFLYSRAKRIKPKAA >cds-PLY94412.1 pep primary_assembly:Lsat_Salinas_v7:6:9063148:9067627:1 gene:gene-LSAT_6X6561 transcript:rna-gnl|WGS:NBSK|LSAT_6X6561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLHQDSNGSADSQSDGLINGNGTCLPAAKVPELTKLNSLENASSRSLFKIVHKILDDTIDNKKEDVPDRVASVLKKLIQMTEQQISKQAEYFKKQKNIYKTREEKYQLKFKVLGSLVIGAAEENELIKNQLQLIKSEKKKIEDIKKLEDVELIRLRQEKNECNLEISKLKQEIEITRDTYETRYVQLEKHGIEVESELKNKITDLENLLIGSRKKVKELEKFTESKFLRWKKKERGYKNFMDFQSGSLKELRIGSESIKQEVLKTQQIYTEEINQFGMKIQGLVDAAQNYHTVLTENRKLYNEVQDLKGNIRVYCRIRPFLKGQSQKQTTIEYIGENGELIVTNPSKQIKESHRLFKFNKVFSPSATQEDVFVDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPNVSSPEDWGVNYRALNDLFHLSQTRRSSFEYEIGVQMVEIYNEQVRDLLTILTLTFHTLGIWNSTQPNGLAVPDASMHPVTSTADVLELMNLGLTNRAVGATALNERSSRSHSVLTVHVRGVDLETNGVLRGNLHLVDLAGSERVDRSEATGDRLREAQHINKSLSALGDVIFALAQKSQHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVESFSETISTLKFAERVSGVELGAARSNKDARGVRELMEQVSNLKDALSKKDEEMVRLRGGKTTNGTPPRGECLSESSSHKDLNDDDDDIEILGLGEDDSEERLSDISDGGLSMGTETDGSLSSIVELTLFPGASKPPVEKPNVPAKLPRPPQKVAASSRKPVQTGSSSSSQRLAAAPSRTSLTKGLPRVSLSSSGKKSTPGSSSVKPGVRR >cds-PLY64078.1 pep primary_assembly:Lsat_Salinas_v7:8:93077951:93078961:1 gene:gene-LSAT_8X65761 transcript:rna-gnl|WGS:NBSK|LSAT_8X65761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNPNRHHNLETHHRHDHANSNTPSPSPPKPSPKLLSIFLKVIVMFLILSLFLLFLGLAAILLLLAGSFLHRRRQRLRLRSPPPRTSSSFSLHDIQNHIPPFQYPAADKSTAAASDDCSICLEYFNEGEICRVLPVCDHVFHARCVDKWLTKVPNCPICRTRVRLDDVGPSDSMISDEETKFLWAIGVAR >cds-PLY77018.1 pep primary_assembly:Lsat_Salinas_v7:6:175471034:175471740:-1 gene:gene-LSAT_6X107740 transcript:rna-gnl|WGS:NBSK|LSAT_6X107740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQPCDLVTLEPTRVVETHKNLTTRFRDYRVVEVVNLLSTANDGTMMHLSTQLLNLLDVIIDYAESKQVPAPEESHVQISTTEKRERLFLSG >cds-PLY64005.1 pep primary_assembly:Lsat_Salinas_v7:4:190061520:190064123:1 gene:gene-LSAT_4X109201 transcript:rna-gnl|WGS:NBSK|LSAT_4X109201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWEVGVDLVIRLFCEKGKMDEALVLIEEMSLIDLYPDMVIFFTMVKGICDLGRIQDANRLFKIVNQHGLPPNVVAYSALLDGVCKVGDLERGLELLDEMEKKRGSCSPTVVTYTSIIQSFCEKGRSMEAFTILDRMEACGCAPNRVTISTFINGLIKEDRVDEAYKLIDRVVAKGSVSKSECYSSLVVTFFRGGKFEEGEKVFRRMLGGGLKPDGVACSVLLKKMCLKEERVLEGFELYNEIEKLGFVISIDSEIYSILMDGLCRKRHLLEASKLARLMA >cds-PLY87140.1 pep primary_assembly:Lsat_Salinas_v7:5:255143036:255146435:-1 gene:gene-LSAT_5X127760 transcript:rna-gnl|WGS:NBSK|LSAT_5X127760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVDKEESRSLALTPTWSVATVLTIFVVVSLLVERSIHRLSNWLRKTNRKPLLAAVEKMKEELMLLGFISLLLTATSSIISNICIPSKYYNSAFAPCTRSDVDEEMEGSKRKLLMNFVYPQRRVLNVLNQNTCQKDYEPFVSYEGLEQLHRFIFVMAITHVSYSCLTMLLAVVKIHSWRRWEDEAQVDRHDVLTEISRDETMRRQSTMVKFHSSNPLLTNGLVTWMICFFRQFGRSVVRADYLTLRKAFIMNHNLTSKYDFHSYMIRSMEEEFQRIVGVSGPLWGFVVAFMLFNIKGGFFSERRLKPRDELFWFKKPELLLKLIHFILFQNAFELASFFWFWWQFGYNSCFIKNHMLVYIRLFLGFVGQFLCSYSTLPLYALVTQMGTNYKAALIPQRIRDTIHGWGKDARRRRRRLGIYGDDSTVHTDTSTVISVEELEHRELDSPRARLPRGQGLEIELPPRGNSSFAANENSSRVGTPLLRPCASVSSSASPSFVPETITRSASLPAQRE >cds-PLY71951.1 pep primary_assembly:Lsat_Salinas_v7:3:26486831:26489850:-1 gene:gene-LSAT_3X19221 transcript:rna-gnl|WGS:NBSK|LSAT_3X19221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTGTLNNETAYVTNLMSRSSGDALRDPASCGVRSFRNPNVNAKSGSGLNERDTMSKQKIDKFDTTDLDWTDSMPECPVYFPSKEEFEDPLAFLQKIAPEASKYGICKIVSPLSASVPAGMVLMKEKAGFRFTTRVQPLRLAEWNTDDKVTFFLSGRNYTFRDFEKMANKVFTRRYYSAGCLPTTYLEKEFWQEIASGKTESVEYACDVDGSAFSSSPSDQLGNSKWNLKKVARLSRSILRLLETTIPGVTEPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAAKTWYGVPGHAAVDFEKIVREKVYTHDILSSEGEDGAFDVLLEKTTLFPPNILSQHGVPVYKAVQKPGEYVITFPRAYHAGFSHGFNCGEAVNFAIGDWFQLGSIASRRYALLNRTPLLPHEELLCKEAMLISSSSSSAAAISHEDLASQLSVKASFVNLIRFQHRARWCLTKSRDCMGISQHSHGTILCSVCKRDCYAAYINCSCYLHPVCLRHEFKPLELACGTNFTLSVRDQVLEMEKVSRMLEEDKDIVNEAEVQFKNASDKVFLSNLYPITEGDSYIPYCKVDFVPQPPIESLQADTCHYSDSNDSDSEIYRFKRRSSLKPKHRPMNFASSKSEHQGLKRLKKAEPERLSVISIKYKKTGHEENVSVGKRRDEKRVLESCPKRIKVRGPSISITGLETKQIHY >cds-PLY63061.1 pep primary_assembly:Lsat_Salinas_v7:8:76182394:76189800:-1 gene:gene-LSAT_8X54500 transcript:rna-gnl|WGS:NBSK|LSAT_8X54500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein LUMINIDEPENDENS [Source:Projected from Arabidopsis thaliana (AT4G02560) UniProtKB/Swiss-Prot;Acc:Q38796] MAISNANHQLALVVPSNTTTSYEGLLESQKELLSKQICELQNIVSRQCKLTGVNPLSQEMAAGALSIKIGKRPRDLLNPKAIKYMQSIFSVKDEISKKEIRAISALFGLTATQVRDFFTSQRSRVRRFIRLSREKATQSSECVQDQDGPSSSNVDTLNHPVPLNSVGPSSVEAPSCSTQDEVLPDTDDSDKYFIANIFSLMRKEETFSGQVKLMEWILQIQSPSVLFWFLTNGGVMILASWLSQAAIEEQTSVLHVILRVLCHLPLHKALPAHMSAILQSVNKLRFYRVSDVSNRAKSLLSRWSKMFARSQAMRKPNANISAVDAQNEILLKQRQAIGEIMENESFHSRIENPAAIYSLLENSENSRSQSIKLLSSPSDDSNMKLLKGVSSSHGRERRKVQLVEQPGQRAGGRGPQVTRLFPTAQGRPLSADDIQKAKMRAQFMRSKYGESYVGSHPHVKTEVPRVLMSATSSSSSSSSKAHVHPKVEEHATSSSSMVTPLAPKPKTPHVQVQPKVDEEKKELVKNEVQEAEEPVWKKCKRLPISWVNPPEMKMNKEWSVCYGENSKEVEVQNKRIKREKEVFYDTNLEIPANPKEPWDREMDYDDSLTPEIPIEQLPDEDDENMNMVTQESTQTEVTVSENNKLSSIGPDLELLAVLLKNPQIVFALTAGQGGSLSNAQMVKLLDAMKANAASGGSIDNLVSGLLVEPHKTKTEEKRVVEVSLPSPTPSTNPVTSGTGWRAGAESGKNQFSSRQSVTVNGDAYPIPGVHFQETSLLTHQQLNANAPITHHQRFTDVVPDQRNIPATSNLTQQPTSSHQRNIHVHVHGQGVNTSSNAYLLPAVGGSHAWAGQESPHSSTNYNAYGTREVGPGPGPSWGRNSNSNSGGYHPRGFDSWSPEDSPVRSREYDEKEREDHSRRSYGYNYNNNMLRMQNDHFRFRDRGGGGGGRNEASRWRDRRR >cds-PLY95454.1 pep primary_assembly:Lsat_Salinas_v7:8:193328782:193329783:1 gene:gene-LSAT_8X124940 transcript:rna-gnl|WGS:NBSK|LSAT_8X124940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPNDFPNHFHPYIAMGPKWSKQIERRRAVVSERKKLRKLKKENGCDYPGCDIHPPNRKNWMSGLNPAKLPINKIVWPGTHDSATDKIGIRAITRPFAQCQSLSIYQQLVRGTRVLDIRVEKNGKVCHGIITTYGIDVVLDDVKRFLSETKSEIVILEIRTEYGRKDPPAFEKFLLENLGELLIYQDDCVFEKTIAEILPKRVICVWKPRESPEVKPGGPFWSSGYLKDNWINTDLPFTKFESNLKYLSQQQPVCSRKYFYRVENTVTPQADNPIVCVKAVTNRIHGYGRLFISQCFLRDCANRLQIFSIDFINDDFVDACVGLTQARIEGKA >cds-PLY90163.1 pep primary_assembly:Lsat_Salinas_v7:7:14013011:14021261:1 gene:gene-LSAT_7X11800 transcript:rna-gnl|WGS:NBSK|LSAT_7X11800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPRTIGSSRHAIDTCTFQLHSWRPFHLPTTLNKTLEKSDNINNNNPTSSAKPHKRPCLSDRATSFAIENLDMSKLSLFDDDGSRSSVKSKRERIHWMAKKRRRRGSRSVSGRSSDRSGTRRRCCSVGASNAYGTCSDFMMANNAGTDSSGELFVNGGGGGDVNWTSDASEATARNLRRESTGGGDREISNSYMGLHHHHGNLDNQGNESGYGSEPGYRGDAEFGYGDELDEEEDDTRLLFWGNRFGANILPSAITGAAPAFRSSSPLATTVAVGVGGXXXXNSFPTSQPPFRSLLVASITMGTPHQPPVQQRVRQLGSDTAISSSSSLLVADNIED >cds-PLY92737.1 pep primary_assembly:Lsat_Salinas_v7:8:66840504:66846968:-1 gene:gene-LSAT_8X47361 transcript:rna-gnl|WGS:NBSK|LSAT_8X47361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPSALAFSSPLWKYHVFLSFRGEDTRKTFVDHLYTALVQQGIYTYKDDETLPQGESIGPTLMKAIEESQIVIIIFSKNYADSSWCLDELAYIMKCKDRKGQIVMPIFYDVEPSVVRKQKQNYGEAFFKHGLENNDKVGSWRKALVDASNLSGWETKQIANGHEAQGIKEIVDTISHRLHLATSNANENLIGIEARVQEFKSKLQIDSRGVRMIGIWGVGGGGKTTLASYVYSEISSNFDGSCFVENIRDESSKYGLQKLQEKILLKVLKQKEMEVHRVEEGRCMIRDRLCHRKVLIVLDDVDHFDQLKALAGSHDWFGDGSRIIITTRDEHLLNAHKVDVIHNISLLNDDEAIKLFSKHALRDYRPMKDFALLSSDVVSYAGGLPLALTILGSFLCDKDIIEWRSALARLKEIPESDIVEKLKISYDGLKPVEKELFLDIACFFRREYKNERIMATFHAFGFYPVIGIKVLIEKALITISEGRFDLHDLVQEMAHYIVRGKHPKNPEKHSRVWKKEDLLKICVMDATKELDMVEAIQCNSYDLVEPVPKIIANMKNLRWIYWRGDLASPFPSNFLPSELCCLTLDGISPKQLWKGYKHLPNLKIMELADLKNLIKTPDFDGLPNLERFTLHVCHCLKEIHPSIGRLERLVFLSIKYCANLKIFPPLTRLKKLESLSVSHCPKLVKLVEIPQNMDKLPYLHLDNGGKEVASYKKLSTNILVTWWMCGVVEVKKPHKDLNDVECSLQEPCVPLNNMNNHIRKLNLSYCSVGDKDIDYAIWDLPNLEELNLEGNKFSRLSFSRMRLPQLKWLNVSYCKELTELSELPSSIAVVKADYCRSLETFGDISKCRWLWKVSLWGENKLVGDILLDSMLEGNALEDHFISVALERQMIPKGFVGRLFRWKTFVLHLPHDWSNHFCGFLICFLTKINAPYLYIKIEWEVDEDSRSEICEESNEASGLEYRETKTYVGYVSFSSLRHTTWWNSSCNKISFSIDMGCSSASAQSNFGVELVPRKIKGDEVQKTDCSEFWDKELEDETTFMIQHDSPSSIKISWQPYLYPRAPIDTQNIVEHLNENKPEKT >cds-PLY73535.1 pep primary_assembly:Lsat_Salinas_v7:9:77480346:77481065:1 gene:gene-LSAT_9X63081 transcript:rna-gnl|WGS:NBSK|LSAT_9X63081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHDEKPLPIDIRLLGALAEKDEMSYTLVMYKLFIFKALFCLYAFLLLQFRVFAKALHYKEMEFEGVLSKKMDANPIVVVEALIHINNQLHLHEVTRIIKAGVQY >cds-PLY65548.1 pep primary_assembly:Lsat_Salinas_v7:9:143409570:143412836:-1 gene:gene-LSAT_9X90660 transcript:rna-gnl|WGS:NBSK|LSAT_9X90660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigger factor-like protein TIG, Chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55220) UniProtKB/Swiss-Prot;Acc:Q8S9L5] MELCISAPPTLSYVKSCVVSPPISSISLCNFLRPTKQFTSLRISRSSPHFLHFPRKISICARAVAPPAVTDTVKDPLPADLIVQEIQEPNCRILLKVEVPPIVCDDCYKRVINEFMKKAKVPGFRPGKKVPESILISYIGKDGVRKAIVESILKRTLPHAMSSVDGRALKDSIRITSTFPEMEKTYSSLNILKYDIIVDIAPEVKWVPEDGYKNLKIVVELDNEIDAKTACERELKRRYKSLSTMRIVTNRGLQIGDVAVLDISATTVEQEGSEVKNVPAAESKGFQFDTEDGDRVIPGFLDAIIGVQGGETKSFPLTFPDSWKQEDLRGLPCQFTVECKELFYRELPEMNDSIADKLLPGSTTIDQVRESLLERCVELEQTAKDQATDNAILDQLRKMIQVEIPQSLFEEQGRQLYGARLLQIQANMKLNEEQLAMLSSPKAVREYLENQRENIENVIKQNLAVGDIFKRENLEFSTDELVKEVENSVAEFKKHAQEYDEESIQEQVQEVLEGAKVLEWLRENADIQYIIK >cds-PLY79244.1 pep primary_assembly:Lsat_Salinas_v7:9:182339122:182342012:1 gene:gene-LSAT_9X111641 transcript:rna-gnl|WGS:NBSK|LSAT_9X111641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTSVLRNGNKVQNIWRSHSVSGSIGVPIHRSHVRREPQLSKAFYSRFPGRKTKDLEFSVPVRSISNKTNGKTLLASITNSAAIGWYLGMIKTRPILTKSITSALIYTAADFTSQTMTRSSSEAYDVIRTFRMAGYGMIILGPSLHFWFNFVSRVLPKQDLITIFKKMFMGQTIYGPIMTATFFSVNAALQGEKGKEIMARLKRDMLPTMINNVLYWPICDFITFRFVPVHLQPLVSNSFAYVWTIYITYMASLAKAGAN >cds-PLY67008.1 pep primary_assembly:Lsat_Salinas_v7:7:174146976:174147311:-1 gene:gene-LSAT_7X103800 transcript:rna-gnl|WGS:NBSK|LSAT_7X103800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVWSVGIVEKAILRCRRKSRRLRGFRPELRAPESEYDFLRIGRKHKYVGVEKALAMVHSMAQNPEGQEQDMRLVGKFEKLSHEDSSSK >cds-PLY76912.1 pep primary_assembly:Lsat_Salinas_v7:6:29804826:29805185:-1 gene:gene-LSAT_6X22960 transcript:rna-gnl|WGS:NBSK|LSAT_6X22960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHHPNDRSYDLVMLFSCNNQKLHHVTYIWLQMVRLCMPRSLKPHEDRLGDVLRLHRSKLLHDIVIRNPPPPPILNQHPHLSKSGSSNLSNRNRNHHPTSVNLIRQDQPSNLVTPPNLA >cds-PLY75705.1 pep primary_assembly:Lsat_Salinas_v7:8:194655935:194666119:1 gene:gene-LSAT_8X125540 transcript:rna-gnl|WGS:NBSK|LSAT_8X125540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGIRSSLQQKAYGFSNGSINNGLGMMPNNSPIMNASGTSESYVTPSHYGNMNVHQQQMSQGDGYGSSTTDSSRIGNFYVPTTSNTSMMNNQNMNPQAEKMNFRSQHSLHETPMQSQQHVHFQQLVQNQSQQMQQNRHLSYAKDNITTGEFINDGPDYLESSGKKFQMGFFPHGKTEIRRYVGIWYTRDPKTVVWVANRDNPLMDSTGILTVAEDGSAKLLNGKQVEYFSTDISVGASSTALKLLDDGNAILINVISGNILWQSFQTPTDTLLPGMKMVDNNLKLTSWKSREDPGSGSFEFQQDPGTNRYFILEESTKLRWKSGNKSTKSFDENQIFTQAFLLLSNSTTTKTRSIIGKKCYTYSSCIKDESYLVIEPYSRLLMNHTGHIQYLSWPQNSTQWVLDWQEPKDNCSVYKVCGSFGICSENKDTFKCSCLPGFEPTNYNSPDDYSAGCKRSSEISCLPGSNDAFLTRTMISMDDTTLPFYKSENESACKKKCLKDCQCLAYSYISQNKLGGLVDESRNVESSGCWFWNSEPDNLRENGVHTISFRVSKLSKAPIISQPPESEPKPTEKSSFVKRVLAIVIIVSTLVLLSLCGISYILYKRLMNRRENNELHSNDTRRRMKELLDPDHSKEDDREGIDVPYFELESIIAATDDFSEKNMLGQGGFGPVYKGKLPGGEEIAVKRLSSLSGQGLQEFKNEVMLIAKLQHRNLVRLLGYCIKGEEQILLYEYMPNRSLDTFIFDRTLCASLDWKMRFEIIMGIARGLNYLHHDSRLRVIHRDLKTSNILLDEDMNPKISDFGLAKIVKGKDMEAMTNRVIGTFGYMSPEYALDGLFSVKSDVFSFGVVMLEIVSGTKNTGFYQSQRSLSLLGHAWNLWREDKPFELMDKVLIESCNSSEVLKCINIGLLCVQGDPDDRPTMTKVVLMLGGDIVTLPTPKEPAFIARKDNATSSSSSSYKTDTQSKNMLTITKLDGR >cds-PLY96476.1 pep primary_assembly:Lsat_Salinas_v7:2:124463624:124464439:1 gene:gene-LSAT_2X57821 transcript:rna-gnl|WGS:NBSK|LSAT_2X57821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVLEKFKHLEIQLEAIESATNKFSVDHLVGEGGFGKVYKGDLLLSKGHTTVAIKRLDRNMGQGDSEFWKEVIMLSIYKHPNIVSLLGYCDKNDEKILVYEFASNKSLDLHLNNKDLTWVPRLKICIGVARGLAYLHNPAGTQQRVLHRDIKSSNILLDENWNAKIADLGLSKLGPANQPYTFVISNNIAGTIGYCDPQYLGTGILTKESDVYSFGVVLFEVLCGRLCLGKNDKTKSFTNLVRKHYKGNTLNEIIFGNIKDEIHPSSLHMF >cds-PLY84853.1 pep primary_assembly:Lsat_Salinas_v7:9:126191461:126192181:-1 gene:gene-LSAT_9X83061 transcript:rna-gnl|WGS:NBSK|LSAT_9X83061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPSQSNLFVVRPMESPATVAPRFTVAITFNSHSGAAGSENVSKLSIKRSGRRSKLVLVSSLGNHSNSSTDSDGNSNNKGKATSSVPSSNYVVPLDNPSYSCITRPVDEILRDLNKRIPNNIIVKYPDSIQATSIPWYHSNRMLSFYAPGVRIA >cds-PLY64234.1 pep primary_assembly:Lsat_Salinas_v7:7:4083005:4083560:-1 gene:gene-LSAT_7X3900 transcript:rna-gnl|WGS:NBSK|LSAT_7X3900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEENSNSNSSSDSNEKPGLASRVGNNVASAFFASLESCSCVNLTTADSDDEDELEEEEAKEQAIMMAHLQSTVSDQNAPASVENLPV >cds-PLY71326.1 pep primary_assembly:Lsat_Salinas_v7:7:185282564:185286990:1 gene:gene-LSAT_7X110801 transcript:rna-gnl|WGS:NBSK|LSAT_7X110801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKLGRGHRDKVQQFMTITGASEKVALQSLKASDWHLDGAFDVFYSQPHPKSFTDTKHLEEMYNRYKGKLTINHPYADMIMADGISTLCNDLQVDPQDIVMLVVSWHMKAATMCEFTKQEFVGGLQSLGIDSLEKFRERIPFMRSELKDDQKFKEIYIFAFGWAKEKGQKSLALDTAIGMWQLLFEEKEWPLVDHWCQFLQARHNKAISRDTWSQLLEFARSVDPTLANYDAEGAWPYLIDEFVEYLIENGIIEKGRVM >cds-PLY90370.1 pep primary_assembly:Lsat_Salinas_v7:7:187452318:187457546:-1 gene:gene-LSAT_7X111321 transcript:rna-gnl|WGS:NBSK|LSAT_7X111321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKESSTSGGAGRKQGAASPCAACKLLRRRCSHDCVFAPYFPADEPHKFASVHKVFGASNVNKMLQVSRYISLFLLLLLLLLLHLHHLSPPPPPPPPPRTAFFLAYQIKRLGTELPEHHRGDAVSSMVYEANARIRDPVYGCVGAISSLQQQIDVLQAQLAVSQAEVVHMRMRHFSSSPVNQSPENISPPSRHHQSRTPTRSLFGMDMVVDQTNMGMGESLWSSCN >cds-PLY72580.1 pep primary_assembly:Lsat_Salinas_v7:3:199115869:199117042:-1 gene:gene-LSAT_3X120800 transcript:rna-gnl|WGS:NBSK|LSAT_3X120800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDYSRPDNGSGCCRCCFSFILTLGLTSLFLWLSLRTSNPVCSIQDVYIPALNKTRNSTSDQSIYLDLKLDNENKDKGIFYDPLNITLHYYINQSNGNGIPISNYVLKGFYQGHQKKARRKNWTDTQGVPWDAAVNITGGRPPVFRVDLATAVRFKILVWKTKRHRLILGADFEVSDRGQKLQKKGTRLKSGAPELFSGNSCIAFSLVVFCTLVLVFI >cds-PLY86530.1 pep primary_assembly:Lsat_Salinas_v7:2:208711658:208713151:1 gene:gene-LSAT_2X128781 transcript:rna-gnl|WGS:NBSK|LSAT_2X128781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPLMSNNVTKLISNTLALNNGSTKPIESYKGGFPTWVFPGDRKLLQTAAPKANLVVAQDGSGNYKTIKAAIDAAAKRSGSGRFVISIKKGVYRENIEIGNKMKNIMLVGDGLKNTIITGSRSVGGGSTTFNSGTVIVTGSGFIARGITFRNTAGPQNHQAVALRSGADLSVFYLCSFEGYQDTLYVHSQRQFYKDCYIYGTVDFIFGNAAVVFQNCMIYGRRPMASQKITITAQGRTDDNQNTGISIQNSRIMAASDLKPVLSSFKTFLGRPWKQYSRTVFMKNYMESLVDPAGWLEWDGNFALNTLYYGEYRNTGPGSSTSRRVKWRGYRVITNTNEASKFTVANFIGGQSWLPATRVPFHAGL >cds-PLY82882.1 pep primary_assembly:Lsat_Salinas_v7:4:17506889:17508358:1 gene:gene-LSAT_4X12481 transcript:rna-gnl|WGS:NBSK|LSAT_4X12481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYSLYIINKSGGLIFYKDYGLAGRMDTNDSLRLASLWHSMHAISQQLSPISGCSGIELLEADTFDLHCFQSLTGTKFFVVSEPGTHHMDNLLKHIYELYTDYVLKNPFYEMEMPIRCELFDINLAQAIQKDRVAYLGR >cds-PLY72543.1 pep primary_assembly:Lsat_Salinas_v7:2:138764817:138766350:-1 gene:gene-LSAT_2X66440 transcript:rna-gnl|WGS:NBSK|LSAT_2X66440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKTAANKQKPAKKPLNANKKKFVKTKKKKNVAEKSIISNTSASQAAETSSDSDVEENSPEQIQKLIEPYTKDQLIEILINAAIANPSLYTRIRDAADSDESHRKIFVYGLGWDTTKETLTLAFQPYGEIEDCNVVIDRVTGKAKGFGFVQFRSRKGAMKALKEPKKKINNRMASCQLASLGSAIAGSTDNASRKIYVSNVQPDADAERLRAFFSKFGEIETGPLGFNSSTGKSRGFALFVYKTQEGFRKALEEPYKVFEGHKLHCQKAADGKNKGVATSSPATVTTPVVQQPTPQMMAAVAATQNFGMFNQSLGLNPMYGGLFSNQNAGFLAANPLYASGVLGQLGAAIGGAQPGLGSYYGGAPMGVDNTSLLGATPPMLQGVGLLNAYPKKKVGSAALTSGSGSASGSRSQGGGGGSFGGYQSHSWRQFEFKMD >cds-PLY62754.1 pep primary_assembly:Lsat_Salinas_v7:9:106066119:106066547:1 gene:gene-LSAT_9X76821 transcript:rna-gnl|WGS:NBSK|LSAT_9X76821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTSRKRPTAGRGKAPSRNQSDAPDVPRFRDAKAAKNYTKSLPRKVASTRFVCKPTLISLGVLEGVTQLFHNIGWENLLNLMVHTYELPTREFLADSGYDSEKRKAAFQLLGDQRYIDFATIKRHLGLAFFKHIHNFRRPSC >cds-PLY84892.1 pep primary_assembly:Lsat_Salinas_v7:8:67658055:67663417:1 gene:gene-LSAT_8X48221 transcript:rna-gnl|WGS:NBSK|LSAT_8X48221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRAKGLCFNCDEIFSIGHKCAKLFCIMMDNEGDDANGMMNLVIKNGGKESETMYHRGDEKVKGVIVKFRLCNANLYPWYGAQTTYILSQQINKSMASSSSSSLSAMGFSSEVWKYHVFLSFRGEDTRKTFVDHLYTALEQQGIFTYKDDETLPRGESIGPSLVTAIEESPIAIIIFSKNYADSSWCLDELSHIMKCKDTRGQIVMPIFYDVDPSEVRKQKLRYGEAFVKHELENNKKVESWRKALVGASNISGWETKHVANGHESKCIKEIVDTISQRLQPITSSGDDNLVGVEARMQHLISKLHIGFGGVLMIGIWGVGGGGKTTLASSVYYEISSKFDGCCFLKNVREESSDKNGLENLQEKILCGVLKQKQMEVGRVEEGRRMIKDRLHHRKVLIVLDDVNNLEQLEQLAGSRDWFGEGSRILITTRDEHILTGHKVDVIHNISLLNNNEAMKLFCKHAPQGHNPIEGYELLSKDVVSYAGGLPLALRILGRFLCDKEMNEWRSALDRLKETPDADILEKLKISFDGLKTVEKQLFLDIACIFRRENKKWTMEILEACGFHPVIGIKVLVQKALITVSEDGEFGMHDLVEEMAYYIVRGGHPKNPEKHSRVWKKEDVLQICAMDATMNLDKIEVIYVESAMADQPQRVLQVVANMKKLRWIDLNFHQAEAELVIMPENFPPRELCCLTLHNLTVKQLWEGYKFLPNLRIIKFHFLTNLMKTPDFYGIPNLERFHVYGMRLLEEIDHPSFGHLEKLVCVEIQDCQNLQLFSSINRSKKLETLVFSNCGSFSNFLPNTVMHTEGWFFSGCLGKLDLSVCYLTDKNIRSAAGWALPNLKELNLSSNWFIRLDFSLLQLPQLKWLDVSHCYYLVELLELPLTIAVVKADWCRSLESFGDISNCKWLWKVSLWGDNKLGPLGSDILLNSMLQGNAKDYFISIGLSGIGIWWGASALSVKWVEPYNMLLPHDWYNHFSGILMFFQNKKFSGIPKITIKLGVHEDIQCELGQKSNETLETNTHDTYVGYVSFNSLRQAGCLNSTYSFISFSYNQYLYEDGRWFRAVLVPKGDPMQTTEGATDSSEFWDDSRKTFIVKHDSKSSIKIVWKP >cds-PLY99150.1 pep primary_assembly:Lsat_Salinas_v7:4:118111010:118114839:-1 gene:gene-LSAT_4X72821 transcript:rna-gnl|WGS:NBSK|LSAT_4X72821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNGGGGGGAKADELVLAPHPARDQLPNVSFCITSPPPWHEAILLGFQHYIVMLGTTVIIPTALVPQMGGGNEEKAQVIQTLLFVAGINTLLQTMFGTRLPAVIGSSYTYVAPTISIILSGRWNDPDPIARFKKTMRAIQGALIIASTIQIILGFSGLWRNVARFLSPLSAVPLVALAGFGLYEFGFPGVARCVEIGLPQLILLVILSQYVSRLIHAGRNIFGRFGVLISVAIVWVYAHILTVSGAYNHTSLRTQASCRTDRAGLIHAAPWIRVPYPFQWGAPSFNAGETFAMMMAAFVALVESTGGFMVVSRYASATPLPPSVLSRGVGWQGIGILLSGLFGTISGSSLSFENAGLLALTRVGSRRVVQISAGFMIFFSVLGKFILSKPIGISCVRCDLVGKFGAVFASIPTPIVAALYCIFFAYVGAAGLSFLQFCNLNTFRTKFILGFSIFLGLSVPQYFNEYEAINGYGAVHTSARWFNNIVNVPFSSEAFVAAILAYILDNTLHYKDSSVRRDRGKHWWDKFHSYQTDTRSEEFYSLPFKLNRYFPSV >cds-PLY81294.1 pep primary_assembly:Lsat_Salinas_v7:7:115399856:115404333:-1 gene:gene-LSAT_7X71321 transcript:rna-gnl|WGS:NBSK|LSAT_7X71321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP59 [Source:Projected from Arabidopsis thaliana (AT1G53720) UniProtKB/Swiss-Prot;Acc:Q6Q151] MSVLIVTSLGDIVVDLHTDRCPLTCKNFLKLCKIKYYNGCLFHTVQKDFTAQTGDPTGTGSGGDSIYKFLYGDQARFFGDEIHLDLKHSKMGTVAMASAGENLNASQFYMTLRDDLDYLDGKHTVFGEIAEGLETLSRINEAYVDEKSRPYKNIRIKHTYILDDPFEDPPQLAELVPDASPEGKPKDEVDDEVRLEDDWVPMDEQLGAGELEEVIRAKEAHSRAVVLESIGDIPDAEIKPPDNVLFVCKLNPVTEDEDLHTIFSRFGSVSSAEIIRDFKTGDSLCYAFIEFDDKEACEQAYFKMDNALIDDRRIHVDFSQSVSKLWNQYRRKGSNQAGNGGNKGGCFKCGSLDHIAKDCSGNSEPPKYILKDDAFNRRGANDSSRYDMVFDEDTHASPKRKKHVEVDDDDKEKRKVGHGHGVVMDERKQKDLYRHDKESGDRRKDDYYYKKKRDEVDYHRTSRNDDRDRDRHRGGRRDDDIDMDRRRGRDGRTEESYDRKKVGDEDEKDNRTSRNDDRRRGGDDSYGSSRKKRYSEEDSYDRKKVGHNNNNKRSKEEERGRGSRRD >cds-PLY84627.1 pep primary_assembly:Lsat_Salinas_v7:1:29936169:29936904:-1 gene:gene-LSAT_1X25621 transcript:rna-gnl|WGS:NBSK|LSAT_1X25621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAINTHMGLNDAIANTGVVQNVGNGIAYGYEMTGNNEELASDFFYNGPEHEHEPEHRHEFVHEPESPMVQMPHYLGTHGGSNDTDSNGSHRPFITRKGQTQYWRDPNEEGRIHEGFENTLKDR >cds-PLY86115.1 pep primary_assembly:Lsat_Salinas_v7:5:39409297:39409647:1 gene:gene-LSAT_5X19440 transcript:rna-gnl|WGS:NBSK|LSAT_5X19440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSDLCPSSRVSWKTLAAHKAGIRIRRPRPSTFVCRSLVEVLLGGCFFEGSAEEVVAVVIICILEVLDLSPGFRLVSAEMAREGYAAVPEEEQLAASSSFFSSSLKGKFGRWWK >cds-PLY78776.1 pep primary_assembly:Lsat_Salinas_v7:8:60062812:60063598:1 gene:gene-LSAT_8X43460 transcript:rna-gnl|WGS:NBSK|LSAT_8X43460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKPFDNKHEMKTGSWSKEEDQKLIDYVTSHGEGSWHTLPQAAGLLRCGRSCMQRWSIYLKPDLQRREFGEDEDDLIIRLHALLGNRWSLIAGRLPGRTDEEIKNYWNSHLSIKLLNMGIDPNNHRLRHHISMSSTMSTDVNESQAENDEVNSDISSSRNYNSYEDQDIN >cds-PLY77359.1 pep primary_assembly:Lsat_Salinas_v7:2:65045113:65045442:1 gene:gene-LSAT_2X28520 transcript:rna-gnl|WGS:NBSK|LSAT_2X28520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTQSHTVFPQQPPFTMIQQQQQHVLQSQLGIQAPRSGSGTSMEGQGCGVFPDFSFGEVASSHGVRTATFLTAKDNRGIAGSASNHDTHEHEGSHDFPQKKGKLTTTTT >cds-PLY91981.1 pep primary_assembly:Lsat_Salinas_v7:7:91062610:91064136:1 gene:gene-LSAT_0X28780 transcript:rna-gnl|WGS:NBSK|LSAT_0X28780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTIQADEISNIIRERIEQYNREVKIVNTGTVLQVGDGIARIHGLDEVMAGELVEFEEGTIGIALNLESTNVGVVLMGDGLLIQEGSSVKATGRIAQIPVSEAYLGRVINALAKPIDGRGEISSSEYRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQQGKNVICVYVAIGQKASSVAQVVTNFQERGAMEYTIVVAETADSPATLQYLAPYTGAALAEYFMYRERHTSIIYDDPSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSSLLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFASDLDKATQNQLARGQRLRELLKQSQSAPLGVEEQVLTIYTGTNGYLDSLEIGQVRKFLVELRTYLKTNKPQFQEIISSTKTFTEEAEAILKEAIKEQRERFILQEQAA >cds-PLY82708.1 pep primary_assembly:Lsat_Salinas_v7:2:147016017:147017456:-1 gene:gene-LSAT_2X74201 transcript:rna-gnl|WGS:NBSK|LSAT_2X74201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFVTLTVAAGLLFLSVDGPHGKHVEELLEYARFGLWWVALGVASSIGLGSGLHTFVLYLGPHIAFFTIKAMQCGRVDLKSVVYDTIKLNRSPTWLPKDCSEFGPPLFFLSSQGVQVPLSSILPQVQVEAILWGLGTALGELPPYFISRAASISGDKMDVTELDASSSENNGVTSNLNHMKRWFLSHAQYLKHSSFTKFDS >cds-PLY87053.1 pep primary_assembly:Lsat_Salinas_v7:5:255868603:255870304:-1 gene:gene-LSAT_5X131721 transcript:rna-gnl|WGS:NBSK|LSAT_5X131721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAVEFIICHAEVTLAFVEATKIPEVLKTFPKVGEYLKTIVSFGKVTPEQKEQCEGFGVAIHSWEEFLSLGDDKQFELPLKKKSDICTIMYTSGTTGDPKGVLISNNSIVTLIAGVHRLLGSVDASLDSNDVYLSFLPLAHIFDWVIEECFINHGASIGFWRGDVKLLIEDIGEPKPTILCAVPRVLDRIYSGLQLKISSGGFLKSKLVSLAYAYKLHSMKGRKKHPHASPLSDKIVFSKIKQGLGGNVRIILSGAAPLAPHVEAFLKVVTRRSSEINILSIPQGLVI >cds-PLY78660.1 pep primary_assembly:Lsat_Salinas_v7:9:50441601:50444169:-1 gene:gene-LSAT_9X44780 transcript:rna-gnl|WGS:NBSK|LSAT_9X44780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDIRSKFKSVCVFCGSSAGKRDCYRDAALDLGQELVKRKVDLVYGGGSVGLMGLVSQEVHRGGGHVLGIIPKTLMCKEITGETIGELRVVSNMHQRKAEMARHSDCFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLTFIDKAVDDGFIMPAQRHIIVSAPNAKELVQKLEDYVPMHDGVVAKARWEAEQVELNSSLHSELAR >cds-PLY71936.1 pep primary_assembly:Lsat_Salinas_v7:3:24262277:24263359:-1 gene:gene-LSAT_3X17941 transcript:rna-gnl|WGS:NBSK|LSAT_3X17941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPGTSSYPMPIYRQPISEKLQATHSCGIESLSITMAVHRALSVVFLVLLGLGMSVATRALFTAGEGIPYAHGVIEGGGGGGGGGGSGGGGGGGYGAGGEHGAGSGGGAGAGEGGGAGYGGGAAGGGGGGGGSGGGSGGGGGAHGGGYGGGEGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVVVVLLEEVDMVLVVVLEEVLEDHMVDTLEEVVVVVVVQEEEVEAVVVSMEQLDMVVVVGVVKALVMVAICREKVHSYIMDDGS >cds-PLY76696.1 pep primary_assembly:Lsat_Salinas_v7:3:142311893:142318480:-1 gene:gene-LSAT_3X93460 transcript:rna-gnl|WGS:NBSK|LSAT_3X93460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQDYIFNFSHGFERSSAQDQIRRDKLRVQGFEPPPPSLVGLEGVDEGHGGLPAVYESGAGMLSEMFNLPTGGFNSASELLVNQINYQHHHRNQRPTTARDWYGNSAQAMQLFLTNPSHESPSSESPSHHHHNHHNPSSSSTLHMLMPNNVPSANSTLHHQQSFGSSTGAGQGHFGPSTQFTWVPPGGTSHEGEGVGGVEGLSLSLSSTLQHLEAAKVEDLRIGDDSAAAGMLYFNQVGGGGGGGDPYRNLHIQGGGGVMSETHHPIHIGFGSSSLGVVKVLRNSRYVKAAQDLLEEFCSVGRGQFKKNKSGSKHNNPNQNPTSSGGGGGVGGGASSSSSKDLPPLSSTERIEHQRRKVKLSSMLDEVDRRYNHYCEQMQMVVNSFDLVMGFGAAVPYTALAQKAMSRHFRCLKDAIAAQLKYSCEVLGEKDAGSSGVTKGETPRLKLLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLSRQTGLSRNQVSNWFINARVRLWKPMVEEMYQQESKEEGEHHQDDDDDDQDEENKLETSINYNNQNKNGPPSSSSSSALAQTPMPPPPPPPSTYTTTSTATPAKRSEINDPENDPSVLAINTQNCFSENQATAMSYSYTPINITSTTTGNTSTTPQSFPATLDADTCRRGSMPAGDDGSEIGSTLIRFGTTAGDVSLTLGLRHAGNLPEKNSFSVRDFGGC >cds-PLY76304.1 pep primary_assembly:Lsat_Salinas_v7:7:124767178:124768629:-1 gene:gene-LSAT_7X77141 transcript:rna-gnl|WGS:NBSK|LSAT_7X77141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSFLSQKNLSHSMTDEELFWRASLVPEKAHYPFDRMPKLAFMFLTRGPLPFIPLWERFFKGQDVRKYSIYVHTSPEFDLGVSNSSVFYNRQIPSQAVEWGTVSLVDAERRLLSNALLDFSNERFILLSESCIPIYNFQKIYKYLTKSIYSYLDSYDDPSRYGRGRYNTYMKPEIRIRDWRKGSQWFEMHRALAIKIISDTKYYDLFKKYCTDDCYPDEHYMPTFVHMLYGELNSDRTVTYVDWSVGGPHPVIFEGGDITKGVLESLRKSGKNCVYNKGTTDVCYLFARKFDPSALEPLLEISSEVLEY >cds-PLY92805.1 pep primary_assembly:Lsat_Salinas_v7:2:148640231:148641307:1 gene:gene-LSAT_2X74580 transcript:rna-gnl|WGS:NBSK|LSAT_2X74580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRFSIRSQTKSISLPSRSHPTTLRIEELLNKIKATTASTETICSGLSQLTGLYECMDELLTSSTTHVLMSRQQNKKWVDELMEESVMLLDVCGNIRDILSEIKGHTRDLLCALRRRKGDLNIQNSITKYNCFRKKMIKDVRKLVASLKQFDNVTTGDSVVVDSDNHQLAATIKAVLGVVEMTISVFNSFLMFLSVPILKANRWSFVVSKLIHKGIVACETQQEHGILNELESVDSALQRLCKHGSLSGEGGNVEIAQCRLQRLGVQIENMESGFEYMFRCLIRTRASLLNIENMHMH >cds-PLY63231.1 pep primary_assembly:Lsat_Salinas_v7:2:77400059:77403739:-1 gene:gene-LSAT_2X35600 transcript:rna-gnl|WGS:NBSK|LSAT_2X35600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINVFWDDGMLNHDTGKGVFDTGMDPGYLDVLEKHPENSDRIRNMLSILKRGPISPFILWHSSTPALVSELLSFHTPEYINSLIEADKNGGKEICSGTFLNPGSWKAAVLAAGTTLSAMKYILDGHGKICYALVRPPGHHAQPTQADGYCFLNNAGIAVQYALNSGGCKKVAVIDIDVHYGNGTAEGFYDSNKVLTVSLHMNHGSWGTSHPQTGSISELGEGIGFGYNLNVPLPNGTGDKGYKYAMNQLVLPAVTNFEPDFMVFVVGQDSSAFDPNGRQCLTMEGYREIGRMVGNVADDHCNGRILIVQEGGYHVTYSAYCLHATLEGVLNLPHPLLSDPVAYYPEDDSIAIKAIESIKQHQIHVVPFLRDANH >cds-PLY68751.1 pep primary_assembly:Lsat_Salinas_v7:6:1139750:1140034:1 gene:gene-LSAT_6X1441 transcript:rna-gnl|WGS:NBSK|LSAT_6X1441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVSHAASRHLIHLPIWRYISIRGILVQALMLQANFRKGSLSRNEICQNGSLFCGKPNEIGMSSSKVMLQGFLNSMGAGNLFKVCIFQSYFYLP >cds-PLY82989.1 pep primary_assembly:Lsat_Salinas_v7:1:18989116:18991622:-1 gene:gene-LSAT_1X16501 transcript:rna-gnl|WGS:NBSK|LSAT_1X16501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYQLLQTTDSTTMVSESDATLPLSSSLDFVTNGSLDFKGRVANKRKTGGWKATPFIIANEAAERLSAFTVSASLVLYLTKEMKESLPDAATHVSDWVGATYLLMLLGAFIADAYLGRYLTVIFSSAVYLVGMILLTISASVDSLRPKPCTETSNCPTATPGQSAFLYSALALVALGTGGVKPNVPSFGADQHDENDEKELSYKYTFFNFFFLSIKVGALLGLTVMVYIEQEKGYAWGFGLPTAIMFASVVILAAGFPMYRYKKPMGSVFTRFIQVLVVSVKNHFRGVQVGPETKLFEVATEESDIVGARKLSHTPQYRFLDKAAVMEDTELLDVSDRWKLCSVTQIEELKTFLRVFPVWASTIALSLSLAQQSTFFLAQSKILNRQLTPNFTIPPGSMQVFAVVNAFITVPIYEKFIVPFIQKRTNHHRGFTALQRMGIGLFISIFALSAAAVVERMRRTHANPAGLTVFWLVPQFFILGGAESFTYVGQLEFFYDEATDGMKSVCGALFLSEIGIGSWVNSALVKIVEGVTGTGVGGWLRDDLDESKLDYYYAMLAGISAVNLFIYVWVARRYKGRHQG >cds-PLY68560.1 pep primary_assembly:Lsat_Salinas_v7:2:21116998:21118599:-1 gene:gene-LSAT_2X10360 transcript:rna-gnl|WGS:NBSK|LSAT_2X10360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRSWSSGDTFNVIARRLRLEITIRKEISVSRTPQGGPGEHCNDQDSFGERMSICEDIPQGLDAENPGSSERPIDVFLPRLLQVLYMSMDSYLQGLFVLANDPSLEVRKLLFCCICVPVDISIFFM >cds-PLY83660.1 pep primary_assembly:Lsat_Salinas_v7:4:41485849:41487728:1 gene:gene-LSAT_4X27741 transcript:rna-gnl|WGS:NBSK|LSAT_4X27741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEGGEGKSVDFAGDQQPQPTTDVPQSTLPLVMQANPQTLSEKNTRVDAVWQQMNKGISKEKLNSIIKKSPSNSKGTSSKPSSKTSSSSWMTVLGLGPKKTSPLQPTPKSKPDDKQDGVSQDTMKLAAAALSAARDAANMANVSGRGKIEVREMRDFAGEAIEVKKFVDANTKEASEKEKGSSAPSAVDSILEQIRKKPKLSVLDKTKKDWGEFKEENRLDEELETYKKSGNKYLDKVSFLQRADYREFERERDARLAVQAKRKTDMREDD >cds-PLY84023.1 pep primary_assembly:Lsat_Salinas_v7:6:191622594:191626282:-1 gene:gene-LSAT_6X117180 transcript:rna-gnl|WGS:NBSK|LSAT_6X117180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYNEGTPEFVVDQGMYYPSATNYGYICTGLESPGDWDDHHRVFGVDGQNIQFIGTQTESMPYVYYTTPSYGYAESPYNPYNPYIPGAMIGIGSDGSYVGAQQYYIPYENGASSPAYYPMLVPDTLTTTDQYMDMPTSNWADPSSANPTYTQNPTNHANSFGKVSEGYKSNDNKLPAANNGNGNGNGGVTVTSSSGKTTSNHNNGLKVSVPSGNNNLPNLSGNGRVVVDKVRSKSSFGKTTNDVSGNGNNPNGLGEQNRGPRSNSRLTVKAYSTRAGNSDAQGNIVISMDNYNKDDFPVEYVNAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNKKLNTAYEEAQKISAAGDCPVFLFFSVNASGQFCGVAEMSGHVDFHKDMDFWQQDKWSGSFPVKWHIIKDVPNPHFRHIILENNEHKPVTNSRDTQEIKYKKGIEMLKVFKNYTWKTSLLDDFMYYENRQKILQEEKARLLIKSYGTPVFVPVLQPPRKLTNNNNNNNSNNFYDLASTTTTTTHDIIKNTSASTNHQDRQQQVVVEDKDDVLHFQSLSINQKEEDGDGGVLTVGSMPVKVNGYGQSSGFLTVGTIPLDPKALMKVKEGEAGDSKKKG >cds-PLY97509.1 pep primary_assembly:Lsat_Salinas_v7:2:6465633:6468539:1 gene:gene-LSAT_2X2660 transcript:rna-gnl|WGS:NBSK|LSAT_2X2660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVPPDIEPDVIMSKKGKGAKSRNKLKSDSGDVPKVLIDPSKAKSVSNLVNKFESEVNLPGFKGLNEVSNPDNDRSGSKLSDSSSGEGIGAMLSDNKEPIEDGLIDFAKIGSESSSSHSVADFNGSYVFPISNSQSIKKEDGSISDLSSPVLEFEKDIVLFCSPGEKNSMMIFWNSLFVKEKEGFVHGLRFTKKKYNVENAQSDVVDDLIDNIKKFSSVSQRNDYLMNWKDLSQKKKEQVFHKLYTSKVRKQVEANFGKARIPLKPSSSLFHVAIDMKKTSDLEASDGLQKVCETVLNLIPEGVPVSNYNELVVVDLQKLCSMQSQVKEKKAKKKIEIDEMVSQQLEQVCNEILYHFPSKFSHIEIANEGEFKFKYDDADEKDFNAQMVIDGKEDISTNVRNSNGGLLITEGMLEQMKSRNLKSKEEKPIPIPSSVKVKNNAQVTYAEKDDNNKEKSKVNFMEILMASIKKVDVDEEGFQSVVKNNKGKVSGENPKEEPGKKKHSEAQGYYGNSQSNGKKWVGTNYGNQRQNGNKFVNSGTHYGGKQGDKGKGQQGHNGNIQSMSGFEAEKFSSNNKLEVLKDLEDEIPFVFSNGGVDEVDLAYLDIVDQMDVLGGVPVNDTNMEADSNDD >cds-PLY68041.1 pep primary_assembly:Lsat_Salinas_v7:5:293587037:293587441:1 gene:gene-LSAT_7X62240 transcript:rna-gnl|WGS:NBSK|LSAT_7X62240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLDLLLICAYASLQPPSAATSTPSVVADIEFASASSTFLSNFTVLIAATLQNLPCHRRTSELQLLFRCCLYENFDGLISVDIY >cds-PLY75529.1 pep primary_assembly:Lsat_Salinas_v7:9:34719784:34720433:1 gene:gene-LSAT_9X32460 transcript:rna-gnl|WGS:NBSK|LSAT_9X32460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMFHRTTSTTYSYDHIFTSEPPIQTASRTPPTTGRGVLELQSFSVSNTLKHNCVHGGSGGERMIDLIFNFIFRFLVKLRKIQIDMEGLIFSLPPLIFANVLFASRFSSRFFSDKDESVKELKFTEIWERFKQDCLEKDN >cds-PLY84131.1 pep primary_assembly:Lsat_Salinas_v7:6:186733633:186743521:-1 gene:gene-LSAT_6X115620 transcript:rna-gnl|WGS:NBSK|LSAT_6X115620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDEVVIASSSIEAGIGCWGLRSGAEHLRYRSCASPPHGLVSVAGRFLASSQLRDSSSSSGSVLFWSWNKPQVEVKSFPAEAINPLACNTDGTYIVGGGVSGHIYLWEVATGRLLKKWHGHYRPVTCLVFSNDQSLLISGSEDGTVRVWSLLMIFDEEGQQRVGHLYEYSFTGHALPVTDIVTGYGGSNAIILSSSLDRTCKIWSLARGTLLRNIVFPSIIDAVALDPGEHVFYAGGRDGKIYIAELNAQTTSNNHNNNNYGLHIIGTLSDQSKAICSLGFALDGYQLVVGSEDGMVRVWDTKTRNIIRVFKHAKGPVNNIVVMRQPAALYPRTAGNNNNNQGPMARRHVALPPPLEKYSSIDEHSELNAFITPRSNSYDAPTYTTLQSMNNQINELQVATGRLLKKWHGHYRPVTCLVFSNDQSLLISGSEDGTVRVCKAICSLGFALDGFQLVVGSEDGMVRVWDTKTRNIIRVFKHAKGPVNNIVVMRQPAALYPRIAGNNNNNQGPMARRHVALPPPLEKYSSIDEHSELNAFITPRSNSYDAPTYTTLQSMNNQINELQQHGSSGASEMELERLKLDCKRSMQMVQQWKKMYQNLNQFCVGELLNGEADETNLML >cds-PLY69342.1 pep primary_assembly:Lsat_Salinas_v7:7:79756891:79764458:1 gene:gene-LSAT_7X55520 transcript:rna-gnl|WGS:NBSK|LSAT_7X55520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEDSTKDLEKSYFDVLGLCCSSEVPLIEKILNPLEGVHHVSVIVPSRTVIVLHDAALISQFQIVKALNQARLEANVRMKGVQNYGNKYPSPYAVVCGVLLLLSFLKYFYSPFKWLALGAVAVGIIPLTLKAFASLRIFRFDINVLMLIAVGGSIFLKDYWEAGTIVFLLIISEWLESRASHKATAVMSSLMSIAPQKAVLADTGEEVNANEVKVNTRLAVKAGTMIPIDGIVVEGECEVDEKALTGESFPVGKQVDSIVYAGTLNLNGYISVKTTALAEACVVAKMAKLVEEAQNNKSKTQRYVDECAKYYTPGVCVVAACLAGIPAAMRVHDMEKWYHLALVVLVSACPCALILSTPVAAFCALSKAATSGLLVKGAEYLEILSTVKVICFDKTGTITKGEFSVSSFHPLIDNQKLLYWVSSIESKSSHPMAAALVDYAQSHSVEPQPENVEEFKDFPGEGIYGKIDGKDVYIGNKRIAIRAGCSQVQSESNEGKSTGYIFIGSSPAGVFSLSDSCRIGVREALQQLKSMGIKTAMLTGDSQSAANHAQNQLGGALDMVHAELLPQDKAKIIKQFQTESPTAMVGDGLNDAPALATADIGISMGVSGSALANETGHVILMSNDIRKIPVAVKLARKTRRKIFENIFIAIITKAAIIALAIAGHPLVWAAVLADVGTCLVVIFNSMLLLQGTSVRSMNNHSHTGTSHSHNHAHAHAQCSSGSAVQDKCCGHDENVQEVKHVVQDSCCGHDDDHVITPEDVDDCCAHEVNHCGQEKNDDCCAHDVDEVHDHDGHDDDGIQEVKHAVQPHNSKKTIQETKCCSTKDLESQSCEVKKKGECSHNDEKPHTHSNHHDHDHTHGHGQDHVHDHLMGHGHGHSHDHDHSKDHGHVHLKKHDHHHHHHHHEHKHVKKEYITSEELGKIVKSCCSSKSSGLKKRHISGCCKSFTYKCCGIQQFGGGFNRKGGLSEIVIE >cds-PLY81508.1 pep primary_assembly:Lsat_Salinas_v7:8:157147691:157149622:-1 gene:gene-LSAT_8X103761 transcript:rna-gnl|WGS:NBSK|LSAT_8X103761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGGHAPAIGIDLGTTYSCVAVWKHDRIQIITNDQGNRTTQSCVAFNESERLVGDGAKNQIARNPANTVFNAKRLIGRRFSEVMVQEDIKLWPFRVREGNIDMPKIVVTHKGHEQQFYTEEISSMRQATKDAAAIAGLNVLRIINEPTAAATAYAVDNNLSFTGKKNVLIFDLGGGTFDVSLLTIDGVGKFEVKAVAGDTHLGGEDFDNRMVNYCVDDFNKKWNKDLRGNQRALGRLKVACEKAKRILSYATEAPIELEYLVEEDADMNKGDVDEVILVGGSTRLLKVQRMLQEFFYGKEPCKNINPDEAVAYGAAVMAAKLSGETSKIVKQLMLMDVTPLSLGLQVKGELMSVVIPRNTPIPTKKTETFVTTIDNQSAMKMMVYQGERTRSADNYLLGSFRISGIPPAPKGVGKVEDCFEIDDNGILTVTSKIVATGKTKSLTVTNLSGRLSKQEIEKMVKDAEKFKLEDQEYKRKAEAYNALEDCIYALKNKIKRNDITPKVLKNIQYAIDDTMKWLSNVKGAAVDEIESKKEYLEFISGLAFFH >cds-PLY75562.1 pep primary_assembly:Lsat_Salinas_v7:9:34622983:34627551:-1 gene:gene-LSAT_9X32341 transcript:rna-gnl|WGS:NBSK|LSAT_9X32341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Aladin [Source:Projected from Arabidopsis thaliana (AT3G56900) UniProtKB/Swiss-Prot;Acc:Q8GWR1] MPSFPHPGSVTVCEINRDLITAENLSEDQAKETYGKVLGVVFSPIPYQIDYPASLHPDRGVELPVNRLSRLLQAVSYGPLKSLLGPDDVKLLAHVDLKCVSWHQKKDILAFISARNQVTIRDYGDSGEGKDPCILMNDLQREAKLLEWRPNAGKTLSVGCKGGICIWSASYPGNTACVRPGVNSGSRSRGSGVRWTLVDFLRGHASASYESSSFTIWDFAQGVGTPIRRGLGKISLLKWSPTRDYFFAAKFDGTFYLWETNTWTSELWSSTSGFVTGAAWDPNGRMILIAFSESLTLGSVHFATKPPSLDAHLLPVDLPELKSLTNSGGIEKIAWDATGERLAVSYKDGNEVYKGLIAIYDVKRATIITPSLVGFIRGPGEDPKPLTFSFHDKYKQGPLLSVVWSTGCCVTYPLLIRSHLLA >cds-PLY89660.1 pep primary_assembly:Lsat_Salinas_v7:3:184555585:184560447:-1 gene:gene-LSAT_3X110821 transcript:rna-gnl|WGS:NBSK|LSAT_3X110821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFWAEMSLSTQRDSLATRDGGMRAVGGELLIDVDSLIFQKRVSHIGSMDIDLSMEVGPTEETVEALMEYLVGPLLPLKHSDTAKDTPSQSQQKSVAKQVHAAAVLYNYYHLNQQNQEKEFLKFDQFCNLAIMFKPSILQHMKYMTQSNRPTINEPENQLSLTEKAIMDACTISETLLHASSNISNMIKEWPITKVAIFLIDSKKENCFLQFDNGVWSVIEKDLYCEESGIENECKKGKKRKLSLMRKYDEEGEDELQKVAFSAVKEIAGINSELKVLEDHVVYSLSQAKTATHFYILQSTQSINEDKLVPIQDAISSLHGPVVKKSSGSWVITPVVEYYYLLPYAEIISKWFKRSNGLTDQMEEGTADSKKIQGSQKSTEKQGGQSNRQIKSKMESKSIHISNSNKKDEDRVTKARNISNKDMETPTFNEAMKTENSNGKKTLSVESDCSKQKEEVNGSCGVKSNDSLTESNNNNITKPIVCLQKEIQNAKMHSTTPTPLKVYHHEKRTTPTNAISVKSNQQRNIEDELSLCEKKIQAIMQGGIGDCLGLKLEAVIDCCNEICQQGNMQIQDMKIGRSLPLSEAQLTLRKACEELDDICLSNSWMLPTYHMSRSDEGGFVANVSVKGSDFECSGVSGMQQSIREARNSAATHVITKLQQMALNHTTAIL >cds-PLY74483.1 pep primary_assembly:Lsat_Salinas_v7:7:36551876:36554886:-1 gene:gene-LSAT_7X26020 transcript:rna-gnl|WGS:NBSK|LSAT_7X26020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMWYPLSFIICNTKPVGHQDLMGLVISILLLVDFILVLLMLLQLYSNSMVDLFLVLSLLPLGILLPFLARISALFSHTPKRSSGIACFYALWNITSLVNVHHAKKKKCYVCNTKEDGCWREIMNISMYRETGDICDLGPFKRLILSPLHVKGLGRSSSSGILSSVTYGADEIASSVLASITSQSKKNKGNFNRVNLDKDKGIGESSIFLHAFYYLLCHWFPLRQVPKQLNLPLQLSFYDNKGDIKKAKTPWEFIPIGHKIGTPVPLYKELMKKWSFSGLNLLAVKLTELIGLLRKKLKQKKNKSGKKEKGRSLVLVKKQKQRELLRKGK >cds-PLY73557.1 pep primary_assembly:Lsat_Salinas_v7:5:58608577:58610204:-1 gene:gene-LSAT_5X27960 transcript:rna-gnl|WGS:NBSK|LSAT_5X27960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein 6 [Source:Projected from Arabidopsis thaliana (AT1G47270) UniProtKB/Swiss-Prot;Acc:Q0WPY0] MSLSCLLGSNTNRRIQIMKNGKSHIVPENYDSVPRSLPSSIGDSKWDDFPGELLLDIIRRVEGGETSWPGRRDIVACASVCRFWRNTVKQIVRTPEQCGLITFPMSLKQPGSRKDPIQCFIRRDRANSIFRLFLGLSPALAGDASKLLLAAKKVRKATGTEFSISLTPDEFSKSSLTYVGKLSSNFLGTKFAIHDVEPFYDSSTNKQIPKFGLKKVSPVFKSKVVTISYELNVLRTRGPRRMNCTMNSIPDSGQAGKKHETPESVIPIPVVERKDSLVLRNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLAVDSGGGVEEDDVVLQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >cds-PLY73719.1 pep primary_assembly:Lsat_Salinas_v7:8:168811382:168812236:-1 gene:gene-LSAT_8X110140 transcript:rna-gnl|WGS:NBSK|LSAT_8X110140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDACIYDYLFLLASVVVPLVVEAVTAVMVVADDDIRVLDDYGMDIAEALCQMIGFINHSNIKAIDDITIQISNKVKRGTS >cds-PLY82954.1 pep primary_assembly:Lsat_Salinas_v7:1:17992063:17992560:1 gene:gene-LSAT_1X15680 transcript:rna-gnl|WGS:NBSK|LSAT_1X15680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLIPVDQLKQLRDIFNRFDMDADGSLTHLELAALLRSLGLKPSGDQIDMLFNNMDSNGNGAVEFEEMVRAMLPDMTTEQYIFNQTQLVKVFHSFDRDRNGVITRAELAKAMAKMGQPLTYKELTEMIEEADTDGDGVISFSEFARVMAKSAAESFGFPVPLP >cds-PLY71498.1 pep primary_assembly:Lsat_Salinas_v7:2:122638604:122642108:-1 gene:gene-LSAT_2X56260 transcript:rna-gnl|WGS:NBSK|LSAT_2X56260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPKPPVSSTDHHHQPPTSTFNFDINNQYYHQQESDEQDSTDHLSKEPMFEKPLTPSDVGKLNRLVIPKQYAEKYFPLGGTDSTSAAAVDQQKGLLLSFEDETGKPWRFRYSYWNSSQSYVLTKGWSRFVKEKRLDAGDVVLFERHRFDCDRLFIGWRRRPVQDSIAVAPPAAGGXTGVVYDAQYNYPSHHLTPSLPHPYQPDCLHAGGINYNVENNQRDMVSSGNPRRVRLFGVNLECPDESEPSTPDGSSHGGQPHYQYYANSNDLNHNMNINFSQMRYHQHQG >cds-PLY98808.1 pep primary_assembly:Lsat_Salinas_v7:7:25942014:25947198:-1 gene:gene-LSAT_7X19981 transcript:rna-gnl|WGS:NBSK|LSAT_7X19981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIFTAHVCFGDTDPRDILAINSLYAALGYPSLPGWLVSGGDPCAEGWQGVQCVNSNITGIILNGANLGGELGENLGAFVSIIQIDLSNNHIGGRIPSSLPFTIKSLMLSGNHLTGNIPDSLSMLGQMTDLSLRNNNLIGEIPDSFQDLTPLTTLDLSGNNLSGPLPPSMAKLSSITTLHLNDNHLTGVLDVLQDLPLIFLDVENNLFSGPIPPKLMTIPNFRSMGNPFNTTVIPSPPVISPSPSSFGPKPPEIGPGLQVFEEPPPEPPHSSGKFKSLLSNKYVWIGIGGFLILIILALGLCFCVSKCCKKKSTVKVSKSERAKNSVLNDLKPSEQRENDTKNKDSLVMSSVKGEKIETKPKSKNDHVMIDMTKVNARSFTTPPLLPQPSRGVVKPTGVPKAAPSRPLRSVNSAKFFSIASLQEHTNSFSQENLVGNGMIATVYRAELPSGKLLAIKKLDDATSRKWSDERFMQLVTNVSKLRNENIVGVEGYCVEHGQRLFVYEYCENGTLHEALHLNDEIHEKLSWNSRVNVALQIAKALEYLHELCQPPVVHQNLKSTNILFDNELNARVSDCGLAPLLPSSHVSELQGLGYGAPELELGSYTYQSDVYSFGVIMLELLTGRKAFDSSRPRGEQFLVRWAISRLHDIEALSRMVDPSLQSACSFKSLSRFADIISLCVQPEPEFRPPMSEIVQNLLHMIQRSP >cds-PLY82972.1 pep primary_assembly:Lsat_Salinas_v7:1:19544077:19544466:1 gene:gene-LSAT_1X17301 transcript:rna-gnl|WGS:NBSK|LSAT_1X17301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSNVLSLTVWFTSGGSIFLKANVPLVVRTTGGVMLLRFDMSWWLFNYRLYDGEVAKGIGPSIVGLQSSLIELLNIVRPLGCGASLTTVVDGTMLVMRGSIGIMDLIRLLGVSLALPLFSIVIYENDDV >cds-PLY88871.1 pep primary_assembly:Lsat_Salinas_v7:8:4714136:4715155:-1 gene:gene-LSAT_8X1941 transcript:rna-gnl|WGS:NBSK|LSAT_8X1941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGKVTKDENDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFAVGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGLEAQGDFTRWCQLGGLWTFVALHGAFGLIGQSGWFFAPNFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGENTFHAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDLEFETFYTKNILLNEGIRAWMVAQDQPHDY >cds-PLY93622.1 pep primary_assembly:Lsat_Salinas_v7:2:175063494:175065459:1 gene:gene-LSAT_2X99240 transcript:rna-gnl|WGS:NBSK|LSAT_2X99240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPSTTTSGSVAGSATSLPFAVHVIRGRWFSLFASFLVMTGAGATYLFGVYSKDIKSSLGYDQTTLNLLGFFKDLGANVGVLSGLIAEVTPTWFVLLLGSALNFGGYFMIWLAVTNRIAKPKVWQMCLYICIGANSQNFANTGALVTTVCNFPESRGVVLGLLKGFTGLSGAIMTQIYLAIYGNDSKSLILLIAWLPAAISVVFVYTIRAMTLVRQPNELRIFYRFLCVSIFLALFIMGMTIAQNIVTFSRRAYAGSASVVIFVLFVPLFMAIKEELNLWVRNKHQPVIVPEVKIENMNQNHEHQSTNPTSLELDNPNPKPKAKTSCFANVFLNKPERGEDYSILQALLSTDMLILFVATFCGLGTSLTAVDNLGQIGESLGYPTKTIKSFVSLLSIWNYFGRIFAGFVSEILLVKYKFPRPLMFTLVLFLSCAGLLLIAFPIPGSVYVASIIIGFSFGAQLPLIFAIISELFGLKYYSTLFNCGQLGSPLGSYILNVRVTGPLYDREALKGLAKKGLDRSAVKELVCMGNHCYRSSFIILACVCCFGGLSSLILVIRTRKFYNGDIYKKFRDEAQIIAT >cds-PLY97684.1 pep primary_assembly:Lsat_Salinas_v7:8:6252985:6255153:-1 gene:gene-LSAT_8X5280 transcript:rna-gnl|WGS:NBSK|LSAT_8X5280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWNPNLIFYACIAKDTTILAEFNSKDADLGDLAKKCLEKTPPFHSIFSHTVHGETYMFFILDPFVYFGIFDESLEKPECLLFLKSVKNAFTSMIDNRNSGTMKQRLYSPNWHCFQGEFSPVFQQLLASNLEFDATSSPADSKDDHRESLNSVRRKNKGSTDSSSMKKRFFGDVDKDVAEEGKLDLDNDDGSVSSGSRMQRTRYIWKKQESMVVLSMDLIRVCGVLLFVIWLWVKNQSINSRTWKMGFEEEPKLLLFSQRFSRLELEGGFTPPPDTYTGAGATIPFQWEEAPGKPRCTTTSDPAPQGVRCLNLPPRLLMNTKEESIQTESPTSVLDEPYSGMSSPGRSKWKMMMGLRKMMMCKRTSSHQLRSWSLDSFTYISSRDGDSSSSLNDVFSDSLDSNVKNNKVSTTRGIIFFNKTAFSILENMNQSINQVVPWRR >cds-PLY90896.1 pep primary_assembly:Lsat_Salinas_v7:1:56895856:56903230:-1 gene:gene-LSAT_1X48640 transcript:rna-gnl|WGS:NBSK|LSAT_1X48640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKFPFQWRQYARQSRTLQPFGHTRYQLQSSMKPGVQPVNFRTHYMNESIATTSSFPFPGKQVQVQFEGARQQNWQETATLGSSDHCETPLQQQIMIVVRVQDETGSSLFVVFEHHVKDLIYRGNQWLMEKIAKDQGCQQIPDDEFKILLNKKFVFKVQISMFNLQNNYRAYTVQKLTEDERVLAEDTTDYVHDDNLDVVGLEALTPSSSMGKRPISNDANTYSLEWSSSKTGAIPANLKISKLEKLD >cds-PLY76828.1 pep primary_assembly:Lsat_Salinas_v7:3:5573384:5575521:-1 gene:gene-LSAT_3X3860 transcript:rna-gnl|WGS:NBSK|LSAT_3X3860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTFNLKFCFSGWRQLESPPQLLSGRFSGHHSYNLLSAPDTHSFASFPLRFGSFPVQSVTLGSGVPRLRIPMAMIIRRRPSARMSQVIIDAASYVSPPPSEEQVNKITKM >cds-PLY84301.1 pep primary_assembly:Lsat_Salinas_v7:5:190619568:190621569:1 gene:gene-LSAT_5X85001 transcript:rna-gnl|WGS:NBSK|LSAT_5X85001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLAGNRKLQRGREVEEVELASMMVAAMGAVAVVEQPWWRNWAVGSGGRSIYHRVMSNAEITYLDNIGVVTLDTTFKVKILSMWNFVPKGKKEVMSIELIVMDEQGKKIQARVFSGQFKRFQHLLNVGGTLLIQHPNMAMMKMGFNITRQDQQIVLQWDTVLKKCVDFGDVIGHIISHRELDTSNPIQSKHNMKITLSDIEDTELRITLFGNQAHQLNEYFSKNTNASCVIIILQFAAVNSWNGL >cds-PLY96695.1 pep primary_assembly:Lsat_Salinas_v7:8:136123571:136123929:1 gene:gene-LSAT_8X94520 transcript:rna-gnl|WGS:NBSK|LSAT_8X94520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLVFKSMSEAIVVSSNLRRKPLPSPQLNVVSTTFVIGVQIYVKNSDSAVGGQIYVVGGGLDGPTKRGISGE >cds-PLY74280.1 pep primary_assembly:Lsat_Salinas_v7:8:164773726:164777448:-1 gene:gene-LSAT_8X109380 transcript:rna-gnl|WGS:NBSK|LSAT_8X109380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLSCKGTYDVIFHVYIPAELLNVYVRKSNLFAKEFNIIKMGKNMQHKDPPNMQLQTANSGGINKIFHALNRRHRWQNVRKTLPDKRKGSGKHIIDGETIEHVATTSQARQVQGNHKKNKSEIENSGTEAGPLTSKASKKFRIRSLISDEMIKRRKKTSPRRRSPSPTRSPSKRNDKTPTKVTQNNCESPSTMKKRKCNICAAMLTVSYLRQKAQAYEHQKSLENRDQNQQRTSTDMLRKSSNGRKKGLTKSFSFPILRGLTKRKDSEIEELKSNLRACKDKETTKEKFILPMNQWKDDTLFPKPMLTRPASFKSPSSRSQKENHQTTNQSKTLRQKIGRVMKENKESRDSRESRKKEKRRILMDAVFHKIPYGRRNSKDPKKVSPEKIKSNSTTSSTGSHRMAVVDNANLKKNASTGEAMSKYRKLLSQTSIREDKGHINDHKPRLCVAGDVSSGTNERKTLKNIHSLPAISPSDFTQNQEFPVKTEMETQQSIVNAIVDDQKSLDHYAFTAKLSVPTPAIQNLVKETKLIDKHGTVGKNSGLGLSTSDHQEKNDPQKEHSSAVETATKQTKLHEEDEVVDGNPGLSTSDHLEKINHQKESTAAIESEVKETKLVEKDEHVDEKLVMGLNSSDIHEKIDHKKETTAAEETELVDRDDDDVKENVGVSLNTSDHQEYIDNQMGSSAANESETNETSLIEKDKLDIEDNLDESLNSSVHEEKTDNLKEATKESPEIEVEQDKSFNEILGMFKTVEQNKLDEESEPTLQKLVIKGTTDDKDQGIDKDLEVGENTLDHDQENESSPLTSGPEVEELMQDSILESPSLIDIPQSPLEEFSDENQQYLFSIDDQPSTSDQPSIHNKRPNNEKPMGISAKSRVNDKMQRKGFLHLDLNSVKDNTEFQFVKEVMERSGFLDNEVLGEWYSSYQPIDPLLFEEVEATFLQTNNLEELDSMKDGEAAQKIINDHHLLLFDLINEALMEIHNKTCTFCPNPLIYGSKVRPMPVGYRVLEEVWDIVNMYLSWRQDLQPSLDDGVLSRDLQKGDGWMNLQADAEFVGIELEEMIADDLLDELVFDDLLM >cds-PLY81633.1 pep primary_assembly:Lsat_Salinas_v7:2:2005766:2006697:1 gene:gene-LSAT_0X22920 transcript:rna-gnl|WGS:NBSK|LSAT_0X22920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVTNKDELLECSINELFEKTEAFNNLRVLDVFKCQNLRYLFTVPIASGLMKLEFLKVSQCPVLEFLAYSKNGGAGEIGFQKLKFLYLEKLAKLEGFCNNVNVIELPQLVYLILDGLPNFTNIYPEKTSTTSSVSSNVSPIQPFFNKNMLIPKLERLIILSMDKLKEIWPYQFSSSDVVNACMLTKIEVMECDNLVNMFPTNPMSLMGRLEVLDVRECGSIEVLLNIDMSCVGEIE >cds-PLY87259.1 pep primary_assembly:Lsat_Salinas_v7:1:50853754:50859357:-1 gene:gene-LSAT_1X43821 transcript:rna-gnl|WGS:NBSK|LSAT_1X43821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENQGPSLDDCLKLLKGERDEQRLAGLLLATKFCKNDDVDSILRVYNALGNTFLDRLLRTGMGKGSTSKSRQDNQDAYLQLSVTILAAFCRVSNIASSDDMVKKIPLILEVLSKELGASLVEECFEFLYLVSAAHTDGSRILYESGGMTVLASQMPNLPDGSHTMELAMKLLQLTTSKLSLDTITKEYCSELSSVVIVLAKQFALLHNALKFEALHLLSMILSSIYAAPVHETLRAMSNLTWSTYLRVGVVAVLQNRVAPDQRLEALILAESVMCIAGERWLIGQTNSPDTQDPIPADRCTLLVLESSRVEIAVLLNDLAYLKYEKIKDSLDAESVLLKQRNLGIAFSLVEKTIKLISSVAEDEGNIISDTMFTKIITGLNETVGLILEYLRDAKDHGQHKGNDLLASVRIVGSYLAETPGACNDKVKELLGYMVLVEGEDEQSSFSSVCFLLPMLCQITMEIDGCRLIASSGAYKAVVECLIRLVEEDGGTVEENGPIFLACDTILNLLLKREEIGVHMDDSYFIRLLGVLSSWAEDAVDLSSTMMAASICSLILDSTSEAVLECHPHFTRNNLTSVCHLIRKSMTSYGKDSEAEADLVQIVVSGYSRWVDRYPRVKAAVEGSYIQWIPELKLNKSVLAKNVNPHKALQVKNEESDSSSDDLTPPKETKKKPARSCNPLLRKKHNYTHRQKRKNRVECEELALTRAYVDVSKDKQCGNQQMFDAFWEKVLEHFNVQMGGLDQSRHQMNSMASGRSEADVLQSSLSEYRRTINQKGFPHQQVWEWLKDNVKWAFVTKAGEDSLPPLKRTKTSSSNAYTPSSDPQYPPRFSPQQ >cds-PLY64508.1 pep primary_assembly:Lsat_Salinas_v7:8:124353540:124354726:-1 gene:gene-LSAT_8X85820 transcript:rna-gnl|WGS:NBSK|LSAT_8X85820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSEIADSGRFSGQNMPSRAPEKSSFSQTCNLFSQYMKENATFPDLTLGRRTTPSTATMNLFPMAETSPTPVQPQTTAGQNQSRPMTIFYNGQVIVFNDLAPEKVKEILMLAGKGVPQKPTKQDEVSNSIVHDSIKIQRPQPVVADLPIARKASLARFLEKRKDRITARSPYQTQGDSKQEDSKTWLGLGAQSHIQFQLQQ >cds-PLY93608.1 pep primary_assembly:Lsat_Salinas_v7:2:173624540:173625632:-1 gene:gene-LSAT_2X95620 transcript:rna-gnl|WGS:NBSK|LSAT_2X95620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDLISCYSQYAVQVSESSSCSSYTTASSCIISPNLIPSTQTAVCCLYKTTLSTGEHHFITVTWYRNATTQGLQINSGDDSTTAFRLNTHSRLFRKKKGNKSFEINDSKFEVYYDLSSAQYGAGAEPVEGYYVLLMVDSELGLLIGDMPEESTVKKLKTNKQMGKFSLLSRKEHFSGNTHYTTKAQFSDTGSSHDILIRCTGENEGLKYPVLVVYIDKRVVIRVKRLQWNFRGNQTIFLDGLLVDLMWDVHDWFFNTESGSGSGHAVFMFRTRSGLDSRLWLEEKIVKSDDEQKKGFSLLVYATKS >cds-PLY71794.1 pep primary_assembly:Lsat_Salinas_v7:6:90282882:90283460:-1 gene:gene-LSAT_6X63300 transcript:rna-gnl|WGS:NBSK|LSAT_6X63300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPMVYKSIKRSMTVKGRYQNYNIKEFYPDGYNYHYPQQENKDKHRVSTTRSKSTHGNLDNNKKLVRFRSHRLLSCVTGGV >cds-PLY98699.1 pep primary_assembly:Lsat_Salinas_v7:4:264180686:264184219:1 gene:gene-LSAT_4X136680 transcript:rna-gnl|WGS:NBSK|LSAT_4X136680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKQDEYVLKTLEKKTQVIRQSPRLKMIEYRKSVTPIKVEDSLVKKESDEKITAINKEQRKAVREIGLGSLLRIVTNGISGKLARFVVNSFNPSDMKIHIPNAKIDITPELVHDLLGIPLGGKDIYNTDQCEGKELMDWKQQYNFKAMRPSDSGDFGTLEWNDDVIENDEESDTDENEDMKLDTKRGYVLGRGMIETMQAGLWVQAHVIDAWADMNYEEKLKSNSTLNRYFFDTSIVVFLPVHQKNHFYIICINLEEPAVDVIDNRNSIAKFSRAYRDAPNELKILFSRYLMRVNHKSALTLEGVEAERVNMNWRTRDNHVDCGVFCMRHLETCMGDKTVNWTCGLPKESKQQQSVLNDLCIKYLTKILLSDTNTHRSKIIAQTAKFAKKTNEEIKDLLDKGWEKRGERI >cds-PLY92900.1 pep primary_assembly:Lsat_Salinas_v7:3:181402843:181404001:1 gene:gene-LSAT_3X108620 transcript:rna-gnl|WGS:NBSK|LSAT_3X108620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSEYRHKNIITLIGYCDDNEEKILVYEYASHGSLDKYLSGRAMSGGLSWLQLLKICIGIASALDYLHNNVAEKHRIIHRDVKSANVLLDENWNAKLSDFGLARIGLANQHNTFVITNIAGTHGYCDPQYERTGFLTKESDVYSFGVVLFEVLCGRLACILNYHDERRFLHHWARTHYKNGELDKIINQRIKTEINPRTLSKFSAIAYQCLHKTREQRPTIAEIAFQLGEAWKIQFTGRMIKGDETFVT >cds-PLY68047.1 pep primary_assembly:Lsat_Salinas_v7:5:294624854:294627817:-1 gene:gene-LSAT_5X157421 transcript:rna-gnl|WGS:NBSK|LSAT_5X157421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRTSSIALRSQTFSAVTFRASYPEHKTPFSTYVDKTRFTNPSRNTCGSQILIKYSSNTHSNATSNHSYSSMASTKSSGEEDDDELEDGFSELEGNNDEDESDNESTSEPELSENDMEVSVPEITETHQQKRASSVIFKALMDSPMQPAQKTLDKWLEKGDTISRSDVSIAMLELRRRRMYAKALQLSEWLQTRKQLEFNEKDYSSHIDLISKVNGLQAAENHISRIPKSFQGELLYRTLLANCVRSTNMSKSEQIFNKMKELKLPITPFVCNQLLLLYKRTNKKKIPDVLKLMEDENVKPSLFTYRMLLDIKGQSNDISGIEQILEVMKAEDINIDEDPKIRAILARHYINFGLKEKAKIILKELEGSDLKENRSACAYLLPLYASLGSLEEVNRIWSICESNPMLNECLYAITAYGTLNKVDQAETVFDQMSKRYKRISARHYAVMLKVYANNKMLSKGKDLVKRMAEIGCQIGPLTWDALVKLYVEAGEIEKADLILRRASEQNRVKPFFNSYMVVMDEYAKKGDVHNAEKMFHRMRQDGYVARLRQYHSLLKTYINAKMPAYGFRERMKADNIFPTKSLAGQLAQVDAFKRTAVSNLLD >cds-PLY81903.1 pep primary_assembly:Lsat_Salinas_v7:8:120755258:120756301:1 gene:gene-LSAT_8X84741 transcript:rna-gnl|WGS:NBSK|LSAT_8X84741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKIGSLRRVLFPCFTSSASISDIRSTTTTKKRLSTSLRDDINPSTQTEETPVSDDQEDHDSDSSSPVVHSSHNPMAPPRSSKTMVIGTIFGHRRGHVWFAVQHDRLKTRPSLLLELSIPTQSLVQEMRFGLVRLALECHNSPESELGSCPLRSVPVWTMFCNGRKVGFAVRKKATEPIRLMLKSIQSTTVGAGVIPSFGFESGGDNGGELIYMRASYECIMGGPDSESFHLINPDGCLGQELSIFLMRSR >cds-PLY64067.1 pep primary_assembly:Lsat_Salinas_v7:8:93001138:93002284:1 gene:gene-LSAT_8X65800 transcript:rna-gnl|WGS:NBSK|LSAT_8X65800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVQVQPQAVSVGVGGAAPAGQVVSVRVCRDLSTRRSLGYGYVNYANPQDAARAMEVLNFTPLNGKAIRIMYSHRDPSVRKSGSGNIFIKILDKAIDQKALHDTFSIFGNILSCRIVTDSSGQSKGYGFVQYDAEESAQKAIEKLKDMLLNDKQVYVGPFLRKQERELVVDKTKFTNVYVKNLSESTTYDDLNKTFSEFGTVTSAVVMRDAEGNSECFGFVNFENVGKAVEGLNGQKFDNKEWYVGKAHKKYEREQELKQRFEKSMKEAVDKSQSIQL >cds-PLY81288.1 pep primary_assembly:Lsat_Salinas_v7:5:73303441:73304970:-1 gene:gene-LSAT_5X34661 transcript:rna-gnl|WGS:NBSK|LSAT_5X34661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYRRDNCASRNALFDKFDGIEEGGLRASSSYSCDTYEQENDKSLNFLQDKVVFLKRGNGMDLARGIMLGTMDSFQMVFEQKSSRRTCKFVMYFVMSFFIIYYLFRYGFVFIFLLKKLILSLN >cds-PLY65370.1 pep primary_assembly:Lsat_Salinas_v7:6:40585853:40586770:1 gene:gene-LSAT_6X30321 transcript:rna-gnl|WGS:NBSK|LSAT_6X30321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSISSTNTLFILFYSLLFFCFSGNADTGTTTFDFQTLTLTSLKFLGDAHLFNNSVRLTRDLPVPNSGAGRVLYNKPVRFRRPGSPNPASFSTYFSFSIVNLNPGSIGGGLAFVISPNDEDVGDAGAYLGIPTGAVAVEFDTLMDVEFKDVNGNHVGLDLDSMVSSQVADLDSIEVNLRSGTQVNSWIDYSSSTQQLNISISYSNTKPKSPLLSITTNLNRYVNEFMFVGFSGSTQGSTEVHSIEWWSFTSSFDDQDTITKPSPNPPPTATFMNPTANPVNSPPPSMPPTTADSNTTSTAAVT >cds-PLY71547.1 pep primary_assembly:Lsat_Salinas_v7:7:28848415:28849563:1 gene:gene-LSAT_7X22540 transcript:rna-gnl|WGS:NBSK|LSAT_7X22540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPRERTPAVVKANAADSGVGPLVREAHFRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDAAAREFRGAKAKTNFPVYEDNCLLKVENDELFKKANLVSQQSTSQGSTVESAGREAESVVPSLDLNLSYGVPAPSVVQFPFHQKNLYRFPPPAGTVTGFFSPQSSQMFYFDGIFLSGQVQVHHSGGYDRPPVLFRPSASVARSDDSNSSSVIDLKPLPTPAPQPQPQRIAIDLNLPPPAE >cds-PLY64613.1 pep primary_assembly:Lsat_Salinas_v7:6:34177917:34178117:1 gene:gene-LSAT_6X25561 transcript:rna-gnl|WGS:NBSK|LSAT_6X25561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAECFASERKLIMMEGISAGKEKLCLVAEGSAGERKLAHEGRTYYMTFNDYLKIKAFPSRYNYGWV >cds-PLY76158.1 pep primary_assembly:Lsat_Salinas_v7:4:52789128:52790024:-1 gene:gene-LSAT_4X36021 transcript:rna-gnl|WGS:NBSK|LSAT_4X36021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFASRYLRSISCYNHLCGTKRGFVSSTIPKSASATKTDMNTTTAKLKSRADLFPIYILLGFTGGAVFLAVRSVTMQLFHHPGVQVNKTNRSMMPEVDSPDSALASGDKFISKSVLRKVAHIQKRDDAVPMDGTANIYSTRSSDSTNLKTVGVQPRANRG >cds-PLY79766.1 pep primary_assembly:Lsat_Salinas_v7:1:181983677:181985542:-1 gene:gene-LSAT_1X118460 transcript:rna-gnl|WGS:NBSK|LSAT_1X118460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLLDNTRELKTFVVDEGHGVKGLSELKIKSLPELFIQPIEKRLDMSKVVPYESIPVIDMSNSDDPKVGEAICDAAEKWGFFQIINHGVPLSIIDDVKDATYKFFMLPPEEKKKYLFKNSPTKNVRLVTSFIPEVDTVLEWKDYLSCFYVSDDEVNSFWPSVCRDQVLEYLKNSESLIKRLLEALIKRLDIPKLDDKNKAILMGSMRINLNYYPVCPNPELTVGVGGHSDVSTLTVLLQDETGGLYVRKLDSENWVHVPPIKGSLTINIGDALQIMSNGLYKSIEHHVVANGNKNRISVPIFVNPRPSDVIGPLAQVINRGEKALYKQVLYSDYVKHFYRKSHNGKDTIDFAKV >cds-PLY84657.1 pep primary_assembly:Lsat_Salinas_v7:5:77012275:77012679:-1 gene:gene-LSAT_5X35261 transcript:rna-gnl|WGS:NBSK|LSAT_5X35261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNTCFALSTLLILYFLAASTSVLEVDGAGECGKTPIRSAALSLSPCLAAGGNAKAKVPPMCCTKVNALINTAPKCLCAVLLSPLAIQAGIKPAIAIGIPKRCNIKKRPIGKKCGSK >cds-PLY68795.1 pep primary_assembly:Lsat_Salinas_v7:MU044503.1:142269:145304:1 gene:gene-LSAT_0X17681 transcript:rna-gnl|WGS:NBSK|LSAT_0X17681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLPWVYLGILVSLWAYACGVDFCEYLVAGAVMVISKDESVKTSKTAIDVQNFSRLQKNEDVIDYALKKRDVKLVPCGLDFGRPRFVRFRERRFHRSLEKTRRFYPHVHNMDGFFVAKVSHYFY >cds-PLY71275.1 pep primary_assembly:Lsat_Salinas_v7:5:96220829:96221476:-1 gene:gene-LSAT_5X43661 transcript:rna-gnl|WGS:NBSK|LSAT_5X43661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRLSPRDFGVPLSNTFTISFGDVKPKNEMLHIDDSLGYEVVGATKIPIISRNPIQAQDHMDKASVLEDAPIYIKELQDRVKELEGLSNKEKECSRLCITCV >cds-PLY85669.1 pep primary_assembly:Lsat_Salinas_v7:7:157858202:157859426:1 gene:gene-LSAT_7X93541 transcript:rna-gnl|WGS:NBSK|LSAT_7X93541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCLGFRYKMSNGCGRSLSSQTLPANMATQLSKKRKLNGAKPSSTRSYLHHKLGVIVRPFSSTPFGNEIIGINLGTTNSCVSVMERKEKKRGFFLHMCNSSSCYLWSWRRKDTPVFQSNQLISNGSLIDFILITFSFFKAKRFMLQSWSMSLVW >cds-PLY65161.1 pep primary_assembly:Lsat_Salinas_v7:5:249839966:249842539:1 gene:gene-LSAT_5X125241 transcript:rna-gnl|WGS:NBSK|LSAT_5X125241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Iojap, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G12930) UniProtKB/Swiss-Prot;Acc:Q9LDY9] MDILETIEAIQDRLLSVASFPPLSKRSQICYQGSLVEKKMATSVAASFQIRFSSSSLTSHELCHLTPNPNQWRSSHLAKSYKFVTSIHRPLKSSVVAPMALGAKQNTSQDTDDMYDDLFKKYGKVVYKSNDKKSPVADVDDDAECLAFAVAMAKIASDVKGGDIKLLFVKPLVYWTKFFLITTAFSRPQIDAIRNRINDLAEKQYGIFATGDTKPNSWTLLDFGDIVVHIFLPEQRELYNLEEFYANATLIDLPFKNENNFQR >cds-PLY75598.1 pep primary_assembly:Lsat_Salinas_v7:9:34135828:34139037:-1 gene:gene-LSAT_9X30361 transcript:rna-gnl|WGS:NBSK|LSAT_9X30361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTQTMGSNGGTQKPVFDGLSRQGSLYNLTLDEVQQQLGDLGKPFSSMNLDEFLKNVWSAEANQGINNGSSPDYSELTQLASASSLPRQLSLTFTKDLTKKTVDEVWQDIQQDQNKKKTNGSDSNIDNTSSCKRQATLGEMTLEDFLVKAGIVSESSSPGKKNHEQNLDPPEAQWMNYQIAQIPQQHVVMSSHYQVPQPLPLNVIDTGYLETRMTMSPTPLMGSVSEFGRKRVASGEVIEKTVERRQKRMIKNRESAARSRARKQAYTHELENKISRLEEENERLRRQKEVETVLPCVPPPQQPKYQLRRTTSAPF >cds-PLY88409.1 pep primary_assembly:Lsat_Salinas_v7:4:155349257:155350661:-1 gene:gene-LSAT_0X13500 transcript:rna-gnl|WGS:NBSK|LSAT_0X13500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHGGGHTCCNKQKVKRGLWSPEEDEKLVSYITSHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSSQEATLIVDLHRILGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKLLAHSHLSTHSLATTFTNPKTTNIHAALSGEHQYYESLFDANPNVLSPYQHHMNHDHDHLVHASPAAIGQPPVVNLVKCFDIDPTPNLPPLPQSFIVNSSSVFDHEFPTMEFLMNSYEHNTLKSENYDIILAQNSDHDNSIIPFKLGDQTNNIELTTNITTQPLVNSHDLDPPASSQTEYLEAFIPNFISSSSPPPSLVLPQFMVSKVRSIM >cds-PLY67988.1 pep primary_assembly:Lsat_Salinas_v7:1:79430780:79432984:1 gene:gene-LSAT_1X67541 transcript:rna-gnl|WGS:NBSK|LSAT_1X67541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKNFKRLLFTFVELLYTLCCFSFVSYDSETSKLAYLLNYFQRVTDYDKVSEKIRLMSDNIGGWNNEARIVAWKYLVYIWKSIQFAFVGINIAGELSNLPSPEQVVAILRAHKITHVRLFNSDVRLINALSDTGIEVMISVANSDIMGIGKSPSAAASWINTHVAAFKPATNITAIAVGSEVISSTPTAVPFLVSAMNYLYKALLASNLNDVKVSTPLSMDLIPTPFPPSTATFNHSWNSTIYDILEFLKNSNSFYMLNAYPYKDYIQHNGVFPIQYALFQPLPVVKQIVDPNTLFHYESMLDAMVDATYYSIAACITPVIPIIVTETGWPWAGAANESAATVENAETFKNNLIKRVLTGSGPPSQPGILMNSYIFELFNEDDNRSYGVYFRNGSAVYSLDLGDSMENNTGGFCVARKGADPDGLQSGLNWACGQGQANCSAIQSGQPCYLPNTVQNHASYAYNDYYQRKRVEGATCDFGGTAIITNIDPIQIRAPVGSARQHLDRWVPREAHHQHIEFMKLDI >cds-PLY67034.1 pep primary_assembly:Lsat_Salinas_v7:5:283631391:283636234:-1 gene:gene-LSAT_5X150780 transcript:rna-gnl|WGS:NBSK|LSAT_5X150780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAYALHLAMAALFGASFVAVSAYYMQRKTLNQLLEFAKSVEREREDGTYDDDSPLHYRKYSSAEKHQQKRNRGGRKKGGNDGYHRRSSTSLPDVTAISGAGGAGDADIIPLGLPRLRTLPEENSAAGSTKKTGHLPRPTSPKSPIASASALESGEGSEEDADMTDNADLDTTYLHTNGNAAHGSLPEHNNANGEQLPIVASTMIRSHSVSGDLHGVQPDPVAADILRKEPEHETFVRLRISPSETPSSDEAEVYRALQGCLEMRKSYVFRESIAPWEKQVISDPSTPKRNPNPFEYTPETKSDHYFQMEDGVVHVYADKDSKEKLFPVADATTFFTDLHHILKILAAGNARTVCHHRLGLLEQKFNLHLMLNADKEFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTSQVFADLEASKYQMAEYRISIYGRKQSEWDNLASWIVNNELYSENVVWLIQLPRLYNIYKEMGIVTSFQTILDNVFLPLFEVTIDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPSQWTNIFNPAFSYYVYYCYANLYTLNKLRESKGMTTIRFRPHCGEAGDIDHLAASFLTTHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHVLKSHWIGQEYYKRGPDGNDIHKTNVPHIRLEFRDVIWREEMQQVYLGKANFPAYIET >cds-PLY81505.1 pep primary_assembly:Lsat_Salinas_v7:8:159105359:159106111:1 gene:gene-LSAT_8X106180 transcript:rna-gnl|WGS:NBSK|LSAT_8X106180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFNDSPPPSVSSGDFRSLKPDYAEEGEKVTAEAPPPNLSSAFIGSSQQQFPAVVQRTVPIVGYVAEAPELIALRPVVPSSNKLSEDSNREYWPEAAAGGGSYSALVHQNKSVYGIVDTDSYGQAPSYTGLVERNRTSNGSPTDDVNFDPTAQAGFSMVGKKFTIANQLLNQYQN >cds-PLY74232.1 pep primary_assembly:Lsat_Salinas_v7:1:78677337:78679193:1 gene:gene-LSAT_1X66200 transcript:rna-gnl|WGS:NBSK|LSAT_1X66200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDQNRHTAVISKVASRLHLSQDVRTHYSAFQNPAATQRQITYGNCPTPGLQSLVAANPSPVFVQAPSEKGMAGFAIDFLMGGVSAAVSKSAAAPIERVKLLVQNQDEMIKSGRLSEPYKGIGDCFSRTIKDEGVMSLWRGNTVNVIRYFPTQALNFAFKDHFKRMFNFKKDRDGYWKWFAGNLASGGAAGASSQIFVYSLDYARTRLANDAKAAKKGGERQFNGLIDVYRKTIATDGIAGLYRGFNISIVGIIVYRGLYFGMYDSLKPVLLTGSLQDSFFASFGLGWVITIGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQIIKKEGSKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGA >cds-PLY71507.1 pep primary_assembly:Lsat_Salinas_v7:2:121946090:121947434:1 gene:gene-LSAT_2X56801 transcript:rna-gnl|WGS:NBSK|LSAT_2X56801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKADKETHDFMNVESFSQLPFIRPSLLGEKSIRLFGKEFGGGAGAAADSSIVIINDESDSNDTAAVVQEESKETGESNRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQSTMFHGSFTEAQMYGLMNYHRLTAAPTHGPPYYHQQQPLSWRPNTTSNLYSTTSITNNTNRFYGGITTSSSHQTPINGNPLTVWRYPTARSSTFSDSLKVSRIGTGTNSQIRYPYEQKPSVQDQVSLDLHL >cds-PLY80111.1 pep primary_assembly:Lsat_Salinas_v7:5:147043282:147043710:-1 gene:gene-LSAT_5X64640 transcript:rna-gnl|WGS:NBSK|LSAT_5X64640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFGDDDDNDDLGGFTYIPFQIQFKSEDEATITKGKLQSLHENINQLLLTSNVSSSEAYSKAAVESLFERITKEHTSIAMNTNKVVTDYAVVCKTMTEKIDKLVSDTTAFMEEYQSTYNNNTVSANATLQNLGSMFKAEKDN >cds-PLY62906.1 pep primary_assembly:Lsat_Salinas_v7:4:326597603:326600034:-1 gene:gene-LSAT_4X162960 transcript:rna-gnl|WGS:NBSK|LSAT_4X162960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSTTSSPLGPPACEKDAKALQFIEDMTKNCDPVQENVLSEILSQNAATEYLQQWNLDGATDRKTFKSKVPVISYDDLLPYVNRIANGDRSPILSSHPISEFLTSSGTSAGERKLMPTIAAEMDRRQKLYSLLMPVMNLYVPGLDKGKGLYFLFIKAEAKTPSGLVARPVLTSYYKSQQFKTRPFDPYNVYTSPNETILCVDAFQSMYSQMLCGLLFREEVLRCGAVFASGLVRAIKFLQLNWQQLAMDVESGNLSNKITDSSIRECVSKILKPNPELAQFIREECCDGNWEGIITRIWPNTKYLDVIVTGAMAQYIPILDYYSGNLPKTCTMYASSECYFGLNLTPMVKPSEVCYTIMPNMGYFEFIPHDPENPVTISRDSPPQLLDLADLELGKEYELVISTYSGLCRYRVGDILQVKGFHNSAPQFKFIRRKNVLLSIDADKTDETELQAAIDNASVLLKEFNTTVVEYTSYGDTKTIPGHYVIYWELLMKDPANGPPENVLAQCCLAMEEALNSVYRQSRVADNSIGPLEIRVVKNGTFEELMDYAISRGASINQYKVPRCVTFTPIMELLDSRVVSAHFSPAAPHWTSERRF >cds-PLY87137.1 pep primary_assembly:Lsat_Salinas_v7:5:263336399:263336641:1 gene:gene-LSAT_5X135421 transcript:rna-gnl|WGS:NBSK|LSAT_5X135421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTPFINIDEDDYFSNHTSEHFTQPPPSVASPSVASPSGNPNKRAKPSSLRPRAPSVSPDPPSCASHKASIIADDLALEM >cds-PLY80407.1 pep primary_assembly:Lsat_Salinas_v7:8:171963291:171963834:-1 gene:gene-LSAT_8X112380 transcript:rna-gnl|WGS:NBSK|LSAT_8X112380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSYSSKRQDEFQADHPCDCNLPSRVKTSRTPDNPGRKFRVCQNSMNGKSPSCKFWQWLDEDEGRTDGRKPYWRKPEESCNLTLKICTLENEISICRMKIEQENNTNLVNRKELDKVKWKLFTHKVALFLLFVLYVKMVF >cds-PLY87073.1 pep primary_assembly:Lsat_Salinas_v7:5:261332874:261335503:-1 gene:gene-LSAT_5X134540 transcript:rna-gnl|WGS:NBSK|LSAT_5X134540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASITPLSSIGTFGSTNKAVTSSLESLSSFSSISSTPLAHRRQNVVMHRRSTLKVNAMAKEIYLNKDGSAIKKLQAGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAASKTNDLAGDGTTTSVVLAQGLITEGVKVVAAGANPIQITRGIEKTTKALVEELKKMSKEVTDSELADVAAVSAGNNEEVGQMIAEAMSKVGRKGVVTLEEGKSAENHLYVVEGMQFDRGYLSPYFVTDSEKMSVEFENCKLLLVDKKVTNARDLVGVLEDAIKGGYPILIIAEDVEQEALATLVVNKLRGSLKIAALKAPGFGDRKSQYLDDIAILTGGTVIREEVGLTLENAGSEVLGLAAKVVLTKDTTTIVGDGSTQELVNRRVAQIRNLVEAAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAIKETLENDEQKVGAEIVKRALGYPMKLIAKNAGVNGSVVIEKVLASDNYKFGYNAATGKYEDLMAAGIIDPTKVVRCCLEHASSVAKTFLTSDAVVVEIKEPEPMVAGNPMDNSGYGY >cds-PLY65199.1 pep primary_assembly:Lsat_Salinas_v7:7:60371653:60373026:1 gene:gene-LSAT_7X107661 transcript:rna-gnl|WGS:NBSK|LSAT_7X107661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSNPALNSWFQQQNPKVLSSPEPDFIHRNMRSPAISMHSSCSPNDSSKKLSRASSESDLIGFSHPKRRNSLSSVNSLLSSVAVEEDVEAEESENRGLLFSSSGLDYNEGCGVRLMEDGTGGSDGGGKICGGGGGNGDYNGSDGTDVYYQNMIEANPGNSLILGNYAKYLKEVRCDFSRAEEYCSRAILANPSDGNALSMYADLIWETRKDASRAQSYFDQAVKASPDDCYVMASYARFLWDAEEEDEEEEVSNMNLSSTSFFHESSQQFPIAAA >cds-PLY81119.1 pep primary_assembly:Lsat_Salinas_v7:9:67927843:67941001:1 gene:gene-LSAT_9X56781 transcript:rna-gnl|WGS:NBSK|LSAT_9X56781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF21 domain-containing protein At5g52790 [Source:Projected from Arabidopsis thaliana (AT5G52790) UniProtKB/Swiss-Prot;Acc:Q9LTD8] MAANDVPCCETMFWVYLASSIALVIFAGLMSGLTLGLMSLSLLDLEVLIKAGQPNDRKNAEKIMPIVKNQHLLLCTLLICNAIAMEALPIFLDSILLPWTAILISVTLVVAFGEIIPQAVCSRYGLAIGAKLSFIVRFLVIVVFPIAYPVSKLLDLILGKGHSMLLRRAELKTLVDMHGNEAGKGGELTHDEITIITGALDLAQKTVKDAMTPISEIFSLELYSKLNEDTMSLLLNKGHSRVPVYLGRPENIIGLILVKSLIKFRAEDEVQIKNLSIRKIPRIHECLPLYEMLNLFQKGQSHMAVVVRSKIALNTHNNSSGQLLTQIKVEKKGQNTKLSIYRSSSDPTSQNPAPDQNISSVLDSFPNPDEEIIGLITMEDVLEELLQEPILDERNEYVDVDNIMKINMLPSTLRSGATSASNYDWKTLVSSPLQASPISSHHQTSAITSPISPFIQSPFKKPTSYTSPTKSTPNSPMGHVSSSPSSYKKNLEEKELAMKTLFSVEVIHPYITTSPSLQWQPKTSISNKIPSQIPTKTWRIYAKAKGFNGETIQKQKLMMKEENKKKKEEADDKIEDVVMGRIITRILFNVGVPLVTGLGLLQVFSVIKEQNLWQIPRWLPFLTTFITFGASTLGIAYGTLSTSWDSEKKGSILGFEEAKENWVRMWEEDDGDNV >cds-PLY89398.1 pep primary_assembly:Lsat_Salinas_v7:4:121891250:121891788:1 gene:gene-LSAT_4X76340 transcript:rna-gnl|WGS:NBSK|LSAT_4X76340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLEDEIFHVLELPKNIRPSYNQVHLASYGESSSLTLCAHYLELNGEKRGMWVLSDYGGEDSLRKVCVISQPMLSIPPLLMKNDNEVLIVTNDGMLMLFDVNKNDMFDLKTCGLPRMYRAINYTASLALLHG >cds-PLY66953.1 pep primary_assembly:Lsat_Salinas_v7:7:21152537:21154774:1 gene:gene-LSAT_7X17361 transcript:rna-gnl|WGS:NBSK|LSAT_7X17361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQEVVDDMNTENAGSKQRMVAEEVLKHETPEIVAEVYNFRDLAHATENFNPDLLVGKGGFGRVYKGHLEGKDQDVAVKQLDMIGVQGNSEFLAEVLTLSRVRHPNLVNLIGYCANGHQRLLVYEYMTNGSLEEHIFDLDDDKTPFDWHTRMMLAKGVAKGLEYLHNEADPPIIYRDLKSSNILLDDDMNPKLSDFGLAKFGPKEGEDHISTRVMGTYGHSSPEYAMTGELTTKSDVYSFGVVFLELISGRKVIDHRRPSEEKNLITWAQPLFKDQSKHPMVADPLLNGDYPIKCLHQAIAIAAMCLQEEPNTRPYMSDVVVALDYLAMVQDDHKPSGD >cds-PLY73771.1 pep primary_assembly:Lsat_Salinas_v7:8:92407958:92409732:1 gene:gene-LSAT_8X64581 transcript:rna-gnl|WGS:NBSK|LSAT_8X64581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDEQLFPSTPGKIKIERAHHHYTMNRAVHRCFTSTSTIFLWALFLVALMASYMSIQSFVDSGSRYLHHTTSRGHGGIGGLLWEKQIRSSAQIRRSNGISVLVTGAAGFVGTHVSLALKKRGDGVVGVDNFNDYYDPSLKKARRGLLDSHNVFVVEGDINDRQLLAKLFDVVAFTHVMHLAAQAGVRYAMENPHSYVHSNVAGLVTLLEQCKSADPQPAVVWASSSSVYGLNDKSPFSESDRTDQPASLYAATKKAGEAITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKNRVDLARDFTYIDDIVKGCVASLDTSGKSTGSGGKKRGAAPYRIFNLGNTSPVTVPTLVSILEKNLKKKAKKYVLEMPGNGDVPFTHANISLARRKLGYKPTTDLPTGLRKFVKWYLSYYGYDQGKPVNFK >cds-PLY67589.1 pep primary_assembly:Lsat_Salinas_v7:5:74357059:74357292:-1 gene:gene-LSAT_5X35081 transcript:rna-gnl|WGS:NBSK|LSAT_5X35081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFICKQFYMKTGKCKFGSTCKFHHPKDITITTTKVEDGNGEAFTVGGGTNGNGNLELSIPLLALPCCITQNSSPLDR >cds-PLY90484.1 pep primary_assembly:Lsat_Salinas_v7:2:7330630:7331961:1 gene:gene-LSAT_2X3400 transcript:rna-gnl|WGS:NBSK|LSAT_2X3400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKGFLVIGHQNPLKHVETKYNECETLFNTWLAKQSLPVEAAIVTVTSALQGAAIGGLTSLPNDVSSSFSPPPPTTSLTLQTKASFQQSQAVAGWPLAQARNLAVTCGVNAGISCVLRRLRGKEDVQSNMAAGFGSGVMFSLVSGIGGSNQAATAITSGVLFALAQGGFFKIREKISMPNPEDVLYNETRSMLTSLGLGHYEKNFKKGLLTDNTLPLLTDRQVATMNALQDARIPPGPRLLILDHIERENEVKKTSGFPYKW >cds-PLY75906.1 pep primary_assembly:Lsat_Salinas_v7:9:198989825:198992697:-1 gene:gene-LSAT_9X122941 transcript:rna-gnl|WGS:NBSK|LSAT_9X122941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLKRSLGVGEEEGFTVANHEAKRRITSSNLAQNLICGFSSQELSLILEPLIRRCVREEVQRSCQSFFCTLPRSPLDAIEPSRKTSLQLRFLGNLSNTFFTGSRIESDDNTPLKLVLFDVNANRIVSSGPLSSLKIEIVPLDGDFVTDEEQDWSEKDFDSKIICARDGRRPLIAGNLVVTLENGVKEINELCFTDNSSWRRSRKFIIGARAKDASGRVRVREARSQAFMVKDHRGESYKKHHPPSLGDEIWRLEKIAKDGVFHKRLASHRICTVKDFLQMYITNQSLLRKLLGGSSNKTWETIIKHAKACVLDEKLYMYRCGPQGIGLLVDSILEVVGATFDGQNYLPLDKLPVFQMPMVESLKQQVYQNLNGMIPMDDLSVIGAPVPMANLHNYPLKSASLALPCVDIPLLHQDEVEMHMYGVVGSTSSEEEYFSPNLRNSFKTKEFMNGGGYGDDFNFQSLWQGDELYFDPNNQSIDIGICFSRNGSPRARWCKIRAALKWGSVRRDVAAKKMARLPSYLDFSV >cds-PLY95512.1 pep primary_assembly:Lsat_Salinas_v7:4:142810350:142810951:-1 gene:gene-LSAT_4X87661 transcript:rna-gnl|WGS:NBSK|LSAT_4X87661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIGPTFVFVVDGSSSEDELQALKNELLLIVAQLSENAMVGLIVFDSMVRVYDLGFTECLRVVVLHGERKPSSRQVLKRLTLMWRREKT >cds-PLY63799.1 pep primary_assembly:Lsat_Salinas_v7:6:26260802:26263053:1 gene:gene-LSAT_6X18481 transcript:rna-gnl|WGS:NBSK|LSAT_6X18481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKDQWLEAALTNDAMVAELLLRMNHSSSSDSSKATTNSTTLLPSFSWGHRKNRSKSTAPTTTVSNGFGKEHRGSPTTHLSWSGGGGSTSDGYDESSRPSDLSSGSRSVKANEVASTSKSHRRKSFHELKDEEQELASMRSNLNHEIAKNENLKRIKIDFDQNPMGRTAGIRSVRVVEEEETKRGFELPDLNMTPNEEESAMMMMS >cds-PLY66299.1 pep primary_assembly:Lsat_Salinas_v7:8:218763415:218764296:-1 gene:gene-LSAT_8X135780 transcript:rna-gnl|WGS:NBSK|LSAT_8X135780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSGFFIICLLHSSIAISCGGLIMFYLNEISVFGHGIETARKLSGSTPHDQLLIQTSHSFVGLLLCGIGLIYFMVAFVKDREFQSFFAKGCVILHLSMALWRIYFERKLEDLASDWPKQVVGDTLLATSWLSFLVYSWREKYD >cds-PLY82046.1 pep primary_assembly:Lsat_Salinas_v7:9:157217412:157217753:1 gene:gene-LSAT_9X98320 transcript:rna-gnl|WGS:NBSK|LSAT_9X98320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGEWTEARRRRWKNLPRTGDQLRWNHNGVTTMFVSNLPPKARKESLKKIFAKFGEVVDVYMAMKKDVNKKAFAFVRFRKAKDEHGLEKTLQGIKYDGRFLEVNIVMFERKPF >cds-PLY92177.1 pep primary_assembly:Lsat_Salinas_v7:8:5261878:5263781:1 gene:gene-LSAT_8X3941 transcript:rna-gnl|WGS:NBSK|LSAT_8X3941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQILILRSFGILLLLWVLLPSSIHIVDGDEDYGTQLLSAAKEDKDWLISIRRKLHEYPELLFQEHNTSALIRNELDKLGVSYTYPISKTGFVAQIGTGSPPIVALRADMDALPLQELVEWEHKSKNDGVMHGCGHDAHTTMLLGAAKLLNQRKDNLKGTVRLIFQPAEEGGAGASHMIKEGALGDSEAIFGMHVDYTIPTGTIATLSGPMLAAVCFFKAKIEGKGGHAAEPHNSVDPILAASSTVLALQQLISRELDPLQSQVLSVTYVRGGNASNVIPPYVELGGTLRSLTTKGLQHLQQRVKEVIEKQAAVHRCKGTVDMMEDEYPPYPATINDESLKQHVDMVGSMVLGSNGVKVAKKVMAGEDFAFYQEVIPGVMFGIGIRNEVVGSVHSPHSPYFFLDEDVLPFGAALHTAIAELYLNQRQTPFTV >cds-PLY99077.1 pep primary_assembly:Lsat_Salinas_v7:6:151637771:151642929:-1 gene:gene-LSAT_6X90960 transcript:rna-gnl|WGS:NBSK|LSAT_6X90960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDTDEDFFDKLVDDVDDHFKATSSSSTVNDSNKAKANSSINNGRNALKESEKVVIEDDKASSTISSGLIDELASNRVDPGQSLVSSSSFAFNSVNEEPNNAIRMEERFDSTEVQWSAFTTDPLHNTSNSFGSYSDFFTEFGDNSVHQSKGGNLIHNEVNSSNDYSHQYQEGQLYTTSGGQTTDNQDLNTSEYWENLYPGWKYDTNSGQWYQVDQSYDPTTNTQAVDTLSSASDYQASQSLVADKGMNESVTSWSPVSQTSQTNESQSQGNNGYPSHMYFDPQYPGWYYDMNLQEWRCLDSYNSQATTNTVNQGDHISSSNSYYGNEQQGSTGYQHVQNQYSSNFPVDNNNVNHQQQQQQQQQQQQQCYDYGNFNQSTTMEQSEMMNAGKGYGSQNQLTYSHHGSYGSNRSSAGQPPHALVTFGFGGKLIVMKDTSGGPISVLNMAEIVTGGGDMATGGTRICGYFHTLCRQSFPGPLAGGNVGGKELNRWIDERITQSHPLADMDYKKDQVLKLLLSLLKIASQHYGKLRSPFGTDTTSKENDAPDVAVARLFASAKKDTSQYGDYGAFANCLQQLPPEGQIRATAAEVQTLLVYGRKIEALQRAQEGQLWGIALVLAAQLGDQFYVDCVRKMGVHQLVAGSPLRTLCLLIAGQPADVFSTDSTTDSATVAAVNMSQSQLGANAMIDDWEENLAMITANRTKDDELVLIHLGDCLWKETSNIIAAHICYLVAEANFEAYSDSARLCLIGADHWKHPRTYACPEAIQRTEVYEYSKLLGNSQFTLIPFQPYKLIYANMLAEVGRVSDSLKYCQVISKSLKTGRAPEAETWRHMVSSLEDRIKTHQQGGFSTNLAPGKLVGKLLNLFDSTAHRVVGGLPPPVPSTSGPTAQNEHHTHPHHHNHNHQPRVSASQSTMAMSSLVPSASMESEEGNNRRIMHNRSVSEPDFGRSPRQDQVDSSKENPTDSQTNSSFTSRFGRFGFGSQLFQKTFSLVLKPRQDKQAKLGETNKFYYDDKLKRWVEEGVDPPAEEAALAPPPTTAAFQNGTPKTTAKNEGSLSNGGLEFSTGTTTVGHSSGIPPIPASSGQFSARGRMGVRARYVDTFNQGGGNPTKSFQSPPTTSLKPVTKSNPKFFVPVPSSQQSNSFHGNNTQQKTTSVDEINDSPPFQTPTYQSMQRFGSMDDLGKGGMGMPVFPSNTCSRRTVSWGGSMDQRFCNQRNRGNNGDGFMSEDLHEVQL >cds-PLY70281.1 pep primary_assembly:Lsat_Salinas_v7:2:91890028:91890249:-1 gene:gene-LSAT_2X40120 transcript:rna-gnl|WGS:NBSK|LSAT_2X40120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMRDVPDDQPQWMQIVDPDELHEVPLHLVGDPYFPHDAIVSLVDFLKEEHPSEDEEKDDPEEDEESDDEVIP >cds-PLY73825.1 pep primary_assembly:Lsat_Salinas_v7:7:67691055:67691612:-1 gene:gene-LSAT_7X48920 transcript:rna-gnl|WGS:NBSK|LSAT_7X48920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEDIEEVVPAEAETQGRHDTATINIGTNDNQTENMIPVTIDEYEKLHKATVKGDWYKAESIIRNKKHAVELEMSKDGSTILHLAVGIGHNEFVENLLWYIKDGDLCKRRSSDGSTALHIAAIVGNRYAAHLLVDKDKSLLLIKDHKGNEALHKAYENMHLDTLVYLFKASGTVAITTRCLFLHG >cds-PLY63207.1 pep primary_assembly:Lsat_Salinas_v7:6:86741501:86742895:-1 gene:gene-LSAT_6X60260 transcript:rna-gnl|WGS:NBSK|LSAT_6X60260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKYDHQMGRGGYATLRRKLIEENVISKEEIPPRSVMWCKGRESKGEFKDEDVKIMIDKLMEHEKQIKDGQVNVEPGTDAMTLVFGKEKGGFLKGVSTGVTYNRYFNVPRSKGSSKEEIIDLKVALHNGKLELEKKDDELKALSTKVNEQDQTLKLVLAHLNAKGADFPNLSHTIPIKCSLSYPYKRNVVARGTIHLSSERQFIHGVLYKMIAIKFPLMKWL >cds-PLY72287.1 pep primary_assembly:Lsat_Salinas_v7:3:167375650:167377581:-1 gene:gene-LSAT_3X103240 transcript:rna-gnl|WGS:NBSK|LSAT_3X103240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSRIAQIRLTSSHPQVYEPCDDSFALVDALLADRKHLLHHRPSLCIEIGSGSGYVITSLALILQDLKTTHYFATDINPHALKVTHETLQAHGVHAELLTTNIAAGLDRRLAGMVDVMVVNPPYVPTPEAEVGGDGIAAAWAGGENGRSVIDKILPIADKLLSEKGWLYMLFLAANDPLQICLGMREKGFASKIVVQRSTEEESLHVIKFWREFDGNEGGSLGKMGSPKGWEFLVSQFSRFSFRK >cds-PLY76846.1 pep primary_assembly:Lsat_Salinas_v7:3:5599104:5601592:1 gene:gene-LSAT_3X3801 transcript:rna-gnl|WGS:NBSK|LSAT_3X3801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVQDRNVTSQYTMTSSSPQTTVNGGENECNWFLQLMIRTLRFVACLKLCDLRSNSKRMDYSGGDEFEDAGTRTSLFSITDAFEEILNLIIDGRNGYFPVLKLNPFCDACSFVSVLVRDLEEALERYVSLSSVVDYDVKWKTVKSRGSHTHNLRRMQLHQLINKCVRHIIHGLLELHFLHEYVLFHQGNNFC >cds-PLY76012.1 pep primary_assembly:Lsat_Salinas_v7:5:318952726:318957110:-1 gene:gene-LSAT_5X174801 transcript:rna-gnl|WGS:NBSK|LSAT_5X174801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK4 [Source:Projected from Arabidopsis thaliana (AT5G58350) UniProtKB/Swiss-Prot;Acc:Q9LVL5] MLNPKSRSPSGDEDARHRYVETDPTGRYGRFKEVLGKGAMKTVYKAIDEVLGMEVAWGQVKLNNLLRSPEDLKRLYSEVHLLGTLNHDSIIKFYTSWIDVDRRTFNFITELFTSGTLKEYRKKYNKVDIRAIKIWARQILSGLIYLHGHDPPVIHRDLKCDNIFVNGHLSQVKIGDLGLAAILRGSFRAHTIIGTPEFMAPELYDENYNELVDVYSFGMCVLEMLTHEYPYSECTNPAQIYKKVTSGKLPRAFYKVKDLEAQCFIGKCLVNVSNRLSAKDLMLDPFLAMDEYGVLPVSKYVHQKPFMNDEIEIEKLSLGGNPPRTNMSIAGKLNPDDDTIILRVQIADREGSVRNVYFPFDILHDTADEVASEMVKELEITDWEPLDIADMIEGEISALVPTWNSDQHTHILNLNHDEDDDHNHPFRSLSSSSSSQLSFSGMTDSRQTDGMASGGDWLQDHLFDDTSSQGSLVSGTYSNLSYVSPEDHNEYSPKNGTHNTTRFSHKDEGLKNHEKRPNVTVDSHKASTSKASPGVGPRLTRNRSLVDMRSQLLHRSLVEEVSKRRLSKTVGTVEDVGFQAPVESSKSRLGWGQRLRLKGRRV >cds-PLY92301.1 pep primary_assembly:Lsat_Salinas_v7:2:209585934:209591388:1 gene:gene-LSAT_2X130020 transcript:rna-gnl|WGS:NBSK|LSAT_2X130020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRFVETSGDGWSKIRRQENQGQKNAITTYYVAGFPDGTCKKDLHEVFDRLGQIADIYIRGKKNRCKQNFAFIRYGGVIDTSGMELKMNGVRFRGVTLLANLAKYQKEGPNCKQIPRGKPKVSDAAPKNNFRSRDSRTFAQVAAGVNVAQQGNSPPIFLNAKTAMSEWSKKTVLIGEALSLDHIANLPEHTFSYENTKYLGGLKIGIKFGSSKEASEFLEDRSRWHEWFKWLNMNMNTDVQYERLAWLKITGVPLRYWDTDNFSKIACRFGKVVIPFENLYDRKDLSMGKVGVITSAKNWINEVVRIQVEGTEYGVGVVEDTVDWSPFNSCQFEKSEDGLESEGGDNDSEDDGVSDTWIPEEENDLEEGEFRCDDEPETWTKKTNRRVEVGNQPAIVENPKDATVELNGVIPQEGANLGGLNESVGMPHVMNEIQASVLEVARLRSDPGVVELDPDPKNVGLLDNSSPIKSFSSAPNNSKAPNSNSNSSSYPKNCSTEPKCKRRKRRRGSRSPLNGVVSSRANVPTQNSQEPMSSNEEVQLDLNREPLLSGSSEGSVETSSNEIIQTVAIGSELGFQMEVDNPILTELLGGLGNISIL >cds-PLY71666.1 pep primary_assembly:Lsat_Salinas_v7:8:113051858:113052991:-1 gene:gene-LSAT_8X74260 transcript:rna-gnl|WGS:NBSK|LSAT_8X74260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGNMLDPSKANDILKRVPVEPPFSLSDLKKAIPAHCFERSLIRSSYYVVHDLVVAYVFYFLADKYIPILPYPLAYLAWPVYWFCQASILTGLWVIGHECGHHAFSDYQWIDDTVGFIVHSALLTPYFSWKYSHRNHHANTNSLDNDEVYIPKRKSKVTLYSKILNNPPGRVFTLVFRLTLGFPLYLLTNISGKKYGRFANHFDPMSPIFTQRERIQVLLSDFGLLAAFYAIKLLVDNKGAAWVTCIYGVPVLGVFVFFVLITYLHHTHLSLPHYDSTEWNWIRGALSTIDRDFGFLNRVLHDVTHTHVLHHLISYIPHYHAKEARDAIKPVLGEFYKIDRTPIFKAMYREAKECIYIEPDEDSEQKGVYWYHKM >cds-PLY81247.1 pep primary_assembly:Lsat_Salinas_v7:4:306025339:306027641:-1 gene:gene-LSAT_4X155820 transcript:rna-gnl|WGS:NBSK|LSAT_4X155820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPKYFLLNFLTLIVLGECSTTIDKFYATCNDSFTCGTISGFQFPFRRQNDPTHCGYPGFEINCDEHNPPTITIKNMTYRIQSIDPTVQILKIVREDMIESICPQDQVNTTMDYNLFDYNSGYMNMSFLFGCPDSWNGMGGGFDLCGNNGGKPVFLTVGVHGPGDCGSSVVVPVPVEFVDPSASGRVVGNGFEVRWKVDDEVCTGCRQSGGQCVYDNSTRLTACSCPDQGFLADSCDGVNKTRDESSPSSTTARHHHLSLSPPP >cds-PLY67400.1 pep primary_assembly:Lsat_Salinas_v7:4:213527829:213528379:-1 gene:gene-LSAT_4X118220 transcript:rna-gnl|WGS:NBSK|LSAT_4X118220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTDMMYDLIEKAQSRDDSYELALDVVFQKHKATALDLLDGVELASFLSILSIDISNLKAMVTKSFSDFVVGHRELWSAQILSYVLRKKEQNLSKKLEYEGVVTYDTFGRQEME >cds-PLY70458.1 pep primary_assembly:Lsat_Salinas_v7:1:76447988:76448212:1 gene:gene-LSAT_1X63760 transcript:rna-gnl|WGS:NBSK|LSAT_1X63760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEDSVIPIKNNNNHDVYLAVLIVVGNKINNNVGLATHIGDNKVGGVDCEGWSKKQQYAKVSLHSSSVSSSP >cds-PLY69689.1 pep primary_assembly:Lsat_Salinas_v7:5:212670755:212672248:1 gene:gene-LSAT_5X98941 transcript:rna-gnl|WGS:NBSK|LSAT_5X98941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPRNNPFLQLKGFFSFLLHLLLQSVLPNRYKRSKMGVGNTLSPNCSIIGTNDGFIDREVFLNVYDLTPLNSYSIWLGFGVFHTGIEVYGMEYGFGAHDYSISGVFEVEPKSCPGFSYRCSISLGHLSMSASEFREFIEAMASDYHGDTYHLISKNCNHFSDDISQRITGKRIPGWVNRLAKIGALFSCLLPESLEVTTIKQMPDYHTYEDYGSDSASTSSSHEASENDEVDHRVLLSPATEIVLIREVPR >cds-PLY71602.1 pep primary_assembly:Lsat_Salinas_v7:2:103587311:103587553:-1 gene:gene-LSAT_2X45481 transcript:rna-gnl|WGS:NBSK|LSAT_2X45481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADVIKKKLLLFFGLLLVVPLVVYPLETSCLTSGRRLLKHSQPPKTTMATTIATTGATTHAEFHAAAHEVPSGPNPESNR >cds-PLY92957.1 pep primary_assembly:Lsat_Salinas_v7:5:47978953:47979475:-1 gene:gene-LSAT_5X23341 transcript:rna-gnl|WGS:NBSK|LSAT_5X23341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWYIYHDFTAPGFTESELQENEQNDEKSYCSEKNDEVSADLSGAKWEFRYGKLFVSCSYDRCASTSPAASQVHSFVAPGLVVNPPATAPTVSTSGAALNTTVVEPAGAEEPAVATEPQAAFAALSAPITAPFVPA >cds-PLY67996.1 pep primary_assembly:Lsat_Salinas_v7:1:195864171:195865057:-1 gene:gene-LSAT_1X125561 transcript:rna-gnl|WGS:NBSK|LSAT_1X125561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLACCSATIDHFLTDTHCKRSSVNSYGGASFKNDVDVNAILQIPTFVTTIGDIICSFSKQVDNTTNNNEENDDEEDD >cds-PLY95008.1 pep primary_assembly:Lsat_Salinas_v7:1:190453866:190462586:-1 gene:gene-LSAT_1X124260 transcript:rna-gnl|WGS:NBSK|LSAT_1X124260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWGLGWKRPSDVFHLSLHYGIEETIDDQNRSPSSSSPPSSSLSSEKDNHICNDQELGFRIDLDWNAADDDGQVALRLQSQVMVALPLPQDTVVITLSETACDDSGGDLVRVGMKVVKQRDPLRAVAMSRVGGSGQQYDGMGVLTKLMQSDFAAASGSGMGTGTGTGQAVVVGVRFIADHWTNVTVVSLYSCGLSLNSGGALDSWSYHLNTISSSVLFLISDGMQMENSSYFSSRHKLSAFFALIFRYSSCHHSLLASALAKMMQDEGNRVVIGKDENAVRQLISMISSENHHVVEQACSALTSLASDVSVSLQLMKCDIMQPIQRVLNSAGPQELKSVLQVVAKLGFVSDTVAQKMMNKDVVRSLKILCAHKDPEVQRASLLAIGNLAFCLENRLMLVASESLRDLLLRLTVAFELRVRKAAARVLAILGGFCLVGGWRDRIIIYTGLYGPTTALIKAFLDSGAKAVICPTIQPEETQTHLTSFHGSFNLTELERVKFEIGVEDLEDEDVMTVGPMSPGSEWEAMTMERETPTPGVAGGRGTLIWDDDDEEDLSNFTHHLYDSIYLRGERVDVALLNALDAHRTIRYSCHLPRLL >cds-PLY85187.1 pep primary_assembly:Lsat_Salinas_v7:9:146838399:146838776:-1 gene:gene-LSAT_9X94361 transcript:rna-gnl|WGS:NBSK|LSAT_9X94361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIWLCKRCETMSAKLRVLEHILLLETYTQESVPTLHNIRKYPVEAIEEASLAYNKVVCCFLHHFHTVTIVGQVGTHS >cds-PLY92729.1 pep primary_assembly:Lsat_Salinas_v7:7:5154855:5157928:-1 gene:gene-LSAT_7X4700 transcript:rna-gnl|WGS:NBSK|LSAT_7X4700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDDFEETSDLGFESSTISSLDQGADDHDHDHDESPTVNSTLSGNSFAYYRTNSETSAFSEQLTDDNNSCSSETQSPVSWPATGRSPYRPALSRFGLVKPNHKFETEDKPESREPMDLELEMMKERFSKLLLGEDMSGSGKGVSTAVTVSNAITNLYASMFGQHQKLEPLHPEKKMMWKREMNCLLSVCDYIVEFIPSSHSLQNGKAMEVMSSRPRSDIYINLPALKKLDALLLEILESFKETEFWYVEQGSMSNNSRTGSFRRIPQPQRKDEKWWLPVPCVGSEGLSDTARKHLRQKRDAANQIHKAAMAINSSILAEMEIPHTYITSLPKCGRTSVGDTIYRYMTSGGKFSPEYLLDCLNISSEHEALELADRVEASMYTWRRKACASYSKSSWEMVKQHVAEVERSDKNVILADRADSLLFSLKQRYPELSQTTLDTSKIQYNKDVGQAILESYSRVLEGLAFNIVAWTEDVLFEDKSMRNQES >cds-PLY63721.1 pep primary_assembly:Lsat_Salinas_v7:9:85923159:85924830:1 gene:gene-LSAT_9X67940 transcript:rna-gnl|WGS:NBSK|LSAT_9X67940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADAPGSPAGGSQSGGDRSPQSNYNIREQDRFLPIANISRIMKKALPTNGKIAKDAKDTVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIDPLKAYLFRYREGDAKVCASASARGGDGSSKKDTVESQLAPNAQFTHQGSFTQGMNFVNSQV >cds-PLY83253.1 pep primary_assembly:Lsat_Salinas_v7:4:146466866:146467174:-1 gene:gene-LSAT_4X89441 transcript:rna-gnl|WGS:NBSK|LSAT_4X89441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQANLVLDCVGPFCLHGETAVDACVEVGRNYLDISCELEFMEMMEVVYHEKVIEKGILVILVCGFDSIPAKIGLMFNSRQWVSLAIPNRVEAYLTLGSVK >cds-PLY87125.1 pep primary_assembly:Lsat_Salinas_v7:5:258081647:258090746:1 gene:gene-LSAT_5X133361 transcript:rna-gnl|WGS:NBSK|LSAT_5X133361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDIYKASSSMRASSSGSGMMSTLRSASTSVWRNSGMDVFTKSTREENDEEALKWASLEKLPTFDRLKRGLLFGSRGPSYEVDVDNLDYNDRKRLLDRLVRIADEDNEKFLLKLRNRIDRVGIDLPTIEVKFEHMTVEADINTGSRALPSFINFHIDIFEGFLSLFHMLPNSKKHITILDDVSGVVKPSRMTLLLGPPSSGKTTLLLALAGKLDKDLKSSGKVTYNGHELHEFVPERTSAYISQNDVHIGEMTVRETLAFSAQCQGVGSRYEMLAELSRREKDANIKPDPDIDVFMKAAASERQEASVMTDYTLKLLGLDICADTMVGDQMVRGISGGQKKRVTTGEMIVGPSNVLLMDEISTGLDSSTTFQIVKSLRQFLHILEGTAVISLLQPAPETYDLFDDIILLTDGKIVYQGPRDNVLEFFESLGFKCPERKGVADFLQEVTSKKDQQQYWMRRNEHYRFVTAKEFSDAFRSFHVGKRLGEDIASTYDKARSHPAALTSKKYGINKKDLLKACTHREILLMKRNSFVYIFKLSQLFIMALVCMTVFFRTELHRGSVEDGGLYTGALFFGVVMIMFNGMSEISMTIAKLPVYYKQRDFLFYPSWAYALPSWIIKIPVSLIESALWTILTYYVIGFDPNINRFFRQYFLLLFVNQMSSALFRFIGALGRNMIVANTFGSFALLLVFALGGFVLSRDDIKKWWLWGYWSSPMMYAMNGIVANEFLGHQWKKTLNDTTLGKSVITARGFFAEDYWYWIAVVAMIGFILVYNFCFALSLAFLNPFGQSRSTVSTQTQSGTGAVELTSPGGGSNQKKKKGMILPFEPHCITFNDVKYSVDMPLEMREQGLNEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARISGYCEQNDIHSPYVTVYESLLYSAWLRLSTDDEGTRKMFVDEVMGLVELTPLRDALVGLPGINGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQELYVGPVGRHSCQLIRYFEDINGVSRIKDGYNPATWMLEVSTTAQELSLGVDFTEIYRNSELYRLTEVSNQDCHYFTCQKQYKFVLVSLTEVSDQDRHILHRRNKALIAELSISRPDTKDLYFPTQFAQPFMVQCIACLWKQRWSYWRNPPYTAVRFAFTTFIGVMFGTMFWDLGGKRKTQQQLTNAMGSMYMAVLFLGVQNASAVQPVVDVERTVFYRERAAGMYSALPYAFAQVLVEVPYVFAQTAFYSLIVYAMIGFEWTAAKFFYYFFFQFCCLLYMTFYGMMTVAITPNANIAAIIAASFYGFFNLFSGFIIPRPKIPVWWRWYYWCNPMAWTIYGMVVSQFGDYDDVLTNGETVKGYLDRYLGYKHDFLGTIAGVHVGLVVVFGFIFAYCIKSFNFQKR >cds-PLY67097.1 pep primary_assembly:Lsat_Salinas_v7:5:280358740:280359400:-1 gene:gene-LSAT_5X146741 transcript:rna-gnl|WGS:NBSK|LSAT_5X146741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRKGRKMWWVVPCRSKRSLQTESRMDIEQILKAKLETIKEESEAMDDTCTTGQLTKHRCSMMKKEKKFYMKVAMGGVFQPHFSLKFKDSYLLFMSGFVSKRCLGAIPQC >cds-PLY64590.1 pep primary_assembly:Lsat_Salinas_v7:6:34828471:34828774:-1 gene:gene-LSAT_6X24840 transcript:rna-gnl|WGS:NBSK|LSAT_6X24840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTEVMKTSMFSIDDGFNVYDSNNEFIFRVESYGPNNRDANELVLKDSSGRCLLIVCRKSKSTSIMRRFFR >cds-PLY80556.1 pep primary_assembly:Lsat_Salinas_v7:6:12548503:12549855:-1 gene:gene-LSAT_6X10180 transcript:rna-gnl|WGS:NBSK|LSAT_6X10180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPTTHSLPPPFHTRNFNLHQFQQQNSEDEQSGTSGLNMVGHKIDREEKNNDEILNTSGGGGSSEGKDGEMGRRPRGRPSGSKNKPKPPIIITRDSANALRTHVMEVSDGCDVMDSISTFARRRQRGVCIISGTGTVTNVTLRQPASPGAVVTLHGRFEILSLSGSFLPPPAPPAATGLTIYLAGGQGQVVGGSVVGALLAAGPVVIMAASFSNAAYERLPLEDEESTLPMPGGSLGSPGAITPSSQQQQQQLMGDPSLFQGMPPNLLNSVQLPNDAYWGNNGGGRPSF >cds-PLY95574.1 pep primary_assembly:Lsat_Salinas_v7:1:184985576:184986116:1 gene:gene-LSAT_1X120260 transcript:rna-gnl|WGS:NBSK|LSAT_1X120260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTHTHTITFWTNGFTVNDGPLRRLDDPQNASFLESIRNSECLEELRPAKGRAPILCQSCEEARRLPYRSLTMSTVLTSDNISDEGAMNTHTTG >cds-PLY93669.1 pep primary_assembly:Lsat_Salinas_v7:2:201671504:201673332:-1 gene:gene-LSAT_2X122861 transcript:rna-gnl|WGS:NBSK|LSAT_2X122861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFQVTLEGKFYTCKHCKTHLALSDDIVSKAFHCKHGKAYLFNKVVNVTVGVKEDRLMMTGLHTVADIFCVKCGSIVGWTYETAHEKNQKYKEGKSVLERCKLSGPDGSSYWVSHEVHAHFGGSDQEDV >cds-PLY75726.1 pep primary_assembly:Lsat_Salinas_v7:4:333471159:333471709:-1 gene:gene-LSAT_4X165060 transcript:rna-gnl|WGS:NBSK|LSAT_4X165060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAACDVDFLVLIDSIGLLSGILTQEDIATRVINHGIDHLVNTPVSKVMTWNPIFVLSDTLYVEALQKMVQGEVTRLPVIEKGEVIGLVCIMDCLRDADKIMGRKAAKLMAANWDALERYC >cds-PLY88917.1 pep primary_assembly:Lsat_Salinas_v7:3:118420374:118421336:-1 gene:gene-LSAT_3X83600 transcript:rna-gnl|WGS:NBSK|LSAT_3X83600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVKLMCSYGGRIQQRPHDLQLSYINGHTKILTVNRDINFSTLLCKLHDLCEYNLQIQIKYKLPGHDLEALVSVFDDDDVDQMMFEYDLLRRGSITPPRFRLFVFFPATVTPVASGSLNPDFLFGFDKEYSFETSEIRENSDVGATLPGNAVVGGGALKRSPEVWTGESYVYPPPMVYRPPVKVGGYFEAGLYNLYANCGGTQRR >cds-PLY90338.1 pep primary_assembly:Lsat_Salinas_v7:2:198756085:198758096:-1 gene:gene-LSAT_2X120001 transcript:rna-gnl|WGS:NBSK|LSAT_2X120001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPHVLIFPLSMQGPLNCMLKLAELLCLSGISVTVLNTDHIQRSLIRHTDVLSRFSRYTNFRFETISDGLPDDHPRSGERFLEILEGFRTVTEPVFREMMVSGCFSSKSGFPVTVIIPDGSFSFALDVAEEIEIPLIYFETVSPCALWTYLCLPKLIQAGEVPFNGDLINFFPFTGDALQHCNDLDMPVKSVPGTETFLRRRDLPSFYRCDDLVNPVIHIIMNEAQHVPRAQGLIINTFEALDAPILVHMRNLCPNIYSIGPLHTLLKSRLQIAHKSNVSNSLWEENRACLSWLDTQPEKSVVYVSIGSMATMTVDQFFEIWHGLVNSGQPFLWVKRPGSVVGEYDDGEVSKHLMDETKERGFMATWVPQEEVLAHSAIGVFLTHSGWNSTMESIVERVPMICWPHNVDQQVNSRFVSEVWKIGIDIKDSCDRVIIEKAVKDVIFQRKNEFISSVKAMAEYSVQSVAEGGSSYMNLIKLVEDIKSMGNKAL >cds-PLY82677.1 pep primary_assembly:Lsat_Salinas_v7:4:62682713:62686598:1 gene:gene-LSAT_4X43461 transcript:rna-gnl|WGS:NBSK|LSAT_4X43461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKIALPSSQNLRRNSLTISASVATAEKPSTAPEEIVLQPIKEISGTVNLPGSKSLSNRILLLAALSEGTTVVDNLLNSDDVHYMLGALRALGLHVEENGALKRAIVEGCGGVFPVGRESKDEIQLFLGNAGTAMRPLTAAVTAAGGSSSYILDGVPRMRERPIGDLVTGLKQLGADVDCFLGTDCPPVRVVGSGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIIDKLISIPYVEMTLKLMERFGVSVQHSDTWDRFHVQGRQEMLMWKVMLQVLATFWRGXXXAAITGGTITVEGCGTSSLQGDVKFAEVLGQMGAQVTWTENSVTVKGPPRDPSGRKHLRPVDVNMNKMPDVAMTLAVVALYADGPTAIRDVASWRVKETERMIAICTELRKLGATVEEGPDYCIITPPEKLNVTAIDTYDDHRMAMAFSLAACADVAVTIKDPGCTRKTFPDYFEVLQRFAKH >cds-PLY71718.1 pep primary_assembly:Lsat_Salinas_v7:3:46776751:46780264:1 gene:gene-LSAT_3X36061 transcript:rna-gnl|WGS:NBSK|LSAT_3X36061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVQTSSKISTPSSKLSSKRFLFDKRYGYVYDEWREPSEVALAYGRGMFCIVPLGKALLTMVSESVNLAASRTIQVVERPDQLSPQSLQAKLNNNIMFPIKNIFRPKHISISPLHVTSASSHSHANSHE >cds-PLY87801.1 pep primary_assembly:Lsat_Salinas_v7:MU040335.1:27582:28200:-1 gene:gene-LSAT_0X31620 transcript:rna-gnl|WGS:NBSK|LSAT_0X31620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADGQVLLHSDGHLKMLGGYLEFFMEPSLAELYHNLRKDHDELFQYKHFFDTSVYGSGFPRATEERPSPLVCIA >cds-PLY97081.1 pep primary_assembly:Lsat_Salinas_v7:4:74711652:74713769:1 gene:gene-LSAT_4X50200 transcript:rna-gnl|WGS:NBSK|LSAT_4X50200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANVSEFVSRLSMKVAVVTGGAQGIGEATARLFIKHGAKVVIADIQDDLGQEVCKDIGLENALFVHCDVTVESDVENVINITLAKYGRLDIMVNNAAIVDDGKLSILDNDMLDFERVMKVNVTGVFLGTKHAARAMISARRGSIINLGSVSGSVGGIVSHAYSTSKHALVGLTKNTAAELGQYGIRVNCLSPHFIPSPIAINYVKDYPDKYSKVYSNLKGISLSIEDVAEAALFLASDEAKYMSGHNLVLDGGFTVINPAFGLFARASPTE >cds-PLY69812.1 pep primary_assembly:Lsat_Salinas_v7:8:259554073:259558783:1 gene:gene-LSAT_8X151160 transcript:rna-gnl|WGS:NBSK|LSAT_8X151160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKNMLLSALNNHKVDTLYIDNTYCNPSYSFPSREVAAQQGLVGQTEAAEKVVPYNVENIVSDNVEECLEEETVALNTVVEKNLYEFGRLTDKTFSDGEESNEGWSEDEFTHGKKALDIFDGMPPIPDCPDPIVEPGPFHSLGPNDDMLVRQTYENKQQLIFALSLKATREKFQFKTKHSNKNRYEVYCEIENYSWRLYAKRLDPTDEFEIRTFNNMHTCSSLHIHPNHKHANKKVMGTILHEIMGKTRSKVWRPNKISRDLNVLLEINVDYKQAWCAKQYAMELLLGSSEECFSKLPIYFHNLKRHNPGTIAYIQTDYEDCFECCFYAIGSTIRALKSFCRKVIIMDGAHFKGDFKGTILHVVAMDENNQILPLAHGICKKESGLTWKWFLEKLYECVGDCQELTFVNDRADAIRISIENDFPHSHHGLCAFHILGNIVHNFGKNDKTKVLFRRLVKAFKRNVFEELWYRFSSTRPQVAAYLSEIPRAKWTRAYSTSKRYNYMTSNNAESMNALSVDARKMPIIPLLEFFRRLS >cds-PLY89895.1 pep primary_assembly:Lsat_Salinas_v7:8:68711259:68719639:1 gene:gene-LSAT_8X49000 transcript:rna-gnl|WGS:NBSK|LSAT_8X49000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSSSSLGLVFSSQLWKYHVFLSFRGEDTRKTFVDHLYTTLEQQGIHTYKDDETLPRGESIGPSLMMAIEESQMAIITFSKNYTSSSWCLDELLENTNKKVESWRKALVDAANVSGWEPQQIANGHESKCIKNIIDTISHRLHPLTSSVDDNLVGVEARMQHLISDLQIGLDGVLMIGIWGVGGGGKTTLASCFYDEISIKFDGCCSVKNIREESSNKDGLERLQEKILYGVLKQKQVEVGRVEEGRHMIRDRLQHKKVLIVLDDVDKVEHLEELAGSRDWYGEGSRIIITTRDAHVLTGHKVDVIHNITLLNNDEAMKLFCKHAPRGHICIEDYEQLSKDVVSYAGGLPLALRVLGRFLCDKEINEWRSALDRLKEIPETDILDKLKISFDGLKPVERELFLDIACFFRRAPSWYASEYIMKILDACGFHPVIGIKVLVQKALITISAYGDFDMHDLVQEMAQYIVRGEHPNNPEKHSRVWKKEDVLNMCYGCNNGNRXXXXXXXXXXXXXXXXXXXXXXXXXXIYIERERERERGKTY >cds-PLY88745.1 pep primary_assembly:Lsat_Salinas_v7:4:166932878:166948385:-1 gene:gene-LSAT_4X99780 transcript:rna-gnl|WGS:NBSK|LSAT_4X99780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEEWNPSFRRNVARSIGRSFSSAAGSWRMEDAFSGVGGGSHDGRSSRHSIEDEEALRWAALEKLPTYSRLRTTIFKSYIPADQQEMHSDQMLMDVRELDPVTRQNFIDKVFMLPEEDNERFLRKFRDRVHKVGISLPTVEVRFQKLSIEADCHVGNRALPTLPNSARNIVEAFLAAIGINLSKKAKLRILKDVSGVIKPSRMALVLGPPSSGKTTLLLALAGRLDKNLKVDGEITYNGHKLNEFEPRRTAAYISQNDIHAGEMTVKETLDFSARCQGVGSRLELLTELARREKEAGITPEAEVDLYMKATAIEADESSLITYYTLRILGLDICRDTLVGDQMRRGISGGQKKRVTTGEMLVGPAKTLFMDEISTGLDSSTTFQIVKCLQQIVHLTDSTIFMSLLQPAPETFDLFDDIILLSEGQIVYQGPRENVLEFFESCGFICPERKGIADFLQEVTSKKDQQQYWADKRKPYRYIPVSEFNEKFKCFHVGEKLKSELSIPYDKNESHKAALVFQKYLVPKMELLKASWDKELLLMKRNAFIYIFKSIQIVFVAFIATTLYLRTTMHHRNEQDGVIYVGALLNSLLINMFNGFADLSLIIMRLPVIYKQRDLMFHPSWAFTLPAFLLRIPISIVESIMWCGILYFGVDLAPDAGRFFKHLLLVFLIQNVAAGLFRLIAGVCKTMNISNTGGAVVLLLIFLLGGFILPKTRIPNWWEWAYWISPLSYGFKAFAINEFRDPRWTSKRSSDNSTNMGYAVLNNLDIPTKESHYWIGAAALLGFVLLFNILFTIALMYLEAPGKPQAIISKEEAAAMEGSQHTNLEPISHPRGTSDTKKGMVLQFTPYAMSFDNINYFVDMPHEMREDGVTEKRLQLLSDVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKKQETFARISGYCEQTDIHSPTITVQESLIYSAFLRLPKQISKEDKTIFVREVMELVELDNIKDAIVGLPGVSGLSTEQRKRLTIGVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFESFDELMLLKRGGKVIYAGSLGRHSQNVIDHFEEVDGVQKIPDKYNPATWMLEVSSGVAEIRLGIDFADHYSASPLQQRNKAMVKELSVPPLGVEDLHFETQYAQSMWGQFTSCLWKMWRSYWKNPDYNLVRFFFTLLCALMVGTVFWKVGSKKSSSNDLSTTIGAMYSAVFFIGVSNCQTVQPVVATERIVFYRERAAGMYSSLPYAMAQVFVEIPYVFLQTTYYTLIVYAMVSFEWTAPKFFWFFFINFFSFLYFTYYGMMTVSITPNEQIAAIFAAGFYLLFNIFSGFYIPQPKIPRWWVWYYWICPMAWTVYGCIVSQYHDSTDTIMVPGMEQGPLLTSYIKDYYGFELDFMGPVAAVLIGFCVFFAFLYATFLRTLNFQMR >cds-PLY78280.1 pep primary_assembly:Lsat_Salinas_v7:1:131174243:131188594:1 gene:gene-LSAT_1X98020 transcript:rna-gnl|WGS:NBSK|LSAT_1X98020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGFQNYTAISDFRLVNRRKLGACLGKNPYRKIQVNSKSELLDDQMITVTVSGVLLPSKSDWVGMITPSNANINACPQNVILYQQTGDLSAQPLLCHYPVKAQFVSNDPGYIGCKKKECKKYDQMGKCLVTTCSASITFHVINIRTDIQFVFFGAGFQTPCVLATSELLKFSNPKKPLYGHLSSVDSTGTSMRLTWVSGDKNPQQVQYANGKSQTSRVTTFSQDSMCTSALPSPAVDFGWHDPGYIHSAVMTGLKPSTRFSYRYGSNSAGWSAKINFKTPPSGGSNELKFLAFGDMGKAPRDASIEHYIQPGSIAVTQAMANEISSGNVDSIFHIGDISYATGFLVEWDFFLHLITPVASQVSYMTAIGNHERDYVSSGSLYITPDSGGECGVPYESYFPMPTPAKDKPWYSIEQGSVHFVVISTEHDWSRNSEQYQWMSRDMASVDRSRTPWLIFTGHRPMYSSCGSVDIRFLLAVEPLLVANKVDLVMFGHVHNYERTCAVYKSKCLAMPKKGADGVDTYDNSNYQAPVHTIIGMAGFRLDGFPPKPGSWSLSRISEFGYARVHATKTQLNFEFVNAYTKKIEDRFRIIKS >cds-PLY66494.1 pep primary_assembly:Lsat_Salinas_v7:5:109484390:109486549:-1 gene:gene-LSAT_5X46941 transcript:rna-gnl|WGS:NBSK|LSAT_5X46941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGICISRASPEIHEIDYGHDNIIYYQHTPSDKRIGSIHTHQGSKGFNQDAAILYQDYGMEDGAFGGVFDGHGRNGQIVSKFVRNKLPSLIINERNSSIAKGKTVIEDDENESKDFQIWRDACFGAFKVMDKEIKVIEHVDCSCSGTTAVIVIKQGEDLVIANLGDSRAVLGTIAENGITPVQLTIDLKPSVPSEADRIRKSNGRVMALREEPHIDRVWLPHHDSPGLAMSRAFGDFVLKSHGIIAVPDVSYHRLTPKDQFLVLASDGVWDVLSNNTVASIVWSADSEESAAKAVVVAATAAWKQKFPSSKRDDCTAICFFLQKEPSSKLV >cds-PLY89386.1 pep primary_assembly:Lsat_Salinas_v7:4:122458104:122463075:1 gene:gene-LSAT_4X77200 transcript:rna-gnl|WGS:NBSK|LSAT_4X77200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEENPKNVLEVPLIVPSSRTENGCDHINLGGRIRRFRWVKIKSSIVISDSIIELKQQLKLAGPLCVVSLLQYSLEIISVMFVGHLGELSLSAASMATSFASVTGFSFMLGMGSALETFCGQAYGAEQYRMLGVHTQRAMVVLMLMAIPISIIWTFTCTIFTFFGQDLEISTKAGSYTRWLIPSIFPYGLLQCQLRFLQAQSNTKVLMISTGLTSLIHILVCWILVFKLGLGCEGAALSCAVSYWINVLILSIYIKFSPAFEKTWTGFCKEGVTNLGDFLVLSIPSALMVCLEYWSYEFLVFMSGLLPNPKLETSMMSVSLTTSSVIFRIPYGFGSAVSTRVSNELGAGKPKAAQLAARVVIFLAITEGVFISLLLVAIRHIWGYLFTNEKEVVSYMSSIMPVLALSNFMDGIQGVLSGTARGCGWQNIGAIVNLGAYYIVGLPCSATLTFLLHYGGMGLWIGIIGGSGLQAIVFLVITMRTNWEQQTRIRPFWKQTPAPSMNVPYLRLRVCQSFSEWGKKQIA >cds-PLY66213.1 pep primary_assembly:Lsat_Salinas_v7:1:175616459:175616740:1 gene:gene-LSAT_1X116060 transcript:rna-gnl|WGS:NBSK|LSAT_1X116060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGCDDLGLSQQLSGFPFASSNGDVAAPSDDWVAVANGGWELEVAADIRHLEQQQRDAIANHVGEVFSSMEPGSSSNREMQRRRSKWRGRSV >cds-PLY63867.1 pep primary_assembly:Lsat_Salinas_v7:1:125438125:125443859:1 gene:gene-LSAT_1X94481 transcript:rna-gnl|WGS:NBSK|LSAT_1X94481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSQLPEGSSSTDGYSSSTRVPTSSTHGRSSSTCGHRYDIFLSFRGVDTRHSFTDHLHKALIDANITTFLDDEEIETGEDLKPELETAIKASRASVIVLSKNYASSTWCLDELVLILEQRMTSNHIVIPIFYHVEPTHVRKQQSSFGDSMAKHKQTMDAETNANKRSQWAQKMERWNKALTQVADLKGNDVKGRLETEFIEEIVKDIHHRLYVPLRSVKPQLIGMKDDINFITSWLKDGSSHMADILTISGMGGIGKTSLAKHVYGLYSHEFHKSSCIEDISRICDGKFKLLDLQEQLYSDISKTSSIKVHDALVYTSKIENVVARQSVFLVLDDISTIDQLDALLGSKGFHPGSKIIITTKDKHLTKSCALFKTNIEPMHKELVLQGLHEIKAWQLLCLHAFKCNYPKKGYKEVSYKLVKYCQGHPLALEVLGKSLYDRDVTYWEGCIEGLKKETDSHVNNVLRMSFNSLPSKNDKDLFKYIACFFVGKDRDLTETILKACNINIRSGIPNLLDRFLLSIGRKNELKMHQLVQEMGRFEVHQESLDKPWKRSLLWCHEESFRVLKRKKGKGKLLGLTLDMHMLEKEKLDVSYELKTDALSNMDNLMLLQLNYVHMNGSYANFPEELRGLCMHGFRLKSIPLDLPMRNLVALDMSYSNIEAFVGCYNNSQRLEKRQTLDESCLKEKRLFGSLKILNLSFCKQLRSLGDFDQLPALERLIVRHCIGLLEVCESIEKCVELLFIDLSYCKKLEKLPRNIGMLKNVKTMLLDGCSPGGSRIQNRGMDALELGKADNIHINTRTSSSAFVGAIPSDLKLFTIYLPRSLVSLSLAYSNLSTKSFPTDLSCLSMLKELYLDGNPINSMPSCVRTLPRLEMLSMQQCKKLKSIEHPPRTLSKLLFPVDERVLRKVVFDPNMSPLDLLRSSMVYSGLSYEIEGIVKIQPMVGVEEKVLRSLGWSNLDFLNERHLGANSWESETQQMFYEFGIFSTMYEAEEMPSWFRHRSVGPSISFTIPPSSPNNLLTGLNFCSLHTLKPPVEWWNCFPFTPMMTISNITKNRMWIYERCFDRGILSRDCCVLLSHWMFRMNEMEGGDHITITVTLPNYRVVKECGVSLVYEDDGVKKDEEEDVLGYYKSWNHIIGGDLSPFQTTTGQFILRNRQFFTRGIVLSPYHRKFVPDGPDIQAKKEDCWFRALSPRKPGIIGGAHEGEGESSRCHSSHEKD >cds-PLY66331.1 pep primary_assembly:Lsat_Salinas_v7:5:288967967:288969535:1 gene:gene-LSAT_5X153041 transcript:rna-gnl|WGS:NBSK|LSAT_5X153041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTQNMISNVFKKYLAIGLVGITVTDRYGGVCPIRGSSMSPTFNPSVGSFTDDYVFLEKFCLDKYKFSHGDVVIFSDPTNYKERCVKRIVAMEGDYISNVGGVVKVPEGHCWVEGDNSASSFDSRSFGPIPLGLIHGRVTHIVWPPQRIRKIDRRIPQEGLA >cds-PLY90853.1 pep primary_assembly:Lsat_Salinas_v7:9:161153375:161153803:1 gene:gene-LSAT_9X101721 transcript:rna-gnl|WGS:NBSK|LSAT_9X101721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHKLFRAPNCGEARGGRPAYLPYWVGPVGDAFGREEEEYGLLPFSWWSRVIHPPLMVLGSYRHRYGSSLFVPFILIVDQWSLIHKLRRLFGCDCLIEIIGVWVEAVKSVDKKKNEQRVVGGWDCQGRRRGAEDGGGNTVVP >cds-PLY94825.1 pep primary_assembly:Lsat_Salinas_v7:2:179813572:179818469:1 gene:gene-LSAT_2X101800 transcript:rna-gnl|WGS:NBSK|LSAT_2X101800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPGNGVHTEDENGVINVVDHENSNEVEEGLESTKVEVSESSENVNATKIPNSSEVTTNGNGGTSKNNKVAKNGSKGSILARKPKPHLTQSLSFPSKPQNPNSMRASIDGHPGKQPATVSNGNAMNPANRRASTGVKTKEKSASIDGTPSRRATLDSVRVSRPRKSNGSEDAPDGQHKNSVIGFSSRLEERAEKRKEFFSKIEEKIHAKEAEKTNLQEKSKESQEAEIKQLRKSLMFKAAPMPKFYKEPPPKVDLKKIPTTRPKSPKLGRNKSNVGTPTTTNGDKDKDTTAPVAVSKKPLRKSVSKNEVKPGKSKEKPVKTQVQAQEEEEEEEKPSNEIPSPNPLQVEARIEEEEEVNGECEPPVLSADIVVGG >cds-PLY81398.1 pep primary_assembly:Lsat_Salinas_v7:8:31923485:31927518:1 gene:gene-LSAT_8X24801 transcript:rna-gnl|WGS:NBSK|LSAT_8X24801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMDYNGDAGFLDPELLQLNEVSPLALKSNPYVAEKLFEQWLSLPETTLLVKSLFNNAKAGVPLNVSGSVSSPKASSMNSIPSMFPAGSAPPLSPRSSSGSPRITKQRAGPSVLGSPLKVLSEPVKELIPQFYFQNGPPPNELKERCLLRSNQFFYGHSDGLQLNEFKLLTKEICKLPSFFSTALFKKIDVDGTGVVTRDAFVDYWVNGNMLIKDVATQIYTILKQPDLRYLTQEDFKPILRELLATHPGLEFLQSTPEFQERYAETVIYRIFYYMNRAGDSRLTLRELKRGNLVAAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSNVEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCVDLDANGVITRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIVDMIGPKDEGYFTLGDMKGSKLAGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDAEDASNGSADVWDESLEAPF >cds-PLY95908.1 pep primary_assembly:Lsat_Salinas_v7:6:106723472:106730092:1 gene:gene-LSAT_6X66681 transcript:rna-gnl|WGS:NBSK|LSAT_6X66681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCTANELLGWKDFPKGLRVLLLDEDINSATVIKSKLEEMDYVVSAFYSENDALLAIAEKCKGFHVAIVEVRAGNLDGRFKFLERAKDLPTIMTSDLNCISTMMKCTAVGPKDENEPLKSQRALIHLEKEGIKQEIIQNQEHNEEVSIESDKYPAPSTPQLKQGIRLVDNEDQTNLSTEKDCVEHDGECKFVEITCDDHLVVDIVMETNSYKSLDEGINKPSNNECCPDPKNDDKKQSEASEHPFSRSSKFGRRKIKVDWSSELHKKFIQAVEQLGVDQAIPSHILELMNINGLTRNNVASHLHKYRLQQRHILPKESGQKWAQTRHHSTTRNYYPQKPIMTYSPPYHSNQVYPTWPPPHSYPPPPQMWGPPYYPTWHSSESWPWNPNPGVNLSFYTNIFIL >cds-PLY87933.1 pep primary_assembly:Lsat_Salinas_v7:4:33147342:33148546:-1 gene:gene-LSAT_4X21761 transcript:rna-gnl|WGS:NBSK|LSAT_4X21761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDIEVVEVSAATLLDANRFVCQYCHKGFQREQNLTLHKRVHNLPFHLKTRGAKDAMPRKVYICPEVTCINHQPSHALGDFGGLKKHYLRKHSNDKKHKCDNCSKTYAVESDLRAHLKNCSTKRYICQCGARFSRAVISDEEFGAVEVTVKEKEGKSFTPIKMGKQLTIHERKRCVIYIQLIGVATYV >cds-PLY88894.1 pep primary_assembly:Lsat_Salinas_v7:3:223236440:223238305:1 gene:gene-LSAT_3X129161 transcript:rna-gnl|WGS:NBSK|LSAT_3X129161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTGELLSVEPQELEFPFELKKQISCSMQLTNKTNNHVAFKVKTTNPKKYCVRPNTGVVLPYASCDVIVTMQAQKEAPPDMQCKDKFLLQSAVATPGISPKDITPELFSKDSGNQVEECKLKVNYVSPHQPPSPVREGSEEGSSPRASISDNVTVNTNDSSSVPRGFAESNEKLSETRSLISRLTEEKNSAVQQKNKIHQELFHEYSIY >cds-PLY63956.1 pep primary_assembly:Lsat_Salinas_v7:4:77151403:77152209:1 gene:gene-LSAT_4X52220 transcript:rna-gnl|WGS:NBSK|LSAT_4X52220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQKESGMRPQQPVAPPSFPPPNCPRCYSDHTKFCYYNNYTVSQPRYYCKDCRRYWTHGGALRNIPTGGTSRKRSRTDHTASATSQFLLPPPTACDLGAPDNSTGGFGCPSIGRGTFPPPITETMLSFNRGGGSNQTSFRFSPGGDLGGVNAALGAFNVGFGGGALPPAARSNPLLRHLSSMDGFRDYGFPVLQRQQHHPPPPSVWTAGHNMALNSTGSTILSNPINFLSIASHPATPANVVSISEWSELNDIDYEGDHLQSYKQPSP >cds-PLY62928.1 pep primary_assembly:Lsat_Salinas_v7:3:145150150:145155100:-1 gene:gene-LSAT_3X94620 transcript:rna-gnl|WGS:NBSK|LSAT_3X94620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G02450) UniProtKB/Swiss-Prot;Acc:Q9M895] MGNLNAMSVEMAAFPVLLNSGSLSSSGMSRLRVMHTRCSMKCKIPSYSVSNSCHSSHSFPSVNFKSQKRLSSIRSLINENGNKENHSRNREPSFLRKGFKLRLQPRLRLLSSRLKRVSIRSMLNDLGTYLRKNMKKVTLSTSISVVLGLCYLFLKLTSMPTPKIVPYSDLITNIQSGSVIKVLFEEGSRKIYYNTGSIGVETPQNSENSENKNSGINDDDENSVKKDDITSSNVLRKLTRTKSSLPEWQYSTRKIDHDESYLLGLMREKGITYSSSPQSMLMSMRSILITIISLWIPLTPLMWLLYRQLSAANSPAKKRRPSNQVVNFEDVEGVDTAKVELMEIVLCLQGSINYNKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGVPFFTVSASEFVEMFVGRGAARIRDLFNVARKNSPSIIFIDELDAVGGKRGRSFNDERDQTLNQLLTEMDGFESDVNVIVIAATNRPEALDPALCRPGRFSRKVFVGEPDEIGRRKILSVHLRGIPLEEDSTIICNLVASLTQGFVGADLANIVNEAALLAARRGGECVAREDIMEAIERAKFGINERQISPSNLTKEIGKIFPWMPSFKSRTDGSPSLGGYQTLS >cds-PLY86419.1 pep primary_assembly:Lsat_Salinas_v7:3:252150561:252153672:1 gene:gene-LSAT_3X138900 transcript:rna-gnl|WGS:NBSK|LSAT_3X138900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIGKKQELFGIKLKNAILISKKANKTTPSPTWKLGFSNSSSPHHHFSMKSSLSARKIGANLWEVQPQFNFNTNDGDRDADDGDGDGDVAVDRGGLIHHHHRRHHEKDEFEVSDQLDGESSDSDPHTPEIKTSLRRKSKKISHLPIGKNEDLQHVSSASCCSSMQVTPYACGITPSGYTLKTSTHLLKVLNRIWNLEEQHSSNVASIKALKHELDISRAQIKTLIEERKNDHKEINELKWSTHEKKKKAVQSTKDEISDTKSSLIRERKARILLESLCDEFAKGIRDYEQKVRTLQQNRGRKDENGPDRLILHVSEAWLDERVQMKCDLSENTSISDNLCCEIETFLEAKKKQSRVSRVEDDCEPSSRMVNQGVKSNTLMAKLLEARLESKLLKSRSKN >cds-PLY64738.1 pep primary_assembly:Lsat_Salinas_v7:9:191526375:191528561:-1 gene:gene-LSAT_9X116620 transcript:rna-gnl|WGS:NBSK|LSAT_9X116620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRIAIQLIMAGTHISYKLASNDGMHMSVLIAYRYLFTSILIFHLALFIEREKDMRMDDVGWRFQASQVQNFLSTSQSSHLLSVLGSISNNKIIRENYNGTRNLVNPTFIQLESNPHWCYSIRA >cds-PLY93594.1 pep primary_assembly:Lsat_Salinas_v7:2:175537603:175538065:1 gene:gene-LSAT_2X99600 transcript:rna-gnl|WGS:NBSK|LSAT_2X99600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIPSRCKFTTQLVGTLVVGMANLVGLHPEIPWTFPKFKVTFDTFVIGGVIGLERIFDSRAMSSKAAMTIYYGGRSPFQRLRLLYIRSESAEKVNGCGEGPSGG >cds-PLY95974.1 pep primary_assembly:Lsat_Salinas_v7:9:41801304:41802684:1 gene:gene-LSAT_9X38141 transcript:rna-gnl|WGS:NBSK|LSAT_9X38141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQTSYAYPPTHTANPVAVVAPHFLAPYPVDLTIVRKLLSLSDGNFAVTDVNGSVMFKVKSKHLSLRDRRVLLDAAGNPILSFQKKLLSVHNRWVVFRGDSSDAKDIIFSAKQSSLIQFKTSLDVFLGFNEKENVCDYKVKGSWFDRSCTIYAGETTTIVAQMHKKHTVQSIALGKDTFSVTVYPNVDYAFIVALVVILHEINEEKNDTE >cds-PLY64502.1 pep primary_assembly:Lsat_Salinas_v7:8:124405437:124406348:1 gene:gene-LSAT_8X85721 transcript:rna-gnl|WGS:NBSK|LSAT_8X85721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSSQVMSRLNLQPNPEGGFYAETFRDFSVNLSTSQLPPRYKVGRPISTVIYFLLLTGSVSHLHRLPSAETWHFYMGEPLTILEMYEKNGSVKLTTIGQDIGENQRLQYTVPPDVWFGAFPTRDYNVSTMDYSVVKNAARDAEKHFSLVGTTVAPAFEVDDFVLANRSRLVSSFPAHQSLLSMITPSG >cds-PLY82282.1 pep primary_assembly:Lsat_Salinas_v7:1:65962932:65965732:-1 gene:gene-LSAT_1X56481 transcript:rna-gnl|WGS:NBSK|LSAT_1X56481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDSITQFCDGVARFCNHLESSSAALLQSVNRRSIPFDSASLSFVQCLNRRVSTATSDLNLLESMSFDTVSFEELLGHCNEVYKNNQNQILQLEDHLSAFGYVPEVEIDEPEEDFIDSQPNTSLDFKNTMEDDSLFEDTLSLQNLGISNASLATIVSEGNKKIEMDGLYEPEIDIPEVENERNELKPFQDSKSLICVPKDAYESLPSYMKSLASWEDLIHAVEKMNLSLETKNGNFFTQDEVSLLELGHKTRSYLLLLVKMNCIVVETIDGLISYRVL >cds-PLY70136.1 pep primary_assembly:Lsat_Salinas_v7:3:12972154:12972950:-1 gene:gene-LSAT_3X9520 transcript:rna-gnl|WGS:NBSK|LSAT_3X9520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPKRTPIILLLFMFLTVSSSHKYKNKKNYKPCKNLVLFFHDVVYNGQNAANATSAIVGAPEWANRTILASDFHFGNIVVFDDPITLDNNFHSPSIGRAQGQYIYDTKNTFTAWLAFSFVLNTTDYQGSIQFIGADPIMIKTRDISVVGGTGDFFMHRGVATIMTDAFEGEVYFRLRVDIKFYECW >cds-PLY76082.1 pep primary_assembly:Lsat_Salinas_v7:9:29524892:29526040:1 gene:gene-LSAT_9X26040 transcript:rna-gnl|WGS:NBSK|LSAT_9X26040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDMINFKTLPQHSSSWLKGRYTLYKYKGFWNSQKFHEWAFLAHKTFKPNPSDVIISSCPKTGTTWLKALTFAIVTRNKFDESTNPLLTTIPHECIPLLEREFEQIEYNRINNNPDFIPFIATHLPYNSLPESVVSSNCKIVYIYRNTKDVVVSNYQFFRKACKVAEEEACFEDAFDEFCQGISWYGPYWDHILGYWKASLERPQEVLLMKYEDMKRDARSNVKRLAEFIGHPFTIEEEKEGVIECIVKLCSFENLSNLEVNKSGVHSPVGSNGVENHLYFRKAEDGDWEKYFTDEMKEKIDELMDQKMEGTGLVLK >cds-PLY71014.1 pep primary_assembly:Lsat_Salinas_v7:9:70767550:70768081:1 gene:gene-LSAT_9X59541 transcript:rna-gnl|WGS:NBSK|LSAT_9X59541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMARNKSDPNQLRPVAEQDGQSLTEKEGLSFLETSTLEAHNVEKAFQTIFTNIYHIVSKKALAAQEASGWRKANSKIEETASGVATGMILSLRESVQICKDNLATCKYV >cds-PLY79019.1 pep primary_assembly:Lsat_Salinas_v7:3:8628327:8631131:-1 gene:gene-LSAT_3X5440 transcript:rna-gnl|WGS:NBSK|LSAT_3X5440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEMASLIGVSVPVFQFLICFVASIPVSFFHRFVPGGSIGKHLYAALTGAVLSYLSFGLSSNMHFLVSIIISYGSMAFCRRRCGLITFLLAMGYLIGCHVYYMSGDAWKEGGIDATGSMMVITLKVISCAINYNDGLLKEEDLRESQKKNRLVKLPSIIEYVGYCLCCGSHFAGPVYEMKDYLDWTERKGIWMKTKKASASPLGATVKAVVQAGLCMGIYLHLIPQYPLSTFSNPMYKYSGFTDRLMYQYMCSFTARWKYYFIWSVSEASMILSGLGFSGWSNVNYSSPTQTSQWGRAINVDILGVEFAKSSVEIPLAWNIHVSTWLRHYVYDRLIQKGKKPGFFQLLATQTVSAVWHGLYPGYIIFFVQSALMISGSRAIYRWQCCVPSNKRVVKKMLMLSNFAYTVLVLNYSSLGFMCISFLGQ >cds-PLY97775.1 pep primary_assembly:Lsat_Salinas_v7:4:375180262:375182820:-1 gene:gene-LSAT_4X185440 transcript:rna-gnl|WGS:NBSK|LSAT_4X185440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G14605) UniProtKB/Swiss-Prot;Acc:F4JVI3] MKAFTLFGPSDTILLFGAASSSVSSRTQLSFPQTQRLLFCRAETDASVSLVNVPPNLLLAEREEAKAVLRMFLTNQGMNRLTAARKMKKLDRFVDHLMLRLHTVYKTRYLVGRELTTPEIRDALIPYLDEFVEEHGDNFVEVLEYFPIPIVKENNTNANTNTSDDDNYVVESSEEFVQKATSHSRASNNTETPNLPPHVVYLEELGMELEVIKEVIRKFPAFAYYSLEGKIKPVVEFLLDLGISKSDIPAIITKRPQLCGISLTENLIPTMAFLEDLGVDKKKWAKVIYRFPALLTYSRSKLQATVDFLYEMGLSPQDVGKVLTRCPSIISYSIDEKLRPTSHYFDSIGVNPAVVMSRYPQVFGLSVEASLKPVTDFFMQKGYHVADVALMASRYGAIYSFSVEDNLLPKWEYFLSMEYPKTELVKFPQYFGYSLEERIKVRYEVVKESGVRLLLNQVLSLSDEDFYKLLKRKVKKKNACA >cds-PLY90389.1 pep primary_assembly:Lsat_Salinas_v7:9:173932658:173935526:1 gene:gene-LSAT_9X106981 transcript:rna-gnl|WGS:NBSK|LSAT_9X106981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAEADSAGNTTASSSHSPTTIQFPVNLTGTASDDQNKQMMDFFADRKDVDEQSKFDCDTSDHLHRNINTGLHLLTRSTSNDHSVSDDGMSLTNSKRTKNEVIHHYIQQLEEEKSENQRLKQELNQANLNYNTLLTKVIRQKQEKNLDEYRKPNIDGGGGGEIPFIGLADPTTVETDENSRSSSDELHRKSINNIIGKDQREESPEQALICSNKVMRLNDLKNDGDCNIDQATEATIRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMSSTTSSAARMLLSGSMPSSDGIINPNFLARTLLPCSSNIATISASAPFPTVTLDLTQSPPLGQQQNFAGQNGALLPQIFGQGLYNQSKFSGLQLSQDTAAGIISQIGDLSSLQLHNQEPSHTALADTVTALATNPNFTAALAVAISSIIGGGGGRGXXXXXPNTAVNTTTTYNNNNGSVATRNNKDSNSCFRGN >cds-PLY79952.1 pep primary_assembly:Lsat_Salinas_v7:3:109115651:109117632:1 gene:gene-LSAT_3X79660 transcript:rna-gnl|WGS:NBSK|LSAT_3X79660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPDWELQNCCDKDQKLFLATVGVFTLVILALWRTFLLTPFKLITVFLHEASHAIACKLTCGEVMGMEVHANEGGVTQTRGGVYWLILPAGYLGSSFWGMLLILASTGLLTSRIAAGCLGVALLIVLFVAKNWTLRGLCIGFIIFLAVIWILQEKTTVRILRYVILFIGVMNSLFSVYDIYDDLISRRVNSSDAEKFAEICPCPCNGVAWGVIWGMISFIFLSASVYIGLVILST >cds-PLY89224.1 pep primary_assembly:Lsat_Salinas_v7:5:311051444:311052796:1 gene:gene-LSAT_5X169521 transcript:rna-gnl|WGS:NBSK|LSAT_5X169521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQPDNVRRTTTIDAVNAPRPLNSPGSKSGDSSSWSSSSSLKLRKSHDNDDPGSGNHHKKSVFSKVKEKARKLKKTLSGRKRRDDNELRSPNFSTPPGSAGPVKDDKQRPQFFSSPTPLSGSSVSEDTKTNSSKEQPQETKKGPSETEAPSKNEPPPPPTANGSPETTTTRDANDPKTSSEAKENNESSMEPQDKDKGVSVKEYLMNKLEPGEDERALSQVITQTISPKCEKMKEAVNSLLRTEESPVSNNKDSNTEPDTTNGVDSDSNQDTGARSSVESVDNTKLTSLTGYDHDPSDGPESRLSNVSATSASASCQNQNVKQSS >cds-PLY85349.1 pep primary_assembly:Lsat_Salinas_v7:5:244499184:244503460:1 gene:gene-LSAT_5X121160 transcript:rna-gnl|WGS:NBSK|LSAT_5X121160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIIVLVDSINEEGAVLLEFKLSLSDPNNNLHTWNQSDSFPCNWIGINCTNDHKVTSINLSNLTLSGSLSPTICKLPFLTKLNISKNFISGPIPNLSSCQSLEVVDLCTNRFHDDFPTQISTISSLKILSLCENYISGVIPEDIGNLTSLEELVVYSNNLTGIIPKSIGRLKQLKIIRAGVNSLSGPIPIEISECESLQVFGLAQNNLEGSIPRELQNLKNLTSLVLWQNRLSGEIPHEIGNFSRLELLALHANSFTGSIPKEIGKLTQLMRLYLYTNQLNGSIPEELGNCVKLVEIDLSENRLSGGIPKGLGNIKNLRLLHLFENLFVGEIPNELSHLKALKKLDLSINNLTGEIPLGFQNIFLESLQLFDNHLEGSIPPLIGANSNLTVLDISMNNLVGIIPPHLCNSQKLMFLSLGSNKLSGNIPHGLKSCKSLIQLMLGDNLLTGSLPLEFSNLYNLSAIELHQNHFTGTLPPEIGQLQNLKRLHLSDNYFFGHIPSEIGNLSQLVTFNVSSNHLFGDIPHELMNCVNLQRLDLSRNWFTGHVPFEIGNLVNLELLKLSDNKMNGPIPNSLGKLSRLTELQMGGNYFSGNVPFELGQLMALQISLNISHNSLSGTIPQNLGNLLMLESLYLNDNLLVGEIPSSIGQLVSLLVCNLSNNGLYGTVPNTPVFKKMDSSNFVGNKGLCVLGSNQCHPPSNSRSNQDSGYGVSKEKVVSIVSGVVGFFSLIFAMGVCWAIKNRKPVCVSLEEEHMKPYVLDNYYFPKVGFRYQDLVEATHNFSDDVVIGKGACGVVYKAVMGDGEVVAVKKLKSGGGGATIVDQSFLAEISTLGKIRHKNIVKLYGFCYHQESNLLLYEYMENGSLGELLHGNKNGRFLDWNDRYKIAHGAAEGLCYLHYDCRPHIIHRDIKSNNILLDKMLQPHVGDFGLAKLMDFTCSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSFGVVLLELVTGKPPVQPVDQGGDLVTWVRRSIHGMVPISDLYDKRLDLSCKKTTNEMSLFLRIAVFCTSPSPLNRPTMREVVAMMIDARVNTPSSPSSETPLDNDSNNSCKEYVEQDEISTSPWKSG >cds-PLY99608.1 pep primary_assembly:Lsat_Salinas_v7:5:241393119:241393612:-1 gene:gene-LSAT_5X118921 transcript:rna-gnl|WGS:NBSK|LSAT_5X118921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSNSSPSDKTNRFALLFGTLDGSIGYIAPLAELTFRRLQSLQKKLVDDVPHVAGLNPRSFRHFHSNGKAHRPGPDTIVDAELICHYDMLPFEHQVEIASQIGTTRSQIISNLSDLALGTSFL >cds-PLY62989.1 pep primary_assembly:Lsat_Salinas_v7:3:119212184:119213732:1 gene:gene-LSAT_3X84261 transcript:rna-gnl|WGS:NBSK|LSAT_3X84261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMDVSSAAWLSELELMEDCEFKNPHQIANPFMDAVGALSSESLTDYPNYFLPTNQSFQLPTSYIERDVTKQPKNIAINNNRMTNPFASSRVSSSNTFIMSFGNPNSPPEMNPHQIYDGSNLKYSGHMKTKEEKNTNDFLGSLESGKRVLGASRNETQAQDHVMAERKRREVLTRRFIALSALLPNLKKMDKATVLEDATKYIKQLQTRMKELEEQTSSKNKRFKQESAISIRRSKVSGDNNDDTPSSDDDETGCSPNGDTFNPEIEVRISERSVLVRIYSQKNSSVAMKTLSEMERLHLTISSSSVMPFSSAALLITITAQMSEKCDLTAMDVVKRLQSAIRSYI >cds-PLY75833.1 pep primary_assembly:Lsat_Salinas_v7:3:68819285:68820771:-1 gene:gene-LSAT_3X56260 transcript:rna-gnl|WGS:NBSK|LSAT_3X56260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIDPQYPEPEADKTEIINDDDLFRAADNGDVSFFKSLSQPQLFRCLALRNEDGRSLLHVAVSSARTEVVKILAAFDHSISGINSADEEGWAPLHSASSSGNVEIVKILLDRGADVNLKNNGGRTALHYAASKGWLKVAEILLSNGAKINSKDKARCTPLHRAASTGKSELCELLIEEGADIDAVDRAGQTPIMSAVICYNKEIALLLIRHGADVDVEDKEGYTVLGRASDEFRPILIDAAKAMLEG >cds-PLY93237.1 pep primary_assembly:Lsat_Salinas_v7:6:158780195:158784006:1 gene:gene-LSAT_6X97140 transcript:rna-gnl|WGS:NBSK|LSAT_6X97140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase family 3 member H1 [Source:Projected from Arabidopsis thaliana (AT1G44170) UniProtKB/Swiss-Prot;Acc:Q70DU8] MASEEQVKNSAFDTEAANCLVKELRGTVVAGKTKSYEWRVSQLKSLLKMVEERDKQICDALFSDLSKPEMEAFIHEIALIKNSCKVSLKKLKEWMKPEKVKTTLSTFPSSAEIVPEPLGVVLVISAWNYPFLLSVDPVIGAIAAGNAVVLKPSEIAPAMSSLLKKLLEEYLDNSAVKVVEGGVPETSALLEQKWDKIFYTGNGRIGRVVMAAAAKHLTPVVLELGGKSPVVVDSNVDLTVTARRIIGGKWACNNGQACVAPDYIITTKQFAPTLIDTIKQELLKFYGEDPLNSPDISRVVNSNHFARLSKLLDDDRVSGKIVHGGQTDKSSLKIAPTIVLDAEEDSLIMHEEIFGPLLLVVTVEKIEDGIDFINSRSKPLAAYIFTNNKQLKQDFVSNISSGAIVVNDISLHLMVDTLPFGGVGESGTGAYHGKFSFDAFTHKKAVLHRSFLGDAPARYPPYTAGKLRLLKALLDGSIFGIIRALFGFS >cds-PLY92166.1 pep primary_assembly:Lsat_Salinas_v7:8:5473894:5475546:1 gene:gene-LSAT_8X4400 transcript:rna-gnl|WGS:NBSK|LSAT_8X4400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYIEGNGSSLAIVPPKPTKALIIVTKLREIKAKERGQNEKRCSIMEQKMIEKNIMPPPSSTSSGQSEDATSDCDTSTHTRFGEYHGENIDEFDHIEGCLVLSL >cds-PLY62515.1 pep primary_assembly:Lsat_Salinas_v7:1:82309077:82314418:1 gene:gene-LSAT_1X71380 transcript:rna-gnl|WGS:NBSK|LSAT_1X71380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKILANLIVMGSGIMARALVQAYRQALQNASKSGVAQDTLQNVVRKGSKAMTEQEARQILGVNEQSSWEEIAQKYDNLFERNAKNGSFYLQSKVHRAKECLETTYQAGEYAGTPEDREMAKT >cds-PLY86259.1 pep primary_assembly:Lsat_Salinas_v7:8:56209749:56210615:-1 gene:gene-LSAT_8X40320 transcript:rna-gnl|WGS:NBSK|LSAT_8X40320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein GIS3 [Source:Projected from Arabidopsis thaliana (AT1G68360) UniProtKB/Swiss-Prot;Acc:Q9C9H1] MAELDDYDTKPNNSTTTRSLKLFGFNVHEEDEDMIESTKTPTVYSDGRKYECKYCCREFANSQALGGHQNAHKKERQQLKRAQIQANRNAYIRNPIISAFTQPPHLLSHGGHRMILPSTGLPSPTWVYIPRGSPPFQVTHGCVLPGSSLSPGGGRGPYAGAVVGESSASSVISSRPQPNNRARHGDGGAGFGDTFGLDLHLRL >cds-PLY89443.1 pep primary_assembly:Lsat_Salinas_v7:8:30588125:30588379:1 gene:gene-LSAT_8X21840 transcript:rna-gnl|WGS:NBSK|LSAT_8X21840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDPVDAVVLDDAPNVIGGVVAADDAPNENTEEFGGCQEEPNMLVVEVDPLVELPDFPSEELMPKAGAEDPNRELLEENWKGTA >cds-PLY64578.1 pep primary_assembly:Lsat_Salinas_v7:6:35785065:35787369:-1 gene:gene-LSAT_6X28421 transcript:rna-gnl|WGS:NBSK|LSAT_6X28421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGQYDLSFKILLIGDSGVGKSSLLVSFISGSVDDLAPTIGVDFKIKQLTVDGKRLKLTIWDTAGQERFRTLTSSYYRGAQGIILVYDVTKRETFTNLSEIWANEVNLHSTNQDCVKMLVGNKVDKDSERFVTREEGEVLAKELGCLFFECSATTQKNVHQCFEELALKIMEIPGLLEEGSTVVKRNIIKQKPEHNNSNGGCCS >cds-PLY63042.1 pep primary_assembly:Lsat_Salinas_v7:8:73557457:73557789:-1 gene:gene-LSAT_8X52880 transcript:rna-gnl|WGS:NBSK|LSAT_8X52880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNIPTRSWAVGPPVLTVAPSVKIGMCLEMKSANWCSKDARFLKFKIMGYNNESEVGVVSIVLLEFELSFFILPNSIFLVSPSLVIPCSILVNIDMTLVLEIITDISPYG >cds-PLY79764.1 pep primary_assembly:Lsat_Salinas_v7:1:181324576:181324953:1 gene:gene-LSAT_1X118741 transcript:rna-gnl|WGS:NBSK|LSAT_1X118741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDNSADNMHDNMGGTGVGMVVDVVVVEEQGRDGDHSSSNSCCDCAALERPIVDQTQPIITLVGPNGPPVDFDSPVIRMCVQQHPYKSRLPPVEESCNGGLIVQIRPRKCCGREREWGDTSWRHL >cds-PLY74030.1 pep primary_assembly:Lsat_Salinas_v7:7:10123730:10127461:1 gene:gene-LSAT_7X9060 transcript:rna-gnl|WGS:NBSK|LSAT_7X9060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKSFEIEDEVMYPNLVVVRIDGCNFERFSEINEFEKPNDEKALNLMNSCATSVLEKYPDIILAYGFSDEYSFIFKKETKFYQRRAREFFPQNNMKSTPSFKSRVICCPSMEVLQAYLAWRQRECHSENQYNTCLWMLIKSGKSEREAHEILKGTKKQEKNEILFQQFGINYKNLPQMYRQGSCVLKTEVEETLKIHDNEPPVKRLQKKVIIVHSENIASRSFWNDYSCLCKDLSCFEQNVNNIKQEYMKLFQFENKLLPFTWIVIRIDGSHFHSRFSEVHGFEKPNDEQALKLMNSCAVAVLEEFKDIVFAYGVSDEYSFILKRNTQLYQRRPSEMVSAIVSLFSSTYVMKWKEYFPKKELKYPSNFDGRAVCYPSYEIIRDYLAWRQVDCHINNQYNTCFWMLVKSGKTTKEAQSLLKGTQTQEKNQMLLNLFKIDYKTLPIIFRNGSSVFWDKVISLSLSLSLSLSLFLHFN >cds-PLY99168.1 pep primary_assembly:Lsat_Salinas_v7:6:129886788:129890485:1 gene:gene-LSAT_6X79100 transcript:rna-gnl|WGS:NBSK|LSAT_6X79100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPSNEQMNREETPTVKELMHKTKIIQFFGRSTPIILQKDNGPCPLLAICNILSLRNNLSLSPDVAEVSQEKLLSLVAERLIDSNSNVNNKDEGYVENQQQNIADAIDLLPQLTTGIDVNIKFTRINDFEFTRECAIFDLLDIPLYHGWIVDPQDSDTSNAIGAKSYNTLMGELVALETQNMTSVSLKNHEQDSVEKIERRDLEEENELLAAMKLSTTDNLITFEDEKESHGTEMDACVTEKQEKKDGSEESSLEAGAKMENISLSLSGSDPSPPVDTLLLDSEGDKKISDQSTSNTNVNEVEKIKIDEKMPQSHNVVLESSKDMSQNILESESLIGDKSNTTTNERLETTAKQGEVIRSFLNNNASQLTIYGLFSLQDGLKDRELCVFFRNNHFNTMFKFEGELYILATDQGYLNQPDLVWEKLNEVNGDTVFVNDNFKKFNPQNQETRTWDEQNVMANTADYLARIDNSGQGNAGLSSDMQLAIALQQQEFDQQQPQQPQRVLPQSPTTSRSGLVVGPQHVHPSRPRQDPKPSKDKDKCTVM >cds-PLY79680.1 pep primary_assembly:Lsat_Salinas_v7:5:254210736:254211926:1 gene:gene-LSAT_5X127640 transcript:rna-gnl|WGS:NBSK|LSAT_5X127640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNNSEAQGSSAPVKPALRKPVFTKVDNLKPGTTGLTLVAKVLSSEIVLQKGRAVSPNLRNVRIAECLVGDETGTILFTARNDQVDLMKPGAGVIIRNGKIDMFKGSMRLAVDRWGRIEVTDPVTFEVKEDNNLSLVEYELVSVAE >cds-PLY87769.1 pep primary_assembly:Lsat_Salinas_v7:1:46243486:46248920:-1 gene:gene-LSAT_1X40881 transcript:rna-gnl|WGS:NBSK|LSAT_1X40881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OsGA2ox1 [Source: Projected from Oryza sativa (Os05g0158600)] MVVATQMSPIRVEKIREIKIPTIDLSGKESQVSKLIVEACEEYGFFNVINHGVPHHIIQTMEDESFDFFRKPLSEKQRVGLTKPFGYGNKNIGLSGDTGELEYLLLQTNQNAIDHTSKLVSNSPSKFSSTVSRYVEVVRGLACEILGVMAKGIGVPPSLFTTLLTDHDSDSLFRLNHYPLVTDTSSSSSFHHGNAPIGFGEHSDPQILTLLTSNGVPGLQILLGNGVWVPVNSDPKAFCVIVGDLLQVMTNGRFKSVRHRAMANTSSRECRLSMVFFGGPPPQTIITCPPQLLKPNKPPLYKPFTWAEYKSHTYAHRLGETRLDHFKLP >cds-PLY72500.1 pep primary_assembly:Lsat_Salinas_v7:2:139834452:139834622:1 gene:gene-LSAT_2X68200 transcript:rna-gnl|WGS:NBSK|LSAT_2X68200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAIETFEQPFEKFSHQLAELPADIKKQLCKHIMHAPRHNCSYCSENTEETQFTED >cds-PLY96908.1 pep primary_assembly:Lsat_Salinas_v7:4:170389987:170390805:-1 gene:gene-LSAT_4X100980 transcript:rna-gnl|WGS:NBSK|LSAT_4X100980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNNTKLSSSSSSFSTAAGGSKRSESSGLQPKSCKIKKRSRPNPGDLSYKHPVYRGVRLRRWGKWVSEIRQPRQKSRIWLGSFSTAEMAARAHDVAALSIKGNSAILNFPQLRDSLPRPASVSPRDVQKAAAKAASMQEFVSSSSESLVQPPSSTGSMLSADNVANPVDELGEIIELPSLDGCFESSSSEFVVETVDGWMYPSWVVADHIDSFPFQG >cds-PLY76131.1 pep primary_assembly:Lsat_Salinas_v7:9:31824123:31827380:-1 gene:gene-LSAT_9X27320 transcript:rna-gnl|WGS:NBSK|LSAT_9X27320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCFRSIFSKDELNLEITKIAVPAALGLMADPIASLIDTAFIGHLGSVELAGVGVAIAIFNQASKIAIFPLVSITTSFVAEEDSATSSSSNLDRDIELQNPMLPKLPQDMNMMPNNTGRRHISSASSALLIGGMLGLIQALLLIVSAKPILSYMGIKSDSPMLSPAYKYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYAIVVGAIVNIVLDPILIFVFKMRVIGAAIAHVFSQYLISLILLWKLMEQVDLLRPHIKALQLGRFLKNGFLLLMRVIAATFCVTLAASMSTRLGSTEMAAFQICLQVWVAASLLADGLAVAGQVILAGAFARQDYTRATATAARVLQLALAMGLVLSLIVGVGLSFSLGVFTVLRLLSLGIPFVAATQPINTIAFVFDGINYGSSDFCYSAFSMVLVALASVVCLWLLSSHYGFVGIWVALSIFMGLRVIAGLGRIGTASGPWSFLNKY >cds-PLY80437.1 pep primary_assembly:Lsat_Salinas_v7:3:178477772:178479499:1 gene:gene-LSAT_3X107600 transcript:rna-gnl|WGS:NBSK|LSAT_3X107600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISKSIWDSNLVFYQHHIFCNFRDDIEDEQYXFAPLASRLSSPLKLLCQRSSLEQLQLNKNNIERIWYPSATDEPDMPFQNLHCLLIGGNKIQETSSIDALNSYPGLMSSGPCCLTIQLMDVGNAKTDVVAVSVDHNFSTYLHNGFLSVVPGKKDSGIMLQRNKCQFSGIDESSAISAVMEGVHVVNGLEYKMYCSSSKVIIIVCDLFCI >cds-PLY97350.1 pep primary_assembly:Lsat_Salinas_v7:4:287504460:287505476:1 gene:gene-LSAT_4X146400 transcript:rna-gnl|WGS:NBSK|LSAT_4X146400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVKRGKVELKSGDFGTLEWNDDVIENDEESDTDENEDMKLDFQERDESSEDEHMKKEIKVAKLDEGASYDSEETECDNNILGIEDDEDKKEK >cds-PLY70748.1 pep primary_assembly:Lsat_Salinas_v7:8:166088085:166088390:-1 gene:gene-LSAT_8X108960 transcript:rna-gnl|WGS:NBSK|LSAT_8X108960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPSTESLNSGEDINYNVLHSIFYDCDPKITENQTEMKRLKEQLGQEYIICRIDHINLQHKLDDHDQKFRVVGVAMDGMMVGMLLLLVVVLHFLVKIG >cds-PLY95496.1 pep primary_assembly:Lsat_Salinas_v7:6:14683709:14684663:-1 gene:gene-LSAT_6X10480 transcript:rna-gnl|WGS:NBSK|LSAT_6X10480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSKVAVYCAIAAVLGIIAAATGFAGEATRVKASEVFIVLDSCVYPSSPALALGIVSAVFTIITRIYISVSFGGSGCCRSDPNSTPISKLLFVLSWVASVIAVILLLTAAGLNNRQGGQIDSYGYITCYVVKPGIFAAGAILALLSAVFGIGAYLTISSATQAATGPTGPLPVGAGVDLEKYPQQYTPQQYTHQQYPPQQYPAQQYPPQQ >cds-PLY95346.1 pep primary_assembly:Lsat_Salinas_v7:5:267071144:267071598:-1 gene:gene-LSAT_5X139000 transcript:rna-gnl|WGS:NBSK|LSAT_5X139000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQCLGAICGAGFVKGFQGDAQYTTLGGGANVVAHGYTKGDGLGAEIVGTFVLVYTVFSAADAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGCCFFGDSLTVNQKETHVETE >cds-PLY87252.1 pep primary_assembly:Lsat_Salinas_v7:1:51863134:51866130:-1 gene:gene-LSAT_0X18260 transcript:rna-gnl|WGS:NBSK|LSAT_0X18260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTPMRSGMIRRPNETMRLIVTTFISIVFGFFLGVSFPTISLTKMNLPSTLLPSIDLGYIEDKYSGLSSQALLNVWSLIRHHKGLSSYEPEDTKIWVSTNPQGAQRLPPGIVASESDLYLRRLYGIPSEDLIIKPRYLVTFTVGYEQKENIDKAVKKFSENFSILLFHYDGRTTEWDEFEWSKRAIHVSVLKQTKWWYAKRFLHPDILAPYDYIFIWDEDLGLEDFDAERYISLVKKHGLEISQPGLSANSGLTWQMTRKRDDSEVHKEAEERDGWCTDPHLPPCAAFVEIMAPVFSRNAWRCVWHMIQNDLVHGWGLDFALRRCAEPAHEKIGVVDAQWIVHQTVPSLGNQGNSENGKAPWEGVRERCQKEWATFQSRMTLAERAYLKEKGIEYNTSTNG >cds-PLY75471.1 pep primary_assembly:Lsat_Salinas_v7:7:74696790:74705232:1 gene:gene-LSAT_7X51800 transcript:rna-gnl|WGS:NBSK|LSAT_7X51800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNTTLLTIHVFHCLGTKQGDGKYKHTVDLPKTTFGMRANSSVSEPEIQKLWDENQVFKKVSEKNNGLLQNCKVHYIPGWDCHGLPIELKDARNGLTPIKLRAKSAKFAKATVKAQMASFKRFGVWADWDHPYLTLDPEYEAAQLTKWKESGSYSIPSGKTKSHYNGVTDSIPSFPDSLMPNTTLLTIHVFHCLGTKQGDGKYKHTVDLPKTTFGMRANSSVSEPEIQKLWDENQVFKKVSEKNNGLLQNCKVHYIPGWDCHGLPIELKDARNGLTPIKLRAKSAKFAKATVKAQMASFKRFGVWADWDHPYLTLDPEYEAAQRNSKS >cds-PLY87061.1 pep primary_assembly:Lsat_Salinas_v7:5:260602423:260602722:1 gene:gene-LSAT_5X130640 transcript:rna-gnl|WGS:NBSK|LSAT_5X130640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATGEANIGSLLDKINPPLLEDVGLEDCALHPDSIQEAFLKAATAFRSHIFHDSDDESEGDCINIGSGTIETQIVMANLVVGNLEAHFSNNPLLTPVV >cds-PLY71782.1 pep primary_assembly:Lsat_Salinas_v7:5:123434179:123438104:-1 gene:gene-LSAT_2X64621 transcript:rna-gnl|WGS:NBSK|LSAT_2X64621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDLKQFEYLKIKLEAIVSATNNFSEDNCIGKGGFGNVYRGELGWDSKRRAMVALKRLIRHKFPQGKREFWSEILTLSRYKHENIVSLLGFCDDSEENILVYEYATNSSLNVHLERNDLNWVQRLKICIGAARGLAYLHDPAGGYQRVLHRDIKSSNILLDGNWNAIIADLGLSRFGPANQEITFILSNVCGTIGYCDPLYAELGILTKESDVYSFGVVLFEVLCGRLCFVTRDNPQPFTLWVRKSYKQNKLDEIIYSSIKEEIASSSLEGFAAIAYKCLKRDREKRPLMKEIVLILETALRHQSLNVPSPPPAQFPPANSHSSHASAPPGLLRLDSSTELDHHSNRTSIDFAQLVSKERSSRAQPGYGGLNPEAIRLVHPDRATDCETMLRKIREPLPDIINVILALDSSAVIVDQVDALIKICPTKDEMELLKSYKGNKRMLGQCEQFFLLCAKIPRIMQKLRVFAFTTTFSSRVNNLRETLKTIKDATKEIRESTKLVAIMHTICTMGNILNEGTDQGSAEGFKLHSLEKLGDTHATNKQITLLHCLCKVIAEQTPELLDFDKDMIHLEAASMMHITSLRNKQKL >cds-PLY87170.1 pep primary_assembly:Lsat_Salinas_v7:5:262750003:262753191:-1 gene:gene-LSAT_5X133560 transcript:rna-gnl|WGS:NBSK|LSAT_5X133560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKRYPRGPYDNRHGHLRGVAPSRGPMPRHPAMLEEELEMQHHEIRRLLGENRRLAEDRIALQQELGDAREELRRMNIAIADIQEDNEIHSRQLIENNLKLEADLLATEPIKNEASQLHVEIERLNSLRRDLSGQVQILKKDLAKFQGDNKHLPGLRAEHEGLHNELMHASCCIFCRAAIDYEKKGGIEMMEQRQAMEKNLVSMAREVEKLRAELSNTDVGSWGAGGSYGMKFGSSDGHFPPPYGDGYGVHLGAGDKGPLYGSSSASRAGLEKSRMTRVSEILLASSVIALVHCPPFFNGEIDNQFIRKDSGKVKRKIKEGLKSLISPVDLLQHFGQPICSLMYLVLVRSFAVYFFI >cds-PLY68705.1 pep primary_assembly:Lsat_Salinas_v7:7:86189829:86190068:-1 gene:gene-LSAT_7X58881 transcript:rna-gnl|WGS:NBSK|LSAT_7X58881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEDEINVDGMSIQGMAQDGINEDDMGIEGMEQEDMHQEDNRRRRPKMRMRKPSERNKNIVEESGGCQKWEGDEFIQPT >cds-PLY65220.1 pep primary_assembly:Lsat_Salinas_v7:8:19557414:19558576:1 gene:gene-LSAT_8X14120 transcript:rna-gnl|WGS:NBSK|LSAT_8X14120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSARVDLDGNTINPFTICMIGAGGFIGSHLCEKLLTETPHTVLAVDVYNDKIKHLLEGPDSLPWAGRIQFHRLNIKNDSRLEAEGAENGLEFTIVRPFNWMGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFVYIKDAIEAVLLMIENPARANGHIFNVGNPNNEVTVRQLAEMMTKVSEKGILGK >cds-PLY70716.1 pep primary_assembly:Lsat_Salinas_v7:8:168366684:168367358:-1 gene:gene-LSAT_8X110641 transcript:rna-gnl|WGS:NBSK|LSAT_8X110641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSGSSFDNHVVLATRNHDNDLCAYRYPKLSVERMLMSYKNPAHRFCNCVDSLVEMVVEKCKYYKWIDNELTPHYKNAFNSLNYELKLMKDTSYAARLERRVALLENLNAEAIAAKEIVDDELAMAVEEKKQLRGELKFVRLKFRIAMMFVVLLAVVLMMQKAKVLG >cds-PLY96125.1 pep primary_assembly:Lsat_Salinas_v7:3:102202864:102207528:-1 gene:gene-LSAT_3X75120 transcript:rna-gnl|WGS:NBSK|LSAT_3X75120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEMKWVFGIWELVCISIVLGWLFYKYLIVRKWGLGDNQEEERRLQPPRGSSGWPLIGETIEFIASGFTSRPVSFMEKRKSLYGKVFKTHILGRPIIVSTDPDVNKVVLQNQGNVFIPSYPKSVIELLGESSILQINGGLQKRLHAIIGGFLRSPQFKARITKDIENSVKLALSSWIDRKNTHHPIIYLQDETKKITFQILVRVLMSVEPGEDMEFLKREFMEVIKGLICLPIKLPGFRMYKSLQAKERMLKMVRKIVYDRKMAMEKKETKDSGSPNDAIDVLLRDTGESDGTQQRLPLDFISGNIIEMMIPGEDSVPMIMTLAVKYLSDNPVALACLMEENDELKKRKDESGEGYAWTDYVSLPFTQGVISETLRMANIINAIWRKAVEDVEIKGYLIPKGWCVLASLTSVHMDEENYENPDEFDPWRWEKTGASVNSNKFTPFGGGQRLCPGLEFSRLEISIFLHHFVTSYTWVAEEDQIVYFPTVKTKRKLPIMVTPR >cds-PLY67525.1 pep primary_assembly:Lsat_Salinas_v7:6:69779099:69780126:-1 gene:gene-LSAT_6X49741 transcript:rna-gnl|WGS:NBSK|LSAT_6X49741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCFFLITFAEFVTGTVSFLIGASMGRRKGKKFTLTNNDDPGSGEDEKIPVQKRRGRPQKPLVDEADEDLVKMEEEEEEDEDEDETTNSDASGKKRKRNKQKEKGDLVKDGSPNGTRSNGFRHNGSRRKNKPHRAAEAGVECK >cds-PLY98941.1 pep primary_assembly:Lsat_Salinas_v7:7:49251738:49252982:-1 gene:gene-LSAT_7X35020 transcript:rna-gnl|WGS:NBSK|LSAT_7X35020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCSKIGLNRGSWTASEDKILSDYVKLHGEGKWRQLPIKAGLKRCGKSCRLRWLNYLKPDIKRGNISCDEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTTLAKKVHDLHHYNQNHDNSGSNSHSNSQENVKRKEKECVSVNEMKTTESMFPFFYHEENNNIIHPSSFNLDVNEGGSCSLSNVFNMDFTDSFNLSDQMVKADDMLSGSSTITTSPDVSKEILDYFSSIPEIGGEWLSSL >cds-PLY87459.1 pep primary_assembly:Lsat_Salinas_v7:2:134463288:134468753:1 gene:gene-LSAT_2X63421 transcript:rna-gnl|WGS:NBSK|LSAT_2X63421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKELPPPPPIPSNFVPAKVNESNRRPMVRRELGTKGQRISLLTNHFNVKLSRTNDHFYQYSVGLFYEDGNPVEAKGIGRKILDMVHKTYESEMGGKGFAYDGEKTLFTVGGLPATKLEFNVVLENAASNRTIRGGSPSEGETKRSRRVPQSKQYKVTLSYATKIPIQAIVNALQGHDSEQFHEAVRVLDVLLRQHAAKQGCLLVRQCYFQNDSRNFISIGGGVVGCRGFHSSFRATQSGLSLNMDVSTTMIVKPGKVMDFLFENQNVRSLREIDWIKAKRMLKNLRIKTLPSNLEYKIIGLSEKTCREQRFSLKQKNQRDGYSPNEAIDITVFQYYAEYRQIHLEYSADCPCLDVGKPKRPVYIPLELCDLISLQRYTKALSNLQRASLVEKSRQKPRDRMQALTGALNQSNYGADPLINATGITITTTFTQVEGRVLEPPKLKFGRGGDMVPRGGRWNFNNKTLVEPSRISCWVVVNFSARCDMNALKNNLRMCSQAKGIELDPPFGVIEENPQFKRSPAPIRVDKMFEAIRQKLPGPPSFILCILPERKNSDIYGPWKRKCLVDHGIVTQCIAPTKINDQYVTNMLLKINAKMGGINSLLSIEFSNAIPLVSRTPTIIFGMDVSHGSPGRADVPSIAAVVSSRKWPQISRYRASVRAQSARVEMIDGLFKPISHDKDEGMIRELLDDFYLSTPKLKPKNIIIFRDGVSESQFNQVLNIELNQIIEACKFLDEQWDPKFLLIVAQKAHHTKFFQANSEANVPPGTVVDNKVCHPKSNDFYLCAQNGPIGTTRPTHYHVLLDEIGFSADELQELVHSLSYVYQRSTTAISVVAPICYAHLAAGQVAQFVKFDDMSDTASSHSGGGVGGSSFTQLPKLHDKVSSSMFFC >cds-PLY64090.1 pep primary_assembly:Lsat_Salinas_v7:MU045727.1:297478:300750:1 gene:gene-LSAT_0X3380 transcript:rna-gnl|WGS:NBSK|LSAT_0X3380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESHTFFFFLVVVFSLIEVAISNSKVPVPNITVVGVVYCDACSNNSFSTHSYFLPGAEVRIDCKFKAASPRTTEQISFSVNRTTNKHGVYKLEIPSVDGINCAKEEVQVLNTCRASLIRSTSPACNVPAFITTSNQFSVKSKQANLCIYSLYALSFRPSKKDLAICG >cds-PLY75872.1 pep primary_assembly:Lsat_Salinas_v7:1:172353695:172357314:1 gene:gene-LSAT_1X115241 transcript:rna-gnl|WGS:NBSK|LSAT_1X115241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFALIERNENAIHLQLRYLRHKLSTAPALPSIGDTSSATAGGDLHSCADLGTKYVIKVSIQSPKTCMKLNKMITSNPSNPISFFILLVIISLLLPTLIIPIWILKVKAIEKEVDLMTNKSHEETWSVIQHAATTLFPMKSSATKLAKLATVSLGKSKTDLILFSHIESQVSPLLFQALLTIPHVSQVSYIRQDGLLFALYSNNQQHIFAIYSNTSFSRNGYSWYTQPVDSDTGKLYGDAVVFPSQVLVNETWLQQALNSTNGCATASLGKSLNDVNDLLVLNTAGVDKNGVISLGFHIKSLMNGIKPSRGVLYLATKDGNYIILHEPNENKTISFQLWKGNYGPESHVLTISGTKYILYYSSSLDIIGMEPVYVLALPYDGGAESRMHKNILLVIVLLLLSLLFITVSISIFSFVVLTVRASRKEMCLRAALIKQKGATQEAERKSMNQSLAFVTASHDIRASLAGIAGLLEMSINAVDQQSELAKNLKLVQICSGDLYGILNSILDTSKIEAGKIELEEKEFDLTKVVESVVELFYPVGLKKGVDVILDLQDASLTKYSHVKGDEGRLKQILSNLLSNAIKFTSEGNVFVRAWARKRGLQHTHDEESTGGGFCLFFRTEALIDEVHDHDDPNTMEFVFEVNETGKGIPKEKKASIFENYVQVKETVLKLKALA >cds-PLY79522.1 pep primary_assembly:Lsat_Salinas_v7:1:33554687:33558830:-1 gene:gene-LSAT_1X29020 transcript:rna-gnl|WGS:NBSK|LSAT_1X29020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFTVFLLLSSKAFLWRVCHASSYSPLIRSDLGRRPTDEQPLSKIAVHKALIALHESASIQASPLLLGLKGEDTAWVNVELSYPDPSEDDWVGVFSPANFNASDCYPESGKSHEAPCICTSPIKYMYANHSGSNYITAGKAKLSFQIINQRADFSFALFTGGLENPKVVAVSDPISFVNPKAPLYPRLAQGKAWDEMTVTWTSGYNIDEATPFVEWGWKGHDPKLSPAGTLTFTRGSMCGEPARTVGWRDPGFIHTSFLKELWPNTMYNYRIGHRLLNGSVVWSKMYSFKSSPYPGQDSLQRVIIFGDMGKAERDGSNEYASYQPGSLITADQLISDLDNYDIVFHIGDMPYANGYISQWDQFTAQVEPIASIKPYMVASGNHERSWPNSGGFYDTKDSGGECGVPAETIYYVPADNRAKFWYSTSYGMFHFCIADSEHDWREGSEQYAWIEKCLASVDRKQQPWLIFAAHRVLGYSSNSWYAMEGSFQEPMGREHLQKLWQKYKVDIAFYGHVHNYERTCPIYQNQCVNMERYSYSGTVNGTIHVVVGGGGSHLSDFTEIDTVWSLFKDHDWGFVKLTAFNHSSLLFEYKKSRDGLVYDNFTISRDYRDVLACVHDGCEPTTLAS >cds-PLY70316.1 pep primary_assembly:Lsat_Salinas_v7:4:99230258:99232010:-1 gene:gene-LSAT_4X64261 transcript:rna-gnl|WGS:NBSK|LSAT_4X64261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNENAPPKTHQDGQPLESFTINATLVRLPHLTDYLPHLKPQTTPLESNHFYHPSDEFYLSHSDVIIRRTIYDLSTTSLSSSSPHLSYPRAGPRKQIFYNPQNVRAAIVTCGGLCPGLNTVIRELVVGLSEEYGVPEIFGIKAGYRGFYSMDPVKLDVKMVHNWHKRGGTVLETSRGGFDLHKIVNAIEEHGYNQVYIIGGDGTLRGAVKIFNEIRHRKLHIGVAAIPKTVDNDVGIIDRSFGFQTAVEKAQQAISAAHVEAESAPNGIGLVKLMGRTTGHIALNATLSSRDVDCCLIPENPFYLEGKGGLFEFLDERLAQNGHAVVVVAEGAGQDMIPRTDAASQKDESGNPVFLDVGVWLKGELKRWWERDHKDELFTVKYIDPTYMIRAVTANATDNLYCTLLAHSAIHGVMAGYTGFVAGPINGNYAYIPMDEISVTKNEVNTKDHNWAWVRSVTTQPDFVRS >cds-PLY86122.1 pep primary_assembly:Lsat_Salinas_v7:6:83598911:83601940:-1 gene:gene-LSAT_6X59601 transcript:rna-gnl|WGS:NBSK|LSAT_6X59601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAGLRSRKRKHQSSISDGIFTRSKSQVYVHRHRSGYARPDSVRASNPLLSLEGTTRALTKQEPWGFTTDHVTSAVAVKDLRARRVFSPTTITDKVLSSGNVDLKADMGFQKTYDGNPNPNMSSPEGDAGHGDLGVSSSVAIDPKPTSENRVDSFLVSGNNVMEADPESDAGRGNLGVSSSVAFDRKSSSEIIVDSSLVNENNVTEADQFVEMTPRVADSDQNKGAGEKNGIISATNEIIDTQCSMIKSQSSVTNPRSKKKVFISPKSFSYRRLLPYLTELGTNDSPNFEIVVATLPKVQKSSNMVPNKDQEVDTAPTNNKSGLSDAIQKNHEDACNETMEECLQMTPPDSDIYNKPNLDKTPEVLLKTTDADTVKNFSNENKDSISSSNLVLKSCSRMKMLQTPTSFSHRRLLPFLMSVSGDDSGVSKSNQLSKPENISDQNQQPPTPSLINQSIIVDESQSQTTADEKSDSPTSTLTPLETSFESTTAIAQVSNDNLVDTHEPDTKLTESTSHSEMKLQAEADSVMKLEQESPIKDLKLVEETTSNLEIVASVDTNDNQKAVNGSTHESLLQIAPLNTPLINRDISKNGILKRTPRGCRGICNCLNCTSFRLHAERSFEFSRNQMHDAEEVALELINDMNSLRNILERTGADSNEVKEVCEKSLYKEEVARARLAQMNEDLSFHCRSMTLLRPKVTFANKIEEKVISDKKMRKGER >cds-PLY63976.1 pep primary_assembly:Lsat_Salinas_v7:7:119114731:119116752:-1 gene:gene-LSAT_7X73241 transcript:rna-gnl|WGS:NBSK|LSAT_7X73241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTKPPLVPLGTLIGRELRHGNVEKPTVNYGQAALAKKGEDYFLIKLDCQRIPGNPSTSFSVFAIFDGHNGISAAIFAKENLLNNILSAIPQGCGREEWLQALPRALVAGFVKTDIEFQQKGETSGTTVTFVVIDGWTITVASVGDSRCILDTQAGVVSLLTVDHRLEENVEERERVTASGGEVGRLNIFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSSSGGRLIIASDGIWDALSSDTAAQSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPYGYPVLPPTPRKKQNLLTSLIPGKRFQNSMNKVPNKLSAVGTVEELFEEGSAMLAERLGKDLPLDSSTGMFRCAVCQAEQEEQSGSGPLMLCSKCRRKKDAMEGKRASSLHTITI >cds-PLY69496.1 pep primary_assembly:Lsat_Salinas_v7:6:44543102:44545171:-1 gene:gene-LSAT_6X31641 transcript:rna-gnl|WGS:NBSK|LSAT_6X31641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSDQVKHSNRKRRITKADDTSKRRRRKRCCRETSMKMIRSPPVMFPLQRLYMSCLDVFKGVGTVPSPSDDQKLRRILDGMMPEDFGLPRNLQFFDPENSVVIYTSIYQCHNFSLYILFLPENAVIPLHNHPGMTVFSKLLVGKVHIKAYDLINPPSLSQQKLACLKVDNVFTAPCNTCVLYPTSGGNIHAFTAVTPCMILDVVGPSYSKKDGRDCSYYKEIPYIVSPCEEMTIPEEDGKCYQWLEEIEKPKESEMDRIEYLGPQIIEVAA >cds-PLY93624.1 pep primary_assembly:Lsat_Salinas_v7:2:174433861:174435837:-1 gene:gene-LSAT_2X96641 transcript:rna-gnl|WGS:NBSK|LSAT_2X96641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISSPGFVVARLRDSSSISESPMLSMKLKSVLKNGVSNFMQNPILRTRGRNALTAKLKSATSDNLGKTVTVTEVFDSKPDRESGGGDDGNGNSPPGNGGNGGGDEGGGGGNEDDHEEKEFGPLMKFDEVMKVAETQGVNLPPDMLEAAKATGLRKLILTRYMDLQGSGWALGFLMKYCAVLRNRMLADPSFLFKVGTEIVIDSCCATFAEVQKRGKDFWAEFELYAADLLVGIVVDIALVGMLAPYARIGKRTVASGGLFSGLKNSVAALPSSVFEFERPGCRFSAQQRLATYFYKGLLYGSVGFGCGIIGQGIANMIMNAKRRMHTSDENLPRVPPLVKSAVLWGVFLAVSSNTRYQVINGLESVVEALPLAKQVPVVAMAFTVGVRFANNIYGGMQFVDWARWSGVQ >cds-PLY79335.1 pep primary_assembly:Lsat_Salinas_v7:9:54140818:54143997:1 gene:gene-LSAT_9X50240 transcript:rna-gnl|WGS:NBSK|LSAT_9X50240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHCCSKGSVAASALKDDVNHGSTAAGKNHIQHKPPSLGGSVGKASNGASSSDTPLHSYTGSPGQIPYPEGVGATPSPAGTPRRTFKWPFPPPSPAKPIMSAIRKRRETKKEKPAAIQEDDRKEREPPLDKHFGFPRNFRAKYELGKEIGRGHFGHTCRAKCKKGALKDQFVAVKIISKSKMTTAISIEDVRREVTLLKGLSGHQHMVQFYDAFEDDLNVYIVMELCQGGELLDRILSRGGRYTEHDAKSIVMQILNAASFIHLQGVVHRDLKPENFLFSTKDEDSPMKVIDFGLSDFVRPDQRLTDIVGSAYYVAPEVLHRSYNVEADMWSIGVITYILLCGSRPFYGRTESGIFRSVVRADLNLNGTPWPSVSLEAKDFVKRLLNKDHRKRMTASQALTHPWLKEEKHGVPLDIMVYKLVKLYVRATPLRRAALKALSKALSKDELRYLSAQFDLLEPKDGCVSLDNFKTALVKHSTASMKESRGFDILDKMKPLSYTQMTFEEFSAAAISPYQLEALEEWETIAKSAFQYFELEGNRVISIDALAQEMNVGESGYLILKDWIRSSDGKLSFLGYTKFLHGLSIYSSNMRQQQ >cds-PLY84568.1 pep primary_assembly:Lsat_Salinas_v7:1:30184065:30184835:-1 gene:gene-LSAT_1X26021 transcript:rna-gnl|WGS:NBSK|LSAT_1X26021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDNATTSYSTGVHTPLLNNFVDGAVDYRGRPAVSSKSGLWRSAYFVIGNVNHSPPEIKIVVKRILGVVHGNGGRERERMDWDGIASYPRLAILLLMLFSVDS >cds-PLY71319.1 pep primary_assembly:Lsat_Salinas_v7:7:186553073:186553781:-1 gene:gene-LSAT_7X110241 transcript:rna-gnl|WGS:NBSK|LSAT_7X110241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLIRRLSRVADSSPYFLLRSESRPSPTTPRLSQSFRMAKPRRSGTVPEGHLPVYVGEEMERFVVSAELLNHPIFIDFLNKSAQEYGYEQKGVLHIPIHVFVFERVLEALQTGKSASDNLEDLFSGDFL >cds-PLY83554.1 pep primary_assembly:Lsat_Salinas_v7:1:65600567:65603905:1 gene:gene-LSAT_1X56021 transcript:rna-gnl|WGS:NBSK|LSAT_1X56021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:FH protein interacting protein FIP2 [Source:Projected from Arabidopsis thaliana (AT5G55000) UniProtKB/Swiss-Prot;Acc:Q9SE95] MTMYSDNSSSIVRLNIGGKKFCTTVDTLTQREPHSMLAAMFSGRHTVCKDSEKCHGHQALWGYFSCLICHVCMPGYVFVDRDGKHFRHVLNWLRDGVVSNLSDLECSELLREAEYYQLLGLVDGITEVLNKRKEDEEMDTELTRTDIIKCVQSDKVRLRGVNLSGLDLSKLDLSFVDFSYACLKNVFFSRANLHCAKFRDVDAENTIFHNATLRECEFTGANLRGALLAGANLQSANLQDACLVDCSFCGADLRSAHLQTADLTNANLEGANLEGANLKGAKLTNANLKGANLQRAYLRQVNLRGTHLEGAKLDGANMLGAIR >cds-PLY63147.1 pep primary_assembly:Lsat_Salinas_v7:9:114406666:114409210:-1 gene:gene-LSAT_9X81121 transcript:rna-gnl|WGS:NBSK|LSAT_9X81121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQVYTVASDSDTTGDERSSAPFPEIAIGIDIGTSQCSLAVWNGSQVELIRNTRNQKLMKSYVTFRDESPVGGVSDQLSHEYEMLSGSSVFNMKRLIGRIDTDPIVSQASKTLPFLIQTLGIGVKPFIAALVNNMWRSTTPEEVLAIYLVELKALAEVGVKRPVRNVVLTVPAAFSRFQLTRISRACAMAGLHVVRLMPEPAAVALLYAQQQQLSVHDSGSEKVALIFNMGAGYSDVAIIVTAGGVSQMKGLAGSTVGGEDLLQNTMHYLLPNMENLFPNKIKSMGVLRVAAQDAIHKLSSQSKVQIDIDLGNGIKICKIIDLEEFEEANKEVFEKCASLVTRCLQDSKVNVEHIDDVILVGGCTNIPKVKNMVMGICKKNKIYPGINPLEAAVRGAALEGALAAGVNDPLGSLDLLTIQVNAHSIGIRANRDSFVPIIARNTTMPARREVVFTTAHDHQTEALIVVYEGDEEVVEKNYLLGYFKVVGIPLAPRGKPEINVCMDIDASNLLRVMVGVLMPGEQNPVVPPLEVRMPTADDGNGLTADVLNRSFGSTLDLVTLHNKMSR >cds-PLY84192.1 pep primary_assembly:Lsat_Salinas_v7:6:108393157:108393450:-1 gene:gene-LSAT_6X67920 transcript:rna-gnl|WGS:NBSK|LSAT_6X67920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFMITQAFADHLGDLELAAISIGTSVIVGFDFGLLLGMASALETLCGQAYGAKNYRMLGVYLQA >cds-PLY88681.1 pep primary_assembly:Lsat_Salinas_v7:5:69659321:69660967:1 gene:gene-LSAT_5X32621 transcript:rna-gnl|WGS:NBSK|LSAT_5X32621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIQMIRSSTLENIRFIIIDHDPVTRMPDTSIPTTPAPTRTTRARQNNTSPGSSTDNQNVARPLSYDLADYPTPPHNEDLLRRCSRNVHRNKHPNADAPTRRPDKLPYKEPTITNQVITDIDKDTVLMFDMFEMMQENITAAVKICNKIIQDHPTA >cds-PLY71564.1 pep primary_assembly:Lsat_Salinas_v7:3:53265825:53266697:-1 gene:gene-LSAT_3X39100 transcript:rna-gnl|WGS:NBSK|LSAT_3X39100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYLIFIFLILILEGAITMDVILNPNWEKDFPKDPSGNLIELKDFIKENIHFCKWVGLSILSVEGFCMFLATILIALGPHQKDYESDNECTQEGVPFLKHYSKHVDMRPLQSSILGSFEA >cds-PLY70420.1 pep primary_assembly:Lsat_Salinas_v7:2:9116867:9123042:-1 gene:gene-LSAT_2X4641 transcript:rna-gnl|WGS:NBSK|LSAT_2X4641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDSVFSPNNMFGNPSESLMDYDYMDELLLDGCWLQATEGSEFNNNNNNSLFDTPFLWPTFEDIQEHQERSPLLKNLPDDVIQSKTLVSNSLGFLNQSENLQIESQRWWIPPGIGQSLTVKERLIYAIENIRHSTIHRNMLIQIWLPENREGKKVLSTSSQLSSLDTNCPQLSYYRNISEGYHFPAEGNSKEIVGLPGRVFMGKVPEWTPDVRFFKTEEYPRVGHAQEFDVKGSVAVPVFDRDSQSCLGVIEVVMTTQKSSYNPEIEGVCKALEAVDLRSSESSNSKNFKVTDGLNEAALPEIIEILKTACKTHNLPLAQTWVRCIQQGKDGCRHSDTNLIHCISTVDPACYVHEPRFKDFQEACSDHHLLKGQGVVGRAFTTNQPCYFSDVTSLTKTEYPLSHHARMFDLRGVVAIRLRSTFTGDIDYVLEFFLPVDCKDEEEQKGLLNSLSVIIQNVCRSLRVVTDKELAEEGGAAVVAGGRAVKVEEILEKSGEVSRKQGGDGGSGEGSFVNDGNKRMERRRGGAKTEKTITSEMLRQYFAGSLKDAAKNLGVCPTTLKRICRQHGIQRWPSRKIKKVGHSLQKIQLVMDSVHGASGSFQIESFYSNFPNLASSDPKTGPFSPKPIEATITKSSLSPSCSQTSSSGQSSSSGTHPTNDEPILKRARSDAELHVSVYNQDHDHDQDQDQEQEPKVLQRSHSHKLLTEVPMTQNRPPKSGSSRDENVSRVKVTFGEEKIRFRLQKDWGYNQLLEEIAKRFCIGDINGFHLKYFDDDSEWVLLTCDADLEECVDVYRSCKTGTIKLALSEAQHVGGSFGSTVIL >cds-PLY70040.1 pep primary_assembly:Lsat_Salinas_v7:5:201068397:201073955:1 gene:gene-LSAT_5X91481 transcript:rna-gnl|WGS:NBSK|LSAT_5X91481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:FIP1[V]-like protein [Source:Projected from Arabidopsis thaliana (AT5G58040) UniProtKB/Swiss-Prot;Acc:F4KDH9] MEDDDEFGDLYTDVLQPLQMSSAPPQQPIQSIPQPPSRSIDLNDRIPSDDEEILYGAKNSGKLKCDQPSPNLNLNKRDGAAEKNEKYWGEEAAPPADSGGRVFGGSSGLVKVEDKGFEQDPNFLDDVKGEFSQIKEERLEENFGIEDAGEEDEFLIPGLSSSGARVLERSGTEGGGGDDWDDSDSEDDLQIVLNDNTGGGIMGMDVGGGQDEEDDEDGDNLVIVTGNADPNHHHHPPMDDLQDWGEDPSQAAEGAGERKDLLGGEAGRPEVGGATAAQKVGYGSHGYHPFHSQFKYVRPGAAPMPGAGPVASGGVPGQIRPPANMLPFAGRGRAEWRPPGIKNAAPMQKNFHPGYGAQGWGNNGGAGRGFGSGLDFTLPSHKTIFEVDIDGFEEKPWRLQGIDVSDFFNFGMNEESWKEYCKQLEQHRLEATMQSKIRVYESGRTEQEYDPDLPPELAAAAGHDISENRNMGKTDLQNDLAKGSARGRMQLPTGKAIQVETGFGERLPSIDTRPPRIVLQRSPEPESVPEHDDEPEEDDVRESLEVEDDIVSENDHFDNRPQSQPQAYNSRKRESSGRPPSMVGAIDKRVSSHYSEQEAEDHQDSRGKKVNSLPSSPPTSFDQTDAIKDETAAVDRKSTEREGRGLDTNMNDNNTKSRRTMKKQKHSSVERDDSKAGKSSENSKARSDQNMRDSVEQEVIQGGDDRMVRRQDKERHQSDQYSHRKWDPNTHRPHVKSENFDRKKGRESEGGGVWQDEDPHIGRMRIEDVRKRNHDEHRNKVRENERIDKNEHRSRKASENGLKTRHDISDKREEVSHVHRESTTSRRKRERDDNLDQHKRDDEPQAQHSFRYKEEGNANNRLQKRERDEWKERDGHRGGIGMGHSRVKEDYRSSEKEYQFKEQSSRRDRGVENESVSRHRGGREDPYAHGNKVNNNNSNEERIISRHERGYTGKDVQDKKQKESVRKGKESDGGIHNSVSVASSRRNREDHSSQRSERARLEQNQPLVTRRSSKKHNANASSEDEQQESRKGRSKLERWTSHKDRDFGVIKEPDNNNNGAHTKIPEIPSSKPPPPPPQETTIDNSSKPEEDKETSEDPNKHLDTVEKLKKRSERFKLPMPSEKEALAIKKMENEPLPGPPEARPDSEAKPERPARKRRWTSG >cds-PLY92120.1 pep primary_assembly:Lsat_Salinas_v7:9:192583977:192586536:1 gene:gene-LSAT_9X116440 transcript:rna-gnl|WGS:NBSK|LSAT_9X116440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGPAGRRPPTERFVGDHRITTPTSVDPRQFNVGVGGTRRDSDGSFCSSRPSSCYSAGVNHHRPPSAVVVNDRSYQSLAVSTINSYLSACSFPIFFKLKPLPSGKDITETLKFILTRLEYPPGNKIEDDLFVVLKWLNCPIKMNKSALKSPGTPHMFPTVLAVLHWLVQIAMYNEHLANSTQSQSISGDSMFIYTLNTYLLYIRGDDDAMEREDENFMEKLHQEKISLEENIKVKSGDVKDLEAKLEAMKSGPSLRESKEEEQGMLEKDIKKFNELIEQLQTHVVSVEKLLEEKEKELGTKIEERVRMCEENEELKKKVEEQAMNMRDAERMKRELQSVERDIGEAEIERNKWEEKCWDLNAVMGTNLKELEALQIECNQTMRRLKLGNDFQYELNDKGLTPAEVLGMDYKSTLKPALKSASDDVKTSSMENLESLISLQQLSRDINAKIDAKRNRIAVLQSRIEEVENQLNLIKKETQEHTSRCAMEAQKLIESFEAESHKVDVVEKEALELAQNSKAKLQETMKRNEEEIQKCAHELLASIDSISKYKESMLSTISQIKNQVSETALAIAHIHKASLTTSNILIYEN >cds-PLY91339.1 pep primary_assembly:Lsat_Salinas_v7:8:46734057:46735240:1 gene:gene-LSAT_8X36321 transcript:rna-gnl|WGS:NBSK|LSAT_8X36321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTFGLEFLPAGGPKRFSYAELKTATNDFSTTNVVGKGGFGDVYKGVLTDHRIVAVKCLKNVAGGDNEFWAEVTIIARMHHLNLVRLWGFCAEKGQRILVYEYVPNGSLDKFLFHSGKVDYTNGEQDLEDSKLLDRKPILDWGIRYRIALGVARAIAYLHEECLEWVLHCDIKPENILLGADFCPKISDFGLSKLRKKEDMVSYSRMRGTRGYMAPEWVKSDHITPKADVYSFGMVLLEMVTGVRNFDIQGSKMDSEDWYFPRWAFDKVYKEMNIEDILDNQIKRSYDSRLHEEMITRMVKTAMWCLQDRPEMRPSMGKVAKMLEGTVEMIEPQKPTIFFLGDE >cds-PLY94712.1 pep primary_assembly:Lsat_Salinas_v7:2:85218207:85221395:1 gene:gene-LSAT_2X38801 transcript:rna-gnl|WGS:NBSK|LSAT_2X38801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRTRLVWFTFGFASTAAIMSQFVYKDLLLDRHSLSLQLKQQFDSLESRVSNLESVSPKTDGIYHIHEPPSCYEIDLHVFNLDR >cds-PLY64225.1 pep primary_assembly:Lsat_Salinas_v7:7:2650069:2653645:1 gene:gene-LSAT_7X1480 transcript:rna-gnl|WGS:NBSK|LSAT_7X1480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDSNLDLFDPRTAVMDSSDYTIEVGRGDGDFGFAFNDSNFSDRILRIEIISESTEARPDGEGCTSLADWARNRKRRREDIKRDNAFTALDMAAGPEEQILNQPDNIPDDADTENQDEETAAMIEEPPSGDEGANDNDSNWSMECSTVLRVKTLHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRINASEEAALMELLNFMYSNTLTVTTAPALLDVLMAADKFEVASCMRYCSRSLRNLPMTPESALLYLDLPSSVLMAEAVQPLTDAAKQFLAVRYKDITKFQDEVLNLPLAGVEAILSSDDLQVASEDAVYDFVLKWSRIQYPKVEERREILGTRLAQFIRFPYMTCRKLRKVMGCNDFEHEFAQKVVVEALFFKAEAPHRQRTLAADETPNPNRRFVERAYKYRPVKVVEFELPRQQCVVYLDLKREECGNLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVTFAVDYEFAARSKPTEEYISKYKGNYTFTGGKAVGYRNLFAIPWTSFMADDSLYFINGILHLRAELTIRH >cds-PLY74233.1 pep primary_assembly:Lsat_Salinas_v7:1:77469502:77470638:1 gene:gene-LSAT_1X65520 transcript:rna-gnl|WGS:NBSK|LSAT_1X65520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQKPINETTTKTPQCPGSASFKLPNMLAPVWLSMAAVQAMNSPHCLASDAEKRTSVVVSLKANYDSKKSQTCCDPGDSQNTICKTFGGVEIRLYQKFVSLEEDYKLVDIHVSNYVTGSKIYVFTRFTSTSANHHMTHTYANIDGYKNRGLVEILAGLFYCLFSWLVEIFAGQQSLENPNWFQGIVVDAVRWYSWLLEEHNVLECLILGGDQFPLMDFGKFIQTHRETDADILATSLSMDEKDATTFSVMIIDEEGRIIEMVEHLKGEQVKGMELDAPLLGIEEKRGKEMLAIASKEKYDVSKYLMLKWLRDKFLGANEIDSEVILNELCQLLLLLNLEVFDCSSDSFTERLDGELAILHSKGACITFWIVMRMIGKL >cds-PLY86533.1 pep primary_assembly:Lsat_Salinas_v7:2:208281104:208284787:1 gene:gene-LSAT_2X129041 transcript:rna-gnl|WGS:NBSK|LSAT_2X129041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVEDVMDLKANHSAPVLNDPTPVNKPRLGIHDSLGPRTHFSQTPFLTIAWKKPGGVLDDVRSTNWLDAMKSSSPRNVTKYYGTDFSSNDADMAYRDWMLKYPSALTSFEQIANNARGKRIALFLDYDGTLSPIVDNPDHAFMSNAMRAAVRNIAKYIPTAIISGRSREKVRKFVGLKELYYAGSHGMDIMGPVRPPTDHNATNEEVKEGKLYQPASEFLPMINEVFVRLVELTKNIQGAKVENNKFCVSVHYRNVDEKSWTTVAQYVHDTLKQYSRLRLTHGRMVLEIRPVLKWDKGKAVEFLLESLGLSNCDDVVPIYVGDDRTDEDAFKFLREGGRGYGILVSPAPKESNAYYSLRDPSEVMEFINSLVMYKKSVP >cds-PLY76302.1 pep primary_assembly:Lsat_Salinas_v7:7:124528945:124535548:1 gene:gene-LSAT_7X76900 transcript:rna-gnl|WGS:NBSK|LSAT_7X76900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTANKYDADREDSNSNDIKGKVDPAKPASLTWNRKLNTDAIPLSQFGIKVSEVIRLAPLIYRLWLWLREDASKGNGNGTFVDPFKKHYFSSCYGVPLGGIGAGSIGRTYKGEFLRWQLFPKLCEDKPVLANQFSIFVSRPNGNKTSTVLCPPNPKLLKESSVDGIGSWDWNFSGEKSTYHALYPRAWTVYDGEPDPDLKIVCRQISPIIPHNYKESSLPVAVFTYTLSNTGNTDADVTLLFTWENSVGGSSGLSGHHSNSKMTVKDGVHGVLLHHRTADGQSPLTFAIAAQETNHVHISECPSFITSGKSKGFTAKDMWNEIKKNGSFDDLDSSQLPMASEPKSCIGAAIAASVTIPSQAVRTVTFSLAWDCPELVFPTRTYHRRYTQFYGTHGDAAEKIAHDAILEHGNWEAQIEAWQQPILEDKRLPEWYPITLFNELYYLNSGGTIWTDGLGPVQNPRSINNGNITHESDNDDNVLEKITSVREEIHIPTSKNSAFGTNLLQEGEENIGQFLYYEGIEYHMCNTYDVHFYASFALIMLFPKLELSLQRDFAASVLMHDPRKMNLLSDGTWVPRKALGAVPHDIGMIDPWFEVNFYNVFNTDQWKDLNPKFVLQAYRNVVATGDKIFAKAVWPSVYIAMAYMEQFDKDEDGMIENEGFPDQTFDTWSVSGVSAYSGGLWVAALQAASAMAGVVGDKGYEEYFWAKFLKARSVYDKLWNGSYFNYDDSNGRASSSIQAIQLAGQWYTRACGLSPIVDEEKAKSALEKIYNFNVLKVNNGKRGAINGMLPTGEPDTSCMQSREIWTGVTYAVAAGMLHEDMINTAFHTASGVYETAWSEEGSGYSFQTPEAWNSNGKYRSLTYMRPLAIWAMQWALTQPKGPNQETKPDVKPESLLRQHAGYTEVACVLKLPKEQDTRSILQKMFDYACKR >cds-PLY88499.1 pep primary_assembly:Lsat_Salinas_v7:5:46110231:46114211:1 gene:gene-LSAT_5X22780 transcript:rna-gnl|WGS:NBSK|LSAT_5X22780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLLILFISACITIVVVHALLNMCTRRRNRLPPGPTPWPIVGNLPHLGKTPHHSLAALVTQYGPLIHLRLGFVDVVVAGSASVAAQCLKTHDVNFASRPPNSGAKHIGYNYQDMVFAPYGPRWRRLRKLCLVHLLSTKALNDFRHIRQEEVAILTRTLASAGESTVQLDKLLNLCISNALSRVMLGRRVFTNGGGAGGDPKADEFKEMATELQVLAGEFNIGDFIPALDWLDLQGITKKMKNLHDRLDAFLNVILQEHKTTAANGGASGHDVDFLCMLLSLRDEADGDEAKLSDVEIKALLLNLFVAGTDTSFNTVEWAIAELIRHPQILKQAQKEMDIIVGRDRLVNELDISQLTFLQAIVKETFRLHPATPLSLPRIATENCEVNGYNIPKGSTLLVHVWAIARDPKIWIDPLKFKPGRFLPGGEKFNVDVKGNDFDLIPFGAGRRICAGMSLGVRTVQLLTATLVQAFDWELTNGLDPKNLNMEEAYGLALRRVAPLMVHPRPRLAPNVYISG >cds-PLY89514.1 pep primary_assembly:Lsat_Salinas_v7:4:148229153:148230571:-1 gene:gene-LSAT_0X41681 transcript:rna-gnl|WGS:NBSK|LSAT_0X41681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILSDIIAPTANRSRRLTADLLWSNDFTKSSSNYFSNPPQSDAFDIDDEFEADFQGFKDQVEIDQDNKPFAFSASKDSAPARGSRSVKSDDQVERSGSRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGDKAKVNFPEKASPAKPKSRKPVYKLGSVEEKPEANPMPAAKPFIPSETAAFYFSSDQGSNSFDCSDFPWGENCVRTPEITSVLSEVDEAIFMEDPNPVKKPKTESVNLVSDCENTDDLFFEMPWDASSVDAFLNGDSTTQDCETVMDLWSFDDLPVMMNGGF >cds-PLY84912.1 pep primary_assembly:Lsat_Salinas_v7:6:14931138:14931512:1 gene:gene-LSAT_6X10920 transcript:rna-gnl|WGS:NBSK|LSAT_6X10920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPNDKNVGAVSLTPSKSKHSSKSKLPENVNPNVTSPNLKALNSPSVKSATKVQKSATKKPNQISSPSPENKIRERKFVVEKKNSKKDKDKTPISVDCKCRLVVIRTNVCVLLMRLLGLLGKGF >cds-PLY90776.1 pep primary_assembly:Lsat_Salinas_v7:3:36163709:36167250:1 gene:gene-LSAT_3X26661 transcript:rna-gnl|WGS:NBSK|LSAT_3X26661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYKDDKPGRQPEKSSRVVSIAISFVVLCGLSFYLGGIFCSEKNKISSVQIGKEVESIDQTVSSPIQVKSVSFPECSPELQDYTPCTDPKRWMKYGRHRLTFMERHCPPVFDRKECLIPPPDGYKEPIRWPKSKDECWYRNVPYDWINKQKSNQHWLRKEGEKFYFPGGGTMFPNGVSAYVDLMQDLIPGMKDGTVRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCSRCLIPWAEFGGIYLLEVHRILRPGGFWVLSGPPINYKRRWRGWNTTIEDQKSDYDKLEELLTSMCFKLYNKKDDIAVWQKTTNNDCYKQLDAPDNYPPKCDDGTEPDSAWYTPLRPCVVVPNPNLKKLGLGSISNWPERLHEAPDRIGDVRGSSAGDFNRDDKKWKNRVKHYKTLLPVLGTDKIRNVMDMNTRYGGFAASLIDDPLWVMNVVSSYAPNTLPVVYDRGLIGTFHDWCEAFSTYPRTYDLLHVDSLFTSESHRCEMKYVLLEMDRILRPNGYAIIRESSYFVEAVAKIAKGLRWSCRVEATEDDNEKEKVLICQKKLWYSQQNS >cds-PLY72139.1 pep primary_assembly:Lsat_Salinas_v7:7:57005015:57006198:1 gene:gene-LSAT_7X41260 transcript:rna-gnl|WGS:NBSK|LSAT_7X41260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFPTTHLPEFIRILTNQQRQLTILLSFLLIFPYSSLSQSSYTSAHEGYDSNSSDSATKFEPSLALVIGILSIVFSLTSLTLIYAKCCHVSSSIQHENFGNFPQTRSRFSGIDKTVIESLPFFKFSTLKGSKNGLECSVCLSAFEDIEILRLLPKCKHAFHIDCIDQWLGKHSSCPLCRIKVIVDDITVFTYSNSLRFNEPEPSSLGLFIEREGSSRFGTNIENDEEILHKFNHQIMVCDAHDPMIIKNRWSNVSSSDLLFLKSEMITCMSSNRFDSHLISLEIEGNSNGDRETEVEAMESGGRRSVSEITARPRFLEGEVRVEEERLRRLWLPIARRTVEQFANKEKRSGGDDQQLDNMKSKELLEV >cds-PLY96775.1 pep primary_assembly:Lsat_Salinas_v7:2:172402578:172405292:1 gene:gene-LSAT_2X95061 transcript:rna-gnl|WGS:NBSK|LSAT_2X95061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMAAGCVSACRVSAYHPSSIGDTPVVIRGFNLKFQQKEKTGTNGKYRASLIMNPESFEVGRLIGSYGFMNVTSYSGLQSRPDFENSSQANDVGGLRFQDVREGDVQIRLYEGRVTQGQRRGTPIIFKVYPGKQVGGVEADMMASNELKTHAFLQSCSPICHNIQILLGGFETKTGEQWLAFRNDGKYCAADYAKASCEKVSKNLALGEQKFWNPFEQEQYIKRKRSFIINLLQGAFRGLAFMHDNEMLHQSVGPASVVLNTMVDKDAAYLVPRLRDLAFAVDIQMSSLEENFGGLSEGLWRRARSAGAFSPMEKRAFGIADDIYGAGLLFAYLAFIPFCEAGIIDSLSLQRLLESTFKLDLGAAREYCLADDRFLEAVKFLDVGDGAGWELLQAMLNPDFRNRPIAEAVVNHRFLTGALL >cds-PLY83859.1 pep primary_assembly:Lsat_Salinas_v7:3:51710991:51712869:-1 gene:gene-LSAT_3X40300 transcript:rna-gnl|WGS:NBSK|LSAT_3X40300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSSSDDRILPWEALALLLIGISINQMQALPEGSSRMGVPLEMGAYIYIFIFVTVPSLASVFNEYALKSQYDTSIYLQLNFLGILGTVVIKGPESFDILHGRSKATMLLIINNAGQVILSSFFFKYADTILKKYSSTVATIFTGVESATLFGHTLTINFLLGISTVFISMHQAIHRLDFDATQPLLPT >cds-PLY81099.1 pep primary_assembly:Lsat_Salinas_v7:9:67023931:67024395:-1 gene:gene-LSAT_9X58040 transcript:rna-gnl|WGS:NBSK|LSAT_9X58040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVRQSKNCLYEIALDKIGAQCLLNEVEQVQEEKLGPENRGVHVSPLVLETKDIINASTTVWHPNVKADKDEANLKNGSSIDPLMLSMKNNDIVQNLNNHLNKITCEDEQVVENTEVYDANKIINVADVINADDWLPTASRSTHRRFLKRKVGA >cds-PLY99577.1 pep primary_assembly:Lsat_Salinas_v7:7:189853214:189854270:-1 gene:gene-LSAT_7X112681 transcript:rna-gnl|WGS:NBSK|LSAT_7X112681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKHPQNMDYSVQLLDQCESPSGLCFKLSDQSLLQESSTTEKSFDFYKRIVKSNYKPDNYLFTFLINSAAQLVDKNFGLAVHGTALKYALDQDPHVQSGLINLYAEMGSLRDLKDLLFSINNPDLVTQTTMVVACAKLGDIKFTRQEFEITLDRDVIAWNAMKAGYVRFGEPLNGLELFNAMEMKGLKNVYTWSGAMGGLAMHGYGKEYLDLFTLMQQKNITRNEVTFISILKACSVAGHVEEGLEAFLIYDQRVEQYGCMVDLYGRLIRLDESLRFIQSMPCAPIAEA >cds-PLY79859.1 pep primary_assembly:Lsat_Salinas_v7:8:15751692:15752414:1 gene:gene-LSAT_8X13301 transcript:rna-gnl|WGS:NBSK|LSAT_8X13301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVQLKVGLHCEDCIKMILKAIKKIEDIETYDVDTGLNKVTVTGNVTSQQVIKALHKIGKQATNWEHASTTSH >cds-PLY65452.1 pep primary_assembly:Lsat_Salinas_v7:9:185009873:185011339:-1 gene:gene-LSAT_9X112720 transcript:rna-gnl|WGS:NBSK|LSAT_9X112720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKTKSSKVTGAGDLHVVFLPFFASSHTIPLVHAARLFAAQGVRSTIITTVHNALIFKSSVDRDISAGFPIAVQTLNFPASEVGLPVGIENINDATNIEMASAVFRGMMMLRTSMEQLIRSLVADCIFSDMFFPWTADLNIPRLLFYPSCFLYHSIFHSLKVHKPHEKVESESESFVVPNLPDKLTMKRSQVSDFFKFKTPMGEIMETIKQSEKRSYGLVHNTFYEIEPDYADHFKKIKGMKIWHIGPLFQYFIRKDLRGGGTSEKHTSLKWLDSQKPKSVIYVCFGSMVKFPEAQITEIALALEESKQPFIWVVRKKVGDEEIGGLPDGFQERIEKENKGLIMTEWAPQVEILQHAAVGGFLSHCGWNSVLEAIVSGVPLMTWPLYAEHFYNEKLVELLGIGVGVGADVWNSGSDITSPIIGKRNIVDAIEILTGGSAMAQSIRRNSKEIAMKAKHVVEEGGSSHNDVMALIEELKAIKSQNLEP >cds-PLY99566.1 pep primary_assembly:Lsat_Salinas_v7:7:189350566:189351973:-1 gene:gene-LSAT_7X112081 transcript:rna-gnl|WGS:NBSK|LSAT_7X112081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVEPPNVNLFSPSILLSNREMIDQGNKNVYDDGVRFGYGMGEMESGSGLLPMYVSPGMMMDTIPATGLKADSGLTYSLPISRKRSRDSSSFDPTVLSFPNAQFVNQNQNATFTFLGHDISMQIYQQQLEIDRFIALQTEKLRTEIEATRRRNSMRLIAAAEEGIKKRLRSKDEEIVKISKLNSALEEKVKSLSVENQIWQQMAQTNEATANVLRRNLQRILTQIQQQQQQQNQTDYADNNKTSADDVESCCGSNYKEEEDEEVNVNVNVKVIIPPPHPPNQGNDTTGDNNGSRLNRRCRNCGKVESCVLLLPCRHLCICTECESSINVCPICKSTKNATVHVNMS >cds-PLY68498.1 pep primary_assembly:Lsat_Salinas_v7:2:212925843:212929831:-1 gene:gene-LSAT_2X133981 transcript:rna-gnl|WGS:NBSK|LSAT_2X133981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFKFLPLSCILFGFFFLNNATIIDQHPEKESLLFFKNSLLNPSILTTWNLTTPHCQWEGIICQNERVTSLVLSTHSLKGSLHISLFSLSNLIVLDLSSNLFYGELPREISQLRRLQVLNLGNNQFSGELPSELGELTQLQTLELGPNFFSGVIPPVIGRLLNLESLDLSSNSFTGIIPPEIGNLTKLRSLGLGNNFLSGSLSPYLLTNLSNLIFLDIANNTLSGHIPPEIGSLSKLTDLFLGINRFSGVLPPEIGNLENLQNFYSPSCSLQGPLPDTISNLKSLSKLDLSYNPLKCSIPKSFGKLQNLTILNLVYAELNGSIPGELGNCRNLMTLVLSFNSLSGPLPQELSRLPLMSFSAENNQLSGPFPHWVGKWDRVNSLLLAGNRFTGVIPPEIGNCTLLNVLGLSNNLLTGFVPKEICSAVSLSELDLESNLLTGSIGDTFMACNNLTQLVLSENQIVGSIPDYFSKLPLMVLDLDSNYLTGSIPISLFQSVNLMEFSAANNKLEGNLPEEIGNSVALERLILSNNRFTGEIPKEIQKLTSLSVLNLNSNHFSGSIPVELGECISLTTLDLGGNKFNGLIPEEITGLSQLQCLVLSNNNLSGSIPFSNKSSYFSQVSIPDSSFVQHHGLYDLSHNRLSGTIPEELGKCLVVVDLLLNGNLLSGKVPTSLTKLTNLTTLDLSNNLLSGELPGEFGHSSKLQGLYMGNNNLTGSIPIELGQLQSLVKLNLTGNRLSGAIPSTFEGLIGLTHLDLSNNLLDGELPSSLSDMVNLVGLFIQENRLSGHIDQLFFVNTDWRIEIMNLSGNLFSGALPPALGNMTYLTSMDLRGNGFSGEIPPELGNLIELEYLDFSNNRLSGRIPDSLCNVLTLNRLNLGGNRLEGLVPRNGICGNASRILLSGNKALCGGILSLQCPDTSFRRSSKFFNLWSLASIATGTLLITISVTLMVKRRIQSTKRRTDCEDPGVSNSGSVDHNLYLLSSSRSKESLSINVAMFEQSLVRLTLVDILEATNNFCKSKIVGDGGFGTVYKAQLPNGKTVAVKKLNQSKTQGQREFLAEMETLGKVKHRNLVSLLGYCSFGEEKLLVYDYMENGSLDHWLRARTGDTGILNWTQRFKIAVGAARGLAFLHHGFTPHIIHRDIKASNILLNQDFEPKVADFGLARLISACETHVSTDLAGTFGYIPPEYGQSWRSTTKGDVYSFGVILLELVTGKEPTGPEFKDVEGGNLVGWVCYKIKKGQAVDVLDPTVFNDDSKPAMLKTVKFAAICVSENPANRPTMLHVLKFLKEIKHEAL >cds-PLY61971.1 pep primary_assembly:Lsat_Salinas_v7:5:160059400:160062662:1 gene:gene-LSAT_5X69780 transcript:rna-gnl|WGS:NBSK|LSAT_5X69780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTMKKNGNLIEANDDGDGGEEQNGGSDSETEVKEGFQKKIGRKRGREENSGNTGTATKSQEKKIKPFVCQKQQAVSIEEERTMTTIVVLSSDDDNESTEEAAEGMVDAQTAFATPDREGNGINEVVNNKEDKNEERKPIRSTRNDGINYAEIFKYDKGRIGTPSSSSRKDGSKPKYYAKNFVSDENGNLVKVPSNMCHQCQRNDKGQVVRCQSCTIKRYCVPCMRRWYPNMTDEMFAERCPVCLDICNCKSCLRDVHPRVKEKIDFKPNDDQKARYSIYILHVLFPFLKRLNEEQTKEKAIESTIQGSSLSNIQLKRAKCSWDERMYCDCCKTSIFDLHRSCPSCHYDLCLQCCLELRDGNLQGNKEEVIIEYEDPGHDYLHGGKPLQAKKAAAAPKDRRKGHNTPKKKQSHEWKSLDDGRIPCPPKSMGGCGSGILELMCIKSLDTVSNLLDKAQNLLKTHKLKEDMRDMPEKWCTCSDFLSRNDSGDKQLRKAASRESSNDNYLYCQRAIDIKAGDLKHFQWHWSKGEPVIVSNVLETTLGLSWEPMVMWRAFRQITNLSHDRLLDVSALNCLDWCEVDINLRSFFKWYTKGQYDEVGWPKILKLKDWPPSSLFDERLPRHDVEFITSLPFKEYTHPRDGYLNLAVKLPEKSCKPDMGPKTYIAYGVHQELGRGDSVTKLHCDMSDVADARSSTISSSTIGIEFVIDPDTFLN >cds-PLY95270.1 pep primary_assembly:Lsat_Salinas_v7:8:136505662:136506717:-1 gene:gene-LSAT_8X93580 transcript:rna-gnl|WGS:NBSK|LSAT_8X93580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLANLKRCVSDTQKLKKSTAIKVELKDRYQVRDNRPVDRVMKTQKKKNQNYQNNDNALGPTKKIVVSALDKVGDETPLTKKKVNESRVLRTISDVIIKYCLMVLCVVDVEAENKASFGFILWVQKGGMLGMEDVVKTVVGRAEPHN >cds-PLY77626.1 pep primary_assembly:Lsat_Salinas_v7:4:275296873:275301296:1 gene:gene-LSAT_4X141381 transcript:rna-gnl|WGS:NBSK|LSAT_4X141381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAQISPIFSTRTTKSVNDELLVPGFDSQRITKYTTISFSSHLNANLSIKSLETRRRRRKQNYSKLVTECYSDQMKLDTSLGPRVNSVNPSKTVALIDETAALLEAGVPVIRLATGESDFDTPAVIAEAGINAIREGYTRYTSNAGTLELRTAICKKLKEENHISYTPDEIVVSNGAKQSTLQAVLAVCSPGDEVIIPAPFWVSYPELARLADATPVIIPASISENFLLDPKLLESKLTQKSRLLILCSPCNPTGAVYPRKLLEEIARVVAKHPRLLVVSDETYEHIIFSPAVHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYIAGPKHFVAATGKIQSQSTSGASSISQKAAVAALGMGYAGGEAVSQMVKAFRERRDFLVKAFRELNGVKISEPQGAFYIFLDLSYYYGMEVDDFGLIKDSESLCRYLLQKCQVVLVPGVAFGDDNCIRISYATSLSTLQTAVDRIKKAIVTLKPPPAISL >cds-PLY69017.1 pep primary_assembly:Lsat_Salinas_v7:9:139603295:139605706:-1 gene:gene-LSAT_9X88880 transcript:rna-gnl|WGS:NBSK|LSAT_9X88880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNRDEPLSYLNAPTSSSVGVSEGDSSQIGSASGSFQNEGFLGAFDGGDEDFGFSRSEFRQSLLVGTVKYYERHVFLCYKKPQVWPPRIEATEFDRLPRLLSAALASRKCDMKRQTRLTICEGHDGTETSNGDVLIFPDMIRYRRLTHFDVDTFVEEVLVKDGEWLPGSPEPLRGSYIFVCAHGARDKRCGVCGPALVSRFKDEIESRGDQGKVSVRPCSHIGGHKYAGNVIIFGSNNHGKVTGHWYGYVMPDDVPTLLEQHIEKGEIVDSLWRGQMGLSEEEQMKAQEQRVIANGGGNMERSLKGAATATASDESKVEDGGCCQENGSSGGCCRSSNSPENVVDFNLEKRKGIKNPISINIGNKGGSSSSGTTPHKVCSMPTWLETWESEDVYAALALIGAAVSVAVAYNCYRQLG >cds-PLY68338.1 pep primary_assembly:Lsat_Salinas_v7:4:157492473:157493423:-1 gene:gene-LSAT_4X94421 transcript:rna-gnl|WGS:NBSK|LSAT_4X94421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLASVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWAMKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >cds-PLY82714.1 pep primary_assembly:Lsat_Salinas_v7:2:145565561:145569838:-1 gene:gene-LSAT_2X71841 transcript:rna-gnl|WGS:NBSK|LSAT_2X71841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEALRQSRYHMKKCFLKYLEKGKRMMKLHHLMQEMESVIEDKDEKAQVLEGLLGYILCTTQEAAIVPPYIAFAIRPNPGFWEFVKVNSNDLSVEGITATDYLKFKESIVDETWANDENALELDFGSMDFKSPTMSLSSSIGHGVNFASKFITSKLYAQSGSQQLLVDYLLSLDHQGENLMVNETLNTVSKLQAALIVAHAALSSLPNDTPYQSFELRFKQWGFEKGWGDNAERVRETIGFLLEVFQAPDPVNLEKFFSRVPSIFNVVLFSIHGYFGQSNVLGLPDTGGQVVYVLDQVVALEEELLLRIKQQGLSFKPQIIVVTRLLPDAKGTKCNQVLEPVMNTKHSHIVRVPFRTEKGILRKWVSRFDIYPYLEKFTQDASAKVLEMMEGKPDLIIGNYTDGNLVASLMANKLGTTLGTIAHALEKTKYEDSDMKWKQLDPKYHFSCQFTADMIAMNSADFIITSTFQEIAGSKDRPGQYESHEAFTLPGLYRVVSGINVFDPKFNIASPGADQTVYFPYTETQKRFTSFQPAIEELLFSKVENDEHIGYLEDKTKPIIFSMARLDTVKNITGLTEWFGQNKRLRSLVNLVIVAGFFDPSKSKDREEMAEIKNMHLLIEKYELKGQIRWIAAQTDRNRNSELYRFIADSKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFQIDPNNGDESSNKIADFFQKCKEDVGYWSRISDGGLQRIYECYTWKIYANKVLNMGNVYGFWKRINKEQKEAKQRYIELFYNLHYKNLVRTVPVATDEIQSAPVPKGKLTTTPTTRHVI >cds-PLY95779.1 pep primary_assembly:Lsat_Salinas_v7:3:27137331:27140309:-1 gene:gene-LSAT_3X20841 transcript:rna-gnl|WGS:NBSK|LSAT_3X20841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGRYGGGGGGGGGGCFEFESGGVVMTRDPKPRLRWTADLHDRFVDAVTKLGGPDKATPKSVLRLMGLKGLTLYHLKSHLQKYRLGQQAKKQNNGDPNNENSGDSYEHYNLHSTGTSSNSLRANHEQVDIPIADALLCQIEAQKRLQEQFEVQKKLQMRIETQGKYLQGILENAQKSLSLDMNSSGNLEATRAELTNFNLALSDLMDNLNGEGRQENVSKFSHDGKKGPTVVKEEMKIKTEGGGGGGGGSISFDLNTGGNYDFIGTNQDLLALGFTRQN >cds-PLY77995.1 pep primary_assembly:Lsat_Salinas_v7:MU042436.1:331249:331953:-1 gene:gene-LSAT_0X11420 transcript:rna-gnl|WGS:NBSK|LSAT_0X11420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSESYNTVDIYRVEVNHPFLCRDQLVSKLREAWKPNNPNCRFYNYANSMISNDNCNFFQWLDLALPKHYNDTLWNIKLRINDLLVRNDQFIKLHKKVEKHKLLRNVEKELAEARIQELFIEIQSDVGCWVMVYKWFLGHGAYRDALLGD >cds-PLY94687.1 pep primary_assembly:Lsat_Salinas_v7:6:19475067:19475778:1 gene:gene-LSAT_6X13761 transcript:rna-gnl|WGS:NBSK|LSAT_6X13761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALIGGGSVATIAAVISLAEPEKRRQLQAEEVGGQVHVSSAGAVKKVYRGFDQEEGMDVAWNQVKLRSFGGDPSVLKRLFLEIKLLQTLENENIIVLYSFWRDTKNSTLNFITEACASGNLRDYRKKRRRVSLKALKNWSRQILRVKYEMRTLSQLHVSMGHSFVH >cds-PLY97979.1 pep primary_assembly:Lsat_Salinas_v7:3:18187545:18187877:1 gene:gene-LSAT_3X13880 transcript:rna-gnl|WGS:NBSK|LSAT_3X13880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAIIGLAVFSHFWYWSPLIYFITLDFSATTLIGLDYNLNVLNFVFISDAKPALFGYPQPTLIPTTTRTVVPTAVLSTSARANKKHAEKPNADKLSSEGSFFGEKDGDSI >cds-PLY64634.1 pep primary_assembly:Lsat_Salinas_v7:6:37608739:37612591:1 gene:gene-LSAT_6X27120 transcript:rna-gnl|WGS:NBSK|LSAT_6X27120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMDNTSRGRSFDRSSRNPATLKKPRLVTEEPTILRSNNNPNGNNRPIVQRPSVGFRPAVERDGDSESYQPQSLSQIKQQQHQELVSQYRTALAELTFNSKPIITNLTIIAGENAQAAKAIAATICNNIIEVPSDQKLPSLYLLDSIVKNIGRDYIRHFSTKLPEVFCKAYRQVDSALHSGMRHLFGTWKGVFPPQSLQSIEKELGFSTVGNGNGNGNVSSSGLTTSRPESQPQRPARSIHVNPKYLEARQKLQQSNRPKVAASDISTTRADPRLKLHAQRDPESDLTNENYEFGSDISSPSEGSFGKSNGRVGEQGLEKTWYGSVSNTTDTISRLERNGHTLSSNYSLHKSSIPDVKSQPINNLIKGSGEVSRSWKNSEEEEYLWDDVSSRTVNPILTSSNSSKRDPRLYFDPDRPGFDNRLQKSQRMHEKESSPDLPSAEQRIPLPSTSLRAKGSFSTDENSFVGASRNLLHGSKVFPSSSSGVSVSTSLDSLSRISLQSLKAARSQGQISQDSQKSKLQNLHPMKRTPFPPPHQEPVSEPSVQFQPQPQPPKPLPVPRQQKPVVADIPGLSSTSSLLAAVSSIFGKKTTTQSMSSSLKIPSSHESTSLSSSLLGTTPSTQSTTLPNPESNVSSLLSTLLSKGLISASEDNNNKNNNINNNNNNNNKSDDVIATQTPKLTPKVSSKSVVINNKSVVGLEFKPDVIREFNPCVISELIDDLPHQCGICGLRFKVQEPFDNHMEWHVLKNSESNTPNTKSSRRWFLKAENWVNGESDFDFDPGSTTQETFLIDGEQMVTADETQIVCVLCGEIFDDFYNQERNKWMFKRAAYLNIGNGGTRGVIVHENCVSVNSLSDLGLANDVKVEKSV >cds-PLY96604.1 pep primary_assembly:Lsat_Salinas_v7:7:45092219:45094571:-1 gene:gene-LSAT_7X33081 transcript:rna-gnl|WGS:NBSK|LSAT_7X33081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVDITFLLVAFSLILSFYFILNKKPTASKSLNLPPGPPKLPIIGNLHQMAGALPQHALRDLAKKYGPIMHMQLGQVSAIIVSSPRLAKDVFKTNDLALASRPYSLLADIMLYGSSDVAFGPYGDYWRQMKKIITVELLSAKKVRTFSGFREQEVDHLIEFIRSTCGKPVILRDKVTKMINNIVCKSSFGGNCKQQDVLLELVEELGRLVSGFYVADLFPEYGFLSVISGLKSKLMKIHKRLDKIFDDIFEERKIKRQRNGESEGDLLDVLFTIKESGSLQIPITDNNIKAVFENIFVGGTDTSAMTIEWAMTELMRNPNVMEKAQKEVRETFKGKKKISEIELADLVYLKCVIKETLRLHPPLPLLLPRECREHCQIDGYDIPVKMKVIVNAFACAVDPEYWDDAESFKPERFEMSCIDFMGTNFEFVPFGSGRRMCPGINFGLTSIESALAQMLYYFNWKLPSEIIPKDIDMTEKDGGVAIKKVPLMVTPNLYSPF >cds-PLY73888.1 pep primary_assembly:Lsat_Salinas_v7:3:39927796:39933388:-1 gene:gene-LSAT_3X29801 transcript:rna-gnl|WGS:NBSK|LSAT_3X29801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g49710 [Source:Projected from Arabidopsis thaliana (AT3G49710) UniProtKB/Swiss-Prot;Acc:Q9M2Y7] MNQISSCPLHHFTQLLKTCISQRDLLTGKSLHTLYIKTVIPTSIFLSNHFILLYSKCRRLSAARNAFDVIPHPNVFSFNTIVSAYAKESQPLIAHQLFDQIPQPDIVSYNTLISAYADRGDTQPALHLFMDMRELGFDMDGFTFSAAITAACKNISLTRQLHKLAISGGFNAYTSVNNSLITYYSKNGYLEEAKSIFYDMGSNKDEVTWNSMIVAHSQHREGLKALALYREMVHLELPIDLFSFGSVLSAFTCLEDLHGGLQFHCQLIKKGFHQNTHVGSGLIDLYSKCHGGMSDCNKVFQEIMEPDIVLWNTMVSGYSQNDNLSEEALSCFIQMQREGYHPDDCTFVCVIRASSNLSSPSQGKQLHSLALKSDIPSNKISVNNALISMYSKCGNLQDARRLFDKMPEHNTVTFNSLITGYSNHGFQIEALDLFQHMLNTNISPTSITFISILSACAHTGKVEEGRKYFTLMTEKFNIEPEEEHFSCMIDLLGRAGKLDEAERLIESMPVKPSTVSWGALLGACRIHGNLELAVKAAKQCLVLDASNATPYVVLSHMYARANKWEEVGRVRQLMRDNGVKKSPGCSWIEVNKKVHVFVSEDSCHPMLKEINEFWEELLKKMKEVGYRDYGIVEEDECGKLCLDY >cds-PLY78861.1 pep primary_assembly:Lsat_Salinas_v7:5:305592070:305595631:-1 gene:gene-LSAT_5X164660 transcript:rna-gnl|WGS:NBSK|LSAT_5X164660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2739 [Source:Projected from Arabidopsis thaliana (AT4G14590) UniProtKB/TrEMBL;Acc:O23315] MASRLIHKATYEADNPFDVSLTESFQLLEPQLRPPFPLTILTQSEYSNLNRAILYGILCEPHLAEVHIKHLHGIVIDGYSLFTALLTRLVDELYSNLVENVKIQLLGVTSIMIRVSAIGIDGLLVALLRQTRGGDFSKANLWLCSELVTLFSIKWESLLEEQPLVLSSAMYVFLRLLADHCRVSSNSNLDTLKQKEIEFCIRVFKEQFHLCLKIGRDLIRLLQDLVHVPEFQEIWKDLLLFPGNFKTLGFSDISQIYQSRTSSRYFLLRLTPEMETHLRFLLTQVKLGSQTRYQIWFGKKFLNVQEKESVVIDIVRFICCAHHPSNKTILSNVIPRWAVIGWLLTCCSKNHVQANVKLALLYDFLFFHEEVDNIMNIEPAMLLMINSVPKYVDMTQNLLEFLFLLVDHYDVERKDLIVRGVLSALDVLERKGVVQSFDALTSCDLLSSVLKERLLKLLLSKNAVCRKVILPTTPELAHTAPSRASCEV >cds-PLY97026.1 pep primary_assembly:Lsat_Salinas_v7:4:125522474:125524230:-1 gene:gene-LSAT_4X79881 transcript:rna-gnl|WGS:NBSK|LSAT_4X79881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKQRGAFRRRSDLFEALPDDIVISILYKLSSTASSPSDFVAVLLTCKRLNNLGLHPLVLSKSCSKALAVRAKNWCEEAHRFLKLCVNAGNTEAYYMLGMIRFYCLQNRGSGASLMAKAAIKSHAPALYSLALIQFNGSGGLKNDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVRKNITEGRRLLVQANARELASVLRVFNNSPSPPSSGWQFHNHQQPRPTPPPSYQTGAPNPLPNPSDFDIQLFSDYGFNLTGREMHPANRFLVEWFGSREDGFPGLGIRICSYKGCGRPETRKNEFRRCSGCGKVNYCSRGCQAHDWRVHHKVECAPMEEWVGHAIDDVDEEEEDNMNGDDDVVDDDPTVEINEGEVHGIQI >cds-PLY94607.1 pep primary_assembly:Lsat_Salinas_v7:8:181597585:181607211:1 gene:gene-LSAT_8X118300 transcript:rna-gnl|WGS:NBSK|LSAT_8X118300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRKLRFVACLKLCDLKSNSKRMDYSGGDEFEDAGTRTSLFSIADIFEEISNLIIVGRNGDFPILKLKPFCDACSFVSVFFRFCALHSKSSICDYSLKDATSSAYQQVCAPYHSWAIRTAFSAGICALPSREQLLLNLNETEVRLLLTRLMLLIWHMEMRLALSGVYAKDLHVRLACLNAAKCIPTISNQSVPQDVEIATSIWIPLNDPEKADTNADVQGRMINVGIMIIDKHGKDNVSLLFPIFENYLNKKGRVRVLAMIVKLFSTSNAVAYLTKTGMVVSLVAC >cds-PLY72246.1 pep primary_assembly:Lsat_Salinas_v7:7:87300141:87300981:1 gene:gene-LSAT_7X60201 transcript:rna-gnl|WGS:NBSK|LSAT_7X60201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDISLMGFDTPFFRNLHHILEATDDTTTNNKSSNAGPTGAYVRDARAMAATPADVKEYPNSYVFIVDMPGLKSEDIKVQVEEDNVLVISGERKREHDQEEKERVKYVRMERRIGKFMRKFALPENANLEKISAICQDGVLTVTVEKLPPPEPKKPKTIQVQVA >cds-PLY84828.1 pep primary_assembly:Lsat_Salinas_v7:4:145082882:145083178:-1 gene:gene-LSAT_4X90381 transcript:rna-gnl|WGS:NBSK|LSAT_4X90381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGTLSWSWSIIERYAAVPMEIGGPSPENIRLENDYCLTSTLLLGEAMLIDRSAKVRAGLDMLARVDGFFGSGRRVALMVLTLLWSTGGSGRVARSCF >cds-PLY88179.1 pep primary_assembly:Lsat_Salinas_v7:5:220795365:220797230:-1 gene:gene-LSAT_5X102860 transcript:rna-gnl|WGS:NBSK|LSAT_5X102860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASKFIKCVCVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVDGSIVNLGLWDTAGQEDYSRLRPLSYRGSDIFVLAFSLMSRASYENVLKKWMPELRRFAPDVPVVLVGTKLDLRDDKGYLADHSGSNPITYAQGEELRKQIGAVAYVECSSKTQQNVKAVFDTAIKVILQPPRRMEVTTRHKRRKSSGCLGMVCGSCAA >cds-PLY98301.1 pep primary_assembly:Lsat_Salinas_v7:7:170472309:170472728:-1 gene:gene-LSAT_7X100680 transcript:rna-gnl|WGS:NBSK|LSAT_7X100680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGCSCAFIFGGSSRGTSDFGTNSRYAIWARCHYVNKKWLGDMLTNWSTTETRLHKFRDLRTEQKIGGLDCLPKRDAAMLKRQLSHMQTYLGGIKYMTGLPDIVIIVDQHEEDNNYISINLYP >cds-PLY66812.1 pep primary_assembly:Lsat_Salinas_v7:5:45984346:45992758:1 gene:gene-LSAT_5X23200 transcript:rna-gnl|WGS:NBSK|LSAT_5X23200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGNGVGDRVHNFFAQDNLSQGPRGDGNWPLNDNLWAGNQKQFGVSDSSPRNFNPQQSETERGHGSQRVDPHLFNLTQTRPEFAKNLSLHEQSNSNGYMYGRQNFQTPPNEANFLGVETEYGRNNVNQRGFPFYESQREPVPEEPPKNPFRSEASEAHSGFDLFGGQGQHQMNNQYPGFMQPLQQQQQQQQQQPGFGDIQQLQHQLMLRKMQELQRQELQRQEELQRQQQNSLNQASLFARQASGSHINGTPTSDWAEVAVGNANTNWLQRGSPQVQGGLAFSPEQGQPQRSMGFVHQQVDQSLYGVPVSSSRAPLNQFPHQQPQNPFPGNHYVIPEQQVVPGKSLFGNTSGQGHIQQVKASSQNVAQDLFGPVVEDKSASGEAALDPDEEKILFGSDDTNIWEAFGTSKNTGGGVSSLLDDNNEFASGLPSSLQSGSWSALMQSAVAETVSSGAGVQEEWPDLNFQNPEVPLVKQNDMNVPNPGSVPLSDGGNMNNKHRGNMGFKNNPYENDERLNMNHSKQMNQNTSGGSNWLNRGAQESRLYESSQQQQPNTKQNHWNANESAPPPSNTGFNINEDNSSLQQSHQSNDWKRVMQNGMGQGQGEGISGINSRVHPNVNRETVNRGGLAPNLGSNQFSPNNNQLNYWKHVDSKSRGSENSERSQGRVNKGPQVSESSFNSSDKEDLKMHERENSNDSYRSSSSHLPNALGQRETFSSDAGDSRSKQSLSNQGNRKPSAQRKFQYHPMGNLDEDVGMPYGRTQAANTKGIPLQHSQGNFGHAKIGGQGQPTKVFEVGKELEGVPKGLHDMRFKGMIPGHVPDLFAPHDRSDKASQPSQNMLELLHKVDQSRDRGIARQMNSLERNLSSEIPEPENSDGSFGGHQRSQSSNSQGIGLQLGPPSQRAMQTIKPNSLSQAQAQAQAQAQAQAQANSRGKGHVNLSPFPPFQEASRGEFKNDRTSISGQSASESSGGHKMGTNFSAALGTDFPNSRNQFQNQTRGTNHSGNEGHHHTPQIRQADEATRVRSLNSGLYENSASQPSTGEKEKEKEKEKEKFPAAAAAAAQPRGNSQQTAFPKMLPNAWANIPTQQLFSAAQARKAQAQAQGQSNLSTLHPLNIVESTSSGQQNVEEQKQPTKESPSEKETTDVNESSSLNPTASQRDIEAFGRSLKPNTLYSLPNQMKAMDNDQNTLISKRPKDDSGQHVAPWSGQPTVQDSEIPAKEVASASASDQNGSQSILPGVKIEHSHVNPQMAPSWFDQYGTVLKSGQMMSQRPDAVKTMEAHDKEQASAAADPSQAVVISKPLIPSSFTPEQFASPPLQTNISVQNSVALRSKKRKYSTPELHPWLKEYCFNGMVWSSESVDGKGVEDDGEVIEDVPRQKRRIILSTQLMQQLFPPPPATILSTDATLSYESVAFYAARKALGTACNLVSSGTSCNDSHGGSNSLSDKSKESEKTEDRRLEEAVEDCVSKSKSLENDFSRLDKRASILDLRVECQDLEKFSIINRFAKFHGRGGQTDAEGSSSTADAAANAPKPSPQRYVIAVQLPKNLPERVQCLSL >cds-PLY70720.1 pep primary_assembly:Lsat_Salinas_v7:8:168635396:168637237:-1 gene:gene-LSAT_8X110321 transcript:rna-gnl|WGS:NBSK|LSAT_8X110321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCAPNSPPSPSERAVSLKNKKKREPKKRVGEIPEQQQIASRAIHTAASSRGTSASKGKSSG >cds-PLY85050.1 pep primary_assembly:Lsat_Salinas_v7:7:7390240:7393654:1 gene:gene-LSAT_7X6901 transcript:rna-gnl|WGS:NBSK|LSAT_7X6901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCRHIFALKWIGNSNLIVTWMMIRSTCILQQQAPDHFINKFKYFSSFLLHYSVPPSSPIEETGEDSASHLLSLLSLPNWQKNPRLKTLIPSISPSHITSLFNDNPNLKPQTALSFFNILSQRSNFRPSVESFASLLTILIKNRFLNVAEKIRISMVKACETDDDARFVLGFLRKMNADDDEEFECKFKLSIRCYNTLLMCLSRFSMIDDMKYVFLEMLDDKIMPNIYTYNSMVNGYCKLGKVDAAGLYVTKIAQAGLSPDTHTYTSLILGHCRNKDVDSAHKVFDVMPKKGCCRNEVSYTNLIHGFCEESRIDEALKLFHQMGDDNCFPTVRTFTVLISALSKSGRTAEAWALYSKMSEKSCEPNAHTYTVLIDAMCKEKKLNDARKLLYVMEAKGVEGTVVTYNALIDGFCKEGKVESAFEMLKRMEKKRLKPNVRTYNGLIGGYCKGDNIHKAMALLDKMMKMKLSPTVITYNLLINGQCKHNHVDSAYRLIGLMKENGVAPDEWTYSSLIEALCKRGSVEEAHLLLKEKGIKANEVTYTTLINGYFQIGKADLSMGLFKEMLTNDFSPNSWTYNVLIHGLCKEDKIQEALMLIGKMIKIGFEVEITTYTIFIEHLLKSFDFFEAHKLFNQLGASGLKPDVCTYTSFILAYCTQGMIKEAEDMMNEMIQKGVKPDTATYTVFIDAYSHAGQINCGFDFLKRMMDAGCEPSQHTYAIILKHLLRKNYKSNTGIDNVIPVNTVWKIMEFDDAIELFSEMVKRGCELKVNTFDALTKGLCKERRLEESLKLVNHMLTNGISPNESIYTSLVNCSCYLKMFDKALSLVNNMVENGILVDLESYKLLICGLYEEGKHEKGEEVFCSLLDGYNSDEVVWTILLDGLLKRGLVKRYFELVGVMEEKGCHLNRYTYQMLVDQMGV >cds-PLY81853.1 pep primary_assembly:Lsat_Salinas_v7:9:181131955:181133275:-1 gene:gene-LSAT_9X111201 transcript:rna-gnl|WGS:NBSK|LSAT_9X111201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress enhanced protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G34190) UniProtKB/Swiss-Prot;Acc:Q9M7I9] MAVAQVSSSLCISTAARISSVPQFTSSSLPRIGTTFTSGSPLIICRIHHQKAIVPKATSSFSVKCEQSSKDGNGLDVWLGRTAMVGFAVAITVEISTGKGLLENFGLSSPLPTVALAVTALVGVLTAIFIFQSASDN >cds-PLY75251.1 pep primary_assembly:Lsat_Salinas_v7:7:66189883:66190494:-1 gene:gene-LSAT_7X47820 transcript:rna-gnl|WGS:NBSK|LSAT_7X47820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAGYGMFQRVYGGCISTDDMGVRRRPYHHNCSCAIHKSGGGNCSHLAKVSYPIRRCWSEGSMMAIKSMASPDSSPCSSSSPMVAASVTIKDSQPPSLPITVANDS >cds-PLY86894.1 pep primary_assembly:Lsat_Salinas_v7:5:257945490:257949815:-1 gene:gene-LSAT_5X133480 transcript:rna-gnl|WGS:NBSK|LSAT_5X133480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDFSLMNTKAFANLKGSGGNIWEVFEVLDDARRAIFRNTVFGYFIDVPRLQGDALLFHKMFLHQIRPDPVLSPDGIKRLYFRVGNTKMVYGPEEFCLITGFNFGEYPKNIGRKGSEKLISSKKRCLLRERLFPDHTNSSVKIGDLKSLILNQTFLALDDLDAVRVCLIYILCEGFLGKEVNDRVPQDWFYLAENLDLWNSFAWGSYLWDFTYVDLEDTWNKIHHYLSLPERGQTLKYSVSGFTAPIRIWIYEMIPAVRACGFALRKNKDLPRMKRWSGTKKLKWVDVNKIWSKMQEGLPPRQNMLPGDGEMTSFYYMSFQEYVYGEGKAVPSPVRDHFRRQDESSSSMSSSGRSHGRGRGSGKHKLDELLKRVHALEQHVFMNQQKPTEVFFEEVNNEQFWNDIIFEEPTVSQRNYDEQVVQDEVMNKNNTTQNVFGDTQDDKVLEESTQYAGNKFDDDVCDVNDYSEVKEEWEERNDNAGNKFDDDVPDEDELIIMGNVDYFHDDDDDKEVTPDKPRSRKPSQFLCTPYTELHTTPKQKRRTKKKVGMKSTSPVPPPVFGVAHDFSMLRLQPYVAGGEDVIQNYVLHSYDVQHRLFNFVLDRDFWSSLFGHTHDGWLESASITKVSCVQHITIWYRLLMERRFESDRHTIMPPNFFVSHALEEGQDWRAFMAGIATYPNFMVAWWDVDTVLLPIHSSPNHWLFGELRLASMEVHIYDSLGRGAYEKFQSEGIFSKFERRVANYLDKIKYWARRNIPRIPLNMQFIYEENVPQQSSHLGDCGVFLCMFMEQLVSGQPIRVLIDPKNAALEFRLRMAKIIWGSSLAPL >cds-PLY83454.1 pep primary_assembly:Lsat_Salinas_v7:9:89801475:89802563:1 gene:gene-LSAT_9X68301 transcript:rna-gnl|WGS:NBSK|LSAT_9X68301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATDALPVAYGTSHVTFVHRANRKSDETKTAKKTGIVGKYATKNENILLKPSQQVLLEEKGSWNLGLQELWESQSRGPYTLNTASVVTVRITIRRLREETES >cds-PLY94882.1 pep primary_assembly:Lsat_Salinas_v7:2:180636317:180639457:1 gene:gene-LSAT_2X103620 transcript:rna-gnl|WGS:NBSK|LSAT_2X103620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALTPTSTLLLLCILYAVLWISLCSDQKLTTLKGIEVGNPAIEVIPAPIYGPSGGSGDVLFCGRVSVSGVSRLKLQHYASVYQVTLVPSVLIPKQWHNKIQVCFQRNSSLGLCQCEKDDWRSLQNGLWSSTMSPYEQTFVDVKFGDGVSGSVTVSLDEVQQKWRYILLAVGFVLLFLAPFVSEWVPFYYTSSMAIGVLAVVLILLYQARKLLPTGRRNAFYLGIMSTVLGAGSFVVHSLSAFLNSFLQNFGISQEVQNPVSVFVVLGIILLGAGFGYWLVRKYIISEDGEVDVGVAQFIKWSMRVVAVTCIILSTKDTPLAMAAVGSCLGLYYMITKMKWHYHESLRAESYSGKQNLWGKSKQTTPNHGKPEFLSRSKKNTPSRSGQMNSFRWSNSNSPTTKGISSGSGRQGDVYSTFHKTPNRRKFSKKEWEEFTEESTRESIAELASTPEFTDWVINNADRIKLLPEDNSDGSGSGSDSTDEYHNNVQQSGTGQGFFNWQMRK >cds-PLY62213.1 pep primary_assembly:Lsat_Salinas_v7:4:275274133:275276804:-1 gene:gene-LSAT_4X141241 transcript:rna-gnl|WGS:NBSK|LSAT_4X141241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTAKWIEEVRTERGTDVIIVLVGNKTDLVDKRQVSIEEGDGKAREFGVMFIETSAKAGFNIKPLFRKIAAALPGMDTMSSTKQEDMVDVNLKSSGNASHSDQGGGCAC >cds-PLY94482.1 pep primary_assembly:Lsat_Salinas_v7:2:158403176:158404920:1 gene:gene-LSAT_2X82620 transcript:rna-gnl|WGS:NBSK|LSAT_2X82620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVIMHPGNKIERIYKSVSARHVMSLNPGHYVAVVVTSQSENGLPMKQLKLLRPDDPLVIGKVYRLIRFEDVLKEFAAKKCVKLGKLLQERGVLVLEKKGLAGAPTKAPNANAKSHKVQRDKNNSNRNRNRRHHHQQHQWKPALNSISENED >cds-PLY83236.1 pep primary_assembly:Lsat_Salinas_v7:9:122831450:122831689:-1 gene:gene-LSAT_9X82101 transcript:rna-gnl|WGS:NBSK|LSAT_9X82101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLCLLCISMSLCVFLVKIRHGRRYIEKGIVMLGYEEEGCRFAIDVPLMETEMSPEKKHPLSPIVHISPMEAKLLLL >cds-PLY95080.1 pep primary_assembly:Lsat_Salinas_v7:1:95758190:95758510:-1 gene:gene-LSAT_1X80240 transcript:rna-gnl|WGS:NBSK|LSAT_1X80240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGRKARIAGVLSDIQDVVSNCDRLRGSKRLKRQSEEGKEGGRLSDDPCGLIVVISSAQMGEGANNKKSTFGVKSGSIIVRCRWFIFRAREKENGSSWDRLCDSDV >cds-PLY94193.1 pep primary_assembly:Lsat_Salinas_v7:5:322490971:322492203:-1 gene:gene-LSAT_5X178901 transcript:rna-gnl|WGS:NBSK|LSAT_5X178901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSRTKRVTDPLDDRVKDRIVGRDRLEPVYVSSGSEHSAGSGGYHDDSSPSPCLSNLLPCFLHREEEEEGGGGGGCCNDKADDEGCQLNDKEVDSDSDSDCESDRTDKIIEIMRSMWRNQNVDRFRVVLMAHVMKGMEIFQALRPNQQILHRNMMLFLQNIGYNAAICKTKWESCGGLTAGNYEFIDVVRSDSGARYYIDLNFAGEFEIARETNQFRRLSHHLPTVFVGKSEDLKQIVKLMSDAAKRSLKTRGLFLPPWRKNRFMQNKWFGPYRRTINYTPMNISSASAVPTISTASPVKCRSIGFNAVSNASLVPAATRTR >cds-PLY70428.1 pep primary_assembly:Lsat_Salinas_v7:1:76408387:76408881:-1 gene:gene-LSAT_1X63741 transcript:rna-gnl|WGS:NBSK|LSAT_1X63741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFLVITLLLILMVAATSCLAQEHHRKILNDETAHEIHQRKLKESTDSTVNNHHYIPRSEYGNGGGSTDDANLLNHHYIPRQDFNNDGGSHDDEMKP >cds-PLY85779.1 pep primary_assembly:Lsat_Salinas_v7:1:47022645:47023409:-1 gene:gene-LSAT_1X41620 transcript:rna-gnl|WGS:NBSK|LSAT_1X41620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCPTGTSLPPSRKPADLRSAFDVLDVDRDGKISHDDLKRFYADAGDEIIGTMMKVADLNKDGYVEYEEFENVLRTNKNGISSCVMEDVFNDMDRDGDGKVGHGDLRSYLRSAGIEVNDDDIKAMVSLGSGDDDNDGVTFEGFLKILAI >cds-PLY91653.1 pep primary_assembly:Lsat_Salinas_v7:8:11940611:11941063:-1 gene:gene-LSAT_8X8121 transcript:rna-gnl|WGS:NBSK|LSAT_8X8121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKEERVAKKEQIKWTDWMDYCFIQSMMTQDEKGLRISGSFIPQAYNNMVKELNQKFGKSLTKNHLKNRLKNLKSGFSQWYDMFRGTSLSGFGWNSETQLIEVDEEVWANLINVSFFYCMLLSLYTFMLFFLTIIVFGIVCYSQSQMQFH >cds-PLY92902.1 pep primary_assembly:Lsat_Salinas_v7:3:181470529:181471454:-1 gene:gene-LSAT_3X108701 transcript:rna-gnl|WGS:NBSK|LSAT_3X108701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRTHEDTVSNLSAHFQCHSRRVKKLAVETGNPNVVWSASEDATLRQHDLREVTSCPPAENSASRPLSELRTTEEHEAAARAKGEFKNQESEGEIHPNDGLTEAK >cds-PLY65596.1 pep primary_assembly:Lsat_Salinas_v7:7:163901082:163905159:1 gene:gene-LSAT_7X95520 transcript:rna-gnl|WGS:NBSK|LSAT_7X95520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFSESTKIVYDRIQKIDPENVSKIVGYLLLQDHGEREMIRLAYCPDNLIHSLINKAKTHLKLPSNIPEMPLHFTPFTPSTRHSPLTIQIPSQPVDYVPMVYPASFPEDYRLHNHLRFLSLEDQIDSVNTPDFSPNNFYNSSEPSLGPRANRRSPSLPEFPFKVCHYFIKGFCRHGNNCRYLHPTSESFSYIEDDNVFSPGSLDKLELELTELLKSRRGFPLSIASLPMLYYEKFGKSLQAEGYLTESQRHGKAGYSLTKLLARLKSICLIDRPHGQHAVILADDLAKCMDHNGDRDRNEHGGIVAGSRQIYLTFPAESTFSERDVFNYFNKFGPVHDVRIPCQQKRMFGFVTFVFADTVRHILNKGNPHFVSGARVLVKPYREKSKADERKHGEAFQNSIYQGGHGLDSEIGLQSMPRICDNSRLIKKQIIEEQQEQALEFERRHFSEMQLSADLNNQFPSFRTTLEELRLPEGNSHAPQMNFRSTEHFNYLLDYLNNGPSNDERIRQINTKYNEESSQGLNLPDSPFAAALGGEISTVI >cds-PLY82900.1 pep primary_assembly:Lsat_Salinas_v7:4:18320049:18321870:1 gene:gene-LSAT_4X12680 transcript:rna-gnl|WGS:NBSK|LSAT_4X12680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEAIESDQNVLQKHVAFFDRNNDGVIYPWETFQGFRAIGSGILLSSVAALFINMGLSGKTRPGKKFPNLLFPIEIKNIHLAKHGSDSGVYDTHGRFVPSKFEEIFHKYAHTNSDALTSDELDKFIKGNREPKDYAGWIGGLSEWKILYFLGKDKDGLLRKETIKAVYDGSLFEKMAMEKINSSNKKHVDK >cds-PLY97786.1 pep primary_assembly:Lsat_Salinas_v7:4:375573068:375576521:1 gene:gene-LSAT_4X185161 transcript:rna-gnl|WGS:NBSK|LSAT_4X185161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Triacylglycerol lipase 2 [Source:Projected from Arabidopsis thaliana (AT5G14180) UniProtKB/Swiss-Prot;Acc:Q67ZU1] MGVCKFLGFLWVVVMLSIQQYPAFGSSRLTSDVGVVMNPPRLGICASAVTKYGYKCQEIDVTTEDGYILSIQRIPEGRGGGGVGGGRRKRQPVLLQHGVLVDGMTWLLNSPEQSLALILADHGYDVWIANTRGTSFSRRHVSLDPDKPEFWNWTWDEIAARDLPAVIAYIFQQTSQKIHYVGHSLGTLIALASFSERKQIDKVKSAALLSPIAYLSHMTTALGVVAAKVFVGEITIVFGLAEFYPKGEEVSRFLKGKNCCLNGSTVDAFLRSEPQSTSTKNMVHLAQTVRDGILAKYDYGNPVFNLEHYGVPRPPIYNISNIPKDFPLFMSYGGQDALSDPKDVATLLDDLKWHDEGKLSVQYIKDYAHADFIMGVTAKDVIYDKIISFFTRNQ >cds-PLY91127.1 pep primary_assembly:Lsat_Salinas_v7:3:152583814:152588143:-1 gene:gene-LSAT_3X96320 transcript:rna-gnl|WGS:NBSK|LSAT_3X96320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTKGMYTLREDKQELTKQLGCMNGIFQLFDRRYLLGQKKLPQGGKKEINNASEKPKEKMANEKHRVSTESSRNSVSSSSSLLDCSKRLQTEPSSICPSIISEPLPPTVTKDDIKNVVKDSMTRKPRVVSVKTVAKDERKGPIMTHTDSPRPVLYERKDQNLDKLKEISRGVKEISRFSCDGRESIYKLKSVANIKEIPRLSLDSKQTSIKNHKTTHDLLVTNKRPSSSVVARLMGLEALNDVINGGDSLKTKPCLDDTNTSVSHSRLSSNKMAMTSPRIQLEPAPWGTQKQATHKKASRVDHGCHSVYGQIQKRMNDVEFKSSGKDLRALKQILEAIQRTNMKLEKTEDKKIVQQDSMVITSLQKVKKVNRIDNRRCVKNLTPIETKAKKPVSPSRNSGNVSPRLQRSKNGIEKQPVRGNRKQPKGQLSNFSSHVRSLSQQSDIVSFPSESDTSVASQNESEVTSNDSSQGNKNKFAERLIEYKTMAEQPSPVSVLDAFYTEEAMSPMKKKSYRFKDDEDLHFDEQEWSQVGIDNLAELNQSPSGVKMENINHLVRQIELLNSTSDEVTMNPHENGDKKYIKEILLASGFFKNLDCATTIVHLHPTGSLINPELFNILEKNKNTHESKSSKSEKMRRKMIFDSVNDVLVQILRKKKGRIPNGEKILMELWSEINNLQSNKGSEQYDEVLNIIAMDVNKKSEDWDDNCNEIPNLALDIERLIFKDLISEIVNFEVASLQYGPQKHCRKLFIM >cds-PLY77651.1 pep primary_assembly:Lsat_Salinas_v7:5:175724498:175725142:-1 gene:gene-LSAT_5X77500 transcript:rna-gnl|WGS:NBSK|LSAT_5X77500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQLFFSSKRFCFVIIFAALAAADDDFTGLLCVSECNTCPAVCSSPPSVSKPPPSPTPPAVASRPPPSPSLVQHAPPPPRFYYFDSPLSIAPPLTKSTPPPAYTSVGGKKTSPPPPRFVYFPSAGGDSGQTSYPYPYYVYDSKACSLCVGFFWVLWLLVVVHVTLQVPV >cds-PLY86265.1 pep primary_assembly:Lsat_Salinas_v7:8:55761867:55762525:-1 gene:gene-LSAT_8X40940 transcript:rna-gnl|WGS:NBSK|LSAT_8X40940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLIIVFFTLTTTIGGEGDDATTQAVYFICFELVRHHSLLSGRFCIHYLRAFTISSRSGIIDKCLLLWYNKFTHRSMRQG >cds-PLY78821.1 pep primary_assembly:Lsat_Salinas_v7:8:288672410:288674637:-1 gene:gene-LSAT_8X162041 transcript:rna-gnl|WGS:NBSK|LSAT_8X162041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPPVMMNGGDFRSSNAASSFCSMTEILPYHVNFGGGVGNVASFGLGHLIGNSDDDNNSGSSVDAFMKTGGHVSVDDPMDLDQRGSNFHSKKRREEDDSSKRVSINSPSNNNGNCKSDCEGKRLKSMGTEYEIFEANVKADRSSGKQTENNMKPSEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKAMVLDEIINYIQALQRQVEFLSMKLEAVTSRSHPNSEQFPSKDYGCQTYDMVSMPFVSQPTREFSRGSSPEWLHMQIGGNFERTT >cds-PLY91616.1 pep primary_assembly:Lsat_Salinas_v7:5:297769182:297770560:-1 gene:gene-LSAT_5X159461 transcript:rna-gnl|WGS:NBSK|LSAT_5X159461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEANELTLSIEDDRNTNEEWFGSILKAKLPPSCTTQINKVPIILKEHKDDEKYYVPKVVSLGPYHHGRSTLESVQDFKPLFTNKLVKGNHESLNSLYNKLAEMVQTLKGYYNNEVDRFSDDEFTRMMLLDGCFILYFIEYIFLNNEVDSVGLKSHQIMFVQQDMFLLENQIPYPVLTEVMKFVPDEMWDSKIQRFVDDNILATERRRRGSWKTSHKPVANHPIPSSINHLLELLQTRLTKEKSLGSRANDRYTFRNVNELIEVGIRFKPSKIRSLAHIDFFKHGFCANLELPPITVDDATKPTLLNLIAYEMCSSDTNASWVTSYICLLDSLIDHYEDVKVLRKAGIIDNRLGSDKQVALLFNELGTDLVPNSFAYSDARFAIQKHYESKRNTWASQLKHEYIKSPWAFVALLVGVVGLFLSGVQAYFSVWSKPSVCDGLCQALKTNHHL >cds-PLY83806.1 pep primary_assembly:Lsat_Salinas_v7:3:51838413:51839105:1 gene:gene-LSAT_3X40061 transcript:rna-gnl|WGS:NBSK|LSAT_3X40061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFDGHIVGIIELRDHKPLKDTNVDGRNCYKQNIMPSKNHNEHLAEWNVMYTTQVTQKAKKFHDGVLKLASCGSQGREVKFVIFIIQTCFYLFCLPMGVYL >cds-PLY96887.1 pep primary_assembly:Lsat_Salinas_v7:2:109165777:109165991:1 gene:gene-LSAT_2X48541 transcript:rna-gnl|WGS:NBSK|LSAT_2X48541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVSKRRSDMWFLEPAFQELLDQAEKEFGYVHQMGGLTIPCSEYTFSDIASQLGALWLLYSILTTN >cds-PLY77296.1 pep primary_assembly:Lsat_Salinas_v7:3:213359671:213361892:1 gene:gene-LSAT_3X125741 transcript:rna-gnl|WGS:NBSK|LSAT_3X125741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNLMETELCLGLPGGGGSGCEAETLKVTGKRGYAETVDLMLNLQPNDQSSSSTNLNDMKLQNSKNNKDLIKPPAKAQVVGWPPVRNYRKNVMAQKSNNEETEKVVAATTGSNNHAAFVKVSMDGAPYLRKVDLKLYESYQQLSDALAKMFSSFTMGEYGSQGMIDFMNESKLMDLLNSSEYVPSYEDKDGDWMLVGDVPWAMFVDSCKRLRIMKGSDAIGLAPRAMEKCKNRC >cds-PLY91141.1 pep primary_assembly:Lsat_Salinas_v7:1:198691416:198692080:-1 gene:gene-LSAT_1X127541 transcript:rna-gnl|WGS:NBSK|LSAT_1X127541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRGLATTAFLLITVNLLFFTLVTSTSCPPPPKAPKPHKHHHHKATCPKDTLKLGVCANVLNDLVHLVVGTPATTPCCSLLGDLVDLKAAVCLCTAIKANVLGINLNVPVSLSLLLNVCGKNVPKGFQCA >cds-PLY69911.1 pep primary_assembly:Lsat_Salinas_v7:4:68921622:68923715:1 gene:gene-LSAT_4X47461 transcript:rna-gnl|WGS:NBSK|LSAT_4X47461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGARGGGRSGSHIGGRDAGDRNASQSHNNAESHPSSSSVRGSNILEQVPSNPSKRKFIEGLYVWPEATDVLARKVWEDCMKKRFPDIMRRAHEESLKLAKAANVNASLEVWTTSKWKRLSQSGKNNRNKLEDGSVSKHTGGSISIRQHKKRMQATLKRPPTGVELYARLHTKRSTQEYITPKAAKVKVVYERVRNEMRGEMDAKAAEMEAKHQQMREEMDAKAAAIDVKQQQIDAKYEAMEKMYAALQNMMRN >cds-PLY92133.1 pep primary_assembly:Lsat_Salinas_v7:3:143356933:143358086:1 gene:gene-LSAT_3X93201 transcript:rna-gnl|WGS:NBSK|LSAT_3X93201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYYLSEHPSIVNFRWNHTQSWGSTWSFLFTSISAYIFLSLLLNLLLFNRRRPVPLGPIPAIHSLSMALISATIFTGILFSAAAEIRDTRWFWRRNKTTAFQWLLCFPLGTRPSGRVFFWSYIFYLTRFLHTLRTFIAILRRRNLSFFRLFNHSILIMMSFIWLEYSQSFQILAILFTTAIYSVVYGYRFWTAIGFRSACFPFVINCQMLLLGCNLLCHIGVLLLHLLKGGCNGIGAWGFNSVFNFAILFLFLNFYVKSHLGKKKKKKKMMMMMMKSSSEYGGDGFNLDSDYGVAIMELNPIGCTKNKDI >cds-PLY65345.1 pep primary_assembly:Lsat_Salinas_v7:6:41282594:41285239:-1 gene:gene-LSAT_6X29740 transcript:rna-gnl|WGS:NBSK|LSAT_6X29740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGRPKSWTEELASLVEDTGIRYTGDDHTLSGLSTPSFELKSAMEGERVESESESLKDQMKGFVKAWGEIAIELGKGCKDVVLQSVLTDDSYIVKKTKGPCRVVAGKLSVLNDFLPEDRHPVHAWPVILSVFLVALSVLSLNIKHENTPIPLVQSHPPSANRILLPDGRNISYHEQGVPADKARYSLIAPHAFLSSRLSGIPGIKSSLLEEFGVRLVTYDLPGFGESDPHPNRTLHSSAMDLLHLTEALEINKFWVFGYSSGAIHTWAALKHIPSKIAGAAMFAPMVNPYDPGMTKEERSGIWEKWTRRRKLMFFFARRFPRFLKFFYRRTFLSGKHGPIDKWLSLSLSDKDQDLTKDPGFEEFWRRDVEESIRQGNVKPFIEEAVLQVSNWGFSLRDLQVEKKCKERGLFDWFKNQFMFLSNEVECELVGFLDPIHIWQGMEDLVVPSVMSEYVTRVIPSAIVHKVANEGHFSYFYLCDECHRMILSTLFGEVYDHQDPLERSVEEELKHFDYKSIV >cds-PLY84525.1 pep primary_assembly:Lsat_Salinas_v7:1:31113361:31114359:1 gene:gene-LSAT_1X26940 transcript:rna-gnl|WGS:NBSK|LSAT_1X26940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNNKDAKRHHGATTNNQSISHTDSQDDVFHSTSGSPLQQDEFPSSPSKAIVAFDKPPAKAQLSTVAYNRSAREDSVTGVRKVGPGGVEEGNGGAGRERRSSVGTLGLRRSKREVMVDRSALVFRVLELILSVIAFSVMATDKTQGWSGDSFDRYREYRISYAI >cds-PLY89868.1 pep primary_assembly:Lsat_Salinas_v7:3:252298586:252298876:-1 gene:gene-LSAT_3X139120 transcript:rna-gnl|WGS:NBSK|LSAT_3X139120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTVHYLLSTQVSSTASTVCFHLLIFLHEPFVTGQPEKGLSITALQAIAHHQLYLFCDPSPTFFYADPLRSRDALFINLKLFFDIDGGEMNVREET >cds-PLY87040.1 pep primary_assembly:Lsat_Salinas_v7:5:256530405:256530662:-1 gene:gene-LSAT_5X131041 transcript:rna-gnl|WGS:NBSK|LSAT_5X131041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDNELFWRASTVPDKPEYPFDRVPKLAFMFMTRGPLPFLPLWVVVGGFLSAEGGGWRLSAFLATVMVVVVDYINRRGTEGVTGQ >cds-PLY88928.1 pep primary_assembly:Lsat_Salinas_v7:8:128892598:128894100:1 gene:gene-LSAT_8X89541 transcript:rna-gnl|WGS:NBSK|LSAT_8X89541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGLDQVKMRKGTVLTIAGTMSVILFSNWISIVKIQNKGAKIGTMTPMDQVLWRTHLLEASLMGFSLYLGFLIDRMHHHLQKLINLRKNGGSSKKEVEKLEAEKLQLKENEEKAKEEINRMQKEISNLSESLKKLKLESKEKDKKIEVAEAHVTSLQKQSADLLLEYDRLLEDNQNLQAQTKNRQFRG >cds-PLY72462.1 pep primary_assembly:Lsat_Salinas_v7:2:139124353:139125570:1 gene:gene-LSAT_2X65961 transcript:rna-gnl|WGS:NBSK|LSAT_2X65961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANRPRKFIDSCDDHSCHAHPPPPPPLPPPPTSLDQPFSPYLIFMFCGLSVSLSFICYLLFAARYGSRQRNNENTDETHEDFVNEDLGPVVHHPIWLINTIGLEQSQIESIQIFKYKRDQGLIEGTDCSVCLSEFEDDESLRLLPKCSHAFHVPCIDTWLRSHKTCPLCRAPIIKNTSEPTETVIDSSSSEPITMEETSPGEDSETVDHHVIEVENDGEVEKTCRILDETSSGVRAISDLAEHHRVQRDGSVAMRRSVSMDESSASIVQLAVANVPPPDQERPLMTSQFAMSKKLKGLPKSGNKLKGTSSSSFRIYNKAMKSSSFGHSSQKTTSFTNKCPHNRSY >cds-PLY74521.1 pep primary_assembly:Lsat_Salinas_v7:7:35634620:35640115:1 gene:gene-LSAT_7X26240 transcript:rna-gnl|WGS:NBSK|LSAT_7X26240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFFLELQAELKKSNRLVDDNNNTSTILKTRLERLLKERELRKSLKSCNDQDVIVNSIVDDQNQIITPLKDNFPTQEELVKGLKVSKLINNENERQDRSPFKQRLLVVANRLPVSAVRKGDASWHLEVTVGGLVSALLGITDFEAKWIGWPGVTLRDEIGRKSLTEALAEKRCVPVFLDENLVNLYYNGYCNNILWPLFHYLGIPQEDSLATIWSFQSQFEAYKKANQLFADVVKEHYQDGDIVWCHDYHLMFLPKCLKEQNANIKVGWFLHTPFPSSEIYRTIPSRSELLIAVLAADVVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFVKAIQLPQVKNHIKEFHERFDGRKVMLGVDRLDMIKGIPQKLLAFEKFLEDNPNWQDKVVFVQIAVPTRTDVPEYQKLTCQVHEIVGRINGRFGTLTTVPIHHLDRSFDHHALCALYAITDVALITSLRDGMNLVSYEFVACQASKKGALILSEFAGAAQSLGAGAILVNPWNVTEVASAIAYALNMPSEEREKRHHHNYVHVTTHTSQDWAETFISELNDMVVEAQLRTTQIPPLLQTEHVIERYMRSNNRLLILGFNVTLTEPVNADRRVDQFKEMESKLHPELKEPLKRLCNDPKTTVVVLSGSHRSVLDKNFDEYNIWLAAEHGVFVRTGNKKWLQNLAENIHMDWIESVKHVFEYFTERTPRSYFELRETSVVWNYKYADIEFGRLQAKDMLQHLWTGPISNASVEVVQGGRSVEVRAHGVTKGSGISGILGQVIHDKNMKDPIDYVLCVGHFLPKDEDIYTFFEPELPVATPTPTHSSLGSPGNGFSPNHSARRTGSGSGSRSGGYFVSASDSEDDDSNGKRSSSTTMDVHKGPSVLDLQGDNYFSCAVGRKRSTARYLLNSSADVATLLKKLAD >cds-PLY77242.1 pep primary_assembly:Lsat_Salinas_v7:4:178472275:178474955:1 gene:gene-LSAT_4X106341 transcript:rna-gnl|WGS:NBSK|LSAT_4X106341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKMSYSSASMGSGSRTARRTVEFGRTYVVRPKAKHQATIVWLHGLGDNGSSWSQLLDNLPLPNIKWICPTAPTRPVTVLGGFPCAAWFDEGELSEDGPNDVEGLDASIAHIANLLSTEPSDVKLGIGGFSMGAACALYSAACFAQGKYGNGNPYPINLKAVVGLSGWLPGARNLRNKIGGSNEAARRAASLPILLCHGLSDEVVPYTYGERSSQMMSSAGFRYVTFKSYQGLGHYTVPKEMEEVCQWLNARLGA >cds-PLY95637.1 pep primary_assembly:Lsat_Salinas_v7:7:101404541:101407789:-1 gene:gene-LSAT_7X66520 transcript:rna-gnl|WGS:NBSK|LSAT_7X66520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPPAVTFHVTGFKKFHGVSDNPTEKIVNNLNEYMKKNGLPKGVTLGSCTILETAGQGALVPLYQTLQSAVSKDTEQSNFSKVILLHFGVNSGATRFAIEHQAVNEATFRCPDEMGWKPQKVPIIPADGGISKTRKTCVPVDEITRSLAKMGYDVVTSDDAGRFVCNYVYYHSLRFAEQNGVKSVFVHVPLFQTIEQDIQMQFVASLLELLASLC >cds-PLY97151.1 pep primary_assembly:Lsat_Salinas_v7:3:139126447:139130522:1 gene:gene-LSAT_3X92640 transcript:rna-gnl|WGS:NBSK|LSAT_3X92640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFVAILLGLLLSYALIRATFSLFGVGKQKNLPPGPTPLPIIGNLHLLGQQPHQSLAKLAIIHGPIMLLKLGRITTLVISSAAAAKEVLQKQDLAFSTRYVPDGLHAHNHSQNSVVWIPIGPQWRTLRRILTSNIFSGSCLDANQHLRAQKVQELVAYCRKASEANESVDIGRAAFRTSLNLLSNTIFSKDLTDPYEDSGREFKEVVGNIMMEVGKPNLVDYFPVLKMIDPQGIRRRLMRHVGKVIEIFEELIKERLLMKRSHEADVLDLCLKISEDNPEEFNREVIRSMFLDLFVAGTDTTSSTLEWAMTEVLRNPHTMEKAKLELEQCIGKGKLVEENDLSRLPYLSSIMKETLRIHPPIPFLVPRKVDNELKINGYTIPKGTQVLVNAWAIGRDQSIWEDALEFKPERFMTSDIDVRGQDFELIPFGAGRRICPGLPLATHMIPMMLGSLLNNFDWVLDNGKSGELDMTERFGITIQKAYPLCATPIPLLK >cds-PLY88670.1 pep primary_assembly:Lsat_Salinas_v7:5:67437736:67437900:1 gene:gene-LSAT_5X31661 transcript:rna-gnl|WGS:NBSK|LSAT_5X31661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAADVLTVATRSRRKERRSQEMEMAEAMQQWEEIGAFSTDNSGGSTTGSLLRQ >cds-PLY94571.1 pep primary_assembly:Lsat_Salinas_v7:8:180868077:180868598:-1 gene:gene-LSAT_8X117200 transcript:rna-gnl|WGS:NBSK|LSAT_8X117200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRYVHMKFSELINHTCVTWDAASRKVFANDTVWDDYFKQDKVFKTFKKKGCKIYPLLSLVFSGSTAFGAFHNASTCAPQTSEEQRRIEDEYLDVSSFGESAFDGGNRKGKRKTEGDIEGLPGTRGEKKVMEAPSMISFYMHGQTQ >cds-PLY95361.1 pep primary_assembly:Lsat_Salinas_v7:5:267186848:267187696:-1 gene:gene-LSAT_5X139320 transcript:rna-gnl|WGS:NBSK|LSAT_5X139320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIALDSPMEAIAIHGVLTAVNNVWAWIAFLTAAISFWKIKSSIHLSTHHLFLQLSPPPPPPSPPLPKTSPVAAQPDQSELPSTSARSPSSTSKRHTTFCKLENRKSGKFSVYYSQDDKTKGDKIDGEFEEDKDSDRKMSSITRNGEEEVRKMSSVRCNHGVDGWEMVLKIKTAEMGWYVNQDLTVLNGNVVRLWN >cds-PLY82792.1 pep primary_assembly:Lsat_Salinas_v7:2:145564000:145564968:1 gene:gene-LSAT_2X71820 transcript:rna-gnl|WGS:NBSK|LSAT_2X71820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLKVSIHSSYMVCSEKPMLKMNHKRFLFTKLPLMTSVVLMVIFIIFNLYIKNPFQHSSNVQNYPSTKRFSLLIGILTRADKHEHRHFLRLIYGIQSSPLAQIDLKFVFCNLTKQEQRVLISFEILKFNDIIILNCSENMNDGKTYTYFSSLPNILSHPYDYVMKADDDVYFRLLPLASSLQPLPRSDLYYGFVIPCQSMNPFVSYMSGMGFVLSWDLVEWIANSDIPRNDTIGPEDKLVGKWLNLGKKARNRVSNKPAMYDYPGMNGRCSHELIPETIAVHKLKRWDQWLDVIRYFNVTKDLNTSKLYNGLNVDSVFNLKM >cds-PLY72152.1 pep primary_assembly:Lsat_Salinas_v7:7:54446199:54449187:-1 gene:gene-LSAT_7X39601 transcript:rna-gnl|WGS:NBSK|LSAT_7X39601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPSGVQVGSVFNGAGDPTTPGWPSVEGCERLSEDDVEKGGDVPLIPSLPISGADGEEILRCIGGVVGDEEWQGDKDAPVYRIGPGPGIIDLTYKAKQVISTIQNVIGIIEGAEEPDRFVILGNHRDAWTFGAVDPNSGTAVLLEVAERFQKLQKEGWKPRRSIIFCNWDAEEYGLIGSTEWVEENREMLASKVVAYLNVDIAVSGAGFQASATPQLDQLIIQATKQVKDPDNSSQTVYESWVQTTDYPPKIGRLGGGGSDYSAFVQHIGVPSTDISFGGGYPVYHSMYDDFVWMSKFGDPMFRRHVAAASIWGLVALQLADDEILPFNYDSYVYELQTSAEDLEIELSDKKSISLVPLFKSIEKMRTAVIQINNEIKEIKEKQNKRWASLALIWKEKDDEAWKAREMNDRLMMAERALTDREGLPGRAWYKHLIYAPSKHNDYGSKCFPGIDDSIEIAKSLNTQESWNSVQHEIWRVSRVVTQASLVLRAQLT >cds-PLY96984.1 pep primary_assembly:Lsat_Salinas_v7:2:36235537:36237318:1 gene:gene-LSAT_2X17301 transcript:rna-gnl|WGS:NBSK|LSAT_2X17301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTLFIQHSYKYDVFLSFSGEDTRKSFVDHLYVALQRQGIHTYKDDESLEKGKKINVELLKSIQDSKFYIIIFSKNYASSSWCLDELVKIMECQKNPEHIAYPVFYDVEPSEIRKQLGGVGKAFAKHKKKGELKKWKEVLEEASNLAGWDLRNTDDGHEAKLINKIVEKISVELRFSNLNVDEKLVGMESRINDIVSSLETGAEDVRIIGIKGIAGGGKTTLARAIFDKIHFQFEGKSFVENVREVAKASLSGLQSLQEQVLSNVLNDKRITVGSVHDAKSMMKKMLSGKKVLLILDDVDDLDQMDALAGGVNWLKSGSRIIITTRDEQVLVAYRVMWIHDVSLLSHKEAICLFSRYAFGRDIPIQRYNDLSLKVVHYAAGLPLTIRVLGSFLCGKDELEWKDALNRLKTIPLKETQEKLEISYTGLEDDYKEIFLDVACLLKGWLKDDAIRALESCGFHARNGLRVLEQKSLMTISPYQRLGMHDHIAEMGRNIVRRLHPDEPLRHSRLWIRREIEDVLANDLVRMMFTYMWKNLTSFH >cds-PLY70867.1 pep primary_assembly:Lsat_Salinas_v7:9:16049083:16051272:-1 gene:gene-LSAT_9X17481 transcript:rna-gnl|WGS:NBSK|LSAT_9X17481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFETLHPVWTKVRTFYNKHQVSLISKDLLMATSFPCTKVMIISFMLLGEIEIQNACYVPSEVSIKEMKNKTKSQDSNLMENPGDWKARHEIWVITTTFTGRSFYQKI >cds-PLY66182.1 pep primary_assembly:Lsat_Salinas_v7:8:294984913:294987551:-1 gene:gene-LSAT_8X163261 transcript:rna-gnl|WGS:NBSK|LSAT_8X163261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCTNMRAPVIRNEPLSFCPYKGRVCCDTNKDSELQKQFEAMNISDPNCASVIKSIICATCDQFSANLYTIESQTQTRSVPFLCNSPNSPDSSKLSSTSFCTKVWDTCQNIPIQNSLFAPTLQGTTGSSHNTSTKLTDLWQSRSDFCTAFGQTQTEKSQSQCFNGTPIQLTETETPGPPKGLCLEKLGDKGYINMAAHPDGSNRVFLSDLPGKIWIVTVPSHGSGDGLGFDGSSPFVDLTDQVKFDTVFGLMGIAFHPKFVENGRFFASFNCDKEKSATCSGRCGCNSDVGCDPSKIVGAGNEHPCQYHTVVAEYTVNGTGSGSDPKREIKGKPTEVRRIFTMGLPFTNNHGGQILFGPNDGYMYIMLGDGGGRDGPFGFAQNKKSLLGKIMRVDVDTFPKDDLNLWGNYSIPRDNPYSEDKELLPEIWALGLRNPWRCSFDSERPSYFLCTDVGQDRYEEIDVITKGGNYGWSIYEGHLPVNSKENITSNNSKDVIFPTMGYNHYDVNKKEGSAAITGGYFYRSTTDPCLYGSYLYADLYATALWAAFETPSNSGNFTTTSIPFSCAHDSPLECTSVPNTNLASLGYIYSFGEDNQKDIYVLASTGVYRVVRPSRCSYSCSKENATESVTPRGSPPSSKGNHVISKCMEFVICLSLMLLYLL >cds-PLY83609.1 pep primary_assembly:Lsat_Salinas_v7:6:165011262:165016623:-1 gene:gene-LSAT_6X100921 transcript:rna-gnl|WGS:NBSK|LSAT_6X100921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC6 [Source:Projected from Arabidopsis thaliana (AT1G71820) UniProtKB/TrEMBL;Acc:F4IA34] MMVEDLGIEAKESAVREVAKLLPLPELLLSISSIKADYIARQQATDAQLSTMVAEQVEQAQAGLKALASSEKNVNQLRQNFVNIERLCQECQTLIENHDQIKLLSNARNNLNTTLKDVEGMMSISSEAAAAHDSLSDDKELINTYERLTSLDGKRRFALAAASTHEEEVGRLREYFEEVDRTWETFEQTLWSHISNFFQLAKESPQTLVRALRVVEMQEILDEQVAEEAAEAEGGGAMASVANPRRAGKKSTDALASSRNLAAQKAKQGKCYKDKCYEHITKAVETRFSKLLSELVFENLKGAIEEAKKIGEELGDIYDHVAPCFPPRYEIFQLMVNLYTERFIQWLRLLSDRANEITNIEILKVTGWVVEYQDNLVALGVDETLAQVCSESGAMDPLMDSYVERMQATTRKWYLNILEADKVQPPKSTDEGKLYTPAAVDLFRILGEQVQIVRENSTEIMLYRISLSIIQVMIDFQAAEKKRLEEPASEIGLEPICAMINNNLRCYDLAMELASSTIEALTENYAEQINFEDTCKGFLEVAKEAVHQTVNVIFDDPGVQELLVKLYHKEWSEGQVTEYLVATFGDYFTDVKMYIEERSFRRFVESCLEQTVIVYVDHMLSQKNYIKEETIERMRLDEEVLMDFFREYISVNKVENRLRILIDLRELASAESPDTFTLVYTHILEHQPDCPPEVVEKIVSLREGIPRKDAKEVVQECKEIYENSLIDGNPPRSGFVFSKLKSLKASGIGNIFRKLH >cds-PLY86490.1 pep primary_assembly:Lsat_Salinas_v7:8:135057294:135057805:1 gene:gene-LSAT_8X91800 transcript:rna-gnl|WGS:NBSK|LSAT_8X91800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSNTHTQGYKEWALWNRWSEDLRCECDEPATFSISKTVDNPWRKFRGCPNYQETMWKFHMDLEEANNIKAYGMEILKLSEEAKNNKAVQLDILNLLKVELLMMVMLLVVVIVMGFMVHNVVVKAM >cds-PLY89383.1 pep primary_assembly:Lsat_Salinas_v7:4:122551874:122552269:1 gene:gene-LSAT_4X77320 transcript:rna-gnl|WGS:NBSK|LSAT_4X77320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLKLDNNVSGRIHYTGGLKMLIKCINPQEAAAFYNNAENWNKWLSWLKKGFDDSAEFERITWVRIYGVLTRFRSNANYTKIVEAFGKVIETYGGNWDALDISTGHVCLPTNSRKMINEDVDIRYDNMM >cds-PLY68140.1 pep primary_assembly:Lsat_Salinas_v7:8:232167330:232170460:1 gene:gene-LSAT_8X140600 transcript:rna-gnl|WGS:NBSK|LSAT_8X140600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQCSKETIVRLFYNASSSFQLLFLFFYLTSIFLSKFLFFISSIPFLPRNDEEYEYETISEEEVSDEDYYNETPEKGHLVADITGDGEELSFFQTSPTSSCQNSVVLDEDVVSEPLENETDDEERSVGISDDLSFYSLPEAESEEHSSQEEEEEVVEMISSAVDPKSTSKSFRYDVNTPAITTRAKSACTSFRYVGDEPSLRVTKKIAFVLDDDKFEEKYREKGKNWESNITQVDKLLNIAPLNSVTKKLELEEKDQEEIFGDTNTIGSTSKSSSEWRSSINCRDPGYDPFSSSSRRSCPKWESYTVFQKYDEEMLFLDRISAQKLQETESFRSSATCHSSLSDKIIHKLASRNKKTSYIYQNSYHELEAAYVAQICLTWEALNWNYNYFHQLRASRSDSDPGCPAYIAQQFQQFQVLLQRYIENEPYENGRRPVVYARMRSLAPKLLQVPEYRDSDEEKKDEYLGSRISSDSFLVIMEEAIKTFMSFLKADKENRFQIFARLFSIKNPRTTVDPTVLLLLKKVNHKKKLKLIDLRRSRKCLRKRRTKQENAMEVLMALIDLKVVSRVLRTTDLSEEQMHWCEDKMTKVKVCDGKLQRDSSPLFFPAH >cds-PLY89185.1 pep primary_assembly:Lsat_Salinas_v7:3:20451840:20453527:1 gene:gene-LSAT_3X13241 transcript:rna-gnl|WGS:NBSK|LSAT_3X13241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGNRRKDKGHSNNSFSGSARHRVGRASPNSTEEPRMADPLADLAGILRRRARETGQMPQVPHTDYRPQLPPQTDYRPQSPQMFLRTGGNDGFEDYCFEGADAEATFRSPHTPHTPMAPNTPLTPHGSASRGISGGHDSNASDFHASSLPLIRRHGEKFGDQKIHSACIHLFWENLDHPWAQFSDIPNEALLQMFSRFGEGYLHGLVNKFGEDPSNRKDDVDVWEETQLRRKGKKKGAIYGIGASDIHFLVLGTPSSQSTQSTQSDSTQQEVDRLRAQVSVMEQQQQQMKEQMEMVMRMINMSGNQPHGPPDNPPEDN >cds-PLY69649.1 pep primary_assembly:Lsat_Salinas_v7:5:47111564:47113464:-1 gene:gene-LSAT_5X22340 transcript:rna-gnl|WGS:NBSK|LSAT_5X22340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVALCIPNDSHSVLSFQLFFCVLVFVSVFAFFLAPGGLAWALSKSRTKTAIPGPSGFPILGLVFAFTSPLTHRILAKLSTTFNATSLMAFSVGFTRFVISSHPETAKEILNSSAFADRPVKESAYELLFHRAMGFAPYGEYWRNLRRISATHLFSPKRLSSFGGFREKIGLKMVDQVSSSMDRNGFVEIKKLLHFASLNNVMMSVFGKSYEDFDGNSGGDGYELEKLVSEGYELLGIFNWSDHFPIISWFDFQGVRKRCRELVTRVNVFVKEIINEHRVRRSENGGTTTAEDGDFVDVLLDLESENKFTDADMIAVLWEMIFRGTDTVAILLEWILARMVLHPDIQSKAQAEIETVVGSGRPVSVTDLPHLPYLHAIVKETLRMHPPGPLLSWARLAVHDTQVGPHMVPAGTTAMVNMYAITHDDCIWVEPEKFNPDRFINEDVSIMGSDLRLAPFGAGRRVCPGKAMGLATVQLWLAQLLHNFKWVGSSSVTDAVDLSECLKMSLEMKKPLVCEVVARV >cds-PLY76149.1 pep primary_assembly:Lsat_Salinas_v7:4:54833757:54834032:1 gene:gene-LSAT_4X37280 transcript:rna-gnl|WGS:NBSK|LSAT_4X37280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEPEGKNHNEGVLSSDLMVVVCVVRQSSGPAGDGGGVWYSIIRLDNGQEEEIGAKSIISRCSSLLRVLVLPEARAFPTEMVVVYGCSPEK >cds-PLY68062.1 pep primary_assembly:Lsat_Salinas_v7:5:294381226:294381699:-1 gene:gene-LSAT_5X157121 transcript:rna-gnl|WGS:NBSK|LSAT_5X157121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAPLSSRRSLLSRLRNEESSNRNGRLLKGRRTYRRLVRGEEMEAEKEKSDSDEDNETAKDSEPMEVEVVPPPRPTPPSPYGSILRKNRKSHQTASKTTLSHKGIQKHGHGLFESMRSSLRDPKWIVAFAEGRISGGRIATRYEDGQSSGVHPPPDD >cds-PLY78253.1 pep primary_assembly:Lsat_Salinas_v7:3:87862615:87864801:-1 gene:gene-LSAT_3X67200 transcript:rna-gnl|WGS:NBSK|LSAT_3X67200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX11, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G02410) UniProtKB/Swiss-Prot;Acc:Q8GWR0] MSFARLYARSSQLSSLCKTLNRTPVNSRSLLEAGQRRYSFVRASSSKHNQFYSEFRSFTSRSAHNTNSFNKISHLGNGYFKTPLGSHRQYVTNVIREQKSRKMLYYLTGLVFAMVGCTYAAVPLYRRFCQATGYGGTVQRRETVEEKIARHSQDGTVTNREIVVHFNADVSDGMPWKFIPTQREVRVKPGESALAFYTAENCSSTPITGMSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPRMDGINNIILSYTFFKIAEDKL >cds-PLY70362.1 pep primary_assembly:Lsat_Salinas_v7:4:98776779:98777129:1 gene:gene-LSAT_4X63840 transcript:rna-gnl|WGS:NBSK|LSAT_4X63840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKESTDTEDHLQNVSPRKDTTAESNIEEKSNPDVIVHTSDVDTNIKNHETTSTLIPDSTTAIPPKGSISRSNTEEDRSSHILKNLSNKDSNVNMSENPLTIAPVSSSVLPPPSSP >cds-PLY88174.1 pep primary_assembly:Lsat_Salinas_v7:5:221078440:221081233:-1 gene:gene-LSAT_5X102260 transcript:rna-gnl|WGS:NBSK|LSAT_5X102260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTFLFRRYRDALKSVRVPSGCPAVSSSSDGGSVIEMADGSLLKQNRSYAPLSTEDPGTSSVGTPMVGLPPAWVDVSEEIAANIRVARSKMAELSKAHVKALMPSFGDGREDQHRIEALTHEVTDLLKKSEKRLRKLSGAGCAEDSTVRKNVQRSLATDLQSLSMELRRNQSTYLKRLQQQKEGSDGVDLEMKLNGKHSSISEDDGYDDLGFNKHQMAKLKKSEAFTTERDREIQQVAASVNELAQIMKDLSVLVIDQGTIIDRIDYNIQNVAVTVDEGLKQLQKAERNQKQGGMVMCATVLVVMCFIMLLLLILKAILF >cds-PLY70291.1 pep primary_assembly:Lsat_Salinas_v7:2:91935326:91936456:1 gene:gene-LSAT_2X40101 transcript:rna-gnl|WGS:NBSK|LSAT_2X40101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDADNTCKNLPNLYSSFVDTFVDFSVSEIFLPDPPSPPVFQTSYPSPNRLIAIGDLHGDLRKSKQALRLAGLIDSQDQWSGGSSTLVQVGDVLDRGGQELKILYFLEKLKRQAAKVGGNVITMNGNHEIMNVYGDFWCTDPSGLDEFQNWADWFTIGNNMKRLCDGLEKPKDIYDGIPTSFPGVKQEYVNGFRARIAALRPQGPIASRFLSKNMTVLVVGESVFVHGGILPHHVAYGLERINEEVRDWITGLKETVSSDLVMSRSSVVWLRKFSNEVAEDCDCSMLEHVLATIPGARRMIMGHTIQKGGINASCNNKAIRIDVGMSKGCIDGLPEVLEISEDSGLRILTSNPAYDQNRHDLMMRKQHETRPIQVEA >cds-PLY93637.1 pep primary_assembly:Lsat_Salinas_v7:MU039104.1:111005:113245:-1 gene:gene-LSAT_0X16020 transcript:rna-gnl|WGS:NBSK|LSAT_0X16020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTESDLKAFEGEHNGRKYIINLIDSPGHIEFSGEVNAALRITDGALAVVDCSEGVCIQTKNVIRHALLEGIRPALTVNKMETCFLDCEADSEEVYKTLEGIINEVNATIDINKVPQLDDVTVSPAKGNVAFSSGIHGWAFTLKYFAEKYALEFDVDVPTMMERLWGDNYFNLETQTWSKKRYSSRNCKRGFVQFCYKPIMAVIGYCMNDQKDRLLPVLKELGVTLTSKEKKLVGRELVKSVMRKWFPAPPVLLKMMVFHLPSPCVAQKYRVEILYEGPKDDKYAEAIRNCDPNGPLMLYVSKMIPASEDTGRFWVLGRVFAGRVSTGMKVGVLGSNYAQHKDFSVTIVEKTAICIGKKQGIVKNVPCGNTVLMLLGLDQFITKNATITSEKETEAYPMRTMRLSAVPVVCVAVRCKVASDLPKLMRGLECLAKTDPIFSYTQDSARHVISCGGVLHLKICLDALKDSFLDGTEIDISAPFVSFSETVVSEVSPSDDDNLLGVRATPLGVIITYAIEDRLFGPNDDQEGWEACTKYMCEEPNWDKNFTKRIWCFGGTNILIGGCKEADYSNDFKKAVIEGFQQACRAGGLAGETMRGICFEILCDDPMMTHDLDLDELIITARDAVLASQLTAGPRLMEPIFLVEIQAYEQSLEKIKSVFQQRRGWFRKMRHRTLSRWVTFEAYIPVRESFELYDAFKSLSLKASPQCVFSHWGIIDSDPMEDNSLAHKLIEHIHERKAYMRQMTPP >cds-PLY81700.1 pep primary_assembly:Lsat_Salinas_v7:3:31357785:31359434:1 gene:gene-LSAT_3X21780 transcript:rna-gnl|WGS:NBSK|LSAT_3X21780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDADAVFNRERKLSADDLWSEFDTSDLFGWDFKPQTLLSTTEVAIKNKTISKHKKIFNEEKSQPIEKKPKARKNKYRGIRQRPWGKWAAEIRDPQQGVRVWLGTFNTAEEAAQAYDEAAKRIRGGKAKLNFPLPPAKKLRTESTQSTVHVPPSPRPPTLLSYDQFQKQSYYSNSVADEHEFKEQISNLETFLGLDHELTQFGGLGGESAGDVWTLDDFPVII >cds-PLY70800.1 pep primary_assembly:Lsat_Salinas_v7:4:59564884:59565165:1 gene:gene-LSAT_4X39880 transcript:rna-gnl|WGS:NBSK|LSAT_4X39880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEKGVVRVIDKVIENGEFASGIHGVREAFEALGIEKEKQLSGCSISAGEPEVPDPGHVARRAKKVDAALSSLAETDFAGLFRLGELDYEGFC >cds-PLY72039.1 pep primary_assembly:Lsat_Salinas_v7:2:205052386:205055100:-1 gene:gene-LSAT_2X126241 transcript:rna-gnl|WGS:NBSK|LSAT_2X126241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEEIALVLSHRPDNHNPQLLFQDESSLAFRCNPTQQHLHHHPAGHTTNNNTNKTTRELTGFIEHQNRHYQPQATTEFRRSMCDAVPAYHRGIQDWNGKNGRASSSPSRDGSDSDDDEEEDDDDMDDGGGDGHVVGIVNNIMDANKSNLSGHISDEKNGNGKRNHLSSIGTDMNDGRASSNENHQQGQLCQYQNAITIADPTGELYYSQYLQGMEGSGGAGIKDILVENGCGFSGRKDVPNSGGSGESLRAILSDPLTGTLMDDAMILPCGHSFGSGGMQHVMRMKACYTCSHPVSEGSVASNLSLRSAVHAYRREEELQSQCASKRRNRFDQENSSNYGDLTVVDHSNSRCRGVQFPFTVADRVIIKGNKRTPPRFVGRQAVVTTQCLNGWYVVKTLDNAESVKLQYRSLAKLQDITTSHPSNLASTKITTPNWL >cds-PLY98354.1 pep primary_assembly:Lsat_Salinas_v7:5:315185225:315188686:1 gene:gene-LSAT_5X171580 transcript:rna-gnl|WGS:NBSK|LSAT_5X171580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVMPAMELDSEAFVEVDPSSRYGCYSNVFINGNISKNLQEGDIEKKPFMEALRQLFFSTGPDNFCLIHVSLVPILCVVGEQKTKPTHHSVRELRDLGLTPHFLACRSTQVKIAMVGKYVGLTDSYLFVFKKERLQRLQERLNIPFDEESLEHQQKALIDLWYLAYPDVKLQGLISKQWKDMGWHGVNPSTDFRGCGFISLENLLFLAKKFTNGMELSIPKGI >cds-PLY84655.1 pep primary_assembly:Lsat_Salinas_v7:5:77005764:77006068:-1 gene:gene-LSAT_5X35241 transcript:rna-gnl|WGS:NBSK|LSAT_5X35241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRNIPVLVLPFGVKQVACGHSHKLICTQDGRIHGWGYNSYGQAVNQKCTYVFGGSKEAGSWWEPL >cds-PLY62172.1 pep primary_assembly:Lsat_Salinas_v7:2:153369909:153371610:-1 gene:gene-LSAT_2X77380 transcript:rna-gnl|WGS:NBSK|LSAT_2X77380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTTIALHSLIEPKASKSMAAGKNGSDSKQGNQVNDTDKQTDSNNSTETKERKHHWTQISPALYATPDPTPLPLIPDSPSSFTPSPYIVNHKGRGPRLLKTFSEKDAALLDEKTSETIDEKINGTDDTDISNDPAVEQDNSISNPNLEQDNETDDFFDPQDSLSVRSSTDGVNTSASEFYDAWEELSSEIGSQSSRSDFETELREMKLSLLVEMEKRKQAEEKINDMKNQWGRIREQLSLVGLNLTNLEEDQSADDLSNQVHVIRFVSDSIGRGIGKAEVEAEMELLLDSKNFEIARLLDRLKYYEAVNREMSQRNQETMETMRSVRQRRKRRQKWIWGSIVAVVTVGSAALVWSNVSIEKGSISTDSDSSHK >cds-PLY63162.1 pep primary_assembly:Lsat_Salinas_v7:4:311880558:311881752:1 gene:gene-LSAT_4X157361 transcript:rna-gnl|WGS:NBSK|LSAT_4X157361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMAHVRGGAKAHVPFNRQNKRQKRYLLEESKRVYQPKTKETQAAYEAMLSVIQQQLGCQPSNIISGAADEILAVLKNDTLSKKKDMEKFLNPIPNELFDQLVSIGRLITDYQDTANAQDALDDDLEFEEDDEETDEKDMDDDDSHQRNVVENGERKLLDLESIAFEDGGHLIANKMCRLPLGSYRSFGEGYEGVHVPPLKPKPLAPDEMLVKISTMPSWAQPAFEGMEELNRVQSKVYEIALFKADNLLVSAPTGSGKTNVAILTILQQIGLHMNEDGSFNNSDYKIVYIAPMKSLVAQVVGNLSNRLKHYGVSVIELSGTGDVSLIEETQIIVTTPENWDIITRKSGYTKLVNVKLVIIDEVLKFIFYMMIK >cds-PLY64250.1 pep primary_assembly:Lsat_Salinas_v7:7:2253573:2258401:-1 gene:gene-LSAT_7X1101 transcript:rna-gnl|WGS:NBSK|LSAT_7X1101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCFCSTPPKSYRKLPSFDPVALAAETPFTENEVEALYELFSKLSSSVDDDGLIGKDEFHLALFRDYDKRNLFADRIFDLFDVNRSGHIDFSEFVRSLGVFHPKAPQADKILYAFRLYDLRRTGFIEREELKEMVSALLNESDLDLSDDIIESMVDKTFTEADTKGDGRIDQEEWKEYVDKNPSLLKNMTLPHLIVKQRFDDVDFSRMDKNEFVVFLQRFANELCVNVYFYMSDIEFPDGLRIIANDVDYQEFIEYVQGGMDMDDGIHMEDLQDHKLKGDTEDLQGAREDLQGEQDDGIDMEVDNEPDEWIPMNKTKDDKFLSKLYP >cds-PLY63739.1 pep primary_assembly:Lsat_Salinas_v7:9:86802542:86808176:-1 gene:gene-LSAT_9X67060 transcript:rna-gnl|WGS:NBSK|LSAT_9X67060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTLCSRYHLCWLVQFHSPVGNKFSNTFEEAINLDDDDFVNIHSGGSSVVMLSRELLAKKKVKTRFGKGKSSVSVSKKKKRKDEHYEDSEADVDVNVRRSGRKKTKVIKIEVLKEDDKKKGMKKRKTKRKNVVENEDADVEEDKTQELQKISCRMSPKSMYMVMKGMSYIQKEMVRQMGFGAFLDIKLDSIPSRLAYYLVDKFRAKTSTIKTNKGETLITKKTVEEMFGLPSEGLDYNQLVECDKTDIVIEAWKSQYPGGKFNNGNYVKRIRQSDVADDMFKLNFLTLFINTFVETEMSGASRINCLEKLGRCEDIQKIDWCKYIVECLEKAKYK >cds-PLY96288.1 pep primary_assembly:Lsat_Salinas_v7:6:157824149:157829260:-1 gene:gene-LSAT_6X95741 transcript:rna-gnl|WGS:NBSK|LSAT_6X95741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEAIYQIVTELEVHRNDLHSQTPISESALLALQTLLDYTLDKDDPIEIENLSDELSSKNLSLASSLLQPLTSAMDSGVPHHSLLASKVYLSLLLTPNFPVLSLFTPMAFLSLLRTVRRSFKNTQSGPPSANVAKKKRGGRGGGRSKNTSKNVEEEGEEEREPVREFDVRTLFPVLERLHLVLRLIHLGRFPDSLKALVQTVAEIPVTALESCGNSANYTKLCNLCSQILSELLKSEHGDKSITAAEVLKSLSSSILLSKSQARTFSLAFVVNKMAVMAKNSDEIRKAMVNLPKYLVSKAPEKSEPRALAVDSILEIVKSLEHKEQMEFADYVVKMTIGKPQLRLLAVDLIPILITTLNNPLGTSSENNDPLDTSSENGDQVVTSSENGDPVVTSSENGEPVVISSENGDPLVTSSENDNPIRTSSEKKHESSWGFRCLQALLQRCSDSVAGIRARALTNLAQLVGVLSGNDENKKGLMKVMGFGEDGGINDVLRERCVDEKAAVRKSALVLMSKLTVLQGEGGGFDEMLLKIMGIACSDQLVSIRKAAISALSETFRKFHDDNVTKEWLHSVPHLITDNETSIQEECENLFLELVLDRISRAGSNLDGRSERNQNLLFSDGVMGLLNEICHSEVTPWVKKICTNLGKKKKLKPKIAVSLQNIIKKSESMWLADSKPISKWTAPAGTWFLLSEVSGFLLKAVDWEFLYHHWQLLDKHEEASHSPHEEEQEFVELEQVEGVESSSVDWAGDRVFLLQTISNVSVELPAEPAADLAHNLLKRIEDFSMHSMEVTAHVKALRTLCKQKAKTPEEADSLVIKWVHQLLSKASKTLETYLTKESEINKGSTLLTPPTRTKGNNKNKSSTTMSRLLSQAVTAVYTIGSLVIICPLADLKSIVPILHNIITSGISDPKLKKLASMSLSVKQVAPSLYTQAWLTMGKICLADGKLAKRYIPLFVQEMEKSNSAALRNNIVVMMADFCVRYTALVDCYIPNITKCLRDPCELVRRQTFTLLSRLLQRDYVKWRGVLFLRFLLSLVDESEKIRQLADFLFGSILKVKAPLLAYNSFVEAVFVLNDCNAHTGQANSGSSSKENRLFSIRGNDENSRSRRMHIYVSLLKQMAPEHLLATFAKVCAEILASASDGMLKIDDVTSQSVLQDAFQILSCKEIKIPTNKGPAVESTEMEEEATGENAVRGRVITQVVKKGLIQNTIPIFIELKRLLESKNSPLSGSLMECLRTLLKDYKNEIDEMLVADKQLQKELIYDIQKYESMKAKSTAAEAVGKGVNGNSVIATSKVTSAVAGALAEMTARSVLRDVNQGAGTPSLSAMNVPKLKSTTAGVSKHKGKSAAVLESLRRRQSFDLDE >cds-PLY88885.1 pep primary_assembly:Lsat_Salinas_v7:4:253583651:253585998:-1 gene:gene-LSAT_4X133100 transcript:rna-gnl|WGS:NBSK|LSAT_4X133100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMIIMVSLPTATTTTTTKSSIAPFPLSSSYSTFSYFTTRRRKSTHIEYIDRFSFRSTPTDSNPVILSNFAEGEVSSPPSVVFSSSLDSASDVVRRFYEGINSRDLSTVVDLIAEDCIYEDLVFPQPFIGRKAILEFFEKFIYTISQDLQFAIDDISGEDTLAVGVTWHLEWKGKPFPFSKGCSFYRLEVVNGQRKIIYGRDIVEPAVKPGDLALVSHFLSLLIYYIYTTGNDRFTLLSKIKAK >cds-PLY91690.1 pep primary_assembly:Lsat_Salinas_v7:8:12294486:12294909:-1 gene:gene-LSAT_8X9160 transcript:rna-gnl|WGS:NBSK|LSAT_8X9160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFTHSVGVDGSLMAFPFCLSWAMLSFIASFLFLLAITLANTPLTFGSFFSVGVGGGGRLAAASALLSPTMLNPNTAPIKHNATYLRGIWQRDGRKDVFFDGGVVVVEMGTTAWVSE >cds-PLY64193.1 pep primary_assembly:Lsat_Salinas_v7:7:2361960:2364344:1 gene:gene-LSAT_7X1240 transcript:rna-gnl|WGS:NBSK|LSAT_7X1240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLRGAKSICCCASPRFSSSSISSFSWHEEDIWTEIAKYLDGKSLVMLAATSKWFLRVVMDESVWKFACLRDLQVPDCSETAFKWINLYASAFGGSHSYDFRQKEKHIDWMRIGAFCINSSDAFLMENLICPSKLPKEDTMQNMLNSYGSCVLHNIKTGIWIADLQLVRCPVCDLNTCDGTMQVLDVRHVELFLTEGYQTGSWEYKLIGSHDIRKHSDSASGGIFDIKYLKSPSTAELFNLKSWVGSPNDWQPKAMVTYHAVAINTNLQENEGLHIKYHVMTSGDTGEIVSIRISQQLL >cds-PLY69878.1 pep primary_assembly:Lsat_Salinas_v7:4:68654141:68656101:1 gene:gene-LSAT_4X47621 transcript:rna-gnl|WGS:NBSK|LSAT_4X47621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANEQKKWLVMHVIAIIFALKMVSFGVNGDPQVPCYFIFGDSLVDNGNNNGIASLARANYFPYGIDFPGGPTGRFSNGRTVVDTVAELLGFDDYIPPYANVRGQAILRGVNYASAAAGIRDETGRQLGARISFGGQVNNYKNTVSQVVGILGDEVSAANYLRQCIYSVGLGSNDYLNNYFMPTYYQTSRQFTPVQYASVLIQQYSQLVRELYNYGARKLVLNGIGQIGCSPNALAQNSPDGTTCVAKINSANQIFNNQLRSLVDTFNRELTDAKFIYINNYGIFQSLISSPRSYGFTVTNAGCCGVGKNNGQITCLPGQRPCQNRNAYLFWDAFHPTEAANVIVGRRSYNAQSASDAYPMDINRLAQL >cds-PLY95835.1 pep primary_assembly:Lsat_Salinas_v7:5:65305668:65306207:1 gene:gene-LSAT_5X30700 transcript:rna-gnl|WGS:NBSK|LSAT_5X30700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLCAAREGGVAFYFLAPHDLTAIYVPVPHPVQDLICPSNFPIFDALLHIVALQTTVQLRLCHYHLRQIPSTTATFQRRRLHASISALPALTHQPSSDVSFASSTNHRMSHHLSRLLLHPPMNPLFFHISSVSQKSVTNIGFLFSAALSTYYCFLFNSKSREQSPVLMSPTTILSNL >cds-PLY97485.1 pep primary_assembly:Lsat_Salinas_v7:1:192703276:192716765:1 gene:gene-LSAT_1X126440 transcript:rna-gnl|WGS:NBSK|LSAT_1X126440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQHILYDEVLVNGSKTALTLSADGILQWYDHQNVLQCLSVEKEVLGVSIVGQQIIIRSFIETEGGGFCFGSSTRNLVRKSFVFEPLLEDSRLNLCEKIQGYVDSLGRPKKLFVFVNPFGGRRSASKVFTDDVKPILDDANIEYTLQETKYQLHAKEVSHTLDLSKYDGIICVSGDGILVEVVNGLLEREDWEAALKMAIGVIPAGTGNGMIKSLLDSVGQPCTAAYAMLATIRGHKRSLDVATIWQGETKFFSVLMLAWGLIADVDIESEQYRWMGSARMDFYGLQRIIRLRKYNGCISFVPAPGFEDVGEASNLGVESISMEPPISQQHGYHGPKVDLGNSIWRKVNGPFISIWLHNVPWGAENTMAAPNAKFDDGYLDLIVMRDCPKLALISLMSEMSNGHYVKSPHVLYIKVKAVVLEPGSRTSDSTKEGIIDSDGEPPPCTRSFLSRHCANRLAAVATPPSKHRHDCQTSCRMSQGLPGWVARSLSSFFGKDLTFTSHFSSMSASSCCCCHQSPLTAPSTVAGAVPTTAAGIVPTAAEPPLRVSFFFFFYYSVNHVADIDRMGHYHGRGGVLPAMLRASRTTESYCPPPYVCHRLCGSSKLLRLCSAILSRRHKLAAAGRSHE >cds-PLY68502.1 pep primary_assembly:Lsat_Salinas_v7:2:214765210:214769838:-1 gene:gene-LSAT_2X136381 transcript:rna-gnl|WGS:NBSK|LSAT_2X136381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSLNQDEEEYRFFDAQDNIASVSDSVSKIHDTPTPASNHDSEYDVWVRTPTSVQDRKNQFLKHMSLSLSLDDEIQSDESGGFDKRMMEASDAVLRSPIYEEMSSSTSSVSDSSRILDSREDFVCRGKKFNCGKSCKEEEEEEGGCKESDSPPLVQKLVERQIKVAGTMARTMNRVKSQWLSRLRSMTCVVDPHGSGNGRCDADGQVRRTRVERVRVRQNKKRLKELSAVFIGQDIHAHQGSILTMKFSQDGRYLATAGEDGVVRVWQVVEDDRSNDIDIPDIDPSCLYFTMNHLSELAPLMAEKQKMSMLKNLRRSPDSACVIVPPKVFRILEKPVHEFHGHNGEVLDLSWSNDNLLLSSSVDESVRLWRVGMDECLKMFPHSNYVTCVQFNPVDESHFISGSIDGKVRIWSIGSSQVVDWTDIREIITAVTYNPDGKGGIIGSMTGCCRLFTLSDNHFQLEASVCLNSKKKSGCKRIIGFQFCPQDSTKVMVTCADSHVRILDGTNVIGKYKGQRNAGNQFCASFTSDGKHIVSACEDSNIYVWNNNCNPQEEQQKTVKSFECFSSDASVVLPWSGLKVSSRSSLLPFSSPSYFSLGHEFFLESIPKGSATWPEEKLPTSNQPSAAGLCKSQYKFFKSSCQSSVGCHAWGLVIVTAGWDGHIRSFLNYGLPVTL >cds-PLY61900.1 pep primary_assembly:Lsat_Salinas_v7:6:62255959:62259708:-1 gene:gene-LSAT_6X46081 transcript:rna-gnl|WGS:NBSK|LSAT_6X46081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMATETLDTPTNGPTSNGTGETNPPEDCATVSHSSPDAKPVEDVEQGEKRKKTESETVEKTTSIHPLSKTSLCSYFRRTGGECSHGETCRYAHGEEELRPRPDNTWDPTSERAKKLKLENEDRDKADERDDEVMMTETISEDGCSESALDKCLVNLPMKWSSDNLRNFLNEQGIEYKSAKTKKGMIVGFVTFETTEQVKTGIEKLQGKPFGNRTLKIADVIPRSFDRNVKPKMVSDNSATSEPANEVEDGDSVNDSSTPGSSVLKGRSAREVVTPLAHLSYKDQLEHKKTSLAQTMKKLTRNARKACPNGVSLPDWILNSREIGGLPCKLEGIIESPLVNGYRNKCEFSVGYSLQGKPTVGFSLGNFREGVTAVEEPLDCPNVSRIGCKYAEIFQKFLESSTFPIWNRMNNTGFWRQLTVREGRTPGKIAEVESGESNVSEVLVMVQICSKGIDDEAINVELEKMAEAFVIGASTNSPSLPLTVLVVQDHQGISNVAPADAPLRHLSLTKGESRLMEDSEIVAEARIQDYINNLRFFISPTAFFQVNTLAAEKLYSLAGDWANLGPNTLLFDVCCGTGTIGLTLAHRVGMVVGIEMNASAVADANRNAEINGIKNCRFVCSKAEDVMGSLLKEYLTKKLDSGISDSNVGIKEDEKKDIKEVKKEEENNSVNENNNNNNNNNEKKMEYFENVVAIVDPPRVGLHPTVIKALRTHSRLKRLVYISCNPESLMANAIELCTPSDDSNEKGNKNNRGWRNMSSAGLARHRAKSMPTSEPFKPVKAMAVDLFPHTPHCELVMLLER >cds-PLY73867.1 pep primary_assembly:Lsat_Salinas_v7:3:38868048:38872311:1 gene:gene-LSAT_3X30221 transcript:rna-gnl|WGS:NBSK|LSAT_3X30221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METPSLRVRLEFGDRSILSKPQRSDGMNKSWLLLKLQQHRTISDVCTHLLHIFKLHRSCPNGILLSMDGFVLPPSESTEILKDKELICVKKKGGATPDADNLLDEVEADDLEPGENGLLLLANDKGTEEVQSESEEVDEEQSQDEEDEEPVSKKRKASIKLQNSKNKRPCLRVLDDVENEAETQEFDNDKKAEQAKQVSAPGGKKMPSRSARRKKAKRQWMQELAKISKKKPQPYSKPVVTPAENKDSNGRPKGLLHWKQASKKHVHKNRVEEASNQNGDVAVVTRPGHIRFENLDEDEAAKQTDVSNEAFRWNGSSNGKKKGQRWGSNGKFSTSRRNDTKRIDKKSFKMSITDMQVPIIDPSDFSKLPPCCSPQEGDVIAYRLLELSSSWIPEFSSFRVGRISYYDARDIVLIPVPEYPIVTDKTDENRPNDSLYGEDGTLEINYSALLDVCYVKQYDPEAAKDKDKEAVTKQAPVTVTVTVTDGKDAAENLVSNSNDNNTNNVSKDSNTGGEANPWDHFSKANSNTETPLPLPDVNHSSMVVSGDPGPNADIAENRDKGKGSMGNPWLNADKPDASQENQSSLTGWTSGKKVDCGEGSSWGRPWSSFTPLRGSSVNVQSRGNDWGGRGSSRGNGNVNVRPWGPRGRGGRGRGRGRNA >cds-PLY85882.1 pep primary_assembly:Lsat_Salinas_v7:5:96960243:96960560:-1 gene:gene-LSAT_5X45761 transcript:rna-gnl|WGS:NBSK|LSAT_5X45761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKPTDEVFVMKPEATSGATKTDDPLREVVPSKTIVFKRLKKIAHRPQSCSDKSPSFSPSMVRKPHVTRKGVVIREVLVPISPSSKKHKSEDMAKHISKKQKKRV >cds-PLY75800.1 pep primary_assembly:Lsat_Salinas_v7:3:68341839:68342129:1 gene:gene-LSAT_3X52141 transcript:rna-gnl|WGS:NBSK|LSAT_3X52141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHVPYPHWLGLILSREEGYVESHWIIILIPGLSLKFINTAPTKDSFPITTRMQKWIEQPYVIEPSDYEEENDVDDVNNDDDGHKEGSNEKEDVDKN >cds-PLY94534.1 pep primary_assembly:Lsat_Salinas_v7:2:155976850:155977592:-1 gene:gene-LSAT_2X81341 transcript:rna-gnl|WGS:NBSK|LSAT_2X81341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARQLIFIQKTILPFPGIAFFAVLVGVISIFSIVGFLCGSHGGLKRSDTYKREKNTVRLGVKKQATSKLGGGLSSKALLMAKMISWRKVEELGGNDDDDDEEAVWKRTIIMGERCRPLEFSGKILYDENGNSIPETHQKHVAMGRDKVADMSMTQP >cds-PLY70421.1 pep primary_assembly:Lsat_Salinas_v7:2:9048846:9051548:1 gene:gene-LSAT_2X4600 transcript:rna-gnl|WGS:NBSK|LSAT_2X4600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVINAIIKPVVDTLMVPVKRHLGYMINCTKYVRDMHNKLSELNSAKTGVEDHIKQNTSSLLEVPAQVRGWLEDVGKINAKVEDIPSDVSSCFSLKLRHKVGRKAFKIIEEVESVTRKHSLIIWTDHPIPLGKVDSMKASVSTPSTYHDDFKSREQIFTEALQALHPNHKSHMIALCGMGGVGKTTMMQRLKKIVQEKKMFDFIIEAVIGHKTDPIAIQEAVADYLSIELKEKTKSARADMLRKMLVAKSDGGKNKFLVILDDVWQFVDLEDIGLSPLPNQGVNFKVLLTSRDVDVCTMMGVEANSILNMKILLDEEAQSLFMEFVQISSDVDPKLHKIGEDIVRKCCGLPIAIKTMALTLRNKSKDAWSDALSRLEHHDFHNFVNEVFGISYDYLQDQETKSIFLLCGLFPEDYNIPPEELMRYGWGLNLFKKVYTIREARARLNTCIERLIHTNLLMEGDVVGCVKMHDLALAFVMDMFSKVQDASIVNHGSMSGWPENDVSGSCQRISLTCKGMSGFPIDLNFPNLTILKLMHGDKFLKFPPDFYEQMEKLQVVSFHEMKYPFLPSSPQYCSTNLRVLHLHQCSLMFDCSCIGNLFNLEVLSFANSGIEWLPSRIGNLKKLRLLDLTDCFGLRIDKGVLKNLVKLEEVYMRVAVRSKKAGNRNAISFTDDNCNEMVELSKNLFALEFEFFEINAQPKNMSFEKLERFKISMGSELRVDHLISSTHSFENTLRLVTKKGELLESKMNELFQKTDVLYLSVGDMNDLEDIEVKSLHPPQSSSFYNLRVLVVSRCTELRYLFTVSVVKALSKLEHLRVSYCKNMEELIHTGGNGEEKITFPKLKFLYLHTLSKLSGLCHNVNIIEIPQLLELELFYIPNITNIYHKNNSETSCLLNKEVN >cds-PLY86823.1 pep primary_assembly:Lsat_Salinas_v7:1:16560458:16564065:-1 gene:gene-LSAT_1X14720 transcript:rna-gnl|WGS:NBSK|LSAT_1X14720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKYLHSLADENPDLRKQIGCMTGVFHIFNRNQIVSRSHGHRSLPHGGLLSNNGTPERESSVISQRSPNMEKHSKKQYMEKHRVSTESYKESISSWSPPSPFSYVNRRSNPETDKSSASGHQNLDLRDVVKDSMYREARGLSHNVLVELQEPDWYCNEANEFSRSNSCQFKDGFSKIYPRFSYDGHTATPKQLKELPRLSLDSKERSIRTLNSISLVPFKPYDSKSNLNSRNPNEKSLIQTKPPSVVAKLMGLETYPNSTSTSNKDLDLLSKSLKIPNSTREQAFECWKNPDMKPISRVPIEAAPWKYPHGGQNPQKQSPRVTKSTSVYSEVDKRLKNLEFLESGKDLRALKQILEGMQAVEARKGERDNTVDHQRPPSKNVSTGQESHIVIMKPGKIIEKGFINNNSNKKVKDPNTEITDSSCKPRKQSECRSPNRRRQSCSSTQEKSTLIVKVDESEYPSPDSVLDDSVYMDNSPSPVKKQTPNTQKDYPKQKREKLKKIEDLVEKLKRLNSSHNEAHTDYIASLCENTNPNDRYISEIMLASGLLLRDLESFEFHSSGHPINPELFLVLERTKFGNLQKEKFHRKLIFDAVNEILVEKLNSVSLIVQNPRELLREVCLEIEEMRVEKKGERCDVGKEEEEEEGDEFKRILREDIVRRPENWRGFYGGCPVVVMEVEWLIFRDLVNELVVMESEA >cds-PLY98867.1 pep primary_assembly:Lsat_Salinas_v7:5:18864094:18866299:-1 gene:gene-LSAT_5X9381 transcript:rna-gnl|WGS:NBSK|LSAT_5X9381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromophore lyase CRL, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51020) UniProtKB/Swiss-Prot;Acc:Q9FI46] MGPPSGWSRARGLVVKTLVLIGGALLVKRLTKSTTRWDHARIVSQSIAGEKFSKEQASRDPDNFFNLRWLSCPAADMVDGSKVLYFEQAFWRTPHKPFRQRFCMVKPCPKEMKCDVELSTYAIRDAEEYKNFCDRPRDQRPQPEEVIGDVAEHLTTIYLKRCERGKRCLYEGSTPPDGFPNSWNGAAYCTSELAVLKNNEVHMWDRGYDDDGNQVWGVKNGPYEFKAAPGPASASTSASASVDMLSPLNFPPLSIGKRIEGSFVLQE >cds-PLY93730.1 pep primary_assembly:Lsat_Salinas_v7:3:170197577:170200980:-1 gene:gene-LSAT_3X106381 transcript:rna-gnl|WGS:NBSK|LSAT_3X106381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRCRNNLEADNVVLIDVDTYNFNNVIDIDLLEKLTKKRGGGSSGLTNDKNPLSRTYIYIDEDDDDDEIPSETKFENVASSSSRRYDPGDEENISPLKLSSKGKRTYGLSIESDEDDEDEHIDCELMEDSSGKLREEWERAFLKRKGDVHNDDYGNVNKNKQKQGQDSSAEGKCPVGNEDGIPCGMFDVECGPGVKTNQDQFGESDEIACGCACSGNDNEEESDDVSVENSIIDQREKLKQTDEYKRALEQELSARQIALKIQAEEAQQLRRLQKRRKAESLRLLDMERRQKQRVEEIRQTQKKDEENMNLKEQYRTEVREELQRLEIMCPDMASLLRGLGIQVGEGPCPLSNEVRAAYKRALLSFHPDRASGSDMRHQVEAEEKFKLISRMKEKFSL >cds-PLY87320.1 pep primary_assembly:Lsat_Salinas_v7:8:132681314:132681757:-1 gene:gene-LSAT_8X91021 transcript:rna-gnl|WGS:NBSK|LSAT_8X91021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHHKEQPEATIDLSSFIAQIMSSESRLRDRGGAAFQPPYLRNPNLEGTNVGALMIIHRGGGSGLLSGEVNDSCGVNIYVNNNIQGLNNSIMIGSRLHMGDPGVWLTLKDSKLKRWFSKKTDTMCLSIGFYVLFVLVIVLAFVVMFM >cds-PLY83045.1 pep primary_assembly:Lsat_Salinas_v7:8:194396635:194398463:1 gene:gene-LSAT_8X125500 transcript:rna-gnl|WGS:NBSK|LSAT_8X125500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYIITRLPIHSTSKPLFVFSGREVGNTMTTVTHHLRTWTTETTMHLPPCDDIGKESHRSKHGFPLSESRAVVVIAVAINGGGAKDIERNSIGYPPLLDATTLLFWTQVAQEYGEELSDF >cds-PLY94779.1 pep primary_assembly:Lsat_Salinas_v7:2:178287384:178288232:-1 gene:gene-LSAT_2X97320 transcript:rna-gnl|WGS:NBSK|LSAT_2X97320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKLGLRGPGFRVGGIAYVGSYSNELTIPGVRRMKLGVRRPNLTKSSTKFQDDVNNQVPVVNDIPNDVAQAPAINDVPLVNGVIKEEEIEVLVKVLEPVVNEVHLVNEANDIMVLEEEAVDVPVKVSQDLKESLDEVGDAIDQILGSGNASYAYDVPLVNEGGAEPEFTEGHASNVLLEKIKISVEGITNLLEARYSMSEIESMGRLEIELDDTSPVEMDLNEDEPDLDEGEVDFVNDVLNDEGEGVENEVNGDVIKG >cds-PLY68904.1 pep primary_assembly:Lsat_Salinas_v7:2:193703928:193704713:1 gene:gene-LSAT_2X115080 transcript:rna-gnl|WGS:NBSK|LSAT_2X115080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVVKLKAIEATPETFKDFGQVIQASPDGDEFGPHDAQLDLSQGTPRFYIMNLEARPLKFSTITHHASVTQCLGSIGGGVWYLGVAKSSIVDPNVELHNKSPNVLQSRSGHFFVPPAVDGVHVFKITGPKFLKLHRGTWHAGPLFKPDSMDFYNLELTNTNVVDHTTHDFKKENATVFVIDDE >cds-PLY75265.1 pep primary_assembly:Lsat_Salinas_v7:7:65542387:65553445:-1 gene:gene-LSAT_7X48240 transcript:rna-gnl|WGS:NBSK|LSAT_7X48240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSIMASPSANTCSFDVFLSFRGEDVRHSFADHLYEALKRAGFLTFRDEEEIKKGEPLKPEIVSAIKGSKASIVVLSKNYATSTWCLDELLLILEERRHSSHFVLPIFYHVDPSDVRKQNKTFDIKVITSSQWTDKNVKLWKAALTEVASLSGEVSEAHETEFLKKIVDIIYNKLDHRKLHLPDNLTGMAPRYEDISSFINEPNVNILAICGMGGSGKTTLAKYIYNSNWNSFENMSYLEEIGSRCKVYDELLQQQERLLHDILGGKNRQIPSVSEATSNIKEALNTKRAFIVLDDIANRDQLVALLGNGKINAKSKIIITTTVLNIEEWFNSGSRCRKYEMRLLNYDESLELFCRHAFRSKFPIEGFKELTLKAVRYCEGNPLALEVLGSSLSVDNNIQVWGSTLSSFENNLHDKIQCVLIDSYNSLPHDCNRKLFLHIACFFVGKDKDYVENILEGDFSATSGIKILCDRCLLSVSLKNELMMHRLLQEVGKDIVVKESEVLTERSRVWRSRDSYKILRRGKGSERVEGLALDMERLKEEEFAVRPSKLKTDALNNMDNLKLLQLNSVQLTGSYENFSEDLRWLCWFGFHLENIPCELFMGNLVAIDMSSSKLKVFEPPTELTSLKILNLKDSDNLIRIHNMFKIPHLEILILWNCHSLVRVCETIKNLNTLALLNMTGCQKLFKKEETKLLAGKKDSISDGGVAKQTTLSFLPQSLHQLFLKGCNLEQTDSFPLSFSAQPSLQYLNLGHGLFEFLPDYNHLENLRVLDLSFCSRLKSIKCLPSTLAELYVYYCRSLEIINFVSHQFTLQEFGYKGCISLSEVEGLFKLVPVSKLEENDLGHMKWIKEYQNQEVCLVGDDELTKDRSACVQMLYEFNIMSTSLLDIKDPNMKPNYVSELSSLNFYVPSSPKNRTLKGLDVTFKYTITGDDWAWFCKISTTNGVDLMYNPKVFGKPDSGKVGIWLSYWPIGSTLHIGDVVNISIIVMSGMEVQGCGVSLVYAEENVAKETFENNMDWEEILQGDLSGFQLSTGAYYLCRNDFFGLMEVGRLTDDWFRILVGDTIDHAEVRGWRKTGRRKQLNPSFTELKTVRCIIHGPQSEEVYKIANMSKSMFVDKNLGFSSTMFGEMKSATSSKSSDTTAKELNKIQNVESMDMSKASVLNEIQQSTSSSYGEEPDSWFTHHAGDKGKRSLKVVLKVDFSNDKERRKVLKKVIRSSGVESVSADIDKKLTVTGEIDPVEIVTILRKSWRTELVAVGPAKEEKRDGKKEEKRDGKKEEKRDGKKEDKDDDQKKKEEALLEAYKSYNPYMPQVAMVADGRKMQR >cds-PLY68277.1 pep primary_assembly:Lsat_Salinas_v7:1:26994060:26996163:-1 gene:gene-LSAT_1X23940 transcript:rna-gnl|WGS:NBSK|LSAT_1X23940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADQENNYGVRVTRQAKKRAMEAIGSQFQPANKKRVVLGEISSNAASLENPKVGSDLVQKTKCGSKKVKKAVKAVIAAAKKHELAAEKTDDPLIDPQMCEAYVSDIYEYLHNMEMEARRRPLVDYIEKVQKDVTVNMRGVLVDWLVEVAEEYKLLPDTLYLTISYIDRYLSVNVLNRQRLQLLGVSSMLIASKYEEISPPHTEDFCYITDNTYTKQEVVKMEADVLKTLKFEMGNPTVKTFLRRFTRIAQEDYDSPNLQLEFLSYYLAELSLLDYGCLKFLPSMVAASVTFLSRFMLKPNSHPWNLTLEQLSGYTPSDLKECVTILHELQSSRRCGNLVAIREKYKQHKFKCVGELLSPLVIPSSFFNSIKER >cds-PLY75794.1 pep primary_assembly:Lsat_Salinas_v7:3:68546012:68547704:1 gene:gene-LSAT_3X51881 transcript:rna-gnl|WGS:NBSK|LSAT_3X51881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELRLAVFILTLPLLSTIAQSVRFQIESGVAKCIHDELKINSMTVAEYSVVNPNEGHPLPEHHKISVALFTPKEKRLHHGELIESGQFTLQVEENGKHLLCFLTDAHEPILNTTVELNWRSGIAATGWSNVAKKETVDTMELELKKMEDTISVIMEEMFDFRDREEDMQELMRHTNSIMGYLGLVSLFICLSVAGIQVWHLKSFFEKKKII >cds-PLY66317.1 pep primary_assembly:Lsat_Salinas_v7:5:290479541:290480657:-1 gene:gene-LSAT_5X156701 transcript:rna-gnl|WGS:NBSK|LSAT_5X156701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYDFLQRSIEDFHFMEQKEKRMIVDESYFQNKEDPLVRLLGYDHGADHREYPISLALLKFTVRIECDLLVNVADIQLKIAYVAWSTSEMIIHSKPINKGYVEVKLVNKTPSKSNSGMRMGSSRGSPQIHADGITTTSVYRPQMFYVCI >cds-PLY71144.1 pep primary_assembly:Lsat_Salinas_v7:9:83227386:83233153:1 gene:gene-LSAT_9X65321 transcript:rna-gnl|WGS:NBSK|LSAT_9X65321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G55400) UniProtKB/Swiss-Prot;Acc:Q9M2T9] MAARVQHSLQSQRNSITAFFFSSSSSSFSNSLTKTQISFRSKWFSPSSSSSKRSGAALYCTCSSNSDSTDSNSTPMLAKEDPFILTTPLYYVNAPPHMGSAYSTIAADAIARFQRLTGKKVIFITGTDEHGEKIATAAAAGGSTPNDHCDAISQSYRALWKDLDISYDKFIRTTDPKHEAIVEEFYSKVLENGDIYRADYEGLYCVNCEEYKDEKELLENNCCPMHLKPCVSRKEDNYFFALSKYQKRLEDILEHNPDFVQPMYRLNEVQNWMKSGLRDFSISRASVDWGIRVPNDPKQTIYVWFDALLGYISALSEDQEQPSLQRAISSGWPASLHLIGKDILRFHAVYWPAMLMSAGLSLPKTVFGHGFLTKDGMKMGKSLGNTLEPNELVHKFGADAVRYFFLREVEFGNDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCQSTLVADSSVAAQGNAFKDNVEKLVEKAKTQYESLLLSSACESVLEIGNAGNLYIDEHAPWSLFKKGGTSFDSAAKDLVIILEAMRIIAVALSPVAPNLCLRIYQQLGYTPEQFNATTWNDTQWGGLKSGQIMAPPNPIFARIEIPSESEGGTLAEKKVSKKKEKLPRTQTQTQTTVGA >cds-PLY79583.1 pep primary_assembly:Lsat_Salinas_v7:2:166516171:166520443:1 gene:gene-LSAT_2X87621 transcript:rna-gnl|WGS:NBSK|LSAT_2X87621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYSLDQNSTDYQHGSRGVEVFVGGLARSLNEDKVRKVFAACGEITDMRLIKDQNGILKGFGFVRFATKEAADKALKELSGSMLEGKKIGVLPSAAQDTLFLGNLNKGWSADDFNKVVRQVFPDVVSIDLAMPQSGSEATSKKFKNRGFAFVKFSSHATAARAFRAGSKPDFVLGGTLHPSVQWVEEDPEVDPDELAKIKIAFVRKLPSTIDEGYLKKLFMPFGKVEKVVVSSKGDSSVGFVHFSQRSHLDNAIEGLNEKIVPGPKGGPSIKIQVEVARPMDKKRKRVHEDFQNTQPRDNLNKPRPQNHDPYLNSFDGHEETLQKEAVVDPHEAAVLLLPVAVRERLLRILRLGIATRFDIEVESLSNLAELPESMAISVLDQFMLSGAEKHDKGGYLAALISRYQVDKFGANQQPSSLSRLREPVRAHLPSVDSLPYVDPSSRGDSYTSRYSTLYSDYRLPSRPGMVKTEDRSPIQLHELPGSSSSSSYGKYLVNSHIPTAYGNMEEMSPVQSHQIPGPSFAKPSQDPYSRIPGPIPTLSFSKSGPSPDPQINDRPGSRPQMRFDPFTGQPYKFDPFTGEPIVPESLARRYDSPY >cds-PLY65038.1 pep primary_assembly:Lsat_Salinas_v7:1:119515068:119515646:-1 gene:gene-LSAT_1X92100 transcript:rna-gnl|WGS:NBSK|LSAT_1X92100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSKLPEKSSSSSSTHGHSSLTHVHNSSTQDHRYDVFLSFRGVDTRLSFTNYLYEALIDANITTFLDDEEIETGEDLKPELESAIKASQASIIVLSKNYASSTWCLDELVLILEQRMKSNHNVIPIFYQVEPTHVTKQQSSFGDAMSKHKQKMDEETEENKRSQWAQKIDRWNKALIEVANLKGNDVNGT >cds-PLY98384.1 pep primary_assembly:Lsat_Salinas_v7:5:314594434:314597885:1 gene:gene-LSAT_5X171281 transcript:rna-gnl|WGS:NBSK|LSAT_5X171281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSYRVCCCFTRKFKVTEADPPPDVKEAFGKYSDGIHMTADQLRRFIVECQSGDGVSISDVERIVEQVLHKRHPITSLINRKTFTLEDFHHYLFNSDLNPPIISQIHQDMNAPLSHYFIYTGHNSYLTGNQLSSPCSEVPIIKALKRGVRVIELDLWPNSSKDNVHVLHGRTLTTPVELIRCLRSIKEHAFTASPYPVIITLEDHLTPELQAKVAQMVTDTFGEMLFCHNSGKMKELPTPESLKYRILISTKPPKEYLEAEEDKRTKSQRVKDSGEDDVWGEEPSRAVAYKDKNDKYESDESFIDHDVDDYDLKRSDSCPAYKSLIAIHAGKPKGGLVEALEVEKDKVRRLSLSEQGLEKAAEHHGQQIVRFTQKNILRIYPKGTRFTSSNYKPLVGWLHGAQMVAFNMQGYGRSLWLMHGMFRANGGCGYVKKPDFLMTSGPNNEVFDPKAKLQVKTTLKVKIYMGDGWHLDFKQTHFDTYSPPDFYTRVGISGAPGDEVMRKTKPMEDNWTPVWNEEFVFPLTLPEIALLRIEVHEYDMSEKDDFAGQICLPVSELRPGIRAVPLCNRKGDPYASARLLMRFEFVPNES >cds-PLY85942.1 pep primary_assembly:Lsat_Salinas_v7:9:99545047:99549530:1 gene:gene-LSAT_9X73660 transcript:rna-gnl|WGS:NBSK|LSAT_9X73660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSFLTCSLSSFPKVSCQRFDPLSSSSSSTTVTTSSRLSLERSVVAGGRVSLANRSYLSSGHRTSQHDKRSKMEFVVYSADQVADLLPFGIHLPENWPAWIPGVVLAVVVPFFTNKWGPFSKFKEELDKVEEAVDNVADRVDEIAEKVEEFVGDIADDLPEGSQLRQSLEKVEKVADTIGDKAKMVSDLVDKMDEMEAKLENIMDKAKEKKNTTPTKTEPQ >cds-PLY79177.1 pep primary_assembly:Lsat_Salinas_v7:5:57671207:57674085:1 gene:gene-LSAT_5X27120 transcript:rna-gnl|WGS:NBSK|LSAT_5X27120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTLQGPVVCPSVRGKQGGIPAVDGPLMKAKIHRSGVCGFKGVNSHRVQVRHRPGSKTVTCSFSSSSNGNGSMAESFNENDSDYVNSSVVEAVEVKSGPDGFVIKMRDGKHLRCAHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAMRNVQIARPTMYNVVKEMIDKMGYKVKLVRVTKRIHEAYFARLYLTKVDDEDECVSFDLRPSDAINIAVRCKVPIQVNKFLAHSDGMKVVESAKVSFQGSSDGLIFKELDRPSGQPCIETKEFNLVRNMLIAAVEERYRDAAQWRDKLTQFRSKKNWA >cds-PLY92337.1 pep primary_assembly:Lsat_Salinas_v7:9:180032997:180033236:-1 gene:gene-LSAT_9X110780 transcript:rna-gnl|WGS:NBSK|LSAT_9X110780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYNWTFCEKLFGSRFTGNFSWGPRFQTLALMDAEIMDRCRVRENGSKSCFWLVKPDGFYLSSINALFPNGWVKKKSWY >cds-PLY92228.1 pep primary_assembly:Lsat_Salinas_v7:6:76010709:76011092:1 gene:gene-LSAT_6X53240 transcript:rna-gnl|WGS:NBSK|LSAT_6X53240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQEIKLHGDVYFGNVNGKLPHGMGSYTWSDGTVYDGNWEDGKMCGRGRISWSSGTSYEGDFSGGYFHGFGTLTTPDGSAYKGSWRLNIQNGVRRKEYIIPMFMMVVGKMEFMKEVESTLGGMETRI >cds-PLY75094.1 pep primary_assembly:Lsat_Salinas_v7:2:94492449:94500952:-1 gene:gene-LSAT_2X41161 transcript:rna-gnl|WGS:NBSK|LSAT_2X41161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGLILHQTPVLVSSNTRPKLPLLVLPDSSRFFADLSTRTTCSSSSSSSFERRDILKPHLRGNRITANSFNVGASSGGYAERDGNDNQNLSTNDQNNESSPIKKLPDQIRYPVSIALVLSGCALVFSIIAFGKGAPPSLLAAIAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQYDKGLVILGSMGALSLMTVFSVIIGRIFNSVPAQFQTTLPIGEYAAVTLLMFFGLKAIKDAWDLPSSNAKNGEKNSNELDEYAEAEELVKEKASKQLKNPFEIIWKSFSLVFFAEWGDRSMLATIALGAAQSPWGVASGAIAGHLLATSIAAVGGAFLANYISEKLVGYLGGVLFLLFAVATFLGVF >cds-PLY73725.1 pep primary_assembly:Lsat_Salinas_v7:8:169958045:169958921:1 gene:gene-LSAT_8X110800 transcript:rna-gnl|WGS:NBSK|LSAT_8X110800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSQTTVNGVENECDWGKLTDGTVFDSNFERADPIEFELGTGQLTKIVYSRMGLGTIGNVCWEKRKLKIPSKLGYGDQGETSLVGVDVCIVCCSSEMGAHFSACGRYLTACVACVLPQFEGDSISGVQSQLHHQEGTIQLMGGVIALF >cds-PLY71960.1 pep primary_assembly:Lsat_Salinas_v7:3:25557342:25558913:-1 gene:gene-LSAT_3X19041 transcript:rna-gnl|WGS:NBSK|LSAT_3X19041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVVEPESPDLVCELDNVQGMVDALTSVRWKRYQDAVLELSEHGIVLIVEDTGCLQAKVYLQRELFVRYEYSANGRPRFGVSLGLFVDCLNTFCIPGHSSTIEFQYPGPDMQLLLKSTDSLSACTYAEIRTRIPDTISWDYNFEPVGSTPISFTVKSSALKEAIDDLEWPGSSIQITLQPTPPSVTFKGEGHGDLQIDFMYYANTDLLVAFNCDQIVSHRYKYKFLRATTSNIPSSVIKDNRGSKLTIGRGGMLKVQHLVSVVRPSGSHSHVDSGGYQQPSRIAYIEFFVKPEVVEDNVNDS >cds-PLY68415.1 pep primary_assembly:Lsat_Salinas_v7:8:23102556:23103883:-1 gene:gene-LSAT_8X18340 transcript:rna-gnl|WGS:NBSK|LSAT_8X18340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILKLSASTILKTTICIFLLAISLECSSGARILIDTIDDDTTSIAPAIPLPANQPETTSGPIDEDDTPNEDPTPTLPSGQIPATVAPAPVEGPTVPLPTKVAPIVAAPVATPVGVGATTTGGTGAGAVAAGGATLGAEHPTLSFFMHDVMGGSHATSRVVTGIIASSNANAVPFSTPNSQVFPITGGVPLNNINGIVNNNNLPFLAGFNGNNPNNPNSNTVLQNTGNNNVVNGGNNQPFVTAGQLPAGITLEQLMFGSITVIDNELTEGHELGTGVIGQGQGFYLASSLDGSSHTFALTTLFHGSDHEVDDTISFFGVHRTASEISHIAVIGGTGKYEEAKGYATIESLPQVDEHTTDGVETIVHVNVYLTTL >cds-PLY85396.1 pep primary_assembly:Lsat_Salinas_v7:9:78739886:78741376:1 gene:gene-LSAT_9X63921 transcript:rna-gnl|WGS:NBSK|LSAT_9X63921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTVESSSATATTNSRWSLAGMTALVTGGTRGIGYAVVEELAGLGAAVHTCSRNEAELNHRLQEWSSKGFTVTGSVCDATSRPQREQLLQKVSSIFNGKLNILINNVGTNIRKPTTDYTPEEYSKLMSTNLESSYHMCQLSHPLLKASGVGSIVFISSVAGSIHLSSGSIYGATKGAMNQLAKNLACEWAKDNIRTNSVAPWYTKTPLVQHLLENEEYLERIVSRTPLNRVAEANEVSSMVAFLCLPAASYITGQTIAVDGGFSVNGFA >cds-PLY65783.1 pep primary_assembly:Lsat_Salinas_v7:5:274742799:274745232:-1 gene:gene-LSAT_5X145641 transcript:rna-gnl|WGS:NBSK|LSAT_5X145641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-[acyl-carrier-protein]--UDP-N-acetylglucosamine O-acyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29540) UniProtKB/Swiss-Prot;Acc:Q9SU91] MATSPLIARRQVAVGLLRALSLRRLSTLNYASDFSKDDNADGISPSFIHPSSVVHPNAMIGQGVSIGPFCSVGSSATLGNNCILHPGSHVFGNTELGDKCILMSGAVVGDDIPGKTIIGCNNLIGHHAVVGLKCQDMKYKSGNECFLQVGDNNEIREHVSIHRSSKPCDTTVIGDNNLIMGACHIAHDCKVGSNNIFANNTLLAGHVLVEVSQDVPKYTMVAGDRAELRGLNLEGLRRGGFSVTEIRSLRAAYRKIFMPTNANIGGIEARLAEVECDEELSLIPAVVSLVKSIRDSLGENRRGICKFRSWSFS >cds-PLY81303.1 pep primary_assembly:Lsat_Salinas_v7:1:185774078:185774368:1 gene:gene-LSAT_1X120300 transcript:rna-gnl|WGS:NBSK|LSAT_1X120300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQKSSLAAEHAVAGNFDTAMRLLNRQLGTKNFTLLKSLFLDLHMGSDAFLRAFSSSPLISLAIERRWSESASPNVWAPPTLVFNFSQLEEKLKAG >cds-PLY85089.1 pep primary_assembly:Lsat_Salinas_v7:7:7281621:7281999:1 gene:gene-LSAT_7X7941 transcript:rna-gnl|WGS:NBSK|LSAT_7X7941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIGFFTAIFKKLSEANSLPHLCIYMAAGDFTLIRDLDVLKDIFIMKLRVIRLWTLDNYYNKNELFSIELILMDEEGNKIQGYVPKAFIFL >cds-PLY63406.1 pep primary_assembly:Lsat_Salinas_v7:7:146237272:146239813:-1 gene:gene-LSAT_7X86880 transcript:rna-gnl|WGS:NBSK|LSAT_7X86880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANTNTSAFILSSGSMEQSHFSMQNTNFSPNSTNQSDGPIAILWDMENCPVPSDIRPEDVAGNIRMALRLHPIITGAVTMFSAYGDFNAFPRRLREGCQRTGVKLIDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFSPALHILGQRGYTVILVIPSGVGVSSALSNAGSFVWDWPSVVRGEGFVPLPPTSRTLPLPPTRDVSTFLMGCQITENSDFQNEEEAIVYRGISQTYYNTNMVSEYNNTTTSRSYSLPHVGSYEQMWVQPGDLVGLTGQLMKLLESFGGCLPLGRLPGEYQKSFGRPLYVSEYGTLKLVNLLKKMGDKILVEGKGQRKFVYLRKCLPKNDRKGKGREEGVVSSDEFSDEERVVLEEEDESLDKFRFELQEILVSYSCRIFLGCFEAIYQQRYKRELDYRRFGVSELEELFEKLDDVVVLLEEPVSKKKFLVAAGC >cds-PLY97913.1 pep primary_assembly:Lsat_Salinas_v7:4:90739573:90741399:-1 gene:gene-LSAT_4X59720 transcript:rna-gnl|WGS:NBSK|LSAT_4X59720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKTPSSSASELPVKRKRGRPRKDESMPRNDKRQTQTTMSPSQPPPIPTMTTMQPPPTLKMTPTGDNHNMVGQVVTGVIDGIFDAGYLISVRVGPNNTLLRGLVFQQGHFCPITPANDVTPHLKMCRRENYQIPNSNPTQLCTPQVACTKQPPQLTIPVTMQNYQTTPMMTGGSSNSALPLENLRMVEQDELMQVFEVSKMVQEPTKKDDCGHGLKNDHLVSDSDLMTDQSTLPTNDVVKHPSLHVGAPLVQDINNESVIDEVDRQNQEGENPEPITHVETGMEEPPTFEKVMLQQESENQGIENQGEVSKIDVNQDPNQSVATDLFQSN >cds-PLY78453.1 pep primary_assembly:Lsat_Salinas_v7:2:167801144:167805295:1 gene:gene-LSAT_2X88641 transcript:rna-gnl|WGS:NBSK|LSAT_2X88641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADGHLFNNISLVGRGGANPGQLRVHSRGLVWKKQGGGKAVEVDKSDIVRITWMKVPRSNQLGVQIKDGLKYKFTGFRDQDVPSLTNFFQNSCGITPEEKQLSVSGKNWGELDINGNMLSFLVGGKQAFEVSLADVSQTQLQGKNDVILEFHVDDTTGANEKDSLMEMSFHIPSSNTQFVGDDNHPPAQIFRDKIMSMADVGAGGEEAVVTFETITILTPRGRYSVELHLSFLRLQGQATDFKIQYSSIVRVFVLPKSNQPHTFVVVTLDPPIRKGQTMYPHIVMQFETDYVVESTLMMNEDLYSSKYKDKLEPSYKGLIHEVFTMILRGLSGTKLTRPGKFRSCQDGYAVKSSLKAEDGVLYPLEKSFFFLPKPPTLILHDEIDYVEFERHAAGGSNMHYFDLLIRLKSEHEHLFRNIQRNEYHNLFDFISSKGLKIMNLGGGVQAADGVAAVLRDEEDDAVDPHLERIKNEAGEEESDEEDEDFVAEKDDSGSPTDDSGGDDSDGSDSGGEKEQRIPKKESKKEASGSKTAAASSSKKKSKGGAGDEDGAKKKKQKKKKDPNAPKRAMSGFMFFSQLERENLKKDIPGIGFKEIGRELGERWNKLTAEEKAPYEARAVADRKRYQNEKTGYKNAQPAPMDLVDESDSN >cds-PLY90900.1 pep primary_assembly:Lsat_Salinas_v7:1:57358875:57361039:-1 gene:gene-LSAT_1X48300 transcript:rna-gnl|WGS:NBSK|LSAT_1X48300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTSRRWWRKSDLWEVASVLLLGQGVSFILALLNFTSSLTANLGANSPVTLGLLGYLALTLIYGSILLYKHHELIIPWYWYALLAFFDVQGNYLFNSAYYFSSITSVALLDSCTVGWVILFTWIFLGTKYSLLQFLGAAVCGVGLCLVLLSDAGVGGGGGSNPLLGDMLVIGGTLFFALSNVGEEFCVKKNCQLEVLAMLGVFGMFFSLIEITIFERKNLEAVTWSTELILTLVGYTVGFFIFYSLTSFILKTSGSTLFNLSLLTADMWAVIIRIFFYEQKVDWLYYVSFLIVGVGLFIYSKSEKNPIPNPSPESENGNRNPQYQLVHEQGAETLSGSP >cds-PLY63383.1 pep primary_assembly:Lsat_Salinas_v7:7:146520075:146522265:1 gene:gene-LSAT_7X86981 transcript:rna-gnl|WGS:NBSK|LSAT_7X86981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAFSVKFLAPNSFLSPITNISATRLYANPSQTAVPAPPQAPEEITAERLEPRVEKKGGYFVLKEKFRQDLAKIPFEEIDKSKDTKDDVDVRLKWLGLFHRRKHQYGRFMMRLKLPNGVTTSAQTRYLASVIKQYGEQGCADVTTRQNWQIRGVTLSDVPSILKGLDEVGLTSLQSGMDNVRNPVGNPLAGIDPYEIVDTRPYTNLLSQFITANSRGNPEFTNLPRKWNVCVIGSHDLYEHPHINDLAYMPATKNGRFGFNLLVGGFFSPKRCAEAIPLDAWVPAEDVVPVCGAILEAYRDLGTRGNRQKTRMMWLIDELGVEGFRSEVVKRMPEQALERASSEDLVDPKWERRDMFGVNPQKQEGLSFVGLHIPVGRIQADDMDELARLADQYGSGELRLTVEQNIIIPNIENSKVKALLDEPLLKEKFLPEPPILMKGLVACTGNQFCGQAIIETKARALKVTEEVGRLVSVTRPVRMHWTGCPNTCGQVQVADIGFMGCMTRDGNGKVVEGADVYLGGRIGSDSHLGEIYKKGVPCKDLVPVVVDILVEKFGAVSRERDEGEE >cds-PLY80768.1 pep primary_assembly:Lsat_Salinas_v7:8:137513556:137513875:-1 gene:gene-LSAT_8X95100 transcript:rna-gnl|WGS:NBSK|LSAT_8X95100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMRLDVLPVIGFMVMAILQLQLTQAQNFAPSPTPNPSNDGAAIDQGIAYLLLLVALAITYLVH >cds-PLY89206.1 pep primary_assembly:Lsat_Salinas_v7:8:303724597:303725896:-1 gene:gene-LSAT_8X165120 transcript:rna-gnl|WGS:NBSK|LSAT_8X165120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKISSIEASFNPHGLRALLVDHNPTSLLILSKMLQFYHYHVTQCRRPREALSLIRNGLSKFDIVISSVDFSSDMNGVQFLEIIDRETSLPVVIVSADDRKETIIEYVKKGACAYLPKPVRMEDIQLLWQHVARKEVLRFRQMKQIDNTVENGETDSNNCGEEKEFCGSSDGGPVLVDRRRKDRDEEQDKVERKKQRLVWTDELHQQFLDAVEQLGNNNAVPKKVLELMNVPGLTRENVASHLQKYRLNLKKQSGYVQESIPVPNSVSGVSVIRHNELFQTTPSTTELQSPLMLDHYYMDLGSNSILIPNDRFHEPNHC >cds-PLY97278.1 pep primary_assembly:Lsat_Salinas_v7:1:44408380:44409276:1 gene:gene-LSAT_1X38720 transcript:rna-gnl|WGS:NBSK|LSAT_1X38720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYIITRASISNAYPIFAQKGYENPREATGRIVCANCHLANKPVDIEVPQTVLPDTVFEAVVRIPYDMQLKQVLANGKKGALNVGAVLILPEGFELAPPDRISPEIKEKMGNLSFQSYRPNQKNILVIGPVPGQKYSEITFPILSPDPATKKDIHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATASGIVSKILRKEKGGYEITIADASDGRQVVDIIPPGPELLVSEGESIKFEQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFLASVILAQIFLVLKKKQFEKVQLSEMNF >cds-PLY84481.1 pep primary_assembly:Lsat_Salinas_v7:7:128637719:128641169:1 gene:gene-LSAT_7X79121 transcript:rna-gnl|WGS:NBSK|LSAT_7X79121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRKLVRDLFLSKQPLYRQSLAYKQISTSALRLRLHGGANVNSTYREFSVFNEFSKKIKGEVNRFLHCVNLPAFRNQDFQQTVKELKEKTEELKGVKEDLKVRTKQTTEQLYKRVDGAWTEAEATAKKVSENLKEKVSAATEEVKESLGIGKQESTESSSSSANEASEDDKKSAEEKEDKKQESGYGETAETIFSKVRSGVSSSFQKAKDAKVLDLAKKGYDIVKDELSGSPSKRKRAKGASAASSQANVERSTRTDIAVVPVKQSKFNKKWEAFKAKMQGHPFFKRVSGFSEPVVTKSQEIAEDMRERWETSDHPVVHKIQDINESVFRETDAAMSFKEIRRRDPYFSLPDFVSDVQEIVKPVLKSYIKGETEVLEKYCSPEIIERCKAEQRICESQGTFYDNKILHISEVDVRETKMMGDTPLIIVGFQTQQVYCVRDRLGEITEGGKDTIHTVHYLWAMQLVEAEEGDEGGHMPIWKLRDMQQVGIRALI >cds-PLY96502.1 pep primary_assembly:Lsat_Salinas_v7:5:336577334:336579570:1 gene:gene-LSAT_5X189660 transcript:rna-gnl|WGS:NBSK|LSAT_5X189660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLSNLTPSLIVSKLCLGCPRPSPPFIERVTMDSNFLFCFVFSLKGTMTFGEQNSLSQSFKLLDKAFDYGINFFDSAEMYPVPQRAETQGRSEEYFGRWLRERKIPRDRVVFATKVSGPSGQMSWIRGGPTSLDRRNIKMAIDNRYVPMFGETDYDPVRQYSPVSFDEQLDALGTAVDAGKIRFIGVSNETPYGVMKFLQAAENNPSYPRITTVQNSYNLLCRNFDSGLAECCHLERVRLLAYSPLAMGLLSGKYMLPDGGGDDARLNLFKGRYSEGESRYNLSNPTITEATKAYVSIAERYGIHPVSLAIGFVLRHPLVSSAIFGATKLWQLEEVVNACDIKLSPEIIADIDEVHSRFPNPCS >cds-PLY80981.1 pep primary_assembly:Lsat_Salinas_v7:9:177132531:177134882:-1 gene:gene-LSAT_9X109460 transcript:rna-gnl|WGS:NBSK|LSAT_9X109460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDEKPKLPLVHHTYSHLPHLYAILIASISTSRDRGAAEIAFGDTTVENKIPYTMNVAEDFQQTFNRITPKDNSIFLLLWHVLHLILRVFYFAQEVFQTVENYLITNGIVKAYEHLNLQRVNYLGVVIDSVEARETTEVIQLLEWLSDIGIKKVCLYDKEGVLKKSKEVFTLRVICCLLVQDDSKMDSLLNKKQMDFEFVSISDGKLVVAKAADVLFKKYYLDDDDAEKPFFTESYLTDALKTLGVVEPDPDLLLVYAPARCHLGFPAWRIRYTEIVHMGPLKHKKYGLILKAIHNFTKVKQNYGMWSIFFFFLIIKLLVYLLSYCYIFTKT >cds-PLY96918.1 pep primary_assembly:Lsat_Salinas_v7:4:8904533:8908463:-1 gene:gene-LSAT_4X6701 transcript:rna-gnl|WGS:NBSK|LSAT_4X6701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKSYANILDLDPDKLLDIPQTPRSLPRVMTIPGIIADSNNDSNSDKTCRERKIIVANMLPLHIQRDPDTLKLTFTFDEDSLLWQLKDGFSPETEFIYIGSLKVEVDATEQEEVAQKLLDEYNCVPTFLPHDLQKKYYAGFCKHQLWPLFHYMLPMCPDHADRFDRVLWKAYVSANKIFADKVMEVANPEDDYIWVHDYHLMILPTFLRNRCNRIKLGFFLHSPFPSSEIYRTLPVRDEILKGLLNCDLIGFHTFDYARHFLSCCSRMMGLDYESKRGHIGLDYFGRTVYIKILPVGIHMGRLESVLNLPITLRKVKEIAERFKGKKLIVGVDDMDIFKGISLKLLAFEYLLQIHVSLRGKLVLIQIVNPARSTGKDVQEAKRETYLIINRINEAYGSPEYSPVVLIDRPVARYEKSAYYSMADCCIVNAVRDGMNLVPYKYIVCRQNSPISDENARTSMLVVSEFVGCSPSLSGAIRVNPWDIESVAVAMNSAIVMKESEKQLRHLKHYKYVSSHNVGYWARSFLQDLKRASKDHDNKRCWGIGFGLGFRVMSMSPGFRKLSPDAIVSAYKRSNKRAIFLDYDGTLVAHSSIVKTPSAEVIRILNVLCNDPKNTVFIVSGRGKDTLSDWLAPCERLGIAAEHGYFMRWGGSLEWECGVVGGDLEWKEIAEPVMELYRESTDGSTIEVKESGLVWHHQDADPDFGSCQAKELLVHLENVLANEPALVKRGRHIVEVKPQGVSKGLVSEKVVCGMIEKGEAPDFVCCIGDDRSDEDMFESIMNMIPNTNTNTNTNSRCGEGVEIFACTVGRKPSKAKYYLDDTVDVVRLLSGLANASDPKPSSNPASFQVTFDAVF >cds-PLY82197.1 pep primary_assembly:Lsat_Salinas_v7:1:15458848:15461756:-1 gene:gene-LSAT_1X12921 transcript:rna-gnl|WGS:NBSK|LSAT_1X12921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDHLVLCVDQLAANKSKYEDTLGLSPNASCSHASIPVTDMKGGEEYDTTGEDEPLIQSIECRICQEEDTIKNLEVPCACSGSLKAYEPGYTAPPRASWTIGGTPIDLNDPRLLAMAAAERSLLDAEYEYEDTGANGASFCRSAALILMALLLLRHALTIGDADDDDDDASAFFALFMLRAAGFLLPCYIMAWAISILQRRRQAQETERLTRLAAAADVAFMMQAGQHRGLHVTIAPGPTVTPSPMVTPPPPAVGATTTHGPLQ >cds-PLY68004.1 pep primary_assembly:Lsat_Salinas_v7:4:257875622:257876010:1 gene:gene-LSAT_4X135860 transcript:rna-gnl|WGS:NBSK|LSAT_4X135860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEARVGTHTYKRSLFSFYYVTASSTLDQRKVHLIHCHRQVHQALFLPPFPLPQMLHLLSSPRCHRQRNAVMYTYGATYVAVTNA >cds-PLY78086.1 pep primary_assembly:Lsat_Salinas_v7:1:6567168:6572034:1 gene:gene-LSAT_1X5600 transcript:rna-gnl|WGS:NBSK|LSAT_1X5600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNQLMLKSADVDGVYSADPRKVSEVVNVEGTGMTGVPGIASAIFGAVKDVGANAILISQINKMLKPEKWQTTFDSDGKVFGFQKALKLIILRDYTGKDVNDSTSEKESRQPDVLKNQDGYEWKTCNVTTGPDFIPCLDNIGAPRKIHTTQRTPLPCRIPYMPCLSSSRIQDPNQIWYNNVPRTKLSEVRGHLNCVKFTGEYLSFPGGGTQFKNGALHYIHHIKKSRPDIKWGKRTHVILDVGCGVASFGGYIFERDVITMLFAPKDEHEAQVQFALERGIPAISAVIGIQRLPFPSKIFDAIHRAHCRVPWHIEGDSSMHSLFKAMCWELVVIYSDKLNQVGATIYKKPTSNECYDNRKQNDPPICGTNDDPDAIWNVELEACMHKVPVDESIRGTKWPKTWPQRFVAALKDLNVWVMNVAPLDSPELCLSDT >cds-PLY76704.1 pep primary_assembly:Lsat_Salinas_v7:3:140118982:140119868:-1 gene:gene-LSAT_3X92320 transcript:rna-gnl|WGS:NBSK|LSAT_3X92320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP1 [Source:Projected from Arabidopsis thaliana (AT3G50410) UniProtKB/TrEMBL;Acc:A0A178VFT5] MPSDSSDQRRTTTAKNHQVGLGAPPSEPEHLPCPRCDSTNTKFCYYNNYNFSQPRHFCKSCRRYWTHGGALRDIPVGGGTRKNAKRARVSTATSHDNSSVSSGIEYHHISATSTTTATTSVASVPILMSFAGDHGGSGNCGSFTSLLSNTQSPGLYGLDQDLSFGLGRTIWPFSSIGDGVVAGNAAAGGGGNTWQMDSTDGGGDYLVFPDLAISTPGNGMK >cds-PLY86696.1 pep primary_assembly:Lsat_Salinas_v7:4:8545661:8547366:1 gene:gene-LSAT_4X5600 transcript:rna-gnl|WGS:NBSK|LSAT_4X5600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIMLVTDNEYKTNWHSNFEAHLVTALKWHVLMDTHNRYNSTGKNDISTPSLQDMTLLKQFPISPVKLLGKYDKVLAIDRRAVM >cds-PLY93257.1 pep primary_assembly:Lsat_Salinas_v7:6:158599934:158602533:1 gene:gene-LSAT_6X96701 transcript:rna-gnl|WGS:NBSK|LSAT_6X96701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase class-P [Source:Projected from Arabidopsis thaliana (AT1G77120) UniProtKB/Swiss-Prot;Acc:P06525] MSGEVIRCKAAVAWEAGKPLVIEEVEVAPPQKMEVRLKILFTSLCHTDVYFWEAKGQNPLFPRILGHEAGGIVESVGEGVTELQPGDKVLPVFTGECGECRHCKSEESNMCDLLRINTDRGTMINDGKTRFSKDGNPIYHFLGTSTFSEYTVVHSGCVAKINPDAPLDKVCVLSCGISTGMGATLNVAKPKKGMTVAVFGLGAVGLAAAEGARIAGASRIIGIDLNESRADEAKKFGVTEFVNPKDHDKPIQEVIVAMTDGGVDRSVECTGNVRAMISAFECVHDGWGVAVLVGVPSKDDEFKTLPINFLNERTLKGTFFGNYKPRTDIPGVVEKYMNKEIEVEKFITHQVGFSDINKAFDLMLKGESLRCIIQMDA >cds-PLY66538.1 pep primary_assembly:Lsat_Salinas_v7:4:340467492:340476591:1 gene:gene-LSAT_4X167121 transcript:rna-gnl|WGS:NBSK|LSAT_4X167121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSTPIKDSHSFFILPDQTCQRFTLSEIESATQNFEEALVIGQGGFGKVYKCSKIQSTTEVAVKRLHSLSNQGANEFESEVKVLSKLRHGNLVSLIGYCNEPKEMVLVYEFMPNGTLEDHLLSPDSSLSWLQLLKICVGAARDQTRTHVSTAVKGTFGYMDPCYFYTGKLTRKSDVYTFGVVLFEVLSGRKAVDTSFDEEQWGLAAWAQHLIKEGKINQIIDPRLIPQISRKCFKEFASLAGRCLHTLPKHRPTMAEVVVKLESILSQERELPNSVVDDEGFIYKLKSLVIGKLVVAAIGSKSDFIAHPKPIVAENNAARRKNPYRSFRALSYTELVSPTNGMKVYDKRRPLGKQNLVEWALPLLADEVNLSIFIDPRLQNIDYPPKEAFKFAQLISNCLQAKQDKRPSMEYIAQDLHYCYPNEIKNSLPLKSQT >cds-PLY79540.1 pep primary_assembly:Lsat_Salinas_v7:1:33333002:33335514:-1 gene:gene-LSAT_1X29400 transcript:rna-gnl|WGS:NBSK|LSAT_1X29400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLTPIMEINQASHNRWTALVQILECQHVLQTKKGSSYKRLMLTDSEGLKFTAIIFSENLNYYARTFTQYRRYRISNVFVIPCDPRYAVSLYGFSWVLNKRTLVQEHPDRNPVNLPCTYEFKPFTRLHEHAESEHLQTISLTTKPNSGLLINPPVRDDLQLRTWYNNNRKEINDLLSVTNYRRNDFLLPYPAETNISSIVSATSHFDTMKTAWVKGTLSLPEQERNLSYTACSNCFKSIEADTTWIVTCPSCHIESEIQQMSRLTVLIADESGVMKANLHTPELEKFIHFSPKDVQISEETSQTLCNSIATAIKSVYIVAFVRAYEVHFQGTTDIKVNIVKAYKVNDRAAVSNESDNMVHRSNIGEGTSTTKNVSSLAATVPLPSAGEIEKPLEVKNSKQVPVIYLLPKNKPEPILMAKHLVPEIPSSSSSPIEQKVSESVPPLALPVKEDVPRESNPRPSKKIK >cds-PLY61780.1 pep primary_assembly:Lsat_Salinas_v7:8:77117321:77127485:-1 gene:gene-LSAT_8X55460 transcript:rna-gnl|WGS:NBSK|LSAT_8X55460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRFLGGFVLPVLLLTAALLNWSLISLGNLLAALLILFTPPKRGFQSRGRTLLWIIILFSFLVILSQTTFLITWAIECGGCNAEEPWWAKLIGFMIVTTWRSPIVIYLLIVQLLVAFTAFIELHESRLGLFIHTTSFFGSSSSAFDRLGYFDDMEMEGSHLKVAFCLLLPAVQLVVGISNSSWISLPFFVCSCVGLVDWSLTSNFHGLFRWWRYLWFYAGLNILLLYIYQLPLDFPNFIATIADFIGLYKISTTSEWTQFCSAASLIIYCFMLSFVKHDLEEMDSIMSTSEGNITEHLLPLKDSFFVRESRSGVRHTNLLVRGAVFRTFSINFFTYGVPVSLFALSLWSFYFASVCAFGLLVYVGYIIFAFPSLFQLHRLNGLLLIFILLWAVSTYIFNVAFAYLNFELGKDMEIWEMVGLWHYPIPGFFILAQFCLGVLVALGNLVNNSVFLYISDEDHEASNGDANHEVKEETKVLIVATIAWGLRKCSRAIMLVLIFLIAMKPGFIHAIYMIFFFLYLLSHNISNKVRHVLLLLCELHFALLYSLQITLVSQALEKSGSITMEVLSQLGLREHGSSWDFLEIALLACFCAIDKHGYKMLFSFSAIVQHTPYPPVGFSILKAGLNKSVLLSVYASGTRINDDTPSQERKVASYLSAIGQKFLSLYRSFGTYIAFITILVTVYMASPNFVSFGFIFLLLFWITGRQLVQKTKRRLWFPLKAYAITMFILIYSLSIFPSFEIWVSENIDLYTILGYNPQASLLKNVSEPLAITIVMQLYSYERRQSRFRTVEDPNRIQFGIIGFIRRLLIWHSQKILFVAMFYAALSPISAFGFVYLLGIVLCSLLPKASRVPSKSFLAYTGFLVTIEYLFQMLGKRIQMFPGQKYYDVSVFLGLKVYEPGFWGVEAGLRAKVLVVAACTLQYNVFHWLEMMPSWLSGVGQWEEPCPLFFSEEDVLPVVSDVSARNLEKTKIKTSNSWPGVDDSGKKTGIPESSSKRNLLGYLWGSNESHKWNKKRVLALRKERFEMQKTSLKVYLKFWMENMFILFGLEITMIVLLLTSFALLNIVSMLYIASLAACVLLGRQFIRKAWPLFVFMFATVLVLEYFATWRNTIPETATRCHDCWRSSDLYFSYCLDCWLGLTVDDPRVLSSYFLVFMFACFKLRADRFGSFSGSFTYRQMLSQRKNAFVWRDLSFETKSMWTFLDYLRLYCYCHLLDLVLALVLITGTLEYDILHLGYLAFALIFFRMRLTILKKKNKIFRWLRIYNFLVIVLSLTYQSPFIGSFNEGKCDTVDYIYDFIGFYKYDYGFKITSRSALVEIIIFILVSLQSYMFASEEFDYVFRYLEAEQIGAIVREQEKKAAWKTAQLQYIREAEEKKRQRNLQVEKMKSEMLNLQIQLHSTEPPSVPVPVAGGGGDGSPHWEGLRRRRSIDREHSGDPMFPFESLSAMQSPRKISLSEITEEDIPEIEKKNKGKKERSLISAVQLIGDGVSQVQSIGNQAVTNLANYFNIPPQDSGSGSDSDVPVPVPVIHEAEPQDEDFTGFDRSGSVESERSRMSSESPSIQIGQIFRHIWAQMRSNNDIVCYCCFLLVYLWNFSLLSMVYLAALFLYALCVNTGPSYTFWVVMLMYTEFYILIQYMYQIIIQHCGFTIQSAFLTEWGFPAKKITASFVISLFPLFLVYLFTLIQSSITAKDSGLVSSTEFSSLTTGIVNQNEFLSSSNWKEKARKIVLPAKNLAILIIRNCTRYWKSLTQEAESPPYFIQLSMDVCLRPEDGIQPARIESGLNQALRIVHDARCKEPNPETCPCPSVLQVQSIERSTEDSNIAMAVFEVVYASPRDECTLTERYKSLTPAADVAKEIIEAQSKDIFKLVGFPYPIISVIGGGKREIDLYAYTFGADLVVFFLIAIFYQSVIKNKSELLDVYQLEDQFPKEFVFILMAVFFLIVLDRIIYLCSFATGKVIFYICNLLLFTICVTNYAWNTKPSQQNAAGFALRAIFVTKAISLAFQAMQIRSGVPHKSTLYRQFLTSSVTRVNYLGYRLYRALPFLYELRCVLDWSCTTTTLTMYDWLKLEDINASLYLVKCDTDLNRAGHKQGDKQTKVTKFCNGICLFFILICVIWAPMLMYSSGNPTNIANPINDASIQFDIKTEGGRIMLYQTTLCAITPWTNVSSSENLDPHGYLESYNENDIQVICCQGDATDLWLVPEVVQTRFSQSLAKGMDMKFNWILNRERPKNKEVVMFGQTLDQSDLPDPSQVKRVLDGFSNSFRVNNTYPRYFRVTGSGDVRPFDQEANGVDGMLVLNRGESEWWSFFDISLLNSSVCGDLMGPMAIVVSEETPQGFLGETLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLIAICEDIYAARAEGELGVEEVLYWTLVKIYRSPHMLLEYTSLD >cds-PLY76328.1 pep primary_assembly:Lsat_Salinas_v7:5:296762610:296763465:1 gene:gene-LSAT_5X159661 transcript:rna-gnl|WGS:NBSK|LSAT_5X159661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSENTNQKNQQALFHLWKGEVLLDGENIKNLKLEWLMSQIGLVTQEPTLLSLSIMDNIAYGRDATSFQIEDAAKTAHAHTFISSLEKGYDTQVGRAGLSLTKEQKIRLLVVRAVLSNPCILLIDEVTSGLDFEAERSVQEALDLLMLGRSTIIIARRISLIKNADFIAVMQKGRLMEIGTHDELIASDGLYAELLRCEEAAKLPKR >cds-PLY84849.1 pep primary_assembly:Lsat_Salinas_v7:1:59888156:59890659:1 gene:gene-LSAT_1X52741 transcript:rna-gnl|WGS:NBSK|LSAT_1X52741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 38 [Source:Projected from Arabidopsis thaliana (AT3G53110) UniProtKB/Swiss-Prot;Acc:Q93ZG7] MSDTASSAATAAATASSTTTEASPITKTSVVGRWADEPDDVVEEPEATSTSSATDGIILDSLAIDESKKVNNFLDDPEDANIQAVTSGETPYTSAVRFEDLNLSPELLKGLYVEMKFERPSKIQSISLPMILTPPFKNLIAQAHNGSGKTTCFVLGMLSRVDPKLGVPQALCICPTRELAIQNMEVLLKMGKFTGITSELGLPADKANYMPISKRAPITAQVIIGTPGTINKWIAAKKLGTSQLKILVFDEADHMLAEGGFKEDSVRIMKEIVRWSPKCQVLLFSATFNENVKAFVSKIVKDLFKQEYNQLFVKKEELSLDSVKQYKVNLPDELSKILVIKDKIMELGQKVGQTIIFVKTRKSAGMLHDALSGYGYEVTTIQGALTQEDRDKIVKEFKDGLTQVLISTDVLARGFDQAQVNLVVNYDLPIRHDHQSEPDHEVYLHRIGRAGRFGRKGAVFNLLCGERDNMIMEKIERHFNHYVTEVTSWTDDEQFEDALKKAGLM >cds-PLY97683.1 pep primary_assembly:Lsat_Salinas_v7:8:6076008:6077484:1 gene:gene-LSAT_8X5441 transcript:rna-gnl|WGS:NBSK|LSAT_8X5441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYDGKPWSFISGIKGNIGVNYGTVADNLPPPAQVARFLLDSTIISRVKLYDTNQEILQAFAHTGIAITVAVPNNLIPNLTNLKFSQQWLKTNIAPHVPATNIVRIVVGNEVLSTTDDSVVSSLVPAMQTLHAALVGEDLHRRIKVSTPHSLSILSSSSPPSSGRFKQGNIVQVVRQLLGFLRATDSPFMINPYPFFGYSGNTLDYAMFRPNSGVFDEMTQRKYTNMLDAQLDATYSAMKQLGFGEVEIVIAETGWPSRGDPGQISVDTESAREFNGNLIKHVMSGLGTPLMPNRTFETYIFALFNEDLKPGPTSERNFGLFHPDMTPVYNSGIFRHKAGSKSPSNWNPERGVPVAGNNGGGGKTWCIPTSGASEEVLQMNIDYVCGLGFDCQPISKGGRCFYPDSVRAHAAYAMNVYYQATGRHDYDCDFRSTGAITSSNPGINLCLYSP >cds-PLY98056.1 pep primary_assembly:Lsat_Salinas_v7:2:20106421:20107692:1 gene:gene-LSAT_2X11421 transcript:rna-gnl|WGS:NBSK|LSAT_2X11421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDQIPFHIQEDIIKRLPVKPLLQFRSVSKAWKSLIDSSEFIAAQSALHTHPQHLLVKYEDLVETEDKYVSFIDDDTFLQQRFVPTLPFSVKSLNLSTIVGSSHGLSCLYGYHWGPETSHRSLKSPKTVLWNPSVRKSVVVDMPNLVCGGEEIAVGFGVCPVTSDPKIVEITQLRSWVDLESETSDFWKAKVYTLSSRKWRNISSNLPSKSIRVTWSQVVIDRFIYWCAYHMLTIHTYNLIMSFDMTDENFRVVDLPDSLEQHSQTELSVSKLRESLAILQYNIDTDTDTDTDKHVCSVWMMEHGV >cds-PLY69829.1 pep primary_assembly:Lsat_Salinas_v7:6:1945933:1946579:-1 gene:gene-LSAT_6X660 transcript:rna-gnl|WGS:NBSK|LSAT_6X660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTYVQIADLLNAISERFDWENIMQGDNIFGLKQGKQSIPLEPGGQFELSGAPLETLHQTCAEVNSHIYQVKVVAKEMGIGFIGIGFEPKMERNDIPIMPKGRYEIMRNYLISAR >cds-PLY77405.1 pep primary_assembly:Lsat_Salinas_v7:9:200051328:200053910:1 gene:gene-LSAT_9X123920 transcript:rna-gnl|WGS:NBSK|LSAT_9X123920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRFTSMFSGLTRSFSTTKKVKSSGDHGGKETSETMVKDAKKKDLILRSSGCINVHNLASVCSRRGEKGVNQDCCIVWEEFGGQEEMTFCGIFDGHGSWGHYVAKRVCESIPSTLLCNWQEEMMQVEASLDLQPVKVKKLDRFHVWKNSFIRTCASVDHDLRECRKFDSFHSGTTALAVVKQGELLVIANVGDSRAVLATTSDDGCLVPVQLTVDFKPNLPHEAERIVECKGRVFCLEDEPGVHRLWLPNEESPGLAMSRAFGDYCVKDFGLISVPQVTERRITRKDQFIVLASDGVWDVVSNEEAVEIVSSTVDKAKSAKRLVEFAGRAWKRKRKGFASDDISAICLFFNNGSPSSQQIHPLASSDS >cds-PLY65396.1 pep primary_assembly:Lsat_Salinas_v7:1:60779271:60780230:1 gene:gene-LSAT_1X51620 transcript:rna-gnl|WGS:NBSK|LSAT_1X51620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNTEAAKQINLHGNLITASNDENLTNIFHQIRTSKSPAVINFGASWCRVCAEILPSFNQLSNKFPKLSFVYADIDECPETTQHIRYTPTFHFYRDGERVDAMFGAGQERLHDRLWLHS >cds-PLY91346.1 pep primary_assembly:Lsat_Salinas_v7:8:45220070:45221516:-1 gene:gene-LSAT_8X34501 transcript:rna-gnl|WGS:NBSK|LSAT_8X34501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKKASEAFKDFSSLCVVNLAPRSSLRNPDIEAAVIKATSHNDSHIDYHSAQRIFAWIRVSSHYARPVMSAISTRMEKTRSWTVALKGLMLLHGVFSCKVPAVQKIGRLPFNLSNYRDRNPNCSHHEEFIRAYYKFLDKKSSFLFNHSQERKDQGRTRRGKETKEKPKQSSMMKDLVWLENLQELLDILLKIKPQKETMMNVLVLEVMDCIMIEIFDIYIRICNGIAGVLVRIYSAATSEAGRALSILQKAAVQGEELSRYVQFSKDFGVLKASECPKIVNIRPEDIRALEQVINSDAFQQRSEQSSPKEEDKSMMSEEDKDDDAPNDSTSDSETTITDDSEDFDQEQEKRN >cds-PLY76865.1 pep primary_assembly:Lsat_Salinas_v7:3:5760691:5766730:1 gene:gene-LSAT_3X3661 transcript:rna-gnl|WGS:NBSK|LSAT_3X3661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMSDSDTSSQGGGEYKNFRQITRDRLLYEMLRTARRPDSKSNWKVLIMDKVTVKIMSCSCKMADITDEGVSLVEDINKRRQPLPTMDAVYYIQPTKENVVMLLSDMSGKTPLYRDAYVFFSSSVPKELVNYIKKEQSIKSRLRAMKEMNLEYFAIDSQCFITDNTMVLEELYGNEVMTRKGDECLNVMANRIATVFASLLEFPFVRYRAAKSLDPTTMTTIRDLIPTKLAAAIWNCLIKYKALKNFNFPQTETCELLILDRSIDQIAPVIHEWTYDAMCHDLLNMDGNKYVHEVASKTGGLPEKKEVLLEDHDPVWLELRHSHIADASVRLHDKMTSFVSKNKAAQMHGSRGGGELSTRDLQKMVQALPQYSEQIDKLSLHVDIADKINKIIRDLGLKEVGQLEQDLVFGDAGTKEVINFLRTHPLARLPQDDMSAVYNMRFLEGSSDSKKNSLAAFSLKFDVHKKKHGLRKDRTDEEAAWQLSRFYPMIEELIEKLSKNELPKSDYPCMNDPSPTFHGRTQSEPAKAVEPHAAAHSMRSRRTATWARPRDSEDGYSSDSILRHASSDFKKMGRRIFVFIVGGATRSELRVCHKLTTKLKREVVLGSSSLDDPPQFITVSNLSLFLQLF >cds-PLY87511.1 pep primary_assembly:Lsat_Salinas_v7:8:96428699:96430669:1 gene:gene-LSAT_8X67640 transcript:rna-gnl|WGS:NBSK|LSAT_8X67640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANTTASDSEKKSITHVIFDMDGLLLDTEKFYTEVQEIILSRFNKTFDWSLKAKMMGKKAIEAARVFVEETGISDSLSAEDFLVERESMLQSMFPTSELMPGASRLVKHLHSTGVPICVATGSHKRHFELKTQRHGEIFSLMHHIVMGDDPEVKQGKPSPDIFLAASRRFEGGCVDPSKILVFEDAPSGVLAAKNAGMSVVMVPDPRLDTSFHETADKVVTSLLDFNPSEWGLPSFTDSET >cds-PLY84428.1 pep primary_assembly:Lsat_Salinas_v7:4:289698872:289700138:-1 gene:gene-LSAT_4X147121 transcript:rna-gnl|WGS:NBSK|LSAT_4X147121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLMFTEAKFFLQKLVMKQLTDLTVDFLERVAVDDDDGGREMAKEVLAFPPSWDDLLSHPSFENTCFNSYAGDMNESYGFVGQGVRIRTRIPRSGFDGSPENQEFGRDASKLDYFSVAAFDVYGDDHLTGAASYGGGGGRRDGGIVLAPEQERGGATDVAGEAVVQRLEQERGDRGDSGGRRSRRLMMGTRLEEERGNATAGAGGGDRTREIPGGIFGNSGGDGGEVIVKRLKQEGATVAVPVVVVQAVGLMMMVIVIRMVLVMVKKEVWW >cds-PLY74702.1 pep primary_assembly:Lsat_Salinas_v7:5:26110906:26112607:1 gene:gene-LSAT_5X13221 transcript:rna-gnl|WGS:NBSK|LSAT_5X13221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKSTIAPMLSTLYPQKLFPIKLASFCSALPRSPPTVRQNASTNIPTLETPSYILPPASAYVHLPFCRKRCHYCDFPILALGSSSTETADDDPRISNYINLLIREMEATQTNFNQHPPLETVFFGGGTPSLVPPRLVAKVLDTLRSKFGLRLDAEISMEMDPGTFDAKKLKELMGLGVNRVSLGVQAFQEELLKACGRAHGIDEVHEAIEIINSCGVGNWSLDLISSLPHQTQEMWEESLKLTVEANPNHVSVYDLQVEKDTKFGSLYIPGEFPLPNDTQSAKFYRMASKKLSEANYGHYEVSSYSKNGFECKHNYTYWINKPFYAFGLGSASYINGTRYSRPKKLKDYTDYVKNLEGGLVDLSQEGDDVDEWEMAMDIVMLSLRTSKGLNLKSFGDDFGSEVVVELCKVYEPYMRSGHVLFLDDERREIKEDEFSSLVLDDEKLENEIGFIRLSDPDGFLLSNELISLAFGVIDP >cds-PLY86974.1 pep primary_assembly:Lsat_Salinas_v7:5:267028316:267029498:1 gene:gene-LSAT_5X138161 transcript:rna-gnl|WGS:NBSK|LSAT_5X138161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSIFILDRRHRIKKTPDYFCSFKLLVVIVGKTHQTPPSCRNPAPPNAIVVSASSWSLVEHPPTEIKQQGCPHPPTTATCRCPSTVGSGRRRREGGVRIFSSHPDAD >cds-PLY77695.1 pep primary_assembly:Lsat_Salinas_v7:9:19142273:19145013:1 gene:gene-LSAT_9X14160 transcript:rna-gnl|WGS:NBSK|LSAT_9X14160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEDEGIPLRQRPEWSDITPVPQDDGPNPVVPIAYSEEFAEIMDYFRAVYLADERSHRALQLTSEAIHFNAGNYTVWQFRRVVLETLNADLHDELEFVEHLASKNSKNYQLWHHRRWVAEKLGSEAAGRELEFTKNIFLNDTKNYHAWSHRQWVLQNLGGWEDELAYCDKLLEDDIFNNSAWNQRYFVITRHPGLGGIEGIRDSEVNYTIKAIITKPENESPWRYLRGLYRNNMKAFITDSNVSTVCLKVLTSKVNCVFALSLFLDLLVHGFEPTQDLRIALESLIPESNNFDSGVAAGVCSVLEMMDPMRVNYWRWRKTSLSSHVEEGVKNLSVH >cds-PLY68365.1 pep primary_assembly:Lsat_Salinas_v7:7:83149115:83150242:-1 gene:gene-LSAT_7X57901 transcript:rna-gnl|WGS:NBSK|LSAT_7X57901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNTPNLKFPTKTVKCLASLHHALTCMCHWTGTILYSFLRALLLRVDPFWLQLCYFVTLFMLGYLSLSILEPRSPMNRPKSYDLLFTAVSAVTVSSMATVEMEVFSNTQLFCLAILMLLGGEVFTSLLELQIQIFKLSERKSASCTPSSNMNVENGLMSTNSNIDLKYKSMKFLGITVLWYFFTVQVIGYLLVSLYVGLVVSIKEVLMNKKLNLQVFSIVTTISTFTNCGFLPTNESMMVFKNNLGLQMILIPLVLLGNTLYPVFLRLVLLLLGKISIREEMKYVLENEDELRYRHLLSGVDSWYLSLTSIGFIFIQFVLLISIGWKSQAMHGLNTLEKVVGSLFQVTNTRHTGESVFDLSLISPAIIVLIVTMM >cds-PLY62270.1 pep primary_assembly:Lsat_Salinas_v7:5:163461795:163465151:1 gene:gene-LSAT_5X72101 transcript:rna-gnl|WGS:NBSK|LSAT_5X72101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLASLGLISLLLVGVLAVDDDGSENGFGGCNCEVEGFFGYRNIMETQRVSDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFQFIAFIVLCGMTHLLNGWTYEPHPFQLMLALTIFKFLTALVSFATAITLVTLIPLLLKVKVREFMLRKKTWDLGVEMGMIKKQKEAGWHVRMLTQEIRKSLDRHTILYTTLDKLSEILDLQNCAIWMPDNTKTVMNLTHQLKGGQSSTIIPIQNPEVQEIKQSEVVKLLDHESELGTLSSGGSEPPGAVAAIRMPMLRVSDFKGGTPEMIQACYAILVLVLPGGQIRSWTGPELEIVKVVADQVAVALSHAAVLEESQLMRDKLAEQNRALQQAKHDAMRASQARNLFQTVMSKSLRKPMHSIVGLLSLIQDDNLMNQQKVLIDSMVKTSNVLSMLIDDVMDDSSKERFPLEMRSFRLHSLIKEAAHLAKCLCVYKGYEFIMDVDKSLPDNVMGDERRVFQVILHMVGNLLNRGNGGGGMVLRILKESGSYGRNDQRWASWRSNSGDGYVSVKFEIGINDHDTKLERSFADERIRSGGVEQSLSFGMCRKLVEMMQGKIWVVPNPVGFDQAMSLILRFQLRPSIVIGISEAGESSDHNPLSNSIFRNLQVLLADEDDMNRAVTRKQLEKLGCIVSTVASGSDCIMALNQPVSSYQIILLDLHMSDVDGFEVAARIRKSRSRNWPLIVALTASGDADVWERCLQMGINGVIQKPVVLQGISDELRRVMVHANKVH >cds-PLY92232.1 pep primary_assembly:Lsat_Salinas_v7:6:74220566:74221303:-1 gene:gene-LSAT_6X54141 transcript:rna-gnl|WGS:NBSK|LSAT_6X54141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLRKRTPFLNSSNFLLCIKRERFRFCTFEFDKCIACEVCVRVCPIDLPVVDWQLETDIRKNDCLIYCPTIFFLSMTKEYEPSTYDHHELNYNQIALGCFAMSVIDDYTIRPIFYLP >cds-PLY76736.1 pep primary_assembly:Lsat_Salinas_v7:7:62152509:62153705:-1 gene:gene-LSAT_7X43920 transcript:rna-gnl|WGS:NBSK|LSAT_7X43920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSPPTTEPNWECVDHPLHAIGFEIDELTPHKVTGHLQVTEKSCQPFKVLHGGVSALIAESLASKGAVLASGWKRVAGVQLSINHLKRADIGDLVFAEATPLHVGKTIQVWEVRLWKIDPHNSESRLLISSSMVTAIPDNLKDGVENFKKYAKL >cds-PLY73890.1 pep primary_assembly:Lsat_Salinas_v7:3:38930575:38932898:-1 gene:gene-LSAT_3X30120 transcript:rna-gnl|WGS:NBSK|LSAT_3X30120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta 2 subunit of 20S proteasome (20S proteasome beta subunit) [Source: Projected from Oryza sativa (Os05g0187000)] MDVPKTGFSFDLCRRNDMLAKKGLKPPGYLKTGTTIVGLIFENGVILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDNISSQLKLHRYHTGRESRVVTALTLLKSHLFRYQGHVSAALVLGGVDVTGPHLHTIYPHGSTDTLPFATMGSGSLAAMAIFESEYREGLTRDEGVNLVTKAICSGIFNDLGSGSNVDVCVIEKGKKEYLRNHLTPNPRTYISERGYTFSKKAEVLLTRITPLKELVEVVQVGGDAMEE >cds-PLY91884.1 pep primary_assembly:Lsat_Salinas_v7:8:202969262:202971863:1 gene:gene-LSAT_8X129360 transcript:rna-gnl|WGS:NBSK|LSAT_8X129360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVRRRSSLKQAATKGKTMAEDQYNDQIFNKATDKKVVDTVVPLPMGISNGVFFTVFFSVVYFLLIRWREKIRTSTPLHVVTMSEMAAIVLFVASFIYLLGFFGMSFVQATPYSDDEEEELEVDETEMVRKEDTRTTPCGAALDCESDVVVKQVVKKELVFVPTDTTTVTEEDEEIIKSVVSGKTPSYSLETKLGDCKRAAFIRRVALERITGKSLDGLPLEGLDYESILGQCCEMPVGYVQIPVGVCGPMLLNGKEFSVPMATTEGCLVASTNRGFKAIYASGGATAILLKDGMTRAPVVRFGTAKRAADLKFFLEEPLNFDTLASVFNKSSRFGRLQKIQCAIAGKNLYIRFTCSTGDAMGMNMVSKGVQNVLEYLQADFPDMDVIGISGNYCSDKKPAAVNWIEGRGKSVVCEAIIKEDVVKKVLKTNVASLVELNMLKNLTGSAMAGALGGFNAHASNIVSAVYLATGQDPAQNIESSHCITMMEAVNDGKDLHVSVTMPSIEVGTVGGGTQLASQAACLNLLGVKGANRESPGTNARQLAKVVAGSVLAGELSLLSAIAAGQLVNSHMKYNRSQKDLTTKA >cds-PLY74080.1 pep primary_assembly:Lsat_Salinas_v7:9:11927648:11929252:1 gene:gene-LSAT_9X8561 transcript:rna-gnl|WGS:NBSK|LSAT_9X8561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQLQVLHALDLAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHVEGHPNPGTLPPQVAAAVNSVAFCGTLTGQLFFGWLGDKMGRKKVYGMTLLIMVICSIASGLSFSNDPKAVMITLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILVGGIFAIIVSSSFKAKYNVPPYVTDEFRSTPHQADYIWRIILMFGAIPAGMTYYWRMKMPETARYTALVAKNAGKAAADMARVMQVDIEAEQKKVEEISEKDSNSFGLFTKQFLRRHGLHLLGTTTTWFLLDIAFYSQNLFQKDVFSAIGWIPPAKTMSAIEEVFRIARAQTIIALCSTVPGYWFTVAFIDRMGRFKIQLMGFTMMTIFMFALAIPYNHWKEHENRIGFVVMYSLTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAASGKLGAIVGAFGFLYLAQDKKKPDVGYSPGIGVKNALIVLGVVNFLGVLFTLLVPEAKGKSLEEMSGENEDDYDAVKPEDVSKVAAT >cds-PLY93802.1 pep primary_assembly:Lsat_Salinas_v7:6:145904978:145907653:-1 gene:gene-LSAT_6X88321 transcript:rna-gnl|WGS:NBSK|LSAT_6X88321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGCLECLLKLLNFLLTLLGLAMVGYGVYMFIMYKNAKDDWAPQHDELIQLGRPMLMAITLSSNNIFDNLPTLWFIYLFVGVGAILFVISCFGCIGAATRSGCCLTCYSVLVILLIMVEVGCAAFIFFDKSWRSKIPDDKTGDFNMMYGFIEKNWNICRWVALGAVVLQVLVFLLALMVRAANSPEDYDSDDEYIAGPRQQRQPLIGRQPPPAAGVPVPGTLDSRPSRNDAWSARMREKYGLDTSEFTYNPNESNRYQQVNVQPTEEKSRCTIM >cds-PLY83946.1 pep primary_assembly:Lsat_Salinas_v7:8:35455329:35457411:-1 gene:gene-LSAT_8X28340 transcript:rna-gnl|WGS:NBSK|LSAT_8X28340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGKSSNNTAGKPIRCRAAIARKPREPLVIEEVIVAPPKHREVRIKIICTTLCYSDVTFWKLEHPPAIFPRILGHEAMGIVESVGEGVHEVVEGDTVIPIFLPDCGECTDCLSQKSNLCTKFPFKVSPWIDREETSRFTDMNGETLYHFLFVSSFSEYTVVDIAHITKIDPATPPNRACLLSCGVSTGVGAAWKTANVEAGSTVAIFGLGAIGLAVAEGARLCGAKRIIGIDVNQDKFEIGKKFGVTDFVNSRNIGDKTVSQVIIEMTDGGADYCFECVGLTSLVHEAYAASRKGWGKTVVLGVDQPGAMLSFSSFEVLHSGKTLMGSLFGGVKPKSDIPILIKRYMDKELQLDEFVTHEVEFDDINKAFDLLLEGKSLRCVIWMKK >cds-PLY92182.1 pep primary_assembly:Lsat_Salinas_v7:6:74764988:74765167:1 gene:gene-LSAT_6X53880 transcript:rna-gnl|WGS:NBSK|LSAT_6X53880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSSKEPPAETGLTSKAFESLKIGSTTIIVEAPKMLKTTTSLPCLRVNSVLVKADDVGR >cds-PLY81045.1 pep primary_assembly:Lsat_Salinas_v7:6:132090915:132092378:1 gene:gene-LSAT_6X80261 transcript:rna-gnl|WGS:NBSK|LSAT_6X80261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGVVFAFAVGLLGVYLTLPDSDYSFLKLPKTLEDLHILRNHLERYTSDYTVQVLVGYCTVYIFMQTFMIPGTVFMSLLAGSLFGVLRGVALVVFAATAGASSCYFLSKLIGRPLILSLWPDKLVFFQDQVAKRKDGLLNYMLFLRLTPTLPNMFINVASPIVNVPYHTFLMATSIGLIPAAYVTVKAGITLGELQSIGDLYDIHSIVTLFLIGIASVTPTLITKKKES >cds-PLY79918.1 pep primary_assembly:Lsat_Salinas_v7:8:17870099:17873962:1 gene:gene-LSAT_8X12460 transcript:rna-gnl|WGS:NBSK|LSAT_8X12460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05740) UniProtKB/Swiss-Prot;Acc:Q9FFK3] MGAILRIMAEAHEAAETAEPLISSGSDIGDDKEKDKGQPSQLEALNKFLDSTKAQGVTEDKGQPTQLDMLNKLLNKDDVEAKPKEVTEGQLAQLESLNNILNTNDTESKTKGLSEDADKIEVTSGSPLPGVKGGVLFKGNLRGQAAVTYKKIEKRLHETFGDQYKLFLLINPEDDKPVAVVVPRKTLQPETTAVPEWFAAGSFGIVTIFTLLLRNVPALQSNLLSVFDNTEVLKEGVSGALVTALILGVHEISHILVAKEAGVKLGVPFFVPSWQIGSFGGITRILNIVPKREDLLKIGAAGPLAGFSLGLLLLLFGFFLPPADGIGVIVDASVFHESLLAGGIAKLVLGDVLKEGATIAVNPLVIWAWAGLLINAINSIPAGELDGGRISFAIWGRKAASRFTAASIVLLGLSSLLDDVAFYWVVLIFFLQRGPIAPLAEEISEPDNKYVALGITVLVLSLLVCLPYPFPFTEAITTTM >cds-PLY83012.1 pep primary_assembly:Lsat_Salinas_v7:5:49970793:49973589:1 gene:gene-LSAT_5X24600 transcript:rna-gnl|WGS:NBSK|LSAT_5X24600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFWQKARTFAEDAAKRSQELTKEAAKRSQELTKEAAKRSQEFTIGSSKLSDIVLEASKRSKEIAAEASKKVDFVKVEALKRADQIKSQIPSTSAAISQLVVDSSATAQPGGTSPADLEKFGITEELREFVKEITINTFRDFPLEVSNGEVQLWCTEKYEIYDVVYLPEDVDDSQISDIPTISNVRQDLTKWQEIHAKLVLSSVKEISKLRYDLCPRVMKERKFWRIYFLLVNTHLTPYEKRHIEEEEKKKCGEKVKEDDAATESVSSKTEEAEKQKTKTATSKTADQDLDVFLLGDLGDSDDGQDDKNDDGLDDDDFDKL >cds-PLY76935.1 pep primary_assembly:Lsat_Salinas_v7:8:264123920:264124168:-1 gene:gene-LSAT_8X153600 transcript:rna-gnl|WGS:NBSK|LSAT_8X153600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLEFLSFKCERVHHNEATKHKLNQVNECAFDVENKDVPKPQKIRLQVKYCRLYKERINPVAVGGLIYAFVDTSAGSRQT >cds-PLY94836.1 pep primary_assembly:Lsat_Salinas_v7:2:180865760:180868731:1 gene:gene-LSAT_2X103381 transcript:rna-gnl|WGS:NBSK|LSAT_2X103381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLDSVTPAPTTASSLAPGFRFHPTDEELVRYYLRRKICGKPFRFDAISDVDVYKVEPWDLPGLSRLKSRDLEWYFFSVLDKKYGNGSRTNRATDKGYWKTTGKDRSVYHRSQLVGMKKTLVYHIGRAPKGERTNWVMHEYRLIDQELEKAGIIQDSFVLCRIFRKSGSGPKNGEQYGAPFVEEEWEDDELVMVPKQEFAEDFPVDDDDAYLDANDLEQILGSDTPDKVAPLPLDLHDGDNLSSADVSTEMIEDHPQKLLMDEGENQHQAEKEDGPKLFDLPVQNEMDPKSVKHEYIGETNNASDFDVDYLLDEPFFDASTGDFQFDASSFLEADDLKNEVKTEPGLEMFDEYTSFINPTNNLEYTFDSIDNEDILPESSLILENANEDTHDAIEATQQFFEGQNCNIAPAKVEQPDLATGNNVASSSGQEHQDSAPHEANEASQQPFEEFAYPFLQRASYMLGNISAPPAFASEYPSKYLAAAASQASTSVRVTTGMIRIRDVSFTGTKLDWSLGKNGHLDIVLSLRLDQDQNQNQSVVGKGHSGVSRSWFFCLFLCIMVVSLSSQISSLICPRSYMS >cds-PLY83220.1 pep primary_assembly:Lsat_Salinas_v7:9:122420959:122422921:-1 gene:gene-LSAT_9X77261 transcript:rna-gnl|WGS:NBSK|LSAT_9X77261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTNWFGKQEAITTLNVAYKILWSSMLELEDTVLGQIKAPFTKYFPNFDQPFEEVVYPKGDVDAVFINEEDVTELIKVPCVLHMDPIRGSHTGLKVLMQSYLKEEWKGRQQEASEDISSRFDNLHFISLELPQQPNSFDCGLFLLHYVELFLEQAPINFNPFKITKSVHFLNTDWFPPADASLKRVVIHSLVYDLLQQPYDEVEAFYKVFSQVSAKRFLLAKFHINFDPYVNFDSPFVKLINMIVLLLSDSWILYFIGCLQL >cds-PLY63674.1 pep primary_assembly:Lsat_Salinas_v7:4:129740130:129741050:1 gene:gene-LSAT_4X81520 transcript:rna-gnl|WGS:NBSK|LSAT_4X81520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPWRIRATMEEIDIALRYEKVDLEMNLDNELHGDNNNSGHEYDDDSDSEDNVFLVDEDNLIDDVDVDMKYFHLNMTMT >cds-PLY82442.1 pep primary_assembly:Lsat_Salinas_v7:2:183329797:183331788:1 gene:gene-LSAT_2X105720 transcript:rna-gnl|WGS:NBSK|LSAT_2X105720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKVPAIGIDLGTTYSCVSVWQHNNVEIIANDQGNRTTPSFVAFNDTERLVGDSAKNQAAYNPTNTIFDVKRLIGRRASDEIVQKDMKLWPFKVEAGSDDKPKILVTYKGEEKEFSAEEISSMVLAKMKRVAETFLGSDVEKAVITVPAHFNDSQRQSTKDAAKVAGLEVLRMINEPTAAAIAYALEKRACEMNVLVFDIGGGTFDVSVISIDREGHIQVKATGGDTHLGGEDFDNRMVKHFVEEIKRKHKEDISSNQRALGRLRVHCERAKRIVSTAVQTRIDIDCLVNGKDFSLKFTRAKFEEVNMDLFNKCMEPVEQCLRDANMNKDSIDEVVLVGGSTRIPKVQQLLQELFNGKSLCQNINPDEAVAHGAGILAAQLSGMGDEAVQGLELIDITPLSLGFKVKGDLMYVLIPRNSPIPTKKEDTFCTSFENQTVVNFDVYQGERDKASENYLLGRLELSGFPSAPRGEVKFNACFEIDADGILNVSAREITSGVNKTIKITHNGSLSKEEIEKMIEDAERYKFEDEAHLKKVMSHIALDNFVSKVQASLKKMGLNEKDLKDLDHQIAETIEWLDENPDAEEGELEDKKTELYNLCTQKKLSHEAKASLNSLFDSVNFER >cds-PLY69399.1 pep primary_assembly:Lsat_Salinas_v7:5:301086784:301087335:1 gene:gene-LSAT_5X162381 transcript:rna-gnl|WGS:NBSK|LSAT_5X162381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEGTPSSEFFERSIIETFEFPMFTEMTPLRLLKETSRVSSLGSSHTFSLIVPFKHKYPRFEKLWISLGNDPVNWLLEADNHCNVRDVHPDKLFRKSGMLTIAELFSNLKTSKLQRLPRYFGIPSKTLLESKRKRSLLLVNGNNQLYMDEVRLLFLRSRNSIDEEELTKEEYKSMI >cds-PLY79309.1 pep primary_assembly:Lsat_Salinas_v7:5:169600488:169601462:-1 gene:gene-LSAT_5X74921 transcript:rna-gnl|WGS:NBSK|LSAT_5X74921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGHDSNAYSRYNIVVNRVKKLKGERSQEDFNLKFLNSLSPKWDTMHMIILQTIINLDTMSLFDLYAKRQQHEPKVNKLDQATPFDNQGLALGNSTPIANHSQNLIAHHNPIPNQFADQFANKGYGAYPYQSLSMAQQYNQNPYMSTQQPLQIANGYMANHQAFIGATMESPQINEEKEYEECLALLTKFNPNFKQFVNKTTWNFRAQSYQQPQQYYQNSGNFQRGSNNQQSFNSQNSNSESYNSQRMNTRVQDNKLKSHEDNEVIRCHNCQGENHFAKDYKMKNQEGQRRSILPSKNRVDQEAIKRQIFHGHGNSKRGSLGNR >cds-PLY94256.1 pep primary_assembly:Lsat_Salinas_v7:8:69634259:69638715:-1 gene:gene-LSAT_8X50380 transcript:rna-gnl|WGS:NBSK|LSAT_8X50380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPSAPAFSSQSWKYHVFLSFRGEDTHKTFVDHLYSALQQQGIYTYKDNETLPRGESIGPSLMKAIKDSQIAVIIFSENYADSSWCLDELECIMKCRDTKGQIVMPIFYDVDPFEVRKQKRKYGEAFDKHWLENKTKVESWRKALVDASNLSGWEPKHIANGHESRVIKEILDAISQRLQLVTSSANENLIGIGTRMQGLKSELQIESGGVRMIGIWGVGGGGKTTLASSIYDEISSDFDGCCFVENVREESSKNGLEKLQEEILSVVLKQKKVKVRRVEEGRRVIICKLRHKKVLIVLDDVDNLDQLKALAGAHDWFGEGSRIIITTRDEHILTAHKVNVIHNVSLLNNDEAIKLFCKHAPRGHRCIEDYGLLSEDVVSYAGGLPLALTVLGSFLCDKDIHEWRSALARLKEIPDTDIVEKLKISFDGLKPIEKELFLDIACFFRRELNEKTMEKLDACGFHPVIGIKVLIQKALITISDGVFDMHDLIQEMAHYIVRGEHPNNPTKHSRIWKKEDVLRIFDMDATMKLDKIEAIKFWDATDEESQHFVHVAANMKKLRLISLRTEESIETTRPLPENFPPRELCCLRLQGLKQKQLWEGYKYLPNLKNMELIALEKLIVTPDFDGLPNLERLTLTECYCLEEVHPSIGRLESLLVLCIIDASCLKFFPHITRLKKLETLIFLDCCQILKPSEFQQQNNSSIPHLQLDNGGKEVESYKESITNSLVTCWRCGLSNLRGVKCCLEEPCLPHNNIDLRFSYRGLSKLNLSGCDLGDEYIGSCVWELPNLQELSLAANNFSRLNFSLLKLPCLKWLNLAACFNLVELLDLPSSIAVVIADGCSSLESFGDISNCKWLWKVSLLGENKLYGDILLHYMLQGNAIEDHFISLTLEHQMIPKGVVVRGKTFTLNLPDNWYYDFCGFLVHIVTDIECPKVIIIITEEVDDEDSRFEALKESNEAIYPEYINGTTTSIGYVSFNSLKHTTWSNSSYNMISFSLEETYRNPDAVESYIGAALIPRKGKGDEVQTTDCSGFWDKERTIHEKTFRIQHDSKSPLKIIWHPFNWY >cds-PLY89156.1 pep primary_assembly:Lsat_Salinas_v7:3:20203508:20207992:1 gene:gene-LSAT_3X13441 transcript:rna-gnl|WGS:NBSK|LSAT_3X13441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWQFRQDLFVSWGKNPPESDLLRNVSRENLIWLDNVWLVNKDRFFRKVRNVSSNIQYDSTRSSFVQVRDSSQLKGSSDQSRDHFDSISNEDSEYHTLINQREIQQLKERSILWDPSFLQTEGTEIESNRFPKCLSGYSSMSRLFTEREKQMINHLLPEEIEEFLGNPTRSIRSFFSDRWSEFHLGSNPTERSTRDQKLLKKQQDLSFLRRSENKEMVNLFKIITYLQNTVSIHPISSDSGCDMVPKDEPDMDSSNKISFLNKNPFFDLFHLFHDRNRGGYTLHHDFESEERFQELADLFTLSITEPDLVYHKRFAFSIDSYGLDPKQFLNGVFNSRYEWKTTSLLVLLVLLPIFYEENESFYRRIRKKRVRISCGNDLEEPKPKIVVFASNNIMEAANQYRLIRNLIQIQHSTHRYIRNVLNRFFLMNRSDRNFKYGIQRDQIGKDTLNHRTLMKYMINQHLSNLKKSQKRWFDPLIFFSRTKRSMNRDPDAYRYKWSTGSKNFQEHFVSEQKSRFQVVFDRLRINQYSIDWSEVIDKKDLSKPLRFFLSKLLLFLSNSLPFLFVSFGNIPIHRSEIYIYELKGPNDPQFLESIGLQIVHLKKLKPFLLDDHETCQKSKFLINGGTISPFLFNKIPKWMIDSFHTRNNRRKSFDNTDSYFSMIFHDQYNWLNPVKSFHRSSLRSSFYKANQLRFLNNPHHFCFYCNKRFPFYVEKARINNYDFTYGQFLNILFIRNKIFSLCVGKKKHAFWGRDTISAIESQVSNIFIPKAFPQSGDETYNLYKSFHFPSRSNPFVRRAIYSIADISGTPLTEGQIVNFERTYCQPLSDMNLSDSEGKNLYQYLNFNSNMGLIHTPCYEKYLPSEKRKKRSLCLKKCVEKGQMYRTFQRDSAYSTLSKWNLFQTYMPWFLTSTGYRYLKFLFLDTFSDLLPILSSSQKFVSIFHDIMHGSNISWRILQKKFCLPQRNLISEISSKCLHNLLLSEEMIHRNNESPLISTHLTNVREFLYAILFLLLVAAYLACTRLLFVFGASSELQTEFEKVKSLMIPSSMIELRKLLDRYPTSEPNSFWFLKQLGDSLGGNMLLGGGPAYRVKSIRSKKKYLNINLIDIIDLISIIPNPINRITFSRNTRHLSHTSKEIYSLIRKRKNVNGDWIDDKIESWVANSDSIDDEKREFLVQFSTLTTEKRIDQILLSLTHSDHFSKNDSGYQMIEQPGAIYLRYLVDIHKKYLMNYEFNTSSLAERRIFLAHYQTITYSQTSCGANSLHFPSHGKPFSLRLALSLSRGTLVIGSIGTGRSYLVKYLAKNSYLPFITVFLNKSLDNKSQGFDNIDVDASDDSDASDDIDASDDILDMELELLTSMNALTMDMMPEDEDLLYITLQFELAKAMSPCIIWIPNIHDLDVNESNYLSPGLLVNLLSRDYETRNILVIASTHIPQKVDPALIAPNKLNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKXYSNKRICLISY >cds-PLY65774.1 pep primary_assembly:Lsat_Salinas_v7:5:273589692:273595784:-1 gene:gene-LSAT_5X142520 transcript:rna-gnl|WGS:NBSK|LSAT_5X142520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPGGPRPGNLPPNYNPNSIADNMQNLQLNRPNQPPNLGNGPRGPPPPFGQQPPPQSQSQPFPSPFSRPNRPGPPPPGVVPRGVMPPSTGPPPGTLPPFMAANRGPPGANPPPPSSASRPMPSGPFSSSPLTTGPPSLPPNAGVPPMSNGPPTQGGPQYPPAASMRPRPSTGPPPMPQTMSSSSSGGQPLHSFLGGPDNQPPRGPPPFSAPPRGMQMQPPSSGPPTFSAPPQNPPFGAQPWQMQSRQNTPPPPLMSPPSLSPGSVQPPRMFGMPPSLHNQQSMATISPAMGPSGNVVSGPSKIDPNQIPRPVPTSSVLLHETRQGNQANPPPPATSEFIVRDTGNCSPRYMRCTINQIPCTSDLLNTSGMQLALLVQPLALPHPSEEPIQIVDFGEGGPVRCSRCKGYINPFMKFVDQGKHFICNFCGFTDETPRDYQCNLGPDGRRRDADERPELCRGTVEFVATREFLVRDPMPAVFFFLIDVSMNALQTGATAGACSAISRVIADLPESPQTMVGIATFDVTIHFYNLKRALQQPLMLIVPDIEDVYTPLETDVIVQLSECRQHLELLLESIPTMFQNNKTADSAFGAGMKAAFLAMKSTGGKLLVFQSVLPSAGIAALSAREAEGRTNISAGEKEPHRLLQPVDNTMKTMAIEFAEYQVSVDVFITTQSYVDIASISVIPKTTGGQLYYYHPFSALSDPAKLYNDLRWNVTRPQGFEAVMRVRCSQGLQVQEYSGNFCKRIPTDVDLPAVDCDKSLMVTLKHDDKLTDGTECSFQCALLYTTVYGQRRIRVSTLSLPCTTMLSNLFRSADLDTQFSCFLKQAANEIPITPLLQVRDRVTDLSINILHSYRKFCATVSSSGQLILPEALKLLPLYTLALIKSIGLRTDGRIDDRSFWISYVTSLSVQLAIPLVYPRMISVHDLLSKETDGSGSVIPPPIPLSSEHVSDEGIYLLENGEDCLVYVGSSVDPDVTQKLFGISSVGEIPTQQYENPLSKKLHEVINEIRSQRCNYLRLKLCKKGDQSGMMFFSYMVEDKSPNGLSYVEFLVHVHRQIQSKMQ >cds-PLY84693.1 pep primary_assembly:Lsat_Salinas_v7:2:150021531:150022412:1 gene:gene-LSAT_2X76081 transcript:rna-gnl|WGS:NBSK|LSAT_2X76081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSRFRISSKNIFLTYPHCSLTKEEALSIIQNLNTPVNKKYIKICREAHENREPRLHVLIQFEGKYTCTNNRFFDLVSPTRSTHFHPNIQGGKSSSYVKSYIEKHGDTIDWGEFQMDGRSATTRGGQQSANDVYAEALNSGSKTAALEIIREKRPKDYYLRFHRLNANLDMIFQAPPAIYVSPFVSSTFNNVPEILQDWVEENVVSASGRPHRPMSIVVEGEARTGKTIWARSLGPHNYLCGHYDISPKTYNNDAWYNVIDNVDPRYLKHFKEFMGAKSDWQYNRRYGKPF >cds-PLY80833.1 pep primary_assembly:Lsat_Salinas_v7:4:283883224:283886034:1 gene:gene-LSAT_4X144541 transcript:rna-gnl|WGS:NBSK|LSAT_4X144541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTATATQNGDNLRHRSGRKRRERRSGHSGEQRSRPRWRTTAAASSCGSDGPLEALRRCRSHTGGLGFCSFRLQQRLRGGGGDRLERLLATLTPPIEGYIQKIDEAAKRQSSLKGKLK >cds-PLY62342.1 pep primary_assembly:Lsat_Salinas_v7:4:86865255:86867081:1 gene:gene-LSAT_4X58361 transcript:rna-gnl|WGS:NBSK|LSAT_4X58361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G11430) UniProtKB/Swiss-Prot;Acc:Q9LPZ1] MATLNFSILSKTLTPKSPNPISKPSSFITSNLPFVNSQSLKPIFTQTIPKSSRVRTHQIRAAVDGDYSSRRSSSSEPRETIMLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLASVLGSMEEAKKNMYAFSTTTYTGFQCTVSEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYVNGEIIPCQYPTYQPKPRKQSKYESKRYVRQRDGPPPEQRRPKQEATSTSSESPST >cds-PLY90108.1 pep primary_assembly:Lsat_Salinas_v7:6:17045314:17048198:1 gene:gene-LSAT_6X7721 transcript:rna-gnl|WGS:NBSK|LSAT_6X7721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEERNNYNVKIETGDHGYVLEDIPHLTDYIPNLKTYPNPMRFNPAYSVVKQYFIDFDDTVPEKVVVQKNGPRGVHFRRAGPRQSVYFRSDQVRACVVTCGGLCPGLNTVIREIVCALHHMYGVTRVLGIDGGYRGFYSKNTITLTPKFVNDIHKRGGTVLGSSRGGHDKSKIVDSIQDRGINQVYIIGGDGTQKGAAVIYEEIRRRGIKAVVAGIPKTIDNDISVIDRSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRYSGFIAMYATLASRDVDLCLIPESPFYLDGEGGLLQFVEKRLKENGHMVIVVAEGAGQELVAQSNSATAKDASGNKLLKDVGLWLSDNIKAHFAKKKDFPITLKYIDPTYMIRAVPSNASDNIYCTLLAQSCVHGAMAGFTGLCDDDDDDDYLACMQRIVDHHNKVVITDRMWARVLSSTNQPSFLGPKEVLEFQKVEEQRAMELMEGENYQSNITSTSGNN >cds-PLY92256.1 pep primary_assembly:Lsat_Salinas_v7:2:211219698:211220027:-1 gene:gene-LSAT_2X132940 transcript:rna-gnl|WGS:NBSK|LSAT_2X132940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILITQKTDLTKQAPTLLITFISSSIASGIPCPLLRHWSISLGCILSLIVGAAKGVANVLGFFKLDDASAKDAVSKIIGVIVTALVLCLCNVLCFRIDRSMKLGQTRGI >cds-PLY72917.1 pep primary_assembly:Lsat_Salinas_v7:9:194200017:194200244:-1 gene:gene-LSAT_9X118780 transcript:rna-gnl|WGS:NBSK|LSAT_9X118780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTAEENRWQESCLFGLQPNRKTEKLADCFDFDFYLVIELLLSRLYLLSQGLFHLLHRFSSQILYTPKFTGPDTN >cds-PLY96610.1 pep primary_assembly:Lsat_Salinas_v7:7:44182453:44182999:1 gene:gene-LSAT_7X30280 transcript:rna-gnl|WGS:NBSK|LSAT_7X30280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIAKHYETGETLPEEIYQKLLAARTFRAGTLSLRQLKFATVDLELHSKYVPGGSKSIYDVERRVSEKTQVLPLLEEDRFLCGFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLNDDKV >cds-PLY78549.1 pep primary_assembly:Lsat_Salinas_v7:1:107840704:107841997:1 gene:gene-LSAT_1X84740 transcript:rna-gnl|WGS:NBSK|LSAT_1X84740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPAIRGNGAIRRNYLYPRILRWFHIRRLTWAKICDLFDVDQDEAHQPKKKMIASDVEASTPHYLSYITSLNCKSSKVPSSVRYNFRNDGASLSKTSCGNSQSSKSIERTHTVEINKNTAREIMAKLESVEEEIRGLKNKGGTRDGDDLEQFLNDAFEGMRMWYIVHKNQIELTRIIMLVIHQSMILENKSRRRRRRKKKKEVVASDIVDAENHIPRPPVGKEGRPVRQLKPSQYLSSPYISVQNAPRYRTDRVIHNEQPPPVFVSDPSAFLLEPYVNPGCNAHVLYMGNKPAVFFLKHRLYNEKMEARFWDRLFYASDMGFLDEAV >cds-PLY97736.1 pep primary_assembly:Lsat_Salinas_v7:1:20734860:20737238:-1 gene:gene-LSAT_1X18761 transcript:rna-gnl|WGS:NBSK|LSAT_1X18761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPAESPFRIILGSSSIARRKILAEMGYEFALMSADIDEKSIRTEKPEELVMALAKAKADAIVSKLQTSDDQKKDVIPSILIASDTAEGKPKLHSGEDKDAEPTLLITCDQVVVYDGTIREKPSSEEEARQFIKDYSGKHAATLSSVFVTNLKTGFTKVDCDKAEIYFHDIPDDVVEKLIEEGLVLRVAGALIIEHPLVAPYVKNLIGTSDSVMGLPKALTEKLLKEAVNS >cds-PLY77999.1 pep primary_assembly:Lsat_Salinas_v7:9:43806218:43808467:1 gene:gene-LSAT_9X40421 transcript:rna-gnl|WGS:NBSK|LSAT_9X40421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELTIAVVVGLILVLLAVAFLRANAFPKEMDVIPGSLGWPVIGESFSFISEFSSPSGIFSFMNKRQQRYGKVFKSYVLGRYMVFTTGREASKVLLTGKDGMVSLNLFTTGQKVLGPNSLLQQNGEDHKRLRRLIAEPLSIDGLKKYFQFINDLAIEMFDQWEGQKVLVLEEASTFTLKVIGNMIMSLEPEGEEQEKFRDYFKIISSSFASLPFNIPGTAFYRGMKARDGMFEMLDTIIARRRNGSDMQQDFLGSLIKKHGKEGSEGKDDDEKLTDAQMKDNILTLLIAGHDTTTAALTWLVKFLGENHAALDQLREEHMEIRSKRKSGSNLTWSEVNNMPYTAKVVSETLRRATILPWYSRKAAMDFEIDGYSIKKGWSVNLDVVSIHHDPQVFADPHKFDPSRFDDPLRSFSFLGFGNGPRMCPGMNLAKLEISIFIHHLVCRYKWKPLEKDDSVQPTLVRMPKNKYPIIVESL >cds-PLY66003.1 pep primary_assembly:Lsat_Salinas_v7:4:137149973:137151199:-1 gene:gene-LSAT_4X85281 transcript:rna-gnl|WGS:NBSK|LSAT_4X85281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSMEAKTKKRSLLAGEKSYEGKRSKQDDWKLVHKKYGDGIAWSRGAMIGKGSFGSVFLANLKKPKSKYSYYPPIMAVKSAEVSASGSIQKEKEVMDNIRGCPNVIKCFGDEITNGEDGQMVYNLLLEYGSGGTLADLIKKSGKSLPEVDVRRYTRSILRGLTHIHKKGYVHCDLKPENVLLVANSRDGFTAKIGDLGLAKRAKQSKKNKLGPYWRGTPMYLSPETVVNGVQEPPSDVWAVGCIVFEMLTGKPLWFSEKDLTVDEILSRISDDENELPCVSSSISTDGRSFLKGCLSRKVMCRLRSNMLLNHPFVKGLLDDNVNEVDKSQKEVFDINNITSSLAFSHDDDDDDDDDGELWSSSFTDGSSYDGLSSWSEGDVNAIKSEESRRFHQVQKYPITLTVSKGV >cds-PLY68413.1 pep primary_assembly:Lsat_Salinas_v7:8:22621391:22622092:1 gene:gene-LSAT_8X16921 transcript:rna-gnl|WGS:NBSK|LSAT_8X16921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQASIKDFHFQNTWYQATCPICKDPIFRRGYDWYCIAHGLTEKPNYTYKLTVTITDTTDTIPPVVSETSCRKLLKSSLDKYISDNPHTNRNRLPAIITDQKEQTKTMFIQMLRASAPNNIRFIIIDIDDPTTTPTPEPPVPTTPALSRMTRMRKDNTSTEEDRTPQSIARALTFNPPGNNPVYQYLR >cds-PLY76170.1 pep primary_assembly:Lsat_Salinas_v7:4:55902387:55905939:-1 gene:gene-LSAT_4X37901 transcript:rna-gnl|WGS:NBSK|LSAT_4X37901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSFNQKSFKYHVFLSFRGEDTRKTFVDHLYTSLKQLGIYTFRDNEELEKGKRIDELFKAIEDSRFFIIVFSRNYASSSWCLKEVTKIMECQDEKQQIAYPLFYDVEPSDIRKRSGPVGRAIAKHKSNEQIKKWEKALESAGNLVGWDLKNIANGHEAEAIKKIGEEISLKLCSIHLGNDENLIGMDRRMQELASSLGIGLNDKVRMIGIKGMGGIGKTTLARAIFDKVSSLFEGSTFLEDVRELSKKKNGMEISIKEGLRSLQERILSGVLNDEHMRVWSVHDGKEYMKMRLPYKKVLLVLDDVDDAEQLEALAGDWFKDGSRVIITTRDEKVLLAHGVNVNWIYDVSLLSDEEAMSLFCRYAFKRYIPDEGYEKLSSEVVRYAAGLPLTIKVLGSHLCGENEDVWRGALKRLKTIPSRETLEVLEISYNSLEDDHKELFLDVACFLKGFPKGYAIRMLDSCGYSAAYGLRILEQRSLITILNGRLGMHDKIEELGKNIVRRSHPHEPNKHSRLWIREEIEELFTDDWGTEASTCIGLQLMPKELNPEIIIKKLGNLNKLRYLSLYGNLDDCFPSGWNFDQTKQYFPNSLQFLYWRAYPGLSLPQTFLANNLVGIELAKSRILQLWGSGERKVLKKLRFLGLRDSKLRTLDLRMAPNLENLDLSGCHDLIEIYAPAGCLKRLIFVNLVGCSWSVSSSLFKQLESLVLLSIPNLIVSVECLKGFLRDSTSNLPVLQFTFYYSKEQPSKTEIDRKGVFLDLQPCTKLECVSGRTGFLQHLRRLTFKGCIPEVPNDLDQLKCLEELTLYSTNIKCLPDSVCMLKCLKSLSLIDCQHLEELPENLGWLENLEELNVSSTILRHLPDSICMLIHLKCLLLDSCKFVEKLPEDIGQLECLEELNLTKCASVGDIPNSICKMKCLRYLFLHFCSQVQKLPEEFGNLKLLEVLDVVDTGISHLPHNISSMNGLCIFGSISLLDQLCAFTTKIETSENGPFCYIQAVNSTPTPTELRSQSTENHLKRYFLPLSINYIIF >cds-PLY84129.1 pep primary_assembly:Lsat_Salinas_v7:6:187871406:187872312:-1 gene:gene-LSAT_6X115001 transcript:rna-gnl|WGS:NBSK|LSAT_6X115001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVITVELEVASSYPASKLFKVFSEFDTLAPKLEPETYKAVDIIQGDGGVGTIKTITYGDAVPFTSSKHKVDSVDVSNYSSAYTIFEGDVLMGIVESANHHVKFVPSSDGGSVYKHTVVFTCKGDNTVPEETINLMKEGFKKSFKGFEAYAIAHPEAY >cds-PLY99408.1 pep primary_assembly:Lsat_Salinas_v7:4:103398625:103401290:-1 gene:gene-LSAT_4X65500 transcript:rna-gnl|WGS:NBSK|LSAT_4X65500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVNAPARTVVFDTVRKFDGKEFRQLLPGEYTQMAGRAGRRGLDSIGTVLVMCRDEVPDESDLKHVITGSATRLESQFRLTYIMIMHLLRVEELKVEDMLKRSFAEFHAQKKLPEQQQLLMRKLAQPTKSIECIKGEPAIEEYYKWHFEAEMYNTHINEKVLQSSVSQSFLQPGRLVVVKSQSVEDHLLGVILKAPSSTNKQYIVLVLTPSLPTTLQTSTSMDGPQNKSTSTAAGLQMLMPKSKRGMDDDYYTSATARKGSGIVKIKLPHRGNAAGAAYEVREVDTKEFLSICNVKIKIDQVGLLEDDSSAAYSKTVQSLLQQKSQENKYPPALDPIKDLKLKEVELVEAYYNWNNLLQKMSQNKCHNCVKLGEHIKAARELKKYKEEVDALKFQMSDEALQQMPHFQGRIDVLKEIGCIDADLVVQIKGRVACEMNSGEELICTECLFENQLDSLEPEEAVALMSAFVFQQRKASESSLTPRLFHAKERSLSLSLSLSLCVVLKGTPFADICELTDVPEGLIVRTIVRLDETCREFRNAAAIMGNSALYKKMEAASNAIKRDIVFAASLYITGL >cds-PLY96442.1 pep primary_assembly:Lsat_Salinas_v7:4:344120380:344123885:1 gene:gene-LSAT_4X169720 transcript:rna-gnl|WGS:NBSK|LSAT_4X169720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase 31 [Source:Projected from Arabidopsis thaliana (AT3G29090) UniProtKB/Swiss-Prot;Acc:Q9LVQ0] MAKVIKVAKDGTGNFWNLQDAIDSIPLENKARTYITLSPGTYLQPIHIPKRKNLITITGVNPELTIITWYNCATKIEHHKPASLIGTGTFGCGSAIIEGDDFIAENVTFENNAREGSHQAVAVRVSADRCAFYNCRFLGFQDTLYLHMGKHYFKDCYIEGSVDFIFGNSTTLFEHCQIHCKAAGYVTAHSRTSSQETTGFVFLRCVITGTGGMHCSYLGRPWRPFSRVLFAFTYIDSCIKNEGWHNWGNHENEKTACFYEYKCMGPGSWADKRVWWGKQLSDREVEPFLNHTFIDPDRHKPWLWTKFGHRVPYSA >cds-PLY62831.1 pep primary_assembly:Lsat_Salinas_v7:4:29825319:29825729:-1 gene:gene-LSAT_4X17200 transcript:rna-gnl|WGS:NBSK|LSAT_4X17200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFHLPEKFDWISYSGGALLNEEVECAICLSKIEDDDEIRELRCDHLFHRNCLDTWLSYGHTTCPLCRHNLLVPPKIEEACEIGSQEALFLDLCETAPSNDESRWWLR >cds-PLY66682.1 pep primary_assembly:Lsat_Salinas_v7:1:52746219:52746542:1 gene:gene-LSAT_1X45980 transcript:rna-gnl|WGS:NBSK|LSAT_1X45980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVERSTFVVNGGVGKAIVWLLTIWFRSGVWKTVGVSPTIYIIGDDCKTTGCVPAIWFSGGGVWKTIDCAPAVSFGGGCWKKLVVALTNSIISGAILIDITVSWGLAS >cds-PLY83353.1 pep primary_assembly:Lsat_Salinas_v7:1:62118188:62122112:1 gene:gene-LSAT_1X53160 transcript:rna-gnl|WGS:NBSK|LSAT_1X53160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEKRKRLMAMVDTRKNSKRKKLEMPYMLEKGEKTNSKKPINGGTKMTKSNSSTLKKKLQNSRRKVKKTKKVSQVGDHNHVAASASDASNERKFNSSEIEEYWVPVHLSHTQMEQYCSLLNSNFESLSSSLRDNSSLNGILTQTQKCCDHPYLVDPTLRESLKKNLLVDQLDAEISVSGKLQLLDKLLLKIKECGLRVIVLFQSVVSSKTISIGDILDDLVDKRFGQDSYIHYRTCHSTIRLSSIDVVILFNSDWNPSNDIKALRKLTLDSNRLRVLRLYSSFTIEEKALILSKKGSIYDHNSAGINYNNCHRLLAWGAAYLLKKLSEDPKSKSKSENTCFMDDLVDELSSLLNKNGDTECLKGSMISKAQIHKGVYSKNMLLLGESEAHMKESCSYLIENGALLFWTNLLKETPWENSCSRLSRRVQKSFRHEPENESDLENFQINTTITPPSVRTRVRSKRRVCTQVEQSTSSAAECTNNQPQPQQPQNPPVVPSVSDQSCNDAPLETELENIKKEREKIKKLHEEKKSMLISECEKEILEVRKKYDGLIDESEMCLTKKMNVLEQYYDLVYANKVLADTLTRTCDDTFDEENCDKEIGVMRVLEIPASTLIQSVNRCTSTTSIPTLRAPAPHLRSSSSLFPSLPTLSTPQTQTELNNVDYQVNTEKLPVFQSSNLWPELLVEFDTFYDMGFPSLPFLDSN >cds-PLY69071.1 pep primary_assembly:Lsat_Salinas_v7:5:276530643:276532566:-1 gene:gene-LSAT_5X145100 transcript:rna-gnl|WGS:NBSK|LSAT_5X145100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEPKGDCPKINEQGSKQPATVTTSQVSDGERLPLAVKELGTCDLYPQSLKHNPNRRKYHPKNDGPKVSFFSDDEETTSTPKADALFIPRENPRALVIRPLKQWPXVSGYSLGTISCNCESSGSSGSSGYSLGIWLLLRNMRSWTASMDSETMSGTADIERPTPVMKSET >cds-PLY88290.1 pep primary_assembly:Lsat_Salinas_v7:6:126426565:126428576:-1 gene:gene-LSAT_6X77241 transcript:rna-gnl|WGS:NBSK|LSAT_6X77241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANFFLCSSSQGVQNSCFVLLVCSSLLLLPLSKSVYFEFTEFPDDQTSVLCSGDAKTSRGNIQFNKVNNSLYRVGHVNYPNAVQIWDRKSEKLTDFVTHFTFIIETQPPKDSGHGFTFFLAPVGFEIPPNSGAGYLGLFNSSYPNSSLNKILFVEFDSYPDRWDPPFEHVGINKNWLGSVAFSPWNSSLHSGDPADAWVSYNSTTQMLSLSWRYGKFISTLNTSLSYQVDLREVLPEWVTIGFSAATGRAMERHILQYWEFNSSLNIVDKKEDTSKKMKLSMGLAVPLSVVAVGGLVTCAIFWRRENKRTKKSLDKDGLPSINDDLERGAGPKRFSFKDLILATNNFSDDQKLGEGGFGCVYKGYLSREGMVVAVKKISQGSRQGKKEYMTEVKIISSLRHRNLVQLIGWCHDQTQFLLVYEFLPNGSLDSHLFFKKSTLPWTIRYKIALGLASALLYLHEECERCVVHRDIKSSNIMLDSGFNVKLGDFGLARHMDHEHGLQTTALAGTLGYICPEYVTTGKASKESDVYSFGVVALEIACGRKATDKVDPSSYLGLVQWVWGLFEKGELLSYVDTTLNKEFDMTEVERLMMVGLWCSHPDRSMRPSIRQAIHVLKFEATVPKLPLKMPIPLYYTAADVPQISSGGATMSTTSIDLVR >cds-PLY67237.1 pep primary_assembly:Lsat_Salinas_v7:6:137513002:137514121:1 gene:gene-LSAT_6X82640 transcript:rna-gnl|WGS:NBSK|LSAT_6X82640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCSKVGLHRGSWSSEEDELLINYIQTHGEGQWRALPSKAGLLRCGKSCRLRWMNYLRPGIKRGNFTSDENDVIVRLHSLHGNRWSLIATELHGRTDNEIKNHWNAHLRKTVENAGHHHPKLSKNKKKVKKMRKSADRKAETTNQGKSKKVKLDRSPSSTSSSSSVSLSKNESSDNGMMSGASSSCTIDLGDVDFDFSWSNWTPLLEVEGTSTMDCQQDEDFLMDGCDLFMSKADEKLMLEKLYHEYLNLLNQENEVLSTNQIM >cds-PLY76186.1 pep primary_assembly:Lsat_Salinas_v7:4:56516886:56524907:1 gene:gene-LSAT_4X39140 transcript:rna-gnl|WGS:NBSK|LSAT_4X39140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLAFSSGHLAAANKFRYAIPARNALVKCSLKFEGNGKHGVQQTLTTNQNQQTFPKLFIPYEFQRDPIKKEETRLRVFSGTANPALSEEIAAYMGLDLGDIKITRFADGEIYVQLRESVRGCDVFLVQPTCPPANENLMELLVMIDACRRASAKTVTAVIPYFGYARADRKTQGRESIAAKLVANLITEAGADRVLACDLHSGQSIGYFDIPVDHVHGQPVILDYLASKSICADDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTISKGAALLRDEGAREVYACCTHALLSPPAVERLSSGLFQEVIITDTIPVSEKNSFPQLTILSVANLLGEAIWRVHDDYAGSFEHFSSLGID >cds-PLY86553.1 pep primary_assembly:Lsat_Salinas_v7:3:256400228:256400686:1 gene:gene-LSAT_3X140480 transcript:rna-gnl|WGS:NBSK|LSAT_3X140480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEYKAALLQTGFFGISISEAEMRASESGSVRQARHTQERKKREKSEREEILRAEQNEILFAIQKVQNYVDVLPKTQSNDELSKQVPQSFQKLFGLIDDLKGSRFVDKAGEDDSMVITETSPSPKIDVVSKTQPPPLPPNTTIPPIECEIHE >cds-PLY92955.1 pep primary_assembly:Lsat_Salinas_v7:5:48251602:48251790:-1 gene:gene-LSAT_5X23501 transcript:rna-gnl|WGS:NBSK|LSAT_5X23501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVTLKRIENKINRQVTFSKRRSGLLKKAHEISVLCEADVALIVFSTKGKLCEYASDAS >cds-PLY93081.1 pep primary_assembly:Lsat_Salinas_v7:4:107440818:107441477:-1 gene:gene-LSAT_4X69701 transcript:rna-gnl|WGS:NBSK|LSAT_4X69701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGPIPTVSTIHADPPSSPRYPPPVGTPTSSAHRRIGIAVDLSDESAFAVQWAIKNYLRRGDAVILLHVRPTSVLYGADWGQATDPESHSEESHKKLEEDFDKFTNTKTTNLSQPLVDANVPFKIHIVKDHDLKERLCLEVERLGLCAMIMGSRGFGAVKQTENGGLGSVSDYCVRHCVCPVVVVRYSDEKDGGGRGGGGGGGAAQKDAMFHDAKEHNK >cds-PLY77453.1 pep primary_assembly:Lsat_Salinas_v7:4:310218040:310218510:-1 gene:gene-LSAT_4X157520 transcript:rna-gnl|WGS:NBSK|LSAT_4X157520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHEEVLGNGNQSGIREEGVHTSTPPKTAHASDHFIAASPTVHPTDQLPSYVVLCPDTPADPAAPTVVDIATDNEAGAASSFSKNAHLVANGVWVGPVGFSVGFGRMTGVCMSEELLSHPPRLSNYMGFENGNATSMKHSFLIEIQKNFGYWSSYGV >cds-PLY82243.1 pep primary_assembly:Lsat_Salinas_v7:1:69355413:69356204:-1 gene:gene-LSAT_1X61261 transcript:rna-gnl|WGS:NBSK|LSAT_1X61261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPELIDFVNWQKVAKKTAFLHPVEVKLVPMSLEGVAFRTKVLDTDELMNYTSLIVEHRKETMEALDASGYLKYVIYALVDIIKQSSGCYPLEVHVRTPRGLANRSGIHDGGEFDVLDPGALLGGTAGMWLLSILSSCSEGNKRIVVEARVLEVLSDKLEKYTLNSQVGPVCLIF >cds-PLY91447.1 pep primary_assembly:Lsat_Salinas_v7:MU039439.1:31733:33494:1 gene:gene-LSAT_0X16480 transcript:rna-gnl|WGS:NBSK|LSAT_0X16480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPNNSINQIDNHIDDDGDQKSFPSHPRQIITYSRKRKHSQSQSQVITPIDSTQVNPLSESSVLGLVLLAHTFSYNIQNLTESLLLEILARLPLKSLFRFKCVCKHWLDLISQPSFSRFYCSRMLSASSSSIPFRILYRYIYVSKFTDVLDRFRPENYNSSKFSVLFLSTIEEQQQSDQFKVLGVSNGLVLCCLLGPLVYYVCDPVTRQSVTLPRPKDRSTNRHPIFFGEGFVSKVNEDNVLVSFTVVRVELLSSPSNYLNLETFSSDTGKWADYKLPCSKPIALLKRGGGPITYKGILHWFVDIHGMVAFDPYRNQKSCRVIPFPDERDIDSEYEYEGIYRLCDETQGKLRFFEVSPEPSSFYCFSMWDLKDYEKGEWCSEFKVTRSDLSSSDPELTSWLMTANFLPLSFNPFDLNIVYLRCEELNCVVSYDIENKRLDVACKPIGVVEDLSWRVVVPFVIPRWPTRVPIPRVPKKAAKGKGGHRKRSYRIYTRF >cds-PLY77575.1 pep primary_assembly:Lsat_Salinas_v7:2:164689735:164692497:-1 gene:gene-LSAT_2X86580 transcript:rna-gnl|WGS:NBSK|LSAT_2X86580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTTNNPTFGSGTRRRNSSNVSPVINSDQCLPPSESFSLDLIHAATETYLIIGLIFTLISYLGVGRNKSRAVSLLVYTSLISPGLLKVAYQFCLSTHIRRSVVYGDQPRNRLDLFLPKDKDGPKPVIIFVTGGAWMIGYKGLGALFALPLAERDIIVASLDYRNYPQGTISDMVEDISQGISFVCKNIAEYGGDPNRIYVMGQSAGAHISSCVLFQQAIKESKGETISWSVSQIKAYFGLSGVYNLPSLVEHFDSRGFHRSILLSIMEGEESLKRFSPEILIEDPSVMNAVSKFPHVILFHGTADYSIPADASISFVASLNRVGVRAELKLCNGKSHTDLFVQNALRGGKDEVFDYIVDYIHGGDADALAKVATAPPRKRLCPEPMLMLASMFSPF >cds-PLY83190.1 pep primary_assembly:Lsat_Salinas_v7:4:288360608:288361272:1 gene:gene-LSAT_4X146781 transcript:rna-gnl|WGS:NBSK|LSAT_4X146781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDFPESNIFQYNQDFDEDLAEILLHGRSSAAFISSSEFLRYVTENEDIGQHTSTLRFIYNAPFDVFGDNHLSGIGNYGGANGGAGAGAGIGGGVGGGIGGGAGEDGGLARRAKLWRMFLAWSKKLRKKHRRHCRK >cds-PLY66734.1 pep primary_assembly:Lsat_Salinas_v7:1:168098463:168101408:1 gene:gene-LSAT_1X112720 transcript:rna-gnl|WGS:NBSK|LSAT_1X112720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWCVSSSSRPIASAVSLYPISWVCPSIVWDLRSQLKPPSMQIHVSIYDCVEIEGHTDVVWHLLSNSIGKQKGDWIHSLTGGFNRNLIHTGNEVSKAPQIQRLVTPSTLQRKRARLADNKKRIGNAEPTDYHLLLHKHLRMHGYYLRVLDLDVTFCYVSEFCVQFSVKIICPTVDNMLDS >cds-PLY77484.1 pep primary_assembly:Lsat_Salinas_v7:4:49207443:49210017:1 gene:gene-LSAT_4X33200 transcript:rna-gnl|WGS:NBSK|LSAT_4X33200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFFDPEGRFILQWNKTFLLCSVIALSLDPLFFYIPIIDGTKRCLDVDHKLEIIVCVLRSFADMLFVFHIIIQFRTAYVPRHNHILGKRIVRDEPHYVATRYFSSYFIIDVLAALPLPQLAILVIIPNLDGPTPFLTERILKLVIFSQYVPRVIRISLFYKKVTKISGFLTEKAWAGAAFNFFLYVLASHVVGALWYLFVIESEMRCWNIACERNNCYSKYLYCGEGRVGDFGFLSDSCPLLERNEIKDSTNFDFGIFLDALQTRVLETRDFPQKFLYCSWWGLQSLSSLGQGLKASTFYGEILFADFIAIIGLVLFALLIGNMQKYLQSFSNLTLRVEEMKEKRREAEDLMSHISLPENLRERVRRHKHYKWKITKGVELDSFVKDLPRDLRRDIKRHLCLSSLMRVPMFEAMDEHMMDAMCDRLKPVLYTQNSYILREGDPVPEMLFITRGTLQSITTDGGRAGFFNSDHLRAGDFCGEELLTWALAPPTPTLPFSTRTVQALTDVEAFALSVDDLMFVASQFKRLHSRHLQHTFRFYSQQWRTWAACYIQSAWRRHWRRKLEMAKALEDSRMHDAFVRETSTLSLGASIYAARFSSNALRVLRRKNYTQLSKPVWQIMLPPKPPQPDFENHATAIVTFRCF >cds-PLY81144.1 pep primary_assembly:Lsat_Salinas_v7:9:68035678:68036967:-1 gene:gene-LSAT_9X56680 transcript:rna-gnl|WGS:NBSK|LSAT_9X56680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVLKLDFLDEKTKQKAMKNVSSLSGVDSIAMDMKDKKLTVTGDVDPVTIVSKLRKICHTEIVSVGPAKEPEKKKDDGGAAGGGDKKKEDEKKKADDKKKEDEKKKHEEALKLAYAAAYHQQPYPYYNYQQQQQQPYYYNHPPTPYYSKVVQDETPNCVIC >cds-PLY78902.1 pep primary_assembly:Lsat_Salinas_v7:5:304523529:304524899:1 gene:gene-LSAT_5X165260 transcript:rna-gnl|WGS:NBSK|LSAT_5X165260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEILKEIGSGSYGVVWGAWDEHTDEVFAIKKLKMRCNSAKEYINLTEVKALRKMNHPNIIKLKEIIKEDDSLYLVLEYMECSLCERMTCRKKPFSEDEIRDLCFQIFQGLAYMHDNGYFHRDLKPENLLVSKDVIKIADLGLAHEMNGKPATDNIGSRWYSAPEVLLGQEYDSSVDMWAMGAIMAELFTRRPLFPGKNDGDQMYKICSVIGSPTETTFSIVNSNYLVFPQLPGVQLSSLLPSASLEALDLIATLLSWNPSARPTAMEALEHPFFYTCYKVPPPRPIPLVFKSCAFCYQREEDPTKQLIRLLPENPFYETVLDPSENMIRLLPENPLYGSEAVDPSENFRPLLPQNRFDGSEKGDPSENMVSLLPENSLPGSKNCGLLSKMEMKQDSLKLKTRTKNCTIGVESDAVHIDELEIAYIDKLEKNKRGTGKRLNFKRIKGKRLNKQT >cds-PLY95922.1 pep primary_assembly:Lsat_Salinas_v7:9:40908826:40911750:-1 gene:gene-LSAT_9X36661 transcript:rna-gnl|WGS:NBSK|LSAT_9X36661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGREDAPAIGIDLGTTYSCVAVWKHDRVKIIPNDRGNRTTPSCVAFVDSERFIGDDAKSQGAMNPANTIYESYLGKPVKNAVITVPAYFNDSQRQATKDAGAIAGLNVIRIINEPTAAAIAYGLDNKSDINGKINVLVFDLGGGTFDVSILTITKGDTFQNKDITGNKRALVRLRCACEKAKRILSCNTQTSIDLDCLHEGIDFCMKFSRAKFEELNMGSFNKCIETVEACLRDAKMKKSCVHEVILVGGSSRIPKIQSMLQQLFDMKVLSKSTNPDEAVAYGAAIMADKLSSNSNKSFKDLLLLDVNPLSLGVEIKGELFSVVIPRNTPIPTKKSKTYVTCNDNQSAACTKVYQGERAKSTDNHFLGKFTISGIPPAPKEAIEITECFEIDANGILTVTSQILSTGKTEKLTVVNENGRLSKEEIEKMIEDADKYKQEDQEYKKKADAFNALEDCIYNMKKMEHVIADATKWIEDNQNATVDEIERMKEHLESMCMPKF >cds-PLY99787.1 pep primary_assembly:Lsat_Salinas_v7:9:52470910:52471686:1 gene:gene-LSAT_9X47101 transcript:rna-gnl|WGS:NBSK|LSAT_9X47101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEGFVCFFGGNSTPNTSKEVESFPWAVKNKKLAEFNGFDTSLVTPEPVIECSECLLDDYSIPKSSAVVESFPGTVKKIDYQEQIQNTNLHFEINGTSSNSLVRRLQLERDSKTPPPFLIPPSPPPSPPPWFETSVKSAGRKQEKLNKFLSLILRDGDNSGREVPGAEKSVIEEQRATDFHVERLTEHQRSTWEKAALFNNCLEWGYLGYPSNELKNDNWAAVDSWGS >cds-PLY83990.1 pep primary_assembly:Lsat_Salinas_v7:8:36529514:36530167:1 gene:gene-LSAT_8X28541 transcript:rna-gnl|WGS:NBSK|LSAT_8X28541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDTDVKDDKHEAPLSGFAVCDDASESSCYSMEDDKETQIHLGPEISIKEHLEKDKLIPKLIHSPFLGLFSNFTILDVFFYYVLVVQDDASLRRWKEELLGSVDVSQAEEVQEPDVKILSLTIITIGRDVIVLLISESRNQKGLWFTLKEGSKCNLKFSIKVKT >cds-PLY88854.1 pep primary_assembly:Lsat_Salinas_v7:5:131527951:131529680:1 gene:gene-LSAT_5X55281 transcript:rna-gnl|WGS:NBSK|LSAT_5X55281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AOX1D [Source:Projected from Arabidopsis thaliana (AT1G32350) UniProtKB/TrEMBL;Acc:A0A384LFI3] MNRNMTTKMSQLLLKQLGPRLLSTTSFTHSIIAESSGIPNGTTVGMARILNMHVRNWSTHASSESGMDKKENTNTKAISSYWGVAPPSLTKADGSAWKWNCFRPWEAYQADTSIDVTKHHKPVTWNDKIAFWIVQALKYPTHFYFQKKHIHHAVLLETVAAVPGMVGGMLLHTKSLRRFEQSGGWIKALLEEAENERMHLMTFIDVYEPKWHERALVFAVQGVFFNAYFLAYLASPKLAHRITGYLEEEAVNSYTDFLNDLEKGVMKDIPAPAIAIDYWCLPQNSTLKDVVRVIRADEAHHRDLNHYASDIQCQGHELKEYPAPIGYH >cds-PLY87185.1 pep primary_assembly:Lsat_Salinas_v7:5:153832128:153832778:-1 gene:gene-LSAT_5X67821 transcript:rna-gnl|WGS:NBSK|LSAT_5X67821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMGDLDWKKKKNTMSKYQIFQKGCGKNRENGDVADYHYHLFLKDIEIMHSLGLKAYRFSISWARILPRGKFGEVNIAGIMFYDKIINNLILKGTVS >cds-PLY95305.1 pep primary_assembly:Lsat_Salinas_v7:4:299871205:299871635:-1 gene:gene-LSAT_4X151140 transcript:rna-gnl|WGS:NBSK|LSAT_4X151140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDSHTKKEVQSGDGLNVVKDTKETKKSPEIPPPPEKPLPGDCCGSGCVRCVWDIYYDELEEYNKLLKGESDSSIGSKVS >cds-PLY65408.1 pep primary_assembly:Lsat_Salinas_v7:1:61401143:61404543:1 gene:gene-LSAT_1X52061 transcript:rna-gnl|WGS:NBSK|LSAT_1X52061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNQAESSDAKGTKRDFSTAILERKKAANRLVVDEAINDDNSVVALHPETMEKLQLFRGDTILIKGKKRKDTICIALADDTCEEPKIRMNKVVRTNLRVRLGDVVSVHQCADVKYGKRVHILPIDDTIEGVTGNLFDAYLKPYFMEAYRPVRKGDFFLVRGGMRSVEFKVIETDPPEYCVVAPDTEIFCEGEPVRREDEDRLDEIGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEIGRLEVLRIHTKNMKLAEDVDLEKISKETHGYVGADLAALCTEAALQCIREKMDVIDLEDESIDAEILNSMAVTNEHFATALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSQGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRYSIFKSALRKSPVAKDVDLHALAKYTQGFSGADITEICQRACKYAIRENIEKDIEREKKRSENPEAMEEDVEEDEVAEIKAAHFEESMKFARRSVSDGDIRKYQAFAQTLQQSRGFGSEFRFAEASGGAAAAGGGADPFGAAAGGADDDDLYN >cds-PLY66827.1 pep primary_assembly:Lsat_Salinas_v7:7:16865716:16867477:-1 gene:gene-LSAT_7X13800 transcript:rna-gnl|WGS:NBSK|LSAT_7X13800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSLEETRRSNSSITRPYPYYTPPLSSSSPSRSKHMGRSMRTIRSNFHQSDYSNACSFTSTETHFNDENLTDSVIDVHLQELATATCGSNRKPLKSASSTMSSDDFLEISQAFSDFSAYSSDISGELQRLATFPEESRENDENRELQPEPEPCEGFLQRENFSTEIIESISPEDLQPTVKICVDSLRSSSVAVKRSAAAKLRLLAKNRSDNRALIGESGAIPALIPLLRCTDPWTQEHAVTALLNLSLLDDNKPLIANTEAVKSLIYVVKTGTEVSKQNAACALLSLALVDENKASIGACGAIPPLVSLLINGSNRGKKDALTTLYKLCSMKANKKRAVSAGVVKPLVELVAGETGVGMAEKAMVVLSSLAAIEEGKTAIVEEGGITALVEVIEDGTSVKGKEFAVVTLLQVCEEGVYSGRNRGLLVGEGAIPPLVALSQTSTARTKHKAERLLGYLREQRQEGSSTPI >cds-PLY80837.1 pep primary_assembly:Lsat_Salinas_v7:4:283894403:283898465:1 gene:gene-LSAT_4X144501 transcript:rna-gnl|WGS:NBSK|LSAT_4X144501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHNESRYFVYEKRGYELKGVEEEEIARLAEDGDIYNRLSRSLAPEIFGHEDIKKALLLLLVGAPHRKLKDGMKIRGDLHICLMGDPGVAKSQLLKHIITVAPRGVYTTGKGSSGVGLTAAGQKDLVTNEFVLEGEALVLADMRICAIDEFDKMDESDRTAIHEVMEQQTVSITKAGITTSLNARTAVLAAANPAWGRYDLRRTPAENINLPPALLSRFDLLWLILDKADMDNDLEMARHVVYVHQNRESPALGFAPLEASVLRAYISAARKLSPSIPRELEEYIATAYSSIRQEEAKSNSPHSYMIYIQQIYFSIVKAVSLVGAQKAQLHPDDMLLLANFVMSFESFRQDGKAYEAVQECEELKKKCLYL >cds-PLY66203.1 pep primary_assembly:Lsat_Salinas_v7:2:168165302:168167007:1 gene:gene-LSAT_2X90560 transcript:rna-gnl|WGS:NBSK|LSAT_2X90560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALKKAYAEIILNTAKEAAARTMASECKALRFHHDLRHTKDEALQMLLRFKHMIDSKTTEAEKSSVNQKKRIDELDAQLNETEEVIVNLRAELRNAHERLEEAKNSHMHQSNEPSENNHMDNSETTPLKNNPNINEKLYRNGYTHRIRAIENNVVDEKCISDDDKSAHEICRKRDEILTENSLRRSIRKRKVRCWDEISSLFKSRCKKYSNNDDVKSEEHWHKSKSLAEMSHISASTITNASEDEVVGSDSILSGCGNDKNRHLKYTFSRKRKKDLSCKIDNKNANLKEEASNDNTHNMVEVEVEVACQLISLSGAHSL >cds-PLY83385.1 pep primary_assembly:Lsat_Salinas_v7:8:1084870:1086420:1 gene:gene-LSAT_8X1000 transcript:rna-gnl|WGS:NBSK|LSAT_8X1000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METQDGGDVKVEVSPRDIVYTQAAGGEEDPNIFHMLDLRYESEQLVLLHSPGQRLLLRSSIR >cds-PLY98112.1 pep primary_assembly:Lsat_Salinas_v7:3:247512986:247513522:-1 gene:gene-LSAT_3X136581 transcript:rna-gnl|WGS:NBSK|LSAT_3X136581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCHPPPIGHRITPLSAALYRINGWGAPYFSVNSSGNVTVRPHGSGTMDHQEIDLLKVVKKASEPKSTRGFDLPLPLIIRFLDVLKNRLESFQSAFNCVVELHEYGSHYQGVYPVKCIHDRFVVEDIVKFGSSFRFGLEVGSKPELLLAMSYLFKGSSNSLLICNDFKDVEYISLALIA >cds-PLY87561.1 pep primary_assembly:Lsat_Salinas_v7:3:165694817:165695629:-1 gene:gene-LSAT_3X103300 transcript:rna-gnl|WGS:NBSK|LSAT_3X103300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSMRSKKEKRLRAIRREMVEPLYEKKEEAKYAALEAALNAPKLPVRTRPDESTSMDAAAVTTTTSNADMDVEMDEGKQSTKSLKPIGRRMKKKLKLSKKKYRGKGKIRKKNV >cds-PLY97299.1 pep primary_assembly:Lsat_Salinas_v7:8:294291214:294294413:-1 gene:gene-LSAT_8X162680 transcript:rna-gnl|WGS:NBSK|LSAT_8X162680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETSRSQVTITLGRSGQVVKRAGAVLDREFSDPVPAVGSKRSVRDRLGSSADVQLDNKRLRGDNGRLTSQSQGSNFLNDFHLSKDDLRFKIMKRTQTNGQHNTIDLRDMLSRRARSSTTNSISTPPHSLHESQSQSQSQRVSESRDDRRRMPMPDPRDKTLLHMDERQRVPQTRDVSRQGIIPKINGGNGMTGQYNSMRSSEAPSSQMGFLGNSYSPWSLDHIRRKSPERVLNPPPTRRIEEPQRRIITREYENPRIENPRTMVYSSRDSGEISRPMGPFLTKPPLSGGPVKSLPPPSLPPGTNLQRSQYPVQEHVTVEGFLRSLGLEKYVISFKVEEVDMAALSQMGDHDLKELGIPMGPRKKILLALIARTRRQAR >cds-PLY69302.1 pep primary_assembly:Lsat_Salinas_v7:4:104700982:104704428:1 gene:gene-LSAT_4X67601 transcript:rna-gnl|WGS:NBSK|LSAT_4X67601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 51 [Source:Projected from Arabidopsis thaliana (AT3G18600) UniProtKB/Swiss-Prot;Acc:Q9LIH9] MVVLEKSPNSKIENGEGSNSKKKRKRNKNKKNSGEEEPDLVAQEVAEINDSEKEEEVTAVKEKKSKRKKKEKKSSEEEKENTETDDNKEEEEGDEGMNMEEEAEKETETKTKKKVKKSGGDSGIMSSDSFTSLPLSEPTKKAILDMGFQYMTQIQARSIPPLLEGKDVLGAARTGSGKTLAFLIPAVELLYHACFAPRNGTGVVVICPTRELAIQTHAVAKDLLKYHSQTLGLVMGGAGRRTESERIVKGVNLLIATPGRLLDHLQNTKGFIFKNLKCFVIDEADRILEANFEEEMKQIIKILPKTRQTALFSATQTKKVEDLARLSFQTTPVYIDVDDGRTRVTNEGLQQGYCVVPSAKRFILLYSFLKRNLSKKVMVFFSSCNSVKFHSELLKYIQVDCFDIHGKQKQQKRTTTFFDFCKAEKGILLCTDVAARGLDIPSVDWIVQYDPPDEPKEYIHRVGRTARGEGAKGNALLFLIPEELQFLPYLKAAKVPVKEYEFDEKKMANVQSHLEKLVANNYYLNKSAKDAYRSYLLSYNSHSMKDIFNVHRLDMQAVAASFCFSNPPKVNLNIDSNASKFRQKTRSRNGFSDSNPYGRNNRDDNSKTQVVRY >cds-PLY63813.1 pep primary_assembly:Lsat_Salinas_v7:5:4081164:4083544:1 gene:gene-LSAT_5X1301 transcript:rna-gnl|WGS:NBSK|LSAT_5X1301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVPYTDPKDNSNSPPWQEMFRSASTHKPPPSSPPPPPPPEPPQPTTNRDEKEEDSSSLSGDPQVRLALYIAMAHAGFGFAFFIFYGVYRLLEQYLRPMLWAILCSIPLRGIQQTLVSFWSEPLQLGLTETILAIPVSVFRVFIGALVEFRDIFYKVIRRKPKKQHPMKRKRSGFSIVLRWLLSFWVFVMVYEELGGLGAITLLGIGFMFTSSNVDSTMSYVSSFRNHSFKRSPYSAIFTKPILNRLKTLVAIGLIVGMIVGSLTMVTFFSYKVGIEGKDAVFSIKSHIEESNYAEKIGLKKWINENDVPGMVDRYTKQLYETVYEQIDSLAMQYNMTEIVEEMKQILSPRLTNMTARTTALTTPNPYAEKIISLRKRITNREWAEIYPELNDLLKEVVISRNDITEKAKAIAFQGKDVVVRVFASSKSILGGSGRFFFVVVESIVSGAAELLNFVSQMMVFIWVLYSLITSDSGGVTDQVMSMIPVSKSARTRCVEVLDQAISGVLLATAEIAFFQGCLTWLLFRLFDIHFLYMSTFLAFISPFFPIVPYFISTVPAALQLALEGRYVFAICISIIHVVLVEYGASEIQEDIPGHSAYLTGLSIIGGVALFPSAVEGAIMGPLITTVVIALKNLYVEFVLDDPSKEEKH >cds-PLY95377.1 pep primary_assembly:Lsat_Salinas_v7:9:190314842:190315676:-1 gene:gene-LSAT_9X117501 transcript:rna-gnl|WGS:NBSK|LSAT_9X117501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFLQKSIPPVSFSLIESISPEDLQPTVKICVDSLSSSSVAVKRLAAAKLRLLAKNRSDNRALIGESGAIPALIPLLRCTDPWTQEHAVTALLNLSLLDDNKPLIANTEAVKSLIYVVKTGTEVSKQNAACALLSLALVDENKASIGACGAIPPLVSLLINGSNRGKKDALTTLYKLCSMKANKKRAKAMXSLAAIEEGKTAIVEEGGIMALVEVIEDGTSVKGKEFAVVTLLQVCEEGVYSGRNRGLLVGEGAT >cds-PLY93574.1 pep primary_assembly:Lsat_Salinas_v7:2:175950690:175952228:-1 gene:gene-LSAT_2X98760 transcript:rna-gnl|WGS:NBSK|LSAT_2X98760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVGLISIIVPLPDTITIPATWFRFASKTDLLNLGENPSYYPDFIGVLKKMRNCTKRNGEEFVLLILADESGDEIAISLWKECIDVREKFRPEELATPPATTVVAITNIKPSSIHIAGTLRFGSSPATHVYVNPPIQETTLLIHSFTGPTPPTSTASGALKTLHELNSKIHSELVDKTFNVKATLTTITFKDCWFQVLCTTCKDPIFRKSNYWSCSAHGKTPSPIFLYKLITTLTDPTGSLTTIMTDGAAQKLIGATPEKLMTDDHESNKKLPPTLINDHEGTSKTMSIQMLKGSTAENIRFIIVDIHEVTMVNQSVAPVTPTQVSTTTTTATLITPADLQGSANVEINPILGMASTTSQTSHTARTLTYDTAGTYISTLLG >cds-PLY73775.1 pep primary_assembly:Lsat_Salinas_v7:8:92695944:92699757:1 gene:gene-LSAT_8X64401 transcript:rna-gnl|WGS:NBSK|LSAT_8X64401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKSFVEVKLDSHFSIHNLPYGIFKHGGVDTTTPRPGVAIGDYVLDLSAIQSAGLFDGPLLCNSDCFHQSNLNKFLELGRPAWKEARATLQKLLSSDEPALQNNASLKQMALLPMDEVEMLLPIAIGDYTDFFSSKHHAKNCGLIFRGPENPVNPNWFHLPIAYHGRASSIVISGTDIIRPRGQGHPSGNSPPYFGPSRKLDFELEMAAVVGPGNELGKPIDVNEAADHIFGVVLMNDWSARDIQAWEYVPLGPFLGKSFGTTISPWIVTLDALEPFACDAPTQIPPPLPYLAEKISKNYDISLEVRIKPAGEEKSFTVTRSNFNHLYWTLTQQLAHHTVNGCNLRPGDLLGTGTISGPSYGCLLELTWNGTKELSVGKTSRKFLEDGDEVIISGICMGNGYNVGFGTCSGKILPSLP >cds-PLY91760.1 pep primary_assembly:Lsat_Salinas_v7:9:16886252:16888680:1 gene:gene-LSAT_9X17041 transcript:rna-gnl|WGS:NBSK|LSAT_9X17041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNGCYETSEVESKDEEFDEFYSPRASDGGGSGARRTFTVAAATGNYQSRRSIGSVSSSSYSSSSSGSPARSISLSISPPVSLSPRNSRLKSPDLVAIQTAPPPSRPPPPPPLPPHPLIIPSPDVGLSKNSLESSPRLSNSSNDQSSPLARIPPPPQPPTKHRETLIPTTPPPSTRLNPPVLIKPARHVPISSHQPVISPTEMLPINQENLETNEKTPKPKLKPLHWDKVRASSDHEMVWDHLKSSSFKLNEEMIETLFIVKPPNANSNEKSTIKRQILSSTISHENQVLDPKKSQNIAISLRALSVTTEEVCDALLEGNADTLGTELLESLMKMAPTKDEERKLQEHQDDSPLKLGPAEKFLKAVLDVPFAFRRVGAMLFVSNFESEVEYLKQSFQTLEVACEELKNSRMFLKLLEAVLKTGNRMNIGTNRGDAQAFKLDTLLKLIDVKGADGKTTLLHFVVQEIVRTEGARFSNTTANHDDNQCQKLGLQIVSSLSSGLSNVKKAAGMDSEVLNGDVVRLTNGIANVMDVVRLIEAGGSDNKTFSNAMKKFLKIAQEEVIKIHDQEAVSLSLVKEITEYFHGNSVKFEAQPFRIFMVVRDFLTVLDRVCKEVGSININMESTQKLPVLVNRGPVFDGFHGKRQYSSSDDESSSSL >cds-PLY78700.1 pep primary_assembly:Lsat_Salinas_v7:9:51667991:51671263:1 gene:gene-LSAT_9X46760 transcript:rna-gnl|WGS:NBSK|LSAT_9X46760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQMKFFILLLILTITSAWATKEATKGLVPNWDGRKFCSTMVCKMGTGDAFCTEDCIPRGWTNGQCDHLPGITGDTGNCCCWTP >cds-PLY68311.1 pep primary_assembly:Lsat_Salinas_v7:7:97786667:97787779:1 gene:gene-LSAT_7X67040 transcript:rna-gnl|WGS:NBSK|LSAT_7X67040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSDEEKYNQTMMYSGIYYISLTSLFCIFPMLADLFNGFCEGRFWFPPKYFSLNVVSITAINVLIVMKLPMGLYIQGQVAKLGSMATMAFMSTMVANMLPSLGYMDNKTLVDSVIGFTIPIILVIVDSFMEFNTGVISHVKNLAYTLIAMLVFLLIILISAAIAIPSLKQILEARYQATSQRVSRNQRPEDRFNVEKLREYVKIHLILAETCDPQFALASSPLSSTSGLICLLVLIIYIPVAALVLITDHERMSGSRYRWWPTITIQSIGVVVGSIAPICRYFTATRLETSAVFKVEEYWTLKLSEWKESRISFLSNGRSRNVIFKLKNHILSLWIGFQKGIVVMCQIIGIIPIHIVVLICVAYCYFMSL >cds-PLY94350.1 pep primary_assembly:Lsat_Salinas_v7:5:170326892:170330692:-1 gene:gene-LSAT_5X74680 transcript:rna-gnl|WGS:NBSK|LSAT_5X74680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGVFSSSIVSPPEELVAAGSRTPSPKISSKALVNRFIGNNASAVSMQIGDDVQLAYTHHNESITHPRSFAVKDEIFCLFEGALDNLGSLKQQYGLSKSANEVVLVIEAYKALRDRAPYPANHMVGHLIGNFAFVVFDKSTSTLFVATDQHGKVPLYWGITADGCVAFANDADLLKGACGKSLASFPQGCFFSTAVGELRCYENPKNKITAVPATEEEIWGAKFMVESPAIITATP >cds-PLY89520.1 pep primary_assembly:Lsat_Salinas_v7:4:159300424:159300875:-1 gene:gene-LSAT_4X95861 transcript:rna-gnl|WGS:NBSK|LSAT_4X95861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVRVTGITHSFPLHLSQSSRSPHPSALPLLFLHYWHQFLLSNNNTTTIITGPCTYSETATSTKGALDGRSIPK >cds-PLY97113.1 pep primary_assembly:Lsat_Salinas_v7:4:75922510:75923366:-1 gene:gene-LSAT_4X51301 transcript:rna-gnl|WGS:NBSK|LSAT_4X51301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSSVHELPLNENDSQDMFIYQVINEAEAIDGLGAGNPATGVSKSTLDPTRVINKSKHYRGVRRRPWGKYAAEIRDSKRHGARVWLGTFETAEDAALAYDRAAFEMRGAKALLNFPARLVTSMPKICQESSLNGKKWKGSCSSSSSGEVSSLKENFKATSGSSCQGNMLQLF >cds-PLY98446.1 pep primary_assembly:Lsat_Salinas_v7:1:9704758:9705447:1 gene:gene-LSAT_1X6901 transcript:rna-gnl|WGS:NBSK|LSAT_1X6901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDWLRRDHFVFVGWSGLLLFPYAYFAARGWFTGGLWTFVALHNAFGLIGFMLCEFELARFVQLRPYNAIAFSGPIVVFVSVFLIYPLGQSGCFFAPRFILFFQGFHSWTLNLFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAKETYSMVTINRFWS >cds-PLY96175.1 pep primary_assembly:Lsat_Salinas_v7:8:101570777:101573542:1 gene:gene-LSAT_8X69280 transcript:rna-gnl|WGS:NBSK|LSAT_8X69280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTFESWRRAYGALKDSTTVGLAKVGSDFKDLDIAIIKATNHDECPPKDLHVKRIIVSTSSAAPRADVGYCIHTLSRRLMKTKNWIVAMKTLMVFHRILREGDPSFREELLIHSRRRHIFQIQDFRDDSSQLAWDCSSWLRTYATFLEERLECYRIVGFDIETERLTTAPGINKAYSRTRLMNIDELLEQLPAMQQLLYRLFSCQPEGAAYHNQLIPHALALVIKESLKIYCVINDGIIRLVELPPPSFLATMEEYVKGISSNGSDSDKKLEHDQDVAKETMEPVTQEIKEIENAEVLQDQEPELKHIRKVEEEVLPLIVIDDDDPPGLDEADPNKLEIEDNDASILALAIVQPSIPSDLTCSKTENTSSWELALVPSTSNYPNNTSPISHTKMGGGFDRLLLYSLYEDDMAKKQMQTGCNPGYQYGMQHESFQYHHPLPQQDQMKVVMQQQQYNINQQQSQPSNRSAQQSMPNQRPNHFGDGIDCPHGNRALI >cds-PLY63235.1 pep primary_assembly:Lsat_Salinas_v7:8:271009152:271010574:-1 gene:gene-LSAT_8X156781 transcript:rna-gnl|WGS:NBSK|LSAT_8X156781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGGFSTPGDYIYFKSQVPLHKIPFRFSINCSIFAQNNGDIMNPGIAGTTNVYYKQIMALSMKGYRLISINIPRVWNNQEWVQAFEKFLDISDVHHTPSFLLKRYVLTGIPNGPHEPFIVDSVDFVVTQVETLTRGDLASRLTLISDVASVGPLLLSDCLITIMDVSFHNS >cds-PLY80421.1 pep primary_assembly:Lsat_Salinas_v7:4:361405274:361409477:-1 gene:gene-LSAT_4X177661 transcript:rna-gnl|WGS:NBSK|LSAT_4X177661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNFLTTLRHNHRYLLRRPNLSFTIRFASAHYKPHKPPPPQAPPTPPKPPQKPATFTLHDHTWEDPYSWMSNLNDKVAMRHMDVYMEQEEKYTEAVLADTDRLQSKLQSEMASRMSSQLSTPPFRWGPWLYYRRVEEGKQYPVLCRRLASLNEEFISHKSPTGGFDFISGKRIEQKLIDYNQEAERFGGYAYEEVSEVSPDHRFIAYTMYDKDNDFFKLCVRDLNLGSLCSKPQADWVCNVAWAKGGQALLYVVTDQKKKPYRIYCSMLGSKDEDVILLEEPEENVHVNIRHTKDFNFVTVYVFSTTYSKVFLINAADPLSGLTLVWECEACAHCIIEHHQGYLYLFTNANKEGNSVNYHYILRSPLHSSSPRKWENVFIDDNDLVIEDVDFCDSHLVLIVKEGERFRLCSVALPLPRNKDVFHLKELGLHFLPLPESVSQISPGPNYDFYSPIMRFTISSPVIPDAVVDYDLSNGKFEIIQQQNLLQERTRVLYGTASSVGPTMDKKSNSNKDGESDSWNDLSEFYGCENHQVVSSDGVKVGLTIVYSHKRKKEGENPGLLHGHGAYGELLDKKWCNESKSLLDRGWILAYADVRGGGGRGKKWHEDGRSIKKGNSINDYISCAEFLINNKIIHQNKLAGWGYSAGGLLIASAINFSPHLFRAAILKVPFLDPSNTLVHPILPLTPADYEEFGYPGDIDDFKAIRRFCPYENIQNGVLYPAVLIWSMGSCKMGCKGT >cds-PLY95683.1 pep primary_assembly:Lsat_Salinas_v7:2:114173038:114176391:-1 gene:gene-LSAT_2X52580 transcript:rna-gnl|WGS:NBSK|LSAT_2X52580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALRAPSPVSPPSRIRTQLPKPIFSLSFTHKTPNSPLPNLTQFTKPKFKTFTTISPSVSTEPTSPPEEETETLEQDEKFDWFSQWYALMPVCDLDKRAPHGKKVLGLDVVIWWDKNDNEWKVFDDSCPHRLAPLSEGRIDQWGRLQCVYHGWCFGGSGDCKFIPQAPPDGPPVHTFQKACVAVYPSIVQNGIVWFWPNTDPEYKDILTKKKPPYIPQLDDPSFTFQMFNRDIPYGYEILIENLMDPAHPNTSFSFTVKQDREGGRPLEINVNKIDKNGFTANQQNSQWQFVPPCLFYGSATIGGNPDDVSATSAGNTKGQLSQKPPRQLLLIFICMPVSPGNSRIIFISPRNFGVWIDKIVARWMYHIGQNLIIDSDLYLLHVEEKKVMEAGSSNWNKICFVPTKADANVVAFRKWLNKYSDGKIDWGNKFNGSLPPTPAREQLMDRYWSHVVNCTSCNSAYKGLNALEVSLQVFSLASIAIVAAAKQGMISVAARNTLVVLAILCFVGSKWLSHFIHKNFHYHDYNHAFR >cds-PLY75282.1 pep primary_assembly:Lsat_Salinas_v7:3:157749210:157749722:-1 gene:gene-LSAT_3X99361 transcript:rna-gnl|WGS:NBSK|LSAT_3X99361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAGFGEVPNRSSQSPSCLNANNSINGDLGNFEWIILFDLAQDPIVTLCGLLFCWPCLYKWLHIHSHSQECPVCKALIQEEKLVPLYGRGKNSTDPRSKSVPGVEIPHRPPSQRPETAPPPERNAFPQHRFGLMGGFGPSMTASFGNFTFSFGGLIQSFFNVQMHAFTGP >cds-PLY79519.1 pep primary_assembly:Lsat_Salinas_v7:1:33687712:33688947:-1 gene:gene-LSAT_1X33120 transcript:rna-gnl|WGS:NBSK|LSAT_1X33120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFGLTTHLLPPFALKNTTTTTTIKQSPIQSSTLSAFFSQNPNPKKPSLLSNHLLSVAIALITLTSPPPSIALPSLSNSLPPPNLPPATTPFSQSKNLLTGLDNGKIRPCPSNNPGCVSSNPQSSSFAFPWRVPDKSIDNALQQLQQSILETQKNATIEIVEDTPDGQYLQATVDGGFGRDVLEFLVKGDVVSYRCMATKVTYIYPFTTALGDSKGQEERIRKVVDQLGWDAPSFSAMD >cds-PLY85631.1 pep primary_assembly:Lsat_Salinas_v7:5:76220608:76221174:1 gene:gene-LSAT_5X35841 transcript:rna-gnl|WGS:NBSK|LSAT_5X35841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSRNQLQVTHLQSQEGVKVCDCVVPAKERTCWQIINPGRRFWNCQNSMVGPDSLEFDKLRKCNFFECKDEEQADGYYKNLLYSLKQKLDAKDEMSEMNKLRRRIAEVEFLLSRG >cds-PLY73539.1 pep primary_assembly:Lsat_Salinas_v7:9:77678685:77681295:-1 gene:gene-LSAT_9X62960 transcript:rna-gnl|WGS:NBSK|LSAT_9X62960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDARWIILYNAAVDGMVGEFTDINRRMEKTGTFTMKRNRLFQLVGKTNSNLAYVNLKLGLFEWYKLVEQFMRNVVLFMFRSDIAWKDAKYAQIWEYLTDEFMLTQRLATLDFKLKFVEIQQKKNSLF >cds-PLY84386.1 pep primary_assembly:Lsat_Salinas_v7:8:78941239:78942810:-1 gene:gene-LSAT_8X56461 transcript:rna-gnl|WGS:NBSK|LSAT_8X56461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAADTEDFEMISHIPFLTKYKASGETLNNIDHEAMAVDPALVLTIQVMLTDFGVAKQFDESTRLNSMCGTLEYMTPEIVQGRGHDKAADWWSVGILMYEMLSGKPSFRGGNRQKIQEKIVKDKIKLPGFLSSEAHSLLKGLSWQPSTVVLDRHLLAKDIVYVFFCCYCGFGNILAYWSNCMYLT >cds-PLY92046.1 pep primary_assembly:Lsat_Salinas_v7:5:324246900:324249015:-1 gene:gene-LSAT_5X180640 transcript:rna-gnl|WGS:NBSK|LSAT_5X180640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYADRVETASRSSIKDRLNGGALENPGRRSQVTGKRQRQDDDKWQHDLYEQPDPQVSNRSVGALDLRLKLQKKSNQRATLGAKGSLSGGVRDLREKLSGVSYSQPAAKPKPVPETTSKPARRSVVADANVTETKKVSTNASKRKKTETVDSFLQALGLEKYSITFQAEEVDMTALLHMTDEDLKAMGIPMGPRKKILLALESKG >cds-PLY74225.1 pep primary_assembly:Lsat_Salinas_v7:1:79131475:79132887:-1 gene:gene-LSAT_1X67100 transcript:rna-gnl|WGS:NBSK|LSAT_1X67100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSVFDVKNTPCMNLVYFPVDYTKIITTKKPYSCRPDFRKKICSTTVAITSLPSSLSHARVSTISPSLPLNWRDVQGLNNWENLIQPLNPLLQLEIIRYGKFVTASYDAFDLNPDSSRYLTCKYAKNRMFMEVGWADCGYEVTKYVYATPDINIPIQNGGSCARWVGYIAVSSDEEVKRIGRRDILVIFRGTATYPEWIANLMSSLSPARLDPNDPKPHIMVEAGFLSLYTSSETNVRFGVGSCREQLICEISRLLRRYKHEDMSITLAGHSMGSSLALLLAYDIAELRLKQPTVPLTVFSFAGPRVGNVRFKERCEELGVKVLRIVNAKDPITKLPGVIFNETFSPWTCACYAHVGIELVLDCLKTDEEENLEIPDYMIDKIVKRGMNVMDFLKIDDILCVHDMQTYIDMIKNCPRRSKIRQKRVDLIKNLKEINMKFSVPWRYAAITFLLYHGCVMFANMMSLANYP >cds-PLY65434.1 pep primary_assembly:Lsat_Salinas_v7:9:185234554:185239587:-1 gene:gene-LSAT_9X113661 transcript:rna-gnl|WGS:NBSK|LSAT_9X113661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKRSSDSVEEIDIQKSNTSSDSKVSSEHLKKKMKKVKKNEEEIEKSNNNDNSSNGVKPMERKKKRKALDKEKHRATSGDNAEVKPILANLEVKEVKKSRTSNSLPEFHIGVFKDLGSADGLVREAAAERLVMELQVVQKAYNMLEKKEDVDGGLKLEAEKDDGLNNCAPSLRYAVRRLVRGVSSSRECARQGFALGLTMLVGAVSDIALDSLLKLIVDLLEVSSSMKGQEIKDCLLGRLFAYGALARSGRLIQESEYIKEFTGAVITLATKKRYLQEPAVIVILQLVEKLPVKVVLKQVLDAPGLHEWFEGATEAGNPDALLLALKLREKLSDDNRSFGNLLPNPYSSSSMFSPEHLSILSSCLKESTFCQPRVHGVWPVLVNILLPDVVPEGTDSSTGLNSTKKHKKKPKSSSYEEDLQKNLQNFWDVIIEGSLLLSSHDRKHLVFDVLLLVLPRLPVSCIPIVLSYKIVQCLIDILSTKDSWLYKFAQYFLEKLSDWVSNDDGKRVAVTMALQKHSNGKFDCITRTKTVKDLMSGFTTEPGCMLFIKNLIDMFLDVRPLEEPSDQSQTTDDNSEIGSIEEKDVTSDFLKSWVVDSLPSVLKHAKLDKTEDSNELPEGEGKFGVQKEILKFLAVQGLFSSSLGTEVTSFELDQKFRWPKAATSSSLCRMCIEQLQLLLANAQKGEWPHTVTSGKEANDLGSYFMKFLSVLRNIPSVSLFRSLSDEDEKAFKKLQAMENWLSKEERNCGMSADAHRLHALRYLLIQLLLQILLRPGEFFEAASEIIICCKKFFPSPDLLDSSGEDEADADGDDAPVLMDVFVDTLLSLLPQSSAPMRSSIEQVFKYYSDDVTDDGLLRMLKVIKKDLKPARRQDSDTENDDDTDDDDLLAIEEAEDSDEAETGGEVADDQSEESEGIADSAGKGDSDSDSDGGMDDEAMFRMDSYLAQIFKEKRNQAGGETAHSQLVLFKLRKQQVLKVFTNLAQAFVNPNTTEGSEQLGDRICKIMEHKIFKAKHYPKDESVQLSLLEPLLEKNLKLASKPFKKKKSAITPSKKKQSASFQRYRKIVNLAQNSIYWILKIIDSRSFKEDELEKVFEIFKGALTRYFDGKNSMLKLNFLKEIFRRWAWIGRRFFGFLVEKCGGAKSKFRRVEGLDLVLEILKPLNIEGSDVGKKMVSKHMSEICVLIKELVMNMPEKQSKRAEVRKFCGKLFTIFTNLKLSAKFLESLDPEVCVACEGQIGKVFLDLKKQQV >cds-PLY99756.1 pep primary_assembly:Lsat_Salinas_v7:9:53291865:53296309:1 gene:gene-LSAT_0X7541 transcript:rna-gnl|WGS:NBSK|LSAT_0X7541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRWWDSARDLRPLVHLLLPLCFHWVAEEMTVSVLVDVTTEALCPGENTCSEAIYLNGLQQTIVGIFKMVMLPILGQLSDEHGRKPLLLITVSTTIVPFTLLAINESRSFVYAYYVLRTISYIISQGSIFCIAVAYVADIVDNRKRVAVFSWITGLFSTSHVVGNLLARFLPEEYIFQVSIALLIFCPVYMAIFLVETVKSTPKVDQHSSYLSKALTVVQERYKTMKYAVNIVFNTPTLRGISVVSFFYELGMSGISTVLLYYLKAAFGFDKNQLSEILSMVGVGSIVSQLVVLPLANPLVGEKVILCTGLLASIAYALLYGFAWAPWVPYLSASFGVIFILVKPSTYAVISKGSRSRDQGKAQTFIAGVQSIASLLSPLAMTPLTTWFLSTNAPFDCKGFSIICASLCMVSLLNHHHHRHLPPTTTMNFPFFLMGFVKLFQVISLCFACSLKLESPPDDVDNLEAPLVS >cds-PLY92887.1 pep primary_assembly:Lsat_Salinas_v7:3:182375712:182377454:1 gene:gene-LSAT_3X109201 transcript:rna-gnl|WGS:NBSK|LSAT_3X109201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQPNGLKLPFHVIKDCTQDFNNKNFIGKGGYGRVYKGILTWGDHINQLVAVKRLDVNGFQGNKEFRTEVAMLSEYQHENIIRLIGFCDDNKEMILVYEYASHGSLEKYICDTTMSSRLSWTQLLKICIGVASALDYLHNHVAEKHRIIHRDVKSANVLLDENWNAKLSDFGLAKIGLANQRNTFVITNLAGTYGYTDPQYERTGFLTKESDIYSLGVVLFEVLCGRLACVFSYHDERRFLHHLARTCYKNGELDMIIDHRIKKAINPITLRKFSAIAYQCLQETREERPTIAEVTFQLKGALKMQISPVFFKIEKMNPPLVDSELESLSRLYINKRDMENKI >cds-PLY77142.1 pep primary_assembly:Lsat_Salinas_v7:7:183807309:183811866:1 gene:gene-LSAT_7X108781 transcript:rna-gnl|WGS:NBSK|LSAT_7X108781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSPSTFTCKNLFAITLIIVFLLSCCTRSPRVQALKAPIRPQDILPLLPRQVSWPILNTFRGAADLLPSFVGAAAIANNSLLHWKGSCFYENTAWLELHNKSGSEFGGGTLHIKVSKAHSWTCMDIYVFATPYRVTWDYYFISRDHTLEFDEWGGKAEYEYVKRKGVSIFLMEAGMIGTLEALWEVFPLFTNTGWGETSNLAFLKKHMGANFEERSGPFVTNVTVDDIHSGDFLAISKIRGRWGGFETLEKWVSGAYAGHSAVCLRDPDGNLWVGESGHEDDEGQDVIALLPWDEWWEFEVTKDDSNPHIALLPLHPDLRSKFNETAAWEYAQSMIGLPYGYHNLIFSWIDTISDNYPPPLDANLVASVMTVWNQLQPAYAANMWNEALNKRLGTKGLDLPDILVEVEKRGSSFAELLTIPEQDDWVYADGKSTSCVAFVLEMYKEAGLFGNLASEIQVTEFTIKDAYMLNFFEDDLSRLPKWCNEGDTVELPFCQIKGKYRMELPGYNTMNPYPYMTENCPSLPPDYFRPEYC >cds-PLY83221.1 pep primary_assembly:Lsat_Salinas_v7:9:122073633:122077412:1 gene:gene-LSAT_9X77100 transcript:rna-gnl|WGS:NBSK|LSAT_9X77100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTSTKEIDPLLRDLDEKKLNFRRNVVSLAAELKDVRSRLSSQEESFAKESLTRQEAEIRAKNMEEEICRLQKSLDERNLQLQASSSDAEKYQRDLDHLTSQLSFTKATADASAISAQSAQLQCMALLKELDQKNKSLKEHEARVNQLAHQLDLLQKDLESRESSQKQLKDEVMRVEHDIMQALAKAGANNDCELRKILDEVSPRNLDKMMKLLSAKDEEISKLRDELRVMSAHWKLKTKDLESQLEKHRRADQELKKRVLKLEFCLHEARAQTRKLQRMGERRDKALKEVRDELAATKNGGNGENQKQNQNFWEMPGFKIAVSMSMVILVLISKR >cds-PLY68888.1 pep primary_assembly:Lsat_Salinas_v7:2:193263705:193264067:1 gene:gene-LSAT_2X113940 transcript:rna-gnl|WGS:NBSK|LSAT_2X113940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEYKKTVAFGVVQIDGNMAGREGHSKEKQGSSPVPGKTKWQVVAFGFGSTRFPRKMKLSDIKSRQQTSTTTAEGGEDGSDRRKKRWWRLIDVFRCGGGYDGDMVVNDLRASFPTLKKFD >cds-PLY77088.1 pep primary_assembly:Lsat_Salinas_v7:MU042616.1:159150:161242:-1 gene:gene-LSAT_4X76501 transcript:rna-gnl|WGS:NBSK|LSAT_4X76501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKSLKNSIVTLNPHIFPQFLSLFSPKNHPRNPQLSFAPSMRVFFFFFTFLLATILCCVAMNPFLNTPSLSIFSQSASYSPLFLASLSSVFQDPTAADNNDAPVSLTTTAMVPLPPRSVTGTASEFWQQPDGEGYRPCLDFSLNYRRRSSKIAKEKMKFLVVLVSGGLFQIRNQIVDAVVIARILEAALVFPVLQGDESEEFSKIFDVEYFKKTLKADIRVVSSLPTTHLVSWQSLENQIPHNVPPFWLRAKFLKKLNEEGSLVLTGLDSKLSKNLPLDLQKLRCKVAFHALKFAKPIRDLGNRIARRMWIEGPYVAIPLILEKNLWLRTKEYNSIITKEHGFLNASEVARLLKELGAPKTARLYIASGEPFEDDEAILPLKAEFPNVVTKEMLARDGELDPYRNKTLILTAIDYIVSLSSDVFLPSFDADFIVVFKGHRAYVGHRKFITMWRDFKGQPETRGRRRDRDVIAYPVPECMCKH >cds-PLY87120.1 pep primary_assembly:Lsat_Salinas_v7:5:259722605:259723938:1 gene:gene-LSAT_5X129800 transcript:rna-gnl|WGS:NBSK|LSAT_5X129800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHQTPPITWIFHKVKKNDNYINKRFNDRSTIKKRKQDCHAFLILDRSIPKESCEFWFSIAMSVESAITNNDNGASVFNNGEEKKPFRIFVGYDTREDLAYEVCRYSILKRSSIPVEVIPIKQSELREKKYFWRERNKLESTEFSFTRFLTPFLANYEGWAVFVDCDFLYLGDVKELHDLIDEKYAMMCVQHDYTPKETTKMDGAVQTAYPRKNWSSMVLYNCVHPKNKILTPAIVNQESGAFLHRFQWLEDGEIGSVPFVWNFLVGHNQVVDGDPSTYPKAIHYTSGGPWFEAWKDCEFGDLWLKELEEYKKVKEKEKKLG >cds-PLY76296.1 pep primary_assembly:Lsat_Salinas_v7:7:124289584:124293527:1 gene:gene-LSAT_7X75180 transcript:rna-gnl|WGS:NBSK|LSAT_7X75180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMSMAVVRPSPFLGDIDFGLGGLSYRKHFLIPNHTSRIKALFWEPKKPVEPPEMYLSLNNFTLTKIHTKEESSETEKAKKISVSVVSSILEVPSSDWDACSLDATGVQQFNPFLSHGFLSSLEESGSAVKETGWLPRHIVAYDEDKNIMGVIPLYLKSHSYGEYVFDHSWADAYYRYGSRYYPKLQSCVPFTPVPGPRILLRNTSYKDQVFDILVSAMKDLAIKFEVSSLHITFSSEQEWNALKGKGFLQRTGMQYHWKNRNYKNFDDFLMDMKQSKRKNIRQERKKIPAQNLTMKRLRGYEIKAKHWDTFYTFYRNTTDNKFSAYDSFFIHWWGTPYLTREFFHMMGSKMEDHVMLIVAEEGEEVVAGALNLIGGDTIYGRLWGCLPRVYYPSLHFEACYYQAIEAAIELNLEKVEAGAQGEHKIQRGYLPVTTYSCHYLLDEGFRQVIGNFLSRETDQVKLVTELIKDSGPFKDGII >cds-PLY86863.1 pep primary_assembly:Lsat_Salinas_v7:8:50010297:50011143:1 gene:gene-LSAT_8X37940 transcript:rna-gnl|WGS:NBSK|LSAT_8X37940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPSNQQVNQLKEIFTRFDLDSDGSLTHLELAALFRSVGLKPGDEIDTLISKLDANGNGSIEFEELVNAIFPDSDEEIFIDQEQLMKAFRLFDKDGDGSITPIKLATQMAKLGHPLTYRELNDLMNDIDTDGDGSISFHEFTAVFGMPASEFFGIRTL >cds-PLY79923.1 pep primary_assembly:Lsat_Salinas_v7:8:17912888:17913563:-1 gene:gene-LSAT_8X12501 transcript:rna-gnl|WGS:NBSK|LSAT_8X12501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLWRAVMGDSPPNVDDYDGVEYWSNPERTGWLTKQGEYIKTWRRRWFVLKQGKLFWFKEGIVTRGSRPRGVVPVATCLTVKGAEDVLNKQFAFELSTRSDTMYFIADSEKEKEDWINSIGRSIVQHSRSVTDNEIVDYDSNR >cds-PLY74153.1 pep primary_assembly:Lsat_Salinas_v7:9:10776514:10776887:1 gene:gene-LSAT_9X9881 transcript:rna-gnl|WGS:NBSK|LSAT_9X9881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFNKKHKVDGMNGNPRALARLKVVCEKAKRDLTSTTLASIEIDCLYKGIDFSTKISRAKFEELNSTLFDKCIQLVDRSWMRWWWLVDRAGLGFQRCNKCWRNILMGRHFARA >cds-PLY94750.1 pep primary_assembly:Lsat_Salinas_v7:2:180185962:180186573:1 gene:gene-LSAT_2X102100 transcript:rna-gnl|WGS:NBSK|LSAT_2X102100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMAKSKQKQPPNDFEECVQDGWVIVKKQKVTILIPPLPFFTQQSPMPIPGGSPLQPIAIQEVDDHIAPSQPDPPIPLPLPLVTTKPITTFSNPRKLMNHRGKLVNSHYKTPKPRKYCGNLVNGHMLVNTKMRALNLERKLKRAGGLNSWLVSLGLGQFVKIFRCKRVGKMQLVNLTMKKLKDMGAVAVGPRRKLMHAIDCLW >cds-PLY86979.1 pep primary_assembly:Lsat_Salinas_v7:5:264639066:264640261:-1 gene:gene-LSAT_5X136420 transcript:rna-gnl|WGS:NBSK|LSAT_5X136420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSALILHLGELAQSKSIVDIIIGALAYTLMIFQPNLEDEPVDATKIENILVALLKARVNNLVQERILEAIGAMIASQQVLINQKPRRYICACVESDEAIPAFLWFLKTGGTKGQEASGKALRKLIRKADAATINQLLALLWGDTPKSKAHIIEVLGHVLTMASHYDLVQKGSDAYKGLRSLVQILNSSNEETQEHAASVLADLFSIHQDICDSLATDEVVHPCMKLLTSNTQILATQSARALGALSKNKMSYIAEEDVKPLIKLAKTSSSIDAVETVVSALAKVK >cds-PLY79116.1 pep primary_assembly:Lsat_Salinas_v7:2:15890139:15890438:-1 gene:gene-LSAT_2X8481 transcript:rna-gnl|WGS:NBSK|LSAT_2X8481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYLVGYGDKYPQYVHHRGASIPANVKTGCKDGYKWLESKEPNPNVATGALVGGPSKADNYIDSRNNSMQGEPSTYNTAVLVGLLSGLVSVSSVPKSFK >cds-PLY73104.1 pep primary_assembly:Lsat_Salinas_v7:9:23197085:23203809:-1 gene:gene-LSAT_9X21100 transcript:rna-gnl|WGS:NBSK|LSAT_9X21100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHAMNSNRGQDTTLTFTKQPYVEDKGPRRIKSIKFSMFSDADVLKMGEVQVSCSRCYVSQEDKSPVPNGLLDAHMGPPNKSGTCDTCHGKFENCPGHFGYLTLVLPVYNVGYISHIVDILKCICKSCSRILLVEEERVGYLKKMRNPKLDHLRKNETFKKVVKRCTAMASSKKAVICSRCGYINGIVKKAVGTVGVVHDRSKVQDSTSKELTVATSHLKESKTPVNLSPYLNPDKVLKLFKKMVDEDCDLLYLADRPEKLIISVIPVPPLPIRPSVKVDGGATSNENDVTLRLAEIIKANALLNQNLSDPSAANKTMENWEYLQITVALYINSDVRVPPSPNMQNTKPMSGLVQRMKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVGIPILMAKILTFPERVSNHNIERLRHAVRNGTIKYPGAKHIRKTDGSMLSLSINARKRLADELKIGDVVDRHLIHGDVVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRASFALMCCYMGDAMDPLDLPTPVILKPIELWTGKQLFSVLLRPYADMRVYLNLTVTEKSYTKPSGKRVKPYETMCPNDGYIYIHNSELLSGQLGKATLGNGNKDGLYSVLLRDYNSHAAAACMNRLAKLSARWIGNHGFSIGIDDVQPGDNLNDNTNRIISEGNKKCDNFILDFNNGKLKLQPGCNAAQTLEAEITGVLNKIRDETGKVCMEKLHWRNSPLIMSQCGSKGSPINISQMIACVGQQSVGGQRAPNGFIDRSLPHFQRMSKTPAAKGFVANSFYSGLTATEFFFHTMGGREGLVDTAVKTADTGYMSRKLIKGLEDLSVYYDNTVRDASACIVQFTYGGDGRDPSQMEGKSGFPLNFSRLLDKAKNTCPSGEHKGMSPTEIREVVEERLSMHDMTPEGGCSEDFRKKLKQFLENIATTLDFTMKAIMPRGQLNDDESLVFENVAQSVSGITPQQLQVFMETCISRYHLKKIDAGTNIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEILNAAKKISTPVITAKLRTNDNVSFAKLVKGKMERTLLGQVAKSIKLVLGLRSASIVVSLDKKTIEALQLNINAYTVQDSILKTPKIKLKENHIRILDDYKLEINPPYDRNKYYFDLHWYRNRLPSVVVKGIGTIERAVINKKKEQEKFNLLVEGTGLQAVMGMEGINGHETTSNHILEVQNTLGIEAARRSIIKEIQYTMESHGMSIDIRHMMLLADVMTYKGEVLGITRFGIQKMKESVLMLASFEKTSDHLFNAAVNGRVDKIEGVSECIIMGIPMLTGTGMIKVKQRYTQ >cds-PLY73899.1 pep primary_assembly:Lsat_Salinas_v7:3:39764967:39767127:-1 gene:gene-LSAT_3X29621 transcript:rna-gnl|WGS:NBSK|LSAT_3X29621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSISLEDVPSLDMMSELLRRLKCSSKPDKRLILIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKETMEKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVAQAEKLDEMLQKQGSKIDKVLDFAIDDSILEERITGRWIHASSGRTYHTKFAPPKTPGVDDVTGEPLMQRKDDTAQVLKSRLEAFHRQTEPVIEYYNKKGVVAKLPAEKPPKEVTVEVQKVLSS >cds-PLY64062.1 pep primary_assembly:Lsat_Salinas_v7:8:93717949:93718889:-1 gene:gene-LSAT_8X65321 transcript:rna-gnl|WGS:NBSK|LSAT_8X65321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHVLRCIVTGHYSSKFYIAYAPLVVLGTLLVALVPVVGFNAVMTLEHFASFLVFIIIHLVALAYYIKGILSPKMFDVAGIIGSWWNYYMLFTSL >cds-PLY82939.1 pep primary_assembly:Lsat_Salinas_v7:1:17676284:17677395:-1 gene:gene-LSAT_1X15461 transcript:rna-gnl|WGS:NBSK|LSAT_1X15461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSIRFRIFVFAIIFMLLNGVAYSLQDAKMVMVVGDKSAEEERPLLSRQEKGTLYAVSASVGTLVNGMINGRRMLVKMAEEQHINGDEMVSKKASSSSSGNPRKGGHKMTKRSKKIDHNDDDHHHVKMSSTFMALNSDYHVPRSHPPKNN >cds-PLY65876.1 pep primary_assembly:Lsat_Salinas_v7:4:85142865:85144518:-1 gene:gene-LSAT_4X57340 transcript:rna-gnl|WGS:NBSK|LSAT_4X57340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKLFDAHCHLQDPRIINKAPSVIKTALENGILHFAVNGVSEKDWHLVKEMSNSYPSIIPSFGIHPWFIMDKTSNWLKLLREFMDDTPCAAIGEIGLDKGSRGKTIDFTNQVETFSLQLELAKELKRPASVHCVRAFGDLLDVMKAIGPFPEGVILHSYLGSAEMVPEFAKLGAYFSFSGFLMAMKENKAKKMVKAVPFDRILLETDAPDALPKILNDSESVFLVEEEEGNDEELKEMLNHPANIQFVLAYVARLLDMSKEELADVSYRNAVRIFSYEGSKVQKD >cds-PLY72290.1 pep primary_assembly:Lsat_Salinas_v7:3:167978884:167982803:1 gene:gene-LSAT_3X107101 transcript:rna-gnl|WGS:NBSK|LSAT_3X107101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLIFHHSGSTPSDESALDLERVYCEHHHLNLASPSPPLQSFATTSAGQLSETNAAYFSWPTSSRLNDAAEDRANYFGNLLKGVLPETLGRLPTGQRATTLLELMTIRAFHSKILRRCSLGTAIGFRIRRGLLTDIPAILVFVARKVHRQWLSHIQCLPSALEVEQGPGGVWCDVDVVEFSYYGAPAATPKEQLYSELVDGLRGSDPCIGSGSQVASQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIAFAEDLNISNVTTSVKGIGEIGGVKVIDLQSPINSIIGKQVAKVGRSSGLTTGTIMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLILLTGQDGEKPRPIGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDLLELDLITSNQSLQAALQDERNASAVGIGSTVGESSAPEHEQTSPKEKYEPLGIDIINLQEPPKGDEGGTVEHQFISSFSETSPSPRKYHTFSPHEEISVSLHLGEPEPKRQKQSDK >cds-PLY87222.1 pep primary_assembly:Lsat_Salinas_v7:4:308133700:308137454:1 gene:gene-LSAT_4X156021 transcript:rna-gnl|WGS:NBSK|LSAT_4X156021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYQSSEKDITDDFKMQRIGNFLSCASRGDRVGLNMMLREGISPNVQDYDNRTALHLAASEGHASIVELLLHYKADVNLDDRWHRTPLTDARLYGHRDICRILEVNGGKDSIMNSPMTVRYEEDAKEVNIEISEINSENSEDIEQGVFGKSKKMVEAKYIPDMIHLKSLDFQMTFNTKCPARIQQ >cds-PLY71067.1 pep primary_assembly:Lsat_Salinas_v7:3:160181255:160200339:1 gene:gene-LSAT_3X101560 transcript:rna-gnl|WGS:NBSK|LSAT_3X101560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSNTRGSTYIQVDVHYDGMFSPIPHLIYYLNQKTSITDVDFGGLNFKEFIYVLEDVTKGKCPVVYYCLGHKSMRDGLTPLKNDDDYRRFLDAAHGNEGKINVVAESVATFLLVFVTCGSAALATSNEHKVSQLGASLAGGLIVTVMIYAVGHISGAHMNPAVTLAFGAVGHFPWNQLTHCVYKVPIYAIAQLMGSIAASFCLRVLLQQGTYLGTTTPSGTNEQALIMEIIVTFTMMFVTSAVATDSKAVGELAGIAVGSAVCITSILAGPISGGSMNPARTIGPALASNNYKGIWVYIVGPITGTVSGDLASFAVDNTPISTFPKSTLVTPSNNHDTDDLMSPSTNVKRKLGHVYNLDDIVCESSTKSIGVKEGIVSTQLLIPKVKK >cds-PLY97511.1 pep primary_assembly:Lsat_Salinas_v7:2:6343669:6344567:1 gene:gene-LSAT_2X2080 transcript:rna-gnl|WGS:NBSK|LSAT_2X2080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGACMSSGGDSVTNRSNSALVISPKGELRRYSSPVFVSDVLQLETDGAASSFFVCNSDNLSYDEHIRALDLEDELDAGQIYFVLPVSKLRERLSASEMAAMAVKASVALNAKATYKTITRSGSLSRSKAMNSQKRKNKSKISPFVVMETSKINVIVDQEEQNKRLVDSNYKQQASSLGVSRSGSIRKLQRYSSRKARLAVRSFRLRLSTIYESESSDHSHKYY >cds-PLY75380.1 pep primary_assembly:Lsat_Salinas_v7:6:178568625:178569059:-1 gene:gene-LSAT_6X108501 transcript:rna-gnl|WGS:NBSK|LSAT_6X108501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTSCELNPVEIHEMLSLLESDLHFNSGSGSSDQPRLARMISNRESAKRSRQRKKRHLEELNHQLNQLRHTNQHLKNRLNWFLHQCPTLLKENHHLGYECILLQSKLSALCQLLVNMHAVSISMNVNHLADSCVSELYVTNFGL >cds-PLY81056.1 pep primary_assembly:Lsat_Salinas_v7:6:131107072:131108552:1 gene:gene-LSAT_6X79881 transcript:rna-gnl|WGS:NBSK|LSAT_6X79881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEIGGGGSACGHHGETLDIKDEPVSSTANSASLFTIPFCQKLVAEFLGTYFMVFAGCGVVAVDVEKDHVIGQVGIAIVWGVVVMVMIYTVGHISGAHFNPAVTIAFASCKRFPLKEVFAYVVVQILASTLASGTLRLVFNWKHNNLGATVPSGSDLQSLVLEFIITFYLMFVISGVATDNRAIGELAGLAIGSTILLNAMFAGPISGASMNPARTLGPAIVSNQYKGLWVYMLGPIAGAVAGAWAYNVIRFTDKPLRVIVKNASFLQRSNGQNR >cds-PLY70386.1 pep primary_assembly:Lsat_Salinas_v7:4:101383845:101386550:-1 gene:gene-LSAT_4X66001 transcript:rna-gnl|WGS:NBSK|LSAT_4X66001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGESQPFLFHPLWASRRRKKGRVYPGHDPIHILSVYSGRAYRYRDPSKPALGQHQKSFVPPCNEQGPGKMYEAGKGKGTIGHGIFYIENTPRVNGSMSHGCGLKFIHEPLLLHRKSTNLAELALIQCLSPSRVPGYAGNPLKDGGGRLRTAGSRIVHSAHSIDTGKEKKRMFTLNFHYEDVSRQDPLLKPNHANVMEVPGSCKIRVVPKAAPSDFIIKNGKLAMEIPCGQKLIQTQRASTGKEFRSNPFLGKNQDKKGYVSDLARQSTLRGHGMSHFLVRISAVMSLLDFPVEIREKSIQFLMEMEFCEFSPELEDHFEIFEHIRGFNVTIVTSANTQDETLPPWSGFFQKDEGESQ >cds-PLY97219.1 pep primary_assembly:Lsat_Salinas_v7:4:95266459:95268557:1 gene:gene-LSAT_4X62701 transcript:rna-gnl|WGS:NBSK|LSAT_4X62701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEELKNRYYSGKLSFSLLQLNICLFSAVFRTILIGLYCKDWCQNPNFDNGCQGHSRNTEYFTAHTTGKDIDTPEGFSEKTRWHFARGRDDGLKFPTCNEFVLNCPNITTLALKGFKLHDYKAHMPVKGLQKLKHIDLSTSYSFTGSFLKNLSVNGGGDNLEVMILRDCMHLKEIEVERFMEVVLAGEFKHLKHLDISNREGLTCEGDCFIPIKEVLEQRPDFYLVAEFQ >cds-PLY84172.1 pep primary_assembly:Lsat_Salinas_v7:4:369887419:369896998:1 gene:gene-LSAT_4X182161 transcript:rna-gnl|WGS:NBSK|LSAT_4X182161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGLLLTAKVPLHSHIRLMEHEINVQLPVQFEDFYILSFGKIIASPLYYGANYIWPIGYKSCWHEKLTGSLFTCEVSNNGQGGPLFKITRSSCSELPLQYGQTILCRADLEEHDNVVTELTEIDQDIGDEIMYEDVIGDQYFEGSSLSSTWELLLKMIVDVHEEIINRNGGLQCYCRHAEDLSFLSSVHFTQEVSIDLENFLHSQQCVSVPTVVHGDKETREFSKSLINWLRGYRFGLDIEFVQEFIEQLPGVEACSGYIICKDRLRDSSSTISNKKIKIKDVEPFPVGSLISSRLSSNATGDLLQVYEFLCRFKEVLEVEEDCLSYEDLENELHLTPWPVTYGYGAGAFLETVEMNCVKNKVDKLTTVHMALLPWLVSKLLRKITKAFKVTGDAKDTEKDKDKKVNLEMFPINPLTWPEVARRYILACLLMGSKNTAVGNKTKLIRCLQGDDAIFSGSPAGVAGSDVDAQLLGRAVEKVFGKRKRERSILPSGTKVDNLENYRFETDFSIPEWAKVLDPVRKLPTNVGSRIRNCVRESLKKNPPEWAKKLLEASISKDVYKGNASGPTKKAVIDVLKRVSDGLQTASPPGMGIEDIKTSKMLSNTVMKKCHVVLRQVAEAYDKKEKVLVDKKKKGLFNLVGRDLNCNDNYLKIVFGSVSMRPIDLRTIDLRLFHGAYGASHEAFLEDVKEVWINLRRKFKLVDKMSRDFKLRYEKEVGTLFRRFYEDNMNRKPVEEIEKELEEILTSTEIPEAPWETRICNVCGINKDDGKVLICDRCEAYYHTYCLTPPLSQIPKGNWFCPICVPPQHDNEAAGLENILQFVDKNCEENIKLLDIATALKEKEYWELDADKKTFLLKFLCDELLKTSLIRTNVKEPVVNVMQQKNSLNETSTNLKEPDVNHEELSLRKEFLGIDSENRFYWGFQNTNTSTHHGIVVNDTGMCDSDSRAWCLFQSDEQIKSLINYLKRNDPNRRELRNSISKWQKSITKHGQQTGANSVKDETVFSYNYGLATKASELLEAKYNTDPDSVKKPRRKNMAKWHRCECLEPVLPCRYHCVKCHETFFTNVEFEQHKNKCDCGVELDLLNRPGPGRLSLGCDSLRWLKMNLLDMEAALPDEAKRGSRASSELRSEWCAFVKSANTVYEVK >cds-PLY85866.1 pep primary_assembly:Lsat_Salinas_v7:8:179522638:179523968:-1 gene:gene-LSAT_8X116920 transcript:rna-gnl|WGS:NBSK|LSAT_8X116920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEDLLDLSKMFNAVKSLVRVPSVDPKYKIALLASKQDHCLVDLLHAWQDGKLPVQISSVISNHDRVGNTHVMRFLERHEIPYHYLSKSKEKNVEDEILGLVEDTDFLVLARYMQVLSGNFLKRYGKDVINIHHGLLPSFKGGNPSRQAGSAFEAGVKLIGATTHFVTEELDGGPIIEQMVERVSHKDNLLSFIQKSENLEKQCLMKAIKSYCELRVLPYQHNRTVVF >cds-PLY86207.1 pep primary_assembly:Lsat_Salinas_v7:8:224174848:224176708:-1 gene:gene-LSAT_8X137740 transcript:rna-gnl|WGS:NBSK|LSAT_8X137740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVGESTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISRPSYENISKKWISELRHYAPTVPIVLVGTKLDLREDKQYLSDHPNATPITTSQGEELKKTIGAAVYIECSSKTQQNVKAVFDAAIRVVLQPPKLKKRRSKQRLCVFL >cds-PLY62801.1 pep primary_assembly:Lsat_Salinas_v7:4:32059180:32064516:1 gene:gene-LSAT_4X21500 transcript:rna-gnl|WGS:NBSK|LSAT_4X21500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYNQSLMLQKQKATQPTTKPKSSNETMEEKGLTLLPISSDMVQGINSSEKMGGHEGIRRNGGREGIRRNATLICAPIMADTVDQMLIQMNSAKSYGSDLVKQDTFFPADLQGCSLRNTKYIVGTVIFTGHETEVMMNSMNVHSKRSTLERKLDKVIATHFGVLLSLCLIGAIGSVVFVNNKYHYIMLWVNGDSQQFNPSNRFVPYVDEAWNIGLQVTDMGSLSNMTVQSDKYGLQLVESVLSKVMEELENRITSQVELVNEVKGEDVVCLIKNSVTLSGSLFTLHVSQICIELPTLTDKDKEVISTWGVRNKIFAKIENFEVGCSHFSSCM >cds-PLY62421.1 pep primary_assembly:Lsat_Salinas_v7:7:116157439:116161895:1 gene:gene-LSAT_7X72040 transcript:rna-gnl|WGS:NBSK|LSAT_7X72040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDADLVDDGDAAGGGKAALSVSCSICLEVVTDNGDRGWAKLQCGHQFHLDCIGSAFNVKGVMQCPNCRKIEKGQWLYANGCRPYHEFSMDDWTHDEDLYDLSYSESSMWCPFGGFTRLAASFDEGEFPTTAYHDLLGQHAIFTEHAAVSSATHLCPYIAYVQPVHPSSSSSSTSSASVGDGHGHGHGHGGTYNNQWNNSQSASSEMPNSYGLPTMDVRYHTTLFPTSTNRLGGGAAADQPSMSSVMPRRPLRSNADMPRSGGSYVHPFLLGQSSGGRAPSAVGSSMIHPYPGSAARARERSQALQAYFQQPSNLPGLRTPIVSGSRRSNNPPQIGGPAGSSSDQLRGGGVYFLPTSGGGGGGSSNGRAFQEAESSMQPNPFHHGWERDPHAGWGFHQAGGGGGGGGGVFRQRHGSDRTPGQGHYRS >cds-PLY84009.1 pep primary_assembly:Lsat_Salinas_v7:8:36776266:36779014:1 gene:gene-LSAT_8X29300 transcript:rna-gnl|WGS:NBSK|LSAT_8X29300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAERKITFIRDLDNMKDDYTLKVSIIRLWKSLSDGNPTIVRSIEMILMDEMCTKIRASVYPRDFQRFESKLKEDQVVYIRSPTIAPNKYTFKISDVTSKLNLHGRTTVNECLHFQSKTTYGFSFVSFETIISATATSNESIDIIGEVVSLGKLDSRDVSKSLHRLPLQIRNLEGLQVNVTLFGDIAYQLISYLEAHKEVGRVIVLLQFARINVYNATPSVNSYYEQTRMFINANLPEIVTFTDSLVGLRGLQNPSASLTVESSKSYSESDDFLNNYKVKNVVDLIEPQEVGQYIIVGTIYGIRQDIDWYYDACTNCGKKVETEDVFSGPDSGDASVVLKCNGDNCKNKKISSVPRYKIPIRVQDDSGTITLTLFDRDAYRLVKKRARDLIDKIKQAGDNPRLYPYDLKCLEHKKMAFKIDVNSFNVSNNYNRFGILGYTVDSNVIDALEKKLAVEAGSPANADDTEIASHEVSQETKSLKDAISQTGDNLTPTLPDKFEATSPFKYNSPTIVKKRNVGDTIDVDDYDNVNSSTKVPRLNSKVDANTGLLIPKLEK >cds-PLY96734.1 pep primary_assembly:Lsat_Salinas_v7:6:77871143:77873968:-1 gene:gene-LSAT_6X55460 transcript:rna-gnl|WGS:NBSK|LSAT_6X55460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTLTTPLLILSIFFIFNSIPIPSSSCPLHQKQALLHFKSTLDAIHDSESSSFLPVELNSWKPNSDCCTWDRLKCSKARAVTELHLYSIVPESNNPVPVFSNILTPLFHIQSLKLLDIAMNSLLGEIPRDGFRNLTELVHLDMMLNNFNGSIPSQLLQLPKLQYLDLSVNPLLRTLGPEVGSFRSLTTLRLSFNNFQGPIPPQVFELDSLRILDLSNNLFQGVLSPEVGKLRNLERLYLDRNILSGIIPKEIGNLTKLTELYLTDNHFSGEIPASVINLKEMVLLDLSNNSFSSQIPSAIGRLPNMTTLDLSKNELTGPIPSSLQNVSKLETLRLQHNKLAGDIPTWLFNIKSLRGLFIGGNGNSLTWNNNTNIVPRCSLQQISMTSCRLSGQIPKWISSQKELTFLDLSMNQLEGKFPFWLAEMDMEGIVLSDNKLTGSIPPRLFESLGLKLLALSRNNFSGELPENIGNASTLSMLLLSGNNFSGNIPRSVSNRLQVLDLSRNRFSGDNFFVLGDKPLPYIDLSYNEFFGKIPMTFSSETRVLSLGGNKFSGDLPSNLSNLVSLESLDLHGNDITGNFQDVLPQIPTLQVLNLRDNSLKGFIPRTISNLTSLRILDLSGNNLTGSIPQEIGNLTRMIETPHTKYVPFDIWPRYMFIYDDFLDKIEFQDLVVNWKNSFQGLSSHSIGIYSFMDLSDNRFSGEIPASLGNLESLKVLNISYNNISGLIPVSFGNLKDVESLDLSHNKISGSIPQSLVKLDQLAILDVSNNRLTGKIPTGWQMNTMNEVKFFANNSGLCGMQIMIKCPEDIPPTPKKETEEEDEKLSWMLWEGTWFGFPVGFFSSILIMGYLLNFLLLFKFW >cds-PLY75600.1 pep primary_assembly:Lsat_Salinas_v7:9:33578459:33578734:-1 gene:gene-LSAT_9X29401 transcript:rna-gnl|WGS:NBSK|LSAT_9X29401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKWGKWVAEIRELDKRSRIWLGSYSSPVDAGRAYDTDVFYLHGPSTRLNIPDSIGDDSDLHDLSSDSIRKKATEAGGTVEDVRVGGGEDI >cds-PLY73537.1 pep primary_assembly:Lsat_Salinas_v7:9:77220077:77221720:1 gene:gene-LSAT_9X63281 transcript:rna-gnl|WGS:NBSK|LSAT_9X63281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMALSIASLPIASSSSLSFSRSCSPTDIPLSLPSSSISLTSSIQPLIYCGRGDKNTAKRKRFNHSFGNARPQNNTKGRGPPMVPVPPAPPRKDRFDDGEVSDNPNDSISKSKENSSHFKELRNIACGVLAVLALTTTSPVIAANQRLPPLSTDPERCERAFVGNTIGQANGVYDKAIDLRFCDYTNDKTNLKGKSLAAALMSDAKFDGADMTEVIMSKAYAVGASFKGTDFTNAVLDRVNFGKANLQGASFKNTVLSGSTFEGAQLQDVVFEDTIIGYIDLQKLCVNKTINEDSRLELGCRN >cds-PLY84086.1 pep primary_assembly:Lsat_Salinas_v7:6:189186920:189189164:1 gene:gene-LSAT_6X116240 transcript:rna-gnl|WGS:NBSK|LSAT_6X116240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDKYNMKNPSVKRILQEVKEMQSNPSDDFTSLPLEENIFEWQFAIRGPNDTEFEGGIYHGRIQLPSEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALTALIAFMPTSPNGALGSLDYKKEERRLLALKSRESAPKYGTPDRQRLIDEIHEYMISKAPPVPQQTSEDAIASEQPPPAATESAAEAPATPQNTTTEITTDDENEENHEANMEAEDPRVSKHAVPSALSVVHTVEPRRQEVVMVQKSSDDRLFTWAAVGLAVAILVLLLKKYMKASGYGAVFVDES >cds-PLY68152.1 pep primary_assembly:Lsat_Salinas_v7:7:70549640:70549891:-1 gene:gene-LSAT_7X50441 transcript:rna-gnl|WGS:NBSK|LSAT_7X50441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISTTAMTVAFSTTLFLVFCHKKLWMLAPIGVLAFLPISSFATLQIPLIVDLFRSTYGHIFEKQTNKNSHRFNPDDIRLFFGK >cds-PLY68321.1 pep primary_assembly:Lsat_Salinas_v7:3:239753914:239755380:-1 gene:gene-LSAT_3X133940 transcript:rna-gnl|WGS:NBSK|LSAT_3X133940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMTDPFDGKKILERVPVDPPFSLSDLKKAIPAHCFKRSVIRSSYYVVHDLIVAYVFYFLANTYIPFLPPSLAYLAWPVYWFCQASILTGLWVIGHECGHHAFSEYQWIDDTIGFILHSALMTPYFSWKYSHRNHHANTNSLDNDEVYIPKRKSKVRWYSKILNNPPGRVFTLVFRFTLGFPLYLLTNISGKKYGRFANHFDPMSPIFTERERIQVLVSDIGLLAVFYAIKLAVTAKGAAWVTCIYGVPVLGVHMFFVIITYLHHTHLSLPHYDSTEWNWIKGALSTIDRDFGFLNRVFHDVTHTHVLHHLISYIPHYHAKEARDAIIPVLGEFYKIDRTPIFKAMWREAKECIYIEPDQASEHKGVFWYHKM >cds-PLY73112.1 pep primary_assembly:Lsat_Salinas_v7:9:22468486:22469199:-1 gene:gene-LSAT_9X20540 transcript:rna-gnl|WGS:NBSK|LSAT_9X20540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSHKNPNLETTHSIPSSSQDLKINHGFNNLENKSSSFSLVVNGEHDVNDGRSTISTPSPSPPAAAAAEEEECGRERLKRHRVEMAGRVWIPDIWGQEDLLNDWIDCSVFDSSLGNSSILSARTALVQEARSTLRIENRC >cds-PLY79980.1 pep primary_assembly:Lsat_Salinas_v7:9:46159664:46161874:-1 gene:gene-LSAT_9X42641 transcript:rna-gnl|WGS:NBSK|LSAT_9X42641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPTLKWAQRADVLFITIDLPDAKNVKLKLEPEGKFYFSATAGAENLPYEIDINLHDKVDVDKSKASVGPRTIVYLIKKEESKWWNRLLKEEGKTPMFVKCDWDKWLDEDEQEEKVGGDMDFGDIDFSKLNMGGGGEFDEDDSDTEEEINKEEEEAIEKKMETATALPVSNGVEATA >cds-PLY69013.1 pep primary_assembly:Lsat_Salinas_v7:9:139678485:139679830:1 gene:gene-LSAT_9X88801 transcript:rna-gnl|WGS:NBSK|LSAT_9X88801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLDLRSIVLTVTLILGGAARHTGASIGFEEHRLKLESYNKSLSQKYGDDPTQHNVNDPELWTQTQLLRKGGKQKGPIYGAGYLDLHFLMTGAYSYESTSASVDFAKSQQEVNEVRQQMSNMQQAMDEKQSEMNLQMQQMRNEMELQVQRQLVAFMKQINPSGNPPSSS >cds-PLY97856.1 pep primary_assembly:Lsat_Salinas_v7:2:216743988:216744427:1 gene:gene-LSAT_2X135481 transcript:rna-gnl|WGS:NBSK|LSAT_2X135481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDENHSNGVTNEDMAINLLQIQLKLSLIREDIHDQLRELRQAVNRDLDAMNREVDDVHAGQLDISNLVADLKNQFVSLQGAYVKMVF >cds-PLY97295.1 pep primary_assembly:Lsat_Salinas_v7:3:177212212:177213475:1 gene:gene-LSAT_3X106761 transcript:rna-gnl|WGS:NBSK|LSAT_3X106761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLNTLRNPSVFASSSSNGSLPTSSNQVSQSGKGGPVIIELPLNQIRRPLMRTRANDPQKVQELMDSIAQIGLQVPIDVLEVDGAYYGKEQ >cds-PLY70085.1 pep primary_assembly:Lsat_Salinas_v7:4:203883988:203885652:-1 gene:gene-LSAT_4X115801 transcript:rna-gnl|WGS:NBSK|LSAT_4X115801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELSIHDYGYVKKLSSSLQYSPTNCLTKFPNERQHPFSNPSSSLITAAKKKSPIPSSKGIQKSTDKHLSHILRSEAAIEAIERKANSTSVKHNRFSPKLLLEALDNAIRHRRWESALKIFDLLRNQHWYEPRCQTYTKLLVMLAKCKQPMKASYLIEVMLQDGLKPTIDVYTALVSAYGYSGLLDKALEIIDDMKLILDCKPDVYTYSILINSCTKHRRFDMIEHILADMSYLGIKCSIVTYNTIIDGYGKAGLFKEMESMLTEMLEEDETCLPDSFTCNSVLDAYGNSGMISEMEKWFDEFQLMGIKPNVMTFNILIKSYGKAKMYDKMGYVIDYMTKRFIPPTTITFNIIIDTFKRIGDIEKMEEFFIKMKHVGMKPNAVTYCSLVSAYGKARLLHKIDSIMRQIENSDVILDTTFFNSVISVYGHVGDVKKMNEMFLAMKDKDCEPDSITFATMIHAYKTMGMIEAAQDLEKKIIKAEYNLGTSKLHVKICSYLLLCCEIIDMLCLCLDSGTRLIEG >cds-PLY85161.1 pep primary_assembly:Lsat_Salinas_v7:9:148106575:148106940:-1 gene:gene-LSAT_9X94541 transcript:rna-gnl|WGS:NBSK|LSAT_9X94541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKRNKPTFVGGSSKQWKDTIETEDTASSKPKEEEIEEIELGNIEIMQEILSYRNRKGVWPWESPYDLQRFCFPYIHVGIGNEGGWLKKIDEMKNKFNDESAPMEDVDKKEFKLWKKIWGN >cds-PLY93294.1 pep primary_assembly:Lsat_Salinas_v7:4:302235782:302236093:1 gene:gene-LSAT_4X147200 transcript:rna-gnl|WGS:NBSK|LSAT_4X147200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSMRLKKGMRRSSGAVVASMNTNEKRGANGKEIPTIAGTWMEVSSGSLLFFFLRSGNPTTRGYNWKLFTSIEIDFISILIQDRDCVHHLIKFDFFNGLFLFD >cds-PLY75716.1 pep primary_assembly:Lsat_Salinas_v7:5:137396791:137398828:1 gene:gene-LSAT_5X59840 transcript:rna-gnl|WGS:NBSK|LSAT_5X59840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYLSLLTHLLAISLASLVIYWLITFRNGFGLFNPSTDLMFNWHPLLMILGFIIFSAEAMIAYKAIRGTRQSLKRVHLTLHSIALVTGLCGVYAACKYHYQLQVPHLYTLHSWYGYSTIWLFCLQFMLGVDDFVYPGNESGIGRSHGLAGLLIFWLAILSAGTGLMKKSDILHLYPGQVETNVV >cds-PLY66368.1 pep primary_assembly:Lsat_Salinas_v7:5:288846018:288847188:-1 gene:gene-LSAT_5X153160 transcript:rna-gnl|WGS:NBSK|LSAT_5X153160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQTMDVHEDQDLDGLPNVPSSRYRNSDVHNANIEYGNPANWIGKYFDDQDYGEDSKEDYIEETPAWNVIPSDESYQEEEQQEQQP >cds-PLY90456.1 pep primary_assembly:Lsat_Salinas_v7:9:91497397:91501198:-1 gene:gene-LSAT_9X70600 transcript:rna-gnl|WGS:NBSK|LSAT_9X70600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYQHYRSPFGDTTLTKVFVGGLAWETQTDEMRRYFQQFGDILEAVIITDKITGKSKGYGFVTYRDPESAKRSCEDPNPMIDGRRANCNIASLGRPRASPQGRNQGGNINIAYQGTNTMGQGASSSYSGVAPPLAPPPPPPPPPPSVMYPPYGYATYAPDYSYQQAMYNPALQQAYYHQMYGPTGTPYYYGYSTTQSPTPRGTFAASAPGALTLTPHQAQRIHGPSYLYYPTPSQVDPSTFPGYLTPPPPPLLLPTRHPFPSPSPTGSQTPQNTTTETEGGVVTSESPNA >cds-PLY78734.1 pep primary_assembly:Lsat_Salinas_v7:9:47954109:47956002:1 gene:gene-LSAT_9X43941 transcript:rna-gnl|WGS:NBSK|LSAT_9X43941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMFLIPSSLLFYFFFRMIKSSKEKPLPPGPYPWPIVGNLFQMRKKAHIRLAEMAQVHGPLISLRLGQRIVIVGSSSAAASEILKTHDHVLSGRHVPVLLRDKESTVHNMNLAFTSETGDGWRKIRNLYTSQIFSSKALESRANMRLEKVMEMVKYIASKSGENISIKDVMLVTATNIMGNISLSMDLVDFEGNGIGAKINDALRRFSSLGAQPQLADLYPIFGRWDIQSWKKKFMQIVEQELGTIWEDILKRKKNGRNISTDKKDFTDILIDKGCTHQQVNALMQELFSAGTESMGSTTEWLVAELLRNQEIMKKVSDEVRKKIDGNVVKESDLVHFPFLEACLKETLRLHPPGPLLLPHRATQTCEVMGYTIPKDSLILVNMWAIGRDPSIWDDPLSFKPERFMGSKLSYKGKDFEYLPFGAGRRMCPGEALASKTILTVVASLILNFDWFLVDNMNPEDINMEEVLDVAMHKKEPLHVTLKLREQVKTF >cds-PLY64213.1 pep primary_assembly:Lsat_Salinas_v7:7:4027270:4034222:-1 gene:gene-LSAT_7X3960 transcript:rna-gnl|WGS:NBSK|LSAT_7X3960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEIRDGTKSNKISQPLVLDIEDFKGDFSFDALFGNLVNELLPSFQEEDADSLEANGNINGNDALPNGRAGQGQGQGQLTPLFPEVDALLSMFKNSCSQLVEIRKQVDGKLFNLKKDVSAQDSKHRKTLGELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADSQRETASQTMELIKYLMEFNSSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIGRQGVTMQSVPGNATASRGLEVAVGNLQEYCNELENRLLSRFDTASQKRELSTMAECAKILSQFNRGTSAMQHYVGLRPMFDVEVMNADTRLVLGDQDSLPSPSNVARALSSLYKEIIDTVRKEAATITAVFPSPNDVMSILVQRVMEDRVPKLLEKLLVKPSLVHPPPMGEGGLLLYLRMLAVGYEKTQELAKDLRSVGCGDLDIEGLTESLFIDHKDMYIEYEQASLRQLYKSKMEELLSESQLSGESTGSIGRSKGASISSSHQQISVTVVTEFVRWNEEAISRCTLFSPQPGNLATNVKTVFTCLLDQVSQYTTEGLERARDGLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQVERLLSAEQKATDYKSPDDGIVPDHRPTNACIRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKGLSNHWQKYTFNPSGGLRLKRDITEYGDFVRSFNAPTVDEKFESLSIMANVFIVAPESLSSLFEGTPSILKDAQRFIQLREDYKSAKLAAKLSSLWPTS >cds-PLY86075.1 pep primary_assembly:Lsat_Salinas_v7:7:139491550:139493698:1 gene:gene-LSAT_7X83301 transcript:rna-gnl|WGS:NBSK|LSAT_7X83301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVMMSASIISTSSSMFFKAATTPFLKPNRLRHCFNTHNRLPSSKRLFTCKSLYRPHVQIKEEGQPETLDYRVFFVDDSGKKISPWHDIPLHLGDGAFNFIVEIPKESSAKMEVATDEIYTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPSLANPDVDGAFGDNDPVDVVEIGEIRGKVGQLMKVKPLGCLAMIDEGELDWKIVAISLDDPRAPLVNDVDDVDKHFPGTLTAIRDWFRDYKIPDGKPANKFGLGNKAANKDYALKVIMETNESWAKLVKRSIPSGELSLV >cds-PLY78984.1 pep primary_assembly:Lsat_Salinas_v7:3:9877528:9879356:-1 gene:gene-LSAT_3X7300 transcript:rna-gnl|WGS:NBSK|LSAT_3X7300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQAGQCGNQIGGKFWEVVCDEHGIDATGKYVGNSHVQLERVNVYYNEASGGRYVPRAVLVDLEPGTMDSLRTGPYGNIFRPDNFIFGQNGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISTTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTIPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLSMSSTFMGNSTSIQEMFRRVSEQFTVMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDAVTDEVEEDEYDEEVEEN >cds-PLY88444.1 pep primary_assembly:Lsat_Salinas_v7:8:88183037:88186167:-1 gene:gene-LSAT_8X62740 transcript:rna-gnl|WGS:NBSK|LSAT_8X62740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTILPDLGTEIVIPVCAVIGILFSLIQWCLVAKIKLSPEKPFAGGGRHGYAESLIEEEEGVNDHTVVRKCAEIQNAIAEGATSFLFTEYQYVSVFMAVFAVLIFVFLGSIEGFSTSDQQCTYDSSKLCKPALATAIFSTISFLLGGITSVISGYLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAANGLLVLYITINLFKLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGNNHDFTSMMYPLLVSSIGILVCLLTTLFATDFFEIKTVKEIEPALKYQLIISTILMTMGIALVSWVALPPSFTIFNFGIQKEVRNWQLFLCVSVGLWAGLIIGFVTEYFTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSCIIPIFAIAISIYVSFTFAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAEIITVDVLTPKVFIGLLVGAMLPYWFSAMTMRSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVQISTDASIQEMIPPGALVMLTPLIVGIFFGVETLSGLLAGSLISGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKFF >cds-PLY96278.1 pep primary_assembly:Lsat_Salinas_v7:6:157873796:157875234:1 gene:gene-LSAT_6X95760 transcript:rna-gnl|WGS:NBSK|LSAT_6X95760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPYKEILNGILWEVEGKWVVQGAVDVNIGANPSAEGGEEDEGVDDQAVKVVDIVDTFRLQEQPPFDKKQFVGYIKKYIKQLTPKLDAEQQEFFKKNIEAATKYLLSKLSDLQFFVGESMHDDSSIVFAYYKEGATDPTFLYFGVGLKEVKC >cds-PLY66381.1 pep primary_assembly:Lsat_Salinas_v7:4:119259650:119261995:-1 gene:gene-LSAT_4X75300 transcript:rna-gnl|WGS:NBSK|LSAT_4X75300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEYSNIKANVPTEIPSKNGKEVELEDDTNQINLENITTSQVITGPPPPSPPFDPIKRINDGFKYFKLNEFNKNMPAYRKLADKAEPKFLIFACSDSRVCPITILNLRPGEAFITRNIANMVPVFNQQRYCEVGAVIKYAILVCKVEAILVFGHCRCGGIERLVCLPDGKTSSPDSVDDWVSIGKPAKAKVVGKNPKASGLELRTLVEKESVMNSLANLLTYPQVKSGVTSNKIKLIGGHYDFVCGHFDILGTRS >cds-PLY85498.1 pep primary_assembly:Lsat_Salinas_v7:2:195218049:195218932:-1 gene:gene-LSAT_2X115761 transcript:rna-gnl|WGS:NBSK|LSAT_2X115761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDTSASYIHMVVQHLIEKCLIFRMSKDECMEALSKHANIKPVITSTVWNELEKENREFFESYSETSQLIQKIISDHKANSSDSDDHK >cds-PLY72408.1 pep primary_assembly:Lsat_Salinas_v7:3:188467082:188467708:-1 gene:gene-LSAT_3X112461 transcript:rna-gnl|WGS:NBSK|LSAT_3X112461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNIVKSRKEDANYSSPFSRLPNEIILQVLKKLIDLKPLCFCYLVARRFSSIVLQVDAISISFNSPPVNPPIHDKNTVGDAAPFPPTFSSYYGESFVSASEFLRNFKGVKFLRIELPPSRRIAIDNRFLVKWKVKFGCRIESIVFLSPNSVCDKDGFYLYGNEEEDIELTNDFYKQKQNTYFQCLMEANLWHMFMYDMYFQGIDASSK >cds-PLY96122.1 pep primary_assembly:Lsat_Salinas_v7:3:101588119:101591119:1 gene:gene-LSAT_3X75501 transcript:rna-gnl|WGS:NBSK|LSAT_3X75501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTLVLSDGLLRQATYTTTRLGTFRIMRNKALEANDGKTLPLYQKSFCGLKAGAIGACVGSPAELALIRMQADASPTYVESRFQKGMDMASSSGSQVSARLVISQNQMGCLLGKGGSIVADMRKMTGAFIKIVGDHQVPKCAPETNQVVLVTGEMINVRDALYSVTGRLRNNLFSNKMSNSHETGTGPKRMGQDISTWSTSVGRSAAIAVGKVLPELNGKLTGMDFRVHGLMGLTNQIVLRYVPQLPLMQARCSQLVGHQIF >cds-PLY69095.1 pep primary_assembly:Lsat_Salinas_v7:5:277099414:277100254:1 gene:gene-LSAT_5X144720 transcript:rna-gnl|WGS:NBSK|LSAT_5X144720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGISYRGNAICFGKYALQALEPAWITSRQIEAGRRAMTRNARRGGKIWVRIFPDKPVTVRPAETRMGSDKNDMIQPQTYLNVANNSGPRELMCIRIIGASNCRYAHIGDVTVVVIKDAVPKMPLERSEVAKGVIVRTCKELKRDNGMIIRYDDNAAVVIDQEGNPKGMRVFGAIARELRQFNFTKIFSLAPEVL >cds-PLY74857.1 pep primary_assembly:Lsat_Salinas_v7:8:106570909:106572265:1 gene:gene-LSAT_8X72660 transcript:rna-gnl|WGS:NBSK|LSAT_8X72660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQKPRWGELEEEDDGGDYDYLLPPKQVIGPDEHGLKKIIEYKFNDEGNRVKITTTTRVRKLANARLSKRAVERRSWPKFGDAVQEDVGARLTMVSTEEIIFERPRAPGTKAEDANASGDPLAQMSKGGAVLMVCRTCGKKGDHWTSKCPYKDLAQPTETFSENPNPSDSSATGATKGAYVPPTMRAGAVRTTAGADMRRRNDENSVRVNNLSEDTREPDLLELFRPFGNVSRVYVAMDQKTGMSRGFGFVNFVRREEGERAIAKLNGYGYDNLILSVEWAAPRAN >cds-PLY71577.1 pep primary_assembly:Lsat_Salinas_v7:1:116037188:116039440:-1 gene:gene-LSAT_1X88121 transcript:rna-gnl|WGS:NBSK|LSAT_1X88121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A1 [Source:Projected from Arabidopsis thaliana (ATCG00350) UniProtKB/Swiss-Prot;Acc:P56766] MIIRSPEPEVKILVDRDHIKTSFEEWARPGHFSRTIAKGPETTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIRPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITSELQLYCTAIGGLVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQVHVSLPINQFLNAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYADFLTFRGGLDPVTGGLWLTDTAHHHLAIAILFLIAGHMYRTNWGIGHGLKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSLNLAMLGSLTIVVAHHMYAMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWACIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPVFAQWIQNTHALAPGATAPGATASTSLTWGGGDLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGSISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWAFFLARIIAVG >cds-PLY74165.1 pep primary_assembly:Lsat_Salinas_v7:9:11059651:11064183:1 gene:gene-LSAT_9X9441 transcript:rna-gnl|WGS:NBSK|LSAT_9X9441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFWVFIDAALAYSLTKFARYCDLQIPCLLCSRLDHFFDKEEPGSYFHLFCNKHQGDISYLIYCNLHNELVDIREICEDCLHSQSNLECYRFVVDKNLGCMGSSIRTCSCCKRQWKEKPSGQPMIDPHMVGSRGCNSNVTTKPPLPRVGPGPGPGPTRRVRSRHGDGVRRIRNKTKNRHHRKYSMSPGSYSDANITSESEFELLFSDDDDGSLIMRGVTNGGDNRNKIFGRHSYSTPDFGSLLVESQLHEPSVRTRSTSVTPNVARSLRRSEENNPYFKPKKYMNPSPSSSMDGCMASNSIGSQNQCRISSRHSYSACDLGSAILMEMQLNSLPRRSASVTPNSSGHGFGEFKWPKCQTQPASSHETVQNYLHKAKKSKKSSSSTNSMRRSGSYGHFDDSSISRNTDTQNRNKIPRRHSYSVFQLGCEILLNMPTDEPLTSNSSASFQQNGSFGYGFQDPNSNRRPVHSYSHSQELDSLSAMLFPIQRPNNAYGSMDDSFVSRTTGNQCYDHSTLPRRHSYSFFEIGCEILLNMPNDETLPNPPASITPNPMQFPNKRAKRAKKNPSPYLHKSEGPSSWDDSVISHNNTGNQNGNRNSNPRRHSYSAFELGCALLFELQKDESLTRPRRSASMTPNPQIVHGLGEQNKTKFQNRPNYSRSHNPFLDRNHGNGSLDDSVVPRNNGNRGQNTLPRRHSYSVFQLGGDILLNMPHDDESVTSQPSFFTPNGEPQRSSIFHIKNSPCHSPEKHKSHHPHPLHHNGVPLHPPMGSVKRFSLSSDVGNDFSTASFLDKSKWHGSMDDSVVYRSMNNQNQNSVPRRHSYSAFDLGRALLVEMNLDESLKRSYPSPAPNYSSGHGLGEFHQPNFQNKPNPSSSQRKSMPWPRSDGYGSCDDSFISRNAGNHNQNEIRRRHSYSALELECALLLDMHLKESAKRRRSASVTPSAYGHHFEEPRNSKIQTRPGPIPQPTGPAHPVNGFGSSNNYLFNNNNNNNNNHNRHHGSLDDSVVSHGRGNNRKRYRKLVRNSYSVSDLTSPSLEMPMEEGFTSKSASLTPSCSIGQGLGGLDWLQFQTGTTSVSPELDSNQLPHKKRKKSERAKAKKGKRPLQQSDNNGGGGGDLSMDNTNWYDAFDDPVYSNDTGSRNRYAIFSRNSQSANDLGSVLLEMHLDDSIRSRSKSLTPNSSIGYGSQEEKWSKSQIKPSPSLSPERQFHAEKPKRHDHLETERDKKHLPPLIPTKDADSSTNIRTDACSMCSERMDARSLDGSTYTEMEVDGIVEIVKKKAEDDVRCLRLLQSELEAERNAATIAANHAMNMITRLQQEKASLQMEALQYLRMMEEQAEYDMEALQKANELVEEKENEIQDLLDELEQYRIRYGDLSMGNIHVPIIIFENEKRYILESLSTLEKKLHQLCDGGDHLNDDNSFLTPKDSATSNGVFDKCHEIDSATMEHELVELKEKIEGLQADIELVKHACNSLHGSEGLEFIQEITHQLQDLRRIMVDKRGISSN >cds-PLY76188.1 pep primary_assembly:Lsat_Salinas_v7:4:55832202:55836675:1 gene:gene-LSAT_4X37800 transcript:rna-gnl|WGS:NBSK|LSAT_4X37800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQFMSASYLVFSVSRNVFEDRTPNACVLGILSTNKIWLHSMLVLFIIMVCFVTLLQCFSGSDVLRWRSFYTNENKAWKHHYHEIFDHGIREALCCMGRMKYLTAMEEDEVFSVAQLLGDLVAYRASGKGHLELLAGLALLQRESRMPNFQEEVIVAPQELIQGAADFHPYAEAAYTGLLLDVGRNPVLFLCAWLHRQGIWTPWVRNKLPELKGDNWWRGHAKAFLKYVNLPPDTLRQGRVYQARCEAAYFVVVLHDIKSVVICVRGTETPEDLLTDGLSKECVLATEDIDGLIYGNLIPPGSGYYGHSGIVESARDLYQQIDGNPEKKEFQAGGLLTSLLGEGCECEGYNLRIVGHSLGGAIASMLGLKLYGRYPQLQVYSYGPLPCVDSVLANACSGFVTSIVYDNEFSSRLSLASIMRLQRAAMLALSNDRDADSAKLQKLARRFLSMSTYLWHKPHEEPSSSGSSSLPPRNEDEGHAIRVSIREANEDSNHWHNIDINDTSDDDNNVTHRRFSSNRNSNPYYEPPHDETSRDHLVSQYVEAMPSSHEKEETHENFREMFLPGVIIHIIPDKKNNDVPLYRRWKSTLTTQCGFEAYVVKKEAFMDLIVSPSMFIDHLPWRCSYALKKLLEKRSLQQECDGVSLRS >cds-PLY74892.1 pep primary_assembly:Lsat_Salinas_v7:8:90476426:90478285:-1 gene:gene-LSAT_8X64041 transcript:rna-gnl|WGS:NBSK|LSAT_8X64041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVQKDRVRFNVGGKIFETTATTLAIAGRESYFGAMFDENWDLQINPAGEHFIDRNPDCFSILLDLLRTGELYIPPSLPEKLLYREALFYGLMDHVRSAKWGQFDGNRLQPSKSVTGRAPGDGTAIRASSDGGCCVAHGSMVHIYDWMLEEHPPINLDYQRVNDAGWVGPVDPTGLVISTCERLGRGQGGMGLFNSTTGELRYKFNVTHDGVAKSYTAGALSFSDDCKLFSSCKGRSNEYGIGVWDQTTGQQVDFFYEPQGWSLGDADKLQWLNGSNCLLVATLFPRKDNCYISLLDFRSKSMVWSWSDMWSPVNSDDRRVRDAIAMEENSSICVVNEFEDLGFMDLRRDAGSVRWSSRSRLMKGKMPDEPCYPKLALHDGQLFSSMNDCISVFCGSDWVLTSRLRRSFGGSICDFSIGGDRLFALHSEENVFDIWETPPPPIV >cds-PLY66402.1 pep primary_assembly:Lsat_Salinas_v7:9:127166808:127167806:1 gene:gene-LSAT_9X81961 transcript:rna-gnl|WGS:NBSK|LSAT_9X81961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSPTTFTDLHPLIIQTQILPRLEAQSLSSAATASSYLRALCADDILWADICKSTWPSLTHPRIHRLISSFPAGHRSFFQDSFQPPITVVKPPNHHRSRSISHPDPGCSLTNQTFPTELISAVDIRYHDDIIYSGAEFTDTTTEFLSSALRIVLKNDPEVTGMPRSIAFNVEDIVDSDDAIILHLQESITLNWILIDPTLKRAVNLSSIKPILAWITDSIYLRYVVVLPGCNSNETVECRIEVNLGAGKGGAALFVREVILNVQNVAYNHLSGKDFLVILNRTFFMRKMLVECGSDKIICGFVGNSYIILMLSLVLLSCFCIFIYYCYG >cds-PLY78155.1 pep primary_assembly:Lsat_Salinas_v7:4:97504083:97506458:1 gene:gene-LSAT_4X63420 transcript:rna-gnl|WGS:NBSK|LSAT_4X63420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQESPNSNPGPGRFQITRPYYTPPPSSAASSPSRFQKHLGRSMRTVRSNFYQSDYTDSCSFASTQQAPTSVVSENLTDSVIDVHLHQLATATGNNKFSKSSSSTSSDDFLGLSQVFSDFSAYSSDISGELQRLACLPEDSNESDLNQEQNPEPEPCAGFLQRDSFSTEIIESISPEDLQPTVKICVDSLGSNSVALKRSAAAKLRLLAKNRSENRALIGESGAVPALIPLLRCADPWTQEHAVTALLNLSLLDENKPLIAGAGAVKSLIYVLKTGTEVSKQNAACALLSLAMIDENKVSIGACGAIPPLVSLLINGSNRGKKDALTTLYKLCSMKANKKRAVTAGVVKPLVELVVAAGEAAEEQGAVGMAEKAMVVMSSLAAVEEGKIAIVEEGGIPALVEVIEDSTSVKGKEFAVVTLLQLCEGVNSARNRALLVGEGAIPPLVALSQTGTARAKHKAETLLGYLREPRQEAGSSSSPK >cds-PLY76934.1 pep primary_assembly:Lsat_Salinas_v7:9:7007043:7007783:-1 gene:gene-LSAT_9X1601 transcript:rna-gnl|WGS:NBSK|LSAT_9X1601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNKGGTQDDDDLEEFFNEAFEGNENVVGNPQKSNRVNEDDDVDNPPEFYSRKQKQIVKEKKKKKKVVASEIVDAKNHILEPPIVKESCLVRHLKPFQYLSSPYVSVQNAPRYRTSGVIHNEPPPPVFVSDPPTLLLEPYVNPGCNAPALYMGNKPAAFFET >cds-PLY82493.1 pep primary_assembly:Lsat_Salinas_v7:2:185114026:185116431:1 gene:gene-LSAT_2X108540 transcript:rna-gnl|WGS:NBSK|LSAT_2X108540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIDGSNSLNNGNPNSKGSKIVNEERKSTKRDVSNPLFVNHAELAWHEMRRAWVGDGSQTSHTKFRQPILSWTTSFEDLLSSGETFPDPIPLADVVDLLVDIWIEEGCSAQELHCCNIHKLSTLYYKDAIIQIVYWLVGVRK >cds-PLY68976.1 pep primary_assembly:Lsat_Salinas_v7:9:141368449:141368800:1 gene:gene-LSAT_9X90221 transcript:rna-gnl|WGS:NBSK|LSAT_9X90221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLQGWGPKDSEKLLANSSKALCVGARVGQDVEALKQVGVNDSIGLDLVPYPPIVIKGDFHHQPFDDKAFDFEFSNVFDHALYPDKFVGEIERTLKPGGICVPSK >cds-PLY63346.1 pep primary_assembly:Lsat_Salinas_v7:7:150320314:150323382:1 gene:gene-LSAT_7X89821 transcript:rna-gnl|WGS:NBSK|LSAT_7X89821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLRFSPFSTGTGLSPPNVRITRCPKPLFVRCTNGDASSPSMADSSDFDAKVFRHNLTRSDNYNRKGFGRKEETLDLMNQEYTSDIIKTLKKNNFVYTWGNVTVKLAEAYGFCWGVEHAIRLAYEARKQYHDEKIWITNEIIHNPTVNKRLEEMEIKGIPIKDGEKQFDVIDKGDVVVLPAFGAAVNEMLTLKNKQVQIVDTTCPWVSKVWNSVEKHKKGDYTSIIHGKYSHEETIATASFAGKYVIVKNMDEVRQDAMYKLVDEKLDVMLVVGGWNSSNTSHLQEIAEDRGIPSYWVDSEQRVGPGNHITYKLMHGECVEKKNWLPKGHVTIGVTSGASTPDKVVGDVLMRVFEIKREEIN >cds-PLY88061.1 pep primary_assembly:Lsat_Salinas_v7:6:182461458:182463105:1 gene:gene-LSAT_6X111021 transcript:rna-gnl|WGS:NBSK|LSAT_6X111021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIEVLSLSITLIIIIIFTLHRRLGQNLPPGPCPWPIVGNMYQIRPNDFRLLTKWCHVYGPIISFQIGSSICISVSNPHLAKEVLKNHDNQLANRHRTIGIARFSRNGDDLTWADYGPRFVKLKKLCVVELFSLKNLEAHRSTRENDVSIMIDSINKDYCGKVLVVKNCLKKTMFNIIARMLFGNSLVSYNGELNAILEAQFRAKVSLGVVEEVSWLRCLSWLKDNENKWIGSRNHRFFQAIIIQKKENQNTSTHQNNLSFLDALLSRREEYNLSDNTISGLLWDMVTAGIDTIVAALEWAMTELLINPAVKRKAQEELDRVIGFGEVMTDSDIPNLPYLTCVVKETLRLHPPTPLMLPHQATTNLKIGSFGVPKGSRVYVNVWAIGRDPHAWKQPLVFQPERFLEEDVDIKGHDFRLLAFGSGRRMCPGAQLGMNMVTLMLGSLLHRFEWSLPEGFKAEEVDMVEMLGIVAYKKIPLEVVPTPRLPKHASSKDE >cds-PLY70345.1 pep primary_assembly:Lsat_Salinas_v7:4:97800949:97802751:-1 gene:gene-LSAT_4X63220 transcript:rna-gnl|WGS:NBSK|LSAT_4X63220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTSQNPRSSGAAIHQLYHQSDQIEPFRLTGSRFQILNNNGYPGDTVTSRHQETSIVSGNAFNDQFFTLESSPALEIYNSPFTSVSSNTRSPFSPQGSSQSCVSNLHNSSPENTYGSPISNEQFIRDVAMELLGESDFEDGSITSSFQNVNKNNKLLQIGISNLDLKQALILCAQSMDDGGAKEITETLMEHIQTKVSVTGDPIQRLGAYMLEGLRARKLSSGSAIYKKLKCYEPSPKDLMSYMSTLYQICPYYKFAYTSANVVIKEAFQYENHVHVIDFLIAQGSQWVQLIEDLARRPGGPPSLRVTGVDXXXXXXXXXXXXARAGGLEKVGQRLAQVAEANGVPFEFHAAAISGSEVNWVNLRVYQGEALAVNFPYMLHHMPDESVSTVNHRDRLIRLVKSLSPKVVTLLEQESNTNTSSFSKRFEEALEYYTAMFESIDAKLPKNIELPRGDRRRISAEENCVARDMVNIVACEDTDRVERHEPLAKWRFRFQAAGFTASPISHAVVQAVQGVLSEYSEGYRLGERDGALVLGWKNRPMVTCSAWR >cds-PLY87416.1 pep primary_assembly:Lsat_Salinas_v7:5:41890804:41900371:1 gene:gene-LSAT_5X19781 transcript:rna-gnl|WGS:NBSK|LSAT_5X19781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFSLFGRSFGHFVQVVKKDVDFLKKNIGAGINWTSEALGLPDMSKKNRTSLAHTHANEDNHIADAEFDGFELEINALLMKLRPIKQGSRCLLSGDHLQLPPTFRSVEAEKKKGLGNTLFKRLVDLYGDDVMSMLTVQYSMHELIMTWSSKDLYNNKTKAHASAVRHTLYELVGVEKSSSSTEPTLLLIDIAGYNSSNNKKNLIAVFESLFDEGAVREISNFAMASNESEYMLVPHKHKINFYKTTKLRVSNDFVDTVDPYHFISFPDLLAMNFDTHVAFDFLGEVVSTDPMQVIVEYGREKRLMNLVAQDLSLNALDTNVESSSRTSQLNSDTVVANPEDYYPRFQIKNIYEIPDFTEEVGLTIITTIIGFDMDDGWYSFYCRDCSKKVTKNDDDVDAGPFHCDGCGFVSDVFGKIMIVVRVQDESGSCSFVLFERHVKDLIHRGNQWLMDKIAKIHDEFKILLNKKFVFKVQISMFNLQNNYRAYTVHKLTDDERVLVEVTKRSPNHQHDNINNNGTPINKPNKENTNSVHDDNLDVVDLEAVTPSSSTGKRPIEIDANTDSLE >cds-PLY61847.1 pep primary_assembly:Lsat_Salinas_v7:6:57777089:57778330:1 gene:gene-LSAT_6X43541 transcript:rna-gnl|WGS:NBSK|LSAT_6X43541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSEKYFDDTFEYRHVVLPPEVAKLLPKNRLLAEGEWRAIGVQQSRGWVHYAVHRPEPHIMLFRRPLNFQQNQEAQA >cds-PLY69529.1 pep primary_assembly:Lsat_Salinas_v7:7:118419223:118419627:1 gene:gene-LSAT_7X72761 transcript:rna-gnl|WGS:NBSK|LSAT_7X72761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLRGEASSWYEGLKSKRSLEGKREALFLGIFETKTPREVCANDLNTINVNKRVEMLTKPIVIKEEVEEYEASPVDTNLVLETSQTFEDQLDVADIKVEEFNYVVEDKIAEIIVEDTYLMVRDERAKAIEEYKV >cds-PLY62949.1 pep primary_assembly:Lsat_Salinas_v7:2:212568796:212570074:-1 gene:gene-LSAT_2X131860 transcript:rna-gnl|WGS:NBSK|LSAT_2X131860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCRLLEKTKHKRGLWSPDEDQKLRNYIVNHGIGCWTSVPIHAGLQRNGKSCRLRWINYLRPGLKRGTFTSQEENIIFSLHAMLGNKWSQMSEHLPGRTDNEIKNHWHSHMKKKVVNFENMKSHQQTPSSSSYTRTDSSLESSEADGCRNLPKILFAEWLSLQQFHKFDTSGMEDIMSSYTFGSQKTVTNGALLLNEGSGIQQVGSTYGFVDSMDHLQLKYEHQYEESDFFDIISQLNISQNFNNSDVTLYSCN >cds-PLY70565.1 pep primary_assembly:Lsat_Salinas_v7:1:90512975:90537857:-1 gene:gene-LSAT_1X74301 transcript:rna-gnl|WGS:NBSK|LSAT_1X74301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWELKIAEGDGPYLFSTNNFVGRQFWEFNPNAGTTKEKEEIEKIQQNFKDNRKNGGQHACGDLLMRMQLMKENQIDLMDIAPIRLTDDEQVTFEAATTAVKKGVRLNRAIQAKDGHWPAESAGPLFFTPPLLIVLYISGTINTILNEEHHKEMIRYFYNHQNEDGGWGFFIEGKSTMIGSTLVYVALRILGEGKNGGDGAMDRGRKWILDHGGATSIPSWGKLYLSVLGVYDWEGCNPLPPEFWIIPSTFPFHPAEMWCYCRTTYMPMSYLYGKRVQGPITPLVSSLRKEIYPTAFEHINWNKQRNNCCKEDLYYPHSFLQDVLWHTLHYITEPILKYWPFSKLRGKSLDRVVELMRYESEETRYMTIGCIEKSLQMMCWWAENPNGDEFKYHLARVPDYLWVAEDGMTMHSFGSQVWDCVFTTQAIIASNMTEEYADCLNKAHFYLKESQIKQNPSRDFTRMYRHITKGAWAFSDQDHGWAVSDCTAEALMCLLLLSNMPKEIVGEKVDNARLYEAVNFLLYLQSPISGGFAVWEPPIPKPFLQLLNPSEMFADIVVEKEHLEPSACIIVALVEFNHVHPKHRKKEIELSILNGIRYLEETQWHDGSWYGYWGICFLYGTFFALRALSASGKTYDNNEAVCKGVKFLLSKQNEEGGWGESHLSCSTKVERDITPLDKAAKLLINAQMDNGDFPQQELLDVTVAKFTPVNTDGESGEPTYIISDRSVESVRMLPAGSGMGVMCGMTRGIKMARSPSSSIMNSTTGLLTSSTPTPTPVQGNSMLRSREVMHMIRFAFEFQFPSFSNLPKSLQPNKEQQEALCPWFMKCLIGWDCVRN >cds-PLY63173.1 pep primary_assembly:Lsat_Salinas_v7:4:312484800:312485930:-1 gene:gene-LSAT_4X158201 transcript:rna-gnl|WGS:NBSK|LSAT_4X158201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKLMCSYGGKIHHRPHDRRLSYIGGDTKILRVDRNINFSTLLSKLNALCEYNSEIRLKYKLPGQDLDALVSIFDDEDVENMMFEYDLLRRMSLTPPRLRLFVFFAVAPPTPVTARSVNPDFLFGFDKEYSLNYSAPVKNSEDTDTVVSPPENVGLSNGVPVPIHEIPAQFPFNSTVTPGSYVYPAPLVYQAPVASSGYFQAGQHKGGGGNREQPVVYGFIPGGNREQPVVYGFIPVMPSAAAQEQGNIPMSGSPLSYDASRGEFQLPTVMMANNSNHDSSKVTPGSQHDA >cds-PLY77427.1 pep primary_assembly:Lsat_Salinas_v7:3:147841561:147847678:-1 gene:gene-LSAT_3X97021 transcript:rna-gnl|WGS:NBSK|LSAT_3X97021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKISRQVTFSKRRTGLLKKAHEISVLCDADVALIVFSTKGKLFEYSTHSSMEAILERYERYSYAEKLLTAPETETQGSWTLESSKLRAKIEVLEKNIRHYVGEDLEPLNLRELQSVEQQLETALKRIRTRKNQVMHESISELHKKVIHEP >cds-PLY81749.1 pep primary_assembly:Lsat_Salinas_v7:3:31989393:31992916:1 gene:gene-LSAT_3X21680 transcript:rna-gnl|WGS:NBSK|LSAT_3X21680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin-2 complex subunit H2 [Source:Projected from Arabidopsis thaliana (AT3G16730) UniProtKB/Swiss-Prot;Acc:Q9LUR0] MNTNEASTSGGGKFHTVQPLRDLESNWEVDLANNLQEYLLKICSGEITGGEDDSHLSVNFAEAALLLQGSIQVYSRKVEYLYSLVVHALEFISQQSKQHDQPENAAPGQEEENGPVVTPTEPDDSFWGSDDIQVDAKNSLDRSKDSNTLLNSHVKPPANLVVLEGDCLDTSGDSGELESYLLATNDLYKDFILLDACDAVAVDEFLNGNDEVNKTKNNSRGSSIGSKSRKTFMSPSRRSGGFMSPSRRSGGFMSPSRRSAGKTVDPGPSQNAYDDKKFETNDHHMDDHGFDMDDGFSQPEAFDDDSDEEDEEDPWKPLNPHEKGNLKIKPFKKVKVYRKDWPKPTTKVSITEEFPLAKLHGPISVELHEIWEAQHGKDHESQSPPYYEKLRQSLVEGDDENIGTFNNNDKDDEDDVYDSGGHDFMPDDDYMNEDHFNHENHMDDATQFDSKEPVEHEEPKSHENLEDLCRSHLDSLLASISETEKQTALAARVSTWKQRIEQNLDEQDARPPFDIHEYGQRTLDKLSFQEDVGNSMSFTDVVRGLEKHDVARTFSALLQLVNNGNVELERGGIEGGHVCYTGENPFHVRLLTNVKRPEVRLRSANKRPKAQMKRGKENHSPPGSVSPPRSIKVGKVGGIRRTPESKRRRRSRLVDHDGLMMSPG >cds-PLY84330.1 pep primary_assembly:Lsat_Salinas_v7:5:191376480:191377208:1 gene:gene-LSAT_5X84421 transcript:rna-gnl|WGS:NBSK|LSAT_5X84421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALFHKTLSKAGSHDACYQIYGVQFGLYYSSSEDDYKLVCVTCHNPNVYISLKSDSWRKVESTGWGSNRVSYHLVPSVLLNEKLYFLRRLLEYETPFDPNTYAVMTFDTQTEKLRDIETPNFGNQRTDIMGFMVVRDCIHFCVAMNKSINHFDKIELWRMDGDGDWTKVETSSLKEHLSRATHPHHYMRNGNWLMYSGSEEYFYVLDTKNHTKDIMCSISIALIRPIGKYMETFVSPNQYLK >cds-PLY78477.1 pep primary_assembly:Lsat_Salinas_v7:7:175363667:175364828:1 gene:gene-LSAT_7X104501 transcript:rna-gnl|WGS:NBSK|LSAT_7X104501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRFNEVSQLLDEMSKRNKSLINDRTYGIVVNRYASAHMIEEATNFFYKRIEFGLQLDLIAFQTLLLSLCRYKHVEAAEFLFHSKKNEFQFHHNIKTMNIILNGWCVLGSLREAKRFWNDIVTSKHKPDKFTYSIFINSLTKSGKISTAVKLFQAMWEKGCTPDVTICNTIIDGLCFKKRIPQALEIFKEMNERECCPNVSTYNSLIKHLCKIGRMDKVDELLREMKEKGGECLPNDRTYGYLLNSAKTVEQVVGIKEDMERSGVKMMGDGYNLMLRLFTGWGDEERVTWIWREMERSGVGPDQRSYTVMVHGLYEKGKMEEALVYYNMMVSKGMVSEPRTKVLVQAINIKLKEGGLENKA >cds-PLY91121.1 pep primary_assembly:Lsat_Salinas_v7:3:83684809:83686847:1 gene:gene-LSAT_3X63660 transcript:rna-gnl|WGS:NBSK|LSAT_3X63660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKQGPWTADEDKKLINFILTNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEHEEKMVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPLTHKPLPPSTTLTDHQSPPETEKTHFSEAMDHENNESVEVLNETISSIQSSTVTEVAKDEQDDGQESKRIAETFQVVDFCTDEVPLIEPHEILLPNDVNVLPAFPSSSSSSSTSSNYDISVCNQSYVHEELHDQFLPSFDWCSPSFDSTNYNNFEAGLWEDDDEFISNLNMLINDEYETDTNVQIQSHTTFAPDVTHYSRMIMDDDCWKFEQLL >cds-PLY96130.1 pep primary_assembly:Lsat_Salinas_v7:3:99150551:99151124:-1 gene:gene-LSAT_3X74340 transcript:rna-gnl|WGS:NBSK|LSAT_3X74340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFASSGRSINLLGVRNHRDDEACPPCHRKDGISVERTAWTGGNPPEGPKCKFFMWKDATREEGYYKQQLRKLRFEVHKKEDISEVSKAQKKVIQLQQAMDAEKEVFKRQLIKLIKKNIVMKTYLGTAKCIIFVLLILVIGMWLKCR >cds-PLY85965.1 pep primary_assembly:Lsat_Salinas_v7:3:201307060:201308517:-1 gene:gene-LSAT_3X119740 transcript:rna-gnl|WGS:NBSK|LSAT_3X119740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIVELVFIPAPAVGHIMSMIEIAKLLISRDHQISITVLVVKPPSTFGSAITTYIESLFKNAMDRISFIALHQDETLPVHDTKVDPMTTFIEFINSHSKHVRKIVAGKISQQGSTRVVGFVVDMLCTGMTDVANEFNVPTYVFFPSNAGFLGFKLYIQTLCDDHNEDIVKLSSSDSTISIPSFMNPVPTKVFPGVVQTQEGLDFILRSARKLREARAIIVNTFMELETHAIESLLEDNTIPPVYPVGPILNLESIAGKGSGNDDIITWLDSQPPSSVVVLCFGSMGSFDEVQVKEIAHGLERSGHHFVWSLRQTSIDQKTGAPTDYQDPRTVLPEGFLERTAGIGKVTGWLPQVALLAHCAVGGFVSHCGWNSLLESLWFGVPSATWPIYAEQQMNAFEMVVEHGLAVEIKLDYKKDFVNHEVDKVVVTAKEIESGVRRLMEDNKVRRKVKDMMAKSRAAVVDGGASYESVGSLILDFKRNIS >cds-PLY96263.1 pep primary_assembly:Lsat_Salinas_v7:6:157880861:157882090:-1 gene:gene-LSAT_6X95801 transcript:rna-gnl|WGS:NBSK|LSAT_6X95801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLWEITLGTAYFLGLKRTYKLALRIQRRLVGPKRPKIRQFLQRKTRSIFDVALKVHREVQQRDIEVGRNLGNWILRWLDKMKPAAQIRSHPNSHSHPNSNSHSHSNSKSNNNNNNNNNNNSNPQKTSTKSYSTNSFHHKPTPMSNETRNNKHLFTSRKTWHSPYPSIGMMMRPQIGINTTHYRRFQLDGVIRNDIMQWMMMNN >cds-PLY74694.1 pep primary_assembly:Lsat_Salinas_v7:5:25875219:25875494:-1 gene:gene-LSAT_5X13480 transcript:rna-gnl|WGS:NBSK|LSAT_5X13480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGDEIRQQRLSTKMQQWVSRSLQVNHVGAAATIQLAMVMTEKELQENGEEKNTTGRWRNGRRCEEGVGFHRKQKQRHLGCAPFDHPLP >cds-PLY91781.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:940669:945231:1 gene:gene-LSAT_0X1041 transcript:rna-gnl|WGS:NBSK|LSAT_0X1041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTPNHKLTVSGWAAHDNSGLITPYTFKRRVNGPDDVSIKILYCGICHTDIHHVKDDWGITRYPVVPGHEITGLITEIGSNVEGFKIGDRVGVGCLAASCLDCEFCNDSMENYCDKIQLTYNGIFWDGSITYGGYSEMLVFDHRYVVHIPESLPMDATAPLLCAGITVYSPMIDSKLHESTGKRIGIMGLGGLGHVAIKFGKAFGHHVTVISTSPSKEKEAKEGLGADDFIISTDPKQMMSKRRTLDFILDTVAAPHSLGPTLELLKVNGTLALLGAPDKPLELPAFPMIFGKRTVKGSMTGGMKETQEMLNFCGKHNITCDIEMVTSDKINEALERLANNDVKYRFVIDIAGKN >cds-PLY69315.1 pep primary_assembly:Lsat_Salinas_v7:4:105784868:105785611:1 gene:gene-LSAT_4X68341 transcript:rna-gnl|WGS:NBSK|LSAT_4X68341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVTSSAGKHEFSLNVKTVIGFQGCEEDVKIISTAEELAEVKQMIKTPDFFNKLVDSMDPTVFGHQDIKRAILLMLLGGVHKFTHEGINIRGASMFVLLEIQIVLNLSFSTTVAKEPETGEFCIEILVL >cds-PLY86473.1 pep primary_assembly:Lsat_Salinas_v7:2:80950849:80952546:-1 gene:gene-LSAT_2X35160 transcript:rna-gnl|WGS:NBSK|LSAT_2X35160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQMQGIVSILQGSDNHEKTTRAGSLRRTLSADMSSKRWLSDNGLSVSPTMKKIASSEQIAIDFSSSSEEDEEECEVIGNANKNPSRIDIWTAIMSQKVQDDSVKLPPPYVHPLVKKSASSLSEKSLKVCTESLGSETGSDVFSSYPISSETGDENKIHETQEEEVEIKETDMEEQKVVKHVTCLTTKKVQQARSLPPPLSSLATAHTDGPSLHMHSHRVDGRLVLEAVSVPQQNYFEAQRQDGRFLLTLIDNPNDQASKQDLQDIFSITEEEKPQEDNIDVEDKDEGEIKSNFTDNEMGILKVRPPNLTRGMIKLHRSMVSTKRITERDNENPSWSRKLNARTANLEKLQVVEEEEASATPPSASQIKQSVPRPPRATGLPPLPPPTTATSFNSYQYFWRAKPTVASVFDPLITQHRPPTKTNDLVLLRGNKADCLLPSLKGCKEPRRSLLMWEPFCIATS >cds-PLY62509.1 pep primary_assembly:Lsat_Salinas_v7:1:83074730:83079413:-1 gene:gene-LSAT_1X69581 transcript:rna-gnl|WGS:NBSK|LSAT_1X69581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MLTGHESLIRLIGKRRRFLPNRQSLLSDPIQLSSSLVKVENEAEDLSEERNDNLAGTSGSDKVTCPMHLVVDACLTRGTKRKLSQQTLLQFNFSPRSKVQACLTKSDDTKSNIISDVKDITELIEVDTEETDSIIYESSSSSDNASLVNIDGSAEISVNDDTVNYGGIVNSPSLVSEIEASENESGDLDDDISGKILATFIVGRKFGEEGELHTETSITFCRDPENIKDPNAIKVLSGESECNKVLGYIPRELAEHLSKLMDTFGLTFEGRIMSVPEHSHAAVPIQIWCQEKTPYSEIEGEKVKLYKSLCQQVLATVRLSEESPPGMMKYQQNFCLLLQEVLRTTPHVFTHDEKTLLENFLLLSNDSQKLFIRLYTRKGPWFRMSNISYAEILDHQSAVKELSAGGYVCSTETTSELHKDDFERILNLLNVGELREMLSLIKKKHSQSPRKQDSISALLSAYEEKSRLLLKKMVLEKTGSCIRISPAADLLIWRVERLFFCNGEQDLSAFLLVDLGIVKYPTYNCVITDQIFSNRNDLLSYEEALEVAQIMDESLEENNSSMVLKCIAISDSHISIQTSKNSSAPFQCFSASWVYSKVVLLGVSFLESERRYNDAINLLKRLLLNFRNDRRRGYWTLRLSTDLEHLGRVNESLSVAETGLDDPWVRAGSKISLQRRVLRLGKPPRRWKVPSFSDSIKRKIPEVHVEGRPLNCKTGTKSRFYGEDGEQCGVEELALQYYAGEEGGYWKGVHSESGIWLTVFGILMWDAIFADVANVFLTRFQTSPLDLETDYFYESRKNIIESLLVKIQQGMGEEMLITSWELHFGTACRCVNWNRHSLTELRAVVSCIGGGCLASICRHLAQDYRSWSSGMPDLLLWRFHSDYSGEAKLVEVKGPRDRVSEQQRAWLLFFMDSGFNAEVCRVNPPVANKVFV >cds-PLY97521.1 pep primary_assembly:Lsat_Salinas_v7:5:237224503:237230460:-1 gene:gene-LSAT_5X113581 transcript:rna-gnl|WGS:NBSK|LSAT_5X113581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMEIDEPLTPAGRLFIQPETNIVVLCCLGTKQPLRIEAIKSVIADSMLVKHPRLSSVLIIDKNGREYWRKTEIDLDRHVVVRHDPIEEATDDEDAANLYMADLAVSTPLATDKPLWEVHLLTSHKCAVVRAHHALGDGISMLSFFMAMCRKADDPETMPDMNSVVDPRNKRSGEEAIGWILWRLMKVVWFTLVFVFDFIARVLWVRDETTVINGGAGVELWPRKLVTAKFLIDDMKVVKKSIPKTTINDVLFGVISFGLSKYLDTRSPKPLHEGLRMTGLAMVNLRPQAGHQDLIKMMQDKSMGWGNKFGMLLLPAYYYSSRSDPLEYLRRAKTMIDQKKSSLESFFSYKFGYLIMSIMGAKYASLLNYKILCNTTFTISNMVGPKEKVMFADHPVDYMKTTSTSLPHGITMHMVSYAGTAYMQLLVAKDLVHDPQVLAKCLEDALLEMKDAAVATIKNKKPSKEEQTDKI >cds-PLY90860.1 pep primary_assembly:Lsat_Salinas_v7:9:162079749:162090884:-1 gene:gene-LSAT_9X101220 transcript:rna-gnl|WGS:NBSK|LSAT_9X101220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) UniProtKB/TrEMBL;Acc:F4I642] MRIEGFIYKQGHEYAGGDMLQPRETDLPALFLVLVVLPLVAYILLGKWSESSKKKERINELAQIAAEEAFQVESSSMATTTIAAAAAAAVVIPVVVVPMSKSNNSVHKCAKCFAQAKTRCSRCKSVRYCSGKCQIIHWRQVHKQECQFLEYNSSCASPNSASNEESVDEFNEKSDSQFSESNFKLEKPFSDDNSCKFNKEIARKEESVTIESFGETCSTSYDSIPSKGTFTRYKKRGHLVLSKEDIQEKSNVDSCNTTTSKFDSEKSTQNGTNLLDVEKVESNVDYEIHTTKCSERSQAKKSNTKLHKSTKSSVKVLKDYERGSMDQVADVLLDDSRHGDMNTLHEGNKGFMKMIGLKRSSKHDRVEANTEKHKKVKMLFPYEEFVKFFRYEAFDLLPRGLVNCGNSCYANAVLQCLTSTKPLTIYLLRRSHSRACCAKSWCLICELEHHVLMLRDNGEPLSLSRILVNMRNVNNQIGDGSQEDAHEFLRLLVTSMQSICLEGSGGEDAVHPKLQETTFIQHTFGGQLRSKVKCLRCHRESDRYENIMDLTLEIFGWVESLEDALTQFTSPEDLDGENMYRCGRCAAYVRAQKQLAIQEAPNILTIVLKRFQEGSYGKINKCITFPDMLDMIPFMTGTDDVPPLYMLYGVVVHLDTMNASFSGHYISYVKDLRGNWFRIDDTHVQPVSVTQVMSEGAYILFYMRSSPRPLKTYSTKPKPSSISEKHRIPKAPKPTINQQPKAFQSITTQNIPPPRTDFSDAASSDWSIFTSSDDASFTTESTRDSFSTIDYTDNDPISSIFNTLYSTTPDYNHTTVRASSRSNLIPMKSYQGCEPPYRLQKVCSSDSNSNCGLYMKYESDHKDSMNRTSGHCTL >cds-PLY96193.1 pep primary_assembly:Lsat_Salinas_v7:7:96480540:96487362:-1 gene:gene-LSAT_7X65521 transcript:rna-gnl|WGS:NBSK|LSAT_7X65521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase large subunit 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19220) UniProtKB/Swiss-Prot;Acc:P55229] MVVSLSATGVRQLHGSSGLAPRDWRPVNGDLMGKKLSFSQRVQTKNNVKSSIQMTLTAIPTGEAKLRDLEMEKRDPRTVVAVILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQYNSASLNRHLARAYNFGVTFGDGFVEALSATQTPGEEGKRWFQGTADAVRQFHWLFEDARSKDIEDVLILSGDHLYRMDYMDFVQNHRQSGADITLSCLPMDDSRASDFGLMKIDGKGRVMSFSEKPKGKELKAMAVDTTVLGLSKEESEKKPYIASMGVYIFKKEILLNLLRWRFPTANDFGSEIIPASASEYYIKAYLFNDYWEDIGTIKSFFEANLALTEHPSRFSFYDATKPMYTSRRNLPPSNVDNCKIVDSIISHGSFLTDSFIEHSVVGIRSRINSNVHLKDTVMLGADYYETDAEITSLLAEGRVPVGIGENTKITGCIIDKNARIGKNVVIANSEGIQEADRSSEGFYIRSGITILLKNSTIKDGLVI >cds-PLY83593.1 pep primary_assembly:Lsat_Salinas_v7:5:18490970:18494157:-1 gene:gene-LSAT_5X9340 transcript:rna-gnl|WGS:NBSK|LSAT_5X9340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLSQKLHEAFKGTVERITNPRTVSAFKEKGVLSVNEFVIAGDNLVSKCPTWSWESGDPNKRKSYLPSDKQFLITRNVPCLRRVASIQEEYEAAGGEILLDDEENDGWLATHGQPKDTKSDEEELVPCMETLEISKKKNIQSISSYFGTQEEEDIPDMEEFEEADNLIESDPATLQPTYLVAHEPDDENILRTRTYDVSITYDKYYQTPRVWLTGYDESRMLLEAELVLEDVSQDHARKTVTIEDHPHLPGKHASVHPCKHAAVMKKLIEPLIAGGLEPQVDKYLFLFLKFVATVIPTIEYDYTMDFDLGSSST >cds-PLY90092.1 pep primary_assembly:Lsat_Salinas_v7:6:17703090:17707660:-1 gene:gene-LSAT_6X13361 transcript:rna-gnl|WGS:NBSK|LSAT_6X13361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADHRNGGNHPPASKGAGAGGAGAGSAYSIDAETMSRRLKLMYSNWREHKDELWGSCNAFAVATPPPSDDLRYLKSSSLNIWLLGYEFPETIMVFSEKQIHFLCSQKKADLLSVVKKSAKEAVGVEVVMHIKASKSDDGAKEMDAILQAISDGDDTVLGYIAKEAPEGKLLEKWTEKMKSSRLQLSDITNGLADLFAVKEAGELTNVKKAAYLTASAMKQFVVPKLEKVIDEEKKVTHSSLMDDTEKAILEPARIKVKLKADNVDICYPPIFQSGGNFDLKPSASSNDYHLYYDSASVIICALGSRYNSYCANIARTFLIDSNATQSKAYQVLLRAHEAALSALKPGNKANAVYKAAVAVVEKDAPELLPNLTKSAGTGIGLEFRESGMSLNDKNEKILKVGMVLNVSLGFQNLQTKSSKTKSQNYALLLADTVTVSAKGPENLTSLSSKAFKDVAYSFNDGEEEEEVERVQQPKTEPKHSKATLRSDNNEMSKEELRRQHQAELARAKNEETAQRLAGGKNASGDGRASSRTSNDLVAYKNVNDIPPNKDIMIVVDQRNEAILIPLYGAMVPFHVATVKTVSSQADTSRNCYIRIIFNVPGTPFSSHETNSLKNNTGIFLKEVSFRSKDPRHISEVVQQIKTLRKNVVSRESERAERASLVTQEKLVTAGNKFKPIRLTDLWIRPVFGGRGRKLPGTLEAHDNGFRYSTSRSDERVDILFGNIKHAFFQAAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGAKRSAYDPDEIEEEQRERDRKNKINMDFQSFVNRVNDLWGQPKFKGLDLEFDQPLRELGFHGVPYKASAFIVPTSSCLVELIESPFLVVTLNEIEIVNLERVGLGQKNFDMAIVFKDFKKDVLRIDSIPSTSLDGIKEWLDTTDIKYYESRLNMNWRAILKTITDDPKNFIDEGGWEFLNLEASDSDSDGSQESDQGYEPSDVEPESESEDEGSDSESLVESDDDEEEGSEEEGSEEEEGKTWEELEREASNADRENGVESDSEEERKRRKMKAFGKSRAGPSSSSSAPKRPKFRR >cds-PLY85534.1 pep primary_assembly:Lsat_Salinas_v7:2:195605290:195607635:1 gene:gene-LSAT_2X117720 transcript:rna-gnl|WGS:NBSK|LSAT_2X117720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNQEAIVMYNKMTNKDYFEVQPDKYTYTFVLKACTQLLDIEKGVSLHEEIVKKGLESDVFIGTGLIDMYCKCGHLVHAREVFDKIPKKDIVVWNAMVAGLSQSTDFTQAIEIFQSMQLRDGVEPNSVTLLNLFPAIHKISSVRFCKCIHGYVTKRKFPNTVMNGLIDVYSKCKRTDIAFQIFNLMQEPDDVSWGTLMAGFSHNGCHYEVLELFDQMKNNHLNINTVSVVSALSAAGETKDLEKGKIIHECVKKNKFDFDIRVSTPLITMYAKCGELKKARDLFSNLSGKDMVAWSAVIAAFTQSGYHEEALSLFRDMQFDFKPSMVTIVGVLPSCGELSSKKLGKSLHSYTIKHNIDSDTSIETSLVAMYAKCDDFNSAITVFERMLHKEVVAWNALINRYAQIGETDLAVNMFCRLQSSSDVHPDSGTMVGVVLVAAFLGDINLGSNIHGLVMKYGFESDCHVNNALIDMYAKSQSLVSAELLFCMTKIKDRVLWNVMIGAYMRHGYFQESIFTFCQMKSEGFKPSVVTFVSILPVVSSLAAIKEGASLHADIIRTGFLSHTPVMNSLIDMYSKCGRVDYSEIVFDEIKNKDTVSWNVMLAGYAINGHGDLAFGFFSFMENKNVEIDPVSFLSVLSGCRHAGLVEEGKKVFCSMKEKHGIEPKLEHYACMVDLLGRAGLFDETLGLIKSMPMEADAGVWGGLLGACQMHCNVEVAELALENLVKLEPRNQAHYVVLSGIYAESGRWGDARNLRMKMIEMGIKKTPGCSWVNENQVQFNQ >cds-PLY89274.1 pep primary_assembly:Lsat_Salinas_v7:8:296040216:296042039:1 gene:gene-LSAT_8X163700 transcript:rna-gnl|WGS:NBSK|LSAT_8X163700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAKRIGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGNQTRSFQYVSDLVEGLIRLMEGEHVGPFNLGNPGEFTMLELAKVVQETIDSEAKIEFRPNTEDDPHKRKPDITKAKELLGWKPKVSLRKGLPMMVADFRQRIFGDQKLKEPTTTTTTA >cds-PLY71015.1 pep primary_assembly:Lsat_Salinas_v7:9:70593621:70597844:-1 gene:gene-LSAT_9X59600 transcript:rna-gnl|WGS:NBSK|LSAT_9X59600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTTPLIDRDDLERRQYSTGSPADGSSIVKVLAGFFVSMLVLSSMVAVMYNQLQRPQGGRQSTTATTTSASPGIEEKPSSRGVGWPENMVGGDDSIEWQRSAYHFQPDKNFISGPLYHMGWYHLFYQYNPDSAIWGNITWGHAVSKDLINWFHLPLAMVPDHWYDIQGVMTGSATILPDGQIIMLYSGNAFDLSQLQCLAYPLNSSDPLLLDWIKYSGNPILFPPPGVGLKDFRDPSTLWIGPDGKYRMVMGSKHNDTIGCALVYHTTNFTHFELLDDVLHSVPGTGMWECVDLYPVSTTDTNGLDMSDHGSGSGGVKYVLKQSGDEDRHDWYAIGSYDPVKDKWYPDDPEMDVGIGLRYDYGKFYASKTFYDPSKKRRVLWGYVGETDPQKDDLIKGWANILNIPRSVVLDTKTQRNLIQWPVEEVETLRSKKHNEFKDVELQPGSLIPLDIGSATQLDITASFEVDSAMLGATLEADVLFNCTTSDGSAARGVLGPFGLVVLADASLTEQTPVYFYIAKNIDGTSRTYFCADESRSSKLLDVGKMVYGSSVPVLHGENYNMRLLVDHSIVESFAQEGRIVITSRVYPTKAIYESAKVFLFNNATGINVKASLKIWKMGGAQLNPFSLFK >cds-PLY81624.1 pep primary_assembly:Lsat_Salinas_v7:2:1517085:1518098:1 gene:gene-LSAT_2X1121 transcript:rna-gnl|WGS:NBSK|LSAT_2X1121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPKSDAEETPVAVVTVDPSSEAARQLYVGNIPRTTNNDELQKVFEEHGVVEKVEVDSIWTYELLNVLEFNSASKRMSVIGHEEFGNHLLLCKGADR >cds-PLY75342.1 pep primary_assembly:Lsat_Salinas_v7:5:51892909:51896658:-1 gene:gene-LSAT_5X25181 transcript:rna-gnl|WGS:NBSK|LSAT_5X25181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRLVDNFLAVTKESVKTVTYESLSNILRLINGLSALLLSILPGKANMLEGVQGWELKPTLRGPKLPRWMEDGASSFNHFIHELSVDYDSASSVEYSSGEDLDDYDDAYIYPSSPMTPYAKFSRAPSFTKRERNRAHWILSIIMFFLIPVRLLFVLPFYMFKTIFMRSKRPPVTSPGRMKNSPAAKKGLDHVVQRATDKRRGVVEDLHLGIEICIETVFDGIHHAAHCVLHPLDSLMMLLRWSSSNGVGKIPRNDSGTSVSTATLADTDPTPTVKKNNLSTRLNTDSRTCRDVITGEGYPYEVINVVTSDGYVLLLERIPRPKAKKVVYLQHGVFDSSMCWVSNGNVGSPAFAAYDAGYDVFLGNFRGLVSREHVDKSISSRQYWRYSINEHGTEDLPAMFEKIHQVKTQDLKSLSEDNTEEEKNPEQQPYKLCVICHSLGGAAILMYVVTRRVEKKPHRLSRLILLSPAGFHHDSPFFFTVIEYTCLWLAPILAPICPGLYIPTRFFRMLVHKLARDLQNYPAVGGLVQTLMSYLIGGDSSNWIGVMGLPHYNMTNMPGVAFGVARHLAQIKRARKFVMFDYGSREANMRVYGTPEPMDLGERYNLIDIPVDLVAGKNDKVILPSMIRKHYRVLKEGGVTASYKEFNYAHLDFTFSHRPELLEYVMSRLLLLEPNLKLRQLKMKMKKC >cds-PLY89254.1 pep primary_assembly:Lsat_Salinas_v7:5:311574437:311575141:1 gene:gene-LSAT_5X169341 transcript:rna-gnl|WGS:NBSK|LSAT_5X169341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKRMRGYRLIREVKSLDHLGHLPTLVSIHSDIGAKVKYAGGMRAFIEFGSSSLARDFMNNERKWKSIFNYLKPWDDVDQKFDRIACVRIVGLPISLWSVSNFSTIARKIGKIIVPFDHFAERNDLSVVKIGILTEEKKKINDVIKVEAAGMTFEVGVVEYEDELWFPFKFESEEQPYESDSDDKSGYATTLAETDDDDGALSEGEEGISDIWMDGVEEGEIVGNGKDMKESRN >cds-PLY83230.1 pep primary_assembly:Lsat_Salinas_v7:9:123092649:123092969:1 gene:gene-LSAT_9X82241 transcript:rna-gnl|WGS:NBSK|LSAT_9X82241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFPGYVTQPNGMGNLEMTWLPVFAGAVGALGAAGALGATYCSPYITMDGAYHARPSGQTSTLPPTSYVACYYDLRF >cds-PLY69339.1 pep primary_assembly:Lsat_Salinas_v7:7:81447856:81449861:1 gene:gene-LSAT_7X57220 transcript:rna-gnl|WGS:NBSK|LSAT_7X57220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEDKKVTGFIGLNNNFQDMTQEFHLKLNENMSMESFISLNMTNNGGSIAFSITNSSVGSNNSSNGSNTRMFLLPNHDLNHGSNPYSNGVGHSVVNSRRLIPHGSTPDALAQALLDPRFPTKGLENYEEWTIKLKKLNMGPAFAQGAFGKLYKGIYNGEDVAIKLLEKPEENLDVANAMEKQFQQEVKMLAGLNHPNIVRFIGGCHKPMVWCIVTEYARGGSVRQFLNRRQNRAVPLRLAVKQAADVAKGMEYVHGVGVIHRDLKSDNLLISKDQSIKIADFGIARIEVRTEGMTPEMGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGKLPYHNLTDVQAAFAVVNKGIRPAIPDDCLPILGEIMTRCWDVDPHVRPHFSEVVKMLEKAEIEVVTSVRKARFRSCCVPMTID >cds-PLY96325.1 pep primary_assembly:Lsat_Salinas_v7:5:194101365:194102152:1 gene:gene-LSAT_5X87160 transcript:rna-gnl|WGS:NBSK|LSAT_5X87160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSIYTLSLPFFFNAPPSSFFSFLHRLHIQASTISINYLFSSDATTSPSSIARRYERIENHTRPSSSPIKKKQDKVEEQMGLSPLRRSDRSNKQVSPNSLGSKKLIEVTSS >cds-PLY86715.1 pep primary_assembly:Lsat_Salinas_v7:4:7518459:7518674:-1 gene:gene-LSAT_4X4980 transcript:rna-gnl|WGS:NBSK|LSAT_4X4980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHFARLLGLVQLDVDRVKAFCAFDEVDDVVGDVRIGLVEGNIVGVVGGGADGVGADGAGDETSVGGANDGV >cds-PLY97772.1 pep primary_assembly:Lsat_Salinas_v7:4:375068666:375071506:1 gene:gene-LSAT_4X185521 transcript:rna-gnl|WGS:NBSK|LSAT_4X185521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARNHIHSSKQISTKSTVLLLFASVVVGGALIFYYLWASSSSAVYTSVHYNWIREKNDLVTLPNFSNETNKIAEFKNEKHKNKIPERLLSGSFADLDAPETKWEEMAPAPVPRLDGASIQINHLFYVFSGYRTLDHVHSHVDVYNFRSNKWEESFGTPKEMGNSHLGMASDGRYIYIVSGQRGPQCRTPPVSQTFVLDTQTRSWESFPPLPQPRYAPATQVWRGRLHVMGGGKENRHTPSTDHWSIAVKNGKALEKTWRNEVPIPRGGPHRACVGVGDALYVIGGQEGDFMPKPGSPIFKCSRRHEVVYADVYMLDDKMKWHTLPPMPKPDSHIEASWVILNNSIVIAGGTTEKNPITKRMILVGELFQFDINSKKWDVIGKLPYRVKTTQAAYWNGWFYITSGQRDKGPDNPQPKKVVADLWRTKLSDLLIH >cds-PLY88706.1 pep primary_assembly:Lsat_Salinas_v7:5:68125159:68127146:1 gene:gene-LSAT_5X32281 transcript:rna-gnl|WGS:NBSK|LSAT_5X32281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDMAAQQQHEAELLQAASQPLPDDDDDAFE >cds-PLY86827.1 pep primary_assembly:Lsat_Salinas_v7:1:16383544:16385534:-1 gene:gene-LSAT_1X13620 transcript:rna-gnl|WGS:NBSK|LSAT_1X13620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPAIEVAVVDISVSRINAWNSDQLPIYEPGLDDVVKSCRGKNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGVNYQILSNPEFLAEGTAIEDLFAPDRVLIGGRETPDGQRAIKALKAVYANWVPEERILCTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVAEVSHAVGKDTRIGHKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKVNDYQKSRFVNRIVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAHLSIYDPQVTEEQIQRDLSMNKFDWDHPVHLQPMSPSSVKQVSVVWDAYEAAKGAHGLCILTEWDEFKNLDYKKIYDSMPKPAFVFDGRNVVNPQKLREIGFIVYSIGKPLDSWLKDMPAVA >cds-PLY76045.1 pep primary_assembly:Lsat_Salinas_v7:5:320467719:320467895:1 gene:gene-LSAT_5X175821 transcript:rna-gnl|WGS:NBSK|LSAT_5X175821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHCIRTTTSAPANSPFNLRVFGLDVPITEFKQIPERTVFSGVSISGSSSTSIWGSSS >cds-PLY85529.1 pep primary_assembly:Lsat_Salinas_v7:2:195166097:195168475:1 gene:gene-LSAT_2X115640 transcript:rna-gnl|WGS:NBSK|LSAT_2X115640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHVKILLFSFQEFITNSKGVKLFTCRWLPVDCEPKALVFLNHGVLLGESMGGAMVLRLHMKKPEFWDGGVLVAPMCKLSDDMKPPQIVVSILSHLTRIIPTWRIVPGQDIIELAFRDPKIREEVRKNPLCYKGRVRLQTANELFGVTTNLETRLQEVKLPFFLAHGGDDKVTDPTASKLLYEKASSTDKTFKLYPGMWHALTYGEFTENTDIVFADIITWINERIAQGNSRMEREQKKKNDESSKKNAN >cds-PLY69297.1 pep primary_assembly:Lsat_Salinas_v7:4:104638543:104639418:-1 gene:gene-LSAT_4X67660 transcript:rna-gnl|WGS:NBSK|LSAT_4X67660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPAIGTSSRIRHIVRVRQMLCRWRRRATSSSSSSRRLMASDVPAGHVAICVGSSCRRFIVRATYLNHPMFQKLLREAEEEYGFCNKGPLTIPCEESEFEEILRFVSRPELYTNNKSSRFVNLEEFQRFCHVSYVAESKPLLHGSVY >cds-PLY99416.1 pep primary_assembly:Lsat_Salinas_v7:4:103672304:103673692:-1 gene:gene-LSAT_4X67720 transcript:rna-gnl|WGS:NBSK|LSAT_4X67720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLHNEVDENEKGGNNEEEQNDGGGGLESKNIEGKNANKAEGRTGEDTQIVEEGVEGKNLEGINSDKGKEITGEEPQIIREGMEGNNIEGNNDKKREGITGEQPQRVEEGVEGEIWRGKIGENKQGKSI >cds-PLY74401.1 pep primary_assembly:Lsat_Salinas_v7:6:49005015:49005296:-1 gene:gene-LSAT_6X35760 transcript:rna-gnl|WGS:NBSK|LSAT_6X35760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKQQPPGKPSDTTQVNEVSFLCNDNIVHNPTPPEPEVVVENDVPLIDNDTQRMFEIKEVVIELEKYNEEFHELEASKVGEGFVEDNINPT >cds-PLY68929.1 pep primary_assembly:Lsat_Salinas_v7:2:193204341:193205039:-1 gene:gene-LSAT_2X113841 transcript:rna-gnl|WGS:NBSK|LSAT_2X113841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPETIEIKVDNKFNDINDENDDAFSLRDLQMYDNANANASSTHSSPRTSSGQELFEFFHTLSNPPETVDIVFCGKVIPGLVGEGNDDHFTLVRSPSSRLPDPPAVKHAIPMRSASFSTSVKKMNITSLTSMSSKSRRRMFMFGPVKFLPEMEMSAIRERQGRRAPSQMFPVSEGGGQETTVKVIAGRHKNQRRDAVKRLTCRARLNTVFERSLACLRL >cds-PLY81309.1 pep primary_assembly:Lsat_Salinas_v7:4:37668545:37670689:-1 gene:gene-LSAT_4X25161 transcript:rna-gnl|WGS:NBSK|LSAT_4X25161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METWFVVVVSLCVAALIRSLLFHRRNGKKLPPGPSFISSNFSLLTRSRLEFETTLINLKSRYGSLITLYIGSRPSIFVGSHSLAHQVLIQKGAVFSDRPKSFAMMNISSASYGPTWRLFRRNLASEILHPAHIKSYSWARKWVLHILIGRLQEQKEVVGIQVVDHFQYAMFCLLVLMCFGEKLDENQINEIARVQRDMLLRIGSGRFTVLTMLPRLGKILFRNRWKEFEQLREDKEHVLIPFIKSRIEANKSESQFRDEQIVAYVDTLVNLQLPEEEGNNENGGKLTHKEMVSMCSEFLNAGTDTTSTALQWIMANLVKYPHIQSKLYDEIISVVGPPPPPPRPGVEPESVINEEELKKMPYLKAVVLEALRRHPPAHFVLPHRVTKEVEVLGFTIPEGASINFMVAEMGLDPKVWEDPMVFKPERFINGDFDITGSKGIKMMPFGAGRRICPGFDLALLHLEYFVANLIWYFHWSPPDGYHVDLSEKLEFTVVMKNPLRTQISSRV >cds-PLY62541.1 pep primary_assembly:Lsat_Salinas_v7:4:355447167:355447370:-1 gene:gene-LSAT_4X175121 transcript:rna-gnl|WGS:NBSK|LSAT_4X175121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPITENLKAIGSTSEAFNDFRTNDSGIYDWSSRCSTRSLPTQSRYVHILSPSQFQCFLSIYNIDQC >cds-PLY68335.1 pep primary_assembly:Lsat_Salinas_v7:4:157488930:157491854:-1 gene:gene-LSAT_4X94401 transcript:rna-gnl|WGS:NBSK|LSAT_4X94401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGAVRPSPSSLTRFARLTRHLSSSNTKRSSIPVYSYGSSRSRLQSNRIYSDASLQSNSSVQKGEKITLEQPEIIFMGTGTSEGVPRVSCLTHPLKKCPVCSKAVVRGNKNKRLNTSLLIRYPKPSGISNILIDAGKFFYQSALRWFPTYGIRSLDAVIITHSHADAIGGLDDLRDWTNNVQPYIPIYVAKRDFEVMKKTHYYLLDKSVIIPGAAVSELQFDIIDENPFTVHDIKFTPLPVWHGSNYRSLGFRFGNICYISDVSAIPDATYPLLKDCEILIMDALRPDRSSSTHFGLPQALEEVRKIQPKRTLFTGMMHLMDHEEVNERLLKLRETEGLDVQLSYDGLRVPINL >cds-PLY81004.1 pep primary_assembly:Lsat_Salinas_v7:9:176011467:176013030:-1 gene:gene-LSAT_9X108461 transcript:rna-gnl|WGS:NBSK|LSAT_9X108461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRLKEETVREVNRSPAYFANSQRGVKLQLLESSDQESQEKIEDVQKNESSADTDTMDMESFKENHLSGVQFSPRTKEMTMESNIPILPLSKPKDTHRKRKMELPDINLEISSLPASSSSTEKEKEKEKEKSDPCTSRTQSLDINLGHTTNSNSNSCGHTTNHGSEPGSRWIKRLKLTGSSLLPKTSPENKLFTKVKVGPPSETVIAESSDRHNNNNNNNNNNKEVVGLSNAWIQRWSSNQGQKSPVVERIEKGDCKVGPPEEDEKKQFPSIAAMALMGKAMSGFQQCKFQKRESFMVWNTKGFE >cds-PLY70829.1 pep primary_assembly:Lsat_Salinas_v7:4:58679830:58682708:-1 gene:gene-LSAT_4X39281 transcript:rna-gnl|WGS:NBSK|LSAT_4X39281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDRGSGGGSFVAVRRISQGLDRSNTFQTSSAEVAAGSAAWLGRGLSCVCAQRREDDSRQSFDLTPAQEDCLMRLQNRLDIAYDSSIPEHQEALRALWEAAFPEEELHGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLYFARSFPKSFQDLLRKQEGDRSMWEYPFAVAGVNITFMLIQMLDLEAVKPRTLVGATFLKFLSDNELAFDLLYCIAFKLMDHQWLAMRASYMDFNAVMKSTRHQLETELLEKYITRLEELPSYMLLTR >cds-PLY93177.1 pep primary_assembly:Lsat_Salinas_v7:MU039129.1:105953:109139:-1 gene:gene-LSAT_0X23961 transcript:rna-gnl|WGS:NBSK|LSAT_0X23961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRWLLVGRSRRKPVSLHCLLIASATFTGIGILFLTLRSLDDPTPSSFTTHHFTTTVTQPEDEKQITKTKGCATVEEMGDDFRGGFLEQSLRVRNIIQRHFDLNGAARIRSLPPEEFCRQRFVIAKASEAGFGNEMYKILTAAALSVILNRSLIIGQTRGKYPFGEYISYTNTSFTLNEVKHLWRQNGCLTTYGKHLVMRIDDFQRPLKTNVLCSNWREWEEPIIWFQNTTDAVAAQFFLKNVHVEMRKAASELFGQPENTHRPNVFGELMRILISPTEKVKQAVDLVLGGGLDPDITLHMRMLMNRSVRALQAALDCTRKVMQNAQLGSRPRLVLVSDTPSLVEDVKLNFDSFAEVVHFDYESYDGELSGQNRLHNLNFRTKDWGPAPRWVAFVDFFLASRARQAVISGAHRRVGTTYVQLIAALAAANSADNVGGNSSFSFFSSFQSTLISEGLSNQVGWGHIWNRFSGPLSCGNQTNQCAYTPLLPSAWWDGLWQSPTLRDIRKMEAYGIRLSGFGTVDENQLLSFCNTRKEFGKFVTLV >cds-PLY75969.1 pep primary_assembly:Lsat_Salinas_v7:5:247805531:247807441:1 gene:gene-LSAT_5X123321 transcript:rna-gnl|WGS:NBSK|LSAT_5X123321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANSLVFIITCFFILLYPSALANRSHFSWNETSTSAVLSASNADGICQSMVQPRGYTCEEHKVTTKDGYILSLQRIPLGRVGGKKGNRIPVLLQHGLLMDAITWLLSPPDQSLALVLADNGFDVWLVSSRGTKYSRGHVSLNPDSEAYWDWTWDELAAYDLPATFQYVHRQTGQKLHYVGHSLGTLMGMAAFSKGELVSMTRSAALLSPIAYVGQITSPLGRTAAENFLAETLRWLGLHEFNPKGDAVTKLLKKICVNPLIDCTNLLNSFTGKNCCLKPSIVDVFLDHEPQSSSTKNLIHIAQMIREGTISMYDYKDGGQNRRRYGKSTPPVYNIANIPKNLPLFLGHGGADSLSDVEDVKHLLKILKDHDKNKIVVQFRKDYAHADPVMGTNARQVVYEPLMAFFKVHA >cds-PLY67092.1 pep primary_assembly:Lsat_Salinas_v7:5:282028455:282030928:-1 gene:gene-LSAT_5X148780 transcript:rna-gnl|WGS:NBSK|LSAT_5X148780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDISCSNANGTNVYSQRKSCWYEEEIEENLRWCFALNSILHTGATQFQDIQLLDTKPFGKALVIDGKLQSAEIDEFIYHESLVHPPLLHHPNPKTIFVMGGGEGSTARELLRHKTVNKVVMCDIDEEVVEFCKSYLAVNTEAFGDPRLELIINDARVELEKRDDKYDVIIGDLADPLEGGPCYQLYTKTFYELTVKPRLTEGGIFVTQAGPAGVFSHTEVFSCIFNTLKQVFKYVVPYSAHIPSYADIWGWVMASDYPITLSPDELDLRMKQRINGENRYLDGKTFVSASTLSKAVRKSLDDETHVYTEGAARFIYGHGHGSAHKHDHHA >cds-PLY63304.1 pep primary_assembly:Lsat_Salinas_v7:3:90621781:90622622:1 gene:gene-LSAT_3X68821 transcript:rna-gnl|WGS:NBSK|LSAT_3X68821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTVLLLLSIIVGLVAISATARPCKTIFFITSTSSSYYPADTNHPGNPNFPHQNPTNSPRLTFFITEIHEFHRSRSFPRPIFPDRAVEDVVSSKPSSSSSFRDRTLDIISIVGALLVGVGCGALTAATMYLIWSVCSSRRLDFGSDSDEEEYDVDDEDDVTHNKNGYAPIPAATKPVPPSADEVDAMK >cds-PLY64056.1 pep primary_assembly:Lsat_Salinas_v7:8:93734788:93736284:-1 gene:gene-LSAT_8X65341 transcript:rna-gnl|WGS:NBSK|LSAT_8X65341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYTYLNSLSVGGSAFFFCSRSRVFWASGLGFVLGLLGRCSLPWYAKIPCFRRVKSLLVPWSPCRCVLPPHKRSRVSAPFVFSEQIFKKQQTTIDSLHDECLFEIFRRVSGCQEKNQDKTINSPCLSQMLYICILDETEQHPRGLNAGYTSYLGVYNISLCLLLASDLHTSIQGRTRAQRARPQPRAACLDGASNLMGIVDLG >cds-PLY91219.1 pep primary_assembly:Lsat_Salinas_v7:3:74719254:74722382:-1 gene:gene-LSAT_3X57741 transcript:rna-gnl|WGS:NBSK|LSAT_3X57741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATYKLAAIIRNPNNNDEFLLVKQTPPPKYDDQEYDSYADSDLWDLPSAKLTSLSPEVDSSPHFVLQGEEACSHKLNLRKFDLPSALAEVLGQVGFESVNGVEWKFLKLVEEPNFGPGFPIETVYVTGDLVLETLKDHCQWSSNEICSNLLLQVKPGGNRIGPLAVNSLLKESMQSHSLKLPPTLSCQEYPPGVNIIPMRSKTAQPFHTTNLIVFTNGNNQVEHESSSFIAHGDAMIIDPGCRSEFNKELAEIVTALPQKLIVFVTHHHRDHVDGLSTVQKTNPDARLLAHKNTISRIRKDDWTLGCTTVSGTEEICIGGERFKIIFAPGHTDGHLALLHVSTNSLIAGDHCVGQGSAFLDINSGGNMNDYFQTTYKFMDLSPNALISMHGRVNLWPKHMLCGYLKNRRSRESTILKAIESGSKTLFDIVAYTYADVDRNLWVIAASNVRLHVEHLAHQNKLPKEFSVEKFESTCRVHFFLRWVWTYVQNRIINYPTLMLLGGLTASGVALLYSVRNNLNSR >cds-PLY98771.1 pep primary_assembly:Lsat_Salinas_v7:7:23251974:23255752:1 gene:gene-LSAT_7X18540 transcript:rna-gnl|WGS:NBSK|LSAT_7X18540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEKIGLPPKPSLRGNNWVIDATHCQGCSSQFSFINRKHHCRRCGGLFCGSCTQQRMYLRGQGDSPVRICEPCKTLEEAARFEMRYGHKNKSRKGASKLASDNEDDLLGNESISSEKGSVHLRPSASSSNTDMEVDFESTTPEELRQKAVEEKKKHKTLKAEGKPEEALRAFKRGKELERQAGALEIELRKNRKKALSSTSVNENSKAGIEKQPKQKDDLVNELRELGWSDHDLHDADKKPVNMTLEGELSTLLKQVSQKNPKTEKGNVGIDKSEVIAIKKKALAFKREGKLTEAKEELKKAKILEKQIEERELLGDDSDSESDDEFKSLMRSMDIDKQDILASGNDRNRNHNIDVDFNFDFDHQPDFDVTEEDMDDPEMSAALQSLGWTEDHSVNDDVAVLTEKIQSLKREALIKKRAGQTDEALALLKKAKMLEKGENNDPGASLELDSGPKPGPKTGPKSKLAIQRELLALKKKALALRREGKADEADQELAKGKALEEQIEELESGPKVKPPVKPIDFSTPMEVEDEDEDVTDMDMNDPSYLSLLQNLGWQEEEKEVPKVKAVDFSTPKPKSKNEIQRELLALKRKSLALRREGKVDEAEEVLQKTKGLEAQLAELEGPNQNLDQNIVEKTEPQTVEVRVEEKAPALVTKSTESTESAELGSVQERVMAHKRKALSLKREGRLAEAKEELKLAKLLENKNRDMNTDTDMVDRTPGPSPVPVPVTSEPSGSIAMEPEGTSESSPPPSVKLSSRERFKLQQESLGHKRKALKLRREGKNEEAEAEFELAKNLESQLEAASEAVKPPPGDDVGVEDLLDPQLLFALREVGIEGGGGARPSPEKVAVKKVEVEAVAVAVVNKSERVELEEEIKAAKVNAVKLKRAGKQGEALDALRRAKLLEKKLNSLS >cds-PLY80291.1 pep primary_assembly:Lsat_Salinas_v7:3:209373829:209374182:-1 gene:gene-LSAT_3X122961 transcript:rna-gnl|WGS:NBSK|LSAT_3X122961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGIFSRKLLLKVCNLMIRVFFRDDLVMEAKQPFLVMQGNDIQPNEVTYNTIIQGYLSNKVYDDIELPVTDCMRGKVRKALKIFHSIVSRGMVLDVVTYNNNLFQSFEDRRHHAYFQ >cds-PLY80809.1 pep primary_assembly:Lsat_Salinas_v7:5:240367473:240375408:-1 gene:gene-LSAT_5X118681 transcript:rna-gnl|WGS:NBSK|LSAT_5X118681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQYVKKDDDRDEEAEYSPFSGIEKGAVLQEARVFNDPQLDSRRCSQVITKLLYLLNQGDTFTKVEATEVFFSVTKLFQSKDIGLRRMVYLIIKELSPSADEVIIVTSSLMKDMNSKTDVYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALGLLHQIRQNDRLAVSKLVTSLTKGTVRSPLAQCLLIRYTSQVIRESSMNSQPGDRPFYDYLESCLRHKSEMVIFEAARAITELSGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTQPMAVTTCNIDMESLISDQNRSIATLAITTLLKTGNESGVDRLMKQITNFMSDIADEFKIVVVDAIRSLCLKFPLKFRTLMNFLSNILREEGGFEYKKAIVDSIVTIIRDIPDAKEGGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTADPSKFIRYIYNRVILENATVRASAVSTLAKFGAMVDSLKPRIFVLLRRCLYDNDDEVRDRATLYLNTLGGDGSVVETDKDVKEFLFGSLDLPLVNLETSLKNYEPSDEPFDINSVSREVKSQPFAEKKAQAKKPTGLGAPPAGPTSTVDTYEKLLLSIPEFSTFGQLFKSSAPVELTEAETEYAVNVVKHIFDKYVVFQYNCTNTIPEQLLENVTIVVDASEAEEFEELATKPLKSLPYDTPGQTFVAFEKPEGVPSIGKFSNVLRFIVKEVDPSTGEAEEDGVEDEYQLEEFEVVASDYMLKVGVSNFRNAWESLSPDFERVDEYGLGPRESLKEAVNTVINLLGMQPCEGTEVVAANSRSHTCLLSGVYIGNVKVLVRLSFGVDGAKEVAMKLAVRSEDELVSDAIHELVAN >cds-PLY96692.1 pep primary_assembly:Lsat_Salinas_v7:8:136051553:136052951:1 gene:gene-LSAT_8X94400 transcript:rna-gnl|WGS:NBSK|LSAT_8X94400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKAKDGGLLSQGTLDFMKKLLERSGLGDSTYMPEIFSDDQRYTPSIKDSRREVEMAIFGSIDMLLAKTGVRSEDIGILIVNCCIYNTIPSLSSIIVNQYNLRENVASYNLVGMGCSAGLLAIGLAKQLLQVHQNTYALIVSTESITENGYLGEDHSKFIANCLFRTGGAAILLSNRPSDHHISKYHLLHTVHNNTSRSDRSYNCIFQEEDTAGTVGVTINKDLLTAAVSTIESNLTALGYLILPMTEKLLYVINYIARNLLSGNKIQPYVPNYSKAVDHFLPHVGGKPVLNELQKTLRFSDAVMEASRMTLYRYGNTSSSSIWYELAYVEAQGRVRKGNRVWQMAFGSGFKCSSVIWGAMKTVDYDDKNPWTDEIAGFPVTLNKCEPLPVFFEPTKKK >cds-PLY96688.1 pep primary_assembly:Lsat_Salinas_v7:8:136076130:136079194:1 gene:gene-LSAT_8X94460 transcript:rna-gnl|WGS:NBSK|LSAT_8X94460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METIIFPTSPRIGFLLANQPTTRCFQPKHKRFAVVSVLTPSTSTNNLKTEATSSYNINKDTITSKTVYKDTWFDQVAIDYLSKAVQDTTGMKNEKSGYESLVIAAGAVFKNFDPIQQRQLVVKALQSAIPRPISFLIKTMLPPSKFSREYFATFTTIFFPWLVGPCEVIESEFEGRIERNVVHVKKCRFLESTNCAGMCTNLCKIPSQEFIKSSFGVPFNMVPNYEDMSCDMIFGQNPPTLQDDPAFKQPCYKLCNVKHKHDTSCVAKPLEYIDQYRD >cds-PLY97879.1 pep primary_assembly:Lsat_Salinas_v7:4:90694984:90695473:-1 gene:gene-LSAT_4X59760 transcript:rna-gnl|WGS:NBSK|LSAT_4X59760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSVWVDLVGGETERVGGVDESQHHVYAASNIQEAYSRILMGHLKGALHAKLEGGEYIMLTLFESLLVADYRNDDNKDQLIANYFIVKNIGKLCSRDKLPPQKTIT >cds-PLY78092.1 pep primary_assembly:Lsat_Salinas_v7:1:6275250:6276332:-1 gene:gene-LSAT_1X5881 transcript:rna-gnl|WGS:NBSK|LSAT_1X5881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G19800) UniProtKB/Swiss-Prot;Acc:Q8L4R0] MQTAAHHSITCYLPSRYHSTKTWMKIRNPNQIQTQLSKRPNLIIGVQNGQKFGSFIRPTLFLDPKTKIFAIPDDGHLSSVCVSEDNKNPNPNQEPDPEPLFSKWSPPRYLWRGLSVFILAGQVIIRILKGKIHWINTLQQLERVGPKSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRAVGGVLALAFTRELSPVITSIIVAGRIGSAFAAELGTMQVSEQIDTLRVLDTDPVDYLVTPRVIASCVALPFLTLICFTVGMASSAILADGVYGISINIILDSAQRALRSWDIISAMIKSQVFGGIISIVSCAWGVTTLGGAKGVGESTTSAVVISLVGIFIADFLLSYWFFQGAGDSLKNL >cds-PLY97202.1 pep primary_assembly:Lsat_Salinas_v7:5:99310899:99317909:1 gene:gene-LSAT_5X48361 transcript:rna-gnl|WGS:NBSK|LSAT_5X48361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKMKHLLRKLHIGGGFNDHNNRLSATDTPSQPPATTQFHSSASPSPLPRVGAVDALSVENLNINNNSESSAVDFNFFEEEFQMQLALAISVSSGSAEAREPDAETAQIKAAEQRSMGCSPSESLVEFLSLRYWSNNVVNYDEKVMDGFYDVYGITSNLVTQGKMPSLVELQAKTVYDDVDYEVILVDRASDKKLQQLEERVSNISLECHASGISQIISRLIQKIGNIVVAQMGGPVTDADEMLRRWTTASYELRNTLKTVVLPLGCIDCGLSRHRALLFKVLADKINLPCSLVKGSYYTGTDDGAVNLIKIDNGSEYIIDLMGAPGTLIPVEVPSCNVEVVDIRSGAGGYTLDISSENGFAKVVPSDSFIGGSQLNTRSGSAVERKQTKRFESDFGKLLPALGRSNEGRVGGGGGGGGGGSPAQKLQLSDVSKCVITAAKNPDFAQKLHAVLLKSGGPSTESLLNLSNRRVGETNVRGAFHLLDADLDLISFTEIGNANVNGLPSQETSAHGEDVINDYAVVKPVERRYADNTKNRKNLDMKLVETSCSKSNQREREIRIDEEWEIPWEDLQIGGRIGIGSFGEVYRSEWNGTEVAVKKFMNQDLSGDALTQFKGEVEIMLRLRHPNVVLFMGAVTRPPNLSILTEFLPRGSLFKLLHRSSIQVDEKRRMRMALDVAKGMNYLHTSNPVIVHRDLKTPNLLVDKNWVVKVCDFGMSRMKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATLRIPWTEMNSMQVVGAVGFQFRHLDIPQHIHPTLACIISDCWHLDPQLRPSFKEIISRLRQFSNDTTKTTTLK >cds-PLY73255.1 pep primary_assembly:Lsat_Salinas_v7:8:211372876:211375385:-1 gene:gene-LSAT_8X132001 transcript:rna-gnl|WGS:NBSK|LSAT_8X132001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDSLNIAVIGAGTSGLLTARELLRENHRVTVFEKSNRLGGTWLYEPQVEAGDVLHLDPNRSVVHSSLYSSLRTNIPRPLMGFSYYSFEDKSYGDPRMFPGHEEVLKFLQDFANEFGITEVIRFNSEVVRVDSLDGDFVVEWRTMEVGSVAEVFDAVVVCSGHHTEPRIADDVPDGDFVVEWRTMEVGSVAEVFDAVVVCSGHHTEPRIADDVPGIDKWSRKQTHSHNYRVPEPYRDQVVVVIGNGTSALDISREIATVAKEVRLSSRSLNVKVSKLDGYANIWQHSKINRVYDDGTIFFQDGDSIEADIILHCTGYKFHFPFLTTNNIVHVDDNRVGPLYKHVFPPQLAPRLAFVGLTYNQGVHFQMFELQSKWVALVLSGKISLPSEDEMLADVHKHYREMEDNGIPKRYTHSLGFKVIILILL >cds-PLY77948.1 pep primary_assembly:Lsat_Salinas_v7:1:23279918:23280723:1 gene:gene-LSAT_1X19821 transcript:rna-gnl|WGS:NBSK|LSAT_1X19821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METDSKSYSYLLRVYVGSEAGLKNLDEYLLSHSYITWYQASKDDLTVHAAFTKPPSPQYVNVSHWFTHIDALLRISGVSAEGSGVVVEGSASFPEEAIATPPVADTKASDEDEDSDVDLFGEETEEEKKGAEERAAAVKASGKKKECKAKFVFSILCFKCKIDSVLDCVISWEVFGVVGCEALG >cds-PLY62576.1 pep primary_assembly:Lsat_Salinas_v7:9:74924746:74926148:-1 gene:gene-LSAT_9X62421 transcript:rna-gnl|WGS:NBSK|LSAT_9X62421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ABI4 [Source:Projected from Arabidopsis thaliana (AT2G40220) UniProtKB/Swiss-Prot;Acc:A0MES8] MDDSATGHHPQDHFTDIHDDTNTNNTHPHLHSTNSPPPPPPPPPPPPTTTIDSPPSLSRKGKGKGGPDNSKFKYRGVRQRSWGKWVAEIREPRKRTRRWLGTFSTAEDAARAYDRAAVILYGPRAQLNLQQPCTDGSTTTSASSSHSSSSSSSRGGSGSSSSSTTQTLRPILPRPASFSLTFSNPHAPLSIPAYGNYLPYRLIYPTLNHSTNDIESSGTANLIQYPLHVVKQQQAYLPYNSMDNIKVPKSEDPTFRGIPGFTSYDPNPNPNPRSNWISEKSQQQLQQCQDYRGNSSEKDDDEIKALVGSVGSSVSLVSSSSPPMAVAETVSDPTVVGGPSSPIWSYTHDDEYPPPSIWDYGDPSFDF >cds-PLY91171.1 pep primary_assembly:Lsat_Salinas_v7:4:163752643:163754819:-1 gene:gene-LSAT_4X97721 transcript:rna-gnl|WGS:NBSK|LSAT_4X97721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGDFSDGCVRRTEFNCGIKEEKHGFIEGYVPISYLSAFLQSQTLESEFDECTADCGWIIGHNYVAYGPLLNGATVVLYEGVPNYPDFGRCWDIVDKYKHVTRYSRKSLRVLGSVGEPINPSAWSWRLKVSNINIRYLVANRNRRFHDYALARCMASKTWFCYFSIFRGSVDEKSKEIVGECSRYLCVRKSWPGAFRTLYGDHERYETTYFSALPGYYFSGDGCSSHASAELDEELPL >cds-PLY73860.1 pep primary_assembly:Lsat_Salinas_v7:4:207240260:207241596:-1 gene:gene-LSAT_4X117401 transcript:rna-gnl|WGS:NBSK|LSAT_4X117401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHYDKIYLVVYGVISWTSAFLLIRKIFPKRSFDFCNRIVSTIHALFAVFLSSMSVQDWRCPVCPLASNSTPVQMRALSVSLAYLIYDLVCCLFDKNIKIDNSVHHLVSIVGVVAGLAYEKCGSEMMVTMWITEISSPFLHLREILKELGYKDTDINLAADVSFAVIFSIARMCVGPYLCYVTLSADNPILIKAMALGLQLVSAFWFFKIARMVKYKFIKRSASKTKSS >cds-PLY65924.1 pep primary_assembly:Lsat_Salinas_v7:4:136853769:136855096:1 gene:gene-LSAT_4X85481 transcript:rna-gnl|WGS:NBSK|LSAT_4X85481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQLGTTRASSRGLHGKRVPIFLPPRVSMVSSRKYVSLLPHYIHHITSRIHHLQTPTNNNPSTHPEFVINSSQVPSSSMALRATNLVKSMVSRSRGMPAFSTLTFPKMKAYSPTADHSYGHHDPKPSLVKGAYAPVYISLGLIMMSVSIGVFTATHQLRRAPNVSVKKSKRETLPELVEPEKVAEESNEFIKKSFFRKIAHVQEADRQQVMSDPIRGDTYSMHPKPYTESLKSVGVEVENKPFVQPPPLKH >cds-PLY89717.1 pep primary_assembly:Lsat_Salinas_v7:7:42749401:42750757:1 gene:gene-LSAT_7X30741 transcript:rna-gnl|WGS:NBSK|LSAT_7X30741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLKYPGFSFKPTDVHLVMYYLKNKLLGKKLDPEFIAEININDFSPWDLPGTGKDKEVKYKGRTVAMRKTLIFHVGHREKRTITNWVMHEYRMTDEDLANQGVAQEAYVICRVFEKSGLGPKNGAQYGAPFEEKDWDGDGDDDDDDKSTVTSLMMVGVLVNPNNASCLTSTCPSTKTSDPNNASSLGPSGITSWEDERVTYVPINDDVMLTHGDLASLLQNNNTQEEVEDRNGKNVMIDDGIDMLNEFLDASNPHDLGQDMFNMFSVDGFDDDSMNAFLGDDFWKSWND >cds-PLY93231.1 pep primary_assembly:Lsat_Salinas_v7:6:160064585:160065997:1 gene:gene-LSAT_6X98621 transcript:rna-gnl|WGS:NBSK|LSAT_6X98621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTKRKMSSMATLLISLAVVIVSLCLPSLTTAAYPYSSPPPPPSKKSPPPPPKHHYTYKSPPPPPPVYKSPPPPVYKSPSPPPPVYKSPPPPTPVYKSPPPPKKPYVYKSPPPPPPVYKSPPPPVYKSPPPPVHKSPPPPVYKSPPPPVHKSPPPPVYKSPPPPHYVYKSPPPPVYKSPPPPVHKSPPPPVYKSPPPPVHKSPPPPVYKSPPPPVHKSPPPPVYKSPPPPHYVYKSPPPPVYKSPPPPMHKSSPPPVYKSSPPPVHKSPPPPHYVHKSPPPPVYKSPPPPVHKSPPPPVYKSPPPPLHKSPPPPKKHYIYKSPPPPPPVYKSPPPPPPKKPYVYKSPPPPTHVHKSSPPPTPVYKSPPPPPTPVKKYPPPHYIYSSPPPPHH >cds-PLY64328.1 pep primary_assembly:Lsat_Salinas_v7:4:24459084:24459869:1 gene:gene-LSAT_4X17460 transcript:rna-gnl|WGS:NBSK|LSAT_4X17460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYQSSQKDITCDIKMQRIGNLLSHASRSDRVGINLMLREGISPNVQDYDNKTALHLAGSEGHDSIVELLLHYKVDVNITDRWHKTGKETNKMTTMGNRENITGDSSSSTGERDEWDDSR >cds-PLY81793.1 pep primary_assembly:Lsat_Salinas_v7:3:30361565:30362643:1 gene:gene-LSAT_3X23101 transcript:rna-gnl|WGS:NBSK|LSAT_3X23101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45390) UniProtKB/Swiss-Prot;Acc:Q94B60] MRGAEADAMGLLLRERIVFLGTQIDDFVADAIISQLLLLDAQDPSKDIRLFINSTGGSLSASMAIYDVLKLVRADVSTIALGISASTASIVLGGGTKGKRLAMPNTRIMIHQPLGGASGQAIDVEIQAREMMHNKDNVTRIIAESTGRSYEQVQKDIDRDRYMSPIEAVEYGIIDGVIDEDSIIPLEPVPDRVKPTLSYDAISKDPSKFLNPEIPDDEIY >cds-PLY75823.1 pep primary_assembly:Lsat_Salinas_v7:3:69005353:69007049:-1 gene:gene-LSAT_3X56060 transcript:rna-gnl|WGS:NBSK|LSAT_3X56060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIEVAVVDVSVPRITAWNSEKLPIYEPGLDDVVKKCRGKNLFFSNEVEKNVAQADIVFVSVNTPTKSSGLGAGKAADLTYWESAARMIADVSKSSKIVVEKSTVPVKTAEAIEKILTHNNKGIEFQILSNPEFLAEGTAIADLFDPDRVLIGGREETPGGLKAIKTLKDVYAHWVPEERIICTNLWSAELSKLAANAFLAQRISSVNSISALCEATGANVTQVSYSVGKDQRIGSKFLSASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKNRFVNRLVSSMFNTVSGKKIAILGFSFKKDTGDTRETPAIDVCRGLLSDRAQLIIYDPQVPEEQMMKDLSMPKFDWDQPSGSTTIQIDEETVRKSVKVVHNAYEATKDAHAICILTEWDEFKSLDYKKIFDNMPKPAYVFDGRNVVDVGKLRQIGFIVYSIGKPLDPWLKDMPAVA >cds-PLY88256.1 pep primary_assembly:Lsat_Salinas_v7:4:154928868:154933459:1 gene:gene-LSAT_4X93741 transcript:rna-gnl|WGS:NBSK|LSAT_4X93741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHHALNAFAAQCFLFCRRLHARRTLRSFSNRHSGQAFNLLMATAETLVSACNGIVCTHPDPQRTYQVIVAATRAMGIGKDGKLPWRLPSDLKFFKDVTMTTSDPVKKNAIIMGRKTWESIPLEHRPLTGRLNVVLTRSRTFDIATAENVLMCGSMISALELLASSPYCLSIERVFVIGGGEILRESLNAPGCDAIHITEIETDIDCDTFIPSINISDFQPWYSSFPLLENGIRHSFTTYVRVRNSGIEAPKVNGSLSECHSDSPKFDSRMFSFLPKKIFEKHEEYLYLKLVEDIISNGALKGDRTGTGTLSKFGCQMRFNLRKSFPLLTTKACKVFWRGVVEELLWFISGSTSAKVLQDKGIHIWDGNASRTYLDSIGLVDREEGDLGPVYGFQWRHFGARYTNMHADYSGQGFDQLLDVINKIKNNPDDRRIILSAWNPSDLKQMALPPCHMFAQFYVNEGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCDLVPGDFVHVLGDAHVYSTHVRPLQDQLQKLPKPFPILKINSEKKDIDGFVADDFKLIGYNPHQKIEMKMAV >cds-PLY88492.1 pep primary_assembly:Lsat_Salinas_v7:3:174499926:174508793:-1 gene:gene-LSAT_3X104181 transcript:rna-gnl|WGS:NBSK|LSAT_3X104181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQEHIYTKQESARNNWEQTVGEIDAVGDSDSKTHIPLMLTPATSIAEFQRPFPPSESIVFLATNKSRRSEYENLRSEDENRRSVAENCRSEAEIINLEPTGACGSDGGGSSVVGGGYGTLAVGGGDNMGWWLVATGGNVYVLFKFEMREKIKFM >cds-PLY86809.1 pep primary_assembly:Lsat_Salinas_v7:5:14886685:14887379:-1 gene:gene-LSAT_5X8501 transcript:rna-gnl|WGS:NBSK|LSAT_5X8501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCLEKPIIAPDLPIIKKYVNHGVNGLLFPKENIKALTQITLQVVSKGKLSSLATNIASTGEDTAKNMMALDSIEGYASLIENILHLPSEVASPREISEIPSDIKTKWQWHLFEAIEDRE >cds-PLY70754.1 pep primary_assembly:Lsat_Salinas_v7:8:165295070:165296142:1 gene:gene-LSAT_8X109221 transcript:rna-gnl|WGS:NBSK|LSAT_8X109221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSNNLATIEPWMFRSTLSDSWYPDAFARETETALTRALQQSLFNNHHQSGIEIVSAADNSISSSFMMKQNDYCSTTTPSASTVTASGSGSEPETPGSKRRGANLGVSTGKSSKRKSRASKRSMTTFIQADPANFRQMVQQVTGVQLQGIGNGNGNGQLPVSTVLKPEPLRQPFINKFQGCLPTLDTSAYLLEHQNNNKYMNMTMNTNGFSQPPTMNLVAENGGAGMDFYSFCSFPTLESSI >cds-PLY67526.1 pep primary_assembly:Lsat_Salinas_v7:6:68668838:68671319:-1 gene:gene-LSAT_6X50081 transcript:rna-gnl|WGS:NBSK|LSAT_6X50081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SLIM1 [Source:Projected from Arabidopsis thaliana (AT1G73730) UniProtKB/TrEMBL;Acc:A0A178VZZ3] MDDLMIDTNGLGDNSDIEGDDIRCDNIAEKDVSDEEIDAEDLERRMWKDRIKLKRIKEKQKILAQQAAEKQKAKQTSDQARRKKMSRAQDGILKYMLKLMEVCKARGFVYGIVPEKGKPVSGSSDNLRAWWKEKVKFDKNGPAAIAKYDNECISKGQGIGCQNGNSNSILQDLQDATLGSLLSSLMQHCDPPQRKFPLEKGLPPPWWPTGGEEWWSRLGPGLQKGQPPPYKKPHDLKKIYKVGVLTAVIKHMSPNIAKIRRLVRQSKCLQDKMTAKESSIWLSVLSREEALILQSSGDNGGSGITGTPSGGGRPEKNRPENSYDSDYDVDGVENGGGSVSSKDDRRRDTPEAVVPLAAPPRKKRKREKSKVDQPERDGVPDINEMDVSLIEYPVLPENHPLMADTVAGVETPANMVLYPPPPPVVVQDSDYRPQSLVDYRMFVPVIEGRNPVNEFQMRPEYSSEPPGNVNPIEITGGGSGGGVEIVEKEGYNEGQVVDNQFESSINSLSLDYGGFNSPTPFNLGIDGTTSLDTDFDFLLDDDLIQYFGA >cds-PLY86341.1 pep primary_assembly:Lsat_Salinas_v7:8:28185208:28187323:1 gene:gene-LSAT_8X22820 transcript:rna-gnl|WGS:NBSK|LSAT_8X22820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSERIRNKYSLMKYKNDPSNLIIIDMDVDSSDSIRITPIPTSTKDEVLNQRSNSKLVSTVKPIQKAGLCSGHSASVEVINENRSKQKCDPHSTSHSPVHGYTKSENQQPTHDDSDFEDPNPVISQNKRKSPPVATAKVVKHQEKKARKDVGSPQSIKTRVKVKKLNNKKSKKGVHLGIPIQPRRIHIRTSPKILFSTVHSLTIEQKEYLSSIGFGLLLNIRVDGSASRIGYYVVNNFDPERMVLNVEHVTIDRGRPAICYWDVETMRLREEYEMKNGGIGTGELQDPYIPQDDNADTVNSATGSVEEYLSTIESMFNKLVEDNHLFESKLGEAIERHPLICDFYEWKAKIRIFLNEAFGKYGGGSSTDARNIGPLSQWWSDNAEQINRLCQMAEHSVKSFSNSPFPN >cds-PLY78632.1 pep primary_assembly:Lsat_Salinas_v7:4:150962617:150966654:-1 gene:gene-LSAT_4X92761 transcript:rna-gnl|WGS:NBSK|LSAT_4X92761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEFTRSVQAGLQLSKRISYGKDKASMTVPKAPSMKKSLSSCSSSSSLRLPENHRPTAPMVYAVISDPSVVDNPDICSYQPYVYGHCDPPALVPLHMHGITMEVDCYLDTAFVTVTGTWRVHCVTSMSCCDCRIAIPMGDQGSVLGIEVESTRRSYSSQFITPQNEKDVEKVTKTNNGSLMKGNTYMFKIPQVDGGSKIHVTIKWSQKLLYQHHEFCLSVPFTFPDHVLPVVKGTSNKEKILLNVNSGTDTEIICNYSSHPLKETKRKSGELSFSYEADVKRWSTENFFFSYSVSSEDILAGLLLHTPSLHDYDQRNMFCFYLFPGSNKISKPFKKEVVFLIDISGSMQDEPLENSKAALINSLSELNQEDSFNIIAFNGNIKSFSSSLESATDERITNAIEWMHNNLIAEGATNLLLPLKHAFDMVGKSGESIPFIFLITDGAVEDEKDICNIMKDHLLDGGINSPRIFTFGIGSYCNHYFLQMLAHMGRGCHDAAYDVDSISDRLPRLLNNASSHVLANITIAGLETLESHELYPFRIPDFYGNPLIVSGRYQGTFPDIVKARGFIADMSSYKIDVKVRKTKGIPLDRVCARREIDTLTANAWLAQNKQLEEKVAKMSLQIGVPSEYTHMILIQGDKVKPPMDSVLPEEEYSKLENHKIMYLSNLSVGFGNVMASVDNLAPGIEEVKLSEPAGMMMQAASSCYGVVLDRCCCVSVLRCCSRMNDQCAIALTQLCTALACFECLNCCCEVCDSCGDLCH >cds-PLY81598.1 pep primary_assembly:Lsat_Salinas_v7:2:41164920:41166462:1 gene:gene-LSAT_2X18401 transcript:rna-gnl|WGS:NBSK|LSAT_2X18401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNSTREGSSGCTSCLNLMLVLIVTATQLEEEEGCTDDVTVRNLGKPAELAGQYYLDGVMRYYSSLEVASKYFRSGADKISIGSKNGKEQLITAFQSVWESGLE >cds-PLY82585.1 pep primary_assembly:Lsat_Salinas_v7:2:188794791:188797876:-1 gene:gene-LSAT_2X110980 transcript:rna-gnl|WGS:NBSK|LSAT_2X110980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSGKKSGGSSGSRATMYLPLTYGSLRVPMKDKLQLAPGGSFTKVMTLHVTMQILKVNRGHALSN >cds-PLY91454.1 pep primary_assembly:Lsat_Salinas_v7:MU039439.1:396809:398416:1 gene:gene-LSAT_0X16780 transcript:rna-gnl|WGS:NBSK|LSAT_0X16780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAVMGHGGDGGDEPPHPFGADFGVHQIDSVPPRRRGMTINKKMHRLYEANGRQPLKIIFDINTFVLIGNVYECFIWEDVDVNAFLQNPAFVMANEDIIRSFSKQVDNATNNDEENDDRDDD >cds-PLY92894.1 pep primary_assembly:Lsat_Salinas_v7:3:181084518:181084796:1 gene:gene-LSAT_3X108160 transcript:rna-gnl|WGS:NBSK|LSAT_3X108160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAENASNEDLAINLLQTRLEVSLVREEIANDLRELRRSLTREMDALNHEVDDVRAGQLDLSNMVADLKSHFSSLQASYVNIVLGKKKSKEVK >cds-PLY83639.1 pep primary_assembly:Lsat_Salinas_v7:4:40354864:40358013:-1 gene:gene-LSAT_4X25980 transcript:rna-gnl|WGS:NBSK|LSAT_4X25980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRRFVIPFIGSDFDGSLQNRPESIVPLLPPLDQTCTSRQTIIGVARDKWSSVLPPPSKINTHARFQLPPSFHSLSPENEATDSTSGGLSVLKQYIEELYWGSGKRVKLLGHIKAGVDVAAALSHYWCDLKDKVTGLALVQSPYGGTPIASDIMRESQIADKETRRIMELITCRSSRFLDLLYSFLDGVIDNAKYEDECRTVLGMWSFLVFTLDKLIDKLTKFLLAIATDEVNNKLLDLYAYENLRKDKKLKSLYSSTKTEFANLELQLQNDLKQMESEVEVLSMAANGYHKVVKENQSLYNMVKDLKGSDSSSNLLPCPATSQSSYI >cds-PLY82845.1 pep primary_assembly:Lsat_Salinas_v7:1:87823936:87825659:-1 gene:gene-LSAT_0X4560 transcript:rna-gnl|WGS:NBSK|LSAT_0X4560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILSFLDAHSVASSLAVSCRWRRVACSDTIWSEKIKELWADKAHLPRFAQVEGLSKLSAYTLSIQDGKRGVPEYWRNLDPYWRGTGPPMHRYFHEDGSQTADVDDKVWEGHECCYSIVTSFLADGRIREHYVRINSWPQLSISRRHDWGWEMSNDLYLYSSVPEAYKPNGTGPYLPVH >cds-PLY94439.1 pep primary_assembly:Lsat_Salinas_v7:6:9155016:9156842:-1 gene:gene-LSAT_6X6380 transcript:rna-gnl|WGS:NBSK|LSAT_6X6380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVYPLPAALFFMILMLVWSSSTTYISGRIVHVCVSSRKLSNLYCLSATTQPNAEFHLPFTNSTSQEFLEKEIPVLEEKLEKDSGFSIPVSEDISVNRNLQGDSNDVVQNPTPLLEKRVTNDEVEVMSDLKDDTKEVPDVAVDNPPSILEKEVRSDVAEVMHDLKGDSKEVSHDVNLENPNPLNDTIEEIKKARKEVEEQLRVHRSWITNQNQNQNPNQCEGRGIYVYELPPKFNKELATDCHNMVPWVDMCKYFSNNALGEPIPELGNRWFRTHQYSLELIFHSRVLNHPCRVYDENQAKLFYVPYYGGLDILRWHFKNVSSEIKDSLSLELLNWLEMQKPWDKNLGKDHFFVLGKISWDFRRKEHTKWGTRFLELEEMQNPIKLMIERQPWEINDIGIPHPTHFHPQSDADIRSWQRTIISSNRRSLVSFAGAARPGALDNIRSILIDQCTSTTEEHCKFFDCKSGLCDEPKSLIGLFTESEFCLQPPGDSPTRKSVFDSLVSGCIPVVFDPFTAYYQYPWHLPEDHEKYSVFVDQEEVREMKVNVVERLMKVTLKERDDMRRYIVYELMPRLVYGDPNAKFELFQDAFSITINNLIERVKGLNLE >cds-PLY92174.1 pep primary_assembly:Lsat_Salinas_v7:8:4963964:4965189:-1 gene:gene-LSAT_8X3821 transcript:rna-gnl|WGS:NBSK|LSAT_8X3821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSGKKTKKTHESINNRLALVMKSGKYTLGYKTVLESLRSSKGKLIIISNNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVSCLSIVDPGDSDIIKSLPGDN >cds-PLY84945.1 pep primary_assembly:Lsat_Salinas_v7:5:157516582:157517384:-1 gene:gene-LSAT_5X68740 transcript:rna-gnl|WGS:NBSK|LSAT_5X68740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDAEIPNGYESSTQYNLWVDTMVCKNDIFLVFNINTDTNKRICFLNIYPNEVIWRLFYNKNNDSFITDSLYAVDNFSSLKCRSIRIECIHTKRETMKGFLFLSPNH >cds-PLY87439.1 pep primary_assembly:Lsat_Salinas_v7:2:135474335:135474619:-1 gene:gene-LSAT_2X64680 transcript:rna-gnl|WGS:NBSK|LSAT_2X64680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLLAGQSDARMAGDLRYAATQASALMVATIDRICRSGINEAQLKTLQDVVVGLREELRDSEAERRVLSEQNCIVACEKATLEDHVATLEGQTE >cds-PLY91623.1 pep primary_assembly:Lsat_Salinas_v7:8:10833093:10834154:-1 gene:gene-LSAT_8X7561 transcript:rna-gnl|WGS:NBSK|LSAT_8X7561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIEKVKDEEYYLNKVKELNKKSKDKAFMVMETPSVEAWEINDEADQEEEIKSYKIYFCFMVDDDEEPFPLHQQVIEKAHLMSIEKYEIILNQRDIIAKDNREMYALINTKISSYKVSKTLLEENSHAILSGPKPSWIKYGLCYDEMNKPIMSPSTQLELLLCDPCLFFGENEDQKEVVTCSPDVITTSFSSIPLEVTSFHDLLYLNAKSNSLVVKSIESPTMESLNSEDVTSKNPDITCSKSNGKHTKSYGLVGYSNIEPISFFSEQTFPSLDASVTGTKDL >cds-PLY83520.1 pep primary_assembly:Lsat_Salinas_v7:8:188871298:188873524:-1 gene:gene-LSAT_0X36201 transcript:rna-gnl|WGS:NBSK|LSAT_0X36201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKSFTLCQLEAWLAEYKVRRGATRTVEVEEIDDNDDSCGLCGDGGELICCDNCPSTSHLTCLCVQELPEGNALVGFVGMWSMITSLQVYFGLHSRIGIMNSISDGFSWTILKCIHGDQKIHSGLVALKAECKLKLADALTIMEECFLPMVDPRTYIDMIPHVLYNWGKYRCQGMCRRLMNAIEEMLKSFKVEKLVVSAIPSVVDTWRDGFGFTALESHEKKEPHKK >cds-PLY92841.1 pep primary_assembly:Lsat_Salinas_v7:5:329197978:329199288:1 gene:gene-LSAT_5X181681 transcript:rna-gnl|WGS:NBSK|LSAT_5X181681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTRRDVRGNRAALFDEIEDGGIRASSSYSSHEIDEQENDRAMDGLQDRVLMLKRLTGDIHEEVEGHNRMLDRMGNDMDSSRGLLSGTMDKFKTVCCIKISKYLLILIINSYTMMFFRYLKQNQAEGCLHLWLHLWWVFW >cds-PLY66087.1 pep primary_assembly:Lsat_Salinas_v7:1:182853876:182857297:-1 gene:gene-LSAT_1X119100 transcript:rna-gnl|WGS:NBSK|LSAT_1X119100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPKIYLFGDSITEESFSAGGWGAALAHHFSRTADVVLRGFSGYNTRWAMKVLDKVFPAEMNVGNGRAPLAVTVFFGANDACLPDRCSAFQHVPIDEYRQNLHAIVAYLKNRWPSTHVILITPPPIDEVARLLHRYGEIHSDLPERTNEAARNYAKACVAVARECGAPVVDLWSRMHQFPDWGKAYLRDGLHLTLGGNKIVFEEVIGKLKEVGLSLETLPADLPFTDAMDPNDPLKVFED >cds-PLY87347.1 pep primary_assembly:Lsat_Salinas_v7:1:98374931:98378612:1 gene:gene-LSAT_1X80781 transcript:rna-gnl|WGS:NBSK|LSAT_1X80781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRKALLSRRALGKSTFGKNNFYKVSLSLAVFLWGLLFLLNLWIGHGDGYRDNPEDLPNDLTTWDEFNQESNNSSYCPLSSNECQSTGIDSETLIESDQKEESISQDPEFDQKESNPLPKNPSKPEKTRSVPLGLDEFKNKAFNTKTRPSNGNSVSIIHRLEPGGADYNYASASKGAKVLSSNKEAKGASGILTIDKDKYLRNPCSSEEKFVVLELSEETLVDTIKIANFEHHSSNLKEFEILGSLVYPTETWVKLGNFTAGNVKHEQRFFLKEPKWVRYIKLNLLSHYGTGFYCTLSFVQVYGVDAVEMMLEDLVNVQDNKKFVNKEVESEDNDKDNDLFDGNRMEDEEFLEEGKNGIRAVKTVDVPDPLAEVRQQQAGRLPGDSVIKILMQKVRLLDINLSVLERYLDELNNKYGHIFKEIDEEIGERDVIMEGIRTDLKSFHESKEALSKQVDDLVSWKTLVSLQLDDITKTNAFLRAEVAKVRENQVHMENKGIVIFLVALTFGILAISRLFLDKILFAFYSKNRSEESSSKMADRSWIYMLTSCTIIIVILSL >cds-PLY94050.1 pep primary_assembly:Lsat_Salinas_v7:3:231052270:231056428:1 gene:gene-LSAT_3X130281 transcript:rna-gnl|WGS:NBSK|LSAT_3X130281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKSSGATRKADTKLAVKKTAAKGKAAKDPNKPKRPASAFFVFMEDFRKQFKEDHPDNKSVAAVWVLDATLGKVRAGRDGDDHPAELIISLRALPNQVTSKMEVISGLVREGFLNDVAQRDLGSQLVASIFELVCNPFLLSASGVILNRTGKLRRVYDESLENAQDLQRSDVPLYRLEAIDFGRRMAVEREIEKTENVPQPNALFDESSNFIIYPTLLGIKVWAIADSRRQGFLGFKEFITTMQLISMAQVGHTLSSDLLNSDVDYENLKPPVMDSLDVLLAKKKRPKSDP >cds-PLY72836.1 pep primary_assembly:Lsat_Salinas_v7:6:22783279:22784147:-1 gene:gene-LSAT_6X18101 transcript:rna-gnl|WGS:NBSK|LSAT_6X18101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNPLFFASLSIPLIAIPLLYWVYTCPKNKYKQTNLVLYVHDYLTGDDKSAMTVAGKEGPTTSIMHFGTLLAHDDPVTVGPDPKSEEVGRAQGMYINSQLDGKALFMIFSVMFTDGEYKGSTLEIQGADPFVLKEREFSVVSGTGYFRFVRGYGIMTTEFLDIQNLRAIIKLNITVRHF >cds-PLY98219.1 pep primary_assembly:Lsat_Salinas_v7:7:166519068:166519897:1 gene:gene-LSAT_7X98660 transcript:rna-gnl|WGS:NBSK|LSAT_7X98660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVVKVTMNNEKKIRKALKIAVSLSGVESASFVGSDKTQIAVTGEHVDSVELANLLRKGVGYTELVSVGPVEEKKPAAAKETNPTVAPLDFTVNPYQYYYGSYGMPYYAY >cds-PLY98161.1 pep primary_assembly:Lsat_Salinas_v7:1:140309915:140320809:-1 gene:gene-LSAT_1X101701 transcript:rna-gnl|WGS:NBSK|LSAT_1X101701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTSACVKEVDRSALVAFAPDAPFLAAGTMAGAVDMSFSSSANLEILNLDFRSDDRDLPVSGAVPSSEPFNRLSWGKSPSSGSEEFSLGLIAGGLVDGNIGVWNPRLLMSPEERENALVQQLSRHKGPVRGLEFSSLSPNHLASGAEEGEICIWDFAKPTEPTHFPPLKGSESSTHGEIAYLSWNKKVQPILASTSFYGTTVVWDLRKQKPIISFSDSVRRRCSVLQWHPDFATQLIVASEDDNSPSLRLWDMRNTMSPLRELVGHTKGVVAMEWCPHDSSYLLTCAKDNRTICWNTNSAEIVSELPAGTNWNFDVHWYPKLPGVISASSFDGKIGIYNIEACARYGVGEGHYGSAPLKAPKWYQRKAGVSFGFGGKLVSFHTTGSSSGASEVDVHELVTEHSLVSTSSEFEAAMSSGERPLLRQLCDKKSQESESEDDKETWGFLKVMFEEDGTARTKLLNHLGFTLPSETQNNELQNNPSESEDITTSHIDEPKEGEVTESNLTLKLPNDPSDNGEDFFNNFPTPRAETPSSTSHNTFVTEESGPVVEEEEEPTRESDSQDALFDDGVQRALVVGDYKGAVEQCIAANKMADALVIAQVVAAMVNNDLVSLVNIRPLRSWKETLALLCTFAQGDEWTSLCDTLASRLLNAGNTLAATLCYICSGNIDKTVEIWSKKVSTEHEGKSYVDLLQDLMEKTVVLALATGQKRFSAPLCKLVEKYAEILASQGLLSTAMEYLKLMGTEDLSPELVILRDRIAFSSQPEINGNASTDYAYSQTHTGGVYSANQQTNPVVEPVQSYYQENYQQPTGPSYSNQYEQPQRNMFVPSPAAPTPQLGFNQAPPANQPAARPFVPMTPPMMRNADQYQQAPTLGSQLYPQSQLNANSNYQAGPPGPGSFHPVPSPMVPTTGPKVLHDVGPTPPVKGFMPINNNTGMQRTGSGQMQPNSGPESAAPPPATPPPTVQTADVSNVPAQQKPVIGTLTRLFNETSEALGGSRAVPAKKREIDDNSKKLGALFVKLNNSDISKNAAEKLVQLCQALNHGDFTTALKIQVDLTTSEWDECSFWLATLKRMIRIRQSMG >cds-PLY65765.1 pep primary_assembly:Lsat_Salinas_v7:5:274071985:274076365:-1 gene:gene-LSAT_5X142340 transcript:rna-gnl|WGS:NBSK|LSAT_5X142340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKIPRIKILLVLLVVFSCVCSSINGAVSYDDKAIIINGRRRILMSGSIHYPRSTPQMWPDLIKKAKDGGLDVIQTYVFWNGHEPSPGKYNFEGRYDLVKFIKTVQEAGLYVNLRIGPYVCAEWNFGGFPVWLKYVPGMSFRTDNGPFKSAMQRFTEKIVSMMKSEELFEPQGGPIILSQIENEYGPVEWDIGAPGKAYTKWAAQMADGLKTGVPWIMCKQEEAPDPMIDTCNGFYCEKFTPNKPYKPKMFTELWTGWFTEFGGAIPTRPVEDIAYSVLRFIQNNGSFVNYYMYHGGTNFGRTAGGLFITTSYDYDAPIDEYGLLNEPKWGHLRDLHTAIKLVEPVLVSSYPTVTYPGKNQEIHVFLPKNGDCAAFLSNYDPQFSAKMTFGNSQYDLPPWSISILQDCKKEVFNTAKVNAPSTQRKMTSVGSFSWQSYNEEAPSSDSSDTLSMEGLYEQLNVTRDESDYLWYLTEVYISPNEQFLKNGQFPVLTVMSAGHALHVFINNQLSGTVWGSLKNPKLTFNGNVKLRAGANKISMLSVSVGLANVGTHFETYNVGILGPISLTGLNEGTRDLTKQQWSYKVGLKGESLSLHTLDGSSSVEWLQGSLVAQKQPLTWYKTTFNAPDGNEPLALDMNGMGKGQMWVNGEAIGRHWAGNKARGNCGKCSYTGIYNENKCNRYCGDPSQRWYHVPRSWLRPTGNFLVVFEELGGNPDWITLVKRS >cds-PLY73386.1 pep primary_assembly:Lsat_Salinas_v7:6:111970475:111975656:-1 gene:gene-LSAT_6X69301 transcript:rna-gnl|WGS:NBSK|LSAT_6X69301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTQGFDKNSGPGTGTGTQKQQPSFGFRPPSQSPYTWFNASSQKPQVHQGSALQPMNPSRNPRTESPAKNQIQDLKRTRSPPLLPTYKDILQNSRTVVVRPSVSPPRSTARRNPYTDPENHIWSPQYTDLDKPETPAINPSDIPVPKRSRLPFTTSNDPVLDDSERELQAKAKRLARFRDELSQPESTDKNQNQKRQQVDQLGMDKRKFMLEGSDMGGHLRNSNANIQTDSEDQDSSSTVITGVCPDMCPEQERAERERKGDLDQYERLDGDRNQTTESLAVKKYTRTAEREAALIRPMAILQKTMDYLLNLLDQSYDDRFLGLYNFLWDRMRAIRMDLRMQHIFNLGAIIMLEQMIRLHIIAMHELCQYTKGEGFAEGFDAHLNIEQMNKTSVELFQLYDDHRKKGIEVPTEREFRGYYALLKLDKHPGYKVEPSELSLDLAKMTPGIRQAEEVLFARNVARACRTGNFITFFRLLRKATYLQACLMHAHFGKLRTQALASLHSGLQNNQGIPVTLIGKWLGMEEENMEDLLEYHGFSIKEFDEPYMVKEGQFLNTDSEYPLKCSKLVHLKRSTTIMDDVLSSPIPIKSPEPPKRVPRKASIQTQVKSPVSPKKTQSFADVIRNRMADYGGSPSVNQPPVFTIPVVERRNDVEVGIGTPSPRNMFKNIFKTNKLPDASQEDVRKPSFDNRFRNSLEKHTPVNVFAMPPQAPPMVDPVLVEDSVVEVDPVFVEDMEPEESENVIQEVEEEIDTSVDEEVAEAKLRLILRLWKRRAFIKKDLREKKQLVANAALSSLSLGPPMRLHKEQSKVHGNFNIDKAMSERYEKQEQMWSPLNVSNVVGPTLGERNQYPRIICWKLLFCPSQDSNQENPDPHSWLHHKLIPENIPQNNNLAASFPGLSIWEKWDYRKSSTSSDPTCYLSVVKNVKFDNLLEDSVSGASAVMFLSSEHVSWDTQKNRLRVLTSSIPYGSRLPLLIISFSPENRSKVIEKLGLDELDNSRIGSYSVLPGLFLGDKDLKMGLEWLASESPLQPVVHCVKTHELVMKNLKFSSDLLQKTSPEHCVLVINRAVDESINEIGNASKSNPVNWPCPEISLLNGVDFEPYLPSIGWSSGKRVDPLIQALRDCKLPTPDHVWSRTWDDIESQRVGLEGFIVGYLGPLIGPLLARKEASALIQNHVGLELNGSMYSLVPNWAMIFRRVFNWRLMGISNGPYSSAYILSDQNSSLFDLESFEGEVCDVVAPSLDEMIEVSCLASRVESELGYGELSCREPRSESELGYGELEGTELEVVVNGDELMEVEGEKEKEYVENERSLSRLLEKCNLVQDIIDKKLSIYF >cds-PLY91753.1 pep primary_assembly:Lsat_Salinas_v7:9:17133590:17134513:1 gene:gene-LSAT_9X16561 transcript:rna-gnl|WGS:NBSK|LSAT_9X16561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESNGYPTYCDQQSRSRSSSTSTTSIHVTALDGLVNVNSLFTIAVFVGLSLTTPGQRSLENRSACDADISVAKKLLVFEVVSFSFFLFSSLVAQGLKLAINLLNSTDVDEAFRAHINLKALRFGMLGSAIGSVMGCLFLMLSMVNVIEIRLGMLSCGSRSTVNAVASMIVLVTSALLVYISTAVYAFLH >cds-PLY94918.1 pep primary_assembly:Lsat_Salinas_v7:4:109955312:109956199:1 gene:gene-LSAT_4X71020 transcript:rna-gnl|WGS:NBSK|LSAT_4X71020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASISSNIKPHSHVRSISLPSTSDQQSVINELYRFQASQEPTSSCSSSSFVGNKLNRLNDMYQSIQPFLSLPSTKQSLAQGCHKEQLNKYLDEHIGLLDLCSTTKDALLISLDYAKELQSVIRRKKGNNHGMTSSFEAYLSLRKKVKKAICKTLSGLQKHWSSSVKEGQRTKSNINILNEMRLNTMEVFESLLTFVHGSNTQSKPKGWFLVLKMMGGEHAHCHQTLEETEVKKVDRELHALLTNKKTKSDSLVLEHIQEGLAEMEFSLLDLSEQVECIFKHLIKTRMSILNILSC >cds-PLY82016.1 pep primary_assembly:Lsat_Salinas_v7:9:155590771:155590923:1 gene:gene-LSAT_9X97720 transcript:rna-gnl|WGS:NBSK|LSAT_9X97720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVWDDNRLEGIGDEDVDTGKISIGKACFDKVGTDEANVQAEDLVVAMWV >cds-PLY80396.1 pep primary_assembly:Lsat_Salinas_v7:3:80709740:80713952:-1 gene:gene-LSAT_3X62921 transcript:rna-gnl|WGS:NBSK|LSAT_3X62921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLHRHHHGGVSFSALLFIILSVFAPLGHGSSSPILSELNGPKLRHSRLFKSALQHQVSDEHLSDLWEPLSNQGWKPFVESTVTSSSMPESSGFIQVFLDGGLNQQRMGICDAVAIAKILNATLVIPYLEVNPVWQDTSTFEDIFDVDHFVNILKDDISIIRELPDEYAWSTREYYASAIRSTRVKNAPLHASLNWYLENVYPVLESYGIAAISPFSHRLAFDNMPIEIQRLRCKVNFEALVFVPHVRSLGEALVSRLRYPIVESIDAVSGTKYIRQVVDTKSGHGAGKFVSLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQTIWQGRVMNSRFTDEELRNQGRCPLTPEEIGLLLAALGFDNNTRLYLASHKVYGGEARISALRQLFPYMEDKKSLASSAERAQIKGKASLSAAVDYYVSMRSDIFISASPGNMHNAMVGHRTYLNLKTIRPNMVLLGQIFMNKSMSWVDFQEAVVEGQRNRSGEIKERKPKQSIYTYPVPDCVCQQLGV >cds-PLY71061.1 pep primary_assembly:Lsat_Salinas_v7:3:161780861:161781536:-1 gene:gene-LSAT_3X100380 transcript:rna-gnl|WGS:NBSK|LSAT_3X100380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini zinc finger protein 2 [Source:Projected from Arabidopsis thaliana (AT3G28917) UniProtKB/Swiss-Prot;Acc:Q9LJW5] MTKRRVVLKREEPLPPPPPSMDSANSSNTMRVHVRYGECQRNHAANVGGYAVDGCREFMAASEEEDTEASLTCAACGCHRNFHRRVVETEAVSSSDCE >cds-PLY75483.1 pep primary_assembly:Lsat_Salinas_v7:7:75530407:75533605:1 gene:gene-LSAT_7X54500 transcript:rna-gnl|WGS:NBSK|LSAT_7X54500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYSERDTVPLNQSSQSDIDEIENLINYSVQSGPATVLPARPPSPTRASIPVSSSPFIASNLPPLPPQKPASVPAAPLPPSNLNRNANIGTNGQQQSSMTAFGSPPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPFREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFALLATGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALICMLKDNVILKIVVVCVSLAWSSWAAYPFISMAVNPRRKALALYPVLLMYVSVGFLIIAID >cds-PLY80397.1 pep primary_assembly:Lsat_Salinas_v7:3:80846407:80846634:1 gene:gene-LSAT_3X63301 transcript:rna-gnl|WGS:NBSK|LSAT_3X63301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVEHHRMGGGGCFDSVDSVGQRKGGSRPLEEKHTHTLRCVHGINKEGEGKMGLGVSQLHLRKIYNTFALGCGFV >cds-PLY74031.1 pep primary_assembly:Lsat_Salinas_v7:7:10186350:10187683:-1 gene:gene-LSAT_7X9101 transcript:rna-gnl|WGS:NBSK|LSAT_7X9101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHEWTKKQLHAHNYRVPEPYRDQVVVIIGSGSSAYDISREIATVAKEVHLSSRSPDAKVSKMDKFHNIWQHSRIDHVFQDGIVTFQDEVSIKADTIIHCTGYKYHIPFLEMKGIISVEENRVAPTYGHVFPPQLAPKLSFVGLFNKGMTFLPAELQSKWIAQALSGKVLLPSEKEMLHDVEQVYRQMEERGIPKSYTHSLNHQMDYMDWLSDQLGTTRPPQKLKDFYAHFIERFHDDHEGFREAFEYSLSNDDGI >cds-PLY95905.1 pep primary_assembly:Lsat_Salinas_v7:3:185597929:185604518:-1 gene:gene-LSAT_3X111301 transcript:rna-gnl|WGS:NBSK|LSAT_3X111301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFDGDHKQWICGKSGTVNLQRVGSIVQHIGEPCLHQSPIKINKMLKPEKWQTTFDSDGKVFGFQKALKLIILGGVDPSIRPEVWEFLLGCYSLSSTADYRRRLRTARRERYKDLINQCQLMHSSIGTGSLAYVVGTKVMDMRTKSEDDERRKSEAKQEQSSTIDSNLLESSCNCSDICVETSSTTPYNRSEGDDESQYRTSNYYDFPPLPVTNLFEKSDVVVDNKNEVKSKSNDERVSTRRKLRFEEEEDDDADGDDDDDDDDDHVIEVTGSESRSDNVEYEMEERKKDVSEIRVLNNTVKSHGRTRSQDRVSEWLWTLHQIVVDVVRTDCHLEFYEDTKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFIVLFEDNADAFWCFEMLLRRMRENFQMEGTTGVMKQLEALSHILEHVDREMFTHLSQIGAESLHFAFRMLLVLFRRELSFSEALCMWEMMWAADFDESLAFNLENSCPELLVLQIPKESTTVTATQEEIVENENSGSKNGGSESKCGNLERSNSENNGIKSTLNHPFCGLTKSLWSKNDRFQICTIISSTRNGDDELPIFCVAAILILNRHKIMRETRSIDDLIKIFNDNMLKIRVKRCIRTAIKLRKKYFYKLIKNRSPAATYDD >cds-PLY95063.1 pep primary_assembly:Lsat_Salinas_v7:5:228041097:228042686:-1 gene:gene-LSAT_5X108221 transcript:rna-gnl|WGS:NBSK|LSAT_5X108221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMATNLESSYHMSQLSHSLLKASGDGSIVFISSVAGLVHVGVGSVYSATKAAMIQLTKNLACEWASDNIRSNCVAPWFIKTSLIEDVIDNKEFLDGVVSRTPLKRLGEANEVSSVVAFLCLPAASYITGQTIVIDGGFTVNGFL >cds-PLY65429.1 pep primary_assembly:Lsat_Salinas_v7:9:185040992:185042844:-1 gene:gene-LSAT_9X112680 transcript:rna-gnl|WGS:NBSK|LSAT_9X112680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNSTGESDEGVNFTSISAATGVSELQQMWKQRTISPTLLLLMLMGVILLLLLPLSRQDLVSWKMSLDKRLLPVLDVPDKKLLLRITSNNLDNLQIEEPHNDISRSINFVLSAKFEPENQVGSSDTLCSIECNDVLDNIDDVDGIHEIDENFLVELDAVCGFNVNDLASTSNEIEHDPHAYDHVSLLTENGGTKDDLKLPTDDSLLTQIKGFLLNEKILWLQ >cds-PLY69020.1 pep primary_assembly:Lsat_Salinas_v7:9:141705856:141710348:-1 gene:gene-LSAT_9X91361 transcript:rna-gnl|WGS:NBSK|LSAT_9X91361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSMQKSFKYDVFLSFRGIDTRKNFVDHLYHALQQQGIYTYKDDMRIKKRKRISDELIGSIQDSKFYIIVFSKNYASSPWCLDELVKIMECHKTTQHNVYPVFYDVEPTEVRKQSGAVGEAFAKHEKAEAAEKWSEAMKEAADLAGLELKNTADGHEAKFIQKIVEDISLELHTINFSFDKKLVGIETRVNDFVSSLDLGINDVRMIGIKGMGGAGKTTLARAVFDKISFRFEDKSFVENVREVTNTPLSGLKSLQEQILSDVLNDKDIRVSGVHEGQNILKRRMRGRKVLIALDDVDDIEQLEALAGEHDWFKSGSRIIITTRDEQVLLAHRVTLIHDVNLLSDKEASCLFSRYAFGREIPVQGYQELAEQVVHYASGLPLTIKVLGSLLFGKDKAEWKDALERLKTIPLAKTLKILELSYTALEEDYKEIFLDVACIMKGGTRKDQVIKVLESCGFCAKIGLRVLQLKSLITVDVYRGYEFVSMHDHLREMGMNIVRRLHPKMPKKHSRLWKNDEIEHILANDLGTEETRCIRLYTEKLDPYILIKGLAKMKALRFLYVDMRDCLWNLELDTFSPSFPDTLEYLSFNQYPFTSLPKTFQASNLVTLEMRRSKIILLWEGGERKVLEKLRFLDLSYSKLKTLDLRLVPNLETLTLTGCSDLVEFPDGIWKLESLKSLELDGCKLLEKLSEDLHRLRYLEKLNLSSTSIEYLPESISILKHLKYLQLDRCQSIEKLPEDLGQLKSLEHLSLSSTKIQHLPDSIYMLKHLESLNLDNCTLLEKIPEDLGQLESLEHLTLSYTKIQYLPDSICMLKRLESLKLTNCQLLEKLPEDLGQLESLEQLSLSSTKIKHLPNSICMLKHLKSLELNFILLEELPEDLGQLESLENLTLSSTKIQHLPDSICMLKHLKSLNLGDCWFLEKLPEDLGQLKCLDKLHISYTKIKHFPDSISMLKHLKVLKLHDWASFEMLPEDLSGLRCLKVLLMLSTKIRHLPDSICMLKHLKLLSLAQCWLLEKLPDDIGRLEFLEKLRLHHTKIKYLPDSISMLKHLKVLKLDECQLLEMLPEDLGGLDCLEVLRLSYTKIRNLPDSICMLKHLEYLDLDHCSLLEKLPEDLSGLVCLQVLRLPYTYIRYLPDSICMLKQLRVLNLCECSLLEKLPEDLGELESLEFLNIVGTSVWFIPQGIHNLIVGLHFCGSRRLLHSFGFDVEDEDVNEDKYIYEYEDDYEDQYGYGYEYDDEEEDEML >cds-PLY64723.1 pep primary_assembly:Lsat_Salinas_v7:5:21584717:21588062:-1 gene:gene-LSAT_5X11240 transcript:rna-gnl|WGS:NBSK|LSAT_5X11240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFLCCCVVTGTEKKWLTGDGDGASVYPEFIDLVIPIDTKEVEQNDSDCHSDFVSVFELSILICKPVMIQVMGSRVMEKPAKEAKHFPKGTQLPRWENPLIGWTSTADAYANVGEAGLSFESEEAAKAFVEKHCWEYVVYMFLPFFMYSKQQKIKV >cds-PLY91130.1 pep primary_assembly:Lsat_Salinas_v7:3:153123907:153126724:-1 gene:gene-LSAT_3X96140 transcript:rna-gnl|WGS:NBSK|LSAT_3X96140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLKAESYSDILKGKMVTKGEEYTELSQLTNVANTASQEASRKRKRRKAKDKCVILNLNDNTSSIQDLPTQMDTIITKSHPEKLIIGSIQILIDYSYLNGIQIMKTHVATSAKKLLILDVNGLLADIVSPPPKDLKSDKNISRRAIFKRPFLDDFLRFCFQRFDVAIWSSRTRKVLDPVVDYLLGDLKKKLLFLWDLSHCTNSSARSLENVHKFIVFKEVKKIWEKIEFYDESNTLLLDDSPYKALLNPKHTGVFPFSYTYKDTNDNSLGPNGDLRVYLEGVGTTENVKTYVEQHPFGQNAIDKRSPRWAFYSKSSSLPPKIVKSASQRNRSRLSENRVVFQN >cds-PLY80866.1 pep primary_assembly:Lsat_Salinas_v7:4:326207838:326209510:-1 gene:gene-LSAT_4X162241 transcript:rna-gnl|WGS:NBSK|LSAT_4X162241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNRIVIANCGAINLLIGLLKSTDEKVQENSVTALLNLSINDNNKTAIANADAIEPLIHVLETGSSEAKENSAATLFSLSVIEDNKIRIGRSGAIRPLVDLLGNGTPRGKKDAATALFNLSIFHENKARIVQAGAVKYLVELMDPAAGMVDKAVAVLSNLATIPEGRTAIGQEGGIPVLVEVVELGSARGKENAAAALLQLCTNSSRFCNMVLQEGAVPPLVALSQSGTPRAKEKAQSLLSYFRNQRHGNGGRG >cds-PLY70520.1 pep primary_assembly:Lsat_Salinas_v7:1:72504942:72507856:-1 gene:gene-LSAT_1X65200 transcript:rna-gnl|WGS:NBSK|LSAT_1X65200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQLNLSFLLKSSLFVLIALTCVSGKHYRATKLIAKNSFLTAKVDSESINESQEYEVYNYTQTLDHFNFNPESYTTFQQRYVVNSKYWGGPTNSSPIFLYVGAESDIMGDVEYNGFEHVIASRFKGLLVYIEHRYYGTSMPFGSYDEAYKNANTLGYFTSEQALADYAQIIIDVKKNMSAVNCPVIAIGASYGGMLASWFRMKYPHAAYGALAVSAPILYFMGLTPENGYASVVSKDFNSTSTSCYKTIRESWFEIDRVGAQPQGMLNLSQTFNTCTPVNTTKLLKYHLEIIYDVMAQYDDPTVNYLQTFCNATDAAGEGTYILDRIMAGYRSVAETNCITLFDMGFNNKSGWDWQSCTEMVMPMGQGENDTMFQANPFNLGEYTKECQQVFGVTPRPYWAPIEFGGYGIKTVLEKFASNIIFSNGLRDPYSSGGVLQNISDTVVAIYTQEGHHCSDLLTPMATDPDWLVEQRNKEINIIEGWLSKYTFANAN >cds-PLY70063.1 pep primary_assembly:Lsat_Salinas_v7:5:108009742:108016140:-1 gene:gene-LSAT_5X44161 transcript:rna-gnl|WGS:NBSK|LSAT_5X44161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAVPKTFRALVESAERKFAKVRDAPSYGRGPNHYFPKVFKAYMKLWDYQQKNRSKLVESGLQRWEIGEIASRIGQLYFVQYMRTSEARFLLESYIFYEAILNRGYFEGSSFKALKDRGLRFKELRFYARFLLVSLILNRWEMVKLLLDRFKALVEDSKAQFPDTTFKEWKVVMQEMVRFMKVDSAFSNTRPLRYCAIFDSYPSSLPYVARFHAKKVLKLRDALLMSYHRNEVKFAELTTDTFRMLQCLEWEPSGSFYQKQTVEFHDNGTSTDQSATSGLIDINLVADMTDPSLPPNPKKAVLYRPSATQLLAVLAAICDELPPESVMLIYIAASGDSGQTTVPHKQISGSVRTSVKLNTVHERSNYFMPETHVNGKGSSSHPSESSIWLGPTRNAGSNNLFPADLIPFTRRPAFFIIDSDNSHSFKVLHGAERGEPAALLLSPLRPTFKSQSESSADITQNGSQFTLFLTAPLRACWQIFGLTFSDDDADLMEDAESIVSGAFAEWETILCTTTTLDLVWAQVLSEPLLRRIILRFIFCRSVLTCFRRREENDHYVPVCLPELPDSVSPNSGIVQSAVKKLAEHLKVSHCFRFDGL >cds-PLY79616.1 pep primary_assembly:Lsat_Salinas_v7:2:166551070:166554072:-1 gene:gene-LSAT_2X87580 transcript:rna-gnl|WGS:NBSK|LSAT_2X87580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREKEIAISEGSITVDNGGRLALVVDDDSQKSKLLSDGPRREIPDWMARRIGSVRPKFPPKKIDISEVNTSKFKSLELPNPSEVWSIAKAKPKDGDTLIEHVIEKELIEKKRKSLERALQRKTIQWQKTPEEIKLEPGTGTGREIVFQGFNWESCRKKWYMDLAPKAADLSRCGITAVWLPPPTESVAPQGYMPSDLYNLNSAYGTQEELKHCIEEMHNHHLLALGDVVLNHRCAHKQSENGVWNIFGGKLTWGPEAIVCDDPNFHGRGNPSSGDIFHAAPNIDHSQDFVRNDVKQWLNWLRNNIGYDGWRLDFVRGFSGGYVKEYIEASNPAFAIGEYWDSMAYEGGNLCYNQDAHRQRVINWINATGGTSSAFDVTTKGILHSALHNEYWRLIDPAGKPPGVMGWWPSRAVTFLENHDTGSTQGHWPFPRDKLMQGYAYILTHPGTPVVFYDHFYDFGIHDVITELIEARKRAGVHCRSVVKIYHANSDGYVAQIGDTLVIKLGHFDWNPSKQIDLHGTWQKFLDKHSDYQIWFRI >cds-PLY79479.1 pep primary_assembly:Lsat_Salinas_v7:3:249052572:249053066:-1 gene:gene-LSAT_3X138160 transcript:rna-gnl|WGS:NBSK|LSAT_3X138160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSIKSIRSRRIVRNGEVELCKCNEEAPMFTSWTAKNPGRRFYGCPNYKDESMNCKYFMWIDDELTTQRYKDLLFNMHHDMKGMEDEIKELKLKLKKKPCLECEERRVKYVRIVVFLVVVLTFIILRLCYF >cds-PLY63312.1 pep primary_assembly:Lsat_Salinas_v7:3:91600364:91601713:1 gene:gene-LSAT_3X68420 transcript:rna-gnl|WGS:NBSK|LSAT_3X68420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEPLLHIFAFVGLDLDVSSSKSLADSLDWAVGDDPYFNKLICILATRCMTQLISGYISDLLLQWHCSCQPLGSPQYTAPMTGYSLGTISCNCESSGSSGSSGYSLGIWLLLRNMRSWTASMDSETMSGTADIERPTPVMKSET >cds-PLY82137.1 pep primary_assembly:Lsat_Salinas_v7:1:14497849:14498917:1 gene:gene-LSAT_1X12980 transcript:rna-gnl|WGS:NBSK|LSAT_1X12980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKILKENAGLLTNFEVVDFLRSRGAAKDPTKVLAPLKPSEFKVYDHLEKSVPPSQTRESIVEFVTKCKPYKLSNPEMTSVINIRPTCEVEIDPLIEDLESRLGENVGELVELIKQVFPPSPDESESDE >cds-PLY68038.1 pep primary_assembly:Lsat_Salinas_v7:5:293398622:293398825:-1 gene:gene-LSAT_7X61941 transcript:rna-gnl|WGS:NBSK|LSAT_7X61941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPSVVGGYVFTLAMSSEKIESLLGGGGIYSSEKVDTGFYRSVCWKRWIIVDLGRIWMEVGTGGSGR >cds-PLY73958.1 pep primary_assembly:Lsat_Salinas_v7:4:160038027:160039324:1 gene:gene-LSAT_4X97241 transcript:rna-gnl|WGS:NBSK|LSAT_4X97241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPPKLSVIDFSLKNLIPNSSSWITTCSEAMHALEKHGVFIAKYDGVPQELDDAIFSASRDVFDLPTEVKVLSTSDTPYHAYSGKTPVMPLYESIGIENATTTEGVEFFTKLMWPSGNESFGKSALMFSKAVTELYQIVMRMVAKSYGIEEQCETLLGSSVQNLRFMKYLCPQEDEGNPLGMLPHTDISFMTILHDKQVKGLQIKTKEGQWIEVDPLPSSFIVMAGDVFMAWSNGRIHAPCHRVIMQGNQERYSLGQFTFIRGLNIQIPQKLIDEDHPPRFKDFDHYKYLHYLLTSEGMKSECPIKSYCEIN >cds-PLY84423.1 pep primary_assembly:Lsat_Salinas_v7:4:289472301:289472722:1 gene:gene-LSAT_4X147020 transcript:rna-gnl|WGS:NBSK|LSAT_4X147020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQILEGLQWKVGGVTPKKGGTEHLGLPVFISVADAKDETKANASVYVPPPFAAAXNLGALEVELDLIVCIT >cds-PLY71261.1 pep primary_assembly:Lsat_Salinas_v7:5:181984839:181988736:1 gene:gene-LSAT_5X81060 transcript:rna-gnl|WGS:NBSK|LSAT_5X81060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQYLKMCFHWLSTIGSLHGDIDSGDGLVPDNYMDKPLSPKDERIVSPNPSPDAALTGSMRNISNQSEPLNASGAPTPVNPSNIFAPPEQTYFYGGYDDGSANWGDYSNYVHANNLQIVPPAIYNENASSLLFHPAYGFDYSQFSPVASPMSPIMIDGQLFSPHQVPISPSYYSQPVSPNLPQTDLSPQPNSGMQMQEGLGDNVFMAPSPGSGYYLQYGSFGGVNVNGNPSGNNNFGYYKYPGDIGSNESMSSDTSSFVSPITSGGLYPAPLGILGSYEHIFSQIPQQQAGYGYGYGSVSGSGSSTRRYPQSGSFRGHNYGNNSIFQGEASRLNRFIPEKGGKHRDKDGISMISEPHGTTSDRNRGPRASKAKGEQILSPEGKIDLYNQPDFVTSYENAKFFVIKSFSEDNVHKSIKYSVWASTPLGNRKLDAAYQEAKESEANCPVFLFFSVNASGQFCGVAEMVGPVDFVNDAEYWQQDRWSGQFRVKWHIIKDVPNSRFRHILLENNDNKPVTHSRDSQEVKLEVGIAMLKIFKDHDAETSILDDFGFYDEREKDLQEKRSKEREFTKNTLNVNDTSITQLADKVADSLHVDEKTEIQ >cds-PLY63962.1 pep primary_assembly:Lsat_Salinas_v7:3:172417746:172418338:1 gene:gene-LSAT_3X103421 transcript:rna-gnl|WGS:NBSK|LSAT_3X103421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTCVGVISPPRKELVGNTTIPVIDSGTAGIACLVVNFVNNFKVIHNSKSVITFMWKEAELTEGYYKSLIKSLKEKLDMMEELVKLVILRKKVQEQEYLLLKEKEVMMKLDNEVLKEKEHVLKLQKKLMLPCKKL >cds-PLY66932.1 pep primary_assembly:Lsat_Salinas_v7:7:16850728:16850976:-1 gene:gene-LSAT_7X13820 transcript:rna-gnl|WGS:NBSK|LSAT_7X13820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIGTAASTIHNSLLTPLLAYDVKVGSGNLASVVARRKADATAGDLSMMSAWWSEEQGWPVVGDIRGKGGDGYTWSLGLGFS >cds-PLY78816.1 pep primary_assembly:Lsat_Salinas_v7:8:140522514:140525536:-1 gene:gene-LSAT_8X95780 transcript:rna-gnl|WGS:NBSK|LSAT_8X95780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLPWDYLGILVSLWAYACGVDFCEYLVAGAVMVISKDESVKTSKTDVDVQNFSRLQKNEDVIDYALKKRDMKLVPCGLDFGRPRFVRFRERTFHRSLEKTRRFYPHVHNMDGFFVAKLSHYFY >cds-PLY93547.1 pep primary_assembly:Lsat_Salinas_v7:2:175771708:175775846:1 gene:gene-LSAT_2X98921 transcript:rna-gnl|WGS:NBSK|LSAT_2X98921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELNNLRVESHVAQKNRRRKLRFQQSSGDLNNQHPLHDHPQFEPKDIHRPFSYNTNVFPSEMFNSVARNPHLLLPSGHGFVSHEQDSSPGSSSALGLGSSERTLISSSGGGGGFVNLANPQNCNDWKTVVSSQQAAASDHWNLISYSNAAASTSIDQRNLNSGCYGYQQDVHNVPAFGSSPFYHNTLQQDQVTLAQLPHSKDDSVMNHSIPCWMNNSDHESGLLANRVHETTMAANEGNCNTQALSLSLSSVPHLKDDQPNLQSGQKPLKSDLLPPNSDPKSFMGVSSIGHRNTGPLGPFTGYATVLKNSKYLKPAQELLNGNCDVGGQDLVQASHDVYSHKILEEEMSRAFSSSDASEDPISNRNNNSGCESLRPEFHRKKARLLYMQEEVCRRYKQYLQQMQMVISAFETVAGLSTSTPYVCLALKAVSRHFHCVKNVISDQLSQMKKMMGETMSMSGNKAACDPDYVTSTSRLKSIDQRLEKSGGGSAAFFASQQPVWRPQRGLPERAISVLKTWLFDHFLHPYPSDADKHMLATQTGLTRNQVSNWFINARVRIWKPMVEEIHTLETKGLAEPNQPDGQEPNRIEMGSLPNSQQQEYSRNNGILTVVNDHDHDHDQSNDHEKQATDRPEYNQMQALTSMDRLMNIIPYPRATFDASGLGPVSLTLGLRQNAEHVQQLQQHFGGQLIHDFVG >cds-PLY72568.1 pep primary_assembly:Lsat_Salinas_v7:3:198643343:198645178:1 gene:gene-LSAT_3X118420 transcript:rna-gnl|WGS:NBSK|LSAT_3X118420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESILSAFLTVVFEKLASEPLKKIARSKGIDSELKKLKRTLDQIQDLLNDASQKEINNEAIKRWLNGLQHLAYDIDDLLDDLATEAIHRELTRESGASTSMVRKLIPSCCTILPLNSRMHAKLDDIATKLQELVEAKNNLGLSVITNEKPKIERYETSLVGASRIVGREGDKNKLLQKLLGDKDESGSQNFSIVPIVGMGGVGKTTLARLLYDEKEVNDHFELKAWVCVSDEFSVPNISRVIYQSVTGENKEFADLNLLQEALKEKLQNKLFLIVLDDVWSESYNDWDKLVGPFLVGSPGSRIIMTTRKEQLLRKLGFSHHDCLQGLSHDDALSLFAQHALGVDNFDSHPTLGPYGEGFVKKCDGLPLALQTLGRLLRTKTDEEEWKELLGSEIWRLENGDNILLALRLSYNDLSATLKLLFAYCSLFPKDYVFDKEELILLWMAEGFLQHSTTNKSMERLGLEYFEELLSRSFFQQSSNDESLFVMHDLMNDLAMSVAGDFFSRLDIRMKKEYRKESLEIHRHMSFVCENYMSNKRFEPFKGAKSLRTFLALSVGVVESWDVFYLSNKVLNDLLHELPLLRVLSLSNLNIWWVPEFIGSLKHLRYLNLS >cds-PLY63229.1 pep primary_assembly:Lsat_Salinas_v7:6:88967628:88968702:1 gene:gene-LSAT_6X61201 transcript:rna-gnl|WGS:NBSK|LSAT_6X61201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEPFAISSLELSDKTLIHIPPTPFKEGKIVIIPLVEELQRVEREPWVCYSALDSISALKLYERLKSKLSNREGKFNGVTKGTLFDFYEQYWRPFGEILVKMETEGMLVDRDYLMEIEKVAKVEHQIAAHRFRNWASKLCADDKFMNVRIDTQLPSSFLVALRTETYTASGCPSVCGDALKTLAGKISMDYDFIDDDNAELDDDFIELPDKKPGNFCKIS >cds-PLY61650.1 pep primary_assembly:Lsat_Salinas_v7:2:47355596:47355880:-1 gene:gene-LSAT_2X21340 transcript:rna-gnl|WGS:NBSK|LSAT_2X21340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIMFSFMFSTFALADGCFFGFNFIIGQHKKSSVHVGCSIALFDLFEISDEHQIFFHVLYLCSCLRLFLRLRFHHRATQKKSVFMLVVRLLSEM >cds-PLY94765.1 pep primary_assembly:Lsat_Salinas_v7:2:179644632:179646542:-1 gene:gene-LSAT_2X101681 transcript:rna-gnl|WGS:NBSK|LSAT_2X101681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSEPERSPNVQQRGFRLQAPLVESVSCYCRVDAGLKTVAGARKFVPGSKLCIQPDINPRAHKSKNSRRERTRVQPPLLPGLPDDLAIACLIRVPRAEHNKLRLVCKRWFRLLSGNYFYSLRKSLGMAEEWVYVFKRDRDGRISWHAFDPTYQLWQPLPPVPVEYGSALGFGCAVLSGCHLYLFGGKDPIKGSMRRVVFYSARTNKWHRAPDMLRKRQFFGACVINNCLYVAGGECEGIHRTLRSAEVYDPNKHRWNFISDMSTAMVPFIGVVHNGKWFLKGIGAHREVLSEAYTPESNTWESVTNGMIGGWRNPSISMNGKLYALDCRDGCKIRVYEEDTNSWKRFIDSKVHLGSSRALEAAALVSLNGKLCIIRNNMSISLVDILSPDKQVESNPYLWENIAGKGQMKTLLTNIWSSLSGRNSLKSHIVHCQVLQA >cds-PLY97456.1 pep primary_assembly:Lsat_Salinas_v7:6:91245335:91250286:1 gene:gene-LSAT_6X63460 transcript:rna-gnl|WGS:NBSK|LSAT_6X63460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMVFGLSLLVAISVLLPCKGLNSDGKFLLALKKNITDELGYLKNWNAKDDTACGWIGVNCTYDYTPVIWSLDLHSMNLSGTITASIGGLSSLIYLDLSNNNFVGKIPKEIGSLTNLEMLFLNGNQLDGVIPNEIGNLTHLSHLNICNNQISGSIPETFGQLSSLVEFVAYTNNLEGSLPNSIGNLKNLTTFRAGQNEMTGSIPMEIGGCESLQYFGVAQNSFDGNIPKEFGQLTKLTDLILWNNQLTGIIPKELGNCSWLETLALYQNNLVGEIPKEIGKLKYLKKLYLYRNGLNGTIPKEIGNLSLAIEIDFSENHLTGEIPTELSQIKGLTLLYLFENQLHGVIPSQLSNLKNLSKLDLSINSLTGPIPVGFQYLPKMYQLQLFSNSLNGTIPQRFGLYNRLWVVDFSDNMLTGTIPPYTCRKGNLMLLNLESNNLYGNIPDGIIKCKSLVQLRLSDNKITGSFPSSVCNLVNISAIELGQNRFSGPIPNGIGNCKKLQRLDLSGNYFSSELPNEIQKLTHLVTFNISSNLITGRIPLGILNCKTLQRLDLSRNRFSNQIPPEIGGLFQLELLMISENKLSGNIPPAIGNLSRLTELQMGGNSISGEIPPELGSLTSLQIALNLSHNNLSGEIPPQLGNLILLENLLLNNNQFSGEIPATFANLSSLMTCNFSYNNLNGPLPSIPLFQNMALSSFTGNNGLCGGPLPSCGGTTGSLPSPPSFRSIETPRGKIITIIAAVVGGVSLILIIVILYFMKKTATQNITSLENDTEGSSPVSSDIYFPPKDGFKFQDLVEATRNFHDSYVIGRGAVGTVYKAVMQSGRTVAVKKLASNRESGNMENSFQAEILTLGKIRHRNIVKLYGYCHYQGSNLLLYEYMARGSLGELLHNGLSCSLNWPTRFTIALGAAQGLAYLHHDCKPRIIHRDIKSNNILLDENAEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELVTGRAPVQPLDQGGDLVTWVRSYIRKHSLGVGILDSRLDLEGDARTSNHMLSILKVAIMCTNMSPFDRPSMREVVLMLIESNERVGNLVCTVYDDPCLKDDQFL >cds-PLY90231.1 pep primary_assembly:Lsat_Salinas_v7:8:14597364:14598034:1 gene:gene-LSAT_8X10720 transcript:rna-gnl|WGS:NBSK|LSAT_8X10720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLHVYSVFKGFVASRLMLKRTKGKGLMKRRFSLKTVTNDKSMTWNLKLSIHHHDQLFNIYNDFLDSLLILVIVRKKPSSLQEKGPIETKIVVPVGSKVVSIGVWDDKSSL >cds-PLY86789.1 pep primary_assembly:Lsat_Salinas_v7:5:15000655:15002267:1 gene:gene-LSAT_5X8540 transcript:rna-gnl|WGS:NBSK|LSAT_5X8540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLAHEVADLCLGKPPLKSLAISATVRHALAALKSSDDTHISIWTCDHHQTAQSLIDNCCCVGKICMVDIICYLSKEDNLKSPSSALDSPVTVLLSRDPATVRLVNPSASLVEAIDLIIGGAQNLIVPINRRTKINSKRKQILKQELSFAPTTHAGGGEFCWLTQEDVIRFLLSSIGLFSPTGAYSVESLGIINPDILTVNFHSPASTALGAILTSLADQTSVAVVDDDGILIGEISPFTLAYCDETAAAAIATLSAGDLMSYIDCGGPPEDIIRVVEARLKERNLKGMLEEFTAYSSGIPLISSNSSSSSEDESSPSPARMKSGRYNRSSSYSSRITRRAEAIVCYPWSSLVAVMIQAITHRVSYVWVIEKDCSVVGVVKFSDILEVFRSNLESMTN >cds-PLY93218.1 pep primary_assembly:Lsat_Salinas_v7:6:158784033:158785409:-1 gene:gene-LSAT_6X97161 transcript:rna-gnl|WGS:NBSK|LSAT_6X97161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGVRKACKSFISKHRLGKKSRVKSKDKHHLQVDLERDVHETEGLISPRVSVPRLSRTASTGFLPKGSVFHVNPTRNNSTILYSDVNGLRKPPDTVKRLECTLEDLCFGCIKKVYIKRDIVTNDGQVIQEDEVLTINVKPGWKKGTKITFEGKGNQTSPTCIADVMFVIDEKQHPVFKRNGDDLEVAIELPLVDALTGCTVTIPFLGGQESRLTIANDVINPGYTKTIEGEGMCLLKEQGKRGNLNIKFSVKFPQNLTEEQRSECFNILQDSC >cds-PLY94620.1 pep primary_assembly:Lsat_Salinas_v7:8:144938456:144940440:1 gene:gene-LSAT_8X97760 transcript:rna-gnl|WGS:NBSK|LSAT_8X97760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPDGVLFSNGPGDPSVVPYAVETVKAIIGNDPVFVICMGHQLLGQALGGKTYKMKFGHHGGDHLVRNLRNRIVEISAQNHNYAVDLNDGSCAVNSDSLLSCILPSSLRTRKVKALEELTWIDDA >cds-PLY95262.1 pep primary_assembly:Lsat_Salinas_v7:8:137339232:137342320:1 gene:gene-LSAT_8X94621 transcript:rna-gnl|WGS:NBSK|LSAT_8X94621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGILLKLLQTMNTTTRVTGDHRSPLLQVIGIVPALAAGSDDLWPNHGFYVSLSDSVNSTYVSLSDRDTDLILTNRLQLGQFVYVDKFEFDSPVPRASGVRPIAGRHPFVGSPEQLIARISSSKREFVIQTVSDSDASGDPIAAYLSSKKLDGPVHDSKETKNEVRNRQVLAPKENMNTSNESTKTAEKPPQRFSSPAMKQQRSVSAGKKNVIERDPSPAGKAKRSSSPVPSKCVVPSLAMAKEENRKSAREPAIIVPSRYRQPSPNGRRQASPSSRRMSMSPGRRLSSGVKVSPATDSGNKKKMATLAAEISKVSEALVGSSTKSSRKNWDETEVKEKSGIKSKPDLQAILKTQAALSRRLSDAHSHDQEDFSSEEKANPSSCGTPPLSTTTTPLGITLHEKKWTDGSVPLDAVSLDLAKLGQDAMRRRNVASVAAAEALQEALVTEAILRSLSMFSNLCSISKAGNPLPTIDRFMTVYNDTIKATTTAESLTTTNRTTAPPPPTDQSKSLALWIEAALATDLEVVSLLADQGFETPVQPQKKTQSKIRPGSGSGSGSGSTLTETMKSHLTISSCNSSFNGSWIKGRGVNESVELGMKLQKEMQIWFLKFVEESLDAGFQVFGKNGGGTGTGSAEVGPIKVILSQLKRVNDWLDRMVSKQDEVMTGAVDRLKGKIYRFVIQHVGTTYDNSS >cds-PLY99834.1 pep primary_assembly:Lsat_Salinas_v7:4:46481127:46483003:-1 gene:gene-LSAT_4X31781 transcript:rna-gnl|WGS:NBSK|LSAT_4X31781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDPSKVNDVKLHDMSLLWLQTYRNCQTCNGGNALRCTMCRGTGKVIYQVKNYTLRSEKATTEAIAEPIAENRAKLVHLPATMDLNVPLPSKDCDSCDGSGVMKCPKCKDKLQVRISADDVLSDPAQRMVYDEIHGYALTAINPFFDDSSPKDHVFVDEFSCIAIPAPPYLFAELEYCVVVSLLSGKFYGRQTNHGKIHRVGSVVPSLLKPFN >cds-PLY66420.1 pep primary_assembly:Lsat_Salinas_v7:9:38774423:38784170:1 gene:gene-LSAT_9X35880 transcript:rna-gnl|WGS:NBSK|LSAT_9X35880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate 2-O-acyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT2G38110) UniProtKB/Swiss-Prot;Acc:O80437] MSIHLDFELISKCSTKSRSNQTVASALNGTLLVSRNPFPYFFLISLEAGNIFRAIILLLSFPFIYTISIFSKSASDQIFIFITFVGLRIRDVELVCSSVLPRFYVGDVRPETWKVFNSFGKRYVVTSSPRVMVEHFVKNFLGADEVLGTELEVSKTGRATGFVKEPGVVIGGFKKAAILQEFEGSNLPDLGLGGGETDKDFMSICKEGYMVQQTRCEPLPRDKLLSPIIFHEGRLVQRPTPLVALLTFLWMPIGIFLSILRVYLNIPLPEKIVYYNYKILGIKLIVKGTPPPPPKQGQSGVLFVCNHRAVIDPVVTAVALGRKISCVTYSISKFSELISPIKAVALARERDKDAAHIKQLLEEGDLVICPEGTTGREPFLLRFSALFAELTDRIVPVAINTKQSVFYGTTARGYKFLDPYFVFMNPRPTYEITFLNQLPLELTCKGGKSAFEVANYIQRVIGDTLGFECTNLTRKDKYAMMAGTDGRVESKNEKSK >cds-PLY74398.1 pep primary_assembly:Lsat_Salinas_v7:6:154538888:154539076:-1 gene:gene-LSAT_6X93581 transcript:rna-gnl|WGS:NBSK|LSAT_6X93581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTTVEGSMMLGIMERNGVNTTFILNRQMCDKKALLFIVVTHQNVIALAQECHSHLEICDIY >cds-PLY86964.1 pep primary_assembly:Lsat_Salinas_v7:5:260880846:260883190:-1 gene:gene-LSAT_5X131021 transcript:rna-gnl|WGS:NBSK|LSAT_5X131021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRETLASTSSSTASDSTPPIESAWINAHERLSPRWQSSALSPQSAIPIAISRVNQVDAGRLDIEMSAMLKEQMVKVFSVMKPGLLFQYEAELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERTMETRAKLRTGLEGPGLTVYQKIWYCVATVGGQYIWTRLQSFSAFRQWGDSEQRSLARRLWFIVQRVEGFYKAASFANLLVFLYTGRYRNLIERAIKARLVYGSPHMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSMKNFLRPFSKDNSSGSAGDETLCPICQANPTTVYLALPCRHRYCYYCLRTRCAATPSFRCTRCSEPVVAMQRHGGLVDTKKE >cds-PLY87676.1 pep primary_assembly:Lsat_Salinas_v7:6:45527880:45528101:-1 gene:gene-LSAT_6X34720 transcript:rna-gnl|WGS:NBSK|LSAT_6X34720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVATLDLVGDTQIGGFLSINGEANIVANLDGENDLRSFADMETFLGGDIVFFFVMAVLGLVGDTKSGGLRIFT >cds-PLY85796.1 pep primary_assembly:Lsat_Salinas_v7:4:80452861:80453520:1 gene:gene-LSAT_4X54700 transcript:rna-gnl|WGS:NBSK|LSAT_4X54700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGIPTTPATFPMSLDKPTTVMVARPAKKEREKEEEEVLVIEGIEINRNEFVKFDVFINDEDEETAAGGGAEKAECAGSFVNVPHKHRNGHSGDGGKVKKTQLRIGISELLEDLGVEEDDEDVVVKLVPRCENVHVTIGGIKIENE >cds-PLY85439.1 pep primary_assembly:Lsat_Salinas_v7:3:43050180:43051886:-1 gene:gene-LSAT_3X33441 transcript:rna-gnl|WGS:NBSK|LSAT_3X33441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRQNSYQDTEIRKGGNFATDFDSDRDINECTTASAQLGSKRLNHFLEQLYEKRGSVREAALSSIILSYSLGYQYQFSEKNFTELLYQCLKSFKRDSIKESCLALEVLGLLAITIGCGEKSRELYKETAPVLSEALNTESQPQKLKLILDSLAIITFVGGNELEETERSMQSIWDFMHSRPDFKEAQSEAILCWSLLLSSMDSWTLSYKHWRGAIPFFKKLLESDNESTVKGATQALALIFEQGCLEKFEGDTSAMEEINVEKIVEDGHIPEISVKIGKQRLKVNTLPHQIQLNFVKRLLGSGFIVHMRVEFSLPFLLYNLLMGNF >cds-PLY95304.1 pep primary_assembly:Lsat_Salinas_v7:4:299796017:299798386:1 gene:gene-LSAT_4X152241 transcript:rna-gnl|WGS:NBSK|LSAT_4X152241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIGKPRANKRSSGISCSSAVTMAVLVTVCVLGVWMLTSSSAVYPSTTTQINNLRDDTLSDIKRRFVKFHRKIPDDASKDKLLDHTTNASVSEDNHSDFLMDVMKNEDESKSHNDLENIQEKGKDYKLQVDESSGEVRFSNIEVEPKQESMGNDVDDDAESLNDSDAGKQEAEQLQEEAIEKQEMEISTTVVKDSLNGMEGEASAEEEQKQITQKIKENTMTRGDQDTGNEYDQQSDMGNQDDKQTEPGGEDDTQELEDNKEQESVNEGKEKSMQNQEEKEEQEEDKEGESQQGVTTDDQVQEDDDEPIKSHSIASETRAQAENREELDVRQKMEQAKIQTNTTELAEVKERSSQIPHESETQVDQQEDQKERQEEDTNGTSETSKVADNIDMYGYQWKLCNVKAGTNYIPCLDNEIAIRNFHHWQHNEHHERHCPKETPDCLVPLPRDYKTPIPWPQSRDKIWLHNVPSQALSDLKGRPNSVKVTGEIITFPSAETRFTHGAGHYIDFLEEAAPEIAWGKHTRVILDVGCGIANFGGYLFDKDVLAMSFAPRDDHEAQIQFALERGIPAISAIMGSQRLPFPSNVFDLVHCAHCRVPWHKEGGILLLEVNRMLRPGGYFVWSATPVYRTHEEDIQIWKGIIRSFHINFIRNDYIVLGI >cds-PLY66694.1 pep primary_assembly:Lsat_Salinas_v7:6:78792600:78793492:1 gene:gene-LSAT_6X54580 transcript:rna-gnl|WGS:NBSK|LSAT_6X54580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLSAFSALSYFHNHHLFQIFNGPQWYTVKNGSQTYIKKIKEELSSSGCQIRTGCAIKFISKHDDVKIVL >cds-PLY65165.1 pep primary_assembly:Lsat_Salinas_v7:5:249412632:249413948:-1 gene:gene-LSAT_5X124281 transcript:rna-gnl|WGS:NBSK|LSAT_5X124281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSNSRTHCRGLSIGLGFFDTRVVLNNCRMIYLLFTVLFAEMIIILLLLFKTPLREPLVAGLDGFKQGKAQLAVTSVGATVFVVMMYNIYTVVEIRSCSADAVDSPNRVILAFRILEASLMGVSLFLLVMIESLQQFIKPVVILTETITASRKQNQACEDTNKKNAHLAKSIKDEISRLKTGITKLELECDKREKDVQSRKANSSTLKSQLVGLHVEYDHLLTENKDLKDQLHDINERLSHSTSKKMSFFSWDQWGL >cds-PLY92111.1 pep primary_assembly:Lsat_Salinas_v7:1:108733499:108734777:-1 gene:gene-LSAT_1X85360 transcript:rna-gnl|WGS:NBSK|LSAT_1X85360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRSVELPSELVVCILSRLPSKSLLRCRSVCKSWLSFISSSHFKVSHLDKFNQLNSRYLVRRFILHESKEEYTVHFDDQNFTLDTNSPIQSPFNFYLSGTEVLSFKIIGCCNGVIFFSTDTIGRDEIILWNPSIRKKLTLIPPMFPRSELLDLDIYFCFGYLALSDDYIVLRIAKDRGLSSITTTHVQIYTVKTAIWRELVFPKDLSSSIVSSISQVFVNGSVHWVACEDIYSATPLSILTFDMSTELFGKIHLPDFLVHLDLFQMKLAVVLDSLAVIYCCRYSAPWNTSCAYEIWAMKEYKKPSSWTMLCKVSFPNNDVGSPLGLTNNGNLITVGSKEGDVMICNWKRSYTLYGPCSKDDDSKYTFVERYNESLALLDHGELDDENEVDLSMP >cds-PLY73669.1 pep primary_assembly:Lsat_Salinas_v7:5:206891160:206894823:1 gene:gene-LSAT_5X91980 transcript:rna-gnl|WGS:NBSK|LSAT_5X91980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNMIVRTFMCVKVLKTGNLFKVKKKGEKEESSFYDMEAYFHCYFFENKLLKHLEFQIPAAMLEMEAQDLSDDADYAAASQEGMLSSKKSSFSDLENAEIVYLKEKVTINPTQYAADRISGKLKLIKQDSSLFMTWMPYKSRISNARLSERDKSLYTIKPVSFTDIQSIRVHTPKIGWQYAVVILSSGLAYPPLYFYNGGVQEFLATIKQHVYIVRSSENTNLFHINNFEDPLQFDKISLVWGKPRQSPLQLEEWGMFLDAEGRVVSPSDLKKRIFYGGVDHSLRKEIWKFLLGFYAYDSTYTQRRYLSTIKKSEYETLKNEWQSISISTEQSIKETQFKETKFLIDKDVVRTDRMLPFYEGDENENVNILRDILMTYSFYNFEIGYCQGMNDFLSPILYVMEDESEAFWCFVYLMERFGPNFKHDQSGMKFQFFALSKLMEILDKPLHDYFEENNCLNYLFCFRWILVQFKREFEYEEIMRLWEILWTHYPSEHLHLYICIAILKTHRIKIMGELMDFDTLFKYINELSCHIDLDSVLSYSEALCMSAGKNGAAAIPPGTPPVVA >cds-PLY81726.1 pep primary_assembly:Lsat_Salinas_v7:3:32532020:32536853:-1 gene:gene-LSAT_3X24441 transcript:rna-gnl|WGS:NBSK|LSAT_3X24441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERARRLANKAILGRLVSQTKQNPSSPALYSPSRYVSSLTPYVCSGSGRNVRSERTINGFGSHTQSQVRSISVDALKPSDTFPRRHNSATPDEQTKMAEFVGFPNLDSLIDATVPKSIRLESMKFPKFDEGLTESQMIAHMQDLASKNKIYKSFIGMGYYNTSVPPVILRNIMENPGWYTQYTPYQAEIAQGRLESLLNFQTMITDLTGLPMSNASLLDEGTAAAEAMAMCNNIQKGKKKTFIIASNCHPQTIDICKTRADGFDLKVVTSDLKDFDYSSGDVCGVLVQYPGTEGELLDYGEFIKNAHANGVKVVMATDLLALTVLKPPGEFGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMFGIYHGPEGLKTIAQRVHGLAATFAAGLKKLETVQVQELPFFDTVKITCADSSVIAEEADKHQINLRIVDKNTITVSFDETTTIEDVDNLFKVFALGKPVTFSATSLAPEVQDVIPSGLVRETPYLTHSIFNTYHTEHELLRYISKLQSKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPAFADMHPFAPTQQAQGYQEMFKNLGDMLCTITGFDSFSLQPNAGAAGEYAGLMVIRAYHMARGDHHRNVCIIPVSAHGTNPASAAMCGMKIITVGTDSKGNINIEEVRKAAEANKEYLSALMVTYPSTHGVYEEGIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGWIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPYLPSHPVVATGGIPAPEHSKPLGTISAAPWGSALILPISYTYIAMMGSQGLTDASKIAILNANYMAKRLESHYPILFRGVNGTVAHEFIVDLRPLKTSAGIEPEDVAKRLIDYGFHGPTMSWPVPGTLMIEPTESESKAELDRFCDALISIRQEIAEIEKGTVDINNNVIKGAPHPPQLLMADKWTKPYSREYAAYPAPWLRAAKFWPTTCRVDNVYGDRNLICTLQPPQEYEEKAEATA >cds-PLY61734.1 pep primary_assembly:Lsat_Salinas_v7:5:216997937:217000498:-1 gene:gene-LSAT_5X100120 transcript:rna-gnl|WGS:NBSK|LSAT_5X100120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFHLTLFISISSSTPNPDNSSSSLSSTPLTAHSVLTHHGFPIGLLPTDVLSYDLNHTSGEFSVNLGYPCRLILPPDNYLATYSKKVTGKLVQNRISELNGIRVKAFFQWWGITGIKINGDDLVFEVGMVTAKYPSKNFDEVPQCTYHIKLLGGLLRVMFSSQLLPPTASNYKPTTNDIPEYAFSPPPLHVTGLILTLRKPPSYHKLILDLKGKLKSKALSIKVDCSTAHAPAITNR >cds-PLY89748.1 pep primary_assembly:Lsat_Salinas_v7:1:58397579:58401193:1 gene:gene-LSAT_1X51101 transcript:rna-gnl|WGS:NBSK|LSAT_1X51101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTYPCNLLPLPYLSTRTTTKTSATVSFSVTAVSRQVSATSTAGVVAAPSQPLLQFSFDSLQYEQGKVGAVPDGRVSDSPPVGAMEYLTNILTSKVYEVAMESPLQHAGKLSEKLGVDVWLKREDTQPVFSFKLRGAYNMMAKLSKKQLAEGVICSSAGNHAQGVALAAKKLGCDAVIVMPVTTPHIKWHSVKRLGATVVLEGDSYDEAQAYAKKRAIKENRTFIPPFDHPDVISGQGTVGMEIVRQLQGPIHAIFVPVGGGGLIAGIAAYVKRVSPEVRIIGVEPADANAMALSLHHGQRVMLDQVGGFADGVAVKEVGVETFRLCRELIDGVVLVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCRFYNLKDANVVAITSGANMNFDRLRLVTELANVGRQREAVLTTFMPEEPGSIKKFYNLVGAISITEFNVGVHTKSELQAMIERMKSYQLDTIEFTHNDMVKDHLRHLMGGRSNVENELLCRFIFPEKPAALVKFLDVISPRWNISLLHYRAQGEAGANVLIGIQIPTTELNEFRSCANDLGYDYEIESDNEGFQLLMR >cds-PLY88748.1 pep primary_assembly:Lsat_Salinas_v7:4:169790975:169793045:-1 gene:gene-LSAT_4X100040 transcript:rna-gnl|WGS:NBSK|LSAT_4X100040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRHLAAIGETYAQTVLEDAAWNLWHVNPSQASTSTSTSSSNNPNPFTSTIRHQGGHTTGGGGGSLIRTMPTPLVPDVDLSNIIAMVETVREVLPHVPDELILQKMSLNSNVASLLLTSRVRNTNTDRKPFSFTVAYKTYFSVSDIRIEDAEIKHKIRKVDPTWDMEADEGDNYTHIPVRNRVG >cds-PLY72624.1 pep primary_assembly:Lsat_Salinas_v7:6:48388735:48389064:-1 gene:gene-LSAT_6X35941 transcript:rna-gnl|WGS:NBSK|LSAT_6X35941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTDGGNELKLDLKLNLSPQRTQWPVTESPNRSSPITVSPTNSCVSLELAQDEMELRYSSSPETTSMMLAGCPRCLMYVMLAQDFPKCPKCKSTVLLDVVLDKPIKK >cds-PLY88556.1 pep primary_assembly:Lsat_Salinas_v7:7:8796746:8798001:1 gene:gene-LSAT_7X6361 transcript:rna-gnl|WGS:NBSK|LSAT_7X6361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADPKSISDPYEHLKLKLNPDGSLTRLAPVPTLPATPELTSDNQLALSKDIPLNTTNATFIRLFRPVSPPTNTTKNLPIIIYFHGGGFILFSATSLPFHNSCAAMSAHSQAIVISVEYRLAPEHRLPAAYDDAVDAVLWVRDQALRINSSDEWLTNHADFSKVYLMGSSAGGNIVYNAGLRALDLDLDPIKIIGLIMNEPFFGGVDRTESELRLVNDPIVPLVVNDLMWCLSLPKGSERDHQYCNLSHDQNGYRNEKIVRLPKCLVKGYSGDPLVDRQKEFANMLEARGVHVIKKFDEGFHGVEFFDPQKAQVLYDDIKSFIWALDDKESAL >cds-PLY80283.1 pep primary_assembly:Lsat_Salinas_v7:3:209192521:209194752:-1 gene:gene-LSAT_3X123300 transcript:rna-gnl|WGS:NBSK|LSAT_3X123300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLIKTNISDSDDDKDEGMNTKLVAFLAVKPLQQKFPDNEETQEEDQDMNVDDRINLGFENNIGEETIRHPHNPERQIEFEGINVDDKINLALEVNNIDETIEKKNLEDNVESKNLVKGGEMIGGENIREGNIVEKVVGDNIGESSIVTPKHNPKGISIDFSPWSDSFIEKMDEDLLRIFSNRNPDSNTIQNPVVRSTVPKKLTFENSEFPSFDLQITQLINNAETGDNSEGSDEDGELEGNEEHILDEKGKKGQNVNERGKRKVTNLDIFISPFVNRVIDLSEKANTEQEIMAQIMFRCVADKDPMEMLFETESGDIMDRVHFEGMRPNHKIHPFVIDCWAAVLNFEEENLRNKKSPPRVFFNTQIMTEKLLDSSIPFVERSRLFDEAVNNYLYDIKRKVDFNSINLQMYFSKFLDNNRRNKVSLFKSMKPKKMKMAWQTKTKTNDDGIFLMRHMEKYMGEKEEKWDVELGEESVRTSKKIAKLRTFYVSKLANHQINKQRKMNVTEALEFSKLDKKIRCMLVKEGSEARDNLEMKKV >cds-PLY84376.1 pep primary_assembly:Lsat_Salinas_v7:8:77671941:77676264:-1 gene:gene-LSAT_8X55761 transcript:rna-gnl|WGS:NBSK|LSAT_8X55761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVSDITVSAAINSLSALLFLVAFAILRLQPVNDRVYFPKWYLKGIRENPSTSGPLVKKFVNLDFRMYLRFLNWVPAALRMPEPELIDHAGLDSAVYIRIYLLGLKIFVPIAILAFGVLLPVNYTAGNFKDITTNMKDITFGEIDKFSISNVPPASKRLIVHIAMAYVFTFWTCYILYKEYKIVTNMRLHFLASENRRPDQYTVLVRNVPPDPDETVSEHVEHFFIVNHPDQYLVHKVVYNANKLAKMVDKSKDLHNRLTYYSNKYERSNKRPTTKTGFWGLWGKTIDAIDYYTEEIEKLAKEELAERERVIGDPKAVVPAAFVSFKSRWGAAVCAQTQQTRNPTRWLTDWAPEPRDVYWDNLAIPFVELNVRRLIMAVALFGLTFCFMIPIAIVQTLANIESIEKVLPFLKPIIQKDSVKSVIQGFLPGIVLKIFLIVLPMILMEMSKIEGLTAVSALEARSAGKFHLFLLVNVFLGSIITGTALQQLKEFLDQSPSQIPETVGVSIPMKATFFITYIMVDGWAGIAAEILRLVPLVIYHLKNVFLVKTEKDREEAMDPGSLLWPVTEPRLQLYFLLGLVYSTITPILLPFIIVFFAFAYMVFRHQIINVYHQKYESAASFWPDVHRRIIIGLMISQLLLMGLLSTKEAANSTPFLLVLPVLTFWFHRFCKNRFESAFRKFPLQDAMIKDTLEKATEPHLDLKGYLKDAYIHPVFKSADIDISMELDDEDDFQVVATKRTSRRGSQTATREGSPEDRSGILSV >cds-PLY98810.1 pep primary_assembly:Lsat_Salinas_v7:7:22849079:22851286:-1 gene:gene-LSAT_7X18241 transcript:rna-gnl|WGS:NBSK|LSAT_7X18241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAPEEDDNSNCFFDFSLIEQFPVPGGELPSLDTDFQWSSDPFPDSANLSKEFVDSLGKSNTTKEDESRKRAHPGSCSDSKACREKKRRDKLNERFQELNEMLDPGRSPKTDKSVILADAIRMVTQLRNDAAKLKDSSQDLLVKINELKVEKNELRDEKQKLKSDKERLEQQLKAATTYCGPPTAFYPPAHPVIPVSTPNKFMPFMGFQGVPMWQFASPNAVDTSKDHVLRSPLA >cds-PLY63855.1 pep primary_assembly:Lsat_Salinas_v7:1:125626683:125652499:1 gene:gene-LSAT_1X94121 transcript:rna-gnl|WGS:NBSK|LSAT_1X94121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVILSELLSEGSSSSSSTHGYSPSACVPTSSTHNHRYDVFLSFRGVDTRHSFTDHLHKALIDANITTFLDDEEIETGEDLKPELETAIKASRGSIIVISKNYASSTWCLDELVLILEQNMTSDHIVIPIFYHVEPTHIRKQQSSFGDAMAKHKQMMEAEKNAYKKSQWVQKMDRWNKALIEVANLKGKDVNGRLETEFIEEIVKDIHRRLHVPSRSVRQQLIGMDYEIKFITSWLKDGSSHTADILTIYGIGGIGKTTLAKHVYGLYAHKFHKSSCIEDVSRVCDGKFNGLLDLQEKLSSDISKTSSVKVHDASVYTSKIENAVARKRVFLVLDDISTLDQLDALLGSKGFHPGSKIIITTKERRLIERCALFKTDIKPKHTKLLLQGLHETESWKLLCLHAFKCNYPKTGYEGVLYKLVKYCQGHPLALVVLGKSLYDRDVTYWEGCIEGLKKETDSHVNNVLRMSFNSLPSKNDKDLFKYIACFFVGIDRDVAETILKACNINIRSGIPNLLDRFLLSIGWKNKLKMHQLVQEMGRFEVRQESHDKPWKRSLLWCHEESFRVLKQKKGKGNLVGLALDMRMLEKEMLGASYKLKTDALSKMDNLMLLQLNYVHMNGSYENFPPELRGLCMHGFHLKYIPSELPMENLVALDMSYSNIESFIGCYSNPQRLEKRQKLDGSCLKNKRLLGSLKILNLSFCKQLRMLGGFDELPALERLIVRNCIVLLEVCDSIKQCVELVLIDMSNCNKLEKFPRNISMLKKVKTILLDGCNLGESRVENRDTDLWEMCKANNIGINTRASSSAFPGGIPCDLKAFAISLPRSLVSLSLANNNLSIESFPMDFSCLSMLKELFLNGNPIISLPNCVRTLPRLEILSMEDCKKLKSIEHPPPTLRKLVLYPHRNHSIEKIVFDPEMSPLRVLFHLRGFERGSYEIEGMVKIQPIVGVDNKVLRRLGWTKLDFLNERHLGTNSLDSEMQMFYQFGIFSTMYEADEMPIWFRHRNAGRSITFTMPSSSPNNLLTGLNFCSVHTPIPNDPFLGFQYFVETSRFHDCQFPRSPMIKISNVTKKRMWSYERYPDRPFGGPKCCMLLSHWMFGMNEMEAGDQVTITVTDSRNNERVKECGVSFVYDGENKEEEDEEEEEDVLGYYKSWNHIIGGDLSPFQNPFQTTGEYVLRHRRFFQPGRIGEELWFRAFSQRKPDIIGDARKVSTNVKKSKNVNRKSQRAKNAKLLEDRNQKVKYFRLRAKSVKVLKFHMSGTKSVKSAKVGPDILKFLNRTL >cds-PLY64244.1 pep primary_assembly:Lsat_Salinas_v7:7:4538525:4540449:-1 gene:gene-LSAT_7X3320 transcript:rna-gnl|WGS:NBSK|LSAT_7X3320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDNIPFHIQEEIMKRLPVRSLIQFRCVSKAWKSVIDSSAFIAAHSIHRHTHPQHLLVSYQDPIDEVNYVSFVDDHTLPQQRSLPIVPPFIKQLIHPKVVGSSHGLICFFGSDFTFTTGMAVLWNPSIRKSIDVVVPGRSFIGFGVCPVTSDPKIVSITQSWDYQNIETSYPCKVMVYTLSSGKWRNLSTNLPPKRLSSSGLVVITDQYIYWRAEVPTHNAIMSFDLTHENFEVIDLPDSLACREFGDLYTYKLRESLAMLQYKENICNVWTMDHGVQRSFTKLFTIKTPHEIVGFRKTGVPIMHVPNDDDDDDEGSKLVVYEPNSEQNNVLEMTGSPFSFYVKSYMETLLLFGRCDSTSY >cds-PLY64429.1 pep primary_assembly:Lsat_Salinas_v7:5:338275125:338275638:-1 gene:gene-LSAT_5X191441 transcript:rna-gnl|WGS:NBSK|LSAT_5X191441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDLDQILHDLSYLREPKYSEAEILLCLNITQSQLKGFDALLHQSKQAAKDVPFVSEHVPETQEGNNENKEESDYEDGVEESQVDNDEDGAEDDEEGVDDTQVRVRTQARVRTRKPSERITENMLKKIVVDKKGIGMAVEKPLSLD >cds-PLY85185.1 pep primary_assembly:Lsat_Salinas_v7:9:146478439:146479557:1 gene:gene-LSAT_9X94040 transcript:rna-gnl|WGS:NBSK|LSAT_9X94040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADGVQELELQPGGTNGVINGVPTQKFKHYRWWFRVAVYIIFLISGQATATLLGRLYYDKGGNSKWISTFVQSAGFPILIPLLLFFQPTTTSSAASPPPTTTTLLLLYLFFGLILTGDNLMYSYGLQYLPVSTYSLLCATQLGFNAVFSFLFNSQKFTSLIINSLFLLTISATLLAVHSDSDNPSKISKAKYIIGFLCTLGASATYSLYLSFLQLSFQKVIKSENFRVVLELQIYPSFVATCGCVVGLFASGEWDTLKKEGSDYEKGSVSYIMTLTWIAIAWQICSIGILGLIFEVSSLFSNVISTLGLPVVPVLAVVFFGDKMDGVKAISLILAIWGSGSYVYQHYLDDLKLKNDMKTRIVVSANEASHNV >cds-PLY69553.1 pep primary_assembly:Lsat_Salinas_v7:8:83786119:83789388:1 gene:gene-LSAT_8X58721 transcript:rna-gnl|WGS:NBSK|LSAT_8X58721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEKERLLVGIRVEEMEVVDDRWCWGLTVMTGGDQVVVFLIAVSVGVGGAAPAGAQQFVPTSLDLSTRQSLGYGYVNYANPQDAARAIEVLNFTPLNGKAIRIMYSHRDPSVRKSSSGNIFIKILDKAIDQKALYSTFSTFGNILSCRIATDSSGQSKGYGFVQYDAEESAQKAIEKLKDMLLNDRQVYVGPFLHKQERDLVVDKTKLTNVYVKNLSESTTYDDLNKTFSEFGTVTSAVVTRDAEGNSKCFGFLNFENAEDAGKAVEGLNGQKFDNKEWYVGKAQNKYEREQELKQRFEQSMKEAVDKSQSIQL >cds-PLY65468.1 pep primary_assembly:Lsat_Salinas_v7:9:115879886:115886191:1 gene:gene-LSAT_9X80640 transcript:rna-gnl|WGS:NBSK|LSAT_9X80640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSFITPTSSSPVLFPSISVSCFSHHHQYHPQFGVVPFFFFFCSSSSLFSEMASCASEGLYPLHRSKTIHLVRHAQGIHNVAGEKDHSAYLSEQLFDAQLTPLGWQQVDNLRKHVHASGLSKRIELVVVSPLLRTMQTAVGAFGGEGSGLGDGNPLMAQNTGKSNRPAISSLNSPPFIAVELCREHLIENEGDVLWTPDTREKNEDVAARGVKFMKWLLTREEKEIAVVTHSGFLFHTLAAYGDDCHPTLKKEMSKHFNNCELRSMVIIDRSMLGSNASKTDFPGKIPSGTDVPSDVV >cds-PLY72193.1 pep primary_assembly:Lsat_Salinas_v7:7:59339361:59342153:1 gene:gene-LSAT_7X42681 transcript:rna-gnl|WGS:NBSK|LSAT_7X42681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMASASELSLECKPHSYSMLLKTIGEQQLNNGHDQTLKLEEFLSRLEEERLKIDAFKRELPLCMQLLTNAMETSRQQLQTFRANQGSPILEEFIPIKNSNTEGPEKLSLSSIDNKANWMTSAQLWSQASSTTTTMDNNNHLKTTQNSTVLTTQNKTDIDFNTKQRNGGAFLPFSKEGNSSCPTPGIVLPELALSSGDKDTDHEENKSCLRENTSIKGGNGEISDNQTTTTATGGTTSSQTHRKTRRCWSPDLHRRFVNALQMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPSPQSAGNAGPQLVVLGGIWVPPEYANAAGAQTLYTTHPNATHSNQHYCTPQEYYPTSVLPPPQPLHHHHHHHHNNNSSNIHHQLHHHTHMYKPSSQTTQSLPESNGRGGTTTTGGNRSESIEDGKSESGGSWKAESGGETKALVLREECEESNGSEITLKF >cds-PLY71393.1 pep primary_assembly:Lsat_Salinas_v7:5:46699361:46703398:-1 gene:gene-LSAT_5X23020 transcript:rna-gnl|WGS:NBSK|LSAT_5X23020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYNQGNYQQFGQRLPAVPPPPPPLLQQSSSAYLIPQHSYSRIQPPPQPHPQGHNFYRLPPPPLLPPPPSLSYFTHAPFGSLGHLPPPPPPPSSPPPGPPPHPPSPPHESLSTDAELSPSSRKPSVADMNDDNLNSTAVDDSDTGVKDTSDLNITSDIPPPKPRDENTTRMIEVLCHYIAKNGNEFEDMTCQKEIDNPDFKFLFGGPPGSEAAISHAYYKWMKKKCSSSKLLDGDISHSPAVSDMDMEDDITQPEEHKPDFISNEVEEHACNSQQISHKDATDGHIFISESSGVNQSGELIEGTNPIRLIQGYASDDSSENDDGLHFENVSPVTLSPHSKEDTTTVLHASQTDIESKNSSDPKSGLLSAPLVEASLNTSSKIPEPSSTTEDLEKQDDSNAKLKVDEFGRLVKTGGVSDNSDDYNRRRGKRGRSRSQSPNVRRRRSPRRRREKRSRSRSWSPKKRSRSRSPYRQREREREETGTDWTRRNKSQRGARCRNFHESEKNDEFRRHKNKHQEVSDRSSDKVKEEFVDPSGQCEEVKSIDQPPEQPVTESVTPPVPVSSNYPLLPFPPPSTWNNLPLPPPPPRPQISGPLLQYHQIQTQPPLQLNYLFQPFFRPYVTEIPLEQPYVGQSRILTTHYNPYASTFDHPLTTNISQDTGTSYNQYDPLFDSIEPSSNLEEGNSNMKQKEAAADVENDEFGETGDAEVGAVENDSPSSPIDLPDVATGEVEIDQVKNPGKIKKNKDSRSMKLFKVSLAEFVKEVLKPSWRQGNMSKEAFKTIVKKTVNKVSGAMKKHQIPKSQPKINQYIDSSQRKLTKLVMGYVDKYVKV >cds-PLY62478.1 pep primary_assembly:Lsat_Salinas_v7:1:83041809:83044647:-1 gene:gene-LSAT_1X69541 transcript:rna-gnl|WGS:NBSK|LSAT_1X69541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEKKTSFVVLPFYMGCLSQITTCEKNSSQSRTKAMAESNQVATSMVVEGESSSSMVKLKRSWSYVAPRRSNITTVMDKLVKLTFRSFARVFAYKDIEDIKTETEMEIGFPTDVKHVTHIGYDGSMTTNPEKIWDHFQLQPLETHSFPSLSLKQLIEPTMANRAEGPTTL >cds-PLY83152.1 pep primary_assembly:Lsat_Salinas_v7:3:95618486:95619046:1 gene:gene-LSAT_3X71661 transcript:rna-gnl|WGS:NBSK|LSAT_3X71661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSMRSYGLIDADSRCLFMINAQPGEHLHLDQLIGVNLSPIQALKIEEGDEDLWCLLIDHEPAYSTFWMEVTMNRGSIATARFVDGSQEFDDEETMEIAEIVVEYDKYSRYLRKISELPVTIIGKNKADGSKEGEGEICVVCQEEYEAGLTIGTLKCGHVYHEKCIKKWLVQKNLCPICRSTALS >cds-PLY98769.1 pep primary_assembly:Lsat_Salinas_v7:7:22970250:22973071:1 gene:gene-LSAT_7X18360 transcript:rna-gnl|WGS:NBSK|LSAT_7X18360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:HSA32 [Source:Projected from Arabidopsis thaliana (AT4G21320) UniProtKB/TrEMBL;Acc:A0A178UV51] MATYRWKSFVEDQDRPEKPRRFGVTEIRGPNHNSLFSHNLLQDMLESVGQFVDGLKFTGGSESLMPKSFIKEATKLAHEHDIYVSTGDWAEYLLQKGPSSFKEYIEECKQLGFDTVELNMGSLELPEETLLRYIRLIKSEGLKAKPQFAVKFNKADIPPTRARAYGAYVVPTPRTSERVEDVDFLIRRAERCLEAGADMITIDAEDVCRYPDSVRADIIAKVIGRLGLEKAMFETSNPKTSEWFIKQYGPNVNLFVDHSQVLDLECIRGRNFGKNHKSVLDSSFFLC >cds-PLY96920.1 pep primary_assembly:Lsat_Salinas_v7:4:8858323:8859977:1 gene:gene-LSAT_4X7081 transcript:rna-gnl|WGS:NBSK|LSAT_4X7081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLLASFPPPPTPLANPPSHTDSKTNSTLLFARNIIIVIAVMFFICGLLHLLVRYLIKRKRSSNSHFQSSRFQESGVDDDGDGDAYERQLQQLFNLHDSGLDQAFIDALPVFSYKELMGLKEPFDCAVCLCEFTQQDNLRLLPLCSHAFHMQCIDTWLLSNSTCPLCRGTLFTPGFSVENPVFEFNDSREEDDDQGHFGHFKQSEGSIGNEKRVYPVRLGKFRATNVGKRDEKEVGETSNSNFDARRCYSMGSYEYVVGNSDLRVAFVPNRGSSSRRGSFGNKDKENSSIDGGNDGKKISNRSKGESFSVSKIWLWSKRDHHKGQISSGTNTHMVNSSVNVSLPFRNANNTHS >cds-PLY89016.1 pep primary_assembly:Lsat_Salinas_v7:3:73221168:73221644:1 gene:gene-LSAT_3X61681 transcript:rna-gnl|WGS:NBSK|LSAT_3X61681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMLSIKAQLSAEAVADCNPIQLSPCATAILSSTTPTETCCGKIKEQRPCLCNYIKNPRLQKFINTPNARKVADTCGTPFPAC >cds-PLY94955.1 pep primary_assembly:Lsat_Salinas_v7:4:109146579:109148006:-1 gene:gene-LSAT_4X71321 transcript:rna-gnl|WGS:NBSK|LSAT_4X71321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHYQPPFASTSSSISSTDLFKPPKLHLSSSVRCNISVASKLEPEPHPVFTSVKSFAPATVANLGPGFDFLGCAIDGIGDYVTLTVDPQVQPGRLSIAEINGVDKSSKRLSRNPLWNCAGIAAISVMKMLKIRSVGLSLSINTCLPLRGGLGSSAASAAAAAVAVNEIFGGKLQDSDLILAGLEAEAKLSGYHADNIAPAIMGGFVLIRSYDPLELISLKFPPEKNLFFVLVNPEFQAQTKKMRAVLPTEITMSDHVWNCSQAAALVAGVLQGDLVGFGKALSSDRIVEPRRAPLLPGMEDVKKAAMEAGAYGCTISGSGPTVVAVTDDEDRGREIGEKMVEAFVEKGKLKALAMVKKLDRVGARVISRISSQ >cds-PLY93659.1 pep primary_assembly:Lsat_Salinas_v7:2:201816274:201819084:1 gene:gene-LSAT_2X123060 transcript:rna-gnl|WGS:NBSK|LSAT_2X123060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLFFSFFFFLVSISSSSSFNDTHKCSPQQALDLLLFKQNLSPIKFSYDYTQCEYWLGSEYYPIMMNWNKNTDCCKWNGVTCNHFTGDVIGIDLSCGMLRGAIHPNSTLFRLPHLQTLNLAYNDLTDSQLPHDFSNSFTHLNISGCRLAGQISREILVLSRLVSLDVSYNYRLQMQPYVLMSLLRNSTHLRELLIPGVQIRCVLPTYLNISSSLRSLHLSYTGLKGKLSNNIFNLRYLEELELSGNYLIGPLPKVNTSTNIPLKCLDLSFNNFSGETLDSIVHLTSLNYLDLSSCGLVGSLPKPLVKFRHLKTFDLSLNMFSGGLPSDFFGCRSLKILSPESNQSDGEINQGSTTASCVQFINLTRLDLSWNNFTGVWDFDALLSNLPNLQSLDLSYSGLSVVSNNSFSYANPDFLSLGLASCKLNMFPESLQAMKILTFLDLSNNDIHGPIPDWAGEIGANRLYYLNLSHNSISGLPQFQWDVMEDLYLQSNQIQGAFPPSICNMRNLYDLDISNNSFSGVIPQCLGNINSSLEYLNVKSNQIEGPFPSSICNLRHLYFLDMSNNSFDGVIPQCLGNIIPSLSMVDIGNNHFHGSIPDVYKDCEQLEGLNLNGNQLQGKVPSSLSNCQSLIVLDLGNNRLNGKFPGWLGDLPKLQVLILKANKLHGVIETSSTNRSVFLSMRVLDLSHNRFVGHLPRKYLQNFSAMKNVVKNSTKPKYLSAYGKYYSVTTTVKGVEQEFPQILVDYVIVDLSNNKFEGEIPGVIGSLSSLIVLDLSHNRLIGQIPSVLGNLSQIESLDLSWNQLTGEIPQSFADLYFLGFLNLSENHLKGRIPEGTQLSTFEASFGGNPELCGLPLAKKCVHPLETQLEADEDMESGFTWRVVMMGYGCGTTLGLVMGYVMLSTGRPKWFNAIVDAGEHMIHTRKNTRRFVYIGK >cds-PLY85737.1 pep primary_assembly:Lsat_Salinas_v7:1:48271227:48271535:1 gene:gene-LSAT_1X45121 transcript:rna-gnl|WGS:NBSK|LSAT_1X45121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAQFFRFLKIVGVGFKARVESEGRLLFLKLGYSHEVELTVPHAVRVFCFKPNIVCCTGIDKQMVHEFVAVVRSCKPPEVYKGKGIMYVDEVKKKKQGKKSK >cds-PLY64457.1 pep primary_assembly:Lsat_Salinas_v7:3:16515539:16517813:1 gene:gene-LSAT_3X11681 transcript:rna-gnl|WGS:NBSK|LSAT_3X11681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRLRKNTKESENLGFDIANIDFRLENFKDLVDVIRSCNNGSSNDYWRQPDLYKASPDSSEYCTELSNIVGDVNMITSEEGINQARNKAIEASLADAISQGMEAKAAAKQALKDGAKAAKMATRKAKRIIVPIISFGWDFFEAIYSGGTMTEGFLKGTGTLFGTYFVGYLGEERYGRFGYLVGRELSRNTTYPSANFSHNQHSEPQSRNRQDGLSVATTGTVSAAGYAGYYSSSY >cds-PLY94296.1 pep primary_assembly:Lsat_Salinas_v7:7:164871930:164872142:-1 gene:gene-LSAT_7X96680 transcript:rna-gnl|WGS:NBSK|LSAT_7X96680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRPFFMHIHIHSQTQRCTIRSKSAVLLLALVAGKGQPRGENEWLRNRLVPSRLVELQFGLS >cds-PLY71509.1 pep primary_assembly:Lsat_Salinas_v7:2:122537927:122540324:-1 gene:gene-LSAT_2X56340 transcript:rna-gnl|WGS:NBSK|LSAT_2X56340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLRQETQPQKLPHFFILPFPTKKDVAYALILFISLIIFSIVFCNLLAPFYPHLLHPFSFSKTLPDTLLPRSTNTCDYSFGKWVWDETYPNQKYNEDCPFLDPGFRCQRNGRRDVGYVNWRWQPHGCDLPRFDARDFLERSRNGRIVFAGDSIGRNQWESLLCMLSHGVSNLSTIYEEHGNPITKHKGFLSIRFHDYNLTIEYYRVPFLVVIDDPPENSSEEILRAIRVDKLHRFSSKWAGADILVFSAGHWWNQDKTLKMGWYFQEEKTLNLTMDVMEAFAKSLQTLKSWAFKNSDRSYIFFRSYSPVHYRDGEWNTGGHCDRNKAPETDYMNPESEHLNNQIISNVVKEMETDEHKVQFLNITYLTTMRKDGHPSRYREPGTPLKAPQDCSHWCLPGVPDTWNELLYAQLLSSGFKTR >cds-PLY90122.1 pep primary_assembly:Lsat_Salinas_v7:7:13546768:13550621:1 gene:gene-LSAT_7X10760 transcript:rna-gnl|WGS:NBSK|LSAT_7X10760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQSNITTSNLNVPVSEVYWSLVAKADKKFSKIRDLPYYERNRYDTYFYKVFKVYTQLWKFQQDNRQKLVEAGLKRWEIGDIASRIGQLYFGQYMRTSVASYLSESYIFYEAILTREYFKDGLLQDVNLANKQLRFIARFLTVCLMLNKREMVYRLVSQLKVLVADCKRTFQDTDFIEWKLVIQEITKFLKVDTAFMNTRPLRYSLTLDVEPNSLPPVPNVIRNLKLRDAILCSYHPNEVKFSELTLDNFRMLQCLEWEPSGSFYQSSGSLTSGQHAVNQEISDPTLPPNPRKAVLCRPSITHFLAVLATVCEELPPDGVLLLYISAPDAACTSPPSDNTNSSSVKKIMNGSGDHSRGVNLGSKGNGGLNVIYPCDLIPFTRRPLFLVIDSEKSNAFKSLEREVNGEAVAMLLSPASSIGDPNGSRQFTTFLTAPLQAFLILIGFSGPDIQTDKLNEAERVLSLALNHWGDTLALNLAVSPDSLHPVWAQILNDPFLRRLLLRFIFCRAVLSLYTPTSNKKEYLPDCSPALPNSVSPTHGAYKEAVHKIADIFGVAPMFNLPEPLQPKPPDV >cds-PLY81637.1 pep primary_assembly:Lsat_Salinas_v7:8:219662785:219664794:1 gene:gene-LSAT_8X134640 transcript:rna-gnl|WGS:NBSK|LSAT_8X134640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKNDLVNDNYDHELMGGSYGGDGEDGGGSSNGDVKKKKGCQNSGGLNSVTRCCQAEKCTSDLAEAKQYHRRHRVCEFHAKAHAAIIAGIHQRFCQQCSRFHELSEFDEAKRSCRRRLAGHNERRRKNSMEFKGTGKELVCVQSDDRRFQMVIQENQTYNNNKHLQTR >cds-PLY76229.1 pep primary_assembly:Lsat_Salinas_v7:4:54727262:54730945:1 gene:gene-LSAT_4X37220 transcript:rna-gnl|WGS:NBSK|LSAT_4X37220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKDLKTPLLPSSDDQRRLSQPPSDADHQLHNPQLILDVIDHLDPSASSNHETPTNECSISINTQLDFDENPYGFIGSTGFEVPGSTTVDPFRNHTPKIEGVYELVKLLICAPIALARLVLFAFCLAIGYLATKFALSGWKDKQNPMPKWRCRVMWITRLCTRGILFSFGYHWIQRKGKPAPREIAPIIVSNHVSYIDPIFFFYELFPTIVASESHDSMPFVGTIIRAMQVIYVDRFSQQSRKNAVNEIKRKASSDRFPRLLLFPEGTTTNGRLLISFQHGAFIPGYPIQPVVVRYPHVHFDQSWGHISLATLMFRMFTQFHNFMEVEYLPIVSPSEHHKESAVRFAEKTGRAMARSLNVVQTSHSFLDYLLLSKAADSGHENPSLFVVEMAKIQQLFHLSSSDALDLLDRFLAMNPDSSGNVKIQDFLRVLRLKRCGLSEKMFEFIDVGRNGKIIFKEFLVASAHLLNQPLFQQACEMAFTESDINQDHYISEQEFGASLMPAMGSLSTDEIDGLFNLFDEDDDGRISKGDFVNCLRKNPLLIALFSPHFMNKDLDQNAAAGYLEEIV >cds-PLY73568.1 pep primary_assembly:Lsat_Salinas_v7:5:118960663:118964753:-1 gene:gene-LSAT_5X52860 transcript:rna-gnl|WGS:NBSK|LSAT_5X52860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSGSSDGEGLDASSVASRKIPPASSMLWVRNLRRFIGSGAGLGSEALMELETKRILLDIFKEKQQKSAEAGSIPSFYKKKPEDGSISSRVQRLAKFRFLKKQSDLLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDESGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLLPHEMEAYIRGLIPNLAQLRDMPGAFVQMYCRIAAPKFFFFCDPNRRGKACIKKESEEEVTDTEQAENWFSMTSAQRVCDMFLALDKDMNGTLSKQELREYADGTLTDIFIERAFDEHVRRGKTGRGNAREMDFESFLDFVLALENKDTPEGITYLFRCLDLNGRGFLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRITLSDLLSCKQGGTVCSMLIDVRGFWAHDNRENLLQEEEEPEEES >cds-PLY93803.1 pep primary_assembly:Lsat_Salinas_v7:6:145367243:145369906:-1 gene:gene-LSAT_6X87981 transcript:rna-gnl|WGS:NBSK|LSAT_6X87981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGATLPPGFRFHPTDEELIGYYLKRKIEGIEIELEVIPVIELYKFDPWELPDKSFLPKRDMEWFFFVPRDRKYPNGSRTNRATNAGYWKATGKDRKVFSRDRSSLVGYRKTLVFYRGRAPLGDRTDWVMHEYRLCDDVSGGAPSFQGPYALCRVTKKNESKISYVNSEPKTMEVGSSSNGVINEPMVLQTSTRIGDESNLSSPPTSYEKISMSENKPTSSIGLNNYESFWVSPDLILDSSKEKSKGQEGHFGNFTMNEIPHSMSPWLPNHQFEISPSSSYSNFTDEVELVDDLSRFAYMSPYSRNQSFTGIFGSEDQFPFEFYG >cds-PLY77294.1 pep primary_assembly:Lsat_Salinas_v7:3:213543870:213549240:-1 gene:gene-LSAT_3X125620 transcript:rna-gnl|WGS:NBSK|LSAT_3X125620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLETNDDDIILNVDPRTYDINFLFCNPLKGLKDFKKMFLKVQLNWNVVIPAESLDIKGLMLQKAILIRLLDDFSTKRATKDLGYLLAVTTLDKIGEGKVREHSGDVLFPVTFTCLSFKVFKGEIVEGVVHKILKHGVFMRCGPIENLYLSNQKMSDYEYQIGESPCFLNMKTGSRIEKDVGLRCVVIGVKYMEAMKEIQAVVGLEGDYLGPV >cds-PLY65129.1 pep primary_assembly:Lsat_Salinas_v7:1:158203013:158203982:-1 gene:gene-LSAT_1X109040 transcript:rna-gnl|WGS:NBSK|LSAT_1X109040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFNNKDAMMVVLLLLLVLLPMAQSIGPILSQIESSPTIPCRAEMTLKNLENLNPRIMKQVIPLIEQLPPLYMESANGRDNFSPKPEETRRLLKHYDFVVHINSYYGISRNLLIKFKDGTIDETSTLAHVLSSESAFCSVLDMSICSLPGDHGLPLHQALPNVPPGMVDAINRGGELFANLTAGTPWEAVAKEVGNSLGVDSPTLRENNSKVLDLLADTIIAWMITNTGAKLLKP >cds-PLY89896.1 pep primary_assembly:Lsat_Salinas_v7:8:68401445:68402643:-1 gene:gene-LSAT_8X49240 transcript:rna-gnl|WGS:NBSK|LSAT_8X49240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMTPVNTVNLCGVLSESQRILKSNYWHFFALALFFLPLSISIVFTPTVNPHLSGHFTTDLSHKLPTNYQNIIIVQLLYIIIVYLLALCAIGTISYSTYNVLIGKPVNYFAALKSLIFNFFPLVSTAIVAQILLFLISLAFLLSVGAIVMLGKSLGFVYDFNWTSFMWVSIALGAMLIGILIYFQMNWCLALTVVVAESKWGFAALRRSWCLVKGMRSVSLSLLLYYLIGNGLLAWVSSKPVYDHHNSVFITMLGSFFLMMCLLASTVANAVLYMHCKSFHGEFALEVAEGFDYINLPVDDEKVIHVVTVVEA >cds-PLY77034.1 pep primary_assembly:Lsat_Salinas_v7:8:151493919:151497643:1 gene:gene-LSAT_8X102200 transcript:rna-gnl|WGS:NBSK|LSAT_8X102200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPRNNQPTLIWKDIPGLEPLKHEENDLLRRRCGGSGGPVRSFGIDCFASVSMTEKNRVAATIEFTPKAPQLMKHPLAIVALVPKEAAVFAAGAVAGAAAKTVTAPLDRIKLIMQTHGLRAGQETAKKTIGFVEAVVSIGKQEGVKGYWKGNLAQVLRILPYSAVQLFAYESYKKLYRGKDGELSVIGRLAAGASAGMTSTFVTYPLDVLRLRMAVDPGYQTITNVVVRMLKEEGIGSFYRGLVPSLIGIAPYVAVNFCVFDLVKKSLPEKFRNKTEASLVTAFVAATIATVTCYPLDTIRRQMQMRGTPYKTVLEAFPGIIARDGVAGLYRGFVPNALKTLPNSSIRLTTFDALKRLISASEKEYQRILEENSNEQKQGSCSSTS >cds-PLY90157.1 pep primary_assembly:Lsat_Salinas_v7:7:14674068:14676465:1 gene:gene-LSAT_7X12521 transcript:rna-gnl|WGS:NBSK|LSAT_7X12521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSKLGKASKRLDMVDMISDLPDSILHSILSRLPTTEEVIRSSILSTRWRYLWTSIPSIDIDYSRGLMPFKEFRNNKFEEFECSVLANKSIDLDSFRLCCGNYFSMSKVRRWINAAITRNVKLLDLMFHPTEESKITEVPHCLVNCSSLEVLRLCLLGGLFMLRNYSGFLTIRVLELKTCILIDDDLVKDFLKSSCPLLENLSLVNCMTSTITVFCISCPKLKNLRIDNQKVLDCKELGDDIDMCDNLEIFCPKLVFLELSGHVAYNFLFENLVSLKKAEIHPEFKLQRELPSESMDTIVCALFYEISNVELLSTSLFYDHKCINLEDLPKSLPNLKTLEITTIADAFNMDVLIQILTRSPNLESLHLIIEKDPPCSSSLTEASSLDFWEMECPFDYIFERLKMVKMTCISGVPHEMGFIKFLLGSSPILETMSISPSGNITDGRSTFSIELPRLRRASPKAEIIFVQK >cds-PLY88358.1 pep primary_assembly:Lsat_Salinas_v7:9:18147813:18153636:-1 gene:gene-LSAT_9X15821 transcript:rna-gnl|WGS:NBSK|LSAT_9X15821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEVLMVGVRSPELSPEEERQMMRDIAMAGEAQTKEGDTFCLITQRRSSTDIGSKILVEFCVSGSEGMAEISTANGNLTDLSPEEEKQVISGFARKGEAEAKEGDTFFLLSLRWWRIWSAFVNQSPTITTNDGSSMEYQGSGRRPPSIDNSDLICESSSEDSTPEIYDTLVEGTDYILVPEEIWNQLYAWYGGGPALARKVITRGSSQTELTVEVYPLRLQLHFVPRVDHYPLKMSRKETIGALHKKACQIFHLIPYQILVEVIGHNGRCKTVVQGNGCAYIDNGVSKSKTCKSNLCLTNKAGTIKGGSCVGLIGLLNLGNTCFMNTAIQCLVHTPQFARYFLGDFHQEINRENPIGMQGELALAFGELVRKLWAAGRAVFAPRVFKAKLARFAPQFAGNNQHDSQGQYRSTLICPTCEKMSVTFDPFMYLSLPIHSESAVSIYSCIEGFLGEEPLVPEDMWFCPRCKERRPATKKLDLWRLPEVLVIHLKRFSYSRTMKIKLETYVDFPLHDFDLSTYVANNKNSHGSPQVYQLYALANHYGNMGAGHYTAHVKLINQNRWYRFDDSHVSGIIEDDVKSNAAYVLFYRRMKTDTNGTHSFALHK >cds-PLY77268.1 pep primary_assembly:Lsat_Salinas_v7:4:164127885:164131782:1 gene:gene-LSAT_4X97461 transcript:rna-gnl|WGS:NBSK|LSAT_4X97461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASYRPFPPPPPQSKFGPPIPPPPNQTSLPPPQLAPVPQPRGNMFSQNWSYPASSFQPGVYAALPRPPVPNQQQQYSSYPPPPPLESAYPPPLPPLSQPARPGQMYFPSSQNPLLNNQPPPPPPSSPPTSSIPPPPPPPPSQPPSPPPPPSSIPSTNQTKEHRQGDHKGSSKRDSHHKLPSREHAKPSALPPMPARTSKAETEEERRIRKKREMEKQRQEEKHKQQLKESQDKVLQKTRILSSSSIKPHGSISGSHIGERKATPFLSGERAENRLKKPTTFLCKLKFRDELPDSTAQPKLLSLRRDKDRASKYGITSLEKMHKPQLYVEPDLGIPLDLLDLSVYNAPKNEILHVAPEDEKLLQEDVSVTPIKKDGLKRKDRPTDKGMSWLVKTQYISPLTTDATRQSLTEKQAKERETRGRNMLDSLNSRERKIQDILSSFEACKSHPVHATNKKLQPVEILPLFPDFERWDDKFVVATFDGAPTADSESYNKMDKSVRDAYESQAIMKSFVANTSGSAKPDKFLAYMVPSVGELSKDIYDENEDISYTWVREYHWDVRGEDADDPTNYLVAFDESEARYMPLPTKLMLRKKRAKEGKTSDEVEHFPVPSTVTIRQRSTVSIDMKESESYVGSKGRSLSSSRHERRMEMEDDDDDNGDMDQSSGAEYDNMSD >cds-PLY80794.1 pep primary_assembly:Lsat_Salinas_v7:1:70708458:70709186:1 gene:gene-LSAT_1X59200 transcript:rna-gnl|WGS:NBSK|LSAT_1X59200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNWSWTSALIGAATATATAALMSAKPKDPTFHLVSINITSFKLSLPLVDAEVVLTVHVTNPNAVPIHYSSTEMSIFYAGSLLGTAKVSAGSQPPKSCQLLHLPARLNSMELAHHPVQVLADLRRREMVLDATVDIEGVARVGWWGHRFKVHVDSHVTVDPVYLDVVDQENKSDLDLFVA >cds-PLY97362.1 pep primary_assembly:Lsat_Salinas_v7:3:244234550:244238110:1 gene:gene-LSAT_3X135221 transcript:rna-gnl|WGS:NBSK|LSAT_3X135221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCLSEYVKGPVYLSDCCKKKKQGGNSVTTVLLHGSTDSILDDLIRAVDDGVNTYKDSRIVHGAAATEIELARKLKELSFSETGLDQYVIGKFTESFEMIPKTLAENVKVGIDLEEGACTDVSTLKEIISLFFVLCLQGR >cds-PLY81065.1 pep primary_assembly:Lsat_Salinas_v7:6:131760233:131762305:-1 gene:gene-LSAT_6X80420 transcript:rna-gnl|WGS:NBSK|LSAT_6X80420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDVSRSVGGESCEEWLEREDSVVYSRDFCKEPVLISGHEEIKREISIMKIVRHPNIVRLHELNDAVAHCHSKGVYHKDLKPENLFLDSKERLKVSNFGLSALLQEGVELLYTPGGTPNYITPKVLRKRGYDGGAADIWSCGVIMYVLLTGYLPFEESDLPTLYKKVSAAEFSYPFWFPSGAKSLLDKIMDPNPQTVSIYNNIVLSNLMSP >cds-PLY93644.1 pep primary_assembly:Lsat_Salinas_v7:1:187265832:187267898:-1 gene:gene-LSAT_1X119801 transcript:rna-gnl|WGS:NBSK|LSAT_1X119801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQTAHYSSTHAGSNDADSNGSHRPVITRKGYKKILKEGVTQMFERFRESYNKALSQKYGDDLTQQNVNDPELWTQTQLPKKGGKQKGPIYGTVYSDLHFLMTGGYSYESTSASADFAMSQQEVNELRQQVSNMQHAMDEKQSEMNLQMQQMQNEMELQVERQFVAFMKQINPFGNPSSSS >cds-PLY92404.1 pep primary_assembly:Lsat_Salinas_v7:2:155643106:155649585:-1 gene:gene-LSAT_2X80580 transcript:rna-gnl|WGS:NBSK|LSAT_2X80580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHNTSKSQPLAVSFHLETSPSSRSAIADTSLVPFLAFDKQGTKDRTPQNRIWCSISPLLAMTLPFLLLPCVHTCLSIARSYIEHGNDIKSLNFILDCESRKHLVGDGGSGRWWQRTVVVENGGGDGWWSNNGSGEDVLEFMTCSSSLTMVLALRYVTMIMGLGVTPYNRSPRRCFRVMAQLWCATSDNGIRALPTSITFKKKHNSMLQYHRESHTDLYEHGGFGQKSGRGSAASLNAKHESDSHKVMMQNHNQIHAGLHQTLVEGPSAASSSDIKDNAKEKKKSDLKFDVSGWATLAVGLVAWTVTVPALSR >cds-PLY79502.1 pep primary_assembly:Lsat_Salinas_v7:1:33361353:33364578:1 gene:gene-LSAT_1X29301 transcript:rna-gnl|WGS:NBSK|LSAT_1X29301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKAESSVVSDLIDFLNASPTAFHAVDEAKRRVKVAGYEQIFEKGDWNLQPGKKYFFTRNYSTIVAFAIGKKYIAGNGFHIVGAHTDSPCLKLKPVSKVSKGGYLEVGVQTYGGGLWHTWFDRDLTIAGRMIIKEGKGDSASYSHKLVRIEEPIMRIPTLAIHLDRGVNDGFKVNAQSHLAPVLATAAKAELNKTAAENGPDGKPNESLNSSSIQKHHSLLLQILADKAGCKPDEICDFELQACDTQPSIVAGAMKEFVFSGRLDNLCMSFCSLKALIDATVSEKSIEDESGVRMVALFDHEEVGSSSAQGAGSPVMFDALSRITTFFSSDSQLLEKAIQKSFLVSADMAHALHPNYMDKHEENHQPKLHGGLVIKHNANQRYATNAVTAFIFREIAANKNLPVQDFVVRNDMPCGSTIGPILASGTGIRTVDIGAPQLSMHSIREMCAVDDVNHSYHHLKAFFEDFTALDAKIAVDI >cds-PLY72567.1 pep primary_assembly:Lsat_Salinas_v7:3:198988215:198992777:-1 gene:gene-LSAT_3X120840 transcript:rna-gnl|WGS:NBSK|LSAT_3X120840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVSRTGVASQKTTGKAGAYTYNIHFWLGKDANQDEAGAAAVKVVELDSVLSNRAVEYRELQGHESNRFLSYFKPCLIPPEGGFGSEVKTPEEEEEKEKKKKKKMEKKKKKKKSLNHGCTHVPFTRSTLNHDEMFILDTKDKIFMFNGANTNVQERFKALDVVKNMKEIYHEGNCNVAIVDEGKLQSEGPSAEFWALFGGFAPIGGKKVSSDDDIIPEKTPPKLYCIVKGQFQEIEDELSKSSLHNDRCYLLYCGTDLFIWVGRTTSLPDKKSVMHAAEEFILKENLPKSTPVTRIVQGHETSSFKSNFASWSVASAASAPEETRGKVAALLKQQGAFAKGQTKTAPVEEEVPPLLGENGKIEVWRIDGEEKTELPKEDIGKFYSGDCYICLYSYHSDEKKEDHYLCCWIGKDSIQEDQKTVVQQTTSMFNSMKCKPVQSGVPVKFSREGKESLAFWLALGGKQSYSSSKVTQEIIREPHLFEVISNKGXDLTMCIHNLIQVATSLNSYSCFILQSSTMVSTWYGNQSTPEQQQLAAKVVELLKSGVPVNFSREGKESLAFWLALGGKQSYSSSKVTQEIIREPHLFEVISNKGKLEIEEVHSFEQDDLFPEDVLILDTHAEVIVWVGHLAQSTEKQNAFETGEKYVELAASMDSLSPSVILYRVTEGNEPCFFTTYFSWDPAKTTSQDVKPPGNKLSGATQRASAMAALTSAFSKSTTAPKTPQHGPPPRMLARGSQRAATIAALYFIYS >cds-PLY83399.1 pep primary_assembly:Lsat_Salinas_v7:8:1043194:1043511:1 gene:gene-LSAT_8X960 transcript:rna-gnl|WGS:NBSK|LSAT_8X960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFLPDNAVVVQVVPLGGIEGFVKTDFGDPSKEMKLRHLKYSIGVKESSLARQYAADDVVLRDSMVIHRQGWDAIRTTYLVQQNVKLDVQMFRSTLLKALELLQN >cds-PLY99476.1 pep primary_assembly:Lsat_Salinas_v7:5:332856306:332865078:-1 gene:gene-LSAT_5X185981 transcript:rna-gnl|WGS:NBSK|LSAT_5X185981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLSKTDSKRMYSWWWNSHISPKNSKWLQENLTDVDIKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEMYRAYRALAERYVHATGALRQAHKTMTEAFPNQIPSMGDDPSANSPYDGDPQTPDTRTTFDSNDFLKDEDSDGSVGNVRRGLKFNDSEDGSNKNRSRDRGFSVIENVGMTDKEILLLKEALAKLQEEKEAGLKQYQESLERLSKLESEISHAQEASSELVNRASKAEAEAQSLKDALQRLKAETGENLELYHRGLEKLANLERMIIEQAKEAEIVVGNLKEEIARLKEEKDAAYDQYKQSLEMQSELEKKLTESEKEAMKFHERAEKAEAEVENLKLAIAELTKEKEEQAQLYQQCLETISSLELRLACAQEEAERLNSEIDNGVLKLKGAEEHNVMLERSNESLHSELESVILKMNTQTQELTEKQKELGRLWTCIQEERLRFVEAETAFQTLQQLHSQSQEELRSLATELHNKAQILRDIETRNQVLEKEIQKQIEENKNLTEVNMSSIVSMNDMQSQIGSLKESNGKLVEEVDLRVDQRNALQQEIYCLKEELNELNKNHQAIVNQVADVGLNPESLGASVKELQGENLNLKEQWETEKSEKEALLVKLEIMNQLLERNGVLENSLSDLGEELEGVRSRLKALEDSYQSLSEEKSTLSVEKANLLGQLQVTSDNLSIVSEKNTVLENSLFDAHVKLEVLKQKSKSFEDSCQLLTDEKSVLISEKSILVSQMEITEKNLKDLEMKYTDLQDKYSSMEKERDSTLHKVEELHASLALQNQEHVSFSQQNEKQLSTLRTQIQILEEENRNRSKEFEEELDKAFGSEIEIFVLLRCVQDLEEQNVSLLNDCHKLQEATMLSEGLVHALKQEKIESQVKIESLSNQNNRLKMGMNQLLKVAGVSLNSGFETDQHERSFDSIQTKFEGTNRSLTENQDKNTELVVEISILVTLLKQLKTAVADLEAEKGGVQHELSVGMAKILELETEARKLSEMNDKLRLKVSEGDNTEKMLKSQLEKLHGEMLVVQEAYRSLQMDNSVVLEENKSLLKDKIHMNNKIRVLEEENDVFFGDVLSQSILSHTLKTCLDEKHEEVNGLGCDLQKLLVVNSTIADKMSVIEKKLKDARTENLRLKENLEKSEMELETANSDRVRLESELVTGISLLRLKEKEHEDAVQKLMILESEKTGLSEILSGLQRENEEVKMTRDEQGKQIVKLLEDNDDLKDSLDEKHEEINRLGEDLQKLLVVNNTLADKMSIVEKKLEEVEIENLHVKQDLEKSEHELETIKSERDRLDSELANGINLLHLKKKEHEEAVQKLVVFENEKTGLSEMLTSLQREHNEVHMTRDEQGKQIIQLLEDNDDLKKSLDEKHDEVNRLGENLQKLLLVNNTLANEIGVVEKKMEDVRNENLQLKQNLEKSEQELETLTRVRDELDSELVNGNNRLHAKEKEHEEAVEKLVILENEKMVLTEMLTGLQRENREVKMARDEQEKQIVKLLEDTNDLSKERMLLREATQLLEVKLHELTEEHEKAKQKEETTSSELEKEKDKNQMLETQASEVYGDLQTSGLYQVLLEEKIRELTQVCLSLQEETNSKDVNSKLLKEKTETLETENEDLKARLAVYLPALVSLRDSILSLESHTCIRTKVPESENEEHKGAESSSMEEDPSVELQDLESKVRSIETAVLEMQMLAVQDRLDSEAKLESAMRQIEELSYQKSSRKSNSKPKSTSEISEVEIGILPKDIMLDQASECSSYGMSKRGAIEGEAWESADKNGKFRHHPTASEVSLETLESESNVVMEMEKPEVSKRFRGDDGNKRKVLERLNSDVQKLTNLQITIEDLKRKVEITGNSRRGKAMIECETLKGQLMEAESAIQKLYELNGKLVKHIEGHSNSSTTPESVENESLRRKKVSEQARRVSEKIGRLQLEVQKIQFVLLKLDDENEAQGKSRFMDTKKRVLLKDYLYGGGRTKATVSSSGRRKKSNFCACVEPSTKGD >cds-PLY73845.1 pep primary_assembly:Lsat_Salinas_v7:7:69827332:69829272:1 gene:gene-LSAT_7X50260 transcript:rna-gnl|WGS:NBSK|LSAT_7X50260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVATTKPPQPSLSLPWKTRIHISLFSAVTEACSRKNGTVNRGLLKYLLDFRTPPTSKSVNGVASHDVVVDETRNLWFRVYVPTQHAGEDLPVIVFFHGGGFAFLSPDVEMYDVVCRRFARKVPAIVVSVNYRLSPEHRYPAQHDDCFDVLTFLDDEENRSKCLPENANMLRCFIAGDSAGGNLAHHVAQRACEFNFRRLKLVLIYHTKSSLISCQMSMEATTKPPQPSLSLPWKTRIHLSFFSIVTDACCRKNCTVNRRLLNLLDFRIPPTSEPVNGVASHDVVVDETRNLWFRVYVPTQHAGEDLPVIVFFHGGGFAFLSPDVMPYDAVCHRFARTVPAIVVSVNYRLTPEHRYPAQHDDCFDVLKFLDDEENRSKSLPENANMLRCFVAGDSAGGNLAHHVAQRACEFNFRRLKVIGVVAIQPFFGGEEGTDSETRLDGTPIISKKRTDWFWKAFFPEGEGFNRDHPISNVSGPNAVDISKLDFPATMVVVAGFDALYDWQKRYYEWLKKSGKEVYLFEYPNMCHAFYLYPELPESGQVLAQVKDFVHTVWSNVATL >cds-PLY86333.1 pep primary_assembly:Lsat_Salinas_v7:8:27212878:27213371:-1 gene:gene-LSAT_8X17501 transcript:rna-gnl|WGS:NBSK|LSAT_8X17501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAVANATNTNTYLSSNLLVKNTNDNLKKALKQCADKYSAAGMALQNSVQDLTSEFYDYAYMHVMAAADYANACHNGFKRYPRLVYPPEIAAREEGLKRICDVVMGIIDALAN >cds-PLY73858.1 pep primary_assembly:Lsat_Salinas_v7:4:205938177:205939802:-1 gene:gene-LSAT_4X116921 transcript:rna-gnl|WGS:NBSK|LSAT_4X116921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGMNQKARRRSPRLEDVPELMHGIQSRLLLREAACTSVLSKSWLHAWSTIPNLRFHIQEEKKHKMKLVDMERTLIRYFDDNIPFQRFELDIYIKNQEAASLTEKWIRSVATKTCLKELSLTICPSGASLDLPAEILSGKNLTKIRVSAAYSAVWMTTNRHPECVSLRELHLSCVRISEELLHAIFSSCSLLKKIELIVCSKGLKTIKVKNLPCLSHLQIVTSDRGSTAFEINHVQNLRFFGCNVRIMNRVDKRRGPLINSHSISLGSSVTDLTLGGGMIRDNASLDMINKLGLPFLKSLTLDMACWALGSFHFKSTSINRFSMLGCSSTLLDVVHVTAPKLLFFSLTGKIIPSLLFPYSNLEQIEFRMGLHMDDLDAYFFLKMREAFMLSRKCKVIITTFNYNVPLPLEINIDDLRTRLLLPPAINVQQVLFKTIGDECTWERSQFFDAFFEICHPDHISIWPDSMLKHNNHFCKFMLREVLERNKNKTAATSYWPSYLKNVQIKQPHNREWETLTDSHTSFLEGPTPEHLPVEFRLTWC >cds-PLY79603.1 pep primary_assembly:Lsat_Salinas_v7:2:166608835:166610517:-1 gene:gene-LSAT_2X90181 transcript:rna-gnl|WGS:NBSK|LSAT_2X90181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRVMIVSRMLSWVCLNIVLTLCLTLKVVSEANPTTLVGIVYCDTCFNRDFSHSSHFISGASVVVECGGNGVGASGMESQFREEVKTNWKGEFTVKLPYAVTDKIRECSVKLIRSNQPDCPVVATSTESSITLKAKTPGNRIFSAGIFTFRPRKQPRECQTETRNKNSDDGIRTGTPFPSPIGAETTMDYNDLADTNAFGLPLPYQFQQPSPVPVFPFQPPSAIPMFPFQPPPDSMFVPDPQPPPDTMFTPDFQPPPDSMFDPVPLQPPPDSMYDQFQPSPSTFTQFFTPPPPPPPSFFPSLPFPPIQQQPNLIQSPPPPSLPPPALLPPFPPFPFQPSQGLPGTPPGMSSVHLEKTSSP >cds-PLY96381.1 pep primary_assembly:Lsat_Salinas_v7:2:54026495:54028992:1 gene:gene-LSAT_2X22600 transcript:rna-gnl|WGS:NBSK|LSAT_2X22600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKTNRVKYPEGWELIEPTLRELQGKMREAENDPHDGKRKCETLWPIFKIAHQKSRYIFDLYHRRREISKELFEFCLDQGYADRNIIAKWKKPGYERLCCLRCIQPRDHNFQTTCVCRVPKHLREEKVIECVHCGCKGCASGD >cds-PLY69079.1 pep primary_assembly:Lsat_Salinas_v7:5:276767482:276767908:-1 gene:gene-LSAT_5X144860 transcript:rna-gnl|WGS:NBSK|LSAT_5X144860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVTPVRLCLTGDLEDLDVAKSALGTLERDNQMFESQIRKFIELKKQVYLFDRAAAAKSQPESSKQGEDNGKKE >cds-PLY67893.1 pep primary_assembly:Lsat_Salinas_v7:1:55656177:55660470:1 gene:gene-LSAT_1X49821 transcript:rna-gnl|WGS:NBSK|LSAT_1X49821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEANGIIHQDPPPQPPPLPQPRFDLPCQDLLADWQTAKQTIERHGYLIRYSINENCETPLHVAASAQSNTDSIEFVRYLVGKVSEADLQLQNRNGNTALSLAAISGNVEIAKIMVGSNSNLPTIPNGEQMMPLYIAVLFGNTEMVDYLYQESLQMNGPGWTPKNRSWVFLKSIEMDFFDFALKILEHHPLLAQSGEALCALARKPSAFDEIKPHYVRSIVNSTFHLKVEPVDNKAVELLIKIWKSIEEKPKTEIDRILRGPIVLVDGKQTYPSRVLFVAAETGNTRFLVELIRRYPDLIWKQNENNQSIFHVAVSHRHEGIYNLLYEIGSMKALIIPVKDLEGNNMLHLVGNNTQKTRLRHVSGAAFQLQHKLLWFKEVESMVPPLYKEKKNKSGLTPYELFTENHKPLVTEGEKWMKGTASKCMVAAALIATVVFAVAYTIPGGYDQKTGFPMFLHNVPFIVFVILDAISLILSSTSILVFLSILTSRYAQEDFLESLPKKLLVGLSMLFLSIVTMMFSFSVSFFVIYRHKFIVIAIFISVVALIPIVSYAQLQYPLVKDVFSSTYGSRYLFKPKKPVLYYQNPRV >cds-PLY92037.1 pep primary_assembly:Lsat_Salinas_v7:5:324292912:324294072:1 gene:gene-LSAT_5X180581 transcript:rna-gnl|WGS:NBSK|LSAT_5X180581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEESKRTVKLFCPSISKAVQVVAHDEQRIDLGSIARTFGLEPATLMLNGHFISRGVDLIASSVTWKSLISFFSSRGLSTGTTGSGALVVDGKLSKSGSKRGNDSVNEIPSAMNCGYGSNSSKKTKLKDMDVDKGSGNPFLSNPFRFKRKQEDAHYSQKRFKVDNSGSHMRELTYFENLKTRLPCSFLGVIVNVKRRREEDIVLSASNKKIR >cds-PLY96598.1 pep primary_assembly:Lsat_Salinas_v7:7:45597690:45597971:1 gene:gene-LSAT_7X34081 transcript:rna-gnl|WGS:NBSK|LSAT_7X34081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEARGPSPLVPFLMLNVLAMIIFSPMVENLVVIPILLMFVIYLLSSFFSYHASSLRSYQYGDHGVGSHENEGLGAFGAFLMVAICVVLCRVIS >cds-PLY90185.1 pep primary_assembly:Lsat_Salinas_v7:7:12806315:12810533:-1 gene:gene-LSAT_7X11161 transcript:rna-gnl|WGS:NBSK|LSAT_7X11161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGFSFSTSLSTSSSSSSPSPFSFASSSSPSPFSFSNPASSPSLFGSSTSSNPTFGAPSSSTPSFGFSFASSSSSTPSSAAPSASAPSPTFGFGASSAAATGSTLFGTSSPAGASPFGGSGLFGSSSSSSGTTTTTTSLFGSTSTFGSGSIFGASSASASSTTTPTAVSSPFSTGLFGSSSTSGTSTPIFSSASSGAASSSSAPSLPNLFGSASSSAAPSFSNFGASSSSSMSSSITTPPASSAAPGFSFLNASSSSSVTSSAASAPGFSFPSATASAPSFSFASSSAAPSTTTLSAGSSSSPTSSFSFANVTASSAAQSSTGLALNTKTASTTVATTTTSSASTSASSTLSFPSFVTSSSSATPATGSVPSFASPAIGASSSGTSSSFLGFQTSSKTTAATTPSSQPQSTAASSLFGIPAATTTTSTITTTTAQTSSSLVVASSSGTSTSVSTAVVPAPKLPSEITGKTVEEIIKEWNVELQERTGKFRKQANAIADWDRRILHNRDVLLKLEAEVAKVVETQSNLERQLELIETHQQEVDKSLQSVEEEAERIYKDEHGLLLDDEAASTRDAMYEQAEFIEREMEQMTEQIKSVIQTLNANQAGEVEASDGMGMGMAPLDVVVRILNNQLSSLMWIDEKAEEFSSRIQKLAKQGSAADRELMAPKFWLSR >cds-PLY95135.1 pep primary_assembly:Lsat_Salinas_v7:1:92102024:92103688:-1 gene:gene-LSAT_1X76340 transcript:rna-gnl|WGS:NBSK|LSAT_1X76340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRNQQVILKDYVNGLPKESDMLLKTSSTILLKLPKGSNGVLVKNLYLSCDPYLCCLMSKSQVSHLESFTPGSPIAGLGVAKVVDSGHSNFKKGDLVWGWTGWEEYTIITAPDALFKIQHTDVPLSYYIGILGVHGMTAYYGFHEICAPKKGEYVFVSAASGAVGQLVGQFAKLTGCYVVGTAGTNKKVELLKNKFGFDEAFNYKDEQDIDEALKRYFPEGIDIYFENVGGKMLDAVLLNMKTNGRISVCGMISQYNLNEEEGVRNLSHIIMKRLHMKGFIVGDHFNLYPKYMEMVIPLIKEEKICYIEDIGEGLESAPAALLGLFSGKNVGKQVVVVAHE >cds-PLY87851.1 pep primary_assembly:Lsat_Salinas_v7:3:44027754:44028005:1 gene:gene-LSAT_0X8820 transcript:rna-gnl|WGS:NBSK|LSAT_0X8820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNWIFDFVLNRQHGFPSRFGTLWNDKIAFEEKVLVTTVATWAREFLKIFMNDVVV >cds-PLY92194.1 pep primary_assembly:Lsat_Salinas_v7:6:75180742:75186867:1 gene:gene-LSAT_6X52680 transcript:rna-gnl|WGS:NBSK|LSAT_6X52680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPQVQQAQLAAILGADPAPFETLISHLMSSSNEQRSQAELIFNLCKQTDPNSLFLKLGHLLQLSPHMEARAMSAILLRKQLTQDDSLVWNRLSPTTQVSLKSILLACVQQEEAKTIMKKLCDTISELASSILPDNGWPELLPFMFQCVSSDSPKLQESAFLIFAQLSQYIGETLIPHIKHLHGVFLQCLTTSGSSDVRIAALSAVINFIQCLSSSGDRDRFQDLLPAMMTTLTEALNGGQEATAQEALELLIELAGTEPRFLRRQLVEVVGSMLQIAEAETLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFISRLFCILLQMLLDVEDEPAWHTAENEDEDAGESSNYSVGQECLDRLAIALGGNTIVPVASEQLPAYLAAPEWQKHHAALIALAQIAEGCSKVMIKNLEQVVSMVLNSFQDPHPRVRWAAINAIGQLATDLGPDLQVQYHQRVLPALANAMDDFNNPRVQAHAASAVLNFSENCTPDILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKAILVNANDKANRMLRAKAMECISLVGMAVGKEKFRDDAKQVMEVLMSLQGSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLLSAQLKPDVIITSAASDNELDESDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFYPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAIEKGLAQGRNESYIKQLSDYIVPALVEALHKEPDTEICASMLDALNECIQVSGTLLDENQVRSIVDEIKQVITASSSRKTERAERAKAEDFDAEEGELLKEENEQEEEVFDQIGEILGTLIKTFKASFLPFFDEMSSYLMPMWGKDKTAEERRIAICIFDDVAEQCREAALKYYDTYLPFLLEACNDESPDVRQAAVYGLGVCAEYGGSVIKPLVGEALSRLNVVIRHPNAVHPENVMAYDNAVSALGKICHFHRDSIDSAQVIPAWLSCLPIKGDLIEAKVVHELLCSMVERSDAVLLGPNNQYLPKIVSVFAEIICGGKDLATEQTINRIVNLLRQLQQTLPPATFASTWSSLQPQQQLALQSVLTS >cds-PLY68199.1 pep primary_assembly:Lsat_Salinas_v7:8:118785666:118786124:-1 gene:gene-LSAT_8X82381 transcript:rna-gnl|WGS:NBSK|LSAT_8X82381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEVLVQTTKSRRIRMLERKTKKRSVGKRKTKHLEASWNGDPCVPHHPWSGIG >cds-PLY65815.1 pep primary_assembly:Lsat_Salinas_v7:4:360917935:360918936:-1 gene:gene-LSAT_4X179261 transcript:rna-gnl|WGS:NBSK|LSAT_4X179261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRSDILTLKADEVTSEILNADITELKLAVETLFDHVSKLGGLGFGTSLLSWVASLAAMYLLILDRTNWRSNMLTSLLVPYIYFSLPPSLFHFLRGQFGLWVAFIAVVLRLFFPRHFPDWLEMPGSLILLLIVAPGLFAHAFRGSWVGVAICLLIGCYLLQEHIRASGGFRNSFMQSHGISNTIGILLLLIYPMWRLIIRFV >cds-PLY84039.1 pep primary_assembly:Lsat_Salinas_v7:6:187307313:187309652:1 gene:gene-LSAT_6X114620 transcript:rna-gnl|WGS:NBSK|LSAT_6X114620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIRSLILLVFLTIVNLPFNHGQTESSEPLDVRAAYWPTFIDYPASNIDTSHFSHIYFAFVLPSPTTYVLNITPDHVTNLLEFTNAKSDWNPPAKTILSVGGGGGDDLRATFSRMASNQYSRASFINSTIEVARKYQFDGIDLDWEYPSSDSDMANLGLLLNEWREAIEQEANVNQKQRLILTSAVYYASRVYSDNGPLLYPIKAITQSVDWISPMCYDYHGSWENFTGLHSALYDPDSDLNTDFGIGSWIKAGVAPEKIVMGLPLYGKTWSLADPKVNNVGAKTIGTGPGSGELRYSEVIDFNKKNSAMVVFDDKRVSYYSYSGNSWMSYDDVRSIQSKVRYAKNQALSGYFLWALGQDLDWALSSAASETWSDRRLEKEDSDAKSTLALLEAIPSLVTMLDSDDLDSQIATLYILLNLGIDNNS >cds-PLY62671.1 pep primary_assembly:Lsat_Salinas_v7:7:194181631:194184191:1 gene:gene-LSAT_7X113921 transcript:rna-gnl|WGS:NBSK|LSAT_7X113921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDQDACNSSTNTNTGGGGGARYKLMSPAKLPISRSSCITIPPGLSPTSFLESPVLLTNVKAEPSPTTGSFFKSQPVQRLSSSAAFSLNGNYSGSKSLDDSNCSFFEFKPQTGSSSLGLEVSAGYNIQRSSVPNKDRSQYQLYAAPNEEETLANGVEGEGHKVAGDDHDGYNWRKYGQKVVKGSEFPRSYYKCTHPNCEVKKIFERSCYTGKITEIVYKGTHDHPKPQPTRRLNPSSILIQEEDHHNATDKVSSMAITGQPQPAKANNNNNNNNNSDTSGTQVDENNTRDEDDEDDDPYPKRRRMDYDVTPVVKPIREPRVVVQTISEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTSGGCQVRKHVERASHDPKAVITTYEGKHDHDVPIARNGSHDVFGNLRNTRAEEDVGIGVSLDLVVNSTDYKPQSACYAYGGGGVYRSRENEVEPHPHHNNYDSSNSYPQNIGRILLGP >cds-PLY78183.1 pep primary_assembly:Lsat_Salinas_v7:8:177623632:177624196:1 gene:gene-LSAT_8X115441 transcript:rna-gnl|WGS:NBSK|LSAT_8X115441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGNENVDANYRMPNPVGQEFGSPRKSNRVNEDDDVDNQPKFDCRKQKQIVKEKKKKKKVVASDIVDAENHMPEPPIAKPSRPARLLKLSQYLTFSYVSVSSKCPPISYMWGNTQRTTTPRICQRSLDTFIEAVCDAGL >cds-PLY75359.1 pep primary_assembly:Lsat_Salinas_v7:6:180384743:180387328:-1 gene:gene-LSAT_6X110601 transcript:rna-gnl|WGS:NBSK|LSAT_6X110601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIKFMDNLLQNGFEIDVVDKKEAVGQQEEEMQFWSPKCNLSVNYLDILLVVYELPVYLARFGVKMAAIISQGVYELTPLDADDAF >cds-PLY81727.1 pep primary_assembly:Lsat_Salinas_v7:3:32471537:32474516:-1 gene:gene-LSAT_3X24361 transcript:rna-gnl|WGS:NBSK|LSAT_3X24361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2 [Source:Projected from Arabidopsis thaliana (AT5G26040) UniProtKB/Swiss-Prot;Acc:Q944K3] MLYFDVPISKAPIIYSTSYDISFLGIEKLHPFDSSKWGRICQFLIENGVLEKTHIVEPLEATKDDLLVVHSESYLKSLKSSLNVSIITEVPPVAVFPNCIVQKKVLYPFRKQVGGTILAAKLAKERGCAINVGGGFHHCCANRGGGFCAYADISLCIHYAFIRLNISRVMIIDLDAHQGNGHEKDFSDDRRVYILDMYNPYIYPLDYEARRYIDQPVEVPSGTTTDEYLMKLDEALEVAGSAFDPELVIYNAGTDILDGDPLGRLRISPNGVAIRDEKVFRFANDKNIPLVMLTSGGYMKSSARVIADSIINLSKKSLITMGGTPRTN >cds-PLY61888.1 pep primary_assembly:Lsat_Salinas_v7:6:60505242:60508519:1 gene:gene-LSAT_6X43841 transcript:rna-gnl|WGS:NBSK|LSAT_6X43841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGYGRDGIFRSLRPPLVIPAKPHTSMISFLFRNVSSYPNKPALIDSDSGETLTFSEFKTTVAKLSHALNTQLGIGKNDVVLIFAPNSIQYPVSLYSVIALGAIATTVNPQYTVGELSKQLKDSKPKLIITVPELYQKVQNFGLPIVFLGSETPRKGSFSYNDLISKSPSVSELPNVSIRGDDTAALLYSSGTTGVSKGVVLSHRNFISVSQMITSDQRLMGEKHYRYICFLPMFHIFGLGVILYSQLQEGNSIVSMGKFDFEGVLKNVEKYRVTHLWVVPPVILALAKHDVVKKFDLSSLKQIGSGAAPLGKELMEECAKKFPHVLILQGYGMTETTGVVSVESPIMGPRHSGSAGRLIPGVEAQIISVDTGKPLPINQMGEIWVRGANMMQGYLNNAQATKLTIDKQGFVHTGDLGYFDDDGQLFVVDRIKELIKYKGFQVAPAELEAVLLSHSEILDAAVIPFPDAEAGEVPIAFVVRSPNSSLTEEDVKKFIAKEVAPYKRLKRVTFVNSVPKSASGKLLRRELIEKVRAKM >cds-PLY77364.1 pep primary_assembly:Lsat_Salinas_v7:1:207906076:207907755:1 gene:gene-LSAT_1X124201 transcript:rna-gnl|WGS:NBSK|LSAT_1X124201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRREHQTDTVPSLFADESVLVLHNFPANFRREALTVITKFSENLDPFVAYLAINYMDRFFSTQKIVNQEKPWIVGLVAISCLSLAVKMKIDDLATPKLQGYECSIYDAKSISRMEVLILSSLKWRMRSITPFSFLYFFLSLFQLQDPCLSNAIKDRASQILLRSPYENKLLEFRPSVIAASALLHASQDLMLPLQHSQFKAAISSCKYLNKESLEECLGVIRDMVSDTSELISVVGNQCTSNESENSTSIKRRKLNTGFSSNQCIIRFSNVQNR >cds-PLY78406.1 pep primary_assembly:Lsat_Salinas_v7:3:235858321:235860501:1 gene:gene-LSAT_3X132201 transcript:rna-gnl|WGS:NBSK|LSAT_3X132201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSSHLDNIPSTPGKFKMEKSQYNHRFRWHYSYLAKLTFWSFVFLGLIFIFFFKSPPSSSPYSSAGSIPSDLSRRSLKTNSWGGPIWEKRVRSSAKIRSNTGFCVLVTGAAGFVGTHVSAALKRRGDGVLGLDNFNDYYDPSLKRARQALLERSGIYIVEGDINDVALLKKLFEVIPFTHVMHLAAQAGVRYAMENPSSYIHSNIAGLVNLLEVCKNANPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILKGKSIPIFESVNHGTVARDFTYIDDIVKGCLGALDTAEKSTGSGGKKKGPAQLRVFNLGNTSPVPVSDLVSILEKLLKVKAKRRVMKLPRNGDVPFTHANISLAQREFGYKPTTDLQMGLKKFVRWYVSYYGSGKKSDH >cds-PLY71337.1 pep primary_assembly:Lsat_Salinas_v7:7:186177403:186179782:-1 gene:gene-LSAT_7X110161 transcript:rna-gnl|WGS:NBSK|LSAT_7X110161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGLPLGGEDINELPLCDKDNQILEEWRGQYSCDKFNGGEYLRRIQATTKDTLMFRLNFLILFVNNFIESMLMGTNQIKVVRKLALVEDFSKLNWCKYMLDCLGSRKNLWKRDDKSSYYSGPITLLILVYVYNIKYSIKIDKRLPFIGHINGAKLLEIQQLEISLGGFGRQFRDEHDNVDTRDEIGGEEQQMLSFKRDFGDEEADAAVIEHSYGVILTEKSTMEVALKDGLEKFPDSVVLNEWMEKMNELFKEVQEGASNKKVHEPECCNELNMNDVGDGGEVNSSPVLGLILTEVSVEKEVNYSTHVDTNSLTMTQFHRLPGVNEEMIKLLDETEFQVYRRKQLMSGISGDNVVGRNIGEAVDNAAEDDDNEKRENVSLKRQKYFIHPILKELLRVISCWVAFLNKMENYKDESSLSRFFFDTTIVTKEILNELKSEDLKCRLFATLLRIYINKFDFKPSFRDVALKKLFCNYLTSQHHPTAKALTLRRDG >cds-PLY90094.1 pep primary_assembly:Lsat_Salinas_v7:6:17004897:17006447:1 gene:gene-LSAT_6X7781 transcript:rna-gnl|WGS:NBSK|LSAT_6X7781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease YBEY, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G25870) UniProtKB/Swiss-Prot;Acc:Q8L5Z4] MDGTLLNSKSLVSATNAEALREASSMGVKVVLATGKTRPAAITLLNMVDLAGKDGIVSESSPGVFIQGLLVYGKEGKEIQKTNLDPNVCKEAFHYSVEHKVPLVAFSENRLLTLFSHPLVDSLHTIYHEPRAEVKPSVEELLSSGDVQKVLFLDTAEGVSGKLRPYWSEATKGRACVVQAQADMLEIVPPGTSKGNGVKMLLDHLGVTANEIMAIGDGENDVEMMQLASLGVCLSNGCEKTKAAANVIGVSNDEDGVADAIYRYAF >cds-PLY73333.1 pep primary_assembly:Lsat_Salinas_v7:8:260741617:260746130:1 gene:gene-LSAT_8X152201 transcript:rna-gnl|WGS:NBSK|LSAT_8X152201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 26, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70610) UniProtKB/Swiss-Prot;Acc:Q8RY46] MTLILNNHHHRHPSFIYSNIHSKKFNFTTKLGVKYSCRRANRRLVFTPVNSASVNGYSLRNNDSSPEVSDGVGTDYGEDNAIGVSERLLRFVRLVSSVLPGGNWWRFSDEVEVSVTAKPVTLVRALRRMWGLIANDRWVIFAAFSALILTALTEISIPHFLTASIFSAQSGETAVFQGNVKLLMFLCITSGICSGLRGCWFGIANMILVKRMRETLYSILLLQDINFFDSESIGDLTSRLGSDCQQVSRVIGNDLNLILRNLLQATGALIYLLVLSWPLGLCTLAICSTLSLVMLKYGQYQKKAAKLTQEFTASANEVAQETFSLMRTVRVYGTEHKELERYTTWLEKLADISLRTSGAYGIWNFSFNTLYHSTQVLAVLVGGMSIMAGKITAEQLTKFILYSEWLIYSTWFVGDNLSNLMQSVGASEKVFQMMDLAPSQQFTSKGSKLPNLKGLIEFVNVSFSYTSRETVHVLKDISITVNPYEVVALVGLSGSGKSTIVNLLLRLYEPTSGQILIDGHPLNNMDIKWLRERIGYVGQEPRLFRMDVRSNIAYGCTRDVSEEEIEDAAKQAYAHDFISCLPEGYNTIVDDDLLSGGQKQRIAIARAVLRDPSILILDEATSALDAESEYNVKGVLRADGDKCKRTVIIIAHRLSTIQAADRIIVMDSGRIIEMGNHKQLLLKDGLYARLTRRQVDSV >cds-PLY84903.1 pep primary_assembly:Lsat_Salinas_v7:6:15761579:15777723:-1 gene:gene-LSAT_6X10400 transcript:rna-gnl|WGS:NBSK|LSAT_6X10400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSSCNKKIYLDDTGGTDQIAKDFVEAATDFDLGLYQQILPSNVDGVVNEPHMDEENQPRMNDFWDFDFQTDACVQDRSEDEFQSNMDNSGKHNVDEVKSKEGSETDQEDDSDDSDYWVDEDNVVKDVEVDMKDFNISIDTKNLLERSSEMASPLEEQRNREDSPETKEMLHKTKLIQFLGRNTPIILQNDNGPCPLLAICNVLLLRNNLNLSSDVGEVSQEKLLSLVAERLIDSNSNVNNKDAGYVENQQQNIADAIDLLPRLTTGIDVNLKFTRIDDFEFTRECAIFDLLDIPLYHGWIVDPQDFDTASAIGLKSYNTIMGELVALETQNVANDINNPEEDCVDFVAATTASLGVPSPSLSRVKSFDDSPHSVSDEKKERKGDLQEEEELLKALQLSVTNSPTTIESKTDSDLKTPESVIPEKVHTGAEHENEKALKLSETESLTTAVNEFDSDPKKPESVIPEELHTGENNDSCIQKPSTDNDNNGMNKSNDDLTFETVPSQEVCSSSQGDNDKIHNTGDESVKGNDVNVEGNVESVGETLDDMSSCLSAPIPGLESSKGKDGISSIDESKVLTSSVDESEPIYEGEEHIQETSTTANYENGEPMYEGEVVLAEQVDKGKDGITPKQGELIRNFLKNSASQLTIYGLFSLQEGLKERELCVFFRNNHFNTMFKFEGELYLLATDQGYISQPDLVWEKLNEVNGDSVFMTGNFKEFNAESQESHSWDEQNALANTADYLASIDNSPQENTGFNSDLQLAIALQQQEFEQQQQQQQPPPPQPPRNDTAGESGFVTGPQVKSRGGAVAVRTRKPSERILKTKLSKAVYG >cds-PLY65520.1 pep primary_assembly:Lsat_Salinas_v7:MU045363.1:1375:3616:1 gene:gene-LSAT_0X45201 transcript:rna-gnl|WGS:NBSK|LSAT_0X45201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHSFPEEVLEHVFSFITSHKDRNVVSLVCKSWYEIERWCRRSIFIGNCYAVSPKMMIRRFPEVRSVELKGKPHFADFNLVPDGWGGYFYPWISEMSRAYPWLEEIKLKRMVVTDEGLELISKSFKNFKVLILSSCEGFSTDGLAAIAAHCRNLKELDLRESEVEDVSGHWLSHFPETCTSLESLNMACLGSEVSFSALQRLVSRSPNLKTLRLNRTVPLEKLSTLLRRAPQLLQMGTGAYSAEPRSDLYSNLLDAFSKCKNLNELSGFWDVVPSYLPAFYPVCSRLTSLNLSYATIQSPEITKIVTQCPNLQRLWVLDYIEDTGLNALSLSCKELRELRVFPSDPFVVDANVSLTEEGLVAVSQGCRNLQSVLYFCRQMTNSALTTIARNRPNLTCFRLCILEPRAPDYLTLEPLDTGFGAIVEHCKGLERLSLSGLLTDRVFEYIGTRGKKLEMLSIAFAGDSDLGLHHVLSGCESLRKLEIRDCPFGDKALLANVSKLETMRSLWMSSCLVSLGACKLLSQKMPRLNVEVIDERGDLDSMLDAYPVEKLYIYRTVAGPRFDMPSFIRTMAVDQQPNR >cds-PLY76978.1 pep primary_assembly:Lsat_Salinas_v7:6:64279561:64281624:-1 gene:gene-LSAT_6X47101 transcript:rna-gnl|WGS:NBSK|LSAT_6X47101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDEISNSLRRMSTRARKVAPKMAAALASTDNRTQAILARLEALENDNPGAEMVEPNDDDDASLDEDDDQVFHKKQSKGTKRKTRQAKALETAKKAPRTFLELLHDANLESLGPHVPTYLRAAVGPPSSGSRRHFCTVCGFSAKYTCVRCGMRFCAVRCQNIHNDTRCLKFVA >cds-PLY98464.1 pep primary_assembly:Lsat_Salinas_v7:4:247974272:247976731:1 gene:gene-LSAT_0X17741 transcript:rna-gnl|WGS:NBSK|LSAT_0X17741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFQKGVSFFLAFLLWGNIVQANQNYKDALAKSILFFQGQRSGRFPTSNGIPWRAISGLSDGSLAHVNLVGGYYDAGDNVKFNFPMAYTTTMLSWSALENSKPLGPQLENVREAIRWATDYLIKCATATPGVLYVGVGDPNVDHKCWERPEDMDTVRTVYSVSRTKPGSDVAGETAAALAAASLVFRVVDSKYSKLLLRTAKSVFQFATQYRGSYSDSLGSAVCPFYCSYSGYKDELLWGAAWLLRATKDASYRSFINSLGANDATDIFSWDNKYAGARVLLSRGSLVANDKSYEPFRQQAQDFMCKILPNSPSSTTQYTKGGLMFKLADNNLQYVTSITFLLTTYAKYMKSAKTTFNCGNIMVTPNTLINLARKQVDYILGDNPMKMSYMVGYGANYPRRIHHRGSSLPSLSTHPQTFGCEGGFQPFYYTSNPNPNILTGAIVGGPNQNDFFPDERTDYSHSEPATYINAAMVGPLAYLSGR >cds-PLY70680.1 pep primary_assembly:Lsat_Salinas_v7:3:104741039:104742323:-1 gene:gene-LSAT_3X76721 transcript:rna-gnl|WGS:NBSK|LSAT_3X76721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METRERNSINYHIPPQSTQESSSTSNTKRNETPNHLHRGDQYRGFRIHKEQPEAAETPGRNSNSDPDPNLSGLVSQAPSQPLPLAPPPSTTSIAPVAVVVVRYRGCLRNHAANMGAHVLDGCGEFMPSGEDGTPEALKCAACECHRSFHRREVEGETTSQIPLTHNQPPPAAAVHLPPAPSSQQRHHRYYHHPMPPIMMAFGGAGGAPAESSSEDLNVFRTSSGVQLMAQTSKKRFRTKFTQEQKEKMQDFADRIGWKIQKQDEQELVQFCHDVGLKRQVFKVWMHNNKQATKKKEM >cds-PLY72919.1 pep primary_assembly:Lsat_Salinas_v7:9:194091486:194092217:-1 gene:gene-LSAT_0X41221 transcript:rna-gnl|WGS:NBSK|LSAT_0X41221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDHIFIVGVLFFLHFVQMVIGDGPLYHICSTTSGNFTRYSPYEHSLNKLMGELYYKTSPNGFGMGSMGQYEAHTSGLSLCRGDVSQKDCMTCVVNASAEIRRRCPSNKAGIIWYDQCLLKYSSNDFLGQIDNQNRLYMWNLNNVSDPSSFNAETKRLLSGLSNTAYNDPKMYAAGALDLDGLRKLYGLVQCTRDLSSVDCKTCLDGAISELPSCCDGKRGGRVLGTSCNIRYEIYPFAGV >cds-PLY93066.1 pep primary_assembly:Lsat_Salinas_v7:9:27190193:27198096:-1 gene:gene-LSAT_9X23861 transcript:rna-gnl|WGS:NBSK|LSAT_9X23861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNSVRLLVLSLLLLVIENYVYDDARFFVYGVVDEYGRDDFPDEFVFGSGTSAYQFEGAVLEDGRSFSIWDTFAHSGVPWNNGENADITCDGYHKYKEDIELIADMGLEAYRFSISWSRLIPNGRGSINVKGLQFYNDFINRLIAHGIQPHVTLNHFDIPQILADEYGGWTSRKSVKDFVAYADVCFREFGDRVLHWTTFNEANIFTLGGYDYGFTPPGRCSSPFGFNCSKGNSTSEPYLVAHNLLLSHASAARLYRQKYKAMQHGFIGLNLLGFWFEPYTNKTEDVKATQRARDFYLGWFLNPLVNGDYPEIMKKNAGNRIPTFTRFESQRMKGSFDFLGMNHYTTLYVKDNSISLEMDTRDFDADMGVTYTFGTGNEFPAQFPVVPLGLQSLLNYMKEEYGNPPIYIHENGQAQPRNATLMDTPRVEYLHAYIGALLDALRNGSNTRGYFVWSFLDLYEVIGGYASAYGLYYVDMADKELTRYPKLSAHWYANFLKGNNLSAIIPNIMVKDS >cds-PLY72540.1 pep primary_assembly:Lsat_Salinas_v7:2:140438326:140439504:1 gene:gene-LSAT_2X68580 transcript:rna-gnl|WGS:NBSK|LSAT_2X68580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVIFETPLQHVLGELQKAVHVVGQIIQTHRFKSLLKRLEKTLESIELVFYESWRLSKVLDHPEKETIKFISYLRNAIEIVVKCSSISCQTMSKKVLHSNKLMRLNNELLRFFQIDVQKKTMNTSMTDSIAISGLEDNSSVVALSADGYSSTCCVYAGQLLNLPLTDSFANVKSDALMNGVTESPYIPSNLIDLEFDCCYDLMELPSEVCNLVHLQNLSITNCHELDALPKKLGNLSNLEILNLHCCTKLQGIPESIGSLHNLSFLDLSDCLSISLLPNEIGELCSLRVVKMSGVHGLQELPDSMSNLSRLEEVICDEETSYLWMDYESDLNNLKINVVEDDRLESFMKIVQ >cds-PLY86581.1 pep primary_assembly:Lsat_Salinas_v7:1:8907345:8908639:-1 gene:gene-LSAT_1X8041 transcript:rna-gnl|WGS:NBSK|LSAT_1X8041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLKREVDESFNQPPHSTTHVLCIAFNHPPFASNHPHLFIFTFSLLQSALEISRDNNGELKPFLMSIKNFLTIHTLNRKVLSWQLRIFLLGEDDIFSPIFHSRTWLLIEEENYVIPSLFLNCYSMTTSISARSHPHHRHHSSKHLIITGVYYGDAVIAKWHERIQIRIMD >cds-PLY71370.1 pep primary_assembly:Lsat_Salinas_v7:4:229842868:229845143:1 gene:gene-LSAT_4X125840 transcript:rna-gnl|WGS:NBSK|LSAT_4X125840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAAESRDLEISDSESEEEEQQSEEEEENYEDVKDHHSPSKTPTKTPSSVDEIDAKLKALKLKYQSKNQALKNAVKLYIHTGGNTAQSKWVVADKISSYSFVKTTMEEDGDDEEGDEDLYWFLKVGSKVRSPVDQQLQLKPIMDQRRIDFVSNGVYAMKFFSIEDYKVFIDQYEKCLFENTYGFECNDENKAKVYGKDFVSWANPEAADDSMWEDAEDSFSKSPAFKTPVRANHDLREEFEEAAKGGSIKSLALGALDNSFLVSDSGIQVVKNYSHGIHGKGVYVNFDSSMKGHTESAPRKALLMKAETNMLLMSPMRSGKPHTNGLHQFDIETGKVVTEWKFAKDGTDITMRDVTNDSKGAQMDPSGSTFLGLDDNRLCRWDMRDRHGIVQNLVDTNTPVLNWTQGHQFSRGTNFQCFATTGDGSIVVGSVDGKIRLYSITSMRQAKTAFPGLGAPITHVDVTYDGKWILGTTDTYLILICTLFTDKDGKSKTGFSGRMGNNISAPRLLKLNPLDSHRAGVNNNFRGAQFSWVTEDGKQERHLVATVGKFSVIWNFQKVKDGSHECYKNQMGLKSCYCYKIVPKDDSIVDSRFMHEKFAVTDSPEAPLVVATPMKVSSFSISSRLSYA >cds-PLY71291.1 pep primary_assembly:Lsat_Salinas_v7:3:192345439:192353837:-1 gene:gene-LSAT_3X114940 transcript:rna-gnl|WGS:NBSK|LSAT_3X114940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRPTQISSLKPSTTVLPHPTPRLRDHFAMGKKLGQGQFGTTYLCREKSTGIDYSCKSIPKRKMLCKDDYDDVWREIQIMHHLSEHPCVVRIKGAYEDNVFVHLVMELCAGGELFDRIVQKGHYSEREAAKLMKTIVGVVEACHSLGVMHRDLKPENFLFHSCDKDAQLKAIDFGLSIFYKPGQYLSDVVGSPYYVAPEVLHKHYGPEIDVWSAGVILYILLSGVPPFWAESDSGIFRQILKGKLDFESDPWPEITESAKDLIKRMLDRRPQQRITAHQVLCHPWIGDDTVASDKPLDSAVISRLKHFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMLDTDNNGTITFEELKLGLNKVGSNLMESEIKELMDAADTDSSGTIEYGEFLAATLHLNKMEREENLLTAFSYFDKDGSGYITMDELQQACKDLGLSDTTQLDEMIKEIDQDNDGRIDYAEFTAMMRKSDDGMRSSRSMKKGSLNFDLAEALGIDNNTNQSKD >cds-PLY62810.1 pep primary_assembly:Lsat_Salinas_v7:4:31552716:31553424:1 gene:gene-LSAT_4X20580 transcript:rna-gnl|WGS:NBSK|LSAT_4X20580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEITPQDSTTKRKHVHSAAMGYNEEAEDEYYLKKIQELVNEKMKLLAEQQVKEESKLKPPTEMKNSKPMVISKRRLEEFITNEMNGKDLKLLLKKTLYESDLSKNQNRLSMPMNQLEKNIEFLTENEKHDLENGKEFEVGLLGPRLGLHKKSMMMKMWRLKSTSSYVLKTNWNEFVEENKKDLKPHSEIQREEDDIDVI >cds-PLY65810.1 pep primary_assembly:Lsat_Salinas_v7:5:274538302:274539039:-1 gene:gene-LSAT_5X145501 transcript:rna-gnl|WGS:NBSK|LSAT_5X145501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISRHMPIAVDLSATRYKIRVHLVYIRIEVATCSLLFAVVLSVMVGDLPSVSLDPSIKGFDLTQ >cds-PLY82803.1 pep primary_assembly:Lsat_Salinas_v7:1:85577407:85580734:1 gene:gene-LSAT_1X72781 transcript:rna-gnl|WGS:NBSK|LSAT_1X72781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILPSAAVASTAKKLAMINSRNFLTTSNVAFASCGHISCFWCVFEAMDTWQESSCPVCRHSYNHFPSICWLLHSVLLKLYPKDYQIRETQVKEDEKTRGTFSPQFENYLTVSNNNKQGEDCSVEESLEPDKCTKGTPTTSSDEISKNVAVNDLLCGICKELLYRPVVLNCGHVFCETCIVDSSNKPCRCPVCQSMHPNGFPKVCLVLEGFLEQNLPEEYSARKAAINNLKQGGSSTGSTQVPQGGQCSSVSMSDYLCKPGLKVHFGVGCDFCGMYPLVGDRYKCEDCLEDIGFDLCEDCYKNSSNLSGRFNQQHKPDHKFKVVEPNPIIILSSEFPEDHTHDDQDNQTDRDSSDESHQDPESDVAAHGPTL >cds-PLY65409.1 pep primary_assembly:Lsat_Salinas_v7:1:61436717:61438596:-1 gene:gene-LSAT_1X51980 transcript:rna-gnl|WGS:NBSK|LSAT_1X51980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCEPDSDVVKWGLHFLDVDQIFSSTYYGDSNQHDVNICHEQYNNGGNSYQENDFNNVQNDEMLAHSLQQELLDLSISDGNELSNPEEHLQTSNDTQEWYTPSPDNYYYGDESRLQETEEMGHSSSCSSPGNRSYDGDEYSYNLEITDESELDGEVGKRLTEIVPVPHIPKVNGDIPSVDEATSDHQRLLDRLQLYNLVESKVQGDGNCQFRALSDQFYRSPEHHKFVRRQVVNQLKSHPEMSGEWGDHVTLQAAADSYGVKIFVLTSFKDTCSIEILPKVQNSKRVIFLSFWAEVHYNSIYPEGELPPPEYKKKKRWWNFKHRHQAYLD >cds-PLY79024.1 pep primary_assembly:Lsat_Salinas_v7:3:8873554:8876352:-1 gene:gene-LSAT_3X8340 transcript:rna-gnl|WGS:NBSK|LSAT_3X8340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSILVTGGAGFIGTHTVVQLLNQGFKVTIIDNLDNSVEEAVHRVRELVGPELSRNLQFHLGDIRNQHDLEKLFSQAKFDAVVHFAGLKAVGESVAHPFRYFDNNLIGSITLYKVMAKYNCKKLVFSSSATVYGQPKKIPCVEEFELKAMNPYGRTKLFLEEIARDIHNADGEWKIILLRYFNPVGAHESGKLGEDPIGIPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGSAIRDYIHVMDLADGHVAALKKLFTKQDIGCFACNLGTGRGTSVLEMVTAFEKASGKKIPIKLCPRRAGDATEVYASTDKAAKELNWKAKYGIEEMCRDQWNWARQNPWGYQKPPTKKGG >cds-PLY91636.1 pep primary_assembly:Lsat_Salinas_v7:8:11880493:11882838:-1 gene:gene-LSAT_8X8021 transcript:rna-gnl|WGS:NBSK|LSAT_8X8021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEVQEMMEALAINESLFYEYVDLKYEFDACQFFDFSVDETDYDVQEAERWFRYAREYPPAPYMIKIKLTEVTTSFPANVHSTNTPKPEADESISASILTPSDWRMPSPEEKTEGLKYHNLFADDDHTVKAKPKSASKLCKQSRTFMKPTASHLAKQINSVDIQTHSGCEVEDTKRQKLEIGFLRKVAQLKHRTPFSHKMTAKASRLEASSNSRSKTTIPRKPKLMTEERARRRRSQSEPEANIYTFKALPLNRKILESPSLPIRKKSILHNVRF >cds-PLY80240.1 pep primary_assembly:Lsat_Salinas_v7:6:123756243:123757558:1 gene:gene-LSAT_6X74981 transcript:rna-gnl|WGS:NBSK|LSAT_6X74981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESFLEEFQHLKIQLEEIKSATNNFHNSNIIGKGGFGEVFKGLYSHSKGRQVVAFKRLDRRLGQGDPEFLKEILMLSRYTHENLISLLGFCNEDGEKILVYEHASNGSLDRHLSSTTLTWRQRLKICLGAARGLCYLHEPKETHQRVIHRDIKSSNILLDENWNAKISDMGLSKIGPANQKHTFLATNVVGTPGYIDPLYMHTYSLTKESDVYSFGVVLFEVLSGRLSFNYNNGSFVSFVKRWKQSYMQKKLDDIIFQDMKKDMDPRSLETFSGIAYQCLQEYREQRPKMSHVVEQLEIALRLQEISEEPSIEYQEIDYKELIKSATTPVVYTSEEKLKMILSKGILVNGGKTVIISMSMSSNNFRFLLYIIISWLCGNVIYKLLFIP >cds-PLY64565.1 pep primary_assembly:Lsat_Salinas_v7:6:36495527:36496963:-1 gene:gene-LSAT_6X28881 transcript:rna-gnl|WGS:NBSK|LSAT_6X28881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIAEEYECFIREVGSYMWRDIGFDKDIWTDVSEVERVGMLQYLSRWFDFVAITNHPMAPTYWASLNNWICVRGCGGIKGLNPYGYDRWNATIDHFLIEKHQKRSAANQECRKKQVVQNCRGTCSYGSAYFKKNLNRLEVFYRAHVNKKGEFFDPLIEEQYLSYYYLKMKGHVRGIGSKASSVTGTSVPSQWRSQSQAWQPTQDVDVNAFLQNPTFVTANGDIIRSFKNQVNNNEENNDEEDEDEDT >cds-PLY89719.1 pep primary_assembly:Lsat_Salinas_v7:7:42789940:42795395:-1 gene:gene-LSAT_0X28400 transcript:rna-gnl|WGS:NBSK|LSAT_0X28400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKRCKPHIPYSNMDNKLSEYGESMYHFGLCGTEVIYVIGLVVAITEMMKDSPDRSKHRLKHRLTIHIQDASVLLLRLNLWGDYAYKIQDYIDNNPPNQRVVVIIQFAKIMFGEIGDNTDFYPGEINALKGLKLAFKISITDFNVLKKTNQYGISRVNDNVDLIEQLEKKFTESQDAIFGTDDNITPSTVDKNSATSSMKFLNTTPVLKQNLEEVFGLINW >cds-PLY64545.1 pep primary_assembly:Lsat_Salinas_v7:6:35734776:35736048:1 gene:gene-LSAT_6X28360 transcript:rna-gnl|WGS:NBSK|LSAT_6X28360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 43 kDa protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47450) UniProtKB/Swiss-Prot;Acc:O22265] MDGLVVNPTLSRLKLPHKLHPTTPPSLSLSFYLRLQPKYHPYNLSVSTAASVLEDQPQSESDPLQFTNYDEEESYGEVKKIIGSRALENGAGMEYLIEWEDDHVPTWVPGNLIAGDVVAEYDNPWWVAAKKADDRALKEIIESEDGRDVDAVDGDGRTALLFVAGLGSESCVRTLLEAGADVNHRDNGGGLTALHMAAGYVRPGVAKLLVEFGADPEAGDDKGRTPLDLAREVLKATPKGNPVQFARRLGLESVIRILEGAIFEYAEVEEILEKRGKGNNVEYLVKWKDGEDNEWIKAALIADDLVKDFEDGLEYAVAELVVGKRDSGEEGKKEYLVKWADFEEATWEPEENVDPELVKEFESGNGNGNGGV >cds-PLY66358.1 pep primary_assembly:Lsat_Salinas_v7:5:290366642:290366878:-1 gene:gene-LSAT_5X156561 transcript:rna-gnl|WGS:NBSK|LSAT_5X156561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSKEGHTYYHMTPEILVATSSSGSVHALFRDQKCILLVKKIIFVPNFRKRSRMLFNPPYKQGECGLCLMSHEETFIA >cds-PLY74315.1 pep primary_assembly:Lsat_Salinas_v7:9:186625595:186629387:1 gene:gene-LSAT_9X114341 transcript:rna-gnl|WGS:NBSK|LSAT_9X114341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIDPTSVSDPAPSWFTPKRLLVVFCVINMVNYIDRGAIATNGVNGSPRICTENNVCSDGSGIQGEFDLSNFKDGILSSAFMVGLLIASPIFASLAKSINPFRLIGVGLSVWTLAVVGCGLSVDFWSITICRMLVGVGEASFISLAAPFIDDNAPVAQRTAWLGIFYMCIPTGIAVGYVYGGLVGDGLGWRYAFFGEAILMLPFAILGFVMKPLQLKGMSNAKIIPGLLNEEFSKKDSSSYVSYTSNQLSRFGQDIKALLSEKVYVVNVLGYIAYNSVIGAYSYWGPKAGYSIYQMENADLLFGGVTIVGGIVGTIGGSVLLDRMNSTIPNAFKLLSIATFFGAVFCFSAFCFSSLYVFLVLFLIGEILVFATQGPVNFVCLHSVKPSLRPLSMAISTVSIHIFGDVPSSPLVGILQDKLNNWRTSALILTSVFFLAAGIWFIGIFLPSVDRYDEEDSEHLGTRVEQPDVTPLLESKVANGDSTSASL >cds-PLY78745.1 pep primary_assembly:Lsat_Salinas_v7:9:49930795:49934157:-1 gene:gene-LSAT_9X45340 transcript:rna-gnl|WGS:NBSK|LSAT_9X45340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNGEKKDQIIYARDSLDYEAFRHAPVNEISDAIKERGMNNLLAERMQNFLNRLVRDHQKIDLEMHHLKNQMRTECRHFFSAFASARLALPGPEEKKIEASNAPIPTDPAPHVVNMPMSLPEAENKSEGGFEKKCEPIIEEPTTPQPEATELLSQELSEQGFISLKLRIMKGKMQLYLVGI >cds-PLY94465.1 pep primary_assembly:Lsat_Salinas_v7:4:143767571:143767918:-1 gene:gene-LSAT_4X89841 transcript:rna-gnl|WGS:NBSK|LSAT_4X89841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEGDGLINDGVEENKGDGEGDEVVEVAGEGDGDDEGEGDGDGDGDGEADGDGVGEDDGEGNGDVEDAGEGDGEDDATDMEGNDADDEGHVPPRRKRKPSERIILEKLMKPFF >cds-PLY93656.1 pep primary_assembly:Lsat_Salinas_v7:2:201527043:201528627:1 gene:gene-LSAT_2X122560 transcript:rna-gnl|WGS:NBSK|LSAT_2X122560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGLICSRIIPIRAYGNQRNTPFFPSYTSSKRRIFYGPIRMMGPSTSPNSKAGSIKPLTASSSDLFDNTLPSKEVLDLWQKAEAVCFDVDSTVCMDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEEALAARLSLFKPSLSTVEDFLEKRPPKLSPGIMELVEKLKGSGKDVYLISGGFRQMINPVASILGVKPENIFANQLLFKSSGEFAGFDANEPTSRSGGKPTAVELIRKTHEYKTVVMIGDGATDLEARRPGCADLFICYGGVQLREAVSSKADWLVFNFKDLINSLE >cds-PLY71603.1 pep primary_assembly:Lsat_Salinas_v7:2:104284200:104286320:1 gene:gene-LSAT_2X45640 transcript:rna-gnl|WGS:NBSK|LSAT_2X45640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEDLKAFRSETDSSELTDTVFNCVVPYIHDCRDRSSVSLVCRKWCELDGVTRKHVTIAMCYATTPLRLRQRFPLLESLTIKGKPRAAMFDLIPEDWGGYVTPWVREISSSLNCLKSIHFRRMIVRDSDIDLLTRTRGQELRVLKLDLCSGFSTDGLLQIGKRCNNLRILYLQESLIVEKDGEWLHELALRNTAMESLNFYMTDLVKFDFKDLELIAKNCSESLVSVKISECDLTDLSDFFNYAVKLQEFGGGAFSDQPEIYAGLKFPPLLTSMALNYMSQPEIPVIIPFTSRLTKLDLLYALFDTDDHCFLLQRCPNLEILDTRDVICDRGLQIISQFCKKLRRIKIERGDDEEGLVSQTGLISLAQGCLELECLHVNVTDISNEAFECIGTHLKNLYDFRIILLDKQDQITELPLDNGVRALLNGCTRLQRLGIYLRPGGLTDVGLGYIGKYARNVRYMLLGFSGDSDLGLLELSKGCPKLQKLEMRGCAFSEEALSSFVMNLASLRYLWVQGYRVSENGCGILGMARAFWNMELISSERHDDDVAHGNMEQRQPPSLLAYYSLAGQRTDFPGSVLPLYPPLNHHLQ >cds-PLY71745.1 pep primary_assembly:Lsat_Salinas_v7:3:46345981:46346367:1 gene:gene-LSAT_3X34761 transcript:rna-gnl|WGS:NBSK|LSAT_3X34761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKLGEDPNDDTFVGVLNACGDLRLVEEGCIINILIKGGRLEEAFDFMVSTPLKWDDKTWSTFLRGCHAHGEYLLYVQAAKWIPDDVLVSEYGVSTDIREPIEMEND >cds-PLY76200.1 pep primary_assembly:Lsat_Salinas_v7:4:53035343:53037811:1 gene:gene-LSAT_4X36200 transcript:rna-gnl|WGS:NBSK|LSAT_4X36200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin biosynthesis protein PYRD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G20960) UniProtKB/Swiss-Prot;Acc:Q8GWP5] MYAQTLPVSNYNLNPTKTSSSFRCLNPNPPSTQFVKFQSFSNYRFGLCNSTRRVSDSSNNMRRHVGLGGITCEEASLGKDDKKDNDDGFYIRRCVELARKAIGHTSPNPMVGCVIVKDGKIIGEGFHPKAGQPHAEVFALRDAGELAENSTAYVSLEPCNHFGRTPPCSEALIKAKVKKVVVGMVDPNPIVASRGVAKLQAAGIDVTVGVEEELCKKLNEAWIHQMKTGNPFVTLRYSLTIDGGLSDDLGEESMEAGGYYSRLLQEHDAVVLSSKSLQKYSVPESKEPKSNQPLRVIIAKDPNHVIQIEESASKVVIFTDQQTGVGSEKGIETRVFDELKLGTILEHCKGEGLCSVLLDLRGGIGDFEEILKGIEGNLVQKLVVEVLPIWGGNKESASLEAIKIGQKAGLNKFEPKIVGKSVILEGYF >cds-PLY68557.1 pep primary_assembly:Lsat_Salinas_v7:2:21606910:21607540:-1 gene:gene-LSAT_2X10000 transcript:rna-gnl|WGS:NBSK|LSAT_2X10000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEVYKHMEFDGLRFRGWSDQKYAVVNKCIEMRNPNILFRNGLMKLFFLQAEHEGKTMLEEASSLGHLDSIFVLGMMLMDEGRNRKQEALDMLNNAYRITKGKWNLRATCSKVHLNLNREGRKHVHFHGFHRSCAMHKSVISVLDDFVNGYKWVFRCEIFLWGACFVRLSREFGIIYE >cds-PLY80516.1 pep primary_assembly:Lsat_Salinas_v7:3:92148868:92150523:-1 gene:gene-LSAT_3X68081 transcript:rna-gnl|WGS:NBSK|LSAT_3X68081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHRNSSDRVSSILYNPNNSSYTSTLQAYIRNKRFNTSTTPKPIIIVTPLHQTHVQSTIFCSKNLSINLRIRSGGHDFEGLSYVSYTRHFLLLDMSNLRTIDVNITEETAIVEVGATIGELYYRIWEKSKVHAFPAGVCSTVGIGGHISGGGYGVLMRKYGLTVDNIVDAMIVDVNGRVLDRKSMGEDLFWAIRGGGGASFGVILSYKLRLVGVPETVTVFRVSKSSEEKGIDIVNRWQYVADKIDRDLFIRLYIKPDNAKKTIEAEFTGFFLGDSDRLVSVMNKGFPELGIQKDDCKEMSWIESELFWSSTDKAPVEVLLDRSLFKSQYAKRKSDFLQIPIPKDGMESLLRKMMELRVVGLAFNPYGGRMSEIPDWETPFPHRAGNIFKIHYSVNWHEEGSELAAYYVNQTRVLYDFMTPFVSKDPRGAYLNYRDLDIGVSSVGNNSYSEGKVYGEKYFKGNFDRLVKVKTMVDPGIFFTDEQSIPPRFDSRYRRMFR >cds-PLY92893.1 pep primary_assembly:Lsat_Salinas_v7:3:181374320:181383168:-1 gene:gene-LSAT_3X108601 transcript:rna-gnl|WGS:NBSK|LSAT_3X108601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLLNCKDQTKLLSLIHELSIPEKHVRNGDERLMFERNTIYSQEGIEMYMSSGVGKSIVVTNARDHNQVPQMTNVCMVGIPMMNVVNLVFPQDTNVSYIGSIHDIMIAKVLVKNEVIKIYKKIPKFGTRHIHATLQEVLDMGAIMKIPVGGKRKAKMFEEDVILKPQKKMHLLKKSNPSLVDEEMKDHMEDKTVSDVNVESDGKNEEDTVRSSDHHKTMDQPASVL >cds-PLY84994.1 pep primary_assembly:Lsat_Salinas_v7:3:121794891:121796198:-1 gene:gene-LSAT_3X84821 transcript:rna-gnl|WGS:NBSK|LSAT_3X84821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTGHHPTLLLLRPPYPPPFCPIQIARFGESQQHIPFYRLISLYPDLSPFHDPEDIVNLIVESGVVPALVQHLQVPQSPEEVVTGIIPYEHEEEKGSAFTLGILAIKPEHQQRIVDVGALHHLVGLLKRHLDRQSSRALNGAIRKAADAITNLAHENSSIKTLVRVEGGIPPLVELLESNDAKVQRAAAGALRILAFKNDENKNQIVECNALPTLVLMLHSEDVVIHYEAVSFCTTS >cds-PLY98340.1 pep primary_assembly:Lsat_Salinas_v7:7:171751573:171760468:1 gene:gene-LSAT_7X102080 transcript:rna-gnl|WGS:NBSK|LSAT_7X102080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGLFKFFILLLFLSTSLRDIRSDAQLLPNEEVEALEAIASRLQHTGWSVSRDSCSRSQGLNTTITTRADGFVEIGANIICNCNATVCHITTIQLKGMNLTGVLPEEFANLPSLQEIDLSRNYISGPIPASYGQLRLRVLSFLGNRINGSIPEALGDMSTLEELVVEDNFLGGPLPQNLGRLSRLRRFLASANNFTGTIPDSYGNLTNLEDFRIDGSTLSGSIPDFIGNWRNLTRLDMQGTNMSGPIPTTISLLTNLNSLRISDLTGSSSTPFPNLEAMTNMEDLSLRNCLLTGSIPPYIGQNNDTLQYVDLSFNRLSGLVPPSVWGRDFDTLFLNNNLLSGEIPELIFTRNNDKIDIAYNDFTRAPPQICQSSSLNLISALSPTADPTNTGWCITDEVTCSRNPIHHSLFINCGGGRTEFEGNEYEEDSTSLQSYFFTTSDQRWAYSTNGLFMGRGQTRFTGTNTNVTGGDIYTTARFSPGSLRYYGLCLRKGSYRVRLHFAEISYTNDTTFRSLGRRYFDISIQGVLQWKEFNIVEIAGGVGIGTSVDFDNIVVNGSTLDIHLQWAGKGTTAIPDRGVYGPLLSAIEITPELRALELQTGYFSLRQIKAATHNFDPANKIGEGGFGPVYKGVLSDGSEIAVKQLSARSKQGNREFVTEIGMISALQHPNLVKLYGCCIEGKELLLVYEYLINNSLARALFGKENQKLNLDWSTRKKICMGIAKGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEEFVYLLDWAYVLQEQGSLLELVDPSLVKYSKDEAMRMLNIALLCTNPSPTLRPPMSQVVKMLDGKLPVQPPVVKRGVENPDMRFKAFDMISHDSQTQVSTISTDSQGPRSISTDRPWMDTSLYKHEPQESTSSETKLLPDLDLYDVKM >cds-PLY88585.1 pep primary_assembly:Lsat_Salinas_v7:7:7826654:7827039:-1 gene:gene-LSAT_7X7281 transcript:rna-gnl|WGS:NBSK|LSAT_7X7281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNNGGGGPCGACKFLRRKCVKSCVFAPYFDSDQGTTHFAAVHRVFGASNTSKLLLRVPPHRRLDAVVSLCYEALSRVRDPVYGCVANIFSLQQQV >cds-PLY71978.1 pep primary_assembly:Lsat_Salinas_v7:5:120886739:120889479:1 gene:gene-LSAT_5X53400 transcript:rna-gnl|WGS:NBSK|LSAT_5X53400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSRSYTNLLELASGNFPVMGRERDKRKLPRIMTVPGSINEFDDEPTSSVASDNASSLSMDRIIIVANQLPLKAKRRPDNKSWSFTWDDDSLLLRLKDGFPDDMDVLYVGSLNVDVDGIEQDDVAQLLLERFSCVPTFLPPSLIENFYTGFCKKQLWPLFHYMLPISADHGGRFDRSMWEAYVSANKLFSQKVIEILNPEDDFVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMFGLEYQSKRGYIGLDYYGRTVGIKIMPVGIHMGQIESVMKLADKESRTKQLKKQFEGKTMLLGVDDMDIFKGINLKLLAMEQMLKLHPSWQGTAVLIQIANPTRSKGGIDLDEIQAEIQESCKRINEEFGKPGYQPIIYMDKPLSVGERVAYYSIAECVVVTAVRDGMNLTPYEYIVCREGIPNSDSDSGPKKSMLVVSEFVGCSPSLSGAIRINPWNVEATAEAMSEAISMGEPEKQMRHEKHYRYVSTHDVAYWSRSFLQDMERSCADHFRKRCWGIGLGFGFRVVSLDPNFRKLSIDDIVSDYLKAKRRAILLDYDGTVMPQNSIIKTPSREVISLLNRLSGDLNNKVFIVSGRGRESLSSAFSPCRKLGIAAEHGYFIRWCQDVEWETCGQSSDFGWMDMAKPVMKLYTESTDGSSIETKESALVWQYRDADPGFGFAQAKEMLDHLESVLANEPVAVKSGQYIVEEASKGLVAEKIFTSMAENGKQADFVLCVGDDRSDEDMFEIIGNAISRNIISVNTVVFACTVGQKPSKAKYYLDDTNEVILMLQSLAEAIDSPTLSEDENYGSHYP >cds-PLY82101.1 pep primary_assembly:Lsat_Salinas_v7:1:13812760:13814989:-1 gene:gene-LSAT_1X11360 transcript:rna-gnl|WGS:NBSK|LSAT_1X11360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKSKRTFRMFVEEELGGFPHFVVWAVLEWMLIISLYLDGFLAFISNKFADIFELDPPCVLCTRVDHALGGKNNPTSYYSDSICDSHKKDISSLAYCHVHRKLSDIRTMCDGCLLSLSTEKESASDNHNKDQKMFAKPSEKKLTDNNKNDNAIVDTDRCSCCGESLKPKQPSKELSRNFSNLRASSQIPPASPRASGLFPTSSPRASSLFPSSSPRASLMFSTSSPRASSSLFPNSSPRAFSSLFPSSSPRASSSLFPASSPRFSSLAPMASPRAAWRIEEAGRNSELSQARYTELRFVSDNEPDIAEYDFGMNTNAKHYEEMNENTMKTPSHIRGNKFFGVSFAESIESPRWAHKPPKKAPLEKSDLFSETTDESPADGGSILQQLKKQVSDNRKTLVTLYLELDEERCAAAVAANNAMAMITRLQAEKAAVHMEALQYQRMMDEQAEYDEEAIQILRDLYLKKEEDVKVLETEVDVYRARYGEIKKMDSDEYDEYYDEFHPRSVGDRSEFESVEDDQSVDGNRKGNHEDTSGNFETERSHLFGMLKEFENHVRSSSQREDQEPEDRDTGDNASFMKEVNLMREKLAAIEAESGFLKQTAMTLEKGDEGTKILTEIAQHLRKLNS >cds-PLY67538.1 pep primary_assembly:Lsat_Salinas_v7:3:54044555:54045124:1 gene:gene-LSAT_3X41981 transcript:rna-gnl|WGS:NBSK|LSAT_3X41981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYSMEVRMWIKTNKDQASMVPNWRQLQDKVVAGIESRISAWTFLPIENGEAMQIFHYENGQTYEPQWDYLC >cds-PLY79615.1 pep primary_assembly:Lsat_Salinas_v7:2:166293537:166294948:1 gene:gene-LSAT_2X88001 transcript:rna-gnl|WGS:NBSK|LSAT_2X88001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIQMTTYDIVIFGASGFTGKHVVREALKFLSPNSPLKSLALAGRNLSKLSETLKWASKSPPTIPLLVADTSDTSSLRRMASQAKLVLNCVGPFRLHGDPVVDACVEAGCDYLDICGEPEFMERIEAVYHEKAVETGSLVISACGFDSIPAEFGFMFNSRQWVSPAAPNRVQAYLHLESDKRIVGNFATYESAVLGFANADNLIKLRRSGRRRARPSIPGYAPTKGSIIEHQKELGLWALNLASADATVVRRTLSILTENPTGLHGVNEDPKMADKRVAFWSRVKPAHFGVKVGSKKLLGLLPWIAMGLSIMRLCGSSVGRWFLLTFPSVFSLGVFTNKGPTKEQLESASFKMWFVGHGFSDANLASQRNATPDTEIITRVTGPDVGYLTTSIILVQCALIILERRGDLPKGGILTPGIVFGPTHLQDRLQENGVSFDLISKTQLYT >cds-PLY77415.1 pep primary_assembly:Lsat_Salinas_v7:3:149448835:149450104:1 gene:gene-LSAT_3X96480 transcript:rna-gnl|WGS:NBSK|LSAT_3X96480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSSISTFKTWSFTEEIKGNCWILFVSAVIFLCTPGVRSFASVRIPLCNSNQIYSKCLKLELVVGFVSAWKLAILSVAVIVGIAFAGLSSKSCESYANVGIIAEQAIAQVRTVYSYVGETKALDSYSDAIQHTFKLGYNEGMAKGLGLGCTYGIACMSWVLMFWYVGEWVDRWWHVSFFFNNINIQDSTDGKCLTEVNGNIEFKEVSFSYPSRPDVLIFKEFSIFFPAGKTVVVVGGSGSSKSTFVSLIERFYEPNQVSRALLNG >cds-PLY87159.1 pep primary_assembly:Lsat_Salinas_v7:5:266884611:266885656:1 gene:gene-LSAT_5X138401 transcript:rna-gnl|WGS:NBSK|LSAT_5X138401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKPMNAAPMGSPVVEQIARKGDLISGRLPLVHYLRPRQPPIVERNGTEAAVLPLSGSDGCSFYPTTITQGGKDFDPQLIGEERNTQTFRKWPMTLNQSQGRAAIGGGKLLNFFSVVVMFQAGNEALLRQATSKGLNLFFGNTVTSSDLRINYKQEKNHRFFFQMNREYV >cds-PLY61807.1 pep primary_assembly:Lsat_Salinas_v7:6:59775063:59777708:1 gene:gene-LSAT_6X44121 transcript:rna-gnl|WGS:NBSK|LSAT_6X44121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAVPPQILYLVPLLLLVFISSSSARTSPSLVSPFHHSKSLRKTGHQLIKELNLHPHLDVNIVKSYTNSSYLADEVKVTQSGIVEKQLSLQVLGDSGATVHDLAQHAGYYKIEHTVDARMFYFFFESRSAKTDPVVIWLTGGPGCSSELALFYENGPFKVTNNLSLVWNDYGWDKVSNLIYVDQPTGTGFSYSSSDEDIRHDETGVSNDLYFFLQAFFKAHPDYVNNDFFITGESYAGHYIPAFAARVNQGNKNKEGIHINLKGFGIGNGLTDPAIQYKAYTDFALANDLISQSDYSQINQQIPDCESAIKACGTTGTTSCEMALYTCQQIFEDILDIAGNINYYDIRKQCQGSLCYDFSNMEDFLTQSSVKTALGVPSDIDFVSCSDTVHQAMLDDWMRDLEVGIPALLEQGIEMLIYAGEYDLICNWVGNSRWVNAMAWSGQKNFVAATNVSFVVGGKEAGVLKNYGPLTFLKVHDAGHMVPMDQPIAALQMLQLWTTGKLTKKDTTILP >cds-PLY88056.1 pep primary_assembly:Lsat_Salinas_v7:6:181194832:181195555:-1 gene:gene-LSAT_6X109541 transcript:rna-gnl|WGS:NBSK|LSAT_6X109541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTSKIRRNHGFVVEEERRCRVAQESPQKLGKKCKVWEWIDEEPENMKPIAEDTFSNVADYLIQVLEDVASVREEVKQLKVMTIGCKDK >cds-PLY72277.1 pep primary_assembly:Lsat_Salinas_v7:5:196398123:196399541:-1 gene:gene-LSAT_5X87681 transcript:rna-gnl|WGS:NBSK|LSAT_5X87681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIELKTAPGDYRFPTTNQSRHCFTRYIEFHRCVAAKGDGAGECEKFAKYYRSLCPAEWVDRWNEQRENGVFPGPL >cds-PLY72521.1 pep primary_assembly:Lsat_Salinas_v7:2:142020781:142022435:1 gene:gene-LSAT_2X69640 transcript:rna-gnl|WGS:NBSK|LSAT_2X69640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPETEHPIKAYGYAARDTSGVLSPLTFSRRVTGEKDVRLKILYCGICHSDLHFAKNEWGLTSYPVVPGHEIVGVVTEVGSKVEKFKVGDNAGVGCLVGSCGSCDNCANDLESYCPKQILTYASPYHDGTKTYGGYSDHIVADESFVLRWPENLPLDTGAPLLCAGITTYSPLRYFGLDKPGMKVGVVGLGGLGHVAVKMAKAFGAEVTVFSTTPAKEQEALEGLKADRFIVSKDQDQMRSAMSSLDGIIDTVSATHPIAPLLSVLKPHGKLVLVGAPEKPLELIPFSLITGRKIVGGSAIGGLKETQEMLDFAAKHGVTADIELIPMDYVNTAMDRMLKSDVRYRFVIDVEKSLKAP >cds-PLY95238.1 pep primary_assembly:Lsat_Salinas_v7:9:21085491:21087867:-1 gene:gene-LSAT_9X20121 transcript:rna-gnl|WGS:NBSK|LSAT_9X20121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLRYSIDSDTHSTFFHFLMANLRPAFTKFISLVFILIHLGCFMIFPTSDHHRRRSKKTRNPSSPIPLLRPTATKLKPHKTLSASWSFIKQIFTSKPTSQTDNNSSIIHHVPSPASSTRSLRNSTINAPLETILLDPQQQRNRPGSLTESDFFPLRNDIYPCPNCGEIFQKPGLLEQHQAFKHAVSELFDEDPGKNIVRIIFHTGWPINGKNPMIYRVMKIHNSPKILTRFEEYREIVKSRAARHGGGRRRDERCIADGNELLRFHCATFLCDLGQNGNSSICSHQYCSVCGIIRVGFSSKLDGISTLSTSWKGHMALPEDLEEEFRFMHVKRAMLVCRVIAGRIGCDPEMGDKDDPGYDSLVGGEPGGTHTCRLDEEDELIVFNPRAVLPCFVIAYTV >cds-PLY81787.1 pep primary_assembly:Lsat_Salinas_v7:3:30800073:30800856:-1 gene:gene-LSAT_3X22320 transcript:rna-gnl|WGS:NBSK|LSAT_3X22320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNRIGHQCQSLFRLPFPWLSPSTLILTKCSSLLSAVSLELEPSTTSPAANGSFPSGILSLFKLKVLGSSGVKLLGVKPLVSDLNSTQVDEIIDHLRNDDPDSAVELFELLKNEYGFKHSRVSQLVIAHVLASQRMLKLLRSNFMQMLQEEGSVSGPSLCELLSVDFKNWKSNATVWEMLAFAYSRSNMVHDALYIIAKMKD >cds-PLY96247.1 pep primary_assembly:Lsat_Salinas_v7:7:182665090:182666359:-1 gene:gene-LSAT_7X108260 transcript:rna-gnl|WGS:NBSK|LSAT_7X108260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFPENTNWIYEVGLIDDIVVPDVYYTVPDSGFSWPIQATLNGSSSNPSLDLDGSTVDSDDHNDSISKNRGRRDNRRGRRPSNPPKFLQLLN >cds-PLY93244.1 pep primary_assembly:Lsat_Salinas_v7:6:158509178:158510999:-1 gene:gene-LSAT_6X96800 transcript:rna-gnl|WGS:NBSK|LSAT_6X96800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTNQVIRCKAAVAWEAGKPLVIEEVEVAPPQKMEVRIKILFTSLCHTDVYFWEAKGQNPVFPRILGHEAGGVVESVGEGVTELQPGDHVLPVFTGECKECAHCKSEESNMCDLLRINTDRGVMIHDQKSRFSINGKPIFHFVGTSTFSEYTVVHVGCLAKINPLAPLDKVCVLSCGISTGLGATLNVAKPKKGSSVAIFGLGAVGLAAAEGARIAGASRIIGVDLNANRFELAKKFGVTEFVNPKDYKKPVQEVIAEMTNGGVDRSVECTGHIDAMISAFECVHDGWGVAVLVGVPHKDAVFKTSPLNLLNERTLKGTFFGNYKPRSDIPSVVEKYMNKELELEKFITHEVPFSEINKAFDLMLKGEGLRCIIRMGE >cds-PLY77652.1 pep primary_assembly:Lsat_Salinas_v7:5:174112706:174114949:1 gene:gene-LSAT_5X76080 transcript:rna-gnl|WGS:NBSK|LSAT_5X76080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAESSSSIELPQQCRQLTISEIHLATRNFDESLIIGRGGFGIVYKGTITNGETHFIAAIKRLDSTSNQGALEFWAEVAILTKLRHCHLVPLIGYCNDRQEMILVYEYMPHGSLEDHLHKFQTNLPWVQRLKICIGVARGLDYLHTGTGIKHGVIHRDVKSSNILLDESWNAKISDFGLSKLGPINQPSTYVNTVVKGTFGYLDPDYFTTGRLTRKSDVYAFGVVLFEVLSGKRAVDKSLDEEHWGLVRWAQESIKEDKLEQIVDSNIREGIMPKSLKEFARIADSCLDSNPKQRPTMAEVVVCLESVLALQEKVGNKLHPSGMRIFGNKGLMFPFRSNGEKSGKSKLKSEMISHQEKIVNQLKQTYDDSQLVKIAKGQKHMKALEESLGLVSKKLSEEKNRIVKDRKQQYHEKNMEDDAL >cds-PLY96778.1 pep primary_assembly:Lsat_Salinas_v7:2:171422366:171425527:1 gene:gene-LSAT_2X94860 transcript:rna-gnl|WGS:NBSK|LSAT_2X94860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMYQAQVNSKVQTRAAAARYRSQMQAINQSHGPQADQANHAHISGNNAVINSGENGSKVVAEGGPSSSAYNINVDHEERTNKSSLTSKKNVGLLRGPDGQLPQQLRNIEPRLIEQISNEIIDSNTNVHWDDIAGLHHAKKCVNEMVIWPLLRPDIFKGCRSQGRGLLLFGPPGTGKTMIGKAIAGESKATFFYISASSVTSMWVGEGEKLVRALFGVARCHQPAVIFVDEIDSLLSKTNKELPYIFTSILVKSHLPQDLDEAARRRLTKRFYITLPSAGYSGSDMTNLVKDASMGPIREVLKQGGEITNLKMEDMRSVTLKDFKDALKEVRPSVSWNELRIYEEWNNQFGSLSTSTI >cds-PLY68313.1 pep primary_assembly:Lsat_Salinas_v7:7:97632453:97634487:1 gene:gene-LSAT_7X65220 transcript:rna-gnl|WGS:NBSK|LSAT_7X65220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi apparatus membrane protein-like protein ECHIDNA [Source:Projected from Arabidopsis thaliana (AT1G09330) UniProtKB/Swiss-Prot;Acc:Q8LEK2] MDLNPPAGENYANPRICFFHVLFKAGALAFYILSALFFDSFVIIFVVTVLLSALDFWVVKNVSGRILVGLRWWNEIDDNGESVWKFECLDQESLARMNKKDSWLFWWTLYLTAVVWIFFGIFSLIRFQADYLLVVGVCLTLSVANIVGFTKCRKDAKKQIQAFASQTIANRFQSTLQSAFSVV >cds-PLY96413.1 pep primary_assembly:Lsat_Salinas_v7:4:344443088:344444647:-1 gene:gene-LSAT_4X170041 transcript:rna-gnl|WGS:NBSK|LSAT_4X170041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGGVVWLKTVVLMVTAAAMLTCVMCRSPVRHLVGGKEMWKPNYNYTDWSREETFYVGDWLYFVYDKHMFNVLEVNETSYKNCTDQGFIFNITRGAGRDVFELTQPKPYYFLASGGYCYNGMKVAVNVVEFIPPPAPQPSPAKSGGTTIAGISYPLISSIVIATWAVFIMNL >cds-PLY61647.1 pep primary_assembly:Lsat_Salinas_v7:2:47124244:47128522:-1 gene:gene-LSAT_2X21500 transcript:rna-gnl|WGS:NBSK|LSAT_2X21500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVATIKLVKARQIFDSRGNPTVEVDITLSDGTLARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVANVNTIIGPALVGKDPTDQTGIDNFMVQQLDGTQNEWGWCKQKLGANAILAVSLALCKAGASALNIPLYKHIANLAGNKNLVLPVPAFNVINGGSHAGNKLAMQEFMILPIGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYAEDGKTYDLNFKEENNDGKEKISGEQLKDLYKSFVSEYPIVSIEDPFDQDDWEHYAKMTAECGEEVQIVGDDLLVTNPTRVKKAIDEKTCNALLLKVNQIGSVTESIEAVKMSKHAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGSEAVYAGANFRKPVEPY >cds-PLY79517.1 pep primary_assembly:Lsat_Salinas_v7:1:33700707:33701361:1 gene:gene-LSAT_1X33061 transcript:rna-gnl|WGS:NBSK|LSAT_1X33061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVLVAVVLFVLLSPGLLFQLPGHNRVVEFGSMRTSGAAVIVHAVVFFGILTLFLITIGVHVYAG >cds-PLY73096.1 pep primary_assembly:Lsat_Salinas_v7:9:22314831:22315910:1 gene:gene-LSAT_9X20741 transcript:rna-gnl|WGS:NBSK|LSAT_9X20741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKQLQIKLNANRTVVGTLRGFDQFMNLVIDNTVEVNGEEKNEIGMVVIRGNSVVTVEALEPVSRGQ >cds-PLY94762.1 pep primary_assembly:Lsat_Salinas_v7:2:180419549:180422326:-1 gene:gene-LSAT_2X103841 transcript:rna-gnl|WGS:NBSK|LSAT_2X103841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFLCVFAILAIDTWATVAESDIKYKDQKQPLGVRIRDLMKRMTLEEKIGQMTQIDSRVATQEVLQNYSIGSLLTNAAIPSSQDPSSPQAWINMINNFQRGSLSSRLGIPLMDVELVKKIGVATALEVRATGINYAFAPCVAVCRDPRWGRCFESFSEDPNIVRAMTEIIPGLQGSIPSGGRLGVPFVGGQEKIASCAKHYVGDGGTTRGINENNTVINFHGLLSIHMPPYVDAVRKGVTTVMISYSSWNGVKMHRNGPLITGFLKNTLKFRGFVISDFFGIDRLTDPPHANYTWSIQQSITAGLDMAMIGSNYTEFINEMTFLVKNNFIPMSRIDDAVKRILRVKFVMGLFENPFTDLSMAKYLGEQDHRDLAREAVRKSLVLLKNGKFVNQPMLPLPKMSTKILVVGTHADDIGNQCGGWTIEWRGKSGNITRGTTILSAVKNTVDPTTHVIYVENPTPDFIKSNNFSYAIVVTGEFPYSESAGDSQNLTIPEPGPTTIMNVCALVKCVVVLISGRPVVIEPYVPIMDALVAAWLPGTEGQGVTDVLYGDYGFTGKLAHTWFKTVDQLPMNVGDSHYDPLYPFGYGLTTKPTKQI >cds-PLY82255.1 pep primary_assembly:Lsat_Salinas_v7:1:65725976:65735985:1 gene:gene-LSAT_1X56140 transcript:rna-gnl|WGS:NBSK|LSAT_1X56140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGVGRKPQSRCELLSIVKEHSNLLRKTVVDISQDTFDVEVDQKFWHDVLDSYFIGGRESKEQQDDDLLFFVREMSFHGHGYGSNDNFGRKSSYFVRRWDTKLDDLVGGITLNIDWRRSLYLNLIAHTSYSITVAICSHQSIQNHQSNQQTQPSPIYKIVKKVYASPSRVHFHLDSRKANNIIKFHEVETTPAYPDICFAIDDFDSTFEAMVLTDADHCYCVVLNAVGGAAFPSEKLLEDSGLRENSTPKKLTLFSGFVSYQMVREAYDVGKSGFGSFLPFGLSHSKKTDRIYMKGPGGRGEVEVAVSGVLDQSLDESGLHSPLHISRGFKIGAMVRQAASVAEVAAKNVFAVMSSTRNSDGRKLPLKCCLMTMASHIVGYPRMGPKRELKFALESFWDGKSSADDLQKVASDLRSNIWKQMKDAGIKYIPSNTFSYYDQVLDTTAMLGAVPSRYNWKGGEIGFDTYFAMARGNESVPAMEMTKWFDTNYHYIVPELGPDVVFSNASHKAVTEFKEAKALGVDTVPVLVGPVSYLLLSKPAKGVEKTFNLLSLLDKILPIYKQVITELKEAGATWIQLDEATIVKDLESHQLEAFTKAYSGLQSACSGVNVLIATYFADITADAFKILTSLPAVTGYTFDLVRGKNSLDLIKSSFPSGKYLFAGVVDGRNIWANDLAESLGILESLQGVVGKDKLVVSTSCSLLHTAVDLVNETKLDNEIKSWLAFAAQKIVEVNALAMALSGKKDEAYFSANAAALASKKSSPKVTNEAVQKAADALKGSTHRRATNVGARLDAQQKKNNLPVLPTTTIGSFPQTIDIRRVRREYKAKKITEEDYVKAMKEEINKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKSMTVFWSSMAQQMTKRPMKGMLTGPVTILNWSFVRNDQPRFKTCYQIALAIKDEVQDLEKAGIKIIQIDEAALREGLPLRKSEHAFYLDWAVHAFRITNVGVQDSTQIHTHMCYSNFNDIINSIIDMDADVITIENSRSDEKLLSVFREGIKYSAGIGPGVYDIHSPRIPSMEEIAERIKKTAAVLKREILWVNPDCGLKTRKYSEVKPALSNMVTATKKMRTELGNTK >cds-PLY70268.1 pep primary_assembly:Lsat_Salinas_v7:2:92031543:92033143:1 gene:gene-LSAT_2X40021 transcript:rna-gnl|WGS:NBSK|LSAT_2X40021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSLIHSTITSSNACPQSAFPTLNKVVGFKHSHILFPKRRRPLILLASIDEKVPTSDSTTPSFPGFDLPEVPDLTKGDTLKSLKLKLLSSVSGLNRGLAASEDDLKKADSAAKEIEKVGGPVDLTADLDKLQGKWKLIYSSAFSSRTLGGSRPGPSLGRLLPITLGQVFQRIDTLSKDFDNIVELQLGAPWPLEPVEVTATLAHKFEIIGSSTIKIIFEKTTVKTTGNLSQLPPLEVPQLPEQFRPSTNRGSGDFEVTYLDSDLRVTRGDRGELRVFVVA >cds-PLY62391.1 pep primary_assembly:Lsat_Salinas_v7:7:108349254:108352430:1 gene:gene-LSAT_7X68920 transcript:rna-gnl|WGS:NBSK|LSAT_7X68920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVLMSLQGSQLETNDPTISYMLQAWRVPKVLRPLYESPTILAQKTTMMVDENLKLIEYLLQSKNLEIKSINDEKKASMAA >cds-PLY84444.1 pep primary_assembly:Lsat_Salinas_v7:2:630245:633372:-1 gene:gene-LSAT_2X1441 transcript:rna-gnl|WGS:NBSK|LSAT_2X1441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSTSSALLPKHQSTQLSSGSSLKKHDHGFTKLSFGTSKRTFAIKAGYSDERSNTGNAFVGGFVLGGLLVGTLGCIYAPQISKVLAGTDKKDLLKKLPNFIYDEEKALEKTRKKLAQKIAELNSAIDDVSSQLKSDDDDDEPTTTNGVVPDETQALA >cds-PLY86790.1 pep primary_assembly:Lsat_Salinas_v7:5:15725972:15726752:-1 gene:gene-LSAT_5X8780 transcript:rna-gnl|WGS:NBSK|LSAT_5X8780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRLESDLLNWSGTKTGSRGGGKDGGRVGGGRSKGGVGDLVEEAIGVMDVGIASQITIKELPTNQALRDEERMNEKDDIDEPWMGEVMMNEERMDVREIHITQQLNQVRRRPTKRSKVNQVRRRKPSERITEIKL >cds-PLY91134.1 pep primary_assembly:Lsat_Salinas_v7:3:153502051:153502380:1 gene:gene-LSAT_3X95901 transcript:rna-gnl|WGS:NBSK|LSAT_3X95901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRNTSFICFTCFIFLPSIILLMTNHCDSWDQYADQCNGTVAECRMLVEEDEEFLMDTEEHRRILAQANPKKKLSLDGLDKYNPACGNACTGLYRVNPARPCVGLCPR >cds-PLY76706.1 pep primary_assembly:Lsat_Salinas_v7:3:140832295:140833065:-1 gene:gene-LSAT_3X94000 transcript:rna-gnl|WGS:NBSK|LSAT_3X94000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCRSLSLLLNPFSSRSSRTITPVSSYKAQCPKVAPPSIRSDGQSRRHLLFLMTATTAITAMEMPSMAENIGLFGLRKKLKKAEEEAVEIVKEGIESAEKGVEAAERQIEAAEMEIETEVRFGGGLTQAGVVAGAEVVGILVATSVVNGILGSES >cds-PLY71527.1 pep primary_assembly:Lsat_Salinas_v7:7:29057637:29064002:-1 gene:gene-LSAT_7X22741 transcript:rna-gnl|WGS:NBSK|LSAT_7X22741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLLPAITRVLKGHRIEKATMEFDEKEDYFVVKFQVTDSNGNKIEDNESLIRIENALMDAINGGEGVVVRKAGFSGAKTERMFELMDGFLKNDPVSLQKDILHHVEYTVARSRFSFDDFEAYQALSHSVRDRLIERWHDTQQHFKKKDPKRRSLSNSVINLGIKDQCAEALSQLGFEYEVLAEQEGDAALGNGGLARLSACQMDSLATLDFPAWGYGLRYQYGLFRQIIIDGFQHEQPDYWLNFGNPWEIERVHVSYPVKFYGSVKEEVVNGKKCKVWIPGETVEAVAYDNPIPGYGTRNTINLRLWAAKPSEGLDIESYNTGDYINAIVNRQKAEIISNVLYPDDRSYQGKELRLKQQYFFVSASLQDIIRRFKDMHNNFDEFPDKVALQLNDTHPSISVVELMRVLLDEEHLSWKRAWNIVCKVFTFTTHTVLPEALEKVPMDLFETVLPRHLQIIYDINFAFMEELKNKIGKDYVRLSRMSIIEEGAVKNIRMANLSIVCSHTVNGVSRAHSELLKTRVFMDFYELWPEKFQYKTNGVTQRRWIVVSNPSLCALISKWLRTESWIHDVDLLTHLKNHASNPELQYEWKMVKKINKMRLAEYIETMSGVKVSLDAMFDVQIKRIHEYKRQFLNILGVIHRYDCIKNMEESDRRKVVPRVCIIGGKAPPGYEIAKKIIKLCHAVAEKINNDTDIGDLLKLVFIPDYNVSVAELVIPGSDLSQHISTAGHEASGTGSMKFLMNGCLLLATADGSTNEIIEEIGAENMFIFGAKMNEVPKLREKESTIKAPLQFRRVVRMVRDGYFGFKDYFKSLCDTVENGKDFYLLGSDFTSYLEAQAAADRAYVDKEKWCKMSILSTAGSGRFSSDRTIEDYAEKTWGIKPCKLPL >cds-PLY87610.1 pep primary_assembly:Lsat_Salinas_v7:8:111623052:111626012:1 gene:gene-LSAT_8X77601 transcript:rna-gnl|WGS:NBSK|LSAT_8X77601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIAKDIKQRLSDTDSKAEPSTIKINQPDATAGNGQLGQKSACCGS >cds-PLY69341.1 pep primary_assembly:Lsat_Salinas_v7:7:79605236:79605761:-1 gene:gene-LSAT_7X55481 transcript:rna-gnl|WGS:NBSK|LSAT_7X55481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLDQILSDLSYLRESKYSEAEILMCLNITQSQLKGFDALIHQSKQAAKVPYMSEHVPETQEDNGEDGAEESQEDNHEDGAEESLEDNDEDGVGDDEEGVDDTQVRVRTQVRVRTRKTSKRITENMLKKIVIDKKGIGMAPEKPLTLD >cds-PLY64659.1 pep primary_assembly:Lsat_Salinas_v7:7:136023122:136029599:-1 gene:gene-LSAT_7X81540 transcript:rna-gnl|WGS:NBSK|LSAT_7X81540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSIAEMEKPHAICIPYPAQGHINPMMKLAKLLHFKGFHISFVNTHYNHKRLLRSRGPSSLDGLPDFRFYSIPDGLPPSDVEATQSIPALCESVPKHSLEPLCELISRLKGGEESDVPPPSCIISDGCMSFTLKAAQRFGLKDVLFWTPSTCGVLAYTHYRDLVERGYTPLKDMSEVTNGYLETSLDWIPGMNNIQLKDFPSFIRTTDINDTMLSYFITEAAAIPRGSAVVLNTFQALEQDSVNPLIALNPRIFTIGPLHLMQQHIENDQVKHIGSNLWKEDESCISWLDTKDPGSVVYVNFGSITVMTKEQLIEFGWGLANSKKDFLWITRPDIVGGNEAMMPLEFVDETKGRGMVTSWCPQEQVLKHPAIGAFLTHSGWNSTIESISSGVPMICWPFFAEQQTNCRYSCVEWGIGMEIDSNVKREEVEAQVREMMDGKKGKMMKSKALEWKKKAEEAVAIGGSSYLNFDKLVTEVLLRK >cds-PLY87148.1 pep primary_assembly:Lsat_Salinas_v7:5:265495636:265498163:-1 gene:gene-LSAT_5X136820 transcript:rna-gnl|WGS:NBSK|LSAT_5X136820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLAEGLDDGRDMQDAEFYKQQVKALFKNLSRGHNEASRMSVETGPYIFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERSYANQIETAARPYAFIKFDTFIQRTKKLYQDTRTQRNISKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSESRIYAEKARDLNRQALIKKYAPFAIVVGCLTPRSIAQKKAFFKAHYKKIATIKAEVKAGLLNQEVLPVGGSEKDDQVK >cds-PLY72272.1 pep primary_assembly:Lsat_Salinas_v7:7:87773073:87775720:1 gene:gene-LSAT_7X60761 transcript:rna-gnl|WGS:NBSK|LSAT_7X60761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLQVPYNATVKLMLTSLERNLLPDAVIRRLTRLLVAGRLRNCFKPTSQEQLQDLMAFVHSLEEMPIAVKTEDAKTQHYELPTSFFKLVLGKNFKYSCCYFRDKLSTLEDAEVAMLELYCEKAQLKDGHTVLDVGCGWGSLIIYIAKKFSNCKVTGICNSVTQKAHIEDQCGKLSLQNVEIIVADISTFEMEGSYDRIFSIEMFEHMKNYKDLLKKISNWMKEDAFLFVHFFCHKTYAYHFEDVSEDDWITRYFFSGGTMPSANLLLYFQDDVSVVDHWLVNGKHYAQTSEEWLKRMDKNMASIKPIMESTYGKDSAVKWTVYWRTFFISVAELFGYNNGEDWMVTHYLFKKK >cds-PLY80690.1 pep primary_assembly:Lsat_Salinas_v7:3:171556392:171559472:-1 gene:gene-LSAT_3X106581 transcript:rna-gnl|WGS:NBSK|LSAT_3X106581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRNPVETQMAVAVFNSPLGREHHANNGMESTKPSGRRRVFVQTETGCVLGMELDRSDNAHTVKRRLQIALNVSVEESSLTFGDMILKNDLSAIRNDSPLLLTRNLNLLHRSSSSPCLSPTSKDIQQHNGDRSGPIEILGFSTRNTKQLVKEIVKAMNTGVDPIPVQSGLGGAYYFRNSKGESVAIVKPTDEEPFAPNNPKGFVGRALGQPGLKRSVRVGETGFREVAAYLLDYGNFANVPPTALVKITHSIFNVNNDVTVNGNNENKMKKQYSKIASFQHFIPHDFDASDYGTSSFPVSAVHRIGILDIRILNTDRHAGNLLVRKVDGRFSQVELIPIDHGLCLPENLEDPYFEWIHWPQASIPFTDEELNYIQQLNPYRDAEMLKSELPMIREACLRVLILCTIFLKEAAAYGLTLAEIGEMMSREFRRGEEQPSEFELLCLEARRQVVAEREKEVVAVAGLSSPKVEEDVFQLDIDFDDLGFPFHFGRNGNGNGNGNPFSKFHETIEEEEEEFAGEVVPASVKIKAEGKKLAVVNTSSGHRSASEQLPASATFVKLGEMKEDEWVVFIEKFQEAVFPAFVNRKSAAKGQRQLQRLGTSCQF >cds-PLY90283.1 pep primary_assembly:Lsat_Salinas_v7:3:247809444:247809620:1 gene:gene-LSAT_3X136401 transcript:rna-gnl|WGS:NBSK|LSAT_3X136401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEEYADIVGLAFYDGGPANHQQSLPGSFSPEVLDDMYQQARQNSKNIAAGSANSVAG >cds-PLY84243.1 pep primary_assembly:Lsat_Salinas_v7:7:76894799:76895122:-1 gene:gene-LSAT_7X54741 transcript:rna-gnl|WGS:NBSK|LSAT_7X54741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEGNESQPSTSSSIECSQPYRPFEISEILLATDNFNGSLVIGHGEFGNGFKGTLSMDQALSLLPLNGWIPCPPKVNRSSGRKFRGFLSCVTVISCLCLVTTITKKR >cds-PLY65857.1 pep primary_assembly:Lsat_Salinas_v7:4:85127002:85135058:-1 gene:gene-LSAT_4X57380 transcript:rna-gnl|WGS:NBSK|LSAT_4X57380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTNLTSLDLGTNNFSGSIPDDLSSCQNLRAMNLARNRFHGEIPETFKNFRSLSYLSLSNCSFSNLSNTLKILQHCPNLTVLVLTMNFRGEQLSSDDDKLSFRAFKALVIANCSIPSYLGDFDSPFYLDPSNNSLSGVIPKNLTHLPCLSFQEISLEEGSPDFPFFRRPNISNRAVVLQYNQIMSFPPLLDLSNNHFIGSIWPEFGNLKSKGAIAGMAIGIRIGTLFILLLMFLIVFRAPTRQEVDPEKDDETDSNKEEHESKLVVLFQKSNENNTDLSLNDLLKSTNNFKNGLESVRVRHMRGFSVTVTYDNKALIIDGRKRILQSGSIHYPRATPEMWPDIIGKAKEGGLDVIETYVFWNYHEPVKGQYYFEGRFDLVKFVKTVHEAGLFVHLRIGPYACAEWNYGGFPLWLHFIPDIQFRTTNGPYQAEMELFLAKIVNLMKEENLFASQGGPIILAQVENEYGNVEWAYGVGGELYVKWAAETALSFNTTVPWVMCAQDDAPDPIINTCNGFYCDEFTPNSPSKPKMWTENYPGWFLAFGYPVPYRPVEDLAFAVARFFEKGGTFQNYYMYFGGTNFGRTAGGPLVATSYDYDAPIDEYGFIRQPKWGHLRDLHMAIKQCEEYLVNADPTHQSLGINLEADVYYKTPDDCAAFLSNYGSALDANVTFNGKSYFLPAWSVSILPDCKNVIFNTAKVVAQKSVGDATTFVETEFSLSDSSWSWYVEKVGVWSNESFTETSLVEQINTTKDTSDFLWYTTRIEANEKKDALLVIQSLGHAALVFLNKKALAFGYGYHDDASFNISIKISLEQGNNTLDIISMMIGLQNYGPWFDIMGAGLYSVTLADLKNAKQDISSNEWTYQVGTEGESLGLDKTDAANSSLWTHGTELPTNQSLIWYKSTFIAPEGKGPLSLNLASMGKGEAWVNGQSIGRYWSAYLSPSTGCTDNCDYRGTYNAQKCQKKCGQPAQILYHVPRTWVHPGENLVVVHEELGGDPSKISVLTRTGQKVCGHVSEDDPIPVELWKPNSDSSSQSPQLRLTCDQGWKISSVGFASFGNPKGDCSAGFTQGSCHVDVISIVEQVCIGKEKCWIPVSTAKLVNPCPEVAKTLAIEALCTA >cds-PLY72778.1 pep primary_assembly:Lsat_Salinas_v7:4:373638132:373640403:-1 gene:gene-LSAT_4X184621 transcript:rna-gnl|WGS:NBSK|LSAT_4X184621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAASNSASAPPSGNSFSSAAKDGMTVEECETMIQRSLRTPSVKFLREHLEKSGCNIASNFIKAVNCDQKMSGGYVRGEGIVVCSNYMNIQDEVNQVVIHELIHAYDDCRAANLDWTNCAHHACSEIRAGHLSGDCHYKRELLRGFVKIRGHEQECVRRRVMKSLANNPYCSEAAAKDAMEAVWETCYNDTKPFDRAP >cds-PLY89731.1 pep primary_assembly:Lsat_Salinas_v7:7:42570742:42579028:-1 gene:gene-LSAT_7X30920 transcript:rna-gnl|WGS:NBSK|LSAT_7X30920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFFSRSTSNDSSQQSPSTPSSSTPLFSPSTSVASGPARPIRLVYCDERGKFQMDPEAVSLLQLVKEPIGVVSVCGRARQGKSYILNQLLGRSSGFKVASTHRPCTKGLWLWSTPLKRTALDGTEYNLLLIDSEGIDAYDQTGTYSTQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRASGGKTTASELGQFSPIFVWLLRDFYLDLVEDNRKITPRDYLELALRPVEGGGRDVSAKNEIRESIRALFPDRDCFTLVRPLSNENELQRLDQISMEKLRPEFKAGLDELTRFVFERTRPKQLGSTVMNGPIFAGITQSFLDALNNGAVPTITSSWQSVEETECQKALEYATEVYKSSFDRTRPPEEAALRETHEEAVQKAVAAFNSTAVGAGSARQRCEKRLRVFFTKEFEDYKREAFMKAYMQCSNAIQKMEKELRAACQAPAAKFDNILKVLDQLLSSYEATAYGPEKWQKLASFLRQSLEGPVLDFVKKQIDHITSEKSSLHLKCRSIEDKMGLLTKQLEASEKYKSDYIKRYEDAIKDKNKLAEEYMTRISDLKNNSSSLEEKYSNLSRTLESAKRESMEWKRKYDVALSKQKAGEEQASSEVANLKARSSAAETRLAAAREQTVAAQEEAAEWKRKYDVAVREAKSALEKAAAVQDRASKQTQNREDALRAEFACTLADKEAEIKSKAAKIDQAEQRVTTLRLELKAAESKIKSYDSEISALKSDIKELSKQLETANATAQSYERESRILEQERIHLQQKYRSEFDRFEEVQERCRTAEKETKRATELADIARAEAMAAQKDKNEIQRLATDRLTQLTKLQQHIETLSRQKTDLSHELNQFQSAEMDAISKVSILEARVEEREKEIENLLNTNNEQRANTVQVLESLLETERAARAEATNRAEALSVQLQATQGKLDLLQQQMTTIRLNESALDNKLRTASQKRFRVEEGEHEMGTDSVQEIGRGNKRSRSTNSPLKFGLSEDGGSVFKGYDGNYSQETEDYSKFTVVKLKQELTKHNFGAELLQLKNPNKKELVALYERCVLGKE >cds-PLY98383.1 pep primary_assembly:Lsat_Salinas_v7:5:316942576:316944731:-1 gene:gene-LSAT_5X174581 transcript:rna-gnl|WGS:NBSK|LSAT_5X174581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVSYSRHHQFLSSNNGIAIQLPSKKLMSFIRCSSPQSTPIVRPELSIRVGTQLIPHPKKVAKGGEDAFFVSSYNGGVIAVADGVSGWAEKDVDPAQFSRELMRKASSLVEDEEVNYDPRILVRKAHAATSSTGSATVIVSMLDKNGILKVANVGDCGLRVIRKGQIIFSTFPQEHYFDCPYQLSSEAVTQTYLDATVTSVDSMKGDIIVMGSDGLFDNVFDHEIVSTITKHQDVVEAAKVLADLAYKHSVDFYFESPYSLEARTRGFDVPWWKKLVGQKLTGMTNGILVISSSCI >cds-PLY69035.1 pep primary_assembly:Lsat_Salinas_v7:9:139736528:139738423:-1 gene:gene-LSAT_9X88740 transcript:rna-gnl|WGS:NBSK|LSAT_9X88740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKGRPLPKFGDWDVNDPASAEGFTVIFNKARDEKKTGGKPESPANGDPGYKHSSAPVKKPPAKKWFCCMQAPNAES >cds-PLY83398.1 pep primary_assembly:Lsat_Salinas_v7:8:553808:563781:1 gene:gene-LSAT_8X1461 transcript:rna-gnl|WGS:NBSK|LSAT_8X1461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRLLLIILVSLLVSKVAIAADISRNDFPKGFVFGTATSAYQVEGMAHKGGRGPSIWDEFIKTPGLEPNNATGEVTVDQYHRYKEDIDLMANLNFDAYRFSISWSRIFPNGTGKVNPEGVAYYNRITPYANLNHYDIPLALEKAYLGWLGHQVVKDYADYAEFCFKSFGDRVKNWMTFNEPRVVAALGYDNGFFAPGRCSKAYGNCTAGNSSTEPYIVAHNIILSHAAAVRRYRRKYQATQKGRIGILLDFVWYEPLTRSKADNMAAQRARDFHVGWFLHPLVYGEYPKTMQNIVKDRLPKFTKQEVLMVNGSFDYVGINQYTTYYMYDPHQKPPKDLGYQMDWNVGFAYARKGVPIGPRAYSYWLYNVPWGLHKAITYVKERYGNPTVFLAENGMDDPGNISLGKGVRDTTRINYYKGYLAALKQTVDEGANVIGYFAWSLLDNFEWRLGYTSRFGIVYVDFKTLKRYPKMSAHWFQKILSRTTKHHAPGSNGV >cds-PLY61997.1 pep primary_assembly:Lsat_Salinas_v7:5:89316890:89317747:-1 gene:gene-LSAT_5X42581 transcript:rna-gnl|WGS:NBSK|LSAT_5X42581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPILKGFEHLRMKLDAIKKATNEFAIDNHIGGGGFGPVFKGELISDETTTKTVAVKCLNRKFGQGDPEFLKEIIMLSAYKHENIISLLGYCEEKDEKILVYEYASKKSLDKHLTSHELTWVKCLKICIGAARGVAYIHNPAGGHQRLLHRDIKSSNILIDENWNAKITDFGLAKIAPANQQNSFIITNNNNVVGTVGYTDPQYMERGILTKESDIYSFGVVLFEVLCGRVCIININDTSTPFLTHIVRYHHRRKKLKELVCRNIKDDIHPSSYKIFSEIAYQCLH >cds-PLY77472.1 pep primary_assembly:Lsat_Salinas_v7:4:48921770:48922575:-1 gene:gene-LSAT_4X33021 transcript:rna-gnl|WGS:NBSK|LSAT_4X33021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYEPHTHSHSHHRSKRGNANLASCIVATIFLLLIAFGVAIVYFLVFKPKNPKIAVDSVQFPTFSISNGTVNFTFFQFVSVTNPNRDAFTHYDSSLQLAYSNAPVGFIFIPAGKIDGGHTQHMSAKFAVESFPIPARPPPVTAEAIGGGSELGMDGATMEIETRMKLVGRVRVLKIFTHRVESGVNCGVVIEVRSGSVLGLHC >cds-PLY96040.1 pep primary_assembly:Lsat_Salinas_v7:MU038517.1:1179888:1182349:1 gene:gene-LSAT_0X47520 transcript:rna-gnl|WGS:NBSK|LSAT_0X47520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSSNQYPLILFLLHHYSNLRGVSVINSVSTSYFTTAQIFMLMLTPATLQTISIKRIDSTLLGDYPTSINAGFQGPTHEPDSERAESMELCGGFSFDGSSTTRDRGRGGKGRGSGRD >cds-PLY85384.1 pep primary_assembly:Lsat_Salinas_v7:5:243378413:243378938:1 gene:gene-LSAT_5X120141 transcript:rna-gnl|WGS:NBSK|LSAT_5X120141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SHI-related sequence3 [Source:Projected from Arabidopsis thaliana (AT2G21400) TAIR;Acc:AT2G21400] MMRCLDCGNKAKKDCLYYRCRSCCKGHGFNCQTHVKSTWVPVSTRQILVRNSTTTSSIEQQQINLSLSSGIGGHFPMEVSGESTFTCVRVATTSRENEVVDQYAYETSINIGGRIFRGILYDQGPYIPTMDFQDMNVNAGDHRPT >cds-PLY96013.1 pep primary_assembly:Lsat_Salinas_v7:1:12728263:12728661:-1 gene:gene-LSAT_1X10600 transcript:rna-gnl|WGS:NBSK|LSAT_1X10600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDSKLCKYLTIYVHYNGLFAPKPLVYMNVVVVSISDVDFGAMHLKEFKLFVARLIKGNCDNVYYCIRNEPLAEGIRRFRNDANYYEFIETGYSDEAGLRMNVYIDHANEAVLDWADMEVLEDDEGHYSEP >cds-PLY75091.1 pep primary_assembly:Lsat_Salinas_v7:2:93952873:93953106:1 gene:gene-LSAT_2X41860 transcript:rna-gnl|WGS:NBSK|LSAT_2X41860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAWTLTTPIIGIYNIIYHYPSIFKAISPHYIYQFFLRNGLEGWLLLNGMVLCITGKSFLPFFSFFNFFMLNFWMDK >cds-PLY87838.1 pep primary_assembly:Lsat_Salinas_v7:2:66015737:66017000:-1 gene:gene-LSAT_0X38501 transcript:rna-gnl|WGS:NBSK|LSAT_0X38501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQWDYKEKGLVNALRAKDKKSMPNSYFPWSVCDVATVIIRELNNRGVFKMVQSHVKNVKSGLKNMIRVFIATTYDNHKNVVHLAFEMVEKIVQDNFWLCIKELAKIDMGSVAYEILMTLLVTIDVSRRALAKNITAVTPYFGYVEADRKTQWHESIAIKQVCNLMMEEGAVRVLACDFHSGQSMGYFDISVDHVYCQHGRVLLPKSYLVHLWKLIKKKGCRRNVAEVMNLTCNGKGKVFILGDMIDNVGTISKGAATKIWPGKEGTNSLAMEKQSMRTNVEFLEKFGVGGWYFQAVTIRRGKNQVEHEELKNLHIGNVETTACKADEEAVMMIISAMEQVGGIYVVVVDHGKAEVKKKRPPERIWVLCINFIHLEDKVVLLGWGIVILLILGK >cds-PLY86626.1 pep primary_assembly:Lsat_Salinas_v7:1:3664908:3665783:1 gene:gene-LSAT_1X2900 transcript:rna-gnl|WGS:NBSK|LSAT_1X2900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVFAVDDLSIFSGSHSYIISATSGSTLCRTISCRSRIWXRRQERGKPLLITTSGAGGGLTSAPMRMNYVRIKVPDVIRNVKIVVHSVYLPFPHINPVAAAYDSILGGSEVSGGDQTMEGTCAALDGHEVVVSPRCLRSSQWWRSKITMAFKDRRKGPRKGKPFEYQSGPCAGLAWKATRKHCYSSLGTDRQPTTGTRFALARLTPACLLPTIVHFKKDPSFHYSWNLTDLLGQLLSAWVGSPPA >cds-PLY67673.1 pep primary_assembly:Lsat_Salinas_v7:4:3341268:3343457:-1 gene:gene-LSAT_4X2221 transcript:rna-gnl|WGS:NBSK|LSAT_4X2221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRTGEIHYRIGHPPSTAFIEGTRVIPQVLLEFWYTCRVEGSAGDIVNDREHTVRITLDHLRKILRLSILPKYDLEVSKSQARSVLLETARISRLKSVQESLQKMPNIDSKGDVKLQHLHYLINLLLPFVKNIREEQEVEIVVEAGACEGVEAWSFDQHLGVAVFIPAGCPFQMRNLQSTVELGLDFFFPKSLAEAVGKVSLYAASSSIKEVQKLVLDPK >cds-PLY66550.1 pep primary_assembly:Lsat_Salinas_v7:4:340321427:340322932:1 gene:gene-LSAT_4X167261 transcript:rna-gnl|WGS:NBSK|LSAT_4X167261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPTPIKDTESSFIFPDQTCQRFTLSEIQSATQNFDEALVIGRGGFGKVYKCSKIGSMTEVAVKRLHSRSDQGANEFESEVKVLSKLRHGNLVSLIGYCIEGIEMILLYEFMPNGTLEYHLRTPNLSSSWLQRLKICIGAARGLDYLHTGTSTQHGVIHRDVKSSNILLDADFAAKISDFGLAKVGVIDQSRTHMSTAVKGTFGYIDPCYFYSGKLTMKTDVYAFGVVLFEVLSGRKAVDSTLDEEQCGLAAWAQHQIKEGKINQIIDPRLLGQVSKKRLKEFASIAGLCLHPQPKHRPTMAEVVVKLESILSKERESATSVVDDEGFIFKLRSLFVGKSVDAAIGSKSDFSVHREPIVAEVNVVIENDTYGSFRTFTYAELVIATNGFKDEEQSTALHESIYKGWVDERTYAPTKKGVGLAMYVRKMEIPTQKVLPIFEMITTWELL >cds-PLY81942.1 pep primary_assembly:Lsat_Salinas_v7:4:275911344:275915545:-1 gene:gene-LSAT_4X141580 transcript:rna-gnl|WGS:NBSK|LSAT_4X141580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGISSSKAETCEALRLCKERKKFIKQAIDSRYNLAAAHVVYIESLQNIGIALRKFAEREVILGSSSTTYAEKPPPPPPSPPQIDLNGATGGGGDQSDSPSPLPDMSLNYMKSSGAGVVTVNVNPSKSSNCNKIYLDDVENPSFTMSPPQPPPPPPRYSWDYFDPTEGSFRFMSHERFQVNSVDTNVHDQFPDQDADLGEFNTPPESVKKDQNPHFDDNNLVANEANGVAENECDMNDGCLSEEETEDSSEFVIHGGKDFLSDIKEIENHFIRASKAGNEVSRMLEANKIQFSYSDAKGNSTSTGSSLALLTCFKGETSLVLHEPQQTAKVITWKRSMSSRSSSSLHPPSTPTKNDNENNFMEEFCMIAGSHSSTLERLYAWERKLYDEVKASESIRKEYDRKCDQLRHQFAKDLKPHVIDKTRAVAKDLHSRIWVALHTVDSISKRIEKIRDEELQPQLVELIQGLIKMWKSMLECHHAQHKTISSSHHFTISRTSTHQNESKNQILIELQHEIECFALSFTDLIKCYTSYINSINNWLQNCITQPKERAKSRRVFSPRRAVAPPIFVICRDWLAGVRALPAQKLGDAIKDLVSHVHRVSMEEFEKKEMDVENGEVMGLDLSKIHVGLTKVLDRLTKFSEESLKMYEEIEENSETAGKVYLNYRPPMRAFSV >cds-PLY74588.1 pep primary_assembly:Lsat_Salinas_v7:7:35173334:35174501:-1 gene:gene-LSAT_7X26941 transcript:rna-gnl|WGS:NBSK|LSAT_7X26941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYTQPTNGAGVEKNGIPPGKPMSIGTHMLDKGAKMLQSLKPIKQMSQHACTFALYSQDMSRQIETHHYITRINQDFLQCAVYDSDDSSGRLIGVEYIVSDRIFDTLSPDEQKLWHSHAYEIQSGLLVHPRIPEMVAKPELENMAKTYGKFWCTWQTDRGDKLPIGPPSLMMSPQEEEIAKVKPELVEKRDDKYNISTAAIRGSRTDIMGLGKLNPMADYWREHKKCFGIDVESAEMKKLTTFP >cds-PLY90305.1 pep primary_assembly:Lsat_Salinas_v7:2:198753943:198755513:1 gene:gene-LSAT_2X119980 transcript:rna-gnl|WGS:NBSK|LSAT_2X119980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTEPQPNNRQLALFPLPFQGHINPMLQLANILHTKGFKITIIHTHFNSPNQSNYPQFTFKSISDGLSESKNKNLNLDNPNSVINFLNKSCINPLRDCLVKLQEEEPIACVISDALWYFSQSVADSLNLPRIVLRTSSMSCILVYSALLPHIKNCYLKTTIEEEDPIPDLSPITEKDMLKIFNEDCKEGELELIFSMIRTIKAASGIIWNTFKELEEPAFCAITQNICIPTFPLGPFHKYFPASSSSLLEQDRSVMLWLDLQPVRSVVYVSFGSIAQMGKAEFRDMARGLAKSKQRFLWVVRPGSVSGSEWLQSLPKGFIEEVGERGCIVKWAPQQEVLAHPAIGGFWTHNGWNSTLESICEGVPMICSPCSYDQPINARYVTDVWRVGVMLDKGTEEEFGRVMKSVIMEEEGCEIRQRSKYLQEKVNRSMEKGGSAYESLENLVDFIVSL >cds-PLY91210.1 pep primary_assembly:Lsat_Salinas_v7:3:77718617:77718922:-1 gene:gene-LSAT_3X58221 transcript:rna-gnl|WGS:NBSK|LSAT_3X58221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFKTSHLLYLILCLSLLIFIYYEFFTTTTTISEAMQRHPSTNRKMLSASKFDFTPFLNHHLHHHYYHSGLEFGGIISDVTDPRYGVEKRLVPSGPNPLHH >cds-PLY98878.1 pep primary_assembly:Lsat_Salinas_v7:5:19210413:19210622:-1 gene:gene-LSAT_5X9660 transcript:rna-gnl|WGS:NBSK|LSAT_5X9660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRDSDVDWWILYLGCDWIRWNLRRCMTHASAVMSQGVVPVEMCQLAVKQQDYHMAGQRNSKGARLRGS >cds-PLY89912.1 pep primary_assembly:Lsat_Salinas_v7:8:68229113:68233503:1 gene:gene-LSAT_8X49341 transcript:rna-gnl|WGS:NBSK|LSAT_8X49341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAVSVFLALAFGFYVFFAPFVGKKMYQYIVMGIYTPLIISAFGLYIWCAGADPADPGVFKSKKYLKAPNNKTGHRVKESKHGGESASSIQDPNTASVGDKPSIKNSKNQDSRTEDNVSETNNETSTSKPLMAILAFIPCALMCHCSNPSDESSELHTSEDGMFYCSLCEVEVFKYSKHCRVCDKCVDRFDHHCRWLNNCVGKKNYRKFFTLMISALLLLILQWSTGIVVLICCFLDHKRFNLDIASKLGSSFSLVPYVIVVAVCTILAMIATLPLVQLFFFHILLIKKGISTYDYIIALREQEQQGMGGQQSPQMSPASSITGLSSASSFNNFRQGAWCTPPRLFVEDQYDVVPQDSGSVSSLGKKTIEEPMKKKNPAPVKISPWTLARLNAEEVSKAAAEARKKSKILQPVSRKESQQMGLGMGPGPGPYGLERDSSLGSSERRLFSRIDNNRRRGSKRVRLPEHLQPVEQPPVTGGSLAGLVPLQLEARSVFRPMSGSNGIIGSSPDSSLDSPDIQPVRISSGAEEGRKSIGLPPSAAHVGVMPLSRSTSDGYDASGGEDSDRVSSRLVQRSGNWGARFFGGEEDERIARFKMPSSSTSSAYANERKM >cds-PLY84115.1 pep primary_assembly:Lsat_Salinas_v7:6:191232911:191235354:1 gene:gene-LSAT_6X117561 transcript:rna-gnl|WGS:NBSK|LSAT_6X117561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDLYGQFADKWAYAEVRAKEELIEKVSVFRDELVKNVKDENSFEKLLEVKGWKLFTMYSNGAALLELLRLLESNPHLAMKVFNWRREREDRPMSSEEYAKGIKIAGRMKNVDLALEIFAEATTKRIKTTSTYNALMGVYMWNGLSEKCQSVYHDLKQDTICHPTSATFNMLISIFGYRVLIDQMEAVFQEMKDSNIAPTLTTYNNLIAGYLTAWMWESMENTYRMMETGSIRPDLETRLLMLRGYAHSGNLEKMEEMYNIIGGPHIREKHIPLIRAMICAYCKSICSNRNRVKRIEELMKMIPENEYRPWLNVLIIRVYAQEDLVDQMDRSIDEAFYRNTSVNAVKIMQTIAATYIRNNAVDKLARFVKRAGDSGWRICRSLFHGLLWMFASHKRIEEMEEVLVEMERFNYGCSKRTFVILYKAYSEQEEDEGYKRKLHRVLGLMCKHGYGVPS >cds-PLY63453.1 pep primary_assembly:Lsat_Salinas_v7:7:150593044:150594204:-1 gene:gene-LSAT_7X89600 transcript:rna-gnl|WGS:NBSK|LSAT_7X89600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSCSLLFHVLLLIQFCSSRDIIDKNLKNDNHNEENHIKIYGKNEADDFDPALKVFFNVNDLYKGKKMPIYFATSDPSTTPRLLTREQADSIPFSSSKLRYLLDFLTLTNDSPQAKAMEQTLKQCELDPTPGETRFCATSLESMLDMTRGVLGMVKLKVLTTKIHNLTDSGYTLLQEYTFLKEPSEIHVPDMVACHTMAYPYAVYYCHAQKGNNRAFEISLGGKNGNRIDAIAVCHMDTSKWDTDHVAFRVLGVQPGSSPVCHFLPADNIMWIPSV >cds-PLY96342.1 pep primary_assembly:Lsat_Salinas_v7:1:28235985:28238525:1 gene:gene-LSAT_1X23220 transcript:rna-gnl|WGS:NBSK|LSAT_1X23220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLHLLMLVSLLSSQTPLTTSSSSPPYALKLGSSLFVDNKDDVLISPDNVFTAGFYSVGNNAFCFSIWFTKPLSTGSHTVVWMANRDAPVNGKRSKLSLTKTGNLVLQDADQDLPIWTTRTRDLTDSAELKLNNSGNLYLQSKDEKILWQSFGFPTDTLLPNQPLTKESMLVSSRSKTNHSSGFYRLFFDSDNVLRLVYSGPKVTGVYWPNPELRAWDAGRSTYGSERTASIDTFGRFISSDGWFFNSSDDGIQIMRRVTIDIDGNLRVYSLNENRTFWKVTWQAMAQTCMIHGSCGENSTCSNQPTFGRKCTCLPYHKMVNQTDWSYGCEPEFEQTLCGNGHDSFLHLSHFDFYGYDLKYLPNVTLDVCKEECMNMCSCKGFQYKFDKKQGIFLCYPKFLLLNGFSSVSFNGSLYLRVPKITLFSNTTKATQELGLNCSGHPMMVEMERVYDKKNERGSIKFLLLFTYVFGALEVVCIIYFFYKTRKSVTKSQGYLQAATGFERFSYRDLRKASENFSMEIGRGGGAIVYKGVLSDNRVAAIKRLKEANSNQGEAEFLAEISTLGRLNHMNLIDIWGYCAEGKHRILVYEYMENGSLEENLHSKKLHWDKRFEVALGTAKGLAYLHEECLEWVLHCDVKPHNILLDQDYLPKVADFGLSKFFDRDGRDNSEFTKARGTRGYMAPEWFFVSLPITSKVDVYSYGVVMLEMITGRSPQGGDQSGGYERPMVQWVREKVADGYGGKSNWIEEIVDSTVKGEYDRIRMGNLIKVALQCAEEIKDARPTMSQVVDMLLRGEEL >cds-PLY97792.1 pep primary_assembly:Lsat_Salinas_v7:7:120596504:120599478:1 gene:gene-LSAT_7X73480 transcript:rna-gnl|WGS:NBSK|LSAT_7X73480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 1 [Source:Projected from Arabidopsis thaliana (AT3G05740) UniProtKB/Swiss-Prot;Acc:Q9FT74] MDSEENLEMEKTRLISLALEFGFDEESARVCLDRLVGLYGNDGRDFITVEHCGDDFLASLAETMQDSEDWDDLQALETEACGTLADMFDKDSSRHNETDDDDIMEPFVHVSKNPSSKLQKHENLLHLDSTSEDEDPDFNISSKKDIKSTHSSSYNKSTQMPIPPQSTSNKKDEKMKTTQPSVLSFFGKKTCPTALNGHETLSYEELKSLDDLELANVVIFGNKSFRPLQHQACKAFVQKRDCFVLMPTGGGKSLCYQLPATMQTGVTIVISPLLSLIQDQIITLNLKREKPSCKLLYVTPERIAGNLSFQDTLKSLHNKGQLAGFVVDEAHCVSQWGHDFRPDYRVLGCLKQNFPNVPVMALTATATHSVRKDILKALKIPHAVVLETSFDRPNLKYEVIEKNKEPLKQLGKLVSDRFKNSSGIVYCLSKNECAEVSKFLNEKCKIKTVFYHAGLSARQRVAVQQKWHTGEVHVVCATIAFGMGIDKPDVRFVIHNTLSKSIESYYQESGRAGRDNLPSECVALYQKKDFSRIVCMLRSGGGKSESFKIAMGQARQMKQYCEEKEKCRRQMLLEHFGESFNRRVCKNGSNPCDNCFKT >cds-PLY77275.1 pep primary_assembly:Lsat_Salinas_v7:4:164143607:164145729:-1 gene:gene-LSAT_4X97420 transcript:rna-gnl|WGS:NBSK|LSAT_4X97420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKIADVKFKARSTRKPLRDVSNGGFKSSIRNPVIQKPNPDTQQQDPVTICGDGASRDPLDRLLLVHSDLSVLIHQIDELVVQALEQKVRNKKEIESFAHLLNNMQTSLKPWIPRFQKLLSTNDTTASKNQLETILATSKKITPPSPSINKPLENQESYKLDFMVSPSPLVSWHAECTQEGGRSLFMLTPLPKPSAFTSKLKKSSKSVFKNITNDLPVATSEFSSPEKLLRKNDNNNNNNNNCVIVSTPYLKMSPPKSCILLEPASEHCNKKTNGGVKNAIIGGGDSEPSSSSSISQGYGHLGLKYPELFGIKHGDKMGNSRKAVEASPNWCISPPKTCVLLEPSDDDKVVVIKDVEINLHKPRDKGVIDSTPMCKDLDTTVLRGKRVGENTLKKELWMRFEAATGDELRFKASVSGQTTTTTTTSNECSNNGKGFMELLEEVCSDD >cds-PLY96279.1 pep primary_assembly:Lsat_Salinas_v7:6:157637252:157639607:-1 gene:gene-LSAT_6X95441 transcript:rna-gnl|WGS:NBSK|LSAT_6X95441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEESVRIKQDTNAKRFLIMNPIRYILREQRILYLVIGIAISVLLFNVDVIPSSPALKVAEQISDSTPIPRRITFEVHAKGSYHVNIGGKIPLGLLNKNNDDHKTNVVGTLNMLGLAKRINARFLLTSTSEVYGDPLEHPQVETYWDNVNPIGVRSCYHEGKHVAETLTMDYHRGLNIEVEGLMRLMEGEDVGPFNLGNPSEFTMLELANAVQVTIDLNAKIEFRPNTEDDPQ >cds-PLY72052.1 pep primary_assembly:Lsat_Salinas_v7:2:204793747:204794974:-1 gene:gene-LSAT_2X125100 transcript:rna-gnl|WGS:NBSK|LSAT_2X125100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCIDKNGMKKGAWSEEEDNKLRDYIERYGHWNWRELPKYAGLSRCGKSCRLRWMNYLRPSVKRGNFSKEEEDIIIKFHQEIGNKWSAIAAQLPGRSDNEIKNHWHTHLKKRSTKQNHHLVLVHELKQEQQEQQHHHQSSNIQQNRIINFASVVSTSSDNRVSNESTSSHRLSDDSMSRPSLQQQRLLFDLNQEYVESWEEDSKSKLGKEEFEC >cds-PLY96484.1 pep primary_assembly:Lsat_Salinas_v7:2:124580478:124581564:1 gene:gene-LSAT_2X57661 transcript:rna-gnl|WGS:NBSK|LSAT_2X57661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRLSYIKTDNSIVGINQQHVTHPTTRPPRPVPIPIDEDLQPKHQKPKVHVLEKHLVDQLSNEEQSSLNSKFQEATEADKKVLYKIKLVRIPYLPLSPHIPRPKLKVCMLGDAQHVEEAQKIVLGYMDVEGLKKLNKNKKLVNKLEKKHQAIFFSNQLYWCNYFGGVQEICGKRCCF >cds-PLY97817.1 pep primary_assembly:Lsat_Salinas_v7:9:2131713:2132512:1 gene:gene-LSAT_9X5460 transcript:rna-gnl|WGS:NBSK|LSAT_9X5460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARSISNAKFLSSFLVDQLSVVARRGYAAATSSGSVRGSGVAMMKKGGEESKKSSTPWVPDPVTGYYKPEGQTNQVDAADLRELLLKQKTGRH >cds-PLY73834.1 pep primary_assembly:Lsat_Salinas_v7:7:67259949:67261753:1 gene:gene-LSAT_7X49261 transcript:rna-gnl|WGS:NBSK|LSAT_7X49261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLTARDEKRGADVVAELHSCGLSDVVFHQLDVTFPASIASLANFVDTRFGKLNILVNNAGVITNNIDEEFFSNFNLLTEKIGEKAYKKAYEKGTQYSKKVVPQTYEGARKCLETNYYGAKHVTQALLPLLLKSTFPKIVNISSKLAQLQYVQDEGAKKILSDVDGLTEEVVDGVMSEYLKDAKDQESMEKKGWSNIVSSYVISKAALNAYTRILAKKYPFLSANAITPGYLATDFTSSKGIFTVEEGARGPVRLALIPGGGPSGQYFFQMEKSTF >cds-PLY85692.1 pep primary_assembly:Lsat_Salinas_v7:7:158207837:158210391:-1 gene:gene-LSAT_7X93060 transcript:rna-gnl|WGS:NBSK|LSAT_7X93060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFELRRAREKLEKEQKDRKEKARARLDKERKAKQEANRQREAIEASQRARRIDAMEAQLKADQQMEENILVGRGISFNRVLEAVPFEGSGDKIKLPPSCFNDLSSEGAFDKGPLHFQLSVHHQNNSSPSETITTHAGVLEFTADEGTVIIPPHIWNNLYSKKDPITPLIEVKYVWLPKGTYAKLQSQELGFSDIPNHKAVLETTLRQHATLSQNDILTVKHGVLTYHLHVLELKPSPSVSVLETDIEVDIVGPDSLPENNTQHVLKPLTFGKPESGIINEGDYIYYKFTINDEIWGKISSGYAEIEVKLDSEAKDCDTDLYLSRHPLLFPSTHQHGWSSHDMGSKSLVLGSKDHNLGIGGYSVGVYGFKGTTKYNVIVNIQDIPTTNVSQQGQQSVSSSSSSLVDTVECGNCKHYIPLRTIGLHEAYCRRHNVVCEHDGCGVVLRVEEVKNHVHCVKCGLAFHCREIEKHMKVFHEPLLCPCGITLEKTQMVEHQASECALRLVICRFCGDMVEAGTLAVDARDRLKGLSEHESRCGSRTAPCDSCGRAVMLKEMDIHQIAVHQKN >cds-PLY86021.1 pep primary_assembly:Lsat_Salinas_v7:8:125789330:125789815:-1 gene:gene-LSAT_8X88480 transcript:rna-gnl|WGS:NBSK|LSAT_8X88480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQMQILGHIVLFELESLYIKDLDAFIAAIVVSIAISFIPASLVVAIMKTRLKAMVARDESRRRRNKAATIVQISEQLAG >cds-PLY87601.1 pep primary_assembly:Lsat_Salinas_v7:8:111049782:111052054:1 gene:gene-LSAT_8X78141 transcript:rna-gnl|WGS:NBSK|LSAT_8X78141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDASVQSDIKLWPFKVTPGPAEKPMIAVNYKGEEKQFAAEEISSMVLIKMKEIAEAFLGSTVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKSTAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDITGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGVDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHEVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTTLIQRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNTLENYAYNMRNTIKDEKIGEKLSPADKKKIEDAIDEAISWLDANQLAEADEFEDKVKELEGVCNPIIAKMYQGGEAGAGGSMDEDIPSGGGAGPKIEEVD >cds-PLY71709.1 pep primary_assembly:Lsat_Salinas_v7:3:47310275:47312556:-1 gene:gene-LSAT_3X36180 transcript:rna-gnl|WGS:NBSK|LSAT_3X36180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATLSVIGVFGGLGFMLIVLVYAWMFFNWVWLTPKNTEKSLRDQGLKGTSYKFLYGDVKEIVKMITEASLKPINLTDDILPRVLSFAHNAVTTHGKNCFTWAGPKPILHITDPTMIREMFGKYEDFQKAKGSNPLLTLLAKGLVLVEGDQWVKHRKIINPAFHVEKLKHMVPAFYVSCDEMIKKWEDTLKEESSCEMDVWPHLTTMTSDVISRTAFGSSFVEGKKIFELQRELAELIITASRSIYIPGSQFLPTKSNKRIKAIAQEVKGLVRGIIDKRVTEMKSGKCSNDDLLGILLDSNYKEIKQHGNNKFGLSIDDVIEECKLFYFAGQETTATLLVWTMILLAQHKNWQDHARDEALKVFGEKKPAINVLSDMLSQLKIINSVFLEVLRLYPPVVAMTRMIHKETKLGDINLPAGSLIQLHTMLSHYDPNIWGDDVKEFNPERFYEGVSKVTKGQSVYFPFGGGPRVCIGQNFAMLEAKLALAMILSRFSFELSPSYSHAPRPIVTLQPQFGAHLILHKLT >cds-PLY76928.1 pep primary_assembly:Lsat_Salinas_v7:9:6483797:6490121:-1 gene:gene-LSAT_9X1361 transcript:rna-gnl|WGS:NBSK|LSAT_9X1361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAIELIVKADTDPLKRPFTHPSILFYAKVAADIDLDTLLKLALSVTTVLIYASFLKILHIGTTQWEILRYFLLSSFKEITSTMATAKALEPAFQGVGSKPGLEIWRIENFQQVPLPKSDYGRFYIGDSYIVLRTSNGRGGAYGYGIHFWLGKDTSNVIFGGFSPIGKKVLGDDDIVLDKTPGKLFNKVGVRVDDENIVPKPSVSYDDVP >cds-PLY68679.1 pep primary_assembly:Lsat_Salinas_v7:7:84010592:84014771:-1 gene:gene-LSAT_7X59540 transcript:rna-gnl|WGS:NBSK|LSAT_7X59540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:GalAK [Source:Projected from Arabidopsis thaliana (AT3G10700) UniProtKB/TrEMBL;Acc:A0A178V5L4] MGVTVLSWPSDSEVKVFDPLDKIRKIVAEMAGVAVDVVRIVVSPYRICPLGAHIDHQGGTVSAMTVDKGIILGFVPSGDPQVLLKSGQFSGQVSFRVDQIQLPKHIDKSNGNTLEECKWGSYAKGALYALQRGGNHLKQGIIGFICGTKGLDSSGLSSSAAVGVAYLLALESANNLNISPTENIEYDRLIENEYLGLKNGILDQSAILLSSYGCLTFMDCKTKKHKLIHPPKLEMEKKSETKIPYKILLAFSGLKQALTTNPGYNRRVSECREAAKILLKASGKEVEPILSNVEQEEYEAHKSKLEPDLARRAEHYFSENMRVIKGLEAWGSGNFEEFGKLISASGLSSIQNYECGCEPLIQLYEILIRTPGVYGARFSGAGFRGCCVAFVKAEYAEEASLFVKKEYLKVQPHLASYLNQETSVVICDAGDCARII >cds-PLY96070.1 pep primary_assembly:Lsat_Salinas_v7:3:96444897:96446835:-1 gene:gene-LSAT_0X42481 transcript:rna-gnl|WGS:NBSK|LSAT_0X42481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNVCFRLHHLPIAFAIGIKNMIYSQPCFRFFIGFRLTDSFFPLFTAGLCGTGKRIDVYYATVGPLDHNTAVITLGELQSIGDLETYMTSIQ >cds-PLY95201.1 pep primary_assembly:Lsat_Salinas_v7:8:61841167:61841952:1 gene:gene-LSAT_8X44921 transcript:rna-gnl|WGS:NBSK|LSAT_8X44921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGTLVNQVTIKSDGDVFHEIFRQRPHHISEMSPGCIKNVDLHEGEWGVVGSVIVWDFIHDGKAKVAKEVIEAIDEEKKSVCFKVIGGDILEAYKTFLITVHVDTNGEENIVTWTFHYEKVNENIDDPHTLMDFCLTVTKDIENHHLPKAN >cds-PLY62514.1 pep primary_assembly:Lsat_Salinas_v7:1:84381988:84382599:1 gene:gene-LSAT_1X70380 transcript:rna-gnl|WGS:NBSK|LSAT_1X70380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSESYNTLAIYWIEVNPPCLCRDQPASKLREAWKPNNPGRRFYNCAKSMISNDSCNFFQWLDPTLPKHYKDTLWNMKLRIDDLLVRNGQVVELQKKVEKHKLLRKDEKELVEARIQELVIEIERLKKMLKKGCFDCSGLLVVFGHVL >cds-PLY66495.1 pep primary_assembly:Lsat_Salinas_v7:5:184109416:184113562:-1 gene:gene-LSAT_5X80620 transcript:rna-gnl|WGS:NBSK|LSAT_5X80620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RPN13 [Source:Projected from Arabidopsis thaliana (AT2G26590) UniProtKB/TrEMBL;Acc:A0A178VY31] MDSSDTELFPAIQEVLLEFRAGKMHMEGTRVVADPRKGLVRIGRGEEGLVHIQWLDRSSNTIEDDQIVFPEEAVFEKVGQASGRVYILKFQTDDRKCFFWMQEPRADEDEELCKSVNLYLNQPIDFPTEEEENTLGEDISSRAGDLVGPSTGAELISDVSSSGPVKLSDLQRILSEAADPDGGLGLGDILKPELILPLMENLSLEQVASHLPEGQWSPEELMELLQSPPFRQQVDSFTYVLKTGQIDLTQFGVDPSKYKFTVLSFLEALEDSVAKTSNSDEPMQDAEES >cds-PLY95613.1 pep primary_assembly:Lsat_Salinas_v7:2:69062482:69064834:-1 gene:gene-LSAT_2X31300 transcript:rna-gnl|WGS:NBSK|LSAT_2X31300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEREEGTEKKVSATSGIITGQLIMFISIYYARLHLALGRPHTITVLALLYLLFHFFCNTHKHFFDYGSTNKNSMRNRSSGYRVGPVVQRIRARGNESWCRGFESLLAHNRPKREGPFPLGFQNHHIIIHYFQQKNKKGGNLVSLYMKIINSVVVVGLYYGFYTTFSIGPFHLFPLRAYIMEEGEEGTEKKVSTTSGIITRQLIMFIAIYYAPPHLALGRPHTIIVLALLYLLFHFFRNTHKHFFDYGSTNKNSMRNLNIQCVPK >cds-PLY71818.1 pep primary_assembly:Lsat_Salinas_v7:3:58558637:58560242:1 gene:gene-LSAT_3X45780 transcript:rna-gnl|WGS:NBSK|LSAT_3X45780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPRDAGVVKKLRESGAIILGKASMSEWAHFRSSLAPNGWNARTKQAVNPYVETADPCGSSTRSAISVATNMVTVSLGTETDGSLLCPSSANSVVGIKPTLGLTSRAGVIPISPGQDTVGPICRSVTDSVYVLDAIVGFDEDDAVTTRKASKYIPHGGYLKHLKPGGLNRKRLGIVRDYPNFGFGNDTETLNKFKKHFITLRQSGATLIDNLNVTNYDYIMPMFLGETIAILAEFKISLKAYLKELVDSPVRSLADVIAFDKKFANVEKLKEYPQDLFLEAEKTNGIRELEKEALKNLTRASKLGFEKLMKENKLDALWFSGISVPGGYDKNGAPYGICIGGLKGSEPTLIVIAYGFEQATKFRKPSPIKDHQLSGDYVVENLDESLNHILDLCDVG >cds-PLY96327.1 pep primary_assembly:Lsat_Salinas_v7:5:191804610:191805451:1 gene:gene-LSAT_5X84821 transcript:rna-gnl|WGS:NBSK|LSAT_5X84821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTFSNVVFLFCVVLSIVFASADAAYGVAKLPEIPHKMKQKEIPKPIAVQGLIYCKSGSKFIPLKGATARITCLARNQKGLESAPFSVSSCPADDKGYFLAKLSPPSTKFLKNAQWELKECKAFLESSPLKDCKVPLDINGGVKGAHIISSSSHRLLKNANLYSLKPFFYTSDKPNTVSDNKKY >cds-PLY89644.1 pep primary_assembly:Lsat_Salinas_v7:8:221039738:221041236:1 gene:gene-LSAT_8X133721 transcript:rna-gnl|WGS:NBSK|LSAT_8X133721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGYGQGTYKGVNLHQPKRWHTVTGKGMCAMMWFWILYRAKQDGPVVLGWRHPWEGHGHGHGDEH >cds-PLY97585.1 pep primary_assembly:Lsat_Salinas_v7:5:236854670:236859690:-1 gene:gene-LSAT_5X115740 transcript:rna-gnl|WGS:NBSK|LSAT_5X115740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANEQAVLPADDTVRDVAVNAPVSASSSSSVSSASVLTSETVSDNANSGKNTSNTMYDDDDDEEGDVCRICRNPGDADNPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHPFSFSPVYAENAPAKLPFQEFLVGMSMKACHVLQFFLRLTFVLSVWLVIIPFITFWMWRFSFVRTFTEAQKLFLTHISTTIILTDCLHGFLLSASIVFIFLGATSLRDYFRHLREIGGQDGNVDDEGDRNGARAARRQAQQPNRNVVGDDVAAAQGIAGAGQIIRRNAENVAARWEMQAARLEAHVEQMFDGLDDGEGAEDVPFDELVGMQGPLFHLVENAFTVLASNMIFLGVMILVPFHLGRFILHHLSWLLSSATTPMLMTVVPLTEQALSLANITLKNTLTAVANLTSDHSHSSEIIQTNVTTPFSPDNVLETTSATSSWVSDVTTLAVGYIFVFSLIVFYFATVALIRYTKGEPLIMGRFYGIASVLETIPSLFKQFVTAVKHLMTMIKVAFLLVVELGVFPLMCGWWLDFCTLKMFGKSIGQRVDFFSVSPLASSLIHWAVGIVYMLQISIFVSLLRGVLRHGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMAPNIFPLDITVSDPFTEIPANMLLFQICIPFAIEHFKLRATIKSLLHYWFTGVGWALGLTEFLLPGPEYQPAAANGNRDPVRQDLAIVGHVAPPPMDNNVNPPEADADERTDSERYGFVICIVLLLLAAWMTLLIFNSALIVIPISLGRALFNAVPLLPITHGIKCNDLYAFIIGSYVISTGLAGARYAIDQIKTKRATVLLGQIWKWCSIVVKSCILLSIWIFVIPVMIGLLFELLVIVPMRVPVDESPVFLLYQDWAFGLIFLKIWTQLVMLDQMAPLVDDSWRVKFERVRENGFSRLQGVWVLREIVIPIMMKLLTALCFPYVLARGVFPVFGYPLVVNSAVYRFAWVGCLSFSLVCYCGKRFHVWVRNLHNSIRDDRYLIGRRLHNFGEETERQDDELLVGNGEMGSEGDDVVGLRHRVRGGNVVPLEAGGVFV >cds-PLY70765.1 pep primary_assembly:Lsat_Salinas_v7:3:136111664:136112053:-1 gene:gene-LSAT_3X90801 transcript:rna-gnl|WGS:NBSK|LSAT_3X90801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKEELSEADVSDEENNDEEGVLDMWMHVDDGEKEEGEISPVSKRKEFSDVREEPVQGERSTEMKKFPGSLEKSPEPIRVDQGSSGNPQRSATFPMESDGSSPENYSRTIGNGYFHLPKIEKIRLGPLK >cds-PLY61701.1 pep primary_assembly:Lsat_Salinas_v7:5:216959448:216964700:-1 gene:gene-LSAT_5X100160 transcript:rna-gnl|WGS:NBSK|LSAT_5X100160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLDGSYCCVSCGKVSGILRYWKKQLAIAKDARRVDSLCYIIYLSYRLLDGTSRFQELHKIVKEAKSILETEVGPLSGVSAKMARGIVSRLTVANEVQSLCSIVIQNDDQLSKISTTSQITKVTEAFISVHFLWHLCQGHPKAASLRTIPLPFPDLCACLFDGNSATGNCRSYSTQSSSVAGASSCRVPPLQITATPFRVIDDDGDDTSHHEPPPSAASPSAASPYIASPSGNPNKRAKPSTPIPPCASPSASSLDGTSITADELALEMKKALQSLTKGYTIPQCLEKLEVLQLGPTDSLRFVAYHIFGGTMNMREMWMHLPDVPEILRGWLEMTGTSLGVLKDGKIVH >cds-PLY80739.1 pep primary_assembly:Lsat_Salinas_v7:3:84923541:84925301:-1 gene:gene-LSAT_3X64081 transcript:rna-gnl|WGS:NBSK|LSAT_3X64081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEDYEMDGAYDDEPLEPEPEEGAEEEDGEGENKDDELPDPLLADNEDKEDQEQVDRPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELREKKIPFTIRRHLPDGSYEEWGVDELIVEDSWKRQVGGD >cds-PLY65772.1 pep primary_assembly:Lsat_Salinas_v7:5:271113061:271114714:-1 gene:gene-LSAT_5X141721 transcript:rna-gnl|WGS:NBSK|LSAT_5X141721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPDFQAHTFCVQIGVDFRASMEGNGGAQLDGKVLQTFQKSFVQVQTILDHNRVLINEINQNHESKIPDNLSRNVSLIRELNNNIKKVVDLYSDLSSNFSRSIDVNSSEGDSRSDGKGLQKRTRPG >cds-PLY99332.1 pep primary_assembly:Lsat_Salinas_v7:1:69728528:69729293:-1 gene:gene-LSAT_1X59700 transcript:rna-gnl|WGS:NBSK|LSAT_1X59700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGTLSKEVEVKVPADKAWDLYGSIKLGDIAKQILDNLEVVEGDGGVGTIIKITFKPGSEISYYKEKYTMIDDEKKVREAEIIEGGHLDFGFTLYRVRIEVKDNLNDEMGSSCLVKTTIEYEVKEEAKDNAKLATIEPFVVLMKLANEHLLSSI >cds-PLY99234.1 pep primary_assembly:Lsat_Salinas_v7:6:184990422:184991513:-1 gene:gene-LSAT_6X112280 transcript:rna-gnl|WGS:NBSK|LSAT_6X112280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLKVIEENQDLYIRMPSSLLIGRRVHSIDRHYSDVEKEDLELNFFSLSIITKATNNFSVENKLGEGGFGPVYKGVLETGQEIAVKQLSRTSEQGYDEFYNEVVCVAKLQHRNLVKLLGYCMDGDERTLIYEYMSNKSLDFKTLLQHTNEVIMKIDAKSK >cds-PLY88264.1 pep primary_assembly:Lsat_Salinas_v7:8:152761372:152763161:-1 gene:gene-LSAT_8X102800 transcript:rna-gnl|WGS:NBSK|LSAT_8X102800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHMKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVQSILMQRHVLVDNKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSVRDEEAKFKLCKVRSVQFGSKGIPYINTYDGRTIRYPDPLIKANDTIKLDLDTNKIVDFIKFDVGNVVMVTGGRNTGRVGILKNREKHKGSFETVHIQDSTGHEFATRLGNVFTLGKGTKPWVSLPKGKGIKLTIIEEARKRQAAQAAQVA >cds-PLY93800.1 pep primary_assembly:Lsat_Salinas_v7:6:143517014:143519389:-1 gene:gene-LSAT_6X86521 transcript:rna-gnl|WGS:NBSK|LSAT_6X86521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWIFGRKGASGFSASSTAEDVTEGINGTGLTAIITGATSGIGMETTRVLALHEVHVIMAVRNTESGRSIKENILKKIPNAKVDVMELDLSSLASVRNFAAEFISSGLPLNLLINNAGVMAPPFMLSKDKIELQFATNHLGHFLLTQLLLETMKRTSHEQKKEGRIVNVSSEAHRFAYKGIYFDNLNDESSYSPIYAYGQSKLANILHAKELTRHFKENGVNLTANALHPGSIATNLLRYHNIVDGMVDWVGKYFLKNIPQGAATTCYVALHPQVKGVSGEYFMDSNIAQPNSYGKDEKLGKELWDISLTMVAP >cds-PLY68618.1 pep primary_assembly:Lsat_Salinas_v7:2:72395458:72396844:1 gene:gene-LSAT_2X32921 transcript:rna-gnl|WGS:NBSK|LSAT_2X32921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METPTSSAPIPPPPESELPQTTAELEQLVLERITIAMETARSLNDGRQVVEVNGQGNEAGSTLHSHPEEPRRTCSYKDILILILRHLKMNDLWRSIIPPADEPMCPVLLGYPFFPDYASVYQTISLAEHVPMLSDEFEHEEDPEEDPEEVMEEEPGEDPEEDMDEDEVIMITDSESSASIPPTPSRSFLGFSLRRSRKTARISVPKPITIKYNLRSPRTKKTMEPPVSESNTENQRTDRKRTAGTFEEGQASGAAPASDMDIDKLSFLLEQNVRLNGQIWHVSDELSNMQGQSIKTKEEMARMNQRQGQYQILQDNLCQEMDYRHNSWTYFDSRVTDVET >cds-PLY67932.1 pep primary_assembly:Lsat_Salinas_v7:5:299772472:299772831:-1 gene:gene-LSAT_5X160921 transcript:rna-gnl|WGS:NBSK|LSAT_5X160921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDSLKANDDVIGDVPKPTNVSDYPPPKVMSVENVSTLEKDDEVLEEILFEDECTTAGVLPIQTSLTIFHKPSSSKAHFHFGLTSSSEASDENFEPKHNDVVPPPLETAPKDHQSCPSQ >cds-PLY89259.1 pep primary_assembly:Lsat_Salinas_v7:5:310511860:310512120:1 gene:gene-LSAT_5X168100 transcript:rna-gnl|WGS:NBSK|LSAT_5X168100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQKINPIGFTLGTTQGHHSFWFAQPKNYFEELCKKNMKTSSGVEGIARIEIQKRIDLIQIIIDMGFPKILI >cds-PLY65067.1 pep primary_assembly:Lsat_Salinas_v7:1:118796272:118797678:-1 gene:gene-LSAT_1X92680 transcript:rna-gnl|WGS:NBSK|LSAT_1X92680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLSCNHFEGQIPQSLQHLRGLQSLNLSNKHFTGHVLPSLWHLKNLEALDLSRNNLSGEIPPQFV >cds-PLY82988.1 pep primary_assembly:Lsat_Salinas_v7:1:18714491:18715073:-1 gene:gene-LSAT_1X16321 transcript:rna-gnl|WGS:NBSK|LSAT_1X16321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLHYSINHLDEAQVITKEDKFIVTSRRPQPPNCHPQPPVSSYLPALPLVKFVGTARNEAAEANGATPATTDAAIMEPSLLIVAVERISRSRQIFLHILHILYIRFQILQY >cds-PLY80068.1 pep primary_assembly:Lsat_Salinas_v7:4:349478013:349481436:1 gene:gene-LSAT_4X172180 transcript:rna-gnl|WGS:NBSK|LSAT_4X172180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHEHAIAAALLFQQNGGVLPFDRSTSLRHPPGSANSKRHNPLPRSSSTRPRSVADPLLPPQQLLNQDLNLDELETSHIVLVHGGGFGAWCWYKTIALLEECKFKVTAIDLTGSGIDLFDTNSIKSLSQYVTPLIDFFEKLTDGEKVILVGHDFGGSCISYAMELFPSKISKAIFIAASMLKSGQSTLDMFSQKENTNDLMRKAQKFIYTNGNNLPPTAIDLDKMLLKDLLFNHSPPKDVALASVSMRPIPFPPVLEKLSLSDSNYGKVRRFYIETPDDNAIPIALQQSMINQSPPEKVFRIKASDHSPFFSKPQALHKLLVEIAKLT >cds-PLY96728.1 pep primary_assembly:Lsat_Salinas_v7:6:76999366:76999755:1 gene:gene-LSAT_6X56001 transcript:rna-gnl|WGS:NBSK|LSAT_6X56001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKRGSKRSKTSNDYPQTSDACSQTNLNDKDVEFESEQPRHFVGMDKAKMVPSLLNNSPENKYQRLFDIMDQHLSLQKEKIAILIEKVAFKKQKVYLDILETKTDDLEGDDLLAVLAIKKLVRDIYL >cds-PLY74703.1 pep primary_assembly:Lsat_Salinas_v7:5:25781210:25783882:1 gene:gene-LSAT_5X13501 transcript:rna-gnl|WGS:NBSK|LSAT_5X13501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMSVGYYGDTAMKKIPGGGVGGRYDVPEGVDIRGRYDEEFAKILTKDALIFVAALQREFRNHVKYAMECRKEAKMRYNSGGLPGFDPATKNVRDGDWMCAEVPPAVADRRVEITGPVERKMIINALNSGAKVFMADFEDALSPTWENLMKGQVNLKDAVNGTISFQDKARNRVYKLNDQIAKLFVRPRGWHLPESHIFIDGEPAIGCLVDFGLYFHHNHAAFRKTQGEGYGPFFYLPKMENSREARIWNNVFERAEKMAGIEKGSIRATVLIETLPAVFQMDEILYELRDHSVGLNCGRWDYIFSYVKTFQAHPDRLLPDRVLVGMGQHFMRSYSDLLIRTCHRRGVHAMGGMAAQIPIRDDPEANAAALELVKKDKLREVRAGHDGTWAAHPGLIPAIMEVFTNNMNNSPNLIETMKREDAASLTEEDLLQIPRGVRTIEGLRLNTRVGIQYVAAWLTGTGSVPLYNLMEDAATAEISRVQNWQWLKYGVELDGDGVGVKVTHELFGKVVEEEMARIEREVGRERFKKGMYKEACKLFTRQCTAPVLDDFLTLNAYNHIVVHHPGGSSKL >cds-PLY92989.1 pep primary_assembly:Lsat_Salinas_v7:1:147076818:147079958:-1 gene:gene-LSAT_1X103040 transcript:rna-gnl|WGS:NBSK|LSAT_1X103040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHAHKCIATIVFVLLIIRSVIFFSDATDVGTKCTRSELEALLNFREGLIDPEDRLSSWKGNKCCQWHGIGCDNSTGAVNKIDLHNPYPLSYGHDQPGRYGFWNLSGEIRPSLLKLKSLTYFDLSHNTFNENKVPEFIGFLKNLRYLNLSTAGFSGRIPQSIGNLSHLQYLDVSSNFGSLNVGSLEWLTKIWYLKHLKMTNVDLSQVGPNWSRLLNNLTSLTVLHLRSCRLSTLSPIDLPALSDVDLSMNSFDSKFPDWILNLTSLSSIDLSSCGFYGRVPLSLGELPNLRYLSLAMNNNLTVSCTQLFKGSWPKIEVLDFASNKLHGKIPAAIGNITSLTSLNLFQNNVEGGIPGSIGKLCNLKFLSVSGNNMTGSLPEGVDTCVSNSSMPNLERLWLTNNKLVGRLPEWVGQLGNLEELELDYNQLHGPIPTSLGRLQRLTSLGLGGNKFNGTLPESFGQLSELTNFDVSFNDLTGIVSEAHFLKLSKLTFLHLSSNSLILHLKDDWIPPFQVRNLDLGSCQVGPSFPNWLKTQKNINYLDLSNASISDSIPFWFWDMSFNLSLLNISLNQIHGQLPSLFDVVPFADIDLSSNLFEGPIPLPSVEVELFSLSFNRFSGVIPYNIGKVMPNLIFLSLGSNRLSGGIPSSIGEMVSLLVIDLSNNSLTGYIPSGFGNCVYLKALDLGYNNLSGLIPESFGNLQLLQSLHLDDNNFSGELPSSLKNLSSLETLDLANNKFSGDIPQWLGDSLTTLKILSLRSNSFSGGIPTNFPGLSKLQVLDLALNNFTGMIPTSLGNLTGMSHEQIINQYRFYGFYRGIYYKERLVVNLEGGFLQYTKTLSLVAYMDLSTNNLNGHFPIELTKLIGLVFLNLSRNQIDGSLPDNISNLVQLGSLDLSRNKFSGVIPSSLSSLTFLSRLNMSNNNFTGRIPIGSQMATFGESSFSGNPNLCGPPLRIKCGSNSSDNMSPLPKDDKVDSDEDKWFPLSIGLGFAIGILVPWMIMSIRRPWGETYFKFVESAVHRIERVRTTTTQVIVTELDDHHSLSI >cds-PLY69394.1 pep primary_assembly:Lsat_Salinas_v7:5:301560014:301560268:-1 gene:gene-LSAT_5X161860 transcript:rna-gnl|WGS:NBSK|LSAT_5X161860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKNLPTTGGCRVAVLAEAGGGNAASGGEVNSGGGVQTNVDRRGKRRGGMKEKEQSCQSLPPRIQKQINHKSASGVYHEDPILN >cds-PLY83629.1 pep primary_assembly:Lsat_Salinas_v7:4:42195837:42197690:1 gene:gene-LSAT_4X29561 transcript:rna-gnl|WGS:NBSK|LSAT_4X29561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAGSYERFIWGFKLKTLKHSTETLTLSPIFSFPSHLSPIKSVAVAGSVAVSGGSDDTIKIYDLSTSSEVGSLNDPTATVTSLALYTPPSLSSFPRNLFSAYDDGNISLYDADPFVHLKTMKIHKKGVNDLSVHPSGKLALTVGRDSCLAMVNLVRGRRSFCCSLGKEASMVKFDCSGDKFFMVMDEKISVHEAEDAKLILELESKKKVLCIAPGMNGLVYGGGEDRNLTAWDTVSGKVAYCIEDAHSYRLKGIVVLSKIDGASEDELFLVASASSDGIIRVWDVRMANKNKSIPLAEVNTKSRLTCLAGSSVKSIKRPLADSSKSSKEEDEPTEDL >cds-PLY72585.1 pep primary_assembly:Lsat_Salinas_v7:3:199257825:199263451:1 gene:gene-LSAT_3X120701 transcript:rna-gnl|WGS:NBSK|LSAT_3X120701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVSTFFTVVFEKLASEALKKIARAKGIDSELKKLKRSLGQIHALLNDASHKEITDEAVKAWLNDLQHLAYDIDDLLDDLATEAMHRELTEVSGATTSKVRKLIPKCCSKFSLSSRMQYKLDDISTRLQELVDAKNDLGLIVVTNEKPKIVRYEACLVDASSIVGREGHKKALVQQLLVGKDESCNHQNFSVVPIVGMGGVGKTTLARLLYDEKEVKDHFELRAWVCVSDEFNISSISKVIYQSVTGENKEFADLNLLQEALKQQLMKKLFLIVLDDVWSESYDDWEKLVGPFLVGAPGSKVIMTTRKEQLLKKLGYAHVDSLQSLSHDDALTLFAQHALGVANFDSHSALRPLGEGFVKKCDGLPLALRTLGRLLRTKTDEEAWKELLDSEIWSLGNRDEIVPALRLSYHDLSASLKMCFAYCSLFPKDYEFEKEELILLWMAEGFLHQSTTSKSMERLGLEYFEELLSRSFFQHLPGDKSLFVMHDLMNDLAMSVAGDFFSRLDIEVKKEFRKKTLEKYRHISFQCDDFMGYERFEAFKGATNVRTFLALDSTRHTNPGSFSFSDKILANLVQQLPLLRVLCLSYLTISEVPECVGSMKHLRYLNLCRTHIKSLPENVCRLYNLETLIVYRCTFLANLPGNFSKLKNLRHFDFRCTPLVKKMPLGIAELKSLQTLSKIIIEGDDGFSMTSLKDLKYLQGKISITGLEKVQSAMHAQELNFSQKRISELEVEWSDVFDCSRKETLEKEVLCGLKPHNDDLIKLGIVSFGGKEFPNWVGDPSFLRLARVSIHGCKKCTSLPPLGQLPSLKKLSIHGMDEVKVVGSELPGNGLAFPSLESLSFVNMQEWVVWSGTVFPCLRKLWISDCPKLVKISLEALPSLRELTINNCGHEMLTSIVGVTSSVTQFNISYIPGLTDQLWRGVIEYLGTVEEIKIERCNEIRYLWESEEKSSKVLVNLRKLVVYLCSNLVSLGEIENNCGSHLTSLRILEVKFCHRLERCSCPDSIETLNIRGCHSITSVSFPSGAQKLKSVTIDGCKKLLDNVVGGEDVTRVLMNSSMTMLESVEISCWPNLKSVIELSRFIHLTKLEITDCPEIESFPDHELPNLTALKHLTITNCPSMDASFPCGLWPPKLSHLEIGGLHKPITEWGPQNYPSSLVELRLIGVRADLSNFSQLSHLLPPSLIYLGINKFENLESLSTGLQHLTSLQRLSIFMCPKTIDLPEMLLPSLLSLRIYSCPNLEQRCSKEGSYWWPRIAHIPLLDIF >cds-PLY81537.1 pep primary_assembly:Lsat_Salinas_v7:2:127802157:127803317:1 gene:gene-LSAT_2X59701 transcript:rna-gnl|WGS:NBSK|LSAT_2X59701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPASATMIGALLGLGTQMYSNALRKLPYMRHPWEHVLGMGLGVVFANQLVKWDVQLQEDLDKMLDKAKAANERRYFDEDDE >cds-PLY97120.1 pep primary_assembly:Lsat_Salinas_v7:4:75206212:75210482:-1 gene:gene-LSAT_4X50661 transcript:rna-gnl|WGS:NBSK|LSAT_4X50661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEASDDTRDIEKLYEYGERLNEAKDKSQNVDDYKNIIASATSTSLKARQLAAQLIPRFFKFFPGLSGTAVDAHLDLCEAEELGIRVQAIRGLPLFCKDTPEHISKIVDILAQLLIAEENVERDAVHKALLSLLRQDVKASLTALFKHIESVDEPIPDDNRERTLIFIRDKVFPIKSELLKPQEQMERHITDLIKKSLQDVTGAEFKMFMDFLKSLSIFGDKAPTERVQELIEIIEGQADLDAQFDVSDGDHIDRLISCLYMALPFFLRGASSSKFLNYLNKNIIPVFDKLPEERKVDLLKNLAESSPYSTPQDSRQILPSVVQLLKKYMPLRKTGEEMNFTYVECLLYTFHHLAHKAPNATNSLCGYKIVTGQPSDRLGEDFSEFYKDFTERLTCVEDLAKVTMKKLTQGMAQQNKTMATAISDEEKATVKTQKQNATTGLRTCNNILAMTQPLHAKSPSFIGDKRINLSWKEAVKTAAPSNNATGVKRPANTTNGSGNYTNKRGRGGGGGGNQLVNRAFEGISYGGRGGGGGGGGGRGGHGRGWGRRGGGGRGRGRGYY >cds-PLY79639.1 pep primary_assembly:Lsat_Salinas_v7:5:251916059:251916774:1 gene:gene-LSAT_5X126700 transcript:rna-gnl|WGS:NBSK|LSAT_5X126700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTSGKPRVVESVGEGVTELQPGDHVLPVFTGECKECAHCKSEESNMCDLLRINTDRGVMINDQKYRFSINGKPLFHFVGTSTFSEYTVVHVGYLAEINPLAPLDNVCILSCGISTSPVQLINLRPSQIYVANPLSQGVL >cds-PLY82217.1 pep primary_assembly:Lsat_Salinas_v7:1:65744608:65747077:-1 gene:gene-LSAT_1X56161 transcript:rna-gnl|WGS:NBSK|LSAT_1X56161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein 4 [Source:Projected from Arabidopsis thaliana (AT3G62800) UniProtKB/Swiss-Prot;Acc:Q8H1D4] MAHLYKSRLQNYAQKKNITFPVYSCEMQGPPHARLFKARVTLDGTTFEGPEFCTTLKDAEHAAAKVAFMALSPDGTQEDDCLYKSLLQELAQKKGLLLPVYATNRTGQPHMPCFSSTVEIAGECYAGQEARTKKQAEMNAAKVAFMALTEGVQRTNNAAVFSDIQIPGASISGSSSSQTVTIDDKESTLEQTTNKMRTISINDPPTYPIAKEPEKPKGCYGLKNLEDGLYMATAPTEDIQKKHAITMAPGVIHRPQPKTTSNVVDEAKLNIMRENLKTRANQAREGSGSGSGSGSNGKRNQSYVRVDLVKDSGSAMPHKTKIVIRAHAQGMTYEGPIHVSDDEWVAMKVNVEDEM >cds-PLY84065.1 pep primary_assembly:Lsat_Salinas_v7:6:192411230:192411445:1 gene:gene-LSAT_6X118160 transcript:rna-gnl|WGS:NBSK|LSAT_6X118160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEESSIRQEQARRALEEQIQAQCRQTEREKALIERETIRVRVKAGVEARAHEAKLAEDVNKRMLIERANA >cds-PLY72754.1 pep primary_assembly:Lsat_Salinas_v7:4:373061530:373062595:-1 gene:gene-LSAT_4X184141 transcript:rna-gnl|WGS:NBSK|LSAT_4X184141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEMNTKILCICVGNVHVVVVSDPQIACEFLKDKDGIFSSRPNCMSGYLTSGGYLTIVLVPMSDHWKMMRKILATEILSLHRHKWLQDKRDRETDNLLRYIYNRCYTNIKDTGGIVNVRIVVQQYSANVIRSIMFRSRYFGKGNTDGGPGEEEIEHVDSLLTVLGYLYAFSISDYFPWLRWITDFDGHETIIRKAIRASRKYQDRIIDERIREWKDGVRKKEDDLLDVFINIKNPPLTADQIKAQIFELTLATVDNPANGIEWAMAEMIKRPKIFNKAVQELDSVVGKY >cds-PLY90416.1 pep primary_assembly:Lsat_Salinas_v7:8:213387186:213395165:-1 gene:gene-LSAT_8X133361 transcript:rna-gnl|WGS:NBSK|LSAT_8X133361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVWGYVIPVLLLCIYVAKSVNLKRNKGKLPPGGRGWPIVGDSISWYNSVASSHPATFVKQQVLRYGKIFSCNIFGRRAVVSADPIFNKYVMQNEGRFFQSSYPKSFRDLVGKNGVITAQGDQHRKLHTIASNMMRTDTLKSRFLIDIQLVMHQTFATLHHNQTILLQDVCRKLAINLMVNQLLGVSSESEVGEMAQLFSTFVDGCLSLPVSLPGFAYHTAMNARKNIIRKIEKIIHETRHGECDGDGGLVGRLVEEGSLRDEAISDFIINLLFAGNETTAKTMLFAIYFLTTSPEAANKLLEEHQNIRNKRLDSDGEIYMLTWEDYKSMSFTQNVIDETLRLGGIAIWLMREAKEDVEYQDYVIPKGSFVVPFLSAIHQDENFHEKANSFNPWRWMDPQNQDKRNWRTSPFYSPFGGGARLCPGAELARLQIALFLHYFVISYRFDFVLKALILVGGPKLRRIECHFSHQQDWLMDFQSN >cds-PLY94536.1 pep primary_assembly:Lsat_Salinas_v7:2:158787750:158789094:-1 gene:gene-LSAT_2X83240 transcript:rna-gnl|WGS:NBSK|LSAT_2X83240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPDTTFSLPSLLCEEDESSLNEIKLDASLPKSEDDRYIRSLIETESKSNDYGCVCISDDDNSRNWFKCARLDAINWIFSVRSSLLLNDSPCFTLFVLVFIEFSNLLQTREILGFQFRTAYLSLTYFDRFISRRFIDIGKEWAIQLLCIACLSLAAKMEEQVAPSLSHYKAQGYNFESSVIQRMELMVLTTLEWKMSCITPFAYLHHFFSKVQDECECDEFLVSKSIELILDFSKEINSMDYRPSVVAIASVLLACDDQLTRNTMELKIGVVSSLYSLEKEHIYLCYNLLKDIKTKKNNTPCLMNSFSSLRTHWSPSSTIKRKLRFEQNCPLQKIIRR >cds-PLY81776.1 pep primary_assembly:Lsat_Salinas_v7:3:31454406:31462176:1 gene:gene-LSAT_3X21860 transcript:rna-gnl|WGS:NBSK|LSAT_3X21860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSEQSAMSSFFSLQNPPEASRIFDELPNATIVQVSRNEAGDISPMLLTYTIDFEYKQFKWQLVKKASHVFYLHFALKKRAIIEEIHEKQEQVREWLQNLGIMDHHAVVHGDDEEPDDDVTPVTNDESVRNRDVPSRAALPIIRPALGRQHSMSDQAKQSMQGYLNHFLGNLDIANSREVCRFMEASKLSFCPEYGSKHKEEYVLVRHLPKFPSNDDSRMCSACHLFSCCNDNWQQVWAVLKPGFLALLKDPFDKEPLDIIVFDVLPSSNANGENGVSLALEINEHNPLRHAFEASLMNCLNLSKLLFYPSVSSGNRRIRLRSKNKAKVKSWVTAINDAGLRPPEGWCHPHRFGSFAPPRGLTDDDSQAQWFVDGRAAFDAIALAIEEAKSEIFMCGWWLCPDLYLRRPFHANASSRLDALLEARAKQGVQIYILMYKELALALKINSFFSKKKLAALHENIRVLRYPDHFSSGVYLWSHHEKLVIVDYEVCFIGGLDLCFGRYDSYEHKVGDHPPTIWPGKDYYNPRESEPNSWEDTMKDELNREKYPRMPWHDVHCALWGPPCRDIARHFVQRWNYAKRNKAPNEQTIPLLMPQQHMVIPHYMGSGREQEDGNTGLMNATQPINRQDSFSSLAAFQDIPLLIPQEADGVDSASGNLRQSGLGKSNNNFHGQPQPSRPPRAPFSFRKSKIAPLFMDMPMRGFVDEQGRSSVTVVHPPMKSHDREWWESQERGNLVVSADESGQVGPRVTCRCQVIRSVSLWSAGTSQVEGSIHNAYCSLIEKAEHLVYIENQFFISGLSGDEIIRNRVLDALYKRILRAYQERQCFRAIIVIPLLPGFQGGLDDGGAASVRAIMHWQYRTISRGPNSILHNLSDRLGPIVDDYISFYGLRAYGRLSNDGLVASSPVYVHSKIMIVDDTAALVGSANINDRSLLGLRDSEIGVLIEDKEVVGSYMGGNPWKAGKFALSLRLSLWSEHLGLHGSEIHKIADPVIDSTYKDIWMATAKENTMIYQDVFSCIPNNLIHSRASLRQRMGELKGKIGHTTIDIGIAPKKLEPYQDGNAKGSDPMDRLEGVRGHLVSFPSDFMCKEDLRPVFKESEYYASPQVFH >cds-PLY67990.1 pep primary_assembly:Lsat_Salinas_v7:1:79296876:79297070:-1 gene:gene-LSAT_1X67600 transcript:rna-gnl|WGS:NBSK|LSAT_1X67600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMVAVVILMVMVVAMCSGEGGGWCSSGGSNGGSNGSDDEGGGSGGGGGEMMTVVAAVMVWSWW >cds-PLY66715.1 pep primary_assembly:Lsat_Salinas_v7:6:79840442:79841893:-1 gene:gene-LSAT_6X57300 transcript:rna-gnl|WGS:NBSK|LSAT_6X57300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPYSLFPTIPSKILTSFAKNLPVLTQRALVITSTTIDAVGLVIPDIGSNRIKRPCFFLTSPLATFNPPATLSPTAFPEEASHVSEANKDVSEKERK >cds-PLY67888.1 pep primary_assembly:Lsat_Salinas_v7:1:55778738:55781159:1 gene:gene-LSAT_1X49561 transcript:rna-gnl|WGS:NBSK|LSAT_1X49561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEMNPLLDNPHYKSSKLVIDYAGRRFRFGKIYKKPVILVMSGMGLVNAAIATQLLLTLFEIEGVVHYGIAGNANPNLHIGDVTIAENWSHSALWNWQRYGDGPENALPFEGEDGFTREIGYLKFGSYSTNGEDNLLNNVWYQAEEVYPVDGTPEKTQQAFWIPVDSDYLSLSKTLEKMKLEDCINTTTCLSTPPKVTTVQRGTSANIYLDNAAYRNFLYTKFNISPVEMESAGVALICYQQRVPFITFRALSDLAGGGSATSNEADTFSGLSANNSVIVTVEFIKLLTGRYNRKLLKTF >cds-PLY63428.1 pep primary_assembly:Lsat_Salinas_v7:7:149518639:149519882:1 gene:gene-LSAT_7X88560 transcript:rna-gnl|WGS:NBSK|LSAT_7X88560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKGTKNYLRMTTDPTASEAASKLINSDLKEIGDATMKLADHVMKLGVTGGFITTSLQWFACFAAIYLLILDRTNWRTNMLTALLVPYIFLTLPNWLFGILHGDIGKWLTLVGVVLRLFFREHYPEYLELPGSLLLLVVVAPSFVAGYVRSEWIGVVVCLGIGCYLLQEHIRASGGFRNAFTKSNGISNSIGIVLLFVFPVWALLGLL >cds-PLY72063.1 pep primary_assembly:Lsat_Salinas_v7:2:205070017:205071852:1 gene:gene-LSAT_2X126280 transcript:rna-gnl|WGS:NBSK|LSAT_2X126280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILRGLLIIVVLIVLPRQSYALSPLYSFRHLYEQSNVSPSPSPSNGVGLASGGSNFQQSCNRASRSCQLKNIAACLTYSQSGPDEEIWLYVQNNDKNPLHVKIMILASNNTIDEIDLPIHQMNKIKISRDIFYSNVAIALNTSVGDCVIHVVAPPPETNYQKYPSSYSIYITPINGAYFVILIFIIGGTLTFVKSRIHSSRHNDGVPYHELEMENSKALSSLDMEENGTGNWDEDWDDDDWGDKKPVKSGGQNPMKVEQLNTKLPNSNGSRKEWDD >cds-PLY63170.1 pep primary_assembly:Lsat_Salinas_v7:4:312429488:312430498:-1 gene:gene-LSAT_4X158161 transcript:rna-gnl|WGS:NBSK|LSAT_4X158161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFFRSASRAYYANSADILSGTTTPQSSSYTLNEDDYRTPSTTLTTINHHHHHHLHPKFPNSFLPETWILIRRNFINIRRTPELFLSRLMVLTIMGFMMATMFKNPGKTMQGITNRLSFFIFTVCLFFFSSNDAVPAFIQERFIFVRETSHNAYRASSYTIAGLITYLPFLLLQAAVYAALTWFALKLEGPFLYFLIVLYVSLLSTNSFVVFVSSVVPNFILGYAAVIAFTALFFLFCGYFLNSHDIPKVWRWMNVISTMTYPYEGLLINEFQRDEVFGVNLGGVNVSGVDILQSLHIYHEKDPKWFKVYWMLGWAVLYRVLFYIVLRFGTKNQRT >cds-PLY63496.1 pep primary_assembly:Lsat_Salinas_v7:9:136984935:136988863:1 gene:gene-LSAT_0X32620 transcript:rna-gnl|WGS:NBSK|LSAT_0X32620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQKSYCIFIAQSHLLSHCKRVYRASSYWPPIKVKDKLKVTFSDGGYEKVEVVQAYEEFVDDAIVKDSETVHIFVDNVNKEKMFVQENLVDNEMINDDWMDINPSVPRFDTILDDSKYLEDFKENIDFLFKSYSYLKPTIDLVINQAWNQFPNNKEILEYADKRNVEFNQLHQNIELSCDPGRPKRDLQPALPLFSPYHKKEVDTTERISRLEQRVVGSPFFATYNPREKNYESDNGFAGIKFVMESLLGCRIHEGVIDLSSAFLIDLEKYKGHTISSRFFHVMLTYDRIQSNLETFLCV >cds-PLY89801.1 pep primary_assembly:Lsat_Salinas_v7:2:138269033:138270254:1 gene:gene-LSAT_2X67700 transcript:rna-gnl|WGS:NBSK|LSAT_2X67700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METSHKQVVLITGCSSGGIGNALARAFAARNCLVVATARSLSSMPDLNDKSDMFFLQELDVLRDESVVEVVSNVIDKFGRIDVLVNNAGVQCIGPLAEIPLSSAQHTFNTNVFGTLRLIQAVVPHMASRKKGKIMNIGSISAMTPGPWSGVYNASKAAIHSLTDTLRLELNPLGINVTNVVPGAIRSNLGESAIANYSKMPEWKLYKKYEAAIKKRAYFSQGPNATPSQEFAEKTVDAILKQDPPSWFSYGQYSIISAIIYHLPIFLKDFILKKAMKCC >cds-PLY83861.1 pep primary_assembly:Lsat_Salinas_v7:3:52275565:52275852:-1 gene:gene-LSAT_3X39720 transcript:rna-gnl|WGS:NBSK|LSAT_3X39720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSVVDFNRREEGRRTPSKTTIHPWVFVIPSPTATTWKRNQNNKQQRLGFGCSAGIGEKNSNSRHTWVGFNGSDWKKKKKKEEDGGFGWCFID >cds-PLY82518.1 pep primary_assembly:Lsat_Salinas_v7:2:188870395:188871237:-1 gene:gene-LSAT_2X110920 transcript:rna-gnl|WGS:NBSK|LSAT_2X110920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METFTTFPSWLLTTSLLALSLFCLFLYTVRSKRSPMVVPELPPNPPKLPIIGNLHQLLGKPRHQALWQLSQKYGPVFLLHIGSKPYLVISSSAMAKQVFKTQDHVFCSRPMSHATKRLTYNYLDVAFSPYSNHWKKMRKLLVSEFLGPKRAILFNHVMVAEIETMVCSISANPSNTVVNLNKMFSAIVKAVVCKVAFGKNYREEPLKGPSWEVMVDETIEILNGSLGDSFPWIGQLIDQFSGWNYKLEKRFSNLDAYMETIIDDHQNHTTGEVTDDHDKD >cds-PLY77102.1 pep primary_assembly:Lsat_Salinas_v7:7:183812134:183813656:-1 gene:gene-LSAT_7X108760 transcript:rna-gnl|WGS:NBSK|LSAT_7X108760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGIIVFCGGIIGFARKGSTTSLAGGVGTGLLLFLASYLTLNAFHKRKNSWFGLILETVIAAALTWVMGQRYTETGKIMPAGVVAGISGMMTAFYLYKIVTGGNHFSAKAE >cds-PLY97344.1 pep primary_assembly:Lsat_Salinas_v7:4:286473422:286476102:-1 gene:gene-LSAT_4X145901 transcript:rna-gnl|WGS:NBSK|LSAT_4X145901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAISNLPLPDAFLEFLNENGIDPSIYTATDSTPRYIRLKPGCEEQLEAIEADIKCKLEKVEWLPNFYSLPPHIQIASSKSYQEGKIYGIDAASGAAVSALNISPGDHVLDLCAAPGAKLCMVLELLGNSGSVTGVDVAKHRLAACRTLVQKYKLGKFLPKEAYYIGIETCAHKFLLFLVNREFGVEKDEFLYKEWTSRRPWKERKRANKEIKNGFLELVQDPELIYYGRCSGVVGMSKIELFKTVSSHEISQYGYDKFRLLTNGFKLLRVGGNLVYSTCSLTHAQNEDVVERFLSLNPCAELLVIDGSESWPCKSGRIPKTLRFDPLTSRTSGLFVAKFTKLAKDNI >cds-PLY75774.1 pep primary_assembly:Lsat_Salinas_v7:3:69499989:69501177:-1 gene:gene-LSAT_3X53901 transcript:rna-gnl|WGS:NBSK|LSAT_3X53901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRVGSSPGPPFGSDKWPSSGGTTTAGGTGSGGGGGGLSSPASQESQGSMSEYQSTHRQGSSGSGGETSSLQERNDHHVIGSSCSKPPAPAGGGDHRESTPARNQGKEIRSSSMEEMPCVFTQGDGPNGRVIEGILYKYGRGEQVKILCACHGSFLSPAEFVKHAGGSDVLHPLRHIVVKPSSFS >cds-PLY83551.1 pep primary_assembly:Lsat_Salinas_v7:1:65467261:65468289:-1 gene:gene-LSAT_1X55200 transcript:rna-gnl|WGS:NBSK|LSAT_1X55200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADTHRDPPPPDTRRGAGKRTAAAAAPFEAATSEPGSIPNPPCGACKFLRRKCVNGCIFAPYFGSDQGAARFAAVHKVFGASNVSKLLTHIPVNRRQHAVVTISYEAQARLSDPVYGCVSTVLALQQQVAALQTELAMVQNQLINNRLIVANAIQNSQQQLEPMHHLSLLQPAYSNASSASNNILNMNSFDSSLNNLGDDHQSGTLSQSFNPLQLCQPCHDEEDDDEEESGDPLAFANQILQSR >cds-PLY99484.1 pep primary_assembly:Lsat_Salinas_v7:4:57511579:57513109:1 gene:gene-LSAT_4X38520 transcript:rna-gnl|WGS:NBSK|LSAT_4X38520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLTLAKPFSSFSADLHSPSVSTKTTSLGLRRNSFKVNAIASKYEPTKVKPQADRVLIRLEELPEKSAGGVLLPKSAVKFERYLMGEILAVGSEVADLEPGKKVLFSDINAYEVDLGAVGRHCFCKEADLLAVVE >cds-PLY82304.1 pep primary_assembly:Lsat_Salinas_v7:1:66481729:66484922:1 gene:gene-LSAT_1X56821 transcript:rna-gnl|WGS:NBSK|LSAT_1X56821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVSEKEEGKNDSHLTSAAAFVEGGIQEACDDSCSICLEAFCDSDPGTVTGCKHEFHLQCILEWCQRSSNCPMCWQAITLKDPTSQELLEAVEQERRIRFKPARTSTIFHHPTLGDFELQHLPVGVNEGELEDRIIRHLAAAAAMGRTHHVSRREGSRNRSTGHGQPQFLVFSANPNAPSSATDPGGVEGEPVGVTSASPSVPLRSTSETANISNSQQGTSVIHRSPSSQLSLPDQDQAGPSEFQTVTNSWKSRFNAISMRYKESLSKSTRELKDRLFNKSPSMSNIGSEDRREVNAEIENVSQRMEFLETHEDNRQDHDHNHDPASNDNNTPATGPNAASN >cds-PLY72186.1 pep primary_assembly:Lsat_Salinas_v7:7:59008465:59009507:1 gene:gene-LSAT_7X42841 transcript:rna-gnl|WGS:NBSK|LSAT_7X42841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLEKSSIIDPSDIQPTYLPDTSHLETYLPDELRWLCWNEYLFFFLPIAYMCKLVGLEMAEGNIKHLWEGREYARLKKSLVVIDKYKEKEWDIEQLVGSGGMPSSYSATVTALGTTVGVGFQDGISGTKFAIAIILAFIV >cds-PLY76956.1 pep primary_assembly:Lsat_Salinas_v7:6:68350488:68354479:1 gene:gene-LSAT_6X48561 transcript:rna-gnl|WGS:NBSK|LSAT_6X48561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLHHHHHQQQLQQPQQQNMNSGLTRYRSAPSSYFSNLISNGIYGDDDSDPFFNPRVSTTETDQILSRFTSSTTAVVAGSGGDSTSQQIMNQIGGYESQFIDSVKQEQEGVYSESLQQQQQQQPMIYQNQFQPQTDHINRPVSTCSSAMDDSLTTMHLPTNLLRQSSSPAGFFAHLDIDNGYPVMRSMDDFRSSRSNVQNSLLTSTKRLKNEMGFSGNSHTSSGILPRIPENEGKIMEMKNHLKDEDYVTGYQSGSWDDSDILSDSFLKELEESDHNKLSSLNSSQNQNDVGRIRAPTTLVHHLSLPTSTAELSAMDKLLQFQDSVPLRSRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAVDYIKELQKEAESLSDHHAKCICPHKQKL >cds-PLY84395.1 pep primary_assembly:Lsat_Salinas_v7:8:79137290:79140054:-1 gene:gene-LSAT_8X56781 transcript:rna-gnl|WGS:NBSK|LSAT_8X56781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVLIEGLPDAVALRCLARVPFYLHPRLELVSRAWRDAVHSTELYKARKEVKATEDFLCVCAFDPENMWQLYDPNRDIWLTLPILPSRVRNLAHFGVVSTAGKLFVLGGGSDAVDPLTGDQDGSFATNEVWAYDPIVRRWAPRASMIVPRAMFACCVLDGKIVVAGGFTTCRKSISMAEIYNPEKDVWVSIPDLHHTHNSACSGLVIDGQMHVVHKGLTTVQVLGREGWTVHEHSWVQGPMAVVKGSLYVMSHGVIYRQEKEVSKAVISASEFKKRIGFAMMGFGDDVYVIGGVIGPERWNCDIKKMSDVDVLTLGSERPVWRQAAAMTRCRGTILGCAELRI >cds-PLY90949.1 pep primary_assembly:Lsat_Salinas_v7:9:171289918:171293410:-1 gene:gene-LSAT_9X105201 transcript:rna-gnl|WGS:NBSK|LSAT_9X105201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTNMAYTITTKIIVFLYLTSISEAIRNVNSINSRDELSSSPINQVGICSLLIETQGYTCEEHKVTTKDGYILSMQRIPTGQGGKKSDKPPVLLQHGVLMDGGTWVLNSPDESLGFILADNGFDVWIANTRGTNYSRGHTSLNPSDPAYWEWSWDELVAFDLPASIQFVHDQTGQNMHYVGHSLGTLIAFSAFSKDQTLNMLRSAVLLSPIAYLGQISSTLARAGADAFLGEALFWLGLHEFAPRGKAVVDLLSVICKMPGNDCSDLMTSFTGQNCCVNSSMTDKFLEHEPQSTAARNMIHLAQMIRTGAITMYDYGNVDDNEKHYGQSTPPVYDMESIPKDFPMYLGYGGADELADVQDVNTLLKSIKDHDPDKLVVQYQEDYAHADFVFAVNAKKVVYDPVMAFLNLN >cds-PLY77528.1 pep primary_assembly:Lsat_Salinas_v7:2:165214595:165217303:1 gene:gene-LSAT_2X89540 transcript:rna-gnl|WGS:NBSK|LSAT_2X89540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVPTSEEDPALSVVRFTAEMAWAEAGPEVAEPQVTSLCVEAQECMIAGRWLDLASLMITSADLVFSKASEKDLECIFTVICNLVKKPESLDESLEISKLISTKIAQQPNEKPSLRLKILFNLYNMLENPYGRFLVYMKALEVAANGKVMDHIIPSFKNTDTLLKEWNLGLHDQRQLFLAISNILKEHKSSTKENLKFLTKYLATFSGDDAHDINEAKEEAAYTIIEFVKAPDMFQCDLLEMPAVAQLEKDSKYALVFELLKIFLVKRLDAYLDFYTTNSELLKSYGLVHEDCISKMRLMTIVDLASNESGQIPYSLIKNSLQIEEDEVESWVVKAIAAKLIDCKIDQMNQVIRVSRYSERVFGVSQWEALRTKLTTWRGNVANVITTIQANKVAEEGTQTMQGLMIR >cds-PLY72903.1 pep primary_assembly:Lsat_Salinas_v7:1:209649372:209652263:1 gene:gene-LSAT_1X128320 transcript:rna-gnl|WGS:NBSK|LSAT_1X128320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMVSQRQIPSIPPCYVFGISIVFHPTVPPIEVNGQPKIIHHDMKSANILLDINFEPKVADFGLARFTAETDAHVSTPPEYALTGKFTKKSGVFSFGVMLLELITGHRPIGKAQFLDDNIVNWITRALERSLPLEDLDEGLKLGHIKCNGSHESFDFDNARFREELKNSRKWHLRAKIVQVYGVHRLQVMVSSHLDLLDQHMI >cds-PLY69225.1 pep primary_assembly:Lsat_Salinas_v7:1:80599825:80600996:-1 gene:gene-LSAT_1X66881 transcript:rna-gnl|WGS:NBSK|LSAT_1X66881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSQTAVNDGENKCDWGKLTDGTVFNSSFEKGDPIEFELGTGQVIKGLLAMCVGEKRKLKIPLKLGYGDQGET >cds-PLY96981.1 pep primary_assembly:Lsat_Salinas_v7:2:36673643:36674356:1 gene:gene-LSAT_2X17081 transcript:rna-gnl|WGS:NBSK|LSAT_2X17081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATASRLLHSLHLLFIFFILLNPFQSSHAAEETMVPLIEPGKREMMEFVLHDSRRKLNGFKICALCTCCNSGGGGGGGGGGGGGKYCLPSPCCYAINCNIPNRPFGFCSFTPKTCNCFGCHL >cds-PLY84716.1 pep primary_assembly:Lsat_Salinas_v7:5:229133318:229134863:1 gene:gene-LSAT_5X108880 transcript:rna-gnl|WGS:NBSK|LSAT_5X108880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAYNFHREILEEPANATEAEICSIHCDSLQNPTGGCVAKCASICPYLCNISDSSPPPQSPPPLPTGGFILSTSPPKHHHSPLSLPLKISLVILVVTFSLFLLYTLYKFYTVWYRSRRRRSPPPSPENQETHGEFLDHDVLDHPIWYIRTIGLQPSVISAITVVKYSKTDGLIEDTNCSVCLSEFQEDETLRLLPKCNHAFHISCIDTWLRSHTNCPLCRAGIVKNTPTSSSDQNLGDSGRTEETQLAISIPEEVNGEERQSEVDRESSELRTGVVDEEEKGEMIGGSGTSDFLIMRRSVSLDDYAACRISSDVRNNYYSDRHEVQIQSVNGDLGFGLIKVEQTANNRSTEEN >cds-PLY63226.1 pep primary_assembly:Lsat_Salinas_v7:6:89477684:89479181:1 gene:gene-LSAT_6X60741 transcript:rna-gnl|WGS:NBSK|LSAT_6X60741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSPVPSPPFISSDHLAPSNSLQELLLHQSPLATNVAEPLAALLLSLRSFPTRRQNHRRPPLLSLWLVVAVVVAALRQEILDDHPVLLNRAPTLHRLGIQAFLPILVEGRAICLHPLVCKGLNADFDGDQMVVHVPLSLEAQAEARLLMFSHMNLLSPTIGDPISAPTQDMLSGLYVLRSGNRQGICVNRYNPCNRRNYQNEDNNIGITFRSILESRIET >cds-PLY76147.1 pep primary_assembly:Lsat_Salinas_v7:4:53085391:53089322:-1 gene:gene-LSAT_4X36321 transcript:rna-gnl|WGS:NBSK|LSAT_4X36321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGPTMEAELPVEPQSLKKLSFKTLKRALDLFSPLHNQFPPPDPESKKIRMSHKLHVEYGGIKSVPNQPIKSSSQNQATPSNSNALVLPGTESSKKSGAQSDLAITPVQSKNPRNLSTAALMERIPSRWPRPVWHAPWKNYRVISGHLGWVRSIAFDPSNQWFCTGSADRTIKIWDVGSGSSRHTYMFSAGDDKQVKCWDLEQNKVIRSYSGHLSGVYCLALHPTIDVLLTGGRDSVCRVWDIRSKMQIHALSGHDNTVCSVFTRPTDPQVVTGSHDSTIKFWDLRYGKTMATLTHHKKSVRALAQHPIEDSFASASADNIKKFSLPRGEFLHNMLSQQKTIINAMTVNEEGVLATGGDNGSLWFWDWKSGHSFQQSQTIVQPGSLDSEAGIYALSYDMTGSRLVTCEADKTIKMWKEDENATPETHPVNFKPPKDIRRF >cds-PLY63983.1 pep primary_assembly:Lsat_Salinas_v7:4:371419075:371419943:-1 gene:gene-LSAT_4X182901 transcript:rna-gnl|WGS:NBSK|LSAT_4X182901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSSALSTVTLRSSSRLSPSTAALITPSSLVFPKQNLEFPLRTTKLARRSTLLRPVAVVAEEKVVQLGDEISNLTLSDAQKLVKYLQDKLGVTAASFAPAAVVAAPGGAGAEAAAVVEEKTEFDVVIDEVPSNARIATIKVVRAMTSLALKEAKELIEGLPKKFKEGISKDEAEDAKKQLEEAGAKISIV >cds-PLY71377.1 pep primary_assembly:Lsat_Salinas_v7:8:270695672:270697938:-1 gene:gene-LSAT_8X156340 transcript:rna-gnl|WGS:NBSK|LSAT_8X156340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKPIEGVSNQMNAIASQNLDQALARWFPDLKIFLSIFSTPSSIATVVHATIGSFHRWMNGLHSKALPMEELIKTVGDQWKSSDIPKESEGIFIEDTYKLLEGGVDVITDAEKSL >cds-PLY90954.1 pep primary_assembly:Lsat_Salinas_v7:9:170565383:170571498:-1 gene:gene-LSAT_9X105420 transcript:rna-gnl|WGS:NBSK|LSAT_9X105420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSEEEGEIIPVCVTNYLLVNDRNVPTSFSQLPLHWSDQENLIDKNRNSSVVYLRGTTDGGLQPVYKEVIAWKLELSRIVPPKIYVLSKGKTWIQLQEPRNMYKDVIKTLLIVIRCLHFAKRNADASRDQISSHLVKTLRSDDVIEPVEKYLSAHLPLIQSALAKDKDLAKSKYLKTFLMEIENPGRREYFHEENQTKTKSKFIVSDYEELEDNDDESDDEDEVLFDSVCAYCDNGGDVLPCEGQCMRSFHPTIDTGVETSCESLGFANAAEYEAIPTFLCGNCKHKKHQCFVCGNLGSSDKSSSTVEVFPCVSATCGHFYHPRCVAKLIHPSDETLAKQLQSQIAAGESFTCPVHKCRVCKQGENKEVHDLQFAVCRRCPKAYHRKCLPKAIGFEASVDGTIKQRAWDDLLPKRILMYCTKHAIIPNLLTPKRDHLLFPRVIRKRNQEGSRVVMTSVKSKAFGNLSNTDTDIDTDTSNMPKVVEKWDKNNSITNGDKNKILEKGKSTLISRNSEHHSTIGQNKSTQKDMQREQVFASKPMKKIVKKIIADTPPVVDNDMKTRILKIIKDSTSSFDVEEFVKEKRKRCTHQIYTPQTGLDKTITMGKVEASVKAVRTALKKLEDGGSVEDAKAVCEPNVLNQLTKWKEKLHIFLAPFLVGARYTSFGRHFTKVDKLKEIVERLHWYVDDGDTIVDFCCGSNDFSCFMKKKLDSMGKTSCSYKNYDLITPKNTFSFEKRDWFSVPVEDLPDGSRLVMGLNPPFGVQASLANKFINHALKFKPKLLILIVPKETKRLDQKWYPYDLIWEEEHMLSGKSFYLPGSVSVQEQPLDDWNVVSPPLSLWSRPEWTTKHKSIGEKQNHINQTQPNLKHKRRVSNYLMEETQDCYNDFSNIIMTNYPDISNILDDVPEASDATQPGNMASDTTGTGTHYDDLSDMDLGSPLVSPQFYTDTTNSLPVYESVSGFQNHAFSTYHDFANYGVAHPMYPTMPPPTFTYQTDTNIWPPGMLPPDFGN >cds-PLY97255.1 pep primary_assembly:Lsat_Salinas_v7:1:44804208:44806563:1 gene:gene-LSAT_1X39200 transcript:rna-gnl|WGS:NBSK|LSAT_1X39200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLKTSNSNYLQPSHGGVVGVRKGNPFVDGTSLDPLSKLNLKETSDFVKSFPANKGGGGGGGAAGNNKRNVVEAPSTPGRPVFSFSVGNNTSRKNFVPSKWNDAEKWLVSDHESTTHHHHLNGFVKSADFVSRKSSSSSNGSHVTKQQIKVVSEKPAEKASICQEHRHHNGNGTSPSESFDVLKDKFTNEVVRNFPKLECSEPLNESFLFKDPSSRDIGTEMTPLGSSTTSRCPTPFKSLSPPRHNTPASRSGPLDPNIKSSFDNIGGLQDLNLAKFKLGTPFDLVVSNWSSREEEEEEISKSLRHFEMNYECRTSISEPRPSVWEEEEKTKCCLRYQREEAKIQAWVDLQNAKAEAQSRKLEVKIQKMRSKFEEKMMRKMALVHRKAEELRAAAQIEHTEQIEKATTEQAKKKTINQHQNMHFSGHSGSCGCFPCNNLHP >cds-PLY94231.1 pep primary_assembly:Lsat_Salinas_v7:8:229655073:229656107:1 gene:gene-LSAT_8X140181 transcript:rna-gnl|WGS:NBSK|LSAT_8X140181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFNFKHQNATITDPNISGFREILATCNGLILATNKTGTLLILNPTTRKLLPLKSGTIVQTRDESYGFVFSPKTQQYKAIHVFRDESGHIDTEILSLSTMQWTGCHVPTFGLFRDFTHKPVSTCGVLYWLPGTNNVNYIISMDIDKEKFSRMDLPVTTGMNDRLIENGDLLNFVAQLTVYRIQIWTLKRAECEEIWVKKCTINMDYDITGLIPVFMTKNGRFLVFKKWREAVYEYDMEEEEMGKMVVDGDRNFNYRMAFTHMNTLVSWSNSTPLW >cds-PLY92229.1 pep primary_assembly:Lsat_Salinas_v7:6:75032910:75033193:1 gene:gene-LSAT_6X52620 transcript:rna-gnl|WGS:NBSK|LSAT_6X52620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCYPPKGIYMCCVMAIRYSDIIIKLDSQHKILSVKAEHLAEVKGKSSGVSTGYSGLNSVLYISFAMP >cds-PLY62773.1 pep primary_assembly:Lsat_Salinas_v7:4:199194562:199195833:1 gene:gene-LSAT_4X112801 transcript:rna-gnl|WGS:NBSK|LSAT_4X112801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSESEPIFDSLNLNPQLFINAALNIVDELIQSAFDHLHQEASTQLKVDGSDRAEDLTKGLDYIRNTIQSSLDKRLTMWEKYCFLRLFVVPEGFSLPKDDEASVGDIMDVDVPDLDSQLVSLRTKLTLAEQETGQLKREIQAFERESAINNHQATAISELTKLSDQISENDAFQELQKLAAELSMKVEKLKTEREDETQSARFQQLHLWNEDLLKIIGGNGKYTLQVSSMYA >cds-PLY76876.1 pep primary_assembly:Lsat_Salinas_v7:3:4970730:4972375:1 gene:gene-LSAT_3X2600 transcript:rna-gnl|WGS:NBSK|LSAT_3X2600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKKGPWTAEEDKKLIKFILTNGPCCWRALPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLTESEEQIVIDLHARLGNRWSKIASNLPGRTDNEIKNHWNTHIKKKLLKKGIDPVTHEPLQKETQTSETSSASSSSPSEDSSRYQNNHSLFFDGISKEDSTVSLTENNLNVNDKPRPSIFQSLCDDEKLVSYFLDDNEPPLLDHISDWQLPNNEKKSKNDTSEFTSWDDCATWIMDCKDFGLDFLNEAEMSLMDNKRT >cds-PLY89391.1 pep primary_assembly:Lsat_Salinas_v7:4:122081869:122082940:-1 gene:gene-LSAT_4X77041 transcript:rna-gnl|WGS:NBSK|LSAT_4X77041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGIISLQFQPSIFKIPLYPSSSKPKTFRPLTLRSQKSDATTKTHEDGIPINLVKTLAQFKSRHNFIRVLEVSRKSDHPFAGSRLLLLDAPGNIHSISFLLKLLTGTYFDVFATFPPILPPGPLGILGFGAGSAAKLILELYPQGVIHGWELDPAVVGVGREYFGLAKLERQYPDRLFIYVGNALSANVDSGFSGLMVDLFSKGCLIPELQDPDTWMKMKKKLREGGRIMVNVGGSCVEAEDSRRDGKVIMEETLKAMEKVFPGEVRVLNLGSRKDDSSIAVTGGLPDLDLWKENLPKSLRFYVDMWKPYIVV >cds-PLY85205.1 pep primary_assembly:Lsat_Salinas_v7:9:148461972:148463523:1 gene:gene-LSAT_9X95041 transcript:rna-gnl|WGS:NBSK|LSAT_9X95041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTVWFSLKKSLHCKSEPSEVHDPKSKKQLATILTRKPGRSGCSRSIANLKDVINGGSKRHSEKPVSCSPRSIGSSEFLNPITHEVILNDSRCELKITGFGGGFHDVSGGAAAGGGGGDGSTFVGTLMPGTPGPGGHPTMHTFKNHQTPTRRTVSSSDGNGIANSGNSTGKNGIGIPQKPRPSMETESYGSSGAVTCHKCGKQFGKWENLEAHHLSKHAVTELMEGDSSRKIVEIICRSSWLKSENTSGRIEKVLKVHNMQKTLSRFEDYRELVKTKASKLPKKHPRCLADGNELLRFYGTTISCSLGINGHSSLCISDKCCVCRIIRNGFSTPKELKGGIGVFTTSTSVRAFESIEVCDDSLDTRKALMVCRVIAGRVHRPLENIQEISGQSGFDSLAGKVGVHSNIEDLYLLSPKALLPCFVVICRV >cds-PLY63745.1 pep primary_assembly:Lsat_Salinas_v7:9:86180881:86181939:1 gene:gene-LSAT_9X67661 transcript:rna-gnl|WGS:NBSK|LSAT_9X67661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPDNVSSSFSSPFRKTVDVQPFIESINNPIDVQHVDNQEKSLLFGGGKYGYGQIQPPSAARAVKPTNISLISGGYQRYRYGEPPFVEDARQIWGSQALNGDQRYSYQQPLSVAAVRKIYGSQPFNNKQTYSYGYEEPPSVGAVRKICASHEPFNNKQIYSYGYGEPPSAGAVRKIYDSQPFNDDHIYNDVKPPAIGAVRQVLGSQPFNNKQTYSYGYEEPPSVGAVRKICASREPFNNKQIYSYGYGEPPSAGAVRKIYDSQPFNDDHIYNDVKPPAIGAVRQVLGSQPFNSDHKYNYGEPLSVGAVRQIKGSQPFNNDRIYNYRQLRRFNDLVP >cds-PLY76820.1 pep primary_assembly:Lsat_Salinas_v7:3:5104599:5106362:1 gene:gene-LSAT_3X2660 transcript:rna-gnl|WGS:NBSK|LSAT_3X2660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKLIAAPVSDAWSRWWGFGYGDELVASTILIIAAMFSIFWLVWIFLSKDTHPPLPPGPRSLPLVGNLLSLDPELHSYFATLAKTYGPISRIWLGKRVGIVITSPALAREVLKLNDTTFSNRDVPVAGMELTYGGNDIVWSPYGDQWRMLRKICVHEMLSNKTLDSVYSLRRKEIRNTVNYFYRRVGSPVNLGEQMFLTVLNVITGMMWGGTVKEEDRASLGAEFRQVVTEMTGYLGMPNLSDFYPGLARFDLQGVKKNMKLLAKKFDVIFETMIDQRRKMGGDENSDFLQFLLQLKDDTDSKTPFTMAHLKALLMDMVVGGTETTSNTVEFALAEMMNKPEILKKAQQELETVVGKDNIVEESHINKLPYLYAIMKEVLRLHPTLPLLIPRCPSESCVIGGYMVPKGARMFINAWAIHRDPTIWENPLEFRPERFLDSKWDYNGNDFNYLPFGSGRRICAGLAMAERMFMFLLASLVHSFDWELGPGEKHDLSEKFGIVLKKKVALIAIPTPRFSSPTMYD >cds-PLY63774.1 pep primary_assembly:Lsat_Salinas_v7:6:23781042:23787178:1 gene:gene-LSAT_6X19060 transcript:rna-gnl|WGS:NBSK|LSAT_6X19060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQITHTKFILSPSTFFFFFFLLIGFLLLSTGESRTPFACDPSNRGTKDFPFCRINLPIGVRVKDLLGRLTLQEKVRLLVNNAAGIPRLGIQGYEWWSEALHGVSDVGPGTKFLPEFHGATQFPQVITTASTFNDTLWEEIGTVVSNEARAMYNGGKAGLTFWSPNVNIFRDPRWGRGQETPGEDPVLSARYAVRYVKGLQGNVGNRLKVAACCKHYTAYDLDNWNGVDRFHFNAKVSKQDLEDTYNVPFKACVLEGKVASVMCSYNQVNGKPTCADENLLKNTIRGTWRLNGYIVSDCDSVGVMYTSQHYTATPEDAAADSIKAGLDLDCGPFLAVYTEGAIKQGKLKEADVDGALYNTMVVQMRLGMFDGPRQPYANLGIPDVCLRSSDHLALEAARQGIVLLDNRGKGPPLSTTLHRTVAVVGPNSDVTVTMIGNYAGIACGYTTPLQGIARYVKTIHQAGCADVSCKGNHLIGAAEGAARRADATVLVMGLDQSIEAEQRDRVDVLLPGHQQELISRVAKASRGPVVLVLMSGGPLDITFAKNDPRISAIIWAGYPGQSGGTAIADVLFGTTNPGGKLPMTWYPQEYLAKVPMTNMAMRADPAHNYPGRTYRFYKGPVVYPFGHGLSYTTFQHSIAQAPTSISVSMVPIHLENSNTTTLANGVRVSHTKCSNLLMGLHVDVKNTGTIDGAHTVMVFSSPPQGKWATDKQLVGFQKVHVVAGGLQRVKLDLHVCKHMSVVDQFGIRRVPMGEHTLHIGDLKHSLTISPTIEEIKY >cds-PLY66322.1 pep primary_assembly:Lsat_Salinas_v7:5:289142667:289146158:1 gene:gene-LSAT_5X158061 transcript:rna-gnl|WGS:NBSK|LSAT_5X158061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTCSGAIMGSLQQPVWIKESTFLPKRPGFTKFPHQLNLGSLKPCKLSKIEGSLVTGKPSTTSVPITVLEGGDGNGFVDHELSNVDPEVSAIIYNEKQRQFRSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEHIDELETLCQKRALAAFHLDEQKWGVNVQPLSGSPANFEVYTAILKPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLDESTGLVDYEMLEKTATLFRPKLIIAGASAYPRDFDYPRMRKIADGVGAFLMMDMAHISGLVAASVVGNPFDYCDIVTTTTHKSLRGPRGGMIFFKKDPVLGIELESAINNAVFPGLQGGPHNHTIGGLAVCLKHAQSPEFKAYQNQVVSNCRALAKRLMELGYTLVSGGSDNHLVLVDLRPLGLDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGFTEKEFIYIAEFIHEGVQLTHEIKQAVTSGSKVQDFIKYVASSDFGFTAQVSDLRKRVEALTTQFPIPGL >cds-PLY74989.1 pep primary_assembly:Lsat_Salinas_v7:5:87699062:87699466:1 gene:gene-LSAT_5X41900 transcript:rna-gnl|WGS:NBSK|LSAT_5X41900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVKPFVVVALCFLVCATHIVYGNYLVREEKSSFGSNVADDPLQLAIKRRAILHMGLHVVEELVHALGKVPYDQDGGETKEQMKFRFINNSEENANSGIKNEEQEGSRGGIRGSDCDGCYTNSTLKIKWEQLAF >cds-PLY67980.1 pep primary_assembly:Lsat_Salinas_v7:2:12747799:12748020:-1 gene:gene-LSAT_2X5740 transcript:rna-gnl|WGS:NBSK|LSAT_2X5740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNYSNGVGGGVVHGGRVGTGLYHGRLSEGGTTYAKPSLSLFIYYRRPWCPCWWCFISTGPKLAQEMTMSLLQ >cds-PLY72485.1 pep primary_assembly:Lsat_Salinas_v7:2:142791614:142792122:-1 gene:gene-LSAT_2X70561 transcript:rna-gnl|WGS:NBSK|LSAT_2X70561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQENSMKRWWFNSMLFKKEFEHRCRLSKSMGSLGPIENASESKDPNRNDTDKNIQGWGGHDNYSNLSSVPKLY >cds-PLY81389.1 pep primary_assembly:Lsat_Salinas_v7:4:35757840:35759364:1 gene:gene-LSAT_4X23301 transcript:rna-gnl|WGS:NBSK|LSAT_4X23301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSTLKQSSRSYEVGFDSLRKINLVTLILCFAYCACTTVGAIYIGDSKKALLKCNSLTEVGINRVFGFFNAILIICTTYGNGIIPATIAAPEKGKMFKGLLLCYTVVISNYFSVGISGYWAFPCTLVYLQPSNVVFERKFVDLGKGQLSGQQLKVGEFIGEDEMVGV >cds-PLY84610.1 pep primary_assembly:Lsat_Salinas_v7:1:29999399:30000566:1 gene:gene-LSAT_1X25820 transcript:rna-gnl|WGS:NBSK|LSAT_1X25820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLTSAIIIASSATTSRYLLNAPNPSFSPRLSFSKICNLSLNRCRRSLSRKKSYRRVCCSQVQIEEGTDEEACELVNGAEVSIGEGSDTVPAYLLSAVKNNNGTGILLLSDVFGFEDSCTRDFAYRIACNGYNVLLPDLFNGDPWGKERPKSSYEEWRATHNQIATKNITTSIKWMVEEFVAAGISKKLGIVGFCFGGGKVIEVLAEDEEGCFGLGVSFYGTRIDPSVAAKVKVPVLYITGDEDPLCPVKVVEEIERENVGGSKVVVFKGRGHGFVHRPASVEDDTDAEEAFTMMRNWLHNGLVVEKN >cds-PLY79459.1 pep primary_assembly:Lsat_Salinas_v7:9:4309341:4312235:-1 gene:gene-LSAT_9X3221 transcript:rna-gnl|WGS:NBSK|LSAT_9X3221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGGSKRNFDIKEEDHNNDIPQSPLPGLKRHDSLDIESSRFPDHHDRHNTEGNWRAIVKLAFQSIGVVYGDIGTSPLYVYASTFFNGIKHEDDILGVLSIIFYTITLIPVIKYVMIVLRANDNGDGGTFALYSKLCRYAKVGLIPSEQVEDQEVSNFRLELPNKTNKIASKIKKSLESRKFAKFLLLFAAMLGTSMVIGDGILTPSISVLSAVSGLKKATSTITEGRIVVISVVILMTLFMVQRFGTDKVGYTFAPIICVWFSLIVGVGVYNFFKFDPSVAKSINPKYIIDYFKRNKKDAWISLGGIVLSITGTEAMFADVGHFTVKSIQLSMGCVVYPALITAYSGQASWLRKHKDEVVDTFYNSVPDGLYWPVFVAAVMAAIIASQAMISGTFSIIKQSLSLGCFPRVQIVHTSTKYEGQVFIPEMNYLLMIGCVLVTVTFKTTEKIGHAYGKYSIRIAVIFAETLTSSFMVVIMLVIWKTNILLVILYVLVISTTEYIYLSSVLYKFNQGGYLPFLFAIALVFIMCTWNYVYRAKYNYEINHKVSQEVIKDMIMDMNISRMRGLAIFYSELAHGIPPIFKHYVDNVPALHSVIVFVSIKSLPISKVPTEERFLFRRVKPNELYVFRCVVRYGYTDVRNENESFEKILIERLKDSIESEYGVLEEQGRTVEEDVATLEKASRAGIVHLVGEHEIVSRKGSSIGKRFLIDYAYNFMKKNLRQSYNVFEVPQKRMLKVGMTYEL >cds-PLY95215.1 pep primary_assembly:Lsat_Salinas_v7:1:212357191:212357727:-1 gene:gene-LSAT_1X129421 transcript:rna-gnl|WGS:NBSK|LSAT_1X129421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY81647.1 pep primary_assembly:Lsat_Salinas_v7:1:110594282:110595166:1 gene:gene-LSAT_1X89881 transcript:rna-gnl|WGS:NBSK|LSAT_1X89881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:Projected from Arabidopsis thaliana (AT2G18370) UniProtKB/TrEMBL;Acc:A0A178VTK3] MKASTKVAPLIAITIGSMFLLFISSSDAVITCSTVIQDLAPCVSYLRSGSGMPPPACCSGAKALATAASTTADKQAACKCLKSASQSITPNPGLAKSLPGNCGISLGFTISPSVDCTKIN >cds-PLY65262.1 pep primary_assembly:Lsat_Salinas_v7:5:85100482:85103586:-1 gene:gene-LSAT_5X40301 transcript:rna-gnl|WGS:NBSK|LSAT_5X40301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLDEFRSILSSSGLDVWGIIDAAITVASMEYSGELKHRRDGIVERLYAQQQCRSCDVNVNPQQQPNGVGRTITKEVNDDEGGGGGDSPLTPQSIPQDEEEDEEQDPYGGLFDDEQTKILRIKEQLEDPHQSEDSVIDLLQTLSDMDLTFKGLKETDIGRHVNRLRKHPSNEVRTLVKHLVRKWKELVDEWVGSSHTDLQHSSLTDGDSPLIQNIQRKGQNGQQQGPDFGYSPNPHNWSPGFEKMNTETDMRPKSVIPKRETPSRPVSQSYPPMNASASAPQNRPRKDQNIERDRLASATKRLQENYQEVQNAKKQRTIQVMDIHEIPKPKNGFIAKNKGNFQGRHHR >cds-PLY74749.1 pep primary_assembly:Lsat_Salinas_v7:6:116044465:116048957:1 gene:gene-LSAT_6X69741 transcript:rna-gnl|WGS:NBSK|LSAT_6X69741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESDLMGSSSDGLNKPLQKMRSLNGRTSGPTRRSTKGQWSAEEDEILCKAVQQFNGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEVIVRLVEQYGAKKWSTIAQHLPGRIGKQCRERWHNHLNPNINKEAWSQEEEIALIHAHQVYGNKWAELTKYLPGRSDNAIKNHWNSSVKKKLDSYLASGLLPQSSQGLPPVTRTNQSSASTSWAQQQQQQHSGDESIRKETEDISECSQMSIVMYPQNESQPHENSSQPNDFDSYPTSSLTRDSLIMDQSFSHDWEMIQIPISIPSLDSTDQSEFINCIDLDQNHEAVLPYPIENIGNDDVADVMLMSAADESENPNSNEVLNETDLINTQSYYSDLLLASCYQSAVYMPIQPQSFPPIEEQQQEQKQEEEEEEIPVISDTNDSKNSCNCEDINNTGSQSNETGVLSYDPPRFPSLEIPFFSCDLAQYGGGDMQHEYSPLGIRKLMMSSMNCFSPYRLWDSPRTASPEAVLKSAAKTFTGTPSILKKRNRDLCSPLSEKRCEKKVERESFCNLARDFSRLEVVFDDNKEQDLGTFVEDKENLNPEGVDGTLLKVQVQSSGVLTEQNLDNLSFFSPDKFTNKSDTPKGSNCKFLEKRSSKSQLGVENSANDTWGENLNIFSETPLKRSFESPSAWKSPWFSFLPGPRVDTDITIEDIGYFVSPRERSYDALGLMKELSEHTATAYATAQEVLGDETPDSIINKRYAEKTQQESSNLLTERRVLDFSECVSPAKGAESTRHVTNLSSSSSSYLLKGCR >cds-PLY86099.1 pep primary_assembly:Lsat_Salinas_v7:7:139213155:139217262:-1 gene:gene-LSAT_7X83520 transcript:rna-gnl|WGS:NBSK|LSAT_7X83520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylmalonate-semialdehyde dehydrogenase [acylating], mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G14170) UniProtKB/Swiss-Prot;Acc:Q0WM29] MLRISIRRVRPLNPQIFALKNRNLSTATEQSVIHSDPPKVPNLIGGSFVNSQSSEFIDVINPATQQVVSQLPLTKHEEFKAAVSAAKQAFPSWRNTPITTRQRVMLKLQELIRRDMDKLAMNITTEQGKTLKDAQGDVFRGLEVVEHASGMASLQMGEFASNVSHGIDTYSIREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTFVLKPSEKDPGASMMLAELAMEAGLPNGVLNIVHGTNDIVNAICDDEDIKAISFVGSNTAGMHIYSRASAKGKRVQSNMGAKNHGIVMPDANIDATLNALVAAGFGAAGQRCMALSTVIFVGDATQWENELVKRAKNLKVNAGVEPDADLGPAKERVCRLIQSGVESGAKLLLDGRDIVVPGYEQGNFVGPTILSGVTEDMECYKEEIFGPVLICMQADSLEEAIIISNRNKYGNGAAIFTSSGVAARKFQTDIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVNFYTQIKTVTQQWKDLPGGSGVSLAMPTSQKSS >cds-PLY65162.1 pep primary_assembly:Lsat_Salinas_v7:5:249027533:249029529:-1 gene:gene-LSAT_5X124161 transcript:rna-gnl|WGS:NBSK|LSAT_5X124161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTATTQEKPGQQTTPPPAHVELMIDSTVISHGGATHDGIWIVIKAMVFPIIARFHAGYFRISLSLCCQTLLWKTLGEPPENAHAYRRMLGAMPSAAFLLLWFLSLLILVSLSILYILRCALLSDMVKNEYLNHISVNYLFAPWISWLLLLQTSPFFDPKTVYYLFLWWVFVLPIFILDVKIYGQWFTKGKRFLSTAANPASQLSVIGNFVGARAAAQMGWRESAIIMFTLGIIHYLVLFVTLYQRLSGNSCMPAMLRPVMFLFIAAPSMASLAWDSISGRFDCSSKMLFYLSLFLFLSLVSRPSLFKKSMRKFNVVWWAYSYPLTVLALASTEYAQEMKSNVAHLLMLVLSGLSVSVSCILMIYTALNTNMLLPRDDDNEDMISMTLRHTTINSTNLDLMIL >cds-PLY63402.1 pep primary_assembly:Lsat_Salinas_v7:7:148994131:149003656:-1 gene:gene-LSAT_7X88221 transcript:rna-gnl|WGS:NBSK|LSAT_7X88221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKEMAGKTFFSMKNNVRKKLAIVLHSSEPNVFITTHKQPENSENNFNHRSSNASLPSPVMSSCSSPVSFMSPINHIPSPYSESPWTLPHDSNGEDGIIYNTGLIGSLIREEGHIYSLALSGDLLYTGSDSKNIRVWKNLMEFSGFKSSSGLVKAIVVFRNRIFTGHEDGKIRVWKYSDKKKKAYKRIGNLPSTKDYIKSSMNPNNYIEARRHRNLPWIKHYDLVSCMCLDEENGLLYSGSWDRTMKVWRISNSKCLESVNAHDDAINSVVIGFSGLVFTGSADGTVKVWRREFVGKTKKLLLVSTLLNQDSAVTSVVVNASQATVYAGSSDGLVNFWERKKQSLLHGGVLRGHKLAVLCLATAGSLLLSGSADNSICVWRSEGSGVHTCLSVLNSHTGPVKCLAVQDRNEEYDDDEDRKDEEWIVYSGSLDNSLKLWRATSELINANLEPTLEQYRPPPPFTGVSIVENGGEGITMELEMNWDGNPSIILDIKTRLGVGLHVKSMQELDTTQIGYIYDFTFSLE >cds-PLY65568.1 pep primary_assembly:Lsat_Salinas_v7:2:39329158:39332475:-1 gene:gene-LSAT_2X17540 transcript:rna-gnl|WGS:NBSK|LSAT_2X17540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKFPPFKTINSSLPSHWSSSFQFASKSLPLTAQISTFAGLNLRRRTFTTITAAMGDDSGMDAVQRRLMFDDECILVDENDNVLGHDTKYNCHLMEKIEKDNLLHRAFSVFLFNSKYELLLQQRSETKVTFPLVWTNTCCSHPLYRESELIPENALGVRNAAQRKLLDELGIPAEDVPVDEFTTLGRMLYKAPSDGKWGEHEVDYLLFLVRDVAVNPNPDEVADIRYVNQEELKELLRKADAGEEGLKLSPWFRLVVDNFLFKWWDHVQKGTLNEAIDMKTIHKLI >cds-PLY85316.1 pep primary_assembly:Lsat_Salinas_v7:5:326128737:326131709:-1 gene:gene-LSAT_5X180061 transcript:rna-gnl|WGS:NBSK|LSAT_5X180061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMRDPPNTHHPKPFFEPSIVPASSPTIQGLVSGPTGSSLPPHHHHRHHPHGGHHHPHGIRTHAVSPSPSSGPGCGLTCLEPLTSTPIGSPCGCVLPMKVRLLLQVSVYAVFPVVNELEIEIASGMYLTQSQVVITGASADSENQGQSVIDVNLVPLGQRFDNVTAAFTYERFWKKKLSLNKTLFGDYQVVYIIYPGLPTSPLQIEPSGGPGTQDFPITANFVRKSQTINRKTIFVIALSAAVLLIVCCAAICVIIKYRKLCNSSSAFTSSNNKTCGLGALLASNPTSSISVSVVSAMPTCVLSVKTFSLAELEKATEKFSSLRVLGEGGFGCVYLGIMEDGAQVAVKLLNRDINQNGDREFIAEVEMLSRLHHRNLVKLIGICIQGCARCLVYELVPNGSVESHLHGVDKQTGPLDWDARLKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSHHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELISGRKPVDMSQPPGEENLVTWARPLLTTQEGLLRLIDGNLAGTYDFNDMAKVAAIASMCVHPQVAQRPFMGEVVQALKLIYNDKDDTTTQRDSSASAVESEFKADRTVSDGSWWNAGGSTHLMYGNDSPILTMDYSSASGPFDEVENRLVFNGGLGNRSGPLRTVKKKPGFYNRLKGSMSEHGGGIGGKPFWSQGSI >cds-PLY86418.1 pep primary_assembly:Lsat_Salinas_v7:3:202325853:202329942:-1 gene:gene-LSAT_3X120000 transcript:rna-gnl|WGS:NBSK|LSAT_3X120000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIIISAFLAVAFEKLASAALKKLAHSVGIQSQLKKWERLLSQLHDLLADASQKEITNRSVKQWLNNLQHLAYDIDDILDDLATEAMHHELTEESGTTTSKVKKLIPTCCTSFSPSARMHGKLNKITIKLQDLVDENSTLGLTVKDGRSRNTNRRSQTSLVDSSSIVGRQVDKEALLHKLLGDEGCKQNFSIVPIVGMGGVGKTTLARLLYDEKQVKEYFELKAWVCVSDEFDIFNISNIIFQSIVGENKEFKDLNLLQLALKEKLSMKRFLLVLDDVWSESYGDWEILARPFLVGAPGSKVIITTRKLSLLMKLGYDQPYRLSLLSHDDALSLFCKHALDVNNFDLHPKLRQHGEGIVKKCDGLPLALIALGRLLRTKTDEKEWEELLNSVIWRLEKGDEIVPALRLSYQDLSASLKQLFAYCSLFPKDYMFDKEELILLWMAEGFLHQSFTNKSTERLGHEYFEELLSRSFFQQARNEESLFVMHDLMNDLATSVAGDFFIRIDNDNEKGVKKEAMEKYRHMSFIREEYIVYKKFKGFEKAKSLRTFLSVSFEVKTWWQNFYFSNKILVDFLMELPLLRVLCLRDYEISELPESIGRLKHLRYLNLSRTRITHLPENVSNLYNLQTLIVSGCRNLKRLPNSFLKLKNLRHFDITGTPLLNKMPLGISELKSLQTLTKIVIGGDNGYAITELKNLKNLHGKFYITGLDQVQTAIHAEQSCLLEKRFSELKLEWNDVCDSSRKEILDKKVLNVLKPHQDNLKKLKILSYRGVDFPNWVGDSSFHRLTYVSIRNCKKCISLPPLGDLPSLKKLFIQGMDEVKVVGLELLGTSVSFPSLEILSFRDMQGWEIWSTNSVVVDTMFPCLQELCIDDCPNLVEISLKSLPRLNTLKIIGCDNVVLTSLVHVASSIKILEMWHIFGLSNELWGGVMKYLGNVEEVRINWCNEIRYLWESEVEASKFLVNLRKLVVCECENLESLGENEEDNCGTHLTSLRILEVSFCKSMERCSCPGSIETLNISSCPSCKSMFFPTGGQKLKSLTIDDCQNFMEKEFIGGGGGGGEKTIMLSNTSMPILEDLQIICWPNLKSIIDLSYFVYLTELVIEDCPNMESFPDHELPNLTLLKLLVIKNCPSTNASFPHGLWPPNLCTLKIGGLKKQITEWGPQNFPTSLVDLSLWGRSSEDVSNGSELSHLLPSSLTSFGINGFDKLESLAMELQHLTSLQHLFIHKCPKMIHLPKALLPSLLSLDISGCPNLNEMISRRGSYRRLISGIPSVTTI >cds-PLY92756.1 pep primary_assembly:Lsat_Salinas_v7:8:66689747:66690409:-1 gene:gene-LSAT_8X47001 transcript:rna-gnl|WGS:NBSK|LSAT_8X47001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPLAQVYYSQVWKYHVFISFRGEDTRKTFVDHLYTALVEKGIYTYKDDETLSPGELIGASLMKAIEESQIAVIVFSKNYANSSWCLNELACIMKCRKMKGQIVMPIFYDVNPFEVRKQKRKYGEAFANQELENKKKIKSWRQAFLDDPWGWLSAPREQIWKLQEALARHELENKTKIDSWRRALVDASNISGWEPQHIANG >cds-PLY63323.1 pep primary_assembly:Lsat_Salinas_v7:9:133473695:133475662:-1 gene:gene-LSAT_9X86021 transcript:rna-gnl|WGS:NBSK|LSAT_9X86021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTWVFRKLRTNLPPWLSSLTHSPSSPKFILNQEQLCRLLSISGREGYFKVGSSIHGSLIKNPVFNNIHNPIENQYHVAIWNSLLFVYSKCGYLSDASKLFDKMPMKDAVSWNTIISGFFTQGLYNVGFDYFKTIYSSSGAHRFDRGTITTILSASEGSQFSNVNKMIHSLVIIHGYERETTVANALITSYFSSESFHSAKQVFEEINDRNVVTWTAMISGLAQNQYFEDSLKVFVEMPRGFVSPNLLTYLSTLMACSGLQALKEGCQIHCLLSKLGMDSDLHIESALMDMYSKCGSMQESWQIFESARVLDEVSMTVILAGFAQNGCEEEAIRVFARMMKEGIKIDSNTVSAILTAFNGDTSLSFGKQIQSLIIKKGFFSNPFVGNGLINMYSKCGELEESIKVFETIPEMTTVSWNSMIAAFGSHGDVSKALELYEKMREEGVEPTEITFLSLLNACSHGGLVNKGMEFLKSMEKDYKISPRKEHWACVVDMLGRGGFLNEAKSFIEGLQVKPDVQLWQALLGGCSIHGNMEIGKYAAGQLRLLTPNSPVPYVLMANIYSSKGRWKERAESIRGMKKVGVVKDVGISWIEIEKKVHTFVVDDRMHEETEGIFGILLVLFRHLTDEGYVPDKRFILRHWDDEGVVLSLQNQDE >cds-PLY76767.1 pep primary_assembly:Lsat_Salinas_v7:4:187666362:187668286:1 gene:gene-LSAT_4X108821 transcript:rna-gnl|WGS:NBSK|LSAT_4X108821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATSANSLSIRSKTRSLPQSNPHGIKFNSQNHLHYNFKSSFLGYKITTTHQQTLTQTQSKTLKVNASFKVAILGAAGGIGQPLSLLIKMSPLVSDLHLYDIANVKGVAADLSHCNTPSKVQDFTGNNELSNCLKDIDIVVIPAGIPRKPGMTRDDLFNINANIVKSLVEAVADNSPNAFIHIISNPVNSTVPIAAEILKQKGVYNRKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVIGGHAGITILPLLSKMKPNVSFTDDEIEELSVRIQNGGTEVVEAKAGAGSATLSMAYAAARFVESCLRALDGDSDVYECAFVESEITELPFFASRVKIGREGVEGVVSSDLEGLSEYEKKGLEALKGELKGSIEKGVAFANKEK >cds-PLY95827.1 pep primary_assembly:Lsat_Salinas_v7:7:176122683:176123771:1 gene:gene-LSAT_0X35421 transcript:rna-gnl|WGS:NBSK|LSAT_0X35421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDYLCEELIVEIFTRLPPKSLLRFRSLSKSLYTCISSPQFIRLHTFRSPQKIRFTHENIDNNKIAEVVYTLHGEDELPLCLCPKRGYIGITTRIPFPCSNRFRTVGSCNGTFCLKTKNGLTLWNPSIRRKVRVAECPRSSELALGGIGFGFDPISDDYKIVWISYEKDTSFVYAVKTGTWCEIASPKPEFTYVRREAFLFKGVLHWEVNHFDLDFSCILTFDLSTHVFGMIPFPGLAPDWLTTRLTTIQDSLALICYRMSIDDSWILVWRDASWSVVFKLGTGKLPVDGAFQFQPQPQTTNECNLLLTTYGEGFQIYNPKTGVRSGILGFNAASSLIDFWECVETLHLLDMGEAACETTQL >cds-PLY85242.1 pep primary_assembly:Lsat_Salinas_v7:1:164967011:164972064:-1 gene:gene-LSAT_1X109401 transcript:rna-gnl|WGS:NBSK|LSAT_1X109401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDKEMSAQVVDGNGAETGHIISTTIGGKNGEPKQTVSYMAERVVGAGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQLMRTMDHPNVVSLKHCFYSTTSKDELFLNLVMEYVPETIFRVLKHHSDARQRMPLIYVKLYTYQIFRGLAYMHMVAGACHRDLKPQNVLVDPLTHQVKICDFGSAKMLVRGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCILAELLLGQPLFPGENAVDQLVEIIKVLGTPTREELRCMNPNYTDFRFPQVKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCNALEAIAHPFFDEIRQPNARLPNGRQLPPLFNFKQELSAASPELVNRLIPDHVKRQIGLQIIPPVTVGVRT >cds-PLY77315.1 pep primary_assembly:Lsat_Salinas_v7:5:145069272:145069547:-1 gene:gene-LSAT_5X65461 transcript:rna-gnl|WGS:NBSK|LSAT_5X65461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHQFNGGGGANQALEVERGEEQAEEITYPSSPSWRTQNLGSFLDLHNWHPQSTTFNHMNNGFNPITYNHDFDGFVSFIYVDAGKRDLSKV >cds-PLY98343.1 pep primary_assembly:Lsat_Salinas_v7:7:167266546:167266953:1 gene:gene-LSAT_7X99500 transcript:rna-gnl|WGS:NBSK|LSAT_7X99500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPASFASTSNNRIMCYCGFLTRIRVSTTPQNLGKRFRTCPNSLRAGRKCGFWEWVAEDSVTEEILGLRYELNEMKKKMHHHKVALIVLFVLFFVKLF >cds-PLY93456.1 pep primary_assembly:Lsat_Salinas_v7:9:59833710:59836319:1 gene:gene-LSAT_9X52760 transcript:rna-gnl|WGS:NBSK|LSAT_9X52760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEEMEIMQELLADEDSFSNDIDSEYEFDSCQFFDFTRGETESEAEEAESWFRYAHEYPPSPFILKLKMMKAAKAKANQMKSHKTSFRKKTSTSSISDGGDIDHEAPSKEAKIKGVKHHNHMPQDNSKSKSKSTVNSSKPSGSSFMKPTASHLAKQNKERDTNTHSGGHGRVQKPLVSPGEKLTSPPSISSNQPTKRQKLEIGYLRKVAQLKHRTTFIHKIVKKVAEMESNSNSRCNKTTIPQKPELVTEGKAQKLRSHNKPEAFQQPKANNLKSQSFNRKVNACDNLTLCKQKSAPPMIEEILIQSKNFGNDKSRSPNNLKASNSAKVHPIKEGGFMLNSKQNSHMPPIELFKKLSLKNESDTKVISFVRPPRLSKGLKENVPRSFQQEFRRCAGKPNQCGNDRRITELKT >cds-PLY73175.1 pep primary_assembly:Lsat_Salinas_v7:1:178670972:178672424:-1 gene:gene-LSAT_1X117340 transcript:rna-gnl|WGS:NBSK|LSAT_1X117340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMGKLLQFGRRQIHTIISRETIKPSSLTPSHLQRYNLSSLDQLFQHTYTPLILFYPNNGNYTLSAEDKAHVMKKSLSKSLSQYYPFAGRLPTPTTPYVDCNDEGVVFVEARNDCHLKMFEHISETDETLEHLFPDDMVCYNSPSNTSLVGVQLNHFSCGGVGVAVSMSHVIGDGRTLGAFFSHWASVTRYGSTDHQEVLPLNPYFIHSPSTGSLMPKAQVINQGHTNHVARKFVFPNTKLIDLKNKVIAMAAAESTPPTRVDVLTSLLYKTAVTATTTTSGFFKPSCLMIPMDIRKKFVQKLPQTTVGNFLLGMIVQTRHTSETSLSVIVEEIKKEKSQLQGIQSVQHAAENITSLMSKLRNGDSEDVTNTIYVCSSFCGFSYNQADFGWGKPMGATLALKSLDNIGFVLKDTPNGDGVEALVILEEEVMEIFQNDKEMLSFCHSNHN >cds-PLY87211.1 pep primary_assembly:Lsat_Salinas_v7:4:308154322:308156811:1 gene:gene-LSAT_4X156001 transcript:rna-gnl|WGS:NBSK|LSAT_4X156001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRLPPEDNDISQVRLPVTADLISDDDRSVAADSWSIKSDYGSTLDDDQRHADASEALAAAPYRTASDYSSDKEEPDAETITSMLGFQSYWDSAYADELTNFREHGHTGEVWFGADVMEVVASWTKGLCVDLSQKELQNHHENDNSDLDKQGKKIDLADWSVLDVGTGNGLLLQELAKQGFSDLTGTDYSEGAIDLAQSLADRDGFATIKLLVDDILETKLDKKFRLVTDKGTLDAIGLHPDGPIKRQIMYWESISRLVAPGGLLVITSCNHTRDELVQEVENFNQRKAPEESDISRNPPIFSYLDHIRSYPTFMFGGSVGSRVATVAFLRS >cds-PLY93627.1 pep primary_assembly:Lsat_Salinas_v7:8:114512734:114513024:-1 gene:gene-LSAT_8X74121 transcript:rna-gnl|WGS:NBSK|LSAT_8X74121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKKGRPRKEQFEPNQASCDRSERQEAVPMLPKNKGRLRKKVQSYPNQASCSKFVKSKRKLGIKRGGDIVEDRVLLDEHDGLDGHVEGRDVSNK >cds-PLY70843.1 pep primary_assembly:Lsat_Salinas_v7:8:116613471:116620625:1 gene:gene-LSAT_8X79521 transcript:rna-gnl|WGS:NBSK|LSAT_8X79521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRYFPFLSFLLVLVLFCVSWYPCTSHESGLCRPYSQYMKTIKDFMFQDSYVFADLALIATKTFDVDSYGAKGDGKKDDTKAFKKAWKAACSSTTEAIFSVAKNKKYLVTPIKFKGPCKSSLTMEVSGTILASKQESKYKKDERHWLRVDHVDNLMIRGGGVIDGNGDFWWSNSCKVNKTLPCKDAPTALTLYKCKTLMVNDLTIQNAQQIHISFDNCENVRASNLQVTAPGDSPNTDGIHVTHTKNITISNSVIGTGDDCISIVSESKNVQATGITCGPGHGISIGSLGSKNSEAHVSNVIIDGAQLTGTTNGVRIKTWQGGSGNASQITFRNIKMKNVSNPIIIDQNYCDQAKSCKEQDSAVEIKNVTYQNITGTSMDKDTVTFDCSKNHPCQGVVLREINLTRKDGGDTMAVCNNVKLTYVGKVYPMCPKDTIQKQQAIGAYSYR >cds-PLY83326.1 pep primary_assembly:Lsat_Salinas_v7:1:62153071:62157563:-1 gene:gene-LSAT_1X53241 transcript:rna-gnl|WGS:NBSK|LSAT_1X53241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKKRERFEEGKRLDAYTYKKLFQIAGKKMKEMSHGCDHITTSDASMKVDGTIVMKSCSDSKHEKKHRVALDSKEVCFDNQESAKEHPQMDCDENDTTLKTGPTPTHSAELAEFQLKVSSEVHDHVNKLCDYWKKGQNSVFFDGQDRIIKVVFFVLSLLEKVKQPILILTASRCLSLWESEFSKWSNSTNVVITYKENKDVKDAIRSSQLYTENGSLKFQVILSSPDAIVEDVKHSYQNFLSLLDSKYEETDTDADMDANTLKEKLSPFIAFELKFNSSEIEEYWVPVHLSHMQIEQYCSLLNSNFESLSLSSSSRNNATLHDILTKTQKCCDHPYLVDPTLRKSLKKDVDKLGAEINASGKLQLLDRLLLKIKRYGLRVIVLFQSVVSSEKITIGDILEDLVDRRFGQDSYVRIQPKILSMSKRKEAVNMFNNIKSGAFVCLLDYHDCQSTIRLSSVDIVILFNSDWNPSDDLKALHKLSLDSSHLRIFRFYSSFTIEEKSLILSKQGTILDSRTARINYNTCHRLLSWGASYLFNNLSTESNSKSKSNSDTSLDDLVLELSSMFLNKTENTDRLKSLIISKALIHDGVYSKDILLMGETEAHTNESCSIEEYLMENETNNFWSNLFKESHRIHTPQKSSSRLPRRVKMSFRNPYYWFGRFEVESESDTENTEKNVISSTFVRTKMRSKRKARKMTGASKHFFIQHSTQSPNSIPSFSSTNNVGGSPNNQPPQPQTLPMIPSVSDQNSITTPLETELQNIKKEQEQVTKLHQEKKSMLNSECEKEMLEIRKKYDGLIDESEMCLTKKMKVLEGYYDLVYANKVLAETLTKDCDDYLNKEMRGVKIVEIPASTLVQSQNRCTTSGHTLRAPAPHLRSNPSLFASFQRMPVLGSN >cds-PLY94016.1 pep primary_assembly:Lsat_Salinas_v7:8:101296951:101301277:1 gene:gene-LSAT_0X3541 transcript:rna-gnl|WGS:NBSK|LSAT_0X3541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSVVVTIDKSSNISLVEVNDSDTSVFLEKQKTASTKQFSWTLLLKAHRVLSFFPWIAMGFSKTLVSVKKRIALADNGRDEVQYKERLIYRFIRVFLAISIISLCIEFVAYFQNWDLNFIHEFHPTEVMGLFHWSYMGWVSFRAEYIAPSIMMLSQFCVVLFMIQSLDRFILGLGCFWIKFRNIKPTIDGEAYDIEDASSFPMVLVQIPMCNEREVYQQSIASACQLDWPKDRILIQVLDDSSDELLQILIRNEVNIWSEKGVNIIYRHRFIRTGYKAGNLHSAMSCDYVKNYEFVAILDADFQPNPDFLQLTVPHFQGKPDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNSHFLSFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIYLNDVKVLCELPESYEAYKKQQHRWHSGPMQLFRLCLPAILKSKISKWKKANLIFLFFLLRKLILPFYSFTLFCVILPLTMFIPEAELPIWVICYVPVTMSILNILPAPKAFPFLMPYLLFENTMSVTKFNAMVSGLFQLGSAYEWVVTKKTGRSSESDLLAFAERESKSFNEDKIQRRLSESGLEMLGKLKEQEAPVEPKKKNRIYRKELALAFLLLTAATRSLLSAHGIHFYFLLFQGLSFLVVGLDLIGEQVA >cds-PLY68852.1 pep primary_assembly:Lsat_Salinas_v7:3:62091778:62093160:1 gene:gene-LSAT_3X47880 transcript:rna-gnl|WGS:NBSK|LSAT_3X47880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHFLLFCHVIFIGLLSSVSSRGPVYRPPSVEQLTNRFPRIPITQGMSTLFGSSNIHLKSNGSYADIILDKTSGSGLISKNTYYHGFFSAAIKLPMGFTSGVVLAFYMSNADVFPHNHDEIDFELLGHEKRRQWVLQTNMYGNGSVKTGREEKVYLWFDPTQQFHQYTILWNNHHIVFLVDNIPVREVIHNQAVSSVYPSKPMSLYATIWDASEWATKGGKYPVNYKYAPFVASMGELEMVGCSMQKTSSPVATAGCSTNATVSSLDPVEGKEYATLSKQQMAGLNWVRRKHMFYSYCKDTSRYKMMPAECGAQ >cds-PLY80974.1 pep primary_assembly:Lsat_Salinas_v7:9:177198654:177209398:1 gene:gene-LSAT_9X109381 transcript:rna-gnl|WGS:NBSK|LSAT_9X109381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALTELCDLIAQNPNQFSDKIAWICSRCPPSESLLSGSPRISRSQLNAVLVTARFISKCGNYSDTRPRTIALEFIRAIPASFEQSFWPKSFGNSSIASFYAEFFGYVCKAAELYPEFDSDVARFMGDIVFIAVNDRCGDIAISKAFLSVLSESFPPIIPSDANKLVTSLLDGLDFALPSSSSPKAIMGSNSSSQSSPVSVSNVAASSSSNGGVDDANSKAIVTNGGTTGGWATIGTPSGSDRRGAAYFEEELVENLEKQEIAFKLIGHILDKTQIDPKLLERLHIITKDQLKSISSFLKIRKRDWSEQGSLLKARINTKLSVYKAAVKLKVRSLASLDSDGKSAKKLLHGALALLVEAAEACVYSVWRKLRICEDLFSSLLDGISKIAVTRGGHLLRVLFIRFKPLVLTTCAQADTWASSQGAMFESVLKASCEIIDYGWTKDRAPVDTFIMGLATSIRERNDYEEEDNAKEKQAVPIVQLNVIRLLAELNVQVKKTEVVDTILPLFIESLEEGDASTPGLLRLRLLDAVSRIASLGFEKSYREAVVLMIRSYLSKLSTIGSAESRTLPPEANTERVEMLTGSITFKTLPAGFQSIAKGLTNEKLRVDFRHRLLSLCSDVGLAAESKSGSSGADFLGPLLPAVAEICSDFDPTVDVEPSLMKLFRNLWFYIALFGLAPPILRSPVSVKPNSTTLNNGGNTTAVALQAVGGPYMWNPQWSSAVQRISQGTPPLVVSSVKWLEDELELNALHNPGSRRGNGNEKAAVNQRTALSAALGGRVEVGSMTTISGVKATYLLAVAFVEIIRFSSNGGILNHGPNSTASRSAFSCVFEYLKSPNLMPAVLQCLMAIVHRAFETALSWLEAQICETGDAAEVRESTLAVHACFLIKSLSVREEHIRDVSVNLLSQLRERFPQILWKSSCLDSLLFSVNGDPPSSLVNDPASVASVRSLYQSVVKEWIVDSLSYAPCTTQGLLQEQLCKANTWQKAQPTTDVVSLLSEIKIGTGKTDCWKGKKTANIPAVMASAAAASGGNLKSTEAFNIEVLSTAIVSATVKCNHAGEIAGMKRLYENIDGADDDDDDDKGSEVAPKKQSFGEILLNKFVRLLQKFVSMAEKGGSVDKSSFRETCSQATALLLSSLASDAKPNAESFSQLLRLLCWCPAYISTPDAMETGIFIWTWLVSAAPELGCVVLAELVDAWLWTIDTKRGLFASQVRFHGPAAKLRPQLAPGEPESPPEKDPVQEILAHRLWLGFFIDRFEVVRHNSVEQLLLLGRMLQGTTKFPWRFSRHPAATGSFFTLMLLGLKLCSCQFQGNLHKFKLGLQLLEDRIYRASLGWFCHQPEWFETNNGNFAHSEAQSVHSFVQFLLNQRMDVPQNDSKLQGQENGNALLNMKDSYHPVWGTMENYAVGRAQRKQLLLMLCQHEAERLDVWAMPVPLPGSKEIITSWKPKINPEKWIEHARTAFAVDPRIAFSLGARFPTNSPLKMELTHLVQTHILEIRTIPEALPYFVTPKAVDEDSPLLQQLTHWAACSITQALEYFTPAYKGHPRVMAYILRVLESYPPSRVTFFMPQLIQALRYDDEKLVEGYLIRAAQRSDVFSHILIWHLQGETCTPEQVGKEGVSAKTTAFLGLLPLVRQHIIDGFSPKARDIFQREFDFFEKVTSISGVLYPLPKEERRAGIKRELEKIQLDGDDLYLPTAPNKLVKGIQVNSGIPLQSAAKVPIMITFDVADRNGDPNDLKPQACIFKVGDDCRQDVLALQVISLLKDIFEAVGLDLYLFPYGVLPTDPERGIIEVVPNTRSRSQMGETTDGGLYEIFQQDFGAVGSPSFEAARHNFIISSAGYAVASLLLQPKDRHNGNLLFDSCGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSKAMRSETWILFVSLCVKGYLAARRYMEGIISTVLMMKESGLPCFSRGDPIGNLRKRFHPEMSEREAASFMMRVCVDAYDKWSTAGYDLIQYLQQGIEK >cds-PLY86384.1 pep primary_assembly:Lsat_Salinas_v7:8:283437492:283439238:-1 gene:gene-LSAT_8X160200 transcript:rna-gnl|WGS:NBSK|LSAT_8X160200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSLIARTNGRIIGISSYGVTTLTSRRIVIDRSPVKIAINYEHVLTTLCARCYCSLKTTGVSKSKSKKSDSKTAAIMEEEKNAFFVVRKGDLIGVYKNLIDCQAQVGTSICDPPVSVYKGYTMPKESEQYLLSCGLKNALYSIRAMDLTEGLFGSLVPCPFQHPHVSIAESKRIFHEALGSQTAGACILEFDGACKGNPGQSGAGAVLRTVDGSLLCRLREGLGITTNNVAEYRAMILGLRYALSKGFTSIYVVGDSKLVCMQIQGLWRVRNENIMKWYEEAKRLKDEFHFFEINHVLRNFNSDADAQANLAVVLEG >cds-PLY99617.1 pep primary_assembly:Lsat_Salinas_v7:5:241317853:241319222:1 gene:gene-LSAT_5X118840 transcript:rna-gnl|WGS:NBSK|LSAT_5X118840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNVFVVLTPLIHGIVKLAGLTPQTIEIEPGTLMNIWVPKEIVTKYDGKIVYVPPTKPAVLLLHSFAMDGIFTWFLQVLALTREYSVYVPDFLFFGGSITDRNERSASFQAEFVAKGLKKLRVENVTLVGLSYGGMVGFKIAQLYPNLVKSMVMSATVTELTESISLDSYKRLGLSSWSDLLMPSTVEGLKRMFSVGFHKLPWLPDFFYRNILETMFSNRKERNELLDCLVVPDTDVTSDPDYSQAIHMLWGDDDKIFDLDLANTMKIRLGEKATLDWIKDAGHLVPLEKPFTYNKRLKSILECVTKDQ >cds-PLY66804.1 pep primary_assembly:Lsat_Salinas_v7:3:203007521:203008360:1 gene:gene-LSAT_3X118621 transcript:rna-gnl|WGS:NBSK|LSAT_3X118621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARVLQVDIEAEEQKVETITQDKRNSFGLFSKAFLRRHGLHLLGTTSTWFLLDIAFYSQNLFQKDVFTAIGWIPPAATMNAIGEVFRVARAQTLIALCSTVPGYWFTVAFIDIIGRFAIQLMGFFFMTVFMFALAIPYHHWTLHDNRIGFIIIYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAYGFLYASQSSDPKKTDAGYPPGIGIKNSLIVLGVINLLGMLFTFLVPEPNGKSLEELSGENEQDDDVEQTTSNRTVPV >cds-PLY73868.1 pep primary_assembly:Lsat_Salinas_v7:3:38299708:38302620:1 gene:gene-LSAT_3X29000 transcript:rna-gnl|WGS:NBSK|LSAT_3X29000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENGQQQPLLSGDNCPNNYTILSRLPAAPLTCQADEDGVQINGVGDFCKEFYVESKRLWYLAGPAIFTSLCQYTLGATTQLFAGQLGTIQLAAVSVENSVIGGFSLSILCGMGSALETLCGQAYGAGKIEMLGVYLQRSWIVLNTAAMVLMFTFIFGVSVLRLLGQTTTISMAAGSFAVWMIPQLFAYATVIPIAKFLQAQSNIMPMAVISVVAALSHVCLSWLFMVKLGWGLAGAAVVLDGSWWFITLAQIAYVMWGCCGEAWSGFSWKAFRNLKGFLHVSFTTAVMFCLETWYMMSLVLFAGYFENPETSVDALSVCLNILGWVCTISLGLNIAVSVRVSNELGFKRPRTARFAVVVVALTSLLVGLLCITILMVYKRRYPMFFTSSPEVMRLVEELTSLLGVSIIITNIRFALSGVVIGAGWQTTVAYMNFGSYFFFGIPLGLFLGFILDMGVKGIWSGMVLGASMQCFLLLMMVSRTNWNDEANIAGDRIIHWGGDILNKDHDEERPKEQFKRPS >cds-PLY93219.1 pep primary_assembly:Lsat_Salinas_v7:6:158668513:158670667:-1 gene:gene-LSAT_6X96600 transcript:rna-gnl|WGS:NBSK|LSAT_6X96600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSISNNHTSLLVAAHSYSLAPRNPFYPQFINFPKTPFCDLCPLLSSSLINLIANRTPRCVVTAKKKTPSSESVLSPSIVEEVSEDDEFEEFDDEEFDDDYDIEDEYALEDAETKAGDGGGGGGISLAGTKWDKQVLEMVEQVSMSFDGELGIYAFKTLQNSAIRVRIERLTNKSGSPTMEDIEAFAKAYRERLNEAEIDGSIPDISIEVSSPGLERVVRVPQDLERFKDRPMYVRYTTEGDDEEERDGVLRLESFDLETKRCIWGLADVKVNREKAGKGRPLNKKQKEWRLDTPFQSLVLVRIYADF >cds-PLY75803.1 pep primary_assembly:Lsat_Salinas_v7:3:68089299:68091055:1 gene:gene-LSAT_3X52261 transcript:rna-gnl|WGS:NBSK|LSAT_3X52261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTNNVENMESQNQHLQSHESSPTKGTTFLRTCFNGVNTLSGVGILSIPYALSKGGWLSLILLLLVALLCFYTGLLLQRCMDSDPLIIKTYPDIGQVAFGRKGRAIISTFMYLELFLVAVEFLIMEGDNLHKLFPKESFDIFGMKIGGKQGFVLVTALVVLPTTWLRNLGVLAYVSAGGVIASVILVLAVLWGGAFDGVGFHERGELWNWNGLPTAVSLFTFCYCGHAVFPTLCNSMKDKSQFPKVLLVCFVLSTISYGSMAIIGYLMFGEHLASQVTLNLPTKNISSKIAIYTTLMNPITKYALVIAPIAASVEETFPFRESKMMSCIIRTCLVMSTVFVALLVPFFGYVMAFIGAFLSITVSILFPCLCYWKIAIGFKRIGVEMSVILMIVFIGTFVAVVGTYTALTNIINEVQTK >cds-PLY68207.1 pep primary_assembly:Lsat_Salinas_v7:8:118868210:118869195:1 gene:gene-LSAT_8X82580 transcript:rna-gnl|WGS:NBSK|LSAT_8X82580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNSFGATIDAYTEQLGKYFEQSAKSSEKQVAKEAIFYGALIRLQQNWKVKRHRMVASAAGNEGKNPALRGWIRPKNVKED >cds-PLY80137.1 pep primary_assembly:Lsat_Salinas_v7:3:40478550:40479892:1 gene:gene-LSAT_3X30801 transcript:rna-gnl|WGS:NBSK|LSAT_3X30801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRQLISQVQDLVDLHGFPPPPSPPVAPPSYFFHSQPPTTLPPPSPSQLHDDRKSKRAPKEPKRIETCGSKSNETMEEIWRYFPDDLYEVVIARLPIAAFFRFRSVCRNWNSLLTSDGFTRQCSQFRPPQPWFYTITHENVNSGAMYDPVLRKWHHPILPKIPTNTIFFPVASAGGLLCFLDIGHRTFYVCNPLTSSFKELPTRSAKVWQRVAVGMISEKSSGAYKIMWLSSNGEYEVYNSRNDRWQRLGTMPECIKLPLSLNLTLQVVAADGWLYFLRSDPDGIVSFEMDSGVWKEYLIPFPPESRDHALAECGGRIMMVGVVTKGPASCVCVWELQKMTVLWTEVDRMPNVLCLELYGKHVKLSCLGNGGLVMFSLESKMTNRLITYDFLKKEWLKVNGCVRKGVRIACGTAFHPSLTAIA >cds-PLY86603.1 pep primary_assembly:Lsat_Salinas_v7:1:133428950:133430214:-1 gene:gene-LSAT_0X20081 transcript:rna-gnl|WGS:NBSK|LSAT_0X20081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDPFLTGMYRGRINKLFSSSIINQISIENYEKTYELNKIHYNLLPYPNSREYEHKIDPNSPDYEQKIEKLEKIQAQIDLNNLFIFLWTTIIAKLRDQKNSTKLKGQKNSSRINEIGKKPPRWSYKLINELHQNYKKRRKEQGIIQGLRHQLRTRKYKHIYFLNRSTRTLETLKQSNLNNSNMDKKFNNKDLGFISYLEEPDFRRSLIKGSMRAQRRKLVIWGPYQGNPHSPLFLEKKQDFPFPISDLIKLFLNIKDRLGKKSEFEILNKQSPPKRNNQEDVMEFWETIPHGHKTRGILLLAQSTFRKYIKLPLSIIAKNIVRILLRQSLEWDEDFQDWNREIYLKCSSNGLQFSKTKFPKNWLRGGFQIKILYPFHLKPWHRSKLRLYDSDIDLKQQEDFDSCF >cds-PLY99829.1 pep primary_assembly:Lsat_Salinas_v7:5:115288517:115290181:1 gene:gene-LSAT_5X50761 transcript:rna-gnl|WGS:NBSK|LSAT_5X50761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNRSIQNWVPSDIAFKIASLLQELDLCSLGSCSRFWRELCGSDHIWAGLCRDRWPALGFDKEQSSSVPEFNPHQLQQQHLDSNLKGWRGFYVNKHHEMASKADAVIAFLDQCISSESVEVNHYLVAMQNMNSMQFGFRDVVLFFFKENLHVLLNLAGLHYSIAWLGVPADDVMEALNSSKICDRQICVQWWKLGRWLYGFRLRDESISRRASLRDLAMMKEQQVLDVLYRGAIHEVIRVQISAAKPVSSPWSCQTSS >cds-PLY95472.1 pep primary_assembly:Lsat_Salinas_v7:9:160886327:160888153:-1 gene:gene-LSAT_9X100380 transcript:rna-gnl|WGS:NBSK|LSAT_9X100380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(H) kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G78590) TAIR;Acc:AT1G78590] MGRRRLLLLLKPLDVYSLHQSTGVPRRNTNPKVLQFIDSRCRVHKAAIEFCQDILRRKSIEWDAVFRSHLSQPIHNVDLVVTIGGDGTLLRASHFLNDSIPLLGLNSDPTQSQEVEELGDEFDATRSTGFLCAATIKNFEQMLNNILENGMTPTELSRMAIKVNSELLPLYALNDVLISDPNPAAVSRFSFRIRKQESSQALVNCRSSGLRVSTAAGSTAAMLSAGGDLMSVSSKDLQYMVREPISVTQEHLRLMRGLVKPKESMDIYWQTNEGLIYIDGAHIVHNVQHGDSIQISSCAPCLKIFLPHRLLGTSKM >cds-PLY80432.1 pep primary_assembly:Lsat_Salinas_v7:3:170575533:170578036:1 gene:gene-LSAT_3X103621 transcript:rna-gnl|WGS:NBSK|LSAT_3X103621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSSNSKLLQSSYVATPATTTVSDINGRPVLQPATSNRVPLNAPLFERRSLKKTLSLPKSISMVPSPTPLHTKTNQPPISPKLKSPRQPAVKRSGNNHSNDMMTSSSDKLVLPLPTKCTTPKSVIPVKKSKKCAVTSDHDHRLHNSVDTTTSTSPNSLVVKYSSAAIVDSPGSIAAARREQVAVMQVQRKMRIAHYGRSKSAKYDSCSKLTSYNFDPNSLTSAIVRDEKRCSFITPNSDPIYVAYHDQEWGVPAHDDKVLFELLVLTGAQVGSDWTSVLKKRQQFREAFSGYDPEIVSMYSEKKITSISTEYGIELSLVRGVVDNSKSIIQINKAFRSFDNYIWGFVNNKPITTQYKSSQKIPVKTSKSEAISKDMVKRGFRQVGPTVIHSFMQAAGLTNDHLTTCPHHLRCTTLASSTTLCP >cds-PLY69599.1 pep primary_assembly:Lsat_Salinas_v7:5:313500131:313505049:-1 gene:gene-LSAT_5X172540 transcript:rna-gnl|WGS:NBSK|LSAT_5X172540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEEMFAECCPVCQGNCNSINCLRNVYNKVKEKIDFKPNDDQKVQYSIYILHVLFPFLKYVNEQHMKEIAIESRIQEFLGKAQKFLKMHKLEEDMRDMPEKWSTCSLDGGDQQLRKVASRENSRDNYLYCPRAIDIKAGDLKHFQWHWSKGESVIVSNVLETTLGLSWEPMVMWRAFRWASNSKENKLSDEVAINCLDWCEVDVNLQKFFSWYTNGIYDTEGWPQILKLKDWPPLNSFEERLLCHGVEFITCLPFKEYTHPHDGYLNLAVKLPEKSLMPYMGPKTYIAYGVSEELGRGDSVNVLTHTATVTLDSEHCKRINVLKKLHNAQDQKELYVDNTKDATFEQVVGSKKQEGHKTTSNQSDQYLLKKESCEGVEFDIDKNDKRKRKGTCVVGSDLGDGGALLDIFRREDTPKLEEYLKKHFREFRHSSCLPLQQVIHPIHDQTFYLTMDHKRKLKEEFGIEAWNFVQKLGDVVLIPVGCAHQVRNLKEIKESVQSDSRHLRQEYHKITECIAVLPLPQIVIWLVILKMHGSRSLNTKNMLKFVVVFQYILGDHKPPPEDHR >cds-PLY77987.1 pep primary_assembly:Lsat_Salinas_v7:5:12216723:12218973:1 gene:gene-LSAT_5X6221 transcript:rna-gnl|WGS:NBSK|LSAT_5X6221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEAEAPNNGSTTLTDYNLTEKNKKCLQFIEDVTSNPDNVQRQILSEILTQNANVEYLIRHGLSGYTDRETFKKLVPVVTYEDLQNDITRIANGDKSPILSSHPISEFLTSSGTSGGERKLMPTIEDELGRRSLLYSLLMPVMNRFVPGLDKGKGMYFLFIKSEAKTPGGLVARPVLTSYYKSSHFKERPYDPYTNYTSPNETILCPDSYQSMYSQMLCGLYLNNEVLRVGAVFASGFIRAIRFLEKHWNLLSHDIRTGTLNSIITDPLVRESVMKILKPDPNLADFIELECSRKSWQGIIMRLWPNTKYVDVIVTGSMAQYIPTLDYYSNKLPLVCTMYASSECYFGVNLNPLCSPSEVAYTLIPTMAYFEFLPVHRNNGVSTTKGDEKERQQLVDLADVKLGQEYELVVTTYAGLYRYRVGDILRVVGFKNKAPQFSFICRKNVALSIDSDKTDEVELHKAVENAVSHMVPFGATLTEYTSYADTTTIPGHYVIFWEVSQNGLTPVPASVFEDSCLTIEESLNSVYRQGRASDKSIGALEIKIVENGTFDKLMDYAISLGASINQYKTPRCVKFEPIIKLLNSRVVSSYFSPKCPKWFPGQKQWSNVH >cds-PLY82272.1 pep primary_assembly:Lsat_Salinas_v7:1:68585502:68586247:1 gene:gene-LSAT_1X60340 transcript:rna-gnl|WGS:NBSK|LSAT_1X60340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPLSTHFLIAIFLLHILTPIQSQQFSRKLSKNSLGFKKEKLTHLHFYFHDIVTSRHPTAIKVVAAAPIANTTRSLFGLMMMMDDPLTLTPEPRSKIVGRAQGMYASADLKELGFLMVLNYCFTEGKYNGSTLSILGRNAALTPVREMPVVGGSGLFRFARGYAQAKTHSIDFTTGNAVVEYNVFVLHY >cds-PLY85936.1 pep primary_assembly:Lsat_Salinas_v7:2:189336816:189342274:1 gene:gene-LSAT_2X111361 transcript:rna-gnl|WGS:NBSK|LSAT_2X111361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAKRKANGTYETKRSTKFTNLLFTDTNSATRANLLLLPFRSPEKQIEEIQLLLLFAIAFLFHILNKVVQFGTDGMAKIGDEQGCGSKRQKARNMNSYKEAIRRLEEVSVSSKGEERVQLLRRWLVSLREIERQNAVSTENDEKNSKEICTPNDKNHSPGNPDVELYYDPNLGVSPVNFRDVLLHSQALEGITMSMLIIFADSWSLTAGSLFCLTQIVGAPNKEEILLLHELFGLCLTGGEEIHDVIVNRILDLSKAFSVYDDEVLAKRKELLQFAQDAIAGLKLNVDILRLVIKESVSPIRLCCRLESLLLKKKLLNSGDTPNDHACKIDKLKVLSESLLSSASNSEKRVSDHRQQKEEALYFRVAKTSEVTQIEKELGAEINELEKQRDKLETELKKVKNLLAVANGRLQNATEEREQFDDANNQLLVHFKSKEDELSKAIISYRVEADTCNAFVEFLEAAWDFQYSFMEEKEKKVNDELETHEEYFINVARSLLSAYKDALGPAIAILREHAKNLKRYEKAIDPDEEFLQDIEQRKSLEHAYLAAETKVITIFGAADSVKEQFYQAIDNVFRKVIEPVHDLCEAIENIKIEFESLARPYVSKDKSSHVEQTVSKESPQKGVSPSSKRVLTVDIKSILTQKLVIRSPKKKAYIPLGGSSENSPSNPNDDQEEDEESSTPNKEASKSALEIDKENKEETNMSQHPCTSENEKLLEPCKESGNLALELSTSNDENKEKSKTKERKKKKKSQSSSSESNKSSSE >cds-PLY61739.1 pep primary_assembly:Lsat_Salinas_v7:5:218063972:218064274:1 gene:gene-LSAT_5X101101 transcript:rna-gnl|WGS:NBSK|LSAT_5X101101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYNLFMEYIVDGTFSDVIKKQGRSLDESLIRSYTHQILLGLDHLHCNNLVHCDIKCRNLLVCKDGVKISGLGCAKMFGNNGATTSQLFGTPVFMAPKVII >cds-PLY86274.1 pep primary_assembly:Lsat_Salinas_v7:8:56701198:56702566:1 gene:gene-LSAT_8X41380 transcript:rna-gnl|WGS:NBSK|LSAT_8X41380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSISVLVVIVSLHLMAFVLAIGAERRRSTAKVVPDQYDEYDYCVYASDASTAYGLSAFGLLLISQTVVSGVTKCLCFGKGLVRGRSKTIAIFFFIFSWISFLGAEACLLAGSAKNAYHTKYRGIYHVTDLSCETLRKGVFAGGAALTFLSMVASIVYYAVHCKADTGGWEKHQNEELGMTSSGFTGNQGQNAGQFEKL >cds-PLY88573.1 pep primary_assembly:Lsat_Salinas_v7:7:8592614:8594450:-1 gene:gene-LSAT_7X6521 transcript:rna-gnl|WGS:NBSK|LSAT_7X6521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYSFVSRGTVILAEYTEFTGNFTSIASQCLQKLPATNNKFTYNCDGHTFNYLVEDGFTYCVVAAESAGRQVPMAFLERTKEDFNKKYGGGKAATAVANSLNKEFGPKLKEQMQYCVDHPDEISKLSKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRTQGTQIRRKMWLQNMKIKLIVLGIIVALILIIVLSVCGGFNCGK >cds-PLY82830.1 pep primary_assembly:Lsat_Salinas_v7:1:87282602:87286960:-1 gene:gene-LSAT_1X72341 transcript:rna-gnl|WGS:NBSK|LSAT_1X72341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPEQQPSQETSKKAAKKEAAKAEKLRRRQEAAAASAAASGVAGVSIDTPDPLAANYGDIPIEDLQSKAISGRVWTTVSSLTEELKDRTVLIRGRAQAIRAVGKKMAFFTVREKGYTVQCVLTVAPDIASAQMVKYATAISKESFVDIEGVVTVPPEAIKGASQQVEVQVRKIHCVNRAAAILPINVEDAARSEVEIEKALEAGEQLVRVNQDTRLNYRILDLRTPANQAIYRVECQVANLFRQYLLNEDFIEINTPKLIAGSSEGGAAVFKLDYKKQPACLAQSPQLHKQMAICGDLGRVFIVGPVFRAEDSYTHRHLCEFTGLDIEMEIKEHYSEVMDVVDRLFVNMFDKLNERCKKELEAIGKQYPFTPLKYLRNTLRLTFEEGIQMLKEAGVEVDPLGDLNTESERTLGKLVLEKYGTEFYILHRYPLAVRPFYTMPCPDNALYSNSFDVFIRGEEIISGAQRVHIPELLEARATACGIDVKTISSYIDSFRYGAPPHGGIGVGLERVVMLFCGLDNIRKVSLFPRDPRRLEP >cds-PLY93578.1 pep primary_assembly:Lsat_Salinas_v7:2:175369484:175370037:-1 gene:gene-LSAT_2X99441 transcript:rna-gnl|WGS:NBSK|LSAT_2X99441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVMSSFYLICCVINLLLYGRFQELVFGDWLVRKYIISEDGEVDVRVTVTCIILSTKDTPLTMAAVGSCLGVYYMITKIVRKMPCRIYATLKELSLGIMEKEWNVSFHWNG >cds-PLY89665.1 pep primary_assembly:Lsat_Salinas_v7:3:184606462:184610584:-1 gene:gene-LSAT_3X110841 transcript:rna-gnl|WGS:NBSK|LSAT_3X110841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADTHDTDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQVSRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTDDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPSTSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKTLEMGAVDILIVWENLDINRYTLKNTTTGEVMIKHFNKEQEGNQKNFRDATTNVELEVQDKMSLLEWFANEYRKFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDELYEDSE >cds-PLY99667.1 pep primary_assembly:Lsat_Salinas_v7:9:56784464:56787031:1 gene:gene-LSAT_9X48300 transcript:rna-gnl|WGS:NBSK|LSAT_9X48300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIPAGPSSGSSSSSGFQSPFGDTTFTKVFVGGLAWETQSETMRQYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPESASKACVDPAPVIDGRRANCNLASLGRPRPALSFGRMSSTLSYAGGVQTARVPSIGSYGYQQQPPLFYGYRQGLMYPSYPYGTYSPEYVYPQGVYNPYSSQQYLQVYGAVNPPNMYPYNQMGQIAPSGHGYTPYPGYNTLPGHQIVQFGGPNVNAATTTSSIRSIQAAYPTGSVVAPVQGQQFVIPAPSSQIMQGGGILRLQQD >cds-PLY88426.1 pep primary_assembly:Lsat_Salinas_v7:8:86592047:86598550:-1 gene:gene-LSAT_8X60041 transcript:rna-gnl|WGS:NBSK|LSAT_8X60041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESPPSTKKIGQGGGSSESGGSESGAEEKGCSRTRFEYFGWVYHLGTNSIGREFCHLRFLYIRGKYVMMYKRDPHENPGIGLIIVFSTQKPLRRGVAGNTLMVEELGRKKVNDGDLYVLRFYNRLDEEKKGEIACATAGETRKWMEAFDQAKQQAEFELSQSSTRHRLNMENEIDLEGRRPRVRRYAHDLKKLIRIGHGPESLVRRSSNLGRHQGSNEFMEGDAADVIEAHEWRCVRTINGVRLFEDVSDNKSRSKTVLVKAVGVVDASPDTVFEVVLNVDRHRRYEWDALTGDLELVDSLSGHSDVVYGSYDFKCLTRWQSKCDFIFSRQWFRGQDGTYTILQFPAMHKKHPPKSRHQRIKIDPSSWEIRNLRASVGSHGDRCLVTHMLEIESRGWFKWKCGQGSKFENSIPYALLNQVSGLKAYIGASPGLTSESSTTLVASKGSDVSGSISEFEDAEVAEEFYDAIAADSSSSSSSDDEDDENTQLDSKGQRVKLKNVSWAIARLALTAKKAMDGSHELDPNVEPVNLDSSLFHGSMHKGKSESDANCWTSPSGAGFKIRGKTYMKDSTKVAGGDPLLKLIAVDWYKLETSRSKVALHPKSLVQSEAGKKLPFILVVNLQVPAKPNYSLVMYYAADRPVTKGSLLGKFIDGNDAFRDSRFKLIPSIVQGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSVIGIVLGYVTSLVVDLAILIEGREEKELPEYILGTVRLNKVKLDTAVPLEG >cds-PLY70512.1 pep primary_assembly:Lsat_Salinas_v7:1:74991050:74992822:-1 gene:gene-LSAT_1X62401 transcript:rna-gnl|WGS:NBSK|LSAT_1X62401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEIEGSPGTSMHGVTGREPVLAFSVASPMVPTDTTAKFDLPVDSEHKAKVFKLFSFANPHMRTFHLSWISFFTTFVSTFAAAPLVPIIRDNLDLTKADIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLIMMSAPTVFCMSFVADASGYIAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLLMPVLFEIIKKAGATPFTAWRIAFFVPGWLNAIMGIFVLTLGQDLPDGNLGALQKKGDVAKDKFGKVLWYAVTNYRTWIFVLLYGYSMGVELTIDNVIAEYFYDRFDLQLHLAGIIAACFGMANLLARPFGGFTSDYMAKRFGMRGRLWNLWLLQTAGGVFCVFLGLVNSLPLAITFMMLFSIGAQAACGATFGIVPFISRRSMGIISGMTGAGGNFGSGLTQLIFFASASISTAKGLSYMGIMIIVCTLPVSFVHFPQWGSMFFPASQDIVKGSEENYYVAEWTEEEKQKGMHQASLKFAENSRSERGGKVASAPTPPNATPNYV >cds-PLY83117.1 pep primary_assembly:Lsat_Salinas_v7:3:241178959:241180274:1 gene:gene-LSAT_3X133701 transcript:rna-gnl|WGS:NBSK|LSAT_3X133701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDHLRNEDSKTLFHKSASSSHQETIVSQKLCFTIFSPISCRTTHPTPTPXXXXXXPPTPTPPSPVDTNSSVAHLSSGESELESSDEENVGGKRKHIKRESIVGPAVDKDVAHEVVGLRAATLVPKEIPLIKKNPVLQINFTPKQTQTEQIDDGIAKDLEEVENDNLSSKSFASLEDLEHEKLPTEEILSLPMFKAMKARMGDLARSLGMPQVLGDQFKQ >cds-PLY92933.1 pep primary_assembly:Lsat_Salinas_v7:3:115212026:115212358:-1 gene:gene-LSAT_3X81940 transcript:rna-gnl|WGS:NBSK|LSAT_3X81940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATVDLTVTNSQSAPLLLQALTSDAPLNPGEVPSTASSGDLIQSDPGQVVIFSQSKLHDVALPAKQLQPQPLCSPSGGCRNPIPFLRSKLESATIAITASPVTAKCIQW >cds-PLY82512.1 pep primary_assembly:Lsat_Salinas_v7:2:184655474:184658476:-1 gene:gene-LSAT_2X107941 transcript:rna-gnl|WGS:NBSK|LSAT_2X107941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQIHHVCRTIPPPLSTVVVGQVIEVDKDTFWPIVNAAGDKTVFLDMYTQCGSSQQGYNLANKLGHSIIKPIPSIFTFKIDDIQLTELSGALLSQHKKQNPKQKVGRSYPQEFWTMKRFWKYLLNREGIDEDILWASISNNSLMSIATLLKQCSFLVKRKELIYGQFKDQFVTARGVPLSEVPLFLFLLKIFPFAFIFLIYFNLSFFLFLFSYFLKQILHGFIGKFYTSISLISYDFIFHFHNLNFKKV >cds-PLY81469.1 pep primary_assembly:Lsat_Salinas_v7:5:333738559:333739815:-1 gene:gene-LSAT_5X184440 transcript:rna-gnl|WGS:NBSK|LSAT_5X184440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNNRPPFLLPQISSLVSSTSPALEHFIVPFSLPLPIPPLRLPVLFYPPLCMYTKSPLLVRSSGIACHRGTVEAPDPLPPVHALPSVFTTFVLLKIVGCSSTSCILDYVKSTGGRILSLKSNHDAQESSSHYMNEFDCAQGRRQWHGEDPGLCSSSRRNESQQPVPLLTNGKQFQVLGEIPITTPDNLSVRSKSGPLDPGDKHVGYLDTRQPGFYFIWAWECGPEGKGRREHIQDGGEYYIAPIHSKGTHKYVNR >cds-PLY71116.1 pep primary_assembly:Lsat_Salinas_v7:9:80966329:80968367:1 gene:gene-LSAT_9X64101 transcript:rna-gnl|WGS:NBSK|LSAT_9X64101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWAGNVGLNHQMTSSGQPPSLHLRDTAEEEKPRLNRVGQVRMEQEFLENATNSTGSNSNKNHNHIPMNDDHDENDEEFEEQEHNNVGGGGSLEISEPTSSGGGRRPRGRPPGSKNKPKPPIVITKESPNALRSHVLEISSGSDISECIATFAQRRHRGVSVLSGTGIVTNVTLRQPAAPGGVLSLQGRFEILSLSGAFLPAPSPPGATGLTVYLAGGQGQVVGGTVVGQLVASGPVMVIAATFTNATYERLPLEDDGGGTGEGSEMQLQQTQGVNSGNSPKSGGGAPQTDNIPSSSMPIYNQPPKILPNGQMHQDMFWATPPRPPPSNF >cds-PLY88053.1 pep primary_assembly:Lsat_Salinas_v7:6:181710004:181711761:1 gene:gene-LSAT_6X111320 transcript:rna-gnl|WGS:NBSK|LSAT_6X111320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSLKSYGKVHEADQMRLDARRKTRKRMVVVAISSLILVIVVVSAVVGTTQSKNHSQMGGDSQSPSAMVSIKAVCDSTLYPDTCYSSLAPLVNSTNIHPDQLLKLSFLVAIEELSKASTLPELKNCSELLELAIDHLNDTLSTDIDLKSIGSLLDDLMTWLSAAGTYQQTCIDSIQENGVGYLKKSTELTSNSLAITKGFSNAASSFNQRRRLMSIAEGSDDEMPEWLSVKDRKLLQKTKLPAGIKADVVVAQDGTGKYKKISEALMAVPDKSKKRFVIYVKKGVYFENVRVEKPKWNVMMIGDGKDSTIVSGRLNVVDGTPTFQSATFAVFGKGFIARDMGFRNTAGAAKHQAVALMSTADLSVFYRCRIDAFQDSLYAHSNRQFYKECNIYGTVDFIFGNSAVVLQNCNILPRRPMTGQQNTITAQGRFDPNQNTGISIHKCTIWPYGNLSDVNTYLGRPWKNHSTTAYLNNMMGSFIHPKGWLPWVGTTAPDTIFYAEFANYGAGAAIKNRVTWKGLKFITTKQATKFTVKRFIDGDKWIKAAGVPYTSGL >cds-PLY80763.1 pep primary_assembly:Lsat_Salinas_v7:8:137880406:137883319:-1 gene:gene-LSAT_8X95340 transcript:rna-gnl|WGS:NBSK|LSAT_8X95340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPVASPAPTTPSTPPSTNTTSPPPAATTPPPAAATPPPTPSAPPPSTPASPPPPSTPLSPPPSPTPPTPVTPVPSPPTPVTPPPTTSPAPPTTTSPPPSSATPTLPSPSPPTPSPPGSTPSNPPPSVPRNSPPSTPGQTTSSPPPPRSVSSSPPAAPSSDGSSGISTGAVVGIAIGGVLILAIFSILFICCKKKKRRTNDPAGYYVPPPPPPKADPYHPQQWQHNAPPPGDHVVMMPPLKPPPMGTRPPQSPARSPSPQPPPRPPFLSSSGGSGSNYSGGSNPLPPPTPGMSLGFSKSTFTYEELAMATEGFSEANLLGQGGFGYVHKGVLPNGKEVAVKSLKAGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGDQRMLVYEFVPNNTMEYHLHGKNRPVMDFSTRLRIALGAAKGLAYLHEDCHPKIIHRDIKAANILLEFNFEAKVADFGLAKITSDVATHVSTRVMGTFGYLAPEYAASGKLTEKSDVFSYGVMLLELITGRRPVDTAQTFMDDSLVDWARPLLTRALEDGNFDSIVDQRLPKDYNHSEMARMVSCAAVCVRHSARRRPKMSQVVRALEGDVSLSDLDEGIRPGHSSVYNGSSDYDTAQYNEDMVKFRKMALGTQEYASSEYSRPTSEYGLNPSGSSSEGQNTREMEMGKLRKDTRGYSDGF >cds-PLY95208.1 pep primary_assembly:Lsat_Salinas_v7:2:98030409:98033408:1 gene:gene-LSAT_2X43480 transcript:rna-gnl|WGS:NBSK|LSAT_2X43480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVLNILARILDSSKLMKLKGFEVCSKNELLAKERVEFIKLLQQAQSEAASAFGNDALASGLAIASPTEQRTREKLLAVVPQQMMRFIYGGNLLGGVFSLNHFQIEPTYAEYPRYQEYSLLRGDLMIKISNNTRFNRLADAIISWFPIFPLVPSIKSLVEDRTERKMMIGKFNKLXCGILACSFETCQRKNTTVFCNI >cds-PLY91975.1 pep primary_assembly:Lsat_Salinas_v7:7:124987811:124988740:1 gene:gene-LSAT_7X75960 transcript:rna-gnl|WGS:NBSK|LSAT_7X75960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKHIVNGGKEIIEEENALITSSSSLQNMPLLSLNHVSFICKSVSRSVKFYSDVLGFVLIRRPTSFDFEGAWLFNDGIGIHLLEVDTTPTKTRAINPKDNHISFQCTDMDLIIKKLEGLGIKYVTAMVKEGGMEVNQLFFHDPDGYMIEICNCHVLPVLPITSCSLKKLPAALNINNGESSLYEKMSSKNYYCGEDEVLMMDSFLIDMMDISF >cds-PLY89569.1 pep primary_assembly:Lsat_Salinas_v7:4:365339779:365340913:1 gene:gene-LSAT_4X178101 transcript:rna-gnl|WGS:NBSK|LSAT_4X178101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHSIDKVILFCIYALLHLCSSEGKINKQEIVSVSALLTFGDSFVDQGNNNYNAAIGKANYLPYGKDFMGGKPTGRLISQDWFLYFAFRLTTKTTIYDLQVEGLGVKEYLPAYLDPFLQDDDLLTGVSFASGGSGYDPVTPRFSSAIPLSDQLNLFKQYIGKLETKVGEEAAMDIITNSVFLVVASTNDLIITFPLRGILTDATEYDTMLTNLTLSFVQVYILINTYICTSFNEF >cds-PLY65090.1 pep primary_assembly:Lsat_Salinas_v7:4:203006079:203007575:-1 gene:gene-LSAT_4X115321 transcript:rna-gnl|WGS:NBSK|LSAT_4X115321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHAQLLPNDRVVMYDRTDFGVSNISLPNGACRPNTTDCSAHSVEYDVASNTVRPLMVLSNIWCSSGTLMPDGRLVQTGGFDDGYRVVRIYKSCDSCDWQEIRNGLNQQRWYATNHILPDGRQIIIGGRRAFSYEFYPKMSATENTPSLPFLVQTNDPNVENNLYPFVFLYPDGNLFIFANNRAILFDYSNNQVIKTYPTMPDGQPRSYPSTGSAVLLPLRITKGTVNAVEVLVCGGAPKGAFVNANKGIFDGALDTCGRIKISDPNPQWVMETMPLARVMGDMLLLPNAHVLIINGVSAGVAGWELGRNPVLSPVVYQPDKQVGSRFEVQNPSTIPRVYHSTAVLLRDGRVLVGGSNPHDKYEFGNVLYPTELSLEAYSPSYLDSNSSDLRPKIILPVKNTKIGYGKQLVIVFTVSGIVDPSSVSVTMMAPPFNTHSFSMNQRLLVLDGGVAAKILGKSRYQVVVTTPPSGNVAPAGNYLLYVVHKEIPSPGIWVQMQ >cds-PLY78121.1 pep primary_assembly:Lsat_Salinas_v7:2:62211737:62214985:-1 gene:gene-LSAT_2X27441 transcript:rna-gnl|WGS:NBSK|LSAT_2X27441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADPLVTIAAEGILRKLLSIAAGELAIAWGYEEKLTSLHRTLDLIRAKLSDAERQKGTEAVMVWLRQLKDLVGEADDVLDEVHYEMLRRQIKKRDQVARKDYKVKTLLALFQIVSTGGTEKFKIVGRENDEAHIIELLNQSRMGENLKIVPIVGMGGIGKSALAKLVYNGTKIEQYFDVKAWLCVSVTIDINTLLAKIYESLTKKKPTSELTVNLIKSLEEELESKRYLLVLDDVWVEERTYWEDFRSCMLNIKSKNGSAILVTTRKCDIGTHDMIMDSCRLEGLSDDECWSIFRERAFVEGKSPSIELEEIGREIVKRCCGLPLLLNIIGGMLAYHTDKDKWLSIKNSQVWDLADEKDRVEKSLKLSFDNLPNSGAKQCFAYCSIFEKDKVMKRKELIQQWMALGFLQADESRNMEMEDVGNEIFQILISHSLFQDVEKDDNGHVTACRMHDLVHDLSLSLFAHESLCLVEATNDDVACIPQVKHIALYPKLEFKDKIAMFIQRDTVARTLHTLFFTGLTENNFSFQRFKCIRILKFKGYGMKKLDDSVGQLVHLRYLDLSSTDISVLPESIGKLYNLQTLKLQNCYCLKNFPESMRNLISLRHFKCDKNIPANIMGQWISLRKLPSFTVLRRKGHGIGVLQHLNNLLKSLCISHLENVGSKEDAVKADLSRKKYLYKIEFEWSGKDQGTNRVDRDVLDGLQPPRDVKQLAIKNFCGNYFPDWVTKMAIDIEGKWTPLDKLVSIRLSDCRSCLSLPTLENLPHLRDRELWNMENLKCLRSSNVTGSMKPLSPSLRSLRLYNMGRLENWIDGATNSSKMISPVLESLSIYHCPKIIQIDECHPHPLLTLKISCCTGLVSIKSIQGFTSLVSFEISYCQSLLGITNLPNQCHFLKTLRIKYCDKLSSLPHKMFDCFSFLNELELGPFLKELDSFPSLQGIEKLRNHLHSLELHGWNHWESIPQEIQYLTSLTRLCIHTFGIQKLPMWLTNMSSIRHLRFYSCKGLIKKRLDR >cds-PLY82719.1 pep primary_assembly:Lsat_Salinas_v7:2:146061365:146063287:-1 gene:gene-LSAT_2X72221 transcript:rna-gnl|WGS:NBSK|LSAT_2X72221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRISIESAKTVLEVAEVAFKAVEFSHHHPLHLKTEHQEKSGNKNENKQPLEVKEKELEALRSENQRLRDLLEQNLKLLQNISESPCLLEDCPPDLHSRIINTVESPKFLSQLNTLHNNTVDGIPCKFSLEDTTELGLQLDEILINVGSEEPSLWIWVTEEMVHGKLEEKSGIDNENYVVISHEQVVDGIANFMAKCILLNPKAKSLTPEEMQKKLSKALGGMSKFEKVMEIWHAGQLFYLMATWGLALAGLYQSRAVLRIAARGVHATSKVAMRAL >cds-PLY83302.1 pep primary_assembly:Lsat_Salinas_v7:4:126365180:126365353:1 gene:gene-LSAT_4X79361 transcript:rna-gnl|WGS:NBSK|LSAT_4X79361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVLEVEQVQPVPEEVPHVGQVPPVPQVDIIPKTEDESIAERPPSQRLMTTRRPS >cds-PLY62963.1 pep primary_assembly:Lsat_Salinas_v7:2:212453606:212454505:1 gene:gene-LSAT_2X132141 transcript:rna-gnl|WGS:NBSK|LSAT_2X132141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKILRVGRWFFLCLFTFATTHLCCSGCFDHERRALLRFKHSLASDPSGLLSSWNGNNKCCEWHGVGCDNATGHVTRLHLRNDHYDSIPGLEGNKLDSSLAELTQLSYMDLSMNYFRGSPIPEFIGSMTQLKILDLSLAGFSGVVPHGIGNLSRLHVLDLRGMELVVDDFTWFSSLLSLEYLDLSGSSVGDKALLYMIPSLRSLSLSGCGLSNSHFRRTHLHPNFTLSTIHTLDLRGNSLQGDSRLFLQNLTSLQVLDLSTNQLNSSIPFMSNIVRLHLSENKFPHIQEVWRLCQLKCG >cds-PLY87844.1 pep primary_assembly:Lsat_Salinas_v7:2:66017182:66017715:-1 gene:gene-LSAT_0X38521 transcript:rna-gnl|WGS:NBSK|LSAT_0X38521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPRREEEVEERLKEMQFSLVKELQSSMAKMQQNFNEEISRIIEKMTKTGKERRSLRSSNSEELELENNHSSAEEEEDFSRSSHLSPQTPPPEMEGKNEGTYLNGGATSRRFHKHEVLSFDGINPDEWILQLEKSFSCYNNEETMEAEVEALEGDALLWYEWEHRRRPIRDWEELKS >cds-PLY68610.1 pep primary_assembly:Lsat_Salinas_v7:2:72978175:72980504:-1 gene:gene-LSAT_2X32460 transcript:rna-gnl|WGS:NBSK|LSAT_2X32460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQETGKMEEEIDRTLLWKKARELKTGGYKSNVKMIVDKIYELQKLGSFGEVTCGAHDMLTEPWVLRNNVGLYEGWIENERVDKQINKLEDDLEKLKISVLNVSEAASFQVRGVIEDVEKEPHDASLDNSCFLAVEFAANVVAKGSIMKYSASAVLTMDEMTGAVIVSYMMVLFNKMKYGTPERDHGICFVNPALISPSMHKGKSKNIDDASKGLANRRHWVLGVLDMKSDTCYYLDSLSSGNFNMQLKATILYATQSGSNKRVKLNWVNVTCLVQPGSTKCSNYVIRFMKKIVEEGIEVLVKDNVRI >cds-PLY63239.1 pep primary_assembly:Lsat_Salinas_v7:8:270985152:270987706:-1 gene:gene-LSAT_8X156761 transcript:rna-gnl|WGS:NBSK|LSAT_8X156761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAWQYDEYGSVDVLKLATDVAIPEINDDQVLLKVVAAALNPVDYKRRHGYFKATDSPLPIIPGYDVAGVVVKVGSEVKGLKEGDEVYGDVNEKALDGPKQFGTLAEYVAVEERLLALKPKKLEFVEAAALPLAIETAYEGLERANFTQGQSILVLNGAGGVGSFVIQLAKHVFGASKVAATSRQVEKAVKAIKEGGSVVSIVSITGSIPPPGFSFVLTSNGSILTKLSPYLESGKVKPVLDPKTPFSFESVKEAYTYLESHRAIGKIVIYPMP >cds-PLY75628.1 pep primary_assembly:Lsat_Salinas_v7:8:212914854:212915485:1 gene:gene-LSAT_8X133000 transcript:rna-gnl|WGS:NBSK|LSAT_8X133000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSECYHEMIKRNNNGYVYDVERLDEEIEATVMPENYRQKKFFLHFTCVVCGLIDLGNREASKYEESSYLRILLDSRDASR >cds-PLY98610.1 pep primary_assembly:Lsat_Salinas_v7:1:39678686:39679952:-1 gene:gene-LSAT_1X34161 transcript:rna-gnl|WGS:NBSK|LSAT_1X34161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTSEFAVTGGGIGVEFDEIELTLGLPGESRERKSGTKRLFSDVVDLKLGNCGEQEAIESDCECSDLDSRKPPSKGQVVGWPPVRSHNRKNTVMKSSNCKYVKVAVDGVPYLRKVDLKSYTGYQQLLCVFEDMFSCFAIGNRALINENKMIDYLNTSDHVPTYEDKDGDWMLVGDVPWKMFVETCRRIRLRKSSD >cds-PLY72706.1 pep primary_assembly:Lsat_Salinas_v7:6:29251084:29251488:1 gene:gene-LSAT_6X21601 transcript:rna-gnl|WGS:NBSK|LSAT_6X21601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVTLYGMKCLLSSFMEDGLIAQLGNVGRVKPESVMYSFGTLFLGILSGKHTCHPSTTRQDEPH >cds-PLY70623.1 pep primary_assembly:Lsat_Salinas_v7:4:227078929:227079901:1 gene:gene-LSAT_4X124041 transcript:rna-gnl|WGS:NBSK|LSAT_4X124041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLFHHEEPPNPSKRCKFLKDALATCYTFCRELSSQTLEQQRYEEEEEDDDVSNYDDEQEVFVSAVLSKYMEAKSKRKLGLSVDSFIWGFSFSPIEVIPPRNEIPHAYESDAEEKDEFYSTCSHLSRCSSSMTAAQAFMSVKTNISRCSSMSSIEFPPYRKRRSIMRELCHCEGWPFGLCRKALLVPPLPKSPSESWMWHKTTRLIKIL >cds-PLY67469.1 pep primary_assembly:Lsat_Salinas_v7:6:70758193:70760985:-1 gene:gene-LSAT_6X48780 transcript:rna-gnl|WGS:NBSK|LSAT_6X48780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHPCVFIVFSLFLLCLQNTTANQLVTLRGGGDANGVIRNKCLDKERDALLQFKANLHDPDGSLSTWRPEDDDCCAWEGVWCDSQTGHHVTDLYLSDAGLVGEISHSLVNLTYLNCLDLSSNSFHGTIPMFIGSLTELRYLDLSGNSFYGIIPPEFGNLTKLQRLSLQDVGRCRVENPQWLSSLSHLKELEVDGISLAKANQWVNVILNLPKLSDLSLDGCELSQVMYPYSSSFLNSSSSSIEFLSLRNNNLTSSMYHWMFPLTSNKLRFLDLSSNMLDGIPKYLGNLCSLETLYFNNNSAVVKVPDFLNNLSSGCSFLTFQDLSIRRSQFTGSLSDEIQKFSSLSFLDLSDNQINGAISEKLWELPLLEKIDLSQNHLSGTISKNIGKSKALYINLSKNPLQGVPSTDHMSNLSYVKHIDLRSCKLGPHFPIWMKKLENLTRLDISNTSISDTVPPEFWKMKFDYLNLSSNNISGKIPDLSLILGYTPAMDLSSNNFDGPIPHLPSSLTSLNLSRNKFSGGISFLCQIVDGLLEFLDISHNSLTGQLPDCLWHFKELKILNLGHNNLSGRLPPSIRSLIELEVLYLYKNNFSGELPLSLKNCTKLNFLDLGANRFSGNVPAWIGENLSGLYGLILRSNNFFGTIPLQLCQLPNLQILDFSRNNLHGSIPSCLSNLTRMAQEGFLPPPNVHPYAFRFANFAKFNLPYPNEEEYVDHAMIEWQGDEREFTHNLGLLKSIDLSSNNLTGNIPHELTNLHELLALNLSKNALLGEIPQKIGQMKKLLTLDISRNNLSGGIPSSMCQMTFLGYLNVSSNNLSGRIPSSTQLQSFQPSSYDGNAGLCGPPLFRKCTGDEESQVPSVVCKSEGDEDWRWFYISGAAGFVTGFWIACGTLLLNHRGRRAFFHFYDSFRDWVYQEVVVFITKLRRIERM >cds-PLY94237.1 pep primary_assembly:Lsat_Salinas_v7:8:230332296:230341387:1 gene:gene-LSAT_8X139821 transcript:rna-gnl|WGS:NBSK|LSAT_8X139821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 39 [Source:Projected from Arabidopsis thaliana (AT2G42570) UniProtKB/Swiss-Prot;Acc:Q9SIN2] MQTLVRIQCPENLRVPPQGSSTEGESGPKIRPKGVVDGQQVNIPVLPLVGPEGRRRLATSFLNSSKATSCNFFKGKWVYDQSYPLYNPATCPFLDPEFDCVKYGRPDRSYLKYRWQPFGCNLPRFNGVQFLEKWRGKRFMFVGDSLSFNMWISLSCMIHSWVPNAKYNLVKTGGVLTELTFQDYGLKISVYRTTTIVDIVNEKVGRVLKLDSIRQGNAWKGMDVLIFNSWHWWTHTGRDQPWDYIGEGGKVYKDMNRLVAYYKGMTTWSRWVNRFVDPSKTKVFFQGISPVHYDGRDWNQPSKSCKSETQPFFGLRYPAGTPMASLVLNRVMSRIKKPVYLLDITTLSQYRKDAHPIYYSGNHIGLDCSHWCLPGLPDTWNVILNAALSG >cds-PLY69439.1 pep primary_assembly:Lsat_Salinas_v7:1:64179772:64185205:-1 gene:gene-LSAT_1X54840 transcript:rna-gnl|WGS:NBSK|LSAT_1X54840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate phosphatase PAH1 [Source:Projected from Arabidopsis thaliana (AT3G09560) UniProtKB/Swiss-Prot;Acc:Q9SF47] MISRFGRIVYSVATPFHPFGGAVDIIVVQQQDGSFRTTPWYVRFGKFQGVLKGAEKIVKIEVNGVEADFHMYLDNSGEAYFIKEVNPEKDTSVNDSNGSLESKQDDFEDNDNQNNKIDVQFHDHADIITESDSEKRFYELQDDESSPLDELYDNSESESQSSEVVLLCRDGQILSAPITSMEENTENVQLSTPQFHLGPVEGPEFDGDLDLSNDSTWAHYLSDFNRPIDSNITKVNDAQYVVNLEREVSIKSVVVEDVSSDGKKDDIFKSCLALDDFGKQEDGGDSEVVVEEKLKSIESSTLEVETEGTEVNSLDTEEKLSDVAVVSDQDQQGPIGVIREPEGVERPEIESPGVNSNSLQKEQKLSDVAIVGDKDPHGPFGAISEPEGVERTETNSTGVNSLDMEEKLSDVAIVSDKDREEPEMIEDSPKGDETEEPQSYFNDNENFEGPKQTRIELSLCGNLLRPGMGLSAAAATFNAHRIPSEEFQNSATSIIKNDSLIVKIDDKYFTWEKAAHIVLGMAAYGLEMAVDPNDSIPVEDSGLPSTPSRRWRLWPIPFRRVKTLDHNTSNLSGEDVFLDSESVFDSPTTQPVETTPTSSKSPQKQFARTQVPTTEQISSLNLKDGQNMVSFIFSTRVLGVQKVDAHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGKDWTQSGVARLFSAIKENGYQLLFLSARAIVQAYLTRSFLLNLKQDGKALPNGPVVISPDGLFPSLFREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAISHRMDMKGKSYTSLHTLVNDMFPPTSMIEQEDFNSWNYWRVPLPDVE >cds-PLY99248.1 pep primary_assembly:Lsat_Salinas_v7:6:184766095:184767298:1 gene:gene-LSAT_6X112481 transcript:rna-gnl|WGS:NBSK|LSAT_6X112481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEQRLLCEAATNGDTEKVQTLIASGADVSYFDHDGFTPLMLAAKHGHADVVKTLLDAGAPWNALSPTNLSAGDFAMDSGHQDAFDILLNTGIQAELILGTIARKENKIGDLDGDYLEDRVSFSEDKLMDANSKAVMMAWEKPLMEAHAKAICSRGGHILNIGFGMGLVDTAIQQYSPATHTIVEAHPEVYERMRLSGWTEKENVKVVFGKWQDVVHQLDSYDGIFFDTYGEYYEDLREFHQHLPSLLKPEGIYSFFNGLCGGNAFFHVVYCQLVSLELESLGYSTQLIPLPVKDCLGEEVWEGVKHKYWQLDTYYLPVCESLEESE >cds-PLY78441.1 pep primary_assembly:Lsat_Salinas_v7:2:167215799:167216080:-1 gene:gene-LSAT_2X89200 transcript:rna-gnl|WGS:NBSK|LSAT_2X89200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQKSITCDYSKHSSCLVQLTHMCTPGALLSVIQGFNEVQNDCVRQMKEVPRVLSYFVLKNLT >cds-PLY86472.1 pep primary_assembly:Lsat_Salinas_v7:8:54326104:54326475:-1 gene:gene-LSAT_8X39481 transcript:rna-gnl|WGS:NBSK|LSAT_8X39481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADQPVGTKMVNSAKSATDSSSDKGGIVHKIVLGVKARDNKDDGEASKPRGSLDQKQTEMKKYRGVRMRKWGKWCSEIRDPFEKKRLWLLVFLQGQNDLLTRQYTEDRMVRCVGDGFSESQEG >cds-PLY74554.1 pep primary_assembly:Lsat_Salinas_v7:7:32784117:32785732:1 gene:gene-LSAT_7X24861 transcript:rna-gnl|WGS:NBSK|LSAT_7X24861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METVEGRNQPPIPPNQIKLLKFVDEEGEDRISVLPDCLLLEILSRLPSSKYAVRTGKLSKRWKHLWTFVPTLIFKHNRDDDDFVLFVDKTLSQCHQLKLKKFVVYTVYDIRFESQVKNWIRYAITCKVEELHLTLRNTEFKSEFVLDQYIFTNSCFTDLTLKGCMFNPTGTISWKNLRKLCIYYVKLNTDLTENILSGSPQLETLVLEDCYGFSLLDITSKSVKNLVLSGYVVAYDESESDIIRINAPNILSLTIEAELVLWKLLLVNVSSLVKANLDYINDDITSKEEEEEMLKGFIISLCHVKELTLGVFCSKVVSRLEAKGFVSPSNMKLPDIISHLYPDDDSLEHDDWSDSD >cds-PLY95998.1 pep primary_assembly:Lsat_Salinas_v7:9:42180905:42184995:1 gene:gene-LSAT_9X37781 transcript:rna-gnl|WGS:NBSK|LSAT_9X37781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEENAAALHTAKNSVQALGKGFDVNFDTRLLYCKGVAGSKVVEIDEEHKRDLWLYDNLVVPNVSRDIESYQEPINRNSSGVCNYHEMVEYFNKRANLSGNVPLGSFNAAFSFTGSKHLDAANTKTLCTDGYFIPLAKFEITKSSLILQESVKRAVPTSWDPPALASFIENFGTHVITSVTIGGKDVIYVKQHLSSPLSTVEIKNYVQDIGNHRFCNTENLTDSGLLRYKEKGGDPSLFSSQGIYPQPTNAPSLGGNAKEDVTVIFRRRGGDDLEQSHTQWEKTVKYSPDVISMSFIPITSLLEGLPGKDHLTRAIALYLEYKPQVEELRYFLEFQVARVWAPLQDRLPGHQRKEPVCPSLQFSMMGQKLYVSQDQISVGRKPVTGIRLCLEGSKHNRLTIHLQHLQSLPKILRPYWDTHVAIGAPKWLGPEEQDSRWFEPVKWKNFSHVSSAPIESPEAAFIGDSCGVHIVTGAQLGVWDFGSRNVLFMKLLYSWLPGCTIRRSLWDHTPNNATGSSLGLGSSPGSSSSNKLAKFVDMAEMSKGAVDPPGHWVVTGGKLGVEKGKIVLRVKYSLLNY >cds-PLY70541.1 pep primary_assembly:Lsat_Salinas_v7:1:72883959:72885544:-1 gene:gene-LSAT_1X64640 transcript:rna-gnl|WGS:NBSK|LSAT_1X64640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor FER-LIKE IRON DEFICIENCY-INDUCED TRANSCRIPTION FACTOR [Source:Projected from Arabidopsis thaliana (AT2G28160) UniProtKB/Swiss-Prot;Acc:Q0V7X4] MDERADSSENPMSHFNGGSFNMFPVDFTQETEFEKFISGFRGEIADPIEKFCLDYECNHLTESCTDLQLLPLPYEAVVTGGVGSGIGGYDAMGLHSNLIWNQEGQDLKDSVVFGDDNSSETATTGNPDTPTRRSSGGAKGDRSRTLISERKRRSGMKEKLYALRSLVPNITKMDKASIVGDAARYIQELQTQARNLKVEIETIEALENQKTPPQNSKKIHATNSFPILKKISKMDVFQVEEKGYYVRLVCNKGRGVGVSLHKALESITSFHVQNSNLATDGDTFVLTFNLNVTVFEFDINLPNLKLWLAGAFLNQGFEFISFPSA >cds-PLY66293.1 pep primary_assembly:Lsat_Salinas_v7:8:218190770:218196218:1 gene:gene-LSAT_8X135100 transcript:rna-gnl|WGS:NBSK|LSAT_8X135100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLQPPPDNVDTLDPSVLRRFRRKLLSNYTHWCSFIGRKSNIWISDSRREFSATDHRRELLYVALYLLIWGESANLRFIPECLCYIFHHMAMELNRILEDYIDENTGRPVLPSISGENAFLNRIVTPIYETVKAEVENSHNGTAPHSNWRNYDDINEYFWSRRCFDKLKWPIDTGSNFFVTTSKGKRVGKTGFVEQRSFLNLFRSFDKLWIMLILFLQAAIIVSWKDHHPWQALGDKDTQARVLTVFITWSVLRFIQSLLDAGMQYKLVSRETLWLAVRMILKIVVSAAWTIIFVVFYVKIWNQKNNDRRWSAAANRNVVTFLEVAFVFITPELLSLALFVLPWIRNFLENTNWRIFYIITWWFQSRSFVGRGLREGLVDNIKYSLFWIFVLSTKFIFSYFLQIQPMIQPTKDLLDLQDVTYEWHQFFGNSNRFAVGLLWLPVILIYLMDLQIWYSIYSSIVGMAVGLFNHLGEIRNIQQLRLRFQFFASAMQFNLMPQEQMLTSRGSLKTKFKDAVHRLKLRYGFGRPFKKLDSNQIEAHKFALVWNEIISTFREEDIISDHEVELLELPQNSWNVSVIRWPCLLLCNELLLALGQAKELVDAPDKWLWYKMAKNEYRRCAVIEAYDSVRHLLLTIVKVNTPEHSIISTFFQEIDNAIKIEKFTKTFNMHALPKIHAKTIVLVNLLTNPTKDLNKIVNTLQALYEISTRDFFKDKRGMDQLKEDGLAPHLSEAGLLFENAIELPDLDNESFYRQARRFHTILTSRDAMNNVPVNLEARRRIAFFSNSLFMNMPHAPQVEKMMAFSVLTPYYNEEVVYNKEQLRTENEDGVSTLYYLQTIYADEWKNFLQRMKREGMKSESELWTDKLRELRMWASYRGQTLARTVRGMMYYYRALKMLAFLDSAAEIDIREGSRELTAMSRQHRGGGGGGDDGTVFMKYTYVVACQIYGTQKLKKDPHADEILYLMKNNEALRVAYVDEVPGRDKTEKTEYFSVLVKYDREFEKEVEIYRVKLPGPLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKIRNLLEEYRQYYGIRKPTILGVRENIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKIRLHYGHPDVFDRFWFMTRGGISKASKLINLSEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFYFTTVGFFFNTLMISLTVYAFLWGRLYLALSGVENSVSRNANTNTALGTILNQQFIVQLGIFTALPMIIENSLELGFLSAIWDFITMQLQLSSVFFTFSLGTRAHYFGRTILHGGAKYRATGRGFVVEHKSFADNYRLYARSHFIKAIELGLILTVYAGYSPVAKNSFTYIALTISSWFLVFSWIMAPFIFNPSGFDWLKTVYDYDDFMNWIWFRGGVFVKSEQCWEKWWDEEQDHLRTTGIFGKVIEIILDLRFFFFQYGVVYQLGIAGNSKSIAVYLLSWIYILVAFVIYSIITYARDKYGAKEHIYYRLVQFLVIILGVLIIIGLVEFTEFEFLDLLTSLLAFVPTGWGFVSIAQVFRPVLENTRIWGTVVSVARTYDIMFGVIVLSPVAFLSWMPGNNFGLDTCVKCSFVDFQLCKKMFLRIDMKGK >cds-PLY86861.1 pep primary_assembly:Lsat_Salinas_v7:8:49881253:49881444:-1 gene:gene-LSAT_8X37841 transcript:rna-gnl|WGS:NBSK|LSAT_8X37841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVGGVVVSMMATVVVVVAVTVVMKGGGNGGRNCGKGGNGSGGGDASDRVVVVVVMVMVGW >cds-PLY99701.1 pep primary_assembly:Lsat_Salinas_v7:9:56720877:56726246:1 gene:gene-LSAT_9X48260 transcript:rna-gnl|WGS:NBSK|LSAT_9X48260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTRTGVPNSEAVSRGYNFASTWEQNAPLTEQQHAAIIALSHAVVDRPFPSKLSQENISRENNGLSVSTKHGTVEESGEIDGVLVNTNQFYKWFTDLEAAMKSETEEKYQQYVSTLTERIQTCDSILQQVDETLDLFNELQMQHQVVATKTKTLHDACDRMVIEKQRLIEFAESLRNKLNYFDELENVATSFYSSNTNVASENFLPQLKKLDDCITYVENNPQYAESNVYLVKFRQLQSRALGMIRAHVLSVLKSSTSQVQTALKGSGGNKATVSEGVEASIIYVRFKAAASELKPVLEEIERRSSRKEYVQILSECHRIYCEQRLSLVKGIVSQRISEYSKKETLPSLTRSGCAYLMQVCQLEHQLFDHFFPSTSEDITSLAPLVDPLCTFLYDTLRPKLIHETNLDVLCELVDILKIEVIGEQLSRRSESLAGIRPTLDRILADIHERLTFRARTHIRDEIANYLPLDEDLDYPGKLEQSPEIKSDPTSIELSSDVVKTWYPPLEKTVSCLSKLYNCLEQAVFTGLAQEAVEVCSLSIQKASKLVAKRSSQMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLEHLRRILRGQTSLFDWSRSTSLARTLSPRVLESQIDAKKELEKNLKATCEEFIMSVTKLVVDPMLSFVTKVTAVRVALSSGGQNQKLESAMGKSLKEHAFASPDKVAEIVQKVNAGMQDELPRVMEKMKLYLQNPSTRTILFKPIKTNIIEAHEQVESLLKKEYSQEEIDNIKMVSIQELQAQLDNLI >cds-PLY83038.1 pep primary_assembly:Lsat_Salinas_v7:5:50679558:50681075:1 gene:gene-LSAT_5X24500 transcript:rna-gnl|WGS:NBSK|LSAT_5X24500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERVLKSTSVNPIPLHLTPTRSDSTHLLLPTGTDQKYVGVDITSMVTLLVLIFEPMTMLQKMAELMEYAHLLEQADNCEDPYMRLVYTVYYALQQTWKPFNPILGETYEMVSHHPPISDAHAENEHFVYDITSKVKTKFLGNSVDVYPLGRTRLKLKKDGLILE >cds-PLY95478.1 pep primary_assembly:Lsat_Salinas_v7:4:264842376:264850361:1 gene:gene-LSAT_4X137320 transcript:rna-gnl|WGS:NBSK|LSAT_4X137320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPNFYLFVVLRFFAGLYVCLNRGDVTPNAQKQSIEAAGRIIVSFMVDHIFLGIKDAVFGKIEQIVDIPRCLYTVNPIAVNSKLQKTNVLFLVAFVANGATIVGNITLTKKKEDYVQKGNKCVSLVILKKLHQSLSYLLREEPITRWDAGQTSGGSALVEALSALVLVVLFLVQKFGTARVSFLFSPIMGAWTLTTPLIGIYNIIYHYPSFFKAISPHYIYQFFSRNGHEGWLLINGMVLCITVDYENLKPPVMDSLDALLAKKKRPKSDPETNGSPAVEIENSDPDVMGPQKVDTDMLEEPLEAKSSTPLLVFCVEDGNVILRFSEIFAIHEPLKKAVKRERWFSIPKVIHFIISKNLKKSFVPKTQVGNTKKNARESFAYGACGQAAVTTSTGWRMERRKEATPCLCPQRLTVTQIDDGIGSQVASLGDDDVGWEQLPLAAMGDRSDGGWKLNERERVTAPLGC >cds-PLY67099.1 pep primary_assembly:Lsat_Salinas_v7:5:283715677:283717929:-1 gene:gene-LSAT_5X150720 transcript:rna-gnl|WGS:NBSK|LSAT_5X150720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAATVKSIFLYPIKSCRGISVSEAPVSSTGFIWDRQWVVVNSKGRACTQRVDPKLCLVEVELPEGAVSVGWIPNKTSYLVIRAPGMDDLKVPLCRPPQSQTCDGVSVWEWSGSGLDEGDEASKWFTNYLGKPSRLVRFNQELETRVVDPDYAPGFRVMFSDGYPYLVVSQGSLDSLNDVLKEPVPINRFRPNILVDGCEPFSEDFWKEIKIKYLTFHGVKLCSRCKIPTINQENGVVGGEPTESLVKFRSGKVLCPDRKGQGKVYFGQNMVCANVHEEGNEKIIKVGDPVYIIKMLSSYDGTST >cds-PLY67245.1 pep primary_assembly:Lsat_Salinas_v7:6:135442071:135444688:-1 gene:gene-LSAT_6X81741 transcript:rna-gnl|WGS:NBSK|LSAT_6X81741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSYQVLHFPKTNHHHRRSSTIKPHHRHGGNFLRCGIAEPSGQPAPMGQKTKYNDGVFEKVFMSLFARKMEKFSGKGYKGQNKGLFDYDYDSFVDVSRSVMIGRNRIQQQEVVREVLMSMLPPGAPEQFKKLFPPTKWAAEFNAALTVPFFHWLVGPSEVVEVEVNGVKQKSGVLIKKCRYLENSGCVGMCVNMCKIPTQDFFTNEFGLPLTMIPNFEDMSCEMVYGQAPPKFEEDPVAKQPCFTDICSVANTSSSICPKLQV >cds-PLY72851.1 pep primary_assembly:Lsat_Salinas_v7:6:22529462:22533121:1 gene:gene-LSAT_6X17640 transcript:rna-gnl|WGS:NBSK|LSAT_6X17640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEENGAEDWKELVKKMLPPGAAVPEESDELDYSIAMEYMGPNVSYQVPRVEPLEVNSRSIPTASVAESFSDSRRSITREAPPVIEPIPLPVSYIAHVTDSPNQSPRLSRSSESVVSVLHNGDSFSASPSGSPSSSQNLQIDANGNEVRRGRAPVVTFNTIDRSERKDVGPGYPEYVAVSKEKKKKKTTKRRVCYRCGKGKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGEAIDESKRLTLGKNSRLLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGYPLKPEEMAELLGCPLPPRKLKPGMYWYDKESGLWGKEGEKPDRVVSSNLNFTGKLSPTASNGNTEVYMNGREITKLELKVLKMASVQCPRDTHFWVYDDGRYEEEGQNNIKGNIWEKASTRFLCTLFSLPVPQGQPQRERDEASNYTTVPNYFEPKKIQKLLLLGLEGSGTSTIFKQAKFLYGNRFSDEELQNIKLMIQSNMYRYLSILLDGRERFEEEAMMMKKNSLSIESHDQSTETGNEEEASHCIYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETYKRRNELHFLPDVADYFLSRAVEVSSNEYEPSEHDILYAEGVTQGNGLAFIEFSLDDRSPMSETYTDNLDAQSQPLTRYQLIRVNAKGMNEGCRWVEMFEDVRVVVFCVALSDYDQMWLSPDNTGSGSLLQNKMVQSKELFEAMVKHPCFKDTPFVLILNKYDLFEEKLKHTPLSACEWFTDFSPVRPYTNNQNLAHQAYYYVAMRFKDLYASLTTRKLFVWQARARDRVTVDEAFKYIREIVKWDDEKEETYYGGAEDSFYSTTDVSSPPFVRQE >cds-PLY66870.1 pep primary_assembly:Lsat_Salinas_v7:7:16904400:16907430:-1 gene:gene-LSAT_7X13780 transcript:rna-gnl|WGS:NBSK|LSAT_7X13780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPKTPVDLVRQTRDLLIYVDNNPDSRESKREDKFAALSKLLRELKQILYGNSEAEPVSEACAQLTQEFFKENTLRLVIVCLPKLNLEARKDATQIVANLQRQQVQSRLIACDYLEANIDLMDILASGYENPDMALHYGAMLRECIRHQSVARYVLESEHMKKFFDFIQLPNFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFAEYNSKLLESPNYITRRQAIKLLGDMLLDRSNSAVMTRYASSRDNLRILMNLLRESSKSIQIEAFHVFKLFAANQNKPADIVGILVANRSKLLRLFADFKPDKEDEQFEADKAQVVREIAGLEPRDN >cds-PLY63046.1 pep primary_assembly:Lsat_Salinas_v7:8:75134848:75141631:1 gene:gene-LSAT_8X54020 transcript:rna-gnl|WGS:NBSK|LSAT_8X54020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIGVYLFPTSGFLIREVEQELDTWEGYVDWKNKPAKRGQHGGMLAAFFVLVVEVMENLAYLANASNLVLYLTEHMHFSPSKAANNVTDFMGTAFLLALLGGFLSDAFFTTYHIYLISALVEFLGLVILTIQARSSWLQPPKCPSNPTTSCQEVHGGQAAMLFLGLYLVALGVGGIKGSLPTHGAEQFDEDSVNGRKQRSTFFNYFVFCLAIGALIAVTFVVWIEDNKGWEWGFGISMLTIFLSIPVFLAGSSFYRNKVPRGSPITTIFKVLVASMLNTCIVRNPSNAIASISPPTSINRGQQTINPTKQSEEPEAPSSSLKFLNRAAMNKPACSFLRCSILQVEEVKIVLKVLPIFGCTIMLNCCLAQLSTFSVQQASTMNTKIGNLKVPPASLPIFPVVFIILLAPVYDHIIVPFARKTTKSEMGISHLQRIGVGLLLSILAMAVAALVEIKRKRIATTSGLDTHEPLPISFLWVAFQYLFLGSADLFTLAGLLEFFFTEAPISMRSLATSLSFASLAMGYYLSTVIVSVVNSATGSSGHPGWLSGDNLNHYNLERFYWLMTALSALNFLHYLFWAHRYKYRSLGVHN >cds-PLY67365.1 pep primary_assembly:Lsat_Salinas_v7:1:171607600:171608044:-1 gene:gene-LSAT_1X114200 transcript:rna-gnl|WGS:NBSK|LSAT_1X114200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGLVDILHSQRTYTGFRSETDNVRNGVSARIELLIVILFGTFGCEKLSQTTPVVIPGKNT >cds-PLY85723.1 pep primary_assembly:Lsat_Salinas_v7:1:47028619:47035061:-1 gene:gene-LSAT_1X41600 transcript:rna-gnl|WGS:NBSK|LSAT_1X41600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIRSTLLTVFVILILKNSESTPPGAYRRDPGHPQWHHSAFQDVKDSVRSDVHRMLHSRAEVPFQVPLEVNVILIGFSGDGGYRYTLDSQKLQEFLQVGFPTHRPSCLETGEPLDIEHHMVFNAIPVGQPEVIALEKALKAAMVPAGTARETDFGREVPVFEIDATAVEPEFQKLYSYLFYFENIGYSAEEIDRPMPTAIFIVNFDKVRMDPRNKGIDFDSLMYKKIDGLTKEDIKKQEGGYIYRYRYNGGGASQVWLGSGRFVVVDISAGPCTYGKLETEEGSVSSKTLPRLRNVMFPQSPTDQFTSHDNFVGQLAALIGIIVEHLVAPDVRFETVDLAMRLLIPIIVLQNHNRYNIMEKGHNYSIDIEAIEYEVRNMVHKGEEVVIVGGSHLLHLHEKLAIAVSKAMRGHSLQETKKDGRFHVHTKMYLDGAILKEEMECSADVLAAGLLEVSDPSLSDTFFQHQQWMDENYETDEPADSYLKHKPFQSYNPNTWGQNTKNVKKKQGDIHRTYGTRVVPVFVLSLADVDPHLMMEDESLLWTSNDVVILLQHQTDKIPLSYVSETERIHVIPSQVERHIIAGLASVVGGLSAPYERVSHIHERPVVNWLLAAGCHPFGPFSNVSKLSQLLQDVTLRNTIYARVDSALRRIREMSESVEAFAGKYLRTPLGEPVKGKKNKTSTELWVEKFYKKETNLPEPFPHELVERLETYLDSLEEQLVDLSSLLYDHRLHDAYMNSTDILENSIFTQQYVEHVLESERERMRCCKIEYNRTPQPSQSLFYAAILFAVPMFLSCLSLYLWKFGI >cds-PLY87686.1 pep primary_assembly:Lsat_Salinas_v7:6:46483598:46484936:-1 gene:gene-LSAT_6X34421 transcript:rna-gnl|WGS:NBSK|LSAT_6X34421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFEETFTAYLVGDEGKDPNKSCHFEYKKLKNDLNFCRRHRDIGASTDSHGDGNSEDDLNQIVPHQSCHRCEGIFSELTMEATMISDNFSFRVKQLVHLHFTPGIQRFLCWLFQCFKDDHEALVYRGLMLIQFVVMNAIALRKILKKYDKVHESASGRNFKSKLQAKHLDIMQSPWLIELIAFYMNLNGSDSLTSDELFGQLSYDLNIHNEESILLTLTLVGSEKLEYSLTCPICLDIVFQPYALSCGHIFCKSCACLAAGVLIIQGFKYASPGSKCPVCREVG >cds-PLY71647.1 pep primary_assembly:Lsat_Salinas_v7:9:135526380:135527187:-1 gene:gene-LSAT_9X87120 transcript:rna-gnl|WGS:NBSK|LSAT_9X87120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGKTTKGAGGRKGAGERKKSVTKSVKAGLQFPVGRISRFLKRGRYAKRTGSGAPIYLAAVLEYLAAEVLELAGNAARDNKKTRINPRHVLLAVRNDEELGKLLAGVTIASGGVLPNINPVLLPKKSAAVDAEKTPKSPKKAAKSPKKA >cds-PLY79809.1 pep primary_assembly:Lsat_Salinas_v7:8:15408287:15409793:1 gene:gene-LSAT_8X13581 transcript:rna-gnl|WGS:NBSK|LSAT_8X13581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRTLATAKTLGAISRFQQHVRGLQTFTLPDLSYDYGALEPAISGEIMQLHHQKHHQTYITNYNKAIEQLDDAITKGDASTAVKLQSAIKFNGGGHVNHSIFWKNLAPTSEGGGEPPHGSLGSAINQSFSSVEKLIAKMNAEGAAVQGSGWVWLAVDKELKRLVVETTSNQDPLVTKGPSLVPLIGIDVWEHAYYLQYKNVRPDYLKNIWKVINWKYASEVYEKECP >cds-PLY85923.1 pep primary_assembly:Lsat_Salinas_v7:2:189841991:189842926:1 gene:gene-LSAT_2X110521 transcript:rna-gnl|WGS:NBSK|LSAT_2X110521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAGTKVKKGAAGRKAGGPKKKPVSRSVKAGLQFPVGRLGRFLKKGQYAKRVGSGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAVRNDEELGKLLSGVTIAHGGVLPNINPVLLPKKALDKATKEPKSPSKATKSPKKA >cds-PLY77335.1 pep primary_assembly:Lsat_Salinas_v7:5:143467101:143471527:-1 gene:gene-LSAT_5X63420 transcript:rna-gnl|WGS:NBSK|LSAT_5X63420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSWSLSASSQRHVAVVSQTLSFLFFLFFCKGAFGVTLTIVNECGFTIWPAIISVQKLNETGFELTKGSSRSFQVPTVWDGSIWGRTGCNFNSSGKWLCATGDCDTNEFLECDVDERIPPATTADFSINQTQTHSHDFYDVNMALGYNLPILVEATSGSGSSLRSCAKTGCVEDLNQRCPRELSSADGKVCMSACLAFGSPEYCCSDSFSSPSSCKPTAYAQLFRSACPRSYSTSYDLQTTTFLCNGADYTIRFCAAADSFSTIKLGGQLKSTDQLVSVRGNFTLGFFGEDYSYLGIWYTSDVQSTKVWVANPNAPIISTSGAHTLSISAKTGDLIITAGGRTLMSITDVKIGPNTNVTATLEDNGNFRLINQVDKRVLWQSFDHPTNVLLPGMKLGYDMETGQNWTLTSWLSNEIPKSGAFTLSWEPTPQASHRLMIRRRGQPYWTSGNLNNQVFEYMFALNGPGSQSMYNLTSVYNNKARYFSYDGSTSVLPMWILTPNGQIRDINNATAWSPEFCYGYDSANGCVKSILPQCRTENDNFSKKNGDFAQDMTEGVIDGNSSLSINDCFVMCWNDCNCVGFNSNTTNGTGCVIWTGINRFSVNPHDNSTSKYVISQNPDNRTTGNNTQKSKNWIWVSISVSIPLVLLGFGALCYIKKRKHRQKEYQRRKRDEYFVELTTSESFKDVHRLEPDGVKGNDLLLFSFSSIMAATNDFSVENKLGQGGFGPVYKGKLSDGREIAVKRLSRTSRQGLVEFKNELVLIAKLQHTNLVRVLGCCIHGEEKMLIYEYMPNKSLDFFVFDENRKAELDWPKRFIIIEGIAQGLLYLHKYSRMKVIHRDLKANNILLDERMNPKISDFGMARICEQNETEAMTNRVVGTYGYMSPEYAMRGIFSIKSDIFSFGVLILEIVNGRRNSSFVHLHGTYSLIGYAWVLWRQGDTLELKDPSPGNTCEEQQFLRTVHVALLCVQENAIDRPTTSEMISMLLNDSISLPTPNRPTFLIGGGDSKSTSYETKAKDCSQNNVTISVVEGR >cds-PLY99015.1 pep primary_assembly:Lsat_Salinas_v7:1:146744708:146745678:1 gene:gene-LSAT_0X25701 transcript:rna-gnl|WGS:NBSK|LSAT_0X25701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVNTTLPDALFPSVLVEDKTSNDNVGVTVVDPNETMPEYEGFTIDEKELENAEGGGGIDFDRLELPSSPSVSRCASIRRNASLQEFKEVHISGTNPEQYQS >cds-PLY98675.1 pep primary_assembly:Lsat_Salinas_v7:5:303632415:303635744:1 gene:gene-LSAT_5X163380 transcript:rna-gnl|WGS:NBSK|LSAT_5X163380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMSKVSNDKNTPQRVPYQKPPFTVGELKKAIPPHCFNRSVIRSFSYVVYDLTIASIFYYLASNYIPTLPHPLSYVAWPVYWALQGCVLTGVWVIAHECGHHAFSDYQWLDDTVGLILHSALLVPYFSWKYSHRRHHSNTGSIEHDEVFVPKLKSNVRSTAKYLNNPPGRILTLLVTLTLGWPLYLMFNVSGRYYDRFACHFDPNSPIYSNRERAQIFISDAGIFAILYGLFRLSTMKGLAWVLAMYGGPLVVVNGFLVLITFLQHTHASLPHYDSTEWDWLRGALATIDRDYGVLNKVFHNITDTHVTHHLFSTMPHYHAMEATEAIKPILGDYYQFDGTSVFKAMYRETKECIYVDKDEEVKTGVYWYGNKIE >cds-PLY64703.1 pep primary_assembly:Lsat_Salinas_v7:7:136360707:136362675:1 gene:gene-LSAT_7X81620 transcript:rna-gnl|WGS:NBSK|LSAT_7X81620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRSKTNTMISQVIQYKGNSEGIYKQGGEVEKGKGDNIGNGKSELGNKGEAEAKKTEGNDGGGDKQREVEKENAHDRGSQMPHLDHMWSIKTLMDQHEELVAQLKSMDQHDHIDVSTRQHMAHHVPWRHVQMLKGTLISSMVHHEQVVVHLQVQDTFQHSRNNST >cds-PLY76019.1 pep primary_assembly:Lsat_Salinas_v7:5:318615281:318616139:-1 gene:gene-LSAT_5X175260 transcript:rna-gnl|WGS:NBSK|LSAT_5X175260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTLSGRSDVPAMSIKTRDDRRFSTSSRRSSMEMAIHHSSLEVYYGRAPVGVPFKWESQPGTPRVRFRETPLPPLTPPPSFLFNSPNTPNKKSQKPKGGGILHAVLPRLMTPTRKPYNSPASPASSESSSLFSTLSYSDSASSPYTLTMESRRKSFEDQDRSKSPVSTLCFRIRRGAK >cds-PLY70360.1 pep primary_assembly:Lsat_Salinas_v7:4:99596275:99599872:1 gene:gene-LSAT_4X65261 transcript:rna-gnl|WGS:NBSK|LSAT_4X65261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVALQFGYAGMYIITMIGMKRGLSHWILVVYRHATATLVIAPFAFAFERKIRPKMTRSVFLKVLLLGLLEPVIDQNLYFLGMSITSATYGSAIVNVLPALTFVLALIFRLEKVNLKRMHSQAKIVGTVITLTGATVMTLYKGPIVDILWYSHHGATTTHKAAAAAASSSGQHYLAGTIMILICTCSWAGYFIVQSITLKEYPAELSLTSLICLAGTVEGGIIAMIMERDPKAWALGFDSRLLASVYSGVVCSGIAYYVQGVVNRVRGPVFVTAFSPLCMIITAVLGAIVLSEQVHLGSLIGAIIIVMGLYSVVWGKSKDHLLASGKGSAQELPTVDKSRLELANTMDNPSKVTIKDTIRPQDP >cds-PLY73773.1 pep primary_assembly:Lsat_Salinas_v7:8:92323237:92323692:1 gene:gene-LSAT_8X64320 transcript:rna-gnl|WGS:NBSK|LSAT_8X64320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKMKALNLASLLVFSTLVMFSESRVARKDLGLNLGGVGIGAGIGIGIGLGGGGSGSGAGAGSGSGSSSSSSSSSSSSSSSSGSGGGGSEAGSSAGSHAGSGSGGRGGGNGGGGGRGGGGGGGRGGGGGGGSGEGSGEGSGYGSGYGGGGD >cds-PLY89294.1 pep primary_assembly:Lsat_Salinas_v7:2:49425377:49427142:-1 gene:gene-LSAT_2X22181 transcript:rna-gnl|WGS:NBSK|LSAT_2X22181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYNHSHPPHPNRIPQVSSLARCSFVLCSLVRRSIPTTPPATTTGAGVATFVSDQIMDENHTNVVDCETSLKLKKPKFKWDNRTLMVFVDLCLIELRKCHRPGEHEKEWKLYEWLLRLETGLGGTRSLIEASLEWWEEKIKENKDYAKFRDTDLSIFDEKYALLFRDSVAIGDQTMTPLQFQNNSNLNEENMEGKRDSDEINLDDDEPLFPSFPKSSSTKRKKKMSFSNNRSTKSKSSVYEEKVDALLEAISTKSTQTFPQNNPSPTIPDCMAIVIKFPKFREGTKEFSQALATFTKKENHDAFMFPMTDEAKIEFLKFIMK >cds-PLY86438.1 pep primary_assembly:Lsat_Salinas_v7:1:10163298:10165412:-1 gene:gene-LSAT_1X7940 transcript:rna-gnl|WGS:NBSK|LSAT_1X7940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCVSCKDEYGAAEAGTCRECYEEASETEEELKREIEELKSKVNFLRFWSPVDSRSHHYHRSTTPCFTDVLLVAFDEDSGKPVANSVPVPANKAVLTSRSPVFRAMLETEMEESLSGTIKISDVSYDALRAFVNYLYTAEASLDDEMACDLLVLADKYEVKHLKIYCEKFLISKLNWESSLLSYSFAHQHNANNLLDASLSIILDNMEKVSKREEYMELVEKDPRIVVEIYEAYLSKQVNTAAAAADSHEDKHNP >cds-PLY82822.1 pep primary_assembly:Lsat_Salinas_v7:1:85583327:85585954:1 gene:gene-LSAT_1X72761 transcript:rna-gnl|WGS:NBSK|LSAT_1X72761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSGIHSIPLEGTGIDFPRPLTSTNLVGDPCLVLTTDPKPRLRWTAELHERFVDAVTQLGGPDKATPKMIMRTMGVKGLTLYHLKSHLQKYRMGKQSCKEFPENSKEASCIAESQDMGSSTSSSTRMMAQDLNDGFEVTEALRAQMEVQRRLHDQLEVQHRLQLRIESQGKYLQSILEKACKAINDQAIATAGLEAAREELSELAIKVANDCPPSVTQIDSCLTSNPSPESPMGLSPQAAALKKRQRAMFMQAEWMASNTG >cds-PLY94614.1 pep primary_assembly:Lsat_Salinas_v7:1:159346450:159349322:-1 gene:gene-LSAT_1X108781 transcript:rna-gnl|WGS:NBSK|LSAT_1X108781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISKSGNNKKQQQQQHHCYISVPSEIISSLSSTSLQSLVVSPKKASAAWKIGTFSRSKILKNPRIWFLLLSICGFFFMLKMYNFDPFAPYPCGNSENNDDSSIISSGVLSAPVKLEEKYEENSDFWEQPDGLGYRPCLDFSEEYKKSSVEILKDRTKYLVVVVSGGMNQQRNQIVDAVVIARILGATLVVPILQVNVIWGDESEFSDIFDVEHFKRTLADDVRIISSLPSNHLMSRPVEEKHTPLHVSPQWIRSRYHRRMRREGVLLLRGLDSRLSKDLPSDLQKLRCKVAFHALRFAPPIFELGKKITERMRSKGPYLALHLRMEKDVWVRTGCLPGLSSKYDEIIYNERKLRPELLTSRSNMTYHDRKLAGLCPLNAVEVTRLLKALRAPKNARIFWAGGDPLGGKEALLPLTKEYPHFYNKQDLALPGELEPFAGKASIMAALDYIVSENSDVFMPSHGGNMGHAIQGHRAYAGHKKTITPNKRQMLSYFLNPLLPENKFNQIIFDLHRDSMGQPAIRTSKAGRDVTKYPIPECMRN >cds-PLY91284.1 pep primary_assembly:Lsat_Salinas_v7:7:188817440:188818802:-1 gene:gene-LSAT_7X112340 transcript:rna-gnl|WGS:NBSK|LSAT_7X112340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVEASQHSLYHPQMMITNAIDNQGNGINGPLGYGIGGHPFPTTTTAMFCSGFTDSINPLPANTANLKSESGLTCSLPVISRKRTRDSSDINTLFSFVNSHNMNRNQMESCGAYTFLGEDISLQIQQQQLEIDQFVAHHTEKVRLDIEERRKRNSRRLIAAVEEGISKRLRAKEEEIVKISKLNWALEEKVKSLCVENQIWRELAQTNEATANALRSNLKQVLEQVVHDDFRHRSTAACVDDAEDAQSCCESNNEEQQQQQRIGHHHDNNHNNMNDDCSSSNNNRLCKKCGQEESCVLLLPCRHLCLCTVCASSVNICPVCKSTKNISVHVNLS >cds-PLY88548.1 pep primary_assembly:Lsat_Salinas_v7:7:8339653:8341501:-1 gene:gene-LSAT_7X7921 transcript:rna-gnl|WGS:NBSK|LSAT_7X7921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYLSFFLSLSCLSIFIYAVIITSLHNSRRLPPGPHPFPIIGNLLILGDKPYQTLSTLSKRYGPLMSLKLGTKTTIVVSSADMAREFFHKHDRSFSGRSVPETARMVDHNKSSIVWLPAGDQWRRLRRITKEYFFSVQSLDASKLLREKKIGELLDHIDRCCTNGTVVNVGAVAFTTTLNVFSNYMFSLDLAQYDSVSSQEFKDAVWALMEVCGKPNLVDFFPILRPFDPQGLGRRVYHYGKKLLTIFDTIIDERLQRRSNSSTNNDVLDMLLNLNMKDEFVFSRNEIKHLLLDLFIAGTDTISSTLEWAMAELIRNPQKMELARSKIFKLMQNENRRIQEQDISQLPYLQAIIKETLRLHPAGAFLIPHEAIDNVEVQGFIVPKNAQILCNLWAMGRDPQVWSDPEAFMPERFMEVEIDYRGQDFEFIPFGAGRRICPGLNIAHRMLHIVLGSLIQKFDWKLEEKIRPQDLDMGEKFGITLPRNVPLMVNPIKL >cds-PLY80922.1 pep primary_assembly:Lsat_Salinas_v7:8:134209337:134211656:-1 gene:gene-LSAT_8X92900 transcript:rna-gnl|WGS:NBSK|LSAT_8X92900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGNLKVLNALDGAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKMLGRIYYTKPGSPKPGSLPPNVSAMVNGVALTGTLAGQLFFGWLGDKLGRKKVYGITLMLMCLCSIASGLSFGSSPKSVLTTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGGFIAAVFAMQGFGILGGGVFAIIISSVFNSSYKAPAYEIDPLKSTVPEADYVWRIILMAGALPALLTFYSRSKMPETARYTALVAKNATKAASDMSKVLNVEIESDQQKVNAKSEEDYGLFSKKFLKQHGKHLLGTTTTWFLLDIAFYSQNLFQKDIFTAIGWIPPAKTMNAIEEVYRIARAQTLIALCSTVPGYWFTVFLIDRIGRFTIQIIGFSMMTIFMFALAFPYDHWTRPENNIKFVVMYSFTFFFANFGPNTTTFVVPAEIFPARVRSTCHGISAASGKLGAMVGAFGFLYLAQNQDPAKTDAGYPPGIGVKNSLIVLGVVNLLGTLCTLLVPESKGKSLEEITGESKNEINDSRA >cds-PLY66031.1 pep primary_assembly:Lsat_Salinas_v7:1:124183650:124183916:1 gene:gene-LSAT_1X95200 transcript:rna-gnl|WGS:NBSK|LSAT_1X95200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISVVAPPPRLLPRDGLAMPPSTTTSSPPWAYITYATPEFFIPHAYESQAAQSSVIGGVGMSSLTGVEHSYVGPPFTRSSNGDRGQLW >cds-PLY79361.1 pep primary_assembly:Lsat_Salinas_v7:9:54324791:54337130:-1 gene:gene-LSAT_9X50481 transcript:rna-gnl|WGS:NBSK|LSAT_9X50481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTDLEFSSMTYYEFVNFFKRFMHEECKKFYYCEPGNSLMKGLNPISNDVEYATFIFDAYGTDGIISVYVDHIGWLAVDIELKRLVVETTSNQEGGGEPPHGSLGSTINQSFSSVEKLIAKKNAKGAAVQGSGWVWLAVDIELKRLVVETTSNQGLTTLQHQHIKVDNPNL >cds-PLY98456.1 pep primary_assembly:Lsat_Salinas_v7:1:9873200:9874980:-1 gene:gene-LSAT_1X6780 transcript:rna-gnl|WGS:NBSK|LSAT_1X6780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDNFSTTANTGAQVWYKRSAYMGSYRHDLWEAIRASSAAPYYLDDYSDGVFRWQDGAIVANNPTIFAIREAQLLWPDAKIDTLVSIDCCSVPIQLESKLTPASSGYTNPNKLAIKGGSNGGLLVRACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSDYGCSDKEAIYGLYMALGPYVITSHMFLYTSCNS >cds-PLY67829.1 pep primary_assembly:Lsat_Salinas_v7:8:187804351:187804569:1 gene:gene-LSAT_8X121781 transcript:rna-gnl|WGS:NBSK|LSAT_8X121781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDDNSQDSLKTKHKKADWMVAKIVEHICHTQGFNTSINASPITTWVNPIRILLKSDYVPHLTYSEPPSMAC >cds-PLY62769.1 pep primary_assembly:Lsat_Salinas_v7:4:198678355:198679134:1 gene:gene-LSAT_4X113101 transcript:rna-gnl|WGS:NBSK|LSAT_4X113101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDCLGSRKKLWKRDDKSSYYSGLITLLILVYVYNMKYSIKLDKRIPFIGHINGAKLLEIQRLEISLGGFGRQFRDEHDDVDMGDETGGEEQQMLSFKRDFGDEEHSYGVILAEKSTMEVALKDGLEKFPRNVVLNEWMEKMNELLKEVFEGEGNKKANEPDCFNEVNMNDIGDGGEGNSSPV >cds-PLY78026.1 pep primary_assembly:Lsat_Salinas_v7:9:44456680:44462808:-1 gene:gene-LSAT_9X39620 transcript:rna-gnl|WGS:NBSK|LSAT_9X39620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRNMGFGSFLGMKIDTLPGKLAYFVVDSFSTSSFSIRVKSGEVAITNETVEAMFGLPNKGLDFNTLDECDENVHFLEAWKGQYGKGNYYNENYLKNIRKTNVVDEMFKLNFLTLFINTFVETETMGSCRINFIEKLIQCKDVSRVNWCEYIVNCLEKSKNKWRPNDKNCYFTGPDAFLMMAYAYRVICEDESCGIIESMVGRLVEQKKVVEESSLMSMEMHPNNDKMKAVIKKVVDIFNGTTLKALIVDGNKDRMGFDGTSVNATEGEKSAEDMNLMITNLTNKMGKDLLSGPKVYSDSNVDASNQGMEGMSPTIVKPTGMCNDKVLFEHQGGSHQQNIGIGVVMYNGNSSFYSPCILTPGWIKQADEIERNNSKKSMMFNNDCPSFEARLNECADEMKTSKGNEGNDDAIVEQDRPKRTKRNVEAVVGIKMREKREMKHGPTLKSPFVKRVVNLKDSIEQKEILVAQAIIGLGMDKRVNGDVRYKEFEVMVSSSIEDLTTDSELKNVDLVFFPIVDGNYYLICFNLTSFSILIIDQRRLVGTVESVYGNIPRVLKLRYKYLVRLLLSDHNILKCEFEKEYAKFIKMDKVTRKKIVQGDLKVVFDEAIG >cds-PLY84960.1 pep primary_assembly:Lsat_Salinas_v7:2:123730788:123732123:-1 gene:gene-LSAT_2X57200 transcript:rna-gnl|WGS:NBSK|LSAT_2X57200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQNNLKGIAEVWEPVEHGDDFGLYPREINALKGLKLAFKFSVTKFNVSNKNNQYDIARISDDETLIEELENKFTESQPSNSQSLDFDSVDLQSQEIKNLKDAVSGTEDNITPSTTDKNDTTSSMKILNTTPVLKQNLEEVFDLELNDHLSTSKAPKISTDGLAKQLLSVKLETNG >cds-PLY64055.1 pep primary_assembly:Lsat_Salinas_v7:8:94051998:94055270:1 gene:gene-LSAT_8X65080 transcript:rna-gnl|WGS:NBSK|LSAT_8X65080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESPGPETVVAKVAVRSSVIESIKGWSSLSGVRIRKEELRQKITMPEYLRLAIKDAIASKDIDAGKPHYELITTGHDKPPQVAPESPLIVFINSKSGGRYGPELMARLQDLMGEEQVIDLLSVKPHEFIQYGLGCLEKLASIGDGCAKETRERLRIVVAGGDGTVGWVLGCLGELHKAGRDPVPPTAIVPLGTGNDLSRSFGLGGSFPFNWKAAIKKTLDKSIRAPTSRLDSWNLVISMPNGTDLDAPYSLKRTEEVVLDQDLKVDGPLPEKVSCYQGVFYNYFSIGMDAQVAYGFHHLRNEKPYLAQGPISNKIIYSSYSCKQGWFFTPCIADPSLRGLNNILRLHVKRLNSTTWEPIAIPSSVRSIVALNLHSYASGRNPWGNLKPDYLQKKGFVEAKADDGLLEVFGFKHGWHASFVMADLISAKHIAQASEIRFELRGGAWKEAFMQMDGEPWKQPMNNEFSTFLDIKRVPFQSIMIKGK >cds-PLY80426.1 pep primary_assembly:Lsat_Salinas_v7:4:361056912:361060269:1 gene:gene-LSAT_4X177440 transcript:rna-gnl|WGS:NBSK|LSAT_4X177440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAGSRETIQPQIWLQDERELKRQRRKQSNRESARRSRLHKQSYIFGTSRGIFVFGTLDLLFGGIARILRTFFSIIKKFNQFLVRLRALIVGSIGEFLSAALLPPEPLAVQNVVDFSKMIGALDVNENLTHLDAQLLETKSGISNRLSHNQSSVSAIICSGLFPGIASVVHRETSMSFKTIDDVQVLLYAEDDVVLEDQPRSAILELSLLHNEEKVEIRESF >cds-PLY78267.1 pep primary_assembly:Lsat_Salinas_v7:1:130826463:130827288:1 gene:gene-LSAT_1X97880 transcript:rna-gnl|WGS:NBSK|LSAT_1X97880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLLKNTFTITFYFILLSSLSNPSHGVFSEELSEAISMKRMEKTTRLHFFFHDIHSGKTPSAIKIAGTSDPGFFGDTYMMDNALTEGQDASSKVVGKAQGMYAFAAQKELSLLMVLNYEFTQGDFNGSSISVLGRNPVMMDVREMPIVGGSGRFRYATGYALAHTVWFDSKTGDAIVEYNVYVKHY >cds-PLY66688.1 pep primary_assembly:Lsat_Salinas_v7:6:79943367:79944550:1 gene:gene-LSAT_6X57201 transcript:rna-gnl|WGS:NBSK|LSAT_6X57201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGFCPIPPVWVPKYGFLARWMSAAIFTGRRSRGSALGPDSYGDKDGADGILCVLSQMCNERWFLLHEADLLTLTTPKSTVHNCNIIRFGMHLESHMKQQFLEQSRISK >cds-PLY78924.1 pep primary_assembly:Lsat_Salinas_v7:8:2551031:2551495:1 gene:gene-LSAT_8X440 transcript:rna-gnl|WGS:NBSK|LSAT_8X440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVGSKVMRRFNPPQRTHCDCGDLVGRWTSWKTRNPGRRFIGCPNYRDSSKDCKFFDWVDPPLPNQWYKDLLLQLHNGWNGDVVEQMEEAVVEVVPAQVQGAGGVVPRWSMFWFILGLCFGLYFKIM >cds-PLY81815.1 pep primary_assembly:Lsat_Salinas_v7:3:32715338:32715541:1 gene:gene-LSAT_3X24520 transcript:rna-gnl|WGS:NBSK|LSAT_3X24520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMKPSSTFKNHYKTTSKSRPRPINSLKSNPLNLNHHIQPLTFNIESINQSSPPRNRTIGRLWLHRG >cds-PLY92330.1 pep primary_assembly:Lsat_Salinas_v7:9:180257786:180265202:-1 gene:gene-LSAT_9X110520 transcript:rna-gnl|WGS:NBSK|LSAT_9X110520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine biosynthesis bifunctional protein hisIE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31860) UniProtKB/Swiss-Prot;Acc:O82768] MQGMANGGEWLRTVGEDGALFPMAFANFHGLRALQISSRESIFNHGSCHTRTDTSNSRCFAVKACSKKPDQNIHLESKLNSVLDSVKWDEKGLAVAIAQNVDSGAILMQGFANREALATTIASKKATFYSRSRSSLWIKGETSLNFINVHDIFLDCDRDSIIYLGKPDGPTCHTGAETCYYTSVFDALDHQPQVEENNKLALTTLYSLESTLSRRKEELSSPQQGKPSWTKRLLLDDKLLCKKIREEADELCRTLEENEDESRSVSEMADVLYHEMVLLIRRGLKFEDVLEVLRCRFTQSGIEEKNNRKPKIIN >cds-PLY96434.1 pep primary_assembly:Lsat_Salinas_v7:4:344354862:344358257:-1 gene:gene-LSAT_4X170001 transcript:rna-gnl|WGS:NBSK|LSAT_4X170001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAFCPIGAFCSSSNSVNSVFINPKPYRRAIPHKTSLLTNATSPSSTSYNNPQKTNQESMSIDALKRFIRLNLGNWTGSFHQFDSTGKLMHKVDTRLSAGSYGEDELISLIQTLYIKQSSSSSYSASKTDHEAEWAEYKIKETNMFTAEKYQQIGFFPQEKAFALRYQTAGMLETVLREGVLGEDDIGEASPKNLKLPSKLPSIVCENCLYSLDKDLRARAFHIMDPKGIVEMLILFLEQKGVGVVTPPFLDDSKEKENRINQHLGTWKGHSVTKRSGVYGATDAEADTVTSLEIDDKGQLIQDQTSTYKDKNVSTNVRWTGKMSGNLVKYDGGYQITLLPGGMYMGCPCDVGKQVSEAKSFHLEFCWVEPKSPTKRQRLVRTYDIDGLAVSSTYFLETKM >cds-PLY86800.1 pep primary_assembly:Lsat_Salinas_v7:5:15394782:15396972:-1 gene:gene-LSAT_5X8920 transcript:rna-gnl|WGS:NBSK|LSAT_5X8920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKEETFIGSIDQGTTSTRFILYNKKAENIGSHQIEFTQFCPHAGWVEHDPMEILESMRICVSKTIDKATANGYNIDNGLKAIGITNQRETTVVWSKSTGIPLYNAIVWMDIRTSSICSELEKKLSGGRTHFLETCGLPISTYFSAMKLLWLLENVKKVKEAVEKGDALFGTIDSWLIWNLTGGINNGIHVTDVSNASRTMLMNIKTLNWDKPTLETLKIPSSILPKIISNSEVIGRVGKGWPFTGVPISGCLGDQHAAMLGQACKKGHAKCTYGTGAFILLNTGEEVIKSQHGLLTTLAFKLGKDAPTNYALEGSIAIAGAAVQWLRDSLGIISSAKEIEDLASKVESTGGVYFVPAFNGLFAPWWREDARGVIIGITRFTNKCHIARAVLESMCFQVKDVLGSMRKDVGHRNEGRNEKGEFSLRVDGGATINTMLMQFQSDILGIRVVRPSDIETTALGAAYAAGLAVGVWSEKDVFSNEERMKKDKTFSPMLSEELRKKKVDSWIKAVERTFDLADLSL >cds-PLY63358.1 pep primary_assembly:Lsat_Salinas_v7:7:147238739:147240345:1 gene:gene-LSAT_7X87140 transcript:rna-gnl|WGS:NBSK|LSAT_7X87140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEETCISGCESTEEVSAMEVPNSDGEVRRSRDTDVTSSGTGKRRRLDSQDQESGFQLRFRSRREIDENFHENVVLTAVSGVSDHVSSFMCSIIDDSRPDLKAECLSETEIFMFSNDGLSRETSASSVVSLESEEMESLSTSTPKKKKKTGAANEATSGRKPPPEKSPSPAELEEFFSEAEKYEKKRFTEKYNYDIVKDVPMEGRYQWVRLKP >cds-PLY76636.1 pep primary_assembly:Lsat_Salinas_v7:4:116924699:116926763:-1 gene:gene-LSAT_4X74141 transcript:rna-gnl|WGS:NBSK|LSAT_4X74141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSKGFQLINGIDGRWKIFVKVRKFFIINKICHRGFFKRIATSAHFCSPLTSGDLRSTLPTGDLEFISPHRELCDLIGCGSAESSDNAVGGGVGGYLDSDDDEDDGFDDVEIPVDHPVSDYNITIEALPSSTINDLHEIAKRMVAAGYGKECSLAYNTCRREFLEESLSRLGF >cds-PLY85154.1 pep primary_assembly:Lsat_Salinas_v7:9:145998361:145998756:-1 gene:gene-LSAT_9X93601 transcript:rna-gnl|WGS:NBSK|LSAT_9X93601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKRNALVMIAGCIAFNSGMCLLRFPVGPANIGKTDGDWVFIYADLIALCISMNVLVVEMSVGATIWKKMEELARKAILIAIIAMAIAFHQIFVMDDFCSRFMSNGSFILFMVLASGLIFIQLMSAGYVTK >cds-PLY65886.1 pep primary_assembly:Lsat_Salinas_v7:3:108377676:108380507:1 gene:gene-LSAT_3X79320 transcript:rna-gnl|WGS:NBSK|LSAT_3X79320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQNESLDQVIVDCGDIPDYCQFRFLEFAYKLNKEEHYGKPMWWIGMYIALASLFCIIVMVADLLHGLRNRKFWFPCKYFTLNAATVTVIGVAIKLPNGLTDLTPSHVDRIAKLGGLSFMCTIMANLLPSLATMESKELVSNMIGLGILVITLAVNLCIQISTGVVSYNTSEEPFETQIGSTPLGILLTGPKFIATIYVAMLLLLLMIYACSCLAILKTKQILESKYQAAHQEASKELQQGERLTVEKLQHHVRNYWIMAGTGSPQFMTVCSATTSASGVICLISTALHVYIIIPKDDKDMMEYDTDYKWSMLVILIIQFIGVILGTLAPISRCFAALSFKLSEQWIRNHIKVFKVESYWTQKLSDWEKRRVPNIPFRSPGLRGKIVIEKLKILFLSICIQFQETFVVACKMIGVIPLLFLICVSYCWKWLKAMFSSLLSLKCVSYCWKRLKAMFSSPDSVSERNPENQGKDDSRYVLQLEDDTEFAERTLKGISKSVNRLIQKAEKKQPKNLMKLLEGSSGFKGVEEFDSPLVPSLGSKEYLNCWSLPLVTLTAIAIFLKDEGEIDELLSGVDEGLVYVAHVEESLNVTDEDKCIQKVAKTLCVEVEVRRKWFGNKLPKPGYTAGKILKWLRDISRNMVNDHLENDDSKYSSIAANSMYRITETLILSHQTNIDQVSQDEIFKQLSSMIADILAACLTNLPQAIAMKCHAREIEKREASVHAAAQLLGETTKIINTLQHRQLPNLTEDKLPFIDKWRDYYLMHP >cds-PLY67136.1 pep primary_assembly:Lsat_Salinas_v7:5:291143419:291146314:-1 gene:gene-LSAT_5X154440 transcript:rna-gnl|WGS:NBSK|LSAT_5X154440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSRTIRRRLHHGDVGGKKHEHLIGADLDDLNEPLLGNNDDNKAKELQVQTYEDIMYDEKRKENLHWAFLFSNILSEWAQWLARVLLGSGSFIARIWTLPSTTGSGPTKKFLPPLLTPLQEARLRSLQKRLEVPFDGSCLEHQDALRQLWRSAYPNRELPGLKSEVWKEMGWQGSDPSTDFRGGGFISLENLIFFAKKYPEAFQNLLNKNEGDRSEWEYPFAVAGINISFMLVQLLELQSGKPTSMSGIRFLEFLSNDELAFDELYCVAFKLMDAHWLAKRASYMEFNEVMKSTRMQLERELSLEDVTSVKDLPAYNMLKR >cds-PLY66496.1 pep primary_assembly:Lsat_Salinas_v7:5:184130389:184131036:-1 gene:gene-LSAT_5X80580 transcript:rna-gnl|WGS:NBSK|LSAT_5X80580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKVYRGTIISEARILIVAIKRLDSTSNQGVVEFWAEVEMVSMLRHCHLVSLIGYCNDGTERILVYDYMPHGTLEDHLHKLQTPLPWMLRLNICIGAARGLNYLHTGTGIKHGVIHRDVKSSNILLRKTWVAKISDFGLSKIGPTNQQSTHVSTVVKGTFGYLDPDYFSTGRLTRKSDVYAFGVVMFEVLCGKRTTDRTLDEDHWGLARLNL >cds-PLY97169.1 pep primary_assembly:Lsat_Salinas_v7:2:206142498:206143740:-1 gene:gene-LSAT_2X126460 transcript:rna-gnl|WGS:NBSK|LSAT_2X126460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEVDMTYIYISTMKNLQFLAVATIIFLLHNLDETTADNDISNDDKELLLKFSSSFPQPHKLTWSSTIRNCKDWVGIKCNEKGNVTDINLPTKGLYGPIPNNTIGKLRSLKVLSLRYNFLNGSLPYDIISNPSLQNLYLQHNNFSGTVPTNVSPSIMALDLSNNSFTGNIPETLKDLTRLTTLKLQFNYFLGPVPDFIHCTKLHALNLSHNKLNGPIPFSLQKFQDSSFSGNEHLCGPPLNRCPTSRKLTNSAILAIAIGSFLLIAILLCCFLKKNDRVEIPLVN >cds-PLY73025.1 pep primary_assembly:Lsat_Salinas_v7:9:36879641:36881853:1 gene:gene-LSAT_9X34700 transcript:rna-gnl|WGS:NBSK|LSAT_9X34700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLQVDRNAKDDDLKKAYRKLAMKWHPDKNPNNKKDAEAKFKTISEAYDVLSDPQKRAVYDQYGEEGLKGQMPPPGAGGFGFSGMPHDGGSTTFRFNPRNADDIFSEFFGFSSPFGGSHSHSHSGSQFQRSMFGDDIFSQFRGASGVGEGSSANMPPRKGAMIERVLPCSLEDLFKGTTKKMKISRDVSDGSGRSSTVEEILAIEIKPGWKKGTKITFPEKGNEQRGVIPSDLVFIIDEKPHPVFKRDGNDLVCTQKISLAEALTGYTAQISTLDGRNLTVPINSVITPTYEEVVKGEGMPIPKQPTKKGNLRINFDIKFPNRLTSDQKSGIKRLLTSS >cds-PLY62540.1 pep primary_assembly:Lsat_Salinas_v7:4:355432048:355432641:-1 gene:gene-LSAT_4X175081 transcript:rna-gnl|WGS:NBSK|LSAT_4X175081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNSQSAGFQAGEAKGQAQEKGNQLMDKAGAAAQSAKESVQEAGAQMQMKASDATEAVKDATGMNKST >cds-PLY78507.1 pep primary_assembly:Lsat_Salinas_v7:4:124039563:124039889:1 gene:gene-LSAT_4X79041 transcript:rna-gnl|WGS:NBSK|LSAT_4X79041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADGLTVIANEMDYQVFIDVAYQAPERLIDLYLDHIGEGFEDWFGEESDEIGLVIHGDDKEPSQGIPDPEPTYMFDVGLEDEINREYCTPLNKTKDDEFLNKLCPEGG >cds-PLY93876.1 pep primary_assembly:Lsat_Salinas_v7:4:270626234:270626521:1 gene:gene-LSAT_0X39240 transcript:rna-gnl|WGS:NBSK|LSAT_0X39240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVDFDYDELDWEPEEEKGVEEACSGPHQSEAPSNFRMFQGVDTDYIRSLEEAVISLKLQLIMTKARAVRAKRKVEVITQEADELAELLARHLDD >cds-PLY71020.1 pep primary_assembly:Lsat_Salinas_v7:9:69862361:69864833:-1 gene:gene-LSAT_9X60240 transcript:rna-gnl|WGS:NBSK|LSAT_9X60240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKELIELFDSAKKAADAAAVGGGGGGAESSPEEDRCLDALKVLKKFPVNYQILVSTQVGKRLRQLTKHPRKKIQSLASELVETWKGIIVKETLKNNNNNSDTKESPKSEHGSKISEKKTQRTNSVKLPKTEDAKVEKNINKTNNSGPPKMNSLVYCKDPIRDKIRELLAEALCKVSTEADEDSKNEINGCDPYRVAVLVESAMFEKWGKSNGSHKFKYRSIMFNVKDPKNPDFRRKILLGHVKPERILELTPEEMASTERQMENVKIKEKAMFDCERGGPPKATTDQFRCGRCGKRKCTYYQLQTRSADEPMTTFVTCVNCDNHWKFC >cds-PLY79686.1 pep primary_assembly:Lsat_Salinas_v7:5:251964590:251964781:1 gene:gene-LSAT_5X126760 transcript:rna-gnl|WGS:NBSK|LSAT_5X126760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMFKLESAMECRQYYHNFLITEKTLVEGIYHDLSFGNNLSSIIEGYRKRIKHYKDLLKSLPED >cds-PLY87350.1 pep primary_assembly:Lsat_Salinas_v7:1:98614236:98614502:-1 gene:gene-LSAT_1X80580 transcript:rna-gnl|WGS:NBSK|LSAT_1X80580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTEHEGKNECIINWEEEEIGAGMNQRQSRRTHTKSANVRSFFWLKEGGPMASDFSSIDSQSVMASGIRLRPKKRKGKEEAMRGVRRW >cds-PLY97213.1 pep primary_assembly:Lsat_Salinas_v7:4:94909301:94914950:-1 gene:gene-LSAT_4X62980 transcript:rna-gnl|WGS:NBSK|LSAT_4X62980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGGSVAGVIVPSVKSEPPEPATEGLASSSPLLPVAQEPQSQLPAPDSAVAVMEVDARGNDREAVDAASLDKDVLCPICMQIIKDAFLTSCGHNFCYMCIATHLQNKSDCPCCAQYLTTSQIFPNFLLNKLLMKASACQIAKAASPVEQVRLAFQQGCDVSMKELDSLIVVLTDKKKRMEQEEAESNLQIMHEFLYCLRRQKLEELNEIQKDLGYIKEDINAVERHRIELHRARERCSVKLRMLADDSSVKTSWPSLMDKRNGSSIGTQNRLPDLQATTTNSLSLQKKDSDSQNTQVGSTVARKRRVHAQFNDLQECYLQKRRHWEKQAHKQEEREGRNVKGEGYHDGLKDFQSVLSTFTRYSRLRVIAELRHGDLFHSADIISSIEFDRDNELFATAGVSRRIKVFEFASVVNEQADVQCPVVEMSTRSKLSCLSWNKYTKNYIASSDYEGIVTVWDVTTRQSVMEYEEHEKRAWSLDFSRTEPTMLVSGSDDCKVKIWCTRQEASVLNIDMKANICSVKYNPGSSFHVAVGSADHQIHYYDLRKINQPLHIFNGHRKAVSYVKFLSNHELSSASTDSTLRLWDVKQNIPLRTFRGHVNEKNFVGLTVNSEYIACGSETNEVFVYHKAISRPAASHGFSSDATDGEEAGSYFTSAVCWKNDSPTMITANSRGTIKVLVLAE >cds-PLY73744.1 pep primary_assembly:Lsat_Salinas_v7:MU043406.1:501:1427:-1 gene:gene-LSAT_0X46400 transcript:rna-gnl|WGS:NBSK|LSAT_0X46400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAISLVTGTITWNMVKFRNGFSRYAYYCLNLFASIAVVESCMMVVASLVPNFLMGIVTGAGVIGVMMMTSGFFRQLPDLPKIFWRYPISYINYGSWSLQGAYKNDMIGLVFDGLSPGDPKMTGEDVIRKMYRLPLDHSKWWDLLAIFAILVAYRILFFIVLKLKESASPFFQSMYTKRTLDRLNKRASFKRFSSSRRNHNLRSLSSQEGLSSPLP >cds-PLY89205.1 pep primary_assembly:Lsat_Salinas_v7:8:303722284:303724351:1 gene:gene-LSAT_8X165141 transcript:rna-gnl|WGS:NBSK|LSAT_8X165141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIGFIIFLFLAFISIPTTTSQSPTCNGISISYVYNSGFQIPPTATNTTNQPYTFQSTLTLTNAGVDRLKSWRVFVGFQNREFLVSSSNAVLADGTSLPANVTTGAVFAGFPATDLKTAVETAGDWNQMQARIQLVGTQFGVAPPNVSLPSNLYLVNDGFLCSAPSSPANNETHVCCEKDPNATPTTPGTNVEETFDARQQGDLVIMYDVISSKETEYMAQVTLSNHNPLSRLDYWQLSWDWMRDEFIYSMKGAYPSVIDTNPCIFGRQGEFYKELDFSEALNCERRPTIVDLPLDKTNDTQLGLVPFCCRNGTLLPPSMDSSKSVSAFTMQVFKMPPDINRTQLTPPQNWRISGTMNPNYQCGQPTRVSPTLFPNPVGLTSESTAVASWQVVCNITRAKSDTPKCCVSFSSFFNKSVVPCRTCACGCNTVDSCSTTAPALLVPSNALLVPFENRTKLTVDFAKDNDWTLPNQLPCADNCGVSINWHLLSDFKDGWTARMTIFNWGETSFADWFAAVELNKSMAGFEEVYSFNGSRVTGSSDMIFLQGLPGLNYIVAEKEGRKPEKDPRVPGSQQSVISFTKKNIPGLNVAHGDGFPHKVYFNGEECSVPDILPISSHGWRMMITLDSVLIMLVLLLFL >cds-PLY63152.1 pep primary_assembly:Lsat_Salinas_v7:4:312048951:312049250:-1 gene:gene-LSAT_4X157180 transcript:rna-gnl|WGS:NBSK|LSAT_4X157180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTINLTCSASIEGRLSNVSDCTSTSSLSDQEDCTQINSGSVRRSHNWRKLMKKVIEGSKKSIYGSSKPLIFQYDAVSYSLNFDEGTHDDEYYSYGSR >cds-PLY91430.1 pep primary_assembly:Lsat_Salinas_v7:7:133504142:133505004:1 gene:gene-LSAT_7X80241 transcript:rna-gnl|WGS:NBSK|LSAT_7X80241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTNQADFNVPIPEDHSDPMQLQVCTEEDFDYSQRGQWLRAALLGANDGLVSVSSLMMGVGAVKHDPKAMILSGFVGLVAGACSMAIGEFVSVYSQLDVEVAQKKREHRTMGNQEETEKEQLPNPMLAAVASALAFSLGAIVPLLAASFVEDHKVRLGMVVAAVSLAVVVFGWIGSILGGTPMFKSCFRVLLGGLIAMAITFGLTKLIGSSVI >cds-PLY93744.1 pep primary_assembly:Lsat_Salinas_v7:6:144963783:144970308:-1 gene:gene-LSAT_6X87641 transcript:rna-gnl|WGS:NBSK|LSAT_6X87641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGLGPYSTSIKKAEKDVKEMAKRINDLCEINMKNRHDDMPTSSKIKFKDSDEEEEEEESASEDDGETEIHEVLANVTFEELQKARSDGSHVINQKPKLERKGKRENKNRPMEISSKKPVGRFREVIQAPKKIVRDPRFESLCGTLDVDGFKKRYNFLYESELPKEKEQLKKQMKKTKDPEVISGLKERLAWIDKQLKSASIKHTDKEILVKHKKKEREAAKQGKQPYYLKKSEIRKQELVEKFKELKASGKLASFIEKKRRKNAAKDHRFMPYRRPNEGEE >cds-PLY85933.1 pep primary_assembly:Lsat_Salinas_v7:2:189854373:189855892:-1 gene:gene-LSAT_2X111740 transcript:rna-gnl|WGS:NBSK|LSAT_2X111740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLDPKEKKKIQGLAPISDRGDTRAAVVSFQSHTHGSQFTRYFRKQREELGMMASHTPQLQFLSWELIQFLDMFGYSCDTVFLTVFYHGF >cds-PLY81150.1 pep primary_assembly:Lsat_Salinas_v7:9:68096330:68097669:1 gene:gene-LSAT_9X56641 transcript:rna-gnl|WGS:NBSK|LSAT_9X56641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIPEKNRKAISKYLFQEGVCYAKKDYNLAKHPDIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSDIVPATLKKSAKPLGRPMGGPPGDRPRGPSRFEGDRPRFGDRDGYRGGPRGPPGEFGGEKGGAPADYQPAFNRGAGGRPSFGRGGGGFGGGAGGAGAPPSSSFS >cds-PLY64363.1 pep primary_assembly:Lsat_Salinas_v7:4:22637374:22638987:1 gene:gene-LSAT_4X14860 transcript:rna-gnl|WGS:NBSK|LSAT_4X14860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRRRRSELEDIPNLYHDIQSRLPVEEAARTSVLSKSWLHTWSTIPIIRFPLTPAILVDKEEDKEKVKPMEELVDRTLKRYLRDNIPIEIVDLIIDMTNQESASLAEKWIRLMATKSSTLKQLSLRICISSDYSVTLPAEILSSGRNLTDLEISCSSSIGLQMMNPFSMTSHPVIINCVCLRRLQLKNVHIIEEVLDDIFSICRLLVSVELSCCTGFRRVKVQNLHRLNQFRINSAGKRNPVVLEINDVPNIRLFNLEGNTLLPSMDSLGSVTTLWLAGMIVDDAFLHIIKSKFPFLESLTLDMKLSKVESFDITCASMKRLSLLWCPHMLIDVKVYAPKLVFFYFSGETTMPRLLFPEIVLEEIQIELSLIRRPMDNSFFLKMREAFTLSRKCDIQIQIHGILASDINLDLDDQRRRVPFPAMNVQRVSLRTFSDDGLWRDSSPFFDAFFMICHPKHVEDQSLNNNSHFCKLMMLEKKTRKGYWGDYLKDVEIRRQCLGDEKWETLTNSWRSFLDRSVEEWSGVEFKLYWHSVK >cds-PLY87571.1 pep primary_assembly:Lsat_Salinas_v7:8:186217119:186223734:1 gene:gene-LSAT_8X120981 transcript:rna-gnl|WGS:NBSK|LSAT_8X120981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLLRDNINVWTYDIIEEREDSCKHDGSGRTSGQIDIVEESGLDFINMDENSYEFSFTNPSDSSSSYLNSYFEDEKDVKPLGTSILDYTYFDNQTPETLPSQAFEGYDFMIQQKLEDYNGSYEMVLAEIREVLKAVCDIHSLPMAQTWSLCGQQGQRECHNQSSACISVINSASYVLDPNVLGFYEACSDLHLLRGEGIAGKALGTNQPCFATDITAFSATEYPLSGPARVFGLGGAVAIRLRSTYLGAVDFILEFFLPNSCRNDEEQKNMLSSISSVMQNVSTSLRVVNDDELVEEDESSCSWISKAQKRGESFIVSFKEEPQEQEEFKMINQFDYTKQIEQKSKPKGRKRSLGVRKSEEKRRVKPEKNISLPVLQQYFPGSLKDAAKNIGVCPTTLKRICREHGIMRWPSRKIKKVGHSLKKLQTIIDSVQGAEGTIQLRSFYTNFPELSSPKPSSSCGSPNSVQEERKSRERTQREKLSDYDHSNEGAFRVKVVYGEEKIRLSVSKDCGFWDLKREVMRRFGMNDLNCVTLKYLDDDLEWVLLTCDADLEECMDINMVSKKCSIKVLVHESFHPESGSSFTGC >cds-PLY91066.1 pep primary_assembly:Lsat_Salinas_v7:5:171344093:171351026:1 gene:gene-LSAT_5X76141 transcript:rna-gnl|WGS:NBSK|LSAT_5X76141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDVCEDEAFSFPEQEEKILQWWTQVKAFETQLEKTKHLPEYVFYDGPPFATGLPHYGHILAGTIKDIVTRYQTMTGHHVTRRFGWDCHGLPVEHEIDVKLGIKSREDVIKMGIDNYNEECRSIVTRYVGEWEKVITRTGRWIDFKNDYKTMDLNFMESVWWVFSQLFKKNLVYRGFKVMPYSTGCKTPLSNFEANSNYKEVPDPEIMVTFPIVDDEDGAAFVAWTTTPWTLPSNLALCVNSNFVYVKVKSKSNGKIYVVAESRLSELPVEKAKKGTPNGAVDDKTKGSSSNGGKAKNSVVAYEMLDKFQGSSLVGKKYVPLFDYFKEFSDVAFRVVADDYVTSDSGTGIVHCAPAFGEDDYRVCMENQIIHKGENLVMAVDDDGCFTERVTDFSGRYVKEADKDIIQADKGRLVKSGSFTHSYPFCWRSDTPLIYRAVPSWFVAVEKLKDQLLENNEKTKWVPAFVKEKRFHNWLENARDWAISRSRFWGTPLPIWISEDGVDIQVIGSVEELERLSGVKVTDLHRHKIDHITIPSPRGEKFGVLHRVEDVFDCWFESGSMPYAYIHYPFENEEFFQKNFPGHFVAEGLDQTRGWFYTLMVLSTALFEKPAFRNLICNGLVLAEDGKKMSKRLKNYPSPMEVIDEYGADALRLYIINSPVVRAEPLRFKKDGVYNVKKDVLLPWYNAYRFLIQNAKRLEVEGLPPFIPHNPTTLLNSPNSLDNWINSSTQSLVHFVTQEMDSYRLYTVVPYLLKFIDNLTNIYVRFNRKRLKGRTGEEDCRIALSTLYHVLLTCCKVMAPFTPFFTEVLFQNMRKVVNGSEESIHFCSFPKVERKVGSRIEQSVERMMKVIDLARNIRELHNKSLKIPLREMIVAHTDAEFLDDITGKLGEYLLEELNVLSIVPCNDTLMYAYLRAEPNFSVLGKRLGKSMRIVAEAVKAMSQEEILSFEKSGEITIANHCLKLSDIKIIREFKLPDGVTEEEMGGYADGDVTVVLDLRPDDSLFEAGFARLVVNRIQKLRKDSKLKPTDIVEVYFKSLDEDTSLSSKILQSQEAYIKEAIGSPLLDYRLCPHNAVIVVKETYHNISNCDFEIILSTPTLTFNDNAILHLYTGNAKYAEALKVYLLSRDHSNLKLEFRRGNCKIKVDCIENQPAVDVVLGEHVFLTVGDYYSPTTNNN >cds-PLY69089.1 pep primary_assembly:Lsat_Salinas_v7:5:276682038:276682385:1 gene:gene-LSAT_5X145001 transcript:rna-gnl|WGS:NBSK|LSAT_5X145001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLRKRYRGKLEHQETDLVETLPLSCRDDWLAVTSPAVTLDLEGSSATFRERPLTVQPITTVAPPCVTDSGHEDSKDDIISNVWRAIAKRKAVLAMRETSTDVQHQEVGSIKV >cds-PLY97307.1 pep primary_assembly:Lsat_Salinas_v7:1:178045599:178049501:1 gene:gene-LSAT_1X118241 transcript:rna-gnl|WGS:NBSK|LSAT_1X118241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLGFHPPHFSEEAAWLPGWLQSSSIREASNQAFQCSSGQRLEDIGCLQQNINTRENENLSDNGVCKSFHLFLSGEDNSTMNFPSCSSNHEIRLHLHLSSNEESQNLSNPTPSKSQPQPLPLPDSKVTPEENTQNPIPQKGNPGFSSHEPLKGQNGKTPKSHKVDITDAVELAVAASEALIIHEVLKDEPNSQLSTPSSVLEAAIRVKKARLEELNDDFEENNDNEYEVDFLSDLDELTMAEAYEDVGLTVNSYGYESISHVKDSYGTLEAEFGSISTKQEILSKKEIVLESIEGDTHKEHDDYATLGTTENANDLGCDFDPMFSCSVEQPVISTKEVDGPLTLCQTILKSSFGSRAFGCIENEDKMTNLIPDRFQSRWFGGWKCKNEDAVTDNKIPEPFALNESSSLSESADIAPDMNSAIQKQDNTVSQSTIPPKDIDTHHKTTNNSNFLSDTAPDMPLSVSPMEDLLCSVVPCSFSSDNTPPHVNLNNPKSVSPQNTNYTLHQVNPKSASPVNTDNTLHQNNPKSVSPQNTDNTLCENISSPTIRRHVSSLKTYSILPHPPYDPYSVNKQPTQDDVEKKTKLPSATINKENPELLPTEVFRKVKSNIKSCVVPMRKRVRFSEPEIIHTKKTRVTFTCNKTRLFQDFNFLITGFSIKKHKEIKSLIQKNGGIVLDDIPSPPSSTSRRQKKISKYRLPVILSPKRLLTTKFLYGCAVNACILKVKWLFDSVNEGSILPHKKYMVLKEHVINCSMIIGIRMPNRRLIFQDIAIMLHGKHDFCMKMEKVVRHGGGLVFKSFHWLVKRLESNRVLIGAIVVEGEIGISRQLKQCAVEQKIPVVPFDWIVKSLYAGRVVPSLQEFKNPYRVPLEMSEEI >cds-PLY89892.1 pep primary_assembly:Lsat_Salinas_v7:8:67899388:67902516:-1 gene:gene-LSAT_8X49961 transcript:rna-gnl|WGS:NBSK|LSAT_8X49961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVNRVGGGRCMLKDRLCRRKVLIVLDDVDNLEQLKALAGSHDWFGEGSLIIITTRDVHLLNVHKVDVIHKVSLLNDDESMQLFCKHASRGDRRIEDYEVLSNDVVSYAGGLPLALRVLSCFLCDKDMNQWRSAIARLKEIPDTDILGKLKVSFDGLTRDEKKLFLDIACFFRWENKDYAMERLDACGLHPVIGVKVLIQKALITISKDGEFDMHDLVQEMGHHIVRGEHPNNPEKHTRVWKEEDVAKICAMDATTELNKIEAIKTVFRFHPAKKQEQNLPSIAANMKNLRYMELIGDPAESLFNDLPLRELCCLILSSGSQKQLWEGCKFLPSLKLLKLWHMNNLIMTPDFNGLPNLERFILEACGCLKEIDPSIGCLEKLVSLSIEGCPSLEMFPPIWGIKKLETLSFTEHPELVMFAKIHQQKMENSPHLDLDNSGYEVASYIESSTNSVVSCLRCGCRNLPCVEEPGLCVNLGLGFFHNLQELRFLRKLNLSECNLGDEDIGSDVLEFPNLQQLNLSHNKFLRLSFRCLQLPRLKWINVSDCQELVELSELPSSIAVVEADWCLSLESFGDISNCKWLWKVSLRGDYKVGPRVGEILLDCMLQGNAIEDHFISVNLPHQILKGFVGRFFRGKTFTMCVPHVKWVLNDVDIFRLRLPDNWCNDFCGFLIRVVTKGLFMRMDISIKQDPDQEDSRFEIWQESNDVPEPEYDGDVWTQVGYVSFSSLRLTTSLNPLYNIITFSIKGYWSLFAAELVPRKSKDDQVQTIKDATDSSEFWDEKDDFNQTFMIQDNSKSSINIIWRSYI >cds-PLY72792.1 pep primary_assembly:Lsat_Salinas_v7:MU043667.1:56403:57486:-1 gene:gene-LSAT_0X27721 transcript:rna-gnl|WGS:NBSK|LSAT_0X27721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACPQMKPSMLSILLTFLFCICGKFVSGEYAKFEKLVLPEGVIGPEAVTFGGVAAPGPYTMADQRILKYWNNGTHAGFSTMSVTSPPWSEWECGGVSHADRSRLIGCGQPVDLSYYPNNDVLYISDAEFGILSVGMEGGLASVLVAGEYNNTNGIDFFALRTTYQKVSVLMSNLGDCGGPAVSIDGTFVLVPDNFNSRVLKYWLVGPKADTNEVLMNLPGKTNRIKRGETPGEFWVAASRWIDVKEGKMFASAGMRFNSDGVVLETIDFFAQYTDNQASVVQEKDGKLYVGSYLTNFIGVYSN >cds-PLY63779.1 pep primary_assembly:Lsat_Salinas_v7:6:24311828:24315290:1 gene:gene-LSAT_6X19380 transcript:rna-gnl|WGS:NBSK|LSAT_6X19380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNDTCNFTLTHQNSEESSSFCWWKSIPEFKSPYDSSSSSSSSMFKVLREMERLRMISEQGVDELKHKLMIYKSGDFWLPIGGIKKEEMDIPPIITVLLVGLSGSGKSSLVNFMYSVLGRSGLIPFSQTSNESSNYSTMILEEHNVLRSQRNGFCVYDSRGLDLDRMEEGIEEIKEWMTNGIRHNQPCRFGEDDVGLSLNCSSGGFVKRRVNYVVVVANLADVYKAFFSGGDLKPVLAIKSLFHCSSIRTSNSDPILILTHGDTLKPIERINGRIQICSYLGIPVTTGAYDIACLTEEGILPEESDLVTYFALTEAIYRTLLQSDRTHSPKKTYKHRIVYILSRVMCSLAWFFGMLSHVFQKLGDKKKNG >cds-PLY64706.1 pep primary_assembly:Lsat_Salinas_v7:7:135602768:135608488:1 gene:gene-LSAT_7X81100 transcript:rna-gnl|WGS:NBSK|LSAT_7X81100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPNNSINQIDNHIDDDGDQNSFPSHRHQIITYLRKRKKLSISGVLGTFSCSRLIASIDIVLGRQVSGLWMLYKANTQGEGLLSASLKGFTVNDDREGTEEELRLAVGQPKSLRYSPYYEVHNEENQMVKADDVKYDEILGFLTMLILDAKFSQYSTSLSLCIQRPQFLVVVDFLMAVVEFLYLLYVACCQNGEYLDLCVSLGSNNSFSALEDDQVFLEEEESSSPNTQEETTKDLPSPNNTMSRPTETSIELQVISPELTFYNSSKYVRESPLFTNKFLHARLDAFCRLVLKSDTIEMSANSLETTMESNRIRILEPFDTSVKFSTASGKTNIHVAVSDIFMNFSFSTLRLFLAVEEDILKFLRMTSRKMTLSYSEFDKLGTFQSKDLVDFFVDSEKNTCLSIN >cds-PLY89468.1 pep primary_assembly:Lsat_Salinas_v7:8:91780950:91783324:1 gene:gene-LSAT_8X64821 transcript:rna-gnl|WGS:NBSK|LSAT_8X64821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP43 [Source:Projected from Arabidopsis thaliana (AT4G30840) UniProtKB/Swiss-Prot;Acc:Q24JJ9] MSTETLKQFHRLPQSTYIDALRFLPPLSAFDRYVVIASFNSDTDETSIGIHALNPTDAPTLTLQSSFPTSSRISSLKVAQKSLQNPLIAASTFAGSLHILFPNPIDASFESEVSIPEKTLHIGPISCVDLQENGSACVSVGEDGRVNLISVSDSGLDYRRVFDSKGLVSYTAARWASPTEFATGGLGNSLQWWDQRRPGGPVSQFKGNWAEGASSGVVHSIDIHPSRKHTCLAGGSYGSVFAWDLRRQQQPIILSGIGTNDTASNICISSSDVWEVQYDTFMSSSNRATANISSDRVLPVMMCSEDGILAVVKQGEEPTEILAENCAINSFDIDPQNPSDVICSLEWESVAILTRA >cds-PLY90143.1 pep primary_assembly:Lsat_Salinas_v7:7:14742622:14746884:-1 gene:gene-LSAT_7X12320 transcript:rna-gnl|WGS:NBSK|LSAT_7X12320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDRGGGGGGSFVAVRRISHGLDRSNTCNTASAEVAAGSAAWLGRGLSCVCVQGREGDSRPSFDLTPAQEDCLQRLQHRLDVAYDSSIPGHQQALRALWGAAFPEEELHDLISEQWKEMGWQGKDPSTDFRGGGFISLENSLYFARNFPKSFQDLLRKREGVRSMWEYPFAVAGVNITFMLIQMLDLEAVKPRSFVGATFLKFLSENELAFDLLYCITFKLMDHQWLAMHASYMDFNAVMKSTRRQLERELLQEDVTRLEDIPSYSLLSR >cds-PLY69961.1 pep primary_assembly:Lsat_Salinas_v7:5:118078918:118081167:-1 gene:gene-LSAT_5X51881 transcript:rna-gnl|WGS:NBSK|LSAT_5X51881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSDVVVGSMNTNEKRGANGEEIPTIVGFEEANIDVEKEENIENESALYHNVFFYNGFDEYIILVLEDAFQPLDEMPVRSLISPV >cds-PLY97826.1 pep primary_assembly:Lsat_Salinas_v7:5:197088931:197104493:1 gene:gene-LSAT_5X87961 transcript:rna-gnl|WGS:NBSK|LSAT_5X87961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFHGNYNSAKRQKLDKIPVAPANNVKRDCNNYFEQLFNKNSSNLFTFDLNESELFLMSCRSCYLYVMVCDSNPKCPRCFKRCLLYMI >cds-PLY83710.1 pep primary_assembly:Lsat_Salinas_v7:4:44104729:44106742:-1 gene:gene-LSAT_4X30501 transcript:rna-gnl|WGS:NBSK|LSAT_4X30501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMGERSLCWVVTLFGVLSAAVYSYKCDLYEGSWVYDASYPMYDSTKCPHIRKEYDCIKYGRPDLTYLKFRWQPTHCLLPRFDAEEFLRKMSGKKIMYVGDSVSVNQWQSMVCLLHAALPSESNIHEETINSTTTVTYEDYGVSISIFLSHYLVDITQEEIGRVLKLDSITDGDVWKENDVLIFNTWLWWYRRGEKQPWDYIETGTKILHDMDRMVAFHEALTTWANWIDSDVDTEKTRVFFQGVSPSHYNGADWNEPGVTNCGKETLPVNGSTYNGGWPKAEMVVEQVLSGVKKPVSLLNITRLSQLRKDGHPSSFNAFRGMDCTHWCVAGVPDTWNQLLSAALG >cds-PLY92377.1 pep primary_assembly:Lsat_Salinas_v7:3:125408841:125415983:1 gene:gene-LSAT_3X86221 transcript:rna-gnl|WGS:NBSK|LSAT_3X86221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKATEKKGMQARENQGMQGTLNRWTIRRQSLLFVVRFEWLNFILKFVFMKSIVHYNVGYEFLDNASDDSDDVSVSWQQICRVLRCYYLCALKSVNTGDLEGGVSGNRTPRLHLKEARLNLEEAFGACLLPSLQLIPANHVVGQEIWAVLSLLPYEKNLTFDVIDVRQDIVYMVNGKKDDEASPMVLSAKQTAKLDTKRILKRLAKENLKQLGRMVAKLAHVNPMIVLRTIVHQLEYDILEYVVIERLVQGGREKLKDDDLNLSDWLQTLAWGHLWLELDLKLVADRGLVGAPNAGKNMFLSVNSVDM >cds-PLY74054.1 pep primary_assembly:Lsat_Salinas_v7:5:91662253:91662447:1 gene:gene-LSAT_5X41860 transcript:rna-gnl|WGS:NBSK|LSAT_5X41860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGFNYALLNSQEARLKERKEGMGAKGVEDEKKKKDCVKGGESKKVHPIGTKLTTYTTGNSN >cds-PLY93101.1 pep primary_assembly:Lsat_Salinas_v7:9:119228786:119231366:1 gene:gene-LSAT_9X80141 transcript:rna-gnl|WGS:NBSK|LSAT_9X80141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSISIPDPGESTQAPSFDEFQRQTSLMTSCTLLWKELSDHFTSLEQSLLKKSDAIKDKIKTLDTETKASLSSLEERETTIENSVSIALRKVEAAVQAAAAAVGTSKCDDGEDNPEVDDSEGLLLRLKSYCVKMDSLGFWGFVASKKKEMELMRAQIPSALAECADPARFVLEAISEVFPVDKRALCVNDLSWACVLILESLIPVMVDPILGKSRVLVTPSVKKSAKEIAEKWKESLDQRGGIENVKAPDVHTFFQHLVTFGIVKNEDLDLYRKLVVGSAWRKQMPKLAVSLGLADKMPDMIEELISKGQQVDAVHFTHEVGLVDRFPPVCLLKAFLKDAKKAATSILEDPNNSSRAVHLAARKEQSALKAVIKCIEEYKLEAEFPPENLKKRLEQLEKVKVEKKRPAAVGPANKRTRASNVGPMPPAKAGRITTAYVSSFPAPPTFVRSPSHTQYPTGVPSYSVPPPMYVHGTRSPPYAAYSPEAAPLSPPLSYPGTPPMNYPAYGGYGNGMAPAYQHTYYR >cds-PLY70147.1 pep primary_assembly:Lsat_Salinas_v7:3:11739927:11740286:1 gene:gene-LSAT_3X8821 transcript:rna-gnl|WGS:NBSK|LSAT_3X8821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRTFFFYLDFIFKFTVQNRQAKVSVVPSVTALVIKTLKEPERDRKKVKNIKHSDNISLDDVIEIAKVMRMRSMVKELAGTVKEILRTCVSVACTVDGKDSKDLQQEIADGDVEIPID >cds-PLY63581.1 pep primary_assembly:Lsat_Salinas_v7:MU045895.1:11406:15309:1 gene:gene-LSAT_0X42100 transcript:rna-gnl|WGS:NBSK|LSAT_0X42100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQETLVTISNHKPKSKSNYRIIDALESKSFSWIFIDQSNPWKAALSWLIFFIFTVGLPMLSHIVYQCSTCDDSHQRPFDMIVQLSLSICSTLSFINLSSFARKYGLRRFLFLDKLSDVSAKVRDGYSEQLHRSIKLLCTFIIPCFLADSAYKIWWFISGRNQIPYIYNIYLSHTIACILLLCSWLYRTSLFFLVCVLFKLTCSLQILRLEDFAKVFEKQADVGSILLEHLAIRRTLKIISHRFRAFILSTLILVTVSQFASLLVTLETGSLVNLSTAGELALCSLTLVSGLFICLRSAAKITHKAQSVTSLAAKWHTCATIDSFDDVGLTEETPMANSSNRANYHFAPVDSDLDEGDEDELDNTKLIPVYKDTITYQKRQALVTYFENNRAGITVFGFMLDRSSLHTIFAIEMSLTLWLVNKTISFS >cds-PLY70569.1 pep primary_assembly:Lsat_Salinas_v7:1:90156525:90157220:-1 gene:gene-LSAT_1X74141 transcript:rna-gnl|WGS:NBSK|LSAT_1X74141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSDAHSHYKTHKLFLISNYILLGAASSCIFLTLSLRLFPSVSGGLLVLLHIITIAGAISGCNAVSAGSNKWYAAHMVATVLTAIFQGSVSVLIFTTTSNFLAALKSYVREDDAAVILKMAGGLCVLMFCLEWLVLTLAFFLRYYAFVEGSRTGGKVQAEENSKPVWSPPFQV >cds-PLY82193.1 pep primary_assembly:Lsat_Salinas_v7:1:14827496:14830660:-1 gene:gene-LSAT_1X13261 transcript:rna-gnl|WGS:NBSK|LSAT_1X13261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVADKGKKPKIAEKIVEENAEQIDGELVLSIEKLQEVQDELEKINEEASDKVLEVEQKYNEVRKPVYDKRSEIIKSIPDFWLTAFLSHPVLSDLLCEEDQKIFKHLTSLEVEDCKDVKLGYSISFNFSPNPYFEDTKLTKTFTFLDEGTTKITATSIKWKEGMGLPNGAAPEKKGNKRAHEEESFFSWFSGTQLKDGEEEIHDEVAEIIREDLWTNPLTYFNNDADEEEFEGDEEENGSDESEDEGEEEEEDEGEDE >cds-PLY96110.1 pep primary_assembly:Lsat_Salinas_v7:3:100296205:100296594:-1 gene:gene-LSAT_3X73860 transcript:rna-gnl|WGS:NBSK|LSAT_3X73860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKRKGTGEIVEVEGGGRIVRSLRIKDRHSKVCTSKGTRDRCVHLSTNTVIQFYDVQDRLGKAIDWLMKEAKLVIDALENDHHKCQFLTSSVDTSEAFHRIGGERNKEISTLAKISGFDSKSII >cds-PLY73635.1 pep primary_assembly:Lsat_Salinas_v7:5:205557679:205560991:1 gene:gene-LSAT_5X93640 transcript:rna-gnl|WGS:NBSK|LSAT_5X93640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:Projected from Arabidopsis thaliana (AT5G34850) UniProtKB/TrEMBL;Acc:A0A178UD94] MGSMIPEFLLISCVLFFFLNNVYAGVTSTFIRSEWPSVDIPLDNEVFVKPNGHNAPEQVHITQGDYDGKAMIIIWVTPDEPGSNQVKYGTSENKYDFTAEGTVKTYTFYNYTSGYIHVCHVENLEYDTKYYYEIGEGDSARQFWFQTPPKIDPNASYKFGIIGDLGQTFNSLSTLEHYIQSGAQTVLHVGDLSYADRYKYDDMGVRWDSYGRFVEKISAYQPWIWTTGNHEIEYMPYMNEVVPFKQYLYRYPTPYTASKSSNPLWYAIRRASAHIIVLSSYSPFVKYTPQWEWLKEEFTKVDRKQTPWLIVIMHSPIYNSNSAHYMEGESMRVAFESWFVQYKVDVVFAGHVHAYERSYRISNIHYNITGGVSYPVPDKSAPVYITVGDGGNQEGLASRFNDPQPDYSAFREASYGHSTLEIMNKTHAFYHWNRNDDGKKVATDSFVLHNQYWNKSLRRRKLKKNYLHNIVPTLELNQL >cds-PLY77060.1 pep primary_assembly:Lsat_Salinas_v7:1:159975015:159975278:1 gene:gene-LSAT_1X109640 transcript:rna-gnl|WGS:NBSK|LSAT_1X109640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSEHSLGIRAGDKGGKEAGEAQLKRIELLEIELGNLYDPMENQGHLIQHNTYTIASLQLKMDQKFEEVLMEIEKSCPEEPNGKKSV >cds-PLY88100.1 pep primary_assembly:Lsat_Salinas_v7:6:181534355:181536681:1 gene:gene-LSAT_6X109820 transcript:rna-gnl|WGS:NBSK|LSAT_6X109820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLQIKKIENSTNRQVTFSKRRNGLIKKAYELSVLCDVDVALIMFSPSGRASIFSGSKSIEEIMARYINLPDHERGRSILSNADSQFEGIQKEIIRCKSQMIEMEKRLRMFEGDPSEITALCEAEYREEVLQETLKRVRLRKGININNMVTTNPSNMFDWLPPREPQVQIMNFMNFNGILPHRQNENVNRANVIDNDNNVNVQRSEFGQVIDMNLSPWTQFYPSGSGPMMMTQPGERSFNENFLPQFSP >cds-PLY80730.1 pep primary_assembly:Lsat_Salinas_v7:3:84645129:84645679:-1 gene:gene-LSAT_3X63781 transcript:rna-gnl|WGS:NBSK|LSAT_3X63781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRAAVGRSVTRVIEKAVTTPISEAFQANSSPISNIWKTSFTGSTEDSMEASVLPAYEMSCKTMFDQIDSTFQKGMVEFIHSPLAFALRVRILESTIPSFFLLL >cds-PLY82699.1 pep primary_assembly:Lsat_Salinas_v7:2:145236210:145237366:-1 gene:gene-LSAT_2X71721 transcript:rna-gnl|WGS:NBSK|LSAT_2X71721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAACVVLFVPMAMAGWHLSPNKVLFFSGALFISLAVCIHLIPYFPSVFPSSPTVLLQQDPTPCISLINEVNFHSNFDGFSWDFENNSRSFDCDFQKLSRIDVSDLLNGSWVVVAGDSQARLFVVSLLDLVLSLDEMESIQADLFKRHSNYHIVVEEIGMKLDFIWAPYATNLTHVITDFKRNNTYPDVLVMGSGLWHMLHFTNYSDYGVSLRYLRESLIPFLPVGSDSTRGFHLFWLGMPTLINKMLNTEEKRVKMTSEMCNLYDQELYKSKILRKDGGVFLMLDVENLSKKCGVDCSSDGMHYNGVVYEACVHVMLNALLIESHQKL >cds-PLY65540.1 pep primary_assembly:Lsat_Salinas_v7:9:142966471:142969363:1 gene:gene-LSAT_9X91021 transcript:rna-gnl|WGS:NBSK|LSAT_9X91021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAMNENSTLYSAVTMNLDSTHSQVVPITLFVALLCVCLVIGHLLEEYPWLNESITAILIGFVVGTIILCTSKWKSSRLLRFSEELFFIYLLPPIIFNAGFQVKKKQFFHNFFPIMLFGVTGVLISTSIVAAGCWWLFPKLGFNGLTISEYLSIGTIFSSTDTVCTLQVLSQDETPLLYSLVFGEGVVNDATSVVLFNAVQKINADTLNSHTALRIFLDFLYLFTTSTLLGVSAGLVTAYILRGLYVGRHSSVREIALMVLVAYLSYMLAELFELSGILTVFFAGVLMSHYSWHNVTESSRITTRYAFATLSFIAETFIFLYVGMDALDYEKWKMSMLSIWTKMGIYSTVMMLILVGRVAFVFPLSIISNYMHRSGGDSTKISRKHQMVIWWAGLMRGAVSVALAFKQFTHSGVTLDPTNATMITTTIVIVLFSTIVFGFLTKPLVDHLLPSTSSNSNTTNTRGDPGSPKEDMTLPLLSVEESASENLSRAKDNLSMLMDRPVYTIHSYWRRFDTAYMRPIFGGPCSDENHSSSSC >cds-PLY93188.1 pep primary_assembly:Lsat_Salinas_v7:8:266905789:266907091:1 gene:gene-LSAT_8X154601 transcript:rna-gnl|WGS:NBSK|LSAT_8X154601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPSGDQSPSDAVGLPIHGDVLEAVLSQLPLVHLVPATIVSKVWNKGVASTLKSKKPQPWFILHTQRSRSPYLTTTEAYDPGSRLWIEIHQPTIDYVSALRSSQSNLLYMLSPSKFSFSFDPLHLTWHHVTPPRVWRIDPIVGVVGRHVIVAGGVSDFEHDPLAVEIYDLESQIWTNPEPMPEFLEDSSSSIWTSIATDERRLFVMEKSSGVLHTFDPLTNTWYGPSVLRPDHLVSHSSIGFSDDKLILIGLLVDSDDATRIKLWEVNCESFEYHEIAEMPAALVQKLKGDDLQIFSIDVRMAGNVAYIYKPMRAGEVIVCELFGDGGECRWWSMANPVTGDRSIMERFVYTCTMVGVEDLQRATGSMVNRRFRFKK >cds-PLY65927.1 pep primary_assembly:Lsat_Salinas_v7:4:136966781:136968119:1 gene:gene-LSAT_4X85401 transcript:rna-gnl|WGS:NBSK|LSAT_4X85401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTIAQWINIAITRNVKQLELRFCPRKESEDIELPHSLMTCGSLEILRLFLSTHRLSMPGFIGFPALRVLELNNVELFHNDFLQDFLVNCPLLEDLSLINCLLDKLIFLVINCPKLKSLRVDNRNKGEYLNRFEYVGLCGLVMLFCPKLVFLEFGGHIADKFFFQSLDSLKKAVIHSEDTLLDEFTFDPIGDTIRELYAGISHVESLSISHHFVQALHLPVSLPNLKTLEITIDALIMDSIIEFLKCLPDLESLHLIVEQRFFTSRFGDLDQEDRRRILTCHLKKIEFLEFDGENTKLEVAAFLLAHGDAMEEMVFSCSNEAKYHALSMETLKKVSNFHKASSNVKVITLLRTDAARFSDNM >cds-PLY96222.1 pep primary_assembly:Lsat_Salinas_v7:3:196878041:196880077:-1 gene:gene-LSAT_3X117720 transcript:rna-gnl|WGS:NBSK|LSAT_3X117720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALPPLSIRGDLGVVSTAGVRYAYPLLKSFAKMGPQGVLGATKLLRPFSEIVDSLGLKDPFIRNWVDLLSFLLAGVKSDGVLSAEMNTLLVELEHLLRLLFSDFPSDKLPKMSEPSQLMTENTRSDLYVALPVLSQGKKWVLLYSTWRHGISLSTLYRRSNLCPGLSLLVVGDRKGAVFGGLVEAPLKPSTKKRYQGSNDTFVFTNTPGRPVIYRPTGVNRYFTLCSTEYLALGGGNHFALYLDSDLLNGSSLASETYGNSCLSHTQEFEVKEIELWGFVYASEYEEAISMLRTEAPGICRW >cds-PLY91687.1 pep primary_assembly:Lsat_Salinas_v7:8:11914344:11914962:1 gene:gene-LSAT_8X8080 transcript:rna-gnl|WGS:NBSK|LSAT_8X8080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSASSKQNVELQADHPCKRDMPSRVKISRTPDNPGRKFRVCQNSLSARTPRCNFWEWLEDDEYQPQKNSDFGQIYNLTFKLGNLENEISYCRKKLEEEKNSDLVFRQELDKVKWKLFTHKAALFVLFFFFVCNESYD >cds-PLY63374.1 pep primary_assembly:Lsat_Salinas_v7:7:153209304:153210478:-1 gene:gene-LSAT_7X90700 transcript:rna-gnl|WGS:NBSK|LSAT_7X90700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKEITTVKAKVCEKPSKTWFGVCKDTAKCDKQCIEWEGAEHGACHQREAKYMCFCYSKCEAKASPTPPSTAPPANGSPTPPGTPGSEGGQQPPAEGGKPPCKRMRATSKHLIGSDHLT >cds-PLY79549.1 pep primary_assembly:Lsat_Salinas_v7:1:34757687:34760032:-1 gene:gene-LSAT_1X32300 transcript:rna-gnl|WGS:NBSK|LSAT_1X32300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFMDNSRRPLIPSFLYSPTRFIDFEQNLTVNQRNSFSSSRSTPLVSSSPSPSTQKRGFVIPAPSEQRKIAMFSPAYYGACTAGGIFSCGLTHMAVTPLDLVKCNMQINPTKYTGIASGFGVLLKEQGVKGFFRGWAPTLFGYSAQGACKFGFYEFFKKTYSDMAGPEFATKYKTLIFLAGSASAEFIADIALCPFEAVKVRVQTKPGYAKGISDGLPKFIKADGVSGLYKGLAPLWGRQIPYTMMKFASFEKIVEILYMYAIPMPKDQCSKRLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNAPGATAGDAVKKLGMVGLFTRGLPLRIFMIGTLTGAQWGIYDAFKVFVGLPTTGGSAPPAK >cds-PLY80133.1 pep primary_assembly:Lsat_Salinas_v7:3:106634506:106637265:1 gene:gene-LSAT_3X78340 transcript:rna-gnl|WGS:NBSK|LSAT_3X78340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLSFRVVLSAYFLVILCVSFPNPTTPLHVGEGEEEGGGIPLPVPARHGAVVKKGERWSVVTTEFGEISAVKIGDGRDGFYHLQFITMEPNSLFLPVHLHSDMVFYVDSGSGTLNWVDLENDDDKLRQVELQAGDVYRLSTGSFFYLQSNLDPDRRKLRINAIFSDSKEEIREGSSFGAYASVRDLILGFDNRVLQAAFSVDVEVIEELRSGGKQPMIVHGVTQAKANELWEVHYRIMRAFLGRIKNNMIDININNNKKDKAYNILTADHDVENCNGWSLVVTSKELKALKDSDFSIFMVNLTKGAMMAPHWNPTTAEIGVVVRGRGMVNVVCPGSLNETECKNSRFRVEEGDVFVVPRYHPMAQMSFNNGSFVFMGFTTTTKKNHPQYLVGTASVLQVLDKSVVAASFGVSNMTMDEILKGQREAIIVECTSCAEEEERLMEEEIEREKEEERKKEEEEKAREEEEERKKEEEEAKRREEEEEAKRQEEEERREQEEARKREEEEAKRREEEAEAKRREEEERREQEEARKREEEEARRQEEEARKQQEEEARRRQEEEEAARQQEEEAAARRQEEEARRRQEEEEAARRQEEEARRRQEEEAARKQQEEEARRRQEEEAARQQEEARKQQEEEAARRQKEEEAARRRQQQEEEARRRQQEEEARRREEEETGHGGGGGHGGGEGGAASREEEEMARRQREAEAAARGGGGGGQENGSYEE >cds-PLY90203.1 pep primary_assembly:Lsat_Salinas_v7:9:202481615:202486497:1 gene:gene-LSAT_9X125101 transcript:rna-gnl|WGS:NBSK|LSAT_9X125101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVDPLISESIAKLTDLVIYVVKTTANFKPELKQLTLTLQRITPIIEDVVNMNRKLDRTEEERKMFKEELEEAEKLVKKCAKVKRNIFKKFKYSLKLKDFNGKLLRFFQIEVQVFQTRGIVETLSGVHDIKIKMDSIASDVKDIQLSKSWNGNYCSSMSTSSRTDRSGSENMEREKYGWRAPALPKGIVAFEEPLAKLKAEVVAGIGSDDYGDPMDCDDRSVLVVAAAGGCGKTTLVKMLCNDTEIREKFGENIFFVTVSESPNFMVIVNDLFNPNFSGPQVFFQSNEDAKNKLENFLNEKVVGPMLLVLDDVWSDTFIDNFPSKNRECKILVTSRTAFTNYDVFKFDPLNEKDAKTLFRQSAFTKGGKRPSPVISENLVNQMVTCCKRHPLTLSVVGRSLNGKDKSVWESMLKSLSQGRLVLDLHKDVLIGLERSFDALDDDFKECFLDLGTFPEDQRIPVSALLNMWVHLYNHDDGGVDTLAKIFELSYRNLVDLMISGFKNDLGERVNHCDQQFVTQHDLLRELAIHLNSKLPLEKRTRLIINIRGDEFPTSIKQVQEPMQARILSISTGESFSSKWCYMEVPDLEVLILNLMSKTYSLPNFLAGNPKLKILNITNHGLYPTEFTNFNFLTSSHNLTRIRLERVDISPSILSLINLQKVSLIMCKIGNGFKKLSTTHQNIWPQLVEIEIDYCQDLVEFPGKLCKSIHLKKISITNCNEMYGFNEEIGDLINLETLSLRSCTKLEKLPESICSLEKLNVLDISDCLSLSGLPEKMGKLGSLRTIYMKGCTGVHELPESVEDLSHVHVVCNEETAYQWREYGNVEIDLVEEDRLETLLKIIPFG >cds-PLY77722.1 pep primary_assembly:Lsat_Salinas_v7:9:18659625:18660671:1 gene:gene-LSAT_9X13700 transcript:rna-gnl|WGS:NBSK|LSAT_9X13700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYLHLLHRHDLQFLHIGTGGRTRFKASPFGLSAALCFADAPPLVIEWFPSILQLMEVIASRTTPSGWRFQATEWLHSFMGELRGSVLKVVT >cds-PLY74231.1 pep primary_assembly:Lsat_Salinas_v7:1:77347887:77349700:1 gene:gene-LSAT_1X65400 transcript:rna-gnl|WGS:NBSK|LSAT_1X65400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFKALKWQLIRVALTRRLILGSLCFVLAMVIVSFTRISRMAYEIRTNEPIFENFDKCSLDLNLFRYSNMKNLTRGVIEELINKEMISLDSRTLSIGEGSDSDLLTLRELGITNAFRISSILKNQIDHELDIKTNSFDFIMCRIYHKVPIPPLLVLEIERVLKPGGISAMLVRFSTFNMGSLVRSATPVSLLLRRSEILHVCGIGSFALIVFKKRLDNVEFFKYYKLPNECSSISKNKPYMQHIEPPMDQKFNEVSYLPKFMNVSSRNRLIYINMGTYESEFEANYPIDQKEFNVFVVDHNVSAFSNYVKKRGVTFVYHPGLVEDDEKIGTSVDNGDYLEAPLHEERFEFINWFKETAKDGDFVVLMMNAGVTHIKVLFELFESGAICGVDEMFIRCLDGVDCGNSRCKDCLSLFNGLRNAGVFVHRRPVV >cds-PLY78471.1 pep primary_assembly:Lsat_Salinas_v7:4:261482081:261484012:1 gene:gene-LSAT_4X134060 transcript:rna-gnl|WGS:NBSK|LSAT_4X134060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSRFSVASSSAMLLVLVFLSSMAQGDDINIPPTLSPFFDNICDQVNCGKGNCSVDLGKPFQFVCQCEPGWKRTRSTDDNEEDDHQFLPCVIPNCTLDYSCMPAAPPSPPIPDNISVFDPCYWTYCGEGTCTKNLSYTYTHTCECNPGYTNLMNTSHFPCFSNCAIGADCRRLGIRVLEATSPSGDQSQGMRFLPGGFHGIEIIVISVIMALWK >cds-PLY64926.1 pep primary_assembly:Lsat_Salinas_v7:8:133048657:133051084:-1 gene:gene-LSAT_8X92261 transcript:rna-gnl|WGS:NBSK|LSAT_8X92261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKGAEAGTSSGSTSCSLLDDVNGDLKNKQTGEFTENPLNVRVFEHEHGDDEEEEEHEHHQVGVSKFVPGPLLPLKDQLDKDKDDESLRRWKEKLLGGVESDLYGQKDPEVKFHSIGIVSKDVGEITTSLPVKESQSGRPLFTLKEGVQYRLKLTFTILHNIVSGLTYTNTVWKSGIQVDRIKGMLGTFAPQKDAYVETLEEETTPSGILARGIYTAKLRFEDDDKRCHLELDYSFEIKKKS >cds-PLY88333.1 pep primary_assembly:Lsat_Salinas_v7:2:9529697:9531632:1 gene:gene-LSAT_2X3761 transcript:rna-gnl|WGS:NBSK|LSAT_2X3761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVMVNYLTNVWNLNSTHAAGIINIWNGITPALTIVFAFVVDTFMGDYYMLLLSSTAYTIGLGFLFMSTPPVLGPCSDYKEECVGYTQKVLLFTALPLIAVGMAGHVVSLKSFLDLQTKSENEDEAKDGDKSIWQIAGVVIVVVASIVGGIALPYIKPWSIRFGIPAISSLVATLLFLSGSRVYKPCNPAEGSPLTSTLRVFVAAARNFSQPFPDHKQLYNGQDAHSTSSLRCLDKAAIQLPEQHQSEKWRFCSVREVEDTKIGIRMAPMWLTFIVIGIVLSTGNTYFLEQANRMDSKLGRINVSIPIFLMFYTLSSGISASFYSLLTKCMPNKKYAPPVGIVTAMVISVSCCITAAKVETRRLDVIKDHGLLDKPNQRIPMSIFWLLPQFVLLAAVDGIANTSITSFFKHQAPKSMNKYFMYFTKGVLGLGTMASVLCVGIVGKVSEGYQKRNWFQATLNESRLDRYYWTLAALSSVNTVIYIIVASFYKYKESPDDGDDEAEGGEMKEEFQDDVKCCC >cds-PLY64134.1 pep primary_assembly:Lsat_Salinas_v7:1:2220199:2221190:1 gene:gene-LSAT_1X1761 transcript:rna-gnl|WGS:NBSK|LSAT_1X1761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDTGGDDMKMKNVKLNSGISSSSCCSISSTSTVREMVKLTKVKEITHELASKKHALSERKRRRRINCHYDSLRHFFPRILKSDKASVLAETVRHLKELKKMVADLAPSHHDGERRDKQSFFIPGEKDEMSVGYVASGNKTAVRAIVCCEDRPGLNQDLTEAIHSVRGKAVKAEMATIGGRTKAEVVLELREEEDVGLLRRALKAVVENRVLGRTGLISQGYTEPEFIGFGRRYVDGERPICDQIENGLADGLIVRSLEKNTSKE >cds-PLY65813.1 pep primary_assembly:Lsat_Salinas_v7:4:360874737:360876200:-1 gene:gene-LSAT_4X179201 transcript:rna-gnl|WGS:NBSK|LSAT_4X179201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATFMQPTKVGVSGRLQLKSSPSICKAFGVESSGARVSCSLQADLKDFAQKCTDAAKIAGFALATSALVVSGASAEGSPKRLTFDEIQSKTYMEVKGTGTANQCPTIDGGVTGFAFKSGKYNAKKFCLEPTSFTVKAEGVSKNSTPEFQKTKLMTRLTYTLDEIEGPLEVSPDGSIKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPESFSGEFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELSKENVKNVAASTGKITLSVTSSKPDTGEVIGVFESIQPSDTDLGAKVPKDVKIQGVWYAQLE >cds-PLY90064.1 pep primary_assembly:Lsat_Salinas_v7:6:17177966:17179920:-1 gene:gene-LSAT_6X7580 transcript:rna-gnl|WGS:NBSK|LSAT_6X7580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGKPKQEANAVTTLDKLNETLEMLEKKEKVLLKKAGAEVEKAKEFTRAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAQAMKAMQKAVNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPLHVPAGRQSTRPPPRHNTAEEDELAALQAEMAL >cds-PLY92695.1 pep primary_assembly:Lsat_Salinas_v7:8:109733600:109733971:1 gene:gene-LSAT_8X74641 transcript:rna-gnl|WGS:NBSK|LSAT_8X74641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSETGRVNLQKEIVVADIPNADTTTDQPIPDTGDQSDTDDYDGFLDLCFMPQADLPANPLNVVYPDSYFEGRFLKELIMTLILIMIYSILEGGRLPSQRGLTMLKLEVLLLVILQTPSFQEKQ >cds-PLY65839.1 pep primary_assembly:Lsat_Salinas_v7:1:192040554:192042059:-1 gene:gene-LSAT_1X121761 transcript:rna-gnl|WGS:NBSK|LSAT_1X121761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGMNLFGIFMLQLMAVALFSLHAEGQGLKVGFYKKSCPQAEVIVSKVISDVMAVAPSLSGPLLRMHFHDCFIRGCDGSVLLDSPTNQSEKFSPPNLSLRGFNIIDRVKLALEKACPNVVSCADIVALVARDVTVATKGPYWEVETGRRDGNVSLFIDPITPVTGLPSFTSNISVLKQSWALRGLNTKDLVVLSGGHTIGISHCSSFDSRLYNFTGKGDTDPTMDPNYIARLKLKCKPNDLTTFAELDPGSFKTFDDSYFKLVTKRRGLLQSDAALLDDPETKAYMIQATSEGSTFFKDFGVSMVNMGRIGALTGSQGEVRKVCTKSN >cds-PLY92492.1 pep primary_assembly:Lsat_Salinas_v7:2:152454120:152455874:1 gene:gene-LSAT_2X76221 transcript:rna-gnl|WGS:NBSK|LSAT_2X76221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTREKDRDRDRDLERLIPISTLRRSETIDGDSHSSSPAESPAPGASSSSPHHSGKEAIVKVIRSWASKKFMTGCVILFPIAITFYVTWWFIQFVDGIFSPIYYVLGINTFGFGFVTSIGFIFLVGVFMSSWLGSSLLSLGEFIIKKLPVMSYIYAASKQISSAISPGEEGSQAFKEVAIIRHPHDGEYAIGFITSTVMIRKNEGSEELCCVYVPTNHLYLGDMVLVNSKDVMKPDISVREGIEIVISGGMSIPETLTMVDFETTFPRSRKFVVPRV >cds-PLY94206.1 pep primary_assembly:Lsat_Salinas_v7:5:321997473:322000494:1 gene:gene-LSAT_5X178640 transcript:rna-gnl|WGS:NBSK|LSAT_5X178640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVSSAAVQAEQLKQDGNLYFKKNRLGAAIDAYTEAITLCPDVPVYWTNRALCHRKRNDWARVEEDCLKAVQLDHKSVKGHYMLGLALLQREKYAEGIKSLERALDHGRGAHPNSYMVEEIWQELAKAKYQEWERDSTKRSWELQNLQDSCEIALREKHVHEDAADSTSQQLADLKLVFAKAAEADIPTEVPDYLCCKITLDIFRDPVITPSGLTYERAVILDHLEKVGKFDPITRETLFPSQLVQNLAIKEAVGAFLEKHGWAYKMD >cds-PLY99766.1 pep primary_assembly:Lsat_Salinas_v7:9:53322140:53324521:1 gene:gene-LSAT_0X7481 transcript:rna-gnl|WGS:NBSK|LSAT_0X7481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFTHLLRSFTNTSSAPKGRAVHAMLIKSGVFPDVYTYNHLITMYCKTNRINDARLVFDKMPQRNLISWTTLISSYSHMGFSDEALDCFRSMVADGFTPNHYTYVSALSACANLGVLITGKEIHAMIIKLENKLNSFVENSLVNFYGKCGMLKNAMSIFNEILDPNEVSWTSLVSCACQCGEYLEGLKIFSKSHKAAVKINEFACATVLGASAAVENMNLGIQLHSYTIKCGIRMDQFVITGLVNMYAKCGQLEMALQAFHEVNNPHLTTYTALIQGFVQQGKGKEATNLFLKLHSSNHKPNERTFATILGAFIDTKEIKIGTQLHSLITKLGYISFTFVGNSLIDFYSKNALLQESLKIFQEMTQHDVVTWNTLISGHMNLNCHEEALQLLRDMLFKGFELNLYSYSSILNICGDLPAVEWGKQTHGCIVKHRFDSNVVVGSALIDMYAKCGRLSNARVIFDKFNSKNIVSWNTMINGYAHHGHGKESLEIYDMMLNCGVKPNSVTFIGVLSACGHSGLLEEGLHHFRCMKNYDLTPGTDHLACMVNLFSRKGQTKLALDFINSFDIKPDKVVWRCLLSGCRINKDFELGKYAAEKILSIDPDDVSAHIMLSNIYGELNMWHEIAKVRKVMKDKVLKKDTGYSWIEVKKKTYLFSSGQDGNFEAECVSEVLNVLNEQLFDEGYVPGGML >cds-PLY76714.1 pep primary_assembly:Lsat_Salinas_v7:3:140856180:140858641:1 gene:gene-LSAT_3X93941 transcript:rna-gnl|WGS:NBSK|LSAT_3X93941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAGMATNSKGQAWFCTTGLPSDVVVDVNGMTFHLHKFPLMAKSKKLHELITEQEAKFTPDTVTDERNSENEEKEEIQAAAAVAEDEELYCQLRFSDFPGGPETFEMAAMFCYAVKIELSSSNVASLRCAAEVLEMTEEFCEDNLISKTERFFSQTVLQSLRDSIETLKSCECLMPLVESLGIVEKCIDSIATKVSAMDPSLFSLPENEATPIAGGRNMGTTTRSTAGGDRWLDELTFVTSPLFIRLIITMKSQNLNHEIIENCLLLYAKKRIPGINRTNRKPLPSSKPSEIEQKELLETIITNLPEQNCTRSSTTVKLFFGMLRTSNILNASDDCKSILEKKIGSQFEHATLDDLLMPSYSYLSETLYDVDCVQRILAQFLDSSEDKTSALMLVGKLIDGYLSEIALDANLKPDKFFELAVALPEQARLYDDGLYRSVDVYIKAHPWITESDREKVSGVLDCRKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRHAIAGTLMSADMAMALTHSDSDKSPTTTRHGVTEHDETVVTTGDDDDDDVTWRAAVRENQVLRLGMDTMRTRVHELERECTTMKKAIEKIDKVGPDGWRKKFGCKFKTQVCDSHEQTVVETRKGRRTHRTHHHHSSR >cds-PLY96333.1 pep primary_assembly:Lsat_Salinas_v7:5:192560065:192560685:-1 gene:gene-LSAT_5X86201 transcript:rna-gnl|WGS:NBSK|LSAT_5X86201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFLNLNLPENDISSSSSSSSTLTISHQRVFSCHYCRRKFYSSQALGGHQNAHRIERNIAKKSRTELTSAVIPRTGYTQPTSRSGSSVLNSRDVQPPVMIGLNHQAENVGWFAASEMGRGVDYSYKSESVEDDFNQLDLSLRL >cds-PLY99890.1 pep primary_assembly:Lsat_Salinas_v7:4:46394578:46397047:1 gene:gene-LSAT_4X31700 transcript:rna-gnl|WGS:NBSK|LSAT_4X31700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDYKTVTGWIRSFVADYGVSLMVVVWTAISNAKPAGLPHEVPKRLFCPLPWEVASLSHWTVIKVPVVHVFTAIVPAMMIAALYFFDHSVAAQMAQQKEFNLQNPSAYHYDVFLLGIMSLMCGLIGVPPSNGILPQSPMHTRILSVLKRQMMQKKMVKCAKEGIKSEASFCYSCYPNDSHFSSLGLLRLHNVGVASCIICGIEDELQKFSAALDEEPGRRNSVRYRDVFKIKEIRLAFVAGAGLQVTRIIGAGVH >cds-PLY68072.1 pep primary_assembly:Lsat_Salinas_v7:5:293267992:293270917:1 gene:gene-LSAT_7X61860 transcript:rna-gnl|WGS:NBSK|LSAT_7X61860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSNRLPLASIGDEDRDSEELSSSTPSTQSETYMVGFIVANIVGLQYYSGRISGREMVGLVREPLNRFDSNAIKVVNTRTIQVGHIEKKVASVLAPLIDCNLIHVEGIVPKTPKNAAAYKIPCHIHVFSKIEAFEIVKSALGLFLFSPDDPSFSMSESMVVKEKKKGDLDEIFKLVDDNSSKNVVNGVMEPPEDFILPDLFLHQKEGLYWLHHRENSDELPPFWEKREEGFVNVLTNYQSDIRPEPLRGGIFADDMGLGKTLTLLSLIALDKCNDVNVNEELEDEVLVVPSGKRSRKAKVNKTLRPSKKQKKEENIGEIGSKMTLIVCPPSVFSTWITQLTEHTKRGRLKAYMYYGDRTQDILELQKYDIVLTTYSTLGSELNNMNTPIKKIAWWRVILDEAHVIKNHKANQSVAVTMLNAKRRWVVTGTPIQNGSMDLYSLMAFLKFDPFSIKSYWDRLVQRPIAQGNAKGLSRLQALMATISLRRTKEKTEIGLPPKTIHTCNVELSVDERKLYDEMESEAKSFVEKYIANGSVTHNYSTVLSIILRLRQICTHTELCPEDVRASLSSYNFEDASNNPELLKKLVILLQDSEDIDCPICLSPPSELIITSCAHIFCQNCIIRTLKHSKSLCPLCRHPLSESDLFSPPPEVNKLPSSSSSSSSSSSKVTALLKLLEASRNENPCIKSVVFSQFRKLLLLLEEPLKAAGFKTVRLDGVMSGKKRADVIKEFGDSDGCGPTVLLASLKASGTGINLTAASRVYLMEPWWNPAVEEQAMDRVHRIGQKQDVKVVKMIAKDSIDERILLLQEKKQKLAKEAFRKKGKEEKRDVNLDDLRTLMSL >cds-PLY62343.1 pep primary_assembly:Lsat_Salinas_v7:4:86665270:86675739:1 gene:gene-LSAT_4X58461 transcript:rna-gnl|WGS:NBSK|LSAT_4X58461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILFFRFSISTDSITLTQPVKDGDVLVSGGESFALGFFSPRNSSNRYVGIWYNKVSEQTVVWVANRDHPITNSTGILSLDETGNLVLRESTQPFVFWSTNVSGVENNNDVSAQLLDSGNLVLFQGQNREVYSWQSFDHPSNTILPGLKFGIDKKTGMNRMATSWESSGNPGIGEYSFKIETSESTQLFLYKGTTAVWRGGSWTGHGWSGVPEMTQNYLFNVTYTDNNDEVALVYLIRNSSIFSRLVLNESGKVERSTWHDADRRWIVFWSAPKDECDGYNHCGPFGLCDPYKSGTFECDCLPGYEPRSPQDWYLRDASGGCKRKVGTEVCKEGEGFVELARVKVPDTSTARVNMSLGLEACKGLCLRNCTCMGYAVADISGGGRGCVTWYGEMIDTRTYSDGGQSFFIRVDAEELAKYSTNKPKSSHQNPFVIIGLPVIAAGLIISMLICCYIRKKKANQSKREIRLGFSDSLKSMEGSFMEKDFGENVDLHVFDLSTIVAATDNFSFSNKLGEGGFGSVYKGKLLNGQEIAVKRLSQGSGQGMHEFTNEVTLIAKLQHRNLVRLLGYCFHKEEKMLIYEYLPNKGLDSFIFDQEKGCLLDWKKRFLIIRGIVRGLLYLHQDSRLRIIHRDLKASNVLLDADLNPKISDFGMAKIFGSDQDQAITRRVVGTYGYMSPEYAMEGLFSVKSDVYSFGILVLEIISGRKNNSYYLENSVNLVGHVWDLWKQDKALTVVDSSLGDAYDAREILLCIHVGILCVQESATDRPTMTDVAFMLSNRETQLPTPNQPAYIFRQLNYGTDSTTASASCGDGSVYDRSITTVYAR >cds-PLY94194.1 pep primary_assembly:Lsat_Salinas_v7:5:322824408:322826611:-1 gene:gene-LSAT_5X178981 transcript:rna-gnl|WGS:NBSK|LSAT_5X178981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLANVIQISAITGISILVLLIAATLVSAKSGDVTELQIGVKHRPASCEIQAHKGDKIKVHYRGKLTDGSVFDSSFERGTPFDFELGSGQVIKGWDQGLLGMCVGEKRKLKIPAKMGYGDRGSPPKIPGGATLIFDTELIAVNGKGAAGVGGDDNSEL >cds-PLY90648.1 pep primary_assembly:Lsat_Salinas_v7:6:52772060:52772509:-1 gene:gene-LSAT_6X39200 transcript:rna-gnl|WGS:NBSK|LSAT_6X39200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKIEATKVVLEKQTLKEILKNLKEVKTGQKGNVSSRSGKGQLNIISEPGDDLNLNLSKKELKERENRYKEIDAFNALITNIEAKEAEEKKVAKDVVKYEPIVHFSRMMLQAYIIEITKMDVDIATVLTRKPILKPFPFPNDVEKSLVS >cds-PLY93674.1 pep primary_assembly:Lsat_Salinas_v7:2:200706182:200707118:-1 gene:gene-LSAT_2X121461 transcript:rna-gnl|WGS:NBSK|LSAT_2X121461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDITLIKDIDSIKEDFTIKVLIICLWTQMSKFDANDTYSIETIMMHEEGRKIHASCLKKWFSRFVRYLKEDTSLYIRKLNVAPNTLSFNFGDPDSKLTLNPYTTVKECENFYGSPHGFPFVDFNIIGHVFEYGRIDTSEQDRSKHKMLMHLQDIEDTKLKVTLWSHNAYYMHDFLVNNNTFAPIVVIVQFAKVKFINGL >cds-PLY88733.1 pep primary_assembly:Lsat_Salinas_v7:7:193930424:193933670:-1 gene:gene-LSAT_7X114160 transcript:rna-gnl|WGS:NBSK|LSAT_7X114160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEILLKSLANAGVAIPREALSVGDLTPEALVSICAHSLRIISSSESFPITLPDSMVDRFKICTDLASSVKDLGYIGDMSFHKFLYPSEEDMYRLIRFLVGKLSESSTTAVLLNTKDNNAKAMINDEKSERSSNDEEQDLHTKFEGVKLRTEEAVSNSIQYPEEDFGAHDLLKAAVEMACDEQHIDSHITQLNEQIDARKNNLAKLESKWKAIIKPLEDKKRNLEEALCANQPESQDLESILFETKQREEEISKVSREVEKVAKLATRRSYIERIKEITKNSRKQEIDIERILKETRELQLESNIIQERLHRTYAVVDETLLREAKKDQVGEEAHILVTGIHESFEEISEKILATDRARREMTDLETKLSSIASRTLNIDKLQADLDALRKENNYLQSLCISPSLQ >cds-PLY81020.1 pep primary_assembly:Lsat_Salinas_v7:9:174894245:174896711:-1 gene:gene-LSAT_9X106260 transcript:rna-gnl|WGS:NBSK|LSAT_9X106260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKGLLSPTTSLRTRAIAASASLTKPLSTYAVKSLQTPSTPQESGHIDTFLIEKILMRLKQGKLNSSKDYLFQLTPSSFLDVIYHCRDNPQLGQKFLDTVASNHPKFRHSSISLSAIVHVLSRNRRLSDAQALLLRMIRKSGVSRTMIVDCLISTYEKCGSNPIVFDLLIRTYVQARKLREGVEAFKLVTNRGISVPINGCNTVLGGLVKIGWFDLAWEVYNDVVSKGVVQLNIYTMNIMVNAICKDHNFKKVKPFLIEFEAKGISPDIVTYNTLINGYSRVGLLDEAFELMTSMQSKGLKPGLYTYNSILNGVCKTRGLERAKEIVKEMVHNGLNPDSTTYNTLLIECCKKGNSMEEAESIFQEILNQNVGPDILTYTSLIGLFSRSGNLNRALFYFKNMKDSGLTPDNVIYTILINGFCKHGVMSEAIKIRDEMIDRGCVLDVVTYNSLLNGLCKSKMLQEADKLFDEMVERRVYPDFYTFATLINGYSKEGNMKKAVNLFESMIQRNINPDIVTYNTLIDGFCKEGEMEKAKDLWNAMICKNIFPNDITYSILINGFCNTGHVNEALRIWDEMVANGIGPTIVTCNTIVKGYCRAGLVKEAGLFFNRMGFNNVSADSVTYNTLIHGYLKENDMDKAFDLVNGMESQRIFPDVVTYNVILDGFCRNGRMDEAVMVYGKMIEKGLKPDRSTYVLMINGYVSKENMKEAFRLHDQMLERGFAPDDKF >cds-PLY72674.1 pep primary_assembly:Lsat_Salinas_v7:6:28576446:28577643:-1 gene:gene-LSAT_6X22200 transcript:rna-gnl|WGS:NBSK|LSAT_6X22200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEARLFWFLKIVGVGFKARAKLERRLLFLKLGYSHEVELSVPPAVRVFCFKPNIVCCTRIDKQRVHQFAAAVRSCKPPEVYKGKKDNVILNHYRLDHIVLEMLQSKFHSVSVSFSYSI >cds-PLY80097.1 pep primary_assembly:Lsat_Salinas_v7:5:148497269:148498218:-1 gene:gene-LSAT_5X65981 transcript:rna-gnl|WGS:NBSK|LSAT_5X65981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTESIKIIQQALEGILGGPYENLEICRFDRVKDIFNYQFISKKPSATFELSKQELYARVEAPKGELGIFLIGDKGVFPWRYKIHPPGFINLQILPKLVKIMKFTDIMTILGSIDIIMGEVDLQAINSFSILETLKEVYGIIWMLIPIFTLLLGITIGVLVIVWLEREISAGIQQRIGPEYARPLGTLQALADGTKLLFKENLLPSRGDTRLFSNGPSIAVISILLSYLLSPCTSRSQYRIAPVGLLMSGYGSNNKYSFLGGLRVAAQSISYEIPLTLCVLSISLRVIC >cds-PLY99357.1 pep primary_assembly:Lsat_Salinas_v7:1:81194993:81200527:1 gene:gene-LSAT_1X67421 transcript:rna-gnl|WGS:NBSK|LSAT_1X67421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPQVQQTQLAAILGADPAPFETLISHLMSSSNEQRSQAELLFNLCKQTDPNTLVLKLAQLLQLSPHMEARAMSAILLRKQLTQDDSLMWNMLSPATQASLKSVLVTCVQNEEAKTIMKKLCDTISELGASILPENGWPELLPFMFQCVSSDSPKLQESAFLIFAQLSQYVGDTLVPHIKHLHGVFLQCLTTSASSDVRIAALSAVINFIQCLSSSGDRDRFQDLLPAMMRTLTEALNGGQEATAQEALELLIELAGTEPRFLRRQLVEVVGSMLQIAEAETLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFISRLFAILMQMLLDIEDEPAWHSAENEDEDAGESSNYSVGQECLDRLAIALGGNTIVPVASEQLPAYLAAPEWQKHHAALVALAQIAEGCSKVMIKNLEQVVTMVLNSFQDPHPRVRWSAINAIGQLSTDLGPDLQVQYHQRVLPALASAMDDFQNPRVQAHAASAVLNFSENCTPDILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKAILVNANDKANRMLRAKAMECISLVGMAVGKEKFRDDAKQVMEVLMSLQGTQMENDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVIITSADSDDEIESDDESIETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFYPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAIEKGLAQGRDGSYIKQLSDYIIPALVEALHKEPDTEICANMLDALNECLQISGQVLDENQVRSIVDEIKQVITASSSRKKERAERTNAEDFDAEEGELLKEENEQEEEVFDQVGEILGTLVKTFKASFLPFFDELSSYIMPMWGKDKTTEERRIAICIFDDVAEQCREAALKYYDTYVPFLLDACNDENPDIRQAAVYGLGVCAEHGGSVIKPLVGEVLSRLNFVIRHPNALQPDNIMAYDNAVSALGKVCQFHRDTIDSAQVIPAWLSCLPIKGDLIEAKVVHDLLCSMVERSDVEILGPNNQYLPKIVSIFAEILCGGKELASEQTVSRIINLLRQLQQTLPPATLASTWSTLQPQQQLALQSILSS >cds-PLY96265.1 pep primary_assembly:Lsat_Salinas_v7:6:157172444:157173089:-1 gene:gene-LSAT_6X96141 transcript:rna-gnl|WGS:NBSK|LSAT_6X96141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQKMTMKINIKKSSTIPPSEPIAGRLDRDEINKIMINCNIEGVLFIEAELDSTLDTFGEFTVGDEERVLMVTFSGACCLEDTFASKGYFLCKFLSVEVEREIMMVVVDGDIRLQMAV >cds-PLY81062.1 pep primary_assembly:Lsat_Salinas_v7:6:130604981:130608907:-1 gene:gene-LSAT_6X79560 transcript:rna-gnl|WGS:NBSK|LSAT_6X79560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFPMVLRAPTTNPTPTTSAYCCSRGSVWLPERLKKRRYVSLKRAISVRATVERNGGEGIDTGERKSAVAGGGGSVGASYTSSAMEVTTFNQTFPDAEFPVWDKIGAVVRLSYGIGIYGAMAFVGNFICSTTGIDSTGGYSPSLDAIVQGLGYAAPPIMALLFILDDEVVKVSPHARAIRDVEDEELRSFFYGMSPWQFILIVAASSVGEELFYRAAVQGALADVFLRGNDLVTNAHGMAALTGVLPPFVPFAQAFAAVITAALTGSLYYVAASPKDPTYVVAPVLSSRSGRQEMKKLFAAWYERRQMKKIYSPLLEGLLALYLGFEWNQTNNILAPIITHGIYSATILGHGLWKIHDHRRRLRQRVQQLNLEDKNSRNF >cds-PLY81584.1 pep primary_assembly:Lsat_Salinas_v7:1:133915319:133918927:-1 gene:gene-LSAT_1X100681 transcript:rna-gnl|WGS:NBSK|LSAT_1X100681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQISYREITRILATLGQFGKYMNLKVWTKKNTTLRRPTLTFPLLLLGHPILFLLPLHLRSATNRPLPPPTTTTAPPPSPRQQITPAPPKSSMLHTFSLPLPVENSMLQVKLLTSLVAIGTHDLDTIEGRFTYEALPKSKIEFKPLKQDLPDQLIDWNHINFLQGNQITGGIPKEYGNLSTLTMILSQNNLEGPIPESLSLKIAFYLDEICFNWEEIKGRNCNWWSSCPSSGWVDTKATNVRSLLMLQTCIVSACNNQWKLCFHCGCVHYIVIKIVKF >cds-PLY92605.1 pep primary_assembly:Lsat_Salinas_v7:7:163343960:163344606:-1 gene:gene-LSAT_7X96081 transcript:rna-gnl|WGS:NBSK|LSAT_7X96081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSSGEDGSLVVDYFTFSSSIADYLTSASLVISHAGSGSIFETLRLRKPLIVVVNEDLMDNHQSELAEELAERKHLFCAHPQTLYQVIQSLELESIIPYHPGDATPVAKLINRHLGFPAD >cds-PLY68632.1 pep primary_assembly:Lsat_Salinas_v7:7:132242802:132247961:1 gene:gene-LSAT_7X79760 transcript:rna-gnl|WGS:NBSK|LSAT_7X79760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKDPCVNSFTCHDLESVVKELLQNHWLYASDIGIRSKETQNHILSSPGGKMNDSSSYILPIILLGDVEPVDQKKYLEDSSKPKCVRPLIEYQACVKRVEGDQSGEKHCTGQYFDYWHCIDRAGCVKRVEADESGHKHCTGQYFDYWQCVDKCVSFPFCPFFIT >cds-PLY95061.1 pep primary_assembly:Lsat_Salinas_v7:5:227751899:227755288:-1 gene:gene-LSAT_5X108021 transcript:rna-gnl|WGS:NBSK|LSAT_5X108021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKSRPRTLSVYLYIPNIIGYIRVLMNCFAFAICFSNKELFSILYFISFVCDALDGWFARKFNQVSTFGAVLDMVTDRISTACLLAILSQVYRPSFVFLSLLALDIGSHWLQMYSTFLVGKSNHKDVKDSTSWLFKLYYGNRMFMGYCCIACEVLYITLFLLAKESEKMTDVLLGAAQQSLINSVLLAFLVFGWATKQLVNIIQMKTAADLCVLYDLNKKHNA >cds-PLY71619.1 pep primary_assembly:Lsat_Salinas_v7:9:136460549:136462248:1 gene:gene-LSAT_9X88040 transcript:rna-gnl|WGS:NBSK|LSAT_9X88040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAENAITVYNQPEAQTEQEKLKYLTFVQEAAKHAAPYASKAYDYAKENSGSLKPGVEAIEGTLKTVISPACDTFHNVPGEVLKFVDRKVDESVAKAMSTNVAKDIKNHGVVETASGLAKSAYTKLEPSAKELLVKYEPVAEQQAASAWHSLNKMPLFRSVAKVVIPAAAFLSEKYNETVQQTAEDGYQVSSYLPLVPTEKIAKVFKSPEQEAEFEPEPVVHGGEEGAVVAH >cds-PLY89926.1 pep primary_assembly:Lsat_Salinas_v7:8:68577431:68580984:1 gene:gene-LSAT_8X48780 transcript:rna-gnl|WGS:NBSK|LSAT_8X48780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSEGSSGHSAGDAPVPAPAVGKKRDKAKVSRTSLILWHAHQNDPAAVRKLLEEDQSLVQARDYDNRTPLHVASLHGWIEVAKCLIEYGADVNAQDRWKNTPLADAEGARKHNMIELLKSYGGLSYGQNGSHFEPRPVPPPLPNKCDWEIDPVELDFTNSHMIGKGSFGEIVRASWRGTPVAVKRILPSLSDDKLVIQDFRHEVNLLVKLRHPNIVQFLGAVTAKKPLMLITEYLRGGDLHQCLKEKGALSPTTAINFALDIARGMAYLHNEPNVIVHRDLKPRNVLLVNTNADHLKVGDFGLSKLIRVQNSHDIYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILFEMIEGEPPLSNFEAYEAAKYVAEGNRPAFRAKGYTPELKELIENCWAADMNKRPSFLEILKKLEKIKETIHPDHHWHLFN >cds-PLY80919.1 pep primary_assembly:Lsat_Salinas_v7:8:133913018:133914820:-1 gene:gene-LSAT_8X93200 transcript:rna-gnl|WGS:NBSK|LSAT_8X93200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVARKLVLGFFLCLLGTTALATTRSPRLGGPEFCNLQRLSATQPAQRLEFEGGSIETWDVNDEQFQCVGVAPMRKTIQPNALFLPSFHPFPRIVFIEEGEGIIGVHFPGCAETFDTGVVGQQQQEWPRKMGQQGQEEQQVFDMSTDSHQKVRRFRRGDIIAIPAGAVYWTYNDGNQEVVSISINDVNNPANQLDMQPRSFFLAGGSTSSQSVQGQRHPTRTQQQQGGSFDFLNIFAGFDTELLAEAFNTDPEIVRSMQEPGNRGFIVKVQQPMQFVTPDEEQERQSPFERRQGGGAANGLEETICSAKLLYNLDNQREADIFSRQAGKLNTVNEHKLPILSLLDLSAEKGHLQQNALFTPHWPINSHVVVYVLRGDAHVQIVSNNGEAVFDEQVNKGDIFVVPQFFAAVSRAGQNGFEWVAFKTNKSPMKSPLAGYTSVFRAMPLEVITASYDVSPTQAQNLKTNRETESMLLSPQRTTQRS >cds-PLY77119.1 pep primary_assembly:Lsat_Salinas_v7:7:183599776:183601598:-1 gene:gene-LSAT_7X109080 transcript:rna-gnl|WGS:NBSK|LSAT_7X109080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CHL [Source:Projected from Arabidopsis thaliana (AT3G47860) UniProtKB/TrEMBL;Acc:A0A178VKZ0] MACHLSTIATGKSPVLLLPPHSPPIARKLPANTRFKCCIKSPVTISIKDATTHLVSALASSLLLLSSTNQVLATELSHNNLCQISSTTSNMPTLPLDEGSNLMMMRGMTARNFDPVRYAGRWYEVASLKRGFAGQGQEDCHCTQGVYTIDMATPAIQVDTFCVHGGPDGYITGIRGRVQCVSDEDFEKTQTDLEKQEMIKEKCYLRFPTLPFIPKEPYDVIDTDYDNFALVSGAKDKSFIQIYSRTPNPGEKFIEKYKLVLADFGYDASKIKDTPQDCEVSDSKLAAMMSMNGMQQALTNQFPDLELKSSVEFNPFTSVFDTFKKLVPLYFK >cds-PLY87836.1 pep primary_assembly:Lsat_Salinas_v7:2:65622835:65628484:-1 gene:gene-LSAT_0X37320 transcript:rna-gnl|WGS:NBSK|LSAT_0X37320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDCSSIGNLLNLEVLSVANSAIEFLPPTIGNLKKLRLMDLTNCVGLRIGNGVFRNLVKLEELYMRVDDRDSFFLRVDDRKEINFMGDNYNEMEERLEHVSILEFEFFQNTSQPKNISFENLEQFKISVGRSLKGAFRKRKHSSQNTLKLAIKKEELLGTRLNELFEKTEELCLSVHDMNDLEDIDVKSSLHPSRFSSFHNLRVLVISECVKLKHLFTLGVAKDLKNLEHLEVYSCNNMEVLIHSESSGAETIIFPKLKFLSLICLPNLSGFSSNVNIIQLPQLVELGLATIPKFTSIFPKNNSETSCFLREEVVIPNLEKLHIRHMKNLKEIWPCELSIIEKINVSLLRTIEVTDCDNLVNLFPCNPLPLLSKLEDLQLQRCGSIKVLFNINMDCDGGIGESKCSLRSIQANQLGNLRELWSIKGVNNLSKRHLLIDGFHRVEYIEITTCKSFRNIFTPVTTNFDLRELKGIWINNDGETRRNNEVMKSIKQEQENIKSNEGASKVGPCISNIYSFPNLRQLKLWNFKEVEVVFEIEPIESVTTDDNEQLLPYLEELDIRHMDNMSHVWKCNWNNFLILQQQESFHNLTTIHMSSCKSIKYLFSPLMAKLLSNLKNLKIAICDDIEEVVSNRDDKGKENTRSTHTSATLFPVLGFLTLACLRNLNHIGGGGSQRTKDQSKVDGVSWSLCQFSREITIVDCDALSSVIPSYAVGKMQKLQILKIESCNSMTEVFETHVDEGNGAIKKFNNVTILRLPNLKILEIKDCDLVEHIFTFSSLESLGQLEELIVENCNGMDVIAREENGENPTSNIVVFPRLKSISLVNLPYLAGFFLGINEFLWPILDNVTIRDCPKMTMFTRGWSTAPKLKYMQTSLGEDTKQCNLNFHQSERSTSSSPGTSKDIPSSFLNDTKIHLEDNGTIRKIIPYNALQQIQKLEEIHVWNCKLVKEVFEALEGKTSGSDDSQTVVTLPNLTKVELVFLQNLRYIWKSNRWITFEFPKLTRVSVSHCHKLEHIFTSSMIGSLLQLQELHISCCNKLEVVVKEENVIVKEEEDISQGKMNEIMLPHLKSLILDELPCLKGFCLGNKDFSLPLLNTFRIERCGAIRIFTKGNSATPELKEMETSVGSLYAGEGINSFIKNKE >cds-PLY70796.1 pep primary_assembly:Lsat_Salinas_v7:7:113344724:113345867:1 gene:gene-LSAT_7X70641 transcript:rna-gnl|WGS:NBSK|LSAT_7X70641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRQFSIIQWETLALLLIGISINQMQALPEGSSRMGVPLEMSSYIYIFIFVTVPSLASVFNEYALKSQYDTSIYLQAIHRLDSDATQPLLPT >cds-PLY95690.1 pep primary_assembly:Lsat_Salinas_v7:2:111470280:111473742:-1 gene:gene-LSAT_2X50821 transcript:rna-gnl|WGS:NBSK|LSAT_2X50821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQMATDQSLQLVGTKRPKTKIVCTLGPASRSVPMVEKLLKAGMNVARFNFSHGSFEYHQETLDNLRQAMDNTGILCAVMLDTKGPEIRTGFLKDGKPIQLKQGQEITISTDYTIKGDEKTICMSYKKLAHDVKPQSVILCADGTISFTVLSCDIENGLVRCRCENTAVLGERKNVNLPGVIVDLPTLTEKDKEDIMKWGVPNKIDMIALSFVRKGSDLVEVRKLLGEHAKNILLMSKVENQEGVANFDDILLNSDAFMVARGDLGMEIPIEKIFLAQKVMIYKSNLQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICIEAENTIDYKDLFKRIAANVPIPMSPLESLASSAVRTAIASKATLILVLTRGGTTAKLVAKYRPGIPILSVVVPEIQTDSFDWSCSDESPARHSLIFRGLIPVLIAGSARASHDESTEEAIEFALKHAKEKGLCQDGDAVVALHRVGTASIIKIVTVK >cds-PLY93521.1 pep primary_assembly:Lsat_Salinas_v7:1:110383073:110386385:-1 gene:gene-LSAT_1X86101 transcript:rna-gnl|WGS:NBSK|LSAT_1X86101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSVIEEGSSSSSSIEDNKYEYDVFLSFRGHDTRSSFTNHLNHALTRANISTCMDDEEYHKTGDLKQEWLRVIKASRASVIVLSQNYARSTWCLNELVLILEQRMTSNHIVIPIFYHVRPIHVRKLQGSFGDAMAMHKKAVEAETSEEKRSQWAQKIDVWIKALQEVTDLKGMNVRDWRETEFIDEIVKEIYCRLGLSSRSSLRQLIGMDYSVNLVTSWLKDASSHTTDILTILGIGGIGKTTLAKYVYELHYSEFDTCSFIEDISRRCDEHFNGLVDLQKQLYDGISKTSPIQVHDVSMYTSKIETVLASRKVFLVLDDIDNLMQLNALLGSKVFLPGSKVIITTKDAWLTERCALFKSNIKPRHIKHKIEGLSDIKVSEKTEMHNQNSHPLDPQGLNEPLLRYPEMTQPNHSKIPLDAVKSCTQNFNERNFIGKGGYGRVYKGFLSWGNHVDQLVAVKRLDVTGFQGNKEFHTELTMLSQYQHNNIIRLIGFCDDNKEMILVYEYASHRSLDIYLCNSALSNGLSWTQLLKICIGIASALDYLHNHVAEKHRIIHRDIKCANILLDENWNAKLADFGLARIGLANQKNTIVITNPAGTPGYCDPQYERTGFLTKESDVYSFGVVLFEVLCGRLACVLDYHDERRFLHHLARTCYKDGELDKIIDHRIRNDIRPKTLLKFSAIAYQCLQETREERPTIAEVAFQLKEAMKMQFEVEMLQDVLPPTLPQSWL >cds-PLY80940.1 pep primary_assembly:Lsat_Salinas_v7:8:256078496:256087964:-1 gene:gene-LSAT_8X150280 transcript:rna-gnl|WGS:NBSK|LSAT_8X150280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSASLTYASALGGSLYRSTTPEPQIVARLTNPCIPAAGGRVNSAPSEHSDLATGMSLSADGLTNQENEIDDQNTHIKHPYLTNKEGWKKEAKVNIDISNNRFSSDCPTKNSTPKKEVTHCSLFDWDWFEDELWAFQGGKDGVLPCVWENELPSFPQPETISKMLVNQMLLCFGVMCLTETLDEMVSSGILGPELAIQVLVQFDKSMAEALDNQFKTNVSIKKTAATLCLTTVKNEDEMEFIRILEAIKANFNDKYDEYRKKWGVGIIGSKSQAKTKAKERVIAKEVAQRLT >cds-PLY79431.1 pep primary_assembly:Lsat_Salinas_v7:3:78525469:78526897:-1 gene:gene-LSAT_3X58701 transcript:rna-gnl|WGS:NBSK|LSAT_3X58701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYNITGGIPPQFGNLTQLQRLDLSFNHLIGEIPKEFRKMNSILKLSLVDNHFSGIIPLEIGSCELLEVLDLSTNRLNGSIPKSISQCEHIHYLNLSNNMMSEKIPSEIGKVVQLTELDLSHNFLTKEIPSEAQSLKNPQKLNLSHNRLYGSIPNTFTILPCGIDINLSFNELLGPVPPCANFINASIQGNPDIDLAYTIVATEKCDVYSFGIVALEVIMGKLHGELPTLSADYLVLANVGDSRISLPSPQVEKQVNLVLNLSRACLNSNPHGRPTMRQVSNLLMKA >cds-PLY86921.1 pep primary_assembly:Lsat_Salinas_v7:5:263149739:263152649:1 gene:gene-LSAT_5X134901 transcript:rna-gnl|WGS:NBSK|LSAT_5X134901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPLFSSIFGGEPRRKLYKSSHPLALSFASVSADHDCIRPNLQLKSGDRLYKHKQHMPNQKGVNVEKGKKGNFIFSVQESSYFPIALTWKGSSQEYQNGNVESQQSTIVFPKGNPISHAGTLIVDVKYANIGELQAPPKISTYTIGPFQTTKGERAKVKVKSHLNLHGNVSVESAHVIEEDEVEVPVTKETSKFDFDKAPTKINVEAPKKKVKMSNVPLSKVVYGGMLPADVQKAVEKEFECNAQNHQVKPLH >cds-PLY91354.1 pep primary_assembly:Lsat_Salinas_v7:8:47475297:47477425:1 gene:gene-LSAT_8X35340 transcript:rna-gnl|WGS:NBSK|LSAT_8X35340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAVAHRHFLDGGAAASSFPLSHFKPTPSTASPFSVRMSLREDAPSLAVVGVTGAVGQEFLSVLSDRSFPYRSIKMLASKRSAGKKYTFEDNEYVVEELTADSFKDVDIALFSAGGSISKEFGPIAANCGSVVVDNSSAFRMDDGVPLVIPEVNPDAMAHIKLGSGKGALIANPNCSTIICLMAATPLHRRAKVLRMVVSTYQAASGAGAAAMEELELQTREVLEGKRPTCKIFKQQYAFNLFSHNAPVLPNGYNEEEMKLVKETRKIWNDKDIKVTATCIRVPVMRAHAESVNLQFASPLDENEARDILKKAPGVVVIDDRASNHFPTPLEVSNKDDVAVGRIRRDESQDGNYGLDIFVCGDQIRKGAALNAVQIAELLL >cds-PLY81902.1 pep primary_assembly:Lsat_Salinas_v7:8:122725147:122726164:-1 gene:gene-LSAT_8X83700 transcript:rna-gnl|WGS:NBSK|LSAT_8X83700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYYKVLGLSSNATKDEIKEAFRNIAKKFHPDKHSASPKSVRDGATVRFKQASEAYEVLVDDHKRADYNLRSNRSNQGGPSGSGYGYGYGYTYDSRKNRSSSYDHYSTKASVSRFQIALGYINSRAFLLNVAFAGAILSGLAVVEIGRDAIWKMQNPGVCYLSFVTYYVSSVCIPKIYSSEVKIILL >cds-PLY75356.1 pep primary_assembly:Lsat_Salinas_v7:6:178517023:178520013:-1 gene:gene-LSAT_6X108381 transcript:rna-gnl|WGS:NBSK|LSAT_6X108381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:XF1 [Source:Projected from Arabidopsis thaliana (AT1G58440) UniProtKB/TrEMBL;Acc:A0A178W2I2] MADRLMLASVFVSFVGVILFYVSYLIKNGRPHKSASITTKSTSISTECRSGDETDVIIVGAGVAGAALALTLAKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLMELGLEDCVENIDAQRVFGYALFKDGKNTRLSYPLEKFHADVAGRSFHNGRFIQRMREKASSLPTIRLEQGTVVSLLEEEGTIKGALYKTKSGKEMKAYAPLTIVCDGCFSNLRRSLCNPQVDVPSCFVGLVLENCQLPYENHGHVVLADPSPILFYRISSTEIRCLVDVPGQKVPSISNGDLSKYLKNVVAPQIPEELKDGFIAAVEKGNIRTMPNRSMPAAPQPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLRDLHDSYTLCKYLESFYTLRKPVASTINTLAGALYKVFCASPDKARQEMREACFDYLSLGGVFSNGPVALLSGLNPRPMSLVIHFFAVAVYGVGRLLLPFPSLKRMWIGARLISGASGIIFPIIKAEGVRQMFFPATVAAYYRAPPPQ >cds-PLY67201.1 pep primary_assembly:Lsat_Salinas_v7:6:136688403:136689308:1 gene:gene-LSAT_6X82280 transcript:rna-gnl|WGS:NBSK|LSAT_6X82280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ENODL8 [Source:Projected from Arabidopsis thaliana (AT1G64640) UniProtKB/TrEMBL;Acc:A0A178WAZ0] MAVLGRQMCHRLSFSILFFVLIQSNVIAYQFQVGNLQAWNIPTSTDPKVYTNWPKKLNFKIGDSLLFLYPPSEDSVIQVTKESYDTCNLKDPILYMNNGNSLFNITSPGVFYFTSGVSGHCEKSQKLQISVFAADGSLPLPPSSAPGGALADSAPSYPTVFGGIPSGPAGKSSSSSSTVEVSVLITAGIGVLIWGLVGGKM >cds-PLY73854.1 pep primary_assembly:Lsat_Salinas_v7:4:206672456:206672893:-1 gene:gene-LSAT_4X116740 transcript:rna-gnl|WGS:NBSK|LSAT_4X116740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTVYLPFDDRNLALIYQKIYKGDVRMHKSMTPRAQSLIKRILDPNPKTRITMADIKVDEWFKQDYTPAKPNEDEVLSIQENAVENQELPTHVNAF >cds-PLY74327.1 pep primary_assembly:Lsat_Salinas_v7:9:187869034:187871395:1 gene:gene-LSAT_9X115041 transcript:rna-gnl|WGS:NBSK|LSAT_9X115041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTCFLFLLSVTPYAASHSFDFPNITPHENQKIKTSGCGAYISDQGIQVTPENNRHLDNRTAGWATYITPLHLWDKKSKELASFSTHFSFVIDSNNNTDYGDGLTFFLAQNKSMIESDLENYYGGGDIGLPFNSTTNLSTVPFVAVEFDTYYNPSWDATPLDHVGININNLSSVRYGYWWSNITYGKECRASINYDSVSKNLSVSYTNSRDDIDELYYAIDLREVLPEFVIFWFSAATGASFEKNNVRSWTFNSSILHIDKRIDIPPTISPQKIYQNNTLPPSISPDSTKEKKRTGYIVELIAGASVVLALLVIVTIVCWKMRKNMGDEVDEFGSGLEMNNEFTMGVGLRRFSYRELARSTGGFSEKQKLGEGGFGEVYKGFLDELKIYVAVKRVSKNSKQGIKEYAAEVKIISRLRHKNLVQLTGWCHEKGELLLAYEFMENGSLDLHLFNGNSLLAWGTRYKITCGLASALLYLHEDWEQCVLHRDIKSSNVMLDSNFNAKLGDFGLAKLVDHEKGSQTTMLAGTLGYMAPESVVTGKATRESDVFSFGVVALEIACGRKPIVYKAQENQIRLVEWIWELYGNGTLLEGVDPRLGSDYVGEEITRVMILGLWCVHPNPNIRPSM >cds-PLY77110.1 pep primary_assembly:Lsat_Salinas_v7:7:183092982:183093176:-1 gene:gene-LSAT_7X107980 transcript:rna-gnl|WGS:NBSK|LSAT_7X107980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIALALAFTAFVAFASAHNTVVTTTIDRGGKPILEAAVQPTAPGTKIQSVPEVPRPGPELV >cds-PLY62296.1 pep primary_assembly:Lsat_Salinas_v7:5:166753657:166756392:-1 gene:gene-LSAT_5X75380 transcript:rna-gnl|WGS:NBSK|LSAT_5X75380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSERPKALKREDGVDFISSMPDAILVLILSRLFFTKETIRSSILSSRWRYLWTAVPSIHIHYEGKLKKNEFKEFLYWVFVNKSVDLNSFRLCCSNYYSMSTVGRWIHAAVTRNVKQLELTLCPNQNYEDIEMPHCLVTSGSVEVLELNLSRRGLKLPNIMGFPSLRVLELECVDLLEDDNLVKRFLESCPLLEELSLDDCIINKLGVLCISCLKLKKLTIFNGNEDEGLCGSIKVSCPKLVHLDITGHLAYNLYFECLNSLKEAAIDPELEGNIRSVLFPGISQVESLLTDIYFFSQCINAARDPSLPKLKTLVLTTTIDAFNFSEFIRILKYYPKLESLKLVIKKQFYGREEWELHEGDRKRIMTPDLKSAEFFEFKGEKPKLVSDWDEKWLGNFIETLRKLRRNVSMISDTSRKRFQGVSMEYPFPWSFENGNGNLREVFMYHR >cds-PLY88060.1 pep primary_assembly:Lsat_Salinas_v7:6:181132165:181133860:-1 gene:gene-LSAT_6X109461 transcript:rna-gnl|WGS:NBSK|LSAT_6X109461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQRIFYYLLPFFSFLPLLLLSRFLLVTTPRNHHHQNLPPTPLSFPVIGHFHLIKDPIHRVLQTLSLKYGPVFTLLFGSRPVLVLSSPSAVEECFSQNNVSENRSCLEKNMKHDYTSVIPAPYGPFWRKLRRITTIELFSITRLATHSDVRQDEIRSLIKTLLLEIVHDNFTRVGLRSRVQDMSFNIIMRIVSGKRSFDPEIHDLKEALNFHDMITGISKAPRVPFRTDLLPFLKWIDFQGMKRTLLRLKVKKEASSEDFLDKYWKKNGVSNKQMIDAMLSLQEAQHENYSDQIVKGILLTLLLVGTDSSAWTIEWAMSLLLNHPDVLQRAREEVDEHVGHNHLIEEKDLYKLRYLQNIVNETLRLFPTTPLFVPHESSKDCTIGGYNIPHGMMLLVNTWAIHRDPNVWEEPTSFKPERFERSIGDGYNYIPFGMGKRQCPGAGLANREIGVALGSLIQCFEWERVSKKLVDLSEGKGLTMPKYEPLEAMCKARECMFDVLSKLLDAS >cds-PLY77534.1 pep primary_assembly:Lsat_Salinas_v7:2:163352305:163353739:1 gene:gene-LSAT_2X84140 transcript:rna-gnl|WGS:NBSK|LSAT_2X84140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Red chlorophyll catabolite reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37000) UniProtKB/Swiss-Prot;Acc:Q8LDU4] MATMAAIVAPFSFSSLLYSSPSSQTSCSFIQRPRFSFSCRASLSSPPQHGGPTGFMDFPYVSAPHKALMVDLVSTVESRLGSLLNPCSLPPDVQSYQNETGTAHAALHLRSGVQSSQIDFILGSWLHCELPSGGALNITSLSAYLNSSTDAPNLLVELIQSTPTSIVLILDLPHRKELVLNPEYLKTFYEDTQLDQHRQHLQKLSEVTPYFSSSLYIRSVVSPTAILVRIETEQLEEMIETHVTPIAKQVLKTWLDVCAFGERVVGESDRVYLKKRDEMSKKKTIEIDLGSSLPRLFGEETAKRVLEALREVF >cds-PLY75915.1 pep primary_assembly:Lsat_Salinas_v7:9:199881216:199883946:1 gene:gene-LSAT_9X123520 transcript:rna-gnl|WGS:NBSK|LSAT_9X123520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable microtubule-binding protein TANGLED [Source:Projected from Arabidopsis thaliana (AT3G05330) UniProtKB/Swiss-Prot;Acc:Q84M91] MVARSPPKLRKKIVAPLDPIQLRDTLDKVEKCMDRLQELQYITGGTKVISGVNLSPRSSRGYLRTSLRCKQESLRIRNANGQKSSPGRLPTQIGEWKRMSLPAMILGETVGEILQASQFAREIVTAVETKAKKITTGTGGDPKTPVTHSRRNSRLNPETTELRSRRKREKRIIRSDLHSPLLERAKSRINFKVSGSPPKKEIETEKGRFPANRVSPKNKPWARKTVIFPNPLFHSTPASQNQQFCRTRSPVITRTRQTTPHKFLIKTPPPPAAVAKFQVKIRSPPLSVSPTRPKTASAKKKAMSPPKNVSTSAKLRRSFSPSRLATRLASPLKIRNPTICVSPTRSGMASVKKKSISPPRTVTGKKKLTSPPRTASVKKSISPPKKVSTAAKLRRSFSPSRLASRLVSPLKSRKSSVQKSVDSSEMMMNMMSGLKQRPLSTTTPMHLSARRI >cds-PLY94540.1 pep primary_assembly:Lsat_Salinas_v7:2:157698853:157701676:-1 gene:gene-LSAT_2X80100 transcript:rna-gnl|WGS:NBSK|LSAT_2X80100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENTIDNSYIVATFFVSFLGFVVLSILRRRISYSIPYSKKNASGNNNSFKNRSDLTRGEFRHRYGSADADVIIVGAGVAGAALAHTLGKEGRKVVVIERDLTEPDRIVGELLQPGGYLKLIELDLQDCVEEIDAQRIHGYALFKDGKSTKLSYPLQNFHSDVSGRSFHNGRFIQKMRHKSATLPNVKLEQGTVTSLLEDEGTIRGIQYKTKSGEVIKAFAPLTIVCDGCFSNLRRSLCKPQVDVPSCFVGLVLENCDLPYPNHGHVILANPSPILLYPISKTEVRCLVDIPGKKLPSLANGDMAKYLNSIVAPQLPAKLHNAFAGAVNKGNIKTMPNRTMPAVPVLTRGAMLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLKSSRDFTDADSLSKNIESFYTLRKPVASTINTLAGALYRVFCASSDEAMKEMREACFEYLSLGGGCASGPIGLLSGLNPSPVQLVLHFFGVAVYGVFRLLLPLPSPNRLWIAARLILSACGIIFPIIKAEGVRQMFFPASLPAYYRGSSKKGL >cds-PLY66800.1 pep primary_assembly:Lsat_Salinas_v7:3:203009346:203010684:-1 gene:gene-LSAT_3X118600 transcript:rna-gnl|WGS:NBSK|LSAT_3X118600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTFVPIGDVYECFIREVGSYIWRDIALDKNTWKEVPEADRNGMFTYLSDVDVNAFLQNPVFVTALGDIIRLFSKQIDNATNSDEENDDGYND >cds-PLY76855.1 pep primary_assembly:Lsat_Salinas_v7:3:4662479:4662736:1 gene:gene-LSAT_3X2280 transcript:rna-gnl|WGS:NBSK|LSAT_3X2280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLITLISPTHSSNGGRSKCFIWSFGIGAGANMGRGNLLGGAIPGMNTGVVGGIDGSFGPGVRIPISRPGSPDGGSGGLGQGFGSG >cds-PLY65832.1 pep primary_assembly:Lsat_Salinas_v7:5:136473003:136477799:1 gene:gene-LSAT_5X60361 transcript:rna-gnl|WGS:NBSK|LSAT_5X60361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLIEKSFLSPRLSLFRPPPHPHIWSTSTSSNCILKESSRRNFPVKWAHSTSTMAAANEENIGSLKEQIAKVFEISLKVTIPEEPEVVPLIAACAKKEFGDYQCNNAMSLWAKIKGKGTEFKGPQPVGQAIMRNLPASDMIESCSIAGPGFVNVKLSKQWIAKSIQKMLKDGIDTWAPKLPVKRAIVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEYSKVDVLRRNHVGDWGTQFGMLIEFLFEKFPSGEVNDQAIGELEVFYKASKQRFDSDPEFKERAQKAVVSLQGGEEKFRKAWAQICEISRKGYEKVYQRLGVHLEEKGESFYNPYIPGTLDLLREKGLIEESEGAQVIFIEGKKIPLIVVKRDGGFNYASTDLAALWYRLNEEKAEWIIYVTDVGQREHFEMFFTAAKRAGWLPNDENKYPKTSHVGFGLVLGDDGKRFRTRSTEVVKLVDLLDEAKTRCKAALVERGKAAEWTAEELEHTAEAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRKSGKDIEELKKAGQLILEKDEERKLGLHLLQFAEVVEEACTNLLPNVLCEYLYDLSEKFTSFYSECQVVGSAEETSRLLLCEATAVVMRKCFHLLGITPVYKI >cds-PLY87750.1 pep primary_assembly:Lsat_Salinas_v7:5:200366466:200369558:1 gene:gene-LSAT_5X91221 transcript:rna-gnl|WGS:NBSK|LSAT_5X91221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLDDLDSRSSSSPASSVAINKHGFKGLKSIGQSLKLGVTRAIFAEDLKVSEKKIFDPQDKFLLTWNKFFVVSCILAVSLDPLFFYLPVFDQSKTCLGIDQNLAIIATTIRTFVDSFYLIHMALQFRTAFIAPSSRVFGRGELVIDPTQIAKRYLKWYFIIDFLAILPLPQIIIWKYLHGSKGADVLSTKQSLFFIVLLQYIPRFARIVPLTSELKRTAGVIAETTWAGAAYYLLLYMLASHIVGAFWYILSVERNDTCWQMACERNGHDVNLLYCGNSHVPGYNNWSSVSNSVLTEACTPDGDDPPFDFGIFEQALSSGIVSSNKFVSKYLYCLWWGLQNLSTLGQGLQTSTYPGESIFSITLAIAGLILFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRLLPQDLRERVRRYDQYKWLETRGVDEENLVLSLPKDLRRDIKRHLCLSLVKRVPLFEDMDERLLDAICERLKPCLCTDNTYIVREGDPVDEMLFIIRGRLESVTTDGGRTGFFNRSLLKEGDFCGEELLTWALDPKSGSNLPSSTRTVMALREVEAFALKADELKFVAGQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRYCRRKMLRRKEEEEVELGGGGDGGSRSLGVTFLVSKFATNAVRGVHRNRNRDGDRERNLNMGRELVNLPKPPEPDFSADAD >cds-PLY90002.1 pep primary_assembly:Lsat_Salinas_v7:3:83985976:83992313:1 gene:gene-LSAT_3X65141 transcript:rna-gnl|WGS:NBSK|LSAT_3X65141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSNTYLLSPSSLYKLNPVLFSHRRCSVALSRFHLRILKPRFFAAAASDNGVFTSPEIAKSFDFTSEERIYNWWDSQGYFKPNFDKGSEPFVVTMPPPNVTGSLHMGHAMFVTLEDIMIRYNRMKGRPTLWLPGTDHAGIATQLVVERMLASEGIKRVELGREEFTKRVWEWKEKYGGTITNQIRRLGASCDWTREHFTLDEQLSRAVIEAFIKLHEKGLIYQGSYMVNWSPNLQTAVSDLEVEYSEEPGSLYHIKYRVAGGSRSDFLTIATTRPETLFGDTAIAVNPEDERYAKYIGKSAIVPMTFGRHVPIIADKYVDKDFGTGVLKISPGHDHNDYLLARKLGLPILNVMNKDGTLNEVAGLYNGLDRFEARKKLWAELEETGLGVKKEAHTSRVPRSQRGGEIIEPLVSKQWFVTMEPLAEKALEAVKKGELTIMPERFEKIYNHWLSNIKDWCISRQLWWGHRIPVWYIVGKDCEEDYIVAKNEDEALKKAQKKYGKNVEIYQDPDVLDTWFSSSLWPFSTLGWPDVSSEDFKHFYPTSVLETGHDILFFWVARMVMMGIEFTGSVPFTHVYLHGLIRDSQGRKMSKSLGNVIDPLDTIKEFGTDALRFTLALGTVGQDLNLSTERLTSNKAFTNKLWNAGKFVLQNLPNRSDESAWERLLAHKFDKEEYLLTLPLPECWVVSKLHVLIDAVTTSYDKYFYNDVAREIYEFFWGEFADWYIEASKAHLYQSENEAVSSTSQAVLLYVYENILKILHPFMPFVTEELWQTRAIRNARAEYSVEPAKRISANIVANPDVIQYISKEKDVLALLSRLDTQSIHFTDSPPEDANQCVHLVAGEGLEAYLPLADMVDISAEVQRLSKRLLKMQNEYDGLLARLSSPNFVEKAPEDVVRGVREKASEAEEKLNLTKTRLSFLQSTILVSE >cds-PLY94888.1 pep primary_assembly:Lsat_Salinas_v7:4:111348920:111350559:1 gene:gene-LSAT_4X70581 transcript:rna-gnl|WGS:NBSK|LSAT_4X70581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKMCLVFFYLLVGTFFTVSQVSSAIITVNFTTPAIFIFGDSTADVGTNSFLPKTKIRANFPYNGIDFPNSRPTGRFSNGFNSADYLSKLMGQKRSPQPYLFLLKAGLRKRMFRGVNFASGASGLLDVTGKHLNVVSMSEQIKQFKTVRGNLTLVKGRGATKNILATSVFAISVGSNDIFGYFENRSTVDPTIFIASLMTAYECHIESLYNLGARKFGIISVPPIGCCPSQRIFNVTGGCFEIENTFARAFHSSLDALLKKLSCKLSGMKYSLGNSYEMTINVINFPQLFNFTYVDRACCGEGWLNAEKPCTPKANLCSSRNNYLFWDLFHPTQYASKLAAVTLYNGGPQFVTPINFAQLAAY >cds-PLY87166.1 pep primary_assembly:Lsat_Salinas_v7:5:266485866:266489171:-1 gene:gene-LSAT_5X137380 transcript:rna-gnl|WGS:NBSK|LSAT_5X137380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C4 [Source:Projected from Arabidopsis thaliana (AT1G51610) UniProtKB/Swiss-Prot;Acc:Q8H1G3] MHDKLTTIILMPIYQHRCLLSRLHRRHLSPLLIRILDPPPQPNHNNNHNHNHNHPLLSRSYHHLRPISDRRLLNPPFSLQRPVTPDSPPSTIISNDVNYRYSIHRSFITRPKKIKKIEVDDHSQRAVTTALWCNFLVFSLKLGVWLFTSSHVMLAETVHSVADFANQALLLYGLNSSKRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVNGVQNLWTSQPPGNILYAALVIGGSFIIEGASLAVAVDAVRKGAAAEGMTIRDYVWRGHDPTSVAVMTEDGAAVTGLVIAAASLVAVNLTGNPIYDPIGSIIVGNLLGVVAIFLIQRNRHALIGRAIDDHDMKRVLEFLKNDPVVDSVYDCKSEVIGPGFFRFKAEIDFNGVILVQNYLSRTGPEGWAKKFRSAAIEEDDAEMLKIMSLYGEEVVTALGSEVDRLEKEIQEIVPGIRHVDIEAHNPIILPP >cds-PLY62535.1 pep primary_assembly:Lsat_Salinas_v7:MU046094.1:64377:67203:1 gene:gene-LSAT_0X30940 transcript:rna-gnl|WGS:NBSK|LSAT_0X30940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHGKLFIGGISWDTNEERLKEYFETFGEVIEAVIMKDRTTGRARGFGFVVFSDPAVAERVVKEKHTIDGRTVEAKKAVPRDDQQILNRSNGSIQGSPGPTRTKKIFVGGLASSVTESDFKTYFDQFGIITDVVVMYDHNTQRPRGFGFITYDSEESVDKALLKTFHELNGKMVEVKRAVPKESSPGPNRGQSQISGGFNYGLSRASSFLNGYTQGQGYNSPSYGGRMDGRFSPVTVGRNGFPPFSPSTYNNLGLNSDSILGINYGNGNGNGNFGSNLGYPRAISPMYGVGSNRYGDPIGYGGNSGGGGGGGSGGGNGSLLNSNHSMWGNGSQSFATNSSPNLSNFLAPGNASGGIGAIWGNSGGGGGGGGGNGGFIGGNLGYGNEESSLGYRRNNGNGYSYGVIDDGEIVGGGNNLYGGGGGGGGGSFYADSTWRSSSPELEVPGLFGYSLGGGASDDIPKNSVGYVGYSVTNRSNRVSRLNFFGLYMA >cds-PLY71406.1 pep primary_assembly:Lsat_Salinas_v7:4:238244782:238246426:1 gene:gene-LSAT_4X128100 transcript:rna-gnl|WGS:NBSK|LSAT_4X128100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLDSLYCEEKHQWEDDEEEPVLGSYSCVDNHPQHTLLGHDLYWEDQELSSLISKESDECFDNNRHTTPSANHRREAVXXXXXXXXXXXDVGVVSHYSFTALTAVLAVNYLDRFFDRFGGLESEKKQQQQPWMTQLAAVSCLSLAAKVEETHVPLLLDLQMEGSKYVFEAKTIQKMEILILSTLEWKMNPVTPLSFLDYITRRLGLKSYLSSEFLKRCECLLLCFLPDGRFRSYLPSVIATATMVHVIHSVEPCIGNEYESQLLGILGINKEDVEECWKKIQEITCSRNGGRHFNKRKFGAVPGSPDAVMDLSFSSDESWSVENPSVPSSPEGGAKKSRKGEA >cds-PLY79973.1 pep primary_assembly:Lsat_Salinas_v7:9:45684661:45686853:1 gene:gene-LSAT_9X42160 transcript:rna-gnl|WGS:NBSK|LSAT_9X42160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSKADKKAAIDAAAWMFNIVTSVGIIIVNKALMATYGFTFATTLTGLHFVTTTLMTLVLRWLGYIQPSHLPTSELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLFEVTFDKIRYSRDTKLSILVVLLGVAVCTVTDVSVNAKGFVAAFIAVWTTSLQQYYVHYLQRKYSLSSFNLLGHTAPIQAGSLLLVGPFLDYWLTEKRVDMFKYDLSSMVFLVLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFIFFGREGLNVHVVVGMIIAILGMIWYGNASSKPGGKERHAHLLPKTNQHQKYGSTGILETEETSDKV >cds-PLY69763.1 pep primary_assembly:Lsat_Salinas_v7:5:233022410:233029835:-1 gene:gene-LSAT_5X114361 transcript:rna-gnl|WGS:NBSK|LSAT_5X114361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLGCLDFGCIEKQTSVDSDINPNDSLPSSSKPPKSKKMDFGCLDLGCIEKEISQSSVDSEINSNRSCPSTSKPIKNKLKKDPNQPSPRPLNKLASQIKKPTRRKTSPLSWFPRKKVDSYLTRKLKLLQEVDGMNSTLDETLGDTNPHFSKVLREKIAVKEAAHKAIEARKAALVEASWCRILHAARIESKEAESLLAKAEETATKAFESAKEIGVIMYDIPDCSTKHYKIEKSSENGQHTVTTSFDTAFEVDKQVAAALKAAFVKLSSCASIGEAEFKELLKKISQNPDLDEKNQDLCEEPTSESSQKDDIELSKEKEKESLVDMMLERLKSLKEEELASLATIVATCGLNAALAEAQNGGGGGGGKASRKQHEEELPGLDKFLVNRLTKLEKEIQDAKNAKNGRTKVDDTQEEKTSTEGGLGGVLVKHYSKLEKEIQEAKKNNFDKNKKDLKKVEVEVVPELGSMLMKHTSKLVKEMEEAKRKCGSEYEYEPKVKRSERLKQEMKETPSLDEVLVKRVSRLEREVLEAKKEKENVNGNKERVKEKEDNGVESLEKILVKPKLRVERVKVVVGGLEESGEQMKNPLVAKREARERELEAAWGGMSFGNSIRPGLSRLQREKASFISLSAEEVNSLVMELANVSGKERALIRICKDFFYMGCIY >cds-PLY75635.1 pep primary_assembly:Lsat_Salinas_v7:1:99451036:99452377:1 gene:gene-LSAT_1X81361 transcript:rna-gnl|WGS:NBSK|LSAT_1X81361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVFDKNFSNKFASNGDFGRNRFIGIINKVLFLHNGPILKFHLHISYIFHDSLQAIGQWMSFLSRNGVTELVLTYSNQHYELPSYVFSCLDLTKLELRNCIYKPPLEFNGFLNLKELNLEDVDFGTSCGNKINLPKLKKLFLFSCKNVYKFNIKATKLRKLTVIACPDALLLGLLDSPCLISVFISSQDYTQDFVGVEKMNLATMLSNLPRLRYIYTDSNFIMSLVVEKIPKLLPHAISRLKHMWLIRFQVDDLDQLDVALCLLRNSPKLEKLTVTHLMEHHIDVGPASNRLESPNCLDCTLDQLQVVEMRHLRGSKPELLFIKLLLAHSPSLNKFTITPNGDLDAKKIHDIAKDVMQFPRASTKAKLFYLDP >cds-PLY93441.1 pep primary_assembly:Lsat_Salinas_v7:9:58082696:58083727:-1 gene:gene-LSAT_9X51181 transcript:rna-gnl|WGS:NBSK|LSAT_9X51181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTGESPVHIFLEKNIIHKSYRTKNEAKTAYNEAYKAAIKDNVECSKTVLLTPQKPISSFTPQKPVSIPKSLNQLNAKIALESILSTKEKEAMKKPSAKKFAELWDSLISYTEVHSLMGFYPVARRPGPKAVFLADLSDPMTLWDYFIHGFIDTIYLEGTNLHCISEFPSAVQTIIRNYKVRFAKQERGLFIKMHSSYPIFDEDSQLIVPSITFANMGISNGSKPTKDDLPRESPTQDHLIFALTGVYLASSRIGNGKDQKSRIRVNYASKTFIIYSLTDSEITPEAMKAIETFEQPFEKFSHQLAELPFDIKKQLCKHIMHAPRHNCSHCSENTEETPFMED >cds-PLY68248.1 pep primary_assembly:Lsat_Salinas_v7:4:237081023:237081413:1 gene:gene-LSAT_4X127900 transcript:rna-gnl|WGS:NBSK|LSAT_4X127900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDELGIYLVQFDRAGYGDSDPNPKRSLKSESSDIQELASQLQLGSKFYLIGVSIGSYPTWSCIKNIPKRISNLNLLVYMSPQFAGRNGGN >cds-PLY82854.1 pep primary_assembly:Lsat_Salinas_v7:1:86984463:86985592:-1 gene:gene-LSAT_1X71921 transcript:rna-gnl|WGS:NBSK|LSAT_1X71921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATHLFLKTKTNLQTESSPPFNQEMTKAMHVSSNSTIWDAVNGGAGGGGGGGATTSFLPVNFLETSSQDSRLVNLTLVMNKTEPETDRALGEEFMNHGSKGLGDYRLGGSKAQPGIRNDSPTPWRMKSCAKKKFRGVRQRHWGKWVAEIRLPRNRMRVWLGTFTTAEEAAFAYDTAAYILRGDFANLNFPNLKNQLRANSANGNTAALLQAKLQGMSMAGDGVAPPVPELGSPEKDRAEGGSEVVFDKNKKVLESISSDVVDGVQLSKMPSLDMDMIWDSLLDLDS >cds-PLY93709.1 pep primary_assembly:Lsat_Salinas_v7:2:202632645:202634618:1 gene:gene-LSAT_2X124621 transcript:rna-gnl|WGS:NBSK|LSAT_2X124621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKFQENKNGFFLKSSILLELAATDDVSEFVTTVEQKGMNLDEFSFWYGRRNGSKGKMGFEERTPLMIAAVYGSIQVLKYIIGTKKVDVNKPSDSDGATALHCAAAGGSSTSIDTVKLLIEACADPNLTDDNDNKPVDLIARGIKSSKRKALEMLLRGFSISDEEETEETETEIIAAKKEYPVDVSLPDINDGVYGTDEFRMYMFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKHQYSCVPCPEFRKGSCIKGDNCEYAHGVFESWLHPAQYRTRLCKDETGCARKVCFFAHKVDELRPLYASTGSAVPSPKSGPIEMGSMSPMGLGSTSPMSPSNSPANGNMWQNKFVHLTPPALQLPGSRLKTSLTARDLKMEMEMEMEMLQRQQMIDDLSSNLYNNRFGEMKQTNYDDVFGSQIQGLSPKVSSTQSLLQSPSGHQIRQNSSPLRANYPSSPGRNPTSFGFDSSAAVAQAVMNSRSGSFAKQRSQSFVDRGAGSTMSLRSVPPSSTYSEWGSPDGKLEWGFNSEDASKLRKSASFGFRSGNGGSPVKGNHEQDGPWGGAGLYSSSEKVPQWVEQMYIDQEQLMA >cds-PLY97371.1 pep primary_assembly:Lsat_Salinas_v7:3:244855873:244858080:1 gene:gene-LSAT_3X134761 transcript:rna-gnl|WGS:NBSK|LSAT_3X134761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSSNSFASSSLVPITSPHLLSNFCSTFSVRLVLVRRPEIETLLLGRPSHCPPMTITPFPILPSLYDEPPPTCNMTSGKQLVCWLSRTCDGVNDAPTLKLAAVGIAMGIAGTEVVDMVLADDNFGTVVAAVGEGRSIYNNMKAFIRYMISSNIDEVASIFQTAAIGIPKCHWVNLVTDGPPATTLGSNPPDKYIMKEAPRRSDDSLITPWILF >cds-PLY84797.1 pep primary_assembly:Lsat_Salinas_v7:8:23942056:23944087:-1 gene:gene-LSAT_8X18701 transcript:rna-gnl|WGS:NBSK|LSAT_8X18701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAKIGGRPEMVSLTLQILNGRWFMVFASFLIMSAAGATYMFGLYSKEIKQSLGYDQSTLNLLSFFKDLGANVGVLAGLIAEVTPTWFVLAVGSLLNFFGYFMIWLGVTQRISKPTVWQMCLYICIGANSQSFANTGALVTCVKNFPESRGVVLGLLKGYVGLSGAIITQIYDAIYAGDDKRSLILLIAWLPAVISVVFVRTIRIMKVVRQSDEIKVFYDLLYISLGLAGFLMIIIIVENRVQFPRSDYYGSATVVVFLLFLPLAVVIREEYKTWRNKRASLNDPSPIKVVTDHNPPSTTIVLPPPPPPPQKQKEETTCFQNVFRPPDRGEDYTILQALFSIDMLILFLATICGVGGTLTAIDNLGQIGESLHYPTRSISTFVSLVSIWNYLGRVVAGFASEILLKKYNFPRPLMLTLTLVLSCVGHLLIAFGVPNSLYFASVIIGFCFGAQWPLLFAIISEIFGLKYYSTLYNFGSVASPIGSYLLNVKVAGNLYDREAERQMKALGMIRKQGEDLTCVGVECFKTAFLIVTGATVFGAFISLILVARTRKFYASDIYKKFREEAARAETEMAVAGEGGTLGK >cds-PLY66763.1 pep primary_assembly:Lsat_Salinas_v7:8:71943521:71944563:-1 gene:gene-LSAT_8X52720 transcript:rna-gnl|WGS:NBSK|LSAT_8X52720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase MPSR1 [Source:Projected from Arabidopsis thaliana (AT1G26800) UniProtKB/Swiss-Prot;Acc:Q9LQX2] MASEGELSVSRLIERLIEMPFLSGFITTRPESDSSHDSEHPDHESTNSLHHQGSSLDRIILINPVTQGMVVIGGGATTGFESLMNDLMRKDGQPPASQTSIDAMPTVEIKGTDEIESLGGECVICLEELGVGDVVKEMPCKHKFHGGCVEKWLKIHGSCPVCRHKMPVDDSDKKIGDESGGRRRREIWVSFSFAEGRSTSEEESVESNQQESV >cds-PLY91497.1 pep primary_assembly:Lsat_Salinas_v7:7:140989664:140990152:1 gene:gene-LSAT_0X15641 transcript:rna-gnl|WGS:NBSK|LSAT_0X15641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKLSFTLVCFFTLAILHTINAQNSQQDYLDTHNAARAEVGVANIVWNATVAAYAQNYANQRKADCNLVNSGGPYGENLAKGSGTFSGTAAVNLWVAQKAYYDYATNTCAGGHVCGHYTQVVWSNSNQLGCARVQCTNNSWWFVICSYYSSGNINGQSPY >cds-PLY92181.1 pep primary_assembly:Lsat_Salinas_v7:8:5124806:5127291:-1 gene:gene-LSAT_8X4120 transcript:rna-gnl|WGS:NBSK|LSAT_8X4120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKVRAIWQASLTATKRALSWNVDDMFPPSERFIYNFKSKEELKKWHLYSDSEYGGLSSAALEITDAGNEQSGGTGVFSGNLSLDVTDGTKWNISRSGFCGMRSKKFDGFIDLDPYDTIALKIRGDGRSYISTIYTENWVNSPGQMEDNSWQAFVSIPLAHYLPTWRGNVIEADIEMNPSRVVGMSFSVNAEGGVPGAQTGPGDFRLEIDWIKALRTQ >cds-PLY98324.1 pep primary_assembly:Lsat_Salinas_v7:7:166591209:166591840:1 gene:gene-LSAT_7X98720 transcript:rna-gnl|WGS:NBSK|LSAT_7X98720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVVKVTMNNEKKIRKALKIAVSLSGVESASFVGSDKTQIAVTGEDVDSVELTTLLRKGVGYTELLSVGPVEEKKPAAEKETNPTVASLNFTVNPYQYYYGSYGMPYYAY >cds-PLY93738.1 pep primary_assembly:Lsat_Salinas_v7:6:145156000:145156623:1 gene:gene-LSAT_6X87820 transcript:rna-gnl|WGS:NBSK|LSAT_6X87820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDLERYLPKESCPVSGLLNRVKKMNEGVDKFIKGKPSILYRTWYALWCGNSCTINR >cds-PLY92468.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:1937630:1939116:1 gene:gene-LSAT_0X18400 transcript:rna-gnl|WGS:NBSK|LSAT_0X18400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTATASALPSVSLNLSITTATATLRSGTNHVSLSTLRRRLYPVRVANDSKITEVSPDLIIGKSEADKIVDGIDFGELCNEFECISSPAVEATARQLVRDILELRDGNRALGTYAVSVKYKDPVRSFTGREKYKRPLWSINALDNPTVSVQEMSMLSTSVLSIKWTLKGNPKNVVAGVGGPVILKVNSRFTLNQISGQVIEHEEIWDLSSSSTIVQAYFWASRRLFSVVESTKDFSDSVKNLSSRFSSKKENLEIYPDPSGDPLKFFQSEDEFQRDAYQLALFLALLYFLVQFLRTTL >cds-PLY69587.1 pep primary_assembly:Lsat_Salinas_v7:1:13082048:13084620:1 gene:gene-LSAT_1X10781 transcript:rna-gnl|WGS:NBSK|LSAT_1X10781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAARSYLYGGASGGEAESGGSVLLTNKRVHCSSSLNPVDSFFASRSSPFQGSRSMVSFEDRGNGSGGQFFQSFDHEDNGDDEYDEYFQQPEKKRRLTVDQVRFLEKSFELDNKLEPDRKIQLAKELGLQPRQVAIWFQNRRARWKTKQLEKDYDDLQESYNKLKANYENLLKEKEKLKSKVGELSDKLMIQEKGTSDASSTKSPCEPQLCELVLEEDLSKNLNLTCGPEGDLNVVFERGDSSYVFEQEQSDGSLDEENDNFGKMFLPSMDGYTSPKIENVDPHAVNSYYLGLAGDDQAFGFWSY >cds-PLY77917.1 pep primary_assembly:Lsat_Salinas_v7:1:26234201:26240016:1 gene:gene-LSAT_1X22080 transcript:rna-gnl|WGS:NBSK|LSAT_1X22080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATPATTTTTAAADDCCVKVAVHIRPLIGDEKLQACKDCVAVVPGKPQVQLGTHSFTFDHVYGSSGSPSSAMFEDCVSPLVEGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGYQAGLIPQAMTALFNKIESLQDQTEFQLHVSFIEILKEEVRDLLDTKSEISSNGQNGKVNIPGKPPIQIRETSNGVITLAGSTECSVKTLKEMSDCLEHGSLSRATGSTNMNNQSSRSHAIFTITLEQMNKTISNDSMCDEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSRTVMIACVSPADINAEETLNTLKYANRARNIRNKPVVNRDPVSSEMLKMRQQLECLQAELCARGGGSTVELQVLRERISWLEATNQDLCRELHEYRSRGIAIDHQSVTKVGDSFCVKNEGLKRGLQSIDSLDYQMSESGDSGVIEEETAKELEHTLLQDSMDKELHELNKRLEQKESEMRLFEGSDTMTLKQHFGKKLMELEDEKRAVQIERDRLLTEVENLSASSDGQSQKMQDLHSHKLKSLESQIQDLKKKQENQVQLLRQKQKSDEAAKRLQDEIQFIKAQKVQLQHKIKQEAEQFRHWKASREKELLQLKKEGRRNEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSTRDNSLTSNGNGANGQNNEKAMKRWLDHELEVMVNVHEVRQEYEKQSQVRAALAEELAVLRQVDEFASKGVSPPRGKNGFSRACSLSPNARISRISSLENMLSISSNSLVSMASQLSEAEERERGFANRGRWNHLRSMADAKNLLQYMFNSFVDSRCQSWEKDLEIKEMEEQLKELVGLLRQSEFRRKEAEKELAVAIALASSASGNSQSHNSLKHLADDMSGPLSPNSIPAPKQLKYTAGIANGLVRESAAFLDQKRKMVPVGLRKAATGGHSPGKLWRWKRSHHQWLLQFKWKWQKPWRLSELIRHSDETMMRSKNRSLANLPEVMYHRH >cds-PLY62944.1 pep primary_assembly:Lsat_Salinas_v7:2:212034002:212036272:-1 gene:gene-LSAT_2X132680 transcript:rna-gnl|WGS:NBSK|LSAT_2X132680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNSKRPLKIAVLLSGGVDSSVALRLLHAAGHFCTAFYLKIWFQEDFDNFWSECPWEEDLKYAKAVCNQVDVALEVVHLTDEYWDKVVSHNAFSHIHHHHQWNLLMSINVGLHLTLMFFAIQESNSVCAFMDATSNMDFDFVASGHYAKVVTDEINELSFLQLSKDMVKDQTYFLSYLSQAQLKRLVLPLGCIPKDEVRWLARKFDLPNQERRDSQGICFLGKIKFSEFVGRHIGEKEGILLEAETGDFLGVHRGFWYVVEKDVKNNVVFVSRNYYSVDKRRRSFRVGSFRWISGSPPHNLNHLRCKVRHGPVFYDCSLHIQKDGIGTVQLPEDDQGLAAGQFAAFYQQQVCVGSGVILESWDDKGFPVCDKARDIAKMEDKSKAW >cds-PLY90414.1 pep primary_assembly:Lsat_Salinas_v7:8:213645077:213647417:-1 gene:gene-LSAT_8X133541 transcript:rna-gnl|WGS:NBSK|LSAT_8X133541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHVLSIFTITSSSSLRELIIETYKRKNTNRSHVQDLKGGSYKAINVIRNKYEIGKQECINLEKEKAEKVVVDMEKKSEQKLSDCKEKAKLELLKI >cds-PLY76554.1 pep primary_assembly:Lsat_Salinas_v7:8:91053068:91056525:-1 gene:gene-LSAT_8X63581 transcript:rna-gnl|WGS:NBSK|LSAT_8X63581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLLIFTRGGLILWTCKELGNALRGSPIDTLIRSCLLEERSGAASYNYDVPGVSYTLKWTFHNELGLVFVAVYQKILHLLYVDDLLAMVKREFSEIYDPKRTAYDDFDETFRQLRKEAEARVEEMKKSKQVVSKQVSNLGKKQGQMQKSGFEGGNKKKSGGGESGKDGSDGDNVKTVTMENGHSNGEIESRGRVNGKENGDSNTNGGAFDVNKLQKLRSKGGKKTNNPVVNKVSKEEPKKKPAKKNRVWDDSPKETKLDFTDPGSENGDSFMAVQQVVEGESMMDKDEIVSSDSESEEDDDEAETDKKVDSKKKGWFSSMFQSIAGKANLEKADLEPALKALKDRLMTKNVAEEIAEKLCESVAVSLVGKKLASFTRVSSTVQAAMEDALVRILTPRRSIDILRDVHVSKEQRKPYVVVFVGVNGVGKSTNLAKVAYWLQQHDINVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVAKEAIQEATRNGSDVVLVDTAGRMQDNEPLMRALSKLIYVNSPDLVLFVGEALVGNDAVDQLSKFNQKLADLSPSPTPRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >cds-PLY92833.1 pep primary_assembly:Lsat_Salinas_v7:5:328994406:328994630:-1 gene:gene-LSAT_5X181940 transcript:rna-gnl|WGS:NBSK|LSAT_5X181940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHEDNQFGRCGRTLLWISDHILHRALLSLPSPSSHYGRRRRRNREEGISNNWFYYGTAHNVHIDLLCASASSTG >cds-PLY62720.1 pep primary_assembly:Lsat_Salinas_v7:6:55328860:55332773:-1 gene:gene-LSAT_6X39720 transcript:rna-gnl|WGS:NBSK|LSAT_6X39720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDTQNDNKDDNGSSLVETAADDEPMVGPGPAPVRRPKRPLQFEQAYLDSLPSANMYEKSYMHRDVVTHVAVSSADFFITGSQDGHLKFWKKKGLGIEFAKHFRSHLGPIEGLAVSVDGLLCCTISSDRSVKIYDVHNYDMMVMLRLPYTPGAVEWVYKQGAVKAKLAISDRNSSFVHIYDPRAGTNDPIVSKEIHLNPVKVMKYNHAFDTVVSGDTMGIIEYWDPATLQFPESGVNFKLKSDTDLFAIVKSKTAVSAIEVSPDGKQFAITSPDRRIRIFWYRTGKLRRVYDESLENAQDLQRSDVPLYRLEAIDFGRRMAVEREIEKTENVPQPNALFDESSNFIIYPTLLGIKIVNLHTNKVSRILGKVENNDRFLRIALYQGDQSSKKVRKIPAAAVNVNESKDPMTDPTLLCCAFKKHRIYLFSRREPEEPDDATKGRDVFNEKPPPDELMAASDIGKSVTTSLPENVIMHTTLGDIHMKLYPEECPKTVENFTTHCKNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVIKGMDVVQGIEKVKTDKGDKPYQDVKILNVTVPKS >cds-PLY80825.1 pep primary_assembly:Lsat_Salinas_v7:3:191876553:191877355:1 gene:gene-LSAT_3X114341 transcript:rna-gnl|WGS:NBSK|LSAT_3X114341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVDVLSLHEAVGDDNNDVYHGDTFDTIHLCNSGSSINPSERTYPEEMIQTSISTIDVMNSIAREQKIPLLSAVCLPHNEIAAQICRQAGLVK >cds-PLY91110.1 pep primary_assembly:Lsat_Salinas_v7:MU039484.1:1722987:1726871:1 gene:gene-LSAT_0X33261 transcript:rna-gnl|WGS:NBSK|LSAT_0X33261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRRITSSDDAAQQDLVSEIRGEIKIVNSALSSNEADHALVKRSIHILSELVKNGGSRSYPVQTTIGSSPDPTSSTGSFVYDVETETPATFFQDRHGFGFDNSGALSDILEVDDADVDDRAECRLIQRIDVHVKNLYWADSGDMLAISSDSSFYILQYHAIFHVAHLFYCKQFMDIVKELSTKEPKRKILSCSF >cds-PLY72515.1 pep primary_assembly:Lsat_Salinas_v7:2:140184335:140185855:1 gene:gene-LSAT_2X68440 transcript:rna-gnl|WGS:NBSK|LSAT_2X68440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTLHSNCFIVFLLFINSFSFSFSIQNTPLNNSLSFSLSYLPLSHKSAATKLLRNSLPQSTPSSTSNPNHKLSFKYSMALVVSLPIGTPPQAQQMVLDTGSQLSWIQCHNKTPTASFDPSLSSSFSILPCNHPICKPRVPDFTLPTTCDQNRLCHYSYFYADGTLAEGNLVREKITFSRYQSTPPITLGCATASDEAEGILGMNLGRLSFASQAKISKFSYCTPTRQNNAKIKPSGAFYLGQNPYSKTFKYVDILTFPDSQHSPNFDPYAYTLGLNGIRIGAKRLNISRSVFRPDAGGSGQTLIDSGTEYTYLVDEAYKKIREEILRLAGSRYKKGYVYRGSLDLCFQGNAMEIGRLIGNMVFELDKGVEVVIGKERVLDDVGRGISCLGIGRSEGLGVPSNIIGNFHQQNQWVEFDIVNRRVGFGVADCSRSV >cds-PLY69571.1 pep primary_assembly:Lsat_Salinas_v7:4:84741371:84745678:-1 gene:gene-LSAT_4X56001 transcript:rna-gnl|WGS:NBSK|LSAT_4X56001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHRAVIHGVVLKGLWPTSVNRTSFRQQNYQTPILRSRCECKIITNIKDFCSERRIDLNRRFNCLNNENISSSSSMVSENTQEPPQETDLEIISDRSHRSRTKGRAGQTTNIIFGDTVIDGSSGEWLTLSQKLDTYPSVRRFTAIGIGGNDFVQSMILAVESVIQHPVQQVRAVYNAMRGDDRIKFFL >cds-PLY73920.1 pep primary_assembly:Lsat_Salinas_v7:3:38352585:38353856:1 gene:gene-LSAT_3X29060 transcript:rna-gnl|WGS:NBSK|LSAT_3X29060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSLSNLEHPTTANIKFLCSYGGKILPRYPDGKLRYHGGETRVLAVDRYLSFSELVVKLGELSGTSMPVALRCQLPTEDLDALVSITSDEDLVNLIEEYDRVGKLQSSSNLKIRAFLSLQKKSSPTSSTASSPSTTTGLESSSPSSDSNSLTRFPMAATNHYGEQMLKPQGKLPFRYERSSGNLLRYACQNSSQSYQLLHNDNHCNKSLRYATDFRSENFCS >cds-PLY99778.1 pep primary_assembly:Lsat_Salinas_v7:9:53132747:53137867:1 gene:gene-LSAT_0X7881 transcript:rna-gnl|WGS:NBSK|LSAT_0X7881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSVNVILEFLRSNKFAKAEAALRSEIGNQTDLNGFMQGLKLEGKDIGGKLVDEDSRSKPGVSDEVSKEELVVKEITRNGSDSKWKNVAFVEEQSKAGESIGTRDKNYMLSNNSEETVIDLYSRKFNSSNGSVDLYQNDAASIRANDAKEYLVSSQSKIHPSELADKDRVMDNPWSRTDEIKYSSSDVWKDCSVKTVFPFPKGAASTSYDANSGIGEKEDRKRGVDNNIRAAIKEQVDEVGKSLYFSTTQGNNESKAFGGLSFPVISEKHKEELPRLPPVKLKSDEKASNITWEEKYQRDGPCSKTINADTSFLIGSFLDVPIGQEISSSGGKRPPGGNWFSVSQGITEDTSDLVSGFATIGDGMSESIDYPNDYWDSDEYDDDDDVGYMRQPIEDETWFLAHEIDYPSDNEKKTDHENNRDPQEISPEKNEDDNQSFADEDSYLSGDQFFHSKSMDPVIAQYDGELMDEEELNLMRAEPVWKGFVTQTNELIMLSEGQVLEKTKMPLLDDLCVDEEQHGSVRSIGVGINSDVADFGSDNDVGISSHDSEKKYIDKSTKDKRVKPSFHHDKPVVLTKNTTNSGFSFPPPRDGQPVAASNKAFWINKSEETDNRLHTLMGNDDFAPPWRRKSSSSSPVKSSREEEEEEDDQDAVGSANSSPSTFSNYGYAENARVAKKETNSKEDDPGALLEDEEAAAVQEQVNQIKAQEEDYEIFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYINKNDPGDKYHLLRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLSYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIINPIDQDMLVKGRDTYKYFSKNHMLYDRNQDTNRLEYLIPKKTSLRHRLPMGDQGFIDFVSHLLEINPKKRPSATEALKHPWLSYPYEPISS >cds-PLY69417.1 pep primary_assembly:Lsat_Salinas_v7:5:301035538:301039235:-1 gene:gene-LSAT_5X162440 transcript:rna-gnl|WGS:NBSK|LSAT_5X162440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQLSIETGDSFTTLLELAANNDIQGFALSIESDPSGIDEVGLWYGRQKGSKQMVLEHRTPLMVAAMYGSIDVLKLILSQSKVDVNRLCGVDKTTALHCAASGGSINAVDVIKLLLLSGADPNLIDVNGLRPVDMIVVSPKLPEMKKTLEDLLGSDCDPPILDPLSPENHSPENHSPVSETDLLCSPKISTFDSKREYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGTCRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTGCNRRVCFFAHTQDELRPLFVSTGSAVPSPRSSSSALDFAAAMSLMPGALSSFTPPMSPSGNGMSNMNWPQPNVPSLHLPGSNLQSSRLRSSLNARDMSDQDLNLQLLNELSRQQRAVHNQSILTPTKLDDIFAAESSSPRFSDQSVFSPRHNSSVFNQFQQQQSMLSPINTNFSPKNTSFGVQNSPGRMSPRSVDPISPMSSRVSMLARENQQQFRSLSSRELGSRSGSIVGGGSVDPWSKWGSSEKPDWGVNENEFGKLRRSSSFENGGNNGEEPDLSWVQSLVKETPQEMKEKLAAAYGGSGSGGGGGSSSGGGGVNSNAQIEQMDQSALSAWIEQMQLDQLVAQQN >cds-PLY78176.1 pep primary_assembly:Lsat_Salinas_v7:5:123938307:123941873:-1 gene:gene-LSAT_5X54880 transcript:rna-gnl|WGS:NBSK|LSAT_5X54880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVLKQFEHLRIRLEVIESATSNFAKESCIGRGGFGRVYKGELLHSKGHSMVALKHLDRAFGQGDSEFWKEVITLSVYRHQNIVSLLGFCDEKGEKILVYKYASNRSLDLHLENKDLTWVRRLKVCIGVARGLAYLHDPGETQQRVLHRDIKSSNILLDENWNARIADLGLSRFGPANQKYTFLVTNNTVGTIGYCDPLYLESGILTKESDVYSFGVVLFEVLCGRLCFCKSGSFTQLVRKHYKQNSLNEIIWSNIKDEIRPSSLKVFSKIAYQCLKSDNEKRPLMNDVLKGLETALQYQLSTASKSTQISQHGFENLIPEKVDLQKEFTHHDLYEWLETHREVEENKVQNLLKDPKRDINRHICVDLVRRVPLFKKMNERLIDDICQRLIPRLYAGNSYIIRKGDRVKEMLFIIHGRLESATTDNFFNSGFLEEGDFCGDELLIWVVDPESGVSLPSSTRTLKASGYVEAFALPVEELKFVVLHFRLWRTWAASFIQAWWRRYSTRKSLDKRGASVTDILAIQRERKIAKRLLEFRKPREPYFTV >cds-PLY78058.1 pep primary_assembly:Lsat_Salinas_v7:4:353822788:353823744:-1 gene:gene-LSAT_4X174040 transcript:rna-gnl|WGS:NBSK|LSAT_4X174040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSHHRRRVSPPLSAAPTSCSTDSSSISLIIQLIVPLQVHNFVAMAENMVTQEHDELTEALNDLFTNVSTMIKGDLQGTNNVLKLLETMNLKVAEEYEGFGDVASGLRVFVGRLKAKSENFDVYVQLIDAIEQQVTDLEVVISMLDKYVSLLESKVQSVYKMPASSSS >cds-PLY94204.1 pep primary_assembly:Lsat_Salinas_v7:5:321809408:321810671:1 gene:gene-LSAT_5X178480 transcript:rna-gnl|WGS:NBSK|LSAT_5X178480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEGGEEYLFKIVVIGDSAVGKSNLLSRFARDEFDLHSKATIGVEFQTQVVDIDGKEVKAQVWDTAGQERFRAVTSAYYRGAVGALIVYDISRRTTFESIKRWLGELNTHCDTSMATMLVGNKSDLENIRDVSIEEGKNLAEEEGLFFIETSALDSTNVTTAFEIVIRAIYDNVRRKVLNSDSYKSEVSLNRVSLVKDANTKQSYCCSK >cds-PLY75169.1 pep primary_assembly:Lsat_Salinas_v7:2:52629854:52648616:1 gene:gene-LSAT_2X23360 transcript:rna-gnl|WGS:NBSK|LSAT_2X23360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVATEIMKQVVQILMVPVKKHLGYMISCTKYTRDMAIKMAELNAARLGIEERTNQNTSNRLEVPTQVSGWLEEVGKINGKVESIPSAVDSCFDLKIRHKVGKKAVNIIEEIDSVMRRHSMITWTDHPIPLGRVDSMNASTTTSSNNYNNFQSREVTFTKALKALGVNQKSHMIALCGMGGVGKTTMMQRLKKVVHEKKMFNFIVEAVIGEKTDPVAIQDAIADYLGVELNEKSKQARADKLRQGFKDKSDGGKNKFFVILDDVWQSVDLEDIGLSPFPNQGVDFKVLLTSRDRHVCTVMGVEAKLILNVGLLIEAEAQSLFHQFVVTSEPELHKIGEDIVKKCFGLPIAIKTMACTLRHKRKDAWKDALSRLEHHDIQSVVPKVFETSYNNLKDKETKSVFLMCGLFPEDLDIPIEELMRYGWGLRLFDRVNTITQARNRLNTCIERLVHTNLLIESVDGVHVKMHDLVRAFVLGMFSEVEHASIVNHGNMPEWTENDMTDSCKQISLTCKSMLEFPGDLKFPNLKILKLMHGGKSLRYPQDFYQGMEKLEVISYDEMKYPLLPSLPQCSTILRVLHLHECSLRMFDCSSIGNLFNMEVLSFANSSIELLPSVIGNLKKLRLLDLTNCYGVRIEKDVLKNLVKLEELYIRNGSTRFTEDNYKEMAERSNNLSTLEVEFFNNKAQVKNMSFENLERFKISVGGFLDRDIGKSSLSYENTLHLVSNKGEVINSKLNQLFVKTELLFLSVDGMNDLEDVEVKSTHPPQSSSFCYLRVLFISECVELRYLFKLHVANTLSNLEHLKVYDCDNMEELVHNGTEGSGKDTITFPKLKFLSLCGLPKLLGLCLNVNIIELPELLELKLDSMPSFTSIYPKNKLETSSLLKEEVVIPKLERLQIDYMENIKEIWPCEHSRGAEVKLREIEVRNCDKLVNLFPYNPMSLLHHLEELEVNNCDSIESLFNIDLDSAGEIGEEKNSSNLRSIKVWNLGKLREVWSIKGKDNSRPLIGGFEAVESIEIVECEMFRNVFTPITTNFDLGALLNMDIRNCGENKGNDSEESREEEESIEEEESSEEEESIEEEEQSDILLEEETLQKVTDSIANVVFPSSLVHSFHNLHQLALKRYEGVEVVFEIKSPTSRELVTTHHKQHPILPNLKNLNLSDMDNMSHVWKCNRNFFFTLPKQQSESPFHNLTNIYVEDCKTIKYLFSPLMAELLSNLKEVKIEQCDGIEEVVSNRDDGDEEKTTFTSTSVHTSPILFPQLDSLTLKQLKNLKRIGGGGAKDESNEMSFNNTTTTTAFVNQHMFSEADSVSWRLSQYSREIIIKYCHALSNVIPCNAAGQMQKLQVLKIKNCNGMKEVFVSQEMNSNNKSGGEEGKGGTLGIPRLNKVIMLPNLKILKIVGCPFLKHIFTFSALESLGQLEELTIKDCVAMQVIVKKEEDVSSKEVVVFPRLKSIALEDLPKLQMLNIHSRNMKILELKYCESLEHIFTFSAHGSLRQLEELTISGCGSMKVILKEEEDQSSSSSSLKDVVDFPQLKSIEIQNLPELEGFFLGMNEFRLPSLDNVKIDNCPKMMSPFPNSSGMPWSFHNLIELEVKWNFVKKIIPSSELLQLQKLEKIHVKHCDWAIEVVEALEEAGRNRNSISGHGFDEPSQTTTIVRLSNLTQVKLDNLGSLRNIWKSNQWTTFEFPNLTTLYIYKCDRLRHVFSGSMVGSLLQLQELHITDCDLLVDVIVKDASGVVEEESDGKSNEILVLPHLKSLSLYYLRCFQGFSLGKEDFSFPLLDTLVIKECPTIRTFTKGNLATPKLKEIETRFGSFYAGEDINSFIKIKQKEFSDNWDYD >cds-PLY61788.1 pep primary_assembly:Lsat_Salinas_v7:8:76951326:76956202:-1 gene:gene-LSAT_8X55700 transcript:rna-gnl|WGS:NBSK|LSAT_8X55700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPKPWLHPAPTYFPLETYWDTDDDAPGPRCGHTLTAVAQTKSHGPRLILFGGATAIEGGTSTGIRLAGVTNSVHSYDVLTKKWTRMRPAGEAPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKYKWHRVVVQGQGPGPRYGHVMDLVAQRYLVTVSGNDGKKVLSDTWALDTAQKPYVWLRLNTEGDKPSARMYATASARSDGMFLLCGGRDTSGTPLSDAYGLMMHKNGEWEWTLAPGVSPSSRYQHAAVFVGARLHVTGGALRGGKMVDGEAALSVLDTAAGVWLDRNGLVTSSQSNNNGQMEDESLELMRRCRHATSSVGSRLYVYGGLRGDLLLGDFLVAENSPFHSDANNPGLTSERCSNLASPRTNLCPFDPISQDDGHESPLSVDKEGSQRVAEADAVHSTWQAAQTHIATSMMTNQATGINTEGGDKPGDVHLHPRAVVIAREAIGNLGGLVRQLSLDHFENESRRMMPDMSHPGAKRFMRQKSPQGLHKKVISSLLTPRNWKPPIERTFFLDAYEVGELCYAAEQILIQEATVLQLKAPVKVFGDLHGQFGDLMRLFDEYGFPSTGGDITYIDYLFLGDYVDRGQHSLETITLLLALKAGKFLLLLHIQYPENVHLIRGNHEAADINALFGFRLECIERMGENDGIWAWQRFNHLFNILPLAALIEDKIICMHGGIGRSIHLVEQIEKIERPITMDAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVAEFCKRNKLQMIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVIGRGLVVVPKLIHPLPPLTHTHPLTLEPESNPQHLPPPEENNWMQELNNQRPPTPTRGRPQPDQDRTSLAYI >cds-PLY86240.1 pep primary_assembly:Lsat_Salinas_v7:8:55815509:55816462:1 gene:gene-LSAT_8X40921 transcript:rna-gnl|WGS:NBSK|LSAT_8X40921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRFLEGDHKQQVKSGVAINGPRPTPLKLKQESHTIQKQQQQIRKPVIIYTYSPEVIHTKPHDFMALVQKLTGHSGSKDQERRSKTVKKDGYDRKDNEISNDFGVTHEKSTIMKAKSPMFNAPLNPYMADIPLFTPNSSDYFCSPRPLFRFTDMVSSSPNISTSLSPSSLVELMKILPEY >cds-PLY99622.1 pep primary_assembly:Lsat_Salinas_v7:4:82405311:82406300:1 gene:gene-LSAT_4X55821 transcript:rna-gnl|WGS:NBSK|LSAT_4X55821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNRSEKLTPTTMEEEQALQKRKFYWGNTPDEEEYHKLHNIKSTTSFYKSPRGLSLFTRSWLPLTPPRGIICMVHGYGNDISWTFQATAIFLAGNGYACFALDMEGHGRSEGLKAFVPNVDDVVADYLAFFSSVVTSTDANYQNLPKFLFGESMGGAICLLIECKRPKFFDGAILIAPMCKISDKVRPSWPIPEILMFVSKFAPSLAIVPTADLVDKSVKVPEKRIIAGMNPVRYTGKPRLGTVVELLRVTEYLSSQLSNVNIPFIVLHGNADVVTDPEVSKDLYEKAKSKDKSLKIYEGMMHSLLFGETDENVELVRGDILLWLNDRC >cds-PLY98564.1 pep primary_assembly:Lsat_Salinas_v7:1:39226639:39228848:1 gene:gene-LSAT_1X33740 transcript:rna-gnl|WGS:NBSK|LSAT_1X33740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPNLSPFPPATTFFWGETPEEEFYKSQGVRNSKSYFETPNGKLFTQSWLPLDEDQPVKAAVFMTHGYGSDTSWCFQKICIEYAKWGYAVFAADLLGHGRSEGLHGYLGDMDKVAAASLSYFLSVRRSETYCKLPAFLFGESMGGMITMLMYFQSEPDTWTGLIFSAPLFVIPENMIPSKLHLTMYGLLFGFADTWAAMPDNKMVGKAIRDPEKLKIIAVNPKRYAGRPRVGTMREVVRVTNYIQNNFHKVTTPFLTLHGTADGVTCPTGSQMLYEKATSEDKALKMYEGMYHSLIQGEPDDAANLVLADMRAWIDEKAEKYGPKSNGN >cds-PLY98680.1 pep primary_assembly:Lsat_Salinas_v7:5:303756953:303761338:-1 gene:gene-LSAT_5X163601 transcript:rna-gnl|WGS:NBSK|LSAT_5X163601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NCA1 [Source:Projected from Arabidopsis thaliana (AT3G54360) UniProtKB/Swiss-Prot;Acc:Q9M2V1] MDQGRISSPVYPHKWSSESTNTPNTIATSSSLVMSQLQAQVMTSRATNIDADNSFKLRVLTKMTPVGPFVKAARPDDASSKKSSEIQSKHQGGCPMSKESQNKHQGGCPMSKESQNKQQGCPMSKENQNKQQGQGCPMSKVKQDSEESKSNVISPKCPFGYDSQTFKIGPFSCLICQALLFECSRCVPCTHVFCKACISKFNDCPLCGADIEKIEADANLQSVVDRFIDGHARIKRSPVDTDEKEKEKEIIGEKKTVIYEDVSLERGAFLVQHAMRAFRANNVESAKSRLSLCAQDIREQLEVAGNTSELCSQLGAVLGMLGDCCRAMGDGNSAVGYFDESVNFLSKVGTDDLEVTHTLSVSLNKIGDLKYYEGDLKAARDHYFRALDVRRNAIKDSSKAPSQVLDVAVSLAKVADVDRNLDAEDTAIEGFQEAINLLESLNIKSEETALEQRRVSVLEFLNGQLSKKQSN >cds-PLY75082.1 pep primary_assembly:Lsat_Salinas_v7:2:93432122:93432553:-1 gene:gene-LSAT_2X41521 transcript:rna-gnl|WGS:NBSK|LSAT_2X41521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSYNDLYRLFKKLDQNGDDLVSPNELQWLLDTMKISSSVDELQSLTGKMGLSFPEFLDFYTITIEGKDTEKDSESDLFKAFLVFDRNRDGLICDEELQEALSSLGLWDDKGDMDVKSMIKAYDANSDGFLDFQEFKKMMA >cds-PLY95829.1 pep primary_assembly:Lsat_Salinas_v7:5:64822758:64824682:-1 gene:gene-LSAT_5X30301 transcript:rna-gnl|WGS:NBSK|LSAT_5X30301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESYRTMGIMSLTECDIESQAPWILQIIRHIFQRLSGITMDWQGTPVSPSSYTVKQILRLEILVDTFPNFNFVGILLGPKGNSVKHVEATTGCRDEKQNGTHGYEHLNEPLHILIEADLPPCVVDLRLRQAHEFIQELLKAIF >cds-PLY80327.1 pep primary_assembly:Lsat_Salinas_v7:7:154537654:154539145:1 gene:gene-LSAT_7X91520 transcript:rna-gnl|WGS:NBSK|LSAT_7X91520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEHVLEGSQPVDLTKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKNQQWYVFFSLKSIDFFFSIDISLK >cds-PLY76597.1 pep primary_assembly:Lsat_Salinas_v7:5:223528413:223529105:-1 gene:gene-LSAT_5X105820 transcript:rna-gnl|WGS:NBSK|LSAT_5X105820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAATASLTGTMASTSFLRRQQPTTAIRSLSTSNKTAALFGLKSSTGIRNRGGVTMMATYKVTLVTPEGTQEFECPDDVYILDHAMEEVGIDLPYSCRAGSCSSCAGKVVSGSLDQSDGSFLDDDQLEEGWVLTCVAYPTSDVTIETHKEEELTG >cds-PLY76504.1 pep primary_assembly:Lsat_Salinas_v7:4:175072115:175077295:1 gene:gene-LSAT_4X103621 transcript:rna-gnl|WGS:NBSK|LSAT_4X103621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLNSNRVDHYSGSGHANQGLTSTAPATITVPGETMRSLELHNVCLPPQKTTLQKLRHRLSEVFFPDDPLHGFKNQSRFRKLILALQYFFPIFEWAPNYNLTLLRSDVVSGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLIYSVLGSSKHLAVGPVSIASLVMGTMLNEAVPYNKDPVLYLKLAFTATFFAGVFQATLGLLRLGFVIDFLSKATLVGFMAGAAVIVSLQQLKGLLGIVHFTTKMQIIPVLTSVIQHRNEWSWQTIVMGFCFLGFLLATRHIGLRKPKLFWISAAAPLASVILSTLLVTLFRSKLHGIATIGHLEKGLNPPSSNMLYFHGEFLGVAIKTGIITGILSLTEGIAVGRTFASLNDYQVDGNKEMLAIGVMNMAGSCTSCYVTTGSFSRSAVNANAGAKSVVSNIIMASTVLITLLFLMPLFHYTPNLILAAIIITAVIGLMDYQSTIRLWKVDKLDFVACLCSFFGVLFISVPIGLAIAVGVSVFKILLHVTRPNTGVLGNIPGTQIYQNVNRYREARRVPWFVILGVDAPIYFANSTYLQERILRWIREEEELLAANNGPSLKCVIIDMTAVTAIDTSGLGMVKELKKMLEKRSLQLVLANPGGSVMEKLHQSEILESFGLEGVYLSVDEAVADISSAWKA >cds-PLY67592.1 pep primary_assembly:Lsat_Salinas_v7:5:74699535:74705231:-1 gene:gene-LSAT_5X35201 transcript:rna-gnl|WGS:NBSK|LSAT_5X35201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDLHSIPYFSTLPHTIHHASSSSHYSMDINDNSAFHSQSPLHSQDLSSGYLEDALFEFRSKRRRLLVFHEDQYQSIYQNSTTSFPSYCNSNSVEDMENCYENFCKFYTDDNGDEREMKGRGTEETNSTSSSEITKSSINTFEETLLSSHHSLFIGARNEERKKKLITRLVYPFALVKPGGFKGDMTLNDINQRILMPPTRPLKHPVGDFACRPLVSPGGPGLSGKAVVALTRIHTQGRGTITIIRTKN >cds-PLY82268.1 pep primary_assembly:Lsat_Salinas_v7:1:66077419:66085255:1 gene:gene-LSAT_1X56600 transcript:rna-gnl|WGS:NBSK|LSAT_1X56600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSFFFLLVLTLALSFSSAYSRDFISCVESKSSNASSISQLIYTPGNASFQPIWQFAVQNTRFLKPSTPRPSIIVTPTDESLVQTSLLCAKKHGYEIRIRSGGHDFEGLSYTADVPFVMIDLNNMRSIDIDVAKRTAWVGTGAVLGELYYSIAQKSNNTLYFPGGTWPTVGISGLIGGGGTGNLLRKYATAGDNVLDARIIDVNGRILNRKSMGEDLFWAIRGGVASSFGVVVSWKLQLVPVPEKVTVFIVNRTLEQGATEIFFKYQSLATFEDRNLYIRSQAASEFIGNTTQKTMRIIFQGIYQGTTNELIPVMDKVFPELGVTREICQEMTSVQSTLVFFGRPSTTPLEILTNRSAIPKSNSKTKSNFVRTPIPISGLEKIWSKFFENDLSGGLLIIPSGGRMDDYAETATPYPHRAGTLYLLATSVNFVGQANDTTPVSLRRLAWLQSLEELLTPYVSQNPRESYVNNNDLDIGVGAANYKEASVWGERYWKRENFKRLIRIKAKVDPKNFFKFPQSIPVFKRRGDAHGEQFIDLPAILSPLDLPSFSTGLFPLHYAQFHHHLNVDHLHRNHPLASLELFNEEARSPFVKKFKTIIHPGEVNRIRELPQNSKIVAAHTDSPDARTIQELEKRDFGNLRQEGEDGELQPKVVKRGRLPMRIWRANMKYGIIKDFIVDESRHDTYKQCYVSNFCGEKKQLMVVGDGDGDGDGDGDGYARSLTCFAANLGPVVWKVALKKMDKALPCVDAQGTTVESEGIFGKSSCWQQGIPEPAELPPATGVSPLPDPVDCNLVVFGLGTSCMGTNAPVGVVGNLDFLRNSPQERFDSEQFQDHHLVEESWDAGFNDDWNIGMLEELKAEDSEGIIGSQSHVEKSLDKLNARNQILRDQFGIDIEAKRHDEGF >cds-PLY82441.1 pep primary_assembly:Lsat_Salinas_v7:2:182836118:182839857:-1 gene:gene-LSAT_2X104520 transcript:rna-gnl|WGS:NBSK|LSAT_2X104520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGGHGINALHRYKYSGVDHSYLAKYVLQPFWTRFVTFFPLWMPSIGDEVLVHITLMGFMFLLISAVLGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTSSSSPLGELFDHGCDALACALEALAFGSTAMCGRNTFWFWVISAVPFYGATWEHYFTNTLILPAVNGPTEGLMLIYVAHFFTAIVGAQWWAQSFGESIPLLSWVPYINGIPTYGAVLLFMIAFAVIPTVTFNVQNVYKVVQSRKGSMINALAMLVPFFVLLVVVILWDCMSPSNLIDNYPHMVVMGTGLAFGFLVGRMILAHLCDEPKGLKTSMCMSLLYLPLGIANTITAGLNEGIPLVDEKWVLLGFCIYTAALYLHFATSVIHEITTALGIYCFRITKKEA >cds-PLY72902.1 pep primary_assembly:Lsat_Salinas_v7:1:209277489:209282659:1 gene:gene-LSAT_1X126860 transcript:rna-gnl|WGS:NBSK|LSAT_1X126860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSSNIYERFSQTFRQHPSLSRFLVVFAVSGGGLVAYSEGNILSSSPKIDELPEAGNKKKRVVVLGTGWAGTSFLKNLKNSSYDVQVVSPRNYFAFTPLLPSVTVGTVEARSVVEPIRNIVKKKNVNVNFLEAECYKIDAKNKKVYCRSTQDEKEEFIVDYDYLVVAMGARVNTFNTPGVEENCLYLKEVEDALKIRRSVIDCFEKASLPNVSEDERKRMLQFVVVGGGPTGVEFAAELHDFVSEDLVRLYPAVKDFVKISLLEATDHILNMFDKRITAFAEEKFHRDGIDLRTGSMVVKVTDKEISTKEIKTGKISTIPYGMAVWSTGIATRPVVMDFMKQIGQANRRVLATDEWLRVEGTNSIYALGDCATINQRKVMEDISSIFKKADKDNSGTLTVKEFQEALDDICDRYPQVQLYLKNKKMSNLVDLLKESKGDVAKESIALNVEEFKSALSQVDSQMKNLPATAQVAAQQGSYLADCFNRMDECEKHPEGPLRFRESGRHRYKHLGQFAPLGGEQTAAQLPGDWVSIGHSTQWLWYSVYASKQVSWRTRSLVVSDWMRRFIFGRDSSQI >cds-PLY78670.1 pep primary_assembly:Lsat_Salinas_v7:9:47834185:47835219:-1 gene:gene-LSAT_9X43980 transcript:rna-gnl|WGS:NBSK|LSAT_9X43980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLYEVRDVEVARAGKFRFELQGRVVEYGEMKFCLINGLRFGPYVVIINTKVSTSSTLRNWLFPSVRDEYLRMKDLEGYIKGLAFSMCSDEDAVMVMQMVFLLRGLIGRDNNTCILPTMYELADSQYNWNRYLRDNESVGYTRMMKYTVTSFQLPFKENNIPRVFEATPIEIHFPFFVRYVNWTLDKVQSPPQQ >cds-PLY64112.1 pep primary_assembly:Lsat_Salinas_v7:1:3045070:3057488:-1 gene:gene-LSAT_1X2401 transcript:rna-gnl|WGS:NBSK|LSAT_1X2401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILTYSSSFTTRLLALHSTSTSTSTRPTSSPLLHRSPQGFFGSILRYKLRGYCSSRVNRGEFMANNIKVVQNPDSISYLNQREAAEVDEILMGPLGFSVDQLMELAGLSVATAIAEVYNLKDYNRVLTICGPGNNGGDGLVVARHLYHFGYKPFVCYPKRTAKPLYTGLVTQLESLGIPFLSVDDLPMNLSEDFNILVDAMFGFSFHGTPRAPFDDLIQRLVNLQNHNEINKKPSVIASIDIPSGWHVEEGDTNGDGIKPDMLVSLTAPKLCAKKFCGSHHFLGGRFVPPSIVDKFNLHLPSYPGSCMCVRIGKAPQIDISSMRENYISPEFREEEVDPDPFLQFQKWFDDVMAAGLKEPNAMALCTAGKDGKPSSRMVLLKGFDKDGFVWYTNYGSRKAKDLSENANASLLFYWDGLNRQVRIEGSVQKVSEEESEHYFHSRPRGSQIGAIASKQSTVIPGRDFLHQEYKDLEAKFSDGALIPKPKYWGGYRLKPEFFEFWQGQTSRLHDRDLLRASWDSKNRGAKEEKELYAKMIEVLKVGIDDVDFSFAASMPKRFR >cds-PLY81681.1 pep primary_assembly:Lsat_Salinas_v7:6:56936593:56938100:1 gene:gene-LSAT_6X42681 transcript:rna-gnl|WGS:NBSK|LSAT_6X42681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPDDGHTRLTTGDDVSSPGTGKDASVAQALWDDEDTKSFYECLPDLRAFVPAVLLGEVEQKGNDQSSKASDQPSDSTTPESDQIVAQDTAETSVSDKKKDDDEKYKGKEKEKEKDKGKDGEIKAEIEKEKLRGPEGTNLDG >cds-PLY83744.1 pep primary_assembly:Lsat_Salinas_v7:4:39565633:39565842:-1 gene:gene-LSAT_4X26460 transcript:rna-gnl|WGS:NBSK|LSAT_4X26460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLIRVCSEEAIELGRQVASGTCPYCQGKVEAVDTESKWRFCCIPISYISKRKYFCTLCSRRLVLYDYD >cds-PLY99878.1 pep primary_assembly:Lsat_Salinas_v7:4:46194807:46196921:-1 gene:gene-LSAT_4X31481 transcript:rna-gnl|WGS:NBSK|LSAT_4X31481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILALLEKSTALAVARTGPFIHGDLGEKVAFHIFPSASDFPYHMSHDVHNNWNLHGIWSIGVTFQICPGTTDIT >cds-PLY91913.1 pep primary_assembly:Lsat_Salinas_v7:8:203463650:203463886:-1 gene:gene-LSAT_8X129921 transcript:rna-gnl|WGS:NBSK|LSAT_8X129921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTATIDDNSGRFLSFASFGSGTENDRSGGDSSGSEAENINLESAAVCGSDESHWPVVGSGDNGGEANDMVAVRWW >cds-PLY64976.1 pep primary_assembly:Lsat_Salinas_v7:8:160413881:160422415:1 gene:gene-LSAT_8X106441 transcript:rna-gnl|WGS:NBSK|LSAT_8X106441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQNVDPNQASGSWRKTRRRSTETSNEPNQPTALRRKINGRRVEVELELKHELQDEEGHTDDVEVFADDEADDGGVGAWEEAWSEGDDE >cds-PLY95165.1 pep primary_assembly:Lsat_Salinas_v7:1:170483514:170486109:1 gene:gene-LSAT_1X113521 transcript:rna-gnl|WGS:NBSK|LSAT_1X113521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTQGPGGGLLSQNQRTAIPQVSWVSSGQGVTDLPFPKRLGVEFNGIDSPQSAERQISLQTTSAGQSQTRQYPDNVVRPSIAPVSYLMNPQGPRFSHGQSQMVELPNNPRGQAPTTSVDGLSNNSEYYARPYHKRSAVAPPSGAHWVQRQKMSHPTTTHHSMPIRFPTKPAASVTANLAHPSIPIYQSQSQTQQPVRALMNPSIPYTWIFCLVVLELSLVLLFYFFIRLKKEKNMQSLLTFYESGSLILEFADATDRVTSHTKHISYVPMYLSIYSPNGSPGVGFMPHILDAKAGEDVLGKLMWFSQNSTRAVCILSANGAISNVTLQQSATSGGTVTYEGRFEILSLCGSFMVCESDGQRSRTGGLSVSLSGPDGRVLGGNVAGLFTAASPVQMIVGSFVPASQKQRKTEAKIVNTTPVNVGTTSGSSGGGIGSPLVHSNNSNPQGMANMPWR >cds-PLY62936.1 pep primary_assembly:Lsat_Salinas_v7:2:212066578:212074665:1 gene:gene-LSAT_2X132621 transcript:rna-gnl|WGS:NBSK|LSAT_2X132621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELVVTIVLVVIAMLTCAGTSVSSDDRLLVNMTVVRSTTVLGAYCLDGSLPAYHIHRGFGAGARNWLLQFEGGGWCNDIETCAERAKTRRGSTRLMNKFETFSGILSDNPVHNPDFYNWNRVKLRYCDGGSFAGDNKFDNGTLVLFFRGQRIWKAIIEDLLPKGMRFANKALLSGCSAGGLASYLHCNNFTTYLPNTTTVKCLGDAGFFMDSPDITMNHTQRTFFQHLVTLQGIEQNLDENCTTSITDPKQCIFPQYLLKYITPPVFILNSAYDVYQFHHILVPPSADPRGHWNHCKLNPKECLPNQISVLQAFRVEMLTALRSFVFYSRRGGGTFINSCFAHCQSESQDTWFAPDSPQLHNQTIAESVGDWYFGRNVSIKVDCPYPCDTTCHNLIGANEYE >cds-PLY74683.1 pep primary_assembly:Lsat_Salinas_v7:5:176995747:176996235:1 gene:gene-LSAT_5X79681 transcript:rna-gnl|WGS:NBSK|LSAT_5X79681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVEQSYSVADPQKRDEKLEEMKKLEEEREKLMRRLEENRTEMKRRYWKEEIDLEEDFNVELTRLMEKYIPKDKMEGPKGKRXNKGFFFFFFFFFFFFPKRKCLKSADHLCNPL >cds-PLY86074.1 pep primary_assembly:Lsat_Salinas_v7:7:139195321:139195632:1 gene:gene-LSAT_7X83581 transcript:rna-gnl|WGS:NBSK|LSAT_7X83581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALNYSQWLVFPAHTSEDNLISPSRIMNKYVVEGMSDKSRESFVKPEIHDRFGGSPDPVATYIIDLSPSDPFGMEIDINTIFTAITRWLEDFEVDYMKYVRNN >cds-PLY62815.1 pep primary_assembly:Lsat_Salinas_v7:4:30304885:30306470:1 gene:gene-LSAT_4X16941 transcript:rna-gnl|WGS:NBSK|LSAT_4X16941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVTQISFLFFLLKFYFSIVWRSDFKEMVEVQPQSLDRINTLPQDTIEKILTLLPIQDALRTSVLSKKWRHCWKGMPKLVFDDKYLNTSSSSKEIRKYKFVNAIFHVLMLHNGPVLEFSISINIDTEIDDEIDQIILHLSKSKNIKKFICKFRSNELFKFYFSKRYKLPSVFFTLHGLEHLHLTHCVIELPLTFDGFITLRILELCNVNITAKMLQQLLTNCPLLEKFILIGHQKGFITLGNKCRFLELSKCLPSVQVLKISKSYIKFTEGSSMQKPPALLVHLRILYLDVCFLKQYELSDALSVINSSPNLEKIKIELCWNHKLCGEETCKDLVDLQDYYLGMKLDRLEELEITSFHNYAPEMEFVKLIMAKSPMLKKARIELHSDVSVDEENTMLRDLLRLTFPRVSPAVDIIVER >cds-PLY81006.1 pep primary_assembly:Lsat_Salinas_v7:9:175059219:175067651:1 gene:gene-LSAT_9X107600 transcript:rna-gnl|WGS:NBSK|LSAT_9X107600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSRGRSSSPFPNQKPSSPFSSSSSSSSFKQTVHRSSSSATTFYGGGSGNGYGSRSDTLGRTTSESMYSRGAYDAPSPVDYPSADELIDEPIDEFSRPAGGDSISVTIRFRPLSEREYQRGDEIAWYADGDKLVRNEYNPATSYAFDRVFGSFAETHEVYEVAAGPVIKAAMDGINGTVFAYGVTSSGKTHTMHGDHNSPGIIPLAIKDVFSIIQDTPGREFLLRVSYIEIYNEVINDLLDPTGQNLRVREDSQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHIGSNNFNLLSSRSHTIFTLMIESSAHGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRILQSSLSGHGHVSLICTITPASSSMEETHNTLKFASRAKRIEIYASRNKIIDEKSLIKKYQREISALKLELDQFRRGMLVGGVNPEEIMTLKQKLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSSKHTIPGLTDASGQQSLSVNDDDNGSLLLNSDLISGDSITESTQPGEVISRSASGIKLLTGGLSIDEMDLLVERVKMLAGEIAFNSSTMKRLVEQSANDPESSKTQIEDLEREIEEKRRQMRALERQIVESNEASISNTSLTDMQQTMMKLMTQCDEKGFELEIMSADNRILQEELQNRCTEVKELQERIMLLEQQLLTSSEHPRSKDYIDELRTQIKIQEVENEKLKLEQIHILEENSGLCVQNQKLSEEASYAKELASAAAVELKNLAGEITKLSLENAKLEKELMSACELGNSKSRKYDAKNGRKGRSSSRVKDDFDSWNLDPEDLKMELQARKQREARLEAALSEKEVIEEEYRKKAEEMKKKEAALENDLANMWVLVAQLKKEAKGVTVNESNSNGTERGETVNFRKIENGGGRDNIVVKERQVLDGSEKAHDVAKEEPLVARLKARMQEMKEKEKEVSYNNGNGGDANSHVCKVCFESPTTTMLLPCRHFSLCKSCSLACSECPICRTKIADRIFAFTS >cds-PLY70704.1 pep primary_assembly:Lsat_Salinas_v7:4:259412511:259420452:1 gene:gene-LSAT_4X135000 transcript:rna-gnl|WGS:NBSK|LSAT_4X135000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAYIVGVLAPLVLTLALRSSRKGKIRGLAADVEGEGEGGYAIRNHRFASPVETAWEGIKTLAELFEQACKQHGDKNLLGTRKLISRETQVHADGRSFEKLHLGDYEWMSYGQVFETVCNFASGLVQVGHTTGERVAIFADTREEWFIALQACFRLNLTVVTMYASLGEEAICHSLNETEVTTVICGNKELKKLVDISGQIDTVERVICMDDDDMLSDESSSWKIFSYSEVEQIGREKPVDADLPLPADVAVIMYTSGSTGLPKGVMMTHSNVLATVSAVMTIVPGLGGKDVYLAYLPLAHILELAAENLIAAVGSSIGYGSPLTLTDTSSKIKRGTKGDASTLRPTLMASVPAILDRVRDGVRKKVDSAGGVSKKLFDLAYGRRLSAINGSWFGAWGLEKLLWTFLVFRKVRAILGGRIRFILSGGAPLSADTQRFINICLGAPIGQGYGLTETCAGGTFSEYDDTSVGRVGAPLPCSYIKLIDWPEGGYLTSDSPMPRGEIVIGGPNVTLGYFKNDEKTKEVYKVEAGLSVSPYVDNIMVHANSFHSYCVALVVASQPALESWALQKGINFDDFTSLCQMEETLKEVYTSLVKAAKTARLEKFEIPAKIKLLPEVWTPESGLVTAALKLKRDVIRKTFKVDLANFYS >cds-PLY86659.1 pep primary_assembly:Lsat_Salinas_v7:4:278956774:278966981:-1 gene:gene-LSAT_4X142760 transcript:rna-gnl|WGS:NBSK|LSAT_4X142760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSITSLFQEEHKSQHEDNNSTISSPLAPLMEEVRTNMSGECVYVGAMGINALTWMQNPQVSFVKLSDPAWLNDISLSRQVRYKATPDVVVTLQEITRVIATKVPKEIDACLHDGSLWLLRDGCIGCYTEENFVGDGKVLEMMKHEVPIALGMYNIRIQILCKLKKTILVRELLNWLISINVKPNSITYNHFIHGYYKEGKLVVLKRAMFDPFDTSKKMHTTKSHMRTVFIMNDCNELMQKYLGPLGNVVDSYDLPVNNSHERLHRNKILQEEHKSQHEDNNSTISSPLAPLMEEVRTTMSGECVYVGAMGINALTWMQNPQVSFVKLSDPAWLNDFSLSRQVRYKATPDVVVTLQEITRVIATKVPKEIDACLHDGSLWLLRDGCIGCYTEENFVGDGKVLEMMKHEVPIALGMYNIRIQILCKLKKTILVRELLNWLISINLKPNSITYNHFIHGYCKEGKLVVLKRAMFDPFDTRKKMHTTKSHMRRVFIMNDCNELMQKYLGPLGNVVDSYDLPVNNSHERLHRNKILQVIKKKHIEMFNKIAENKKDGEAVVISNERNSTPGRTSHSGFMEEGSCNEVKESNESQIEVIIQWKGKFISEVTWEEFITVPYVFSFHLEGKVHLLGWGIAKALVKFKKDDGSSFHYLPPCLIIHENGKTDEAVKLIMGAMEQVGGIYMVIGVVVADHGKTDGKKKRPPERIWVSCINSIHLEDKVVLLG >cds-PLY89191.1 pep primary_assembly:Lsat_Salinas_v7:3:21683587:21683931:1 gene:gene-LSAT_3X16020 transcript:rna-gnl|WGS:NBSK|LSAT_3X16020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAATGGYGSSGGSAVMGYGGRPPDVCAAARVGGRPPDDGVGSRDGGGPPDDDTASRDGGGATGGWPYFKRWWSATGRWRCWGVDCFQRRHRVYRAMSWFRWLTRRLLRHAWLS >cds-PLY84183.1 pep primary_assembly:Lsat_Salinas_v7:3:146308102:146312360:-1 gene:gene-LSAT_3X95701 transcript:rna-gnl|WGS:NBSK|LSAT_3X95701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVKRKIADFLFLICFLTFSCCSNGLLSPKGVNFEVQALMGIKASLMDPHGVLENWDADSVDPCSWTMVTCSSESLVIGLGTPSQNLSGKLSSAIGNLTNLQIVLLQNNNITGPIPKEIGELKKLQTIDLSDNQFTNEIPSSLGHLTNLQYMRLNNNSLSGPIPQLVANMTRLAFVDLSFNNLSGPVPRFPSKTFNIVGNPLICQTGSEQECYGMTLLPMSMTLNTTNQANGTLPKSKGHKIALAISTSLGCISLLIFGLALIWWRRRHNQEPFFDVKDKHHEEVSLGNLRKFTFRELQSATHNFSNKNILGKGGFGHVYKGQLQDGSYVAVKRLKDGGAAGGERQFQTEVEMISLAVHRNLLRLYGFCMTPTEKLLVYPYMSNGSVASRLKAKPVLNWGIRKKIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDFCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELLTGQRALEFGKAANQKGAMLDWVKKIHVEKKLDLLVDKDLKNNYDRIELEEIVKVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQGVESSSKFRTPELSSSERYSDLTDDSSLLGQAIELSGPR >cds-PLY91511.1 pep primary_assembly:Lsat_Salinas_v7:7:140958318:140959869:-1 gene:gene-LSAT_0X15700 transcript:rna-gnl|WGS:NBSK|LSAT_0X15700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSDVDVFWLSIMLPENEFLHEVFDFTPKKKILSSTDHVSGIDKEVTPQLFARLSSHPKEDVRKQLESILVMLAKKSPWSIIIQPSLILTQVKRILRWSLHEYWHV >cds-PLY86506.1 pep primary_assembly:Lsat_Salinas_v7:8:134505765:134508205:1 gene:gene-LSAT_8X91160 transcript:rna-gnl|WGS:NBSK|LSAT_8X91160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYDNLGNPSGVPRPPVSTPQTPFGNPFYGASSGLIRGGLGAYGEKILGSSSEYVQSNISRYFSDPQYYFQVNDHYVRNKLKVVLFPFLHRGHWTRITEPVGGRLSYKPPIYDINAPDLYIPLMAFGTYVVLAGFSLGLQGKFTPEALNWLFMKGIVGWFLQVSLLKMSLFSLGGAEAPLLDIVAYAGYAFAGLCLAVFGRIIMSYSYYFLMPWTCLCMGIFLVKTMKRVLFSEVRSFDSSRHHYLLLFIALAQFPLFVWLGNITVNWLF >cds-PLY69263.1 pep primary_assembly:Lsat_Salinas_v7:2:128529094:128530700:1 gene:gene-LSAT_2X60220 transcript:rna-gnl|WGS:NBSK|LSAT_2X60220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRAEVAIIATPYMGNLVPAVEFATRLINHHPDRISVAILTISMPQMPLIEDYIQSRTSIEHIRFIQIPPVDSPPSDQYNSRIEFTSIYIGNHKPIVKQTLKTLSTSVPLAGLFVDMFCTSMIDVANDLNIPCYLFFASPAAYLGFVLHLTTLPANESVDSANELIVPSYAKPIPSNTLPTFCIKKDEFGYSRFVSHALRYKETKGIIVNTFQELEPYSIDSLSSSYMDLPPIYPVGPIIDHVGPAKWHSNRSRHEKTIEWLDHQPELSVVFLCFGSMGSLTPVQVREIAKGLERAGYRFLWALREPAKENLKLPNDYEHLDESLFPDGFIDRTAEIGLICGWVPQVSVLAHKAIGGFVSHCGWNSILESISYSVPIATWPLYAEQQLNAFEMARELGLSVEIRLDSRDKGGDLVLAEDVERGIRELMNGGDGKLREKVKEMSEMSKKALMENGSSFRAMEDLINILLSNV >cds-PLY69809.1 pep primary_assembly:Lsat_Salinas_v7:4:244232723:244235090:-1 gene:gene-LSAT_4X129381 transcript:rna-gnl|WGS:NBSK|LSAT_4X129381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRRNVLMMVVVTAVFFHRYVVADPKHHLKTYIVHMDKLVMPSSFSDHLQWYATSMRSVSESTDMLYTYDYVINGFSTRLTIEEAKLLEQQHGVISVQEEVIYKLHTTRSPEFLGLEKSTHIMLPESKQGGDVIVGVVDTGVWPGSKSLDDAGFGAIPVSWKGECESGTGFNVSSCNKKLIGARYFSKAYEATYGPIDETLESKSPMDDDGHGTHTATTAVGSKVTGASFFGIAKGTARGMAPHARLAVYKACWAGGCFGSDILASIEKAIADGVHVISLSMGTGTLFDYTHDVVAYAAFKAVSHGIFVACSAGNSGPDPLSLWNVAPWIATVGAGTLDRDFPAYVTLGNGKKFRGVSLYGGKPLSGSFVPLVFGGDVGSNLCVPGLLLQGRVTGKIVTCERGQNPTAEKGMAVKAAGGVGMILVNTDGYGEDVEVADAHVIPSAAIGQRDGDAIKKYIVLNDNPTAMIASGGTQLGIHPSPLVAAFSSRGPNPVTPEILKPDFIAPGVNILAGWTNTGSPTGLNEDPRRVEFNIVSGTSVSCPHVSGLAVLLKEAHPEWSPAAIRSALMTTAYSTYKNGEELQDHATGSPSTAFDHGSGHVDPVRALDPGLVYDASADDYLSFLCALNYSSTSIKMFAGGNFTCIVGRKYRVEDLNYPSFAVPILMASGNRNGSNSPTIVKYRRSLSNVGTSGTYKVSISSKIRGVKITVEPDQLTFVKQGEKKGYTVTFIATSMLSGTTSFARLTWSCGKYVVNSPIALLA >cds-PLY93897.1 pep primary_assembly:Lsat_Salinas_v7:7:180551983:180552637:-1 gene:gene-LSAT_7X107160 transcript:rna-gnl|WGS:NBSK|LSAT_7X107160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCSEVAMVDGVGRKVCVRAVKGGGDTYYYGHRSGPRDGRTKAAHVVAQKTSSIENSHAGRMEPARWPHMLNRFGGHLIHGQGISRTLVA >cds-PLY64261.1 pep primary_assembly:Lsat_Salinas_v7:7:2915803:2916953:1 gene:gene-LSAT_7X1840 transcript:rna-gnl|WGS:NBSK|LSAT_7X1840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPQFRGSSPKFRVKRTITTKQQSVTDSPKVVTISMTDWDATDFSSDEENSQLGRRNIKRYVSVINFEENCCGKILKENGGDVCKKKQSRRKKEPAATAGVEAGRGSGNERRFRGVRQRPWGRWSAEIRDPVRRARVWLGTYDTAEEAALVYDRRAIELRGSQALTNLLQPPPPETPEITPVSIPEQYSGNESPDLSSPTSVLRFDKTEDASENQLEPKQTDEALVSNPFSNEYLNYDSNFQDDIFDFRIPSPIILEEVNFPEKIGTESSEILSELDVDVKSWAWDVDSFFQDPHF >cds-PLY99313.1 pep primary_assembly:Lsat_Salinas_v7:7:179923274:179924932:1 gene:gene-LSAT_7X105881 transcript:rna-gnl|WGS:NBSK|LSAT_7X105881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDKRKKKRGRSKVFRFCFRSSAADEASSSGNKSDGLETKNGRLGKPVLTGKELLPEGKMVNGGVADSGGDCVVKRPSPRRRFSRVLKAVLFDSMKTKKKKIRRSSSKSSIASDTSESSSSSSEKIGKSFEDINACSKECADLDDSCSHSNLFSSSSRTTLSSASTSSSSSINSNSRWSSDQKSSSRLDSVDNRDSVPSNSSISNKSNRMNSTSRSSFEQKVSSAIDTPIISISRPSSYQKELSRLDSRTSVSSKSDKIKNGSIHWCLFLLLSLIVLVIWGRLFSILWTSISFYFVPRPRLKRVNSVPTTTEFLDFDSDQYKKKVIMAGLLDRTRPIR >cds-PLY76532.1 pep primary_assembly:Lsat_Salinas_v7:5:12560132:12563131:1 gene:gene-LSAT_5X6860 transcript:rna-gnl|WGS:NBSK|LSAT_5X6860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT5G54290) UniProtKB/TrEMBL;Acc:F4K0A8] MNLIINGNYGTHGAPYGLISSYHRPQRSISGTYVLPVKMMKKSLSKDDSSKEIQTSSKIDQKLSFRITTLTTAISAANLVSSHTAHALDMDAIMETSASSVYTLADGGLGDWFGGLMYSAGQQANEAVQVQLGALSLTSLVVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKSRAQIVGDSLAFAFGLATTLALLGVAASFAGKAYGQVGQGLPLAASGLAVIMGLNLLEVIELQMPSFLDNFDPRAAAANFPSSVQAYLAGLTFALAASPCSTPVLATLLGYVAASKDPIIGGSLLLTYTTGYVAPLLLAASFAGALQSLLSFRKFSAWINPMSGALLLGGGVYTLLDKTFPPTSMTMMM >cds-PLY84931.1 pep primary_assembly:Lsat_Salinas_v7:6:14976657:14978150:1 gene:gene-LSAT_6X11000 transcript:rna-gnl|WGS:NBSK|LSAT_6X11000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKIWRWYTNCLAVHPVKTQVISSGLIWGLGDLAAQAVTRATAVKKKNLFLSDEDNIHINWRRVVTTSIFGMAFVGPIGHFWYEGLDRFLKCRFNYPPKSMRFVAMKVALDGIIFGPVDLFVFFTYMGFASGKNVNQVKEEVKRDFLPALIVEGGIWPIVQVGNFRFIPVRYQLLYVNLFCLLDSCFLSWLEQQQDAAWKQWFKTFSFKEQKSRDG >cds-PLY88447.1 pep primary_assembly:Lsat_Salinas_v7:8:88336459:88340120:1 gene:gene-LSAT_8X61440 transcript:rna-gnl|WGS:NBSK|LSAT_8X61440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative chloride channel-like protein CLC-g [Source:Projected from Arabidopsis thaliana (AT5G33280) UniProtKB/Swiss-Prot;Acc:P60300] MANGFTPVSNGVPDEESITAPLLHLRRSTSNNTSQIAIVGSNPCPIESLDYEIMENDVFKQDWRSRSKIQIFQYTSLKWCLCFVVGTFVGLIGFFNNLAIENIAGIKFVITSNRMLENKYTEAYLIFAAANLGLTLFAVTITAFIAPETAGSGIPEVKAYLNGVDAPAIFSFRTLLVKIVGSIAAVSSSLNVGKAGPMVHTGACIAALTCQGGSKKYWLTWRWFRFFNNDRDKRDLVTCGSAAGIAAAFRAPVGGVLFALEEMASWWRSALLWRAFFATAIVAILLRGLTDLCLSGKCGLFGTGGLIIYDVTSANISYHLRDVPPVLLLGVIGGIAGSLYNCLLGKVLRLYNLINEKGTIYKILLACTVSIVTSCLLFGLPWIAPCHPCPPGATEPCPTIGRSGNYKKFQCPPGHYNELASLFFNTNDDAIKNLFSKDTDTEFHPLSILIFFITCFFLSIFSYGIVAPVGLFIPVIVTGASYGRLVGLLIGSTSNLNHGLFAVLGAASLLGGTMRMTVSLCVILLELTNNLLLLPLIMLVLLISKTVADAFNGNVYDQIMSFKGFPYLETHAEPYMRQLTVSDVVAGPLRVFNGIELVGNIVHVLRTTGHNGFPVVDEPPYSDAPVLYGLILRSHVITLLKKKCFLESPRLDVDAVDRFSASDFAKQGLGNQDKIEEIELSEEEMEMYVDLHPFTNASPYTVVETMSLAKALTLFRGVGLRHLLVVPKTSERLPVVGILTRHDFMPQHILGLHPMLERSKWKRLRFKFPLWNKIF >cds-PLY68368.1 pep primary_assembly:Lsat_Salinas_v7:7:83386049:83387247:-1 gene:gene-LSAT_0X25801 transcript:rna-gnl|WGS:NBSK|LSAT_0X25801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNPTHHLVKKTTYLFQIPCRRSDSSYTRKNLSSSFFQAIDFLNPFWVQLIYFVTVSLVGFVALKTLEFKTSSFKPKNIDLFFTAVSAVTVSSMSTLDMEIFSNSQLIVLMFLMFMGGEVFVSMLGLQFRMFKHKIVNVGSNSNSRSTFDSPEGSIELGVISNDLKPFSSESLDQKFLMYEANRSVGYVVLCYILVIHFIGFIMVYIYLTFNTGTKILLINKGLSRHTFSLFVTVSTFSNCGFVPTNENMMIFKKNSGLLLILIPQILLGSTLYPVVLRAIISVLQKITKRVELSYMLKYPLELSYGQMLPSVQSFYLAVTVLGLILVQFVAFCFMEWHNTEVMVGLTDYEKLVASFFQIVNTRYAGEAVYDLSKISPAILVGFVLMM >cds-PLY84574.1 pep primary_assembly:Lsat_Salinas_v7:1:29771155:29772084:-1 gene:gene-LSAT_1X25381 transcript:rna-gnl|WGS:NBSK|LSAT_1X25381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVRGSFPETLFLVGRTGNGKSATGNSILGTNGFESRRSSSSVTSVSELRKAVLADGRILNVIDTPGLFDSSVDSEIISKEFVGCIDSGMDGIHAVLVVFSVCCRFSEEEKAVKSSLLALFGREVYNYIIIVFTGGDELENDGKNLDDFLCDSPEALKELLSLCEGRCVLFDNRTKNPTKRANQVQQLLSGESHKKSSKFLHNKQIEEQEPKQQKEEEKKQSDTRTIHPVNQKSSRTRKPNRDPSFIY >cds-PLY83642.1 pep primary_assembly:Lsat_Salinas_v7:4:43288622:43289143:-1 gene:gene-LSAT_4X28940 transcript:rna-gnl|WGS:NBSK|LSAT_4X28940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPLIPSCSKIPITPSQFCNLPEDNEISIPAFEDMLIFDSPKSSSQEVDALNLRENIDNSSDSPKPFDSCSDSNVGSDPIPVLNQDLQIHIPHSWSVDPIHSFKKTNRQCSETTHNEFGQPSNPKSQQLASSSIARQWIVLLIMYLSLGVVIYCSRENFIDSETHVAVDAL >cds-PLY95662.1 pep primary_assembly:Lsat_Salinas_v7:2:111958586:111958939:1 gene:gene-LSAT_2X50381 transcript:rna-gnl|WGS:NBSK|LSAT_2X50381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQLNDYNDTIEQVLPMSMEEEYPPQTETQDGAEEIIPETQPESEEEEEGINDTHELPVHLRIVKRRRPSERIVKTKLKKMGCVGTSSNSALELD >cds-PLY91025.1 pep primary_assembly:Lsat_Salinas_v7:7:179136227:179139267:1 gene:gene-LSAT_7X106320 transcript:rna-gnl|WGS:NBSK|LSAT_7X106320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 2 [Source:Projected from Arabidopsis thaliana (AT3G13682) UniProtKB/Swiss-Prot;Acc:Q9LID0] METPVSKRPLRKKHLSRSYDENLMDDYLDKQLGNSPRKRFRTKKELEKETEKEAMIALSLGFPIDALLEEEIKAKVVSELDGKEQNDYIVVRNHILSRWRANVHAWLSKGEIKETVSNEFNHLLHSAYDFLLFNGYINFGVSPTFKPQMPEESTEGSVIVIGAGLAGLAAARQLLAFGFKVIVLEGRNRPGGRVYTQKMGQGQNGNYAVVDLGGSVITGIHANPLGVLARQLSIPLHKVRDKCPLYDPEGKPVGKETDSKVEFIFNRLLDKVTEFRQIMGESCGDISLGSVLDKLTKLYAVATSVEEKQLLDWHFANLEYANAGPLSDLSAAYWDQDDPYEMGGDHCFLAGGNWRLIEALCEGVPIFYEKIVKTIRYGENGVEVITSDQTFQGDIVLCTVPLGVLKKKVISFVPELPERKLEAIERLGFGLLNKVAMVFPYVFWGEDVDTFGCLNRNSENRGEFFLFYSYHTVSGGSVLVALVAGEAAKSFESTHPSTLLHRVLNVLKGIYGPKGIEVPNPIQSICTKWGNDPLSCGSYSHVRVHSSGSDYDILAENVANRLFFAGEATNRQHPATMHGAYLSGLREASCIYRVRKSNYNNNNDDDNNNNNNNNNKQDNPKKSIRKIIGVSDTLINLFKNPDLSFGNFLFVFDPSNEDDESTGLMMVAIDNDDDDDKVKVKVNNGQSQHAVKLYTMVTRVQARDLEAVVGGGESGLSYMSENLGLKLMGMDCFALVANSLISNIATRRSRVRNRVVFGNRVSYT >cds-PLY71692.1 pep primary_assembly:Lsat_Salinas_v7:3:45409203:45412571:-1 gene:gene-LSAT_3X35480 transcript:rna-gnl|WGS:NBSK|LSAT_3X35480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKLVPDCSNAITAIKPSSLLNSLFNHRHPTPIGAFCHSRNFQQPPRSLTTIRASALNRRDFIADTATAAAALSLAPLIGLDTTSVAKADDLSEWERVYLPIDPGVVLLDIAFVPEDPSHGFLLGTRQTLLETKDGGSTWVSRSISSAEDEDFNYRFNSISFKGKEGWIVGKPAILLHTSDSGDSWERIPLSAELPGDIVYIKATEENSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPEGNYVAVSSRGNFYLTWEPGQPYWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLYLSKGTGITEEFEEIPVQSRGFGILDVGYKSKEEAWAAGGSGVLLKTTNSGKSWSRDKAADNIAANLYSVKFINDRQGFVLGNDGVLLKYLG >cds-PLY64869.1 pep primary_assembly:Lsat_Salinas_v7:3:16799591:16801007:1 gene:gene-LSAT_3X12080 transcript:rna-gnl|WGS:NBSK|LSAT_3X12080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVTYNKYFNVSRIKGSSKEEIKDLKVALQNGKLELEKKDAELKALSTKVNEQDQTLKIVLAHLTTKGTDFPNLPQTLGISSDKIVESRETPVSLKAKEPWEPLTPVIAKSTKKTVQTKSTTATPDTQLPSLKSATLANTKPTRKAVESKSTTINPEITMVSPKNPFTNLSYPDKRNIVARGTVHLSSERQFIYGVPLQDDCYKVSIDEFVKKDSFLPHETVEFKIVAHAYKSFVTWPIHLVKADSKVPYMISHQDHELQNSKKRKPTYISSDTLLKKKKSSTNKMNA >cds-PLY71364.1 pep primary_assembly:Lsat_Salinas_v7:4:229880908:229885712:1 gene:gene-LSAT_4X125921 transcript:rna-gnl|WGS:NBSK|LSAT_4X125921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATASVAFKSREDHRKQMELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIYQADKYRKGACENCGAMTHTTKTCMERPRKLGAKWTSKNIAPDEKIETFELDYDGKRDRWNGYDAASYAHVIERYEARDEARKKFLKDQQLKKLEEKGNTENVEEGVSDDEDNEDALKVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPDMDPNEKFYAGDNQNRVSGQALEFKQLNIHAWEAFEKGNDVHMQAAPSQAELLYKNYKVNKEKLKSQVKETIVEKYGNAAADEALPRELLLGQSEREVEYDRAGRIIKGQEMALPKSKYEEDVYINNHTCVWGSWWKGHQWGYKCCKQFIRNSYCTGAAGIEAAEAASDLMKANIARKEATEDKRRREEKDERKRKYNVKWNDEVTAEDMEAYRMKKVLHDDPMKDFLN >cds-PLY84465.1 pep primary_assembly:Lsat_Salinas_v7:7:127829990:127832113:1 gene:gene-LSAT_7X76521 transcript:rna-gnl|WGS:NBSK|LSAT_7X76521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANRRPSSLFFSSFFSPRGDTLLYLIAFLSIFSLLLHHLSISASADSHFEGFDADDEVEDDSFLSQSSLSDLPRRSPPPSTTLSTSSDPESHHGPPDPSDDSSMPSDPDLSGKPSTTPSSFDYWDEDEFEGFPTELTPPEVAKDAAPTTPADSVSAEPDDALKISEPVSLIKRIRSYIIEILCVSFLILFTINYFTGKKENETLALAWAAKFATRDTIFEKNFSLLGVGDDDDSPLLLKEGQNVFKFYASGRRYCQGLLATIELKSRHDLIARLYNMIVPCKDEITFEVYMNEDAMDHVVFALAKKKAAKTMQKEVRDLQRFANVLASGPNGRKWVADELSVITESKEVAGDLITEAVLDQVFGEKSFEKFGKWFISMHFSDQHQSSHRKMLVFRFAIPDVNNMADMTRLVALVPYYIELIGRYKLSSQARSKTEAARTKVAQEVYKELQNARQEALQKKKAERRKMLEEAESKLNAEALRRKEAKERARQLKKAMPKIKMSRGA >cds-PLY82546.1 pep primary_assembly:Lsat_Salinas_v7:2:182814407:182816089:1 gene:gene-LSAT_2X104561 transcript:rna-gnl|WGS:NBSK|LSAT_2X104561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMLLSFLTFSLLLGLFNSSQLEIEELSWLDDNNDEEINMVQSRHDSLRKCDFVSGKWVYDQTYPLYDASTCPYLSTKVTCQKNGRPDSDYEKWRWKPHGCNIPRFDALEFLGKMRRKRIMLVGDSIMRNQWESLVCLVESVVPTDRKTVTYAGPTMAFHALDFETSIEFCWAPLLVELKKGLGNKRILHLDLIEENAKYWRAADVLVFDSAHWWTHSDKWTSWDLLMDGNHVAQNMNRMVAYQRGLRTWAKWVDLNLDPRQSRVIFRTMSPRHNRDNGWKCYKQREPLGYASHPHVPEEVMVLKGVIKRMRFPVYLEDITGMSALRRDGHPSVYFAQSGGSDHGSADCSHWCLPGVPDIWNEMLNAML >cds-PLY91275.1 pep primary_assembly:Lsat_Salinas_v7:3:75189431:75193668:-1 gene:gene-LSAT_3X57160 transcript:rna-gnl|WGS:NBSK|LSAT_3X57160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTPYSPAPPKGEITATKEEPALSLDTTKGNPNGVKGNTASTPVRPTSYQNLSFNPNGTYGREYVSLIMSSDDSDSATTQSRLLFEYIEQNQPYGREPLTDKVWVVLNCCNDNWEKVWVVFNPGLLEKGIMTKKHNNKK >cds-PLY81001.1 pep primary_assembly:Lsat_Salinas_v7:9:174864599:174865033:1 gene:gene-LSAT_9X106520 transcript:rna-gnl|WGS:NBSK|LSAT_9X106520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNEPRRARRRHQLPPLPRLFHSSRKHLRLPTRIVFHYXXXXVSGNEDSTPPPSCRTEQPKHYLRIAAPSAISFVIFVELEKQPREAADSFPSRIVAGGRRPPLLTISSATPMSSLLPICFFLRSDEDALPFDCSCVLKCKPTN >cds-PLY92480.1 pep primary_assembly:Lsat_Salinas_v7:2:152664636:152667755:-1 gene:gene-LSAT_2X76801 transcript:rna-gnl|WGS:NBSK|LSAT_2X76801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKANPFSISLSLTLITSTYFLLPLIFYFPHATRAVAAAGGGGSGGSVPVIDGPTVRKDERWPLLSSEFGEISAVKISDGRNGSYHLHFITMVPQSLLLPVQLYSEMIFYVNSGSGSLSWMDVNKDDDKLQQVNLKTGDVYRLQPETVFYLENNLVDNDGQELQIYAIFSDSDDKLLQNKQGDEVYVGVHDLVLGFDNVVLQAALNLPGELMEELRTGKRQPLIVQGLPVVNYSMGEVGSRVTSSFLGTKNNDILNIQNKKDKNKKKAYNIHESDHDVENCYGWSAIVTKKQLDVLKDSDFSVFMVNLTKGSMIGPHWNPSSVEIAIVLRGQGMVQVVCPTITNEKVCKNSRFKVAEGDVFVVPRYHPMAQISFNNDTFVFMGFTLTSKDSFPQYLAGKLSILQKLDKTVLMKSFNVSNTTMDQVLSVKKESILLDCTSCAEDEEKVMEEEEEGREREGGKGGGDEGKQETERKGEIAIRRKEKEVEIEREFRRGKGGKSQKMDGERDRMEEEKEVEVERNVEGGGDRRWQEA >cds-PLY68586.1 pep primary_assembly:Lsat_Salinas_v7:2:22780240:22785509:1 gene:gene-LSAT_2X9540 transcript:rna-gnl|WGS:NBSK|LSAT_2X9540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLINQSGRSVGERVNRTPAHSMRRDLKVVVLSSDSRKDNVTVKNLDEDEDSDFEVDKPVPRKLPKVVASGVEEARRIQIRTSPNVLYSCMHNLSKEHEEYISSIGLGHLLNMKVDGCASIMGHYIVRNFNADRMVLKLHHGXTQTYHGEIPINRQVIHEMLGLPLGHVTIKSMPYKEVTDDMITIWRKQFEDEDNIRPRAVQQVIMQSTRAYLMFKVNIFVLLCNTLGQSMSMGTCDLSMLSKVTKDLDLSDIDWCGYVFDCLKETKSAWNPNSKKGFYVGPIILLLLLYVESVRCDSVKIVRCRPAICCWNVDKLRERERVECRTIGLGMGELQDPFQVINEASGTGNVGQEKVQGNDAGDVRCKGNQGDDIFSGSGESVETTISTIKEMYGMILQQKKVLEDKINDAVKKYPDNQLVKEWKNKVNDLFTEVSASEEPEQSQWWYDNEAEIERTLILATTNKQFDNSPIAKCSIQMSQEYADFANRSVVPFNNDEHWVSRRGYRPRMKSEYLKSPYIIWAVDIIKGVPRPEKRVAEWIFSLQGEPNDIVFHTLDGFSAQRFHMESFFPTCELFGHVIDCWSQVLNLDESKRAPESPLRVYCKTDVTLFLPIIRSFHIFLFVINLQQTEFVIVDNRKVDDPDGERYGQLPQIIKEYIVDYLKSQNHPKAEMFSHVMPHRLEMPWRTINNNIDCGVFTMRHMETYMGGSMNEFKAGFKNESSAQDDQLVKLRTKYLYKILTHEYNVQKDYVLQKVDEFHKIPSKQRSQLLAIAKEEIHRRLDDLS >cds-PLY64008.1 pep primary_assembly:Lsat_Salinas_v7:4:190333762:190334634:-1 gene:gene-LSAT_4X109100 transcript:rna-gnl|WGS:NBSK|LSAT_4X109100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSLFAFVRFDGVQDAKSLEQDMSRVRCDHSILKVNIARSFVDVVMGRASTQKPSTPPLFIALNPIVKTSIWEECDLVSECISVQHIKDLIGIFELEGNQCGMVYYTRDLNILIKFITSKDAETFYANDSNWKKWLNWLKRGFNDESSVERITWVRIFGVLVKFRSESNYARIANAFGKPLETFGGDWNVFDISTGQVCIITASMMTINDKIEITHGNKSFQFGVVEFNKEWTSFDSVLGVCTIKTPSDRERYR >cds-PLY63828.1 pep primary_assembly:Lsat_Salinas_v7:3:229496704:229496943:1 gene:gene-LSAT_3X131421 transcript:rna-gnl|WGS:NBSK|LSAT_3X131421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWQQIEASRRKWMRQLVVRGDWVGFLSNHRCLADPINKGKKMRTRDFAPLDLAEICNHSSGFLVENEGEGKEKRLLASF >cds-PLY92829.1 pep primary_assembly:Lsat_Salinas_v7:2:2320779:2321003:1 gene:gene-LSAT_2X1401 transcript:rna-gnl|WGS:NBSK|LSAT_2X1401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFAGLLGLGHLDVDGAKALCSFGECEVVMDGLGVGIGEAGGGMGGDAGGVGDGAGGGGDGGVGIGVGGAGGGV >cds-PLY91774.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1129563:1131503:-1 gene:gene-LSAT_0X900 transcript:rna-gnl|WGS:NBSK|LSAT_0X900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAINLSSLLLGVFMLILFNGSNALSSNYYDQTCPMAEATVTSAVKKAMLNDKTVPAALLRMQFHDCFIRGCDGSVLLNSTRKNKAEKDGPPNISLHAFYVIDNAKKALEAMCPGTVSCADIVALAARDAVHLSGGPSWAVPKGRKDGRISKASETKQLPAPLFNISQLQQSFSQRGLSINDLVALSGGHTLGFAHCSSFQNRIHNFATKQDIDPSMHQSFAASLQSVCPMHNKVRNAGANLDSTPTVFDNRYYKLLLEGKSIFTSDQALLTNPTTKTLVTKFANSRDEFEKAFVESMIKMSNIDGGGQEVRLNCRVVN >cds-PLY76544.1 pep primary_assembly:Lsat_Salinas_v7:8:90896499:90900608:-1 gene:gene-LSAT_8X63481 transcript:rna-gnl|WGS:NBSK|LSAT_8X63481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDSGSKSQYVGGQREKFKRLDDLDSRTSSPASSVAMNKCGFEISRPKHTHATATPTRSFKNGIRKGSEGLKSIGRSLGFGVSHAVFPEDLKVSEKKILDPQAKFLLMWNKFFVVSCIIAVSIDPLFFYLPVFDQTKTCLGIDRKLAIIATTLRTVVDAFYLIHMALEFRTAYIAPSSRVFGRGELVIDPAQIAKRYLKWYFIIDFLSVLPLPQIVIWRYLQRQRGSDVMATKEALLYIVLIQYIPRFARFIPLTSELKRTAGVLAETAWAGAAYYLLLYMLASHIVGAFWYLLSAERVDTCWQKACKASQHDKDLIYCGNEDMRGYDSWISNSTNILKEACSPDGDNPPFDFGIFNQALSSGIVSSTKFVQKYCYCLWWGLQNLSTLGQGLKTSTYPGETIFSIALAISGLILFALLIGNMQTYLQSLTIRLEEMRIKRRDSEQWMHHRLLPDDLQERVRRYDQYKWLETRGVDEENLVQTLPKDLRRDIKRHLCLALVKRVPLFENMDERLLDAICERLKPCLYTDSSYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSLLKEGDFCGEELLTWALDPKSGANLPSSTRTVKALREVEAFALPADELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRYSKRKILEQRRKEEEEEAELAARNSAVTGTPSYSLGATFLASKFAANALRGVQRNRNLRTARELVKLQKPPEPDFTADAD >cds-PLY93951.1 pep primary_assembly:Lsat_Salinas_v7:8:110629566:110631592:1 gene:gene-LSAT_8X75500 transcript:rna-gnl|WGS:NBSK|LSAT_8X75500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKKRKLEQNANGEITSNTSEDQLRSLLDPLSKTQLVDLLSKLGCQYPSISEEIKSVASADPANRKLFVRGLAWNTTTETLYAAFKEHGEIEEGAVIVDKASGKSRGYGFITYKYIDSTRKALEAPSKLIDGRMAVCTLACEGISTIGSSNTTTITTDQAQRKLYIGGLSPEITSEMLLLFFKKHGEIEEGSVAYDKDTNKSRGFGFITYKTVEAAKKAIDNPQKMLGGRNITVKLADNQKGKIVQTQILPGGTTVPIQMVGYGQPGKTHLSGGYGFPSQAIAAYPGSGPQAATTEYPIQTQIGYSQYFLRKENVENSLITPTGGYAYYGTKQ >cds-PLY64333.1 pep primary_assembly:Lsat_Salinas_v7:4:26315703:26316120:1 gene:gene-LSAT_4X18860 transcript:rna-gnl|WGS:NBSK|LSAT_4X18860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESPDFEDTTFYTELTRQILLIMDEDDDTYTRRNRYGASQFQRTPVSGGSGKYFNWVEGQGGRNMEVPGWMESLWANNGAGTGVFIPRVATTGKVRRRRHHKSRKNKDGGRIHSLEGQKIHV >cds-PLY98274.1 pep primary_assembly:Lsat_Salinas_v7:7:171014051:171016138:-1 gene:gene-LSAT_7X100200 transcript:rna-gnl|WGS:NBSK|LSAT_7X100200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:Projected from Arabidopsis thaliana (AT3G14770) UniProtKB/TrEMBL;Acc:A0A178VM79] MTNLVSPETLEICKQAAGIAGNIFAFGLFVSPIPTFRRIIRNQSTEQFSGIPYIYALLNCLICAWYGCPFISSDNLLVTTVNSVGAVFQLSYIIIYITNTEKPKKFKMSALLVAVFGLFAVISIGSMLIKDLEFRHLLIGFLSCFTLISMFASPMSVMNIVIQTRSVEFMPFYLSLSTFLMSTSFLLYGVFNFDPFIYVPNGIGTILGIAQLALYFYYHDKSKEESREPLIESIA >cds-PLY83370.1 pep primary_assembly:Lsat_Salinas_v7:5:95315906:95316915:-1 gene:gene-LSAT_5X43821 transcript:rna-gnl|WGS:NBSK|LSAT_5X43821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQKSIDPTKKTKSTTKQSNKPTKSQEWSYQDDEEVDFVDGFRDLPSRFQETLLPDLEVLSMTSKVYLKEANKDITNGVKPIVGTKYAPTVASIVSFAFIMIPFVLVSVIFSQIKAYFSLQKLLIFIQIYLSIYFSILCLTSLVTGLEPLKFFYATSQSTYICIQLLQTLSYVLYLLVLLMYFVLVFSTETGIGTKLLAFGQMFVGFAVGFHYYMTVFHRAVLHQPPKTSWKFHAIYATCNILICLLDRAGRRKKAYVMDGSEEGKMS >cds-PLY94388.1 pep primary_assembly:Lsat_Salinas_v7:6:10169110:10172456:1 gene:gene-LSAT_6X7220 transcript:rna-gnl|WGS:NBSK|LSAT_6X7220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMESMASAIGVSVPVLRFLLCFVATIPVSFFHRLVPGGPTARHLYAALTGALLSYISFGFSSNLHFLVPMLISYASMIIYRKRCGVISFLSAMGYLIGCHVYYMSGDAWKEGGIDATGSLMVITLKVISCAINYNDGLLKEEDLRESQRKNRLLKLPNLIEYIGYCLCCGSHFAGPVYEVKDYLDWTERKGIWTKSDKGTPSPFLATLKALLQAGFCMGLYLYLVPSHPLSRFSEPIYQEWGFVQRLSYQYMSGFTARWKYYFIWSISEASLIISGLGFSGWTNSPSPKPLWDRAKNVDVLGVEFAKSSVELPLVWNIQVSTWLRHYVYDRLVQKGKKAGFLQLLATQTVSAVWHGLYPGYMIFFVQSALMIAGSRAIYRWQQSVPPNIKKIFMLMNFAYTLLVLNYSCVGFMVLNFNETLAAYGSVYYIGTIIPVVLILLGNIIKPKPIRSKIQKQK >cds-PLY63648.1 pep primary_assembly:Lsat_Salinas_v7:4:134234313:134234677:-1 gene:gene-LSAT_4X84101 transcript:rna-gnl|WGS:NBSK|LSAT_4X84101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTALPQLQLLGKFLVGCMLDNINFVGNNHTAATATASGRGIKREREQKLHISLNNNFFCQDDAGLMNPDHTHPVSTGLKLSYQGGKARSGLIMIL >cds-PLY84794.1 pep primary_assembly:Lsat_Salinas_v7:8:24115348:24118474:-1 gene:gene-LSAT_8X20780 transcript:rna-gnl|WGS:NBSK|LSAT_8X20780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFFRARRVGVTAASLAVAVGGSYVALRDPYVSSNDRNGSGTALEAVRRKITDPVAVIPSRTLQESSLIAANSSNPLDILVVGGGATGCGVALDAVTRGLRVGLVERDDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALEERKQVIDNAPHLCHALPCMTPCFSWFEALYYWVGLKMYDLVAGRRLLHLSRYYSAQESAELFPTLARKGKDRSLKGTVVYYDGQMNDSRVNVGLACTAALAGASVLNHAEVVNLIKEDGGDRIIGARIRDNLSGTEFETYAKVIVNAGGPFCDSVRKLADKDAKPMICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITMLPEPHEDEIEFILDAICDYLNVKVRRADVLSAWSGIRPLATDPNAKNTESISRDHVVCEDFPGLVTITGGKWTTYRSMAEDAVDAAIKSGNLNPKNTSLTSNIRLVGGEGWDPAFFTVLAQEYVRMKVAHNGKIVPGVMDTAAAKHLSHSYGTMAEKVASIAQKENLGKRLAHGYPYLEAEVAYCARNEYCESAVDFIARRSRLAFLDTDAAGRALPRVIQILADEHRWDKKRQKEEFQTATRFLQSFKSSKNAQFYDGKHI >cds-PLY92375.1 pep primary_assembly:Lsat_Salinas_v7:3:125563506:125565644:-1 gene:gene-LSAT_3X86100 transcript:rna-gnl|WGS:NBSK|LSAT_3X86100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRLHSNQSPHKPKIPVPPHPPPPPPPEKPGVFPIKTISTSSSYQQLRKTESMSPSAKVIPKIVKTNSFPESNNRDADDLKPRLKPLHWDKVRATSDRATVWDQLKSSSFQLNEDIMESLFGCNSGGSTKNEDNPRKSVVPPAMHENRVLDPKKSQNIAILLRALNVTQEEVSDALLDGNPEGLGAELLETLVKMAPTKEEEIKLRDYRGDVSKLGSAERFLKGILDVPFAFRRVEAMLYRANFDNEVKYLRSCFHTLEAASEELKNSRLFLKLLEAVLRAGNRMNIGTNRGEATAFKLDTLLKLVDIKGTDGKTTLLHFVVQEIIRSEIGNSDSNSNSNSNSNSNSKPETYPTFNEGTFKKQGLQIVGGLSRELGHIKKAAGMDSDVLSGYVKKLETGLQKIRLTDHETSQTQGNFFISMRVFLKEAELEIGKIKIDEKKALGCVKEVTQYFHGDAAKEGVYPLRIFTIVRDFLGILDHVCKEVGQMQDRIMVGSARLPVVNRYNVREISSSDEESSYST >cds-PLY74979.1 pep primary_assembly:Lsat_Salinas_v7:5:87442074:87444696:1 gene:gene-LSAT_5X40140 transcript:rna-gnl|WGS:NBSK|LSAT_5X40140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESITLPILSSLQARFCVRGRRLLYEYCKEHGIPHKQIGKLIVACGHEELPKLNYLLNRGNENGVEGLRMMKGSDAMKLEPELQCLKALWSPTSGIIDSHSLMLSLMGEAETHGTIFSYNSTVIGAHMEGNQINLHISETKSLKNLDSKSQGNPDIILLPKLVVNAAGLTSTALARRFNGINHGVIPQSYYARGCYFSLSNITVSPFKHLIYPIPEDGGLGVHVTLDLDGNVKFGPDVEWLSDIDDISSMQNNFDYTVCADRANKFYPAIRRYYPSLNDASLQPAYAGIRPKIAGPGDAFSDFIIQGEEGHGIPGLVNLFGIESPGLTSSMAIAEHVAAKLSRF >cds-PLY78576.1 pep primary_assembly:Lsat_Salinas_v7:1:105547498:105550608:-1 gene:gene-LSAT_0X4900 transcript:rna-gnl|WGS:NBSK|LSAT_0X4900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLITIILVLEISKTILIIFQIVSNASHALSDFSTTLNPNNNKQKDTGVISFDFSYPPSYPTGGDFGKGVIDLGGIEVHEALYFKKIWTIKSGGPDDFGVSFFEPSSIPLGFSLLGHYCNPNSKSIFSSVLTAKDTTGNLYWGSLRRPIDYTLIWTSKGLDINKDVDGYIWMPIPPFAYKAIGHIVTTSPEKPSLDMVRCVRKDFTDGITVDEWLWGLKMVDINIYTTKPNGGALSVPTGTFLARVNGSDMQELACLKMVRNDTYSAMPNSLQIKKMIEAYAPMVYLHPDEEYLPSSVLWFFQNGAELHRSGMIPWPVINDGVNLPSNSSVDGLYLDLPSDEAEKERVKKGFLPEATVYVHVKSALGATFTDLAIWLYYPFNGGGRFQLGPFTISLGMIGEHVSDWEHITLRIDNFNGILKSIYLSRHAAGVWVTPHEFEFMNGTRPIVYASLHGHSHYNTPSYHLHSTVEIDADDMKLLEEVLKMDSSKLPVVRAEKILGFGVRDDTGKSNNLMDIASSYQVVCVDYNVSYMEPWLNYTGRWGPKFSYTFTKEVIKIANHFPDKFKRLILRILNKLPAELLGEEGPEGPKMKESWTGDERT >cds-PLY62725.1 pep primary_assembly:Lsat_Salinas_v7:6:55628496:55629166:-1 gene:gene-LSAT_6X41620 transcript:rna-gnl|WGS:NBSK|LSAT_6X41620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWINHILVFILAGTIAITIDLFQLFSSTKPLFEIEEMQGKQSLCLTLKERQRSRPSSDNEARSSQSSADIKSYIITTQLDPGVYRKHVEDTTTSNLTGFAFVVIGNFHLAGGKIT >cds-PLY99206.1 pep primary_assembly:Lsat_Salinas_v7:4:367425027:367434063:-1 gene:gene-LSAT_4X180501 transcript:rna-gnl|WGS:NBSK|LSAT_4X180501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLPTVRRCKCFITDHESALEELNGDEEFSRKGDECVTAMANRIATVFASMLEFPFVRYRAAKSLDPKTMTTFCDLILAKLVAAVWNNLMKYRTLKHFPQIETCNLLISM >cds-PLY62004.1 pep primary_assembly:Lsat_Salinas_v7:2:172715440:172715634:-1 gene:gene-LSAT_2X95341 transcript:rna-gnl|WGS:NBSK|LSAT_2X95341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSESEALDLSCVARRTEEMDIALMSLTEMDFAGLFRLGERDYDSLRQFWHRQGLGSSSSDSEY >cds-PLY96362.1 pep primary_assembly:Lsat_Salinas_v7:4:357475529:357476847:-1 gene:gene-LSAT_4X175741 transcript:rna-gnl|WGS:NBSK|LSAT_4X175741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQQTLIYYLSEHPTIVKFRWSHTESWGSTWSFLFTSISAYLLLALLLHLLLYYRRRPVPLGPIPALHSLSMALISLTIFTGILFSAAAEIRDTRWFWRRTKTTPFQWFLCFPLGTRPSGRVFFWSYIFYLTRFLHTFRTFFAILRRRNLSFFRLFNHSILILMSFLWLEFSQSFQILGILLTTSIYSVVYGYRFWTAIGLRSACFPFVINCQMVLLACNIVCHVGVLLLHLNKGGCNGIGAWGINSVLNVAILLLFLNFYVNSHLKQRRKKAALSPDHRDDVDGKANTVSAARETNRIGRSDYKDKNI >cds-PLY81182.1 pep primary_assembly:Lsat_Salinas_v7:MU041799.1:64193:64345:-1 gene:gene-LSAT_0X36480 transcript:rna-gnl|WGS:NBSK|LSAT_0X36480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVLKLTLKPRTNIQIYVPRECNQATSSSKCKTREEMEKGEFSSTITSKK >cds-PLY91234.1 pep primary_assembly:Lsat_Salinas_v7:3:75129988:75130794:-1 gene:gene-LSAT_3X57941 transcript:rna-gnl|WGS:NBSK|LSAT_3X57941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRIPMLQKVSNLIKLSIFIAKMRLIYLKKSTKLNKFKSLKHYNSYGFLQEHQFSPSSTPLIRFHRRKTNGVPMYSICFLSCFGGRHHGVMVDENYSFESAIIQVERECEEEEEEEEYEEDSVDERAEKFIERFYEEMKRQRRESANFPLNRMLEY >cds-PLY91967.1 pep primary_assembly:Lsat_Salinas_v7:7:125130340:125132702:1 gene:gene-LSAT_7X76040 transcript:rna-gnl|WGS:NBSK|LSAT_7X76040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSFKIVILAMLLLIACESKLGVVEGRSVTNGFHDVILKACKDKSECKCSWFWQKPKCEDGSCKCR >cds-PLY89500.1 pep primary_assembly:Lsat_Salinas_v7:4:147918394:147922047:1 gene:gene-LSAT_4X91020 transcript:rna-gnl|WGS:NBSK|LSAT_4X91020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVQLRPMPHRTENIFKIQYSIGWSDPDAALERNYLNQTRVMHDFMTSFVSKNPSGAFLNYRDLDIGELHQSCQREDGTEDQRIGSQLLKVYAIEIPTYTESKNNKKTLKTLPDGVRLRGAINVLLLGDPSNAKSQESSKSIHIEAFHVFKLFAANQNKPADIVGILVANRSKLLRLFADFKPDKCNKIKLQIWS >cds-PLY87460.1 pep primary_assembly:Lsat_Salinas_v7:2:135189766:135194486:1 gene:gene-LSAT_2X64821 transcript:rna-gnl|WGS:NBSK|LSAT_2X64821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVEATPSIVNLSPCFALKSGYKNQFSRVSVKCTQTAADSSLVESTDSVKVNGVSLNQRVGSLNDVERREFLGSNAIIDDKSRWKEEKLEPLWDDGYGTQSVKDYLDLAKDFIKPDGGPPRWFCPVVADGNETPLPNSPVLLFLPGMDGLGLGLILHHKSLKKVFEVRCLHIPVQDRTPFEDLVTFVEETLKFEHALFPNRPIYLVGDSFGGCLALAVAARNPAIDLVVILSNPATSFDRSQLQPLFPALEVMPDTLHVTIPYLLSFVMGDPLKMAMVTAETSLTPNVGKVADNLTALLPRLSGLSDIIPRSTLLWKLKLLKSAAAYTNSRLHAVKAEVLVLASGKDNMLPSSNEAQRLSKTLQNCKICYFKDNGHTLLLEDGMNLLTIIKGRLKYRRTWKHDFVKDFIPPSMLEYKSALGGNGWFQIATSPVMFSTLEDGRIVRGLSGIPDEGPVLLVGYHMLMGLELAPIILEFLREKKVMVRGVAHPELFKMNSTNELPEFSYVDLMKVFGALPVTPTNLFKLFSSKSFALLYPGGAREALHRKGEEYKLFWPDEPEFVRMAAKFGATIIPFGSVGEDDITELVLDYDDMMKIPILNNYIKKSNEEAINVRAEMDGEVGNQDLFLPGLVPKVPGRFYYLFGKPIQTKGKEKMLKDRENAKELYMEIKSEVEKSMAYLIKKREEDPYRGIVNRLVNQPILTPVHQVPTFEP >cds-PLY65230.1 pep primary_assembly:Lsat_Salinas_v7:8:20015106:20016386:1 gene:gene-LSAT_8X15340 transcript:rna-gnl|WGS:NBSK|LSAT_8X15340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELSRVYCFLMLTAFFTTVVNARIPGVYTGGQWESAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGFRCGACFEIKCTNDPQWCHPGSPSIFITATNFCPPNFAQPSDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVNYRRVACRKQGGIRFTINGFRYFNLILISNVAGAGDITMAWVKGSNTDWMSLSRNWGQNWQSNAQLIGQSLSFRVRSSDGRSSASMNIVPSNWQFGQTFTGKNFRV >cds-PLY97405.1 pep primary_assembly:Lsat_Salinas_v7:4:16181586:16184199:-1 gene:gene-LSAT_4X10820 transcript:rna-gnl|WGS:NBSK|LSAT_4X10820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIGALILACSIFTWIFLHRWNQRSRKGPKTWPLVGAAIEQLMNYDRMHDWLVNYLSKSTTVVVPMPFTTYTYIADPANVEHVLKTNFANYPKGEVYHSYMEVLLGNGIFNSDGENWRKQRKTASFEFASKNLRDFSTVVFREYSLKLSSILSHAAFQNQQLDIQELLMRMTLDSICKVGFGVEIGTLAPNLPENKFAKAFDAANIIVTLRFIDPLWKIKKFLRVGSEAVLDQSIKTIDDFTYSVIHKRKSEIEEAQEGPNNHKMKHDILSRFIELGKDPENNINDKSLRDVVLNFVIAGRDTTATTLSWAIYMIMTHDHVAEKLYTELKAFEQECAKEEQMCLEPCDETQDLESFDMRTKQFAQLMSYDSLGKLYYLHAVITETLRLYPAVPQDPKGILNDDILPDGTKVKAGGMVTYVPYSMGRMEYNWGPDANQFNPERWLKDGFFQNASPFKFTAFQAGPRICLGKDSAYLQMKMALAILCRFFKFELVKGHVVDYRMMTILSMANGLKITVSKRS >cds-PLY95523.1 pep primary_assembly:Lsat_Salinas_v7:8:115700641:115702543:1 gene:gene-LSAT_8X80460 transcript:rna-gnl|WGS:NBSK|LSAT_8X80460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSSCDFSLHKFCGEIPTRLEIAFHPHPLTMFMLRYNWSCNICGSKHKPGELRYICFECEFWIDVNCAVDIRKRIIHHPCHPHLLTCAITKPVLCDCSACGRRHEGMFYNCTTCTNFTIHSECAFLSKSLLIQERTHGAFYHTHPLTISYSFPREDQKAKHDPRCRVCGHDFRAMENLWIYKCDECMYYTHVDCATSRREPFMSIFLPPGVGRTRKNYKVGDHRHLLHLPFPDETYSLPKHLFFQQTDHHHKVNLTHISHRHPLILVDHTLSNGQNSSSSWLLKCHNPMKKTQLLCNGCLKPITATMPFYRCATKNDDQIQIQGVCNNFALHEWCTRLPPVIENHPGHPQHTLHLIYSNIPGCKFDVFKCNVCYLHCNGFAYGCAQCKYYVDVTCGLIPKEITHKAHPNHLLSIVNVEYRDDHTPCYMCRRHHTPGQLSFRCDTCDIYIHPECALLLAKTVNHKYDKHPMKLSYLPIENHKSEYFCEICEEDLNPHQSFYHCHDCVQSIHTACAPLILQSETHTYIRYQRSTYEFVNIKFGGIQQGRLTLAQGLMSDGECRNCGWGVQYEMVFKRFDYYKFVVHYRCYK >cds-PLY82311.1 pep primary_assembly:Lsat_Salinas_v7:1:66930408:66930950:-1 gene:gene-LSAT_1X58120 transcript:rna-gnl|WGS:NBSK|LSAT_1X58120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVITYTDEHTSTIPPSRIFKAAILDSHNLMPKLLPDVIKSIELIKGDGGAGSINQTNFSGGYVKHHVDEVDENTLTYKYSLIEGMGISDKVEKVSYDIKFEATPDNGTISKMTTTIYTHGDFELKEEELNAGKEKVLGLYKVVEAYLLENPDAYV >cds-PLY98390.1 pep primary_assembly:Lsat_Salinas_v7:5:316864501:316866730:-1 gene:gene-LSAT_5X174441 transcript:rna-gnl|WGS:NBSK|LSAT_5X174441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVCVTGGSGYIGSWLVRLLLDRGYTVNATVKDLKDEKETKHLEALEGAESRLRLFQIDLVDYESIVAAVTGASGVFHLATPIIVDQVHDPQKEILGPAIKGTINVLTAAKEVGVKRVVVTSSVSAIVPSHKWPPHLPKTEDCWADEEYCKQNQLWYPLSKTLAEKAAWDFAKEKGLDVVVVNPGTVMGPILPPTLNASMLIIHRLIQGCTETYKDFFMGSVHVKDVALAHILVYENKAATGRHLCVEAISHYGDFAAMVAELYPQYNIPRFPNDTQPGLLRSKTGSKKLMELGLEFIPMEQIIRDSVESLKTKGFIS >cds-PLY78572.1 pep primary_assembly:Lsat_Salinas_v7:1:105463168:105469663:1 gene:gene-LSAT_0X4981 transcript:rna-gnl|WGS:NBSK|LSAT_0X4981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNFSRQIRFTSSMIRGLQYRISRSFTTIEGHRPTIIHKQGLDILHDPWFNKGTAFSMTERDRLELRGLLPPNVMSNEQQIERFMADLKRLELNARDGPSDTNSLAKWRILNRLHDRNETMYYKVLIAKIEEYAPVVYTPTVGLVCQKYSGLFRRPRGMYFSAADRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGIQGIGISIGKLDLYVAAAGINPQRVLPIMIDVGTNNEALLKDPLYLGLQQHRLDGEEYIAVIDEFMEAVFTRWPHVIVQFEDFQSKWAFKLLQRYRNSYRMFNDDVQGTAGVAIAGLLGAVRAQGKPMIDFPKQKIVVAGAGSAGIGVLNAARKTMARMLGNNELAFESAGSQFWVVDANGLITEERENIDDDAKPFARKTKEIGRQGLREGASLVEVVREVKPDVLLGLSAVGGLFSKEVLEALRGSTSTRPAIFAMSNPTTSAECTPEEAFSIVGDNIIFASGSPFSDVELGNGHIGHCNQGNNMYLFPGIGLGTLLSGSRVVSDGMLQAAAECLAAYMTEEEVLKGIIYPSISSIRDITKQVAAAVITEAIEEDLAEGYREMDARELRKLNKDELLCYVENSMWNPDYPTLIYKKD >cds-PLY94458.1 pep primary_assembly:Lsat_Salinas_v7:3:251243022:251247898:1 gene:gene-LSAT_3X138481 transcript:rna-gnl|WGS:NBSK|LSAT_3X138481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQSNQGMTIEEASMEQSIGFVKALQELKNLRPQLYSAAEYCEKSYLHSDQKQMVLDNLKDYAIRALVNAVDHLGTVAYKLTDLLDQQSSEISSTELHLTYSVNKKVHFSPQIQTDSNARQSNLQPRTRQFTSGTPASNTLSWHLATETKSTLKGGSRPLMSMEEPKPSRRTPSNGEEGMQKRADNLRLSNVGPASTTAMQTLGIIQRDPSEGQKPMTPFRSFDNQTRHEKEKSKAPGRSKSLLSAFFVKQKPTKQHQPPISFS >cds-PLY83858.1 pep primary_assembly:Lsat_Salinas_v7:3:51153657:51155005:-1 gene:gene-LSAT_3X40800 transcript:rna-gnl|WGS:NBSK|LSAT_3X40800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKLPFEKDGLKKGPWTEEEDLKLIQYIRANGPGKWRSLPKYAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSILGNKWSSIAARLPGRTDNEIKNYWNTHIRKRLLKNGIDPVTHNPRIDFLELSSILNSAWFNLSNLLNLQTLVNPQVLTLATFLGSSSCSSNKENHELFFNHLLNPMNPTLNQQNRPLSVTSNRILNPRHLMQANDMQDFPENLVNLKCDTIQDVMMRTCLSDDCFDIPNYNKQASESLNFQPSYGENDLPTTQHVNYDSILSSSIPSPTPLVSSSTIINNSSNDDERESYSSNMFNLDIPESFEFNDLI >cds-PLY66473.1 pep primary_assembly:Lsat_Salinas_v7:5:327645636:327648312:-1 gene:gene-LSAT_5X183700 transcript:rna-gnl|WGS:NBSK|LSAT_5X183700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLCAEDMDIDEVGAPDSEKKVEPSLSEKTTPNIDTKYSNQISSIKISSLAHVGDKKTQSLEPKYVSMDQGFTFNMRGYTTLHLDFKDTNMDVDRAPDIQKNVESYLSAEVCEEAIVMAQGSEPNMAILPNADSHGDLPVVNSNVGPSEQTVDVNQSGLKCSKSLVTTPLSCDLSEKANNVDAGSLLDSKESMVGEGEVETLSLDSFKTPIRPMDCNANPLITPVRQHTCCTLQDFSINNDKLSLEVTSTMVGRVLMLLHKGIEASNLLAMTFTTTTASEMRYRIGAVAGKTTSKELMINTFHSFSLQLCRLHAYKELAYLMFLNFVKSSPSFQIKSGCWRASFLADHYVTIGKHIYLLEVAESLSGSVPCEYERRSSKKGFVRYWTPEIRNLMRNLSKAESEKESKLKSIMQRLIGRFCEHHVS >cds-PLY70094.1 pep primary_assembly:Lsat_Salinas_v7:4:204141723:204142580:-1 gene:gene-LSAT_4X115020 transcript:rna-gnl|WGS:NBSK|LSAT_4X115020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYEVKSDVLFLPGVIGPVERQDREKAPKTYGKTIHFFGSLAMVFCSKRKGITERIWKVCPTHLHPRLAEFLEVAAKIPIF >cds-PLY92495.1 pep primary_assembly:Lsat_Salinas_v7:2:152293316:152294068:-1 gene:gene-LSAT_2X76380 transcript:rna-gnl|WGS:NBSK|LSAT_2X76380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTLPFILYLKCYQFDYDLNMKACAIMRVAKVLIWGVWAGISNHPSEWKIWVVKIGEGLIILFQIYDFPPYKGCFDAHAISHAIVVPVSYIWWSFIHDDSEYRTKTLMKKANFIQEVVVGDDY >cds-PLY63629.1 pep primary_assembly:Lsat_Salinas_v7:4:133391389:133393543:1 gene:gene-LSAT_4X83340 transcript:rna-gnl|WGS:NBSK|LSAT_4X83340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGVHPPKKSDEVVAGGKTEVIRTYQTWKGSNIFFLGGRFIFGPDFKSVFLSMFLIIAPVAVFCAFVGRKLLDHFPHHLGILIMAILIAFTIYSVSLLVITSGRDPGIIPRNTHPPEPETMDQSLEIGSSQTPQLRLPRFKEVIVNGMTVKVKYCDTCMLYRPPRCSHCSICDNCVQRFDHHCPWVGQCIGLRNYRFFFMFVSSATLLCVYVFSFCWVYAIKIMKSEDVSIWKALIKTPASIVLIIYTFICVWFVGGLTVFHLYLISTNQSTYENFRYRYDRTENPYNRGVFENFKEVFWTRIPPSKNDFRAVVEREAEMLGRTPRGSFVNSNVEKTPSDVEMGNNRKPMERFDRRRSGSWDLASDVGSFGSGYGESNRIMGGSSGSLGGGALTSQNRQ >cds-PLY70587.1 pep primary_assembly:Lsat_Salinas_v7:1:88257405:88258850:1 gene:gene-LSAT_1X75741 transcript:rna-gnl|WGS:NBSK|LSAT_1X75741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEISNDPAAMATMAPSAPVTAQRPVRSDLELSIPKPYMARAMAAPDMEHPDGTKEHSACGMSVLQQHVAFFDQDNNGIVYPWETYTGLRAIGFNVLVSLVLGLGFNLVFSYVSLPGYIPNLLLPIHIANIHKGKHPSDSGTYDTEGRYLPVNFENMFSKYGKTAPDKLTLRELWNMTQGNHVAFDIVGWILNKFEWGLVYIIAKDEGGYLSKEAMRGLFDGSLFEKLAKTKAAKEKKKT >cds-PLY88175.1 pep primary_assembly:Lsat_Salinas_v7:5:221403738:221409102:-1 gene:gene-LSAT_5X101700 transcript:rna-gnl|WGS:NBSK|LSAT_5X101700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASHSMAAAQPCSSYGVFLSFRGEDTRDGFTDHLYTALTQSGIRTFRDDATERGKLLKPELKKAIHNAAISLIVFSKNYASSKWCLDEVLMIIEEHKTSTCKHEVIPVFYKTDPSDVRNQTGSFKEAFDAYDDEIKAETDLEKKKELLEKVGAWRYSLKEAANFTGMVFKDGYESKFIINIVNVVRKKLDYKSLYIEEKLVGIENGVAEIESWLQDPSPDAVVLVIDGMGGIGKSTIAKCIFNLNSRNYDASCFLADINETSDQPGGLLRLQSQLLSNILKSEKEEMIWNIHEGTNKIENAIHMKKVLVILDDVATLKQLDVLLGPKRFAPGSKVMITTRHKWLSTAFTVHPKVHSVKTLSTDDAVELFSFYAFHQDQSNQLYIFKSDPFVRYCTGLPLALKVLGSSLRGKTNDEWEDTMHKLAAIPHPGVQNVLKISYETLEDDTVKDLFLHIACFFEGEEKDYIVKLLDQCDLYPVGGIKNLMDRCLLYIEDERVMMHQLIKEMGRGVVRQESPKNPGKRSRLWHHDDCFNVLQSHSGTNKVEGFMLDMQKIKETKSTHGFEAYLGKRMHGNDANFKIGALEKMKNLMLLQLNYVTFSGRYKKLPKKLRWLSWHGFSLKAIPCDISLEKLVVLDMSYSKLKRVWDGYKFIGSLKILKLSYSVELIETPDFSGLPGLESLILKGCSGLIRVGESISYLKELVLLDLTNCRSLREFPCLPTSIVSLQMSGCPFLGVLGCIQSFGSRPSASFSFLTKANFSFCNLFDNSFPNDWSGLVSLKDLNISGNYVTSLPNCIQTLPTIQKLSVERCSKIQSILGLPEFVHTLYANYNKSLEKVQPAKTSMTAVYQHNCPKLCEVEGRYKLQSLDKVDRKIVRCLGLEESNAGERTDLCLQVLHEFGIFSTYVPEKQIPSFFMYKKKGKQISFKVPWHQNSWTIIGFNMCVVFYYLNKCHPFLEIEVYNKTEHVWWTYQKKNQEIPRTVEKLAWLSFWRCGNLLEAGDEIFIRVIMGPGVVEECCINLIYEGNDEQQLLDEETKKVHLVNASDQMLWTDRMNRDISNFVFRGKRLDGETKQVHLVNASDHMLWTDKMNRDVPNFVFRGKRKEQPGAMYESAVSAAGRQQK >cds-PLY92069.1 pep primary_assembly:Lsat_Salinas_v7:5:323122869:323124844:1 gene:gene-LSAT_5X177800 transcript:rna-gnl|WGS:NBSK|LSAT_5X177800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYPLTSSFNVNKHLAIASYSLPSPVKNHPRLNGRLHNRFTFSGLSVEKRRRSAVLVASASNNGGFSSGGSSYCEFSSLNTPIEPATPAGRFLSSVLLNERKSFHDAVADTLEKLVAELDEAYARRSLTADSSEAHLHRRIAELKKRECKTAVEDVMYMLILYKFTEIKVYLIPKLSMCIYNGRLEILPSKDWELESIHNSEILEMIRTHLTSVIGWKPDFSVTDNWATTKIKRLNLRHIYTSSILFGYFLKSATLRHQLEMSFTDPIDDMVLNSGNHLPLPEFYSLRRNKNLVFGQPPNTISTCKKKQEKLSSYLMGFAPDSLVKCGEPNSKEAINLIARHSFGLFGDVDSDDVILTSFATLKRFVLEAVAFGSFLWDAEEYVKTVYNLEEN >cds-PLY95045.1 pep primary_assembly:Lsat_Salinas_v7:5:226603207:226604116:-1 gene:gene-LSAT_5X106501 transcript:rna-gnl|WGS:NBSK|LSAT_5X106501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGNNDVCIPVSENKSEQVHGQVSIEKEFDYSQRGQWLRAAVLGATDGLVSVSSLMMGVGAVKQDARAMFLTGFAGLVAGACSMAIGEFVSVYSQRDVEVAKMKRDKKISGNEEESEKEALPNPIQAAAASALAFMLGAIMPLLAAAFIVDHKVRLGVVVATVSLGLVVFGWIGAVLGGSPVVKSCFRILVGGWMAMAITFGLTMGIGSTVM >cds-PLY73709.1 pep primary_assembly:Lsat_Salinas_v7:8:169671604:169674340:1 gene:gene-LSAT_8X111221 transcript:rna-gnl|WGS:NBSK|LSAT_8X111221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVMFPLTFDHHESNSIIPDNMNGNDDSWFEEVIDDDLKWSFALNSVLHKVISEYQDIVLLDTKHFGKALVIDGKMQSAESDEFIYHECLIHPPLLSHPNPKAVFIMGGGEGSTAREALKHKSIEKVVMCDIDQEVVAFCREHLTANKEAFCNKKLDLVINDAKIELEERKEKFDIIVGDLADPVEGGPCYQLYTKSFYQEILKPKLNPNGIFVTQAGPAGVFTHQEVFSSIYNTIKQVFKYVVAYTAHVPSFADEWGWVMASDAPFCIEEGEIDKKIRETIKGELLYLDGASFCSLTVLNKMVSSTLKSETHVYTEDNARFIHGQGVGKKLNL >cds-PLY84222.1 pep primary_assembly:Lsat_Salinas_v7:7:77427106:77430148:-1 gene:gene-LSAT_7X53981 transcript:rna-gnl|WGS:NBSK|LSAT_7X53981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRYESAGEGNTRVLDDGDAAPPAALVLNQESPPASGHSSAALFFFLAGLIVLLLLLILAFIFRKFIRPAYLKKLIKRKDSSKAGKDYLSGNLRTISYFSFQALKKATKNFHESNLLGKGGFGPVYLGKLEDGLLVAIKKLALDKSQQGEAEFLSEVKMITSIQHKNLVRLLGCCSQGPQRLLVYEYMKNRSLDHIIYGKSDQYLNWNTRFQIILGIARGLQYLHEDSHLRIVHRDIKASNILLDDKFHPRIGDFGLARFFPEDQAYLSTTFAGTLGYTAPEYAIRGELSEKADIYSFGVLVLEIISCRKNTDLTLTSEMQYLPEYAWKLHERSKMIDLVDPRIRNAGFIEKDVMQTIHVALLCLQAHANTRPPMSEIVAMLTWKVEMVKSPSKPTFLDRRHRWKNENTSWETVSAEFPSPSLTPPPNSRDYNASQSFSGPMVKV >cds-PLY98404.1 pep primary_assembly:Lsat_Salinas_v7:5:314498065:314501050:1 gene:gene-LSAT_5X171361 transcript:rna-gnl|WGS:NBSK|LSAT_5X171361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DAMAGED DNA-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT5G58760) UniProtKB/Swiss-Prot;Acc:Q6NQ88] MSARARRTSFPEVVIERDSDTEKSSDDEEEVEDDVVESDDQEEEDDNEEQKGKEAEVSSAANKKGKAPITISLKKVCKVCKRTGHQAGFQGPTYIDCPMKPCFLCKLPGHTTVNCPHRVAMEFGVIPAYRKRTNNSLDYVFERQVRHRVPSIKPEFVIPDEVNCAVIRYHSRRVTCLEFHPTNNNILLSGDKKGQLGVWDFGKVHEKTVYGNIHSCLLNNMKFSPANDGTVYAASSDGTMSCTDLETGLSTPLMDLNPNGWQGPNSWTMLYGMDLNAEKGLVLVADNFGFLHLVDTRSNSQKGNSILIHKKGTKVVGLHCNPFQPDLLLSCGNDHFARIWDIRRLEAESCLQSLPHKRVVNSAYFSPISGSKIVTTSIDNRIRVWDSIFGNLETPSREIVHSHDFNRHLTPFRAEWDPKDPSESLVVVGRYISENYNGLALHPIDFIDISTGQLVAEVMDPNITTITPVNKLHPRDDVLASGSSRSLFIWRPKEKSEMVQEKDERKIVVCDRKNSKFGDDDDDSGDDFSSKKGKNGKSKSKKPILVTTPCSSSKKKKKKS >cds-PLY84582.1 pep primary_assembly:Lsat_Salinas_v7:1:31198281:31217686:-1 gene:gene-LSAT_1X27061 transcript:rna-gnl|WGS:NBSK|LSAT_1X27061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/Threonine-kinase ATM-like protein [Source:Projected from Arabidopsis thaliana (AT3G48190) UniProtKB/TrEMBL;Acc:A0A1I9LQ79] MVKSEDVHEIVLKLSSDKAKSREEGIKLLNTWLEGERLIEFCKYMGRNTSMLKPHEVPHAKTWPFLVKLLTEAIKLEVSASKKRLPKLAFAKTLRIVVQRAEDGKSLHLLSVAKSLFNHIWKILHDVPSFQSEYGIILRHLLAVKHLQFHLRKNVYSSLVLLYMEKVEISLSERSTGQSIIKEEVFRCILTLHSLLDSPPGDFPDDLRVGITKGFIEIFSNLRDEGKILRKLVECINTYLIRDGPNMGSRSLEIHDAVHQFLFRVWVTTHDRGLKDALVLYARLQLKLARGTTDGSVLLEQLLDIIGKELDQISTSTTSLPWNDTTRDDKRGTLTNSQSSLMDLAAIVFCRACLVSFKAPVADKRAKRENTVVYLNEQIIKGKWSWNAAFCFLIRNYSSRIKHEHFIYWFEGLSTNFERIMNKATMEHAYDGLLWTLRSLQGLSSVLLRPIYGVECSAKVGFTNNQVSGWHTIWNTLLRGLPMFNNVTSVADAALILLGNINLSDPMNNFIVAHDVWDLRLFKNSPSVSLLRFISGYFSKKGSHGDMQDVLHLRKDLLRTVMGLLNWKGHMVFNEHTVVLLPAAVFSLCAGSSCFTNCDKGCSLAHLLVDIPVTIDDSIEVEKLEQESSHDLFDCSVEVLAEVFLDSHSEVAQAPSHQKVRLPRHLRDSLLHETELGILEVLENKEIEKMILSDIFFRCALLSNFLYGSYVTRLKEENVSFFSEVGQYMLEMLSHAVSVIEKRSSDIQCGSHGLNAGLEGMDSIQTSLKCFIGSPLFSYQDGDGDCTFYNDIIWSTKKLLKALTKLYEGVSDGTRNLQSEIDQSDWPNRNNMTVLDAELDEIDDLEDDVDVMSSGGENSRVSPTVKWKLEIISLISSFFSVLPVDTWDVLFNLRRTESDQRLMECLMLNLCEHPCWSSCQGFSDMVLSLDNMVDRLSDVKLQPTKILTAICGLLQTLMSNKVTKEKNLGHSIKSGLSEQVASQTSMELILEDDSQSLVPLGDLVIKIAENDQFDWLGRVKLIDCICNFILLSPQIGQAMVEKLLLMLRDPDFRVRFSLARRIGVLFQTWDGHDELFQDILSNFSVKLVVLFKDKLVKSNEVLAAGHQPRPIMETVIVTLVHLALHSEKIELEAVFMICVIAAIDSSQRELVAAVLDNLSKKLQYNSRSEYIGELIAPIIFFWVQCGVSLAALVETRELFVLNVEASNFIQFCCRWLLPALVLHSDVSNLNWVAKVSGQSSAALIKSHFVHIFSVCIALHCSKRSGWESGAAALQSSILSISGLSEKERDTLIKRHMVSIVSYILSLASRLSEPALPFFTKDTIQRAIQTVVDGFLNMEEAPCSMVVVDKINIFRPDRVFMFILEMHHKVTASIHHRHRCNRLSGIEVLVNVLGHRAAVPSTSNYLFTLVGQFIDYGPLQDQCYHIISTLLKTLKDNQSTYGIDVLGEQLQFLVSKLVDSCIPSKFDIKHSLNHPSEALSLLHELIVDSDPSLHKHIKELPPFPAFDIFDRLRKFHGEVCQDYSARDHLLEFVRRSSHLPPRLVICSLKALHSIMFTGFERQKNAEQFFGDAFWQYDDEIVRAVWTLVRMASSDASNSFGAFVSDFLSMIGIGDPHRVVFHLPGESNRIHICKPLYSDGGSGFSFHMDSGLSEELLIAVMQLLKKYLMDESVEIIEMASQALRGILSTERGHRALLSLDSYERSILEVHSKGVNAQLVQSSLMDLERKFSGVYVHAKEGVVMALLKLCQDIVLLKAEVAELLLSNVMVNLAKRKNLDIDLCKLISVKVVEEIVIESNSLIRSVQVILDALNELRLCHVMERATSAPSKTEGSKHAKSSSYSSRSRSTPRKATDHTATSTQPLTSTLCWEKVYWLPIDYLVVAKSAIGCGSYFTAVLYVEHWCQEHFSCLTLGSPDFSHLEMLPHHIEILVAAVTQINEPDSLYGIIQSHKLTSQIITFEHEGNWSKALEYYDLQVRSEASIEMGNNSSRDQSLSSSSSKPEDEMKQRKPHKGLIKSLQQIGCTHMLDMYCQGLMYKKGRFQDDLEFNELQFEAAWRAGNWDFSLLSMDTNSPKPSQRIRHSRFNQRLHSCLRGFHEGDVGEFNLNLKESKQELLFSIYHASEESTEYIYSTIVKLQIFCHLGLAWDLRWAWLDGKKDNDSEAQRLLSGPLTPNMDQLSWLNTEWSCILNRAQLHMNLLEPFMAFRRVMLQILSCKDCTVQHLLESASILRKGSRFSQSAAALHEFKSLYIGMGGEDSKLYWLGRVEEAKLLRAQGQHEMAINLAKYISENRKMNEAAADVYRLVGKWLAETRSSNSRTILEKYLKNAVNLADKHQSTDKKSVARQGQTHFQLAHYADALFRSYEERLTSNEWQAAMRLRKHKGDKTDYSVKIQELQKQLTMDKEEAEKLQQDRDNFLSIALEGYKRCLVIADKYDVRVVFRLVSLWFGLSTRQIVVDGMLSTIKEVQSCKFIPLVYQIASRLGSSKDSQGPNTFQFALVSLLKKMAIDHPYHTIFQLLALANGDRVKDKQRSRSSFVVDMDKKYAAETLLKELSSHHGAVIRQMKQMVEIYIRLAELETKREDTNKRMALPREIRSVKQLELVPVVTSSFPVDPSCQYEEGSFPHFKGLADSVTIMNGINAPKVVECLGSDGKRYRQLAKSGNDDLRQDAVMEQFFALVNTFLQNHRDTWKRRLGIRTYKVVPFTPSAGVLEWVNGTLPLGEYLTGSTRSGGAHGRYGTGDWSFPKCRQHMATENNKRKAFQEVCDNFRPVMHHFFLERFLHPADWFEKRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVTGVEGVFRRCCEENLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALERQKETDDDLEASLEESEEGYEGNKDAARALLRVKQKLDGYEEGEMRSVHGQVQQLIQDAIDPDRLCQMFPGWASWL >cds-PLY90311.1 pep primary_assembly:Lsat_Salinas_v7:2:199240398:199241721:1 gene:gene-LSAT_2X120280 transcript:rna-gnl|WGS:NBSK|LSAT_2X120280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTWFSFLIVCLIILHADPTFSISLRFFSSNLPAETGHSLLGDAHFVDGGHAVQLSRTTPLSFGIMLHSTPYKFSSSTSFSSNFTFEIGNGVALVIIPADFPSKFARNMSFGLQDVNRFFGIEFDVNVCKISSSRVSNVSKNNNVLKSGVNLTSWVNYFAISNQLDVRVSKSGDSRPVEPLISHHINLGEMLNGKEVLLGLASINEKPEQITTVYSWTSDIKDIPKWMHSIPVFPLDSSTQHHDVKTYKKKVSFLSGFIVATGCGALAALALFFVWAFVADKQKAQGEPSVHPVDFKYEKIGVLEANNTSESAMK >cds-PLY71919.1 pep primary_assembly:Lsat_Salinas_v7:3:25424601:25428500:-1 gene:gene-LSAT_3X18921 transcript:rna-gnl|WGS:NBSK|LSAT_3X18921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha,alpha-trehalose-phosphate synthase [UDP-forming] 5 [Source:Projected from Arabidopsis thaliana (AT4G17770) UniProtKB/Swiss-Prot;Acc:O23617] MMSRSYTNLFELASGEAPLPSSGFGRHMGKKLSRVATVPGVLSELDDEGFSSDAPSSVSQDRMILVGNQLPLRVHKAHNGSWTFSWDEDSLLLQLKDGLNEDVEIIYIGCLREEIDPKDQDDVAQHLLENFKCVPAFIPPELFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHLKQLRNVLDLSETESKVAELRDRFHGRTVLLGVDDMDIFKGISLKLLAYENLLTHHPDKRGKVVLVQIANPARGRGRDVLEVQSETHTTRERINRKFGRPGYEPVILIDSPLQFYERIAYYVISECCLVTAVRDGMNLIPYEYIISRQGNDKLDETLGANPSIPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDMALIIAEPEKQMRHEKHYRYVSTHDVAYWARSFWQDLERSCRDHVRRRCWGIGFGLGFRVVALDPNFRKLSVEHIVSAYKRTKKRAILLDYDGTITVQNSISTTPSPEVSGMLNSLCKDPKNIVFIVSGKDRATLTEWFSSCDNLGVAAEHGYFLRENKEAEWETCIAVPDFYWKQIADPVMKLYTETTDGSTIETKESGLVWNYQYADPDFGSCQAKELLDHLESVLANEPVTVKSGQNIVEVKPQGINKGLVVDRLLTTMKEKGMLPDFVLCIGDDRSDEDMFEAITRAVAGPALSPVAEIFACTVGRKPSKARYFLEDTTEILRMLQGLAAASENCMLKNVTKGLQKVVME >cds-PLY82486.1 pep primary_assembly:Lsat_Salinas_v7:2:184378611:184382110:1 gene:gene-LSAT_2X107620 transcript:rna-gnl|WGS:NBSK|LSAT_2X107620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAKTKRLSLFTFLFVTLLHIAAFSSVVPTAAAQNTTTGVVGDLAALLAIKSMIQDDPQGIMTSWNDSVQFCQWPRVTCSSRHQRVTALDLSSGGLIGTLSPSIGNLSFLRSIRFHNNSFSGEIPPEIGRLFRLDELRLYNNSFEGNIPATLANCSNLQALHLGNNNLIGKIPDGIGSLSKLNLLILHRNNLGGGIPLFIGNLTSLDTLSLGNCGLGGNIPDFFHRLINLRRLALPGNNLIGNLPPSFYNLSVLEQLFLDSNQLSGRLPMNLGSIMPRLQVLSIPDNLFTGPLPPSVLSSSELGVIDAARNNFSGKLVINSRDACSFEILSLSTNHFGSGENDEMKFIDALSICNNLGVLDLGFNQMRGFLPESLGNLSTMLYFLSFGSNSFSGGLPSSVGSLSGLTSLDLSSNQLTGTIPASIGNLVNLRMLDFTNNSFSGNIPGSFGNLSLLIELHMGLNELNGAIPLSLGNCNRLLGLTLDRNNLTGDVPSQLFELSSLSITLNLGSNHLSGQIPREIGDLQNVKEIILANNRFSGNLPTTLGNCRSLENLNISNNFFQGSLPPSLSSLRALQNLDVSRNNFTGRIPSYLEEIPLVNLDLSFNGFEGEVSNQGVFGNRSSVSVIGNNGLCGGLPELRLPNCPSTNPKRKNRLSLAVILSISIVSVLICIAMVLFCVFYRRRKEIEDEVSEPNSGESFVQVSYEMLHKATDGFSNKNFIGEGSFSSVYKGYLDKDDVIVAIKVLNLHRKGGSKSFISECEALRNAKHRNLTKVITCCSGIDFQGNEFKAIVYEFMSNGTLDQWLHHEVPQLNLLQRLSVALDVAYALDYLHNHGGKTIVHCDLKPSNILLDEDMVAHVGDFGLSKILDSEHQNRNHSSSVGVRGTIGYAAPEYGVGSKVSTSGDMYSYGILLLEMMTGKKPTYVMFQDGVGLHNYAKAAMDDGSVEVIDPILLKDDDIRSNKEDKDYVKNDMCCLMLLKIGVSCSMESPRHRIDTATVIHELHLIKDAILGNSNDF >cds-PLY73336.1 pep primary_assembly:Lsat_Salinas_v7:8:260022540:260023703:-1 gene:gene-LSAT_8X150481 transcript:rna-gnl|WGS:NBSK|LSAT_8X150481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEAINPPKSSTPKAFFLSLILLSLFYLIFLSLQSKHPNSGHRTITINGLKIRPGYTSYDTYIHHQLHKTTNPKLRKIWTSRDWDRKIRVFTRFFSQLKQEKLLTNSSKALCVGARVGQEVEALKRVGVNDSIGLDLVPYPPIVIKGDFHHQPFDDKTFDFEFSNVFDHALYPDKFVGEIERTLKPGGICVLHVAISRRADKYSANDLYSIEPLKKLFNGSDLVRSRKVDGFGLDTEVVFRKRK >cds-PLY85082.1 pep primary_assembly:Lsat_Salinas_v7:7:5873388:5877954:1 gene:gene-LSAT_7X5000 transcript:rna-gnl|WGS:NBSK|LSAT_7X5000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCEKIGLKRGPWAQEEDDLLIDYINKNGHGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIKRGPFTLEEEKLVIQLHAILGNRWAAIAAQLPGRTDNEIKNLWNTHLRKRLMSTGIDPQTHEPYTSKRLPAASPSTRHMAQWESARLEAEARLSMESSSILASPKKTNTDHFLRVWQSEAGECFRNIKGKSDCFSPTSQAYAYAYAEPDSRFETITFYHGSSPSEVEDSSYTALDSLFDFHEHNDMSFLEAHLN >cds-PLY71356.1 pep primary_assembly:Lsat_Salinas_v7:4:346279390:346280373:1 gene:gene-LSAT_4X171201 transcript:rna-gnl|WGS:NBSK|LSAT_4X171201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSVDGIHVVVRLAKLSVDKVLPAPCSTSVLYPETGGNLHCFTAITSCAVLDILTPLYEEYSGRKCTYYRDYPYSSFGSGDELIDGKEDEYAWLEEIDAPDVAITTADIVSKSVAVEFEVGGSGIRIGGMAKGSGMIHPNMATLLGVDGDTSTNDTIIALASGLSGSNRISSLHSSEGNQLQMWLMRYV >cds-PLY71706.1 pep primary_assembly:Lsat_Salinas_v7:3:45313986:45315158:1 gene:gene-LSAT_3X35661 transcript:rna-gnl|WGS:NBSK|LSAT_3X35661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSVEKSSEFVPNDSKDGKKTPPLSLLAIAHSIISIHMDVQIDGKTLIMSYLCAEQLGEFRCQLGSLTQVVDVFASFSSSVETQMKIMRDGRDLGNTK >cds-PLY83113.1 pep primary_assembly:Lsat_Salinas_v7:2:8495062:8513578:1 gene:gene-LSAT_2X4900 transcript:rna-gnl|WGS:NBSK|LSAT_2X4900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMETANEIIKQVVPVLMVPINDYLRYLVSCRKYISDMDLKMKELKEAKDNVEEHKNHNISNRLEVPAAQVQSWLEDVEKINAKVETVPKDVGCCFNLKIRYRAGRDAFNIIEEIDSVMRRHSLITWTDHPIPLGRVDSVMASTSTLSTEHNDFQSREVRFSEALKALEANHMIALCGMGGVGKTHMMQRLKKVAKEKRNFGYIIEAVIGEISDPIAIQQVVADYLCIELKESDKKTRAEKLRQGFKAKSDGGNAKFLIILDDVWQSVDLEDIGLSPSPNQGVDFKVLLTSRDEHVCSVMGVEANSIINVGLLIEAEAQRLFQQFVETSEPELHKIGEDIVRRCCGLPIAIKTMACTLRNKRKDAWKDALSRLQHHDIRNVATAVFRTSYENLQDKETKSVFLMCGLFPEDFNIPTEELMRYGWGLKLFDRVYTIIEARNRLNTCIERLVQTNLLIGSDNGVHVKMHDLVRAFVLGMYSEVEQASIVNHGNMPGWPDENDMIVHSCKRISLTCKGMIEFPVDLKFPKLTILKLMHGDKSLKFPQEFYEGMEKLRVISYDKMKYPLLPLAPQCSTNIRVLHLTECSLKMFDCSCIGNLSNLEVLSFANSRIEWLPSTVRNLKKLRLLDLRLCYGLRIEQGVLKSLVKLEEFYFGNAFGFIDDNCKEMAERSYNLSALEFAFFNNKAEVKNMSFENLERFKISVGCSFDRNINMSSHSYENMLQLVTNKGDVLDSKLNGLFLKTEVLFLSVHGMNDLEDVEVKSTHPTQSSSFCNLKVLIISKCVELRYLFKLNVANTLSRLEQLEICECQNMEELIHTGIGGCGEETITFPKLKFLSLSRLPKLSGFCRNVNIIGLPHLVDLKLKGIPSFTVIFPHNKLGTSSLLKEEVVIPKLETLQIDDMENLEEIWPCELSGGEKVKLREIKVSSCDKLVNLFPRNPMSLLHHLEELKVKNCGSIESLFNIDLDCVGAIGEEDNKSLLRSINVENLGKLREVWRIKGADNSHLINGFQAVESIKIEKCKRFRNIFTPITANFYLVALLEIQIEGCGGNHESEEQIEILSEKETLQEVTDTNISNDVVLFPSCLMHSFHNLHKLKLERVKGVEVVFEIESESPTSRELVTTHNNQQQPIILPYLQELDLSFMDNMSHVWKCSNWNKFFTLPKQQSESPFHNLTTINISSCKSIKYLFSPLMAELLSNLKKLHIERCDGIEEVSNRDDEDEEMTTFTSTHTTTILFPHLDSLTLIFLNNLKCIGGGGPKDEGSNEISFNNTTATTAVLDQFELSEAGGVSWSLCQYAREIEIVGCYALSSVIPCYAAGQMQKLQVLTVKYCDGLKEVFETQLGTSSNKNNEKSGCEEGIPRVNNNVIMLPNLKILRIENCGGLEHIFTFSALESLRQLQELTIKGCYRMKVIVKKEEDEYGEQQTTTTTKGTSSSSSSPPSSSKKVVVFPCLKSIVLVNLPELEGFFLGMNEFRLPSLDNVFITECPKMMVFAAGGSTAPQLKYIHTELGRHALDQESGLNFHQTSFQSLYGDTSGPATSEGTTWSFHNLIELDMEFNDDVKKIIPSSELLQLQKLEKIHVRWCKRVEEVFETALEAAGRNGNSGIGFDESSQTTTTTLVNLPNLREMKLWHLDCLRYTWKSNQWTAFEFPNLTRVHIWGCDRLEHVFTSSMVGSLLQLQELHISNCSEMEEVIVKDADVSVEEDKERESDGKTNKEILVLPRLKSLKLQLLQSLKGFSLGKEDFSFPLLDTLEIYECPAITTFTKGNSATPQLKEIETNFGFFYAAGEKDINSLIKIIQQSTQTDSMGGASREAAAVKKVEEQVGLMQVQLQSHGTEI >cds-PLY67954.1 pep primary_assembly:Lsat_Salinas_v7:3:217185331:217185522:1 gene:gene-LSAT_3X125301 transcript:rna-gnl|WGS:NBSK|LSAT_3X125301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARLLGGIKGVLCGKLSMAEQKESVLVPSSSMTPLSSFTGSLSVDHDFVFVFGGVPGSLGGSF >cds-PLY63887.1 pep primary_assembly:Lsat_Salinas_v7:1:126571090:126571632:-1 gene:gene-LSAT_1X95961 transcript:rna-gnl|WGS:NBSK|LSAT_1X95961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTNIRTCYCGDRVGMWTSWTRKNPGRRFFGCPNYMDEEKDCGYFRWIDPPLLNKWYKERMYELGAVANGGVAIPFNNPVNEGEIPVNGPIAPVNVDVPIAPANALEPDNQIAMCDNTHVPSNEFGFCKWVMLCFVCFIVGMMYA >cds-PLY80029.1 pep primary_assembly:Lsat_Salinas_v7:9:46668720:46668947:1 gene:gene-LSAT_9X43300 transcript:rna-gnl|WGS:NBSK|LSAT_9X43300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIATSAIAEAYAMRKHLEEKMKKTTASNAHGTTKQSRLDNDHHDSVTIGCFPSLFKKIHPATFPVSDSSSSNEQ >cds-PLY77378.1 pep primary_assembly:Lsat_Salinas_v7:7:9524938:9526687:1 gene:gene-LSAT_7X9441 transcript:rna-gnl|WGS:NBSK|LSAT_7X9441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCIFSGETCGVHEDDLFIETRIVDGALFGSTVRGCSTEERRRCAQEVAKKNVSGFYIYGFGLGDSVDERATLLHAVTFYVNIDVKRVVMVVFMTCYQKKSLAIYKCLNMYIYHLTLGGFALVFPLDGKCKHLSDLGLSAMASDGTKINLKATIYRKDASRIVDGCICYTCQNHTKAYINHLLNVHEMLAHILLEIHNTHHYLSFFGVIREAITEGRFEELWQNLFEKRRDHLLAASLSAYELETPVRLRA >cds-PLY72120.1 pep primary_assembly:Lsat_Salinas_v7:7:58860726:58861082:-1 gene:gene-LSAT_7X43040 transcript:rna-gnl|WGS:NBSK|LSAT_7X43040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKQDSRLLPEMTLCRGNIGTFRLGHRCKDPVFVFFEEQRKRESERAALPTARIHPFPMRAISPLLSFEYWPSRITARFESLIARAFYFIRTASPLLSSSPISISFRYLSDEVLRRLL >cds-PLY81370.1 pep primary_assembly:Lsat_Salinas_v7:4:36592785:36596616:-1 gene:gene-LSAT_4X22680 transcript:rna-gnl|WGS:NBSK|LSAT_4X22680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLGSQAPDILGDRQSGQDVRTQNITACQSVSNIVKTSLGPVGLDKMLVDDIGDVTITNDGATILKMLDVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRANDLVKNKIHPTSVISGYRLAMREACKYVEEKLSVKVDKLGKDSLVNCAKTSMSSKLLATDDDFFANLVVEAVQSVKMTNARGEIKYPIKGINILKAHGKSAKESYLLKGYALNTGRAAQGMPMRVSPARIACLDFNLQKTKMQLGVQVLVTDPRELEKIRQRESDVTKERIEKLLKAGANVILTTKGIDDMALKYFVEAGAIAVRRVRKEDLRHVAKATGATSVSTFADMEGEETFDASFLGYADEVVEERIADDDVILIKGTKTTGAVSIILRGANDFMLDEMDRALHDALCIVKRTLESNTVVAGGGAVEAALSVYLEYLATTLGSREQLAIAEFAESLLIIPKVLAVNAAKDATDLVAKLRAYHHTAQTKADKKHLSSMGLDLVKGTVRNNLEAGVIEPAMSKVKILQFATEAAITILRIDDMIRLVKDESQDGQD >cds-PLY62047.1 pep primary_assembly:Lsat_Salinas_v7:5:331094084:331097011:-1 gene:gene-LSAT_5X185301 transcript:rna-gnl|WGS:NBSK|LSAT_5X185301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSIAKLPRYPIPSIVATPSYTALKISIPKSIDQFASIDQTDLTDLVKSKDLEILGNLNGVTGLAESLRTNLEHGISDSEMETRKNAFGSNTYNKPPPKGFVYFVVEAFKDPTILILLACAALSLGFGIKEEGAKEGWYEGGSIFVAVFLVIAVSAVSNFRQERQFDKLSKISNNIKIDAIRDGRRQKILIFDVVVGDVVILNIGDQIPADGLFIDGYSLLVDESSMTGESDHIDIDSIRNPFLISGSKVADGHGRMLVVSVGMNTAWGKMMSSVTGDSDEQTPLQARLNKLTSSIGKVGLAVAFLVLVVMLIRYFTGNTEDEHGNREYNGKRTDTNDILNSVTRIFAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADQAMVRKLSACETMGSATVICTDKTGTLTMNKMKVTKFWLGLDLIEDNSSNSDTIDTQVIRLYHQGVGLNTTGTVYNSGTGIEYSGSPTEKAILSWAVTNLGMDMEKLKRDCTVLHVETFNSEKKRSGISVMKKEDDTVHVHWKGAAEIILAMCSNYYQKTGVLKPINHEEKTRLENIIQGMAASSLRCIAFAHKQIPKGTNYKTLNEEQLTLLGIVGIKDPCRPGAKEAIDACRSAGVEIKMITGDNVFTAKAIATECGILKGGQTVNKGEVIEGEEFRNYTDDERMEKVDNIKVMARSSPFDKLLMVKCLKKKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDDFASVAVVLRWGRCVYNNIQKFIQFQLTVNVAALVINFVAAVSAGDVPLTAVQLLWVNLIMDTLGALALATERPTKELMHNPPVGRVAPLITNVMWRNLLAQAMYQITVLLTFQFKGKSIFNVDERVKNTIIFNTFVFCQVFNEFNSRKLEKRNVFQGLHKNRLFMGIIVVTVALQIVMVEFLKNFADTEKLNWGQWGICIAIAALSWPIGWFVKLIPVPDKPFLDYVRLIRRWIW >cds-PLY89725.1 pep primary_assembly:Lsat_Salinas_v7:7:42752462:42752758:-1 gene:gene-LSAT_7X30720 transcript:rna-gnl|WGS:NBSK|LSAT_7X30720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYACVSFVLETIYVCSSPKIDLYVYVFVFVIDMCVYESEIHHRLFPPLCLLRLLNYCPPPQVDYVLDDNPRRAAALGFLQRICRRRREWKSSWANLRK >cds-PLY92249.1 pep primary_assembly:Lsat_Salinas_v7:2:209454095:209456444:-1 gene:gene-LSAT_2X129881 transcript:rna-gnl|WGS:NBSK|LSAT_2X129881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNLYHLLAVSLILISSFAPTIQNHFATTPPLPVLPLPSYSQLKWQQREIIFFHHFGVNTFTDSEWGTGKENPKIFNPKGLNTAQWIDVAVQTGVSLSILTAKHHDGFCLWPSKYTDHSVVGSPWENGNGDVVQEFTNAAKSRGVDIGLYLSPWDRHDRRYGDSLAYNEYYMAQLQELLNKYGSVNEIWFDGAKGSNAPNMSYLFNDWFQMVAELQGTINIFSDAGPGVRWVGNENGFAGTTCWSTINRTSLSIGNGSIVNYLNTGDPRGTDWLPAECDVSVRPGWFWHKSESPKKLSDLLEIYYNSVGRNCVLLLNVPPNTTGLISANDIQRLKEFKSAIDTIFSKNLAESCSLTASSIRGGKNSGFGPEAMLDKDHLWTYWAPKDNGNEEHWIEITATNGELRFNVVRIQEAIGLGQRIKKHEIYVDGKRIAKGTTVGYKKLHRLENGVIKGRSVRIRVLGSRGIPLISSFGLHFDPFWHPIGR >cds-PLY84307.1 pep primary_assembly:Lsat_Salinas_v7:5:188752860:188755535:-1 gene:gene-LSAT_5X85820 transcript:rna-gnl|WGS:NBSK|LSAT_5X85820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 5 [Source:Projected from Arabidopsis thaliana (AT5G20590) UniProtKB/Swiss-Prot;Acc:F4K5K4] MATLTRTPHKTLPIVFLLIVVLVTIFLFSKRTLDPHLSLYAAIDRYNPLPDPEIDHSKSKTGIGYVDSEPIEKTPFLQTNSNDLEFVNDDSISKNETGFIDSESTDENPLPQSKPNDLESINDDSVSESETGIADSENVEITQVHEEDEAVAESVKKSVDWGKSCNMHSGKWVRDEEYPIYKPGSCPFVDEAFDCQANGRSDEEYMKWRWKPDSCELPRFNGTDFLVKIRGRRLLLIGDSMNRNQYESLLCLLYESLPNKSKMYEINGHKITKGRGYFVFKFEDYNCTVEFVRSHFLVREGIRKNGQGNSNPTLSMDVIDKSSARWKRADILVFNTGHWWVHGKTSRGKNYFKEGEYLYPKFDAVEAFRRSMKTWGKWIDKNMNKEKLVFYRGYSSAHFRGGEWDSGGTCHGETQPIKKGRIIETYPPKMEIIEEVIQEMRFPVVLLNVTRLTNFRKDGHPSVYGKNVSGLKKVSTKKEDCSHWCLPGVPDAWNELIYATLVARTS >cds-PLY71905.1 pep primary_assembly:Lsat_Salinas_v7:3:26320508:26330264:-1 gene:gene-LSAT_3X18161 transcript:rna-gnl|WGS:NBSK|LSAT_3X18161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRLNPRAREWLESHPLNRCPSMPRLVFDHEREDLFGCHDAHIRCIEYSYATGKLIIGSWDKTLKCWDPRGGVAQERVLVGTYGQPERVYSISLVGNRVVVATAGRHVNVSDLRNMSQPEQRRDSSLKYQTRCVQCYPNGTRYALSSVEGHVAMEFFELTETGQAKKNLKNMMGGSNNNLVSPVSIDEKVFQYP >cds-PLY97117.1 pep primary_assembly:Lsat_Salinas_v7:4:75782695:75786902:1 gene:gene-LSAT_4X51020 transcript:rna-gnl|WGS:NBSK|LSAT_4X51020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g30610, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30610) UniProtKB/Swiss-Prot;Acc:Q9SA76] MTVITVSYPQQGFLHSDKSAITPPKFAQRLHFSSRSQRSLSDATSKRRRILKIVVVTAKSGMVIEKQEEFKPSFEEYLKAMETVKSRREKRKVSTETPPPPPPSSSSSSSSSSSPSPSPLPKTDEGALELSDSQDQISIQKVENLKQVEVKKTWYRKKTDPKEAQVTEKVRDIKKIPENNEKYENFQGQRFIMKRDSDINSVSSESYVKNAAVNKEKTVFLNSKQDDVMKKWTRKKLDSKEGEIDTYTFQKNEVKHGKFQEQSFDMKKMSVKSNRVVKHDNYDSMDVERSAFKPLEEFQDVYDQPRVSRVDMEERIQRLAKCLNGASVDSPEWNFSKMMRSAKIRFADFSMIRLIQILGNYGNWKQVLQLIEWMQARERFKSNRIRNIYTAALDALGKARRPVESLNVFHTMQQEMASYPDLVAYRCIAVTLGQAGHMRELFHVIDSMKSPPKMKLSTGVLQKWDPRLEPDIIVYNAVLNACVRQKNLEGAFWVLQQLKEQGQQPNTITYGLVMEVMLACEKYNLVHEFFKKMQKSFIPNSLTYKVVVNTLWKEGRIDDAIATVEDMEKRGIVGSAAVYYDLARCLCSAGRSDEAIVQIEKVCKVANKPLVVTYTGLIQACLDSGKIESGVKIFNHMCNFCSPNLVTYNIMLKGYLDHNRFEEAQKLFYKLLENGKRVTSNDDYRHVVLPDIHTFNLMLDTCFFNKKWDDVEFIYTKMLQHGFHFNPKRHSRIILEARKAGKVNLLETTWKHLIEGDQIPPPLLVEEMFCVNIERDDYAAAFSCLTSLPSSESHKYSRKSWLNLFRENPNIFREEILHKVKTLLSRNEEPNTILLNCMKSCKEILGSHD >cds-PLY91302.1 pep primary_assembly:Lsat_Salinas_v7:5:251063232:251066163:1 gene:gene-LSAT_5X126100 transcript:rna-gnl|WGS:NBSK|LSAT_5X126100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPPHQHHPSPQPTHQHHPPPPTHQHHPPPPPTHQHHPQPPRHQHHPPPPPHYGTFPGIHNHPPPPAVVVVHQHVPPPGTSGGPSINPYVHGYQAVPGYAVAEGRPMAMRGPQLCCRLCLLLARKSEVKMRRENL >cds-PLY70697.1 pep primary_assembly:Lsat_Salinas_v7:3:104912669:104913678:-1 gene:gene-LSAT_3X76781 transcript:rna-gnl|WGS:NBSK|LSAT_3X76781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKTSVSSKREKSDEEKDINCKRRIKGLLQLIGYQCPTTDSVTLRAEAERKLNEIKERKHIHQDSSSLNIVACEIKKRKPSTTGRVYGLDSNLTKDPSTSRSHNRGWKCRKLNHDENTTQQTELPPKVKSYIKKIKGSEKVEFVFEKLLTRSDVNKGQGRLLMPLKQVKRRDILFQMEKLREVVRVYVPSREEHYEVCLTQWNMNGNHNFVLKSGWNQVVNENGLDDTKVVQLWSFQVGDTPSLLLVLVDDDNGDESDDDGGIYGVPRSGGVIIRESKIGVTSSTSEDETSLRSRKGKRKLHEL >cds-PLY62259.1 pep primary_assembly:Lsat_Salinas_v7:5:163169861:163170509:-1 gene:gene-LSAT_5X72200 transcript:rna-gnl|WGS:NBSK|LSAT_5X72200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGHILGGIATSLLFLAFESWLVAEHNKRGFEQQWLSVTFSRAIFLGNGLVAILAGLFGNLLVGSLAMGLVAPFDAASIFLAIGMAIIISSWTENYGDSSESKDLMTQFKGADVAIASDEKIALLGAXLIHIQEKQEESKVAIL >cds-PLY90207.1 pep primary_assembly:Lsat_Salinas_v7:9:20375111:20377795:-1 gene:gene-LSAT_9X19100 transcript:rna-gnl|WGS:NBSK|LSAT_9X19100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGTHEDVGSFVGNKDATLGRHLARRLVEVGITDIFTVPGDFNLTLLDHLIAEPRLKNVGCCNELNAGYAADGYARSRGAAACAVTFTVGGLSVLNAIAGANSENLPVICIVGGPNSNDFGTNRILHHTIGIPDFSQEYRCFQTITCFQAVISHLEDAHEQIDRAISTAIKESKPVYISVSCNLPGIPHSTFCEEPIPFSLFQRTSNPAGLEQAVKAAAEFLDGAVKPVLVGGPKLRVAKAQQAFVEFADASGYALALMPSGKGMVPETHEHFMGTYWGAVSTSFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAVIVQPDRVVVGNGPAFGCVLMKEFLEELSKVITKNTAAFDNYQRIHVPDGEPVPGEPTDPLRVNILFQHIQKMLTSDTAVLAETGDSWFNCQKLKLPDGCGYEFQMQYGSIGWSVGALLGYAQSIPDKRVIACIGDGSFQVTAQDVTTMIRQEQKSVIFLVNNGGYTIEVEIHDGPYNVIKNWNYTGVVEAFNNDDGKLWTAKVKNEEELIEAIATATGEKEDHLCFIEVFVHKDDTSKELLEWGSRVCAANSRPPNPQ >cds-PLY64652.1 pep primary_assembly:Lsat_Salinas_v7:7:135246816:135247681:-1 gene:gene-LSAT_7X80801 transcript:rna-gnl|WGS:NBSK|LSAT_7X80801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIMHMGGLLHFNAPLKSVRVTYEKKENPNLKELLGFLQTFFKYLQHGYVAGTTKLPWKFSHHPAAAGTFFTVMLLGLKFCTCQYEGSLQNVRLGIQLLEDGIYRAALGWFAYEPEWFEHDHGNFAHIEAQSVNSFVHYLQNDPKALGGEYGGSFLDMKDHCHPVWGPMENYAAXWLIQFACVHRSNFGPLIISQPSSSMT >cds-PLY83487.1 pep primary_assembly:Lsat_Salinas_v7:3:233871907:233873054:-1 gene:gene-LSAT_3X130020 transcript:rna-gnl|WGS:NBSK|LSAT_3X130020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEILDGVAFILHSLLHRKYSGNFLVDLLGKWKESEYSGQSVPVGGIAYYVTAPSSLADMVANPFYALLYLVFMLTTCALSLFSKTWIEVSGSCARDVAKQLKEQQIVMLLRYNFGSNPTGSFSVMLHLGFGQPIKVNWAYVTVQREDTSGHYNKFVGDLGPEVTDAMLYAPFSVYSNCS >cds-PLY74557.1 pep primary_assembly:Lsat_Salinas_v7:7:37151391:37153396:1 gene:gene-LSAT_7X27640 transcript:rna-gnl|WGS:NBSK|LSAT_7X27640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18370) UniProtKB/Swiss-Prot;Acc:Q9SEL7] MKVVGCCLSILSPISSNHPRSSPSSSSSSSSAFPLHPITKRRSILFTASIVVASYLQLCPKSPSQSAIAQQLDETQQEEDRVVRLFEETSPSVVFIKDLEIINNPNNTDEVVMVDDEKAKVEGTGSGFIWDTFGHIVTNYHVVEKLATDQNGRQRCKIFLVDKNGNNLSRDGKIVGVDPSCDLAVLKVDIEGIEVKPVDIGSAQKLNVGQSCYAIGNPYGYENTLTTGVVSGLGREIPSPNGGAIRGAIQTDAAINSGNSGGPLLDSHGHVIGVNTSTFTRKGSGMSSGVNFAIPIDTVVKIVPYLIVYGTAYRDRF >cds-PLY86308.1 pep primary_assembly:Lsat_Salinas_v7:8:55451601:55454591:-1 gene:gene-LSAT_8X41260 transcript:rna-gnl|WGS:NBSK|LSAT_8X41260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLNQIFNRGLIGAKCKTCLTLAISRIKLLQNKRDMQLKLMRKEIAQFLQSGQEPIARIRVEHVIREQNIWAAYEILEMFCEFVLARVPIIESQRECPLELKEAVASIIFASPRCSDLPDLLTVRNLFTTKYGKDFISAASELRPDTSVNRTIIEKLSVYTPSGDMKLSVLKEIAQEYNISWDSSKTEAEFNKRPEDLLNGPKQISNVTTITKLPQSHKESPKAANHVPIKPPTNAANSNPTKVNQSSVEGKMVIPVSSESNDVLERARAAIAAADRASAAARAAAELVNVNFNSRTLQGANR >cds-PLY66570.1 pep primary_assembly:Lsat_Salinas_v7:6:167410836:167415661:1 gene:gene-LSAT_6X102300 transcript:rna-gnl|WGS:NBSK|LSAT_6X102300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQFQNQELEYMIDDEYYDMTDFEHNNNNNNHLQKNCAEDSMDSDFEDDFDQNKSKTDTSAEEARNGKDIQGIPWERLNFTRDKYRETRLKQYKNYENIPHSREHLEKVCKKVDKSQTLYNFQFNTRLVKPTVVHFQLRNLLWATSKHDLYLMQNYSVMHWSSLLKRGKEVINVAMPVLPTLKYPGSFSRSLSRVQVSTMAVRDDLIVAGGFHGELICKYLNKPGVSFATKIADDENAITNSVDISYGSSGSTRVMTANNDALIRVFDAKSFTCTDSFKFPWSVNDTSVSPDGKLLAVLGDSTECLLADAHSGKEIGKMKGHLDYSFASAWHPNGQIVATGNQDTTCRLWDVRNLSKSLMVLKGRMGAIRGIRFSGDGRFMAMAEPADFVHVFDTESGYGSGQEIDVFGEIGGISFSPDSEALFVGISDRTYGSVLEFNRRHRNQYLESMF >cds-PLY88080.1 pep primary_assembly:Lsat_Salinas_v7:6:183598315:183598551:-1 gene:gene-LSAT_6X111900 transcript:rna-gnl|WGS:NBSK|LSAT_6X111900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRAEIEGSTLTNNAYANGLVQINNGSLEEKLDELHHLLGKTDDDPLRIVSVGAGAWGSVFATMLQDGYGHLQDKISN >cds-PLY96477.1 pep primary_assembly:Lsat_Salinas_v7:2:124956493:124958003:-1 gene:gene-LSAT_2X58261 transcript:rna-gnl|WGS:NBSK|LSAT_2X58261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLEDEGWVNINIPYDGLLEVHDDVFSRKYVRSPKNPFRMDYFNSSRKSQEFVDSSSEEEESRLQKQLIPLQIQMKDHQVVDHREEEVKEITKLPILIDNELKEAPEADLDPIFQVFFKKENEFVEMKLDSPRSGSRRIMLPYTETDVFQYEDESDDHMFNGSSPSKVVLDEVDKVTKEESNLWKWGLNGIGAFFSLGMAAATICIILFGNGQRHKQQKFRIQIYSDDKRIKQVVHQANEAMSAVRGVPLTTAHITYGGYYEGL >cds-PLY86839.1 pep primary_assembly:Lsat_Salinas_v7:1:16489071:16491474:1 gene:gene-LSAT_1X13461 transcript:rna-gnl|WGS:NBSK|LSAT_1X13461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIMDEEGTQYQARVFNQNFSRFRHLLKEDESYIVIKPNMAAVTNGFSYTDVIGHIVSFRPLETSNPAPSKHYIKLTLSNLDSVHLKVTIFGSQAYQISEYLKNNPTVNFVVIVMQFLKLNIWNGLGEAKSHFEVTKLFINSDIYEINEFKNKLKCHDNFGITEKSITTLQSYSSSYTDDFKGNFPLKTVCEITEPIKEMKFLLVASIVNIRQNLPWYYEACKKCGKKIIPVPKANHSYTNPEGISETMVVECTNAQCKKSEFQSVIKYIIPINVQDCTGTIGLTLFDREARRLLNISAYELKKIHDAAGDSDALFPMQLNVLKNRKFAFVVDITEYNVNNYNNIYTVLRVTEDMSIVSELESKIELMSIQSVSLNQVALESNDVVQPVQKDVISQTDESFTPSTVDKSTATSPSKISCDLKRNLQEIYDVDSGYDLSSTKAKRISTAEETPLLIPKKEK >cds-PLY69499.1 pep primary_assembly:Lsat_Salinas_v7:6:42130520:42130804:1 gene:gene-LSAT_6X33341 transcript:rna-gnl|WGS:NBSK|LSAT_6X33341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARGDTPKAIQFYMQESDATEEEARRYIKNLINETWKKLNKERAAAKSKFVREFIDYATNLDRMALFMYAKGDGHGCPDVIKSHVLSLLFNPIE >cds-PLY87074.1 pep primary_assembly:Lsat_Salinas_v7:5:255815659:255816857:-1 gene:gene-LSAT_5X131681 transcript:rna-gnl|WGS:NBSK|LSAT_5X131681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGMLQYLSRWFDFDAITNRLMASTYWASLNNLICARYRGRTNIAKNRFIDFAGDVEAARARAHMGMNKQRWNVAIDHFLIEKHQKRYVGNKECRKKQVVKNRGGTCSYGSVCFKKNLNRLEVFHHAHVNKKGEFVDPFVEEQYNALVAKVVLLTHHIADSGGDPDTIDWITIFEKVLGTRRGHVRGIGPKASSPAGTSAPSQWQSQSQAPQPTYDVDVNAFLQNPTFVTAIGDIIRSFKNQVNEENNDWEDDGEDEDN >cds-PLY82428.1 pep primary_assembly:Lsat_Salinas_v7:2:182877705:182878608:1 gene:gene-LSAT_2X104441 transcript:rna-gnl|WGS:NBSK|LSAT_2X104441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPTFNPDKTTYLGLFTDDHVLVEKRCLTDYKFSHGDVVVFCSPSSYKEKHMIKRITGMPGDWISLPYSYDAVKVPEGHCWVEGDNPTNSLDSRSFGPIPLGLIRGRVTHILWPPQRVGKIDHRSFPQGGFAF >cds-PLY68889.1 pep primary_assembly:Lsat_Salinas_v7:2:193276609:193276794:1 gene:gene-LSAT_2X114000 transcript:rna-gnl|WGS:NBSK|LSAT_2X114000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVLTHAAMAGQVKPTTVNKKVQEMESRVYRGGEEGVRDGLDRGREERREFCGSQWPGRYL >cds-PLY81906.1 pep primary_assembly:Lsat_Salinas_v7:8:122644219:122648087:-1 gene:gene-LSAT_8X83800 transcript:rna-gnl|WGS:NBSK|LSAT_8X83800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CAM6 [Source:Projected from Arabidopsis thaliana (AT5G21274) UniProtKB/TrEMBL;Acc:A0A178UHI2] MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAKSQMEADRITTTTHWCYTCRQLVTLTTQTRVCCECEGGFVQELENILTTTTTNTTTNVEEQNRHSGIMETLSNFFRRQETQSNHQDHQQQHMVSEDNNINSTWGPWPIFSGDMPVRIPNNGGLFELFNEVLGLRRENGADIFVGPGVEEFFQQLNSHDSDVPPPPPKLSIDALPTVKVSKKDIRSDSHCAVCKEKLILGSSAKKLPCKHLYHSGCIAPWLAQVNSCPVCRREVVAGGGSRRRSRVWHSRLSFLWPFGSQS >cds-PLY79167.1 pep primary_assembly:Lsat_Salinas_v7:4:218771423:218771770:-1 gene:gene-LSAT_4X121100 transcript:rna-gnl|WGS:NBSK|LSAT_4X121100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSVVGPRAPPPQRVIGIPVRQPGMTPEESRRLQSMAAGLRHSLAVIAGHQEVLDEVLTRMEILFQHLMEAADTASAARQEAGHAMTTYYVLGGLLLIVVLMFLVWIAIGIWF >cds-PLY68657.1 pep primary_assembly:Lsat_Salinas_v7:5:156263132:156265111:1 gene:gene-LSAT_5X68461 transcript:rna-gnl|WGS:NBSK|LSAT_5X68461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIVTGVAGSNSQGAINEQTDMADPLADLAGILRHQARGLGHISQLIQTDYRPQLQQTNYRPQSPQTGYTPQFPNTGAELPTPQTVLRPSGRDGFEEYYFECVDAQTTFRSPQTPHTPRPPNTPPTPHGSTSRGVTGGHDSNASDFQESSLPLISRKGKKFGSRKIHSTCISLYWENMDHPWPRFSDIPNEALLQMWNSLENSNIFDAFKCVLKDRYRDRMKRIRIKSGEMARNDGKPVPLGHCTYYEGMHDYRPGRVPENVWRRLCDHWSTDKWRKNSKIAQQNCKVADANGSTARHTAGSIGFDEHRNNLEKMMGKPPTQFDVFMKTHGTAEARKRYFAGDHENLEYCSLTAKEAQEMYLEEMVRKHGEDSSNHKDDARVWEEIQLRRTGKKKGDIYGIGASDIHFVITGTPSSQSTQSTQSDSTQQEVDRLRAQVSTMEQQQQQMKEQMEMVMRMMNMSGNQPRAPPDNPPEDN >cds-PLY99136.1 pep primary_assembly:Lsat_Salinas_v7:2:11531775:11534670:-1 gene:gene-LSAT_2X5220 transcript:rna-gnl|WGS:NBSK|LSAT_2X5220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISSIISMLPISSSFTSTSITTTTTINCSITIRYKATAFLKKSSSQTHLNSKSPQSPVVENTLRVLEWDKVCDSVASFAGTSFGQQATKAELWCLDQSYQESLRLLAETNAVVEMHNHGGCIMDFTSLNIALVKSAIQHAHRGFPVDGIEAIALVTMLQLADSLQINLKGAIKEDSDWLQRFMPIAEMIMDMSISRPLIKLIQSLVDEDGCVKDSALSQLMESLISNEMKETSSVEVSKTDGRWCIKSGTDLKRSFDGLLLSSGSGTGSVVEPLTAVPLNDELQQARASVAKAEADVLLGITQKMQTDLDDIENLLDTIIQLDVINARATYSISFGGTYPDLFSSEDSNSKWKLYLPKANHPLLLQQHRQNLHKAMKDLSDANAEIRRRRQQAGIIASQREEETSTTLSSLEAQVAKVKQSSPVPVDIFIAQNTRVLVITGPNTGGKTIFLKTVGLAAMMARSGMSLCVVI >cds-PLY76793.1 pep primary_assembly:Lsat_Salinas_v7:4:187279009:187280840:-1 gene:gene-LSAT_4X107581 transcript:rna-gnl|WGS:NBSK|LSAT_4X107581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRDLKPENIFLDAEGHVMLTDFGVAKQFDESTRSNSMCGTLEYMSPEIVQGRGHDKAADWWRVGILMYEMLTGKRWKQTEDTVENSEGQDKASGMLVNCAFAGKHPGGLGVPAVGMAIPGYVTQPNGMGNSEMTCSPYITMDGAYHATPSGQISALPPTRLLKCPMSHQRAVIGTLIVQQRAVIGTLTKLFNETSEALGGVNTVPTIFNGDFATALKIQVDLTTGDCDECSLWLATLKRINGMEYVHRKFVV >cds-PLY88072.1 pep primary_assembly:Lsat_Salinas_v7:6:182564140:182565402:1 gene:gene-LSAT_6X110881 transcript:rna-gnl|WGS:NBSK|LSAT_6X110881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRKIWRKLPVELLERILSFLPFKTFLYLRSTCKHFRFLIFSPLFLSKHANSLSSSPFSSFMLLSHPQSSHYLLYDTVLNTWRDINLPLTDSLTFTPLLCTATCLLCFSAVPDSSNSAFLLYNLLATSSRIVKFPEYPFAFEFPTLITNSSGYHLFCLSSGSSSSHLYAYVYDSRVRQWTRYDGFQRTLGDSYHQKGVFLNGSLYFATREPFSVASFDLHTGKWETLDVDLPGELTFVRLTAGNGNGEGSKKLFMFGGIGRNGISKSMKVWELDVKGRKWMEIQSVPEMMCKKLGSVCYHNYEHIYCFCHQGMICVCCYSWPEVLYYKVSRKTWHWLPKSPSLPEKWSCGFQWFSFVPELYAFV >cds-PLY71563.1 pep primary_assembly:Lsat_Salinas_v7:3:53643943:53644602:1 gene:gene-LSAT_3X41080 transcript:rna-gnl|WGS:NBSK|LSAT_3X41080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTKFFLCMRPPVIETEDGDYIKPPPTVGSSYSTVSSKTSGRRNRDLQQRKRVAAERYIHQLPVKSNSNPFSGDISEGKSESYVEIDPQKSQENTGPVSETNLSISFPAEKSASHKKLSINLNGKVNGGLQSNSGVYLMVSSLFFTMFLGKFLGILCTLILVCSVYPHRKDDRNNGQRSINMVANLPEKGSPEDDKKRVIMEGLLNRKSHNRESIKFFS >cds-PLY88232.1 pep primary_assembly:Lsat_Salinas_v7:8:150125834:150129037:-1 gene:gene-LSAT_8X101161 transcript:rna-gnl|WGS:NBSK|LSAT_8X101161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSPFSLRTMQFSRSLHLFLFLFGLAITQSTSQSTTTNTTADDTTTITKAANVTKPGCQSQCGNVTIPYPFGIGPGCFLSEWFEMTCNTTFNPPKPFIDALPILEISDSTLRIPSKVASKCYDPFGNLTENNPVSIGLGWTSPYTFSQKNQFTLIGCDDFALFLGPQQVNFTSGCITLCSRPEEVINGSCTGVGCCKTSIPKGLKYYYASVGSMVSNHTTVWSFDPCTYSFLGEEERFTFRGVSDFMDSDFINRTRASVPVLVDWVVGNSSCSEARNAGVLACQANTHCIDSDTGAPGYRCVCNQGYQGQPYLDPGCQDINECENPNSNLCEGICTNTPGSYSCSCKDGYVVDEFSNGRGCLAQTSEFPVIKFSLGMGFGFMALLVGITWLYFAFKKRKLIKLRQKLFQQNGGLLLKQRIISTEGASVDSTKVFTAVELEKATNNYAEDRILGRGGYGTVYKGIFSNGQVVAIKKSRVMDESQIEQFINEVIILTQVNHRNVVKLLGCCLESEVPLLVYEYVSNGTLFNHIHDKGTNWLSLENRLRVAAESAGALSYLHSATSTPVIHRDVKSANILLDGNYTTKIADFGASRLVPIDQTQVTTLVQGTLGYLDPEYFHTSQLTEKSDVYSFGVVLAELLTGRKPLCMERTEEERNLTTYFVMALKENRLFQILDPRVVREGSLDQLQEIGELVKRCVKLTSDERPTMKEVATQLEGLRKFTQHPWANQGDEENTSLIHTENEQEDLYGESINPYSTTVELSSGFSIDSSLVYSTHVPQ >cds-PLY86154.1 pep primary_assembly:Lsat_Salinas_v7:6:156946624:156949385:1 gene:gene-LSAT_6X94960 transcript:rna-gnl|WGS:NBSK|LSAT_6X94960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERIISCVDHYETLGFTRYDKNDAILLKKEYKKKENAGGKRKHIKQESIVGPTVEDLSSKSFASVEDLEHEKLPSEEILSLPMFKNYNAGNPAPVLYIKKTCQKMWLHKSDKLGHSLWESATCTHKNYRGCNGKGSEHHLQIRSFLNLIFLLTRTTNLSGIQLXDEHRGTISVNQKKPKRARKAKTYAHDQLSIVVPLSVEVVGEETHQKNTEASEVLPDVPMVEGLNIQQETPFQSKSKDAALDDVVTKAMNDEHTRTTSEASEVLPSE >cds-PLY96307.1 pep primary_assembly:Lsat_Salinas_v7:8:156474023:156474573:1 gene:gene-LSAT_8X104680 transcript:rna-gnl|WGS:NBSK|LSAT_8X104680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGPEEQVQEMAESLYHDKIFSLRAYQRAMSLSMALTTHPLVNNTFNLENYEVTLKGSHNTGISMATPSSKYG >cds-PLY87683.1 pep primary_assembly:Lsat_Salinas_v7:6:45304803:45306444:1 gene:gene-LSAT_6X34901 transcript:rna-gnl|WGS:NBSK|LSAT_6X34901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAASSSLISIASSSNAASSLCNTKALHLQTPTISISKTRFKTPKFSFNLKTHCLNFYPLLRSSLRRPNCSPSNEAIQVDENPETEVSLIEEELEIDEDDDDDEDEDEDEDDEEEDEEEEEEEESPEAGRLYIGNLPYAITSAELSQIFGEAGDVISVEIVYDRVTDRSRGFAFITMASVQEAKEAIRMFNGSQIGGRTVKVNFPEVPRGGEREVMGPKIRSSNRDFIESPHKIYVGNLSWIITSEKLKDTFDEQPGFLSAKVIYEKQSGKSRGFGFVTFSSPEAAESALNAMNGLEVEGRPLRLNLAEGKGDVSRSTRAGRSSEINVDGSEILSSISS >cds-PLY78154.1 pep primary_assembly:Lsat_Salinas_v7:4:96816608:96818742:1 gene:gene-LSAT_4X62081 transcript:rna-gnl|WGS:NBSK|LSAT_4X62081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQEIAKGIQILLTQSHPKSFTTLDHVVNQLGEKLGFDLSHKAAFIRDQINLLLFHSHSQFRNPPPPPQPSVPSPVTTSAIPKDQFSFQHQQPQFHFNQQQPHAPPPLRPQYHHSHFPFEHQEQRPRHPVELNFGNPEAPPPEQSRVQTLPEVKYEGFPIQNAVWKSPEKIKKGPSANKRRGGPGGLNKLCGISPELQVILGKSSLSRTDIVKQLWAYIKKNNLQDPGNKRKIICDDALRVVFETDSTDMFKMNKLLAKHIIPLEPTKASSRRKPKVKVESSDFVPCQVIISESLANCLNMQERQMSQSEALRLVWEYIKVNNLEDPENPVMIICDAKLMEIFGCESISAMEIPELLVKQHLLIQ >cds-PLY93215.1 pep primary_assembly:Lsat_Salinas_v7:6:160397279:160398357:1 gene:gene-LSAT_6X98401 transcript:rna-gnl|WGS:NBSK|LSAT_6X98401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEDLLDLSKMFNAVKSLVRVPSVDPKYKIALLASKQDHCLVDLLHAWQDGKLPVQISSVISNHDRVGNTHVMRFLERHEIPYHYLSKSKEKNVEDEILGLVEDTDFLVLARYMQAFEAGVKLIGATTHFVTEELDGGPIIEQMVERVSHKDNLLSFIQKSENLEKQCLMKAIKSYCELRVLPYQHNRTVVF >cds-PLY93032.1 pep primary_assembly:Lsat_Salinas_v7:5:2706423:2710607:1 gene:gene-LSAT_5X1501 transcript:rna-gnl|WGS:NBSK|LSAT_5X1501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGIALLMDLVRKNPNVNGQTLHSTGLYSATLAASSAAAYAAATTPFASRAIFGFGGRRVAYCDAGATSPLLTEDYLTSLRTASETIFRHDTLNYRTKEYYIELKPLWSAFQARSLALTSLRSFLLFYLPLLAPNVEDDDDFLPGSSDEHHVDLVVPFKKSVKQILRETSVVTTRRVLERLAVHRFSQRAAWKLLKDVPKSAVRKANRGMPFYTYFFCVSRTTFRGHFLGVAASWVVQVGIESYRFVRDISKSDDDGVEREEQVKGLGKKVYGVTVRCGASLLFASIGAGIGATLFRPSTGQSVGCLIGDLAGPIIVSFCLGNGSPLEV >cds-PLY78621.1 pep primary_assembly:Lsat_Salinas_v7:4:151610058:151611817:1 gene:gene-LSAT_4X93160 transcript:rna-gnl|WGS:NBSK|LSAT_4X93160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFCKFPLIYPGSLHSIAGEYFKPCMDAMENESHSAVVDVVLRELILNDRKSATMERKMGKL >cds-PLY89407.1 pep primary_assembly:Lsat_Salinas_v7:4:122575121:122578020:1 gene:gene-LSAT_4X77360 transcript:rna-gnl|WGS:NBSK|LSAT_4X77360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMDLWGSRVQSVKHHHHHHHFHTLQSGRLNNSDNHLTIDGDDDVRAWFPCPFCYVEIEIPALCCHLQEEHCFDLNNAVCPICAANLGKDAIAHFTSQHVHSIKRRRKSGKSGLWSNGVSLTREMTERGNMNEATPDPLSAFLYSAPFSEIQEDQPTIPSTTFDIKSTNEELEVKDHEEKMHRAAFVQQLIFSTIF >cds-PLY65210.1 pep primary_assembly:Lsat_Salinas_v7:8:20053325:20054193:1 gene:gene-LSAT_8X15420 transcript:rna-gnl|WGS:NBSK|LSAT_8X15420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTQFGSTAENLSKASTMVFRIGTDAHLYDDPDDVSISPLLDSKFDSEKCEALKRLLALIAQGLDVSNYFPQVVKNVASQSLEVKKLVYLYLLHYAERQVDYILYLYS >cds-PLY64343.1 pep primary_assembly:Lsat_Salinas_v7:4:23499148:23499348:-1 gene:gene-LSAT_4X16361 transcript:rna-gnl|WGS:NBSK|LSAT_4X16361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAPEIVEAEVAVRLDADVGETEEQWQRTPITVWRFDLGGSILPTRVLRRYPTGGDLHGGGDGVGD >cds-PLY77940.1 pep primary_assembly:Lsat_Salinas_v7:1:21927895:21929912:-1 gene:gene-LSAT_1X18020 transcript:rna-gnl|WGS:NBSK|LSAT_1X18020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKQKQNHEEQSNEDHKTRNEGGGSETTTMVMKPFMDLGLVAPPALEIDVISQLLFDEHSRSPPLHTNDKLDQREESPNQQVIRVGNSNKAAGASFHLGLSSLHHQGGSRTTLANTITVLTDDPNFSATLVMVISFVFGGGTQPSNNGNTNNVNVTTTNINNNGSATTSNDISHG >cds-PLY85517.1 pep primary_assembly:Lsat_Salinas_v7:2:194740670:194743656:-1 gene:gene-LSAT_2X116100 transcript:rna-gnl|WGS:NBSK|LSAT_2X116100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSTPPFDLLSRLASRLHQGIQKSRLLNLVNMMRSFFNPVISSLLLIPLGKGEVVMCAENKNSELFHAVMGGLGQVGIITRARIALEASPQRVCLNFFRYV >cds-PLY72765.1 pep primary_assembly:Lsat_Salinas_v7:4:372101399:372102522:1 gene:gene-LSAT_4X183020 transcript:rna-gnl|WGS:NBSK|LSAT_4X183020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNFSKLNIHKSDHLIWDSAKTIALQALQEYGCFEASFDKISPDLQKSVFHELEQLFDLPLETKQKNTSDRDFHGYIGQIPFMPLYESMGIDAPYVLEKVDNFTSLMWSQGNPKFSKTIQTYSKKLWELDEMVKTMVFEGLNLEKYLEDHLEATNYHLKVMKYRVADPSESNMGLDSHADTSILTILHQNGIQGLEIRTKDGDWITVNVSPNSFVVMAGESFNVLSNGRLHAPFHRVVMNASKTRLSVGLFSLPKIGTTVKPPKEMVDEEHPLLFKPFDYGEFMEYFCMSGVKKDTYGLKNYCGLLN >cds-PLY87248.1 pep primary_assembly:Lsat_Salinas_v7:1:51552048:51552516:1 gene:gene-LSAT_0X31881 transcript:rna-gnl|WGS:NBSK|LSAT_0X31881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCSDSSGFRSDAASFGLRSDAGDFGPMQSVPDFGPMQLVPDFGTMQRARPSTSASKGKSSG >cds-PLY62055.1 pep primary_assembly:Lsat_Salinas_v7:2:55957555:55959483:1 gene:gene-LSAT_2X25141 transcript:rna-gnl|WGS:NBSK|LSAT_2X25141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIFAKMENVITPSSSSSSIALTHETDSRNSSIIHKLESCKSFKELKQIHSYIIKTSPTLPHQTQQLVYTTIISICASTSNHVPDITYIHSLFNKLENPTIDLYNIVIRSFSHSNENPLFALLFYSDLLAKGLIGDSFTYPYVLKSCKLSYAFREGEQIHSHVVKNGFVLNLYVVNTLMSFYGACGVMESAQKVFDESPERDLVTWTTLIQGYVKRGCLEKGMQVFYEMCEAGVIADEMTMVILISSCAKLRDINLGIKLHQYIYDNDLNFDVYICNALVDMYLKCGNPTLAINLFNKMPMKNIVSWNSIILGLIQQGKFKIAMNVFKKMQSQKVKPDNITLVGVLNCCANLGTLKQGKWVHSYINKNGIKIDGFIGNALLDMYTKCGDIKKATYVFNNMKHKDVYTYTNMILGFAIHGEGQKALKLFSEMPKIGITPNDVTYLAVLMACAHCGFVKEGLKHFVNMLKVHNIKPQKEHYGCMVDLLGRAGLLSEAEDFIRNMNIEPDGLIYGALLAACGTHKNVEIGKRVMEKVDKMMDKDKDGAFILMSNLYSSENRFRDAFKLRKIMKERKIKKSPGCSSIEVDDVAYEFRKGDKSHQQTKEIYMLLDIMGKHLKNNDQIRI >cds-PLY69086.1 pep primary_assembly:Lsat_Salinas_v7:5:276762608:276763538:1 gene:gene-LSAT_5X144881 transcript:rna-gnl|WGS:NBSK|LSAT_5X144881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFLKGVYNSPTGERFIGGTQAVRMNAPRVGGSFAVWGGLFSCFDCTMVYVRQKEDPWNSIISGAATGGFLQMRQGLGPATRSAVFGGVLLALIEGAGIMLNKFLSAQQPPPVMIEEPPSQPPQNMPLGLQFPNQSHPPPAESSPSSTSWFGGLFGGKQEEPNQKVKTEVLESFDSPAPPSFEFK >cds-PLY95436.1 pep primary_assembly:Lsat_Salinas_v7:9:190078055:190079824:1 gene:gene-LSAT_9X117140 transcript:rna-gnl|WGS:NBSK|LSAT_9X117140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermembrane space import and assembly protein 40 homolog [Source:Projected from Arabidopsis thaliana (AT5G23395) UniProtKB/Swiss-Prot;Acc:Q8GYJ4] MGQDQSKSIESPEPNPKREESEPESGSESESSSPPSMESLLAEAAAFGNEDESLSMEAKAQKALECPCIQNLRSGPCGSQFSDAFLCFLKSTAEEKGSDCVIPFVALQRCIKTNPNAFPKDVLENDEVEKEKKPVEDYKIIPPRWSMEPSRPKNKL >cds-PLY66057.1 pep primary_assembly:Lsat_Salinas_v7:2:206497758:206500178:1 gene:gene-LSAT_2X127540 transcript:rna-gnl|WGS:NBSK|LSAT_2X127540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNQQDLSSYSHVLPIPNSIHHTEMMELELNSDPLRDWKLQSDWYSPTRLHNSPVEYDFPAEYRRIMEGNLTLDSEGRPFRMLVFRGSPKSSRKSIRYIDEIRRSDEENFNNNTSNQQRKLPKKESRILDAPDLRDDFYMNIMDWGKNNILAIALGRRLYLWNAANEGLHHIFKPNALNDHPSSVSWSHDGKTLAVGHSSSKIQLWDAETCKLIRRLEGHHAMVRATSWNGHILTSGGNKAILNHDARVRNSLVSEVKAHRSRVCGLKWSMTGNLLASGGDDNVVYIWEASRMNSARFVHRFTNHVAAVKALAWCPYNFQVLASGGGTDDGCIKLWNTQQGTCITSICGLEWNRHYKEIVSGHGFSTNDDRKNTLSLWRYPSMVKAGELSSHSSRVLQLAQNPDGLTLVSAGGDETLRFWEIFGPPPPVKGPYSVLSSKAFPIR >cds-PLY84579.1 pep primary_assembly:Lsat_Salinas_v7:1:29686125:29689468:1 gene:gene-LSAT_1X25300 transcript:rna-gnl|WGS:NBSK|LSAT_1X25300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISYRCYSIAILWFLALNNGGLLLLSNGGTTSSFIRNEEKSIDMPFDSDVFHAPSGYNAPQQVHITQGDHVGKAVIVSWVTMDEPGSDTVFYWPQDSTQKNQTKGQMYTYKYYNYTSGYIHHCTLKNLEFNTKYYYEVGVGHTTRTFWFVTPPEVGLDVPYTFGIIGDLGQTYDSNRTLTHYEMNPVKGETVLYVGDLSYADHYEFHDNRRWDTWGRFVERSVAYQPWIWTAGNHELDYSPPIGEKKPFKPFLHRYHVPFEASGSTEPLWYSIKRASAYIIVLSSYSAYGTYTPQYMWLIEELPKVNRSETPWLIVLMHSPWYNSNTYHYMEGETMRVMFESWFVKYKVDVVFAGHVHAYERSERISNIAYNIVNGECTPVHDQNAPVYITIGDGGNIEGLAT >cds-PLY99132.1 pep primary_assembly:Lsat_Salinas_v7:2:11687466:11689048:-1 gene:gene-LSAT_2X4940 transcript:rna-gnl|WGS:NBSK|LSAT_2X4940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANSSFDQSAANNKLSYDVFISFGDDNIIKNFVNHLFSDFKRKGIHAFNEDNHLLKGEDRSPQIYTAIEQSRFLLVIFSKSFTSSPSCLNELVKILECKNKNPEKHKIRIISHNFKLNGIEPEVFEWKEALTMAAKLPGWDLEDLVNGYESKFIEMISNHIFNELNNGPLHVGENLIGLHSRVDRMNLLEFTESNDVHMIGIYGSEGIGKTSIAKSIYNRLYLHFESSSFCEDVDKFVKQNGMIPLQRQVIEDITKEEMKIRSVGEGSSVMKRVMGSKRVLLVLDGVDHLDPLECLAGSRCWFGAGSLIVVTGKDRQLLVAHGVEKIYDVEVLDYDEGMELFCLYAFKQKDPKEEFKWLCERVVRYVKGHPLALKVLGCFLFGKTVREWENELDQFRMYPIYDIQVLISRFSSKKK >cds-PLY99080.1 pep primary_assembly:Lsat_Salinas_v7:6:149644354:149645832:1 gene:gene-LSAT_6X90540 transcript:rna-gnl|WGS:NBSK|LSAT_6X90540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYAGMDKLGFYLLILLHTIHYVYGADTNITPLDFVKTSCKTTRNQALCVNSLSSYAGSIQGSDQQLAKAAIAVSLNNAKSAAALVSKLAATSKLKPQEYQALKDCVNNMASCVTSLTQSVQKLRKMGQFKGKNFDWHMNSLQTWVSSALTHQNTCAGGFSDSSMNGKVKDVLNKKMTSVTQITSNALALVNGFALRHKEVTHKP >cds-PLY62728.1 pep primary_assembly:Lsat_Salinas_v7:6:55263737:55275874:-1 gene:gene-LSAT_6X39840 transcript:rna-gnl|WGS:NBSK|LSAT_6X39840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGDNRRRRVDRKDIMISKKQKLILSAEEMLETKLGFDLFTEGEKRLGWLLTFASSSWEDQYTHKVYSCVDLYFVCQDGSTFKAKDKFRPYFYAASKDKMERDVDAYLRRRYEGKIADIQIVEKEDLDLKNHLSGLRKTYLKISFDTVQQLMEVKRDLMHVVERNQKKSNASEAYESIIAGKQKEQIQDFVYCISDLREYDVPYHIRFAIDKDVRCGLWYDVSVSSDGVKLERRHDLLQRAEVHVCAFDIETTKLPLKFPDAEYDSVMMISYMVDGRGYLIINRECVGEDVEDLEYTPKAEFEGYFKVTNVKNEEELIKLWFAHMKEVKPGIYVTYNGDMFDWPFMERRAAHHGLIMKDELGFQCDTVQGECRAKFACHLDCFAWVKRDSYLPQGSHGLKAVTKAKLGYDPLEVNPEDMVRFAMEKPQMMASYSVSDAVSTYYLYMTYVHPFIFSLATIIPMPPDEVLRKGSGTLCEMLLMVEAYVANVVCPNKHQSEAEKFHNGRLLESETYIGGHVECLETGVFRSDLPTSFKLDPSAFTQLIENLDRDLQYAITVEGKMDIETVSNYEEVKDAIMEKLISLRDKPIREECPLIYHLDVAAMYPNIILTNRLQPPSIVTEEVCTACDFNRPGKTCLRKLEWKWRGETYTAKRSDYYHLKRQIESEVVAVDGFKSKSFLELPKVDQQLKLKDRLKKYCQKAYKRVLDKPVTERRNAGICMRENAFYVDTEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIKKANKLVEKIGKPLELDTDGIWCALPGSFPENFIFKFRDSKKKFTISYPCVMLNVDVARNNTNDQYQTLKDPINRTYTTHSECSIEFEVDGPYKAMILPASKEEDKLIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLHGSTLEECYSVVASVANRWLDLLDNQGNDIADSELLDYISESSTMSKSLADYGMQKSCAVTTAKRLADFLGDTMVKDKGLLCQYVVACEPRGKDVSERAVPVAIFEADPEIMKFYVKKWCKTSSDSGIRSIIDWEYYKKRLRFAIQKIITIPAALQKVSNPVPRVAHPPWLHKKISERDDKMRQTKLPFKKVNDANGKDHVHEHKVVDVEDFGSNRKSSNYTPRSIVCSYETNGKTSSSKEKVDHGQSMNPDLEDNINRHLDYPGWLDQRKRKWKQVREKRKKQKLDNLDKNTQRNGVAEIHSGVSDKRQAQGRTGVNSYFERHELALTRSHWQIIQLVTSSELGQFFGWVVVDGMMHKIPIKVPRVFYLNSKAPVTDDFPGRRVNKILPHGHHSHNLIEIIVDEDQFRGESRKLAAHLADPEVEGIYETKIGLDFSLILQIGCVCEVDKSAKKRNVKEGWNLSELHMKTTTECSYLESSIPFFYLYHSISEVKGIYLVYFPSSSTIHVVLVNPFQNKDLSPYTLEKQFREACQALSVKSSMSRDASSFKVEYVGSPKDAEKLLQRTISEFRDEVHGPAIGVIECPDVKLMKLSIRALDDFPCVSIPSNARDSHYPTLAWQSFAAKIGMQRCAASPRWLNERISLSRYSHIPLGNFELDWLIHTADIFFARALHDHQQILWVSDNGIPDLGGDYEEETCFTDEVIQPVLTYPGAYRKVTVELKIHHLAVNALLKSNQINEMEGGTLFGFDHDLTSGSHLPNEQLGLDEATSCSSAFRVLKQLIQRCLADAVSSGNIFADAMLQHLYRWLCSPRSKLHDPAIHRMLHKVMQKVFALLLYEFRKLGANIIFANFSKVILDTGKSDLSAAQAYCDSLLKALQTRDLFEWIELEPMQFWHSFLFMDQYNYGGIQAKLDAKNSDPQVELVSSWNIAEKLPKETQDHFILIVTEFMHLPWKFTQDEAMKRATSRNDDDSCTPSITAAAAESFESCVTEHLRDQIRSYFTDKLLKIVRDLVLHTKGKGKSKEDEDNVYKGDPALEFIKHVCAVLVLDQNVQHDILIMRKNLLKYVRVREFAPEAQFQDCSFSFTLPNVICSYCNDCRDLDLCRDRGLLTQEWRCGVPQCGQPYNREVMENALLQIVRQRERLYHLQDLVCLKCKQIKAAHLAEYCGCAGSFCLKEEVTVFMKKMEVFLNIGVHQKFELLVECVSWILELKQVYL >cds-PLY98203.1 pep primary_assembly:Lsat_Salinas_v7:2:83690963:83692883:-1 gene:gene-LSAT_2X36381 transcript:rna-gnl|WGS:NBSK|LSAT_2X36381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSREKSNGERNAAKVIKTIANSISELQNELQDQDHQEVFDKDQESEDDKKRKAALERLGVKAIDTFVVNFDQELGKHLVIHGKGVPLFREMHLNSQMPQSHKKGVTCIIANLTSQSEGLPVDDYVSRVCDQDPYACMQGSKMFDEVNNLMYELMVSVTLKHEVHHYALRPMLNQCWIGWCTSAGIEI >cds-PLY98732.1 pep primary_assembly:Lsat_Salinas_v7:6:103043423:103044141:-1 gene:gene-LSAT_6X68940 transcript:rna-gnl|WGS:NBSK|LSAT_6X68940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVDKGIIPGFVPSGDPQVSIPCKKSSYRLYGKEGYALVDIMSRENEPPPKEGLSILCRHLFNESKKAGAITG >cds-PLY80009.1 pep primary_assembly:Lsat_Salinas_v7:9:45191775:45192769:-1 gene:gene-LSAT_9X40981 transcript:rna-gnl|WGS:NBSK|LSAT_9X40981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLVALIFFLNDAHMASVVDPIYGFVEVMLNESNFEYQKPYDTPLFQRYIYQNGIHRFWVYADDKPFRLGSNTQPRTEIRILVISTTPLFLDSPDYTSGVWQFEGVAFIPNGTSGATIVQIHGAAHGNTTSLLRIYNGEMRYYSTQVIDTNLYDRWFKVNLIHDVDGGKVTVFVDNKKKFKIHDQGPGLLYFKFGVYGAPKNISYYMESRWKDVKIYKKC >cds-PLY70809.1 pep primary_assembly:Lsat_Salinas_v7:4:58655267:58657388:-1 gene:gene-LSAT_4X39241 transcript:rna-gnl|WGS:NBSK|LSAT_4X39241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLHPTVSQSPPHPQSISLSSSLSSSSLSHSLNFPYGFRSSPHKKPQFTSFIVKNRYSSSSSPVSKVYNYGTVDHEKKSGTAVTWKVIYKRISLIGDPEKGATEVLNQWENEGKKVTKWELCRIVKEMRKYGRHKLALEIYNWMNNRPERFRISSSDAAIQLDLVSKVHGISGAEDYFKNMPNNLMDKRIYGALLNAYVRAKMVEKAEALLVEMKKKDYASHALPFNVMMTLYMNLKDQEKVEAIVSEMMENNIGLDLYSYNIWISSRGSQGSIEKMEESFEKLNLDPSLNPNWTTYSTMATFYIKNDKFEKAEDSLRKIESLITGRDRIPYHYLLSHYGSIGKKEEIQRIWETYKSVFPYIPNLGYHAVISSFIRMNDIEEAEILYEEWVSVKSSYDPRIGNLLLGWYVRKGLTEKAESLFKEMLDVGKLNSSTWEIVAESHINANRVSDALNCLEEAISNEGSSFWRPKPVNLLAVYNICEEQNDEKSKEALFEVMRKSGVLEDPVYMSYLPFYKGVNPGNELEIVKEIEGDDDGAVDMLLNELHATI >cds-PLY98599.1 pep primary_assembly:Lsat_Salinas_v7:1:36335587:36342000:-1 gene:gene-LSAT_1X30681 transcript:rna-gnl|WGS:NBSK|LSAT_1X30681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METQKEMMLVEMETCSDEKRVCSSGKKRAPLFGWPWENISNFKYLLFGPLLAELIYSRIYEKKHSEYSWCLHILILSSLRGLVHQLWSSHNNMLFLNRNHRLSEKAIGFDQIDKEWHWDNFIILEASVASILSLINPSVTNLPIWKTSGIISCVIFHIGLSEPLYYWLHRLLHSPYFFQHYHWLHHSSTVNHPFTAGHATFLEHLLLCVIMGVPILGSTLIGHGSVIMIYGYVLVFDFLRCMGHSNIEVVPHHIFETVPMLKYLIYTPTYHFLHHREMKTNFCLFMPLFDVFGKTMNEISWDLHRDISSNEGKKAKAPEFVFLVHVVDVMSSMHVPFVFRSCSSLPYATNIIFFLHWPVAFMVMLIMWAKSKTFLLSFCHLRGRLLQSWVVPRFGFMYFLPSAKDGINRQIEAAILKADRIGVKVLSLAALNKNEALNGGGKLFVMKHPDLKVRVVHGNTLTAAVILNEIPQDVEEVFLTGATSKLGRAIAIYLARRKVRVLMLTQSTERFISIRKEIPLDNRNLLIQVTKYQAAKQCKTWILGKWTTPNEQNWAPPGTHFHQFVVPPVFEFRRDCTYSKLAAMKLPVDVEGLGVCEYTMERGVVHACHAGGIVHLLEGWTHHEVGAIEVDRIDLVWEAALRHGFKPV >cds-PLY74041.1 pep primary_assembly:Lsat_Salinas_v7:1:194938519:194940947:-1 gene:gene-LSAT_1X124601 transcript:rna-gnl|WGS:NBSK|LSAT_1X124601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRMMVDIPIPPKWKHLWNTWDLRGFIILSLSLQTFLILVAPLRKRTKSNWIIMPLWSAYLLADWAANFAVGLISNSQGNPDERQGKKGERIAVENEDLLAFWAPFLLVHLGGPDTITAFALEDNELWLRHLLGLVFQCIAAVYVFVQSLPQNRLWIPTMLMFLTGIIKYAERTRSLYLASADRFKSSMLTEADPGPNYAKLMGDYFYKKKANLPTRIQMVPEPDRAAKSANKAKKGNLTELEKVQYAYQFFETFKGLVVDMIFSRKERNQSRDFFLNRTAKDAFKVVEIELNFIYEVLFTKLPVVFGLTGAISRFFSLATICSAIVLFLFKNKANFTDVDIMITYGLLFGALVLDVTALFMLVFSDWTIITLQKSPDAELDKKSRKTRIIGKFLKLMTEGTLRDTKDHAKSTKSESQIRKWKIKFLRRRWSESISTYNLIYYCLHPRPSLQNFAYENLGLSGFMDEIKYVKFESFTPKLKDFIFEELKAKSELADDLESAKEISSARGDWVIRVEEGWGALLKYVIDVDYDQSLILWHIATELCYNKELKEPKKEPMGANNDHRNIAKVLSDYMLYLLIMQPSMMSAVAGIGQIRFRDTCAEARRLFESGKGGEVKVEQNQTQTSRDTIVDWDQINACTKILEVTTEVRPVTVKGDRSKSLLFDGCILAKELMKIEEDSGKNKWVIISKVWVELLCYGASHSRANTQAAQVSKGGELITIVWLLMAHFGLGDQFQIDEGQARAKLIVGK >cds-PLY86699.1 pep primary_assembly:Lsat_Salinas_v7:4:8252254:8255383:-1 gene:gene-LSAT_4X5241 transcript:rna-gnl|WGS:NBSK|LSAT_4X5241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLVKEHFKRISGSELAIKSAQVTESTDLNELIEREPWLSSSKLVVKPDMLFGKRGKSGLVALNLDLAQVSEFVKARLGKEVEMGGCKGPITTFIVEPFIPHNDEFYINIVSERLGCSISFSECGGIDIEENWDKVKTIFLPTGISLNQEICAPLVATLPLEIKPVIEDFIKSIYTLFIDLDFTFLEMNPFTLVDGKPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVMSATESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGQKRALVVGGGIANFTDVAATFNGIIRAMKEKESKLKAANMHIYVRRGGPNYQRGLARMRALGEEIGIPIEVYGPEATMTGICKQAIDCITAAA >cds-PLY65073.1 pep primary_assembly:Lsat_Salinas_v7:1:118125630:118130306:-1 gene:gene-LSAT_1X90860 transcript:rna-gnl|WGS:NBSK|LSAT_1X90860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEETKNPARDIPIGLVGSMVITTALYCALAITLCLMQPYKSIDIDAPFSKAFEAVGWDWAKYVVAAGALKGMTSVLLVGAVGQARYLTHIARTHMMPPWFAIVDAKTGTPVNATVAMLVATAVIAFFTSLGILSNLLSISTLFIFMLVAVALLVRRYYVSGVTTTANRNKLIACLATILISSIATSAYWGLSKHGWIGYCITVPFWVIGTVSLWAFVPMARQPKMWGVPLVPWLPSASIAINIFLLGSIDRDSFIRFGGWTGFLLVYYFLFGLHAAYDTAKGEEKEWKKVEEGVNNGLESKSDLVDDNKS >cds-PLY64431.1 pep primary_assembly:Lsat_Salinas_v7:5:338258826:338261728:1 gene:gene-LSAT_5X191400 transcript:rna-gnl|WGS:NBSK|LSAT_5X191400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVPPDIEPDVIMSKKGKGAKLRNKQKSESEDACKLSIDTSKAKSVSNLVNKFESEVNLPGFKGLNEATNPDNARSGPKLSDSFSGEGSGSIYSDNKGLGSLKVFEDDLIGSKVISYSSITDFASGSVVGPVLDNSIKKEEGSNVGLSSPVIEFEKEIAQFCCPGEKNAMMIFWNCLSASEKEGFVHGLRFTKKKYNSGSDAENLFEDSIDNVKKISSISQRNNLLLNWKDLSNKKKEKVFYKLCTSKIRKQVEANFGKNWIPFKPSSTLFPGAVDMKKSYEFEASDGLQKVGETVINLLPVGVLVSENNDPVVVDLQNLCSMQSIVKEKKTKGKIEIDEFVSKQLEEVCNEIFFQFPSKFSHLDIVNNGEFKFSYVDLEKDGNDEKMVVDEKVSLKENSRNNNGGLLITDFEVLYDLGKENQSALLKKGINEDDLVYLDSVDQMEVLGGVPVIDTNMEAGPNGD >cds-PLY79456.1 pep primary_assembly:Lsat_Salinas_v7:9:4116942:4120282:-1 gene:gene-LSAT_9X5780 transcript:rna-gnl|WGS:NBSK|LSAT_9X5780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G02910) UniProtKB/Swiss-Prot;Acc:Q9SRY4] MDVINPVLRHHHHHRYSFNSPNQSISSLLLPLRRKPTFNSPPKFLFSPVSCSSSTSPSPPSPSPEVGSETAESCVNLGLTLFSKGRVKDALIQFETALTLNPNPVEAQAALYNKACCHAYRGEGKKAAECLRTALKEYNLKFGTILNDPDLASFRVLPEFKQLQEEARLGGEDVGYGFRRDLKLISEVQAPFRGVRRFFYVAFTAAAGISLFLTFPRLFLAIKGGEGAPDILGTSQNVAINLGGIVVFVALFVWDNKKEEEQLAQITRDETLSRLPLRLSTNRVVELVQLRDTARPVILAGKKESVTLAIQKAERFRMELLNRGVILVPVIWGEGELQSDKRGFGGPKKPAASLPSVGEDFESRAQSITAKTKLKSEIRFKAEVVAPGEWERWIKEQQKSEGVTPGEDVYIILRLDGRVRRSGRGLPDWNQIVKELPAMEDLLSKLER >cds-PLY93420.1 pep primary_assembly:Lsat_Salinas_v7:9:60729955:60730194:1 gene:gene-LSAT_9X53281 transcript:rna-gnl|WGS:NBSK|LSAT_9X53281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKHEKQLDELIVLKEKNEAIEVAEKDVKEALDAKKAMFHELTTDRIKKEAINNSGLYCLEPQTSIDVMNEMGCQFTFQ >cds-PLY90460.1 pep primary_assembly:Lsat_Salinas_v7:9:92285638:92288847:1 gene:gene-LSAT_9X70341 transcript:rna-gnl|WGS:NBSK|LSAT_9X70341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCHAIIHQLPLIVPISQHSSYSFLLPLGLSVSFSFSPSAPSPPIHIFPLFSPPRVRRHWLSLAIVSFRFEDHHLNNGGFLTPSENTNPSLKKKPNKLEIEDGSSKLKLFNKTIQAWIIIKQFSRPKIKKDHMQYCVDHPQETNKVSKVKAHRSQRCDLENTEKSNKYFYNNLYIWTGLGSCLARPFKRT >cds-PLY65445.1 pep primary_assembly:Lsat_Salinas_v7:9:184312098:184316634:-1 gene:gene-LSAT_9X113440 transcript:rna-gnl|WGS:NBSK|LSAT_9X113440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFSTICARPDRCSLDQALNWKNSDVVFMVHVFLTKVAKLLSYSKGFLLLIFAEASGDFRIEPEEGEYHLMCQVPSVEINARYGAANIKVAGTDMNSKQPVT >cds-PLY77496.1 pep primary_assembly:Lsat_Salinas_v7:4:49589030:49589570:1 gene:gene-LSAT_4X33500 transcript:rna-gnl|WGS:NBSK|LSAT_4X33500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDSSLEIFDNTVVMNSGYSSEVGRSDADFGFAFNDSKFSDHLLCIEIVSEPLGFTPDGEGSISLATWDRNRKRRREDITRDNVFTVDIDAVYSDEQSLNHLDHIVDDNGMNNENQD >cds-PLY73348.1 pep primary_assembly:Lsat_Salinas_v7:7:62962894:62963166:-1 gene:gene-LSAT_7X45900 transcript:rna-gnl|WGS:NBSK|LSAT_7X45900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSTTSLGGLSGLLRGEAYALRFGSGGRGGGVVEVVEERGSAGGIEGGGGGGGTLARFFEFFFVFDFDFEHTWFPPQHLPDLRDGNGC >cds-PLY82066.1 pep primary_assembly:Lsat_Salinas_v7:8:52212820:52215193:-1 gene:gene-LSAT_8X38801 transcript:rna-gnl|WGS:NBSK|LSAT_8X38801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVLSEIGDKTFFAAAILAMRHPRRYVLAGCLSALIVMTVLSAAVGWAAPNLISRTLTKHIATILFLGFGFWSLWDAFHEGDSEELAEVEAELDADLQDNGKPKANGKDDDDTKKKNRPIFSQFFSPIFLKAFTITFFGEWGDKSQIATIGLAAAENPLGVVLGGILGQALCQTAAVFGGKSLAKQISEKFIALSGGVLFIVFGIQSYFSTVDS >cds-PLY95265.1 pep primary_assembly:Lsat_Salinas_v7:8:136207406:136210379:-1 gene:gene-LSAT_8X93800 transcript:rna-gnl|WGS:NBSK|LSAT_8X93800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEILESVRICVSKTIDKATANGYNIDNGLKAIGITNQRETTAVWRNPPEFLFTMQSSGWIYEPAPFAAPSHPPGGSRRSGSSNNKASNTNNNCTSAVTTFDSLPRRFVTPHQQMHTPASLCLDNELKLTRNIHNTFIIMATVGWSETTATRNSRMAAAAIHGTTKEREIKEFVITGEEWKRTTSGYNNAESHRV >cds-PLY69103.1 pep primary_assembly:Lsat_Salinas_v7:3:227424518:227427201:1 gene:gene-LSAT_3X128800 transcript:rna-gnl|WGS:NBSK|LSAT_3X128800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFKSNNISEDNNNNQGWQKVTYAKKNRKNQPKQQVPQPKALPNGSVVAGNDNVFTAIEKKSEERRKVIEAQRLSIYDPAPPPGLGVVIERISRCPIHSGTISCKIDDAGSHEINGVRRRDVLNCFGAAISMELVASSSPISSPFIEAANAADLIQRRQRSDFQSSIKMTLYKAIKANPELISPLLTLALNDSMTYDKPTKTGGPNGSIRFSSEIERPENKGLSAALSMVEEAKKEIDSYSKGGPISYSDLIQLAGLDEDESTLHITELPVRRWTQEYKEFLEVASTAGKDKKPFIEGMVLSEAKKIIDSCMAAKG >cds-PLY92786.1 pep primary_assembly:Lsat_Salinas_v7:2:148934968:148936493:1 gene:gene-LSAT_2X74800 transcript:rna-gnl|WGS:NBSK|LSAT_2X74800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVATLSTCMKDVPSMLLLYLEEEFNSLINKKDQMNIETKIRNIRFIGELCKFKTAQVGLVFSCLKVMFYGLAVVTFGTAVPAGQFVPGIMIGSTYGHLVGMFVVRLYEKLNIEEGRYALLGAALFVGGSMRMAVSLCVIMVEITNNLKLLPLIMLVLLISKAVG >cds-PLY71474.1 pep primary_assembly:Lsat_Salinas_v7:7:191073904:191074255:-1 gene:gene-LSAT_7X115460 transcript:rna-gnl|WGS:NBSK|LSAT_7X115460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRCNCGAEAVIRTSWSKNNLRKRYYACSNPARGCKFIGWVVEDHKCACMNIRMKLEQQNLKLKLYLAISWFLFVSILVYKV >cds-PLY69149.1 pep primary_assembly:Lsat_Salinas_v7:5:284347411:284348859:1 gene:gene-LSAT_5X151221 transcript:rna-gnl|WGS:NBSK|LSAT_5X151221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDPRFFSPYPVDLAIVRNSLTVADGNLSSVLDVNGNVLFIIKDKNFSLHDRHILLDASEAPILTFQKKRVTMHRRWQAFRGESTSAKDLIFSTKKSSVIQKLTELNVFLADNKEETTGDYKVVGDWKKRSCTVSSYDGATILAKMHNNHIDTCIESEENTFAITVSPNVDYVLVVALMVILYEVNKDRKKKKMKGSKCGGSKDIIEESEDSNEDDQEEDDDTEDSD >cds-PLY99235.1 pep primary_assembly:Lsat_Salinas_v7:6:185624529:185625965:1 gene:gene-LSAT_6X114061 transcript:rna-gnl|WGS:NBSK|LSAT_6X114061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDNADDEQTYFNKQESMLLHQTSQNPCSPPSSPPPATASPSSVSSSPTHEFSFTVSLHPNPPPIFTQRHNSDTYDSDNNHKTDTTSSLLPYPPLTAIDLSPADDIFFHGHLLPLHLLSPLPVSPRSSTNSMDSYTLPSSLLYDQTNPIGNTSFHCHHQTAFSDFEEPEVAISNQNRPKSKSFSLFSIPKWKKRSDDERERGEDQNTKKLKLDLGQLIKRYMKMVRPLLSFPKSRRSNTTFNHQSYSFSGNSLSSKSSKLPHMNRGGKRRGQFSAPASMRTSPANSGIILNSGTVSPAKSTTSESTMEELHAAIQAAIAHCKNSIAIEEKIQSEN >cds-PLY72125.1 pep primary_assembly:Lsat_Salinas_v7:7:58478000:58483802:1 gene:gene-LSAT_7X42200 transcript:rna-gnl|WGS:NBSK|LSAT_7X42200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRQKSILSFLQKPKIEKPVGGAAVTGDSEAVSEEKIHGRNLPSSNQPIIHSSAVDFSNEIIGTDTPPEKEKRPLFSSIKHKFVKPNSVEKPRDRNLLDSSCDNIFSISNNCSYSNGREKQGSVSNFSKMKNVSDVEKTACQGDKGHPLIIESDSDITGPETPGAQPLIPRLKRVQEDGCTFGFTTGTTADFSINNSKRVKFSQDLPAKNKKDEVASDMPMNNSKRANLSHDLLSENKKDEVASETPMNNKRSAYFSHDLPSQNKKDDVASETASKFDWLHPSRIKDANGRRPNNPLYDKRTLYIPPDVLRTMSASQKQYWGVKSQYMDVLIFFKVGKFYELYELDAEIGHKELDWKITMSGVGKCRQVGITEHAIDDAIEKLLARGYKVGRVEQLETSEQAKSRGSTAVIQRKLVNVLTPSTLVNGNIGPQAVHLLAIKEGIRNLDDGSTAYGFAFVDCAALQFWVGSVSDDASCAALGALLMQVSPSEVLFESQGLSKEAQKALNKYSLTGSVASQMTPSVPATDFVDSYEVRTFIQMKGYFKGSSNPWDLALNQVAHQDVALCALGGLSNHLSRLKLDDALKNGSILPYEVYRGCLRMDGQTMANLEIFSNNADGGTSGTLFKYLDNCLTFSGKRLLRKWLCHPLQDVEEINHRLNVVEQLMGHPDIMSLISQYLRKLPDLERFFGQVKSTFHSSALLLLPLIGSKILKQRVKVFGSLVKGLRVGLDLLKVLQKEDHVLSLLLKIFSLPMLSGNDGIDKFLTQFEAAIDSDFPNYQAHEIKDSDAEILSILIELFMEKSNEWFQVILALNSIDVLRSFAATSNFSRLAMCRPVIVPRSNSSGPTLDMRGLWHPYALGETGGTPVPNDLSLGDNQFGYNPRTLLLTGPNMGGKSTLLRATCLAVILAQLGCYVPCETCVISAADVIFTRLGATDRIMTGESTFLIECTETASVLQNASQDSLVILDELGRGTSTFDGYAIAYAVFRHLVEKVNCRLLFATHYHPLTKEFASHPHVTLQHMACAFENVTSLSTNNTQKLVFLYRLTTGACPESYGMQVALMAGIPKKVVEAACEAGEVMKRKIGVSFRSSERRSEFSTLHEEWLRSVLTVSKAEAHCLGDGEEDDVFDTLFCLWHELKSSNQKLK >cds-PLY88456.1 pep primary_assembly:Lsat_Salinas_v7:8:86461361:86464492:-1 gene:gene-LSAT_8X61100 transcript:rna-gnl|WGS:NBSK|LSAT_8X61100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSNIAAKFAFFPPEPPSYDVFRDEEDTPNGDKRYCITGITADKNVDVHLLDTKVGNKIVATFWKHPFGKFTLLYSHGNAADLGQMQELFIELRAHLRLNIMSYDYSGYGASTGKPSELNTYYDIEAVYNCLKDKYGIKQEDIILYGQSVGSGPTLHLAARLQRLRGVVLHSAILSGIRVLYNVKMTFWFDIFKNIDKIQKVSCPVLVIHGTNDDIVDFSHGKRLWELAKEKYDPLWVQGGGHCNIETYPEYIRHLRKFISAMEKVSVSKPSKQRSSSGPSMTGVKHNKCLRFGKK >cds-PLY65611.1 pep primary_assembly:Lsat_Salinas_v7:8:39624306:39626124:1 gene:gene-LSAT_8X30980 transcript:rna-gnl|WGS:NBSK|LSAT_8X30980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHIFEPTMIREILANYYQFQKPWGRHPLTKLLPRGLINSEGDQWIKHRKIINPAFHVEKLKHMVPAFYLSCSEMIEKWGKLVTKESSCEVDVWPHLQTFSSDVISRTSFGSSFEEGKKIFEFQKEQAELIIKGIQSIFIPGSRFLPTKDNKRLKYIDREVKASIKSIIDKRVVAMKAGETINDDLLGILLDSNYKEIKQQGSSNFGLSIEEVIEECKLFYFAGQETTGNMIVWTMILLGQHTDWQTRAREEVLHVLGDKRLDIDGLSHLKVINMIFNEVLRLYPPAVMLRRLIHEDTKLGKLTLPAGTLIQLNTLFMHHDKDMWGEDVNEFNPERFSEGVSKVTKGQSTYLPFGGGPRICIGQNFAMLESKMALAMILQGFSFELSPSYSHAPHTIITLQPQFGAHLILHRL >cds-PLY74141.1 pep primary_assembly:Lsat_Salinas_v7:9:13699753:13701390:1 gene:gene-LSAT_9X12020 transcript:rna-gnl|WGS:NBSK|LSAT_9X12020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWGFVNRNWEKWACNNVGFDGEPLKAALLINYDPTGPSRLLSTIAEEEGIEADPIEVGEFVNFIKRGNYQSESFFIESNQYVVTSIHESWFCGRCMNTSKPAGEGAIVYKTPSFLFVALYEGSIGAASRAMAAVDRFACQLERRNL >cds-PLY77660.1 pep primary_assembly:Lsat_Salinas_v7:5:175113690:175121502:-1 gene:gene-LSAT_5X77821 transcript:rna-gnl|WGS:NBSK|LSAT_5X77821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLESSLPFIGNDTQHENRARRKRYLGLLVMSFSTLKVEILVQLQLWRRSVCSPTPRTLQNGKRLWLLIGMKNKGEQSVKVLSIHASIHLPYLHMSMHNLSAVSFNNACTSASVQLQPTIPYAFTVNKYLRHPFRSAIDTSRGLLLLISCASDVFGKAKT >cds-PLY72044.1 pep primary_assembly:Lsat_Salinas_v7:2:204879204:204882064:1 gene:gene-LSAT_2X125041 transcript:rna-gnl|WGS:NBSK|LSAT_2X125041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTTITEPFTDLEHSPNHHTTLLNHPELQQGAVRKQSFVRVLSSITLVSLFFVLAFVLIVLNQQNSTSTTAANSSPPEDKSSRRYSQSDRLTWERTAYHFQPQKNFIYDPNGPLFHMGWYHLFYQYNPYAPIWGNMSWGHAVTKDMINWFELPVALTPTEWYDFEGVLSGSTTALPNGQIFALYTGNANDFSQLQCKAVPVNMSDPLLVEWVKYEDNPILYTPPGIGLKDYRDPSTVWTGPDGKHRMIMGTKINRTGLVLVYHTTDFVNYVMLDEPLHSVPNTDMWECVDFYPVSTINDSALDIAAYGSDIKHVIKESWEGHGMDWYSIGTYDAMKDKWTPDNPELDVGIGLRVDYGRFFASKSLYDPLKKRRVTWGYVAESDSADQDLNRGWATIYNVARTVVLDRKTRTHLLHWPVEEIETLRSDVHEFKEIGLEPGSIVPLDIGQATQLDIVATFEVDPKSLSLTSDTNGEYGCTTSSGATDRGILGPFGIAVLADEARSELTPVYFYIAKSNDGGVTTHFCTDKLRSSLDYDGERVVYGSSVPVLDGEELTMRLLVDHSVVEGFAMGGRIVMTSRVYPTKAIYDGAKIFLFNNATGTSVKASLKIWQMGYARVQPYPF >cds-PLY89125.1 pep primary_assembly:Lsat_Salinas_v7:4:145515642:145516920:-1 gene:gene-LSAT_4X88780 transcript:rna-gnl|WGS:NBSK|LSAT_4X88780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAGPFWRSAGMTYISYSNICASLVRNCLKEPYKAEAIGREKVHFSVSKWADGKPEKPTIRSSNPSEV >cds-PLY67860.1 pep primary_assembly:Lsat_Salinas_v7:9:144824053:144825903:-1 gene:gene-LSAT_9X92240 transcript:rna-gnl|WGS:NBSK|LSAT_9X92240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLVTLLASIVLFLYWRRSHRVINWVVFGMTPDLILNAYRVHDFFTDLIKSRHGTFMLKGPWFANMDMLLTSDPANVHHILSKNFPNYPKGPEFRKIFDFLGDGIFNSDHELWEIHRKTTMSLFKHPDFNLHLEANIRNKIEKGLLPLLEFVSHDQQETDLQEIFQRFTFDAICLLLLDFDPETLSLHLPYNACEKAFTDAEEALLWRHLLPEKVWKLQHRFNIGKEKKLIEASKAFDEFIYKCLSRKENKLTDVERVEKEVGLLKFLITSFEGQTGTSGNSRTFLKDTIFSLMIAGRDTTSTGLSWFFYLIAKNPSVENKIREEIEKQVGNSKWKNLNAKELEGLVYLHGGLCEALRLYPPVALEHKAPSDTDVLPSGHVVNKHSRIILSFYSMGRMEWIWGKDCLEFKPERWFSGRGGVKHEPSYKFTAFHAGPRTCLGKEMGLIQMKMVATAIIYHYHVELVKDQEVCPADSIILQMKYGLKVRLFPIREIKI >cds-PLY94369.1 pep primary_assembly:Lsat_Salinas_v7:6:10258857:10260828:1 gene:gene-LSAT_6X7240 transcript:rna-gnl|WGS:NBSK|LSAT_6X7240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGLKSRNPGMFPAAKGGTSSPGGGGGGGREAGVSAWELRPCGMLVQKRNSDVTQSQTLVPTIKVKVKFGSSYHEVNIKSQATFGELKKMLAGPTGLNPLDQKLVFRDKERDSKAYLDVAGVKDGSRMVLFDDILSREKRLLENLQSKKMKKSEKEIVDVTIEIDKLAKQVGNLEIEIYSGKKVVEKVLLNLIELLMSQLIKLDGIIADGDVKLQRRMQVKRVQRYIENLDVMKIRNSKNGRNGDWANIQAPSQRHSNKISFEQKLMTPMERQRDSMKWPIVATAEWEKF >cds-PLY69234.1 pep primary_assembly:Lsat_Salinas_v7:3:224538128:224542203:1 gene:gene-LSAT_3X128040 transcript:rna-gnl|WGS:NBSK|LSAT_3X128040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSDCVKLPPWAENPVDFVHRHRMALESEHASQLSPKILKSKGVPVYRASQCCGEFIVTFPRAYHAGFSCGFNSVEAVNVAPVDWLEHGQGVVEVYSQQRQKTSISHKLLLVRERIRALWEA >cds-PLY85067.1 pep primary_assembly:Lsat_Salinas_v7:7:6949375:6961210:1 gene:gene-LSAT_7X8501 transcript:rna-gnl|WGS:NBSK|LSAT_7X8501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGKTMNLVTTVIGFAMSATFIVFVCARLVCGRFRRYESRELFEIDSRIDLERQEHRVVGLEPAMVAAIPTMKFDREAFGSMDDAQSIGPEMFEGEALGLSAMYETKSIRVPQPYKVGALPTGGSYIIMEFIEFGSSRGDQAVLGRKLAEMHKAAKSEKGFGFHVNNTIGRHVYLSFAYTLACIICSTPQINTWTSDWVEFYSKHRLAFQLKLAQQQFGDSAIYEKGQRLVKNLGALFEDVTIEPCLLHGDLWSGNISSDKNGEPVILDPACYYGHNEAEFGMSWCAGFGGSFYNAYFEVMPKQAGFEKRRDVYLLYHYLNHYNLFGSGYRSSAMSIIDDYLRILKV >cds-PLY76435.1 pep primary_assembly:Lsat_Salinas_v7:5:198627568:198628084:-1 gene:gene-LSAT_5X89161 transcript:rna-gnl|WGS:NBSK|LSAT_5X89161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY90033.1 pep primary_assembly:Lsat_Salinas_v7:5:186511836:186514056:-1 gene:gene-LSAT_5X83081 transcript:rna-gnl|WGS:NBSK|LSAT_5X83081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGAPTSLEPQDPMPVIDYSLLTSGTAHERSKVIQDIGKACEDWGCFMLVNHGIPEILIAEMMHASNEFFNMTTEEKLEFEAHGVLDPIRCSTGFNPDNKNKDLLWREYLRLIVHPDFNCPHKPPGFSELASIYVKKTRMVAMELVKGVSESLGFEASYMNEELNLDSGFQLLAINYYPSLMEFDGKRGLMPHTDHGLLTLLYENGVPGLEVLQNGKWIGMSDVPNAFAILNSDHMEIFSNGKYKSKLHRTIVKDKRTRITLVNPNGPSLDTTVGPSSRLLEHDTCLPRYLPMKYSEYLKLQTKLTMEGKHAFDKIRLL >cds-PLY88950.1 pep primary_assembly:Lsat_Salinas_v7:8:129961155:129963454:1 gene:gene-LSAT_8X90080 transcript:rna-gnl|WGS:NBSK|LSAT_8X90080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYSFVARGTVILSEYTEFTGNFTSIAAQCLQKLPATNNKFTYNCDGHTFNYLVEDGYTYCVVAVEAIGRQVPIAFLERIKEDFTKKYGGGKAATAIANSLNKEFGPKLKEQMQYCVDHPEEISKLSKVKAQVSEVKGVMMENIEKVLDRGEKIEILVDKTENLRSQAQDFRTGGTQLRRKMWLQNMKIKLIVLGIIIALILIIVLSVCGGFNCGK >cds-PLY94260.1 pep primary_assembly:Lsat_Salinas_v7:8:69526226:69532304:1 gene:gene-LSAT_8X50481 transcript:rna-gnl|WGS:NBSK|LSAT_8X50481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPSAPAFSSQSWKYHVFLSFRGEDTRRNFVDHLYSALEQQGIYTYKDDETLSRGESIGPALMKAIEESQIAVIIFSENYADSSWCLDELAYIMKCKDTKSQIIIPIFYGVDPSEVRKQKRKYGEAFAKHEIENKKKAKSWGQAVIEDLWGWVFNPREQNRKYQEAFAKHELENKTKVESWRKALMDASNISGWEPKHVANGHESRFIKEIVDTISHRLLLLPSSANKNLVGMAARMQRLKLELQIGSGGVQMIGIWGVGGGGKTTLASSIYDEISSNFDGCCFVKNIREESSKNGLVSLQKKFISGVLKQKEVQELERVEEGRRMIIDRLCHRKVIIVLDDVDKLDQLEALAGSHEWFGEGSRIIITTRDEHVLNANRVDVKHNIRLLNNDEAIKLFRKHACQDYTSMEEYEQLIKEVVSYAGGLPLALAVLGSFLCDKNINEWRGALARLKEIPNDNIVETLKISFDGLTKVERELFLDIACFFRGREKDEAMEILEACGFHPVIGVKVLIQKSLITISEDGVFDMHDLVQEMGHHIVRGERPNNPEKHSRVWKEEDVAKICDIDATTELDKIEAIIMVLRFHPAKEQAQYLPSVAANMKNLWYMESFGDPAKSLFNDIPLRQLCCLILFSGSQNQLWEGCKLWPSLKSLRICDMYNLIMTPDFNGLPNLERFILHECPCLEEIHPSIVFLSIEQCGGLDMFPSIMGLKKLKTLSFKGHLKFVNCWRCGCSNLPGVECCVEEPCVCRLGFFHNLQELRFLRKLDLCWSNLGDEDIGSDVLEFPNLQELNLYGNKFSQLSFSCLRFPQLKWLDVSFCKELVELSELPQSIAIVIADYCDSLESLGDISNCKWLWKVSHRGDNKVGPLVGEILLDSMLQIDVIIKQDIDEEDSPFEIWQEYNETSEPEFDGEVRTHVGYVSFSSLRRSTSLNSSYNIISFSIKSSWSSFAAELVPRKSKDDPMQTTKVTTDSSEFWDEENDEYKAFIIQDDSNSYINIIWQYNI >cds-PLY76945.1 pep primary_assembly:Lsat_Salinas_v7:7:52283006:52284770:-1 gene:gene-LSAT_7X39241 transcript:rna-gnl|WGS:NBSK|LSAT_7X39241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCSAEEIRGKGFMDLVVEPKDLHIANDILQQMLKGECWTGEFPIRNKRGERFVIIGSTKPLRDENGIVIGIISVSAAPRPYQQIKLSAPIAKADICSVHKNIERFPAEFMDNLHIPLSSRSSLKVTGQILVKDKFDAARFSPFWNEIVKNLREEDYITNLEMELLQMPKNTGTVPMVQWPLFLLASKLFLAKDIAGESDTQDELWDRISRDDYMQDAVEECFYTIKLILTSIFDKEGNECHRPYWNSDRCMKMRVLASKSVYAAVAPVTSTTGLNMAPSEAATGSRSQHHDSRPHQLQDLKRE >cds-PLY77953.1 pep primary_assembly:Lsat_Salinas_v7:1:21590287:21591571:1 gene:gene-LSAT_1X18341 transcript:rna-gnl|WGS:NBSK|LSAT_1X18341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMHIVGQLSYYLLDVYDADSKRLVLQNSVIEITEQTVHDMMGLPFGGEDINELPLCDKGNQILEEWRGQYSGDKFNGEEYLRRIQATTKDNLMFRLNFLTLFVNNFIESMLMGTNQIKVVRKLVLVEDFSKLNWCKYMLDCLGSRKKLWKRDDKSSYYSGPITLLILVYVYNMKYSIKIDKRLPFIGHINGAKLLEVQKLEIGLGGFGRQFRDEHDDVDMGDETGAEEQQMLSFKRDFGDEEAYAAVIEHSYGVIVTEKGTMEVALKDGLLKFPHSVVLNEWMEKMNELFKGVFEGVGNKKVHEPACFNEVNMNDVGDGGEGNSSLVRGLILTEVNIEKEDNYTTPVDTTSLTMTQFH >cds-PLY71174.1 pep primary_assembly:Lsat_Salinas_v7:2:133916807:133921313:1 gene:gene-LSAT_2X61700 transcript:rna-gnl|WGS:NBSK|LSAT_2X61700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGDVRIPYPFGIGVNCSVSEWYNVDCNRSTPYLPAVNNVQVLKVDLEYQTVKVNVSMIFDCHNRVRTSSQILGIELGESNPFLFSRIHNQFVVEGCGNAVIMDHGITVAGCSTTCSNEGTVGEKDTCLGITCCQTRLPYYLKTYSMDLTRLERQGGDGACGSAFLVDNDSYDEVRLSGDSTFIPISLMWILSERESSQIKCCNSGIKIKVDTGNGTSTTSSKCIFPIYVYEGNPYLSDGCDVPPQATEECAECINTGGLCEYHKVYDDYDRISEYAFSCSPNGHPTLSRPRRLSLGAILGPSISIGVAFLLAISYASYKVINEAIARRRRMRYFKRNGGQLLEQQEKSDPSSVGKTMLFSLRELEKATDCFSEKRILGVGGQGTVYKGMLLDGRTVAVKKSERVVESQQEKLINNQFINEVVILSQVIHPNVVKLLGCCLETKVPLLVSEFVSNGTLYDRIHKEANEFPLSLKMRIQIATEVARALAHLHSGSGTSIPIYHRDVKTSNILLDDNNIAKISDFGTSRNISPDETHLTTMVIGTVGYLDPEYLQTEHFNEKCDVYSFGVVLVELLTGEKPIFQTTSGQKTQLAAHFISAMEEGCVISIFDKMVINEGTTDELLALANLAMRCLCIKGKDRPTMMEVEHELESIRTSHVPSRVEANIDHVMGKGKQVLTMPTTAESSSTPFKSFNEPSTSQ >cds-PLY90128.1 pep primary_assembly:Lsat_Salinas_v7:7:12937309:12938106:-1 gene:gene-LSAT_7X11381 transcript:rna-gnl|WGS:NBSK|LSAT_7X11381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENASLSTTTSSTSTTITTTTSSTSTTTTTGCSSVSSTSTSSASTTEIMKCGGKEVKPEKGLKRAQKGNNGNEQKKQNKNGDGGEHPMYRGVRMRSWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAAIAIKGRSAYLNFPELSHLLPQPATTSPKDIREAANKAAATCGGDESEPPCQPMLSHSHSSTTLSSSSSCNIHDSVTSTSNQEDDTFFDLPDLALENADRSDAFRFYPSSWQLEAGADTGFRLESEEPFLWHIY >cds-PLY93515.1 pep primary_assembly:Lsat_Salinas_v7:5:325698727:325700062:1 gene:gene-LSAT_5X179800 transcript:rna-gnl|WGS:NBSK|LSAT_5X179800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDSTTPKETDSSNNFFNFLSSDVTELILARLPVRSIIRCSAVCKLWHSIITAPLFSASAAAPPWFFLYGQNNIFVKNNQAFAFDPDSNEWIKLPTTLFPVSISQESSFIGSGGFFFNTTANNFSFAPILIPSWRETTPLKFSRLNPLVGVISSEFSSNSNQNNRFEQKLNLPNIIVVGGVRFIGGLVDIEDNLAVEIYNPTLDSWDLCPPLPADFRSGNSSQSLSSAFFKSKFYVFGIHSCFISSFDLSNRVWSEVQTLRPPGVITSFLISCRNQLMLAGLCNNPHGPSFNLWGIDELTMEFSEVAIMPPDLLNCLFDSDEDDRFASLKCVGVGKYIFVFNEEHRRNYPACVCEVSSDSKQCRWRRIPDLPEPVNRFHKVISFCSTISLRWILDGEVA >cds-PLY95057.1 pep primary_assembly:Lsat_Salinas_v7:5:227566541:227569449:-1 gene:gene-LSAT_5X107321 transcript:rna-gnl|WGS:NBSK|LSAT_5X107321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SC35 [Source:Projected from Arabidopsis thaliana (AT5G64200) UniProtKB/Swiss-Prot;Acc:Q9FMG4] MSHFGRSGPPDISDTYYLLILNISFRTTADDLFPLFDKYGKVVDIFIPKDRRTGESRGFAFVRYKYADEAEKAVDRLDGREVDGREITVQFAKYGPNAERIHRGRVIEKSPRARGRSRSRSPRQRYHDNYYRDRDREYRRKRYDDDSRERGYYRYHGRSRSRSYTPHNHNRGHADDEYFRRSHSTERFHIYFQYTI >cds-PLY78351.1 pep primary_assembly:Lsat_Salinas_v7:1:199187585:199194039:1 gene:gene-LSAT_1X121280 transcript:rna-gnl|WGS:NBSK|LSAT_1X121280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMCGMFEKIEEFRQFKQANPESKKGYKYTVPGFMLLFKIWILETFTEATMFYIRTPIELPRMRAWRSKTPLNWEQCCRIINVSMPNNQPINVVANPEELMLPFYVRYVNWTLNPVESPPRQHSPIPNSPPHVDSAAQRRMYKSEIETSTTESATNASSSQHLETIDRALQKKDVPDTNVEPDRGFQEEEEMINEEEEEKYQHHTYFDYDDIGTQGLEGEFGPTPTHVEQSSDVGEDHTKEMTPIGRPQRKRGVPWFQRTPFTVLQSTPKVKKITKPKKKKVVKSPEKPNEDIVNEESNDVSNHLLLDSVEAASTLSFWKEWNSISANLITKHRLHMLTLDVDFWSRLLAVTDTGWLLSSHIAIWGALLMERRPTNARWTIFPQELSLQKGKTYFLRNIANGVEGHPKWKDVDKVVFPLIIPHVHWFLAVLHLDTWKVDIYDSARCMNHFTKYYVGGEFKSFGDSLIEELDAIEYWKDFPDEHKDNTVVEFIDIVDAPQQEYISERGDCGVFVCMFMEMIASGVPVKSDKPCRDAGFLYRNRMTNIIWDTK >cds-PLY97212.1 pep primary_assembly:Lsat_Salinas_v7:4:95249692:95253838:-1 gene:gene-LSAT_4X62720 transcript:rna-gnl|WGS:NBSK|LSAT_4X62720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKDNENTMHTGHVRRRKGSNEVPGDVDQTTGTKLLAKDQNKYKSMLVRAYSTIWMIGGFIFVVYMGHLYIWAMIVIIQIFMAKELFNLLIKAHEEKQLPGFRLLNWHIFFTAMLFVYGRILSQRLVNTVTTDKFWYKLVGNLIKYHMVTCYFLYITGFVWFILSLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIVINDIAAYIFGFFFGKTPLIKLSPKKTWEGFIGASVSTIISAFVLANLFGRFQWLTCPRKDLSTGWLQCDPDPLFKPENFVLPEWFPWKEMQILPVQWHALGLGLFASIIAPFGGFFASGFKRAFNIKDFGDSIPGHGGMTDRMDCQMVMAVFAYIYHQSFIVAQSVSLGMILDQIVMNLSYEEQRDLYSKLGQIIKDHQFGET >cds-PLY75689.1 pep primary_assembly:Lsat_Salinas_v7:8:194771414:194779040:1 gene:gene-LSAT_8X125620 transcript:rna-gnl|WGS:NBSK|LSAT_8X125620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADYQSPRAEKSSSSSAMKRKKKRKLPDSENKYEDKASKSSRINQSADLVNEPIEEDKETPTELLEDATPWRNLQLILSLQKKNLNIEKKVELAYKFVNLSFSRVADDTTHPSEAVSFDRVITFLNNWVQSTLISSEKEIRVSGLTPQFGVSGSCLDYRCWKIFKFCLEEGMKLHVSLSFSKDILKVIHCITRNMEIKDLNEDELTLYNTILDCISLIFTSHGGVSNENLDLWILLVGTLLDLVLKVITSNLNDTISGTIVMNLTCILLDPFIKFLRLHPNRKNGFQDFVDKLLEPLLCLLHVIHSSELATSKLLKLIEEVFSQGLFHPSHIDGFLSLQSLSKYKLSDEGKPKDSKTVIRSYHRHLFDKLGRIVVEKKALALSGEGELFRMYVHCIKRQDSLSALNAETRKSLFDFFVQILEPLLSDIDIYLQDEVEIGTMLEDVHCTLTSFNSILINLMQEKVYTRVDDASEGACANFLKLVCDKITLLSSKIDHLVSSTFDANNGTCKDLVELVAKDVISCLDYLLEIDYEVLGNDLESLWLLMFSYGTLGHSLTNLQDKPSIIPEILHLGCHMVNLYSELRQVGTSVFALCKAIRRFISSANKKESNHSKSWANSLRLILCSPELKLSIHNAVKSIPEGQVGLSIKELATDVSESLEWMKGTLDNSHHNVQLKAEVLGSGLSEVYTLIVDSLVVTTGNSSLVGVSLNDLMKLISPTMSLLISQPKGVDEFLSVVSRRKFSNKVKSHWIFLFFFRLYMSSRSLYRQAITLAPPTTSKKMSEIMRDPFTAYSGNDWLEKTEKDKGYFSWILQPSVSLLLILEAISDACSKESKTDLSPLTYVLSAMALQRLVDLNRLVNSLEFVIKRNEMIISEGKEAEGINGKQNKRLGKRLMKLSEEAKGLTSYIMGHLSLLNKSEPNYENGWDFSVASIDKKSFPSAFWCMICQNIDVWSAHSAKKKVKMFLSILLQNSLPFMKSNLKQFGEKSVVGGGNLKKVMIHEISLELLSNTMLYEEKFIRRHMASRFCSFLQELVSPLFNNGVDIELQEEPNWPEVLSSLKIPSVVANNISEVNDCSSIDQSSSLSIKKQEVDESSQIYKICQSSLSFLCWMPKRWINSKSFSLYATCILNLERVILNTLLGEKLHDHLELLRLFLCCRKTLKHLMMTFCEENISTNQNSLNALHFKGKFPALWLLKSLLSLQNTFSTNQNTELKNLMFSLTDYTSYVFFTLIKGTLVNASHSLISSRDPFHKNTESVSASDQEDGINATDFLIHVSEELKDYAEIMLGERETSCYGKATSVLSCFQGFLWGLSSALSHMDTKNINLKAIFSRKKFEPIDKLKLCIDTYTASINKSLCELFLKASFSEISSNGYEKRLNLETLEMGNTDINKSFLQSLLEGESLFLRQLFISYSAILRLNSQMKTPLLSKVVVIFLEISEVLLLGFSKNTGNRTTPPSELTFVLLDAIGKFLEELGNSSSNPTLPPKVYERLIDLHLKAIGKCISLQGKEAALESHETESSTKTMNDDSSSGLSCLDELKNRLRMSFKVFVGKPSEVYISSAMQSIKRALVGRQEGGIGRSSGGGNVSSLVAAGIDCLDLVLEAITGRKSLNTVKTDILGSLCCLFNIVLHLEGPQIFYRDPTSVTHYSADPDSGCVVLMCIEVLTKVSGKHALYQMDSCHVAQALSIPATLFQNILNKGVKTKVLDREYSIELYAACCRMLHTLLRHHKRESLQHIALLEASVSVLLHCLEMVNNDQTILEGVVWGLQEGVKCGAFLRRIYEEIRQQKDVIGQDCRLFLSSYIVVFSGYGPLKAGIRREIDEALRPGVYALIDACSSDDLQYIHTVLGEGPCRNTLANLQHDYKLNFQYEGKV >cds-PLY73303.1 pep primary_assembly:Lsat_Salinas_v7:MU043501.1:78035:80955:1 gene:gene-LSAT_0X13221 transcript:rna-gnl|WGS:NBSK|LSAT_0X13221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSVTQLQRQYAEYTTSLYHEGYLNDQFMQLQKLQDESDPDFLVGLVSVFVDDSKKLLDTLTTAFQQKTVDYKQVSLHVHRFKGSSSNIGAQRLMRVCVLFINYCKEKNLDGCLWCLQQANHEYILVRSKFKALFKLEKQILKAGGSIPMME >cds-PLY76222.1 pep primary_assembly:Lsat_Salinas_v7:4:55432472:55433666:-1 gene:gene-LSAT_4X37521 transcript:rna-gnl|WGS:NBSK|LSAT_4X37521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMAPEVPAILVLGPPLVFKAYESDFSQKFNFLKPWEKPIPLQQFISTHSSTVKAIFCSAVSPVTSDIIQSLPELRFVLASSTGVNHIDLRECKRRGIVVANAGSTFSEDVADMAVGLLIDVLRRISAGNRFVKSGVWRREGDYPLAHKLSGKQVGIVGLGSIGLDIATRLKAMGCIISYTSRTMKPHVTFPFYPTIHQLVANCKILIISCALTEETRHMIDREVMLVLGKEGIIVNIARGAIINEKELIECLVKGEIGGAGLDVFENEPNFPQELFPLDNVVLTPHHGAVTEEACRDLYDHVCKNLHAFLANKSLECEVDM >cds-PLY72724.1 pep primary_assembly:Lsat_Salinas_v7:6:29053780:29056090:-1 gene:gene-LSAT_6X21780 transcript:rna-gnl|WGS:NBSK|LSAT_6X21780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDVTEVKPLISQSSSSNLPDFKKSVKLKYVKLGYHYLITHGMYLFLSPLVVILAAQLSTFSLEDVHVLWDHLRFNLISVVVCSTLLVFLLTLYFLTRPRPVYLVNFSCYKPEEDRKCTRQKFLQCSTSTGTFTDQSLEFQKKILERSGLGESTYLPEAVLRIPPNPCMEEARKEAELVMFGAIDELLAKTGIKPKDIGILVVNCSLFNPTPSLTSMIVNHYKLRGNILSFNLGGMGCSAGLIAIDLAKDLLQVNPNSYALVMSMENITLNWYFGNERSMLVSNCLFRMGGAAILLSNKSSDKKTSKYQLVHTVRTHKGSDDKCFSCVTQLEDPIGKIGVSLSKDLMGVAGDALKTNITTLGPLVLPMSEQLLFFATLVGKKVLRMKIKPYIPDFKLAFEHFCIHAGGRAVLDEIEKNLQLSDWHMEPSRMTLNRFGNTSSSSPWYELAYSEAKGRIKKGDRAWQIAFGSGFKCNSAVWKALKTINPSKEKNLNPWIDEIHQFPVHVPKFSAL >cds-PLY84986.1 pep primary_assembly:Lsat_Salinas_v7:3:121247901:121251704:1 gene:gene-LSAT_3X84440 transcript:rna-gnl|WGS:NBSK|LSAT_3X84440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYIFNLHLLSLFLSFTFAIATFSTRDAAVLLRVKNTQLEDPDGFLNDWDDSITPCNWTTITCNNHTLDIVSIDFSDFGSLAGPFPADFCRIPTLRYLSIGNNCFNGSISPASFSLCSHVTFLNLSSNYFVGKLPEFQPRFVNLTVLDVSYNNFTGEIPASIGESLLLQVMNFSANLLSGALPESLTNLTELTTFLAPENPSLSGRLPENIGRLKKLETFRISNTQLSGEIPDSIGDLSSIKSIDLSTNSLTGGIPDSIGRLKSIEHLWLYDNKLSGELPDVFGNLTSLLQFDASLNDFTGKLPTSLAGLHLQSLAVNDNNLEGEIPTILSSNPMLTQLKLFRNKFSGGLPESLGENSDLEEFDVSDNQLQGPLPPNLCSKKKLQKFICFNNRFSGDFPVSCGDCKSIFYVRISNNELSGEIPSSFWSSSALQFIDVSNNKFRGSLPDSISRSKIIQGLVISGNGFSGDLPAGICRLEEIVEMDLRNNQFSGGLPPCLTNLKKLEKLNLQSNEFTGEIPNAVSSWTHLSSLNISNNKLSGVIPNEIGNLPSLNYLDLAGNSISGKIPDSLSHLKLNILNLSDNNLEGKIPTGLDSEVFASSLIGNPKLCSQDLKPFPRCHKTKSASYYVVAILSGLAFFLIVSLLWLLIKAKLLRRNTSLWKITSFQRVGFKEQDVLASLSESNVIGMGGSGKVYRVTLKTGQTVAVKKLYGVHRSPETESEFRSEMETLGLIRHKNIVKLLFASVGEDFRALVYEYMENGSLGDMLHVDPKGGYLLDWSKRFEIALGAAQGLAYLHHDCVPSIVHRDVKSNNILLDEELRPRVADFGLAKSLQIEVKESDGAMSRIAGSYGYIAPEYAYTMKVTEKCDVYSFGVVLMELVTGKRPNDASFDENKDIVKWVTEVALCGEGGWKDSDQLIDPKMNCSSHDYEEIGMVLNVALQCTSAFPLNRPSMRRVVELLKGQSSTPSK >cds-PLY87720.1 pep primary_assembly:Lsat_Salinas_v7:1:4361567:4365139:-1 gene:gene-LSAT_1X3841 transcript:rna-gnl|WGS:NBSK|LSAT_1X3841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSNEEILLERPRAPGLSLNLLTLSGISLMNYEIGVFLYFHRQFHCQIRFSFMKTFERRRKGYRRRLRIAEQRQRCTHDLDFELDLDFATVILELSFSFPFEEECEGVNGENGYLQFYNCQMQAFLDRFCSN >cds-PLY78107.1 pep primary_assembly:Lsat_Salinas_v7:1:6574067:6582474:-1 gene:gene-LSAT_1X5621 transcript:rna-gnl|WGS:NBSK|LSAT_1X5621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVHVLGFLVVLGFIHSQAALVPKTYDYEVKEANFTRLCKSKTILTVNGEFPGPTIYARRGDTVVVNVYNRGNLGITIHWHGVKQPRYPWSDGPEFITQCPIQPGANFSQKIILSDEEGTLWWHAHSDWSRATVHGLIVVWPKIGRPYPFHKPYVEFPIILGEWFRSDVEAVLLDFMRTGGDPNSSDALTINGQPGDLYPCSSAETTKFIVEQGKTYMLRMVNAAMNLFMFWAIGDHEVIVVGTDGAYTKPLKSDYVTISPGQTIDLLFTANQPIGQYYIAAKPYNSQPAISFDNTTTTAIIEYKGYKKTSRKPIFPHLPKVNSTSASVNFTGSLRSLASRAHPIDVPLKITHNFLFTISLNTVPCADNTCLGPRGLRFAASVNNITFHSPNISILDAYYGRITGVYGDNFPNFPPLFFNFTANNLNNSLETPLNATEVKVLEYNDTVELVFQGTNLVGGIDHPMHLHGHSFYVVGWGFGNFNRSKDTLNYNLVDPPLQQTITVPKNGWTAIRFKADNPGVWLMHCHLERHISWGMEAVFITKNGSRSGESMLPPPLNRPSCT >cds-PLY97631.1 pep primary_assembly:Lsat_Salinas_v7:5:238021222:238022686:1 gene:gene-LSAT_5X112820 transcript:rna-gnl|WGS:NBSK|LSAT_5X112820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACLNMQQINNEKQGGYMGPRISFSNDFVESNHHENSYTEAPVSSDFEFSVPSFSSNSADGVFFKGKLPPLKEKQGLTLRDELLAGHDDDDNGGGDGVFIHHKNSTGWWREKFGLRKTQNGKTTDHKNLGGLETIDEAKIKPTFYSPKYTGSNRYK >cds-PLY80501.1 pep primary_assembly:Lsat_Salinas_v7:2:136072877:136073594:-1 gene:gene-LSAT_2X65221 transcript:rna-gnl|WGS:NBSK|LSAT_2X65221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLEKVGSTLRKGFLGFKKTILLDKRLLVDLIDKYTNGILNWDEFSISVKQVHQERMGNPTKRLVISDKPKEEDYFYANPEECLQTSESDDEPVSIL >cds-PLY80807.1 pep primary_assembly:Lsat_Salinas_v7:5:240385934:240387981:-1 gene:gene-LSAT_5X118741 transcript:rna-gnl|WGS:NBSK|LSAT_5X118741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPSKQDKKSPSANKNKKHDHKSKFSLLHKMLPFLHQTSIGKSLGSNEDEAILVGAIAGAASCCCCCCRRKKRTHKNTNNGDYYKQKSSDIPLKMPPPPSSGSEFKQPPPAMGLGMSSFTYDDMATATHGFDRSLLLGEGGFGYVYKGVLPNGKEIAVKSLKANSGQGEREFQAEVDIISHVHHPHLVSLVGYCVSGKKRLLVYEFIPNRTLEYHLHDKGRSVIDCSTRLKIALGAAKGSAYLHEDCNPRIIHRDIKAANILIDSQYEAKVADFGLAKLSSDDNTHVSTRIMGTFGYLAPEYASMGKLTEKSDVFSYGVVLLELITGRRPVEPDSDEDSLIDWAGPTLIQASEGGSYEEMVDPLLRGNYNRDEMHRMVACAAACLRHAAKKRPKMSXXXXXXXXXIYIYIYMYYAIGMNDPIVR >cds-PLY72450.1 pep primary_assembly:Lsat_Salinas_v7:2:138831368:138835308:-1 gene:gene-LSAT_2X66260 transcript:rna-gnl|WGS:NBSK|LSAT_2X66260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTSSTSSLWFPATSTAGTRNSFPTTDTFLRCRRSRQLTRLKTHKSVVRSDLDRDVSDMRTNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIYESRTFIGGKVGSFVDKRGNHIEMGLHVFFGCYNNLFRLLKKVGAEKNLLVKDHTHTFVNRGGELGELDFRFPVGAPLHGINAFLTTNQLNTYDKARNALALALSPVVRALVDPDGAMTQIRNLDNVSFSEWFMSKGGTRKSIQRMWDPVAYALGFIDCDNISARCMLTIFSLFATKTEASLLRMLKGSPDVYLSGPIRDYIIEKGGRFHLRWGCREILYDKSANGDTYVTGLAMSKATQKKTVKADVYIAACDVPGIKRLLPSNWREWEFFDNIYKLVGVPVVTVQLRYNGWVTEMQDLERSRQSRKATGLDNLLYTPDADFSCFADLALASPEDYYIEGQGSLLQCVLTPGDPYMPLPNEEIISRVEKQVLALFPSSQGLEVTWSSVVKIGQSLYREGPGKDPFRPDQKTPVKNFFLAGSYTKQDYIDSMEGATLSGRQASAFVCDAGEELAALRKQLAAXSL >cds-PLY76175.1 pep primary_assembly:Lsat_Salinas_v7:4:52674128:52674511:-1 gene:gene-LSAT_4X35841 transcript:rna-gnl|WGS:NBSK|LSAT_4X35841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVAVTHDFKISSLQPPLDYHYTYLNKHKPNNIYASQEHHHNPYDSLADYDVEQENHEDEHDDDETHNQQRNRFDFLLNVLEPLLHVEHIHPQQKKVSTLLVLQMIDLSFLCHYLLSTIAENGVKIV >cds-PLY71531.1 pep primary_assembly:Lsat_Salinas_v7:7:28467659:28467955:-1 gene:gene-LSAT_7X21001 transcript:rna-gnl|WGS:NBSK|LSAT_7X21001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSSQVLPATAAAINVQSPTTSDSEEYKYEEIEIEQEIKVEEKEEKVNGEELSSSIHDPDDEKVSSILSIDKTSLHFLFLLINDGFELWHNNLLPFVC >cds-PLY78060.1 pep primary_assembly:Lsat_Salinas_v7:4:353972107:353974592:-1 gene:gene-LSAT_4X173900 transcript:rna-gnl|WGS:NBSK|LSAT_4X173900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIVNGKPLKEKRSRKNNKQSLVDEKSPLLPTQKGEEQGFDEFNGASFPGAVFNLSTTIVGAGIMALPATMKVLGLIPGIALIIFMAFLTDASIDLLVRFTRAGKSVSYGGVMEDAFGSIGRMLLQVCVMVNNIGVLVVYMIIIGDVLSGTTSDGIHHSGVLEGWFGVQWWNGRFFVLTVTTLGVFAPLASLKRIDSLSYTSALSVALAVVFLVITAGITFFKLITGSISMPKLFPDIVDFTSAFNLFTVVPVLVTAYICHYNVHSIDNELEDNTQIKAVVRTSLILCSSVYVMTSLFGFLLFGDETLDDVLANFDTNLGIPYSSLLNDAVRVSYALHLMLVFPIVFFPLRLNLDGLLFPRKGPLVSDNLRFVSITVALIGVIFLGANFIPSIWDAFQFTGATAAVCIGFIFPSAITLGDRYGIASKRDKILCVFMIVLAVFSNVVAVYSDALALFKRNGGSTRE >cds-PLY68295.1 pep primary_assembly:Lsat_Salinas_v7:1:27072641:27072823:-1 gene:gene-LSAT_1X23900 transcript:rna-gnl|WGS:NBSK|LSAT_1X23900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMRMVGLALGSLIQCFEWGRTSEVEVDMNGGTGFTLAKAIHLVAMCQPRPIMLNLLSQL >cds-PLY80766.1 pep primary_assembly:Lsat_Salinas_v7:8:137387641:137387850:-1 gene:gene-LSAT_8X95180 transcript:rna-gnl|WGS:NBSK|LSAT_8X95180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQNVPHQMIQSPARLGLPNPNSPSLQTPTPPKFTSQIPQSHPPNLHPNLQTTPTSLTLLPLLPPLQKA >cds-PLY89829.1 pep primary_assembly:Lsat_Salinas_v7:4:323014951:323015653:-1 gene:gene-LSAT_0X27861 transcript:rna-gnl|WGS:NBSK|LSAT_0X27861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFSLKILKLKAPIFKNQYVKPPHPICIQCHILKKSSRAQDEVKAEAKLPMKINKKSQLLFRGDLLGQLRKESYKPRGRLLGQNMSSLRSGGVYG >cds-PLY90007.1 pep primary_assembly:Lsat_Salinas_v7:3:84094898:84098278:-1 gene:gene-LSAT_3X64960 transcript:rna-gnl|WGS:NBSK|LSAT_3X64960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQIMSSSNFLLFSLTLLIITLSPIPNFTSASLEEANALLKWKASLQIPKNSLLSSWIPFPLNSSASVPCTSWFGVVCNADGSIQKLNLTLSGLKGTLHRFSFYLLHNLTHFNLNLNNLYGPIPPEVRHLSRLVYLDFSLNKFSGVIPPEIGNLHQLTILSLYSNNISGLIPIELSNLKFLTHLVVYKNQLSGSIPSSLGNLTSLNVLYLYQNQLSGPIPVELGNLKSLVELDVTENQLTCSIPSSLGNLTSLNLLNLYQNQLSGSIPPELGNLKSLTDLEVSINQLSGSIPSSFGNLTSLNFLYLDQNQLSGSIPPELGNLKSLVDLVVSLNQLSGSIPSSFGNLTSLNMFYLDQNQLSGPIPAELGKLKSLTYLVVYYNQLSGSIPSSLGDLTNLNALYLDHNQLSGSIPIELGNLKTPTHLLVYNNQLSGSIPSSLANLSKLQWLTLGDNKLSGPIPSELGKLKSLTHISVVKNQLGGFIPSSFGDLLSLILLYMHQNELAGPIPGELGKLKYLTDFRANNNQISGSIPPEFGNLTQLQRLNLSSNHLVGEVPKEFGKMKNMLDLSLAGNQLSGVIPLELGFCELLEVLDLSKNRLNGSIPRSIGQWAQIHYLNISNNKLIEKIPSEIDKLVHLVELDLSHNFLTKEIPSGVQSLQSLQQLDLSHNRLSGSIPDAFTNMPNGIFINLSYNELSGSVPSCANFVNASLESNPDLCGNITGLKLCPSQIVKKKNDPFHHKLILVIMVPLIGTLILGVFMYGLIAYQQQKKKSPQKPSDEESGDYFSITSFDGKVAYVDILKATNDFDEAYCIGTGGYGTVYKAELEPNNVVAVKKLHPSSENVDHNGFLNEVRALTNIRHRNIVKLYGYCSHVRHSFLIYEYLEKGSLGSILRSDVLAKELDWLKRPPIIHRDISIPNILLDSDYEAHISDFGTSKLLKLDSSNWTAIAGTYGYIAPELAYTMVANEKCDVYSFGVVALEVILGKHPGDLVTSLPTLPSDYLVPENVEDSRIPPPASQVEKQVRLVLSLSRACLNSNPLERPTMQQVSNLLMKDLL >cds-PLY94375.1 pep primary_assembly:Lsat_Salinas_v7:6:9805547:9807649:1 gene:gene-LSAT_6X6980 transcript:rna-gnl|WGS:NBSK|LSAT_6X6980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLRALDYKGFFSYQNKYSFCTSTIVKKSNTRLRAVSTTTTNRSCKIRCESSSESGDELHGKSYSWRSPEGVVRCSANYVPLSPISFLERAADVYRDRTSIVYGYISYTWKETHHRCVKLASVLNHLGVSRGEVVATLAPNVPAMIELHFAVPMAGAIICNLNTRQDPNMISTLLQHSEAKILFVDNQLLDIADEAVNLLKNTHTKPPLVVVISEPDSRSPLTNTGKYEYESLVESGVTEFSIIRPNDEFDPISLNYTSGTTSQPKGVVFSHRGAYLGSLASVFLRDMQERPVYLWTLPMFHCNGWCFSWGVAVVGGTNICLRRCDPKDIFNNIVLHNVTHMDGAPTVLNMLVNSPATDRKPLPHKVKILTGGASPPPTIISKIEELGFRVSHIYGLTETYGPGTSCLWKPEWEKLNLEKQLKLKARQGVKAFVMEEVDVKDPVTMESVKCDGKSLGEVMFRGNIVMSGYFKDLKATKEAFEGGWFKSGDLAVKHSDGYIEVKDRSKDVIISGGENICTIEVETVIYSHPGVLEVAVVARPDDHWGETPCAFVKLKEGVDIDAQEIIEYCRDRMPHYMAPRSVVFDDLPRNSTGKVQKFVLREKAKRLGSLF >cds-PLY76562.1 pep primary_assembly:Lsat_Salinas_v7:8:90864553:90867230:-1 gene:gene-LSAT_8X63401 transcript:rna-gnl|WGS:NBSK|LSAT_8X63401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGVEPPGFSFQIVNTNMDEFSPRARRRSALLTWQHIASLPPSLPVVYCGGFNTQKESTTGRFLLGRSREHGVVGDMRDAWPNARLRKNVSLIRTFHGFKGNKQGAVEFFKLIFRALCLCWDRQTQDLHVDWILFRGRSLVPVSCEVVNDNIDGQYPSSHYPIFAEFMLPRTVRLLDPPPVQDDATSPVS >cds-PLY94994.1 pep primary_assembly:Lsat_Salinas_v7:5:5270827:5271997:-1 gene:gene-LSAT_5X2360 transcript:rna-gnl|WGS:NBSK|LSAT_5X2360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRRHLLYLLYTSSVRPPSSTPYFTAPPPPPAFLIRKGYMSSQESSKGNHIHADETINHDRAPSTLEEFKRLEEEKASQGVASQTVEKAEDGAMEAFAGDGSLESVKESFKESVGVGDFHRTGDT >cds-PLY83608.1 pep primary_assembly:Lsat_Salinas_v7:6:165090267:165092280:-1 gene:gene-LSAT_6X101001 transcript:rna-gnl|WGS:NBSK|LSAT_6X101001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSQQYKATIGADFVTKELQIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMRSFDTLDNWHEEFLKQANPPEPSTFPFILLGNKVDIDGGNSRVVSEKKAREWCASKGNIPYFETSAKEDFNVDAAFLSIARTAMANEHEQDIYFQGVPEAVPETEQRGGCAC >cds-PLY71554.1 pep primary_assembly:Lsat_Salinas_v7:7:29878971:29880084:-1 gene:gene-LSAT_7X22300 transcript:rna-gnl|WGS:NBSK|LSAT_7X22300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLNPECEDVVEGQVGAEVGSDTDGVALFQVEMCFGVLMFVRCMLLNTKQIIEKAVDGDKDYLKHALLLFLNFTSASDHFIFIMVLAQMALRMRKSMV >cds-PLY62054.1 pep primary_assembly:Lsat_Salinas_v7:5:331298083:331299077:-1 gene:gene-LSAT_5X184980 transcript:rna-gnl|WGS:NBSK|LSAT_5X184980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRLYYSSFRDESVKSIFLCLVFPQIRSEIHSNEMWFLFYGVRDGDSGTDVGSLLAWHSSKKGKKETWVKK >cds-PLY67742.1 pep primary_assembly:Lsat_Salinas_v7:9:167773050:167773550:-1 gene:gene-LSAT_9X104200 transcript:rna-gnl|WGS:NBSK|LSAT_9X104200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGRWRSVAPVSYSLIHKTYWPFLNGHAHWTVADHDSPERICAFDFHKETFELFPSPPPESEENFLSLGVINGCLCQSDTDHEYTFTVWVMKEYGIKNSWHIELVIQQSISYDLDLPFSEPLYIIKGLKDGTILMASYRDALFVYCPRRNVIVDPETFGSVATS >cds-PLY91920.1 pep primary_assembly:Lsat_Salinas_v7:8:196501214:196503296:-1 gene:gene-LSAT_8X126200 transcript:rna-gnl|WGS:NBSK|LSAT_8X126200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESCPSVKNILLLDSEGDRVAVKYYSDDWPTNNAKEAFEKSIFTKTQKTNARTEAEIAMLENNVVVYKFAQDLHFFVTGGDDENELILSTVLQGFFDAVSLLLRGNVDKKEALENLDLIFLCLDEIIDGGIILETDANVIAGKVASDSVDSGAPLSEQTISQALATAREHFTRSLLSD >cds-PLY79324.1 pep primary_assembly:Lsat_Salinas_v7:4:812862:817067:1 gene:gene-LSAT_4X1641 transcript:rna-gnl|WGS:NBSK|LSAT_4X1641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRVRNFRRRAEEDDNDDEDKEKTTTTICKKPQSKPATATPKPKKPSLLSFADDESTDIVTPISRNRPSNQNKQPSSSRSSKPSSLSSSSTHKLTSAKDRSSASYLPSNVQPQAGVYTKEALLELQKNTKTLGSSTPRPRPPPSEPIIVLKGMVKPAIEDSLKNIKGDDEESNRIGLGGKGGNLDGGLIPDQAMIDAIRAKRERLRQSRVAAPDYIALDGGSNHGEAEGLSDEEPEFQGRIALIGEKSEAKKGVFEDVVVDVRKENSEGNGSDEGVVDEEDEEDKMWEEEQFRKGLGKRMDDGVAVRGMSSSSSIPTIAQNVQKKVVYPTVPVASYPSINGGPSIGGWSSGSDTISISQQAELSKKALHESVRRLKETHGRTLTSLTKTDEKLSDSLAKVIALENALTVTGEKFIFMQKLRDYVSAICEFLQDKAPFIEELEYQMQKLHKERAEAIFERRAADSSDELMEVEASVNAAMVVFNKGGNTNSMVESAALTVSQESRNLPVKLDEFGRDMNLQKRMDIKRRAESQQRRKARSDSKRIQSMESDSDSHLVEGESSTDESDSESTAAYESNRDQLLQIAGQIFSDADENFSQLSSVKERFEIWKKEYASSYQDAYMSLSIPAIFSPYVRLELLKWDPLHQDSDFIDMQWHELLFNYGQPEEESKIDPDDADVNLVPDLIEKVAIPILQHEIGQCWDTMSTMETRNAVSATNLVFRYVPLSSKPVTELVAVLRDRLSHAVANLMVPTWNTVVLKAVPNAARFAAYRFGMSVRLMKNICLWNNVLSSSIIEKLALDELLSGKILPHLRSIQSNIHDAITRAERVVASIPRLQPLVDYLVLLGRTLENRRQGGTDGVFARRLKKMLVELNQYDHARHISTTFNLKEAL >cds-PLY86949.1 pep primary_assembly:Lsat_Salinas_v7:5:262824404:262828417:-1 gene:gene-LSAT_5X133500 transcript:rna-gnl|WGS:NBSK|LSAT_5X133500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP90D1 [Source:Projected from Arabidopsis thaliana (AT3G13730) UniProtKB/TrEMBL;Acc:A0A178VDZ8] MESIINSLFLLFTTTTSAAIFVSALVFVFFAFFFIKNRRRPPWKISSPAPSSSMVVRRILLGTMGWPFIGETLDFISCGYTDHPQSFMDKRRLLYGKVFKSHLFGSPTIVSTDAEVSKFVLQSDANSFVPSYPKSLTELMGESSILRINGSLHRRIHGLIGSFFKSPYLKAQITSDMRKLLLQSMATWNEDRPIYIQDETKHIAFQVLVKALIGLDPGEEMEYLRTQFQKFIAGLMSLPIKLPGTQLHRSLQAKKKMVNLVLQIIRDKKIKSVGVSQDSMDVADVLLKDKSEKLTDKLISDNMIDLMIPGEDSVPLLMTLAIKYLSDCPKALHQLKEENLELKKRKEELGDPLCWDDYLSLRFTQSVITETLRMGNIIMGVIRKAMKDVEIKGYLIPKGWCVLTHFRSVHLDENNHDSPHQFNPWRWQNKDMSSGSSSCFTPFGGGLRLCPGLDLARLEASIFLHHFVTDFRWVAEDDTIINFPTVRMKNRMPVKVKREN >cds-PLY94185.1 pep primary_assembly:Lsat_Salinas_v7:5:321337187:321337450:-1 gene:gene-LSAT_5X176721 transcript:rna-gnl|WGS:NBSK|LSAT_5X176721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLCSSSSSLPTLLMCRLTYKFFLYQHVARKKHSCQIQSKMFSTCTSFFKEARQQQVDYFGPDYVAVGETLDYFGPEYVAMGKILHG >cds-PLY70005.1 pep primary_assembly:Lsat_Salinas_v7:8:146637688:146638598:1 gene:gene-LSAT_8X99661 transcript:rna-gnl|WGS:NBSK|LSAT_8X99661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSPNEDLEGGRRRRNSPSCWTLFYAWVSFLIWTIVIFSLIFGIAFFAFVRSNLPDVKVHRLDVYKLDVIQPKNKNKDTQLAIDVELFVNVTNNNKKVTLVYDGMHVETKIEGFSLPKVHLEGFRQNPQTSQDLKIHPRELRSKVNDDDDATELKFSAKQHEMVLNLKMRGKIEFWFNGRMVSKLGLKLINVFGEVVYGSDEGLRWSPAMLDSWNYWR >cds-PLY83076.1 pep primary_assembly:Lsat_Salinas_v7:9:106988538:106989008:1 gene:gene-LSAT_9X76320 transcript:rna-gnl|WGS:NBSK|LSAT_9X76320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPEIGYKIYLTMNTLLLWWWEVDNELDKLARTVLTISVPTLLLLWYKWISYNSRKHIPPFPSGPYGLPLVGYLPFIGSNLLERFTEVAHRYGPIFSLQLGRKLHVVVNSMRLVKVWTRDLDQTMANRSPPLVALTISYGGNDIAWSNSNTHWRNM >cds-PLY77369.1 pep primary_assembly:Lsat_Salinas_v7:1:206736455:206738382:1 gene:gene-LSAT_1X122121 transcript:rna-gnl|WGS:NBSK|LSAT_1X122121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEENTQLAGNSKLRLPTDQISSLITLSHSIRVFASRWNLIRNKLNEILPILAALPPELQDSVDNPTLSGVIQAVEETTEFTTNLAQKCIDLSYSGKLLMQSDLDIICVKFNNHIKSLSELSPTNGLSPINGDYALVVSRPERTASKDDIKFYVKDLLSRFRIGSNEIKKRALICFNEVIHEDERFVKIAMDVEGFAFVLVELLVSKESRIQEEALKTLDILCGFDSYKCVLVSFGVIAPLIRVLESGTNLSRKLSTSCLMKCTSESDNAWSVSAHGGVTSLLKISGSEYENDGELVSLACGVLKNLVGVSEIKRFMVEEGAIPMFINLVNSTNELSQITAIDFLQSIASKDELVTNLIVKEGGIHVLSQILDPQLSFSSTTREISIMAIMNLCSNSTRSHTLITSGFLDHILYFLNNSDTSVQESSLKAAFWLSGISDDFKKAMGDKEFMQELVKLIGAKSFEVREMASETLSNLVSIPKNRKKFVENDQNVGLIMQSVDQEDGSSSNRKLLLPIIMSLSGCNSGRKKILSSGYLKNIEKLADEQVSDARKIVRNLTSNRFVRMIRGFWR >cds-PLY70131.1 pep primary_assembly:Lsat_Salinas_v7:3:11382771:11387772:1 gene:gene-LSAT_3X6981 transcript:rna-gnl|WGS:NBSK|LSAT_3X6981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGLEMPVSGSDKLEVPVLGCRFSEKAEHLPIKKRRFVFKTSSPPHNNTASSDMPESAAACLDSSNQQIQPKPAASCPSAESLTVASLCQNGSIDNKVHGEKFPKSGDDICGISLGSYGTKSLEARATIKLEPNSVNLPNISVKETGLHTSTVPFKDGDCSSPDKARVDNSTPDNQVISQGQKSAVCDERLNWDLNTVMAWEESPECDHNIQTTFPEGNNTCKEGNSKVCKQEQVSGSASENLVNKSLTNLRSLPHTIHEKESEERKPNSKNINKLLFTSVIPRASPHATVNNIKSLHAQNLDISNNAVPSIVKVEHPTCDISKNHVNVNHKNGNDLVFPMTANTGFSLDYSLPLGFNPSINSRPLEEEEEENVVSGSNVNHAITTPLQTTENENLNLSLVTVASLENEVHQSGTSFEEDDKTKVKRLITSNCMTMENLIDKGSPRTPQDAYKAINQSAIGSLLAIQSSVLPEDQPCSDALQVKPEKSASTPPSVSYNKKEACQVGVDNGIENFPSDIQGSEVDNVEELSVGYDSQFEDGEVRGESSIQTWEGSGSEGDTDNRENENEHEHNSQCVAPESSSRIRSNTDMASSQKGTDEISISSVVLAEQVSNSPEPNETNTRQVGMKDESESDQWKMNVSGSEDYNGAKMKDLPSIKLYNKRETSTRDAFINRGSRFRMQGHYSSNNGGDDSASRCREAGLVRSSSFRRGNNRKYSPHVRAGNRGGATWNRSPGRDNREPPLPFFRDVDTLTTNEVCQNDDVIIRPGAPYRQSFRTRLVGNREEDDFRARLGLRPAGDTRFVGRGRSLRYNPRGGGPGVRYSGPANDGYNEPLEYSHSFKRRCYSPTERRENLNQNQNQNHPCVHHHSDSTSPPRSCTRSRSPRIRRPRSPDFRFEGVDGGYNNNNNNNNNNNNNNLPPDTRWVKYKQRTTVFNRSPPPPIGERLSFYDSSRKTKQNENYRSGHPGRFSDVMDHHHGYRRGGFVKRNEMGSRPLKHNNEYDEEDGYGPVEGMDFHGRGNPKPYTNGTDTRFRDLPRRAREERENW >cds-PLY66453.1 pep primary_assembly:Lsat_Salinas_v7:5:321101481:321103507:1 gene:gene-LSAT_5X176321 transcript:rna-gnl|WGS:NBSK|LSAT_5X176321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYGLNLRVQSSQQKKQPTRPPRPPAPGFGEDDEDDIEREISRQASKNKALKDVEEQHKKALEEDPSVFDYDGVYDEMKEKAVRPIAQDRQERKPRYIQTLIDKAKVREREHEIIYERKLAKERTKEDHLFADKDKFVTGAYKRKLAEQAKWLEEERLRQLREEKEDITKKGGDMSEFYFNLSKNVAFGAEGSEARKPEKQKAESKIPMESANPKEENRGESETSVGSKERSESIEAKKPVVDTQNKQEVSNDSLKEESGKDDMASQPATADHHKRNQDALAAAKERFLARKKTKVNVD >cds-PLY86078.1 pep primary_assembly:Lsat_Salinas_v7:7:140279413:140283489:-1 gene:gene-LSAT_7X84241 transcript:rna-gnl|WGS:NBSK|LSAT_7X84241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYECCEYQFFLHIGIIAFLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTPKDRKYAEKILPVVKNQHLLLCTLLICNAASMEALPIFLDSLVTAWGAILISVTLILLFGEIIPQSVCTRYGLAIGASVAPFVRVLVCVCFPVAYPISKLLDYLLGHEHVALFRRAELKTLVNLHGNEAGKGGELTHDETTIIAGALELTEKTASDAMTPISETFSVDINAKIDRDLMNIILEKGHSRVPIYYEQPTNIIGLVLVKNLLTIHPEDEVPVKSVAIRRIPKVADTLPLYDILNEFQKGHSHMAVVVRQCSKTSEQVGPTSPLPNAKKEVQIDIDRENSLRAKRSFQKWKSFPNSGNNSNRGAYRSRKWNKDMYSDILEIEGKPLPKLPEEEEAVGIITMEDVIEELLQEEIFDETDHHFEDS >cds-PLY62240.1 pep primary_assembly:Lsat_Salinas_v7:5:161987334:161990250:-1 gene:gene-LSAT_5X70841 transcript:rna-gnl|WGS:NBSK|LSAT_5X70841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRMGRDFSYTTQRDAVSPVSADVLFASSRFPNYKTRTNNNTMEAKEDSKLVSMRELTRHETAKLLDQQNRLSVRDLASKFEKGLAAAAKLSDENKLRDVVSLEKHVLLEKLKDALESLRGRAVGKNKDDVEEAIAMVEALSGQLTQREGELVQEKAEVKKLASFLKQASEDAKKLVDEERAFARTEIEKARAAVQRVEEALQEQEKMSRATGTQDVEELMKEVQEARRIKMLHQPSKVMDMEHELQALRTQLAEKSKCSLKLQKELAMSKQGEKNRPDLFELDGTESLGSYLQIHPCCDEAPDLLECSIQWYRLASEGGKKDLISGATKPIYAPEPSDVGRLLEADVMSDGLSITLTTSGPIDPAAGLGNYVEALVRRHDTEFNVVIVQMNGEEHPSESVHVLHVGKMRMKLCKDNTTMAKEFYSPFMQLCGVRGGGNAAAQASFWQPKIGLSFVLAFESERERNAAIMLARRFAFDCNIMLGGPEDRAAVERAT >cds-PLY89563.1 pep primary_assembly:Lsat_Salinas_v7:4:365607872:365608294:1 gene:gene-LSAT_4X178301 transcript:rna-gnl|WGS:NBSK|LSAT_4X178301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLSMTLVKNSVNDAVSVNAAVAGDFRDRTTMTTLKVLKEIETDQESNASSSEDMEFYSPKSVVAKKWPVTTKEVNVSRARVHDPIVRIRTEDSQIGEDIGECFCKFDRSGHNRVDVMTFSRPASPLSGKTSSSSIKIEH >cds-PLY72994.1 pep primary_assembly:Lsat_Salinas_v7:9:37024653:37025029:1 gene:gene-LSAT_9X34860 transcript:rna-gnl|WGS:NBSK|LSAT_9X34860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVASSSSDEVRPSEVWGCWGQKWMKARAEEVSVVFALRSRCSPSRFDSSDQQYIFGFAFDRKSIGLSGCLVLVHDKKEGKTEEESRMAATSRGRRIVRDRDFE >cds-PLY68629.1 pep primary_assembly:Lsat_Salinas_v7:7:131900949:131901179:-1 gene:gene-LSAT_7X79421 transcript:rna-gnl|WGS:NBSK|LSAT_7X79421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWEWGVQKDPLKKNWCTLCDKRVCGEITRLKEHLTHTGGNVAACTKVTTEITKKVLESMKEKDKKKGKKKNNKHT >cds-PLY89159.1 pep primary_assembly:Lsat_Salinas_v7:3:20589675:20592209:-1 gene:gene-LSAT_3X15341 transcript:rna-gnl|WGS:NBSK|LSAT_3X15341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLRSGADMKRKLTWFDLIALGVGGMLGVGVFVTTGPVAKDKSGPAVLVSYVIAGISALFSSLCYSEFSIQIPVAGGAFSYLRVTFGEFVGYFAGANILMEYVLSNAAIARSFTEYLCTTFGQEDPNAWRVEVDGLMEGYNMLDFPAVALIILLTLSLCRSTKESSMLNTVMTVFHVVFFGFIIIAGICYGNTENLIQPKSLAPYGVKGIIDGAAIVYFSYIGYDSVSTMAEEIQNPSKSLPLGIIGSVLIVSVLYCLMALSLCLMVPYNKISSSASFSVAFQHIGWKWASNIVGAGASLGIVASLLVAMLGQARYLCVIGRARLVPSWFAKVHPTSGTPQNATIFLGFCQASIALFTELSIVLEMISIGTLLVFYLVANALIYHRYAIKTNNPPIYTLIFLLLLSSASIGYSISWNLNHQPRWGLVLFGVCMMAITATFQYLMSSGSIQNRENHEWQVPFMPWPAALSIFLNVFLMATLRKLSYERFGVWACFITLFYLLYGVHSTYQAEEIEMEMEGDDGGMREQYCPNSNGEQSKVDIQVL >cds-PLY90585.1 pep primary_assembly:Lsat_Salinas_v7:6:53130970:53131851:-1 gene:gene-LSAT_6X38840 transcript:rna-gnl|WGS:NBSK|LSAT_6X38840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAMYQALVNLGLSSQVYVTTAHSLQILKTSFPPSNGAFRDEYVQYIQPILSFHAQSNSPFLINAYPYFAYKNDPKNVPIEYLLFEPNSGTVDPNTNLKYDNMLYAQIDAVYAGMKSLGHSDVQVRISETGWPSRGDDDEPGATVGNAGIYNRNLLQRMQEGQGTPAHPSQKIDIYVFALFNENMKPGPTSERNYGLYYPDGTPVYNLGVQGYLPIMDYSSSGENGFCVFRLVVMFVGLVVVFG >cds-PLY63432.1 pep primary_assembly:Lsat_Salinas_v7:7:149017561:149018941:1 gene:gene-LSAT_7X88240 transcript:rna-gnl|WGS:NBSK|LSAT_7X88240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFLMAKTPEQRAAVDLDTHYTQPGAIVKFAKEGTENSTFWFALGGKQGYTRNKGFLFMSSVKMQPTDQTSMAPAQCNRCSLKVEYMTMLFPKPNTSTSSSGCWTSSSSTSSRLNSETESTNSGIAETN >cds-PLY84914.1 pep primary_assembly:Lsat_Salinas_v7:6:14785518:14790098:1 gene:gene-LSAT_6X10740 transcript:rna-gnl|WGS:NBSK|LSAT_6X10740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTTDPEHQHHTFSSIGKAGKSSGEIEGLDVRLLNGQRHSERYSVAAAVLPFFFPALGGLLYGFDIGATSSATINIKSPTSSGVSWYDLSSVQIGLITSGSLYGALLGSILAFNVADFLGRRRELMVSAASYIIGALITTLAPNFVIMVIGRIVYGIGIGLAMHAAPMYIAETAVSQIRGRLISLKEFFIVTGMVLGYVVGSLLVDVKSGWRYMYATATPFGVVMIIGMWWLPASPRWILLRAIQGKGDMRDLREYAIYCLRRLRGEEAMDDSASEEVEEILVELSYISEENEATFGEMFQGKCLKALIIGAGLVLFQQITGQPSVLYYAASIFQTAGFAAASDATRASILLGLLKLIMTGVAVMVVDKLGRRPLLLGGVSGIVVSLFLLGSFYTYLGNIPTVAVVALLLYVGCYQISFGPIGWLMISEIFPLRLRGRGLSIAVLVNFGANAIVTFAFSPLETLLGAGILFFIFGGIAVLSLVFIFFIVPETKGLTLEEIEAKLL >cds-PLY85267.1 pep primary_assembly:Lsat_Salinas_v7:3:71460460:71460717:-1 gene:gene-LSAT_3X54660 transcript:rna-gnl|WGS:NBSK|LSAT_3X54660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLRYLKRFVIVMIIVSLLASMLRTGETRTLKEDHHRFDRYAGLLKSKLPRGPVPPSGPSLCHNSIDLYKQTEFVSTQEYQSYCP >cds-PLY86851.1 pep primary_assembly:Lsat_Salinas_v7:8:49509870:49512268:1 gene:gene-LSAT_8X37720 transcript:rna-gnl|WGS:NBSK|LSAT_8X37720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHMEFEDYITDLPQSIIEIILTKLPLREAVRTSILSSKWRYKWATLTNLEFDDKCVSGTHDRSLAETNLVKFITRFLFLHDGPINRFSLSTAYLQSSPDVDQWLLFLSRKDVKELLLELGEGEWFRAPSCLFSCKKLIRLELVRCELDPPLSFKGFPYLKHLNLQQVLIAPEAVENFISGCTLLESLTLSYFDSLELTIRAPNLKFLILEGEFKDICLENTPKLVAISVAMYMTDDIAEHFGQSSSCNFDKFLGGVPSLQRLIGHIYFTKYMSIGNTFGKTEMTYKQLKVIELYQVSFEDMKEIMVVLRLILNAPNLQELQISGSSNSTSATEARDLDFWENECPFECRFERLKMVKMTDMSGVPHEMGFIELLLGSSPVLETMSITPSVYMTEGRTRFLIELLRFRRASSGAEIIFLQDQV >cds-PLY77678.1 pep primary_assembly:Lsat_Salinas_v7:9:18758845:18763570:1 gene:gene-LSAT_9X13760 transcript:rna-gnl|WGS:NBSK|LSAT_9X13760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSKILWKRFYQVIYLAGSYPQPTRQKQYFEQRKRQQQQQNSNGLESYSDKKAPCTQCPENNRSLDILSLLNLSTNGQDFTSRFSEGRGSSNGQHTTQNSQTALQMENENICPSEHVEIRETRNVSNHLEEAGCQQEFLFDFSSSNHDASKGNNEKDQMKMITDRISSFPAGLGKVEMETPVHSPQHPTRKFAYGCPGPSKIFKGSYSSKNLNCSLEDQFHELDDMAVGGDLPTDACSLELPPYLGELHRNPKQKTMVDKECLLHDAEDFLSNDEFYDSRVEHDRFKWHGRYLFTLPLLLLALGCLRARVDSAGCFNVSGLSSPYKHQINHRYDFMTSDMTRCNSQFSETTHSSDWPSFARHDLHLLSYLILLQLVDNTVMLLNLAWREGTNNPSSNFRRHATDSRSPFSMKSNTFSGGFGMKGNINIMSDFSTHRRDDWLFEERCNTESYDGSIKASETPNPKAFHKHWAEELFDSDFNLKFCVDEHGGNFFSDEVAFSQQVSPVKEEYGHDDLFNEEKDDSVIQLQKSASTRESESVTLPAVTSTFHQHIENSHDSNYSSSKNGTPINNLESEESQVKTPEIEITPAPNAVLSPEPSEGGSSSVEMPHCHGLKECPDATQTSLQTQNEHKETEDSGPNSCKVMMLGRYVVQLL >cds-PLY65662.1 pep primary_assembly:Lsat_Salinas_v7:5:271583774:271586450:1 gene:gene-LSAT_5X142140 transcript:rna-gnl|WGS:NBSK|LSAT_5X142140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTEEADDYQSNGHRFQGVKQRLKDRSRKVAQTKEKTKEILSKQAVKIAQQAEEHESFITKVTHFMGVFGFGGFCFILGARPQDIRYVYCLIYVTFVPLRWIYYRYKKWHYYLLDFCYYANTIFLIMLLFYPKNEKLFMVCFSFAEGPLAWALIVWRCSLVFSSVDKLVSVLIHLLPGVVFFTIRWWDPAFFEAMHPEGTAMRASWPYVGNKSFLWTWLFTVPLIAYSLWQALYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNLWWRLSGLLGDQNRMFMYILLQALFTVATMALTVPIFLSYELHLAFQMLKVSATVWNGGSFLLEVMPRQVVLKEKRKQKVVQPVEENHQQDLDHEKSTESVTKEIWANW >cds-PLY83218.1 pep primary_assembly:Lsat_Salinas_v7:9:122987026:122991468:1 gene:gene-LSAT_9X82301 transcript:rna-gnl|WGS:NBSK|LSAT_9X82301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNRYLCLALCLCALIPSMLDAASDGLLRINMKKRPLDVNSIKAARKESKYVNGLKNTPHGLSDGNEDVVPLVNYLDAQYYGEISIGSPPQTFTVIFDTGSSNLWVPSSKCIFSIACYFHNRFKGTKSSTYTKIGDNLQINYGSGSISGFSSKDTVQVGDICVEDQDFIEVTKEGSLAFVIGKFDGIFGLGFKEISVGDLQPVWYNMVEQGLVKEQVFSFWLNRNEADEEGGELVFGGVDPKHFIGEHSYVPVTRKGYWQFNMGDFLIGNQSTGFCEGGCAAIVDSGTSLLAGPTAVVTEINYAIGGEGVLSSECKTLVTEYGDMIWDLLVSGVTPGKVCSEAGLCFSDGRQSVSSNIETVVGKENDGLGDTVLCEACEMAVVWMQNQLRQSATKEAVLSYVNKLCESIPSPAGESVIDCTTLHKMPNVSFTIGEKLYTLTPEQYILKTGEGAATVCISGFMALDMPPPTGPLWILGDVFMGVYHTVFDYGNLQLGFAKSA >cds-PLY99169.1 pep primary_assembly:Lsat_Salinas_v7:6:129503994:129505357:-1 gene:gene-LSAT_6X78761 transcript:rna-gnl|WGS:NBSK|LSAT_6X78761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSATGPTVDSPVKPQWGFDGEEDSSKNYALSGKIMLSSIIVLFVVVVFLVLLHLYARWYLLRLRRRNETRRSNRRNRSTRVVFYVDSNSTIGMPTGGLDASVLKGLPLFVYSSETNKDMPECAVCLSEFEEGEKGRILPKCRHSFHTECIDMWFHSNSTCPLCRSPVEPSESEMTVSVELPATVAEPSSSTSISSPVQRIGDHTETPSLADRRKGIDVRIDVPSRSELQADNEFRLPSPSQGFRSPGSRLMALKRIISMSRKSPAVSPSSGVGPSCLTVTESDLESASPESTRIGTDDLR >cds-PLY73234.1 pep primary_assembly:Lsat_Salinas_v7:8:178942487:178949152:1 gene:gene-LSAT_8X116180 transcript:rna-gnl|WGS:NBSK|LSAT_8X116180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVLPEPLESQVQEMNPRSVSSIDEMITIVQKHENSKTPTTSYGPPPPPPEPPPPSNLDLPDVALKIVY >cds-PLY72182.1 pep primary_assembly:Lsat_Salinas_v7:7:52982409:52984002:-1 gene:gene-LSAT_7X38020 transcript:rna-gnl|WGS:NBSK|LSAT_7X38020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKTFISVFPGLQFINLQWRLKWYLESRSSVLSSSSSSRFTPSLKRVFLNLVSNLSALESVRIGVENPPLDVMNADVEDDGDDLHITDEGFVKEWLPRVSGALKLLSLSDFWVQSSRRRSEVLSLISAHCQNLIELELKNAWLAVQNMNAMPMLTSLTLELIRLDDKNLTELNTCFPNLQVLNLIDVRGLKMPMIHLLNLKTFHWTVTDSPSYICIIAPNLLTLRLECRSPYAIYIEAPLCYNLHLALDHLNGFAVKRFQKLKNVQLECSNIRSLIRKLHRLETVEALTLDIRAGGNSKFNLEVLFCTFPNITSLCFKPRVWSEFEVWCGDIGLKGVKRFCGYLSVIDPLMTFALVDCVLEECFNCVEISLLIHRGVPSNVSKHFITKCMAQWPDLKWGWGIWEEGREDSWIPEEQLPKTLVEEGSDSI >cds-PLY84017.1 pep primary_assembly:Lsat_Salinas_v7:8:35518287:35518885:1 gene:gene-LSAT_8X28281 transcript:rna-gnl|WGS:NBSK|LSAT_8X28281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSAASTGIPTPKQSLGFVATALKRKDSFIQFFAMTGILLLSMRSVSQKYRIQELQDDTNALQEEKNSISDRINHIKSSLLAEAALDTTGAFAARLRILFGDNN >cds-PLY96874.1 pep primary_assembly:Lsat_Salinas_v7:2:108125541:108137641:1 gene:gene-LSAT_2X49140 transcript:rna-gnl|WGS:NBSK|LSAT_2X49140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKVAEKPSIALSIATALSGGRMSSRRGSTEVHEFDGMFLGYRVQYKVTSVIGHVFSVDFPPTYQDWAATDPLTLFQAPVLKSESNPKAHIRQHLNREARGCGDLVLWLDCDREGENICFEVIECAGFHPNDGRRIHRARFSSVTEKDITHAMKNLVQPNKDEALAVDARQEIDLKVGVAFTRFQTTYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYLQISTFKPEKFWVVFPYIIKNGFELKLEWDRNRLFDHDVAEMFQKIILEDAVVKVTNISEKQETKGRPSGLNTVNLLKVASSALGLGPHVAMQLAERLYTQGFISYPRTESTAYPSSYDFKGTLGTIVSNPVWGSYVQTLLTNGYHKPKSGTDVGDHPPITPMKSANEDMLGGDAWRLYQYVCQHFLGTLSPDCKYVRKKIEFSVGGELFSCVGQHVTVKGFTAIMPWLAVSEKNLPQFTEGEKIEILKVELYEGKTAPPDYLSESELISLMEKHGIGTDASIPVHINNISERNYVKVESGRKLVPTTLGISLIRGYQCIDPDLCLPDIRSFIEHQITLVSKGQANHSLVVQHVLQQFKQKFTYFIKQIENMDALFEAQFSPLSDTGRALSKCGKCLRYMKYISMLPSRLYCNTCEEVYYVPQKGTIKLYKELTCPLDNFELLLFSMAGPDGKSFPLCPYCYNNPPFEGIDTLFGAPKTATSPKLGKGVGMPCFLCPHPTCRHSLISQGVCACPECDGTLVLDPVSAPKWRLYCNMCNCLVLLPEGAHRISVTKEKCKECESGIIEVDFNKKTTPLKDGETLHVGCILCDDLLHSLVEMKHGKSHIVCYQCINPYLCLPHMQSFIEHQITLVSKVQANHSLVVQHIQNMDAFFEAQFSPLYDMGCALKLACPLRQLQTFIIFNGGNRWQINPIMPLLLQQPTIRRYTHIILLPKNGHLTKTRIRSRHALFHLPALDMPPLIDITHVCPKCDGTLVLDQSVPPNGACIATCAIVLFCFRKVPIG >cds-PLY74985.1 pep primary_assembly:Lsat_Salinas_v7:5:86179981:86181716:-1 gene:gene-LSAT_5X39880 transcript:rna-gnl|WGS:NBSK|LSAT_5X39880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGFIRTCRRSLFSLSRALIPNTHNRFLLQTNKTISKFPPNFATNPFSTSTLQQKSPFESNLLSLLTNEIEFESEYDPPHQPDKKFNAFMVEDRPGEQFVTLRGRSTLDEKIKIEATMFDGYATMSKGENTEPDAQLHISLLVDISKGEGGEMLEFVCSAWPKKLEIQRFYVMRVDGVLNRPYTGRDFRVLDKTLQSALMEFLNARGVNNDLAFFLHRYVWNKDKLEHIQRLKLLKSYVQT >cds-PLY74929.1 pep primary_assembly:Lsat_Salinas_v7:3:129786087:129786641:1 gene:gene-LSAT_3X87720 transcript:rna-gnl|WGS:NBSK|LSAT_3X87720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKQQQSEGQKTEGLPLQDSPYTQYKDLEDYKMQGYGAHGHQQPEPGRGAAASTDAPTSGSGKLPSQSNKGNDPATTTTTTTTATDTVNKYGVP >cds-PLY83059.1 pep primary_assembly:Lsat_Salinas_v7:3:81355912:81357590:1 gene:gene-LSAT_3X63240 transcript:rna-gnl|WGS:NBSK|LSAT_3X63240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 91A1 [Source:Projected from Arabidopsis thaliana (AT2G22590) UniProtKB/Swiss-Prot;Acc:Q940V3] MAENGDRKLHIAMLPWLAFGHIIPFLELAKLMATKGHKISFISTPRNIHRLPQIPSTLTPLINFIKIDIPKVENLPENAESTKDLPFDKVKYLKIACDGLKKPITDFLQTSSPDWIICDFVTYWLGPLAAEHGVLTAYFSVFPAVMLGFAGSPEVLMYGDDDQSVEQQLMSQPRWVTFETEVQPSPFQITRSFQNLTGDDENIKDGYRLGATVIGCDAVIVRSSSDFEPEWLNLLKKLYRKPILPAGLLPAAVADDHACWGETREWLDKHEKGSVVYIAFGTETKPNQYELTQLALGLELCGLPFYWVLIDRRGSSDDEVIELPRGFEERTRGRGVVCREWAPQFKILSHESVGGLLIHSGMSSVVEGLQLGKPLVLLPFVIDQGVIASYLVEKKMAYMVPRDELDGSFTPESLADSLSLVMVKEEGKMYRDKAKEMMSMFGGRDIQDKCLDEVLQFFQKSLK >cds-PLY91986.1 pep primary_assembly:Lsat_Salinas_v7:7:91499807:91501998:-1 gene:gene-LSAT_7X63140 transcript:rna-gnl|WGS:NBSK|LSAT_7X63140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVVYDRFNKDIRAVDAGEEITAMRIIKTDLLEIVKEEESYQTFQRITEDSNVTWSKHQDALLGDIMVPQEKGNVALSTELHGWVFTLTDFAKTYDSKFGASADPSVRNLDALDLAVTDESFLAFEPCCWFLIEQGNWCMLAEIKIQEDLKNIEKSLQEAYKELVENHDISKRHYQYDV >cds-PLY67463.1 pep primary_assembly:Lsat_Salinas_v7:6:69447054:69447299:1 gene:gene-LSAT_6X49540 transcript:rna-gnl|WGS:NBSK|LSAT_6X49540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDLDQVEELNQENYRAVNDNSSAAVQLPGNFSMDKNNRRIVRGALGECRESDDGINTQQGDETFGSRENINESSHVELEN >cds-PLY81987.1 pep primary_assembly:Lsat_Salinas_v7:8:263843339:263850127:1 gene:gene-LSAT_8X152900 transcript:rna-gnl|WGS:NBSK|LSAT_8X152900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVVGGAFPLSLILFAAIILHHHTAALPLSVNSRWIIDDQNGGERVKLSCINWVSHLESAVVEGLSKQPVDVISKKILAMGFNCVRLTYPLFLFTNDSLASTTVRKSLTKLGLPASVAGVQVNNPSIVDLSLINAFVKVVSNLNKNNIMIILDNHISKPGWCCNDNDGNGFFGDLYFDPEVWVKGIKRVATIFKGYTNVVGMSLRNELRGYRQNIETWYSYMQKGAEGVHSANPNVLVILSGLSYDQDLSFLRDQHVTLSFTKKLVFEVHWYGFSDGDDWITGNANQVCGRITDKMTNQAGFLLDQGYPLFVSEWGVDQTGTNENDNRYLNCFLGWVAEHDLDWALWTLVGSYYLREGVVGMEELYGVLDSNWYEPRNLSFLKKISPIQSSFQAPDGLLGAEQHKIIFHPSTGLCIQIHSMKLAPCSNAQAWEYTLQNMLIVKGTNYCLQANGVHMQVKLGTMCTNISSKWEAISSSKMHLSSKINNGIMVCLDIDYENTIVTNNCKCLNNDKLCDPASQWFKVINSTSVEVAKPLILLDSMLDSSM >cds-PLY78095.1 pep primary_assembly:Lsat_Salinas_v7:1:6541931:6548146:-1 gene:gene-LSAT_1X5581 transcript:rna-gnl|WGS:NBSK|LSAT_1X5581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIEGGGGNGKQRVDVQETNYTRLCSTKKILTVNGQYPGPTISARRGDTVIVDVVNQASQNITIHWHGVKLPRYPWSDGPEFITQCPIQPGSRFSQKIILSDEEGTLWWHAHSDWSRATVHGLLVISPKIGTTFPFPKPHAEVPVILGEWWKNDIQTVMEDFLRSGGDPAISDALTINGQPGDQYNCSGPETTTVKVEQGKTYMLRMVNAAMNNIMFVAIANHQVTVVGTDGAYTKPMKTNYVAISPGQTIDLLLEANQPRNRYYLEAKLYNSNPRSLFDNTTTTAIIEYINGNYNASATPFSPLLPTFNDRNASANFTSSLRSLASSAHPIDVPLEITRKLLYTLSINRLPCATCVGRDNRFAASINNITFDTPRTSILGAYYRGMNGVYGDDFPDNPPFIFNYTSDSLNNSLQTPMDGTEVKILKYNDTVELVFQGTNVVSGIDHPMHLHGYSFYVVGSGFGNFDRQRDPLNYNLVDPPLQQTIAVPQNGWTAIRFRANNPGVWFMHCHFERHVSWGMEMVFIVRNGKSADTRILPPPPDMPKC >cds-PLY94481.1 pep primary_assembly:Lsat_Salinas_v7:2:156661603:156661981:-1 gene:gene-LSAT_2X81840 transcript:rna-gnl|WGS:NBSK|LSAT_2X81840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVLPQHCSLWPVVSIVGNLLSGIGFGFFSPLITTFEFIGTDHKDKMDVFLHSKEVALWFEILQIFASILTSHSWTISVKKFLSARNQSTSGS >cds-PLY93712.1 pep primary_assembly:Lsat_Salinas_v7:2:201836159:201837089:1 gene:gene-LSAT_2X123120 transcript:rna-gnl|WGS:NBSK|LSAT_2X123120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGHPQEPQLEVDEDGDTESGFTWKVMMLRYGCCTLPGLVIEYLMLSTGRPKWFNAIVDAGEHIIRTRRNRRRYIMNSIDTAHKKHMLEE >cds-PLY99384.1 pep primary_assembly:Lsat_Salinas_v7:7:144779267:144781872:-1 gene:gene-LSAT_7X86280 transcript:rna-gnl|WGS:NBSK|LSAT_7X86280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELNLLLVSKTEDSNIKLTEFGISNFIKPGESYHVPGTDGVGTKLKLALETGINDSIGIDLIGMSVNDIITSTAKPLFFLDYYATSCSHVDLVEKVIKGTFNGCQQFDSALVGGETAEMPDFYAEGCQIFKVPVLN >cds-PLY90936.1 pep primary_assembly:Lsat_Salinas_v7:9:171473809:171480569:-1 gene:gene-LSAT_9X106140 transcript:rna-gnl|WGS:NBSK|LSAT_9X106140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKVNSEDDIGSPQQDDTQPEDKTEQPNHHTRSIINRPGFGSGGRHIPLLVNHFKVSIKNPDEIFYHYSVSITSEDDRAIENKVLGRKILDKLYQTYSSELSGKKFAYDGEKSLYTVGPLPQNQSEFMVVLENSFAKRGTPSDDETGKRSKLSFQEKTFKVEIKYAAKIPLNSVNLALQGAEPEKLQDSLRVLDIILRQQAAQRGCLLVKQSFFHDDSRNITDIGGGVTGCCGFHSSFHPTHGGLSLNMDVSTTLILTPGPVIDFLKSNQNARDFRSIDWVKAKKMLKNLRIKTSHNNMEFKITGMSEKPCNQLLFPLRVKKDDGTYDDDKTINITVLDYFTKHRKIEITYSAYIPCIDVGKPKKPNYLPLELCSLVSLQRYTKALSTLQRASLVEKSRQKPPEKMRVITDAMKKYNYDDDPLLASCGITIEKQLAQVEGRVLDAPKLKVGNGEDISPRNGRWNFNNKKLFTPINIEKWVVVNFSARCDASYLSRELINCGRNKGIIIDRPFSLMEEDPQNRKFGPVIRVEKMFDQILAKLPGAPQFLLCILPERKNSDLYGPWKKKCLSDYGVVTQCMCPVKITDQYLTNVLLKINSKLGGINSLLAIEGCIPLINDTPTMIMGMDVSHGSPGRSDIPSIAAVVGSRSWPLISRYKASVRAQSSKMEMIEGLFKPQADGTDDGIMSELLLEFYQTSNQRKPTQIIVFRDGVSESQFSQVLNFELDQMIKAYQYLGEVDVPKFTVIIAQKNHHTKLFQANAPENVPSGTVVDSKIVHPRNYDFYMCAQSGMIGTSRPAHYHVLLDEIGFSPDHLQNLILSLSYVYQRSSSATSIGIPSSSLHLA >cds-PLY81781.1 pep primary_assembly:Lsat_Salinas_v7:3:33750388:33752688:-1 gene:gene-LSAT_3X24780 transcript:rna-gnl|WGS:NBSK|LSAT_3X24780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPERIQNEGQINPARFSIKDSVRYMFGEQRLLFVLIGITIVALISNVVVIPSSSQSEPISASFQVAVSTSVPRRIAYELPTRNFDLVNAAGARIPLGLKSKSLRVLVTGGSGFVGSHLVDRLMARGDNVIVVDNFFTGRKDNVLHHLRNPRFELIRHDVVEPILLEVDQIYHLACPASPTNVVGTLNMLGLAKRINARFLLTSTSEVYGDPLEHPQVETYWGNVNPIGVRSCYDEGKRVAETLTMDYHRGLNTEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKQPLTVYGDGMQTRSFQYVSDLVDGLMRLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNAKIEFRPNTEDDPHKRKPDISRAKELLGWQPSVTLREGLPLMVSDFRQRIFGDLKEGGSSMSTF >cds-PLY62410.1 pep primary_assembly:Lsat_Salinas_v7:5:36026241:36026450:1 gene:gene-LSAT_5X16561 transcript:rna-gnl|WGS:NBSK|LSAT_5X16561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPVIQDILILELMLSSGEGVFIERGKSRVASRLTDFSESEEDLSSDHPEHEGAALDVRSAAKERRKGP >cds-PLY65287.1 pep primary_assembly:Lsat_Salinas_v7:8:105310160:105312001:-1 gene:gene-LSAT_8X71141 transcript:rna-gnl|WGS:NBSK|LSAT_8X71141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAELMIVFMVVATSSAYLLWFHHLSRHLSGPKVYPFFGSLPVLFLNRSRIHDWIAGNLRNSGGGGTYQTTTIAIPFFARKQGFYTVTCNPKNIEHILRTRFDNYPKGPTWQTAFHDLLGQGIFNSDGETWLIQRKTAALEFTTRTLRQAMARWVSRTIKTRLWRILEKASEERFPVDLQDLLLRLTFDNICGLTFGKDPETLSLELSENPFATAFDSATEATLQRLLYPGFLWRLKKLFGIGAEMRLKKSLQVVENYMTDALTARKLNPSDDLLSRFIKKRDVDGNIFPNHVLKRIALNFVLAGRDTSSVALSWFFYLVMNNPLIEAKIINELTAVLKDSRGNDPNTWISDPLIFDEADRLEYLKAALAETLRLYPSVPEDFKYVINDDVLPDGTRVPAGSTVTYSIYSVGRMKSVWGEDCLEFKPERWLSATGDRFEPPKDGYKFVAFNAGPRTCLGKDLAYLQMKSVVSAVLLRYRLKLVPGHRVEQKMSLTLFMKNGLKVYLQPRDLISA >cds-PLY86014.1 pep primary_assembly:Lsat_Salinas_v7:1:48673290:48683963:1 gene:gene-LSAT_1X45260 transcript:rna-gnl|WGS:NBSK|LSAT_1X45260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDMSTTSRVLAPSGDPSAISMRQQPPPPIYITYGPPPAPPPLPPLSSSELFIRAPPPLSHPCQDLLSGREDYINTCVPLYQASFNGDWEAAKIILNKRSELVRYSITHNFDTALHIATAAQHTKFVEALVELMDAKDLELQNIHGNTAICLAASAGNVEMIRTMVHWNKRLPTIPGAQGMMPLYMAALVGNHDSVNYLYDDSDKMTGDFWMHRNRVWVLIKCIEADLFDIALKIVVDRPEVAPNVGVLLALAQKRHAFDDMKPNIFQGIVKSIEVKLGDTEARREAMKMKLVRLIWKNIMRMPKKFVDELLRGPHDTMMKNGKAVLVMKDGKRMYSSRILFVAVEMGNTNFVIELISQYPELIWELNDNNQSIFHVAVSHRQERIYNLLYDIGSMKDMITPLKDPEGNNMLHLVGKEAEKNLLQNVSGVAFQMQRELLWFKEVEAMILPSLREKRNAAGLTPHELFTENHKQLVSKGEEWIKGTANQCMVVAALIATMVFGVAFSIPGGYHQDTGLPMFIRKEIYIAFVISDAISLFSSSASILMFLSMLTSRYAEQDFLEVLPKQLMIGLATLFLSIMTMMVAFSFSFFVLYHNMLIWVPISIAVVAAIPVILFAKLQYPLLMDIYGSIYGSRYIFKPKKHMLQNRNPRW >cds-PLY82012.1 pep primary_assembly:Lsat_Salinas_v7:9:155095641:155096564:1 gene:gene-LSAT_9X97440 transcript:rna-gnl|WGS:NBSK|LSAT_9X97440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEITSRLHERENPSPANSPNSHDSSSNSNGHAGCGGSGGGGGGGATTQTPPLTPKPVSRSEPNPYPTTFVQADTNNFKQVVQMLTGSSETAKNASSKPTQQPDPAPAKVSIPPIKTGQQKKQGFKLYERRNSLKNGLMINPNSVPKFGHGSSPRFHEILSPSILDFPSLVLSPVTPLIEDPFNKSSPSIGNSSEEDRAIAEKGFYLHPSPRAATPRGTPEPQLLPLFPVTSPRVTGSPS >cds-PLY92709.1 pep primary_assembly:Lsat_Salinas_v7:7:4797043:4798046:-1 gene:gene-LSAT_7X4180 transcript:rna-gnl|WGS:NBSK|LSAT_7X4180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSQHTHPPGSCSSAGQSTPPVGNNPPELHPHASNVNRKKRLTHGQLATRLSMPSKRRQTESSTGKEVDDQTAINTVSSPRRKEEVNLLKDVLDFYHKTQTYPDADLDNLIKFYLQWIQGRVEAYADEFEVGKKIDELNFRFFKKIGKKLNGEDVEGAMDPVDIKIFQLSNLIWGLENDHGGMEASSTKANEVGSSSLAR >cds-PLY71185.1 pep primary_assembly:Lsat_Salinas_v7:2:132610846:132612527:1 gene:gene-LSAT_2X63101 transcript:rna-gnl|WGS:NBSK|LSAT_2X63101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINDNKEKREFNTKLKQMTNPRKMEKERAENGNVGTSRYTSKMMLCRETIAKYFYITIKQEAKEVNVGITLLKKFCRDLGIHRWPHRKLMSLETLINNVQQEFSKEFGGKVEGKSREAILILERERKKLEEIPDLQHPTYQKTFICFYTDWLRFGKSPT >cds-PLY76551.1 pep primary_assembly:Lsat_Salinas_v7:8:91221023:91225279:-1 gene:gene-LSAT_8X63861 transcript:rna-gnl|WGS:NBSK|LSAT_8X63861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQASAGLVAGSHNRNELVVIHGHEEHKPLKDLTGQVCEICGDEIGVTVAGDLFVACNECGFPVCRPCYEYERREGSQNCPQCKTRYKRLKGSPRVEGDDDEEDVDDIEHEFNIDDDQNKNNTIAEAMLQGKMSYGRGHEDDDNAQYPPVIAGRSKPVSGEFPISSQPHGEQTLSSLHKRVHPYSAHELGSGRWDEKKDGGWKERMDDWKMQQGNLGTEVDDSADPDMAMLDEARQPLSRKVPIASSKINPYRMVIVARLFILAIFLRYRIMNPVHDAFGLWLTSVICEIWFAFSWILDQFPKWFPIDRETYLDRLSLRYEREGEPNMLCPVDIFVSTVDPLKEPPLVTANTVLSILAMDYPVDKISCYISDDGASMLTFESLSETAEFARKWVPFCKKFTIEPRAPEMYFSDKIDYLKDKVQPTFVKERRAMKREYEEFKVRVNALVAKAIKVPAEGWIMQDGTPWPGNNTKDHPGMIQVFLGQSGGTDVEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNAPFMLNLDCDHYLNNSKAAREAMCFLMDPQIGRKVCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYDPPKGPKRPKMVSCDCCPCFGRRKKLPKYEKHGPGGDLENIQGYDDDKELLKSQMNFEKKFGQSAIFVTSTLMVDGGVPPSSSPASLLKEAIHVISCGYEDKTEWGLELGWIYGSITEDILTGFKMHCRGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPVWYGYKGGNLKWLERLSYVNTTVYPFTSLPLLAYCTLPAVCLLTGKFIMPEISTLASLFFISLFLSIFTTGILELRWSGVSIEEWWRNEQFWVIGGVSAHLFAVVQGLLKILAGIDTNFTVTSKASDDEDFGELYAFKWTTLLIPPTTILIINMVGVVAGISDAINNGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGKQNRTPTIVVIWSILLASIFSLLWVRIDPFVLKTKGPDVKQCGLNC >cds-PLY85058.1 pep primary_assembly:Lsat_Salinas_v7:7:6252471:6254906:1 gene:gene-LSAT_7X5580 transcript:rna-gnl|WGS:NBSK|LSAT_7X5580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIPHKAWHHRHVCVESARSFYKAATSRIPITEPVYYSNQKLNELWKSGRINEAQHLFDEMPEKDEFTWNTMIAGYGNSGKVSEAQKLFHDTPNKSSVTWSSLISGYCNLGYEYESLSLFSQMQCLGYKPSQFTLGSVLRMCSIYRLLSRGEQVHGYAIKTQFDANVFVVTGLVDMYAQCRQMSKAKYLFKSMTYGKNHVTWTAMITGFSRNQDQIGAIECFRDMRAEGVEANQYTFPSVLTACGDSLAFKFGLQVHGCIVKGGFSGNVFVESALVNMYAKCGSFDNARIALESMEVDDVVSLNAMISGCVRQGFKQDALLLFKKMHTKNMKIDDFTYPSVLNCFASLLDPNTSNSSKSIHCLAMKTGFDGYILIGNALVDMYAKQGELQCARNVFDKMPERDVVSWTSLMTGYACNNTHEESLKLFCEMRISGIKPDQVVIANILSSCAELTVLGFGQQVQADFIKSGFHSSLSVDNSLVSFYTDCGSIEEANKVFNSMNTKNVITWTAIIKGYAKNGKSTESLKLYNEMIKNGIKPDFITFIEILFACSHAGFVDQAREYFDSMMKIYQITPGPDHYACMIDLLSRSGKIDEAEKLLNEMVIEPDATVLKALLSGCKIHGKVELAEKTANTLFELAPQDAVPYIVLSNLYSSMGKWEESARIRRLMKARGVNKEPGKSWMEINSKVYTFMSEDRRHEKWDAIYGKIDEIMMAIKEAGYVADMNFALHNIDEEGKQLGLAYHSEKLAVAFGLLELPHEREIRIFKNLRVCGDCHTAMKFISSLYGRVIILRDSNRFHHFKDGICSCGDYW >cds-PLY85218.1 pep primary_assembly:Lsat_Salinas_v7:8:183090745:183091340:1 gene:gene-LSAT_8X118460 transcript:rna-gnl|WGS:NBSK|LSAT_8X118460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELISQKDNRSPDAAKASSSVTEVCDFMSPKLSDWSRRGSEDMLDCFPDQGDKSVSMDDLKAHPSMSCRTRFGPKSDQGMTTSSAGSMTPRSPLMTPKSSQIALLLAGKGAYSEHDDLPQMNELADIARCAGNTPLDDDRSLSYLLTCFDDLRVMIDRRKFDALTVETFGARIEKLIR >cds-PLY74450.1 pep primary_assembly:Lsat_Salinas_v7:7:40233815:40237002:1 gene:gene-LSAT_7X29160 transcript:rna-gnl|WGS:NBSK|LSAT_7X29160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyperosmolality-gated Ca2+ permeable channel 3.1 [Source:Projected from Arabidopsis thaliana (AT1G30360) UniProtKB/TrEMBL;Acc:A0A097NUQ9] MDLSSFITSLTTSFLIFVILMILFGWLCTKPGNTVVYYPNRILKGMDPWEGSRSKTRNPFSWIREAFSSSENDVIALSGVDSAVYFVFLGTAFGIFVLSGIVLLPLCLPLATTEKIARVVDSTGKNAFNDLDKLSMAHLKEHGSRLWAFVLACYWVSFVTYFLLWKAYKHVSDLRAAALMSPEVKAEQFAILVRDIPKSSEDLSRQEQVDTYFKTIYPDTFYKSLIVTENKQANKIHEELQLCKKKLTRAETIKEMNPEAPTPTHKIGFLGLMGKKVDSIEYYNEKITELTPKLEAAQKETVKEKQQAAAVVFFTNRITAAAAAQSVHDRMVDTWTVLNAPEPRQILWTNLSKSFYAREIRQYVVYFIVFLTICFYMIPIGLISAFTTLPNLKKLLPFLKPILDQDMIRTVLGAYLPQLALIIFLALLPKFLLFLSKAEGIPTVSHAERAASGKYFYFSVLNVFIGVTIGGTLFDSFKDIQEKPDSIVDKLATSLPGNATFFLTFVALKFFVGYGLELSRIIPLIVFHLKRKYLCKTESDIKEAWIPGNLGYATKIPNDMLILTIVICYSVIAPLIIPFGAIYFGLGWLVFRNQVLNVYVPSYESYGKMWPHIHVRIVSSLLLFQLTMVGYFGVKQFYFTPVIFPLPIMSIIFAFVCSKKFYRFFESSALEVVSHELKETPNMDRVFRSFIPPCLSTEEENEEEQLLNAERGNVKQYDNALSQVSKIGANV >cds-PLY97578.1 pep primary_assembly:Lsat_Salinas_v7:5:237930950:237931738:1 gene:gene-LSAT_5X112780 transcript:rna-gnl|WGS:NBSK|LSAT_5X112780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CML30 [Source:Projected from Arabidopsis thaliana (AT2G15680) UniProtKB/TrEMBL;Acc:A0A178VPG8] MSKTSFLDIQYNISRRKFLRKPSRMFSSSDRQSSGLPIFQPNVTEMRRVFDKFDRDKDGKISRGEYKAIIRALKQGSTDRDVQKIFEVADLDGDGFISFKEFMEVQKKGGGVKAVDVQSAFRTFDMDGDGKITVEEVFELMKRLGERCSLQDCRKMVRAVDSNQDGVIDMDEFMTMMTQNTNI >cds-PLY69313.1 pep primary_assembly:Lsat_Salinas_v7:4:104925217:104928068:1 gene:gene-LSAT_4X67421 transcript:rna-gnl|WGS:NBSK|LSAT_4X67421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTLACCVDASPPFPPGYIAWDGSFPAPEPFSGVPHSRNTGLPDLSSAAAHWSPNHSAALYRVNGWGAPYFSVNSSGNVTVRPHGSGTMDHQEIDLLKVVKKASDPKSTGGLDLPLPLIIRFPDVLKNRLESIQSAFNCAIESQGYGSHYQGVYPVKCNQDRFVVEDIVKFGSSFRFGLEAGSKPELLLAMSCLCKGSSESLLICNGFKDAEYISLALIARKLSLNTVIVLEQEEELDSVIDMSRKLGVLPVVGVRAKLRTKHSGHFGSTSGEKGKFGLTTTQILRVVKKLEKCGMLDCLQLLHFHIGSQIPSTDLLADGVGEAAQIYSELVRFGASMKVIDIGGGLGIDYDGSKSTDSDVSVGYTLEEYAAAVVQAVKFVCDRKSVKHPVICSESGRAIVSHHSILIFEAVSSSKYTVPTLSSTDVKQFGESLPEEAQSDYDNLSQSAIRGEYEACLVYADQLKQRCIEKFRDGTLDMEQLASVDGLCDLVAKTIGASDSVTTYHINLSVFTSIPDFWGFGQLFPIIPIHRLEEFPNKKGVLSDLTCDSEGKINKFIGGESSLPLHELEGENSMKYYLGMFLGGAYEEALGSLHNLFGGPSVIRVSQIDGPHGFAVTRAVPGPSCSDVLRAMNHEPEVMFETLKHRIEEYVHDEGGMAGALIAGGIAESFHNMPYLSVGSSCCLTAANGINEYYYSGEGFTLTAGGDVVSGEDDRDQ >cds-PLY95742.1 pep primary_assembly:Lsat_Salinas_v7:5:248528096:248529825:-1 gene:gene-LSAT_5X123501 transcript:rna-gnl|WGS:NBSK|LSAT_5X123501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQDIMVSLKNLDLEDQAQKDDSESAKESECSDAPPPSLAEVEEITGYSFRNKELLREAFTHGSYKADDCKSYERLEYLGDSVLNHLVAKLHYLSYPDMAPGELTRLRAANVDTEALARAALKYNLHKFLRHQKPLLQRQIQEFMEGIEQYPSHSHGMIDPPKVLADLLESLIGAIFIDTDSSMDSTWEVVERLLQPLITPENLKLHPVAKFNEACQKMGLKPQAKDLWNKTGEIEIYIENELIGRGKYKLKKMIAVNRAADDAYKNLFLFEKKDGGNGG >cds-PLY94128.1 pep primary_assembly:Lsat_Salinas_v7:8:29858721:29859802:-1 gene:gene-LSAT_8X24221 transcript:rna-gnl|WGS:NBSK|LSAT_8X24221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDKATYDKLLSEAPKFKLITPSILSDRLRINGSLARKAIKDLMARGAIRMVSAHASQQIYTRATNT >cds-PLY62727.1 pep primary_assembly:Lsat_Salinas_v7:6:55324166:55325399:1 gene:gene-LSAT_6X39761 transcript:rna-gnl|WGS:NBSK|LSAT_6X39761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVVAAYLLALLGGNTTPSAEDLKKILGSVGAEADDDRIELLLSEVKGKDITELIASGREKLASVPSGGGGVAVAAAAGGAAPAAAAAAEPKKEEKVEEKEESDDEMGFSLFDD >cds-PLY75037.1 pep primary_assembly:Lsat_Salinas_v7:1:50233470:50233622:-1 gene:gene-LSAT_1X45620 transcript:rna-gnl|WGS:NBSK|LSAT_1X45620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSATSNSPPAKLEKKKKKKLFLLSNSFQQYKVWMNRLVVVACLSLASKV >cds-PLY82752.1 pep primary_assembly:Lsat_Salinas_v7:2:147903556:147904977:1 gene:gene-LSAT_2X73801 transcript:rna-gnl|WGS:NBSK|LSAT_2X73801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPGTDVWPHCTSLDNRHSRECMPAMIEDEDPEDFDHLSETKFAKPPRNGPPVRHSLSEALLVDTSNLKSPKGPLSPQNVSCVFLPVFRSGSCSEIGPKPYMEDEFICVDDLQQHLHAKPDHLLTGAFYGVFDGHGGVDAASFTKRNILEFIIKDSNFPNGVQKAVKNAFAKIDHELADHSSLDNSSGTTALTVLILGRNMIIANAGDCRAVLGKRGRAIELSVDHKPSCTSEKMRIEKLGGVIYDGYLNGQLSVARALGDWHMKGPKGSDCPLSSEPELKEVTLTEEDEFVIIGCDGLWDVMSSQYAVTIVRKELMVHNDPGKCSRELVNEALKRNSCDNLTVVIICFSSEPPPKIEIPRSRNRRTISVEGLDVLKGVLHHV >cds-PLY96717.1 pep primary_assembly:Lsat_Salinas_v7:6:76299861:76302326:-1 gene:gene-LSAT_6X56620 transcript:rna-gnl|WGS:NBSK|LSAT_6X56620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLMDEEEKTIVIVCTVKGIRQNIRWYYLACSNCKKSSKQKESSTDKVDGSHEVAKFVTYECANPKCKNIKILVILRFKIPLRVQDNTGTLTLTLFDQEAKKLFKYTAKELYDINNKLGINLDLYPMELKVVVEKKLAIKIDISSYNVINKSNIYGISRLTDNANIIDELEKKNISPQPTNSDSFMIGSSDIGSQETKDSFSQTGDNLTPCARDNSTATSPTKLIFTPTELKRNLSTCIDIDEMENLSTSKSARLSPPDEQPMTLLVPKKEK >cds-PLY67654.1 pep primary_assembly:Lsat_Salinas_v7:4:260151187:260153396:-1 gene:gene-LSAT_4X134881 transcript:rna-gnl|WGS:NBSK|LSAT_4X134881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFKILHSSYVKEEWKERKHEASDDICSRFDNLRFISLEESETTTLDAISKSKLGNAPAGNLWLGSLIGTTIGNLKISIGNVHVKLMEERNMKPLDSNLAALSAKCSKDLELNLAKSFLSEMGQCTTAYPYNQLLGALVLKNYERQDATLLSRNLM >cds-PLY78212.1 pep primary_assembly:Lsat_Salinas_v7:8:66254215:66256838:-1 gene:gene-LSAT_8X48020 transcript:rna-gnl|WGS:NBSK|LSAT_8X48020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVFALSMVVLLAMAGYSSAAYCVCNSALSDAVLQKNIDYACGNGADCTLILQGATCFNPNTVKDHCNYAVNSYFQRKGEVSGSCDFSNTATVSQTAPPGATSVCYAGSPSSTTPTTSSPPGTGTGTGTGTPGTGTGTGTGTGTPGTGTGTGTGTGTGTGTGTGTGTGTGIGLNPPFGMGPTGQDTSGAESFNCLTLLTLLVPGLILWLRLI >cds-PLY72467.1 pep primary_assembly:Lsat_Salinas_v7:2:139232604:139235533:1 gene:gene-LSAT_2X65881 transcript:rna-gnl|WGS:NBSK|LSAT_2X65881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPAAAAGSRSDNEMKDDCCQPSLKDLQHKGNLIPEGHKVLIFSQSCIMLDIIQGFSEFVVMAADTEDFEMISHIQFLTKYKRWKLFDIDITMLVTSVQPDDHKLEELVVREVLFKNLNVDLKEVSPSSLLMDKVREVEGSPDFSNKMSGQLNNHQWLEKLKKQSIILLISDYWC >cds-PLY64207.1 pep primary_assembly:Lsat_Salinas_v7:7:4263625:4264212:1 gene:gene-LSAT_7X3641 transcript:rna-gnl|WGS:NBSK|LSAT_7X3641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTFVKKFFKNFHAPGLPFVQLEPGMINHTYMEGLFGTKVPHLSQHKAFFSVQPMTMLGRTTAGINSNTSSYDGICDRYITTQGNLDVKSICKTVLTMGYMQTDQLTMCSRSWSDNHTTLLVNALGYALITKAIETSGDIDNKLPEFTDGKIRIDPNYGMRTTTDSKWEKNIWPAGSDVANYPEMIRIVDQLRQQ >cds-PLY92618.1 pep primary_assembly:Lsat_Salinas_v7:7:161753887:161754506:1 gene:gene-LSAT_7X93940 transcript:rna-gnl|WGS:NBSK|LSAT_7X93940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKVLSPERVHMIHMILEIKVASMVDVLVNLTTLKEGASVARRLQRQLLTNKLKTNGNIEKQPSFQSYTKKMLHNAVMHYVVLICKTNN >cds-PLY80358.1 pep primary_assembly:Lsat_Salinas_v7:7:154627706:154632519:-1 gene:gene-LSAT_7X91601 transcript:rna-gnl|WGS:NBSK|LSAT_7X91601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGEQLSAIKDAKVLMVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVAREAVLRFRPQISITPYHANVKDPDFNVDFFKQFNVILNGLDNLDARRHVNRLCLAAGVPLVESGTTGFLGQVTVHIKGQTECYECQPKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNQDNDLNVRSNDTASSSDNSEDVFERKKDEDMEQYANRIYNHVFGHNIESALSNEETWKNRNKPRPIYVKDVLTDEPLQQLNGNLETDNNNFSTLSAMSYLGLKNPQDIWSLKENSRVFFAALKLFFSKRHKEIGNLSFDKDDHMAVEFVTAAANIRAASFGIPLHSLFEAKGIAGNIVHAVATTNAIIAGLIVIEAIKVLQNDAKNCRMTYCLEHPARKMLLMPVEPFEPNKSCYVCSETPLLLEVNTKRAKLRDVVEKVVTSKLGMNLPLISHGLNLLYEVGDDLEEDMVANYEANLEKVLSELPYAITGGTVITVEDLQQELVCSINIKHREEFDEEKEPDGMVLHGWTQAPAVEKKDAAATNGASTSTTSNSVPNNLEDDDDLTILESGVETISSGKKRKLSDVSNPEEKRAKKVPEQIDDDIVMLDDISKENENSR >cds-PLY88219.1 pep primary_assembly:Lsat_Salinas_v7:8:149668837:149669115:1 gene:gene-LSAT_8X101220 transcript:rna-gnl|WGS:NBSK|LSAT_8X101220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDLPLNESRSSQRSGLGSGIPSLVGAFGSKVIKCGAGSYLSTSSGSREGDQGDPDLRSLLYGGRSLSYQECFGEAREDELDREAMLIPLLS >cds-PLY82599.1 pep primary_assembly:Lsat_Salinas_v7:MU041541.1:271:4078:1 gene:gene-LSAT_0X44361 transcript:rna-gnl|WGS:NBSK|LSAT_0X44361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DEFECTIVE IN EXINE FORMATION 1 [Source:Projected from Arabidopsis thaliana (AT3G09090) UniProtKB/Swiss-Prot;Acc:F4IYM4] MSDKLEVPRLKAKRDWYVGLHPEVVDRSHPDVHDDLLKEESAVHSIPPPKEANHTSGVEDNKGKEKETQPKPEPPQNTLNTSSEEHSGSPLNESDAQNNTIIPHIELPNDTHNNISSISAPENLNLEGSNNETKTGRRLLEENINTDDHKDVPVATAENNEALEADADQSFELFRDNDELADEYSYDYDDYVDETMWGDEEWTEAQHEASQNYVHVDSHILCTPVIADIDGDGVSEMIIAVSYFFDHEYYDNPEHVKELGGIDIGKYVGGGIVVFNLETKQVKWTAELDLSIDTGKFRAYIYSSPTVVDLDGDGYLDILVGTSYGLFYVLDHKGKVREKFPLEMAEIQGAVVAADINDDGKIELVTTDVHGNVAAWTPQGVEIWEVHLKSLIPQGVSVGDVDGDGHTDVVVPTVSGNIYVLSGKDGSFVRPYPYRTHGRIMNQVLLVDLGKRKEKKKGLTLVTTSFDGYLYLIDGPSSCADVVDIGETSYSMVLADNVDGGDDLDLIVTTMNGNAWRSHNQGRNNVAARIEREGVYVTPSSRTFRDEEGKNFWVEIEIVDKHRVPSGSQGPYNVTTSLLVPGNYQGERTIKKNEVFEKAGKYRMKLPTVGVRTTGTVVVEMTDKNGLYFSDEFSLTFHLHYYKLLKWLLVLPMIGMFAVLVIFRPQEAMPLPSFSRNTEL >cds-PLY97601.1 pep primary_assembly:Lsat_Salinas_v7:5:239010198:239011648:-1 gene:gene-LSAT_5X117660 transcript:rna-gnl|WGS:NBSK|LSAT_5X117660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDPSFIQEPEHRPKLAVIKAEGIPQIDLSPFVNSSPSAVAIEDLISQVRDACKNWGFFEVINHGVPVDVREKLKSAAKKFFDQPLEEKKKVKRGEGNPFGYYDTEHTKNVRDWKEVFDFTVEVPTLMPASYESDDEQTAEYSNQWADHPPELREASEEYVKEVEELSYKLLELISMSLNLPANRFEPFFSKDQTSFVRLNHYPPCPAPDLALGVGRHKDAGALTILAQDDVGGLEVKRKTDGEWIFVKPTPNSFIINVGDIIQVWSNDRYESVEHRVKVNSGRERFSIPFFLNPAHYTVVEPLAELTDERNPAKYKSYNWGKFFVTRKRSDFKKLDVENIQIYHFKKPGLEDNIVSLIENVVV >cds-PLY65271.1 pep primary_assembly:Lsat_Salinas_v7:MU045421.1:77372:80232:1 gene:gene-LSAT_0X11900 transcript:rna-gnl|WGS:NBSK|LSAT_0X11900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKIGINGFGRIGRLVARVALQSDDVELVAVNDPFITTDYMIYMFKYDSVHGPWKKHEVKVKDSKTLLIGEKPITVFGMKNPEEIPWGEAGAEYVVESTGVFTDKDKAAAHLKAYIIQYPFHNGGAKKVVISAPSANAPMFVMGVNEKEYKSDITIVSNASCTTNCLAPLAKVINDKFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTARLEKPASYDEIKAAIKAEAEGSLKGILGYTEDDVVSTDFVGDQRSSIFDAKAGIALNNNFVKVVSWYDNEWGYSNRVVDLIRHMSKS >cds-PLY62107.1 pep primary_assembly:Lsat_Salinas_v7:4:160291358:160292201:1 gene:gene-LSAT_4X96480 transcript:rna-gnl|WGS:NBSK|LSAT_4X96480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFPVPQGQPQRERNDDNNYTTVPNYFEPQKIQKLLLLGLEGSGTITILKQLRFNKEGNLVVATVEYNVDPATWSIMIFRVCYKDWRLQLSF >cds-PLY88258.1 pep primary_assembly:Lsat_Salinas_v7:8:152977479:152980652:1 gene:gene-LSAT_8X102701 transcript:rna-gnl|WGS:NBSK|LSAT_8X102701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELMEGVQHFKIPLEEINLATRNFSDENYIGKGGYGTVYAAKLFLSGQQRTVAIKRLNKTSNQGEREFLMEIQLLSCYKHKNLISLVGFCDENREKILVYEYAKHGSLDKYLSTPELSWTQRLQISLGAARGLNYLHNDVGPQHRVLHRDIKSSNILLDENWEAKISDFGLSKIGPSNVEFTCLFTGVCGTRGYLDPEYLNTGVLTKESDVYSFGVVLFEILCGRLANSKYQDKSELLSSLAQEYYEESRLVDIIHPSLRTQMKVDSLDVFSMVAYQCLKENRTERPTMAWVAEKLEKALELQAGCKVEKFIQIGTWGRQGGDPQEYWSFQLEKDTYLSKITIGHGKTIYSLTFTSKYKGGVHTSKTVGGGTNGDNVNPVVTSESTSFLNLTRKVSGWASGHKVSEVILDDGEQIISINGSIGTREGYKTISSLSFMTNKRSRGPFGKATDTQFTLPWEEGSLVGFYGLAGNYIDSIGVFVKAYEQIIKVGTWGKTQGVGPQNLWSFQLERNHHLKKININHGDLIYFLMFTTEHRGVLQNSRLAGGWKLEETPTEVMFDWDEEIRAINGTVGLSRGDDPGCVVITSISFVTNKRTHGPFGNERGKPFTVSWDDCSFMGFYGAAGWYIDKIGVYLKATT >cds-PLY66681.1 pep primary_assembly:Lsat_Salinas_v7:1:52865398:52865619:1 gene:gene-LSAT_1X46040 transcript:rna-gnl|WGS:NBSK|LSAT_1X46040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSPPRKNKHIHFSTTSSSSYSADDIVPRGSTPPVGDTTELMIQDQLSPKPFPSPQAETVQLTPTPITIAALH >cds-PLY77363.1 pep primary_assembly:Lsat_Salinas_v7:2:64853384:64855432:-1 gene:gene-LSAT_2X28400 transcript:rna-gnl|WGS:NBSK|LSAT_2X28400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVSILVRNPATATLLGGVVFLLLQGAVVLSGFPATLTLERAFPVNRRIELSQLRERDSLRHRTILQQHLPPKIPITFYDPSTSATSSPISCSDERCWQFGQYFDSSCSNHKCSYTLKYEGGSATSGYYVSDIIHLDNITGTKEISYSPASVVFGCSTSKSGPVNKSDRAVDGMFGFGQQGFSVVSQLSSQGVIPNSFSHCLVSNVFPNVSLKFAGGAIMNLRPQDYLLQQKMDADEVWCLGIKRNNYKDQRLNILGDIVLKDKIFVYDLGGQRIGWAERNCSSIVYVSTSSGSSSPLQITSYEVIPTLVLAFIVHLTVVRW >cds-PLY72840.1 pep primary_assembly:Lsat_Salinas_v7:6:22759848:22763997:-1 gene:gene-LSAT_6X18061 transcript:rna-gnl|WGS:NBSK|LSAT_6X18061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDYLSDERNKAQFDVEAMKVAWAGSRETLEVSDRMAKLVANDPAFEKFTRPMLGRKELFKNTLKKAAYAWKLIVNLRLSEEEAKCLRFYIDEPAYTDLHWGMFIPAIEGQGTEEQKQKWLPLAQKMQIIGCYAQTELGHGSNVQGLETTATFDPQTDEFVLHSPTLTSSKWWPGGLGKVSTHAVIYARLIVNGQFHGVHGFIVQLRSLEDHSPLPGITVGDIGLKFGNGAYNTMDNGVLRFDHVRIPRNQMLMRVLQVTKEGKIKQSDVPRQLIYGTMVYVRQTIVADASKALSRAVCIATRYSAVRRQFGSRNGGPETQVIDYKTQQSRLFPLLASAYAFRFVGEWLKWLYMDVKQRLAANDFSTLPEAHACTAGLKSLTTTATADGIEECRKLCGGHGYLVSSGLPELFAVYIPACTYEGDNVVLLLQVARFLVKTVSELGYKKPVGTTGYMGRAADLLQNNCAIQTVEEWLNPDAVVEAFEARAARMAVSCGQRLAKFENPEEGFAELAADLVEASVAHCQLIVVSKFIEKLQQEIPGKGVKESLEVLCYVYALFLVHKHQGDFLATGYLTPKQASLANDQLRTLYSKVRPNAIALVDAFNYTDHYLGSILGRYDGNVYPKLYEAAWEDPLNDSDVADGFHQYVQPILKQKLHSAKL >cds-PLY62792.1 pep primary_assembly:Lsat_Salinas_v7:4:30684260:30684664:-1 gene:gene-LSAT_4X16740 transcript:rna-gnl|WGS:NBSK|LSAT_4X16740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSGRAEHSWSPNYARHMDENHSNGLTNEDIAISLLQTQTELSLIREDFQDQLRELRLAVNRHLDAMNLEVDDVRAGQMDISHMVADLKNHFVSLQGAYVKMVFKDNKRKKLMSCVGIFGVVCASVVTYLVFK >cds-PLY93579.1 pep primary_assembly:Lsat_Salinas_v7:2:174569543:174569851:1 gene:gene-LSAT_2X98960 transcript:rna-gnl|WGS:NBSK|LSAT_2X98960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPPSPDSINSGEFVNLSDLHSIFCNCGDKIVANQIEMQTLKDQVGKDFIVCRVDHISLHHKLEDHERKLKAIALVMGGVMVAMLGMMMVGVKVLMKLG >cds-PLY94588.1 pep primary_assembly:Lsat_Salinas_v7:8:180152295:180154365:1 gene:gene-LSAT_8X117781 transcript:rna-gnl|WGS:NBSK|LSAT_8X117781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIRGISNRRIWNSDMISELHHLLCSPTVCKNACTGTGLSFVSFKRRHFCISEPTIRVKASVVCSHPSQAPVNGNRISRVVRTEAQTALFDYLHFTRGFHFTDAEHISKNSPNFIQNLLSVVDNDQDVSRALSKYFRYNPINEFEPFLESLGLPPSEYPSLLPKSLIFLGDDSLLLDNFHALCGYGIPRIKIGKMYKETKEIFEYEHGVLLKKLKSYEELGLSKSTVIKLVTCCPSLLVGLTDNNFLVVLEKLKRFGFGSEWIGTNISERTTLNWDRMLDTMSFLVQVGYNDKQMEILFTGNPALLFEGSGKQIYILVAQLLKLGLKMNQIYDLFLKNPQILSSKCAKNLWTAVCFLTEIGMETERIAGIVTTHMEILGSTSLKCPITVMNSLKLQQDELCQAIKKDPMKLVTLGSNSKTIDNQDSNTIVERKNFLLRLGYIENSDELSKALRKFRGRGSQLQERFDCLVEAGLDYNVVSSMIKRAPSVLNQTKDLLEKKIDCLQNRLGYPLEAVVAFPSYLCYDIQRINLRFSMYLWLLERGAAKPKLSLSTILACSDARFVKYFVHIHPEGVLTWESLKNSLNSR >cds-PLY83882.1 pep primary_assembly:Lsat_Salinas_v7:3:51531645:51532364:1 gene:gene-LSAT_3X40461 transcript:rna-gnl|WGS:NBSK|LSAT_3X40461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSVNRVWMAAGVAVANGHTDQGYKLKSLLINSLRHGKKAFSSDFRPFSGLLRLNVGVGDWKTTQSDESLRQVMYFNCWGQS >cds-PLY68503.1 pep primary_assembly:Lsat_Salinas_v7:2:215068809:215070839:-1 gene:gene-LSAT_2X135080 transcript:rna-gnl|WGS:NBSK|LSAT_2X135080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISMSRTTPSALFTSPIASPIKILKIPRVFWPWQKVKLGPMSVSPMGFGTWAWGNQLLWGYQESMDTELQQIFNLAVDNGINLFDTADSYGTGKLNGQSEKLLGRFIREFEGQRQVGDNIVIATKFAAYPWRLTPNQFVNACKSSLDRLEVEQIGIGQLHWSTANYAPLQERALWDGLVAMYDKGLVRAVGVSNYGPKQLLKIHDYLKERGVPLSSAQVQFSLLSMGGDQMEIKSICDSLGIRLISYSPLGLGMLTGKYTPTCNNLPRGPRSLLFRQILPGLEPLLISLKEISEKRGKSIPQVAINWCICKGTIPIPGVKSVKQAEDNLGALGWKLRPTELDQLEYAATQSPRKMLQNVFQTR >cds-PLY62423.1 pep primary_assembly:Lsat_Salinas_v7:7:116257194:116264267:-1 gene:gene-LSAT_7X71861 transcript:rna-gnl|WGS:NBSK|LSAT_7X71861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDDDELLQMALKEQSQRDVNYSRPSQSRSSKPVVNFVQPPSNHRSAKNPNPVTTTKPQHRRNATEDDDESEVEMLSISSGDEDSVTDQKVGSRNRAKGAGRGGVKDDDKLWVGEEPDAWKRVDEAELGRRVRDMRETRTPPPTTTQKFEKKPSVAIKGLNNLHSFSRGMEFVDPLGLGVIDNRTLRLVNEYTASSPSKSDKVDADVRDKLMYYSESFDPKLFLCRVHQDTAAADLEAGALALKTDLKGRTQQRKQLVKENFDCFVSCKTTIDDIESKLRRIEEDPEGCGTSHLYGSIQGVTSVANRAFAPLLERQAQAEKIRSVQGMLQRFRTLFNLPSAIRGNINKGEYDLAVREYRKAKSIVLPSHVGILKRVLEEVEKVMNEFKSMLYRSMENPQIDLTNLENVVRLLLELEPESDPVRHYLNVQNHRIRGLLEKCTYDHETRIENLQNELREKALSDEKWRKIQIELNETGDVMSTDFVNDLNSQQRDSGAEELDALRGRYIRRLTAVIIHHIPAFWKVAISVSNGKFAKSSKVNKTEEKTGDEKYSSHSLDEVSGMIQNTLSAYESKVHNTFLDLEESNILRPFMSDAIIDISKACEAFEAKEAAPSVAVAALRTLEYEITKTYIQRLSSWMRASTEEISKNESWIPVSVLERNKSLYTISHLPLAFRSVMVTAMDQIDMMMQSLGSEARKSEDAFILFQETQESVRLSFLNCLLDFAGYLEQIGSEIGQNILNKENSSFQNGHHVDDVEESSSDPLPGSITHPHQKLLMVLSNLGFCKDDLSREMHDKYKHIWMQSREKDDDDNDVGDLVRSFSGLEEKVLSQYTIAKANHVRTAAVNYLLDAGVQWGGAPAVKGVRDASVELLHTLVAVHAEVSAGCKPLLDKILRILIEGLIDTLLSLFHEHKDTDVRTLDANGFCQLMLELEYFETILNQYLSLDASESLKSLQGVLLEKATESSSEPNDTPKHRRRVTRGSDDMIADDRQGTVSPDELIALAQQCSSELLQLELKRTEINTACFGESLPLESGPVVVPKPGYGSFRGPVDSSPSRVYGSPSFSRQKRR >cds-PLY64657.1 pep primary_assembly:Lsat_Salinas_v7:7:138387135:138387582:1 gene:gene-LSAT_7X82181 transcript:rna-gnl|WGS:NBSK|LSAT_7X82181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLPMLHPEKFVKLGIDPPKGVLCYGPPGTRKILLARAVTNRTDACFIHVIGSELVQMYVGEGARMMARSKKACIVFFDEVDVIGGARFVDEEGGDNEVQRTMLEIVNQLDGYLVE >cds-PLY82552.1 pep primary_assembly:Lsat_Salinas_v7:2:183852608:183856171:-1 gene:gene-LSAT_2X106341 transcript:rna-gnl|WGS:NBSK|LSAT_2X106341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSFLKNGFIQSVSDTMWRTRSPDEPPAALNGDEATDHQSPLPVQNKPPQHITIPKTGKKQETEPVKPKKAGQMKRVSSAGLRTDSVLQRKTGNLKEFFSLGKKLGQGQFGTTFLCIEKASGELYACKSIAKRKLLTDEDVEDVRREIQIMHHLAEHRNVISIKGAYEDAVAVHLVMELCAGGELFDRIIQRGHYTERKAAELTRTIVGVVEACHSMSVMHRDLKPENFLFVDKREDALLKTIDFGLSVFFKPGESFHDVVGSPYYVAPEILRKNYGPEADVWSAGVIVYILLSGVPPFWAETEQGIFEQVLHGDLDFTSDPWPCISDGAKDLVRRMLIRDPKRRLTAHEVLCHPWVQVDGVAPDKPLDSAVLSRMKQFTAMNKLKKMALRVIAESLSEEEIAGLKQMFEMIDTDNSGQITFDELKDGLKRVGANLNESEIYDLMQAADVDNSGTIDYGEFVAATLHMNKIEKENHLFAAFSYFDKDGSGYITADELQHACEEFGIDAHLEELIQDVDQDNDGRIDYNEFVAMMQGHANVNVGAKKSLDTSFSIKFREALKL >cds-PLY74954.1 pep primary_assembly:Lsat_Salinas_v7:8:102206604:102207140:1 gene:gene-LSAT_8X70081 transcript:rna-gnl|WGS:NBSK|LSAT_8X70081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVQVLNGLNDATTIICHQRHLHCHHHNHIPPLPPLAATTTATITTANTIATTTTRHYHLPSPPSMLPQPPSLVTTTNATITTTSATNAITATITTVTPPPTTTTFITLPLSSIITTTTTTTTTATTTIRHHHCYNHHRQYHCYNHHPPLPPTIATTDAATTTITCHYHQCHHHHYHH >cds-PLY85871.1 pep primary_assembly:Lsat_Salinas_v7:8:179712545:179712892:1 gene:gene-LSAT_8X116600 transcript:rna-gnl|WGS:NBSK|LSAT_8X116600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTAIHKIEITLGKGGQLARAASAVAKPIAKEGKSATLKLPSGEVRSISKNCSATVRQEENVGVNQKRLGRVGSKRWLGKRHVLRGVVMNPVDHPHGGGEGRAPIGRKQPTTP >cds-PLY66583.1 pep primary_assembly:Lsat_Salinas_v7:6:166198653:166201410:-1 gene:gene-LSAT_6X101701 transcript:rna-gnl|WGS:NBSK|LSAT_6X101701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRVYEVWKGRNKFFLGGRLIFGPDAKSLLASFSMIIVPVAIFCIFVGRHLRHEFPSYNAGYAIIVAAIVFTIYDLVLLLLTSARDPGIIPRNLHPPEEEFRYETSISQESGERQTPTLQFPRTKEVMVNGVSVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFLFVSSSTLLCIYIFSMSALYIKILMRDEKGTVWKAMQESPASVVLMAYCFISLWFVGGLTGFHLYLISSNQTTYENFRYRSDNRYNVYNRGCINNFLEVFCTKIKPSKNKFRAPVQEEVHRPPPTTVRDSGTDDGGAPENRRMKVEDDLDFGGDILKISQRHNIEDIESEIRSRGNDSIEL >cds-PLY98747.1 pep primary_assembly:Lsat_Salinas_v7:1:8861550:8867573:1 gene:gene-LSAT_1X7420 transcript:rna-gnl|WGS:NBSK|LSAT_1X7420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVEWYNERIGSNLKVQCYNLYFFIELGNIHNGKTGFADLVDFNPLRATDVQLPADGTFLIAHSLAESQKVVTAATNYNNRVVECCLASILLGIKLGMELEEAISKVKTISDVEALCASFFGAHGSSNPTIAVKLVFMAETNRYEGTVKWFSGQKGFGFIAPADDSGEDLFVHQSEIKMEGFRFLRDGQRVEFSVDSGGDGRKKAVDVMGLARTRPYSPGLRRGGRGRRGGYGGNRGGFGGGGDSVRGGGRFGRGGSGGDDEGRDGGPECYNCGRVGHFARNCFQGGDRGDGNEDYDNDQGGAGGGRGGGGVGGRGGGRRGGRSKACYHCGEEGHFARECPNDQD >cds-PLY69909.1 pep primary_assembly:Lsat_Salinas_v7:4:67657615:67659512:-1 gene:gene-LSAT_4X46380 transcript:rna-gnl|WGS:NBSK|LSAT_4X46380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELDVGDNKDPQSGILHLPDELLQSIYKNLDLNLDQESFGLTCHCFLYIQSSSHKTLELDCSSSASINIDSAAVDKLLNRFRQLQSLSLSGRENISDSSLTKLQHYGSNLHSLYLDDCREAVTDVGISYIASGCPSLSVISLARCSTIKDSGLEILSESCKSLTEVNLASCVRITDRGIWALSQNCRKLRALKISQCHKIVGVSFQGCSPTLTCLEADNCAFDPMGVTGILSGGGLEYLNLSCLHKCSRGDGFIAIGLGIGANLRILDLSTCSFVTDDVISRISKGCPLLEEWNLSGCTEVDYSGWVSIGLHCRNLVRLHVNGCEKLDDRGLLALVKNCNRLQVIYLTKCTSNITRSGIRIFKKRRKGVEIKKEQVTQITPCWAFIT >cds-PLY94097.1 pep primary_assembly:Lsat_Salinas_v7:8:9918836:9921570:-1 gene:gene-LSAT_8X8581 transcript:rna-gnl|WGS:NBSK|LSAT_8X8581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRAFARKNLVTRTSQSLFFIFTLVCVFYILYIFLDDSNSTLTITKSIPNHLKEFGLNCFNGPMTTTCPTNYYPKQFKSTYQPPRLSPAPNTCPDYFRWIYEDLRPWTSTGITLEMVERANRTANFRLVIINGRAYVEVYEKGFQSRDTFTLWGILQLLRRFPGKIPDLDLMFDCVDWPVIRSTDYTPGPNSISPPPLFRFCGDNNTFDIVFPDWSFWGWPETNVRPWERLSRDIISANQRTPWKDRDRFAYWKGNPFVAGKRMELLKCNVSAEHEWNARIYVQDWPSEVKQGFKNSSLTKQCNHRYKIYIEGSAWSVSEKYIMACDSVTLYVKPQYYDFFSRGLMPMRHYWPIRNDNKCMSIKFAVAWGNSNEHKVELIRKAGSKFIQEDLTMDNVYDYMFHLLNEYAKLLKYKPKVPPRAVELCSESIACSAQGLSMAFMMESLVKNGTSDVAPCTMPQPYDRSTLDAILKRKEKILRGVEKVEKFYWNWHS >cds-PLY62255.1 pep primary_assembly:Lsat_Salinas_v7:5:166521359:166522386:-1 gene:gene-LSAT_5X75620 transcript:rna-gnl|WGS:NBSK|LSAT_5X75620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSEPPKTSKPADGTDFISSMPDAILLLILSRLPSTEEAIRSSILSRRWRNLWTAIPSLYLDIRHGRRYKKSEFKELVYWVLVNRSADLDCFRLYCSDQYSISTAWRWIHAAVKRNVKKLELTFYPKESTGDIEMPNCLVICPSLESLRLSLGHHGLRLPNIMGFPALRVLDLTSVDLLEDGNLVKGFLESCPLLEDLTLDDCVLCKLDLLCISCLKLMVLFDMM >cds-PLY86751.1 pep primary_assembly:Lsat_Salinas_v7:8:185565052:185569006:-1 gene:gene-LSAT_8X121441 transcript:rna-gnl|WGS:NBSK|LSAT_8X121441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAVAAAVGNLLQGWDNATIAGAVLYIKKEFKLESEPTIEGLIVATSLIGATLVTTFSGSVADSYGRRPMLIISSILYFVSGLVMLWSPNVYILLLARLLDGLGIGLAVTLVPVYISETAPPEIRGSLNTLPQFTGSGGMFLSYCMVFGMSLMESPNWRLMLGVLSIPSLVYFVLTIFFLPESPRWLVSKGRMQEAKQVLQRLRGKEDVSGEMALLVEGLGVGGETSIEEYIIGPANDLEHEQDEAAKIKLYGHDAGVSWIARPVTGQSMLGIASRQGSTINPSGVPLMDPLVTLFGSVHEKLPDAHGSKGSMLFPHFGSMFSVTGNQPKHEDWDEESVGRDGGDDSGSDVGGGNNDSDDNLHSPLISRQTTSLEKDMIQPASHGSILSVRNSSVVGNNAGELSSTGIGGGWQLAWQWTEREGQDGKKEGGFKRIYLHQEGGTGIGGSQRGSLISVAGGDMAADAGDVFQAAALVSQPALYSKDLVDQHPVGPAMVHPSEAAMKGTSWKDLFEPGVKHALFVGVGLQILQQFSGINGVLYYTPQILEEAGVGVLLSNLGISSTSSSLLISCITTLLMLPCIAVAMRLMDISGRRTLLLTTIPVLILTLVILVVGSVIDFGSVVNAAISTASVVVYFCTFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWICDIIVTYSLPVLLTSIGLSGVFGLYAIVCVIAWVFVFLKVPETKGMPLEVITEFFAVGAKQVAAAKGN >cds-PLY96412.1 pep primary_assembly:Lsat_Salinas_v7:4:344232788:344236200:1 gene:gene-LSAT_4X169880 transcript:rna-gnl|WGS:NBSK|LSAT_4X169880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASSPVKTIKPRRSRRHRSRKTRKHDKTKKKGDTDSILVPTDGDADTDNVDRMSVSRDSVSSFRTSIPSHTESHTNVNDDPFFDTISSIDGDGDGAVSEDDFASVHGDDDGDDDEPNGEGEGDEDYFQVDDEIMTKKTLKGDKCSTDLEDAYSSYYSVGNHGDSSPTNKFGRSYSSYNGCDKDDKTQSHLLMMSGSGPHLFPSASFHDQITNTYNSISQNHTTKPTVIKLAVKRATDGDDQTHRATEKFFYRPRAGFLIPCCTDEKPLAGCWSAIDPSNFTLRGENYFKDKSKKVAPSYSPYIPFGVDLFVSPKKINHIAKHLELPSLQGDGNLPPLLIVNIQLPSYPAQMFHSDSDGEGLNLVLYFKLSETYEKDIPPQLQDSIKSLVDDEMEKIRGFTKETTVPFRDRLKIMVGVVNPDDLVSSSTERKLLHSYNEKPVLSRPQHNFFKGSNYFEIDLDIHRFSYIARKGLDAFRERLKHGIMNLGLTIQVI >cds-PLY66386.1 pep primary_assembly:Lsat_Salinas_v7:4:119206823:119209893:-1 gene:gene-LSAT_4X75360 transcript:rna-gnl|WGS:NBSK|LSAT_4X75360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGLVLDEIHEDKVIDEMPDDGSLSKTKDNLDLSKSPDVGTGNSATEVVEPSIEQLYDNVCEMQSSDHSASRLSYGSDGEESRIDSELRHLVGGERKEVEIIEQDEEISNVEEQQKEESSKSLMESEANSGTSSKTKSHQKKPLFEKQTDKNPKKSVVTDKNSDLGPYLLKQARDLMSSGENPRKALDLSLRAAKLFEKSSNGKPSLDVVMCLHVTAAIYCNLSQYNDAIPILNNSINIPAIELGQDHALAKFAGYMQLGDTYAMLGQLENSLHCYKSGLEVQKSTLGETDPRVGETFRYLAEAHVQALQLDEAEKLCQMALEIHKNNGLPASLEEAADRKLMGLIMETKGDHEGALEHLVLASMAMVANGHENEVSSIDISIGDAYLSLSRFDEAIHAYQKALTALKSSKGENHPSVASVYVRLADLYNKTGKLKESKSYCENALSIYEKPIPGIPQEEIACGFTDVSAIYESMNEIDHALKLLQKALKIYNGVPGQQNTVAGIEAQMGVMYYVLGKYDESYTSFEHAISKLRVSGEKKSAFFGIALNQMGLTCVQLYAINEALDLFEEARNVLEHECGSYHPDTLAVYSNLAGTYDAVGRLDDAIAILERIVVMREEKLGTAHPDVDDEKRRLAELLKEAGKVRTKKTRSLEHLLDSSGVVGTGTDTVTGTVVTGTS >cds-PLY81355.1 pep primary_assembly:Lsat_Salinas_v7:4:36821327:36821521:-1 gene:gene-LSAT_4X23960 transcript:rna-gnl|WGS:NBSK|LSAT_4X23960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERVGEDVIIGDNNDEDVGVNNYAVKVGKVEKPRLRKSSERIVKMKLGKKLVGKGSASNVMDID >cds-PLY90046.1 pep primary_assembly:Lsat_Salinas_v7:8:82006473:82006712:-1 gene:gene-LSAT_8X57221 transcript:rna-gnl|WGS:NBSK|LSAT_8X57221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFINDSGDERGCSSNSYWSFTPSFPTVMTRIAAMATLGGRMEFMELEHSGRKGCGLLIGRKTPLLLGVSSTVRKREKG >cds-PLY95202.1 pep primary_assembly:Lsat_Salinas_v7:8:61972672:61972899:1 gene:gene-LSAT_8X44861 transcript:rna-gnl|WGS:NBSK|LSAT_8X44861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGSTIEAANRDGLVVARQIVKEREASEKSLPVTVTAKGREGSSVLTGREEERRQKSSECLRSSSDREGRSKNGN >cds-PLY75772.1 pep primary_assembly:Lsat_Salinas_v7:3:68672414:68674065:-1 gene:gene-LSAT_3X51700 transcript:rna-gnl|WGS:NBSK|LSAT_3X51700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDEMVTLHNVMPVDLAIKREMEYRHKMEALKNQPLNNLNPLLPSQVHPTSRTTLKRKEPSSSGIKLKKQATGLVCKICEITFCTVGQMKDHSNSLKHKHIVQQLKKRGQNVSTPFLCELCNSSCSSGIVMAVHLKGTKHAAVLQEVEKAKRARPKEGFSSRRY >cds-PLY92440.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:1448333:1450887:1 gene:gene-LSAT_6X23420 transcript:rna-gnl|WGS:NBSK|LSAT_6X23420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGFNLEEYRIPLTEINRATENFNSETLVGDGGFGMVYRGQLSKDWRHRLVAVKRLDRNGYQGNKEFLNELNLVASFDHSNIIRFVGYCDDENEKIIVCAYATNGSLDYHLQNPNMRRRLTWEQRLKICLGAARGIEYLHSGRVCSEVIHRDMKSANILLDDNMDAKICDFGLSRLDSTNQSDNGVLTKVAGTRFYMDPVYNERSRLTKESDIYSFGVVMFEMSSGMMAYIPSRIEDSKGRYLIDIVRSYYDDHKFVDKLIDPAIKVQIDMSSFDKFNKIAHECISLDISKRPRIDKLITTIEEALNIQADSVWESLLPPGYREVIARAVSQLVFVSKKQLYLSLSNSHILLDGGYLSFQIDKISGKKCYMLGAKGLSIEWQDDKRYWKWEHIPESRFAEVCTVIEVTWLAIHGTISVGMLSRNCTYVAYLVFRTTGESKGLDVPAEAKVTFCGTKMVTENVYLQRPEAPGGTQHVPDQQEKNVFPRRRNDGWMEIELGEFKCIEGDDGEVEMTFDEHKSYKKGLIVEGIELRPK >cds-PLY78724.1 pep primary_assembly:Lsat_Salinas_v7:9:50133703:50138405:1 gene:gene-LSAT_9X45201 transcript:rna-gnl|WGS:NBSK|LSAT_9X45201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQKKFLTVAPFECAWRDDLRFKEAGRGCVAFEAFAHNDVTVVFREQLGSQHYHYKRDNSPHYTVIIGSHRNRRLKIEVDGITTVDEAGLGLCCSSAFQSYWISICDGLISIGKGRYPFQNLVFQWLDTKPNCSVQYVGLSSWDKHVGYRNVNVLPLTQNHISLWKHVDYEEYKGEDDMEEDLRDEFGNFDNHQGLGSFLENWELSDVYFIVGNEETLVPAHKVILAAVDNLDLSLLNQDFISIKDVTYPVLHAFLRFIYTGFTQIPESLLSSLRDLSVRFGATSLVKQCEEVIERFKLNKKLFDSGKNIELSYPSSQPHSGVASFPLGLPVNVQRLEQFHTTGEFSDVDVSIEGHGLIARSHKVVLGLWSLPFMKMFTNGMSESVSSEICLKEVSSPKAFKIMIDYFYNGGLNLENTVDTNILLLELLLLADQFGVSLLHQDCCKTLLEQLSEGSVCLILQVIPSIPSCKLIEETCERIFTMHFDYCTTACNDFILLDQSTFYNILQHPDLTVTSEERVLNGILMWGLQPSELCNWEVVDNMLSTKTPQDLFGKRLQSLNILLPLVRFSLFPLFLLKKMECSNLSMKIPSFHDLVKEAIGFLEVGLPTSGNNPKFQHRQSSFKELQYIRDGDSNGVVYYVGTSYGKHQWVNPVLAKKISITASNPISRYTDPKVLASRTYQGTSFAGPRIEDGKNCSWWMIDLGLDHQLMCNYYTLRQDGSKAFVRYWNFQGSSDAKNWTNLRVHENDQTISKPGQFASWAVTGPNALLPFRYFRVALVGPTTDDINPWTLCICFLELYGYFH >cds-PLY91065.1 pep primary_assembly:Lsat_Salinas_v7:5:171235144:171236598:1 gene:gene-LSAT_5X77180 transcript:rna-gnl|WGS:NBSK|LSAT_5X77180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLQILIIVLTFISHEHEAIAAYVPPYTSVIAPVHKHTDAAKPLYSVQIMTTYVNMQYMHENFLIDIDAPFIWHDCIIQWNIYPGSCPANTLCTSPVSCEEFQCTDVRTSYSYQKPYCSPPDNSSTLPGWGYCTCPVNVVDPITGSCAQAMLNYDDFTVNTSNGKNIFTGSYGFYPNAACAPSSAFQSFPTNVTGVMALSSSPYALPAYLFEPLKKVVGLCLPSTLSTSGVLFYGNSPYYLLPQSDVDVRSYLSYTPLIKRPDSFGYFIGVNNIVIKKRSINIPANATTKISTLDPYTILRTDIYNPVIRRFSMVTKRIPTAKPVPPFGLCFSTKGGLRVPDIDFSLPEGKKWTMSSANSIKQVTNDVACLALVDGGATSEPAIVIGTFQMEDNFLVFDLENSTFGFSSSLLRKQTSCSSFNFTLVDSF >cds-PLY94275.1 pep primary_assembly:Lsat_Salinas_v7:1:156343645:156346675:-1 gene:gene-LSAT_1X109941 transcript:rna-gnl|WGS:NBSK|LSAT_1X109941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTQTHVNQEFVWKPLRNRETTIVYLQSVVRDWLSRRHPNYMQNASSENMNDANNLHNDNIENEEHISVSEPYIRDLQRQVLRTEAALRHKKRENSLLALQIQQIDSKWELHKAEMSLKEKTWQDEFTSIQMSLAATRERTKSEIIHFPQNPSRRHDNGKIDLTIRQILELQENDFSFRMHNIHNFEKCQKQELRKLKGRFKSWKKEFKARLQDVKKTINRFDEAGRTKKVHKRCWVA >cds-PLY65052.1 pep primary_assembly:Lsat_Salinas_v7:1:119749974:119753073:-1 gene:gene-LSAT_1X91780 transcript:rna-gnl|WGS:NBSK|LSAT_1X91780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISLHQGLFRSIQTAIYEPRLHRFQAHLVFLLPRYKLLSLRRQLPPKSSVFAKLNEEEKEAHGVYEVGRGNKALKLKVEQIGINFDSCTPGQYTLLICPLCKGGQSKGRTLSFHKNQNEKVAMWRCFNFECGLSGHVLADVSPIQEEVNKVNVPKKPSEETLRLEPLGDELIEYFATRKISEEILKKNAVMQMIDDKNVIAYTYRRNGELVNCKFRSITSRKFWQAKHGERILYGLDGIKEGHDIVIVEGEIDKLSMEEAGIINCVSVPDGAPQHVSIKASPSKKQEARFKYLSDCNGCLDKASRIILATDGDGPGQALAEELSCRLGKQRCWVVTWPKKDEVSHYKDANEVLVHLGAEALRHIVENAKLYEEQKIYAVL >cds-PLY66692.1 pep primary_assembly:Lsat_Salinas_v7:6:79590654:79591895:-1 gene:gene-LSAT_6X55141 transcript:rna-gnl|WGS:NBSK|LSAT_6X55141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPTSTHNHKNPSTSDASLKSAPEDEYLACSTYLSRQQILRRRSHHLKQLTKCYRDQYWGLMEELRVKHREYVWKFGRNPFQEEPNQDDKDNVKEEELKDAIDDGIVESGDGDGKSNGLICTSSGCTLKAMILTKFCRLHILSDPKQQLYKPCEYVSKSGQDANAICGKPALRSMVPSLCSGHFQLAQEHVIRALRKAGLDITSTTNIAPKLHVVVAEYVREIQERRRIASRVNRKKIVPKVETELTADR >cds-PLY82472.1 pep primary_assembly:Lsat_Salinas_v7:2:183694997:183697930:-1 gene:gene-LSAT_2X106121 transcript:rna-gnl|WGS:NBSK|LSAT_2X106121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGRQPKKSDNTKYYEILGVPKSASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFQSFFGGGNPFGGAGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVLCSKCKGKGSKSGASMKCAGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGEKVVQEKKVLEVHVEKGMQNSQKITFPGEADEAPDTVTGDIVFVLQQKEHPKFKRKGDDLFVEHTLSLTEALCGFQFILTHLDSRQLLIKSEPGEVVKPDQFKAINDEGMPMYQRPFMKGKLYIHFTVEFPESLSPEQCKALEGVLPPKPSMQMTDMELDECEETTLHDVNIEEEMRRKQQQQAQEAYDEDDEMPGGAQRVQCAQQ >cds-PLY88817.1 pep primary_assembly:Lsat_Salinas_v7:4:92565229:92565471:-1 gene:gene-LSAT_4X60821 transcript:rna-gnl|WGS:NBSK|LSAT_4X60821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDAPSWADQWGAGGIGAIEEEKVDIKKDNDTNKKGSTTFGRANSVAKEGAKKLKSGTTNGIKWIKNKCQKKNLSNSKE >cds-PLY66017.1 pep primary_assembly:Lsat_Salinas_v7:1:123629901:123630841:1 gene:gene-LSAT_1X94860 transcript:rna-gnl|WGS:NBSK|LSAT_1X94860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWLGDSAKVIAVKNNPVHWTRTTPLGHPVVQPYRKLGRHLVVPLLMKAIGWQGGSILALETLKRVVVARNRAWDAFVAQDLIFL >cds-PLY83433.1 pep primary_assembly:Lsat_Salinas_v7:5:330088459:330089710:-1 gene:gene-LSAT_5X186721 transcript:rna-gnl|WGS:NBSK|LSAT_5X186721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASISASISTSSPSFSLLQKPSITTRSSPILGLPSMSKMGKVRCSMEGKPTLASNDVPHKLSLGASSLMAAAVAATMSSPAAMALVDERMSTEGTGLPFGLSNNLLGWILFGVFGLIWTLYFVYTGSLDEDEESGLSL >cds-PLY92000.1 pep primary_assembly:Lsat_Salinas_v7:8:239603763:239604080:1 gene:gene-LSAT_0X18900 transcript:rna-gnl|WGS:NBSK|LSAT_0X18900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAVIGQLIFEWLGDCVERRQVYGVSLILMVVGSIGCGFSLSTLTPMVFVSLGFFRFLLGVGIGGDYPSSATIMSEFANQRTRGAFIAGVFSMKGFGILFSSLVP >cds-PLY96432.1 pep primary_assembly:Lsat_Salinas_v7:4:344653963:344657652:1 gene:gene-LSAT_4X170160 transcript:rna-gnl|WGS:NBSK|LSAT_4X170160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAKVESKTYFPSSMIDLNNGFHNDIWNPYHDDKTHKISGPTNSQHYNYFLTTQTIDGYAKEQIRQTILKHESIFKHQLQELHRLYKRQQDLMNLTKETRIFASSFPHLGSTFRPFNSINGKSLGVPERRVIDLQQSTDEQEIGIFQNLTQKKPFNLADLNKPIIDCTSSAKKTLFGVEINSVHQNHWLGSFDSGNSRSMTTSEWQNRNKHEQSLPLWMTKGKESTVHHINLNSLQNHSHQFFNKADVASDDHETREFKKVKLDDSRTITMILGVPIIDTKLESNVKHDIDLNMSLDEEDAPPPAPETPPSPENPSAMDSDLELVNIAAEAIISISTFHPPEIPEKPVESLMWFADVITNDLELEKSFVEKSEEMDYFEYMTLKLQDSKENHDDFYKPVIMEDKKEEENNSLSLKRTPRKGQGKRGKQKKDFQKDILPNIVSLSRREVTEDLQIFEDAFSGTGVFWQSSLSKRKGGGRSGRGRRRSVAAEPLXXXXCRELALEKSLGGWGRRTRRLPRQRCSNGGSHYRSLALKC >cds-PLY85032.1 pep primary_assembly:Lsat_Salinas_v7:7:5931160:5934452:1 gene:gene-LSAT_7X5060 transcript:rna-gnl|WGS:NBSK|LSAT_7X5060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPEKKQWGEKVSQTVFSAYNRLPKKGKPQGREVTVLAAFLTSSPSQELKVVALGTGTKCIGRSRLSVHGDVLNDSHAEIVARRALLRYFYSEIQKSSMQLPDSIFESGGFGSGKYKMRSGWQLHLYISQLPCGDASLNSQLFPCLNSSIKEGCSISSTTKLNDLMEEFLESSMKNNGDCSHVIGTVQRKPGRGDATLSVSCSDKISRWNVVGVQGALLSHFLQPVYISSITVGQSRNCSDKEVEEQLTRALSDRVLPLSKKLTSPFKVNKPLFSVASVPLEVFRHAETAASTLTCGYSISWNKSGLHEVILGTTGRKQGTSGKGAMHPSSESTLCKKRMLESFLSLSRSLPNSSLSETSYRRLKERANEYNSALKVFKESPQFSNWLVKPLQFEPPVLMGQ >cds-PLY62326.1 pep primary_assembly:Lsat_Salinas_v7:4:88719560:88724760:-1 gene:gene-LSAT_4X58800 transcript:rna-gnl|WGS:NBSK|LSAT_4X58800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSEPPFQEFYKNLFTNHETWKEKENGIFDDVEEWELPLIDLSRLHHGGWQGADCKREIAEASQKWGFFQVINHGVSSDILEKMQCEQMKVFRKTFYEKAKNHRELNFPTGSYRWGTPSATCLKQLAWSEAFHVPLTDISNMGGFTSLSTTMEQFATMVSKLAENLAEILAEQLGHKSDFFKQNCLPSTCYLRMNRYPPCPISSQVFGLMPHTDSDFLTILHQDQIGGLQLVKDGKWIAVKPNKDALIINIGDLFQAWSNDVYKSVEHRVVAHKETERFSTAYFFCPSYDTVIQSCVENSIYKRFSFREFRQQVQDDVKRLGYKIGLPRFII >cds-PLY66915.1 pep primary_assembly:Lsat_Salinas_v7:7:20218663:20219040:1 gene:gene-LSAT_7X16321 transcript:rna-gnl|WGS:NBSK|LSAT_7X16321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLSNARNCLQVACVFVKDDVNNCDCDVPTKEQICWKLTNPTDFLELQQQLGFIQTRLRKCDYFEWKNVALEDGCYKNLFYSMKQQLDS >cds-PLY65443.1 pep primary_assembly:Lsat_Salinas_v7:9:185220112:185223502:1 gene:gene-LSAT_9X113620 transcript:rna-gnl|WGS:NBSK|LSAT_9X113620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVLQHNHIAASLSSSTSSFFAQNGFDVLRTQIVSVPPKKAGVCKCVATSPAEQTAYKTKVSRNGNIAKLQAGYLFPEIGRRKAAHMLKYPDAQVISLGIGDTTEPIPDVITSAMAKRALGLSTVEGYSGYGAEQGEKELRARLASAFYANLSIEEDDIFVSDGAKSDISRLQVLFGSNVTMAVQDPSYPAYVDSSVIMGQTGEFQKDVEKFANIEYMKCTPENDFFPDLSKVSRTDIIFFCSPNNPTGSAASREQLIQLVKFAKDNGSIIVYDSAYAMYVSGDTPKSIFEIPGAKEVAIETASFSKYAGFTGVRLGWTVVPKELKYSDGFPVAKDFNRIVCTGFNGASNIAQSGGLACLSPQGLEAMREVVGFYKENTQIIVDTFTSLGFKVYGGKDAPYVWVHFPGQSSWDVFSEILEKTHVVTTPGSGFGPAGEGFVRVSAFGHRNNVLEACRRFKELYK >cds-PLY95611.1 pep primary_assembly:Lsat_Salinas_v7:6:138170437:138173544:1 gene:gene-LSAT_6X83381 transcript:rna-gnl|WGS:NBSK|LSAT_6X83381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGETPNFKKWNILYPVYINSKKTIAEGRRICAAKACENPTAVEIGDCCSHLKIPFAIELDKAYPRDFMQVGRVRFILKRSDGSLYNQAITSKKHLMLHVAELVPRHPGRVKKQEPAATLQVFLVESIIMGGGRVAHPVLKGPSVVKELVIGAVLGLAAGGLWKMHHWNEQRKTRAFYDLLEKGEISVVVEEE >cds-PLY95586.1 pep primary_assembly:Lsat_Salinas_v7:6:138925149:138935758:-1 gene:gene-LSAT_6X83981 transcript:rna-gnl|WGS:NBSK|LSAT_6X83981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCVVAECHCIPAADSRRSIAMLVNDEARSRHVEDRHAAPVWLRTMTIGGLRGRCCDGRIVSLPHTTFSHLRFIQAAASGRCRHQATFQLLRSSPITACCCKESGAFGLLFQDRMKRTENNENLRCSFIFLRKKLKTLSFHWANMADAFEDETEQTVSLNEYLDEVEDQELEADLVLGGDEGKECTYGKGYMKRQAIFSCITCTPDGNAGVCTACSLSCHDGHEIIELWTKRKFKCDCGNSKFGEFFCKLLVSKDIENEENSYNHNFKGKYCTCGRPYPDPDAEEQVEMIQCCICEDWFHEEHLGLTNEVKIPRDEDGEPLYEDLICHICSPICSFLHLYPQTIFASDNPQNPTTPSKQKSIETSPSSSGPSTNPELTKNMILGEKSGTCVLGVDLLSNELTSDKNQSQSQSQPMFLTKNWREELCGCEKCLGFYEKKGISYLVDKEDSIAEYERMAKEKRDEKMQQQEGAEMNFLSNHGHVEKMEILSGIADMKDEIRSFLQSFDASKPITSDDIHKVFDNLKKRRRMD >cds-PLY90149.1 pep primary_assembly:Lsat_Salinas_v7:7:14482178:14484510:1 gene:gene-LSAT_7X11860 transcript:rna-gnl|WGS:NBSK|LSAT_7X11860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLRTSMDAAFYDLRIATPHTLHGSARSIPGEPAPLDGAPSSKLLRMQQLWFLGQGFPLGVIPAYAPPLYSSSQKESGSLSLQTIWSKQPAENWWVGLIGQIRPIKLISSVASEALQADLTLPSIKSVVKNFFDKSFYSIGLMSHLDVGPLTSVVVNVEKDGQRKNRHTKALIHHKLPDHDLTLEAAWPELFVDRNGKYWDMPESISLDCASLISESGLRYHFGIHRNGGAAKAALDSGEDPVPIPASLNPGICAKAAFSYEKSKDLWRELETKEDLKLVKRREGSFRNSAYDLRMKEPHAAISGIFGGNCEAWLTNNKRPFGVDLFGSICFAYQHGKFRKDYGDLTRVDARLDVPSVSSISPKLNLILQQQIAGPIVFRVNSRVSLGRGVHIEDVIYSLNYSLRLLESGKVVAWYSPKRKEGMVELRVFEF >cds-PLY86702.1 pep primary_assembly:Lsat_Salinas_v7:4:8581413:8582403:-1 gene:gene-LSAT_4X5661 transcript:rna-gnl|WGS:NBSK|LSAT_4X5661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH2 [Source:Projected from Arabidopsis thaliana (AT1G10600) UniProtKB/TrEMBL;Acc:A0A178W3T5] MNKLGFMEPNFFKVTHSYPSSIISCIHNAPPHGAAEVSRITSLVNESTTSTRVLKDVHLLLDGFLDLAEDNTSKDLETCGVLGAFLKEGTYYVTTLIIPKQDSTSSTCQAFNEEEIFAIQNEQSLFPVGWIHTHPSQSCFMSSVDLHTQYSYQVMVPEAVAIVMAPTDTSRYACPYIYFGIHQQM >cds-PLY77177.1 pep primary_assembly:Lsat_Salinas_v7:8:25471044:25474681:-1 gene:gene-LSAT_8X19381 transcript:rna-gnl|WGS:NBSK|LSAT_8X19381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELCRIILVLLSSIIFLIVSCFAAFDRVHINQPIKDGNTIVSDGEMYELGFFSPGKSKNRYLGIWYKKISPRTVVWVANRQTPITDSSGVFEVTTEGIPKIYIGDNNVIWSSNVTSVFGRNMNPVAQLLDTGNLVVWDGYGNKEKLIWQSFDYPGDTLLPGMKFGKDLITGKERYFTSWKSPNDPSVGLYNFRVDTNGYPQIFLGEGEAETLRLGLWNGVGFQGIPEETMNPIFSTEFVVNQKEIYYRYKLKSTTIQRLILAWDGMARRLQWIKRTQEWVEYANVVVDACSRYGPCGPFGRCSMKSSLPCSCLEGFEPRVLEEWNAGDWSSGCQRKKPLDCRTPDVFHKISGVIFPDTRRSWYNESMSLGECEMACRRDCNCTAYANLDIRNGGSGCLLWFDELMDIRECDDHQQLYIRIATSELAGQLSFNKKNGVLVVLLLSISSAALFLSAVAYVCRKKMKKLHKKERGSGGHTLDKDHRTHTPDKDHTSVQMENLDELSFFSLHKITEATNNFNIDNKIGEGGFGPVYKGVLENGRVIAVKRLSETSQQGLEEFQNEVICIAKLQHRNLVKLLGYCIHGNERILIYEYMDNKSLDSFLFDETRGSLLDWPQRFHIIHGIARGILYLHQDSRLQIIHRDLKAGNILLDSEMNPKISDFGLARKFIGQDAMAKTKKVVGTYGYISPEYAVHGRFSIKSDVFSFGVLVLEIVSGKKNREFFHEAHSDNLLGHAWRLYKEDKSIELISASLHNSCVVSEVLRSIHVGLLCVQHHAKDRPTMLSVVLMLVSEGALPPPKQPAFFTEESYSEVDIVSSLEDYTVTLLHGR >cds-PLY93419.1 pep primary_assembly:Lsat_Salinas_v7:9:64443172:64443513:-1 gene:gene-LSAT_9X55900 transcript:rna-gnl|WGS:NBSK|LSAT_9X55900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMQKVMVRGYALEEKKVLKAIKGTGKAAELWPYPGHSHFASFYKYPTHIANQYYYDTSSKNNYARHNLHAFFNTPSAYSAAIASDEVVASLFSDDNPHACMIM >cds-PLY64026.1 pep primary_assembly:Lsat_Salinas_v7:5:59444636:59446949:-1 gene:gene-LSAT_5X29021 transcript:rna-gnl|WGS:NBSK|LSAT_5X29021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTKGKCFCIYLLLIFRSFSICNTTSTDTDNPSDLSDPTEIVNVLHQHISQLGKLDELVKSLSELVTRLESRVLESPTEIRRSSNDKFIQEEELRSDSKNIDEENSFVDESTSVRDNRVERLGAVSVTKHSLFWSERFQFVSAVKLDTKATSLNVLPFKDLEGLSKYIAVGDDLGNFYVFTRYGEVMLQFNTLTNSPITAILSYLSVFRNETIVVTGHGNGVILVHRVWEIPTGDESNLLERETIHKLDQELGSAISIMEIHHVGRTRYTVAIDIGGTIKVFKEGGSVIGTIVPSSRPLAFLKQKLLFLTETGAGSLDLRTMKLREAPCEGFNGSYALNYVFDASDRGKAYGFTSGGELVHLLIYGDSMNFKCRVRSKKKFDIDRGPLSFEAIKGYFLIVSQEKVFVYNVSSQLYVRAGLPRLVFSAGLDEIIASFLNYRAIGRSIDGKKDEIVPLIASDHDKLVILSLGSGYIGMYRSNLPVTKGEFNTMLWSTPVLFFILFLFVAWHFFANKKEALTSWGPDDPFVNGAPPGPGERSFGDPTSRNHDMMDLRGGGGGGGGGSGGGX >cds-PLY72455.1 pep primary_assembly:Lsat_Salinas_v7:2:142341289:142342967:1 gene:gene-LSAT_2X70160 transcript:rna-gnl|WGS:NBSK|LSAT_2X70160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTTDSDGSKQLHVVMFPWLAFGHIIPFLELSKSIAQKGHKVSFLSTTRNIQRIPNLPSHLSPLINLVPLTLPRLHQLPQDAEATMDVRTEDIQYLKKAFDGLQLEVTRFLESESPDWIIYDFAPYWLPKIAAGLGISRAFFSIFKAWFIAFLAESPEDMINGSDDRTSVEDFLVPAKWLPFPSKICYRRHEANWMVGGCSPNASGVSDAYRSGMILKGSNCLFIRHSYEFEPQWMTVVQELHHLPVVPVGLMPPATAVEVENGKDDTWLTIKNWLDGQQKGHVVYVALGSEVMLSKTDVSELALGLELSGLPFFWAFRKPAGSTESDSVELPDGFLERIWNRGIVWTSWVPQLQILSHDSVGGFLTHCGWGSIVEGLMFGHPLIMLPFLVDQGLNARALVDKNVGIEVPRNEEDGSFTKNSVADSLSLVVVEDEGKVYKENAVALSRIFGDMKLQQKYTDDFVEYLEKHRYNP >cds-PLY92018.1 pep primary_assembly:Lsat_Salinas_v7:8:240819745:240821019:-1 gene:gene-LSAT_8X144680 transcript:rna-gnl|WGS:NBSK|LSAT_8X144680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKIRSTKGQAKVLGTLICIVGSLTVTFRRGGFQLKGLVNKPLIDIYNPKASHVHKENWVKGATLISASKISWSLWLIFQGLIHKMYPAPLSMNIMICLFASLQSSFLALFFCKRCESMEARVGCKTLYHPLRGLTYYLVLWSINKKGPVFVAMFTPLQLIIVGIFSAIAFNERLHVGSLIGALIIILGLYCVLWGKSKDKLFILDEGKNDVTKKNKAALDIVVNDGIVKNSNKVES >cds-PLY66633.1 pep primary_assembly:Lsat_Salinas_v7:5:150211808:150213180:-1 gene:gene-LSAT_5X66220 transcript:rna-gnl|WGS:NBSK|LSAT_5X66220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKGFADTKKAMRALDFRGFIFEVSLEIGDDEDNDLDLFGDETDEEKKAVEAHDQAKASTKKKECEILGAIEKNKSIYEMWLLFVWTSLITI >cds-PLY97841.1 pep primary_assembly:Lsat_Salinas_v7:5:197817661:197818734:-1 gene:gene-LSAT_5X88280 transcript:rna-gnl|WGS:NBSK|LSAT_5X88280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSYLCEELIVEIFTRLPSKSLLRFRSLSQSFYSIICSTDFIRMHTFRSPQKLLLKHRNHYKNEDIENFYTLHSEDQLASCTSGRYISITPNHFPYNRYSYIVGSCNGILCLCDYLKENHVSLWNPSIRRNLNLADCPLRGSRIGFGFDPITDDYKIVSIPRYGGHALGIAQSSFVYAMKRSANWRKIAFHASSSAYKVLPSACFVNGALHWVVVERDSNNVKRFYILTFDLSTDVFGRISLPEPSWEKRRVTTIQGSLAVISTKGDKSWIWIRRDASWSVVYKLKKNKVGNVMEVLQLNNNCDLLLRTYSARLRVYNPKTGAGSRLVDFKPASYVDDIVLFVERFQLLDRGIPDLS >cds-PLY62082.1 pep primary_assembly:Lsat_Salinas_v7:2:57674189:57675817:1 gene:gene-LSAT_2X26141 transcript:rna-gnl|WGS:NBSK|LSAT_2X26141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLPDELIPVIDISDSKDPELLEKALERSGNDLDSTIKSLNELCLGYVDGISGLPMQSNAVTEKDSSTESECCFFGEQLIS >cds-PLY73470.1 pep primary_assembly:Lsat_Salinas_v7:2:98720050:98720259:1 gene:gene-LSAT_2X43261 transcript:rna-gnl|WGS:NBSK|LSAT_2X43261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRNWLLVDEIEKLESIDGFIDSQAWSQRTGVCSNFFWISSQSNKIRILIFLVRILRWDIEFAFGSRFI >cds-PLY66012.1 pep primary_assembly:Lsat_Salinas_v7:4:140674800:140676684:-1 gene:gene-LSAT_4X86141 transcript:rna-gnl|WGS:NBSK|LSAT_4X86141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSSSFSGSHSFTKSNIDQEGWVKTMRKSIIEPDDEEISKIPVCIFTVPKVLLATDPESYIPQEVALGPFHHWREEVYDMQRYKLEAARRTQKFMNTSFERIVESMRKQDEGRIRASYHKFLDMSGEVLVWIMAVDMAFLLEFLRVYSMKEEGRTLEKVTSSMSHLVDASGKKLSHMAILRDLVMVENQIPLFLMKTMLEHQIRDDENKSAAETLKSMLMGLYHELSPFKEQELPDVDIDDCDHLLDFLYHLTVPNNKELHIEAIEIEYEGITEEAGDGDQEKESFAKPSDLRRFTDFIWKILSKSNAALVKIFKKIIFGKPVTVVMKLPWKILSNLPVLKLFKEPVENMLRNFRGDEEQKSKDDSNDSKVPLIEEITIPSVTEMAKAGILFSPVNGGILDISFDNQTCTLYLPVVNLDVNTEVYLRNLVAYEACVAAGPLVVARYTELMNGIIDTEEDAKLLSERGIVLNHLKSDKEVANLWNGMSKSVKLTKVPKMDKVIEDVNKRYAKTWRVKLSKFMKKYVFASWKILTLLAALFMLFLSTVQALCSVYSCARVLHQLPEIPEGTME >cds-PLY79084.1 pep primary_assembly:Lsat_Salinas_v7:3:7579808:7580479:1 gene:gene-LSAT_3X4361 transcript:rna-gnl|WGS:NBSK|LSAT_3X4361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIIPGMLPKEDRWIHVRRKEKTLAQKVAMDDELVSSSRIFRTKSKRRSLEGFFSRYGKLMDVYFGDRKGKNGKNYGFIRFVDVLDAKDMETKINREKCINNILEVNIVRHGRKAHQIRPMHKWFVPPIQNFTTSDEFVDKRSYAEVTGNKKNQYSNQARQTPTFQQTNKECKILLQPDDHMKRWIQKTTMIGEVFSLQYVGHLSALLSIHSDKVADVKIQEV >cds-PLY99585.1 pep primary_assembly:Lsat_Salinas_v7:3:164386397:164390443:-1 gene:gene-LSAT_3X102160 transcript:rna-gnl|WGS:NBSK|LSAT_3X102160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGFEAMTFNIHGGYLEAIVRGHRSGLLTASDYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQATEPMSTFLEYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYRFCQKLGGATSEIMSDLLAFEADRRAVNITINSIGTELTREDRRKLYSTFGLLYPYGHEELAICEDIDQVRGVMEKYPPYQPIFSKLSYGESQMLDKAFYEEEVKRLCLSFEQQFHYGVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >cds-PLY85404.1 pep primary_assembly:Lsat_Salinas_v7:9:79510622:79511769:-1 gene:gene-LSAT_9X63460 transcript:rna-gnl|WGS:NBSK|LSAT_9X63460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPLQRSTSAIAPQRQPDQLQIHHFTHQHPVHKLFMAFEFTCDGCKTRGYGVRYRCSACNFDLHEHCATAAHRLQSHVHPHHQIVLVNRPGTSHFCDVCKGFTDGLSYTCQTCEFDVHTLCTQIPVATGVPKLKVDPCQQQQGGVQWAQPTTAFGGHHQNQPVVMVNQFQQGVPASTTAFVDYYGTNNGKPVQMMNHQQYHHQQQLHQQGVSTTAFGGYQGVNNNNNQAVMINQHHHHHQQLQQQQQQQAFGGYQNQQFGGYNNQPVMINQQQQQQQQQGNKSSTLSNVGKIAANVLMTSLIGVPINFNSRK >cds-PLY88425.1 pep primary_assembly:Lsat_Salinas_v7:8:87620603:87622292:-1 gene:gene-LSAT_8X63100 transcript:rna-gnl|WGS:NBSK|LSAT_8X63100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVESARRRRVHGGGVWCDSSSVVSSGFGSARFRVSHDTHLTSTSFLQRSMVNQSDEDSKLGGAAREAKERLDGRLRGHLNKEIRRKKSQERPSNVGRVSTTSVVVENLQIEVIGLKKSGLKRLSWGKTGLSWKSSNQEECVICLDNFKANEKVIHLPCTHRFHSNCLLPWLESNAQCPCCRTIVIGSN >cds-PLY74372.1 pep primary_assembly:Lsat_Salinas_v7:5:56519341:56522772:1 gene:gene-LSAT_5X26741 transcript:rna-gnl|WGS:NBSK|LSAT_5X26741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVCFHSVANPFVLFPQFNSNKCTWNPNSTTPIFIQNYSVNSRRVKSHHRFHLSIRVLEHGKGVLSPANDDTDISVESQSPDTSDGVLPELVDVAEEDHISAPKNLSPKRFAKKKEKDDDDEDGRFNLRNGREIFEEKAYLVGVARKDESKELFSIEESLKELAQLADTAGLMVVDSTYQKLSTPNPRTYIGSGKVSEIKTAINAHGVETVIFDDELSPGQLRNLEKAFGGNVRVCDRTALILDIFDQRAATREAALQVTLAQMEYQLPRLTKMWTHLERQSGGQVKGMGEKQIEVDKRILRTQIGVLKKELESVRKHRKQYRTRRLSVPVPVVSLVGYTNAGKSTLLNQLTGANVLAEDRLFATLDPTTRRVQMKNGKEFLLTDTVGFIQKLPTTLVAAFRATLEEISESSLLVHVIDISHPLVEQQIDAVEKVLSELDASSIPKLMVWNKVDRASNSEELKLKAKSMENTICISALNGTGLDEFCNAVQEKLKDSMVWVEALVPFDKGELLSTIHQVGMVQGTEYTENGTLVRGYVPLRYARLLTPMRQTFVSQSPSSEEEEEEEEEEEEEELSL >cds-PLY73378.1 pep primary_assembly:Lsat_Salinas_v7:7:62780824:62781090:1 gene:gene-LSAT_7X44860 transcript:rna-gnl|WGS:NBSK|LSAT_7X44860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQPTEAQVSTRLKTLEARMDTQEGKIDHLSTQIQEMKELMEKLLQKSPEQSGKCPEEGESSEIIIESATLHFSLFLRSNSQCLMAQI >cds-PLY70955.1 pep primary_assembly:Lsat_Salinas_v7:5:137796808:137808482:1 gene:gene-LSAT_5X59420 transcript:rna-gnl|WGS:NBSK|LSAT_5X59420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKICLCSTPLCTLIIAVIGIAISTVHGASPHRILLDTDVDTDDFFAILYLLKLNRSEFHFQAITVNTNSWTNAGHAVNQIYDILYMMGRDDIAVGVGGEGGISENGTILPNVGGYLPIIDQGRGTAGPCRYRQAIPIGSGGRLDIDANFGLRRSFLPQGRRRYSPLRQPTTQQVLIKTISEGPISVFTTGVHTNFALFLMSNPHLKKNIEHIYIMGGGVRSKNPTGCCPKNSSSSCQPQQCGDHGNMFTAFRSNPYAEFNFFSDPFAAYQVIHSGIPVTLVPLDATNTIPITQQFFEMFEKNQDTYEAQYIFKSLKMARDTWFDDQFFTSYFMWDSFMSGVATSSMRNTDKENLENEFAEMEYINITVITSNEPYGISDGSNALFDGHKIPKFDLRKNGVHSGHVQTGIHDPFCFRGNGTGICKDGYTEEISGPDSVRVRVATRAKPNRDNTSTLDREYYVGFLDVINRPQQRGRFNFTSEFPYYKEVFYKPDFNGKKLGKSVVFDMDMSVGDFLALIYLLKVPVEEINLKAILVTGTGWANAATIDVVYDVLHMMGRDDIAVGLGDSFDLNQFYPNHSNIGDCKYSEAIPHGSGGRLDSDTLYGLARDLPRSPRRYTAENSIDFGAPRNTDFPQLRQPLALEVWKSVVESIDHGSKITILTNGPLTNLANILLSDTNASSIIQEVFILGGHIEYMNNKEKGNVINIPSNEYAELNMFLDPLAAKTVFDSSLNITLIPLHMQRKLNAFPEIIKRLGKKNTTPEALFAQRLLKRLHSLQQKHHAYRNMDAFLGEILGAIIFSGDKKPLNSTFQVEHLRVIAEGRTQEDGQVIVDTRKTKGFKVLEDFNIVSCYNIFAEHLDSREQSAIIGSFDEQKRLWSSP >cds-PLY74006.1 pep primary_assembly:Lsat_Salinas_v7:1:32955568:32956804:-1 gene:gene-LSAT_1X28860 transcript:rna-gnl|WGS:NBSK|LSAT_1X28860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWTTNKLKERQSFEIEVGGFGVGNLIEQSSNLEREKNEDQVNENQDTRIEEYEEMYENFFNNVSTEKNDMEDIIFHCLSKFPEDNKTKEMIRKFGDIFSTILFSSREKSETIKERTEVKADRDEELNKTNISDSDDDKDEGMNTKLVAFLAVKPLQHKFLENEETQEEDQDMNVDDRINLGFENNIGEETIRHPHNPERKIEFEGINVDDKINLALEVNNIDETIEKKNLEENVESKNLVEGGEMIGGENIREGNIVEKVVGDNIGESSIVTPKHNPKGISIDFSPWSDSFIEKMDGDLLRIFSNRNPDSNTNQNPVVRSPLPKKLTFENSEFPSFDLQITQLMNNAESGDNYEGNDEDGELKGNEEHILDEKGKKG >cds-PLY81463.1 pep primary_assembly:Lsat_Salinas_v7:5:334800298:334801841:1 gene:gene-LSAT_5X188060 transcript:rna-gnl|WGS:NBSK|LSAT_5X188060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEISKVTLEIFSKLEQQWLSQRESSKKTRILSIDGGGTTGIPSGAALIHLEDQIQAKTGNSGSRIIDFFDIIAGTGIGALLAAMLNADDGNGRPRFTAREAVEFISDRNSELFKVKNVGVVHRRRMFSGKSMEKVLKEALTRDDGKVLTLKDTCKPLIVPCYDLNSSAPFVFSRADASQSASYNFDLWKVCRATSSDPSMLKPFHLTSVDGKTSCFAVDGGLVMNNPSAAVVTHVLHNKRDFPSLTGVEDLLVLSLGNGPLSNSPHPKVSGSGHCLNQCVVGIALDGVSETVDQILGNAFCWNHADYVRIQANGYLNGGVGQTVSMEKLLNERGVETLPFGGKRLMTETNGQRIETFVQRLVGSSLPPSPCKNTTVSQHVDGR >cds-PLY69916.1 pep primary_assembly:Lsat_Salinas_v7:4:68784877:68788013:-1 gene:gene-LSAT_4X47540 transcript:rna-gnl|WGS:NBSK|LSAT_4X47540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGELSLFLPVLILVGLLHGQICVVAGEPEVPCYFIFGDSLFDSGNNNGLKTTAKANYPPYGIDFSKGVSTGRFTNGRTVADIIGQLLGFVDFIPPYATITDKVITTGVNYASGSAGIREESGSHLGDRISLDRQLLNHVTTISRISALQSNKTFTAEYLKKCIYLSNIGSNDYINNYLIPNNYPTSNTYTVDQYATVLVQQYSQQLKTLYNLGARKIAVFGLGQVGCAPAEIAMFGTNGKPCVEWINDAVMLFNDRLKHLVEKLNSDYSDAKFTFINLKSISAPQGDVPVPSVPCCQVREDGQCVANSIPCPLRILSIFYDGFHPTEVANTLVATRSYIALLPTDASPYDISHLARL >cds-PLY80621.1 pep primary_assembly:Lsat_Salinas_v7:8:249440320:249443316:-1 gene:gene-LSAT_8X148361 transcript:rna-gnl|WGS:NBSK|LSAT_8X148361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAGTSSVTEEVHGDPNRHHTSNVGDSDKFAHIIGLTSLLLASKYEDFWHPQTNNDSTTGKVIELDPNKTLTMRRVVTKQTIGKELLYNFILFTNTMVEQGISHKKSVDPSNIMPFEALDGIEFARGDPNSTWASVRAAMGHPKPFDLKYVAIGNEDCWLKNYRAYPDIKMISNCDGSNGPLDHLADMYDFHAFVSEYTVTGNDAGSGSLLRALAEAGFLIGVEKNSDVVEMASYAPLFVNTNDRRWMPDAIVFYSSQSYGTPSYWMQHFFKESNGATLLKSTLQSNSSMSLEASAIVYRDTEDDKNYLRLKKIIGAPADTASPSSMQVVAGGGGGVALRCCTN >cds-PLY77383.1 pep primary_assembly:Lsat_Salinas_v7:7:9253220:9257492:1 gene:gene-LSAT_7X9801 transcript:rna-gnl|WGS:NBSK|LSAT_7X9801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIATLASNTLGILRHFRSSSSSSYSLDIKFHALTSIMDSININGSSSFSTSARSSRSGGGGRGSSMKESHGRSRGRGGGGQDRIDALGRLLTRILRHMASELKLNMRSDGYVKVEDLLRLNMKTFANVPITSHTIDDIKEAVRRDNKQRFSLLEENGELLIRANQGHTVTLVETESLLKPILSPEEFPVCVHGTYGKNLKSILETGLKRMQRLHVHFSSGLPTDGEVISGMRRDVNVLIFLDIRKAIEGGMKLYISDNKVILTEGFEGVVPVKYFERIESWPDRKLIPF >cds-PLY76906.1 pep primary_assembly:Lsat_Salinas_v7:6:29770186:29771417:1 gene:gene-LSAT_6X22900 transcript:rna-gnl|WGS:NBSK|LSAT_6X22900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGMMSGENRFNEEGKDASYLMEKATEAASDLYTIQDTYFPSNPNENFSKLQTQSQIDLNLLDSVPRGADNQEEIVAESIKHAKETIALDVKDGNSWNTWGMLV >cds-PLY64878.1 pep primary_assembly:Lsat_Salinas_v7:3:17423413:17424229:1 gene:gene-LSAT_3X12301 transcript:rna-gnl|WGS:NBSK|LSAT_3X12301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNERRGKKRKQPPSFSTTVPVEEAPPKHPLTVAKNPNPIFFIGSPEKRSRTRRMINSVESIRWSTVGRYRVDVASFESLALPELQVRLASSPISQ >cds-PLY80425.1 pep primary_assembly:Lsat_Salinas_v7:4:361415507:361416916:1 gene:gene-LSAT_4X177680 transcript:rna-gnl|WGS:NBSK|LSAT_4X177680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAENNTGILNSEQCPQFFKFYMPAHCSTQLRIPPAFLKYFGDSLPFKFNLITCSRNSWKVDMNKIDDDVYFKSGWEKFVQGNSLEFGDFLIFYYNGGSNFFVSISGKNNCLKENNNTESENQSTPIQETETETNTTTGNEQIEDSNFSFDIKIQPCYLRNQYMNLPRVFYEGVKEEYRSMAKLQHLGRTWDVKVVDCCDRIRFTDGWRVFAMENCLGVGDVCCFKLVDVKPEFYLLDVSFCREM >cds-PLY64358.1 pep primary_assembly:Lsat_Salinas_v7:4:25710413:25711222:1 gene:gene-LSAT_4X18360 transcript:rna-gnl|WGS:NBSK|LSAT_4X18360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESLSSKKRVRFDSDESEYNSVKAQRTRDDLLEVLDDSDSDLDSIMKSFENEISKLPESFADCDSVSGESRPDLGFLFEASDDELGLPPTELTSVESEKFGISVEVGEVWDQISSYDAFEYGFGYAGEDATVSAAYNGGEYVALDGLFDYTDVGFRCRNRNCHRSRKLCRLSE >cds-PLY65094.1 pep primary_assembly:Lsat_Salinas_v7:4:203605742:203608079:1 gene:gene-LSAT_4X115621 transcript:rna-gnl|WGS:NBSK|LSAT_4X115621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPWIGIYITSASLFCILLVVADLLHGFRNKKFWFPCKYFNLNAASLTAIAVAIKLPMDLTTLTPGYVDRAAKLGGLSFMCTMMANLLPSLATMSSKELVSNIIALAVLVITLVVNVCIQINTGVITYDEEDDFFFYNIDDAVVSQYYTYTYPAGNGFIATLYVVMLLTLLIIYACSSLAVLKSKQILESKYQVAHHKALKDDELQQPGILTIEKLKQHVSNYCIMAGTGNPQFMIASSATTSASGAICALSTTFHIIIMFFSIGRLRASKSDYKWSLLVILITQFIGVILGTVAPLSRCFASLSFKVSLKWIVNHVKVSNVESYWTQKLYDWKQSSIPFSYSSRKCKIVIQSLKNLFLSICIGFQKTVVVICKMIRVIPIFFVICVVYFLRCGKWLKAMFSASSIKLVQNTEQPRKDKHLSRYVLQLQDDMEFAERTLKQISKAVNRLIQKAEKQQPKNLLKLLTESRGFEGVEKFDNHHVPPLLSEEYLHCWSLPLVTLTTIAMSLPNIQKNIVDCLLSGVSEGLVYVTIVEENLNITDDHVRIQKAVKTLWVELEVYHKWLGNKLPKHAPKENTAGQILQWLRDTGKNIVNEVERTDIIGVPNGNYKCRSISGNSMYRITETILCSYHENIVQVSQEELFTELLSIIADILAACLTNLPQVIALKCHTRAIEKREASVHAAAQLLGETMQIINTLQDRELPSLNPNDMAFIDKWRSYLKDPCP >cds-PLY93313.1 pep primary_assembly:Lsat_Salinas_v7:4:300452507:300453874:-1 gene:gene-LSAT_4X150521 transcript:rna-gnl|WGS:NBSK|LSAT_4X150521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTPFFVTNFPPEANIKTLWEACDKVGQVVDVYVARKLSKLGKRFAFVRFIKVKDELLLERKLREIWMCSYHLFASFTRFNRDVSYKGVSIKKEQIASHNYVEQVKKMNVHAVSHSSSYASVLKGQGAVKPNKGEVCFIEDDGDAPLAVKRVCIKTGKPFLIQDMIKVVAQGVEYEVVVREIANWEPNIMKEGEIGSDIPDLLGGEEEAACFDDDVNEFINVENDDKVDEGHENSFRMDNTPTNGVRGAGFVDRKGRFFQTNNKRKGDGPVDGRSCMPNVAVTRGIAENKCNPMMPTTAMENGCELHGDSAHLVDIVDGDGVAESPSHPPGFR >cds-PLY84780.1 pep primary_assembly:Lsat_Salinas_v7:8:24911437:24912027:1 gene:gene-LSAT_8X18921 transcript:rna-gnl|WGS:NBSK|LSAT_8X18921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSRPLVHPFDVEVPQLREEAAVNNGDPHEDTVIMKDVQGMPGTSVGLALRLFQFAFAAVSVAVMAATSDFPSVTAFR >cds-PLY73247.1 pep primary_assembly:Lsat_Salinas_v7:4:262543475:262554333:1 gene:gene-LSAT_4X137061 transcript:rna-gnl|WGS:NBSK|LSAT_4X137061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKYPFLDPPENAAPGNRTSNGSTKTDEAESTMSMVAQFLEQLHGNMSSSHEKEVITTRLLAIAEARKEARGIIGSHSQAMPLFISILRNGNPLAKLNVAATLTALCKDEDLRLKVLLGGCIPPLLSLLKSESTEAKKAAAEAIYEVSSGGLSEDHVGMKIFVTEGVVPTLWEQLTSKNRQDKVVVGFVTGALRNLCGDKDGYWRVTLEAGGVDIIVGLLSSNNAAIQSNAASLLARLMLAFNNSMQKIMDSGAIEALLGLLNQKNDVPVRCSAADALEALSSRSAKAKEAIVDANGVAILIGAVVAPSKECMQGEGGQILQGHATRALANICGGMSALILHLGELAQSTSVADIIGALAYTLMIFQPNLEDEPLDATKIENILVALLKPRVNNFILEAMASLYGNDCLSTSLNQSEAKKVLIGLITMASSDVQQYLITSLISLCCDEVDIWEAIRKREGIQLLISFLGLSSEEHQEYAVWLLALLTDQIDDSKWAITAAGGIPPLVHLLETGSDKAREDAAYVLWNLCCHSEDICACVESAEAIPAFLWFLKTGGTKGQEASGKALRKLIRKADPATINQLLALLWGDTPKSKAHIIEVLGHVLTMASHYELVQKGSDAYKGLRSLVQILNSSNEETQEHAASVLADLFSIRQDICDSLATDEVVHPCMKLLTSNTQIIATQSARALGALSKNKMSYIAEGDVKPLIKLAKTSSSIDAAETAVSALANLLSDSQIASEALGEDVVSALTRVLGEGSLKGKKDASRALLQLLKHFPLSDVLTNSGEFQFAVLAVVDSMNDGTDAAEALEVVSLLAKTKQNVNTTYSPWSALAEVISRLQPLVCFLAEGPASVQDKAIEVLSRLCGEKPVVVGDLLVSNLRAIGALGNRILKSTSLEVRVGGISLLICGLKEHRMETMEALDASGYLKSVVYALVDIIKQSSGCYPLEVDVRTPRGLANRTGFHDGGEFDVLDPGALLGGTAGLWLLSILSSCGEENRRIVVEAGVLEVLLDKLEKYTLNSQAEFEDNEGIWISALLLSILFQDENVVSSSLTIRIIPFLANLVKSDEILDKFFSAQAMASLVCNRNIKVNLAIANSGAVAGLTTLIGYIEANMSDLFAISDEFSLSRNPDQIVLNNLFGIEEVRNGLTGSKTIPLLVDLLRPMPDRPCAPPFAVSLLTSIADGNDANKLLIAEAGALDALTKYLSLSPQDSTESAICELLRVLYSNPDLLRYKSAVYSLNQLIAVLRLGSRCTRLNAVRALNQLFNANDLRESESALHVIQPLIDMLNAASESEQEAALLALMKLISNDTTKAATVVDFKGNPLESLCRILSSSCRIGVKTHAAAFCSVLFGNSVIRALPVACTFIEPLILLMQSDDDSAVESGVCAFEKLLDDEQAVSVAADYDVIDLLVGLISGSNDALIEASISALIKLGKDQTLRKLDMVNSGVIDNCLALLPTASSGLCSSISELFRILTNSSAISKSASAAKIVEPLFMVLNRPDFSLWGQHSALQALVNVLEKPQSLVNHKITPSQVIQPLISYIQSPSQAIQQLGTELLSHLLAQEHFQQDITTQNAVVPLVQLAGIGILSLQQTAIKALESISVTWPNAVADAGGIFELAKVIIQDDPQPSHELWESAAIVLSNVLHFDSDYYFRVPLIVLVKMLNSNVESTISVALDALIVQEKSDESSATLMTEAGAIDALLDLLRSHQCEEASGRLLEALFNHVKVRAMKLCKHAIGPLAQYLLDPQTRSVPGKLLAVLALGDLAQHEGLARVGDSVSACQALVGLLEDQSTDEMKLVTICALQNFVMYSRTNRRAVAEAGGILIIQELLLSPNLEVAGQASLLIKFLFSNHTLQEYVSNELIRSLTGALDRELWATATINEEVLKTIHVIFSNFRKLHVSEAATLCIPHLLTALKSGNEAAQDAVLNTLCLLKRSWAVMPMETSKSQLMIASEAIPILQMMIKSCPPEFHERAESLLNCLPGCLTITVKRATNLKRVIGGTNAFCRLKIGQGPSHQTKVVSRNTSPEWKEGFTWAFDVPPKGQKLHIQCLSKNTFGKIDKVVSDGVYSGVFSLRHGHSSSNKEGSSSSRTLEIEITWSNKTSDDNITDEGPVL >cds-PLY89480.1 pep primary_assembly:Lsat_Salinas_v7:8:91846944:91847297:1 gene:gene-LSAT_8X64801 transcript:rna-gnl|WGS:NBSK|LSAT_8X64801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRMESVWGKDCLEFRPERWLHEEEGSGGNVVYRPENSFKFPVFHGGPRICLGKEMSYTQMKLVVAKIIDIFEVEVVPEMKNPPDYVLSLTMRMKDGLRVRVRKRRESNESLLDDLN >cds-PLY65905.1 pep primary_assembly:Lsat_Salinas_v7:4:138050482:138050853:-1 gene:gene-LSAT_4X86721 transcript:rna-gnl|WGS:NBSK|LSAT_4X86721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSDIGWTEVRRKRRPNNNHKKEVETTYFVTNVPRTATKREVWKTYAGFGRLSDVYMANNRGKNGEYFAFIRFLGVEHARMLERQLDGQTLRGRTLEVNLSLHERKEPPGCNNKNNSNDGKGH >cds-PLY65881.1 pep primary_assembly:Lsat_Salinas_v7:4:86109270:86109671:1 gene:gene-LSAT_4X56721 transcript:rna-gnl|WGS:NBSK|LSAT_4X56721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKNASLFVKMAAVLSLFLMLIVLSESRFTLIDGVQKAKSALVCSQVVGVEAGDDCTIISKEFGMSLASFLAINPNINCESVFVGQWVCIDGSA >cds-PLY98155.1 pep primary_assembly:Lsat_Salinas_v7:1:140560264:140565376:-1 gene:gene-LSAT_1X101861 transcript:rna-gnl|WGS:NBSK|LSAT_1X101861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHAETNSRKKSQCWNNALREVANLKGKDANGRFEVEFIDEVVKDIFRRLRISSRFPLPQLIGMEDSINFVTSWLKDVSSCTTDILNILGMGGIGKTSLSKYVYALHSHEFDTSSFIEDISRKCDEKYNGVLDVQKQLCDDISKPSSIQVLDDSIYTSMIENVVARKKVFLVLDDIGSLDQLDALLGSKGFHPGTKIIITTKDAWLTKSCSLFKTNFKPKYAQYKLEGLSKTESQKLLCYHAFMRNDPEAGYEEVSEKLVKYCDGHPMALKVLGRSLHNRDVTYWEGCIDTLKKENDSPINNVLKTSFDSLSSKNEKDLFKHIACIFVGMDRNYTITILEACDMETTTGITNLIDKCLLSIGWNNELMMHELVQEMGRFVGTENVLGLTLDMEMLEKEKLHGPIELKTDALSKMDRLMLLQLNYVQITGSYKNFPEELRWLCMHGFPLKSLPSDLPMENVVALDMSYSNIESFEICYHYPERLHKRPKQLIGSCSKDKCLLGSLKILNLSFCEELQSLLGFDHIPKLERLILKGCIGMLDVCESIEQCLELVFVDLSYCNKLGKLPSIIGMLKKVETLLLEGCNLGESRIEIVGMDSPEISKANKIGIYTITSSSTVLEAIPSYSKLFAISLPRYLVSLLLKNNNLSIESFPLDFSCLAMLKELNLDENPIVSLPSCVRSLPRLQTLGMKNCNMLMSVEHPPHTLTHLNLDSHSNNPLLQKVLFDQKMSPLQFFLGRMILALSSFEFEGMVKIQPMAAIDEKVLHCLGWTNLDFLNGSHTTTSVEYRESEESEIQVWSS >cds-PLY67773.1 pep primary_assembly:Lsat_Salinas_v7:9:165460634:165465129:1 gene:gene-LSAT_9X103361 transcript:rna-gnl|WGS:NBSK|LSAT_9X103361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREEGISSSGDPLLFRNSSPRPPTPAASSAGASSPAVATNAGSTDWLGHGHGQGQGSKAGSLSRIGSQPMWISLSASACGSVLGSSQPSCRPWERGDLLRRLSTFKPANWFGKPKAASSLACARRGWVNVDIDKIECESCGATLKYVAPDSWTPTEGENLGEEFANQLDEGHKVICPWRGNSCAESLVQFPPTPPSALIGGYKDRCDGLFQFLYLPIVASSTLDEMRVSRGPEIDRFLVQSYTFTPGESGCKADIASGSENNKEDTLCIYSRAQKLISLCGWEPIWVPNIQDCEEHSAQSARNGYSFKTPLVGPTPTSRSPLLDCSLCGATVRIFDFLTITRPSRFTPNTTDVPETSKKMTLTRGVSAASGINGWVADLDDVAATGEGKSMSNIGGVDLDLTMGGGFSISTKTILSEQYQDPNNIGKDLAIGQPSGSEVGDRAASYESRGPSTRKRNLDEGGSTVDRPPHVAMQADSVEGVVIDRDGDEVNDSKHKRVRESNVGPTQVDGPHQQQQNVGNSTRASSVIAMDTLYQNEDNDSMESVENHPGNIDIDIDIDNDNEDVSFNFKSPDLNENNNYSDLNLSNNQVQQSTCVGMVRGQGVSSTNDDDEVLNTENENRGREVHGGVSFGISGGSVGMGASHEAEIHGGVDASVHNRAESVVGDMEPVAEITENQGQNGEFAADFVPEENEMEQMSRADSGSKVVGSTKEESVESGENQKANNIINNNNNNNDNNINNNISLSCNAVLCSGFEASKDEVTQAGKSSPTDECGFTNGIGPPNGESNFEEGVEFDPIKHHNFFCPWVNGNVAAAGVVNTSTGGGGGLALCGWQLTLDALDGFQTLDTNQTVESESAASLYKDDRQISGRKLVGRHSHSRNHGQN >cds-PLY95515.1 pep primary_assembly:Lsat_Salinas_v7:4:143320355:143322555:-1 gene:gene-LSAT_4X88460 transcript:rna-gnl|WGS:NBSK|LSAT_4X88460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFRSFFCCTTRSDRKEKEKKQSTWRIFSLKELHAATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVFSNKAEMEFAVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLLSHLHGQHSSESLLDWSRRMTIAIGSAEGIVYLHNQATPHIIHRDVKASNVLLDSEFKAQVADFGFAKLIPDGATHVTTRVKGTLGYLAPEYAMLGKASESCDVYSFGILLLEIASGKKPIEKLNATTRRSITEWALPLVCEGKFSEIVDPKLKGEYVEQELKRVVVVGLICAHNQPEKRPTMIEVVELLKGEMKEKFVEIENDEMFRCGEARGCSDGASVADDSLDFVSEEKEGVQEIEKVVA >cds-PLY80452.1 pep primary_assembly:Lsat_Salinas_v7:4:176419565:176420618:1 gene:gene-LSAT_4X104440 transcript:rna-gnl|WGS:NBSK|LSAT_4X104440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNITTEPPTTAAVFGSLTFNDTELTLGLPGESRNSGVKRRFSDIIDLKLGGADQSDSECSVVTKSPPAKEQIVGWPPVRSYRKNMTMKSDYRYVKVAVDGAPYLRKLDLKLYTSYQQLLFAFQDLFSCFTIRNVLNEMKLMDPDNGIEYVPTYEDKDGDWMLVGDVPWNMFVESCKRIRLMKNSELLS >cds-PLY78272.1 pep primary_assembly:Lsat_Salinas_v7:1:129627527:129627852:1 gene:gene-LSAT_0X24541 transcript:rna-gnl|WGS:NBSK|LSAT_0X24541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTXPLVFETVLMLIRHQRAFPDILLKRTGTIRLIDWSCENSVHIVTNIRFTGRDTAEIGF >cds-PLY91069.1 pep primary_assembly:Lsat_Salinas_v7:5:171313049:171314258:1 gene:gene-LSAT_5X76161 transcript:rna-gnl|WGS:NBSK|LSAT_5X76161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDASGDGDVKVVLDFCPDDSLSKAGFADEVVNRIQKLRKKSALEPTDIVEVYFKSLDDDTSASAKNLKSQEAYIKEAICSPLLDSTLIPEHAVVIAEKTYRNISNCDFEITLTRQTLTFNDKAILDLYSGNAKYANALKVYLLSRDHFNLKTEFLVGINQIKVDCIEGLPDVDVVLGEQVFLTVGDYYSQATNNNS >cds-PLY99442.1 pep primary_assembly:Lsat_Salinas_v7:6:20322235:20323328:-1 gene:gene-LSAT_6X15501 transcript:rna-gnl|WGS:NBSK|LSAT_6X15501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFSISVQFFRNLKIPIRVKQGIKVIGSRELGIMSGLQTQDFTSTLRLTKAPKDATTGPESKPKKKICCACPDTKKLRDECVVEHGESACSKWIEAHRLCLRSEGFNHFEADPKVASH >cds-PLY85791.1 pep primary_assembly:Lsat_Salinas_v7:MU040901.1:24711:29659:1 gene:gene-LSAT_0X39281 transcript:rna-gnl|WGS:NBSK|LSAT_0X39281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNMTSESEERINSPNDEASSERNMIGNGTLKKGPWTSAEDAILVDYVNKNGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPDLKKGAFTPEEERRIIELHAKMGNKWARMAIELPGRTDNEIKNFWNTRTKRRQRAGLPIYPPDVCLQTLNDNTQSQNMGTFPNGDTSSSHPEILPNNNFQIPAVEFKNLGLSHELFAPSLLDNFLDIPGNTLLGSNFLFPISHPPKRIRRSDPSYPIYDGGLNDIFQYEDSSFSGSHAFLNGNTSSSDPISWATKMELPSLQYSSTQKMGSCGAPSSPLPSLESVDTLIQSALIGHQKSDNRNSGLLEAVLYESQTLKTSNSKNSNSNSNSNDAAAACSSDDDDWEAYGDPMSPLGHSAASVFSEYTPHTSLDEHHDQSLEAISVKQEAAAAAAADESGGQQLEREDNDMMMKYCRPDLLLDSPWFGGGGGSTSTSNKDHCGLLIDPLSALFPDDFCNVSSMPGPSSPFDV >cds-PLY89070.1 pep primary_assembly:Lsat_Salinas_v7:9:28189556:28191448:-1 gene:gene-LSAT_9X24661 transcript:rna-gnl|WGS:NBSK|LSAT_9X24661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVRITDYDVGGEDDDRVLEWEAGLPNADDLMPLSQSLVPVELASAFSITPEPQRSMIDVNRASQNTLSNLRGQTVKQTLNKFNGFKSFGDDKGDEMVAEGDETADLTGDCSDSRKLRRVDSGGTGAIGGAGEEADSALRADDSSARTSKRPRLVWTPQLHKRFVDVVAHLGVKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSSSDHLFASTPVPQSLHESSGGGNGNSHAPVPIPMPYPPQMVPMPYPPPQMVSHPGGAGGGAYHHGFDSHSHPYNMMMQQRDWSGSKFASVSPYQHRMTPNDK >cds-PLY64170.1 pep primary_assembly:Lsat_Salinas_v7:8:125666485:125670473:1 gene:gene-LSAT_8X87461 transcript:rna-gnl|WGS:NBSK|LSAT_8X87461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKSSGATRKADTKLAVKKTATKGKAAKDPKKPKRPASAFLVFIKQFKEDHPDNKSVAAVGKAGGAKWKSMSDSEKAPFQAKANKRKKEYEKNLDTYNKKLAGGGNDDDDEDSDKSKSEVNDEADEDESDDFFLLDLCCLFYDDSRPLIRIIGDRFPWQVKSAILSTLTIIIAKGGMALKPFLLQPQTTFVKFLQDNPRPVTFLLRELILEIAETTLSRSSNKAKRSAIFSPEDPMSEIELSDLSSLSSFASSCGSDGLGVIT >cds-PLY78309.1 pep primary_assembly:Lsat_Salinas_v7:2:181351175:181353363:1 gene:gene-LSAT_2X102821 transcript:rna-gnl|WGS:NBSK|LSAT_2X102821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLWNDKREREMYENFAELYAIIKATEKLEKAYVRDIIPSTEYELECQKLIAHFKTLSSTLKDTVPSIERFHNTYKMDCPAAMNRLIMSGVPATVEHRAATTASGGTSAAFVAECVQNFITAMDSLKLNMVAVDQVFPLLSDLSGSLNKLSILPPDFEGKVKMKEWLGRLAKMGAADELTEQQSRQLHFDLESSYNSFMAALPTDGT >cds-PLY86917.1 pep primary_assembly:Lsat_Salinas_v7:5:266611246:266614900:-1 gene:gene-LSAT_5X137160 transcript:rna-gnl|WGS:NBSK|LSAT_5X137160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSFITCYCDMIFTNVYVCGIRPTHHHQWQASSLSASHRSSNRGIVGGAMRRCSLISIRCRGSSDSDSGRGFGQREDPLPSSTTPTPAKNKASKASTKDRGKGAASPQRKSVSQQPGSIRNQAPATNSSMDETMKGISFDLEFEERLQAVRRSTLDQKKVEEKNVYGTIDYDAPIASEPSKIGLGTKIGVGVAVVVFGLVFALGDFLPSGSVDPNKDAIVSKNTLSPEEKEKLQERLQQYEATLAIAPDDPVAREGVAVILTELGEYTKAASMLEDLTKTKPSDPEAFRLLGEVKFEMKDYEGSAAAFRSSAMMSNKVDFDVLRGLTNALLAAKKPDEAVQILLTSRNNLEKEKANQADTQSQIDPIQIELLLGKAYSDWGHVSDAVSVYDQLISSHPDDFRGYLAKGIILKANGKFGDAERMFIQARFFAPEGAKAIVDRYSR >cds-PLY99284.1 pep primary_assembly:Lsat_Salinas_v7:3:93662776:93664701:-1 gene:gene-LSAT_3X70341 transcript:rna-gnl|WGS:NBSK|LSAT_3X70341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELEGFQKCEANYVPLSPVSFLERAAFVYEKASSVIYGDVTYTWKETYNRCIKLASALSIWGVNHGQVMRSTTIALFPKNKIGFVYGTIVQPSITSSSCPSSSWFHANQ >cds-PLY92034.1 pep primary_assembly:Lsat_Salinas_v7:8:239247840:239249743:-1 gene:gene-LSAT_8X142680 transcript:rna-gnl|WGS:NBSK|LSAT_8X142680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLHSLSLSLLLFPFFFFISMADQSNDTRYPSCLSYNCANLTISYPFWRLDSEAPTQFCGYEGFGINCSNNVPVVYFGSDSYYIPNINYESKSIVLVDYDVSPVVPAVVCPRVRHSIDWGDMPFNFWGQNVNLSFHFNCTGVPDFAREIPCLSTSTNKSCVNDLNYEPENFSWTVYSCDDEVVTTVFDVFSSTMALETEFSRALRQGFELKWGRMEDCQKCEESGGRCGHNNSTTELMCFCSGGAITMAHCKGWTAEEISPGGRAPVGRVGPIWIPPALLAFA >cds-PLY64228.1 pep primary_assembly:Lsat_Salinas_v7:7:2863227:2867137:1 gene:gene-LSAT_7X1780 transcript:rna-gnl|WGS:NBSK|LSAT_7X1780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHSAAVWDSRLALERTKDWNGIEQIVLRNPQGASARVSLHGGQVISWRNERGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNCDSLEQHGFARNKLWTVDDDPPPLPANDSHGKSFVDLLLKPSEEDLKFWPHSFEFRLRVGLGMDGNLSLTSRIRNVNGKPFSFSFAYHSYLSVSDISEVRIEGLETMDYFDNLFKRERFTEQGDAITFESEIDRVYLSSPNCVAVLDHERKRTYVLRKEGLPDVVVWNPWDKKSKAMTDLGDDEYKQMLCVDGAAIEKPITLKPGEEWTGRLEITVVPSSFCSEDL >cds-PLY90463.1 pep primary_assembly:Lsat_Salinas_v7:9:93944175:93947381:-1 gene:gene-LSAT_9X71421 transcript:rna-gnl|WGS:NBSK|LSAT_9X71421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHELMVVAPPPPPPPPPPPTSLAPGFRFHPTDEELVRYYLRRKVCGKPFRFQAVSEIDVYKSEPWELADFSPWNSRDLEWYFFSPVDRKYGNGCRLNRATGQGYWKATGKDRAVRHKSETIGMKKTLVFHSGRAPDGKRTNWVMHEYRLLDQELQRAGVAQDAFVLCRIFQKSGLGPPNGDRYAPFVEEEWNHDADADVDAALFVPEDETCVERNEIVENNPKTIPFVCKRERSQDSPSNSEPKLETFSLFHNKRLKPNDPNSNNANGSEDSTTTSQDPRATTTTTTLVEFPLLKETHHPTTPKSFDASTLEKSVPPGYLKFISNLENEILNVSMEKETLKIEVMRAQAMINILQSRIDDLSKENNELRKGV >cds-PLY62157.1 pep primary_assembly:Lsat_Salinas_v7:2:152746276:152748257:-1 gene:gene-LSAT_2X77840 transcript:rna-gnl|WGS:NBSK|LSAT_2X77840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKTVVSTIGSVAAATMVARSIGRDYLPSEFQDYLYFGFRSFINKFSTQLTMVIYEFEGLQENEIYIATELYLAARISPQIHRMKITKHTSEKNITVAMETNEEVTDVYNGVKFKWCLLSNKAPTREYYPNDGMIGTSRSDIRMLELTFHRKHKDLALNEYLPFILNDSKTMKQEVKSVKLFTVDPMMMHSNSPTMWAPVNLDHPSTFSTLAMDTDVKEKVMKDLDRFIQRREYYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLNFDIYDLELTDIRSNSELRKLLVGTANRSILVVEDIDCSVEFHDREGAEAARSFALQNQGWDYREPPKVTLSGFLNFIDGLWSSCGDERIIIFTTNRKEKLDPALLRPGRMDVHINMSYCTPCGFRLLASNYLGITEHNLFEEIEDLICKVEVTPAEVAEQLLKDGDPDIALSGLIDFFDVKCKENEEAKTKANKEELAKSNAKMEDEVALKNDEENTQIEMNEIHLISSNGFSGGPYPSL >cds-PLY84648.1 pep primary_assembly:Lsat_Salinas_v7:9:103552794:103553075:1 gene:gene-LSAT_9X75161 transcript:rna-gnl|WGS:NBSK|LSAT_9X75161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNSKSTIQNYRSFDNFYLNSKTCYGYPLEIKFGGYFEFNFGFRTRNGVRALKNGHDGNWWNFPMVNAMNISPPVATTILAPRKVKKALVEKK >cds-PLY83943.1 pep primary_assembly:Lsat_Salinas_v7:8:35788287:35790025:-1 gene:gene-LSAT_8X29100 transcript:rna-gnl|WGS:NBSK|LSAT_8X29100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATYWGDDSFPKAEIFVLIIIIKSTTLAFYPQQACINFQADMVIRSLFIDLIFGKRWAWGCQELGNGDVKNDVAWKHYILHTKVLCLPW >cds-PLY78039.1 pep primary_assembly:Lsat_Salinas_v7:7:193018790:193021295:-1 gene:gene-LSAT_7X114881 transcript:rna-gnl|WGS:NBSK|LSAT_7X114881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQCLEGVKHLFASLLHCCDLEAYRQLTALEDPEILARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSRVEDT >cds-PLY74646.1 pep primary_assembly:Lsat_Salinas_v7:7:41226020:41234228:1 gene:gene-LSAT_7X31941 transcript:rna-gnl|WGS:NBSK|LSAT_7X31941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFNPVDSYCRPVENGVWATAVDNEFGPYTPCATDSLVTGISHLVLLGICIYRIWVTKKNFKVQRFKLRSNLYNYFLGLLALYCTAEPLVRLIMGISAFNVDAETGLAPYEIVTLVIKTLAWCCVLIVLGVETVIYVCEVRWFMRFGIIYALLGDAVLFNLVLSVSQYYTRDVLYLYFSEVAIQVFFGVCLIVYLPTLDPYPGYTPIRVDPLDDGEYEELGGSEEICPERRTNIISSIFFSWMNPLMLLGYKRPLTEKDIWKLDTWDQTETLNSKFQIYWEEELRKPKPWLLRALHRSLGGRFWWGGFWKIGNDLSQFVGPLILNQLLLSMQERGPAQIGYIYAFTIFVGVVLGVLCEAQYFQNVMRVGYRLRSTLIAAVFRKTLRLTNESRRKIASGKIVNLMTTDSESLGALTQSLHTLWSAPFRIILALVLLYQQLGVASVLGLQRTDKRIGLMNEILAAMDTVKCYAWENSFQEKVQVVRTDELSWFRRVQMLGSLNTFFLNSIPVVVIVVSFGLFTLFGGDLTPARAFTSLSLFAVLRFPLFMLPNMVTQVVNANVSLKRLEDLLLAEERLLLPNPPLEPGLPAISIRNGFFSWDSKPDKPTLSNINLDIPIGSLVAIVGSTGEGKTSLVSAMLGELPPVSDSHVVMRGTVAYVPQVSWIFNATVRDNILFGSTFESGRYEKTIDVTALHHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVFVFDDPLSALDAHVGRQVFEKCIKEELKGKTRVLVTNQLHFLSQVDRILLVHEGMVKEEGTFEELSEHGALFQKLMENAGKMEEYVEEQEAIEETDTKVSKPVANGVNGESAKDDKKKGPKSVLIKQEERETGVISFNVLKRYKDALGGWFVVVILFGCYAATETLRILSSSWLSIWTDESTPKNYSPLFYNLIYALLSLGQVMVTLVNSFWLIVTSLHAARKLHNAMLGSILRAPMVFFHTNPLGRIINRFSKDLGDIDRNVAPFVNMFLGQVSQLLSTFVLIGLLSTMSLWAILPLLLVFYGAYLYYQSTAREVKRLDSITRSPVYAQFGEALNGLSTIRAYKAYDRMAKINGNSMDNNIRFTLVNMSANRWLAIRLETVGGLMIWLTATFAVMQNGKARNQEAFASTMGLLLSYALNITTLLTTVLRLASLAENSLNAVERVGTYIELPSEAPGVIEDNRPPPGWPSEGSIKFEDVVLRYRPELPPVLHGLTFLIPASDKVGIVGRTGAGKSSMLNALFRIVELERGRIVIDNCDIGKFGLTDLRKVLGIIPQAPVLFSGTVRFNLDPFNEHNDPDLWESLERAHLKDVIRRNPLGLDAEVSEAGENFSVGQRQLLSLSRALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMIIIAHRLNTIIDCDRILLLDAGQVVEYDAPGKLLQNEQTAFSKMVQSTGAANAQYLRTLAFEAEGDKAEKAAVAGQKRWLASTRWAAAAQFALSATLNSSQNDLVHMEFENDNNNILKRTKDAVVTLQGVLKGEHDKEIEESLERSQVPRERWWSALYKVVEGLSVMGKLGRNKLQLSEYGGFKDEAIDWDHVQM >cds-PLY77688.1 pep primary_assembly:Lsat_Salinas_v7:9:19344470:19346318:1 gene:gene-LSAT_9X14560 transcript:rna-gnl|WGS:NBSK|LSAT_9X14560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTADIPPNQTIYVKNLNEKVKKEELKRSLYALFSQYGRILDVVALKTTKLRGQAWVVFSEVTAASNAVRQMQNFPFYEKPMRIQYAKGKSDCIAKADNTFVPKDKRRKQEEKTEKKRDAPQATTNGARSDTNGAPAASYRNGRSNTEESTPPNNILFVQNLTHDTTEDMLQLLFKQFPGFKEVRMIDAKPGIAFVEFDDDNQSSTAMQSLQGFKITPQNSMAISYAKK >cds-PLY91157.1 pep primary_assembly:Lsat_Salinas_v7:4:161988721:161989852:-1 gene:gene-LSAT_4X96521 transcript:rna-gnl|WGS:NBSK|LSAT_4X96521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMHPIFSSHSLHSSTSTHRRRKNYTGVVLDLILVSTSLICFPLLIYTDPKRREEEEEGMIGGDGDVTTAPVDPPPPLDWKFSQHGGTRKNLVKTDYPSGRHPRFRYKTEFQSY >cds-PLY72369.1 pep primary_assembly:Lsat_Salinas_v7:5:6039810:6043513:1 gene:gene-LSAT_5X3021 transcript:rna-gnl|WGS:NBSK|LSAT_5X3021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazole glycerol phosphate synthase hisHF [Source:Projected from Arabidopsis thaliana (AT4G26900) UniProtKB/TrEMBL;Acc:A0A178UW68] MEAATFGSSQFTNISGSMVSRRRLLLKLHRNSITFKSPPKFSVRASAVGGDDSAVTLLDYGAGNVQSIRNAIRYLGLDIKDVETPEDILNAKRLIVPGVGAFAAMMDVLNNNGMAEALCTYIENDRPFLGICLGLQLLFESSEENGPVRGLGLIPGVVGRFDPLNGCRVPHIGWNALQIKKDSVILDDIANRHVYFVHSYRAVPSEENKDWVSSTCNYGIDFISSVRRGNVHAVQFHPEKSGDVGLSILRKFLLPNSSVAKKPLERKATKLAKRVIACLDVRTNDNGDLVVTKGDQYDVREQTKDNEVRNLGKPVELAGQYYLDGADEVSFLNITGFRDFPLGDLPMLQILKHTSENVFVPLTVGGGIRDFTDGNGRYYSSLEVASEYFRSGADKISIGSDAVYAAEEYLKTGVKTGKSSLEQISRVYGNQAVVVSIDPRRQYLTSEDEVGFKAVKLENLGPNGEEYAWYQCTVNGGREGRPIGAYELAKAVEELGAGEILLNCIDCDGQGKGFDIDLIKLISDAVSIPVIASSGAGTAQHFSEVFEKTNASAALAAGIFHRKEVPIESVKEHLLKQGIEVRM >cds-PLY91558.1 pep primary_assembly:Lsat_Salinas_v7:1:11167478:11170847:1 gene:gene-LSAT_1X9340 transcript:rna-gnl|WGS:NBSK|LSAT_1X9340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGLPYFDPEYESLSIRINPPRVSVDNHSCGECTLVKVDSVNKPGILLEVVQILADLDLIITKAYISSDGGWFMDVFHVTDQQGNKITDKMTIDYIEKALGPKGHTSDESKTWPAKRVGVHSMGDYTAIELVGRDRPGLLSEISAVIANLKFNVAAAEVWTHNTKIACVVYLNDDTTAGGVDDPTRLSAMEEQLKNILRVCGDDDKVAHTNFSMGLTHIDRRLHQMLFAEMDYEGKGLRDEADCAAFLELKISVDRCLEKGYSVVTVRCRDRPKLMFDIVCTLTDMKYVVFHATISSDTPYATQEYYIRHADGTPVNTEGEEERVVRCIKAAILRRVSEGLSLELCGKDRIGLLSEVTRVLRENGLSVSRAGVTTVGEQAVNIFYVRDASGSGNPVDMKTIERLRKEVGQTMMLNVKKPPSTLTSTSKRPQPTNKTSFSFGSLLERFLP >cds-PLY79421.1 pep primary_assembly:Lsat_Salinas_v7:3:78838757:78842668:-1 gene:gene-LSAT_3X60520 transcript:rna-gnl|WGS:NBSK|LSAT_3X60520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNFLFFSLALLIITLSPIPNFASATLDEANALLKWKESLEIPNNSLLSSWLPLSMNSSASFTCRSWFGVVCNADGSIQRLNLSSCGLHGTLHQFPFSLLHNLTHFDLGTNNFFGTIPPEIRLLSKLVYLHFSENKFFGIIPSSLGSLTSLKVLSLHDNQLYGPIPFELGDLKALNTLSISYNQLSGSIPSSLANLSNLQHMYLFKNKLSGPIPFELGDLKALNTLSISYNQLSGSIPSSLANLSNLQHMYLFKNKLSGPIPFELGNLKALSILDLSDNQLSGSIPSSLANLSNLQHMYLFKNKLSGPIPFELGNLKSIIILSISYNQLSGYIPLSLANLSNLDVLYLAENKLSGLIPTQLGNLKALTKLGLNDNQLSGSIPSSLANLSNLQHMHLFRNKLSGPIPFELGNLKSISILSISYNKLSGSIPSSLANLSNLQHMHLFRNKLSGPIPFELGNLKSISILSISYNKLSGSIPSSLANLSNLQHMHLFRNKLSGPIPFELGNLKSISILSISYNKLSGSIPSSLANLSNLQSLYLGVNKLYGSIPQGLRNLDLLDLSNNNFSGKLPEDLCYGGKLQRFTADSNQLTGPISRGLQNCLSLIRARFDQNQFIGDISDSFGIYPHLKYLDISHNNFHGELSQNWSKCKNLTALVMAYNNISGSIPPEFGNSTQLQRLDLSSNHLVGEIPKEFGKMKSMLNLSLANNQLLGIIPPQLGSLKLLEILDLSTNRLNGSIPRSISRWEHIHYLNLSNNKLTKKIPSEIGKLVQLTELDLSQNLLAEEIPSEVQSLKNLQKLNLSHNKLSGSIPNAFTSLPSGIDIDLSNNELTGPVPLCSNFVNASLHGNSGLCGNFTGLKFCASPILQKKNDPLHHQLILVIMLPLIGVILLGLFMCGLIAYRKQRRHYPQKPLDKEGGDYFSITSFDGGVVYDEILKATNNFDEAYSIGTGGYGTVYKAKLQPNNVLAVKKLHSSSENVDHNGFLNEIQALTNTRHRNIVKLYGYCSHARHSFLIYEYLEKGSLGSILRSDVLAKELDWLKRVNIVKAVANGLAYMHHDCSPPIIHRDISISNILLDSDYEAHISDFGTSKLLKLDSSNWTTIVGTYGYIAPELAYTMVATEKCDVYSFGIVVLEVIMGKHPGELPTLPADYLVLANVGDSRIPLPSPQVEKQVNLVLNLSRACLNSNPHERPTMRHVSNLLTKA >cds-PLY77719.1 pep primary_assembly:Lsat_Salinas_v7:9:20183075:20183302:-1 gene:gene-LSAT_9X18641 transcript:rna-gnl|WGS:NBSK|LSAT_9X18641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKMKNAYELTLNHDNTLSPTMKSQPIMFSGQTSNVGAMPMAARSSSAALDAAIEHEIRMGTLDPNMDKKAMRR >cds-PLY62532.1 pep primary_assembly:Lsat_Salinas_v7:5:295303038:295306288:1 gene:gene-LSAT_5X156020 transcript:rna-gnl|WGS:NBSK|LSAT_5X156020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPNQTLETQLENSNFPNEIEPTTQNSQTGKSSFPYYSPSPFHNSNAFKNSPANSNSSVSSTPLRIFKRPFPPPSPAKHIRSLLARRHGSVKPNEASIPEGNEFEVGLDKNFGYSKQLLSHYELGEEVGRGHFGYTCTAKGKKGSMKGHDVAVKVIPKSKMTTVIAIEDARREVKILKALTGHDNLVQFYDAYEDEDNVYIVMELCKGGELLDRILARGGKYSEEDAKAVMVQILRVTAYCHLQGVVHRDLKPENFLFTSKDEHSLLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPNFEEAPWPSLSSDAVDFVKRLLNKDYRKRLTASQALSNAFTK >cds-PLY62461.1 pep primary_assembly:Lsat_Salinas_v7:1:82528671:82529225:1 gene:gene-LSAT_1X71600 transcript:rna-gnl|WGS:NBSK|LSAT_1X71600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASANRCHPDDKAAILKFKNSFSNGPQLDQRLRLCACLRFLQSLRSSVMFINLSFNNVSGLIPSSLATLPNLIALDLNRNRLTGSIPDSFGHIIVSQDSQGLSLSLSHNMLTGEIPTSLLGIQTSIGLMYQGTTCQETLQCCLVHRSLDISRNNFEFDLSGVSFMTNELVELDISHDKIYGKIP >cds-PLY66907.1 pep primary_assembly:Lsat_Salinas_v7:7:18588397:18589347:1 gene:gene-LSAT_7X14880 transcript:rna-gnl|WGS:NBSK|LSAT_7X14880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENPTILSSNSNGERSSASNSPEFEFWMVRNPSSQQTTLHSADELFSGGILLPLQRLNSQNNDDPPDKEDIAVEPTNKPSISPNPDNILGSDLKTNISASKRWKDIFKKNPESKEEKKKKERNSGSSVVGGGGTSTAELNINLWPFSRSRSAGNSGSRPRTLVVNRKVSSAPCSRSNSTGDKYRKWPSSPGRGGVHLGRNSPVCQVKRLGQSRSLHDTLVRSTAEKVSRPIKKSASGGVTTGAGESRKVLNLNVPTCIGYRQRMGCRSDVIIDNDSGRNADVTSGSAGGEGGASSLFNLRSLFTKKVF >cds-PLY66789.1 pep primary_assembly:Lsat_Salinas_v7:3:65236384:65238448:1 gene:gene-LSAT_3X50760 transcript:rna-gnl|WGS:NBSK|LSAT_3X50760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNLSLSSTQSGYIIQNPKSPFILNPLLSSPPTIKFINPSSRKLSLKCQYSDQQQRPDASSYSQPREDAPKVFIGHSIYKGKAALTVEPRPPEFAPLDSGAFKLTKEGFVLLQFAPSAGVRQYDWSRKQVFSLSVTEIGSIISLGAKDSCEFFHDPFKGKSDEGRVRKVLKVEPLPDGSGHFFNLSVQNKLINMDESIYIPVTKAEFAILVSAFNFVVPYLLGWHTFVNSIKPQDSTRLSNGNPRSGADLEWSR >cds-PLY67666.1 pep primary_assembly:Lsat_Salinas_v7:4:4015776:4017782:-1 gene:gene-LSAT_4X3260 transcript:rna-gnl|WGS:NBSK|LSAT_4X3260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGKTVTSLVIFLVTIFITYSITTGGLGSGRFGTVDLKSQLSFFSIPKNDTALPCRSRSPLRVFMYDLPKRFNVGMMSSKFAGDDNSPLNANNLPMLPQYAGLHQQHSVEYWMMASLLYENATVDESSEAVRVSNPDAADVFFVPFFSSMSFNTHGKNMTDPDTEFDRQLQVDILKFLRESTYWQRTSGRDHVIPVHHPNAFRFLREEVNASILIVADFGRYSKSMANLHKDVVAPYMHVVKSFMDDDSPNPYKLRTTLLFFRGRTEGKVRAKLEKILKGYSDVHFEAGYATGEGINASTQGMRTSRFCLHPAGDTPSSNRLFDAIVSHCVPVIVSDHIELPFESELDYSKFSVFFSVKEALVPGYMVEELRKIPEERWVEMWGRLKEIAHHYEYEYPPKKDDAVNMVWKEVRSKVPAERLAVNRNRRLKVHDWW >cds-PLY99111.1 pep primary_assembly:Lsat_Salinas_v7:8:204702588:204703538:-1 gene:gene-LSAT_8X130660 transcript:rna-gnl|WGS:NBSK|LSAT_8X130660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSNMILKLLVDKRLQKVLYAEASKEFVDLLFHIFALPLGTLIKFPSSKQMVGCLGKLKESIETLNQTHLQPDHDKPSASKAKTLFMCSYAPGSIPFDGYGFCGLRHVSDHQDARCLHCGRLMNVPMTFVRWPSTEEAVVKETATYMVMDDLVVKPMSTITSITLINNYGVKDLSQLEEIALPFGKDEVHIPALD >cds-PLY69119.1 pep primary_assembly:Lsat_Salinas_v7:5:285952413:285956280:1 gene:gene-LSAT_5X152461 transcript:rna-gnl|WGS:NBSK|LSAT_5X152461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAMLMKVSCAVVLLVVYMGLLTPEVEAVTCGQVVGAVAPCLGYLRNGGTPPQPCCTGVRGLRNAARTTSDRKTICNCLKSASSSYRGVSGNYAASLPGKCGVNLPYKISPSTDCNRCYYIKKIMKNGIDVQWADGVMAMAICRKEKV >cds-PLY94551.1 pep primary_assembly:Lsat_Salinas_v7:2:156254939:156258599:1 gene:gene-LSAT_2X82441 transcript:rna-gnl|WGS:NBSK|LSAT_2X82441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFCSCGTMYADITSCIYFLMLIFPISNSVYFQVARFDSDAKEVVYIGDAVPSFGAVEFNSVVYCCRVGQVLYKQRVPLWDSNSGKLSDFVTHFSFATVLDPADYAGIEAALDNHIVSLCFTESPTNPFLRCVDIELVSKLCHAKGAIVCIDGTFATPVLHSATKYIGGQNDKAAY >cds-PLY80687.1 pep primary_assembly:Lsat_Salinas_v7:5:179880377:179880715:-1 gene:gene-LSAT_5X81581 transcript:rna-gnl|WGS:NBSK|LSAT_5X81581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEVAEKNIDESSFEDAQFWSTWVSSRSRTRKLPLMLEVLKIPSRIISTSSGNVEDAFWKPKKDKSRSRFLMSAKESLKVAILCVLSKWHRRLSFFWRHSTRILGSLWVSEA >cds-PLY87494.1 pep primary_assembly:Lsat_Salinas_v7:9:160350905:160351660:1 gene:gene-LSAT_9X100821 transcript:rna-gnl|WGS:NBSK|LSAT_9X100821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSHWLLFGLLVAGCSLAFASDPSPLQDFCVADQNSTVFVNGLVCKEARLVQADDFFYSGLQLMGNTSNAVESAVTPVTVEELPGLNTLGISMARIDFAPQGINPPHTHPRATEILTVMEGRILVGFVTSNPQNRLITKELQKGDVFVFPEGLIHFQKNVGNGYAVAIAALSSQNPGVITIANAVFGSNPDIAGDILAKAFQVDINVVYQIQSKF >cds-PLY84878.1 pep primary_assembly:Lsat_Salinas_v7:8:145071733:145073304:-1 gene:gene-LSAT_8X98340 transcript:rna-gnl|WGS:NBSK|LSAT_8X98340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSYTPVSITFSVPTSDHQPLTPLSSPTPLLQITKTLECRHIPTPSQVIHETKALFKLSSPIALTSFILYTRSIISMLFLGHLGDTELAAGALAIAVANITGYSVLSGLALGMEPLCSQAFGAHRHKLACLTLHRTVIFLLVVSIPITFLWINMSHILIYLHQDPSITRLACMYLFFSIPDLVSNSFIHPTRIYLRAQSIAYPVTIASLIGTIIQLPLNYILIWRCHLGLVGVATASSASNFVVLVVLVIYIWWTGLHLPTWSNPTRECLTGWQPLIRLATPSCISVCLEWWWYEIMTVLCGLLVDPRATVASMGVLIQITAFLYVFPSSLGLAVSTRVGNELGANRPDKARIAALVSIFLAGLMGLSAMIFATSMRKTWGKMFTNDSNILGLTSVALPIIGLCELGNNPQTVACGVVRGIARPTTAANVNLGAFYMIGMPVAIGLGFGLRVGFVGLWLGLLSAQVCCAGLMLYVVGRVDWEEEAKKAEMLTCNDGENRETPLYSPECIYGNEPVIHLVVMT >cds-PLY92860.1 pep primary_assembly:Lsat_Salinas_v7:5:329122970:329140277:-1 gene:gene-LSAT_5X181720 transcript:rna-gnl|WGS:NBSK|LSAT_5X181720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRLFNPLALMEENTHIFTELQKEYRRLWSIVLSPLRSDTGCEVNIQVFVYLIFFLRLEIKYKAFDVVGNGGVIDACKSKLLLKCLVLICSLSGPYNSLMLILALVNSDGLQKVKITKVQKENRLWSIVLSPLRSDTGLCGAHEVNMVKNGTIDPLKVIRTALVDATRYKKNIDYGLLYYLHTYQTQGKWHGLQNSGSEERYKSQHSRNDLECWLKTEEDCVLLYWRKIHICLLKFTSCQQMLGGTKRI >cds-PLY70712.1 pep primary_assembly:Lsat_Salinas_v7:8:168305555:168307030:1 gene:gene-LSAT_8X110560 transcript:rna-gnl|WGS:NBSK|LSAT_8X110560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSYPPLFSPVSVIGSQFMLPYQFDMIIDINSSGNLVITDTNHKIMLKVKPCDSSFHLQRVLLDVYDKPICMIREKIMSEHNRWNVFRGDSKSKSDIIFSTKTPGMIQSKTSVHVFLANKVSSKDVCDFKIKGSWSKKTCTVYIGDTSTTIAQMYKTQSWENGEYVKDKFMVTIYPNVDYAFVMTLIAIVEAMKSSNTDDVVEEVIGSLFP >cds-PLY87478.1 pep primary_assembly:Lsat_Salinas_v7:2:135769059:135770059:1 gene:gene-LSAT_2X64240 transcript:rna-gnl|WGS:NBSK|LSAT_2X64240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAMTQSHQFLLPNSFIFYPISPKNSFSLKTCCPYVHPNRFHSHKPIITCARSSNKGLFGSQRSRKTLIQFVRFAASNLHYVLPEPLNSVMREFGGGSGNGGSGGSWKGFGWGGFDGGGGRKRRVNRKSQFWFWGFATVCCLGFWALILGGELELKSDVLLGALCLSMLLVLLRNGCVPEWVLGFCSGAAVVGMSLHKKDELQRFVKGFKAMGISRRRQRGRRAL >cds-PLY62314.1 pep primary_assembly:Lsat_Salinas_v7:3:210932836:210934422:1 gene:gene-LSAT_3X124841 transcript:rna-gnl|WGS:NBSK|LSAT_3X124841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPTTTIKRSTSDGGTIILNKYQLTHLLGRGSFAKVYHGRSLSDSSSVAVKVIEKPATADPSMEPRLVREVAAMRRLNHPNILKLHEVLATKTKIYLVMELATGGELFTQLTLRGRMKEGTARLYFQQLVSTLHFCHQNGVAHRDLKPQNLLLDKNGNLKISDFGLSALPESKKDGLLHTACGTPAFTAPEIVRGKGYDGAKADAWSCGVILFNFLAGYLPFDDSNLPEMYRKIHQRDIHFPDWIPKHPRIIIQKLLDPKPKTRMSIEKLMSLPWFKKSLKPDPTLELYEENESIDLNSMKYKTSINAFDIISMSSGLNLSGIFEEKTTKKEQRFTSTAKAEEIEKTVAEVGERLGYRLKKSKDKENCSKRGDVVRLVKGRAIVVAKVMEVVPELLLVELSVVDGGDGFSEVECEALKVGFQDIILSWHSDVQ >cds-PLY99427.1 pep primary_assembly:Lsat_Salinas_v7:4:103128775:103129476:1 gene:gene-LSAT_4X65781 transcript:rna-gnl|WGS:NBSK|LSAT_4X65781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMHSTNSIQNLHIARYGRLGQIHNARQVFDGLREKNIVSLNSMVACYFQNNQPNKARNMFEQVPERSTVSWNGLISGYVKNMIVKEAREVFDKMPYRNVISWTAMIREYIQEGLVFEAETIYMKMPERNVVSYTVMFGGLIQDNQIDKARGAEYCSELFCKAE >cds-PLY88657.1 pep primary_assembly:Lsat_Salinas_v7:7:47437910:47452736:1 gene:gene-LSAT_7X34501 transcript:rna-gnl|WGS:NBSK|LSAT_7X34501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQERGSSNSLQKVLKVSFFYCDCTRVVITPTDVHGKAVGERISAQVPLAEAALINGVAKLEENLCQMPFYYSYEGKTGRSLPNMTRDHYNILDITPSLKKNWTVLVQVLEVGHVQETNNKSEYRRLLFTDSQGTRVTALIYEFHLTHFKNTFEPYRRYSVSNANLIKAQTRFLVSSYPHSWSLNKLTLVEPHPEIDPPVLPCHFEFTPFNQLYRFADEENYQSIRVVVVRCLPSEQGEDPSNRFSRRDIVIVNEEKKLMILTLWGSLDQKEGRELEQKIDDVPMIFAMRVKVTTFYGLSLSTKGASAILINPPVTAELQLKAWYNENRSEIQELLNKESYKDVDLLLPYPQEPDILPIATAIERLKNGKPTWMRGNLRLQHEDNTFTHTVCANCLKSIGADVTWIITCNFCKKESEVEIRSRAIVEINDGTASIRTSIASPDLEKFIPFTPQEVKDAEEKGTNIYNIISSSIDKSSVVAFVRSYTTSHQRKSTTRYVIVKAHKTKDNNLIEGSGAQETDLKPRPTVQEAMKAECYEQSRKDTQLQVQPLKEIAGSTDGAVTQIKETDQHKPEEKEIVTVTPDIILKPHEIKQEALKRASNQQTQKRSQSEFHPLKEVHGSTVGAPRPTKRTK >cds-PLY64894.1 pep primary_assembly:Lsat_Salinas_v7:1:165773985:165775825:1 gene:gene-LSAT_1X111141 transcript:rna-gnl|WGS:NBSK|LSAT_1X111141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKSSTTTTTTTTTRKEGHGLLLGRFEIGKLLGHGSFAKVYLARNVKTNESVAIKVIDKEKIMKGGLIAHIKREISILRRVRHPNIVQLFEVMATKGKIFFVMEYVKGGELFNKVAKGRLKEEVARKYFQQLISAVGFCHARGVFHRDLKPENILLDEDGDLKVSDFGLSAISEQIKGDGLFHTFCGTPAYVAPEVLGRKGYEAAKVDIWSCGIILFVLMAGYLPFHDQNIMVMYKKIYKGDFRCPRWFSPELTRLLRRLLDINPETRITIPEIMENKWFKKGFRHIKFYFDDDKLCSVKHSEIEDDIDYSSDQSSYSESESETETKRRLTSLARPASLNAFDLISFSRGFSLSGLFEDGVEESRFLTREPVSTIILKLEEIAKLVKFTVRKKDCRVSLEGSREGVKGPLTIAVEIFELTPLLSVVEVKKKAGDKGEYDDFCNKELRPRFQSLTVTGHSGEPSSHLPSDNELIKKDDQTGTV >cds-PLY82739.1 pep primary_assembly:Lsat_Salinas_v7:2:145573672:145576112:-1 gene:gene-LSAT_2X71861 transcript:rna-gnl|WGS:NBSK|LSAT_2X71861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MEEAAKLEHFMDWATTLGVSDSPDDNKNPNHLHSPCCLGKSLTISYFPNAGGRGLCAVRDLQKGQLILRVPKSALMTSQTLMMNDHKLSISISKFSLSSTQILTVALLNELGKGKCSSWYPYLTQFPSNYDILASFDQFEIQALQLDDAVWAAEKALEKTKMEWESAIVIMEELLFKPHYMSFKAWIWASASISSRTMHVPWDAAGCFCPIGDFFNYAAPEEEQVVSEDFRDDGGMGVDGEQMDGMSARLTDGVFEDEYDAYCFYARTNYKKGDQVLLSYGTYTNLELLEHYGFILNSNPNDKAYIPLPPDLHSLHSWPKDSLYIHHNGTPSFSLLASMRLWATPTHLQKSIRYIAYSGSSISTENEIIVMEWLVKKCNQVLKNLSTSIEEDELLLSVMEKEFESVMEVKNVLLGLTGESCEFVKVNGLVGNGIGGEMGLTKKTKRSLEKWKLAISWRVKYKRMLHDCILYCTKVINDLSE >cds-PLY97220.1 pep primary_assembly:Lsat_Salinas_v7:4:96358594:96359860:-1 gene:gene-LSAT_4X61981 transcript:rna-gnl|WGS:NBSK|LSAT_4X61981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAYNFILDWSVAVASLFYCHSVGKFTAPGTTRFVALFPVMFLFFYLPLNLYTMFLCGPTFFFISWLGSFKLVLYSFGKGPLSSHPPLPLSHFISSACLPIKVKRNQEDTSDQITKRPQRSIIDYAPRVFLLLIAIKAYDYKANLHPLLLTSIYSYYIFFWLELILAVAASLARTLVGVELEPQFDEPHQATSVQNFWGKRWNLMVSSILRPTVYHPSRAIFGRVVPERWVSVPAVFTTFVVSGIMHEIIFYYLGRLTPTWEVTWFFVIQGVWVGMEIVIKKTIGRQFKPQRVVSRVLTLVFVITTSFWLFFPPFMRLNPFARGCRELMAFAGLFKHGYLIRPDEYSCPYF >cds-PLY73250.1 pep primary_assembly:Lsat_Salinas_v7:4:6969805:6970275:1 gene:gene-LSAT_4X4281 transcript:rna-gnl|WGS:NBSK|LSAT_4X4281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESESASKKWVIAGIQFMTPLKPIYTKRETEKKHEDDDAAKECCTTPTSPESVIPCLLKCPGAPRKRKAISRSHCNDVRDYFKPPELESVFIRFVERA >cds-PLY64127.1 pep primary_assembly:Lsat_Salinas_v7:1:660812:661183:1 gene:gene-LSAT_1X480 transcript:rna-gnl|WGS:NBSK|LSAT_1X480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTSSSNCSSFFHTGGNNVEASRGRAAPSHQGCAKVDGVAMWLMNGVANAFFASLQRCSCIRIATVDDHEEDSNDLPLIFNDGNLRHHENTTTTWRKSHKPGKGRVTLLRNKYNKSTTTI >cds-PLY79249.1 pep primary_assembly:Lsat_Salinas_v7:9:183003002:183003531:1 gene:gene-LSAT_9X111721 transcript:rna-gnl|WGS:NBSK|LSAT_9X111721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFLMHAMKKQRPQNNYRSRSVGSTRSYHVLVGADATVDNSSHRRTRSEFQSPTNEFMEQRSGFGCIPQAKSYKRSSTTGLTPNSYQGSNP >cds-PLY64321.1 pep primary_assembly:Lsat_Salinas_v7:4:25352768:25353277:1 gene:gene-LSAT_4X17980 transcript:rna-gnl|WGS:NBSK|LSAT_4X17980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVLALDSTISSLRSEIGGSLDSKIKSILNVGKQMRFNGDMARTNKATIDILQVCFEARVWETLNDPIVVFSKQCGQLKQVKLCIFSI >cds-PLY73467.1 pep primary_assembly:Lsat_Salinas_v7:4:182179383:182185062:-1 gene:gene-LSAT_4X107200 transcript:rna-gnl|WGS:NBSK|LSAT_4X107200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKDEQRVLEDDEPEVKYRGIKAMPFIIGNETFEKLGAIGTLSNLLVYMTTVFNMKSITATTLLNVFNGTTNFSTLLGAFLCDTYFGRYKTLGFASIASFIGLLLIDLTAVFKGLHPPECGSKEGSQCVSATPLQWLFLLGGFTSLIIGAAGIRPCNLAFGADQFNPNTESGKRGINSFFNWYFFTLTFAQVVSVTLVVYIQSDVSWAIGLGIPAIFMFISCILFFGGTKMYVKVKPEGSPFTSMARVLVVAVKKRGLKMPQQPSVSLYNYTPLKSINSALPYSNQFSFLNKAAIITPEDKLNPNGSASDPWKLCSIQQVEEFKCVIKVLPIWASAITYFIAMTQQTQYVVFQALQSNRHVFNSSFQIPAASYTVFTMLTLVFFVPLYDRLIVPRLRSITGKEGGISLLQRIGFGISLTVVASLVSGLVEEKRRNLALTKPTLGYEPHRGEISSMSALWLIPQLSLAGFAESFTAIGLVEFYYKQFPENMRSVAGAFFFCGMAASSYLNSFLVTIIHHTTEGAATGNWLPEDLNKGRLDYFYFLITGLGILNLGYFLVCSRWYMYKEKSNTGMVEMEKKDEKSVV >cds-PLY95698.1 pep primary_assembly:Lsat_Salinas_v7:2:115966258:115971867:-1 gene:gene-LSAT_2X54221 transcript:rna-gnl|WGS:NBSK|LSAT_2X54221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPVVSSANGNVDEYITQLMQCKPLSEQEVRLLCEKAKEILMVESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNASVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHSNGLKLIARAHQLVMEGFNWGHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFDPAPRRGEPDVTRRTPDYFL >cds-PLY99046.1 pep primary_assembly:Lsat_Salinas_v7:6:150482657:150486457:-1 gene:gene-LSAT_6X90281 transcript:rna-gnl|WGS:NBSK|LSAT_6X90281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSSFLSCALFIYISLFLSFTSAEDPTVTYHFDISYITASPLGVPQQVIAINGKFPGPTINSTTNNNVVVNVRNKLDEDLLLTWAGVQQKRSSWQDGVLGTTCPIPPKWNWTYNFQVKDQIGSYFYFPSLNFQRASGGFGGFIINPRSVIPIPFDNPAGDITILIGDWYIRNHTALRHTLDAGHDLGMPDGVLINGKGPYRYNDTLVPDKIDHETINVEPGKTYRIRVSNVGVSTSLNFRIQNHNLLLAETEGSYTVQQNYTSLDIHVGQTYSFLVTMDQNASSDYYIVASARFVNESTWQRVTGVGVLHYSNSKGKASGPLPQPPQDQFDNTFSMNQARSIRWNVSASGARPNPQGSFRYGSINVTEVYILKNKAPVTINGKRRATLSGISFVNPTTPIRLADEFKVKGAYKLDFPTEPLTGPSRMETSVINGTYRGFMEVILQNNETKMHSYHVDGYAFFVVGMGYGEWTNDSRGQYNKWDSIARATTQVYPGAWTAVLISLDNVGIWNLRTENLDSWYLGQETYIRIVNPEKNNKTELPIPDNALFCGALSRMQKPQDISSGLKGHGSMVVVLSLSLIMAILSGFVV >cds-PLY87606.1 pep primary_assembly:Lsat_Salinas_v7:8:112529257:112530723:1 gene:gene-LSAT_8X78700 transcript:rna-gnl|WGS:NBSK|LSAT_8X78700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFNRTLIRHFHKQRPHKPTKALLRKRIPFVTDLKDIQSPDEALALFHDYCETGGFKHDYPSYSSLIYKLARRRNYEAVETLLQQLQHYNVKCKEALFIGLIQHYGKSGLPDKAIELFRKMPSFDCYRSLQSLNTILNTLLENGRFNDADEMFKSCSKMGFRPNAVTFNIMIKGWLAKGEWDEARKVFDEMHEREVEPTVVTYNCQIGFWSKRGKFSEAKTLFDEMIRKGKKPNAISYALLMECLCSQEKFKEAKKIMFDMEYQGCKTRLVNYGILMNDLAKRGNFNEAKSLLLEMKKRRIKPDVVMYNILINYLCKESKVGEAYKILVEMQVDGFEPNAATYRMIVDGFCRNGEFDEGLKVLNAMMMSNHCARVETFCCLVVGLIKNGKMEDGLFVMEEMVKRRMCPDLGSWEALVASTCGGDKNGHVIVTDLVSSS >cds-PLY71851.1 pep primary_assembly:Lsat_Salinas_v7:3:58267220:58268706:1 gene:gene-LSAT_3X45501 transcript:rna-gnl|WGS:NBSK|LSAT_3X45501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PSKB [Source:Projected from Arabidopsis thaliana (AT4G34850) UniProtKB/TrEMBL;Acc:A0A178UTW2] MTTKSSVGKANILALGKAFPHQLVMQDLLVEGYFKDTHCDDPELKQKLTRLCKTTCVKTRYVVMSQEILEKYPELALEGLPTVRQRLEIANKAVTQMAIEASQACIKKWARPISDITHLVYVSSSEARLPGGDIYLAKGLGLSPNTNRVLLYFSGCSGGVAGLRVAKDIAENNPGSRVLLATAETTIVGYKPPNVNRPYDLVGVALFGDGAGAMMIGSDPTGPESPLFELHTAIQNFLPDTEKVIDGRLSEEGISFRLDRDLPEIIEDNVKGFCDKLMGYYGPNEMAYNDLFWAVHPGGPAILNKLEKKLDLLPDKLNASRKALADYGNASSNTIVYVLEYLIEEGLKRKKEGKDGGPDLGLILAFGPGVTFEGILTKNLTV >cds-PLY70408.1 pep primary_assembly:Lsat_Salinas_v7:9:124070502:124073340:-1 gene:gene-LSAT_9X83300 transcript:rna-gnl|WGS:NBSK|LSAT_9X83300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELSIPPPGLIPLAMAFFTPNQVSDILFTWTDLQAKLNIELLNNLGNFINHVLSFIAKDPDSRGGRGSGYNSIILDAPSVIKHTRR >cds-PLY80295.1 pep primary_assembly:Lsat_Salinas_v7:3:209390379:209394172:-1 gene:gene-LSAT_3X123001 transcript:rna-gnl|WGS:NBSK|LSAT_3X123001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDQNYFVNLMDFINKPTLVETFVDIFFCAVPIWVAVMVGVVIGWAWTPRWTSLVFLGFRSKLRGFAWTAPPGFGARRLWLAFTALSAFSVGRRLWSSFREKERKDDQSSPRAVAGGESLPNAQYVDGSGVDIKCSIVNSGGDHDVVTEKDLEHLLHLLDGKDLAWQSMIERSTSNMACQAWRYEPETGPVVYRSRTVFEDATPELVRDFFWDDEFRPKWDPMLTYFKILEECPHTGTMIVHWIKKFPFFCSDREYIIGRRIWEDGKTSYCVTKGVPYPALRRRDKPRRVDHYFSSWVIRPVQSRKGDGVLSACEVILLHCEDMGIPRDVAKLGVRHGMWGTVKKLHGGFRAYQTARKQDEPRSRCALMATIATKITFDEAVSEEKSGGGDVNMGGGKVGGDGGVDWRWVAVGGAVAVVLGIRSGCLGRVLLVGAGAGQRFGRRR >cds-PLY95314.1 pep primary_assembly:Lsat_Salinas_v7:4:297922764:297924538:-1 gene:gene-LSAT_4X152601 transcript:rna-gnl|WGS:NBSK|LSAT_4X152601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSKILIIGGTGYIGKFVTEASAKEGHPTFILTRESTIKHPEKSKLLDNFKSLGAKLVIGDLHDHESLVKAIKEVDVVISTVGGESVADQVKIIAAIKEAGNVKRFLPSEFGTDVDHVTAVEPAKSIFKGKADIRRAVEAAGIPHTFVACNGFAGYFLPNIGQMDTYTAPREKVTILGDGSAKAVFVKEDDIALATIKAVDDPRTLNKILIFRPPGNTLSFNEIVSIWESKIGKTLEKTFVPEEQILKNIQEAPFGLSIMLSISHSVLVNGSATDFEIEPSFGVEASELYPDVKFTSIDDYLTPFV >cds-PLY98923.1 pep primary_assembly:Lsat_Salinas_v7:7:48205525:48205767:1 gene:gene-LSAT_7X35761 transcript:rna-gnl|WGS:NBSK|LSAT_7X35761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGSHPRGVVPVATCLTVKGAEDVLNKQFTFEISTRSDTMYFIADSKKEKEDWINSIGRSIVQHSRSVTDNEIVDYDSNR >cds-PLY64813.1 pep primary_assembly:Lsat_Salinas_v7:2:102853904:102854363:1 gene:gene-LSAT_2X44641 transcript:rna-gnl|WGS:NBSK|LSAT_2X44641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERASLLVLWFFISGLIMNYTVFSQDTYPRCHTVKDCLSYCRRRLGIPFCVRHHCFCLRISEEKAALAPSPIAKAFKTNGFTFQQEKDKP >cds-PLY67403.1 pep primary_assembly:Lsat_Salinas_v7:4:211050194:211050965:1 gene:gene-LSAT_4X118121 transcript:rna-gnl|WGS:NBSK|LSAT_4X118121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKISCIVLEYGWERSSTVDIVEAQSKYGFWGWNLWRQPIGFLVFLISSLAECERLPFDLPEAEEELVVGYQTKYSVLYFGGWNLSIPYIFVPEVFEITKRGRVFGTIIGIFITLAKTYLFLFIPIATRWTLPRLRMDQLLNLGWKFLLPISLDIHDMFPMVTEFMNYGQQIVRVARYIG >cds-PLY87827.1 pep primary_assembly:Lsat_Salinas_v7:2:66197253:66198768:1 gene:gene-LSAT_2X29441 transcript:rna-gnl|WGS:NBSK|LSAT_2X29441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMEATAKPQQPSLSLPWKTRIILSALAAITDASCRKNGTVNRRILSLVDFRCPPTSKSVNGVATHDVVVDEARNLWFRVYVPTQHAGENLPVMMFFHGGGFVLLSPDDPPYDAVCRRFARKVPCVVVSVNYRLAPEHRYPAQHDDCFDVLKFLDDEENRSKSLPENANLLRCFLAGDSAGANLAHHVAQRACEFKFERLKVTGVVAIQPFFGGEERTDSETRLAGTPLVSLKRTDWMWKAFLPEGEGFNRDHPIINVSGPKAVDLSEIKLPPVMVVVAGFDVLRDWQIRYYEWLKKSGKEVCIFEYPNMCHAFYIFPELPESGQLIDKVKDFIHKISSDVATL >cds-PLY81966.1 pep primary_assembly:Lsat_Salinas_v7:9:152907112:152910098:1 gene:gene-LSAT_9X97101 transcript:rna-gnl|WGS:NBSK|LSAT_9X97101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDAKEDSRSLALTPTCSIAIVMTIFVLVSLLVEESIRYLSNWLKKMKRKPMLAAVEKMKEGLMLLGFISLLLAVTSSSISNICIPSKFYDTAFSPCNKPDKNQENKDHSKQQLLNKLNHKICQKDYEPFVSDEGLEQLHRFIFIIAITHISYSCLTMLLAIVKIHQWRIWEDDAQMDQHDVLTELSRYETTKRQSAIVEFHMSNPLIRNGFLSWMVCFFRQFGSSVVRADYLMLRKAFIINHNLTSKFDFHSYMIRSMEEEFQRIVGLSGPLWGFVVAFMLFNVKGSNRYFWIALLPVTLVLIMGTKLQHVIATLALESAGITAYFTKTKLNPRDELFWFKKPGLLLSLLHFILFQWQFGYNSCFLNHHLIVYLRLILGFAGQFFCSYSTLPLYALVTQMGTNYKAALIPERIRETMNEWRKEARRRRRRRRRKFSFSMYGDDSPAISVEEFDHGELNSPRAATTRNHRLEIELQPPVLRQSASVSSSASPRFLSEIITRAYSLPSRNHSW >cds-PLY85104.1 pep primary_assembly:Lsat_Salinas_v7:1:45674422:45674631:1 gene:gene-LSAT_1X39841 transcript:rna-gnl|WGS:NBSK|LSAT_1X39841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSDGGVQVKEIDDFRERRSTQPHSPILFKYVDGHTSANRVCNSQDLSAKQCKLGSISDENMVTNNRW >cds-PLY83301.1 pep primary_assembly:Lsat_Salinas_v7:4:126926668:126933237:1 gene:gene-LSAT_4X80040 transcript:rna-gnl|WGS:NBSK|LSAT_4X80040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQSSIVKYTRLNHLHIPDKIRFNVLSYLETWERYQAERDGSNEYANYQPGSLITTDQLISDLDNYDIVFHIGGLPYASGYLSQWDQFTEQVEPISSVKPYMIASGNHERDFPNSGSFYDTLDSGGECGVPAETMYYVPADNRAKFWYATDYADSEHDWREGSEQYAWIEKCFASVDRQKQPWLIFATHRVLGYSSSSWLADEGAFEEPMGRANLQKLWQKYKVDIALYGHVNNYERTCPIYQNKCVNSEISRYSGTVNGTIHVVVGGGGSHLSSFTEINTFWSLYKDQDWGFVKLTAFNHSSLLFEYKKSSDGLLSSYFSWLGSVLYKHCANLFLLLFEIQHKLHELLKNYPSL >cds-PLY86058.1 pep primary_assembly:Lsat_Salinas_v7:3:195462915:195465467:1 gene:gene-LSAT_3X116381 transcript:rna-gnl|WGS:NBSK|LSAT_3X116381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTASIIFNNWKKKKAIAEHQFESKADAGASKTYPQQAGTIRKNGYIVIKNRACKVVEVSTSKTGKHGHAKCHFVAIEIFNRKKLDDIVPSSHNCDVHSVNRTNYQLIDMSEDGFV >cds-PLY85273.1 pep primary_assembly:Lsat_Salinas_v7:3:72018002:72021217:1 gene:gene-LSAT_3X54101 transcript:rna-gnl|WGS:NBSK|LSAT_3X54101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGMMSGENRFSEEGKDASDLMEKATQAANDLYTIRDTYFPSDPNEKFSKLQTQSQIALNLLDSVPPEQRKLPTQRATYEYLRGKILDVLPEYSKKAEDHLSKAVKLNPSLGDAWLCLGNCIWKKGDLPATRNCFTLALSKGPNKRILSQLSMLERRMAQGSADNQEEIVAESIKHAKEAIALDVKDGNSWYNLGNACLTCFFVTGAWDHSKLHQSLKAYQNAEKDESMKSNPDLYFNSATVNTYLENYERALSGFEAAASKDPCLNATEEVQKMGQIKAKRLASLTSSLAEVKLNPSHKRATIDNLLEGLNKTIAIVGKVIFFIKHDNITPLYYLVCDSNQLCFVLTVYGIKKDAIKEGDQVTLLDPYFHNNGFSWNEKRYEFRSVRVDFLEQVHVNGKPVPSQYSVRSSIYAQHKH >cds-PLY89390.1 pep primary_assembly:Lsat_Salinas_v7:4:122892008:122895202:-1 gene:gene-LSAT_4X79240 transcript:rna-gnl|WGS:NBSK|LSAT_4X79240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SUFE3 [Source:Projected from Arabidopsis thaliana (AT5G50210) UniProtKB/TrEMBL;Acc:A0A178UIT6] MDSATFGMACFNNAVINPKPTTRFPLPSFTFFKTVQFGLNSSSPMIHVTGNPRSTFACSAVAITPSLTSDHHASAISKLHSLASEFNSISESIDRVKRLLHYANLLPQFDDSLKVPANRVMGCTAQVWLDVRMDADGKMRFLADSDSEITKGFCSCLISVLDGATPEEVLGLKTEDLGDLNVAGLHGGKIDSRANTWHNVLISMQKRTKGLVAAREGKPIGDPFPSMVITAEGIGAKGSFAEAQARFLFPDEAKVEELTKLLKEKQIGVVAHFYMDPEVQGVLTSAQKHWPHISISDSLLMADSAVTMAKAGCKFIAVLGVDFMSENVRAILDQAGFPEVGVYRMSDEQIGCSLAEAASSPAYMDYLSGASSTSSPSLHVVYINTSLETKAHSHELVPTITCTSSNVVQTILQAFAEVPNIIVWYGPDSYMGANIVELFKQMTLMTDEEIAKIHPDHTRNSIKALLPRLHYFQDGTCLVHHMFGHEVVEKINDMYCDAFLTAHFEVPGEMFSLAMEAKRRGMGVVGSTQNILDFIKTRVQEALDRNVDDHLQFVLGTESGMLTSIVAAVRGLLGSPESSSLREGKVSVEIVFPVSSDSMTRTSSQTSSDLGDLSVIPGVASGEGCSLHGGCASCPYMKMNSLTSLVKVCNGLPLGKEGLRGYEAGRFSLLTPKGKLIADVGCEPILHMRHYQATKRLPEKLINQILGGAKIGKS >cds-PLY91442.1 pep primary_assembly:Lsat_Salinas_v7:7:133342921:133343807:-1 gene:gene-LSAT_7X80320 transcript:rna-gnl|WGS:NBSK|LSAT_7X80320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNQYDVSVQKPKDKSDPKQCQMSTEEETDYSQRGQWLRAAVLGANDGLVSVASLMIGVGAVKKDVKAMILSGFAGLVAGACSMAIGEFVSVYSQLDVEVAQIKRNQQEKEKEQLPNPIQAAVASALAFSLGAIIPLLAASFIVDHKVRLGVVVAAVSMALVVFGWAGSILGGTPVVKSCFRVLIGGLMAMAITFGLTKLIGSTAI >cds-PLY62840.1 pep primary_assembly:Lsat_Salinas_v7:4:31642578:31645213:-1 gene:gene-LSAT_4X20701 transcript:rna-gnl|WGS:NBSK|LSAT_4X20701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g68930 [Source:Projected from Arabidopsis thaliana (AT1G68930) UniProtKB/Swiss-Prot;Acc:Q9CAA8] MCISVSNSYCSLLKLCCETRNQLQAKKLHCFIIKTIVDIETFLLNNFISSYHKLGNITYARKVFDQIPQPNLFSWNTILSAYSKSGNLLEMEKIFHGMPKRDGVSWNSVISGYASFGSCDKALKAYKSMLKDGKSVNLNRITFSTMLSLSTNNGFLDLGKQIHAQIVSHGFQSYVFVGSPLVEMYSKAGIINDARQVFDELPERNIVMYNTMIINLLRSGMIEDSEQLFHLTPEKDSITWTTMITGFTENGLSKKAIDIFREMRKQGFNIDQFTIGSILKACGGTLSLKEGSQIHAYIIRTDIMDNVYVGSSLVDMYVKCKHLNYARTVFSKMKVKNIVSWTALIVGYGQNGHCEEAIRCCCEMQRNGIHPDDFTLGSVISSCGNLASLEEGEQFHCHAQVSGLISFITVSNSLVSFYGKCGTIEKSSQKFNEMKVKDEVSWTSLISGYSQFGEANKTIDLFEKMLTSQLKPDGVTFIGVLSACSRAGLVEKGKKYFKSMIQEHGIVPVSDHYSCMIDLLSRAGYIEEAKCFINDMPFSPDAFGLSTLLSSCRSHGNFEIGKWASKCLQELEPQNPASYVLLSEMYAAKGIWGEVANLKSEMRDKDIRKTPGFSWIKYKNKVHVFSADDRSSPYLDKIYDELEKLNIKMIEEGYVPDVRFALHNVEESEKIRMLNHHSEKLAIAFGLIFIPKGMTIRVVKNLRVCGDCHNATKLISKITKREILVRDLVRFHLFKDGKCSCGDFW >cds-PLY63851.1 pep primary_assembly:Lsat_Salinas_v7:2:85014733:85017931:1 gene:gene-LSAT_2X38141 transcript:rna-gnl|WGS:NBSK|LSAT_2X38141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFPVAFLFSSLVTFVITRTISASNETDYEALLQFKSMISNEEALSSWNASFHFCNWSGVSCGKRHRRVTALVLESQGLQGSLSPHVGNLSFLGVFSLKNNSIIGRIPHELGRLSRLRRLNLGSNGFNGVIPTNLSSCSNIELLALYDNKLVGSIPEEFRFLSKLTSILIDTNKLTGGIPSALGNITSLDTFSAADNPFGGSIPDTLGRLKSLRIFYCGNCSLSGTIPHSIYNLSLLTNISLANNQLTGRLPSALGAMLPHLLSLQLRDNQLTGSLPSSISNCSKLQHLEVSKNSFSGKLTINFATLKDINYINLGDNMYGFQEADDDMKFIDTLQNCSKLDRLDLRYCNFKGLLPESIGNLSNQLRFLNLRGNQLYGNLPSSIGNLDGLTILALDYNRFTGKIPSTIGKLKKLQIGDLSDNQFSGPIPDAIGNLSLLITLDLSTNRLEWHVPSSLGNCHQLSELYLDENNFSGNIPKQLLQLSSLNIALGLSQNNMSGSLPKEVGDLKMLTSLDLSDNRFSGNIPSSIGGCTSLLLLSLKGNLFQGSLPPSLGSLRGISTLDLSNNNLSGQIPPFLERLKVVEYVNLSFNDFEGEVPGIGVFANASAFSVLGNSKLCGGLAELGLPKCKEKRKHKKKFPLFVIIILIASPFFMVLCFVYVWCKKKKDQPSESSTDRRFIKVSYNQLLKATNSFSEANLIGKGGFSSVYKGILHHEDTLVAVKVIHLQNRGAHKSFISECEAWKSIRHRNLLKIITSCSSVDFQGNDFKALVYEFMPNGSLHDWLHLNASTSRLNLLQRISILIDVASALDYLHNHCLPAIVHCDLKPSNILLDDEMVAHVGDFGLARFLGTDSNQNSTSGIRGTIGYAAPEYGGGSDMASSGDVYSFGILLLEVITGKRPTDNIFTGGLNLHKFACMALLDHFTDVVADDLVHFLQEDAIATQCTSENAKKTEECLSSIVKIGVSCSVDSPPQRMNIENALHELQHILDTLQNI >cds-PLY81080.1 pep primary_assembly:Lsat_Salinas_v7:6:132603888:132604632:-1 gene:gene-LSAT_6X81300 transcript:rna-gnl|WGS:NBSK|LSAT_6X81300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTQWGRIRENLAIVGLNLPLDLTVVEDHLAKDPGDEICHQLQRVVDIEFCPTYGMLLKYELSNLHLPARFFCPTCPYVSQIEQKIKRKQCLIKKEIDPIITQDDMKNAPKTDQSQIMVTTRLHTSNFKQGRLMNP >cds-PLY75038.1 pep primary_assembly:Lsat_Salinas_v7:1:50342253:50343658:-1 gene:gene-LSAT_1X45540 transcript:rna-gnl|WGS:NBSK|LSAT_1X45540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSIEEFSHLKISLEAIKLATNNFGESSNIGRGGFGKVYKGELLLLGEKVMVAAKRLDRKFGQGTPEFWKEIMMLSRYRHENLVTLLGFCDEDGENILVYDYLPNQSLDKYLSSSNMSWIQRLNICIGAACGLEYLQNPDETTQRVLHRDIKSSNILLDKSWNAKISDFGLSKLGPANQEFTFVITHAVGTPGYCDPLYGDTGFLTKESDVYSFGVVLFEVLCGRLSVANYNDNRRFLPKLAQSCYEEKKLQTIVLDCLQEQIFPDCLEIFSRIAYQCLRRDRNERPLVAEIVKQLKDALKCQVFYPGFANTILFGSVLNPKKNMAGWKSHWSWKARASGLTKLGRHIHN >cds-PLY73305.1 pep primary_assembly:Lsat_Salinas_v7:MU043501.1:831176:831613:-1 gene:gene-LSAT_0X19100 transcript:rna-gnl|WGS:NBSK|LSAT_0X19100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFKCNMNLMLFFFSLATPEFMAPELYEEEYNELVDIYSFGMCLLEMVIFEYPYRECKNPAQIYKKAIKSGSLSKVGDYELKAFIEKCLVPATERSSAGKLLEDPFLKEATPRSLNFMAIEFHGD >cds-PLY67552.1 pep primary_assembly:Lsat_Salinas_v7:3:54983115:54984255:-1 gene:gene-LSAT_3X42520 transcript:rna-gnl|WGS:NBSK|LSAT_3X42520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 21 [Source:Projected from Arabidopsis thaliana (AT5G06920) UniProtKB/Swiss-Prot;Acc:Q9FL53] MGKVLFFIFATMLLAGITAIIIHSQHHHVRHHKDPPRDLVSNVTQLLRSNGFNFISTLLHISPDLFLSSRESTIFAIPDSAMSNLSIPPFMTIQLITYHISPSKLTIKDLLDKPLNTCITTTFQQQKISITKKDEKNRVLEINNVLITHPDMFLQGPVAIHGVSGPFASFKFHQQITQLPICNANQSDFSHGIVAAKVNLTKNKVEWGILVKFLNSSGFAPFAIGLHTVLDGVFKEHPNLKSLTIFAPPIIEPMDMPEPLLQKFVKSHIVPKKHSFKHLASMRQGASLKTLCPGTEIQITETVKNPSEELLSINRVEITSPDLFSSKSFVVHGIARAFPMYEVSTVSQ >cds-PLY63954.1 pep primary_assembly:Lsat_Salinas_v7:4:76542191:76545742:1 gene:gene-LSAT_4X51680 transcript:rna-gnl|WGS:NBSK|LSAT_4X51680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMCGLIDLNTINDDTDEVAGSLVLDSPSSSDSYASPASELSLLSSSPVLCSLELWHACAGPLISLPKKGNAVVYFPQGHLEQLRIYDSPAISGVHLPPHVFCRVTDVKLHAELGSDDVYAQVSLIPDVQLEKKWGETEVEEDDNGGLDEKPSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELVAKDLHGTEWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVCGDAVLFLRGDDGVLRLGIRRSAQVKTAPCFPATYRHQLNDFTTVVNSISQRTVFNVSYNPTASFTGGVSEFILPYHRFLKSLLPNKFSPGMRFNLRFETEDAGERKSTGMITGISDVDPVKWPGSKWKCLMVRWDGVEATTRQNRVSPWEIERSNLVSGADSNLSPMAKRIKTSFPPIFHVPKDVASGGGASDFEKSMRFQKVLQGQEIFGYNHISSLRNNLSNLIGIGYSNPFQFNEVLQGQEIFSKPQFGHSPIPPYKNAIPQHPFSNPIHISHNLKAPNFPRPYFGHHNSHNQHAPSEIGQQESVSKCKDSCRLFGFSLTEGLTQPATSYVKKGSLLVDAEEHVNSKRHHEQTEAWGAFSMAGIGNVVEF >cds-PLY98705.1 pep primary_assembly:Lsat_Salinas_v7:8:9090033:9090392:1 gene:gene-LSAT_8X6981 transcript:rna-gnl|WGS:NBSK|LSAT_8X6981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative non-specific lipid-transfer protein 14 [Source:Projected from Arabidopsis thaliana (AT5G62065) UniProtKB/Swiss-Prot;Acc:Q9FIT2] MGGVKVVVRGGLLVALTVVLWVATKSSATVDCVTVTTLVSTCSAFVQYGTPDPYPGSPCCDAVASLNNLGDSEENRRSLCMCLMGVITTYNPNATAIATLPGFCGVSLGFTIDPNTDCN >cds-PLY85293.1 pep primary_assembly:Lsat_Salinas_v7:3:71625656:71627401:-1 gene:gene-LSAT_3X54540 transcript:rna-gnl|WGS:NBSK|LSAT_3X54540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLGAEKKWLFTLFTAAIISLLLFISSIFGFSASYASHKSFSSTVHRGTSHPPSFSYYISGTGGDADRIFRLLLAVYHPRNRYLLHIGTEGSVDERKRLAELVKSVPVIRAFDNVHLIQNPDPTTEMGASNIAAILHAAAILLKNGGDWDWFITLSASDYPLLTQDDLFHAFSSISRDANFIDHTSDLGWKQDQRIQPIVVDPGIYLARRTQIFRATEKRPMPDAFKVFTGRSPWVILSRSFLEYCVFAWDNLPRTLLMYVNNIVLAQEVYFHTVICNSPEFKNTTINNDLRFMAWDKPRKMEPMFLKNSNYKNMVESGAAFARRFDENDAVLDMIDSNILTRKSGRVAPGSWCTGHRNWFMDPCSHWGDVNVLKPSHEGKKFDQLIKKMVDDSSLELNQCK >cds-PLY87297.1 pep primary_assembly:Lsat_Salinas_v7:4:331820782:331824187:1 gene:gene-LSAT_4X164600 transcript:rna-gnl|WGS:NBSK|LSAT_4X164600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIPPLLDPLSLSQFRSATLFHHHPLSLISPPPSFIYTHPSSRLLPVSRRPTFTVAGAATLTGNSVPPRNGVLIVGDFMTTKEELHVVKPTTTVDEALEALVEHRITGFPVIDDNWKLVGLVSDYDLLALDSVSGPARPDTGMFPEVDSTWKTFNEVQNLLSKTDGKLVGDLMTPAPLVVRENTNLEDAARLLLETKYRRLPVVDGEGKLVGIITRGNVVRAALQIKKASEMKT >cds-PLY78616.1 pep primary_assembly:Lsat_Salinas_v7:4:152087094:152089129:-1 gene:gene-LSAT_4X93521 transcript:rna-gnl|WGS:NBSK|LSAT_4X93521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAVPQSTTKISINDPISTLKFLVAHIPGALFFDVDGISDRTTNLPHMLPSEEAFVAAVSALGIENKDGVVVYDGKGIFSAARVWW >cds-PLY79667.1 pep primary_assembly:Lsat_Salinas_v7:5:253416694:253419987:1 gene:gene-LSAT_5X128901 transcript:rna-gnl|WGS:NBSK|LSAT_5X128901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLFCLAFLSSCFLLQAKIIDGTSIGAIFDQTSRPGKEAKVAIEIAIQDFNIKTNQTSVLYLQNSRNKPGQAAIAAKHLIDEHKVKAILGGHTWEEASAIAEVISDCNHDFPVFLSLAGTAPPQVPHQWPFFVQAVPTESTQMKAVAAVLQSLGMRKVTLIYETLPLASSSASIISHLSQAFRQTGSELIHILPLASGSSFLDEELDVLKRQQRKVFVIHTSMELGIRLFQTAKKMEMTGDGYLWIATNGITDLFHSINSTMITSLKGMVGVKSYFPENTPEFQDFRKKFRHKFRSDYPEEEKDEPGIFAVQGYNAVELLEKNSPENFGHWRSIPATTVEIVNVIGKGYHSVYWTEGSGFSETIEEDINGATTYTHSIDNVGQALWPGQPWYANSRRRNLAESSENRIRVGVPGRSLFNQFVKVDVNTGDITGFVVSVFEEVMRKMNQSFDYIPFYGSYDQLIQQIPNKNFDAIAGDVTILSDRHEFVDFTQPYTESGLEMIVPVRSRVSNQAWLFLKPFTPTMWWLIAGITLYNGFIIWLIEKNYVKDLRGSIINQVGVIIWLSFSTLFTLRGDKLHSNLSRMAAVVWLFVALIITQSYTASLASMLTAERLEPTISSVEMLRNMNATVGYCNGSFVDRYLTGVLGFPNVKTNSYNSTHQYAEALNSGEIAAIFLEVPATKVFLAQYCRSFIRTGETFKVGGFGFAFPKEYDRLSEANEALMNVAESGRLKELEEVHIISEKCIDEDSAPNEEDSLSARSFWVLFVLTVGVSTVALVIYIIIRIKEVKKSDEENKGFLELISTFFKDLKRQMKRSSSIVVNVESARHASHGDRSAQDDIDDIQETDDDSIFYEVRI >cds-PLY85075.1 pep primary_assembly:Lsat_Salinas_v7:7:6198562:6199555:1 gene:gene-LSAT_7X5520 transcript:rna-gnl|WGS:NBSK|LSAT_7X5520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATVVSKEMPPETVAKVTGFFMSVGGGSVVPEKYEGERVHPDLIRSLLKVQHIHRGRLTCILTVKPYVCNAYNTLHGGAVGSIAEIVAIACARTVVHKDKELFLGELSVSYLAAAVNQAEVMIDASVVRSGRNLTVVAIEFKLKDKEILTYLCRATFYNMPVANL >cds-PLY95774.1 pep primary_assembly:Lsat_Salinas_v7:3:27932653:27933066:1 gene:gene-LSAT_3X20421 transcript:rna-gnl|WGS:NBSK|LSAT_3X20421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKFGITTSRKKWINEEVEIKVNSEVFSVGVVEYIDDWSPFKSFPFDKVVGEWDSKISEGEDEDDGVSETWMQEDDNEIEEGEFRLDATPKMQPEKSRSHEKTVKSPVNLGNIIAASIESMKGVSQRKEPVNDNRND >cds-PLY83497.1 pep primary_assembly:Lsat_Salinas_v7:8:116029772:116030526:-1 gene:gene-LSAT_8X80520 transcript:rna-gnl|WGS:NBSK|LSAT_8X80520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHVDDCSNKDQGVDLVKVLDDSGSERNQWFNGRRGSSGEGDGRVRVMEVRNQQESRKNGLERALGWIRVPNGAGGAEKNVHLQSTSK >cds-PLY70166.1 pep primary_assembly:Lsat_Salinas_v7:9:1121873:1122757:-1 gene:gene-LSAT_9X4400 transcript:rna-gnl|WGS:NBSK|LSAT_9X4400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSANQRVRLSTSISGGSGSHESDFFNERVLLLVMESMKWDVQVLCRTASVCRRLRAMAKRLLWRELCLFRAPRITETLSNGVHSSRMGGGWPALAKLLFFCGDCEPSRNFVLSRPKPGHFVTETRFSKTSGRSFLMKRCRGDLLFVSDPCEHRVGDREDDLGVFRGVFRGFRESRTRACLIARQAALEERERCPYCGARVWSMTTAGLVPRNAAKRLGSHDGALEYFVCVNGHLHGTCWLAPLSSDEGVNGEDEEEYAMDGSDGTGNSSGGVTDGYYYDDPTVNTNGFGDDGFI >cds-PLY87611.1 pep primary_assembly:Lsat_Salinas_v7:8:111441056:111443339:-1 gene:gene-LSAT_8X77780 transcript:rna-gnl|WGS:NBSK|LSAT_8X77780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPGLSFYTTHKDLKRLFSPFGAITEARLVVDSRTQRPKGFGFVSFESDVDAQNAMKAMNGKIVNGGIIFVEVAKTQTPQDDNDTLR >cds-PLY79146.1 pep primary_assembly:Lsat_Salinas_v7:9:90086588:90090316:-1 gene:gene-LSAT_9X69640 transcript:rna-gnl|WGS:NBSK|LSAT_9X69640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10420) UniProtKB/TrEMBL;Acc:F4J3R7] MSALNSHFVLVDLNTSWQSINQIPASTFAYLQTSKIPSSFSAAFRRTRGGTGRISSTRSSSVPPIRSPEVRKPRDRSSLGNGFLYPSSNPTSTSQSQVGSELDLFLELVPLRMRNKLFEHSEIGNMIEVVMDLGRQPLARFPSGDWVISDEPVNLEDLRHAISKIGEFSDDNRSGIDHSLHRISAIRNRKRQIIGLTCRVGRAVSGSAEIIRDLIEGGGSILVIGPPGVGKTTLIREIARMLADERKKRVVIIDTSNEIGGDGDVPHSGIGRARRMQVPNVNMQHNVMIEAVENHMPQTIIIDEIGTELEAMAASTIAQRGVQLVATAHGVTIESIIKNPSLQILVGDIESVTLGDDEARKRKVQKTILERKGPPTFSCAVEMISRTECCVHHRLDATVDAILAGRPPLYEIRRMADESERSKDVSDLPKEATMVEISYKEDVLDAEDEHLSPDFEKSRRYEDKKIRKQENSRISTPKLNKSDNTDRVFQDIKDDVESDGEEEDRSSNIRKLNSKPQSRTKTKTPVYVYTYKILEADLQQVAMVMGLTEEIDVTDDISNADAILASSYEMKENPWIRSVAKFHQLPVFVIKSTTMAQMVKAIRMILGRDLFGAKLKQLKKNSVDIEIEDDVPRRKPSLEEIDALEEVRLAIEYIVIPSGEPVELLPRCSEIIAQQLELVKSYQLAVENSGTDLNPRLQILPQKLNKKSGKNSKTSAGFIAPTGGVAGTSVARLPLLPE >cds-PLY99232.1 pep primary_assembly:Lsat_Salinas_v7:6:185897079:185898354:-1 gene:gene-LSAT_6X114100 transcript:rna-gnl|WGS:NBSK|LSAT_6X114100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIQQSITNQTQVSIKLASHLLSKISVNSNTVFSPLSIHVLLSLVAAGSNGETLDQFLTFLKTNSLDDLNSLSSHIVSSIFADGSPSGGPCLSLANGVWVEQTLSLKPSFKQVVDIVYNAASNQVDFRTKAIEVVDEVNLWAEKQTGGLIKKLLPSDAVDNTTKLVLANAVYFKGAWKAKFDPSETKDHDFHLIDGSKVQVPFMTTMKKQFVGSFDGFKVCSLPYLQGEDKRRFSMYLFLPDEKDGIPSLLQKMGSESDFLDRHFPRRKVIGQFLVPKFKISYGFEASEMLKELGLVLPFTGGGMTGMVEASSSMGRNLCVSSIHHKAFVEVNEEGTEAAAATVGGMMLMSLITYEKVDFVADHPFLFVIREDESGVVLFIGQVVDPRDS >cds-PLY67670.1 pep primary_assembly:Lsat_Salinas_v7:4:4140078:4141523:1 gene:gene-LSAT_4X3081 transcript:rna-gnl|WGS:NBSK|LSAT_4X3081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTITMTMNRYGRKQTVLMFPWLGHGHISPFLELAKKLSNTNLFDIYLCSTPANLKSIKKSPVIHQIKLHLPELPELPSDLHTTNGLPPHLMPVLKKAFDMASDEFSYILETLKPDLLIYDLIQHWAPVAASALSIPSVVFITTSVTMASTMFHLYLKSSSGIPFPFSEIYFRKNEYSHVSEILESSANNRKDKDRVMECVGLSSSIVLVKSFKEIEGKYSDYLSLLTDKKIVPVGPLVVEPPPSVNVKENSVMQWLDTKAIGSTVFVSFGSEYFLSEDELKEIAYGLEMSNVNFIWVLRFPKVEKKLSLSEALPLGFLRRVKDRGLVIEGWAPQAKILCHKNIGGFVSHCGWSSTMEAMKFGVPIIAMPMHLDQPVNARLVAEVGVGVEVVRDGNGRLEREKMAGVVRHVVVSKLGKVVREKAKKISVDLMLKGEEEIDAAVVELLQLCRLGRRLRGVGKIEKTKSESFAIGSYCVVKGEV >cds-PLY70779.1 pep primary_assembly:Lsat_Salinas_v7:3:136876074:136878136:1 gene:gene-LSAT_3X91300 transcript:rna-gnl|WGS:NBSK|LSAT_3X91300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSAFAMVCGIYICSICLKQMNLQNKNKFLFFDDFERPCSDTIVDRSQIPFLHYPKPKTFNRSECSGNPVKLFAIVSMQRSGSGWFETLLNSHVNVSSNGEIFGPKSRRNNVSSIIQTLDRVYNLDWFTSSSKNECSAAIGFKWMLNQGLMQHPKEISEYFNDRGVNVIFLLRRNMLRRLVSMLANAFDKDAKLLNGVHVSHVHSPEEASLLSKYKPNINITSLKSDLRGMESTSMKALNYFNNTRHIIIYYEDLIRDPSQKMMQVEDFLKLPRMNLTSQQVKIHKGALSEHIKNWDEVNKTLSGTAYEKFLQADY >cds-PLY93497.1 pep primary_assembly:Lsat_Salinas_v7:9:87161073:87163781:-1 gene:gene-LSAT_9X68641 transcript:rna-gnl|WGS:NBSK|LSAT_9X68641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAGANLFTGFTRLCKGLAVVLVSGYIAVQIFPSILTYVALIPARTIPFGWNLLTAGYIEQTVHGVLSSTIGLLFIGKLLEPIWGSREFMKFIFIVNFLTSLCVFITTISLYYVTMQENYLYTPLSGFSGVLSGLLVGVKQIIPDQELSPLKIKAKWLPSLMLLLAILVSLFTLEPATYLPILIFGTYTGWIYLRYFQRKQETGFKGDPSDEFSFSTFFPEFLRPVIDPIASIFHRMLCGRSDASNEARGGYTPGNTTLPGSDPIEATRRRERGARALEERLAAERLIGGTGGGKSKTDEPERDASENV >cds-PLY94502.1 pep primary_assembly:Lsat_Salinas_v7:2:156280646:156282598:1 gene:gene-LSAT_2X82341 transcript:rna-gnl|WGS:NBSK|LSAT_2X82341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSFFSSSSPGLQLVSYIIFLFLLPLSESVYFEINRFDVDATNILYSGDAMPSVGTIEFNKVNYLTRVGQAIYADTIPIWDEKSGKVSDFTTHFTFIIDTQSQSTYGHGLTFFLAPVGFQIPPNSAGGFLGLFNTTYTDSSQNQMIVIEFDSFVNSEWDPPYEHVGINKNSIHSVNHTSWNASLHSGDPADVWVSYNATTQILNLSWSYGARNVSRENTSLSYQVDLREVLPEWVTVGFSAATGANVERHILQYWEFISSLNIVKKSEDKSKEWKLAVGLIVPLGVLVLGGMVAFGVFFRRKRKPKQKSSETVTLTSMNDDLERGAGPKRFSYGDLVSATNNFSGDRKLGEGGFGCVYKGYLAREGIAVAVKKISQGSKQGKKEYITEVKIISSLRHRNLVQLIGWCHDQTQFLLVYEFMPNGSLDSHLFGKKSCLEWGLRYKIVTGLASALLYLHEEWEQCVVHRDIKTSNIMLDSGFNVKLGDFGLARLMDHELGPQTTGLAGTLGYLAPEYVTTGKASRESDVYSFGVVALEIACGRKAMDSVDPNSDLGLVQWVWDLLEKGELLSGVDQKLNKEFDGKQVERLMTVGLWCAHPDRSLRPSILQAIQVLKFEGAAPNLPKKMPVAMYYAAPDGRELSSGSGGASLT >cds-PLY73533.1 pep primary_assembly:Lsat_Salinas_v7:9:77640795:77642420:-1 gene:gene-LSAT_9X62980 transcript:rna-gnl|WGS:NBSK|LSAT_9X62980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRFVETTGDGWSKFRRQENQRQRNDTTTFYVAGFPDGTCKKDLHEVFDRLGKIFDIYIGGKKNRRKQNFAFIRYAGVINTHGMELKMNGVRFRGVTLLANLAKYQKERSNRMQISSRKPNVLDAALKFNFRSRDSRTFAQVAAGVNVAHQGNSPPIVLNAKTAMSEWTKKTLLIGEALSLDHIANLPEHTFTYENTKYLGGLKLGIKFGSSKEASEFLEDRSRWHEWFKWLTMDMNTDVQYKRLAWLKITGVPLRYWNTNNFSKIASKFGKVIIPFESLYDRKDLTMGKVGVITSSKNWINEVVRINVDGTVDGVGVVENTEDWSPFKSCQFEKMEDGLESEGCDNDNEDDGVSETWIPEEDNDLEEGEFWCEDEPETWMNKTNRHDEFGNPPANVENPKDATVGLNDVIPQEEVNVGCLNESVGMPHVLKDIQTSVFEAGRIRSDPGDVGLDSDPKDIGLMDNSSPIRSSSPAPNNSMTSNSNSNLSSYSKHCCSEPKSKRRKRRRGSRSPINGDASSRVNCPTQNSQDPKSANGDV >cds-PLY64538.1 pep primary_assembly:Lsat_Salinas_v7:6:35522280:35525739:1 gene:gene-LSAT_6X24401 transcript:rna-gnl|WGS:NBSK|LSAT_6X24401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGILHEDVVIIKQTEKEDEATVITINCPDKTGLGCDLCRIILFFGLSIVRGDVSTDGKWCYIVFWVVGKPSTRWSLLKKRLLEACPSCSSANTLIYYPPELLPPKPPDVFLLKFCCHDRQGLLHDVTHALSELELIIQRVKVSTTPDGMVMDLFFITDTRGVLHTSKRKQDTYDHIKGVVGDKMVSCDIEMVGPEITACSQGPPFLIPPSITEDTFSLEMLDQCQRGSQHSNSVSVNIDNSLSPAHTLIQIVCRDHKGLLYDIMRTLKDYNIQISYGRFTTKGNKDCEIDLFIVQSDGKKIIDPSKQNALCSRIRMELFRPLRVALVNRGPDTELLVANPVELCGKGRPLVFYDITLALKMLNIDIFSAEISRHMIGGREWEVYRVLLDEEEGSCVPRTRIEEAVWSMLMGWE >cds-PLY97467.1 pep primary_assembly:Lsat_Salinas_v7:6:92409736:92411521:-1 gene:gene-LSAT_6X63581 transcript:rna-gnl|WGS:NBSK|LSAT_6X63581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:9-cis-epoxycarotenoid dioxygenase NCED6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G24220) UniProtKB/Swiss-Prot;Acc:Q9LRM7] MDTSVTLTRCSTATLVRISTRPSVTCIIQINHHPTTNTPPHTSKPPKIKPTSKSLPELTTVSPPQLNPFQKLAASALDFVERSLNVLENDQKRSHQVDPAVQLMGNFAPVPECPVHHGLEVIGEIPNDLNGFYLRNGANPMFKPTGGHHLFDGDGMIHAVKLGPKNKASYCSRFTRTSRLKQELSLGRPYFPKPIGELHGHLGLARLALFYARGVAGLLDTAHGIGVANAGLVYFNGRLLAMSEDDLPYSINIKRDGDLATDGRFDYDGQVNCPLIAHPKVDPVTGELFSLSYDVLKKPYLKFFSFEKNGKKSREVSISLNQPTMIHDFAITQSHIVIPDHQVVFKLSEMVQGKSPVLLDPNKVSRYGILPKSVKNESSIQWIDVPDCFCYHLWNAWEEVDVKGDQIIVVIGSRMTPPDAIFNEINFDLLRSELTEIRLNRTTGQSTQRVLVSGINLDAGNVNKKLLGRKTRFVYLAIVEPWPKCNGMAKVDLETGVVSKLFYGNGRFGGEPCFIPVEGSDKEDEGYIMSYVRDEAMERSELVIVEASSMKEIGIVRLTGRVPYGFHGTFVSTHDLAN >cds-PLY99850.1 pep primary_assembly:Lsat_Salinas_v7:4:45556067:45557272:-1 gene:gene-LSAT_4X31761 transcript:rna-gnl|WGS:NBSK|LSAT_4X31761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFQRSIVDQVSGWLAVYNDGFVDRTWTGPPQFKFMSDPVPPHHNFINGVATHDLFTHPDSDLRVRVYLPEIPDSGKLPIILHFHGGGFCISQADWFMYYNTYTRLAREAGAIVVSTYLRLAPEHRLPAAIDDAYSTLLWLQDLADGKVHQPWLSSHGDFNRVFLIGDSSGGNIVHQVAKRAAGENLYPLRLAGAIPIHPGFLRSVKSKSELEKPESPFLTLDMLYKFLKLGLPMGSTRDHPITCPMGEVLQGVDLPPYLLCVAEEDLVIDTEMEFYEEMKKAGKKVELFVSNGIGHSFYLNKIAIDLDPKTSEETRKLIQGISHFIGNH >cds-PLY86387.1 pep primary_assembly:Lsat_Salinas_v7:8:282914590:282915162:-1 gene:gene-LSAT_8X159941 transcript:rna-gnl|WGS:NBSK|LSAT_8X159941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMIYMLVQVIGSNICFKKVHLLEEEYIQGFENNHVRGYCSTISVTRIGELDANLLMDAAKRHYLSEEDTAKFISLWEGHLKDPMLL >cds-PLY82157.1 pep primary_assembly:Lsat_Salinas_v7:1:15247099:15248223:-1 gene:gene-LSAT_1X12761 transcript:rna-gnl|WGS:NBSK|LSAT_1X12761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHHLNSLLFARTKLSSAMLLLADFGRYPAQIANIDKNIIAPYKHVVRRLNGSDSPSFEKRPILVFFQGGIHRKDGGRIRQILYDLLKDEKEVHFTFGRPSPDEIHMAPIGMTHSKFYLSIAGDTPSSNRLFDAIVSHYVPVIISDDIELPFEDVLDYTKFAIFVRASDAARKGYLMKLLRRVQREKWTQMWERLKQIAPHFEYQHPSQPGDVVDMIWQAVHRKVSSRHSKVHRQNRYQMSQKFLNQYKMERVFPFSKLVALWRILVSPFSYQYPSQR >cds-PLY79141.1 pep primary_assembly:Lsat_Salinas_v7:9:90623403:90626100:1 gene:gene-LSAT_9X70121 transcript:rna-gnl|WGS:NBSK|LSAT_9X70121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANQEGGDLVSQLVDLVREFSGLPECRNAVKDMYVNLMRRVKLLNPLFEELKDSEEQLNADDDVQGLQSLKIALNLALELLKSVNGGSKTFQALQIDGIADKFRAITAQIEEALCKVHYDRFDIPEEVREQIQLVHLQFKRAKRQIESLDLQFQTDLAMVKKGKEPDLEMIKRLSAKLHLTTINDLRRESLAIHDMVMSADGVPENCFELMSFLLKKINDFVMLGNPKLDSSDHELSFVRHRSPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIQKWLDAGHKTCPKTQQTLLHTALTPNYVLKSLIALWCDSNGIELPQPQGNSRNPKTAKIGSECDQTAITGLLEKLGNGNSDEQRAAAGELRLLAKRNADSRICIADAGAIPLLVELLSSQDNRTQEHAVTALLNLSINEVNKGIIVGVGAIPDIVDVLKNGTMEGRENAAATLFSLSVLDENKVAIGAAGAIPPLIDLLRDGTPRGKKDAATAIFNLCIYQGNKVRAVRAGIVIPLMELVKDASGGMMDEALAILAIIASHHEGKAAIGQADPIPVLVEVVRTGSPRNRENGVAVLWCVCVGDIECLKMMKELGAEEVLKELLENGTDRAKRKAGSLLELLQQVELGANL >cds-PLY81498.1 pep primary_assembly:Lsat_Salinas_v7:8:157047142:157048418:-1 gene:gene-LSAT_8X103621 transcript:rna-gnl|WGS:NBSK|LSAT_8X103621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASWEDGAPAIGIDLGTTYSCVAVWKHNRIEIITNDQGNRTTPSCVAFTDSQRLIGDGAKNQIARNPANTIFKEISSMVLTKMKEIAEAYVGQTVKNAVITVPAYFNDCQRQATKDAATIAGLNVLRIINEPTAAAIAYGVDNRFGGKKNVLIFDLGGGTFDVSLLTIDGVGKFEVKAVAGDTHLGGEDFDNRMVNYCVEDFKKKWNKDMRRNQRALGRLKVACEKAKRILSYDTQTSVELDALLDGIDFSLKITRAKFEELNKSFFTKCIKQLETCLVDAHMYKGDVDEVILVGGSTRIQKVQSMLQEFFDGKELCKNINPDEAVAYGGHLRLPSYVVKLPKW >cds-PLY74711.1 pep primary_assembly:Lsat_Salinas_v7:5:26113276:26115890:-1 gene:gene-LSAT_5X13200 transcript:rna-gnl|WGS:NBSK|LSAT_5X13200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASPIAIFLLLLLLSLSSATPPPPFKKIYAFGDSYTDTGNTASPTGPSAFTYVSNLPYGRTFFHHPTNRYSDGRLVIDFVAESLSLPYLPPYLNRKANTTAGINYAVAGSTAIRHAFFVKNNLTFDVTPQSLQTQLSWFNKTLQGQKCKSAMSTPAECAAVFRDALVWVGEIGANDYAYTVGSTVSGETIQRRAIRSVTGFIEALLKKGAKYMVVQGLPTTGCLTLAMYLSPESDRDDIGCVATVNNQSYIHNTILQANIQNLRNKYPKTVIVYADYWKAYRSIVKNAPKLGFHDVYKACCGSSGGPYNFDFSGTCGSDSASSCQNPSQYINWDGVHLTEAMYKVVSELFLNGAFTYPPFKSLLRSKQNSA >cds-PLY78559.1 pep primary_assembly:Lsat_Salinas_v7:1:107978754:107986328:-1 gene:gene-LSAT_1X84561 transcript:rna-gnl|WGS:NBSK|LSAT_1X84561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQKNLSSLRSCCISHEFYKSASKNPNKIAVIHSSRRHHTKSTTEIDHSIETSPSHDHHPVYKDDVCFTYSEILEAVDSLSRRLRFILDGGADPYLIRPSPGSTPIDQSTNTPRIVGIYMAPSVEYIISILSILRCGEAFMPLDPSWPKERVLSVVSSSNSKLILCGSTSFDENYSHQINKYRFLVDNVGCPVLVISMKTNLNNYFSSPSLLWPCENKTLRPFCYLMYTSGSTGKPKGVCGTEQGDCTYFDCRKLPSLLEIESLTTVPIGMPLPNCNVSLLGEEIYVSGLCTSIGYINHDIMPLDITNSHLGSAFFSSIDEKESVVLFKTGDFAKQLPSGDLVFVGRNDRIVKVNGNRIALEEIENTLRLHNDVDDVVVTFKRVGEVAYIEAYVVVKHGKSLSCCIRGWMFEKLPLAMIPRRFLFVDSIPISSSSGKVDYGLLESSSCLVSDICSEMDEVIDANRLQKIKEAFCDALLVEKVNNNDDFFDLGGDSISAAHASHKLGINMKLLYSFPSPLNLLMALMDPNNQYEKIDMKVLKESKPLPIVSNDTHLKTKKLHTRLSNELDESGSNNHPPHKVLKMEDDNWDSISNHMCFCAITRCNKVMFGEKYDNINTSFQATICEKKGFIREVWRVNMESCVDASPLVVVREEGVFLYIGSHSRKFICVKASNGVIQWEVELEGRVECSATILGDFSQVVVGCYKGNIYFLNSMNGSITWTFHTGGEVKSQPVVDKQRHLVWCGSYDHNLYALDYQTHSCVYNLNCGGSIYGSPVINEADETLYFASTNGSMTALSLKAIPFGVLWAQDMGAPIFGSLSIDHINGNVICCMVNGHVVAVNGNGSIIWRVNLCVHEFGKYSCAKNQVRL >cds-PLY95821.1 pep primary_assembly:Lsat_Salinas_v7:7:177013061:177014018:-1 gene:gene-LSAT_7X104141 transcript:rna-gnl|WGS:NBSK|LSAT_7X104141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIVSRQQHERENPSPTNSPSSHGSSSNSNGHTAGGGAVQTPPLTPRPVSRSEPNSYPTTFVQADTTSFKQVVQMLTGSSKPTHQPDPAPTRTSIPPIKTGQQKKQGFKLYERRNSLKNGLMINASVPKLHGHGLSPRLHEMLSPSILDFPALVLSPVTPLIEDRFNKSSPSIGNSSEEERVIAAKGFYLHPSPRAATPHGTTEPQLLPLFPLTSPRVSESSI >cds-PLY67166.1 pep primary_assembly:Lsat_Salinas_v7:6:161718646:161722785:-1 gene:gene-LSAT_6X97980 transcript:rna-gnl|WGS:NBSK|LSAT_6X97980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLVEGVGESSSPPRSFGGFSGNEIVNDVYNRLLEIGNEEATAHPEFRDQLEAHFNRLPASYALDINLDRVEDVLLHQKLLVLAKDPENRPVFHVRFLENFGTQADDDQQELTVGPKLSHDRKPEMDFEACSKLEDLNLEVRKTSLDKVKENLDGDSFRRQDDIHVPIHEVIFSAVDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWPLQETKALHVAMERAIARSEGSWSGSKRSKSAIERAVAAEASFIDTEIDIRLLKIGEKVASGSCGDLHRGVYVGQEVAVKILRSEHLNEALEHEFSHEVTILREVRHRNVVRFIGACTKQPNMCIITEYMPGGSLYEYLHKNHHNLSLPQLVQFAIDVCKGMEYLHKSNIIHRDLKTANLLMDSNNVVKVADFGVARFQNQEGVMTAETGTYRWMAPEVINHQPYDQKADVFSFAIVLWELVTAKIPYDNMTPLQAALGVRQGRRPDLPTNTHPKLLELMQRCWETDPVNRPSFSEIKVELVTFLKELQNLQEKGETRSES >cds-PLY94205.1 pep primary_assembly:Lsat_Salinas_v7:5:321737572:321742107:-1 gene:gene-LSAT_5X178381 transcript:rna-gnl|WGS:NBSK|LSAT_5X178381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGARLCSLLGELGYEGHGALDPDSFEWPFQYEDARPILDWLCSSLRPSNVLSASELSQYEQFIQDGKLLERTLLGFKIDTTGLPGRRSKQGLDCISRTKANKKIYKSKMKIASFFASDKGEDLDFAYESISAFSTRRDNQEAVFGAEEGLKEIRDATLAFKTEAMELQRQLGHLQSQYDMLSTQASALIQGKRARVASTSAVNGLLTTIDDSLSARNLEMNAVLGRIASTAQELAHFHSGDEDGIYLAYSDFHPYLLVDSLCMKELNQWFVKQLDTGPYRLVAEEGKSKCSWVNLDDISNTLVRDSQKSQHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILLALKGDYDLKVMRQEYYIQRQKAFISHLINQLARHQFLKVACQFEKKTILGAFSLLKVIESELHGYLSATKGRVGRCMALIQAASDVQEQGAVDDRDTFLHGVRDLLSIHSNVQGGLSTYVSAPGLVQQISSLQSDLMALQSDLDNALPEDRSRCINELCTLIQNLQQLLFASSTTAQPILTPRTLMKELDEMEKVNARLSAAVEEVTLEHCKKNEIVKHHSQEMALQRQVFVDFFCNPERLKNQVRELTARVRALQAS >cds-PLY67408.1 pep primary_assembly:Lsat_Salinas_v7:4:212380536:212383267:1 gene:gene-LSAT_4X119261 transcript:rna-gnl|WGS:NBSK|LSAT_4X119261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYEDKEIHIQVKKTPAFTVLKNGSILKNIFLLRKPPPFSTEQPAAGTSSINQDSDEEILLVGRHPDCNITLEHPSISRYHLRIHSNPSSHTLSIVDLSSVHGTWVSGKRIEPGVSVKLKEGDTVKMGGSSRVYELHWVPLNQAFDVNDPFVPPTFRKQEETQDESCSYDLETHSSNEDLESSEFSFSNTNLHSSLEKLNPSTYSAPNYLNSSCDETEVEEHMTPSKKGNQNGEIFSSKAGIENQSHEEQFSVLNNSKADEFDTDNGSDEIFAILSVQAPIVVSESLSETEIFDSINKPEDLSVALFDAIETHEEEEIEKGNEINICTDESDSMVLCTSFISRQDVDMGVRFESGNHEIMKKESNFLALLDETDMDQETSFTPEISRAKENSRTLFDSLNGKELEFFTPDKENKDPNVCLMKSLRKWKEDECKGSNICAMLKEAVSEGRKDIFDVSEYDDEKGIDSSNGGEKKRWNMILDTNTLLHKESLKHLKLLQGLKGTQLFIPKIVLKELKEIKRQHDHDLFNITTKKVSLALKWIDECMMTTKWWIHMEDDEIETEIKVLETAIQLCKESKDRKVIILSNDVSLKITSMAEGIMCEEAEEFYRSLVNPFSERFMWIGSLGRGLTWSCVDDDVLREKYLGFGVNVSNRFKGLKFLAHLTTL >cds-PLY92699.1 pep primary_assembly:Lsat_Salinas_v7:7:5034166:5036322:1 gene:gene-LSAT_7X4861 transcript:rna-gnl|WGS:NBSK|LSAT_7X4861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVSIALMLFLAVTAYLLWFTFISRSLKGPRVWPLFGSLPGLIENSDRLHEWIADNLRACNGTYQTCICAVPFLARKQGLVTVTCDPKNLEHILKTRFDNYPKGPTWQAVFHDLLGKGIFNSDGDTWFLQRKTAALEFTTRTLRQAMARWVTRAIKNRFCPILERAQVQAEPVDLQDLLLRITFDNICGLAFGKDPQTLAPELPDNSFALAFDRATEASLQRFIFPEVIWRMRRWLRLGLEVSLSRSLVHVEEYLSSIISTRRHELSSQLKDGGLHDDLLSRFMKMKESYSDTFLQHVALNFILAGRDTSSVAMSWFFWLVIQNPKVEEKILKEISSVLLETRGDDVEKWTDEPLGFEEVDRLVYLKAALSETLRLYPSVPEDSKHVVSDDVLPDGTFVPAGSSVTYSIYSAGRMKSSWGEDCLEYRPERWLSPDGTKFVRHDSYKFVAFNAGPRICLGKDLAYLQMKSIAAAVLLRHRLAVVPGHKVEQKMSLTLFMKYGLKVNVFQRDLKAIMESVKKEKEGVD >cds-PLY70668.1 pep primary_assembly:Lsat_Salinas_v7:5:70908960:70912000:-1 gene:gene-LSAT_5X33061 transcript:rna-gnl|WGS:NBSK|LSAT_5X33061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLKDPTSDMAIISRKGSNLVREVHEKKSMNKPCQRFWEHVGSKLGDILGVEKTAEQIDIDTTVVGKVDFKEEAKFGKHMKKGEAVSQQRQNHFHNNVKRENQVVVVIGETGSGKTTQLTQEVQEEHHTNIYKELLYLFRIGLEQWLHEMSSEEEEEEGVRLKL >cds-PLY68331.1 pep primary_assembly:Lsat_Salinas_v7:4:157091753:157094781:-1 gene:gene-LSAT_0X11100 transcript:rna-gnl|WGS:NBSK|LSAT_0X11100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMKQQKVILEDNLSSASSDLYANTVNSCSENKGQENGSNYHPNQQQYFAHPPPSQSQPLLKKKRSLPGNPDPEAEVISLSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNKNEVVRKKVYVCPEVNCVHHEPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAITGIDPSIPGQIPTRFNDLHLLNPIHNTFLKKEEHPFPWLQTQPQSQEKDCSPSSISHGGSGPNLTAYHPAPSAYMSATALLQKAAQMGTSHLSNRSTSIQAQCTTSTSMLKPHHQHQHHHQQYRAHVSTNSATIGNNNGCTSASTGLLMSSLDPEEMMALASFGNVKDGACAGGPSPSPSTSPSPHPTPPLPLPLPHHPHTSPSFLHPMNMSMNMSMSMMMNPDGFADVSTFEDAFSQIMDAKKEDHDHDRDLHGDLVESHPSDSSKLHHGQMMAMNDAKSNGNGGNIGGGVMGNEGMTRDFLGLKAPSHGHGGILKHKPNP >cds-PLY67905.1 pep primary_assembly:Lsat_Salinas_v7:1:55730243:55733798:-1 gene:gene-LSAT_1X49720 transcript:rna-gnl|WGS:NBSK|LSAT_1X49720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNSMVGRATSDMLIGPDWAMNIEICDICNHDPVQAKEVVKGIKKRLGSRNPKVQLLALTLLETIVKNCGDFVHMHVAERDVLNEMVKIVKKKPDFHVKEKILVLIDTWQEAFGGARARYPQYYAAYHELLRLGAVFPQKSERATPVFTPPQTQPLSQYPHDTRNLENGNEAAASSVEAEFPTLSLTEMQNARGIMDVLSEMLTAIDPGKKEGLRQEVIVDLVEQCRTYKRRVVHLVNSTSDESLLCQGLALNDDLQRVLSKHEALLTGIPLPSEKSKPETSQTKALVPVDAPLIDATETNQKGSVSGGLDLISLAPITSNGTSTTTPTRVDPKFDLLSGDDFNSPTAGNNLAIVPVGSPQPATPVSQQNALALVDMFSLNNNSSSPQIQQPQNMPPQQPGFYQNGNSGYSQGSNPAWNGGGSGSGGGLPPPPWEAQPADNNQSGGGPQYSQPQNLQSTGNSNIQAMPPMQQFSGSHMPPVNHQPVYNQFPQPQFQGGVVPPQQQMAYLNPQQMAQQMAQQMYINQMGQAYVGGGGGYGGGGGGYGGGGGYGYGYGYGQQQNAQYIDQRMAGMSVRDDSYLNSTVYTGPSMANASYVHVPSGKPTKAEDKLFGDLVDFAKVKPKTTPGRTGSM >cds-PLY77992.1 pep primary_assembly:Lsat_Salinas_v7:MU042436.1:45924:46133:1 gene:gene-LSAT_0X11561 transcript:rna-gnl|WGS:NBSK|LSAT_0X11561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFAKHGRGAKALAVFDRIISLDLKNNYVTMFNVISACSHVNFISQDIQIFIIPTMELAPSNQTLFIFS >cds-PLY96309.1 pep primary_assembly:Lsat_Salinas_v7:5:192895758:192896003:1 gene:gene-LSAT_5X86380 transcript:rna-gnl|WGS:NBSK|LSAT_5X86380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPYRVRTLSILSYLIDLDIDGGGNRRSGLELADLDNDTEDLVADLVLWVTKSVRSKGGGEADGRLESGGYRSGHFSKDLD >cds-PLY78823.1 pep primary_assembly:Lsat_Salinas_v7:8:289697281:289700310:1 gene:gene-LSAT_8X160700 transcript:rna-gnl|WGS:NBSK|LSAT_8X160700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSMPENCVGGGIKSSKVKVKVKLRRRRKALKRRVSSRLYDQSKAPPSGDAPNSHGSTDDLWCDSTSILESDCSDEDFQSFSDDGSEAVSWPHIGSLRDGEAHRSSVHPEQMIFQSSIDENAGRENGIQSSSRKKAAFKLSFKRNDEHSNPTIISSKIHIQRPIAGSQVPFCPIDKKMIDSWSFIEPQTFRVRGKDYLRDRKKELASNYAAYYPFGVDVFLSQRKIDHIAQFVKLPDVASSTGDVPSILVVNVQIPLYPAALFGGEIDGEGISFVLYFKLFDCYSKELSSQFQDNMRRILDDEVEEVKGFRVDSVVACRERIKILGRVVNIDDLQLNAPQRKLMHAYNGKPVLSRPQHDFYQGENYLEIDLDMHRFSYISRKGFGAFQDRLKDCILDFGITIQAKELPEELLCCVRLNCIDYMKYHFLSLDHVSS >cds-PLY74217.1 pep primary_assembly:Lsat_Salinas_v7:5:93481958:93489723:1 gene:gene-LSAT_5X40701 transcript:rna-gnl|WGS:NBSK|LSAT_5X40701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIPLYLSSRKEFSTASQSNSPENPPNSGGNLSKVFIGSIALGVAGITAYQTGYFDKVLTKEQNNDLNTNPLPIVHEEPEKSVSEEQVAVENVKDSEILSPPVDNSEKGIETDPYYGKREEENQSEIEKLPILEPQDVISGQEGDLPISTSDSYDAIAKIQEDLGANSQDLKSTTEEHEEVQSSSILNEVAPVLEANEIKSEGILSNDNKEPDALLDEYLLKDKAEESAPVSSYPEKEIAEAVMGNNDIYVANDGKIVLDFLQAIHTAEKRQEDLDARIFSEEKRLLKARLFVLKIAIVFPFYPYNPTIELHKEKVKAAAALKSLQEKLEEEFRMEIEKKESEAELEVMKLKDLAKAELAAAIASEKASQIEKMEEANLNINALCMAFYARSEEARQTHSVHKLALGALALEDALSKGLPIEKEIEALKSYIDGIDKDSLLGLVIASLPEDTLKNGTDTILQLNHKFDGLKGTLRHFSLMPPGGGGILAHSLAYIASVLKVKEADKSGDGIESVINRVESLLADGKLLEAAETLENGLKGSQATEVVGDWIHQARNRAITEQALTLLQSYATSASYT >cds-PLY76165.1 pep primary_assembly:Lsat_Salinas_v7:4:56452062:56452445:1 gene:gene-LSAT_4X39000 transcript:rna-gnl|WGS:NBSK|LSAT_4X39000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKKEFVEFLERFACEICVNVYFCIPDIVFPDGLRLIANDMDYMELIEVGYASDCVIDVYMDHLGVNVHQWILEEQAKFGSSLDQLSGANEDHEEVHSRMNMDDGIDMQDLHGGMDDIPRPNEDLQG >cds-PLY76124.1 pep primary_assembly:Lsat_Salinas_v7:9:30275202:30276922:1 gene:gene-LSAT_9X26860 transcript:rna-gnl|WGS:NBSK|LSAT_9X26860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAQLHKHIVMVPFMAQGHLIPFLELAHRILHHNPIFTITIVNSPLNINYLRSAIANGPSPPHQIHLKSLPFNSSDHGLPPNSENTDGLPITQIIKLFHASTALETPLRGFISDIISDEGSPPVCIISDVFMGWANEVAKSFGTVNYSFTTGGAYGSAAYSSIWMNLPHRNLTDGGTHDEFPVPGFPESCRFSITQLHSYLIAADGKDEWSTFFQPQISLSLKSKGWLCNTVGEIEIMGCETLRNYIKLPVWCIGPLLPQKMLKNNPGSGIVGRRSGKQPVIRPEECIEWLDSHPQDSVLYISFGSQNTISEIQMMELAKGLEESKKPFIWVIRPPIGFDLKGEFKPEWLPLGFEDRIGKQGLMVHGWAPQLEILCHPSTGAFLSHCGWNSVMESLSQGVPMIGWPLAAEQGYNAKMLVEEMGVCVVLTRGVHGRIVKEKVKNVIEMVLDKNEEGKGNDMRRKASEVGRLIRASVDGSSYKAMDDFLSTILNS >cds-PLY68674.1 pep primary_assembly:Lsat_Salinas_v7:7:85187635:85193587:-1 gene:gene-LSAT_7X58281 transcript:rna-gnl|WGS:NBSK|LSAT_7X58281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase PAA2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G21930) UniProtKB/Swiss-Prot;Acc:B9DFX7] MTTDLLRISLPLQPKSTTFSHRILTCNVDYKRHRGYLYRPPPRIVHKHLPNLLVLAKAVDLNSPSQTTSEKLQQPRREDQPSVLLDVTGMMCGACVSRVKSILSSDQRVESVVVNMLTETAAIRLNADAEVSGANAADEFARKLTECGFPSNRRASGLGIEEKVRKWKETVARKEALMVESRNRVVFAWTLVALCCGSHASHILHSLGIHIGHGALMEILHNSYFKGSLAIGALLGPGRDLLYDGLKAFFKGSPNMNSLVGFGSIAAFMISAISLLNPDLGWDATFFDEPVMLLGFVLLGRSLEERARLRASSDMNELLSLISTQSRLVISPSESDSSTGSILSSDSICIEVPTDDIRVGDFVLVLPGETIPVDGKVIAGRSVVDESMLTGESLPVFKEEGLFVSAGTINWDGPLKIEASSTGSNSTITKIVKMVEDAQGREAPIQRLADSIAGPFVYTVMTLSAATFAFWYYVGVHVFPDVLLNDISGPMENPLLLSLKLSTDVLVVSCPCALGLATPTAILVGTSLGAKQGLLIRGGDVLERLANIDIITLDKTGTLTEGKPTVSSIASFIHKEHEILQLAAAVEKTASHPIAKAIINKAELLNLNIPVTSRQLVEPGFGTMAEVDGCLVAVGSMKWVHERFQIKKNISEVMNLEKDVMKKSSQGRSSSNYSQTVVFVGVEGEGIIGAIGISDNLRLDAESTVKRLQQKKIETILLSGDREEAVASVAKTVGIQTHLINASLTPQQKSDAISTLQSSGHSVAMVGDGINDAPSLALADVGIALQVEGQENAASNAASIILLGNKLSQVVDAVDLAKATMSKVHQNLSWAVAYNIVAIPIAAGVLLPHFDFAMTPSLSGGLMAFSSIFVVSNSLLLQLHGSHNKKMKNEGEKRIESTSLRA >cds-PLY81414.1 pep primary_assembly:Lsat_Salinas_v7:9:95388946:95390041:1 gene:gene-LSAT_9X72200 transcript:rna-gnl|WGS:NBSK|LSAT_9X72200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASASSPSTFTDLHPLIIQTHILPRLDGQSLSSAATASSYIRALCADDMLWADICKSTWPSITHPRIHHLISTFPAGHRSFFQDSFQPPITVVKHPNHHRSYSISHPDCSLTHQPFPSELISAVDIRYHDDIIYSRAEFTDTTTDFLSSAFKIVLNDDPEVTGMPRSIDFNVEDVVDSDDATISHLQESITLNWILIDPTLKQAVNLSSIKPVLAWITDSIYLRYVVVLPGCNANETVECRIEVKLGAGKGGVALYVREVIVNVQNVACNHLSGKDFLVITRKAFLERYNIRRDVVECEAGRNQTKCVKGDETISGFVGHCYIIPRVSFLLCFCFLHILYCNII >cds-PLY73634.1 pep primary_assembly:Lsat_Salinas_v7:5:204104073:204105692:-1 gene:gene-LSAT_5X93220 transcript:rna-gnl|WGS:NBSK|LSAT_5X93220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGILLFVFRLFLVIKGIYGAPEVNQPRPFFVFGDSLVDNGNNNFLITPARADIFPYSIDSPSKLPTGRFFNGLNIPDIISEHIGSEPVLPFLSLELHEEKLPLGANFASAGVGILNDTGFQFGEIIAMPLQLEYFKKMYEMGVRRAILLGSRPLGCAPAEIAQHNELSGECSTDLQAAAELFEPQVDQMVKNLNAELGTEVFISASTRLMYFDMMNNPQAYDIY >cds-PLY74953.1 pep primary_assembly:Lsat_Salinas_v7:8:102623425:102624875:-1 gene:gene-LSAT_8X71840 transcript:rna-gnl|WGS:NBSK|LSAT_8X71840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTILPLYLHEGLIKIVEESVKIDQNLSPNKPIYFLGESFDHVLYRCDSGFGVLGLPVDHIQHFSLLPVIKFAVVQFAGQHPGGLGVPAVGMAFPGYVTQPNGMGNSEMKWLPILAGAAGAFGCFTLYHNGWCLSCYAIWPDLCIASYKLSWQPSTIVLDRHLLAKDIAYVFFCCYCGFGNIHAYWSNCMYLT >cds-PLY73927.1 pep primary_assembly:Lsat_Salinas_v7:3:38260892:38261470:1 gene:gene-LSAT_3X28900 transcript:rna-gnl|WGS:NBSK|LSAT_3X28900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSTEIASALIIMLLVIDRSEGDPRTQLLNLTCSQQRANSSTFITNFLELMEEIATQIQISHRAAAVIGTGPERIYGLAECYGDLSADDCTICYAVACTQLPVCLPGVGSQVFLDGCFIRTQNYNFFEEYAGSNDTVICGNTTRYGVSLDSARQVISDAARDAPTNGDYFARKMSLSSAANESTYVLGDFE >cds-PLY84027.1 pep primary_assembly:Lsat_Salinas_v7:6:189069161:189072621:1 gene:gene-LSAT_6X116961 transcript:rna-gnl|WGS:NBSK|LSAT_6X116961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASITPLIDPLSSSLLSTTTFVPATAFFHHHMPCLTSSPPVLAARLSSPCHLIDPRRPSLIVAATTTLMSNSMQAGGIKDGEMKRTFNMGIGMVFVVSKEVSKRVVKEDEMMYRIGEVFSSLEPSQTMIRHLVEMEIDHINTSHPNFIGGNQVVEVASHQVRSYRMGSTTPRTRDGEDSEASGSNRRYTLLLLDLQPMERILIRGYLSEHHMT >cds-PLY75603.1 pep primary_assembly:Lsat_Salinas_v7:9:33829162:33829431:1 gene:gene-LSAT_9X29880 transcript:rna-gnl|WGS:NBSK|LSAT_9X29880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIQHEIARQNQTKILDTAPFIVLLLIAAHVLALVYGIYKLATSKLPQRRVEPLKKRS >cds-PLY89969.1 pep primary_assembly:Lsat_Salinas_v7:2:192598385:192600101:-1 gene:gene-LSAT_2X114260 transcript:rna-gnl|WGS:NBSK|LSAT_2X114260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGGNRGQNGIQLLLTAEQEAQQIVNAARNAKLARLKQAKEEAEREVTEFRAQMEADYQRKLTESSGDSGANVKRLEKETDEKIQHLQTEADRISLDVVEMLLKHITSIDRSINICSIMNPQANSPIASMTGLVLDIPLSSSSSSSSCIAHAIAGGSTPYNIVMQ >cds-PLY73341.1 pep primary_assembly:Lsat_Salinas_v7:7:63386966:63388445:1 gene:gene-LSAT_7X47140 transcript:rna-gnl|WGS:NBSK|LSAT_7X47140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRLVSENGQQLSSSGIKMIASKQDTEHDDFLKNHLFSNIEDLFEPLEPANSDSFMIGSSDVGSHDTKVLKTGENSTPCARDKSTATSPIKFISTPIELKRNLATCIDIDEMENLSTSKNPRLSPPDEQPTPLLVPKKEK >cds-PLY68750.1 pep primary_assembly:Lsat_Salinas_v7:6:1529553:1533564:-1 gene:gene-LSAT_6X1260 transcript:rna-gnl|WGS:NBSK|LSAT_6X1260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLLNFLIRSSLFPSAAIPCRLLPSPFMSSPNRQKNECFEPHLKKLGHVLSLTKPTIEDFSTPQSPPSLLAFSVCKPPSTSSSISDILLLPCTFYLSPIRFRYFDINLISSITLYKFMAKYNCKKLVFSSSTTVYGQLKKIPFVEDFELKAMNPYGRKKLQESGETGRRREYTSIGFLSTGTIEEKEVEGIKVLQLETAVGAAIMVGDFLKRFKSIPSIIELDSLKVSGDVWFGSSVVLKGKVVTTAKSEDKLEIPDKVVIQNKEVHDAGDI >cds-PLY87903.1 pep primary_assembly:Lsat_Salinas_v7:2:86424259:86425442:-1 gene:gene-LSAT_2X36600 transcript:rna-gnl|WGS:NBSK|LSAT_2X36600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDRLKEDILLEAARWSNGGSMGASHTDSVKTSLQVYLEIQTRKFLVDYERIPATDEKSPKEHDFDTLVDRISRADLKTEIIFNCQMGHGRTTTGMVIATLIYLNRIGASGSFKGGLGRPVFWHNMREEPVIYINGKPFLLREVERPYKNMLEYTGIYCERVERMEARLKEDILKEPERYGCKVST >cds-PLY78268.1 pep primary_assembly:Lsat_Salinas_v7:1:130873636:130874496:1 gene:gene-LSAT_1X97920 transcript:rna-gnl|WGS:NBSK|LSAT_1X97920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLTSTLYSLSLTLILAASATANLPFSDHFVETKPSTTTRKMTRLHFYFHDIVSGKNPTAIKIIGPKSLFGTTVVIDDPLTIDTKPDSLVVGRAQGLYAMASQHDSGLLMTINFVFTYGKYNGSALSVMGRNRVFDVVREMPVVGGSGVFRFARGYALASTVRYNLKTGNAVVEYNVTVMHD >cds-PLY61751.1 pep primary_assembly:Lsat_Salinas_v7:5:302414381:302417323:-1 gene:gene-LSAT_5X164180 transcript:rna-gnl|WGS:NBSK|LSAT_5X164180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNRDDVRNLPIDIAFARLGEWLVDRKRIPSDWRKRVAVVKAKISAAFQSLPKDVDPFFQTLDLDGIGYLEAKKIYDILLKSTPESRNIFGRLSGDAGAWEAIVHAFEKDHIYLGEAAQIMVQNVNYEIPYQKKLVQRTQQQLAELERKEADIKRNAALSAAKYAEACHELGLQGINVKLELLETATTSLPDTFSKMLQVLNSDSISQAIEFYSNFVKDAHTEKDKVAETVLPNLRDIINNPPPLEVSAASEVLASVNAQTNPNNEMSFEGEAAGDSIDWDITLDSSQIDWDIGTVEDSGDGFGAYEIVDASEIPGSSLKDGMESDETNKEKMVSEISWDIGVENLEDESTQNSALIDVMPSGMNVLNQSQGIKDRSQLLETEYRSRILDDLFEIKAFLNQRIMELTNTETLSLQHQVQAVAPFVLQQYTSDAIQSMLSDVSSAISLLTNKKTRDLIMILNSKRFLERLVSTLEEKKHHEAKLKEGLKDLAIKRMELQNSLSSVWPKQEAAVAKTRELKKVCETTLSSMFDGRPVKIIGEINSMLSIAGA >cds-PLY75944.1 pep primary_assembly:Lsat_Salinas_v7:4:123500224:123502359:1 gene:gene-LSAT_4X77821 transcript:rna-gnl|WGS:NBSK|LSAT_4X77821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATATTKTTDDEKSLNDWLPITSSRNAKWWYSAFHNVTAMVGAGVLGLPYAMSELGWGPGITVMVLSWVITLYTLWQMVEMHECVPGKRFDRYHELGQEAFGKTLGLWVVVPQQLTVEVGVNIVYMVTGGKSLKKAVDTLSPHGGPPIKTTYYIMMFAAVQFFLSHLPNFNSITGVSFLAAIMSFCYSMIAWIASVRRGTQPDIKYTPKASTTTGQTFGFLSALGDVAFAFAGHNVVLEIQATIPSTPETPSKKPMWRGVVFAYIVVALCYFPVAMIGYWVFGNTVEDNVLISLERPPVIVAIANLFVVIHVIGSYQVFAMPVFDMVEYFLVVKMKFKPTKFLRFVSRTSYVDMHNSWGLADVFSPDRSIAANHIASEGLQILLLINIRYGVFS >cds-PLY61779.1 pep primary_assembly:Lsat_Salinas_v7:8:77190652:77192278:1 gene:gene-LSAT_8X55381 transcript:rna-gnl|WGS:NBSK|LSAT_8X55381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRIFSLSPLIGLLSLFLIITLILLTTTAATTNIRLSLTRKRSKALPVFREAPAFRNGDTCTNTRIHIAMTLDYNYLRGTMAAVLSILQHSFCPENVYFHFLWSHYDEEIYKNIKTTFPYLRFKVYKFDSNRVKGKISKSIRQALDQPLNYARVYLGDILPMNVKRVIYLDSDIITVDDVEKLWRVELEEKVLAAPEYCQANFTRYFTNNFWRDPELVKTFKGRKACYFNTGVMVVDVLKWRKGRYSYKVEKWMVVQKQKRIYHLGSLPPFLLVLAGNIKGIDHRWNQHGLGGDNIEGKCRSLHPGPISLLHWSGKGKPWLRLDSRKPCTVDHLWAPYDLYRSKNHILEE >cds-PLY75106.1 pep primary_assembly:Lsat_Salinas_v7:2:37619644:37619832:-1 gene:gene-LSAT_2X18180 transcript:rna-gnl|WGS:NBSK|LSAT_2X18180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNANTVNASVQTLTQYLQKEKELFEVFHKNLTVDHVKHQASVSSRLDQLQEDLALESRIMD >cds-PLY97801.1 pep primary_assembly:Lsat_Salinas_v7:7:120530920:120533450:-1 gene:gene-LSAT_7X73381 transcript:rna-gnl|WGS:NBSK|LSAT_7X73381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLKRPSGQREEDDYEANHQAKQRFASSNFVRNVMQGISSQEFASSLEPFIRGWVREEVQRACHSFCCSSPRSPFNAFERCESSSLQLRFQTKLPQMLFTGSRVESEDNSPVRLVLFDTDSNKIVTSGPYSSLKIQIVPLDGDFCYDEEEDWSQKDFEAKIIQARDGKRPLVAGDLVVVLKDGIGDLGEVYFTDNSSWRRNRTFRIGAVANSGVRIREARSDAFVVKDHRGESYKKHHPPCLSDDVWRLEKIAKDGAFHKRLGVNRIRTVKDFLQMYVANESSLRKILGGSCNKTWETIIKHAKACVLDDKLYMYEVDGIGLLFNSVFTVVGATFDGQNYLPLEKLAGIQMSLVESLKQQFHKSLDRMLPIEDVSVFGPAILTSSFTDLPQMQLTLPYEFENNNQSEVHSSMQLFSPNVRNNFILREFSGEFSNGPYGEGCSSGPSTLSGQAAGDYDFQFGASLWPGNEPRDSPFNQIVGRNGSPTPRGRWCKIRAAVKWVSVMRDVTAKRMAEMYPYLDFSS >cds-PLY80643.1 pep primary_assembly:Lsat_Salinas_v7:5:245663078:245663796:-1 gene:gene-LSAT_5X122340 transcript:rna-gnl|WGS:NBSK|LSAT_5X122340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVLFIFIVIFTIVIISINKKTWIDKQAPAIIKKDTSEPVFESLNTQDSSGEDMSKPPPKQPKIDLNELSLEDDMNNARIEALSSNPSSPRARKSSAMKISCLCAPTNHPGSFRCRYHRNSVSVGSNLSELAYRKTASVGANLSDLGNTTTNMKKSRRPREC >cds-PLY92113.1 pep primary_assembly:Lsat_Salinas_v7:9:192657614:192660838:1 gene:gene-LSAT_9X116221 transcript:rna-gnl|WGS:NBSK|LSAT_9X116221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTTQVEKTEQELRKEIEELHRQQWEITERLRDPRGIRRGGMTASGPRNFAAGGPARQRGFVRPADRNDSEDQPAPKRRLSSTVVKIEEGEIIEDAVDASKGDDSNKEATPWGGNAENQNNRKTSNWARRDGANRAFKDSEVPPFENVPRVLPKDEDPSLVNRNRRMLGQLLGTLEKFRKEDVKLSGSEAFMRRSDSLKRAEQRAREESERLRQQEREQIAEKRRRDLTLRARVAAKAEEKKLELLFLRWSEHQKKLGNFLSSFYIFLLDFIFFRTKTEPAIYYSFAKPLDQDSTLLEEKKEQAFQEWKAMRREELSQYQNQIVEKYVANVEKELDRWQNGRKPRNGGNNNDTLNLQETMDKELETHRLEHGPKTRKIPGGDANEDEDDVEDINVGEDDMMDDVLDVDDNVKPDAGDPSPPENKD >cds-PLY94118.1 pep primary_assembly:Lsat_Salinas_v7:8:29739160:29744070:-1 gene:gene-LSAT_8X24081 transcript:rna-gnl|WGS:NBSK|LSAT_8X24081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSRVDVILEFLRRNNFARAEAALRSEIDNRPDLNGFMQGLNLGEKELLEKENIAKPVASGNGERSSSTSDEVSKEEHIVKEMEFGTQRNGSDSKWEIGSFEGDQNSEQIGARNKNFVFSNGSDDNLLDLYTWRFNPSNGYDDDEDEDDNSIRTDTVKEYQVSSLSKIHPSEVASNTETGFSGGGKVSFLGPVVTSKTNLVPKQQIMDKPVDPKGLNCQKDGFVDNPWSRNDEFNCSIKTVFPFSEGDASTSYGVGDKEDGKRKADNIRAAIKEQENEVGRALYFAKTQGSNETLGFGGSENQKEELPRLPPVKLKSTEKLSSMNWEEKHEHDDGHGQILNAENAYLIGSFLDVPIGQELSSSGLKRPVGGSWRSISQGITEDTSDLVSGFATIGDGLSGSVDYPNPHEYWDSDEYDDDDDVGYMRQPIEDETWFLAHEIDYPSDNEKTTGQGTSPDPQERIIPNKNEDDDQSFAEEDSYLSGDQYPIITHSNVEYDGELMEEEELSLMSGEPVWQGFVSPTNELVMFGEGKVVDDGGSRSIGVGINNDVTETLVQGDIDNNDLPLPLPLPPNRVKKEITKNVSEGGFSFPPPKNGQVASVSSQPSWSNSNNNKAIVGDDSDKSSREEEEEEDDDDVLNSAMPLDYGFGERGHIGKEEDEKVVDDSREEEEEDAGAILEDEEAVAVQEQVKQIKAQEEEYETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKFVNKHDPGDKYHLLRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALEFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVLLGLPYDKKIDVWSLGCILAELCTGNVLFQNDSPATLLARVIGIISQIDQEMLVKGKDTHKYFTKNHMLYERNQDTNRLEYLIPKKTSLRHRLPMGDQGFIDFVSHLLEINPKKRPSAAQALKHPWLSYPYEPISS >cds-PLY85532.1 pep primary_assembly:Lsat_Salinas_v7:2:196244139:196245884:-1 gene:gene-LSAT_2X117041 transcript:rna-gnl|WGS:NBSK|LSAT_2X117041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFYDKRISQEVNGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLVRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGDNDLPGLTDVEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTNKAGKEVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAADYQKLLASRLKEQREKRSESLAKKRSRLSSASKPSIAA >cds-PLY89287.1 pep primary_assembly:Lsat_Salinas_v7:2:48301177:48306057:-1 gene:gene-LSAT_2X21740 transcript:rna-gnl|WGS:NBSK|LSAT_2X21740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLENLDTLNSSGTDDKQGTENREDLDSRASGTKTNGGDSSDNEATSSVNDKGIKRSAGGDIAPTTRHYRSVSMDSFMGRMNFADESPKLPPSPGGHIGQLSPNNSIDSNSNTNTFSLEFGNGEFTGAELKKIMANEKLAEIALSDPKRAKRILANRQSAARSKERKMRYITKLEHKFGYAKVDRRGLIMLRFRPAIGERKCDSEKETVTEVGSFISLSPGDSCEFFHDPLIQSSVCVGDSVAAEVRRSLIRNMWNERIKGTKRNVEVWQALLVVRSLVFPPTDEDSETWLKFASLCRKSGRITDLAIELSSSSGLQVSTPTGFGGVPHFLAETLDVVQDIDWVISLGNAFAKQYELYTYDDEHSALLHRFLNCDSPLELYECRLILVLD >cds-PLY63864.1 pep primary_assembly:Lsat_Salinas_v7:1:126565445:126566674:1 gene:gene-LSAT_1X95920 transcript:rna-gnl|WGS:NBSK|LSAT_1X95920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIPDVSSLSLVSQSSSEHDEQEKDNLAILLDRYKDKLSKFPKEKGWMGQDFYMYQGFWYTSENAFSIETMMAVQESFQGHSTDIYVITQPKCGTTWIKALVFAIVNRTKYKNIKPSDHPLNISNPHKCVPFLETEIIAKSFPANPPRLLASHIPYNALPKSIIDSSCRIVYLCRNPKDVFVSWFHFANKLKDKSRTPMTTGEMLDVYAKGFMPYGPYWDHVIEYYKASSEDPTKVLFLTYEDMKIDTASKVKRLAEFLGYPFTKEEVANGVVEEIVTLCSFENLKEVNKNGDLFTGIPNASFFREGKVGGWSNYLTKEMSQILDDITREKFQGLDISF >cds-PLY82862.1 pep primary_assembly:Lsat_Salinas_v7:4:17513838:17513999:1 gene:gene-LSAT_4X12441 transcript:rna-gnl|WGS:NBSK|LSAT_4X12441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMRGERRWLKPNPRRSNPPKNIFSLLEMQKSPIYEHDSGFEVIKVIFSNLLT >cds-PLY99320.1 pep primary_assembly:Lsat_Salinas_v7:1:69805189:69807334:1 gene:gene-LSAT_1X59561 transcript:rna-gnl|WGS:NBSK|LSAT_1X59561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMDIPKGTIVFTTIGRPHYGFDVFSTPLPVNLQEFSEQRLTDGVSVNFNAQFIGPDEQHRLVYVSERSGSSQIYLDSEPLPYSAPEISLFHDRPIVRDGHLYFISAHETSDASFKSWSALYTLRLDVDDAAKTKTLSRLTPYGCVDYSPSLSQSGDLVAVASYGFRPWAGEFHHLETDIVVFRLSDPTNRWLVCSQGGWPTWSGDSVIYFHRQADDGWWSIFRLDLPSKFDLSPPPAPQRVTPPGVHCFTPAAMHNRPQVAVATRRKGDSYRHIEILDVESGKFYPVTKFLNPNIHHYNPFVSPQSGFLGYHRFRGGDSGEGESIIPNLNLVSSPVKGLRMVRVNGGFPSVSPAGDLIAFNPVFDSNGGVDIVKSDGSKRWSLLKGRTSFYNSWSPKEKNVIFTSIGPIFDSVKATVQIARISFDFKGDGEDDVEAEIKILTKEETGNNAFPSCSPDGKSVVFRSGRSGHKNLYVMDAVEGEFKGNSGIRQVTKGPWIDTMPSWSPDGNLIAFSSNRHNPDNAEAFSIYIMSPDGSNTRRIHVAGAEGSEEVDRERINHVCFSADGEWLLFTSNIGGVTSDPISLPNQFQPYGELYVTRLDGTGGLRRLTWNGYENGTPTWHPATVPELVNSGDKLLGRFDEPLWIKCDF >cds-PLY86627.1 pep primary_assembly:Lsat_Salinas_v7:1:3453259:3454754:1 gene:gene-LSAT_1X2600 transcript:rna-gnl|WGS:NBSK|LSAT_1X2600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQHQNEATTASLAEKVTPAVKKTLLLILHCILLSAGNSFGPVITRLYFLHGGHRIWLRGFVETVGWPFTLIILIVLYYHRRIAGRNNGNNRPTKFIYIRRRLFIAAAVIGFLTGLANYCYSFGFSHLPLSIYAVINATRLIFMAIFAFILVKHKFTPYSINAVVLLTVGSLLMALHASGDRPEGERKREYILGFCMTVAAAALYGFVSPLMELSFNKAKQEITYTLVMEVQMVMCLFATVFCMVGMIFNNDFKVISREAKDFGLGKTNYYVILFVSIILWQCFFVGSMGVIYYGSSFLLGVIATIQIPVMEIFAVTLFKEKFQSIKGVALVLSLWGFVSFFYGEYKYTKMKKGNTQSTLEVV >cds-PLY78615.1 pep primary_assembly:Lsat_Salinas_v7:4:152335717:152336303:1 gene:gene-LSAT_4X94041 transcript:rna-gnl|WGS:NBSK|LSAT_4X94041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCIDDESKRKSRASMEFKKHIQRDKERDSIFPIHPVHQSDSTQQEVDRLRAQVSVMEQQQQQQMKEQMEMVMRMINMSGNQPRGPPDNPPEDN >cds-PLY86156.1 pep primary_assembly:Lsat_Salinas_v7:6:156724481:156726995:-1 gene:gene-LSAT_6X94641 transcript:rna-gnl|WGS:NBSK|LSAT_6X94641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLMLVFLFIFQLVETKVDGGSIDLGSSLVAGTQSTNAWFSQSKMFAFGFYQQGTGYVIAIWLESSGENTVVWTAYRDYPPVSPNATLTLTREGELVLSCEHGGVKKTIAANVSYAVMNNTGNFVLYDDKMGVIWQSFNHPTDTLLQGQSLFGGNELVSSVSKTNYSSGRFRIKMQMDGNLVMYPMDTEDGPENSYWASNTDQYDRISSNYLHLNDTGLLIINGSDSDIIKHLYYAEPTNYNVTYRVTIGDDGILRLYLYNHNNSRPPPIVWKVPDPPCNVKNFCGFNSYCTMNDEQPYCVCLPGYDFVYSDLKSRGCERNFTKAMCKSGKENITYYNMDPKERLVCDDRPYVVFIPDNKDGCSDSCLEDCNCDAAFFSNGNCEKHKFPLRYVKRVYDDSTRPMMFFKTPNVSLYNVQANASINASSLEPTHERIEKVLTTGNKTWLIILVMSVVFFIYSCISLSLTGYFVFKFRLLKYKRLLETRSLGLAEDLILQSYTYKELKKATDGFKHELGRGSFGRVYKGRFYKGNKAIAVKRLEKVVDEGEREFRAEMKVIGKTHHRNLVRLLGYCAEGKERLLVYEYMSNGTLADRLFRSETLPNWSERLQIALDVARGILYLHEECETPIIHCDIKPQNILMDDFWTAKISDFGLAKLLIPDQTKTFTIVRGTRGYLAPEWQKNVPISVKVDIFSYGIVLLETICCRRNLEVHVSNTEEIVLSTWVYKCFERGQLDLLVGDEQVDMATLERLVKVGLWCIHDEPALRPSMKSVLLMLEGITKIASPPCPTSTW >cds-PLY83203.1 pep primary_assembly:Lsat_Salinas_v7:1:49717184:49718573:1 gene:gene-LSAT_1X44300 transcript:rna-gnl|WGS:NBSK|LSAT_1X44300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCRSSLKKKNHRHLKPPQIPLQRLSFPYNLFSDQLKRAASDLKQIIVSETWGNTGKHLNDYTLYIGALDITMMVFKAYKVTHNKKNLDLFKDILKACDSASFGFSCIPVTFICGQVGVSALDVIVAKQSKNGHLFNRYLTRFKKIKLPKNLPNELFFGRAGYLWACLFIKKNLDENIISSTHMRKIKDEIIKAGRNMSTSECPLMFEWYGKRYWGGAHGFAWIMNVLMDMELTEDESKDVKSTLIYKIQKHFSNGNYRSSEGSNSYHLIDWCLGAPGMALTLTKAATICIN >cds-PLY66246.1 pep primary_assembly:Lsat_Salinas_v7:5:142459242:142460793:1 gene:gene-LSAT_0X45000 transcript:rna-gnl|WGS:NBSK|LSAT_0X45000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFLFGSMHLHMRYSQVSFIYSFYFFFYLWQDDNYVYSSIWANRRDVQEALHIPEFLIIESLLINIVELLYTMKYSNKNYNLTYATVKGGGHTAPEYKPKECLSMLMRWLDNGIL >cds-PLY81754.1 pep primary_assembly:Lsat_Salinas_v7:3:34493577:34495822:-1 gene:gene-LSAT_3X25641 transcript:rna-gnl|WGS:NBSK|LSAT_3X25641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVCVLGLHCKVETKKEQDVMERAETREDNGHVIDITTEPSSSSTSTQDRQVNRSNAQQNENLPSTSVSDPVFQHSFSTTNVTNPRNTPFARRANGTNGRRRSPLNSGYWICFELVITMSQIIAAIVVLSMSRHEHPRAPLFTWVIGYASGCVATLPLLFWRFYYRNETSEQDPAQPRQNSSPNFLSAMATSLTSSNARSSVGDTNNATGTTRPVRVLLNARLKVLVEYFKMGLDCFFAVWFVVGNVWIFGGHSSLSDAPNLYRLCLVFLAFSCIGYAMPFILCATICCCLPCIISVMGIREDLSQNRGATSESINSLPTYKFKIKKHKHGSNRGSHESTTEGGIVAAGTEHECVLSGEDAVCCICLAKYANNDELRQLLCSHFFHKDCVDKWLKINASCPLCKSEVGESAMNSLTESTAAGIQL >cds-PLY65666.1 pep primary_assembly:Lsat_Salinas_v7:5:271332681:271336027:1 gene:gene-LSAT_5X141920 transcript:rna-gnl|WGS:NBSK|LSAT_5X141920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKEADGDEVVVEITAVEAKSKNGELEGIEPPPVSVSTIIQPLQIQSNQDPNLISSIAQSPYPKPKSRLVEPPFPTNRKIANEKITPTASPSSQKPKTPKTSTPITPKTPLIASPQEQDADDDDDDAYFTKVLQSKKKKRPKKMKVLVSIEFSLFVCVTIILILSRTVDKLKNSEIWSLGLWKWCVLVMAIFCGRLFSEWFTNGVVFLIEREFLLKKKVLYFVYSLQKSVRVFIWLGLILLTWGLLINRGVHRSRNTTKVLNYITRGIASTLVGSGAWILKTLFVKFLASKFHVKIFFDRIQESIFHQYVLQTLSGPPLMDDFEMSFKNSTERVVEEKKEEKVLDVKKLNKMKRRKVSAWTMKGLIKVIRKSGFSTLSNALEESEDVVGKDEQKDDGKITCEWEAVNVGYAIFANVAPHGEKYIKEEDLLRFMNNDDVKKVLPLFEGAAETGKIKKKSFNFWVVNVYKERKFLALSLKDTKTAIEELNKLVSGFTVFVLIIIWFLLMGIASTEVLLFISSQLLLGIFMFGNSAKTAFEAIIFVFVMHPFDVGDRCVIDGVQVVVEEVNILTTVFLRYDNEKIYYPNSILATKAISNFNRSPEMSDSVEFDVDVSTSIENILALKAKIKTYIDSKPRLWRPKHSVRVREIEDVNKMKMSLYVTHTINFQNHEEKSERRSNLVLELKNIFEELGIKYHLLPQEVLIRYVGSASPPATTATRLS >cds-PLY69002.1 pep primary_assembly:Lsat_Salinas_v7:9:142722255:142723622:-1 gene:gene-LSAT_0X15241 transcript:rna-gnl|WGS:NBSK|LSAT_0X15241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQCFPGVIKFMESPQSVVSPLKNSSIYSDPKSHNLNQSPKSPEFTSKKPDPSLGVLDIYVHQARDIQNICIYHKQDVYAKITLTTNPEKSVTTNTINGGGQNPVFNEKVSIDVPTLDTSIKCEIYMLSRVRNYLEDQLLGFTVIPLSDVIFKKVEKEFSLSTNDIFHSPSGFVHLSLSYNGVSPDVIEIPIPIPSCPDSDTLVSDLDKLEFPDPKIANENEMMVSEYIGLSSESLVSSDTDDQTSSENGASFGFDHGIETKPESPPTSVSTNGSQCDSVPFVADKLESGNQESESESSLKDDPVKDGSVGDVANKPVGVVNLEMDQKVVQQDFVDLYMKSMQQFTESLAKMKLPLDMENEKTSSGDSSSDQNSGTPNGTRPRVFYGSRAFF >cds-PLY68933.1 pep primary_assembly:Lsat_Salinas_v7:2:193049031:193051865:-1 gene:gene-LSAT_2X113681 transcript:rna-gnl|WGS:NBSK|LSAT_2X113681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:Projected from Arabidopsis thaliana (AT3G07990) UniProtKB/TrEMBL;Acc:A0A178VCK8] MGNSSFLLVLYLSFIVLGTCDYLLDQEKDKIDELPGQPTNVDFSQYSGYVTVNQQSGRALFYWLTESPTNRDPKSRPLLLWLNGGPGCSSVAYGAAEEIGPLHINSDGKTLFINPYSWNKLANLLFLESPAGVGYSYSNTTSDLYTAGDARTAEDSYAFLLNWFERFPQYKHRDFYITGESYAGHYVPQLSQIIYERNKGVKNPIINFKGFMVGNAVTDDYNDYVGTFEYWWTHGLISDSTYKSLQTTCEGGSSEHPPIACIRALNTAESEQGNIDPYSIYTKPCNYSSSLKRRGRYPWIRGAYDPCTEKYSNEYFNLPEVQKAFHANITKVSYPWKTCSDIVGSYWGDSPLSMLPIYKELIAAGLRVWVFSGDTDSVVPITATRYSLDALNLSTIANWYPWYDNGKVAGWSQIYEGLTFVTVTGAGHEVPLHRPRQAFILVRSFLENKPMQRSLNSI >cds-PLY94420.1 pep primary_assembly:Lsat_Salinas_v7:6:9324545:9325442:1 gene:gene-LSAT_6X5901 transcript:rna-gnl|WGS:NBSK|LSAT_6X5901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQYLAPSVQWQTRLTKNAMETSSMTSKMWNSVSFKQSKKGAFKSSTKFRICASSSGTINRVEDLLNLDVTPYTDKIIAEYIWYFPIPFDWRFRNRCAQQVKDLHVYIYASGTYNPMMGLGRGGGYGQPPPYGGGYR >cds-PLY68388.1 pep primary_assembly:Lsat_Salinas_v7:8:23376145:23379548:-1 gene:gene-LSAT_8X18040 transcript:rna-gnl|WGS:NBSK|LSAT_8X18040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNVDVVVSVDDSGFIRDRIEFETAKNVSEDRQSEIQYSGTSVSSDVLNADDDPPVTNADDEGSVSYTASNIEKLRYHIGIVDRIGKVKEVSNGISGGLITRQFFPVDSDLELEXAAGKEKPARTKVSKFTVSWCDVLSSDWSMGITYLVCMMHCTLVLIWDCGKQVYLGGFDTALAAARAYDRAAIKFRGIDADINFHINEYEEDMAQVVKNLSKEEFIHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQLLGKKYVYLGLFDNEVEAARAYDKAAIKCNGKEAVTNFEPRIYGADISLEAMDEEASGHNLDLNLGISPTKDSPRANLQAQTQAQAPLLWPSNMYYGFTPNNEEKAIGMASANNIQGLSAWQMQMLGGNYGMGVTFPIPVSSSIAASSGFSSTTTTVFSNGGSIQQPTNMARGTSSSIYFQ >cds-PLY77433.1 pep primary_assembly:Lsat_Salinas_v7:9:108524837:108525858:1 gene:gene-LSAT_9X77681 transcript:rna-gnl|WGS:NBSK|LSAT_9X77681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRSDQNEILDYLSREPLLPVSNTTILGFMVSSLLNFLQLVPDSPFQTHPKSVFLALASLVMYGASCDAEDKYTNHDPVYVNIARHGKILFGSLLLASLTSILVPSFIQPVVYIAYIAFNGYELFRWLYKKIMDQIREIDAHLP >cds-PLY76104.1 pep primary_assembly:Lsat_Salinas_v7:9:31658687:31659408:-1 gene:gene-LSAT_9X27580 transcript:rna-gnl|WGS:NBSK|LSAT_9X27580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSAKPFSAAAGMLRSRLTSTIRQRGGDGPSRWITPGHQERPNGYFLNRTPLPPGQSRKWEDWELPCYVTSFLTIVILGVGLNAKPDLTLETWAHQKAVERLEAQRQILAAADDSD >cds-PLY77322.1 pep primary_assembly:Lsat_Salinas_v7:5:142985194:142991973:1 gene:gene-LSAT_5X63821 transcript:rna-gnl|WGS:NBSK|LSAT_5X63821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase CMT2 [Source:Projected from Arabidopsis thaliana (AT4G19020) UniProtKB/Swiss-Prot;Acc:Q94F87] MILNPVDDDGCESVKGLTEHSSSMSETVLRGTKRMSPDANCEKSDKCSPKLSPGSSSTSEKRMRSSTGMEVNGTESDPSPPTLNVSSDFVFSGDISPVPVPVPLTIDGSIITPNSTSENRSVPGECNGAESDALPLLSNGFGSDFAKTDDDSPAPMTIDASILIPQSASQNRSFPGESKGTESDALPLTLQTLGSDFVNNDDNSPVPLTIDASIITRKTASKNRSVPREGVELRRSPRVSSTTLSVGSQVTKTKSRSTKLHVSGHNMALQLSSETRSTSNKKQRKLKTESFFVGDPVPEDEAQEKWGWRYKLKGQRHKGQSSIVNIGGDDEVHIDVLCHYIQASVDGCIFNLGDCAHIKGEGKQKHVGRIVEFFKTSDDKNYFRVQWFFRAEDTVMKEAAAILDKKRLFASTLMNDNLLDCILSKVKIIEKAPGLTSIHPSDYYCDMEYSVKYSTFRSLLTAKDNSVARCGLTLTTPSCLDANNMGISTTPLDVVKSDMHKAELALLDLYAGCGGMSTGLCLGAKLSGVKLVTKWAIDYQKSACDSLKQNHPETQVRHITAEDFLQLLKEWEKLCKKYVFNDHDIKTKSDDDKKSKINESSVEDDEVAPGEYEVSSLVDICYGDPSSTGQDGLKFKVRWKGYGPTDDTWELIEGLSDCQDHIQDFVRHGYESKILPLPGDVDVICGGPPCQGISGYNRFRNMDNPLTDERNQQIVVFFDIVKFLKPKYVLMENVADILRFDKGSLGRYALSRLIHMNYQARLGLMAAGSYGLPQFRLRVFIWGALPTQRLPQFPLPTHEVIVRYFPPAEFEQHTVAYDEGQPRELQEAIVLRDAISDLPPVTSHDEREEIPYEMPPQTEFQKYIRLTKDGDTDCKNSVLTDHRTYKLSEDDFHRVCYVPHRKGASFRDFPGILVSSDNVVRLNKKVEQVLLPSGKPLIPDYVFTFEKGRSRSFGKIVRPFARLWWDENVPTVLTIPNLHSQKALHPEQDRVLTVRECARLQGFPDHYRFCGNVKERYCQVGNAVAVSVSKTLGYALGMAFKKLSGDEALMTLPPGFAFQVPPPPLEEFSSQL >cds-PLY82819.1 pep primary_assembly:Lsat_Salinas_v7:1:85179516:85182431:1 gene:gene-LSAT_1X73400 transcript:rna-gnl|WGS:NBSK|LSAT_1X73400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKEKNSIYDIRISTVAPADISTKYGFQELSAIDLAMKLHYIRFIYYFRIPAFDGFTIIKIKETMCDWLNYASIPCGRFRRTDSGQPILKCNAAGVRIIEASCYLSLDEWLESKDDSRHKLLVPSNIIGPELSYSPLVMIQLTKFKCGATSVGMGWSHVLGDVFSAIGFIRLWTQVIAGHYPDQPIIMAQPIIMTQPQNEVINIRSPNPNPNPISELLSVKRVGPVGDLWSTSSNSKMETFSIYISMNELARFQTKICQDKAGLQIPEFECICVMIWHCLGKIRQGLGPQVVTVCESDLRNQTKGIITNKNQTINVIKLDIPIVECTLMQLGSLMMNRVVDERNKIEEAMKIDDMLSDFLMYGVNLTFINLSDVLFYDIEVRGQKPVYVNCFMDNIDDKGVVLILPTPKGCSDGRIVSITLQENEMVNLKKALKNDWCIS >cds-PLY81487.1 pep primary_assembly:Lsat_Salinas_v7:8:157201755:157202681:-1 gene:gene-LSAT_8X103801 transcript:rna-gnl|WGS:NBSK|LSAT_8X103801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGDGAPAIGIDLGTTNSCVAVWKHNRIEILPNDQGNRTTASCVAFTNAERLVGDGAKNQIAKNPANTVFDVKRLIGRRFSEVTVQEDIKLLPFKVIKGSSIF >cds-PLY94523.1 pep primary_assembly:Lsat_Salinas_v7:2:156077815:156081655:-1 gene:gene-LSAT_2X80040 transcript:rna-gnl|WGS:NBSK|LSAT_2X80040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFAGSGSIVVNKLYGGTITFPGICLTWGLIVMAMIYSLGHVSAHFNPVVTIAFALLGLFPFKEVFFYIGSQILGATLASGTVVLIIDINPKAFFGTRPSGSIMQSFVVEIIITFIMMFIVSGATNDHRANKKYGGIVVGMTITLNVLVAGPISGGSMNPARSLGPAIVLHSYKGIWVYIFGPLIGAIAESVGLKDYK >cds-PLY95723.1 pep primary_assembly:Lsat_Salinas_v7:2:111303272:111305269:1 gene:gene-LSAT_2X50580 transcript:rna-gnl|WGS:NBSK|LSAT_2X50580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTLKFVFFSAFLSVTLTLVNAEDPYVFNEWKVTYGTMSPLGVPQQVILINGKFPGPVINCTSNNNILVNVFNNLDEPFLLTWNGVQHRKNSWVDGTPATMCPIQPGTNFTYKFQVKDQIVHSRPLIPVPFDNPADEFAVVVGDWFKKGHKSMKNLLDGGNSIGRPDGLHINGKSAQVGAPAEPSWTFEAGKIYRFRFCNVGMRTSVNFRFQGHDMKLVELEGSHLMQNDYMSMDLHVGQCMSVLVAADQPPKDYYLVVTSRFTKTLLTTVATIRYTGGNGPASPELPPPPPENTPGVAWSINQFRTFRWNLTASAARPNPQGSYHYGQINITRTMKFVNSRNYIDGKLRFALNGVSHKDPPTPLKLSEYFGLAEKEFKYNLVPDEPPPEVEKNCKVAPNVLNATFRNFVEIIFENRENTIQSYHLDGYSFFAVAIEPGRWSPEKRKNYNLEDAVSRHTVPVFPGCWAAVMTTLDNAGMWSLRSEMWERFYLGQQLYFSVLSPEKSLRDEYNLPETSLLCGVVSNLPRPAPYV >cds-PLY75329.1 pep primary_assembly:Lsat_Salinas_v7:5:52233787:52236374:-1 gene:gene-LSAT_5X26240 transcript:rna-gnl|WGS:NBSK|LSAT_5X26240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:BPC6 [Source:Projected from Arabidopsis thaliana (AT5G42520) UniProtKB/TrEMBL;Acc:A0A178UIB6] MDESGHRENGRQKPPQGQWLMQHQPSMKQIMTIMAERDAAIQERNLAISEKKAALAERDMALLQRDSAIAERNTAIMERDNAITTLQYRENSMNNSNNNNNSNNTPSPCPPGCQISRSVKHVHHPHAYLQHHEMGGGIGDSIPTSPPAPEPKSRRVKRVKETKPPAKKTSRMSKKVKMECDDLNKAMFEEPHDWNKGGDDSGGGGGGGVDDLNRGVKSEWKDQDLGLNHVAFDESTMPIPVCSCTGVFRPCYKWGNGGWQSSCCTTTMSMHPLPSLPNKRHARVGGRKMSGSVFNKLISRLAAEGHDLSNPVDLKEHWAKHGTNRYITIK >cds-PLY99918.1 pep primary_assembly:Lsat_Salinas_v7:7:16435183:16435350:1 gene:gene-LSAT_7X14180 transcript:rna-gnl|WGS:NBSK|LSAT_7X14180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSNVLGLAQQAQEISCGLDQEEKMVDVVALETPVVIRLINDAVSEDLSPISLSA >cds-PLY73145.1 pep primary_assembly:Lsat_Salinas_v7:2:190333049:190333525:-1 gene:gene-LSAT_2X111881 transcript:rna-gnl|WGS:NBSK|LSAT_2X111881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGRIKLTDTMNNKKICPFNVVQDPADVNLGGQFMFTLIGKQKYLLTSRNLAIDSGYPKGACEGSTFWTIPDAEAKPPSNLITTGGGFEEAVTCFRIQEYPKPTSPKVHSYMLQHCPSFCGAGPGTCFNVSIYLDKGVRRLAATGDTPFEFVFHKLSK >cds-PLY75308.1 pep primary_assembly:Lsat_Salinas_v7:1:163507610:163511236:1 gene:gene-LSAT_1X110041 transcript:rna-gnl|WGS:NBSK|LSAT_1X110041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGDGDSSDAIARDMNSLLHSSTFKHPPEGEFAEIKELISSDSSYSDYNHHDDEHNHNYSRNQNQGRQIESSLMRYRSAPSSFYASLLDENGCDDFLAPVETSNHTREKFFSSGQHHQHVFQSDSHDFIRYASNIDSKEEGINPKLNHTQISNPIFGGNSHQMSHVQPPSNSYNHHTNTNHNQMGSSSTLRTMNLTTSNLIRQSSSPAGFLSSLNAETGFAGMSDVGKPISSSRLNNRISFSSVSSSSPPFLPQISENKNDSTFKSLKRTRDSDSMMFPSSITSDIENGSSRHYSPRLVHHMSLPKTSSEMATAEKFLRFEQDSTPCKIRAKRGCATHPRSIAERVRRTRISERMKKIQSLFPTMDKQTSTADMLDLAVQYIKDLQKELKTLNDARGRCTCSTQQ >cds-PLY97092.1 pep primary_assembly:Lsat_Salinas_v7:4:72094749:72096661:1 gene:gene-LSAT_4X48740 transcript:rna-gnl|WGS:NBSK|LSAT_4X48740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPYIKSLYFPRSIFDNLKDSHTPECIMLEIVSSIFQLEELVVYCPFTSTEHTSWLSLGGPTLKNRNTDNMFKLECILFAKNLESLRLWGVLMVRAPKWDVFQKMKNLEIVGEKLEDSALIEALKATPNLTNLVLLGCEGLRTVWIELLQLEPCKLDFYGSYSCSLTLSAPKIEYLEFQGCSWIRVCDTNCLKNLSISKNVGRVYIVDFGNKLMELESLCIRAVQWCWDAINKILQLASEVKYMYMKVEFTRDYEALLPFPEIDFVDFFKSHPKLKTFDIHGAMFSALYQKNSLKNEGNFNGEDIVRVTTMNKHGKHDLDIPVIVNPRNDSPFINVPEFIMLENVTKDEGWMKVNSSGVRRGKESSC >cds-PLY77030.1 pep primary_assembly:Lsat_Salinas_v7:6:175633098:175634761:1 gene:gene-LSAT_6X107681 transcript:rna-gnl|WGS:NBSK|LSAT_6X107681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESPPIEEVIQSGVVPRFVEFLVREDFPQLQFEAAWALTNIASGTSEHTKVVIDHGAVPIFVKLLASPSDDVREQAVWALGNVAGDSPKCRDLVLAQGALIPLLSQLNEHAKLSMLRNATWTLSNFCRGKPQPSFSQTKPALPALQQLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEANVCPRLVELLNHPSPSVLIPTLRTVGNIVTGDDMQTQYIINHQALPCLLNLLTNNHKKSIKKEACWTISNITAGNKEQIQTVIEANIIGPLIHLLQNAEFDIKKEAAWAISNATLGGSHDQIK >cds-PLY76887.1 pep primary_assembly:Lsat_Salinas_v7:3:5256309:5257878:-1 gene:gene-LSAT_3X2981 transcript:rna-gnl|WGS:NBSK|LSAT_3X2981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILWYRSRKTLSTLPPGPRGVPVVGYLPFLGANFHHEFTKLAQRYGPIFKIQLGSKTYIILSSSDLAKTVLHDQDDIFANRDPPVAGLLVSYGGKDIVWSNNNSYWRNLRKVFVYEVLSNKNLEATQSFRRGGVRKTIKQVYETMGTEVEIGKIVFFTSLSVITNMIWGKSLVEDEKNNDVGVGLREVISKILELLGAPNVSDFFPVLTKFDLQGVQREMKRQWKTMDRILERIIEERLAIKKDEAGRKDFLQILLELKQQNTTSGFSMTEIKALLMDIVVGGTDTTSTMAEWTMAELLQNPNEMKKVQDELEQVIGKNNIVEESHLPKLRYLDAVIKETFRLHPPLPLLIMRSPKLHYIYSAIKVGGYTIPKGSNVYLNVWAIHRDPQYWENPLEFDPNRFLMADGRNKYDYSGYNTNFLPFGSGRRGCPGVPLGEKMLLYLLASLLHSFNWILPNNKEHELSDKFGLVVKKRNSLMAIPSQRLPDKNLYM >cds-PLY97007.1 pep primary_assembly:Lsat_Salinas_v7:2:36502225:36506883:1 gene:gene-LSAT_2X17201 transcript:rna-gnl|WGS:NBSK|LSAT_2X17201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHCSGVSVSDLKKDQSFNDAAATCGQFEQSAASFDEICRNCSREVLKARDSLSRNLGVSGNQTEIEICGVAVVTALASERWNESSLAAVDDFYGCLRILDVHDSIFQTLLGIILASMGLTLVIMLVKYVTRKKQENKSSQLHTKEIPTTWSGLYRFSKAEIDHAINYSEEKKCLGRGSAGEVYKGILPSGQAVAVKQISNGNNSDSFTREVEGLSRIRHPNLVCLFGCCIEDGEQYLVYEYCPEGNLAHHLLRRDSVLPWEKRVKILRDCALAIRFLHHYIDGCIVHRDIKLTNILLTENLEPKLSDFGLAKVLGMEESKVFTDVRGTIGYMDPEYMSNAKLTCASDIYSFGIVALQLLSGQKVIELDLEARDQLTRKAKDVSIGRRPLTDIEDPRLNGNLNMVDFEAILQIAVLCVAKSSKGRPTIDIVFGEMDKAWQNTAADIRAKREMKTFTTIKSLDVQVIPL >cds-PLY88473.1 pep primary_assembly:Lsat_Salinas_v7:1:149564022:149565104:1 gene:gene-LSAT_1X105881 transcript:rna-gnl|WGS:NBSK|LSAT_1X105881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKERELTHNFRGGGDVFQNDEEDTTHTSEPPALETSIKVSSPQSSFIPESDIFETIMKEPFLNLTTPPPPPFNPPKLSMTTSPPTASISISSIPLLPKMSSIETCMPQISIPLSTPIFSESTIPSTSAVTNPPKVPIIKSVLEAIRTSCILGNPYDVGPNANIGMSLEPTSSHVPPLHEDVDILFGDDQKPIDDFVFEPFTVKIDSEDDEAPMTKGQFKQLSEKLDSILEHSSAFSSTKWENLLPTHMEMVEMLALTNDKVLEETTKTTQASKKITEAMEKVNKSIQEVQEFITDLRTSSDKNVPDVNKLIEGFQTCLQAEKKLSQASNSKFNLTMLIFIHHSPKEFSYYSMIWQLRII >cds-PLY94344.1 pep primary_assembly:Lsat_Salinas_v7:7:165642402:165644414:1 gene:gene-LSAT_7X97781 transcript:rna-gnl|WGS:NBSK|LSAT_7X97781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKFTGLVPSLISHSKLNILELTGNKFEKGYWPSWLGKLTTLNELYIADMNINGEIPPFLANLTKLSVLQMGKNVLTGHIPSSLFNISQLRILSLQENQLQGPISSSFSNFKSLQHLRLQWNDFSGKVDLDMFLRLKKLETLALGFNRISLVATKNYSNSTLPELKDVELQSCNLKEFPSFLRFQQKLRILLHGHNKIHGMVPVWIWNNSQETLQLISLSYNSITGFHQHPHFLPWKCLQGFYISYNQVQGQLPLPPQTTVLYGVSNNNLTGEIAPWLCELKCLRELDLSSNNMSGTLPSCLGNLSNSLAELNLRRNNFHGIMMNAFRHGSLLESIDLSENRFVGQLPRSPTNCTNLEVLSLGDNSFDDIFPSWLGILPKLQVLVLRSNKFHGPIPGSTTACSQFPKLRIIDLSNNGFSGRLHHKFFHTCLAIKSIGESFVQETTMSPYTMTLIYKGVKREYEEILTIFTAVDLSCNHFEGEIPLSLQDLQGIESLNLSNNHFTGHIFPSLGYLKNLESLDLSQNELSGEIPQQLVQLGFLSIFNVSMNHIDGRIPQGKQFDTFDYSSYKGNPRLCGHPLSKECQDSKASILPPASNTSEVVSLLPCERIDWIIIYGVGSWMVVGIVLGNFLYTKYYNMFRKRKDRWVRPLRNTRRNARTRFPEMHVLRS >cds-PLY76791.1 pep primary_assembly:Lsat_Salinas_v7:4:188903391:188904996:-1 gene:gene-LSAT_4X109380 transcript:rna-gnl|WGS:NBSK|LSAT_4X109380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVMGHRGDGGDEPPHPFGGGFGVHHIDGDVEAARAQAPADMDMQHWNVVIDHFLTEKHKKRFAGNKECRKKQVVKNLGGKCNYGSACFKNDVDVNTFLQNSAFVTAIGDIIHSLKNQFNNDEEDVDT >cds-PLY95339.1 pep primary_assembly:Lsat_Salinas_v7:1:42388449:42390361:-1 gene:gene-LSAT_1X37201 transcript:rna-gnl|WGS:NBSK|LSAT_1X37201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEFGTVQECSRKSSENTGRSQNSATEEAGAMAVLVSQSYAGAYYPLTTLLPPTIFFRRPHSTAVQCLGFHGSFNVEQYNHNSRKHWDEFYERHQNKFFKDRHYLEKDWGQYFCDDNTIPSNGKIILEAGCGVGNTIFPLIKKYPQLYVHACDFSHHAINLVKSDAKFREDQMNVFVCNIAEDDLCDHIIPSSLDIATLIFTLSAVCPENMPNVIQNLGHVLKPNGVVLLRDYAIGDYAQELLITKNQVISDNFYFRGDGTSSFYFSEDFLSNMFVRAGFSIVDAMDTSSFQKMLTTMVQFL >cds-PLY81189.1 pep primary_assembly:Lsat_Salinas_v7:2:191768311:191771696:-1 gene:gene-LSAT_2X114941 transcript:rna-gnl|WGS:NBSK|LSAT_2X114941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKITIFFVCFGTLLISILITFAAAADTISANQTITDGDTIVSAGEIFEMGFFRPGTSNNRYVGIWYKMIPTRTVVWVANTETPLNDTSGVLRVSQGALQVLTGSNSVIWSSNSSASDRGGDLVAQLLDNGNLVLRDQESLIWQSFDYPVDTLLSGMKIGVDLITGRETYLRSWRSDDDPSPSAGQYVFRVDTNGYPQLFERQNMAPVSRFGPWNGVTFNGMPNLGENSIFTHRFVFNENEIYYEYALVNDSLISRMHLSADGRIEDLIWVNRTQNWTVYSTASNIDACARYAICGPYGNCNINNAPACSCLEGFEPRRPEEWNLADWSSGCQRITPLAGGNGDGFRTLSGVKFPDTNRSVYNLNMTLDDCEATCKENISCTAYASLDIRNGGSGCLQWFDDLMDIRVYEETQELHIRMPASELPSPTSPEYGSGSGKKKPTIAIAVSASIGSFIVCLILALYVAWRKKKRSRKRRQVLMQAREDKYTNDEDRNKDTELSAFSLSMIAKSTNNFALDNKLGEGGFGPVYKGVFEDGREIAVKRLSATSSQGLDEFKNEVGCIAKLQHRNLVKLLGYCIQGDERMLIYEYMENKSLDFFIFDASRSIMLDWPLRFHIINGIARGLVYLHQDSRLRIVHRDLKAGNILLDKNMNPKISDFGLARKFSGYETEANTNRVVGTYGYISPEYAVHGLFSVKSDVYSFGVLVLEIVSGKKNRGFSQQDYNDTLIGHAWKLHKEGKSVELVSSSVRDSCVASQVLRAVHIGLLCVQHHAEDRPTMSSVVLMLGNENYLPPPKQPAFFAEERFVTEINSLSSAPTLDSINEVTVTLMNAR >cds-PLY92752.1 pep primary_assembly:Lsat_Salinas_v7:8:67350497:67350885:-1 gene:gene-LSAT_8X47640 transcript:rna-gnl|WGS:NBSK|LSAT_8X47640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYLSQSSTDDALIDAITIEFAMNDIQTMINISLLISRFKFGIDNWNRLLVIIRIDYALGVIQTFPLMLSDALRSPPQIFDLLHIDLFSCSIFLESMSLSV >cds-PLY68942.1 pep primary_assembly:Lsat_Salinas_v7:9:195120099:195136182:1 gene:gene-LSAT_9X120661 transcript:rna-gnl|WGS:NBSK|LSAT_9X120661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKKQQQQRKSASSSSSTSSKSKPQASTGPKLQISAENENRLRRLLLNSGRSTPAPVHEDSSLTKAQKAKKLYSVYEKLSCEGFTDDHIERALSHLKESATFEAALDWLCLILSPNELPLKFRSSSAVLPDEGGFVGIISTARHDWTPLEDSSVATENEMPEISIKSKGQKKVDSLDSFQKSQADWIRQYMEQQEEDEYDSWEDAVVDKISEPRSYDSIREEYHSARLQAIDAKEKGDKNGQQQSGQIIRKLKQELADLGISVDTLAAEFESRYRVIEESSCESKNLDAGVCEKDGDTCSSIEQIDLKDNGAVSESCLEDVSTKGISVNVPSHDGAVVDDDSGDIELGDMFLEDSSSGQVLDARIAELQKKEKMKELTSEKNLEKLEGIWKKGDPLKIPKAILHQLCQRSGWEAPKYNKVQSTGDGSSYTVSVIRKASGRGKSRKVGGLTTLQLPTPGETFETAEDAQNRVAAFALYQLFPDIPVHLMMSDPYATLVLRWLEGDLSGDVKNNEVDRRAGFVDSLLNTNVSDAAVSVDSSVKNDSQISHVQEDKSYRSGGVNTNVESNHKQVESSYLKKQQESKRKMKKYEEMLKVRAALPIAELKGEILHLLKDNDVLVICGETGCGKTTQVPQFILDDMIEGDRGGICNIVCTQPRRIAAISVAERVADERCESSPGSKDSLVGYQVRLDSARHDRTKLLFCTTGILLRMIVGNKSFADITHVIVDEVHERSLLGDFLLIILKNFIEKQSAQSTQKLKVILMSATVDSQLFSHYFGDCPVIHAQGRTHPVTTHFLEDIHESIDYKLASDSLASLRSNAPKQKGAAVDNHRGKKNLVLSGWGDDSVLSEGYINPYYVSENYQTYSEQTQQNLRKLNEDVIDYDLLEDLICHIDKTYPEGAILVFLPGVSEIHTLLDKLAASYQFRGVASEWLLPLHSNIAASEQKKVFQRPPDDIRKVIIATNIAETSITIDDVVYVIDCGKHKENRYNPRKKLSSMVEDWISRANARQRRGRAGRVKPGICFCLYTRYRFEKFMRPFQLPEMLRMPLVELCLQIKLLSLGPIMPFLQKALEPPTEEAITSAISLLYEVGAVEGDEELTPLGYHLAKLPVDVLIGKMMLYGGIFGCLSPILSISAFLSYKSPFVYPKDERHAVERAKLALMTDKVGGTNDPDNGNRQSDHLVMMIAYKKWDKILHENGANAAERFCKSHFLSSSVMYMIRDMRVQFGTLLADIGLIDLPKNYQIGGKWKEKLDSWFSDTSQTFNVYSDHSPLVKALLCAGLYPNVAATEQGISEKSLGTLRQSVGPTTDDSNVWFDGRRVVHVHPSSVNSNSKKFQYPFLVFLEKVETTKVFLRDTTITSPYSILLFGGSMNIQHQTGVVTIDGWLKMAAPAQTAVLFKELRLTLHAILKELIRNPQTGNIAENSVIQSIVHLLLEEDKPKT >cds-PLY92733.1 pep primary_assembly:Lsat_Salinas_v7:8:66610300:66612106:1 gene:gene-LSAT_8X46840 transcript:rna-gnl|WGS:NBSK|LSAT_8X46840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHQFESKADAGASKTFPQQAGTIRKNGYIVIKNRACKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGGTKDDLKLPTDDSLLTQIKDGFAEGKDLVVTVMSAMGEEQICALKDIGPK >cds-PLY90218.1 pep primary_assembly:Lsat_Salinas_v7:8:274335277:274335834:1 gene:gene-LSAT_8X157381 transcript:rna-gnl|WGS:NBSK|LSAT_8X157381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLWNGWIYRSCSNVTIPEPLGRLPNLRGIDLSSSGLVGSIPESLGRLRYLQVLNLSCNKLTGQIPESLGRLRYLEVLDLSDNKLTGQIPSFLGNLSKLDLSSNQLNGTIPAAIGKLAKLHSLDFSNNSLQGVVFEAHFADLSMLKYLDASFNTKLTFNVSSDWMPPFQLVTLRLRSCNITNGFPQ >cds-PLY70969.1 pep primary_assembly:Lsat_Salinas_v7:2:44466873:44470986:-1 gene:gene-LSAT_2X19180 transcript:rna-gnl|WGS:NBSK|LSAT_2X19180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGISTFVDEILRWFQRHNHLSSSPTSTNPNVVLNPDYEPRQSLSLSLSSTQLTIFEEFDLPAFKKIRVPKRIAFPSLTSVPMDPLKRLEREFFTEYGEAGRYEVQEVVGKGSYGVVGSATDKQTGDRVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYVVFELMESDLHQVIRANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPPPESIARIRNEKARRYLNSMRKKQPVPFTHKFPHVDPLALKLLERLLAFDPKDRPSAEESLADPYFKGLGNADREPSTQPISKLEFEFERRKLGKDDVRELIYREILEYHPQMLQEYLRGGEQTSFLYPSGVDRFKRQFAHLEEGFGKGGNSNTTPLLRQHASLPRQRVPAPKEEGSSQEDLDKRPEYVASTLESPPQQAEGGENKGNYSARSLLKSASISASKCIGSKGIREEESDSEQQDAE >cds-PLY77565.1 pep primary_assembly:Lsat_Salinas_v7:2:165116793:165120102:-1 gene:gene-LSAT_2X86020 transcript:rna-gnl|WGS:NBSK|LSAT_2X86020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting tail-anchored protein 1 [Source:Projected from Arabidopsis thaliana (AT5G11390) UniProtKB/Swiss-Prot;Acc:Q8L7E5] MDAISNYDDESSEFNKSSISLMTSSGDDSVQDSESPVEIIKRFEVEIAYICEKLANLNLLSMRVETKENAFEALLSEMDPVLVVNALEIDLLSGLLDSEVRVLEKHISDLQIEKANVMEFLSSRKPFGEEEPLMRMEDMLNGSGRTLEQSLEQILEIKIRSANFQRNLLRFGGENENTESLEDTDLLELQEKMKLQNVEHQRHILRMLEKSWEREMDLEKRVSELNEIKESLTKRLQLSEQEVVYAHEEAEMTLEKFYEANYKSDLLMEKSKELLNDIKMLQFNLKGSFHREAELRNSLVKLKEQTKETELHQVNEKASMEITLKELREKVTEAEKKAVNAETKSRLLTETCEELKDANERVVSLEKEVNDINIKLQHVEACYEASQEEKIMLHSTIKDMDNVIEDLKEKVLKSQNETDSVEDKCIFLSETNTDLKKELSFAKSRIKSLEESLHQMEESKKATAKDISLRTKLITDLVMQMALERERLQKQISSLKQENKILVKKRVKKTEGDPTVKGSHGDKVNTTENKDFLSTNIQTEKEHEESVMGPTMSESEPYIARNIDAWQLKPKQYLLAAIILIISVYWGLQFSLLT >cds-PLY78143.1 pep primary_assembly:Lsat_Salinas_v7:8:228605793:228606559:-1 gene:gene-LSAT_8X140341 transcript:rna-gnl|WGS:NBSK|LSAT_8X140341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPDINAVFFSKSAESIRVQATEILSRLAEAARGMLSEFENAVLREPSRVPVPGGRYSDDLTTPDMDFTDHEGQSPLALHLIWIIVILQFNLDGKSKHYKDNSLAFLFIVNNVHYIVQNIKGSPELREMIEDSYLKKLTGKFRQAATRYQRATWVGVLYCLRDEGLHVSGSFSSSVSKSALRERFKSFNTMFEEVHIMQALWLIPDTQLHEELQILIS >cds-PLY98177.1 pep primary_assembly:Lsat_Salinas_v7:1:137723200:137726829:-1 gene:gene-LSAT_1X98460 transcript:rna-gnl|WGS:NBSK|LSAT_1X98460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRRATEIELDTMEGFASREKLHHHLNDQADLIQEIDSKLPVVQLALELTHKIFGSCPPMQSFDPAKMLTATRLTRDEYGEPLATSFHSRFMGTVDYICYHWSLIVMCHLGEVAKYKDEEDVTELIKVPCVLHMDPIRGSHTGLKGLMQSYLKEEWKGRQQEASKDISSRFDNLRFISLELPQQPNSFDCGLFLLHYVELFLEQAPINFNPFKITKSVHFLNTDWFPPADASLKRVVIQRLVYDLLQQPYDEAEAFYKVFSQVSAKRFLLAKFHINFDPCVNFNNPFVKLINMTVLPLSDSWILYFIGCLQL >cds-PLY99694.1 pep primary_assembly:Lsat_Salinas_v7:9:56071726:56075854:-1 gene:gene-LSAT_9X47601 transcript:rna-gnl|WGS:NBSK|LSAT_9X47601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRHYSSSSMNPITRITRSPFLLVIVSLTCFFLLFYIFTTSPSSPTKPNFLRTSYTNQPHLNPNVHYSFVDSLEIFLKKWSISRSRHLPEDTVSDPETEEQQQVRKLDDLIWKTETARLYDDEQSSFFSPPVRVYVYEMPAKFTYDMLQLFQSTYKETFNLTSNGSPVHRLIEQHSIDYWLWADLIAPESERLLKSVVRVHRKEDADLFYVPFFTTISFFLLEKQQCKTLYREALKWVTDQPAWKRSEGRDHIFPIHHPWSFKSVRKFVKNAIWLLPDMDSTGNWYKPGQVSLEKDLILPYVPNLDLCDAKCLSESAPRRTTLLYFRGRLKRNAGGKIRSKLGSELGGADDVIIEEGSAGEAGKIAAQSGMRKSVFCLSPAGDTPSSARLFDAIVSGCIPVIVSDELELPFEGILDYRKMSIFVSSSDAVQPGWLLAYLRSIKPTQIKEMQDNLAKYTRHFLYSHPAQPLGPEDLVWRMMAGKLANIKLHTRRSQRLVKDSRSICMCDCKRPNVTVTTPLPP >cds-PLY86956.1 pep primary_assembly:Lsat_Salinas_v7:5:257706210:257728084:-1 gene:gene-LSAT_5X132941 transcript:rna-gnl|WGS:NBSK|LSAT_5X132941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDIYKASRSFNLGSSRTTSWRDSGLDVFSQSNRHEDDDDDEEALKCAVLEKLPTSDRLKKDLLYGSSGSPDEIFVDNLGLEDRKHLLDRLVKVPEEDNEKFLLKLRDRIDTVGIDLPTIEVRFEHLTVEADAFTGSRSLPSFLNFIIEILEAFLNIFHLLPNRKKHITILEDLSGIIKPGRMTLLLGPPGSGKTTLLLALAGKLSKELTWSGRVSYNGHDMHEFVPQRTSAYISQNDLHIGEMTVRETLAFSARCQGIGSRYEMLAELSKREKDANIMPDPDIDIYMKAAATEGQETSVVTDYILKILGLDICADTMVGDQMIRGISGGQRKRVTTGEMIVGPSKVLLMDEISTGLDSSTTFHIVNSLRQYIQIFEGTAVISLLQPAPETYNLFDDIILLSDGKIVYQGPREKVLEFFESMGFKCPERKGVADFLQEVTSKKDQEQYWIERDQPYRFITAKEFAEAYESFHEGRRMAEEISIPYDKNKSHPAALTTKTYGVNKKELLKACISREFLLMKRNSFVYIFKLFQLLVMSFLTMTVFFRTEMHKRSVEDGGIYTGALFFGVTTIMFNGFSELSMTIAKLPVFYKQRDFLFYPSWAYTLPSWIIKIPSSFMDTFLWTLLTYYVVGFDSNVERFFKQYLLLFLVNQMASGLFRFIAALGRNMIVASTFGSFALLILFALGGFVLSRDAIKSWWIWGYWSSPMMYAMNGIVVNEFLGDSWNRVLPNSTESLGVRIIKSRGFFPYAYWYWIAVVALIGYVFLFNFTYTLALTFLNPLEKHQTIILDENEAQNPSSVELHSMSKKKGMILPFEPHCITFDDVKYSVDMPQEMKDQGVIEDRLMLLKGVSGVFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNIVISGYPKKQETFARISGYCEQNDIHSPHVTVHESLIYSAWLRLPADVDSKTRKMFVSEVMDLVELNPIKDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEELYVGPVGRHSCHLIKYFEDIEGISKIKDGYNPATWMLEVSTSAQEMALGIDFTQIYKNSELYRRNKALINELNTPLPGSKDLYFPTRYSQSFFTQCMACLWKQRLSYWRNPSYTAVRFLFTLGIGLMFGTMFWDLGGKRTSKQDLLNAMGSMYAAVLFIGTQNASSVQPVVAVERTVFYREKAAGMYSALSYAFAQVLVELPYVFVQTSAYGLLVYAMIGFQWTAAKFFWYLFFMYLTLLYMTFYGMMTVAMTPNPTVAAIVAASFYGIWNLFSGFVIPRTRIPIWWRWYYWACPSAWTLYGLVTSQFADSEDMLENGETVKDFLERYFGFERSFLGVVAGMHVGFTLLFAFVFAFAIRSFNFQRR >cds-PLY75939.1 pep primary_assembly:Lsat_Salinas_v7:MU042908.1:644:3313:1 gene:gene-LSAT_0X45380 transcript:rna-gnl|WGS:NBSK|LSAT_0X45380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVVAKPCSNSSSSSSSHVSAVAMVQENGSRNKRKFRADPPPVTDPSKTSSPSHSECLGYEFSAENFNGCDMCSFSHENTDPVELDLGLSCSVSVGGTCEGGRNHNINRAEIEASDEFHDADWSDLTESQLEELVLANLDTIFKSAINKIVSFGYTKEVATNAVLRSGLCYGCKDAVSNIVNNTLVFLRNGQEVDSSREHQFEDLQQMEKYILAELVCVVREVRPFFSTGDAMWCLLVSDMNVSHACTMDSESLSSSSSSNMVGDVSDPNSTQTQPRKEVNSSESQNPNHSSLCPHKSASEPQPPVMTSCGHNNSSFSSPPVKPKTPFVLNRFASEKENHVSKCKSQNHEEKFVSGRKITGISKRESILRQKSVHLEKSYRTYGSKGSSRTGKLTNFGGLLLDKKLKSVSESTGINLKNLSLKINKGVAPQPQRSDFNTETCGNSNPPTLPKTHNNPPASASASASETELSLSNPPKQNDVHVSSNPSFSAIPYDKWISHAKKDETIMKLVPRVHELQNQLQEWTEWANQKVMQAARRLGKDKAELKTLKLEKEEVERLKKEKQTLEENTMKKLSEMENALCKASGQVERANSAVRRLKVENSNLRHEMEAANLRAAESAASCEEVSKREKKTLMQFQSWEKLKSLIQEELIAEKRKFTQLQQDLQVAKQQQDQLESRWKQEEKAKEELVREANSYRIGRLEAESSAKLRNELTRLKADKNLQRYKQDIEKLQKEISMLNLKSDSVKIAALRGGVDGSYASKLTEIKTSNSQQEYQTQTLYTSKIMNNNNPHGIGNCNGGGVGVKRERECVMCLSEEMSVVFLPCAHQVVCTTCNQLHEKQGMKECPSCRGAIHRRICVRYARS >cds-PLY77524.1 pep primary_assembly:Lsat_Salinas_v7:2:162918001:162919057:-1 gene:gene-LSAT_2X84800 transcript:rna-gnl|WGS:NBSK|LSAT_2X84800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTQMEWVRGQAIGHGSFAIVSLAKTTSLNSRFPPLMAVKACGASQSDSLKKERMILDELKDCPEILRCYGDCFTIENGERLYNIALEFASGGSLADRVKNSDNLRLPESDVRRYTNSVLKGLQFVHQNGFVHCDIKLQNILLFAGDGKDNVKIADFGLAKKVSEESNSNSKNEIRGTPMYMAPETVVGGEQGPASDIWAVGCLVLEMFTGKPAWTCSGVGAVLMKIGVGAEIPDIPGKLSDAGKDFLGKCFVKDPSKRWTAEMLLNHPFINGQDQTTSSSPRDPFDFPDWELEPLISVTPFCSPEFDSWISDESCPSPASRLRQLVTDRRPDWTEGSSWLTVR >cds-PLY76006.1 pep primary_assembly:Lsat_Salinas_v7:5:319952460:319954333:-1 gene:gene-LSAT_5X177200 transcript:rna-gnl|WGS:NBSK|LSAT_5X177200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISNHGYNDFERLQFGSPNRLNHNLSHWDINYPLQHQTTLQGPPIGHGQSSLLTKKLVRLDVPVDRFPGFNFVGRLLGPRGNSLKRIEALTGCRLFIRGRGSMKDPNKEERLRGRPDHGHLNEPLHILIEAESPENVVDLTLKQAQEIIQELLKPVTYSKDLYKRQQLRELATLKSLFGEDTSKPSSSKSTRRCGTMKRATTARKGTM >cds-PLY67512.1 pep primary_assembly:Lsat_Salinas_v7:6:73803758:73805416:-1 gene:gene-LSAT_6X51960 transcript:rna-gnl|WGS:NBSK|LSAT_6X51960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme COP10 [Source:Projected from Arabidopsis thaliana (AT3G13550) UniProtKB/TrEMBL;Acc:Q0WNL1] MNSGSLTGGRSWPSRTSLTSSGKRIQKEMAELNTDPPADCSAGPKGDNLYHWVATLFGPQGTPYDGGIYFLDITFPANYPFKPPKVVFKTRIYHCNVDSTGNVSLDILEENSWSPALTISKVLLALRSIFTNPNPYKPQVPGIAHLYLVDKSKHDSLAAEWTQRFAK >cds-PLY86326.1 pep primary_assembly:Lsat_Salinas_v7:8:28325164:28328505:1 gene:gene-LSAT_8X22900 transcript:rna-gnl|WGS:NBSK|LSAT_8X22900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMAMVGGEMEKDVESKLHIQDNSANHGGKDINKSNSFKFRAPQENFTIQDFEMGKIYGVGSYSKVVRARKKDTGKVYALKIMDKKFITKENKTAYVKLERIVLDQLTHPGIVQLHFTFQDTFSLYMALESCEGGELFDQITRKGRLTEDEARFYAAEVIDALEYIHNLGLIHRDIKPENLLLTSDGHIKIADFGSVKPMQDSQITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATIGNDLWALGCTLFQMLSGTSPFKDASEWLIFQRIIARDVRFPDYFSHDARDLIDKLLDTDPIKRPGAGRDGYNSLKNHPFFQGIDWHNIRSRNPPKLVFEKSHTGGRTSGGDDTPDSSWNPAHIGDGTGIGNTFSDVTSSSDISHITKLATIDSFDSKWQEFLEPGESILMISMVKKLQKLSSKKVQLILTNKPKLIYVDPSKLAGKGNMIWSDDPNDLSIQVSSPSHFKIFIPKKVMSFEDPKQRAYQWKKAIEALQNR >cds-PLY94129.1 pep primary_assembly:Lsat_Salinas_v7:8:29416654:29419082:-1 gene:gene-LSAT_8X24621 transcript:rna-gnl|WGS:NBSK|LSAT_8X24621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKPIWHNQSFFLLSTCALIFISLLPLTTQQTPETAETSITRFQQYLRIKTAHPNPDYSTAVSFLNVLAKSIPNLITKTLYLTTPQKPLLLVTFLGSNPNLPSILFNSHLDSVPAEPSKWVHPPFSAIKTDDGKIFARGAQDDKCIAIQYLEALRNIIKRDPEFKPIRTLHICYVPDEEIGGFDGMQKFVESKEFKEMNVGFFLDEGQASINNKYRVFYADRSPWNLVIKAVGKPGHGSKMFDDTAMENLMKSIEVVSRFRENQFDLVKTGVLANSEVVSANPVYLKAGTPSKNGNFVMNMQPSEAEVGYDVRLPPTVDPDLLKKRIAEEWAPKTRNMSYHIVEKGPLRDYMGRPLMTATNDSNPWWNVFKDAVEACGGELEKPEILSSTTDARYMRQLGIPTFGFSPMKNTQILLHDHNEYLEDNVFLEGIKVYESIIKSLSSFEG >cds-PLY75202.1 pep primary_assembly:Lsat_Salinas_v7:2:203290220:203290420:-1 gene:gene-LSAT_2X123800 transcript:rna-gnl|WGS:NBSK|LSAT_2X123800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYISVGPHFKSVGKPIYTERNHQSGTSEDEDVRNIKLLSILDIGCSRKLTSKNSWWGFIVNGRGRG >cds-PLY80488.1 pep primary_assembly:Lsat_Salinas_v7:2:136915352:136916365:1 gene:gene-LSAT_2X67201 transcript:rna-gnl|WGS:NBSK|LSAT_2X67201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWRQTPLLNRNILSLLSSNSNTFIARFSSKANPYHVKVGIPEFLNGIGNGVETYAEKLESEFGDLQNLLVARSLKLKKLNVGGDPKVCKHRKLILKYAHKYRLGLWKPRVEPPKSNK >cds-PLY91006.1 pep primary_assembly:Lsat_Salinas_v7:7:178654499:178656004:1 gene:gene-LSAT_7X105640 transcript:rna-gnl|WGS:NBSK|LSAT_7X105640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLPVTDPMTQLSLPPGFRFFPTDEELLVQYLCRKVAGHDFSLQIIADIDLYKFDPWELPSKAMFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKVITTGGRRVGIKKALVFYVGKAPKGNKTNWIMHEYRLSEPQRKNGSSRLDDWVLCRIYKKNSSAQKHISGGAPTTEQSHGSSSSSSSQFDDVLESLPEIEDRFFNLPRINSLKTFQQEDQKVNLQKFDSGNYDWASIAAFGLPEPATGSQAPTTANTAMNVIPSMAPATTYTMDATFGRSVEDEVQSGIRSQRVEHPGSGYFQSNLNQFTQSQGFSNTMDPFGIRYPTQQGNLGFRR >cds-PLY70456.1 pep primary_assembly:Lsat_Salinas_v7:1:73390171:73390446:1 gene:gene-LSAT_1X62600 transcript:rna-gnl|WGS:NBSK|LSAT_1X62600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEIMATSRALETSLVSLMQPLDGGQVPIQEEHASNRTRVSQTPSTSAAETVAAYIASLWCRTVNPPLQASIETTNPQIVVTQQTEIPVS >cds-PLY86238.1 pep primary_assembly:Lsat_Salinas_v7:8:58351098:58354241:1 gene:gene-LSAT_8X42640 transcript:rna-gnl|WGS:NBSK|LSAT_8X42640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERFPQLVIFLSVFLLFSAYANAHVKSLIIFGDSLFDPGNNRYIKNCTIQANFPPYGSTFFHRPTGRFSNGRTVADFIAQHMGIKFQKPYQKLYGKNLGSIIVKHFPVQAHGINFASAGSGILPETNKYAGVTPLEVQLQQFQELIHYKHLHRDQISKSIFFLEAGSNDIFTYLQAPEKSTLSPIAFVDSMLREAANFLDIIYQHGARKIAIFSVGPMGCIPGRINLPNASTHRCYAGANKMTKYYNYGLERLVYSIRRKYRGAIGVYGEVFNTAQRLRVRPKLHGFSNVNSACCGAGPLKGRVQCGEKGYTMCSNANEYFYWDYFHPSEHTYELISKALWSGGRYAIRPINLRTLANITLPHS >cds-PLY83513.1 pep primary_assembly:Lsat_Salinas_v7:8:188604078:188606786:1 gene:gene-LSAT_8X122840 transcript:rna-gnl|WGS:NBSK|LSAT_8X122840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMQQKTDIDLATITDRMAVKKPIQSGNIEDAIEKVNDLNLEIEEALEFAQEELAPRVEENQSFLEELERIVALLAFEDVNNSPVGDLLDISQHLKPASERMKNKINEWHDDLLQVRNGGSSWIVNDSNASIDQFETIFFKIRPTIKLDGFTSRQLRLIKSIIFLEELERTVALPAFEDVKNSLVGDLLDISQHLKTASEVNDAILTSQSHEKECFYGLKISLMKRQLIHTSPINHT >cds-PLY90827.1 pep primary_assembly:Lsat_Salinas_v7:6:155755315:155758115:1 gene:gene-LSAT_6X94140 transcript:rna-gnl|WGS:NBSK|LSAT_6X94140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 2-1 [Source:Projected from Arabidopsis thaliana (AT5G47180) UniProtKB/Swiss-Prot;Acc:Q9LVU1] MTSASGNKLITVDPEELRFQFELEKASHCDLKVSNATDKYVAFKVKTTSPKKYFVRPNTGVIQPRDTSVIRVTLQPQLEYPPDMLCKDKFLLQSTPVPSITDTEELPANTFSKEPGKHLEECKLKVVYIALQGNNNSGDTPKQNSDPNSNQAIQTARAARDSAVREATQLQQELEMLKKKNQKPAPAASGFSLKLAIVAGIIGILVGFILKLAMASPTSPPPPQPPSPSITE >cds-PLY68541.1 pep primary_assembly:Lsat_Salinas_v7:5:91974402:91975294:1 gene:gene-LSAT_5X41621 transcript:rna-gnl|WGS:NBSK|LSAT_5X41621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGTLEYISDLMSSGHKQKKKKQQLQTVDLKVRMDCDGCELKVKKALSSLSGVKKVEINRKQQKVTVSGYVEANKVLKKAKSTGKKSEIWPYVPYNLVAQPYAAQVYDKKAPPGYVRKVDTTGSVGVTRYEDPSYSYITLFSDDNPNACSVM >cds-PLY69059.1 pep primary_assembly:Lsat_Salinas_v7:3:206456439:206457687:1 gene:gene-LSAT_3X121041 transcript:rna-gnl|WGS:NBSK|LSAT_3X121041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATIASVVSAYDRPTELKAFDETKSGVQGLVDAGIRHVPRIFINPHETSPKTSTTLEIPVVDLGSTDKASTVEKIRAASEKLGFFQVVNHGIPVSVMNDVLQGVRRFHEQDVEVKKQFYTRDNTRTVVYNSNFDLYTSPSANWRDTFFSFMAPSPPPPEELPEVCRDIQIEYSNQVMKLGSLLFRLISEALGLNEDHLRDLDCDKGLVFIGHCYPACPQPDLTMGATRHTDDGFLTVVLQDEIGGLQILHQNQWIDVPPTPGALVINIGDLLQLISNDKLRSVEHRVVSNEKGPRVSVACFFSTSLAPSTKVYGPIKELLSDDHPPRYRETTVYDFVQYSFSKGLDGVPHLLHLKL >cds-PLY87771.1 pep primary_assembly:Lsat_Salinas_v7:1:46080771:46086218:-1 gene:gene-LSAT_1X40220 transcript:rna-gnl|WGS:NBSK|LSAT_1X40220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKVRMNTADVAAEVKCLRQLIGMRCSNVYDLSPKTYVFKLMNSSGITESGESEKVLLLMESGVRLHTTAYLRDKSNTPSGFTLKLRKHIRTRRLEDVRQLGYDRIILFQFGVGANAYYIILELYAQGNILLTDSEFMVLTLLRSHRDDDKGYAIMARHHYPVEICRVFERTDGAKLQATLTSFNNQESNDQVEGGAGEKDEPAPQKKGNRKAGKSNDSSKKKDGAQAKQATLKVVLGDALGYGPALSEHIILDAGLTPNLKVSKDLKLDDGSIQALSEGVKRFEDWLEDVISGTKVPEGYILMQQKKMVKDQPPVDSSATDQIYDEFCPLLLNQFKSRVSVSFETFDAALDEFYSKIESQRAEQQQQAKESSAMQKLTKIKNDQENRVQVLKKEVDRSVTMAELIEYNLEDVDAAILAVRVALANGMNWDDLTRMVKEEKKSGNPVAGIIDKLHLERNSMSLLLSNNLDEMDDDEITQPVEKVEIDIALSAHANARRWYEQKKKQESKQEKTLTAHAKAFKAAEKKTRQQLSQEKSVAAISHIRKVHWFEKFNWFISSENYLIISGRDAQQNEMIVKRYMSKGDLYVHAELHGASSTVIKNHKPDNPVPPLTINQAGSFTVCHSQAWNSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLIMGFGILFRLDETSLGSHLNERRVRGEEDGINDSEDSEPFKELSDSGSENEAPDSEYPVNVSDLPTDNQKMTELPSEASSVPEITTSDINTTNSQEISVPTVSPELEDLLDKALELKSGATSAKKYGLQALEMEPENSNQEEKKGTLREKAYVSKAERRKLKKGQTHSEGEDGGQVKKEVEKKKEIVNPPQKEKDVQNVNGGGKVSRGQKGKLKKMKEKYADQDEEERKIRMALLASAGKAKVNEQEAQTEEEINTTTSKELKPLDAGNEDAPKRCYKCKKVGHLAKDCKEHSDAVAAANGRNGENETLNEAEKIAMEEDDIKEIGEDEKEKLTDVDYLTGIPLPNDILLYAVPVCAPYAALQSYKYRVKIIPGTAKKGKAAKMAMNLFGHMPEASQREKELMKACTDPELVAAIIGNVKVSAAGLNQLKQKQKKGKKAASKQN >cds-PLY80676.1 pep primary_assembly:Lsat_Salinas_v7:5:245681612:245684027:-1 gene:gene-LSAT_5X122300 transcript:rna-gnl|WGS:NBSK|LSAT_5X122300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQELICATSSVSFNSLPSSPFNHTFYDTTRFTFRFLKNKHIASFPCMRAQQSEATDGSAINIASDDSKVDALDIKESKVKNSQIDIAATQGIKIRRRPPTGPPLHHVGPFEFRLQNEGNTPRNILEEIVWNKDTEVAQMREKKPLGSLKKATDIAAPPRDFIGALKASYEKTGMPALIAEVKKASPSRGVLREDFDPVEIAKAYEKGGAACLSVLTDAKYFQGSFENLEAIRNAGVKCPLLCKEFIVDAWQLYYARAKGADAVLLIAAILQDLDIKYMTKICKMIGLTVLVEVHDENEMDRVLEIDGIQLIGINNRNLETFEVDISNTKKLLEGARGEKIRQKGIIVVGESGLFTPADVAYVQEAGVKAILVGESLVKQEDPTKGIALLFGKDISA >cds-PLY86898.1 pep primary_assembly:Lsat_Salinas_v7:5:265553741:265554034:1 gene:gene-LSAT_5X136761 transcript:rna-gnl|WGS:NBSK|LSAT_5X136761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGSKLSKMIMRRRSCLVPKGYVPISVGVNEETTKCFIIHTTTLSHTDFLEFLWRSAEEYGFSNNGILRIPYETRAFEEWMKKDGKDKLLKLKPI >cds-PLY96010.1 pep primary_assembly:Lsat_Salinas_v7:4:20756365:20763575:1 gene:gene-LSAT_4X13901 transcript:rna-gnl|WGS:NBSK|LSAT_4X13901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLVGLCLQPSRKVSNNNPRHGGGYGGRRSNRVGWRPVMGGIQMEVTAGDGLIKVFVASRLNVPGAWQMPQGGIEEGEEPTSAAVRELREETGVVSAEIIAEVPKWLTYDFPPAVKAKVNRLWGGGEWHGQAQKWFLMRLTKDESEINLSSGEVDPEFSEWKWTTPEEVIEQAVDYKRPTYEEVIRTFKPHLHEGGKGAAKCLSTKW >cds-PLY70209.1 pep primary_assembly:Lsat_Salinas_v7:9:499027:500070:-1 gene:gene-LSAT_9X5100 transcript:rna-gnl|WGS:NBSK|LSAT_9X5100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTEGSTKGGRGKSKITKSVSRSQKAGIQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGTVTIANGGVLPNIHHNLLPKKFGKGKDEIGSASQEF >cds-PLY69298.1 pep primary_assembly:Lsat_Salinas_v7:4:105767894:105773350:1 gene:gene-LSAT_4X68381 transcript:rna-gnl|WGS:NBSK|LSAT_4X68381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase CMT3 [Source:Projected from Arabidopsis thaliana (AT1G69770) UniProtKB/Swiss-Prot;Acc:Q94F88] MPRAKRRSSSASKDDALVSSEKRPKIEEKKEEDEEVSVVPDLVSPAKSSGGKSEKPKVRSKAKEKVKDEVEDAEESRFVGDPVPAEEARQRWPLRYLQKNKAGKTESLETPKGVEPPKELMQAKRHFTEALVDGRVLFKLGDDGYVQAGEGEDSYICRVVEMFEGVDGTSYFSAQWFYRAKDTIIQACSNLIDDKRVFLSEIRDDNPLDCLLQKLQIVRVPLDADVSEKQAKLGDGDYYYDMSYLVPYSTYQNLPPDAEADGNESDSTISSESDVNGAVNEESQSQNNKRSEMRMLDLYSGCGAMSTGLCLGANMADVNLVTRWAVDLNQYACQSLKLNHPETEVRNESAEDFLQLLKEWEKLCQSYSLVGGGESQQKEEPAVIEEDEEEEDDVDVDNVEIFEVEQILSICYGDPKELKKPGVYLKIRWKGYGPEEDTWEPIEGLSDCHDKIKEFVVNGFKSKLLPLPGDVDVITGGPPCQGISGFNRFRNKDKPLEDEKNKQLVVYMDIVEYLKPRFALMENVVDIVKFAKGFLGRYALGRLVSMNYQARVGLMVAGSYGLPQFRRRMFMWGARPSEKLPQYPLPTHNVVTRGVSPLEFESNTVVHDDGLKVELEKELYLGDAISDLPPVPNDETRDEMPYGGKPKTEFQKFISLKKTDMPGCVASGKEASDHLLYDHRPLKLNDDDYQRVCQIPKKKGANFRDLKGVRVKADNHVEWDPDVERVYLPSGKPLVPDYAMTFVDGRSQKPFGRLWWDETVPTVVTRAEPHNQAILHPLQDRVLTIRENARLQGFPDYYKLLGPIKERYIQVGNAVAVPVARALGYSLGMSCKGNAGGEPVFSLPPKFPNLQPVTSPSVVQENE >cds-PLY67937.1 pep primary_assembly:Lsat_Salinas_v7:5:299702388:299703419:-1 gene:gene-LSAT_5X160881 transcript:rna-gnl|WGS:NBSK|LSAT_5X160881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVPVTSDIPTSMDAQPTAADNERRKAMSIITNRYNDKLTTLPKEKGWLTENLRLYEGFWQESIRVISVVTLMASQDSFQAQPTDIYVATLQKAGTTWLKALAFAIVSRTRYKNSSLSSHPLQTLNPHKCVPYIEMESLRTLPSYADGQSPRLFSTHIPYTSLPQSILDNECRVVYMCRNPKDVFVSLFHYANKLRDKSLAQMRFEEAFEMFSNGLIPGGPYWDHVKGYYKAAIERPSKILFLTYEDMQKDSVNNVKRLAEFMGYPFTEEEEIKGVVQEIVALCSFENMREVNKHGILVEGVRNDVFFREGKTGDWTNHLTNEMSQILDQITKEKFDGLDISF >cds-PLY79896.1 pep primary_assembly:Lsat_Salinas_v7:8:15902850:15905365:1 gene:gene-LSAT_8X13161 transcript:rna-gnl|WGS:NBSK|LSAT_8X13161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVFTTTLLSFLLLVSSLGYLANAKTHNHDFVVQQTNIKRLCKTHNSITVNGQFPGPTLEVNNGDTLVIHVVNKARYNVTIHWHGVRQITTGWADGPEFITQCPIRPGGSYTYRFTISGQEGTLWWHAHSSWLRATVYGAIVIHPKLGDSYPFPKPKRDSVIALGEWWDANPIDVIREATRTGAAPNVSDAYTINGQPGDLYSCSSKDTVIVPIDSGETNLIRVINAALNQQLFFTIANHKLTVVGADASYVKPFTTSVLMLGPGQTTDVLIKADQAPGRYYIAARAYASAQGAPFDNTTTTAILEYKTATCTAAKCATSKPIMPPLPAYNDTATATAFTTSFRSPRKVMVPTEIDDSLFITAGLGINQCPPKTRARNCQGPNGTRFTASMNNVSFVLPSNFSLLQAHQQRVPGVFTTDFPAKPPVKFDYTGNVSQSLWQPSRGTKVYKLKYGARVQIVLQGTNIFTAENHPIHLHGYDFYILAEGFGNFNPKTDTAKFNLVDPPLRNTVSLPVKGWAVIRFVADNPGAWIMHCHLDVHIGWGLAMVFLVDNGIGPMQTLEKPPPDLPVC >cds-PLY92707.1 pep primary_assembly:Lsat_Salinas_v7:7:4693505:4697565:1 gene:gene-LSAT_7X4261 transcript:rna-gnl|WGS:NBSK|LSAT_7X4261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVLDKAVYLYLKKKGFTQTEQIFQQEQQNKNKNSSSSVTATDVSLSDPDLAKQILVFSQSESIPTLYHDGYSKLRSWTYSSLDLYKHELLRVLYPVFIHCFMDLIAKGHLQEARAFFNTFREDHEMMHSRDLTKLEGVLSPSHLEEMEFAHTLRQSKVNIKICQYSYDLLLQYLHKTQSITMLGIINEHIDFQVSPGQPSSISDDAEAFSLVGSGQDAANLINQKEIHWGLLEDCLEDRLDKAGGLLSDSEKTEGEGKEGELDENKKKSADGGKQGGPLKKLKKDKVVGGAGKAARGEGDKSTAAPRVKPELTLPTISTDVEHSILEDLRNRVQLNNSTLPSVSFYTFINTHNGLNCSSISHDGSLVAGGFSDSSLKVWDMAKLGRMGSSGENDSDGSNGGKRSYTLYQGHSGPVYSASFSPFGDFLLSSSSDSTIRLWSTKLNANVVCYKGHNYPVWDVQFSPLGHYFASASHDRTARIWSMDRIQPLRILAGHLSDVDCVEWHMNCNYVATGSSDKTVRLWDVQSGECIRIFIGHRSMILSLAMSPDGRYMASGDEDGSIMMWDVSNGRCVTPLVGHTSCVWSLAFRYQTLTHSSHHLLLLVLTRAFDKYIYIXXXXXXXXXXXXXXXXXXXXXXFFFFFFFF >cds-PLY90361.1 pep primary_assembly:Lsat_Salinas_v7:2:198831423:198833112:-1 gene:gene-LSAT_2X120101 transcript:rna-gnl|WGS:NBSK|LSAT_2X120101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPHSTDQRRQFSDYGGRNAEIQLLLGVVGAPLVPLPISSGHPNIINPDNPIEASMAKYIVQQYVAAAGGERALNLVQNMYAVGTVKMVASECIAEDGVTMNCGGGKAMKAKNIKNGGGEMGGFVLWQKRPDLWSLELVLSGYKISAGSDGKVAWRQTPWHHSHASRGPPRPLRRSLQGLDPKSTANLFNNSICIGEKTINGEDCFVLKLEAELSTLKIRSSTNVEIMKHTIWGYFSQKTGLLYQLKDSHLIRINTPGSDSVFWETTMESLIQDYRTIDGVNIAHGGQTTVSLFRFGEDSESHSQTKMEEIWTIEEVDFNIKGLSNDCFLPPSDLKKEDDKQIVHDATSGDKFIGSTKFASRSRGNSSRFGVHKIVAIDSDGFGDL >cds-PLY94168.1 pep primary_assembly:Lsat_Salinas_v7:5:35514345:35514608:1 gene:gene-LSAT_5X16941 transcript:rna-gnl|WGS:NBSK|LSAT_5X16941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDEEGGRGNKGINGKVGEGSSGKKANDVIDDKGGRGKKGSNGKVGEGTNGRKTRKKSERILKKKLGTRVEGNNGEGNNIDKPMELE >cds-PLY77267.1 pep primary_assembly:Lsat_Salinas_v7:4:164736307:164736696:1 gene:gene-LSAT_4X99221 transcript:rna-gnl|WGS:NBSK|LSAT_4X99221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPFYFYIPNDDAIKEDNTLNTPFLIFATNVEFSDDIPVNYLNRGSEAFVQFLKSHPLRYARANSVEFFLPQHVCEFSYTYTYDDQTDIIQGTICNGDHVIHLYVSILRDALYLPNLMNFLDSSSEKQ >cds-PLY65777.1 pep primary_assembly:Lsat_Salinas_v7:5:270358065:270359288:-1 gene:gene-LSAT_5X140881 transcript:rna-gnl|WGS:NBSK|LSAT_5X140881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLRKGKNGRYYAFIRFTDVKNVKHMENQLDGTVVRGTRLEVNLALHKRKEIPPITKKKFNSNYSVRHNDHFTTKQPAQGMWGRPRDNRTFAEVLGKKTQPHATHPPPPPPIPPHIDLSRNIQKWLWKTSLIGEATSLDHLGHMPKLLTFRNDILMEIKYVGGLKVLLQFNDSVSAKEFKDNRERWQEHLKWVEWVEKIDPEFDRVAWIRIVGLPIHLWGDRNFSKITEGYGVTIAPFDELHNRVDLSCVKIGILTKRRTRINDKIFVSCEGKFIKLGIIEFDEDWFPFKFDHCEDYYVNDELSEVDATDEENEDNEGVSDTWMHEDGGEMEEGEISPVPVVREEPLQDIRSPETEKPVGTPVKSTMPIRVTQETPGIPQRLETSPRKSGGPSQVLGIVGNGIIHSP >cds-PLY91001.1 pep primary_assembly:Lsat_Salinas_v7:7:129572710:129577635:1 gene:gene-LSAT_7X78580 transcript:rna-gnl|WGS:NBSK|LSAT_7X78580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPTAAGGVTSEITGGSGFGGVRGVPPRDEGWLCPVCDCKVDCVDLLNDSMGTDLSINDTWEKVFPETAVYGDKFTDISGLPDDSEDDDYNPDAPQVAEDEVDVEDPSSDESDESDFSSASSDLGAIANKINNYLSVYQSFIFY >cds-PLY80984.1 pep primary_assembly:Lsat_Salinas_v7:9:175384058:175385028:-1 gene:gene-LSAT_9X108041 transcript:rna-gnl|WGS:NBSK|LSAT_9X108041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADIRDQAGNPIQLTDELGRPVQLTDEFGRPMHLTGVATTKDSGFHAGTTIGSDLKTHDGTRVAPTIPEAVPGGAGAGTAVPCGGEGERREIGRSGSSSSSSSEDDGQGGRRKKKKGLMQKIKEKLPGHKNKEEKAPEKVYTATTKISVTTPAGPLGEPKPEFVRVEHHEEEHEKKGLMDKIKDKLPGHHSH >cds-PLY82109.1 pep primary_assembly:Lsat_Salinas_v7:1:14569923:14575613:-1 gene:gene-LSAT_1X13121 transcript:rna-gnl|WGS:NBSK|LSAT_1X13121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKVMSGKFKTLVKHILKSMNISCLKDNGGNNWVDIVTSLSWEAASFLKGEAMDPDGYVKVKCIATGSRSQSEVFNGLVFKKHAAHKHMPTRFKRPKLLLIKGTLSDSDGFSSFESMNHEKNRLDSVIGMMEKCNPNVILVEKTVSRDIQEYILSKGMTLVLEMKMNRLERIARCTGSSILSYDQPSDEKLRQCDSFYFEKIIEEHGALCESGKKPNMTLMFLEGLPKRMGCTILLKGSHSDELKKIKTVVQFAVGLAYHLILENSFLLNQRIMFSTICPDIFSTKIPTEEIIPSISNHALDLGFDDSNIPVSKEDSNEEIESIPIFKEPYNPVFLSGLSSLTASLKKVIAIRLLHTARSISTYFGFNCMSPHRQELRSSEAVETTANMGEKVISDEEKTVNGDGVSNDDSLETNSTEEEMESKDDMNTVLESESILVLMSKRNATKGIICEQDRFSCIKFYRYFDVPVGKFLRDYLLNQKLMCTTCGETPEAHSYYYAHHDMQLTIQIRHLPADKHLDGENEGKLWMWSFCGKCKPSNGSLKCTKRVLVSTAARSLSFGKFLELGFSNHSSCDITSSCGHLFYKDYIHFFGLGSMVAMFRYSSVSTYSVSLPHWKVEFSDSVGEEFLKKEVEDVYWEGLSVFAEVESSLKKMEFEFVGSMLKLQGSLKKFCYVEEMLNQERVRFQDEMKNTANDGGFRNNWVYKPLCLNHLQWELLLESCIWDHRIHSLLSSDLKVVDTKTIDNTLPLKEISIQGDSEINTNGEFSSSMSAKLSDPNGWMWTPFQKIQSYYTNDLQRGYLPEFEPINRYTTGSKIYKKITEEGSKLHFPVGPGNNYMVSDYEEELSSIIACALTFLKDQNISPEGQGVTDRNIESHFFSFDGLELLDSVDSSRHLHPVVSMGRLTNKVKYSVGCLYANEFKDLRSRCGLSELDFIAALSRCKHWDAKGGKSKSFFAKTLDGRFIIKEIKKTEFYSFLEFASSYFGYMKECFMAGNQTSLAKILGIYQVKKRKSGVKHDLMVMENITYCRNMMRQYDLKGALYARFNSAVGVVGDVLLDQNFVNDMNVSPLYVNRKAKRNLQRAVWNDTVFLNSINVMDYSLLVGVDVEKKELVCGIIDYVRQYTWDKQVENWVKSFVVPKNQMPTVISPKEYKKRFRKFIDTHFLSVPDDWCSQRPSNPCTLCATDTDATSPHACSHSTQPSPYV >cds-PLY78740.1 pep primary_assembly:Lsat_Salinas_v7:9:51222180:51227752:1 gene:gene-LSAT_9X46321 transcript:rna-gnl|WGS:NBSK|LSAT_9X46321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIIEEKTGAYSLLEDMKLLKEMQDHCGKKKSINSELWHACAGPLVNLPQLGSLVYYFPQGHIEQVAATTNRTATTQVPNYPNLPSQLLCQVQNTTLHTDKDTDEIYAQISLQPLNSEKDVFPIPDIGMKPSKHPSEFFCKTLTPSDTSTHGGFSVPRRAAEKLFPQLDFSMQPPTQELVVRDLHDNTWTFRHIYRGQPKRHLLTTGWSMFVGAKRLKAGDAVLFVRDERSQLLLGVRRANRQQTASSSSVLSADSMHIGVLAAAAHAAANRSPFTVFYNPRACPSEFVIPLAKFRKSVYGIQLSIGMRCGMMFETEESGKRRYMGTITGISDVDPLRWPGSKWHNLQVEWDEPGCGDKRARVSPWELESPENLFIFPSLTSTLKRPFGLGFYEAQTEWDNMVAHPFIRATEPIYGNFMNPSLSNLWSEHLIKTLTKPHNVNTGCSLPVIQDAGKLQPVIIPQNVVNELPVVNQLSPLDPQFDPSLSGVFPGQENNTSNNGFSDLGQEKWDPCDDVYNCLNIEGSNTGNTIVDPVSIAVLDEFCNLKDDDFEDPSSYIVNNKFSPSQDVQSQFTSSSLVDSRAYSGQELPDHSGGTSPGNGEFDTGLMQTGSWKQVGPPPRVRTYTKIQKAGSVGRSIDVSGFKNYDELRSEIERMFGLEGLLNDAVYSGWKLVYVDFENDVLLVGDDPWEEFVGCVRCIRILSPCEVRKMGEEGMQLLNNSTAELQALMAV >cds-PLY86437.1 pep primary_assembly:Lsat_Salinas_v7:1:10226141:10228364:-1 gene:gene-LSAT_1X7780 transcript:rna-gnl|WGS:NBSK|LSAT_1X7780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AT1G21600 protein [Source:Projected from Arabidopsis thaliana (AT1G21600) UniProtKB/TrEMBL;Acc:Q9XI19] MSATLFFLSPPPRTFPTICPIPSLQPIRTVAFSSLSLKPSLKIPRRDFRIFSDDGDAGGDADGGGDGDADGGGEADRDDMDEEEADESDNKRDYDVEYEALVAASRGGGEEGMAMVQSKNFVSTQGWNSEVVVDYRINEDEFHKISLMDCDFFIRKPPDPDNDVYDFREMYVTEPDTDIYAIPRVLAPMPEKYIRCAKSDWGTYNVTEPPIDALRDPMYKSEWEVMKVFLTKHYRNRRLDDPDFVLDFEEIYVIDSKTKSITRARVLVTVPEGRDRDRKNDFLVIRDNATSFTIIPSEERDDPTTVVHREEWDKDRKDMERHLSKLRDFNVSNWF >cds-PLY81346.1 pep primary_assembly:Lsat_Salinas_v7:8:4494265:4495365:-1 gene:gene-LSAT_8X3440 transcript:rna-gnl|WGS:NBSK|LSAT_8X3440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSTNSSSSSSSPSRYESQKRRDWDTFGQYLRNNKPPLSFTHFNPSHVLEFLHYLDQFGKTKIHILTCPYFGVPNPPTACPCPFRQAWGSLDALVGRLRAAYDEHGGNPETNPFGAPEVRRFLREVRDFQAKSRGISYEKKRKRPKQQQQQQQEDQQEQA >cds-PLY79275.1 pep primary_assembly:Lsat_Salinas_v7:9:5690038:5692135:1 gene:gene-LSAT_9X901 transcript:rna-gnl|WGS:NBSK|LSAT_9X901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEKLLMTHSSSFLLPPTITDAVNGSSPTTYALIILNQRLPRFSPLLWQHAKIRLCADGGANRLFDNMPELCSDEHSVSVIQERYKPDVIKGDMDSIRPDVLNFYKNLGTMIVDNSDDQDTTDLHKCIAYVLDFVSDDDKSNLCILVAGALGGRFDHEMGNINSLCRFSTTRIVLLSDDCLIQLLPSNRHHQIHIHSSVEGPHCGLIPIGAPSGSSTTTGLQWNLDNTEMRFGGLVSTSNIVKGEIVSVRSDSDLLWTITIKNQSSN >cds-PLY87105.1 pep primary_assembly:Lsat_Salinas_v7:5:257368093:257371592:-1 gene:gene-LSAT_5X132621 transcript:rna-gnl|WGS:NBSK|LSAT_5X132621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKLSAILVVLLLCAISNGVLSFTDGILPNGQFEYGPKPHQMKGTKVIDPKAIPNWELTGFVEYIKSGQKQGDMLLVVPDGAYAVRLGEDASIKTKVNVKKGLFYSITFNVARTCAQEEKLNVSVSPNSEPNDWGILPMQTMYSSNGWDTYAWGFLAQANVIEIVIHNPSVEKDPACGPLIDSVALKALFPPRRTNTNMLKNGDFEEGPFVLPKTDVGGVLIPPNIEDDHSPLPGWMIESLKAVKYLDSEHFAIPKGKRAVELIAGKESAIAQVVKTVAGKVYALSFVVGDANNACEGSMVVEAFAGKNTLRVPYESKGKGGYKQAVLKFRAVTTRTRVRFLSTFYQMKTDGSLCGPIIDDVRLIGVRYAKHA >cds-PLY99038.1 pep primary_assembly:Lsat_Salinas_v7:6:151721985:151722648:-1 gene:gene-LSAT_6X90840 transcript:rna-gnl|WGS:NBSK|LSAT_6X90840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRCSRFMNKASIANLKSAFKTASMPKSAPSSPKFPLPTRSTTSPVPRFSASRCPSELGGVQSLLPLHNAVAAARLTSCLSTTSRSCRSLSQGTLCRTSPGL >cds-PLY66175.1 pep primary_assembly:Lsat_Salinas_v7:4:34371902:34377170:-1 gene:gene-LSAT_4X22560 transcript:rna-gnl|WGS:NBSK|LSAT_4X22560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLPSLDVVLQRALSPNHAERKAAEESLNKYQYTPKHLTRLLHIIGDRNYDLILRQVACIHFKKFIAENWSPHDQDYPEQWPSLLQWVTLNLQGQQVLGALFVLQILSRKYEFKSYEERTPIHHVVEETFPHLLSILNRLVHIENPSIEVAYSIKLICKTYWFSMFMEIPNQLFDSNVFNDWMILFLNILERQVPLEGQPADPDLRKSWGWWKVKKWTVHILNLVYTKYGDLKLQYPDNRAFAQHFEKKYAAKILECHMNLLNAIRVDNGGYLPERVTYLILQYLSNSISKAATYNLLQSRLDVILFEIIFPLMCFNDNDQKLWEEDPHEYKDVMVEIKPYRQKDGALLVIGTLCDELMQTEAYKSVLEQMLVQHVFPEFSSPVGHIRAKAAWVAGKYAHIYFSDPNNFCKALQSVVVGMSDSELPVRVDSVISLCSFVKACEDLDEMKPVLPQLLDDLLKIMNEVENMDLISTLETIVRLCEEEMAPYVVGLCQNLVAAFWKCMNTAEEDDDSDTLAAGCLSAISNVLESVSTFPHLFSHIEPILLPIMRQIMLPTNGQEFEEVFDIVSHMAFSPLTISMDMWSLWPLVMEASTGWAIENLHDIHPILENYICGNTEHYLTWKEPDYQQSLWCMLSKVMSEKNLQDENTEPAPMLISLVLQNCRGHVDHWVESYIRITIERFHQTESHNLKCLLMQVIADALYYNASLTFDILQKFGVATEIFNHWFQLLQKAGNTGTYATFRSKNDKRVCCLGLTSLLSLADQLPEEVLGRVYKATLDLLVAYKDQVAEDKEETEDDDDEFQTDDEVDTSDKEMGVDDYDDDINDDEDLKSSTDEVDPFVLFVDTMKVLQESDAMRFEKLSQTLDLHYQALTKGVAQHAYEKRASIEKEKLQKA >cds-PLY85890.1 pep primary_assembly:Lsat_Salinas_v7:5:96875487:96877405:-1 gene:gene-LSAT_5X45721 transcript:rna-gnl|WGS:NBSK|LSAT_5X45721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLARKLFDEMPTRDVVSWNAVITGYWKNGFIGESKRMFDLMPLRNVVSWNSMIAGCVENGMIDIAFAYFSEMPERNTASWNAMISGFIRYKRVDEAVKLFEQMPKRNVISYTAMIDGYAQKGEIKKARELFDSMTTRNEVSWSVMISAYVENEQFDEAREIFGRMPCKNVVAITAMITGYCKEGKMEDARVLFEEIECKDDVSFNAMITGYAHNGRGEEALKLLTRMIQTPLKPDQYTLVSILSACSSLASLTEGKQTHALVFKLKLSTHVSVGNTLITMYSKCGCLPDSESAFEHIKTPNIVSWNTIIAAFAQHGAYEKALGLFKKIELHGIEPDGITFLSLLSACGHAGKVKESLYWFKSMKSFYKVAPRSEHYACLIDILGRSGEVKKAYEIIQEMPFEPDSGVWGAILARCSFSMNNNTELAELAFEKLTGFDPKNSGAYVMLSNIYASLGLWRQVTRVRSLMKENEVKKQTGFSWMEIGNTWHYFVGGDVSHFAITDIRMLVNQMYLQMKVVEDTAF >cds-PLY62583.1 pep primary_assembly:Lsat_Salinas_v7:9:73452107:73455767:1 gene:gene-LSAT_9X61520 transcript:rna-gnl|WGS:NBSK|LSAT_9X61520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKQTFTVCLCFRRRFKIPPAEPPTGIKSLFNKYSANDFMTADHLQRFLIEVQKQDKATIEEAETIITQNSPSIFHRKGFNLEAFFKYLFGASNPVLSPSGSAHQDMTAPLSHYYIYTGHNSYLTGNQLNSDCSDVPIIQALERGVRVIELDIWPNSANDDVDVLHGGTLTTPVELRTCLKSIKNHAFSASEYPVVITLEDHLTTDLQAKVAEMVHEIYGDTLFTPEKECLEEFPSPESLKKRFIISTKPPKEYLKAKETKPKGNESKEKDAEAWGGEIASSDDKDDSDDDDDDDDDSDDEDDDNAAPEYKSLIAIHAGKGKGGLDDWLKVDPTKVRRLSLSEHELEKAAKTHAPQIVSFTQRNILRVYPKGIRFDSSNYNPLIGWMHGAQMVAFNMQGYGRSLWLMQGMFRGNGGCGYVKKPQLLLKGDDEITLYMGEGWYYDFKQSHFDAYSPPDFYAKVGIAGIPADTEMKKSKTVEDSWNPTWNEEFEFPLRAPDLALIRVEVHEYDMSEKDDFAGQTCIPVREISKGIRSVPLYSQKGDVYQTVKLLMRFDH >cds-PLY75328.1 pep primary_assembly:Lsat_Salinas_v7:5:53141027:53143142:1 gene:gene-LSAT_5X26401 transcript:rna-gnl|WGS:NBSK|LSAT_5X26401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGFFSLGGGTGIGKVDEEHHHHHHHPHPHHLQHQDQDQDQDQQHDDGVINPYGLYLFKNEEIYSKRFELWQQYYQLQQHQHRQQLLQQQPHYDLGVGVSPSGRTDQKRSSSVSSGGGGGGGGVDDLNRNNNVDQLASSWDIFSGGRSAVEGGGDSKVMRQGGYGIGGGSGSGSGSGSGGGINCQDCGNQAKKDCQHMRCRTCCKARGFHCQTHVKSTWVPAAKRREHQQQLMSLTQQQNLGNQQLSLMMRASSGDDHQNPKRLREDQHHHHHHHQNQPSIAVAGSGSGGMGMILSAPQHQSTSSGLQVGHFPAEVSSPAVFRCVRVSAMNEAEEQLAYQTSLNVGGHVFKGILYDHGPEARYHHPGEGSSSAGGGGGGGGQHHHQLNLITSNTTAGATATTGINQGVTYVDPSSAYPTPFSAFMAGTQFFPPPRS >cds-PLY69462.1 pep primary_assembly:Lsat_Salinas_v7:6:42240843:42248591:1 gene:gene-LSAT_6X33321 transcript:rna-gnl|WGS:NBSK|LSAT_6X33321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLWSFDQVQSLSSKYIGEEYTARAHTLKEVVKTLIYNVGNPLGTLELVDELQRLRISYHFEDEISEVLEMIYYNYYKTHNKWNSMDLNVKALGFRLLRQHGYQVPQEIFLNFKDKTQNLNPQLLEDMVATLNLYEASYHSFEDESILDDVRDFTTKYLQENLEKFDGNISSLITHALELPLHWRVPRVETKWFMEEYEKRSGINPTLIELAKLDFNMVQAIHIEDLKHSSRWWRNISWDKKLSFTRDRLVENFLWTVGVSYLPRFSNGRRTLTKWEDLCKSYLVEAQWYHNGYTPTLEEYLENACVSISGHVVLMHVKFLTSVSLTKEILQCLDMSGNIVRYSSLIFRLADDLGTSSDEMARGDIPKSIQCYMHESGATEEEARRGSTLLEKPCYKLKIISLLILVVDLIVNILYLSLVAIYSLSLRISPYIRVVIFILNIRKLFVGGIAWETSKESFNSYFIHYGELTNSVIMMDKIYGRPHGLRFVTFANPADSDKVLEQDHVIDGRPVKVKRTVPREDMQGSRGVSRTKKIFVGGIPLTLTKDEMMEYFLSYGEIVEHQIMLDNVTSRSRGFGFVTFDSEEALVLIHLMMLILCCNFDANVYLDCYYMKYIFLLYHVFYVVL >cds-PLY95749.1 pep primary_assembly:Lsat_Salinas_v7:5:248840608:248842587:1 gene:gene-LSAT_0X47220 transcript:rna-gnl|WGS:NBSK|LSAT_0X47220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTKLLKEVPIFERFPVLICISVIWIYSIILTASGAYRNRPNATQISCRTDRANLISTAPWFKFPYPLQWGPPTFSVGHSFAMMSSVLVSMAESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGVLLDGLYGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPFPIYAALYCVLFGLVGAVGLSFLQFTNMNSMRNLIIIGLSLFLGISIPQYFNEYVTLHHGLVRTNAGWFNAFLNTIFSSPPTVGMMVAVFLDNTLDVVNSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >cds-PLY70783.1 pep primary_assembly:Lsat_Salinas_v7:3:134996339:134997652:-1 gene:gene-LSAT_3X89400 transcript:rna-gnl|WGS:NBSK|LSAT_3X89400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKRFGFIRFLGNINVDYMIKNLCEVWFGYHKFFASVPRIQKKATSPYMEPPKEVKNREKLHVSYANVVRGGNSDISSSDKDETAIILEYGNFVIDNIKLACLAKARDFNTLPNLGMLRHDEGFDDFIIRYVGSLWVMFEFKSKEACLPLRAWSKNSFRLILAKYGSITHLDDNIGEDVYKSRVCIITSFLGIISEVIKVSIDGEIFHIRIKEAPGWNPTFVCEFNNIDNDSVDATHRFEQDEDGSNSNDSLNDKEEGSFDPFGIYDVMNKMDKDEEINKSSKIQKNTSQGKNRNFFPTNEDPSSQESLPDAGPGLVHVGHQNPSSHDPPPHSTPAEDMQEATQPPTDAVKFATTAPGAAKTAITTTVAVKNTIAAPADAPDATSTTSTHFFSNHPQKESA >cds-PLY67417.1 pep primary_assembly:Lsat_Salinas_v7:4:240848123:240850438:1 gene:gene-LSAT_4X128600 transcript:rna-gnl|WGS:NBSK|LSAT_4X128600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFLFIITYCYWESHVKLADFITTSPNSIHLIKDIFILAGQSNMAGRGGVIERNWDGIIPPEIQSSPGKILRLGGDLNWEDAEEPLHADIDVNKTCGVGPGMAFANALIDGDPRRFPVVGLVPCAIGGSGIDEWSRGGRLYERLKRRAAVAVERGGEIRAVLWFQGERDTINITDAESYKGKLQRLYIDLRTDLNSPLLPVIQVALASGEGSYVEKVREAQLGIQLPNVITVDAKGLQLQPDGLHLSTQAQVQVGEMMAHAYTTFRLLSLVTGD >cds-PLY74681.1 pep primary_assembly:Lsat_Salinas_v7:5:176897164:176899409:-1 gene:gene-LSAT_5X78801 transcript:rna-gnl|WGS:NBSK|LSAT_5X78801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGSQPYNPRTVEEVFRDFKSRRAGIIKALTTDVEEFYQQCDPEKENLCLYGFPNEQWEVNLPAEEVPPELPEPALGINFARDGMPEKDWLALVAVHGDAWLLSVAFYFGARFGFDKADRKRLFNMINDLPTIFEVVSGAAKKQVKEKSTVSNHSSSKSKSNSKAKGSEPPAKFPKVSTPKDDDDEGLDDEDEEEHGDTLCGSCGENYASDEFWICCDICEKWFHGSCVKITPARAEHIKQYKCPACTNKRARP >cds-PLY94729.1 pep primary_assembly:Lsat_Salinas_v7:8:51393029:51394347:-1 gene:gene-LSAT_8X38421 transcript:rna-gnl|WGS:NBSK|LSAT_8X38421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPTFHLLTTILILILHLIFAAELPEFQEAQAFRNGKECNDTRIHIAMTLDATYLRGSIAGVFSVVQHATCPENIIFHFLTTHRLSSSLRRTITTTFPYLNFHLHHFHTDLVRNKISTSIRRALDQPLNYARIYLSHLLPITVHRVIYFDSDLIVVDDVVKLWEINLNSHVLGAPEYCHANFTHYFTSKFWSTPYFSGVFNHRATKPCYFNTGVMVIDLMKWRRYKITEKLEKWMEIQKKHRIYELGSLPPFLLVFAGDVEGVEHRWNQHGLGGDNVEGLCRDLHPGPVSLLHWSGKGKPWLRLNSMRPCPLDQLWAPYDLFKHAPLISDT >cds-PLY66367.1 pep primary_assembly:Lsat_Salinas_v7:5:288360436:288362308:1 gene:gene-LSAT_5X153761 transcript:rna-gnl|WGS:NBSK|LSAT_5X153761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVEDTSKMPKLVSSWVKEENQSLHERTTALLPTPSTNFKPTRVSPPPFHFSINSGVYRRCCTIPSRRRSRRGFLTHRIHSRLTKKTMDTKAIVDQLGVVENISCNKLDKNSSADIIPPSSPSSMLDNNGGFAPSPITPDSNKESADLMSAFTSPLTIVSSPPATYHSGADSDMNEEDDSPCTPKDGVFDPFAPGPDKLMNAPLSMKHVEESQSYVARRLNFNLTKKECENEKRESVSENSFEDKQLLEAVYDSLLEAIISKQSEDILAEMTAAVYSSCDGLETPPSAPRVTGIADTCPGAPMKAGKKSRNIDLSLCRRLDFDF >cds-PLY79128.1 pep primary_assembly:Lsat_Salinas_v7:9:90094400:90099324:-1 gene:gene-LSAT_9X69620 transcript:rna-gnl|WGS:NBSK|LSAT_9X69620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGILNDDAVLIKEAEKAGDKTLITVNCPDKTGLGCDLCRIILLFGLSIAKGDVTKVLCELELSVKRVKVFTAPDGTVMDLLFVTDTRELLHTKKRQEETKSQLNAMLGDNIISFEIELAGHEVTANPQGSAFLPPAITDDMDNALISKRVSVKFDNELSPSHTLMQILCQDHKGLIYDIMRTLKDYNIQVSYGRFSAKAKDYEVDLFIMQGDGKKIMDPEKQKVICSRLRMELVRPLRVEVMSRGPDTELLVANPVELAGKGRPLVFYDITLAITSLSIRIFSVEIARHRIHDREWEVYRVLLDEGDVALVPRSTIKECVVKNLMGWE >cds-PLY99727.1 pep primary_assembly:Lsat_Salinas_v7:9:56047013:56049015:1 gene:gene-LSAT_9X47480 transcript:rna-gnl|WGS:NBSK|LSAT_9X47480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMNGAKRGFSMTSNFLKALKTSSSSSSLRPFSGVVNSEITTSHTAKWMQDTSKKSPMELINEVPPIKVEGRIAACEGDTNPALGHPIEFICLDKSEPAVCKYCGLRYVQDHHH >cds-PLY71954.1 pep primary_assembly:Lsat_Salinas_v7:3:25497728:25506878:1 gene:gene-LSAT_3X18960 transcript:rna-gnl|WGS:NBSK|LSAT_3X18960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGREGGKSYQKNVDENWSFRPLHDHNIYQEEIQSDPISSTEHSTSSSAKPISDFIPKTPKSHRKKSELGSLNFHPKPGEDNGDGDGNKGKAESLQEGKEQNKKKESSEGFGADKDDVQEDDEDDVVKRLERLRLFGEEPDLSEELLSINDQLQEDEILAMESIYVENIFILNKQSGSQTFQIRIYIETPKELTISTKLNLEDFSYSFEVQYLPPIVLTCLLPKSYPSHLPPYFTISTEWLNSSKISSLCSILDSIWKEQEGQEVIYSWAEWLHSSSLSYLGFNKEVRLGPYGVKYNNDPRAISRCVSPDVDIPSLKSYNDNQRVEDFRKNLHECCICFSEFVGSDFIRLPCQHFFCEKCMKTYANIHIKEGTVTKLSCPTTKCEGMIPPGLLKRLLGDKQFEKWEALTLQKTLESMSDVVYCPRCETPCIEDEDKHAQCSKCFFSFCTLCREKRHVGITCLTPEMKLRILQERQSSSQIKDEQRRREQEMIQELMSVKEILRDSKQCPGCKMAISKIAGCNKMVCQNCGKYFCYRCMKVIDGYDHFSDGVCELFPEEEEEEVGLWEAQMNPRQVMGQIHAELFGDREHSCPRCGQINGKVGNNNHICCWSCQRHYCYICVKMVRRSSQHFGPKGCKQHTVG >cds-PLY73032.1 pep primary_assembly:Lsat_Salinas_v7:9:37660011:37664923:-1 gene:gene-LSAT_9X34900 transcript:rna-gnl|WGS:NBSK|LSAT_9X34900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFIHVLLALLSENNFVGASLRGIAKPNCPTDCGNLTVPYPFGMGKGCALDESFELICNSTFNPPKLFIRSGNIQIYNISDSEISIFNSVAYSCYNESGSSDIVNSTTSFEETSPFTFSSINKFTVIGCDNYAWIRGLHLHGSDYMTGCMGICTNVSNVLKNGKCSGVGCCQTPIQKGLKGVNITIGSFDNHMNVWPFNPCGFAFLADENHFRFGGARDLSGASKLYLDSHVHILVDWVIGRGKRNCSQATECKANSFCTDDEELGGYRCSCNKGYQGNPYLLNGCQVLVGVMLTLAGITVIFFSIKKRKLMKLREKYFEQNGGVLLKQKLNSQEAAYTVTVYSMEQLRKATDNYSNERIVGRGGFGIVYKGIMPDKRVVAVKKSLSVGETEKEQFINEILVITQIIHGNVVKLLGCCFEEEVPVLVYEFIPNNTLFHHIHNEEGGTSWLSWENRLRVAAEAASALAYLHSHATTPVIHRDVKSSNILLDDEFRAKLSDFGISKLFSKKEENVNTVVQGTLGYLDPEYQDTDNLNEKSDVYSFGVVLAELITGNLLQMVEPRLLNEGGIDLQHLNAAAQLANRCLEISGVNRPTMRSVELELEALRRPTTTHPIVPPEQVAHEEISGSVNPEIQPAD >cds-PLY71043.1 pep primary_assembly:Lsat_Salinas_v7:3:160197650:160198557:-1 gene:gene-LSAT_3X101581 transcript:rna-gnl|WGS:NBSK|LSAT_3X101581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPVGPTPSLSLSLSQRPFKHHHLTSFSLPPVNLLHKSKKETRTTKDCHPQPPTGDPHYREPPQTTTLSPHCRYFAPLNIGLKQSYWRAPITTSSTELIIVLGSLSDVSGVIILFSPCGYSMSDSLIVQIWASNKIHKEERPCVGKWDVKSMFTSSPELCGPEECNTQTPRHIKFNFRNPVRCCMIWIKLSTQNEFESLQE >cds-PLY89806.1 pep primary_assembly:Lsat_Salinas_v7:2:138281210:138282443:1 gene:gene-LSAT_2X67720 transcript:rna-gnl|WGS:NBSK|LSAT_2X67720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METFHKQVVLITGCSSGGIGNALARAFAARNCLVVATARSLSSMPDLNDKSDMFFLQELDVLRDESVVEVVSNVIDKFGRIDVLVNNAGVQCIGPLAEIPLSSAQHTFNTNVFGTLRLIQEVVPHMASRKKGKIVNIGSVSAMTPGPWSGVYNASKAAIHSLTDTLRLELKPLGINVTNVVPGAIRSNLGDSAISNYSKMPELKLYKKYEAAIKKRAYFSQGPNATPSQEFAEKTVDAILKQDPPSWFSYGQYSTISAIIYHLPIFLKDFLLKKAMNC >cds-PLY84433.1 pep primary_assembly:Lsat_Salinas_v7:8:281489507:281494735:1 gene:gene-LSAT_8X158360 transcript:rna-gnl|WGS:NBSK|LSAT_8X158360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTTVAAATDSPLKRPREGDENGAAAFETNANISSVIPGWFSEISPMWPGEAHSLKVEKILFQGKSEYQDVMVFQSATYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVVGGGDGGVLREVARHTSIEHIDICEIDKMVVDVSKEFFPDVAVGYDDPRVNLHIGDGVAFLKAAPEGSYDAVIVDSSDPIGPAQELFEKPFFESVAKALRPGGVVCTQAESIWLHMDIIEDIVANCCQVFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPKVDFKNPVNPIDAIDKQSKSVGPLKFYNKEIHSAAFCLPSFAKKVIEAKAAK >cds-PLY77955.1 pep primary_assembly:Lsat_Salinas_v7:1:23850810:23850971:-1 gene:gene-LSAT_1X20460 transcript:rna-gnl|WGS:NBSK|LSAT_1X20460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDEMRRLLIAVEDREVVANGLEKARESQLLSTFGMVKVSTRVTLARLIQM >cds-PLY64408.1 pep primary_assembly:Lsat_Salinas_v7:7:82753463:82762316:-1 gene:gene-LSAT_7X57841 transcript:rna-gnl|WGS:NBSK|LSAT_7X57841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PLA2-ALPHA [Source:Projected from Arabidopsis thaliana (AT2G06925) UniProtKB/TrEMBL;Acc:A0A178VVH8] MHHLLVPFASSMASALHFLIFISFLTLNFSAISLFALKVHVGANTGLSLSKACSNICESSFCKVPPLLRYGKYCGILYSGCPGEKPCDDLDACCMKHDSCISANNNNYLSEECNKGLLRCVERFKKAGSKTFKGNTCDANDVTNTIKLVMEAAVLAGRYIHKP >cds-PLY99726.1 pep primary_assembly:Lsat_Salinas_v7:9:56707499:56708358:-1 gene:gene-LSAT_9X48241 transcript:rna-gnl|WGS:NBSK|LSAT_9X48241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGWRKSFGNVRSAFGNAMGGLRGGSNLASWVVAGTLAYFLWVKPAQELKREQEARAALAAASDRYRYVEKVKPVPDPQETGLIYGNKKKPKDLDK >cds-PLY62256.1 pep primary_assembly:Lsat_Salinas_v7:5:162020068:162022097:1 gene:gene-LSAT_5X70900 transcript:rna-gnl|WGS:NBSK|LSAT_5X70900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAKIGKFFESVGTFFTGGDSIPWCESDIVVGCEREVAEAEKGSSNELKSECLLRLSWALVHSKRPEDVQRGIAMLEGSLASSTSSPLEMREKMYLLAVGYYRSGDYSRSRHLVDRCLEIAPEWRQAISLKKSIEDRIKKDGVIGIGIAATAVGLLAGGVAAALSRKK >cds-PLY68573.1 pep primary_assembly:Lsat_Salinas_v7:2:23139539:23152773:1 gene:gene-LSAT_2X9720 transcript:rna-gnl|WGS:NBSK|LSAT_2X9720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METEDIFHSTSDPEVEEIHDSPTAFVADEHDFQKANESKSAQEEDADDLYDDVEFLKEVDFTGISDDIPTNIEFDLGDEDFGSFPEIHCSRLFGRIYSFFILSSWVESKMGDIASYVAKKSVAEVAEDLWDRYDCEFGTNYSGLFRALSHVNYNVRVAASDALVAVLDEYPDTLQESLATLFSLYIRDSGVGEDMIDSGWFGRQVIAMALHAAADVLRTKDLPVVMTFLISQALAPDEEKYDLVREGVVIFTGALAKHLSKDDPKVHAVVEKLLEVINTPSEAIQRAVSSCLSPLMKLKQEDALSLVTRLLDQLMKSEKYGERRGAAFGLAGVIKGFGISSLKKYGAATILREGLAHRNSAKCREGSLLAFECLSEKLGKLYVIYLLPLLLFSFSDQVVAVREAAECAACAMMSQLTAQGMKLLLPSLLKVPLFFLL >cds-PLY63728.1 pep primary_assembly:Lsat_Salinas_v7:9:84996256:84998257:1 gene:gene-LSAT_9X66181 transcript:rna-gnl|WGS:NBSK|LSAT_9X66181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQALQTVARVNMGNQTVSPDNDTLWRLWNPDGTFMKHNNLVRFVSNISAVNYTAGSPAFDIAPLSVYGTATKLDTESDPGALLNNTWSFNVDPGFSYLVRFHFCDIIERPPSELILNIYLNSMSVAKDLKLGDQMSNIWGAPFYLDAITKLNGNGILNVSVGTSLAFGAYPESILNGLEILKISNSNGNLVEGGTGVNSSNSNSNSKILVIVVVSSGVLCVLILLCCVFFVITRRNRRKRNEEYLSANVHKTNDENTLFSRSKIGYRFPLGAVLEATDKFSESLVIGIGGFGKVYKGILSDGTIVAVKRGAPQSLQGVSEFQTEVEMLSQFRHRHLVSLIGYCDEKNELIIIYEYMENGTLKNHLYGSDLPKLNWKQRLEISIGSARGLHYLHTSSHLAIIHRDVKSANILLDENMMAKVADFGLSKTGPAIDQTHVSTAVKGSFGYLDPEYMTRQQLTEKSDVYSFGVVMFEILCGRPVIDPSRPRGMVSLVEWVNEMRKRGDLEKVFDPFLVGKMKMESVKKYVEIGEKCIAEQGVDRPTMGDVLWNLECALQLEGSEVNSPKVENDCVSSNVFSEGSVGDFAGVSMSRVFSEMVKGEEREMR >cds-PLY86666.1 pep primary_assembly:Lsat_Salinas_v7:4:281067164:281068928:-1 gene:gene-LSAT_4X142741 transcript:rna-gnl|WGS:NBSK|LSAT_4X142741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPLHVQRCTKVLFLLVLFALILVPSSSTLQPPTRRALLEKIQKQEDSNGVQLPLKKKTGSDTSSSAFDTTTVKKNKTKLIKPTSAAAVTGDAIVTKLTSNKTSTKATKLQEKPIVKKLNSTSKPLNLTKPTSKPLNSTLKPSNSTKLTSKTTNSTKPTSKTANSTKPVSKTTNSTKPVSKTTKPTSLLSQKSIDPVKKNKPTTTEKKTKLTKPVVGLTYREDDEDDFVSEFRDLPSKFQETLLPDLEVISTTSKAYLNKANKQLSKGFNPIVGKKYAPMVASIISFAFILIPFLLVSLIFNRIKAYFSLQKLIIFIQIYLAIYFSILSLSSLVTGLEPLKFFYATSQSTYICIQVLQTLAYVLYLLVLLMYLVLVFSTESSMVSKLLGLGQTFVGFAVGLHYYMTVFHRAVLRQPPKTSWKVHAVYATCFLLICLLGTAERRKKAYVVDGSEEGKKS >cds-PLY98756.1 pep primary_assembly:Lsat_Salinas_v7:1:7868369:7868647:-1 gene:gene-LSAT_1X6521 transcript:rna-gnl|WGS:NBSK|LSAT_1X6521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGGVKKPHRSRTVAQREIRKYLKSAELLIRKLPFQRLVREITQDFKTDLRFQSCVVAGLQEASEAYLVGLFEDTNLCAIHAKRVTMIKKDM >cds-PLY76471.1 pep primary_assembly:Lsat_Salinas_v7:5:198632067:198632579:-1 gene:gene-LSAT_5X89181 transcript:rna-gnl|WGS:NBSK|LSAT_5X89181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY88494.1 pep primary_assembly:Lsat_Salinas_v7:3:174553875:174554398:-1 gene:gene-LSAT_3X104241 transcript:rna-gnl|WGS:NBSK|LSAT_3X104241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPCHHPHPLWQIPRAGFEYWLVRKYIISEDGEVDVRVAVTCIILSTKDTPLAMAAVGSCLGVYYMITKIVRKMPCRIYATLKELSLGMTEKEWNVSFHWNG >cds-PLY62236.1 pep primary_assembly:Lsat_Salinas_v7:5:164990591:164993608:1 gene:gene-LSAT_5X72961 transcript:rna-gnl|WGS:NBSK|LSAT_5X72961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureidoglycolate hydrolase [Source:Projected from Arabidopsis thaliana (AT5G43600) UniProtKB/Swiss-Prot;Acc:Q8VXY9] MMVFRFRLMLYVLFVTFIACVQAQNVDEMDSTIKTMEEFSGYPLHEIDSVSSFAVDSVNLQKQIDELSSFSDSPAPSVTRILYSENDVLARRFIKKLMKEAGLSVREDAVGNIFGQWEGSEPDLSAVSTGSHIDAIPYSGKYDGVVGVLGAIEAINVLKRYGFKPKRSLEVIMFTSEEPTRYGISCLGSRLLAGSVSLATALKQTVDNQNISFMEAAKSAGYAENNDFSTVFLDDKRYSAFVELHIEQGPILENEGISIGIVTAIAAPASIKVDFGGNGGHAGAALMPQRNDAGLAAAELALAVEKHVLESGSIDTVGTVGILELHPGAINSIPSKAHMEIDTRDIDEKRRNNVIEKIHESAAMISKRRGVELLGFKIVNQDPPALSNELVIKAMESATQVLNLSSKKMISRAYHDSLFMARKAPMGMIFIPCYKGYSHKPEEYASPGDIENGVKVLALTLANLSSN >cds-PLY71292.1 pep primary_assembly:Lsat_Salinas_v7:3:192850707:192853154:1 gene:gene-LSAT_3X114641 transcript:rna-gnl|WGS:NBSK|LSAT_3X114641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMENPPGKQGVESLSLTAGDESSSLNPLNCTTQMSVEEKFRIVRSIGEECIQEEELLNLLTKKPQPICYDGFEPSGRMHIAQGVMKTINVNKLTSAGCKVKIWIADWFAQLNNKMGGDLNKIQTVGHYLIEIWKAAGMNLEDGKVEFIWSSEEINSRAHEYWPLVMDIARRNKLPRIMRCCQIMGRSEQDELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDDIKRKNKPIILSHHMLPGLLQGQEKMSKSDSSSAVFMEDEEAEVNLKIKKAYCPPNIVDGNPCLEYIKYIVFPWFNEFKVERKEENGGEKVFTSYDELIAAYAKGELHPADLKPALSKSLNKILQPVRDHFKNDEKAKALLKRVKAFKVTR >cds-PLY63115.1 pep primary_assembly:Lsat_Salinas_v7:8:73827436:73832141:-1 gene:gene-LSAT_8X51801 transcript:rna-gnl|WGS:NBSK|LSAT_8X51801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATMDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLLSKEATVGEKQFSSQGHQGNFSKNEGAGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIEPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGLGTNARRGKDSVARLPSLADAATQPFKEEKIPIGTCVPQRGI >cds-PLY89760.1 pep primary_assembly:Lsat_Salinas_v7:1:58627423:58627902:1 gene:gene-LSAT_1X50961 transcript:rna-gnl|WGS:NBSK|LSAT_1X50961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVDEKSNLVPIRQQGQGVENGNGNGVEEVIDYPQELGLKIEGELFKLFGGVNEKYKAKGRSLMFNLKDRSNPKLREKVLFGKISPERLCSMTPEELASMELSEWRMAKAEELDKMIVLPDFDVDRRRLVKKTHKSEYQVVGFEHVLCLFFLYQKDGLH >cds-PLY95524.1 pep primary_assembly:Lsat_Salinas_v7:8:115581166:115581477:-1 gene:gene-LSAT_8X80301 transcript:rna-gnl|WGS:NBSK|LSAT_8X80301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRKMRWMDLMIGRCSKETELHNWNPWSTLHVNCTSYGGEIEIGRDSYQIVGKYVSDEALVSIRNATFERHQNRCFLVFSKLDFSASLFRFIESDERIYFQTC >cds-PLY93035.1 pep primary_assembly:Lsat_Salinas_v7:5:2711988:2715307:-1 gene:gene-LSAT_5X1480 transcript:rna-gnl|WGS:NBSK|LSAT_5X1480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFREEKGNNPEIIRESQRRRFANVEIVDEIIHLDKEWRQRQFELEQLRKDFNRINKEVAKLRIAGEDASSMIKNTEENKDSTAKKDAEVQEARAALYSKLEVVGNLVHDSVPVSNDEANNAVVRTWGEKRTEPKLKNHVELVELLGIADLKRGANVAGGRGFYLKGDGVRLNQALINFGLDFLEKRGFTSLQTPFFMRKDIMGKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCAYHIDDWIHPTQLPLRYAGYSSCFRKEAGSHGRDTLGIFRVHQFEKVEQFCITSPNGNDSWDMHEEMIKNSEEFYQMLKLPYHIVSIVSGALNDAAAKKYDLEAWFPASSTYRELVSCSNCTDYQSRKLEIRFGQKKSNEQTKQYCHLLNSTLTATERTMCCILENYQREDGVEVPQVLQPFMGGKTFIPFQAPPAAKETKGKKSKP >cds-PLY89066.1 pep primary_assembly:Lsat_Salinas_v7:9:28272278:28272718:-1 gene:gene-LSAT_9X24921 transcript:rna-gnl|WGS:NBSK|LSAT_9X24921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPNHSTPENSDDAITSTTTTTTVEEQHQQSRSYECTFCKRGFTNAQALGGHMNIHRKHKATLAFSPPPSAATTTTTTTVNSFSNSGQGKNKPLRLFGDGSHDSRNNHQDNVMKDPTSPAHEVDLELRLGQVESPGNKITTTRKFF >cds-PLY99197.1 pep primary_assembly:Lsat_Salinas_v7:4:367032836:367035861:1 gene:gene-LSAT_4X179820 transcript:rna-gnl|WGS:NBSK|LSAT_4X179820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIQIPLYKKETLIPHNRIERDSHEIPNDYQLIPFYAVIMIPNFIGTISKAIRRNENSFAIIFVFVYVGFVLSRFFLSKYVSLPKNEKLMQQMWLKLDIWFLYTVITFGFVYQFADFLPLQTTVAMYMVVSLCSLVLLFVFVIVDVIEFWKIWRYVEDHETQLSENQMTDAGKLSSYSVSIWEKFTTVPNSFSCPLGTKKKLETLTTHQVESLTSADQNDNLEAKGTGKEDVVLDDDSYPHEKENETTTEDKLSHNIQQDSQEELPTTEVHDDTMGTPGIANSDVHDNLVDASIIQSLDDIEKHESNHNLEEDPIDDVK >cds-PLY99290.1 pep primary_assembly:Lsat_Salinas_v7:3:93644130:93645655:-1 gene:gene-LSAT_3X70321 transcript:rna-gnl|WGS:NBSK|LSAT_3X70321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGAILSALNTSLDPLTLAITLQQLQPKIIFVHHQHIQTVLEAFRVLSPEKPATPPPRLVLINGKHAATVPSNTLCYNDLLSLGKPDFKIINPNDECDPISINFTSGSTGKPKGAIYSHRAAYLNSLAVIFRYDMRKRPVFLWTVDMFRCNGWCFPWVVAALGGTNICIKEVTAEIIFDSIVIHKVTHLCGSPTILDKIASSITKEQQPIPSPVDLIVAGPLPPSDTILKIESLGFNIHHGYGMTEALGPMTHTSLRTRNEKDNENITCREGTHNILMENVDVKDPETMISVPFDGKTIGEVVFRGNVMMSGYLKNMEGTQKAFKGGWYRTGDLGVRNPNGDIVMKDRVLDSIVSEGDIVSTLEIEQVIKNHPFIKEVAVVGKPNHIVGQSPSAFVTLKDGCSLSSNDIIKFCEEELLPRNMIPIDVVFGILPKNSTGKILKYVLREKAKAIEAITLMNGH >cds-PLY85558.1 pep primary_assembly:Lsat_Salinas_v7:2:195944712:195946390:-1 gene:gene-LSAT_2X116781 transcript:rna-gnl|WGS:NBSK|LSAT_2X116781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPLPFQGHINPMLQLANILHTQGFEITIIHTEFNSPYHSSYPHFIFKSIRDRFFEIESRLTKEDASFFIIYLNRSCEEPFRDCLTRLLAEDEEGSISCLISDALFYFTQAVADSLNLPRLVLRTTSLASAIAYGALPFSSGTGCFNLTKESNYEASVPEFPLMKVKDVVKMTTNPEGMGIFVTNMLKQMKASSGIIWNTFKELEQPSLETICQEFSVPSFTLGPFHKYFRASSSSLIEQDRTVLSWLDTQAPKSVIYISFGSVARITESAFQELAHGLTNTGLPFLWVVRPGLVPGSKWLESLPEKFLERLGDGGRIVKWAPQEDVLAHPATGCFWTHCGWNSTLESICEGVPMVCSPCFVDQPVNARYVCDVWKIGVLLEDGFDRVGIEKAIKRVMMEKEGEEIRERITSLKEKVHLSLEEGGSSHQSLKGLVDYILSF >cds-PLY96956.1 pep primary_assembly:Lsat_Salinas_v7:4:174562983:174564473:1 gene:gene-LSAT_4X102720 transcript:rna-gnl|WGS:NBSK|LSAT_4X102720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPTTSGSGVTTLDKKTLGRIAQIIGPVLDVAFPPGKMPNIYNALVVKGRDTAGQPINVTCEVQQLLGNNRVRAVAMSATDGLTRGMDVIDTGAPLSVPVGGATLGRIFNVLGEPVDNLGPVDTSTTFPIHRSAPAFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEKNIPESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYDTAQEVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVGLAETIRGFQLILSGELDGLPEQAFYLVGNIDEATAKAMNLEMESNLKK >cds-PLY96898.1 pep primary_assembly:Lsat_Salinas_v7:2:108895069:108895437:1 gene:gene-LSAT_2X48941 transcript:rna-gnl|WGS:NBSK|LSAT_2X48941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKEIMKKRKTIQDPSDEVVLEIDFEDIDQSLLKNNNNGFNSPQRDSPVKSTFEATGSLSGFMKTSHVGTSTNLGVSSIFSIPEQALVKAPEVSTTETVTEEVRALGITVLVKLQLRFLQLQ >cds-PLY96934.1 pep primary_assembly:Lsat_Salinas_v7:1:142768588:142774514:-1 gene:gene-LSAT_1X104980 transcript:rna-gnl|WGS:NBSK|LSAT_1X104980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYDVSRERRYILEVLFNGLRRLEYRGYDSAGISIDSCSVAGPVQAPCDSPLVFRQEGNIESLVKSVYQEVSVTDLNLEESFSIHAGIAHTRWATHGEPSPRNSHPQSSGAGNDFLVVHNGVITNYEVLKQSLLRHGFTFESETDTEVIPKLAKFVFDKANEEGEQTVTFSEVVLEVMRHLEGAYALIFKSRHYPNELVACKRGSPLLLGVKEFAEQQNKGTSYNDLKFISTNGHPKELFLSSDPHALVEHTKKVLVIEDSEVVHIKDGGVTILKFEQGKGKDGKNISRPASVQRALSILEMEVEQINKGKYEHYMQKEIHEQPESLTTTMRGRLIRGGSSNRKSVLLGGLKDHLKTIRRSRRIVFIGCGTSYNAALAARPILEELSGIPVTMEIASDLLDRQAPVYRDDTIIFVSQSGETADTLNALEYALGNGALCVGITNTVGSAIARNTHCGVHINAGSEIGVASTKAYTSQIVVMAMVALAIGADTISSKPRREAIIESLLHLPSKVKEVLKLDEEMKDLAKSLISEQSLLMFGRGYNYATALEGALKVKEVALMHSEGILAGEMKHGPLALVDENLPIVVIATRDQCFSKQQSVIQQLKARKGRLIVMCTEGDAAAVCGPSCRVIQVPLVVDCLQPVVNIVPLQLLAYHLTVLRGYNVDQPRNLAKSVTTE >cds-PLY86645.1 pep primary_assembly:Lsat_Salinas_v7:4:280825201:280841883:-1 gene:gene-LSAT_4X142681 transcript:rna-gnl|WGS:NBSK|LSAT_4X142681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVLESDLRALSAEARRRYPAIKDGAEHAILKLRSLSSSSEIAQHDDILRIFLMACEVKTVKLSVIGLSCLQKLIAHDAVAPSALNEILATLIDHGEMADEGVQLKTLQTVLIIFQSRLQPDSEEHTAQALGICLHLLENNKSSDSVRNTAAATFRQAVALIFDHVVSTESLPASKFVHGGYMSRSSSVSSDINHSINNSRSMEGLMYLEKAKMRDTSTKAGKLGLRLLEDLTALAAGGSAIWLHVGSIQRTFALDILEFILSNYVAVFRALLPYEQVLRHQICSLLMTSLRTNSEIEGETGEPYFRRLVLRSVAHIIRHYSSSLITESEVFLSMLVRATSLDLPLWHRILVLEILRGFCVEAHTLRILFQNFDMNPKNTNVVEGMIKALARETSEESLAAVAGMFTSKAKGVEWSLDNDASNAAVLVASEAHAVTLAIEGLLGVVFTVATLTDEAVDVGELESPRCESDPPAKLIGKTAVLCTTMVDSVWLTVLDALSLILSKTQGEAIVLEILKGYQAFTQACGVLRAVEPLNSFLASLCKFTISSSNESERRSRSLQSVGSKRIEIVVDQRDVVILTLKNVQALRTLFNITHRLYNVLGPSWVLVLETLAALDRVIHSPHATTQDASAAVSKLPRETSGQYSEFSILSSLNSQLFESSGLMHISAVKSLLSALRQLSHQSMAGTLSGISQTSSQKTGSISFAVERMISILVNNIHRIQPLWEEVVGHFVELANSPNHHLRAMALNALDQSISAVLGSDQFEEHALSRHLGSKTEMKALEISVISPLHVLYGSCQSSDVQAGSLKILLHVLERHGDKLCYSWPNILEMLRSVAGSSEKDLVTLGFQSLRVIMNDGLSTVPPEFLHTCLDVTGAYSAQKTELNISLTAIGLLWTSTDFIAKGLLEVPTEDREREMLENKNGEKIEQGLNIVKKANQHDPSVTVAEHEKLLFSVFSILQKLGADDRPEVRNSAVRTLFQTLGSHGQKLSKSIRNTAQKQWDETLVLVFGGIARILRTFFPLLISLTNFWSGWESLLCSVKNSIANGSKEVALAAVGCLQSTVLSHSPKGNLPMQYLKSVLDVYDIVLRNPTACGDMAANKVKQEIIHGLGEVYAHAQGMFDSSMYGQLLSIINSAIKEAKITQNNFEAEFGHIPPVQRVVLDIIPQLSPPEHLPSLWAVFFQKLLQYLPNSDSSEGDAANRVESRGSIFDGRKMSDVTNGTTFSEKIQVSSSSSFDSKKAPAITISSDLFAEKLVPVLVDLFLQAPVAEKAVTFPYIIQALGRCMITRRENPDGGLWGLAVKSFNQLLVDDISKLSQDISSINSNNNKPARIRLWKEVADVYEIFLVGYCGRALPSSSLAAISKEDDESLEMELLDVLGDKVLMSDIDASPDILERLIITLDRCASRTCSLPVETVELVPPHCSRFSLTCLHKLFSLSSYNNEANNWNPTRSEISKISVMILVTRCEYILKKFLTNEKELGEKAFPAARISEIAFVLQEMARVVLHPKTASVLPLHPLLKGGLSEENPGQRAHLLVLFSPLCELVKSRNSRVRDLVQALLRLVSTELGLDKICLTN >cds-PLY87572.1 pep primary_assembly:Lsat_Salinas_v7:8:186111746:186112216:1 gene:gene-LSAT_8X121001 transcript:rna-gnl|WGS:NBSK|LSAT_8X121001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVREDPMTILQKGYSITIVLTVISFGFTPRWMLYIEQAPSTWFNFALCGLVGIMTAYLYVWINQYYTDYKHGHVRRLVLSSSTGHGTNIIVRISLGLESTALPVLMISVAMVSAFWLGNTSRLVDEAGNPTGGLFGTTVSTMRMLSTTTYVLTIW >cds-PLY61661.1 pep primary_assembly:Lsat_Salinas_v7:5:89438586:89438747:-1 gene:gene-LSAT_5X42220 transcript:rna-gnl|WGS:NBSK|LSAT_5X42220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDCFRENLQFLNYLEGFLSKLVSSHDTEKTADMIRNASLIFSTSSNQPLTLE >cds-PLY76370.1 pep primary_assembly:Lsat_Salinas_v7:6:170472213:170472934:-1 gene:gene-LSAT_6X103041 transcript:rna-gnl|WGS:NBSK|LSAT_6X103041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum (ER) membrane protein, Early tapetum development and meiosi [Source: Projected from Oryza sativa (Os07g0622900)] MADDATLRRSLICLAAIMAVIGLYTHSLKKVMATYLFGMLAIGGVVLPDWEFFDRPVSQWNSPLSVPHLPPLHPPHSPPTPSRFRFYPIRTMIYAMVYGYAFYKWWMYISST >cds-PLY73870.1 pep primary_assembly:Lsat_Salinas_v7:3:39465590:39467693:-1 gene:gene-LSAT_3X29081 transcript:rna-gnl|WGS:NBSK|LSAT_3X29081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIGFLETLKTQPLWVIVLFLLGSLSILKISVSILTWVYVNFLRPPKNIKKYGSWALVTGSTDGIGKAFAFELAKKGLNLILVGRNPKKLEDVSSEIKSKFSKTQIKNVIFDLTGDLSEGIKKITEAIEGLDVGVLINNAGISYPYARFFHEVDDELLNNLIKVNVEATTKVTQAVLPAMLKRKRGAIVNIGSGAAIVIPSDPLYAVYAATKAYIDQFSRCLYVEYKNSGIDVQCQVPLYVATKMASIKRSSFFVPSPTGYAKAGLRWLGHDPRCTPYWPHSVIWALLNSLPEFAVDSWRLGFCLQIRKRGQLKDSRKKE >cds-PLY88740.1 pep primary_assembly:Lsat_Salinas_v7:4:165546689:165548096:1 gene:gene-LSAT_4X98661 transcript:rna-gnl|WGS:NBSK|LSAT_4X98661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLLELTMPENLFVESELLQQKKYNAGDEAGIVFPTVLIPSPKFGDRNLKEAIRDQKPWLESVLHKNGAILFRGFSSVSSASDFNDVVEAFGYDELPYVGGAAPRTNVVGRVFTSNESPPDQKIPFHHEMAQVPKFPLKVFFFCEVEPKIGGETPIVLSHVIYDKMKQKYPEFVDRLEEHGLLYTRVLGEDDDPSSPIGRGWVSTFLTKDKAIAAERAAKLGMKLEWTNDGLKTVMGPIPAIKFDELRKRKIWFNSMVAAYTGWEDARNDPVKAVTFGDGTPLPANVIHDCLKILEEESVAVPWQKGDVLLLDNLAVLHARRPFEPPRRVLASLCT >cds-PLY75383.1 pep primary_assembly:Lsat_Salinas_v7:6:178606733:178610781:1 gene:gene-LSAT_6X108580 transcript:rna-gnl|WGS:NBSK|LSAT_6X108580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPHHRSHVHLTSSLSPPSNQTTNIKYSKYYSFRKKSLFIRKINMSNSECESGWTMYFDQSQNSWSYMGVKQHGEEEDDDDDGDLSMVSDASSGPRQKFAEDDQEKVYGERKKGRSKKEKKFNDVDDTVSSPVFTSTSKNKSGLLKKAPSVGEKCASNKQGADNEVTMKKGRECGTQGSRELGSTNVIARQLNLKY >cds-PLY67710.1 pep primary_assembly:Lsat_Salinas_v7:4:1457035:1459162:1 gene:gene-LSAT_4X1780 transcript:rna-gnl|WGS:NBSK|LSAT_4X1780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMGAFWGTRVMELVKKHDSGGLVWKRIKLTTTRKANAKKRILRVWQNEAVLRACSEVPPSNPNSEGAKKP >cds-PLY80535.1 pep primary_assembly:Lsat_Salinas_v7:3:200497956:200501756:-1 gene:gene-LSAT_0X19800 transcript:rna-gnl|WGS:NBSK|LSAT_0X19800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGIISALYSLFCDKLASEAFRQFARFKKIDDDDVEKLKMSLIKIKDLLNDASQKEIRQEAVKEWLNSLQHLAYDIDDLLDELSTEAMHREFTEESGTSSKVRKLLPSARMHDKFENITIKLQELFEEKYKLGLSVIGESPKHRNRRLETSLVDPSSIVGRKDDKEALLLKLYEPCDRNVSIVPIVGMGGVGKTTLARLLYDEMQGKDRFELMAWVCVSDEFDIFNISSIIFQSIGGGNQVFKDLNLLQVALREKISNKRFLLVLDDVWSESYTDWEILAGPFLAGAPGSKVIMTTRKMSLLTQLGNNEPYHLPILSDESALSLFCQHALGEKNFDSHPLLKPHGEGIVRKCNGLPLALKALGRLLRTKIDEEDWKEVLNSEIWSLEKGDGIVPALKLSYHDLSPCLKQLFAYCSLFPKDFEFDKEKLILLWMAEGFLQSTTNKTMERLGLEYFNALWSRSFFQPAPNDRSLFVMHDLMSDLATSVAGEFFSRVDIGTEKEHRNESFEKYRHLSFVREEYMVSGRFEPLKGAKSLRTLIAVSVGVIDSWQRFYLSNKVLENLLQELPLLRVLSLSNLSISEVPEFIGSLKHLRYLNLSRTDIKLLPENVCNLYNLQTLILFGCKSLINLPNSFLKLKNLRHLDIRDTPGLKKMPLGIGELKGLQTLSKIIIGGENGFAITELKNLQNLHGKISIWGLGNVQNAMEACNAHLSQKRLSELELDWGYGLLQKGLRLCHSDTGLNVFRKETHDKEVLTELKPQTDSLKKLKIVSYAVIEFPNWVGDPSFLGLTHVSIYGCEECTSLPELGQLPSLKELYIGKMSKVKVVGWELLGTGVAFPSLEILTFDTMPSWKEWSINNNGVVDAAVFPCLKELIILFCPNLVEVSLEKLPLLRVMTVKGCGHGVLTSLVHVASSVTKLILHDISGLTHEVWGGVMKYLGEVEEVTIERCSEIRYLWESEAEAGKLLANLRRLEVRYCSNLVSLGEKEEDNCGSNLTSLRWLSVSLCASLQHCSCPDTLESLFISRCDSVTSVSFRTGGGQNLKSVFIRDCKKLKSMNELKYFVHLITFRIEECPSLESFPFPDQELLDLASLRFLEVKNCTSMDASFLRGLWPPKLCSLGIGGLKKPMSEWGLQSFPTSLFDLNLYGGPYEDVTDFSRLSGLFPSSLTTLRIERFEKLESVSTELQHLTSLQHLSIVKCQKMLDLPEKLSSLLSLGISECSILKKKTSIGGSYWPIVSLTPHLHEDEYIFFVKVRHYLCLLSFDFF >cds-PLY63449.1 pep primary_assembly:Lsat_Salinas_v7:7:146247163:146249740:1 gene:gene-LSAT_7X86861 transcript:rna-gnl|WGS:NBSK|LSAT_7X86861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVFFTVVFIKLSETNSLPHFCIFMAAAAADLTFITDLDVLKDNFTMKLCVIRLWTLECYYNKDEIFSIQVILMEEHGNKIQGYVPNAYVYKFRKVLKEGEAFFIKNPNLAKIDEDVIGLVVAIGEINARNEDRKMHKMRLQIQDANGSQLDVNLWGDYCYKFSDYIQKNANILRIVIILQFAKINVWQDRRYVNTYYDVSKFIINSDIDEIKVFKKSLNEDGPHENSPCTFSYMKSNRISEKDGFLLNHEPKTISDIFEPIECFQDMLNNFEDFTFFQRFMISVRVQDHTGSITLTMFEQDANKFLKISAKDLVAKTAKLGFCTNVYPSDIKALKDMKLAFIVSVSKYNVQRNSNQYTISNISDDETLIEELEKKFVVAEGGNSQSFEHGTTDCESQDNIFIKDVISHTDDNVTPMNVFKSTATSPNKNLDATKDLKRAL >cds-PLY75498.1 pep primary_assembly:Lsat_Salinas_v7:9:34158938:34160129:-1 gene:gene-LSAT_9X30421 transcript:rna-gnl|WGS:NBSK|LSAT_9X30421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKDRKVGIAMDFSNSSKTALKWAIDNLADKGDTFYIIHVKPHSTAESRNQLWVASGSPLIPLVEFREPEVMAKYDVKMDIEVLDTLDTGARQKEIHVVTKLYWGDAREKLVEAVEDLKLDSLVMGSRGLTTIQRIILGSVTNYVLTAATCAVTIVKDPETFHKH >cds-PLY71839.1 pep primary_assembly:Lsat_Salinas_v7:3:59651064:59653434:-1 gene:gene-LSAT_3X46641 transcript:rna-gnl|WGS:NBSK|LSAT_3X46641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLTVPRCLHFPRSLPSIRIQANNRGESNGSGTPPHLGVGVGHEISLLPSFLRFISRKKLEEVLLESLPVGMEKEFDNYRRIVDPPLQSINRKGLIALEVDCSSYICFFTLISGLSVEKKIVLDGPIRCGKSTALAMLVHWARDEGWLLLYVPEGRSWTHG >cds-PLY91281.1 pep primary_assembly:Lsat_Salinas_v7:3:77936026:77936337:1 gene:gene-LSAT_3X57261 transcript:rna-gnl|WGS:NBSK|LSAT_3X57261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHIYSKTLHNSRHLMASFSRKTPWKNHLFSILFLATLLVVPTFSTSRRETITMSPDQIRRKNDTVGSNYRLRIVFSMLPKGQPVPPSAPSKRHNSVIGSTPQD >cds-PLY67054.1 pep primary_assembly:Lsat_Salinas_v7:5:282969158:282970707:-1 gene:gene-LSAT_5X148080 transcript:rna-gnl|WGS:NBSK|LSAT_5X148080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAIEDAGDGLPSTAEKTLFGKYEVGRLLGYGAFAKVYHARDVQTEKSVAIKAINKQRILKGGLAANVKREISIMRRLRHPNIVRLLEVLANKKKIYFVLEFAKGGELFAKVAKSRFSEHLSRRYFQQLISAVGYCHSRGVFHRDLKPENLLLDENWNLKVTDFGLSALTEQIRPDGLLHTLCGTPAYVAPEILLKKGYDGAKVDIWSCGIILFVLNAGYLPFNDPNLMVMYRKIYNGEFRVPRWTSPDLKRLISRLLDTNPQTRITVDEIINDPWFKIGYKEVEFHCDHLELKDDEDDGDSATSTSTSDNLKVMNAFDIISYSSGYNLSRLLDDREVGERFLSKDSPEKIIEKVVEVAEGENLTVVTRKGWCVKVVGGYNCNFVMAVEIKRLTEELVVVEVRRIESEVGPGHQIWKNKIKPGLNNLIYITGPSGSR >cds-PLY91129.1 pep primary_assembly:Lsat_Salinas_v7:3:153438101:153442921:1 gene:gene-LSAT_3X95921 transcript:rna-gnl|WGS:NBSK|LSAT_3X95921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLVLEDAEEVSIKKKTRKALEKKDQPFSPNHGRRLPKSPLATADFSHYTTVAAINHHHRCFTQAVSQLSAFLPTVAASNGHHNRFTSALSLLLQPITSRPPLVSAAGDFRSASGKSNRNTADSGGKIARHTRGSISYDEHCIRFEAYSRALLEKYEDDLVDHPIDDAKLWVKELRTQLENVEWERVLMQQKQEIMEQQLAQLMRRFGNPPED >cds-PLY86031.1 pep primary_assembly:Lsat_Salinas_v7:3:73959312:73963509:1 gene:gene-LSAT_3X61401 transcript:rna-gnl|WGS:NBSK|LSAT_3X61401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLTCTNFTLLTAAAILLQITGLSLFVFGFFPIKPALSGVSGPESFRPSSCDSIEDQNFTALHPDHLKSLYQELSEIPPSYDRLILMVIDGLPAEFVLGRDDQPPSKIYKDAMPYTQSLLANKMALGYHAKAAPPTVTLPRLKAMTTGSIGGFLDVLFNFNTQALLEDNIIGQFFRIGWKMVMLGDETWLKLFPGLFTRHDGVSSFFVKDTVQVDNNVSRHLSYELYKNDWNLLILHYLGLDHVGHLGGRSSTLMGPKLKEMDEVIKLIHSSIIQNHKQTLLVVVSDHGMQENGNHGGSSYEETDSLALFISPKHSHVSVSPTINQVDIAPTLSLLFGVPIPKNNAGYLITDLFLPLQDHELLRAMELNSLQLLKLLEAQTTHFTCKSLYLDAISLHKSWKMKNDLRSASNDEFKNTVFAYNEFLKTASQQLSHTATDKPMGLLAVGVTTMLLSCIIFLFLLFKLIQETYQNLKLQLSEAFIAIIIIILVLSMGSSSLVEEEQYLWHFMTSSFFLILLHKTFKSKSTNKIKTSILISIIIISGRVLRGWHQGGVNWTHLPDISKSLENSSSFYIKLLQILSVFVILVLCFYTLSSLKSCVVISIMGSYFCVGLLVLNYVMKYQGDGDNDNDGILAAQMIYGLIVGLTSVMVVGSPWIVSFYLKDLVLKIKDCLYLSGIAYVFGWCFLQLLLQKPVNSMPISLLLVQILATICCGSDFKQWVEIGAIYYLGMAGHFSLGNTNTLATIDVAGAFIGISTHSTVLSGLIMFVITYASPMLSLLSMVLSISMKDINPKLKNMGQLLKTILGFPCLVPLGLNSVLLVAYTIILLLMRNHLFIWTVFSPKYIYVVVTTVCVLVGVLIVASTVTYIVSVVFLLRHRYYKHSASLLYGRREDDS >cds-PLY74918.1 pep primary_assembly:Lsat_Salinas_v7:3:129892066:129892920:1 gene:gene-LSAT_3X87740 transcript:rna-gnl|WGS:NBSK|LSAT_3X87740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQGSPPSLVLFNSHQSQEFQPHNDDYEDDEALSLCDLATSKHEVPKANHPEEQFSDDLEQDFDFGGAGNSLPENKMCSADELFFRGQILPLQHSVTLPSELTTEGRSFIRSISFSGSEPTSVVSSRSSSTRSNNSGTSGSGSTSGSEVTKIRNQFHSHPSPRPQIRTRNVHHSNPKTSSKWSFLQLGMMKPQEIGLEDLKNRSQRSHGSSRSISMNTKDQNQNNKKKKTQLALFGGCKCSSNAIENTVYSRIPMVKPTGLKEEETKSRISKESNVGRSKRFFV >cds-PLY98163.1 pep primary_assembly:Lsat_Salinas_v7:1:139230881:139232285:1 gene:gene-LSAT_1X99041 transcript:rna-gnl|WGS:NBSK|LSAT_1X99041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGNLLDGCKRINLLQSTNTHVDFHVLQPPQFLTLPLYSRSNPHTLFDLNPSAIQVLHNLMKIKKLKSWDKVRAAPNRSVVWDKLRSSSFEYTASTNLDKCHCWEVSHFMEFNRRGK >cds-PLY70051.1 pep primary_assembly:Lsat_Salinas_v7:5:201463862:201466989:-1 gene:gene-LSAT_5X90740 transcript:rna-gnl|WGS:NBSK|LSAT_5X90740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSLHSNFSVIGKTSQLMRIGKHQSPVVAFSSSSRLHQQSLRYAVLGAGFAGLSVAWHLLEHSPIELHISIDVLDEVGIGGGASGVSGGLLHPYSPKVKPLWRAAECWEESLKLLRIAETAIQTKESSFNNGEIAHSSYEFIARRRGILRPAVSLKNMSIMNENAQNSLASCRIQSINEDAAQILMPNICVPSNLAFHMQGAINIHPQPYLEALYVACEALARDMSSVGPGEKDINFHKKSIDNLLQLEGEYDAVIVCLGARSTFLPELSGRLPLRTCRGVTAHFHLPENIGEEIPEHSPSILSDAWLAIQNPRNLHVGSTWDWKSCNYSQKVSMEEASRALEELLPKASAVYPSLRKWVFHGASAGLRAMPPLTGNGSLPLLGRIDDFITQNHSSKFWLFSGLGSRGLLYHAWLGKLMAQAVISCDEGVIPQEFTSWRPKL >cds-PLY78196.1 pep primary_assembly:Lsat_Salinas_v7:4:252136099:252141270:-1 gene:gene-LSAT_4X131781 transcript:rna-gnl|WGS:NBSK|LSAT_4X131781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMWSSSSSFFYKHHSFNPNFFSSRTIKPVIGTRFVKCSTSDNANDIHELLKILPHDLRDNLVMESKKDQLLEVILDLGRLPQACFLGDSGRRYIRDTEISMEELEFAENAIGEIGGDNRGGISGTLHRISAVRSRKGVVVGLTCRVGRAVKGIVDIVRDLLEFGESILFIGRPGVGKTTVMREISRVLSDELHKRVVVVDTSNEIGGGGDIAHPAIGSARRMQVCKASMQHKVMIEAVENHMPQVIIVDEISTRAEVIACQSIAKRGVMLIGSAHGDRLENIIKNPVLSRLAGGIQSVTLGDQEAQQTDGRKTVSQRAGPPTFPFMIEIRDRHCWVIHKTERSVDALLRGKKPRVEIRKRDKEMKVIIEKCKIKN >cds-PLY76225.1 pep primary_assembly:Lsat_Salinas_v7:4:52039417:52040177:-1 gene:gene-LSAT_4X35481 transcript:rna-gnl|WGS:NBSK|LSAT_4X35481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSHLNSTPTPHLQSSLEYPTRTAPSTTPYCQGDHRCDQNPRWWEGDDGDNGSDALDEICGLRVSSNRITCSLTIESHDAIFKVKEGNMLFGYQPFATKDPKVCDRAEELVLNRFVGDDEKLLNYVSWSNALNELED >cds-PLY75756.1 pep primary_assembly:Lsat_Salinas_v7:3:68796984:68800478:1 gene:gene-LSAT_3X56361 transcript:rna-gnl|WGS:NBSK|LSAT_3X56361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVHYKNSFYSMRNVNEDSNSNSWHLFYGDNAFTNSHYYNNFIPRTITDPYIAHEKAELKQKMLQHEAIFKNQVYELHRLYSRQRDMMEEAKRKEFNKYQIPIDTSSSSSFMPPQKWQISGPSIFGVDISNSPPISYSKGNNDSKDCEIIDCSRPSKVRKKLFDLQLPAHEYIEPEDDEQVFDNHASEISSYLPKNGVKTFLENGYRNSPSGQHCMRSNGLTDLNVPVYLQPAFNHFHGKEVHHHHHRGTYSEISSKIQDNQTPSIFTTSNAYPFITTSDGLTRKLQKYPSFLSSTTGNGCHGDRFFHGSSSGSKEVFDYRNCKKIDDGSQKKFKGSNFIDLTDTTTKGMDLNTIQILSDNEDESRKCDQTVFPWLKSETGICKNGDVAKEKDNKKLLGFPIFGNFCKNDASSSIVSTSASIEKRGIDINVAWDDVIDEKRIDVEETDAEIKNFKNHFDLNSCVTEDEELLVTVTVTTESVKSSKKKMTMEIDLEAPAVSEIEEEAIQCGEEHKKTIELEKIAVEAIVEISSQQEDQVGPTENDDPLLWFVEVIEKNTVFATRETDEYEMLTLQMEESKEEDYMPAPLVPDVQEPDEVVGPGPGSRPRRGQARRGRPRRDFQRDILPGMESLSRHEITEDLQIFGGLMRATGHSWNFGTMKRNGKRVGAQGRRKGKAVEITTAATTPPPPPPPVVGLEERSFTGWGKTTRRPRRQRCGVGNAVAVQST >cds-PLY90863.1 pep primary_assembly:Lsat_Salinas_v7:9:161239307:161243071:-1 gene:gene-LSAT_9X101680 transcript:rna-gnl|WGS:NBSK|LSAT_9X101680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTYTPKNILITGAAGFIASHVANRLIRSYPDYKIVVLDKLDYCSSLKNLNPSRSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETEEDAIVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKPLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKRERRVIDVAKDMCKLFNMDPDSSIKFVENRPFNDQRYFLDDEKLKSLGWSERTIWEEGLKKTIEWYTSNPNWWGDVSGALLPHPRMLMMPGGVDRLVDGPENTEFDTPHEANNNSQIGISVSAPKTSSNSTKKPSLKFLIYGKTGWIGGLLGKICQKQGIQYEYGKGRLQDRSQLLADIQSVKPTHVFNAAGVTGRPNVDWCESHKTETISTNVSGTLNLADVCREHGLLMINFATGCIFEYDSAHPEGSGIGFKEEDKPNFIGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLNNPRNFITKISRYNKVVNIPNSMTILDELLPISIEMAKRNLKGIWNFTNPGVISHNQILQMYQNYINPEFKWQNFTLQEQALVIVAPRSNNELDASKLKKEFPELMSIQESLIKYVFEPNKKH >cds-PLY62104.1 pep primary_assembly:Lsat_Salinas_v7:4:160116935:160117935:1 gene:gene-LSAT_4X96240 transcript:rna-gnl|WGS:NBSK|LSAT_4X96240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRNQKLIFKDYVNGFPKELDLILTTSATVPLQLPTQGYNGVLLKNLYLFCDPYMCGYGVVKVVESGHSNFKKGDLVWGFTGWQEYNIITAPNILFKIQHIDVPLSNYTGILATFILDARPLFDVMSTTLFLVVLGVALIL >cds-PLY91871.1 pep primary_assembly:Lsat_Salinas_v7:8:197893018:197893541:1 gene:gene-LSAT_8X127520 transcript:rna-gnl|WGS:NBSK|LSAT_8X127520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGILMPRITQAKQILKRSFSNGSSTTKSMDIPKGCLAVYIGEQEKKRFVVPIWLLMQPTFHELIDQAEEEFGYSHPMGGLTIPCSEYTFTDLASRLGAL >cds-PLY81954.1 pep primary_assembly:Lsat_Salinas_v7:9:153697467:153697880:-1 gene:gene-LSAT_9X96420 transcript:rna-gnl|WGS:NBSK|LSAT_9X96420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTKGDTSLMPTHLISLVVVIVSLSFTSSTDATYPYSSLPPPPKKSSPSLAKHHYVYKSPPHPRFQKSPPPPPPPHKPYENNPPDPPHIYPNPPIIKPPIIITPSPTPPHTPVYNSSPPPPPVHKSLRPPPPKKPYV >cds-PLY79716.1 pep primary_assembly:Lsat_Salinas_v7:8:124733225:124738492:1 gene:gene-LSAT_8X86401 transcript:rna-gnl|WGS:NBSK|LSAT_8X86401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKNEESYSPGWGASFFMQTTEDVTRAFMAAAAAASAAPSSRPSVLYSSKDDSGGHLQKLQNQVFKVLKGLSHPTEEKRSYNPEVLTTQKRQWASFQLQSLHRRILKEPSRLFESFVVVGLHPDCDIQSLERQYFGRNSQVNGQHQPVSTVENLDPQVLFVYPPDKQLPLKYRDLLSFCFPGGVEVNAVERTPSMSELNEILLGQEHLKQSDLSFVFRLQGADDSILYGCCLVADELVQKPSTLISSLSDGQFRPSSSRRILTTRRCYCILSRLPFFDLHFGVLNSISTEERLERLRESISGLDLESCDVHEHEKKVYSEEEEEEEEDKTSGISISAEHGDKLLLNGNRETLHNSITETLVIDDDNKAEAVSEVCDASVYDIVNNDQPSQRQIPNAILPLLRYQQYDSSESSSSLQGSPSEDRNFRSELDSAEMEETSFSSQEDSEHDEILDWAKENNHGSLQIICEYYRLSLPTRGSTVTFHPLDHLHPLEFHRPDETVLHIAGSTIDLMSCTTSFELAEAHSALAVEEEATALSVWAIACLCGSLRLEHVLTIFAGALLEKQIVFVCSNLGILSALVLSIIPLIRPYHWQSFLMPVLPNDMVDFLDAPVPFVVGVKHKTAEVQSKLANVIFVDANKNQVKSVSVPNLPRHKELFAALSPYHAQLVGENYLGKRRPVHDCTNVQVEAAKGFLTVLRSHLDSLCSNLRSHTITNVQSNDDKVSLLLKESFIDSFPSRDRPFMKLFVETQLFSVHTDLVLSFFQKD >cds-PLY92747.1 pep primary_assembly:Lsat_Salinas_v7:8:66864810:66869730:1 gene:gene-LSAT_8X47400 transcript:rna-gnl|WGS:NBSK|LSAT_8X47400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFSATSYISKSSIVDSSFNSEHSKNLLLLKFKLSRRIRPRGRNFAVTNVASRNQRLQNSPDQSIQETTDALDNGILVPDSESVISSIKYHAEFTPSFSPDKFELPKAYYATAESIRDMLITNWNATYNFHEKMNVKQAYYLSMEFLQGRALLNAIGNLELSGAYAEALRKLGHDLEDVAKQEPDAALGNGGLGRLASCFLDSLATLSYPAWGYGLRYKYGLFKQLITKDGQEEVAENWLEMGNPWEVPRNDVTYPIKFYGEVVKGKDGHKTWVGGEDIMAVAYDVPIPGYKTKTTINLRLWSTKVGPENFDLHAFNDGNHAKAYEALKKAEKICYILYPGDESLEGKILRLKQQYTLCSASLQDIIARFERRSGESLDWNEFPEKVAVQMNDTHPTLCIPELLRILMDFKGLEWKEAWEITQRTVAYTNHTVLPEALEKWSLNLLQELLPRHVEIIKMIDKELIDTIIAEYGTEDLELLKEKLKQMRVLENIELPASILELLVKPEEIIIVDSLEEKSSEEVTELPKTENNDVDIRAKVTFDPDPKLPQMVRMANLCVVSGHAVNGVAEIHTEIVKNEVFNDFYKLWPKKFQNKTNGVTPRRWLSFCNPELSKIITKWTRTEDWVLNTEKLIELKKFADDEELQSEWRKAKRMNKERTVSFLKEKTGYLVSPDAMFDVQVKRIHEYKRQLLNILGIVYRYKKMKEMKSDERKEKFVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDPDIGDLLKVTAGMEASGTSNMKFAMNGCIQIGTLDGANVEIRQEVGEENFFLFGAEAHEISGLRKERSEGKFVPDPRFEEVKEYVRSGVFGRNSYDELMGSLEGNEGYGRADYFLVGKDFPAYIECQEEVDKAYRDQNKWTKMSILNTAGSYKFSSDRTIHQYARDIWRIEPVVLP >cds-PLY91145.1 pep primary_assembly:Lsat_Salinas_v7:4:163213812:163217388:-1 gene:gene-LSAT_4X98080 transcript:rna-gnl|WGS:NBSK|LSAT_4X98080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLQILFYKKSLYGLVDVSERIYGIYNNPLPEEEGSKVLKEAFTRGVTFFDTADVYGVQHANEILVGKVHRVLADIVSASPNATPGLWRYPPFEREVHEQGQLLFFIIDFWMLDLANNTVQGPARALLADLAVIGRDQRNSANVIFCSWMAIGNILGFLSGSSGNWHRFFIHFAHW >cds-PLY73389.1 pep primary_assembly:Lsat_Salinas_v7:9:154027277:154027504:-1 gene:gene-LSAT_9X96160 transcript:rna-gnl|WGS:NBSK|LSAT_9X96160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGLQTQDFPSTLRLTKAPKDVMAEPESKPKKKICCACPDTKKLRDECVVEHGESACSKWIEAHRLCLRSEGFNV >cds-PLY75641.1 pep primary_assembly:Lsat_Salinas_v7:1:99322573:99324089:1 gene:gene-LSAT_1X81521 transcript:rna-gnl|WGS:NBSK|LSAT_1X81521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTENYRAGLVTCAKEDRISILPEHLIDSILERVPLEEAVRTSILSKNWRYRWTTMRALVFDECFSRKFAKNGAFGRNGFIRIINQVLFLHKGPILKFHLHIPNIVLDSFQDIDQWMSFLSRNGVMELILTNFNRRYELPSYLFSCLELTKLKLQNCFLKPPPKFEGFLNLEDLYLRNVDFGANLCGPQISLPQLKKLSMYSCTNVSNFNIKATRIWSLAVFTCPGVMLLQLLHSPCITRFAIRDFVQGERMNLERVLRNLPVIEALYIDGSSLKFLSAENIPKWLPHPVNSLKHLRLRNFEVGDLDQLHGALCLLRNSPNLKSSVIQLYMEPQVDVGLASNHLDTPNCLDYTLKQLQTVEIISLEGSGPELLFIKLLLAHSPSLESLTNRPSRDSDVQKRFDIAKDVMQFPRASTNAKMFYLDLEP >cds-PLY83985.1 pep primary_assembly:Lsat_Salinas_v7:8:37212224:37213608:1 gene:gene-LSAT_8X29640 transcript:rna-gnl|WGS:NBSK|LSAT_8X29640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTLNPDPAANQESQVPAVVKDNLVAIDGEGDDGEKELHELLLPNVNDLPLSPPSAIEFNFVTYFAPDFMKPEHDQYIYRHANGLCVIGLASGHVAFKDEGGITSVDFNVGKSNRSEIKVTGKRKRNAQHFESNTALCKVCTNNGFYIVRCCIKGSLLEVNERLIKQPELLNSSADREGYIAILMPKPTDWIKAKDSFITLEEYKKLRQF >cds-PLY71390.1 pep primary_assembly:Lsat_Salinas_v7:5:46708354:46709649:-1 gene:gene-LSAT_5X22980 transcript:rna-gnl|WGS:NBSK|LSAT_5X22980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFKILTRTLVLPKSIKQIPNFSTSICSNTTPLSNLFERYGFPPSNLHTFFAKNRFLLDSNVPNVEMSLKIISSFSSSKNFVVSMVNNCPRVLELDFLKKWEMGISKLGFSDNSSLTMNILEVSRKFDLYPDDVLQCMECLRGFRFTPATITKILEELPMIITMNEENIWGKIEFLLGIGIHRSKIDTIIQTYPGILGFGVENKLKPLISEFTEMGFKPNDIREEITRNPKILQSEVGELSKCLRMLNSLKCRVPIKEKIFSDGVFRASYKVKLRIDCLHKHGLIYKDAFSILWREPRVMLYELDEINKKIEFLINTMKFDVVCLVEVPEYLGVNFEKQIMPRYKVLEHLRLRGGIGDEVGLRSLVKFSRLRFYNLYVKPYPECEMIYGRFVDVKVRKGCPEGMWKLFKPRKYPESEEDLKNMKSFMEQLR >cds-PLY80594.1 pep primary_assembly:Lsat_Salinas_v7:6:13687135:13688884:1 gene:gene-LSAT_6X11860 transcript:rna-gnl|WGS:NBSK|LSAT_6X11860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKPEKFDCVKLTEHKTRTTMVTKSLVTSVGEKSTNRETNAISTGPRVVRISVTDAYATDSSGDEGGECDGRRRVRKFVNEVTIETRSREDLRNGNGNKSVSRTASSRRKKVVGKVNSTAEKRLKVNTGKRFRGVRQRPWGKWAAEIRDPMRRVRLWLGTYDTAEEAAMVYDHAAIQLRGPDALTNFTVPPPATLQLPEKKPSPVTSDYNSGDDSHNNKATSPKSVLRFASPSTDDSTTESTHNDAGNEASDTTKDDDASALEKFSGFHAFDAPLSTSDLFDFPDIIPGIFDPTSLSGTPFQDSDPVNMFIDSAIDVGFGAWPADDYFNDFGDIFGSDPLVSL >cds-PLY80305.1 pep primary_assembly:Lsat_Salinas_v7:3:207895883:207896446:-1 gene:gene-LSAT_3X122421 transcript:rna-gnl|WGS:NBSK|LSAT_3X122421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSEKHGSSETSSDQETSGCSLPPDSAKDGLGRSYECTYCKRGFTNAQALGGHMNIHRKDKAKAKHQHALNTTTSASANTNKDHLIVSPNSFKLIPNEEANYLRSSFVYPTGDHGYQFTTSNPNFPWSFAASEFHSRALHEESLRVNLNLGIGTSELDVSNIGSTKVGQEDWFEKEVDLELRLGHYP >cds-PLY72317.1 pep primary_assembly:Lsat_Salinas_v7:4:61033731:61034375:1 gene:gene-LSAT_4X42441 transcript:rna-gnl|WGS:NBSK|LSAT_4X42441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVIIIDGPTVQAFVNDDVQFRTAVDKQFVLLDTNNDGVLSRSEMRKAFETTRFLETDFGVDKATPPEEVTLLYESIFRSFDEDNNGTVDIDEFRSEVKKIMLAVADGLGSSPIQMAVEDENSFFKLAADHEAARIANSS >cds-PLY83564.1 pep primary_assembly:Lsat_Salinas_v7:1:64829724:64832763:-1 gene:gene-LSAT_1X55860 transcript:rna-gnl|WGS:NBSK|LSAT_1X55860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDFLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFEASAKTNMNVEEVFFSIARDIKQRLSETDTKTEPQTLKINQQDQAGGTSESAQKSSCCG >cds-PLY90596.1 pep primary_assembly:Lsat_Salinas_v7:6:50220802:50224421:1 gene:gene-LSAT_6X36400 transcript:rna-gnl|WGS:NBSK|LSAT_6X36400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLENLDTLNSSGTDDKQGTENREDLDSRASGTKTNGGDSSDNEATSSVNDKGIKRSAGGDIAPTTRHYRSVSMDSFMGRMNFADESPKLPPSPGGHIGQLSPNNSIDSNSNTNTFSLEFGNGEFTGAELKKIMANEKLAEIALSDPKRAKRILANRQSAARSKERKMRYITELEHKKLGHSEVGSFISQAKSNLIKLLQDLAIELSSSSGLQVSTPTGFGGVPHFLAETLDVVQDIDWVISLGNAFAKQYELYTYDDEHSALLHRFLNCDSPLQLSECRLILVLD >cds-PLY62148.1 pep primary_assembly:Lsat_Salinas_v7:5:7044557:7044754:1 gene:gene-LSAT_5X6160 transcript:rna-gnl|WGS:NBSK|LSAT_5X6160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELEGILASLIQKHPWVQSTSNEEEVEYLLKGTTDDYECTEGATGSSSTFDSMSKLTILPIASGR >cds-PLY62262.1 pep primary_assembly:Lsat_Salinas_v7:5:166396143:166402920:-1 gene:gene-LSAT_5X75740 transcript:rna-gnl|WGS:NBSK|LSAT_5X75740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTVVYGALEEICSQGANGLTLRGLWSKIPTHLSSNGLHLCTNVKKALWSNLLNIPSLRFECEGVTYDAEDPKIQSFEDAEAIDLRIIAAEHLLNSFVGIYDIKASDAGISQPQRRALERLAIARTDGVTQNELVKEFGIKNNNMYYILRNLETRGLIVRQSTIVRKKEAGNDGEYKAGSIVNTNMLHLYRYAKPLGSLQRLEIIKENDADRRVVTGGGVSEEHIKEDVHIKDYLPAIKAVCDRLEQADGKVLVVGDIKKELGYRKTSGHRAWRSILRRLKDAHLVEEFQATVNQKEVSCLRLLKTFSPKTFVPKSHGGGDDDLDTEQQVKLVNSGQNTEQLLELPIEQQIYDMIDAEGSKGLILNEVYKRLGIKNKRYYPRILDMVSRFQMHLESENLNRGLVYRVWTSGNFNSEASTSLPSKSEDTMDDKLSTQSQTQVGQLMLTHTTQESDHRITTVNAEASEEQNIDPIGLISTGSHNLQSDTSGIIVNLNPALEISSSASPASHVRRSYTTYPCLGFSSASSQREQRILEKLQEEKVLIKPELHRVLESLENLDNKHTMMDRKTLERSLNKLQEDGHCKCISFAIPSVTNCGRKRTVDVILHPSVYKAEDLSDIVHEKIRSFEKLIRTQCFPRHTNSKSKSIPVLNNVERIPTTNDVQSEAFIAMRENGFVLAKMVRAKLLHVFLWEYLTGVPGWDDSISILSGEEDDVNKNPYSSCKLFELDAAIKAMPLELFLQVAGSAVKLDSMIEKCRNGIRLSDLSIPEYRSLMETRATARISYVIDILRRLKLIRLIGGEFVEETHVGVGPHSTTTTTTTLRHSLELKPYIEEPVTVALPSPGVNSFDLRPHVRHDFVLACRKGVDEYWNTLEYCYSASDPKAALHAFPGSAVHEVFLSRSWASVRVMTAHQRAELLKLVANDDSNQKLSYKKCEKIAENLNLTLEQVLRVFYDKRQKNKLKGAGSTKDKSSNALITYKRKRSSKVKTVNNEKTLMENLLEESSKVKHPKLSHTHVSIDDMDVQKEAADDVALIEDEDDQPYSVSKLQQPTRTKRFPWTETADRLLVIEYVRHRATIGAKFHRAEWSSLENLPAPPETCRRRMSTLNRNNQFRKAVMRLCNMLSVRYAKHLEYSKNKTLIDNRKAPDVAVHPSNELDVDEQWDDFDKNDIKIALDEVLQYKQVFKIAATKGSSRFVSNNCPRQHEFDETNVGVGSSGNPSDEFLDSGRREGSGRRSRRRCLPKSYDKLMNRGKSFETQTYKSLAVSNAIELFKLIFLSTAKAPVVPSLLAETLRRYSEHDLFTAFNYLRDRKFMVRGNDASHFVLSQQFLHSISSSPFPVNTGKRAVKMSRWIHERENDLLEYGVNLPADLQCGDVLHLCLLMCSGEVSMFPCLPDEGVGEIEELKKRNRDSDDKQEQLRVEKAKKPKILDSEIFSRKEKGFPGIQLSLTRSLIPRIDAITFSEDRDTCPSVSNSSVLVASSESTWEGMSRHARHVASSGTFDPDMFKSVYSAIQKAGDQGLSMEGISEIIITDVQEGEKMEEVIVEVLEAFGKVLKVNAYDCVHVVDSLYRSKYLLASSMASHQHEHDLLHLKEPQTSSINDELQENRTSAPPDEEVHRVTILNHPQPEEEEQVAQVLIENEKEIVKVTSPRTTGTKEGFCIGDFDVDSPCYKPILPWVNGDGSINEIVYKGLVRRVLGIVMQNPGILEDHIITQMNVLNPQSCRKLLEVMILDSHIRVRKMYASVSNEPPAMLRGLFGCSFKKPKLVFRQHLFANPTSINCL >cds-PLY73469.1 pep primary_assembly:Lsat_Salinas_v7:4:181673358:181676611:1 gene:gene-LSAT_4X106880 transcript:rna-gnl|WGS:NBSK|LSAT_4X106880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRTKQLGVLSQSARSFFFSGTRCSADGSSCTCQEDEACTSKRQIPNTNRTRNPQTTSSSTFVPKSSTTPNTNIVDHTPKSKPDCVTYADEIDTSIQPSGLTTGHFVRAGIAAVNFLNDVVNYKIPMTEGSSQNYVVDHGRPIPNVKPYKKVIPEKTTSSDKSSNVKVYKKIEPQTSAQKNPTSVAKSKPSGKTAPNFQNQNTKRSKDCVNQFMVTRGISTKPVSQPGRQFSGSGHMVETVCQILRNSKWGPGIEQALWNLNGSLDVYQANQVLKQLQDHSIALNFFYWLKTQPGFNHDGHTYTTMVGILGRAKQFGSIDKLLDQMVRDGYPPNVVTYNRLIHSYGRANFLKKSLEIFDQMQKEGVKPDRVTYCTLIDIHAKAGYLDIAMGMYQRMQEAGLSPDTFTFSVIINCLGKAGHLDAALKLFCEMVSQGCIPNLVTYNIMIALQAKARNYPIALKVYRDMQSAGFEPDNVTYSIVMEVLGHCGYLEEAEAVFTEMTRKNWVPDEPVYGLLVDLWGKSGNVEKAWGWYRAMLDAGLLPNVPTCNSLLSAFLRVHRLSDAYSLVQSMRSLGLNPSLQTYTLLLSCCTEAQTAVDMRFFHEVMCLTGHPADRFLRSMPSAGPDGQNVRDHVTKFLDLMHSEDRESKRGLVDAVVDFLHKSGLKEEAGSVWEVAARKNVYPDAVREKSACYWLINLHVMSDGTAVTALSRTLAWFRREMMSSGVCPSRIDIVTGWGRRSRVTGSSMVRESVRELLNLFRFPFFMENGNSGCFVGCGEPLSKWLVQSYVERMHLL >cds-PLY77261.1 pep primary_assembly:Lsat_Salinas_v7:3:57030103:57030683:1 gene:gene-LSAT_3X44981 transcript:rna-gnl|WGS:NBSK|LSAT_3X44981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMCFCGREAVVRTSWTSANPGRRFLSCPQKGSRCRFLGWIDPPMCARSMLIIPGLLRNINNVNYQVARLKIKKLL >cds-PLY64277.1 pep primary_assembly:Lsat_Salinas_v7:7:2586720:2587415:-1 gene:gene-LSAT_7X1421 transcript:rna-gnl|WGS:NBSK|LSAT_7X1421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIQISIMNSLPIFQEVTTEMEIYMKFAASQVFVEDKDQTWRGKDRGMGKPSKRKMRFFKNDDKFFKNNDKLLKNVLYIMTFCKFFKNDDRFLKNIV >cds-PLY86629.1 pep primary_assembly:Lsat_Salinas_v7:1:3566172:3570786:-1 gene:gene-LSAT_1X2721 transcript:rna-gnl|WGS:NBSK|LSAT_1X2721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKWVVVVLVLVALTSSVAAETSSKPPPALSPPQRVGSKINTPMVVVPACLLIAFLLICIFFFYFGCHYVEHQLTLASTIINGGGRRSMKLVCGLDPAVIATFTTCTYSTVKEMKIGQHVLECAVCLIEFTDHEVLRLLPECNHVFHRACIDEWLALRVTCPVCRASLVPKPNQLSYAELCCGPNRPTTSGHVSVEVFDLKHDISLVRKFPRSYSTGHLMMERPIEDVERYTLGLSEEAQHVLNNLVADPLTSSNASNTKESSLKKSLKSASANFVRESDYFEYERFGQERQQSFIVMAITGELSAISHSSISLPQYHTLASIQGHYRQPCYKLSPTPSTSSNTTHLLSLKTTPNLSLYRPITIKHHSRNRFSGVISRSVVPEKPPTALSVDFVEPTELQTDSSGGGGGGGGEGNDNNWGGGSGGGGGGEGGGAPEDNQEPKKMGMSMSQKLTLGYAALVGLGGVMGFLKSGSQKSLISGGISAALLLFVYTQLPVNPVFASCVGLGLSGALLGVMGMRFKKSGKVFPAGVVSVVSFVMTGGYLHGVMRSFH >cds-PLY75155.1 pep primary_assembly:Lsat_Salinas_v7:1:184328115:184333930:-1 gene:gene-LSAT_1X120800 transcript:rna-gnl|WGS:NBSK|LSAT_1X120800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESRVLSYGATATTVAGGIPRLTKPAARLNTVAVSFPARLNADGGNLVCGRQLRPSLLLNLDHSSASAVPLLTSTAKRDVLKPCSATASDSAGDAAPVGFLAKYPFLTTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLAVGVVYCLGSWSVGLPKRAPIDSNLLKLLIPVAVCHALGHVTSNVSFAAVAVSFTHTIKALEPFFNASASQFILGQSIPITLWLSLLPVVLGVSMASLTELSFNWLGFISAMISNISFTYRSIYSKKAMPIDSNLLKLLIPVAVCHALGHVTSNVSFAAVAVSFTHTIKALEPFFNASASQFILGQSIPITLWLSLLPVVLGVSMASLTELSFNWLGFISAMISNISFTYRSIYSKKAMTTMDSTNLYAYISIIALFVCIPPAIILEGPQLLKHGFSDAIAKVGMTKFVSDLFWVGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIIIFGNKISTQTAIGTSIAIAGVAIYSVVKAKIEEEKRNPKTA >cds-PLY91832.1 pep primary_assembly:Lsat_Salinas_v7:6:32922457:32925117:-1 gene:gene-LSAT_6X26100 transcript:rna-gnl|WGS:NBSK|LSAT_6X26100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDHNVFVFGSFTEDEIKSLQSTSKQNDVEFMFGSLDSATLRSVGIFNNKPNVTEIPKNHQPSNPVKKSKNDEIVHASSSSSSSRTPVAVVNKNGNIHDSSSLSYSNGVQEQEPKNAQLLVSFVPESIGSEAYVESFEALNLINPKNNLITASNGLHKAATELLPRGLVNSGNLCFLNATLQALLACSPFVHLLQELRTRNIPEIGYPTLHAFVEFISGFDMPSDIHSKKKDNILLETGKPFRPVMFESVLNNFSPDMPNSFSGRPRQEDAQEFLSFVMHQMHDELLKLEGEGCNFNGGKVSLVSSVSDEDDDESWETVGPKNKTAITRTQSFIPSKLSEIFGGQLKSVVKARGNKASATIQPFLLLHLNICPDPVHTIEDALHLFSAPETLEGYRASSAGKAELVSASKSVKILELPEIIILHLMRFSYGSQGSTKLLKPIHFPLHLTLNRDLLVLSSPEGRRYELVATITHHGREPSKGHYSADVLHETSGKWLRYDDASVVAISTNKVLHDQAYVLFYKQMY >cds-PLY94326.1 pep primary_assembly:Lsat_Salinas_v7:7:164430007:164432276:-1 gene:gene-LSAT_7X97020 transcript:rna-gnl|WGS:NBSK|LSAT_7X97020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative protein FAR1-RELATED SEQUENCE 10 [Source:Projected from Arabidopsis thaliana (AT5G28530) UniProtKB/Swiss-Prot;Acc:Q9LKR4] MTSKPLNNIWIRRQQCPCGDWKCYIKYEGDDQTTGVSELVKTDGTTSSSSDVIFTPYVGQIFKTDDEAFEYYCNFARKNGFSVRKARSTESQNLGVYRRDFVCYRSGFNQPRKKANVEHPRDRKSVRCGCDAKLYLTKEIVDGITQWYVSQFSNIHNHELLEDDQVRLLPAYRKIQESDQERILLLTKAGFPVNRIVKVLELEKGVQPGQLPFIEKDVRNFVRTCKKTVQENDAMLTERKELDMLALLEACRETSQRDNGFAYNYTTDESGKVENFSWAYGDSIRAFSVFGDVVTFETTYRSITYNMLLGVWFGIDNQGKAFLLGCALLQDETSQSFSWALQTFVQFLRGSHPQTIVTDIDSGLRDAITTELPNSKHVISIWHVLSKLSSWFSLDLGLQYPEFKTEFELLCHLENIEDFENQWNHLVSRFGIESDKHVALLFSYRASWPVAYIRGYFLARTMTIDYMKSMDKFMKTILNPHSSFKNFFDQVSEATNVAFHKKEKQLSSYSPMKTSLPLEEHARSVLSPYAFNALQQEIVLCMQYATTDMANGSYLVRHYNKLEGECLVIWIPDTEQVHCSCKEYEHSGILCRHSLRVLVLKNYFQIPEKYFPLRWRVDTSLVLDDHVMTTQEGNDECSEAFNSLTSTLYSECLISKDRFSYVHKELNQILEIVRSMPVFDEVTLDLGPNTVNDL >cds-PLY96034.1 pep primary_assembly:Lsat_Salinas_v7:3:194483738:194485455:1 gene:gene-LSAT_3X116061 transcript:rna-gnl|WGS:NBSK|LSAT_3X116061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMMRSYLKRTLSAPQYLLHSRFSHTPNPSMSTLSSSTFRHHYTTHDSSCRFDDENNITKRVDLEIISIGSIKPAYPTPNHLRTFNLSIIDQMFFDSNTPTILFLPNTDNTSVSDVIATRSGRLKESLSELLTQYYPFAGEIVDIFSIDCNDKGVYFVEARVNQTLQEFLHQPDDQKLKELIPKHPSITTESSLGNFVISVQVNVFNCGGIGLCTSLSHKIFDGTTYFTFMKAWATAARSGSSQIIPPCLVASDIFPNNPFIKQWPSKLWNTKLPCTKRFVFDSMALASLKAQHVSPPLRGPTRTEVTAAVVWKAAAKAASTVRRFSPDSPHALFTFVNLRKRASPPLPTELIGNVISAGNAICFPYKQPDLATMIDEVRKSISKLDSGYVESLKREKGHETFIGFQKIINDLIEVMNEENCLFATSLLNTGIYEMDFGWGKPIWFYHMNPGCSRIVSLNEVKKGGGVEAVVSLSSEEMEIFESDPEVLSYATVNPSPLRFLN >cds-PLY79781.1 pep primary_assembly:Lsat_Salinas_v7:1:179679436:179681623:-1 gene:gene-LSAT_1X117460 transcript:rna-gnl|WGS:NBSK|LSAT_1X117460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNISKNWKTLSGETNWKGLLDPPKQDLRNYIIHYGEMAQATYDAFNSVKLSKYAGSCRYARTNLLSRVGICQGRPLNKYHVTKYLYATSSIPLPDAFIFKSLSREAWCKESNWMGFVAVATDEGKVVLGRRDILIAWRGTIQTMEWVNDLDFTLVSGPIIFGEKNVDDPKIHQGWYSVYTTDDPRSPYNKTNARDQVLAEVKRLVEQYKNEDISLTITGHSLGSAIATLNAIDIVLNGFNKPKDMPNKACPVTTFVFASPRVGDSNFKKVFSSQKDLHALRIRNASDVVPNYPLIGYSDVGIEMEIDTTKSEYLKSPGNLSSWHSMEAYMHGVAGTQGSKGGFKLEVKRDISLVNKHLDALKEEYGVLVSWWCEKNNCMVQQEDGSWELMDHEENDFGP >cds-PLY74984.1 pep primary_assembly:Lsat_Salinas_v7:5:86118354:86127044:1 gene:gene-LSAT_5X40001 transcript:rna-gnl|WGS:NBSK|LSAT_5X40001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSDAESSTKNSSKPESTNKWMAFDRDSNQNKPPTARITPPDQDEYDADHIAERTAQWPGATAVAGLIGDEERNKRSSSSSWRNSDDLNHQPRVSQELKDALATLQQTFVVSDATKPDCPIMYASSGFFTMTGYSSKEVIGRNCRFLQGKETDQKEVDKIRHAVKTGTSYCGRLYNYKKDGTPFWNLLTVTPIKDENGKTIKFIGMQVEVSKYTEGVNEKELRPNGLPTSLIRYDARQKETALGDIVEVVQTVERPRTNNQSSKTEIVEKIVESPISPSLEKLNINMNTPGRETPLFDPKGDSTPTSSLSASKSRKSIRSSLMGFKGRSSTHEFQPTIEPEELMTKDLSRTDSWGRMERMRDMRQGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEFTREEILGRNCRFLQGPETDQGTVDKIRAAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSGNVEPLRNRLSDTTEKQSAKLVKATAENVDEAVRELPDANLRPEDLWAIHSQPVFPRPHKKYNSSWKAIQKITANGEIIGLDHFKPIRPLGSGDTGSVHLVELKNTGELFAMKAMDKAVMMNRNKVHRACIEREIIGLLDHPFLPTLYTSFETATHVCLITDFCPGGELFALLDKQPLKLFKEESARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQTDGHVVLSDFDLSFRTQCKPQVIKHPQLKRRRSRSQPPPTFVAEPSTQSNSFVGTEEYIAPEIITGAGHSSAIDWWAVGVLLYEMLYGRTPFRGKNRQKTFANILHKDLTFPSSIPVSLAARQLIHGLLNRDPESRLGSIGGSNAIKEHAFFRGINWPLIRCMSPPELDSPLELIGKDSTAKDIQWEDGAMLDNALDVLGS >cds-PLY75385.1 pep primary_assembly:Lsat_Salinas_v7:6:178823194:178825383:1 gene:gene-LSAT_6X108980 transcript:rna-gnl|WGS:NBSK|LSAT_6X108980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSELVPENAPPLGSFVVGAKNLPCSFLGVRFLRTHENNMKMEGPGTSGSGSQNRARALEVTVAGIILSVALTESISSKGILISWTSRIMKKGYLCFSLLAGMTSACIWQVSSESGNESSLPALESSSSSESLATFRAEIAADNEAEIYARIRSLRGRDYYNLPPQNNPGEYEGLVHNNFEQAIDVPHYRAILDREYFELTVLERKGLLQDRLFDLMFGEQKIYRIMELSLYTNIRTEAYDFLEGKVEPVSSLQHSFQRDIMDGSLNFFIQDINQSGRNSQIYREFYSHFNDEVFRLKFGLPLP >cds-PLY76164.1 pep primary_assembly:Lsat_Salinas_v7:4:56011805:56012287:1 gene:gene-LSAT_4X37980 transcript:rna-gnl|WGS:NBSK|LSAT_4X37980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCLGTPKENIHRLSYLTTHQEQLKRSSSFHFHHLQRKRVMGMTNSRNKTRVLFVMLKRKMAMKNLKLYMQNQCIIEENEKLRRKALLLHQENQVLFYQLQNAKINEHNINTDVIV >cds-PLY82936.1 pep primary_assembly:Lsat_Salinas_v7:1:18613004:18621415:1 gene:gene-LSAT_1X16180 transcript:rna-gnl|WGS:NBSK|LSAT_1X16180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGVNGGPVSTLLLRFHLNTFKIASFCTFLSSNATKEVLWLKRLETHKMRDPDLIIKLKRETTAASTTAMGCRWTTYKLCLACFMLLILLHSCSSLNPEGVALLDFRAGVSYDPYGAFTSWNPSDLDPCSWSNVLCVDGNVQVLDLNGLSLEGVLAPEIGNLTHLRHLVLSQNQFSGAIPKELGELTMLEILDLRDNNFSGFIPSELGRMHSLKRLLLCNNNLEGSIPMELRTLNFLYEMQYDENLLSLVADGIGCLNRKFGHCIWQGDFKLLKKADPLLIWIKETVNSYLKLFQFIMHGKCSSHNCLNTEKRAESEEEEEETEDVKQEHDGNIVRRKLAEQSPNLAAAPSPIDGFGPPKIINLPSSRSSGSFPAVPKEKKEATPTPININNNNNPPPSSHGPFKDSTPENQNQNQESESNSNMWKILVIIFCLILVVIMCITLWCVCRSRAVKTIAPWRSGISGQLQKAFVTGVPKLNRMELETACEDFSNIIETTEGYTLYKGTLSSGVEICVASTSMTSLKDWSKRAELGFRKKIDALSRVNHKNFVNVIGYCEEDEPFARMMVFEYAPNGSLSEHLHVKELEHLDWRSRMRIIMGTAYCLEYMHELNPPIPHSNLNSTMIRLTEDYAPKVAEMSFWRDLMSKSKLSTADSESEHSQLPPLIDTETNVYCFGLLMREIISGIKVPLLPSQQEYLDDNTVDPTLKSFKQEEVDIIYQVIEECIQQDPRNRPTMKQVVQKLKGGLGISPEQAVPRLSPLWWAELEILSGEPV >cds-PLY69304.1 pep primary_assembly:Lsat_Salinas_v7:4:104970993:104974389:-1 gene:gene-LSAT_4X67320 transcript:rna-gnl|WGS:NBSK|LSAT_4X67320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPVASRFFFPFLLQISVLILRISPCNSSYLIYGEALSKSILFFEGQRSGYLPNDQRMNWRGHSGLGDGSTVNADLTGGYYDAGDNVKFGFPMAFTTTMLAWSVIEFGEMMPPAELRNALVAIRWSTDYLLKTVAQPDRIVVQVGEPMNDHNCWERPEDMDTARTVYTVSAPNPASDVAGETAAALAASSMAFRSSDPGYSDTLLRTATRVFEFADTYRGAYSDNSDIREAACPYYCDFDGYQDELLWGAAWLRRASGGENYLDYIQNNGKTLGADENINEFGWDNKHAGLNVLVSKEVVEDNLYNLQSYKASADSFMCTLIPDSSYSHMEYTPGGLIFRPGGSNLQHSTTITFLLLVYAKYLQQSSTSINCGSVSVGPTFLRQMAKRQVDYILGENPNGMSYMVGYSDRYPQRIHHRGSSLPSVRDHPQFIGCKEGSVYFNSSGPNPNVLVGAVVGGPGEDDLYEDDRADFRKSEPTTYINAPFVGVLAYFSANPNPS >cds-PLY91717.1 pep primary_assembly:Lsat_Salinas_v7:7:26730629:26732823:1 gene:gene-LSAT_7X18961 transcript:rna-gnl|WGS:NBSK|LSAT_7X18961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVGGSMRKSFKDSLKVLEADIQHANTLASDLQREYDGANVQMRMSYSASAQFLLFFVQWSDCHLAGALGLLRILIYKVYVDGSTSMSTHERKASIREFYGIIYPSLMQLQSGVMSSEDRKQKRTCVERYSKREDNNESRNCSDIDIESEEECGICMETKDKLVLPKCSHEMCCGCYDDWRRRSMSCPFCRVSLKRIDSGELWVYVDKKEAIDMATLTRENLKRFFIYIDKLQLVQPDSSSDSYDSHVK >cds-PLY83102.1 pep primary_assembly:Lsat_Salinas_v7:1:156183734:156185477:-1 gene:gene-LSAT_1X110780 transcript:rna-gnl|WGS:NBSK|LSAT_1X110780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVPFHVKIIEQCDVSPPPDSVIPPISLPLTFLDIPWLLYPSYQTLFFFPKPPLKSTTTTIISLLKKSLSTTLHHFHPLAGNLSAPPPPAEPHLIYTKGDSVSLTIADSNVNINNISGNHPRNVVNLYSLLPKLPIPSMPRDPHLTLVFPLLAIQVTFFHDLGYSIGVTTQQVAADERTLDQFIKCWASVCKSLLNKDSFAGFKSTPWFDRSVISDPNSLKTTFLKQWWSRLNSSKVSGDEVDQNIVQATFILTSSDINMIKYHILEKCKMINEDPPLHLSPYVSVCSFIWVCLLKVEEAHDSRGTSSSSPLYLGFNAGGISRLRDEIPSAYFGNCIAFGRCRAFRSELLGEDGVVFAAKSIGKEIKMLDKDVLGGAERWICEWDELNVRVLGSPKVDSYGMDFGWGKVDKVEKLPSHQHCRANHVISLTGSRDLKGGIEIGVALSKAKMAAFTALFNGGLMKFV >cds-PLY66373.1 pep primary_assembly:Lsat_Salinas_v7:4:118912470:118914576:1 gene:gene-LSAT_4X74980 transcript:rna-gnl|WGS:NBSK|LSAT_4X74980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADGEEDLPRDAKIVKTLLKSMGVDQYEPRVVHQFLELWYRYVVDVLTDAQVYSEHAGKSTIDSDDVKLAIQSKVNFSFSQPPPREVLLELARNRNKIPLPKSIAGPGMALPPDSDTLISPNYQLVIPRKRTNEAIEETEEEEEGSDPKTNMSQEQRTDLPQNTPQRVSFPLGPKRAR >cds-PLY96275.1 pep primary_assembly:Lsat_Salinas_v7:6:157919634:157922480:-1 gene:gene-LSAT_6X95861 transcript:rna-gnl|WGS:NBSK|LSAT_6X95861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANCSSLTPSPMALSGGSATSSATPRLLSLSPTTTARPSSLSFHFLSSSSSVAASLKCLKLSHHQKRTKLHSSSGDFGAVVTTKCFASNPDQLKSAREDIKELLKTTYCHPIMVCLGWHDAGTYNKNIEEWPQRGGANGSLRFEVEQKHAANAGLVNALKLLQPIKDKYATITYADLFQLASATAIEEAGGPKIPMKYGRVDVSTPDQCPEEGRLPDAGPPSPASHLRDVFYRMGLNDKEIVALSGAHTLGRSRPERSGWGKPETKYTKEGPGAPGGQSWTEKWLKFDNSYFKDIKERRDEDLLVLPTDAALFDDPSFKVFAEKYAEDEKAFFDDYAEAHAKLSNLGAKFDPTEGFSINDEPAAAVPEKFVAAKYSSGKRELSDNMKQKIRAEYEAVGGSPDKPLQSNYFLNIIIVIAVLALLTSLLGN >cds-PLY64388.1 pep primary_assembly:Lsat_Salinas_v7:4:22668391:22670963:1 gene:gene-LSAT_4X14880 transcript:rna-gnl|WGS:NBSK|LSAT_4X14880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVESHQHHPDYVSLAALMSRELKSEKMKNPSVRFGCAAQSRKGEDYFMMKTDCQGLDENPSSTFSVFGIFDGHNGDAAAIYSKDHLFSHVLDAIPRGLGRHEWLQALPRALVAGFVKTDKQFQSKGLTSGTTATFVIIDEWTVTVASVGDSRCILDTPDGAVSVLTVDHRLEENTEEERVTASGGEVGRLNVIGGPEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPHVKQVKLSNRGGRLIIASDGIWDALSSEAAAGSCRRLPSELAARQVVKEALRTRGLKDDTTCIVVDIIPPDNSLPPPSPPRKPQSKLRSMLLRTKFRGSVTKLSKKLSAVGIVEELFEEGSAMLAERLGNDDTCNSNTSGLFMCAVCQVDVAASEGISVHASSKPCRQGPFLCVDCRDKKDAMEGKRPSGIRVI >cds-PLY71684.1 pep primary_assembly:Lsat_Salinas_v7:3:45478664:45487979:-1 gene:gene-LSAT_3X35400 transcript:rna-gnl|WGS:NBSK|LSAT_3X35400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRKRGGAKGAKTKSQLNLGDLVLAKVKGFPAWPAKISRPEDWKRAPDPKKYFVQFFGTEEIAFVAPIDIQPFTSESKNKLLDRCKGKTVKYFSQAVKEICSIFEDSQNKSSDSIKEDNDGQSFQPDTNSTEMVDDGMTGDGPSGETSFNDTVNHGPGLERCSHINREMEYEDVKPSVSPNVNDCSPSAVSTKKRIELCNDEVTSPKKESTSTSGHNKNPTSGLKVETDGQKSNRIERAPKKKDDNDDDGINKMHSPAGVSSSVQTSHLESTVTENSIRRISSHGIKNEENPEFGDNIKKTKKVFKVKTNLGVANNISKDVEGDPTERNNTELITGRKIKPDPGGGKDNLVNNGVLHPTKRSKCTDETPKKSQTYRKNDLSGKGGNEEVKKSTPSLKMKNRLASKGQTNGVDGDEDVLPPTKRRKQVVESMSGAENSTPRKGESSDAAKPVSTQVSLKRRAVRIFDDDEDEPKTPVHGRSTKGVDGVSHVPVPVDEVAAVTQAIQDPPIVKNLKPSSPTSLQHNTGVVEGQFRHTPEKVESEKKSSEEALKVLVSPVKSPMVESLKATKSLVSPVKSPMVESLKTSKSVVSPVKSPMVESLKRSKSLASPMKSPMVESLKANKSLGKVSGNVPQNKKVQAGPLKASSGISDRSQNNAVNERSRPAVSGEKQKSNRKSTSRVTDSAAVLRKPNDSNLLSSERLEFGDSRSAESSKSMKHLIAVAQAKRKQAQSQNHGHDGLNYTHVATTEAIVRSPGSVSGVQPIPPGPGVVAQLDDQPDSAELEETRTSSGHQGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRHAIDCAKHGIANEVVELLTRKLENESSFHRRVDLFFLVDSITQCSHSQKGIAGASYIPTVQAALPRLLGAAAPPGASARENRRQCLKVLKLWLERKILPDTLLRSYIEDIGASNDDASSGFFSKRPSRSERAVDDPIREMEGMLVDEYGSNATFQLPGFLSTNVFEEEDDDDILNFSHKESTDKSTPVVSPGTGELETCSVTPNDRRHCILEDVDGELEMEDVSGHPKDDKFITGGGYKETVQQEEGGSDRTMDAASNNSNEVSPFREGSPPLPPDSPPPTPPLPSSPPPPLSPMVPPPPSSPSPPSPPPPPPPPPPPPSQTYPLPPPQAPFPQPSFGARLGAHVDAAVRGEMFPFVPAVASSSRVEYVHETYTNPQASQSNQQLQTANVPLPPRAFQPPMLPQNAAGQFQYPKPGMLQHPYPPLYGLTKPPDAPRRYGADEQWRPPSNEFSTDNQRGTWRISLSSGPPFAQEGYFRAPMERPPGPGPANNVGFQSTVPGGAPIPGHSGSMMMPCRPDISSLNSWRPP >cds-PLY75322.1 pep primary_assembly:Lsat_Salinas_v7:5:52900050:52904352:-1 gene:gene-LSAT_5X25820 transcript:rna-gnl|WGS:NBSK|LSAT_5X25820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEELRQQKEGNRNLRKQIRQRLGDCLEDLNFEELLALEKDSQEAVYIIRERKLKVIGNKVETSKKKVRSAQDVYKKLMHEFDLRGEDPQYGMIEDGLEYENVYGYPQISPPNILTLRLQSEHPNNLHIVPGGANASDMTTYGLLG >cds-PLY76569.1 pep primary_assembly:Lsat_Salinas_v7:5:223235507:223238232:1 gene:gene-LSAT_5X104780 transcript:rna-gnl|WGS:NBSK|LSAT_5X104780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLTSVVADALGVVTISLVTLLGLIGLLCILYSFYFRDHIRTQTHTQLGYFSGPWIIRIIFIFFSIFWGFSEILRLEFLRREGRVLHTLNSKWQERVCKYYIVSNLGFSEPCLFLTLLFLLRASLQNTSSGPLSRKWNIKTTVYVLLGFLPLFALQMTVVFIGPRYETDLHLNEKGYFFKTTAGPEYENDVARCYYPLLSTIFLGLFATVLTVYLFWLGRRILILVINKGLQRRVYTLIFLVSSFFPLRVLLLGLSVLFKPGDAVFEVLAFLAFLSLLCCSGVGICVLVYFPIADSLALKNLQDIREHDTRSLIANRGPPDDFTTGSTKRGSISFRAIEREENIGGFVELSLFSPSQHLTPMGSPQVHGWPMLPLGSSL >cds-PLY67421.1 pep primary_assembly:Lsat_Salinas_v7:4:241487074:241488154:1 gene:gene-LSAT_4X129521 transcript:rna-gnl|WGS:NBSK|LSAT_4X129521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFGSILQVNITSYPGQLRYYLLDVYDADSKSLVLQNSVIEITEQTVHDMMGLPFGGEDINELPLCDKGNQILEEWRGQYSGDKFNGEEYLRRIQATTKDNLMFRLNFLTLFVNNFIESMLMGTNQIKVVRKLVLVEDFSKLNWCKYMLDCLGSRKKLWKRDDKSSYYSGPITLLILVYVYNMKYSIKIDKRLPFIGHINGAKLLEVQKLEISLGGFGRQFRDEHDDVDIGDETGAEEQQILSFKRDFGDEEAYAVVIEHSYGIILTEKSTMEVALKDGLEKFPHSVVLN >cds-PLY82465.1 pep primary_assembly:Lsat_Salinas_v7:2:186267952:186271345:-1 gene:gene-LSAT_2X106540 transcript:rna-gnl|WGS:NBSK|LSAT_2X106540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTLENGHGNGPASGLCTVNGGDPLNWGVAAEAMKGSHLDEVKRMVAEFRNPVVRLGGETLTVSLVAGIAASDNAAVKVELSEAARAGVKASSDWVMESMNKGTDSYGVTTGFGATSHRRTKEGGALQKELIRFLNAGIFGNATESCHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKFLNTNVTPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPNGEILNAEKAFSLAGVDGGFFELQPKEGLALVNGTAVGSGMASMVLFEANVLSLLSEVMSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEYILDGSDYVKAAQKVHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRSSTKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTSEAVDILKLMSSTYLVALCQAIDLRHLEENLKATVKNTVSHVAKKVLTMGVNGDLHPSRFCEKDLLRVVDREYVFAYIDDACSATYPLMQKLRQVLVDHALNNGEKEKDAGTSIFQKIGVFEEELEALLPKEVESVRSAYENGSLAIPNRIEACRSYPLYRFVREELGTGYLTGENVTSPGEEFDKVFTAVCKGLVIDPLLECLEGWNGSPLPIC >cds-PLY94426.1 pep primary_assembly:Lsat_Salinas_v7:6:9943479:9945326:1 gene:gene-LSAT_6X7140 transcript:rna-gnl|WGS:NBSK|LSAT_6X7140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCSSSTFSNFPSMSTQRLDLPCNTNFPRKESTRSQPVIRPVGPISLDNNNNNNNTIEARTTNCSLKHTVVEKKNDIWDNRNKGLKRLYQDEQDESFRAKRKRGCSKVEEFGENEDNFWFSKQGFVLDHSATSLPWSFVNELADLGERGVSSGSHRQRVVNETSSGSGSGSRSSSPESHRSLDVGGTNGVGAPNPNQSLDLLAIGNTNHDEEVGFELISLLLACLEAIGVKNIAAINHFISKLGELASPRGDSSISRLAAYYTEALALRVSRIWPNIFQISIPREINQVEEENGTALRLLNQATPIPKFIHFTSNEILLRSFQGKDKVHVIDFDVKQGLQWPSFFQSLASMNNPPSHVRITGVGESKQDLIETGARLSGFAEALNLEFEFHPVVDRLEDVRLWMLHVKEGETVAVNCVLQLHKMLYDATGGALRDFFRLIRSTNPSVVVMAEQESEHNDAVLEKRVSNSLKYYSAIFDCIDSVFPLQSHSRIKIEESFGREIRNIIACEGLERFERHVGFDQWWRSMAELGGFRSVEISEREFLQSQMILKMYDHPFGPNSFKVEKQQQRRVSDGGATAGVTLSWSDQPLYTVSAWVPSDVAGSSSAFQQAAA >cds-PLY88121.1 pep primary_assembly:Lsat_Salinas_v7:9:8519677:8520012:1 gene:gene-LSAT_9X7300 transcript:rna-gnl|WGS:NBSK|LSAT_9X7300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENRHNIISSGQQHHLEEDDDDDRKMEIFFTLIRSFREARDRRRQELLSDMEKTNKTRKLHHLQSPSSPVFEWQDFTVGCHTSPVPVHQKPKQPRKEEEEEEANLNLKLSL >cds-PLY69256.1 pep primary_assembly:Lsat_Salinas_v7:2:128983419:128983919:-1 gene:gene-LSAT_2X60560 transcript:rna-gnl|WGS:NBSK|LSAT_2X60560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSAPSFNGPRTEPSYSSVNDPYYTAGKAAPSYKDMNTGRPVSGTQDQPHMRGIHGDSDMNRQPTFEDVAPGLGKSSITPAAGAGNVNRDAIHDNEKPYTLGDIADARRHVSVTAGTSPYDQQGKMPSNGDMRPVVHSSGGQQGAYKFSSGPKSYNGDNFGKYW >cds-PLY68612.1 pep primary_assembly:Lsat_Salinas_v7:2:72932340:72934162:1 gene:gene-LSAT_2X32501 transcript:rna-gnl|WGS:NBSK|LSAT_2X32501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNWMSLRRAIIFREIVNYHFHPKNRSLSSSILRSVHNRTEATRDSKNESSSSNYNHNLHHLPLFSKVCDQPHDYKLDIVDDETWEISTGFADAMKDATSPSQVTNSSHAQMVNHAPQNQNDPDFDEIDDLRICGNLFYKLDKSSKEYEEYNFDFHRRKSSKRKGDSISKETPSSRLENVSNRQDEITESKKKGNQLSKFHKLDKLEGSLMGNKHKQKERVPTFNQLTAAYHEPFCLDIYISKASVRACIVHRATSNVVVVAHSISKDMKFDLDSTRNVAACAAVGKVLAQRALADDIHNVIYTPRKGEKLEGKLQSVLQSLISNGVWVKVKVKKTKARKPGFQSADYKF >cds-PLY80606.1 pep primary_assembly:Lsat_Salinas_v7:6:13326265:13331056:-1 gene:gene-LSAT_6X9761 transcript:rna-gnl|WGS:NBSK|LSAT_6X9761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMFDSDTSSQGNGDKHFRQISRDRLLYEMIRSAKRPDSKSSNWKVLIMDRITVKIMSCSCKMADITDEGVSLVEQIHKRRQPLPSMDAVYYIQPTKENVDFLLADMAGKAPLYKEAYVFFSSSVSKELVADIKKEPTIKSRLRGMKEMNLEYFAIDSQCFITDHGNALEELYGDEEFSRKGDECLTAMANRIATVFASMLEFPFVRYRAAKSLDPTTMTTFCDLIPTKLAAAVWNNLMKYKTLNHFPQIETCDLLILDRSIDQIAPVIHEWTYDAMCHDLLEMDGNKYVHVVPSKIGDGYERKEVLLEDHDPVWLELRHSHIADLAGKINGIIREMGLREVGQLEQDLVFGDAGTKDIIKFLKEQDATDEQKIRLLMIYVATHPEKFETDKLAKILELADLLPEDMKAIYNMRFLESAPDSMNTSNSGFPLKFDNKKRRGLRKDRPGEEAAWQLSRFYPIIEELIEKLSKNELPVNEYPCMNDPSPTFHGASHSVSARVLDAPAAHSMRSRRATWARPRNSDDGYSSDSILRRSASEFIKRRGKRIFVFIVGGATRSEMRVIHKLTTKLSREIILGSSSLDDPAQFIEKVKALTPDPPPTEEEIAIDES >cds-PLY77314.1 pep primary_assembly:Lsat_Salinas_v7:5:144840502:144841603:-1 gene:gene-LSAT_5X65281 transcript:rna-gnl|WGS:NBSK|LSAT_5X65281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAGGKVSFKVILTSDPKLPFKVFSVPEGAPFTAVLKYAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGAA >cds-PLY73129.1 pep primary_assembly:Lsat_Salinas_v7:2:190981362:190981562:1 gene:gene-LSAT_2X112941 transcript:rna-gnl|WGS:NBSK|LSAT_2X112941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFVIGKNPPHQKNSITSEPKSVMKIKSKSSMLINDEEDIRSIGVREYQGHKKKVKSHSSLLLAKT >cds-PLY91178.1 pep primary_assembly:Lsat_Salinas_v7:8:186583681:186586050:-1 gene:gene-LSAT_8X120300 transcript:rna-gnl|WGS:NBSK|LSAT_8X120300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSNMVFFPILFLLCSIFSIQSTAQTPSLGFRCSNTTSTATCNSLIDYKLPNTTTLASILRLFEIKNLRSLLAANNLPITTPQTQTFPASQILKIPFPCACRDGSGISNRRPIYTVVPDDGLFHIAAEVFSNLVTYPQIQSVNNISDPNTILVGQKLWIPLPCSCDEVNGDTVVHYGYLVPAGSTVSGIAEQFSTTESTLLNLNGMNSSANLLADSILDVPLKVCTSSVQNNSSDYPLLVPNGTYVFTANSCVRCQCNAANNWILDCKPSGIKLPRGQTCPSMQCVGTAFDLGNTTSDSNCSLSRCSYAGYINGTIETTLTQESTCPSSPGGNNNTPSGNGSNGLRSSFIVGVLLIAFHLLK >cds-PLY73076.1 pep primary_assembly:Lsat_Salinas_v7:2:30660096:30669972:-1 gene:gene-LSAT_2X14940 transcript:rna-gnl|WGS:NBSK|LSAT_2X14940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAIVTGDRYLESLVKFVEKQAGPLIEGTLVLKLNPVGLHYVQSRLESLAELERLLAGAPVDYLRAYVSDLGDHRALEQLRRILRILTSLKVISVLPPPSCRDPTPLCLLPFSRLKVLELRGCDLSTSAARGLLELRHTLEKIICHNSTDALRHVFASRIAEIEHSPQWKRLSFVSCAYNGLVLMDDSLRLLPVVETLDLSRNKFTKVDNLLRCSKLKHLDLGFNQLRTISSFSKVTCQIVKLVLRNNALTTMRGVENLKSLEGLDLSYNIISSFSELEFLAALPSLKTLWLEGNPVCSASWYRPQVYSFFTDPEKLILDDKKISTREVWKRQIIIASRQKQPASFGFYSPAKDEAETEASVHNKMKKLSRVASIESEMASTESSSDHESIIYEDEEEGKQENTNLNKGAEIDDFMNKIEFMKKQRSASWLEEFQKWMNPVSSDHIKANHVPSGLPLIPEKEKDSRKKRRVKQFGKKTRYISASADVFEEHRMELPVSYYPYDDLSIDTVSEQYPETESNYLADEDASISNHMKYDKKVNEVSKNIKSLKDKGAMAHSDNGFKVEGPEMTSSNSRFIPLESVDDVIDAPRSPPHYQEDILHRRHNLEEEFLQLSVESFSVVSSDSYSSDSGDDSVEIDSTTDNDFSKSMDSLSFIPTSEDHVFQFTENGSCSLNNNYEGSSVNDGEIVGLVKQEADWLEKKMSKRKPKPRIVSLTEKTTPTQTQTDDNELKYSQEADMSDFQMVTEKNLSGLETEEFILKNFEVDLSEFGIEETCSQHVSCNCLLEDPSGSSKSGVALLLSCELKLYILLLNGRHHGSGSSASLVGCLGTEDIKEVLVGLGLQAVRVYAKRGASLDKIQVALFERDVCGGSKTNILQYSMVLFWNNTFKEDEWFSRSLFVLGEHMLVCIEDISQFGFDSQDTFAPYFSLDTCSSLINALEMVIETNERCCVTLSLKSVTSVFSPRDVTQKSVNTVPVTWKLRWISEDGLLKFVALVKALHAGVGPTSSIIIRYTS >cds-PLY68918.1 pep primary_assembly:Lsat_Salinas_v7:2:193275099:193275758:1 gene:gene-LSAT_2X113980 transcript:rna-gnl|WGS:NBSK|LSAT_2X113980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMHANDASDDADDTLSLSDLQIYSDPSSSSEEAYNRNSLSSFRFTIVSSPRPEKVALFGNLISVPENDLKPHRKAQKTSRFKTFPVQGNGGCFDDSLVPAARPRRMTGSGFLMFGLGKSPTRMELCDLRSRQARGSPARIFPINGGGEEEATPRRRSGNWSGKLFGACIPML >cds-PLY91184.1 pep primary_assembly:Lsat_Salinas_v7:4:124632859:124635075:-1 gene:gene-LSAT_4X78380 transcript:rna-gnl|WGS:NBSK|LSAT_4X78380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLAFFPPNPPFYKVVTEKSSGLLLLDRFPHRENVDVLKLPTRRGNKIVAVYVRYPMATRTILYSHGNAADIGQMYELFVDLSIHLKVNLMGYDYSGYGQSSGKPSEHNTYADIEAAYKCLEESYNTKQEDIILYGQSVGSGPTVNLAARLPRLRAVVLHSPILSGLRVMYPVKHTYWFDIYKNIDKIQFVKCPVLVIHGTADEVVDCSHGKQLWELCEEKYEPLWIKGGKHCNLELYPEYIKHLKKFITIVEKPPSSRRNNNPRKSMDQSSTTNRHHHHHHSGRRRSTDCFEAPRKSTSMNTKENLDLINELCEYKFDQSDDKVGKLRIPYEHMERSRKSMECRENPRLSTDMKAEWGRKSVDCIDRSRN >cds-PLY78408.1 pep primary_assembly:Lsat_Salinas_v7:3:236227664:236230625:-1 gene:gene-LSAT_3X132000 transcript:rna-gnl|WGS:NBSK|LSAT_3X132000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKEHANWSPYDNNGGTCVAIAGADYCVIAADTRMSTGYSILTREYSKICKLADKSFMASSGFQADVKALQKVLSSRHLIYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERVGYSAQGSGATLITPFLDNQLKSPSPLLLPAKDAVTPLSEAEAIDLVKTCFSSATERDIYTGDSVEIIILNANGVRSESEQLRRD >cds-PLY91802.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:174374:175027:-1 gene:gene-LSAT_0X1400 transcript:rna-gnl|WGS:NBSK|LSAT_0X1400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLMYKAEFPNGLLVAIKRLHRFESFDKEFLSEIDIIGGLHHTNLVQLLDFFFEMDKKFLVYKYMINGTLHQWLYGRPHQEVMKIGWTLRLKIVVGVAKGLAWLHHNNVLRLAHLKLNSKCILSDDKFEPKISNFGNVIILMNTSGTPLSASSFVVPDSNPYIEDVYSFGILLLELVTSREPSTWINSLTDYVWGVDFDLIDECLMGQGVDEEISRS >cds-PLY89953.1 pep primary_assembly:Lsat_Salinas_v7:2:192451870:192452367:1 gene:gene-LSAT_2X114421 transcript:rna-gnl|WGS:NBSK|LSAT_2X114421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVIAGLLRLPSQPLGSFPGFFAGFYSFWLLMGVQAGRGSAPIQKRQSLRVVPSLYEETESDDVGLCPRKACRTVSVAKILGDIEGILGGQFSVPEQKVIVVVPSSPEASPSPFSGSPLVNLGSTSMSGGASSSPGGSFQREKPSLVDEIGTSTHSLSFEAYAPG >cds-PLY68971.1 pep primary_assembly:Lsat_Salinas_v7:9:142551328:142551739:-1 gene:gene-LSAT_0X15181 transcript:rna-gnl|WGS:NBSK|LSAT_0X15181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDGNEQLLFYGTKTTRCKLMGTSNLCRDSNCSICNIFKSGFYTTKKKNGIWFSTSCEDLVNANANANMMNVKMAIIVCRVITGRVIDMLDRDFEGEYDSVGGVKSNYLFVRNPSAVLPCFVIILNCK >cds-PLY99097.1 pep primary_assembly:Lsat_Salinas_v7:5:138200179:138200786:1 gene:gene-LSAT_5X59060 transcript:rna-gnl|WGS:NBSK|LSAT_5X59060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPSNFDPQKTYQSTIPKTQEDDEFWPLSGKPYFYVVLNKLHLATRFQMTFPRRLSEKLPVAMVSAKIVCRGKVWDLVYIGDQGTKKFENQTWEKFVIDNNLAVGDVCLFELMEGSVNGGIVKFKVQILRDNFPSELVEKAEGHNMNNPIDIE >cds-PLY61966.1 pep primary_assembly:Lsat_Salinas_v7:5:159726581:159730080:1 gene:gene-LSAT_5X69540 transcript:rna-gnl|WGS:NBSK|LSAT_5X69540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPLKELVITISAKLQERLLEGTEIYGRKNNRIYPCKIVKVIEEEVEKTQYHVAWLDKDKKVTENAVVSGEDLIKKKLPFSRDVLKSFIRESTVRSVPWVLHDKLAVKYGISTNLPEELIIKLSPKRKLPKKDKEENNGEHKRKKPNDDAKPENIEPIKYPIDDLLVKPAADDPVFTPRPQPNPEFNVPMECVGDLLMVWDFCSSYSKFLNLSPFSLDDFENAICHKDNSNILLIVESHSALLRLLIKDDGDYFIALQKKKRKLKISLVTWTDYLCDFLELISNDELSTHIPTIKRGHYSLLDIQAKINIFIELVAQVMATDVMREKLDEYIEERHELASKKRGEAIEENKKRREKKELAKAALNAKEHNDSVGNNSNDQNGNVAKKLEKTSPTLKKHISENSGKKQEKTSEKDVKKSKVDTDSTTASIKVSNGKGSKKSTDVEKKETNDIANIEQRKEFLDREIEKRYIRTNPLGKDRDYNRYWFFRKESRIFVESCDHKQWGYYATKTQLESLMGSLNEKGERERALKKQLEKRYKKISSEMQKKSKEAHMIQMEEEAALRRSTRVRAAPRDNPARAFLKYVNKLKED >cds-PLY87339.1 pep primary_assembly:Lsat_Salinas_v7:1:40424641:40436201:-1 gene:gene-LSAT_1X34541 transcript:rna-gnl|WGS:NBSK|LSAT_1X34541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKFNPSRQKDPFIIHPCWRIAHRILSTSIFARHEPGQINTAELYFLYFMSIRRWSLPDFATFFLDKCDNIRTKTTGDICIGGLITLIGLGMGLQFPESEYVPVDDPPLYLLDCITVIRMELLLPHPTLPGHYSWINHVKDPVYILPNPSISAFTTNDPETWFLPQELTDDDDAATDDDMKVDPDNADSPFEAEDHYDLPIRQFPPPYPDIIGEVVSLGKFDSRGVSKSLHRLPLQIRNLEGLQVNMTLFGDIAYQLISYLEAHKQVGQYIIVGTIYGIRQNIDWYYDACINCGKKVETRDVFSGPDSGDASVVVKCYGDKCINKKISSVPRYKIPIRVQDDSGTITLTFFDRDAYRLVKKRARDLLEKIKQVGDRSISLYDRIQSER >cds-PLY67002.1 pep primary_assembly:Lsat_Salinas_v7:6:140919302:140925724:-1 gene:gene-LSAT_6X86141 transcript:rna-gnl|WGS:NBSK|LSAT_6X86141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSRGSNNRLSSSRSLFQWRISSLILSMFATMAAFFVACRLWQEAESRVYLVKELDRRTGQGESAISVDDTLRIIDCREQQKKLSVLQMELNKAKKEGYVSKHLIETKDTNEKKKKKLLAVVGILTGFGRRHNRDAIRKAWMPTGTTLKKLEHEKGIIIRFVIGRSSNHGDNSDKDISNENKKTNDFLILNDHVETPEEQPKKTKLFFVDALQHWDAEFYVKVNDDIYLNIDALGAILASHVNKPRAYIGCMKSGGVFSKPSDRWYEPEWWKFGDGKSYFRHASGEIFAISQALVQFISINKSILRTYAHDDVSAGSWFIGLDVKHVDEGKFCCSSWSSEGDQDTNAPVKITKDKNGIDLVTLQNPIGFSVQVSLHGGQVLSWKNERGEELLFRSSKANCKPPAAVRGGIPICFPQFGNRGSLEQHGFARNKIWEIDNNPPMLNHNNCNGNSFIDLLLKPSEEDMKIWPHSFEFRLRVLLTSDGALKLISRIRNIGSKAFSFSIAYHTYFSVSDISEVRIEGLETLDYLDNLHHKERFTEQGDTLTFESEVDRVYISSPHIIGVFDHGKKRTFLIRKEGLPDAVVWNPWEKKAKTITDMGDEEYRQTICVDGAAVEKSITLKPGEEWTGRLELSVLPSI >cds-PLY81619.1 pep primary_assembly:Lsat_Salinas_v7:1:50712851:50715169:-1 gene:gene-LSAT_1X43380 transcript:rna-gnl|WGS:NBSK|LSAT_1X43380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKYHASEESNLFLSLGHHVDVYFPPRKRSRVSAPFVFSEQTFIKKQQTTIDALPDECLFEIFRRVSGSQEKSSCAFVSKRWLMLLSTIRRDEVKETVSSISNKKHEDLEINNGCLTRCLKGKKATDIRLAAISVGSSGHGGLGDLSILGNNASKVTNVGLKAIAHGCTSLRSLTLLNLSSISDEGIVEIANECHNLEKLQLSQCPNISNKSLIAIANNCPNLTSLSIESCSNITNEGLQAIGQKCPNLKAISLKNCSQIGDQGVVSLVSSSSSSLMKVRLHALNVSDTCLAVIGHYGMSLTELTLVDLHNVTEKGFWVMGSGQGLQKLRSLVIVNCTGVTDLGVEALGRGCPNLKLVSIRKSGLSDNGLVSFAKASQSLETVLLEECHIITQIGIFGFVVNSGALKNLSLTKCFGIKDSPMIIHSALSPCNSLKSLTIRNCPGFGNFSLALLGRICPQLQDIVLTGLSGITDSGVASLVQNSESGLTKVDLSGCVNLTDKIVSEISMAHGGTLEVLNLDGCGLITDASVVTITQNCSLLRELDVSKSAITDFAIAALACAEHLNLQVLSVSGCQVSNKSLPFLKKLGESLIGLNMMQCRGVTSAAVGALEGQIWKCLLQSDFLGITPKLSFFQECLVSSLGFLSWVVEGYSLSGIQAVVAWWFLWDLVMVGGY >cds-PLY71009.1 pep primary_assembly:Lsat_Salinas_v7:9:72495165:72498175:1 gene:gene-LSAT_9X58641 transcript:rna-gnl|WGS:NBSK|LSAT_9X58641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADQIAKGEEFEKQAEKKLTGWAFFGSKHEDAADLFEKAANFYKLGKSWDQAGAVYVKLAECYLKLDSKHEAANAYADAGHCYKKTNSTECIKNLEQSLDIFMEIGRLGMSARYCKEIAELYEQEQNMEKSMVYYDKAADLYQGEEVSSSANQCRLKIAQFAAELQQYQKAIEIYEDISKQSLNNNLLKYGVKGHLLNAGICQLCKGDVVAITNALDKYQDMDPTFSGSREYRLLAELAASIDEEDVEKFTDAIKEFDSITKLDAWKTTLLLRVKDMLKAKELEEDDLT >cds-PLY99593.1 pep primary_assembly:Lsat_Salinas_v7:5:242583068:242583298:-1 gene:gene-LSAT_5X119561 transcript:rna-gnl|WGS:NBSK|LSAT_5X119561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHASLLGLGHLDMVGMRQLCTLEDVGDVFDDMLIEGAGGGSRGDGGKGDGGDGVALGGNGGGVVESSGGDNAVGH >cds-PLY74961.1 pep primary_assembly:Lsat_Salinas_v7:3:154705985:154719811:1 gene:gene-LSAT_3X98161 transcript:rna-gnl|WGS:NBSK|LSAT_3X98161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion protein SCC2 [Source:Projected from Arabidopsis thaliana (AT5G15540) UniProtKB/Swiss-Prot;Acc:A5HEI1] MSNSRVGGGGGIPRGISLANTVHSEVAPCLPLPSLPVFCGALDQDLRLIDEPTGSAKQLNRSDVPDQASKISKLLQSTDVSYLNLRTKGTQSPYGHEGHLDLYNEVLRCNPEAFEHIAPGSAKHQLYNNSVPNNKVSERKQLVQKLSTNNEPVKDKGETQRQHEHDAITSSSRKPKARKKTSDDTLPTEPEGTELQDAAVERFCEVLEDLCGKAEITVDDREEGEAEWVLLPVGNIRTLVKEVMAARTNKIQHFVPVGLLERMLKVLDHHIHSAEGLSITQSESSYSDVVSTIMVALESIHAAVAIMAYNGMPKQIYKEEIIERIVEFSRRQIADVMVACDPSHRPTIKPTDNGNLSKKLDNDDDDDEDDDDDDDDDDYDEDFGSASKKRRTTRNAKVKRSGTNKTSAAAHNILQKLCTIIGFLKDLLMIERLSDSCILQLVKTCFSTLLVDNVQLLQLKAISLIGGIFYSYNQHRVYVMDDLLHLLLKIPFSKKIPRTYHLADEEQRQIQMISALLIQLIHCSANLPDSLREAPDSNPLFEIGIDSSYPFKSQEAVTDACCLFWSRVLQRFANTKNQNQDASEFKVMLENLVMDLLTTLNLPEYPASAHILEVLCVLLLQNAGLKSKDVAARSIAIDILGTVAARLKREAVLCKKETFWIVKELLGRDETDISPPDDTCSVCLDPKSEKPLEQCETCQRLFHVDCMGVREHDISGSSWFCQLCLCKKQLLFLQSYCKTQGRVEGTHTRKKSKSKDKDKDTFDVSKTEIVQQMLLNHLQDFASAEDVHIFTRWFYICLWYKDDPNGQEKFLYYLARLKSKAIVRGSGMGSSLLTRSSVKKITLALGQNNSFSRGFDKILHMLLASLREGSPVIRAKALRAVSIIVEADPEVLGDKFVQTAVEGRFCDSAISVREAALELVGRYIASHPDVAQRYYGKVAERVKDTGVSVRKRAIRIIRDMCTSNSNFLDFNSACIEIISRISDEESSIQDLVCKTFYEFWFEEPSGSQTQMYADGSSVTLEIAKKTEQMVEMLRKRPNYQLLVIVIKRNLALDFLPQAAKAAGISPVMLASVRKRCELMCKCLLEKILRVEETNVNDMEVGALHYVLLLHAFCLVDPALCAPASNPSQFVVTLQPYLKKQADNRAVAQLVESIVFVIDSVLPLVRKLPQSVIEDLEQDLKQMIVRHSFLTVVHACIKCLCSMSKVAGKGASVIRYLIQVFFKRLDSLGFENNQQVCRSLFCLGLLIRYGSSLLRMSLSSTQTLDVSGSLTLFKKYFYAEDFALKIRSLQALGYVLIAKPECMLEQDMGNILEATLSSSTDARIKMQSLQNLFEYLVDSEQQMENDKVEYEKVVNSKDASHVPVAAGAGDTNICGGIIQLYWNSILGRCLDANEQVRQSALKIVEIVLRQGLVHPITCVPYLIALETDPQEANSKLAHHLLMNMNEKYPAFFESRLGDGLQMSFIFMQSMNQNLPETPNAQNKTPNNTKGKPDGSSFSYARLGVSRIYKVIRGNRASRNKFMSSIVRKFDTPAWHNSVVTFLIYCTEILSLLPFVLPEEPLYLIYAINRVIQVRSGGIESNMKTFLHKLQEQIPKQPENSVVQHEPEPEPEPEPEPEPTAQPVSGEEPIVDENAPVKEEAPNEIKEEAPTEVKEEVVATEVNNVTETEPMDVDQQSMNYANSVVIISPDDLPHIQGDCLAACALQLLLKLKRHLKIIYSLDDARCQAFSPADPPKPGEFLSRQNIPFNVGEVDTTRPTTYQEILQRYQEFKNALREDTVDYSVYTANIKRKRPPGRKPGTTKAVLQSRVNNGNNNKDSDDDSGDENWGSGTRVTTGVRRGGITTRSRQRL >cds-PLY84185.1 pep primary_assembly:Lsat_Salinas_v7:3:146303121:146305819:-1 gene:gene-LSAT_3X95681 transcript:rna-gnl|WGS:NBSK|LSAT_3X95681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKNDEGQFGKFLLFTFIYDDMNLERDQMEGLQSWVTNHQFTNIGTIWATIVATSMAYTSAKRDAFKPNVDELEKAISEAARDPAKYGIDQPELERRFKWTRAAMTQPNHGLNIIL >cds-PLY96517.1 pep primary_assembly:Lsat_Salinas_v7:5:336891314:336893807:-1 gene:gene-LSAT_5X188721 transcript:rna-gnl|WGS:NBSK|LSAT_5X188721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPFSSGTRLRHRNLAKLMFIHMLGYPTHFGQMECLKLIAAPGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQYALAALCSIRIVKKVPDLAENFVNPVVSLLKEKHHGVLLTAIQLCTDLCNLNEEALEFFRKVATKTESNKNAGNAILYECVKIIMSIEDSSGIRVLAINILGRFLSNRDNNVRYVALNMLMKAISVDDQAVQSHRATILECVKDSDASIRKRALELVYLLVNGTNVKPLTKELIDYLHVSDQDFKGDLTEKICSIVEKLSPDKIWYINQMLMVLSEVCVMVVGSCK >cds-PLY90622.1 pep primary_assembly:Lsat_Salinas_v7:6:52644535:52645911:1 gene:gene-LSAT_6X39301 transcript:rna-gnl|WGS:NBSK|LSAT_6X39301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTKLAIPVLIFLFFQYSYSAKVTYNVLSFGAKANGRLDSRSAFLKAWGLACSTTNPAIIYVPIGRYLIGSAITFSGQACKSKAITMKIDGTLVAPSTYNAIGNAQIWIKFYRTNHVTISGGTLDAQGSSLWACKSSGKTCPKGATTLGIYHSQNIVIRNLRSLNSQMFHILLYACTNAKLQGVSVSAPRLSPNTDGIHLSSSTGVTILNSKISTGDDCISIGPGSSNVWIEKVVCGPGHGISIGSLGWDVVEAGVQNVTVKTATFIGSDNGLRIKTWARRSNGFVKDVVFQHASMVNVKNPILIDANYCPNNENCPNQVSGVKISNVLYEDVHGTSATRVAVKFDCRKGKPCTNIRLKDVNLKYGGQPAVSSCSYAAGTASGLLQPTSCL >cds-PLY70445.1 pep primary_assembly:Lsat_Salinas_v7:1:72960285:72961836:-1 gene:gene-LSAT_1X64580 transcript:rna-gnl|WGS:NBSK|LSAT_1X64580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQQLQSSTDTAEVRNLWCDHMYQLRRREPEMEPKSRKVPVIYYLCRNRQLEHPHFIEVPLVSPNGLYLRDVIEKFDALRGKGMSSMYSWSSKRNYKNAFVWNDLSEDDLIFPAHGNEYVLKGSELIMEQNNSGRFAHKLQNSKQDDCSSSTQYKIYKTHDLTDASTQTDEFVKVQKPQEIFTRSIESSTNDSPLKHIQTHSSPPSSSCSSAGKADTLESLIRADVNKLYSSVKLEEEQQSQIPVNTKLRASNKLLQLISCGTLSSEDDNFSRISSFRSRSLDSKYRSGLLSSSVMLGELECSSENKDYLLLKKEAMGCLKRSSSYAANRLASGARVIITS >cds-PLY90032.1 pep primary_assembly:Lsat_Salinas_v7:5:186043538:186052111:1 gene:gene-LSAT_5X82780 transcript:rna-gnl|WGS:NBSK|LSAT_5X82780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLMPFGSVLNPSSSSSLRPPMVSTALPPCHARVEASRIDQVWKTIRLNHSIVNFGRLNHLPSKLNYPESVIKYYTDFHGHQRRRTKFLANATIEYSHDSHVSDVIRSQFEGNSLGATWDVIYRYSKVYTLQGNAISIVSISLLAIQKLSDFTPLFFVGLTQAVIGGALANSYVAGLNQLSDIEIDKINKPYLPLPSGELSVNTAIQLTSLYAILGFCVGWSTKSWPLKLGLFLWYAFGTAYSVHLPLLRWKRIPALAAFCVWIVQGAIIPILFHLHAQKYILGRPLLLSKHVFFVFGIMSIYAVVAALFKDIPDVKGDKINGVNSLASQIGIKPVFWLCIGLLEMAYGVAILIGLSSTRFWIRLIMVIGHSIIGCILWRKANLVDLKNNAAIESLYMFIWKLHGVEYLLVPFLRF >cds-PLY62415.1 pep primary_assembly:Lsat_Salinas_v7:5:36024846:36025184:-1 gene:gene-LSAT_5X16600 transcript:rna-gnl|WGS:NBSK|LSAT_5X16600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKETIRMVLESIYDPEFPETSHFRSGQGCHSALRRIKEEWGTSRWFLEFNIRKCFHTIDRHRLIPIFKEEIGDPKFFYPIQKVFSAGRLVGAEKGPYSVPHSILLSALLDNI >cds-PLY93861.1 pep primary_assembly:Lsat_Salinas_v7:5:331950453:331952237:-1 gene:gene-LSAT_5X185341 transcript:rna-gnl|WGS:NBSK|LSAT_5X185341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRASLPLLPFVESPSIKSSSRHVLCFSRCETRIQHTIRTHITYKCDPSEKWLVLIGIAPGSPEKPQLGKGNMHLFSVYQQRSQALEAHAASFASFKAVFTKKQADLFFPPDFADDFPVAMQISHKYGLIYVITKLGLLFVYDLETATAVYGNRISPYPIFLTSEASSVGGFYAVNRRGRVLLATLNEATIVPFVSGQLNNLELAVNLAKRGNLPGVENLWRLIMAAMKLLLG >cds-PLY80997.1 pep primary_assembly:Lsat_Salinas_v7:9:175457906:175459241:-1 gene:gene-LSAT_9X108121 transcript:rna-gnl|WGS:NBSK|LSAT_9X108121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGTATTSSGGSYPLQISGSDEDIQQLMDQRKRKRMVSNRESARRSRMRRQKHLEDLMIQLSQLRKDNSLVIANVSTTIQHYTSVETENSVLRAQVAELSHRLESLNQIMALMNQPIDAGCGFVEEQYGMGGTELIDEFMVNSLSSFYANQPILASADMIQ >cds-PLY77413.1 pep primary_assembly:Lsat_Salinas_v7:MU042488.1:37870:39186:-1 gene:gene-LSAT_0X37861 transcript:rna-gnl|WGS:NBSK|LSAT_0X37861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 14, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G17611) UniProtKB/Swiss-Prot;Acc:Q8RXW0] MPLVRRNNRNVSNGMIPLMLLQAVNEYRKLERKPPVTAAILAANTLIYLRPAFLHQILPKIEDVLFNAHLIVKDKDLKRLFLSAFYHLGETHLAYNMISLLWKGIQLETWLGSVEFTSMVATLLGLSQGITLLLAKSLLLLFDYETAYYHEYSVGFSGVLFAMKVVLNSHSDGYTNLHGFVVPAKHAAWAELILIQLLVPNVSFLGHLGGILAGIVYLRLKKAPSPLATMVKGLTSLVRSLLMRIWQQSPPRQRGTSAGGGGIWRCRACTYDNSGWLSVCEVCGTERGDDGLSSVHLSNSEMIPLDELRHRRIQRFGRYR >cds-PLY68423.1 pep primary_assembly:Lsat_Salinas_v7:2:58138762:58139019:1 gene:gene-LSAT_2X26600 transcript:rna-gnl|WGS:NBSK|LSAT_2X26600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLKVYKFDFGEMEWVPFQDTEDERDFAFFLSDMSHGAAAKQELWGLEPGRYVVYEGGGKGRFFIAVQWYFPHECLNVNLLHDG >cds-PLY76440.1 pep primary_assembly:Lsat_Salinas_v7:5:198967784:198968484:1 gene:gene-LSAT_5X89480 transcript:rna-gnl|WGS:NBSK|LSAT_5X89480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGSVTSKKEIYLHLCNHPVILDEGKKSFWLDKSSEKKRYMLAARQLSIASSDSPNCWIWMPTTESSISIFSPDTTYVALLMFKTTPKAYGFEYQPVEVCIGFHGDRSQTRMVYLDPEAGWRRGP >cds-PLY75873.1 pep primary_assembly:Lsat_Salinas_v7:1:172278134:172278433:1 gene:gene-LSAT_1X114521 transcript:rna-gnl|WGS:NBSK|LSAT_1X114521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKIFPHAPAEHRASSLPTASHVHSSAALGPGVDLPATTATVPTSDAAPTVAAEEPQAAPTALSILIASPTIPAHIFNSGSLQIEKENSNKQAPVGFLG >cds-PLY85625.1 pep primary_assembly:Lsat_Salinas_v7:5:1832583:1833056:1 gene:gene-LSAT_0X40440 transcript:rna-gnl|WGS:NBSK|LSAT_0X40440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTAEEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQIIYRAVKKIQQKTETNPLSVLCQAIHGVTPGIAVKKRRVGGSTQQVPINRGSTQGKALAIRCLLAASQKRPGRIWLLNKMLPKGVVMPYTKGKRLIKWQSQIEFLHIFINP >cds-PLY99011.1 pep primary_assembly:Lsat_Salinas_v7:5:28512413:28516941:-1 gene:gene-LSAT_5X14421 transcript:rna-gnl|WGS:NBSK|LSAT_5X14421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIPLVSKDIYNPKCYYSDNKQQLHDILLCPIIFKLEKLKERQHFHNFVRPLGHSRCKGIMTTRLRKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLRNKFYCPIVNVDKLWSMVPQDAKEKASADKVPVVDVTQFGYFKVLGKGAVPLSHPMVVKAKLISKCAEKKIKEAGGAVVLTA >cds-PLY92023.1 pep primary_assembly:Lsat_Salinas_v7:8:241158768:241166330:-1 gene:gene-LSAT_8X145640 transcript:rna-gnl|WGS:NBSK|LSAT_8X145640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEKYAELRLAVETAELVDAHAHNIVPLDSTIPFLSCFSEASGKALSFVPSTLNFKRSLRDIAELYGSELSIEGIQEYRNSKGIETISKRCFTAAGISSVLIDDGFELEKMLHIEQHKNIVPFVGRILRIEHLAEQILDEGIRNGKSWTVNAFTEIFLSRFLIIVLLTFGAKDAVKVFGLKSIAAYRSGLEINPNVTAKEFEDALAEVLLDGNPVRITNKNFIDYIFVRSLEVALSFDWPIQIHTGFGDKDLDLRQANPLHLRNLLEDDRFLDSRIVLLHASYPFSREASHLASIYHQVYLDFGLAIPKLSVHGMISTVKELLELAPIKKVMFSTDGCAFPETFYLGAKRAREVVFSVLRDACNEGDLSIPEALEAVTDIFSENSKKLYKIDSDATYRVPRHVSETLKKSNNHEKQELSSTQQEIVLVRVLWIDASGQHRCRVVPRIRFDNFVKENGLGLTCASMAMSSSMDGPAEDTNLTGTGEIRLMPDLSTRCRIPWVMQEEMVLADMHLKPGHAWEYCPRETLRRVSKLLKQEFNLVMNAGFENEFYLLKSRVRNGEEEWVPFDTSHYCSTSAYDAASPILHEIVNSLDSLNIDVEQLHPEAGNGQFEIALRYTTCTNAADNLIYAREVVRAVARKHGLLATFMPKYNLDDIGSGSHVHISLSENGHNVFAASDGSSKHGMSKIGQEFMAGVLHHLPSLLAFTAPIPNSYDRIQPNMWSGAYLCWGKENREAPLRTACPPGVPDGIVSNFEIKALDGCGNPYLALAAVLASGIHGLRTHLSLPDPIDDNPDMLRDKLQRLPVSLTESVEALEKDAVLEALLGEKLLVAIKGVRKAEIKHYSQNKDAYKKLIHYY >cds-PLY98809.1 pep primary_assembly:Lsat_Salinas_v7:7:25891009:25898425:-1 gene:gene-LSAT_7X19941 transcript:rna-gnl|WGS:NBSK|LSAT_7X19941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWARARQALEPVTQTYNEEFVAHSANVNCLKIGKKTRRNFITGGDDEKVNLWSIGKPTAITSLSGHTSPIESVAFDSTEVLVAAGASSGVIKLWDLEETKVVRTLNGHRSYCTAVEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHRRAVSTIRFSPDGRWVVSGGLDNVIKIWDLTAGKLLHEFKFHEGHIKSMDFHPLEFLLATGSSDKTVKFWDLETFELIGTTRPEATGVRSITFHPDGRTIFCGLDSSLKVYSWEPIICHDSLDMGWSTLGDLCINDGKLVGCSYYQNSVAVWVADTSLIEQSGPSNLAEENTRMPHKFKLQKDLTERVESPRRSTMSSDDDTKDIKNIYVDTAGMTPVSSKVVNLQNPKEIHNLVTPNPTLPPAAAKITSKPLINEQDNTAASLKPSHRRKPSTTKLDIEGLSITVESGLKSGSDPDAAKVSNLQRRVLSDDAAKDSSEEKTKESQKASSPSNESVDSNKELKSVKYVNGVAVVHGRTRSLVERFEKREKLNTDESQLPDPSARVLTNTDTPAPIMNPTPEPEPKTFTMPGKRPDVTKIPIKVPEAETFTIPEKRPDLTKIPIKASASETFTIPEKRPDVTKMPIKTPEAVTFTMPEKIPHVTKMPIKAPEAETYTMPVVTKMPLKEPEAETFTMPDVTKMPLKAAEAETFNMNDVTKMPLKAPEAENFMMPEKIPDVVSSASNEATKSPTRVQKHDSRPNTRSEDTHVQRPRTAPSMLPEKGKVSPMPRSISSRRLMPEKLRTPSPMVVARRSTASSRVMPERTRISSVLVSPRHDTINNRMIPQKPKSSPLMDDNGPQTTGRGLVSKKDNDDVAEDLMTNHDVFLSSLRSRLTKLQVVRHFWEHNDTKGAIDALRKLPDHAVQADVVNVLMERMDSLTLDLFSCLLPVLLELLDSNTERHISVSLQLLLKLVAVFGPVVSSTISAPPAVGVDLHAEKSNEDTMEHVSYFIGPLTIMPLANLC >cds-PLY82993.1 pep primary_assembly:Lsat_Salinas_v7:1:18624874:18626929:1 gene:gene-LSAT_1X16200 transcript:rna-gnl|WGS:NBSK|LSAT_1X16200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSTLIIFLLYLLLIATPPCSLIASAATTWTLLHSNIGITAMHMQLLPNDRVVIYDRTDFGASNLSLANGECRIDSHDYVLKRDCTAHSIEYDVASNSFRPLMVQTDIWCSSGALMPDGSLLQTGGFNDGDHVVRVFKSCDTCDWVEITSALVKRRWYATNHILPGGRQIVIGGRRQYNYEFYPKLSKSEKAYSLPFLVQTNDPKLENNLYPFVFLTPDGKLFIFANNRAILFDYARNKVLKAYPEIPGGDPRNYPSTGSAVLLPMRIVQGKVAVVEVLVCGGAPKGAFVNAENGRFDKALKTCGRIQVSDPNPQWVMETMPLARVMGDMLLLPNSDVLIINGGSAGTAGWEYGRDPVLYPVIYKPDNPFEDRFEAQVPTTIPRMYHSTALLIRDGRVLVGGSNPHIYYNFTDVLYPTELRLEAFLPPYLDPRCVRSRPKITPDSQTEFGYGNRVVIRFRVVDELDPDSVMVTMVAPAFNTHSFSMNQRLLILEGGNTTKAIGKTKYQVIVTAPPSSNIAPGRHYLLFVVHRQVPSEGVWVKIG >cds-PLY86638.1 pep primary_assembly:Lsat_Salinas_v7:4:278802038:278802558:1 gene:gene-LSAT_4X143101 transcript:rna-gnl|WGS:NBSK|LSAT_4X143101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKVSCTYYPADFDPAKIPRRIRANKQKQEFKLAVMMLPVSIRCKTCGEETHRGTRFGTRLEEIQEETDLEMKVMRFYLKCPKCSQEIKIKTDPQNLDYIVESGADRLID >cds-PLY93445.1 pep primary_assembly:Lsat_Salinas_v7:9:58729540:58732730:-1 gene:gene-LSAT_9X52001 transcript:rna-gnl|WGS:NBSK|LSAT_9X52001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47450) TAIR;Acc:AT3G47450] MIPKTLSLTSFSTFLLPHHFKTLKFCNSSRTIIICKPINSQSQQQNPPPTQTQLSVSAPESQGTGAAAPTRGDIFLERQQYLAASALVLEKKKKKKKKKEKINGSLKSTSTSTYSCYGCGAPLQISESDAPGYVDLETYELKKKHRQLRTVLCGRCRLLSHGHMVTAVGGNGGYAGGKQFVTAEELREKLRHLRHEKALIVKLVDIVDFNGSFLAHVRDLAGANPIILVVTKVDLLPKGTDFNCVGDWVVEATMKKKLNVLSVHMTSSKSLVGLAGVISEIQKEKKGRDVYILGAANVGKSAFINALLKMLSNKDPVAAMARKYKPIQSAVPGTTVGPIPINAFLGGGKLYDTPGVHLHHRQAAVIHSQDLPALAPRSRLRGQSFTKQVVLDQWVSEKMEFNDLTGFSIFWGGLVRIDILKVLPETCLTFYGPKALKLHILPTNKAEQFYKKEVGVALTPPSGEQVATWTGLETIRQLQIRFDDTQRPACDVAISGLGWITVEPVGLGQTVKEVGSNLVEEKDMEISLDVHVPKPVEIFVRAPLPVGKVGGEWYQYRDLTEKEEETRPKWHF >cds-PLY89599.1 pep primary_assembly:Lsat_Salinas_v7:9:39116437:39117416:-1 gene:gene-LSAT_9X36061 transcript:rna-gnl|WGS:NBSK|LSAT_9X36061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISLQSIQTTTFTTSTKHDFFYRTDPPIKNHHHSRLFLRKSKNSDENPNTTSDEGDSKKQELLVRIAMLQAQKVRLTDFLDERSDYLTQFAEEANAEFDQVGENARKELEEASLRIMGNIESQMQAFEESAESSKLEIEENQKKLDEFEVRFENERNEGLFFKNLGQSKPVDPTIAKGEAEKIKELTSQTVGTETRRNIYLGLIGLISISLIDSFISQSFDWRKGVILGLILIGLVTQLTYEQKMLAETKSKDTEKNQDKKE >cds-PLY97803.1 pep primary_assembly:Lsat_Salinas_v7:7:120442446:120444449:-1 gene:gene-LSAT_7X73901 transcript:rna-gnl|WGS:NBSK|LSAT_7X73901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEGKSMDGSCYYSVLGIRKEASSSEIRSAYRKLALEWHPDKWAKTPSLAGDANRKFQKIQEAYSVLSDQTRRSIYDAGALDLLDDIDDKEGMGDFLHDLMKMMDQNAGAETNAGENQVESLEDLQKTFVEMFGDDLREFMENQDQTARKRPRVSMEKANMPRTRACR >cds-PLY98101.1 pep primary_assembly:Lsat_Salinas_v7:3:247448434:247452898:1 gene:gene-LSAT_3X136560 transcript:rna-gnl|WGS:NBSK|LSAT_3X136560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNNGRGGGRYPPGIGRGGGGNYQGNTNPSFQQQRNYQQQYAQRNPIQNQQFQQQQQWLRRNSLGNHSSATVEVEKTMQSEGNDSSSQDWKAQLRLPPADTRYKTEDVTATKGNEFEDYFLKRDLLMGIYEKGFERPSPVQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNVIQVVILVPTRELALQTSQVCKELGKHLNIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLTKKGICILKDCAMLVMDEADKLLSQEFQPSIEQLIQFMAPNRQILMFSATFPVTVKDFRDRYLRNPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPRNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPFIDQAIYCR >cds-PLY73884.1 pep primary_assembly:Lsat_Salinas_v7:3:38585914:38597428:-1 gene:gene-LSAT_3X30460 transcript:rna-gnl|WGS:NBSK|LSAT_3X30460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILDCIVGKDKEETPGLAFMLTNWWRYVRKYTFNPSSNIVDDSHVFRKNKKDKKKKKKKKTTTKKKKLSRMDIVMVIQMAFRAYVIYKSQALCALLTMAERKITFIRDLDNMKDDYTLKVSIIRLWKSLSDGNPTIVRSIEMILMDEMCTKIRASVYPRDFQRFESKLKEDQVVYIRSPTIAPNKYTFKISDVTSKLNLHGRTTVNECLHFQSKTTYGFSFVSFETIISATATSNESIDIIGEVVSLGKLDSRDVSKSLHRLPLQIRNLEGLQVNVTLFGDIAYQLISYLEAHKEVGRVIVLLQFARINVYNGISIMFRLQKKEE >cds-PLY64953.1 pep primary_assembly:Lsat_Salinas_v7:8:161574974:161576554:-1 gene:gene-LSAT_8X107560 transcript:rna-gnl|WGS:NBSK|LSAT_8X107560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLETCRISPPSATVGEKSLPLTFFDIIWLFHFPIHQLFFYNFPHSKPSFIQTVLPILKHSLSITLQHFFPFASNLIVFPNPTKPEIRHVDGDSVEFTVAESHLDFEDLVGNHPRACGNFYPLVPPLGHVTKASEFLSIPLFAVQITYFKNLGFSIGITNHHVLCDATTKFDFLKMWTSIAKHDTYNGSFPFYDRLLKYPESLDEIFMNQPGVEALNADYQILDLVGPSSKVRATFFLTKERISLMKKWVSIQLPTSGYISSFSVTCGYVWSCIAKSRVGFQERNDEDDQLERFVCLANFRSRMDPPLSETYFGNCVGSCVAITKSMMLSGSKGFLVAVESIGEAISKTVKKNDGGVKDAELWFELMFKTPAKIPAKIGVAGTPKLKIYDVDFGWGRPRKYETVSIDRNGSISVNAGKESPEDLEIGLSLPVKQMDAFLDIFNNDLQNFF >cds-PLY64504.1 pep primary_assembly:Lsat_Salinas_v7:8:123964607:123965110:1 gene:gene-LSAT_8X86021 transcript:rna-gnl|WGS:NBSK|LSAT_8X86021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLDEFLKNVWSAEANQRINNGSSPDYSELTQLASASSLPRQLSRTFTKDLTKKTVDEVWQDIQQDQKKKKMNGGESNINNSSSCKRQATLGEMKLEDFLVKAGIVSESSSPGKKNHEQNLDPPEAQWMNYQIPQISQQHVVMSGHYQVPQPLPLNVIDTGYLEPHM >cds-PLY96440.1 pep primary_assembly:Lsat_Salinas_v7:4:344596147:344597744:1 gene:gene-LSAT_4X170140 transcript:rna-gnl|WGS:NBSK|LSAT_4X170140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEACFFSSNSFTKSLEVVIPSIKPGIFMQPKTLSSRIRFRKVGTRIATTCCQSQSNSPSPSSRDEDNMFHDADWRSFRARLVAGEQALTSQESSSNTTVNQPPSVQIGEKWVHAIHEPEKGCLLIATEKLNGVHIFEKTVVLLLSTGPVGPTGIIINRPSLMSIKEMRSTTLDVSGTFSDRPLFFGGPLEEGLFLVSGGDGVRNSGVFDEVMKGLYYGTKESVGCASEMVKRNVVGVNEFRFFDGYCGWEKEQLRGEIRAGYWAVAACSPNVVGLANEGSIGLWDEVIGLMGQKKVW >cds-PLY88345.1 pep primary_assembly:Lsat_Salinas_v7:2:10030889:10031311:1 gene:gene-LSAT_2X4180 transcript:rna-gnl|WGS:NBSK|LSAT_2X4180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGTSTDPVTRSRSQVITNLVSEPLMMSTQQEELMKKLESFMIQQTQSNNDWKTSTNDLKAAMTALQTKQAAMEERLQTLTQNKSKRQEEDDESMDKMFESDRFDDPSEQVHERGRGATFGANPNSKFFVLRRLRWKR >cds-PLY81560.1 pep primary_assembly:Lsat_Salinas_v7:2:125613988:125615318:-1 gene:gene-LSAT_2X57920 transcript:rna-gnl|WGS:NBSK|LSAT_2X57920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDYTELIPGLPEEIALQCLTRMHYIVHEVASHVCQRWRLLLRCRDFYYHRKQSGYTHKTACLVQSLHIPADSSGGNSKPENQPKYGLSVFEPDSDIWSQVDPVPKYPDGLPLFCQVASSEGKLVMMGGWNPGSWEPLRDVFVYDFTTRRWTQRVDMPSNRSFFAVGAFDGKIYVAGGHDESKNALKSAWVYDITADEWTELAPMSEERDECEGVFVGSEFWVISGYDTESQGLFKNTAEVLDITTGTWRRVEEAWGVNRCPRACVTVGQNGNFACLADTDPTIQVGACGVDLGDRTLVTGSAYQGAPQTFFLAEKKNNQGHNSKLVKLDAPDEFSGFVQSGCFVEI >cds-PLY69990.1 pep primary_assembly:Lsat_Salinas_v7:8:63728872:63729844:-1 gene:gene-LSAT_8X45240 transcript:rna-gnl|WGS:NBSK|LSAT_8X45240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSPKPPHLLAPPQGYGQPPYTTGVSASAQTSWSSGLCDCCVDIPNCCLTCWCPCIIFGQIAEIIDKGTTSCGVHGVRYALINVLTGCGCLYSCMYRTKLRRQQGLPEAPTNDCCVHFCCGPCALCQEYRELQCRGYDMSIGWKEGMNRQMHGVQIPPAAIGGMNR >cds-PLY78640.1 pep primary_assembly:Lsat_Salinas_v7:9:47007362:47007926:-1 gene:gene-LSAT_9X44740 transcript:rna-gnl|WGS:NBSK|LSAT_9X44740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESCVDLGTETESALSSGGGRRSENRKRWGVSTRSSRLSGKKAKELPPPLPIQTSTVMKRYYTEDGRLVITEEKVESPNYHFTAHRSHGRLTIQLVRSDDEDNFPSYENGEENGIMEEEEEDECSGKVAMGGDDGGSRVLGKCFSYNAAVVRAPATGSCLLVNQNQLHAMRPVQI >cds-PLY65722.1 pep primary_assembly:Lsat_Salinas_v7:5:270474874:270475881:1 gene:gene-LSAT_5X140980 transcript:rna-gnl|WGS:NBSK|LSAT_5X140980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGTKRERERQLPIPKYADILPMVNSGFDANDLQFLITTVKAYWRTLNTNLRFCC >cds-PLY74766.1 pep primary_assembly:Lsat_Salinas_v7:6:116609946:116613990:1 gene:gene-LSAT_6X71661 transcript:rna-gnl|WGS:NBSK|LSAT_6X71661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase RHA4A [Source:Projected from Arabidopsis thaliana (AT4G24015) UniProtKB/Swiss-Prot;Acc:Q84TF5] MSVPQTPSINYHPYSHALQIRVYQAFIFSIPILFSIILFLLFYLFYLKRRAYALSSSPPTLPPSTFNYATSFIPMTYAGLKGDLKDKLPKVLFDEDLKAKDSVCCVCLGEFEINEELIQVPSCKHVFHKDCIHHWLQSNSTCPLCRCCVFLAVGPISRPVRSQFQPNIDEPNFSGDGPATEGVTSAAESTSTAIAASSSVSDCSRVTSTSNDINHVVSSGLSVVIHVEA >cds-PLY82054.1 pep primary_assembly:Lsat_Salinas_v7:9:154860675:154860941:1 gene:gene-LSAT_9X98140 transcript:rna-gnl|WGS:NBSK|LSAT_9X98140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESQLVSLAKDSENEFIQKIKSEASIIRLMNKDLCKQVEDLQVSRLNEVDELVYLRWVNSCL >cds-PLY94821.1 pep primary_assembly:Lsat_Salinas_v7:2:179541080:179541325:-1 gene:gene-LSAT_2X101521 transcript:rna-gnl|WGS:NBSK|LSAT_2X101521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFGKNSNTQAGEDSETVISEIQQGFIDVDDAVQQASATGASASQAEKLTPTKEKDATKPLLYEVTILGTRNSKNSGGSKQ >cds-PLY68014.1 pep primary_assembly:Lsat_Salinas_v7:8:248257431:248259544:-1 gene:gene-LSAT_8X147260 transcript:rna-gnl|WGS:NBSK|LSAT_8X147260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKIYSGKVKNRRKSYVDVTKLPDDDVTDASDAGDDVEDESLLHLDLHQISIDIDQFTDELSTVDEKEISPEIPDTVNTFVGIIESRINKRYNSIQPGSRFANLTGEDEFFIEAIKRLSKLKIVLGEFPNSSSFNKVSKVLQQAMVLMEEEFGALLQDWTTSTEPISKSKSSSSKSDPLPTLTPETSYSNNEQDFPGYTEENITLMNKIASLMIPAGYQYECCQVYSTMRKDGINEQVKRFEFEKFNVEEVPKLKWVSLEPDITRWIKLANHCSSVLFPAERKLGETVFSDHLKVFTTLFINLLRGVTTLLVESVTVVATAKPKAKRLLKFLEMYVAIRDLGDSIDDSDSSNVKLEESCNLTSEIASATYIIGQVVLNMFNDLKSSIKNDANKTPIQGGGVHPTTRYVMNYIKCAFDDYQHVLEYVFREHTKPEDDDTEEESSILSKQLLSVIQLLDSNLETKSALYKDASLGYIFLMNNDRFILQTVKEMNGVLGDNWCRRKSSDVRNYHKSYQRETWNRLLQCLTQEGVQVKGKPNRRILKERFKNFNAMFDEIYKTQSTWVVSDDQLLSEIRVSITAVVSPAYRSFVGRYKPQFEGAKSIDKYIKYQPEDVEAMIETLFEGTEKVDNQMNSIQAVSTVKQTTGAFKNLLKSYKNVGLM >cds-PLY90708.1 pep primary_assembly:Lsat_Salinas_v7:8:143311517:143315421:-1 gene:gene-LSAT_8X96901 transcript:rna-gnl|WGS:NBSK|LSAT_8X96901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADYKNVSKLNSIDLSSPHIPTSVSLLKQACIDSGFFYVTNHGISEEFMDEVFAESKKFFELPLEEKMKLLRNEKHRGYTPVLDELLDPANQLHGDHKEGFYIGIELPEDDPEAQRTFYGPNLWPDSDILPGWRQTMEKYHQKALEVVKKIARLIALSLDLDANFFEKPEMLGKPIAVLRLLHYGGQVSDPLKGIYGAGAHSDYGLITLLATDSVSGLQICKDKDAEPRVWESVEPLKGAFVVNLGDMLERWSNCLFRSTLHRVLGNGQERYSIPYFVEPSHDCVVECLPTCHSKQNPPKFPPIKCETYLLQRYQETHADLSTYNKH >cds-PLY79050.1 pep primary_assembly:Lsat_Salinas_v7:3:9983656:9986905:1 gene:gene-LSAT_3X6620 transcript:rna-gnl|WGS:NBSK|LSAT_3X6620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylmuramoyl-L-alanyl-D-glutamate--2,6-diaminopimelate ligase MurE homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G63680) UniProtKB/Swiss-Prot;Acc:F4I3P9] MFMFLSLSSPFPLSPSLSLPRPRIHPPLRHHLSPFLRHITTTNLTPFAVGRDGKYYPNPADADPPEAPEDTMHGVSKFKQLDLRIARARKAQEAQFEQDQSIFLKAIEDVEDAPDEPVSGANDDSEGDLYSEIDDSIALKRKEFVKKGLLKPNPKKEESKEEEIDEVVDELQAHEEDDLEEIEELEELKASGEDSEVENSDIELDDDLGTGDSSYKSPFGAEFDAFRKGEVRIVEPKCKMTLAELLDESKVVPISVLGNLEIEITGIQHDSRLVEPGDLFVCCVGKKTDGHLFLTEADKRGAVAVVASKEIDIEETLGCKALVLVEDTSSVLATLAASFYRHPSKNVAVIGITGTNGKTSTAYLIKGMYEVMGLRTGMITNVAHYVHGENQLETKNTIPDAVSRQKLMAKMIHNGAEALVMEASSQELASGRCDEVDFDIAVFTNLSGADEEYRNAKLKLFGRMVDPTRHRKVVNIDDENAPLFIAQGNQDVPVVTFAMEDKKADVHPLQFDLSLFETQVLVDTPQGILEISSGLLGRQNVYNILAAVAVGIAVGAPLEDIVRGIEEVDVVPGRCELIDEEQTYGVVVDYANTPDGLSRLLDNVRELNPKRIITVIGCPGETDRGKRPVMTKIATEKSDITMLTSDNPRNEDPLDILDDMLAGIGWTMQDYFKHQENDYHPPLKNGHRVFLHDIRRVAVRSAVAMGEEGDVVVVTGKGHETYDTVGETTEFFDDREECREALQYVDELHQSGIDTSEFPWR >cds-PLY79333.1 pep primary_assembly:Lsat_Salinas_v7:9:54871515:54871688:1 gene:gene-LSAT_9X49881 transcript:rna-gnl|WGS:NBSK|LSAT_9X49881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMQEPIPGMIHGGGGAVVVEGIHDRSYLAQQREFWLWVQGYYIHVFVYVATLDQVI >cds-PLY99597.1 pep primary_assembly:Lsat_Salinas_v7:5:241190692:241191764:-1 gene:gene-LSAT_5X118781 transcript:rna-gnl|WGS:NBSK|LSAT_5X118781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQFPCDNDCFFTLQQHPISKIIKIMTSGTDKALGHAGPVLSGNLSESSAKETEPLTEEDAIVDIMGRHDQFVSSMQSRLAKLQMIHRCWDRNDIKAAIRAMERMADHSVTADIVSLLTEKMDTITLDICSCLLPLLTNLLESDMDKYTLDMM >cds-PLY78111.1 pep primary_assembly:Lsat_Salinas_v7:1:6093174:6093467:1 gene:gene-LSAT_1X5201 transcript:rna-gnl|WGS:NBSK|LSAT_1X5201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVFDFLKHHMEIYIVLNIICSSVGCAYIWGSRYISFDCALSSSTSLVTKVCIHEDGELSAALALF >cds-PLY90229.1 pep primary_assembly:Lsat_Salinas_v7:2:89717425:89722169:-1 gene:gene-LSAT_2X38521 transcript:rna-gnl|WGS:NBSK|LSAT_2X38521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADTEGSDNPDNHEDSANDVVPPATPPPPPKSPWKTPQPPMVDVPVEAAHADSHSWPALSSLKSPSAADAVATNTSAISPKQVQVNNDELEFRFKVPNEPQKSHGRGNHNSSSRFSTRQHKPASKHNPNVAPSFHGPLPFNQPPIPPIYGGMAPWPPHIPVLGYAYQPPAGSFSGPPRGNPNAYGVEFPNRRPNVQEKGDNFTSGRQNNQPLGSKENVDVQQNAGPRAFIRPPFFGPPAGFIGGPTFPGPPGSIVYLPARPPFSVRVPHPPFVVPHPMNPGIPVLPSQTEVRASIIKQIEYYFSDQNLQSDRYLLSLMDAHGWVPISTIADFKRVKSMSTDIPFIVDALQSSTTLEVQDDKVRRREEWSKWIPTSVGNKSTLMEEKSEVEVIDSLNKDSVRDKFQGTDECSESKAANGGDEKPKAVIHGQSQASNKVNEISLKFSRQNLDDTSDDFGSTFMFDEELELEHKSDKKDQCSSKTRMDDEDDEMIVNDQAVERLVIVTQNNSNGEGAKGVVKETKPFSNELASAINDGLYYYEQELKSKRGKGRRNNLTNEMKDGISKSPKVSSPTANHKAISGSFCENSNSRRKQNKGFSNSKQQPLKNQRWFSSNLRNNNNTSGGVVSESPPSTSVGFFFGSTPPDNHGLRSSKLGASPHGGFTASSPPVGSLPKPFPPFQHPSHQLLEENGFRQQKYVKYHKRCLNDRKKCGIGCSEEMNTLYRFWSYFLRDMFVPSMYNEFKKIAMEDAAVGYNYGMECLFRYYSYGLEKEFIEDVYEDFEQLTLDFYNKGNLYGLEKYWAFHHYSRSKEHKKLPELERLLKEEYRSLNDFKLNRPKTKPKNDTK >cds-PLY78493.1 pep primary_assembly:Lsat_Salinas_v7:4:124121303:124121644:1 gene:gene-LSAT_4X78881 transcript:rna-gnl|WGS:NBSK|LSAT_4X78881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYLLLVSLGLLSLASIGYLALAAIGTRCNTAIGFVALLAVSIRSLMPIGYVALVAIDVRSVVSIGCLAVVVIVVRYVVSIGSLAHVAIGFRSLAHVPLLSLLSHSLKPQYVF >cds-PLY86672.1 pep primary_assembly:Lsat_Salinas_v7:4:318324371:318326241:-1 gene:gene-LSAT_4X158301 transcript:rna-gnl|WGS:NBSK|LSAT_4X158301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSKVTDCNAHGQDSSYFLGWQEYEKNPYHEINNPNGIIQMGLAENQLSFNLLESWIENNPTATQFKNNDDHSVFKELALFQDYHGLPSFKKAFVQFMSEIRGNTFTFDPNNLVLTAGATSASETLMFCVANPGDAFLIPTPYYPAFDRDLKWRTGAEIVPIHCSSSNGFRITRSALEDAYQQAKKKDLKVKGIFVTNPSNPLGTSLSIHELDLIFNFISTKNIHLISDEVYSGTVFSSPKFTSVMEVLKNKNLMNSEVSNRVHIICSLSKDLGLPGFRVGAIYSGNVDVVSAATKMSSFGLISSQTQYLLSEMLSDRKFTQIYLSENRRRLKHRQETLVKGLQKVGIRCLKSNSGLFCWVDMRHLLSSKTFHGEIELWEKIVYDVRLNISPGSSCHCSEPGWFRVCFANMSEETLILAMQRVKSFVESMSKKNNRNRHQQMLHNSARRTKSLPKWVFSLSLNQLEVGEQR >cds-PLY99237.1 pep primary_assembly:Lsat_Salinas_v7:6:185462363:185465186:-1 gene:gene-LSAT_6X113661 transcript:rna-gnl|WGS:NBSK|LSAT_6X113661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARAELSYNYSNNGRGGAPKWCYYKRTTVIICSFNIAIAFYVFHNLYSSIYSYPYQDLHNGVSYPPDQIRNMEESVRIRIESKPVKLMEMVKKIKKHFNKEEAIKLPQPLKQKLTNELLEVLSVVNASSNTTLQHEAIQQWRKQKLEEAKRLKHGKTSNSTILIEEAGILAKLLELKWAELLDQIGLWIPINVTTNEHNDKPEGEEDFDDKILAGRRLPPECNVEHHTDYGGQAVKWGLTHHKESAYDCCQACLDHAKNAKPDQIKCNIWVYCPVEEGCHSPDIYQHKLQECWLKYDEKPKVNFKDMYSESYRSRYPDAPIFVPWVSGVISS >cds-PLY89707.1 pep primary_assembly:Lsat_Salinas_v7:7:42641857:42644090:1 gene:gene-LSAT_7X30861 transcript:rna-gnl|WGS:NBSK|LSAT_7X30861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAKSFMLAMPFLLWVHEARASLAKPGCQERCGNITVPYPYGMGTGCFLNTSFEVSCNESSPYVSPLRFVNNDKFLISEISMESIRIVGKVPFGCINESATKAGAKKFQLDPHFRYSHTKNVYIAVGCNISAIFQSLSPSHFREARCASCCITPPPTISGFFACNGSNGCCQSSIPVETNAYKAVISNHGPKTPCSRVFIAEKNFSLEKSMFGYTLTSYQFPVVLNWVITLTSCHRAQLRGSCLCGKNSDCIDSAKGLGHNCRCKNGYSGNPYLHIGCQDINECLCPNNYPCREDQICINTPGSYTCTSSHRHILIISVIIASVIGIFSFGAIGHYAYKELGRRKENKIKQEFFKRNGGYLLKQHISADKSHVMKIKVYAAKEIEKATEGFSQRRLLGKGGQGTVYKGFLTDGTIVAIKRSNVVDEDQVERFVNEVFILSQINHRNIVKLLGCCLESEVPLLVYEYLSNGTLSQHLHDGEEVSKFSWKDRIRVARDVAGALAYLHSYASPAIFHRDVKPHNILLDENYRAVVSDFGLSRSIPLSRTHLTTKIEGTFGYLDPEYFRSGQLTEKSDVYAFGVVLMELLTRRTVVSSTNCGEGLVSHFQFLVKQKRVLEILDQQVLDEALMDDIFQITKLVKTCMRKNVKERQSMKEVVMGLDKLKVVQLELPS >cds-PLY95775.1 pep primary_assembly:Lsat_Salinas_v7:3:27408759:27410594:-1 gene:gene-LSAT_3X20581 transcript:rna-gnl|WGS:NBSK|LSAT_3X20581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRSPVNPTLLKSVLKIHHLSNSAFSSLQTLPDSKTSSFLNPLYNFLPHTQNPSKIVDTICTNLKERRTALLEKDMIPHLGTEEVSRVLLRCQSDPFAALTFFNWVKNDLAFLPTIHNYCLMLHILAWSRKFPQTMKLFSELIELNRNKPEKASIFENLVLCTKDCSWEPVVFDILLKAYLKAGLIHDGFVTFRKMVKLGFVPKIISINFLLNGLLKLDRINECWGIYEEMGKIGVHGNTFTFNILTHVICKSGDVDKVNGFLEKMEEEGFDPDIVTYNTLIDSYVTRGRLKDALYLYNIMCIRRVMPDLITHTSLINGLCKEGNVKEAHKLFHKMIQIGLNPDIYSYNTLICGYINKEMMQESRMLLHEMIRNGITPNKFTCLVLIEGYKKKGMLISAVNLIVELQRFGISVSRDLQIDLVIALCQVDKPFAAKSLWERIVKDGEETCMIKIYNELIVSFCKSNYVNEAIQVKDEMFFKNVNPSLVTYGVIIECLCRLNRTMEGEAFMRDMIEVGIQPDMTIFRSLVFGYCKEKNLNQAESLLILFAEEFQVCDNECYNEVFKGFCEKGDMGKSMEFQKRMQKIGFTPNSLSFKYAIDALIVNSGMLHTY >cds-PLY81630.1 pep primary_assembly:Lsat_Salinas_v7:2:1962155:1971576:1 gene:gene-LSAT_0X22900 transcript:rna-gnl|WGS:NBSK|LSAT_0X22900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSAIISPIVESLVGSVKKQLGFLFFSTKHVRNMITKTKQLNDTIIDVEMLMVKNKISNLEIPARIPGWLEEAKQTKEKAQSIPSTGNGCFNMKLRYQTGKKAFKTTEEMGSFIDENSKIIWTDAQKSLAKLNSKITSSSVPSDGDAQNHFKSREKPFKDALGFLQQNQMIALCGMGGVGKTTMMEQLKKHLVGEKMFDLFLMVVIGKKETSSIQQALAECIPVSLTETDPTRRAERLGIAFTELVKLKKKVLVILDDVWETIELKDIGLSPFPNSFKLLLTSRNEIICRQIAATCNFDLKVVNVELMEELEAQNFFWKIIGAAKQNDLELNQIGTGIVRECRCLPLAINLVASRLKFEEKVAWRDTLRRLKNKDLDYVQKSVKISYDYIKEEEEKVIFILCGLFPDDFNIPIEELTRYAWGLRLLNGVSTLGEARDGTETCVRSLRKANLLMDCDDDGCVKMHDLVLDFVLGIVSKGDHPWVINHGDNSKWSSAGMIESCNRISITCTGMSEFAGDFKYPNLSLLRLMDGDKSLKFPEDFYKRMENLEVVAYEKMQYPLLPRSLQCSTRLRTLIFHKCLLMFDCSVIGELLNLEVLSFAHCGIRKLPSTIGNLKKLKLLDLTGCVNLRIDDGVLINLVELQELYMRVNNRKAIRFTNGNCAELAELSKHLSALEVEFFDNNDTPNSMLLTELKRFRISMGCGLVENTDKNMHSFENTLRLVTNKNELLESSINELFEKTEVLYLEVDGMNDLEEVLMESVHLPQQAFNNLRVLDVFKCENLRYLFTVPIANGLVKLERLTVSECFVLEILAHSENGGYCAIKFQGLKFLRLDRLPKLISLCDTANVIELPQLVELELDGLPNFSSIYPEKTSATSSMSSNDSAIQPFFNKQMLIPKLEKLRIWSMDKLKEIWPYQVISSKDVDACMLRKIEVGSCDNLVNLFPTNPMSLLGRLEELHVSTCGSIEVLFNIDMSCIGEIEELSSNLRFIYVYGLGKLRELWRMKGESSSDILIRSFQAVERINIYIFVCERFVNVFTPTVSNSDVRTLMNVSIDGRRTWEETRGNIELVQNNQEINVISKEEIISEVDGNIPDVESSIHPKPFNVNHLHVLGCKDVEVVFEIESSSNSSSSTDFTTTLHKYNHQPPPLLLPHLKELYLYNMERMSDVWKCNWKKLVIPQNQSQSYSFHNLTTIRMSYCKSIKYLFSSLMGKLLPNLKKVWIDKCDGIEEVVSNRDINDENEEIISSTHTNTFSSFPLLDYLDLYSLPRLKSIDGGTTITTTSIHDQFKCSQVGVASWLLCQYSKKIEIYNCPALSRVFPSNVVGQLNKLEELYIWECKSMVEIFESKEINKDGVDSTTNVGDGSDDTCTAITLPRSANMTLLQLPNLTILRIYNCEVLEYIITSSTLESLKQLKELTVKQCKAMKVIVKEDAEHTETFKSIIVFPRLKSLTLADLPDLKGFFLGKNEFRWKALEKVKIDGCPQMMNFTSGHSMTPKLNYIHTGLGKHSLECGLNFHLTNATQEIQLPMCSTPDMIMLVQFPWSFSNLVEVDAHEYDDKLFESRIIFPCKELLNLKNLEKLSITRKYGESKIEEVFEVAEGTNEDVVFEKLKEVAVDGLDKLKHMWKSNRWIVLNFPNLTKVSIVSCKLLGHVFSSCMVGSLLQLQELKISDCKSMDVIVKQVEDSETRPTTEVVFPCLKSITLEKLPNLKGFCLGKVAFEWPLLDTLEIKDCPQITVFTNGQSTTLELKLIDTTFGLCHATEDPNSFIKTKQEEVYGVSFGY >cds-PLY84060.1 pep primary_assembly:Lsat_Salinas_v7:6:188795683:188806154:-1 gene:gene-LSAT_6X116820 transcript:rna-gnl|WGS:NBSK|LSAT_6X116820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALHKNVPPNEPASGSLKSLTFSGKVKERGQLVEMDNKRGNEGEADIDLREVYFLIMHFLSAGPCHRTYGQFWNELLEHQLLPRRYHAWYSRTGATSGDEDDDGKSFPLSYNKLVERYPHIEKDHLVKLLKQLLSGAASSSSSSSQGIIVNTPLNAAAVPTLLGTGSFSLLNGDVKNKEVKRGPPGFMRWPHMQADQVRGLGLREIGGGFARHHRAPSIRAASYAIVKPSTMVQKMENLKKLRGHRNAVYCAIFDRLGRYVITGSDDRLVKIWSMETAYCLASCRGHEGDITDLAVSFNNALVASASNDCIIRVWRLADGLPISVLRGHTGAVTAIAFSPRLGSVYQLLSSSDDGSCRIWDARHSQFSPRIYIPKPPEPQPGKSNGPSTSNFVPQSHQIFCCAFNASGTVFVTGSSDTFARVWNACKPSNDDPEQPNHEMDILAGHENDVNYVQFSGCAVASRFSLSDASKEENLPKFKNTWFTHDNIVTCSRDGSAIIWVPKSRRSHGRVGRWTRAYHLKVPPPPMPPQPPRGGPRQRILPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAVDGSLVHSLTGHSESTYVLDVHPFNPRIAMSAGYDGKTIVWDIWEGLPIRIFEIGRFKLVDGKFSPDGTSIILSDEVGQLYILSTGQGEAQNDAKYDQGGNQFFLGDYRPLVQDAHGNVLDQETQLAPYRRNMQDLLCDSGMIPYPEPYQSTYQQRRLGALGFEWRPSSVRFAVGTDISLDQEYQVPPIADLDILMDPLPEFLDAMDWEPEIEVQSDENDSEYNVTEEYRSGGEQGSLSSNASGSLECSGGDTEDQSDTTSIRRSKKKKIKAEVEFMTSSGRRVKRRNLDGEETAIRNNRSRKSRFGQKASKKKSSQSKSSRPRRVAARNALSFLSRISGKASEGEEEDEEEEEEEEGGDSYESYSSQQETEAGSEETEMSFENDQNGHSKGKEIASEDTGIPQESPEPRIPTGKRRLVFKLPRKRLVLENKIDDVAGPSSIDELSKDFIGNGEFESNENGLSMQNMNHLSLLEGYKDGDIKWGGAKSRSSKRSRISEPIAKSSPCPEGNFVNEEPTFENKEEFTVPTSPFDVQIEKGKMVKSEQDNETHILMPTKFRIKSSVLLKDQDSPTKLTIRSSVQESRNGGEGTMLSDDSTSDLPETKLTNSEDIQETQKPDSRDKMFKEVYRRSKSTRTRANSSINGEASTSNTDNQNERIEPRIHGTRRNVNLRVVDDVDVSEDTSSKEKYSTDGGDEGRLNSRHTVGLRSTRTRRSVDYNRKNRSPEKKKTHQLTRSSWLMLSTHEEGSRYIPQLGDEVVYFRQGHKEYIEGSNSREMVPWRSLKGNIRDVEFCKVKDLEYSTRPGSGEGCCKMTLQFEDQSSNVAGKSFKLTLPEVTGFPDFLVERSRYDAAMERDWRCRDKCQVWWKNEGDENTGEWWNGRIVGVKAKDAEFPDSPWERFSVQYKGDTEILLHSPWELYDAAANVLEEPRIDDHVRNKLIRALAKLEQSGNKSSEDHYGVQKLRQVSQSSTFINRFPVPLTLDVIQSRLENNYYRRFAAMKHDVEVLLENAEIYFGRNKDNSMKMRRLSDWFTRTLSSL >cds-PLY95449.1 pep primary_assembly:Lsat_Salinas_v7:8:193491454:193492368:1 gene:gene-LSAT_8X125040 transcript:rna-gnl|WGS:NBSK|LSAT_8X125040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKTQLLCNGCIRPIMSSMLFYKCAEVICNFALHEWCARLPPKIDKHRGHPQHPLNLMHSNIPHSFFDVFCCAMCQQPCNGFAYCCAECGFYIDVGCGLIPEEITHKAHPNHLLSLVRSPYSYYKSCRICLTSLRRRKHDKHPLHLSYLPIENHKGEYFCEVCEEDLNPHRSFYHCQDCVQSIHTVCAKLILQSETYNHSYYLKGLHDFVNIKFGDILKSDGHQHPLSFAQGIVSDGRCNICHKNFNCDMIFKCLECKFAIHYKCCEHLNNL >cds-PLY90992.1 pep primary_assembly:Lsat_Salinas_v7:7:129710189:129711335:-1 gene:gene-LSAT_7X78660 transcript:rna-gnl|WGS:NBSK|LSAT_7X78660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSLFINSSSCSNQTLPAKTSNLTGFKPALASWYGDRHGAGSGGACGWANDVKSAPFSAMIAAGNANLFLEGKGCGTCYQVLCTQEPYCSKNPITVTITDECPGECNKVPFAFDLSGTAFGAMSSPGQADNLRNLGQVNIQYRRVACNYGSTKIAFKIDPKVNPYWFAMAVEFCEGDGGLDAVEVAANGSQEFRCMENIWGAVWAVSIDPSFRGPFSFRLFSRKNEGVVALKAIPYSFVPGQTYYSHVNFRV >cds-PLY83289.1 pep primary_assembly:Lsat_Salinas_v7:MU041389.1:998:1945:1 gene:gene-LSAT_0X46180 transcript:rna-gnl|WGS:NBSK|LSAT_0X46180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDMKLFSGNSIPKLAKFIANRLYINLGKAAVGRFSDGEISVQINENVRGGDVFIIQSTCSPTNDNIMELVVMVDALRRASAGRITAVIPYFGYARQDRRVRSARVPITAKVVADFLSSIGVDRVLTVDLHAEQIQGFFDVPVDNVFGSLILLEDMLQRELKNPIVVSPDIGGVVRARAIAKLLYDTDMAIIDKRRPRANVSQIMHIIGDVANRDCILVDDMIDTGGTLCKAAEALKERGAKRVFAYATHPVFSGDASINLKNSVIDEVVVCDTIPLSEKIELLPNVRTLTLAGMLAEAIRRISNEESISAMFEH >cds-PLY67458.1 pep primary_assembly:Lsat_Salinas_v7:6:69429653:69434429:1 gene:gene-LSAT_6X50720 transcript:rna-gnl|WGS:NBSK|LSAT_6X50720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNSQINQTHSLSNLLPLQNPFVAGDATTHSSSSAYSPAILRHPTATKKSNATRSRSTSAGKIKAITIPFLTKTTVKGVITIQPAISSAIAGVGIGGVADGVSDLLGRSFLLELVSNDLDSKGKQKTVKAYARYEALDFDINVYTYKCDFDVPEDFGEIGAILVENEYSYLPSETPEGLKSLREKDMESLRGNGQGERKSSDRIYDYDVYDDLGAPDMSLSLARPVLGGNDHPYPRRCRTGRPMSTKDPLSETRTLLPFYVPSDEDFSEIKEVNFGARALYSVLHAVVPTLDAIITDKDKGFPLFRTIDMLYDQGVNVPAPDNGLKTVLPRLVKGAVDTVDAVIQFETPATIDRDTFSWFRDEEFCRQMLAGLNPYTIQLVTEWPLTSKLDPQVYGPQESGITKEIVEQEIKGFMTLEQALAEKKLFMLDYNDLLLPYVNKTRELNGTTLYGSRTLMFLTPTGTLRPLAIELTRPPSDDKPQWKHVYTPAWDATGAWLWKMAKAQVLSHDSAYHQLVSHWLRTHCVMEPYIIATNRHLSQMHPIRRLLLPHLRYTMQINALARLALINAGGIIESTFSPGKYSMQICSDAYDQLWRFDQESLPADLISRGLAVEDPNSPHGLKLTIEDYPYANDGLLLWDAIKQWATSYVNHYYPKANLIESDVELTQWWDEIRTVGHGDKKDEPWWPQLKTQEDLIGIVSTIMWVGSGHHSAVNFGQYDFAGYFPNRPTMARTKMPNEDPTEEEWQSFIKRPEDALLKCFPSQIQATQVMSVLDVLSSHSPDEEYIGGYIEPAWAAEPAIKAAFEEFRGSLEKLEGIINSRNVDPKLHNRSGAGLVPYQLLKPFSGPGVTGRGVPNSISI >cds-PLY97693.1 pep primary_assembly:Lsat_Salinas_v7:8:8595248:8596898:1 gene:gene-LSAT_8X6640 transcript:rna-gnl|WGS:NBSK|LSAT_8X6640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKDNNIIFDGNSESRLYVGNLDMRITEGALIKMFSPFGKIVFEDFLWHTRGPKRGEPRGYAFVQFSTMEEAKLAKEKMHGKLACGRPLVVRLAKEKYLMEMAEKSGGSGSGSGSGSGSGSESNGLGNGSGQMARSAKIAAIKNKLKAMEESDHVTKRQKQK >cds-PLY97563.1 pep primary_assembly:Lsat_Salinas_v7:5:239215615:239216121:-1 gene:gene-LSAT_5X117380 transcript:rna-gnl|WGS:NBSK|LSAT_5X117380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHINGQCPNTKTQDDAGGKKTEAPKGRACEIQMIAEEAIHDDETPIGIKSCDVIIGMFWLPAIQTKILYAKNVCEFSFSCESMSIF >cds-PLY88291.1 pep primary_assembly:Lsat_Salinas_v7:6:127136502:127137503:1 gene:gene-LSAT_6X77860 transcript:rna-gnl|WGS:NBSK|LSAT_6X77860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISSTCSGCSCKPLLISTKSSFTGRYFHLRHGGVSLIQKRFNGDGICVVRASMVGNTSENSSNFLKRMEQAWLISQQPRPIACSSCESNGHVECKWCRGTGFFILGDNMLCQVPSRNSSCVICAGKGSTSCADCKGTGFRAKWLGQPPIQS >cds-PLY67067.1 pep primary_assembly:Lsat_Salinas_v7:5:283103883:283107247:-1 gene:gene-LSAT_5X148040 transcript:rna-gnl|WGS:NBSK|LSAT_5X148040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPCHLLLLLLSMILLTTKIPIYSSFSTHILTPGSSISVENKDHLLVSPNGLFTAGFHPVGENAYAFAVWFSEKPTSGSRTVVWMANRDTPVNGKRSKLSLWEDGNLVLIDAGQYVVWSTQTESTSSSVELQLHSSGNLVLHGDEGGQEGKPLWQSFDHPTDTLLPNQLFTKNTQLVSSRSANNYSSGFYKLFFDNDSILRLLYDGPETTSAYWPDPRITTWRAGRFQFIESRIAKLDSNGEFISSDGCRFKSSDFGTGVLQRMLKIDIDGNLRLYSLVEHERRKKWEVQWQALSHSCWINGACGPNSLCTYSHVSVRKCNCLHGFKMVNSQDWSYGCEPEFQPCTKDDCGFLELPNSEFYGHDYAFFTNTTLDACKNRCLQNSTCRGFQLGWILDKGYFCFLKASLHNGYQMGITGLMYIKLPKTLLSSFNQKTTSKSSFSCSPPVLTPLLRSYHKTHDVKTLGVMLAFGCAIGFIEIICIVFFWYCSSKHSSSTADQIYFPPAMEFRRFTYRELKRASRNFSEEIGRGGASVVYKGKLTDNRIAAIKRLKSNHQGEAEFQAEISTIGRVNHMNLLETWGYCAEGKHRLVVYEFMENGSLAENLGVDKLEWATRFDIAIGTAKGLAYIHDECLEWVLHCDVKPQNILLDANYNPKVADFGLSKLLDRGSIDHWNFSMMRGTRGYMAPEWVLNQPITSKVDVFSYGVVMLEMITGRSPTINQDGENEMMPTAIEWVRDRIQEVNGSQTESWVKEIVAPSVRGEYDETMMENLVRIAVRCTDEDREARPSMREVVNVLLHV >cds-PLY61747.1 pep primary_assembly:Lsat_Salinas_v7:5:215261688:215263702:1 gene:gene-LSAT_5X98481 transcript:rna-gnl|WGS:NBSK|LSAT_5X98481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTLAVEIVFVCFFFNFKEHSTLNPQFHGEGGQNPGCNRCNWGANSRGKYAELSSIQDEDSSEDKEDQMDAETNHCLTRSSMPKRSASPMTRMKNSCIKYQESLTIFQVGKSQQRP >cds-PLY85571.1 pep primary_assembly:Lsat_Salinas_v7:2:196259423:196260330:1 gene:gene-LSAT_2X117080 transcript:rna-gnl|WGS:NBSK|LSAT_2X117080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g05950 [Source:Projected from Arabidopsis thaliana (AT5G05950) UniProtKB/TrEMBL;Acc:Q9FI91] MNVEEGRASRFGTTIHITALDGIVNVNSLFTLAVFIGLAWQPTDPSNSLVVDPKCVAGPKIAEDLICFHVYSFSSFLFSSLVALSLKQAIRIAKTSCETRHLMIFSFDMCHINKNALRVGYLISAVGSVCGCGFLMMALVNVAQIKLGTLGCGSSHTYAAVIPLLTFVPLGLLTYVFFVLCFCCNLGDPTEIMLCHYYDICIQFAFGDKLIIQVLSDMGLVNWGFFEITCRLSFLE >cds-PLY73649.1 pep primary_assembly:Lsat_Salinas_v7:5:203002853:203004991:-1 gene:gene-LSAT_5X94120 transcript:rna-gnl|WGS:NBSK|LSAT_5X94120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKLWLFFLFTFANTNLTSGCFEHEREALLQFKRSNLSDPYGQLSSWNGNNCCHWQGVGCDNATRHVTRLDLEADRSFFMKSFQKLKAKELNPCLAKLTHLSYMDLSGIHFGGSPIPEFIGSLTQLRNLILHSAGFSGVVPHFIGNLLNLRVLDLGDMKLLVVDDFTWFSNLLSLTYLDLSRVSIVKAPNFDKVLLYMIPTLLELRLSGCDLSNSHFHRTHLYSNLTLSTIQKLDLSSNSFQGEFPLFLQNLTSLRVLDLSSNALNSSIPVFKEIVDLKLRRNNFKGIEDTRVWRLCQLKRLDLSENSMEGGFTVPASNGECAQFSLETLNLNDNKFGGEIPKSLGRLTALRELNLGWNQLTGTIPEALGNLTSLQDLYLASNKLTGLVPTSIGNLLLLQNLDLSWNLLKGTIPLSLGQLSNLEFMHLSYNWLSALPLSLGNLSKLQLLDLRNNFLRGPFPSIGKLSELRVLGISENSLSGVVTEAHFSNTSMLKLLHVTSNYRLSFKISPDWKPPFRIESLKLGSCKIESEFPRWIRAQTSLDTLILSNTSISGPLPDWLNDLPVMTVLDLSHNFLKGPLTNLPSNQTIPVCSIETCSLFLQNNLFYGSIPDSLCNVTNLYYLDLSRNMLSGTFLDCLGNLRKLQVVILSSNRLSGVIPSSLGNLGSSLQWLALNNNSFHGELPKTLANCTSLALLDLGENRFFGRSQLLN >cds-PLY91319.1 pep primary_assembly:Lsat_Salinas_v7:4:246743063:246745040:1 gene:gene-LSAT_4X131120 transcript:rna-gnl|WGS:NBSK|LSAT_4X131120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSDGLIDSRIARITSAIRVIPDFPKPGILFQDITTLLLDPVAFKDTIDLFVERYKDKDISVVAGVEARGFIFGPPIALAIGAKFVPMRKPNKLPGAVISEEYSLEYGTDKIEMHVGAVQEGERALVIDDLIATGGTLCAAISLLERVGVKVVECACVIELPELKGRERLGDKPLYVLVS >cds-PLY78349.1 pep primary_assembly:Lsat_Salinas_v7:1:199186455:199187482:1 gene:gene-LSAT_1X121260 transcript:rna-gnl|WGS:NBSK|LSAT_1X121260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPEFPDVMADGYGSRHEDLNQKAELTLKGMVGTMIKKYFPRLTQSQRVLFEASPFGIFLGMHIPHGDPLLVHMMMLYEVRSQPIFEMGRFLFDIQGIQLDFDETEYILICGLKVGPYVDLLYDEKGRSNSQLRARLFPDISDSRLRLKDLEDFIMSPNYSALQDEDAVMLIQHVFMLTGLHGQDVKTGIPAAVYKLADNIDDWNKYYI >cds-PLY80944.1 pep primary_assembly:Lsat_Salinas_v7:8:256895195:256897702:-1 gene:gene-LSAT_8X151840 transcript:rna-gnl|WGS:NBSK|LSAT_8X151840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRATVKQISILQSHASFSLQFTTNAPKNINIIISKVQSGATYDEIFQSLMHDQACSTIPISDTLLSHLLHRFKDDWKSALGVFKWAESIKDHTPLPKSYEILLDILGKTKQIEKMMSLVNQIHHHHHHHHHVTLGSITKVMRRLCGVGKWQEAVKIFDDLHTFGLEKNTESMNLLLDTLCKENKVEQARKIFLELKSCIPPTPHTFNIFIHGWCKVKRVDEAHWTIQEMKGYGFSPSVITYSTIIKSYCQDSEFVKVYELLDEMKVHNCPPNVVTFTIIMCYLTKFGEFEEALGIPEKMKSFGCEPDTLFYNSLIHTLAKSGKIQEAIYVFEVEMARFKVSRNTSTFNTMIAMFCHHGKDEEAMNVVKNMGNLGDCKPDIQSFNPLLKMWLRTRKVDLWLSDLLDEMVNKYNLSLDLCTYSLLIHGLCRVNECEWAYGLFKEMIGKDIKPRYYTCALLLEEIKQMKNYDAADFIEGYMKRMKSS >cds-PLY73625.1 pep primary_assembly:Lsat_Salinas_v7:5:207285500:207286499:-1 gene:gene-LSAT_5X96660 transcript:rna-gnl|WGS:NBSK|LSAT_5X96660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYIERRQQIPDELKILLNKKYVFKVQISKFNLQNNYSAYNVQKLTNDERVLAEVFKRSPNHEHHILNDNGTPINKPNKENTDSGHDDNLDVVDLEASTPSSSTGKRLIEIDANTDSLEWSSSKGGVVLATLRIPNMEKLE >cds-PLY68602.1 pep primary_assembly:Lsat_Salinas_v7:5:589515:597226:1 gene:gene-LSAT_5X241 transcript:rna-gnl|WGS:NBSK|LSAT_5X241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 5 [Source:Projected from Arabidopsis thaliana (AT5G56290) UniProtKB/Swiss-Prot;Acc:Q9FMA3] MAMRELVTGGAACAVPGSSSSSNPLGALANALIGSSSQKERLQEIPTSTLNTSGSGSGIYSGGGEPLSALPGSELEHPLQHEGSGFINGFHAANDQTQRLGEIWDGIQNPHFPGIQGQTNFPLHQLQPELNGPPQRVLSSFLHSFVNSSHGGVPFGPTQLPVLGLSEGDKRCIRDRSSIMARHIFADKTEDFINGQVNALLSSLEIDNHGGLVREHMPGRYAELDQYWGESQVMGPRPHADGWADEFSQQHGGDPNAWALSFERQHGAGGWASEFQHEQTQMTSVDRMAGANIPSLAAMEQTRMLAHTLAQNTNPKFQNSKFLQFVSKMSRGELTIEDNQVRPATGDWANEYQQQYNAGPSSTSWADQAPDRWADEFANERVHHGPVDEQWVNEFSKLEVNDWADEFGRQVGEGILGDDSADNWASAYDEYLNEQAVMKQKSESSRGVYVFSDLNPYVGHPDPLREGQELFRKGLLSEAVLALEAEVLKNPENAEGWRLLGVAHAENDDDQQAIASMMRAHEADPTNLEVLLALGVSHTNELEQQAALKYLYSWLRQHPEYGKIAPPQLSDSLYYADVARTFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIESFQTALKLKPRDYSLWNKLGATQANSVQSADAIYAYQMALDLKPNYVRAWANMGISYANQGMYEDSIRYYVRALAMNPKADNAWQYLRISLSCASRNDMMEACDSRNLDVLQKEFPL >cds-PLY64047.1 pep primary_assembly:Lsat_Salinas_v7:8:94450286:94452654:-1 gene:gene-LSAT_8X66440 transcript:rna-gnl|WGS:NBSK|LSAT_8X66440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLIPKLGKLFFVSQQIKNNQAVEVIESDNNAVSCKDGFDAVSSGTKVQPDHLVIMVNGIIGSATDWGYAAKQFVKRLPDKVVVHCSECNSSTLTFDGVDRMGERLAEEVVAVTKRWPEVSKISFVAHSLGGLVARYAIGKLYENSKCHEQIYEDRIAGLQPVNFITVATPHLGSRGHKQLPLLCGVPFLERSASQTAHWIAGRSGKHLFLTDNDDGELPLLLQMVNDTKDIKFISALGSFKRRVAYANANYDHMVGWGTSSIRRQHELPNSNLLLEDEKYPHIVYVEQDQQEANEAVQKETLSVVSPENFEEEMIRGLTQKPWERVDVKTYWLNSDGADVVLHMIDNFIV >cds-PLY93168.1 pep primary_assembly:Lsat_Salinas_v7:6:5231807:5232055:1 gene:gene-LSAT_6X1720 transcript:rna-gnl|WGS:NBSK|LSAT_6X1720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENPKPSAKPTVKPKSENEPKGKEKLVNEEPILDNREDEKLDEHELKRRKDPGAQLDEHQRIIHEAEEKEKVERESQAMLES >cds-PLY99659.1 pep primary_assembly:Lsat_Salinas_v7:5:176129886:176134500:-1 gene:gene-LSAT_5X77601 transcript:rna-gnl|WGS:NBSK|LSAT_5X77601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQCLAPSVQWQTRLTKNAMETSSMTSKMWNSVSFKQSKKGAFKSSTKFRICASSSGTINRVEDLLNLDVTPYTDKIIAEYIWIGGSGTDVRSKSRTLSKPVEHPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVICDTYTPQGVPIPTNKRAKAAEIFSDPKVVAQVPWFGIEQEYTLLQQDVKWPLGWPVGGYPGPQGPYYCGAGADKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEFQVGPSVGIEAGDHIWCARYLLERITEQAGVVLTLDPKPIEGDWNGAGCHTNYSTLSMREEGGFEVIKKAILNLSLRHADHISAYGEGNERRLTGKHETASINTFSWGVANRGCSIRVGRDTEKAGKGYLEDRRPASNMDPYTVTGLLAETTLLWEPTLEAEALAAQKLALNV >cds-PLY91112.1 pep primary_assembly:Lsat_Salinas_v7:MU039484.1:1511717:1514819:1 gene:gene-LSAT_0X5541 transcript:rna-gnl|WGS:NBSK|LSAT_0X5541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITKASKPSSRKTRTKRRKPKYLSLRLQLITTTPLPMPTTITTTTHDHDNKQLINLFPLHPENLVEQDKLDEEHNMACLFSSCDDDGAATTLTGILCSDDEDEENTNNPSPSSVNYADEEVELVRTAMRRNKTERDRHEKWVCYSEVVEKKKVIRGMSSSSSSSTSCNHNNHHRSKLVLKLDYGKVMDSWVNKGPLYIHKNSDPDTPQPQTVPDLQDDDFFFPSSTSLNGITHDERDNGGNGGSWTVPEMTHIENTDIGRGEDALHAVGSNAMIQHREASVQRYKEKRRNRLFAKTIRYEVRKLNAEKRPRIKGRFVKRN >cds-PLY69272.1 pep primary_assembly:Lsat_Salinas_v7:7:131230120:131233770:-1 gene:gene-LSAT_7X78061 transcript:rna-gnl|WGS:NBSK|LSAT_7X78061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDEKDTNLEKKEDETGDKIGEETLVNIDIDIDDSRGEEFERMVNEEYKIWKKETPFLYDLVISRVLEWPSLTVEWLPDQVESPDGEYSVQKIICGTHTLDDEPNYLIIAQVKLPLFEVEYDDSHVNENEHSGRKIEFVQQICHEGEVHRARYMPQNSCVIATKTIDSGVYVFDYGHHPMKPCPDEQFNPDLILKGHESDGFGLSWSKFKQGLLLSGSYDEKICMWDINGTPHDHALDPMQIYKVHNGPVEDVAWSLKHDYLFGSCGDDKYLHIFDLRSPCFTKPIQTLMAHQNFINCLAFNPFNEWILATGSVDNTVKLFDLRKFTSPLHTFNFHLNEVIQVGWSPQNETILASSCAGRRLLVWDLSRIGEEQSSQDAEDGPPELMFIHGGHTDRVVDLSWNPCEDWVIASVADDNILQVWQMADHIYNNE >cds-PLY86566.1 pep primary_assembly:Lsat_Salinas_v7:9:5226916:5227152:-1 gene:gene-LSAT_9X2321 transcript:rna-gnl|WGS:NBSK|LSAT_9X2321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGGGPESLNSSSDGQWVEGSGPPVMICKRKKTETIVVVGGSEDGEKGCLGYCNRRATTKKGKGGVWVIRSTEWIE >cds-PLY98874.1 pep primary_assembly:Lsat_Salinas_v7:5:18992138:18994027:1 gene:gene-LSAT_5X10160 transcript:rna-gnl|WGS:NBSK|LSAT_5X10160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNVVFENIKFNPKVQKTSQMVPVVSKLLTFGYKTSEIRQECERVGFEVVTLDGHTAPLASINNSSVESIRWPTVGRYRVDVASFESLALPELQVKEDTDLFVIDEVGKMELFGSLFFPAMLRVLESKKINLRSLFLPPMNWREREREVGPFNTKPHKWSLAP >cds-PLY81036.1 pep primary_assembly:Lsat_Salinas_v7:4:240467382:240476989:-1 gene:gene-LSAT_4X128741 transcript:rna-gnl|WGS:NBSK|LSAT_4X128741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAFLCLILQLSNTIAYASTGKHIIILAGQSNMSGRGGVQNNTWDGVVPLQSKPNPSIFRLTGNLSWIEARDPLHKDIDVNATCGIGPGMAFANRVLERNRSLGLLGLVPCAIGGPRGTKISEWGRGRFLYRQLLRRTTVARSGGGLIGGILWFQGESDTVSELDARMYGRRLINLFNNLRADLGSPLLPIVHVAIISGQGPYVETVRKAQLGIKLRNVRCVDAKGLQLLPDNLHLSTAAQVRLGRMLANSFLKL >cds-PLY77136.1 pep primary_assembly:Lsat_Salinas_v7:7:183382285:183386039:-1 gene:gene-LSAT_7X109440 transcript:rna-gnl|WGS:NBSK|LSAT_7X109440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAWIKQLVITFGASFLWLVCLIYFTQGFRSFVWTAVSYQLKDKLKLSPSASQFVTSISFFPWSIKPVYGIISDCIPINGRKRVPYLVIATLLSLFSWAILGSQESTRNSQHQLMIFLLLQNLGSAMADVVIDAMIAEAARREKAKYAGDLQSISWMTMALGGICGSLFGGYALTNLQMGNIFLLFSFLPTIQLLSCGYVTESPISSLNFTEFPSFNASEIYSGSGPDEERSSNEAPKPGSLVRKRSHKISKKKYLESIKDQMPEKEGSLPLKWFWSLKVAGYTLFEAFRQPIILRPMGWFFLSQVTIPNLSTVKFYYQTEVLNLEPSFLGTSRVIGWMGLMFGTFIYNRFLKKIKLRKLLTLSHVILSLTTLLDIALVSRLNVGFDISDKAMVLFGSALSDAIHQFKVMPFLILSGYLCPPGIEGTLFALFMSISNFGSTISSFFGAGLASVLNISSGSFHNLSFGIGIQVICTYIPIVFLFLIPKDATGMTT >cds-PLY82106.1 pep primary_assembly:Lsat_Salinas_v7:1:13848632:13850728:1 gene:gene-LSAT_1X11261 transcript:rna-gnl|WGS:NBSK|LSAT_1X11261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGNVSRLHTINRSSLVCSLKFKSSFHNLTTTTPPSPPPLEEDDAAGLCNLILKLDLQTLSQNLETNSVCWTPDLVNKILKRLWNHGPKALEFFRLLERHPIYTHSTTSFDHAIDIAARLRDYKTVWTLVARMRKRRLGPNPKTFAIITERFVSAGKPDKAVRVFLSMHEHGCQQDLNSFNTFLDVLCKSKRAEMAYNLFKVLKRRFKADTISYNIIANGFCLIKRTPRALEVLREMVESGLEPTLKTYNIILNGYFKAGQIKEAWQFFLEMKRRKCVMDVVTYTTMVHGFGVSGEIQKARQVFDEMLETGILPSVATYTAFIQVLCKKDTVENAIVVFEEMLKKGYTPNSTTYSVLIRGLCHVGKMDKAMEYLDRMKQDECEPNVQIFNVIIRYYCDEGEIEKSLEVFERMGSGECLPNLDTYNILISAMFVRKRSDDLMVAGKLLIEMVDRGFVPRKFTFNRILNGLLLTGNQDFAQEILRAQSKCGRLPRHFRL >cds-PLY95400.1 pep primary_assembly:Lsat_Salinas_v7:9:189929686:189933755:1 gene:gene-LSAT_9X117000 transcript:rna-gnl|WGS:NBSK|LSAT_9X117000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVTQGFCCRECQEIVADDGHLQTQLSRESGKLVSDKKGLHVYLRKMLHRRRSTIPNSLSSHEKSALDTIRNWETIKGKRALLCGVTYDKQKYKLKGTNYDVIKMRELLMFRFKFPSASIHILAEMESHLYPTKRNIQEALRWLVKDNQHGDSLVFYFSGHGLRQPDFFDDELDGFDETICPLDFRTEGMIIDNEINDTIVRPLKKGVKLHAMIDACHSGTILDLPHVYSTKERRWIDNKPPSGVYKGTNGGHAISISACEDNQLAADTSAFSDTGKQMEGAMTYTFRKALLNNSKATYASLLASMQNDILAAKKKCFSLRGLFHRERVQEPQISSSKEFDLNEAFVL >cds-PLY67021.1 pep primary_assembly:Lsat_Salinas_v7:5:282295000:282295919:1 gene:gene-LSAT_5X148661 transcript:rna-gnl|WGS:NBSK|LSAT_5X148661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSDQETSGFLPPTKTGFTDELRRQPSSSTTNLTGNTPHHLPGFTKLSIPPTDTTTLDPHLAAANGRAIFTIPATSFRDAVAATPAVDTHNAISPTVSDIVTSPDQEHTTTTTTTTSTPQKAREPPRRNPLRRTLSDTISTVRKPITPAPASSNRSESPQFKRLKKTEEMVTDIANRCWEVRGKIGVSEEDVEDGNPSEAIVEQEEEEVRGEFVRIRRLDDGNLNIKLSCSCGNCFEFLRNRIGCFYRLTI >cds-PLY76619.1 pep primary_assembly:Lsat_Salinas_v7:5:221792749:221794029:-1 gene:gene-LSAT_5X104220 transcript:rna-gnl|WGS:NBSK|LSAT_5X104220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSIQERNILVEKVCQIYDKISKLETLKPSKDVDTLFSQLVLTCIPPSSVNILTLPKDIQEIRSKLIRLCGEAEGHLEAHFSTLLATFQNPLHHLDVFPYYSNYLKLSRLEYDILSEHCSAQSWPPNRVAFVGSGPLPLTSIVLASYHLKETTFHNYDIDSLANSMASCLVAPDPDLSRRMIFHTTDIMDVTDELKTYDVIFLAALVGMDIVEKLKVVQHLAAYMTPGSILMLRSAHGARAFLYPVVEPQDLRGFEVLSVFHPDDDVINSVVISRKSPMNSNIAHKHHHHQLGINESIIPSSCKYCEFQMFNNPLNHMIEELAVDE >cds-PLY96457.1 pep primary_assembly:Lsat_Salinas_v7:MU038438.1:320500:321584:1 gene:gene-LSAT_0X26940 transcript:rna-gnl|WGS:NBSK|LSAT_0X26940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYADTSGNGQNDIRAISPLEVSQSVCKNPFRSQQAINEPDFAFSSERFLPVSQFDELWLKFVVSDLLNLFRDKWLPDSIPPVSSKISLPLLILAHLDGIQELLERTMLLFYTMIADLCHAIDGINFSEFSVN >cds-PLY62205.1 pep primary_assembly:Lsat_Salinas_v7:6:32402241:32404971:1 gene:gene-LSAT_6X23841 transcript:rna-gnl|WGS:NBSK|LSAT_6X23841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPPSLVSVVLASFGEWFVHTCFGGGPFFHASYSRWRSNTILDLRLDIPNMFVSLASGFMVSSLKPKGTGYPKGVNIEGVNIDMMDWYLWIDA >cds-PLY82449.1 pep primary_assembly:Lsat_Salinas_v7:2:188284426:188286562:-1 gene:gene-LSAT_2X110141 transcript:rna-gnl|WGS:NBSK|LSAT_2X110141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMANDTFTAKWSDKMMEGDEGLRTVECLRGRLLAERAASKVANDESEQIGKKVIELEKQLQMEIKSRNKAEKRLKFLMKKLNSLNISYVSADESSSFSEKSEISSVSSSSRSQDQMELQKTQFSNMPECVKDTGERRNCPSYEDNQGSLDEAISGDSNTNVIQSSQKDGDKDALNKDENNGSKSSVTKNIDYEEHDQDTYYNVDNSMALVIVEEKALMTTKREEDCDDSFNNSMALVVVDSVIKEEKQEMPISTSNVKDVLDALRYARESLQASMEMRRHMRSSIAQANRLNGISC >cds-PLY73477.1 pep primary_assembly:Lsat_Salinas_v7:2:98617750:98619310:1 gene:gene-LSAT_2X43301 transcript:rna-gnl|WGS:NBSK|LSAT_2X43301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQYKNLGRSGLKVSQLSYGAWISFGNQIDVKEAKTLLQCCRDNGVNFFDNAEVYANGRAEEIMGQAIRELGWKRSDIVVSTKIFWGGPGPNDKGLSRKHIVEGTKASLKRLDMEYVDLIYCHRPDLCTPIEETVRAMNFVINQGWAFYWGTSEWSAQQITEACEIAKRLDLVAPIVEQPEYNMFSRHKVENEYLPLYNNYGIGLTTWSPLASGVLTGKYNAGVPADSRFALENYKNLASRSLVDDVLKKVKNLKPIADELDVPLSQLAIAWCAANPNVSSVITGATKEYQIKENMKAIDVIPKLTPDVMEKIEAIIKSKPKRPESYT >cds-PLY83115.1 pep primary_assembly:Lsat_Salinas_v7:2:8275791:8276434:-1 gene:gene-LSAT_2X4741 transcript:rna-gnl|WGS:NBSK|LSAT_2X4741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQSYASHLSSRGKRKLKAAAGGSVEETNRKEEGRRSPSKKGDPSLVFVILSPTATTRKRIQNNKQQRLGLGYLAEIGEPEGKDAKAEKRLGGGCCQQRWWRSKVAVGVLRRTLVGSFLVTCKAQERKRGCYPSWFRRKQPPTTCGPWQEMKKMKGGADASSLAKITISGDVLSSP >cds-PLY69942.1 pep primary_assembly:Lsat_Salinas_v7:4:67341335:67344747:1 gene:gene-LSAT_4X46721 transcript:rna-gnl|WGS:NBSK|LSAT_4X46721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHEEGGTRAPRPPSSAVAPPSTKRYREEEFEGSLTCAELQPIKRRAVLGQDILFRILLPSKQIGKVIGRGGNRIQKIREETKATIKIADAIARHEERVIIISSKDNEDAFTDAENALHKIGSLVLMDDQNVEGLKIATGHVAANTIRLLISGCQAGGLIGVSGQNIGQLRNSSGATVTVLAQNQLPLCASAHESDRVVQISGDVPAVLRALVEIGCQLRDNPPKQIISISPTYNLGFTHRPTQQYVDPSSAEYVTLEMMISETFIGGLIGRCGSNISRIRTESGATIKVHGGKGENKHRQIHLGGSAQQVALAKQRVDEYIYTQMMQETGGQQSMVDETAALMAGAMYYPPVAAQGYYGLPNPYM >cds-PLY69818.1 pep primary_assembly:Lsat_Salinas_v7:6:5012855:5013697:-1 gene:gene-LSAT_6X2640 transcript:rna-gnl|WGS:NBSK|LSAT_6X2640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid phosphate phosphatase beta [Source:Projected from Arabidopsis thaliana (AT4G22550) UniProtKB/Swiss-Prot;Acc:Q9SUW4] MEKPSRPPPPPSTSLLRHLVNFDTAVSLTLYNLTQPILPRPFLKLLEISGDGRLFFPILLSLLLSPLRSASPLLLTLLVNLLIGSLLDLILIGLIKHIVRRPRPVYNKHMFLTFAVDHWSFPSGHASRVCFTASLFYLSSDLIPSIFLQLKSGMLGLDEFESVKRLNVLVIAWATATSVSRVLLGRHFVFDVAAGAGLGVLNASFVFHFLNCEFFSSILSRKL >cds-PLY96435.1 pep primary_assembly:Lsat_Salinas_v7:4:344158447:344160397:1 gene:gene-LSAT_4X169740 transcript:rna-gnl|WGS:NBSK|LSAT_4X169740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPVLRSCNYHQRNSATNFSFTGDLKRKLLKQNWQKSKHELLSDSRNRWRFECKVSNASTYSSRMATDIYLYETPNASFDQYLEDKPRVFKAIFPDKRRSQQLNDEEWRVHMLPIQFLFLTCNPVIDMRLRCKTNGYDYPAGVPPQVTKVLDLDIIRWELHGLEDIVKPSEFSLGVKGALYPFRQGNLSRLKGQLTLTITFELPPVLGLIPEDVRRDVAQTVLTRLVENMKDKVNGSLLADYSKFKNERMRKLV >cds-PLY83939.1 pep primary_assembly:Lsat_Salinas_v7:8:47936457:47938466:1 gene:gene-LSAT_8X36601 transcript:rna-gnl|WGS:NBSK|LSAT_8X36601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacyl-tRNA deacylases [Source:Projected from Arabidopsis thaliana (AT2G03800) TAIR;Acc:AT2G03800] MVILVVATTTDPASYGPATALLAMGNWNPGVSFQGIRSKVNGDVRLLEHDKGIVEEDDLDNRWEEATGEVVDEIIFLSKHTAVTNRPALTIHPIGVPHLKEGDVPPQGGKPGWAAIPSPRMTPWLILLKKLAQSHNLVPEFEITLEATHHGPITNKPTMFVEIGSTIECWNRKDAAQVIAQLVWEGLGIGSGEAIGNWNSACGNKKVLLGIGGGHYVPRHMDIILKGGVCFGHLLSGYSLPMEDGGGGTWKHAIKASYDATLEAFHGGEIIAHLDQKSFKGWQKKAIIEYLNEQNIKIGKPSDFY >cds-PLY75209.1 pep primary_assembly:Lsat_Salinas_v7:2:203548881:203551747:1 gene:gene-LSAT_2X124280 transcript:rna-gnl|WGS:NBSK|LSAT_2X124280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANNSNNNNENLFSSLICDIKTYNGSDPLLPWLRGIKKMTDLIPQQLLKQKLPRFLQKCAQTFETDIRYRNDLRYLRVWMKLLDFVDDPGAVLENMKANHIGNKRSLFYQAYALYYEKLKKFTDAEKMYHLGVQNLAEPQDELQKSFDQFLHRMERHKNKKTRGVGLVKDKPPSTQSKSRQVEDKKVISPSTEDEKGKVGNIGSGVDETVVVRFTKTAIVGRSKAEDARHHGLVEPTINTKEAMDAINSMFREPLGPEPFQNSNSNPKKDKENADSFKVFNDNDTGRPVEEPFQIYCDHDDEDEDEKIVENQKDKNEEKVNPNMTKAPFVFQIPKDIPVECPQEKFREDTVMLRFVGSTIADEPKVENVCHHGLVEPTVNLKEAMDDINGMFGKPIEFVRKRRPRKQEKEKPFEEKKNCSSFLILPDDDENDKFQEKFCKPSGSSSRKENDLFEQTVCTKEAMDDINKMFAMPLDF >cds-PLY63810.1 pep primary_assembly:Lsat_Salinas_v7:6:23630072:23634934:1 gene:gene-LSAT_6X18980 transcript:rna-gnl|WGS:NBSK|LSAT_6X18980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSTRASESTKTSQEIPARRLYMDKTHEKTSIAERLIERRKSLKLIDESATTTPKAKEKKVTKIKEGKRKTNSGDNRAAIKKQKTVKEQKTVKDILKELPSINTRSTPGLMTDAVSSLTSEQKDWVKRMGFKAFLKINIKSIPLKLCHFVLKHYDEGTNSILIKGKRIKITKEKIHKVFGLPKTGKSLFDLDKVSEDHQVFDGWMKELEDGKANATNYKKIIQKSEQVDMNFKLGFIALFVNTFAESIPMGTNNLVPVRALVEVDDISKIDWCAYLLYCVKNSKGRWHPDDPKCYYKGPMLLLLLIYCDEIECKLQKIERKTPLVTMWTADKLKERQSFEIEAGGFGVGNLIEQSSNLELEKNENQDTRIEEYKDNFDKMFNKVSSIKEDMYGIVFDCISKFRDVDITNELKEKFIKLFSDPIFSSADNQNNENKKKGSHERVESQNGDERLESQNGDTGENYISSYKSPYMDKAVNLFDRIDLQNVLLIQVLIRCAQEKNKMEVLFETNTGEIMHRQDFESMRPEHVIHHRVIDSWAAVLNYEEQKSKSKPYRLFFNTKIMSSELLDETKSFDERFLTFETRVDKFLSNVKANVDFNDLKLVVFPIHNGDQMYAVVFNLTYPQVHIIDSIQTKSLEKTYGMTPTSLKLYFIRYLEKTTFIINNIEGLRSTTVKMMKIDWNTKELTTENGALLMRHMEKYCGEKQGKWNVEMEKGSDVQAVQFVKLRALYAVKIATHEINNHKERVIKEAIEFGKFDHATRKKMLEEGIQRMDELEMGNRI >cds-PLY71883.1 pep primary_assembly:Lsat_Salinas_v7:4:376473425:376473829:-1 gene:gene-LSAT_4X186440 transcript:rna-gnl|WGS:NBSK|LSAT_4X186440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALHRASTLLQYYVLMLLTIMSTVIIYSSKNSCGNDRMLAMAQQQQPTTENEVGDVIGTGERAQQVMQCFTGCGQEIIGCGVTCTLGSSQSIEPCFVDCGLSTFVCMNSCVQPPAPPSEADSNPSPGPDPILVH >cds-PLY72278.1 pep primary_assembly:Lsat_Salinas_v7:5:196108667:196110788:-1 gene:gene-LSAT_5X87741 transcript:rna-gnl|WGS:NBSK|LSAT_5X87741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWIEYYKPVIVMLVLQFTYAALSVSARASLLQGLSPRVFVFYRQAFGTLFITPIAYFFRTKTKNSSMGWKSFSLIFIAALIGVTGNQMIQYEGMYLASSSAASALANIIPAITFVAASIVGFEPIDIRSFRTIAKILGTVVCVTGAITMSLIKGPKLLNEQLPSSNSLLVINPIGRDNLWLVGCLCLFVGCCCWSFWLIIQVPVTRIYPDHLSLSAWMCFIGTMQCGFVTLFTDPYLEAWKIKSYFQLGTCLYAGIVGSGISIFAQAWVIERRGPVFSAMFNPLNTIIVTIFASIFLQEQIYIGRQVEVEKTLKLLLHSFFTKKLTCFCDMLINIINVCLEEKKNTSCNLVTIIYNTLLTYLMQHLTHILVRSTRCTSRYLFLFLIFLCSNLNAYNLQPIKKNLIQLLL >cds-PLY63416.1 pep primary_assembly:Lsat_Salinas_v7:7:148414341:148422687:1 gene:gene-LSAT_7X87541 transcript:rna-gnl|WGS:NBSK|LSAT_7X87541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDSKLSRRNSGKNLNFEETENVPVNVNIRESSIRRMSIDSCSRPPLNSIQEPLQNPSKPAQEPGFRSSKTHRTPTKAKSRHQESAMTMRTPEKQGVVARDRFGWGNDSTVNTPRSCRTLGRASLGFPELNSAHSTPTKTVTKPPNPGLIYGGNTRPPVRTGNFAALSKGIPVTSNTCTTVNTIEVPHFDLREDPSFWMDHNVQVLIRIRPLNGMEITSQGYNRCLKQESAQCLTWVGNPETRFTFDHVACETIDQETLFKMVGEPMVENCLSGYNSCMFAYGQTGSGKTHTMLGEINNLEVKPSQYRGMTPRIFEFLFSRIIAEEGSRKNERLTYNCKCSFLEIYNEQITDLLDPSSTNLQLREDVKKGVYVENLTELEVHTVGDILRLLSQGSANRRVASTNMNRESSRSHSVFTCVIESRWEKDSTSNLRFARLNLVDLAGSERQKSSGAEGERLKEAANINKSLSTLGHVIMVLVDGANARTKHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSISSATETLNTLKFAQRAKLIQNNAVINEDASGDVEALQHQIRLLKEELVTLKRLNLSRSITNNSKHENCSNESSLDNYEDDDEKILRVSCKQLKSLETSLNGALRREQSTENSIKQLEAEIEQLNSLVHQREEENRCTKMMLKFREDKIQRMECLLSGSLSVDSYLLEENKMVNEEIKILRGKVERNPEVTRFAVENIRLLEQLRRFQDFYEEGEREMLLTEVSELRDQLTLSLDQNLNQSIEKESTQDKKQDDFLQLELENSKKELEKFMEKNAKLCREIADLNDLLEMQKSEVIKDEILANNTNEILDLQLEVEILKIILKEERLIHVETDEKFLLITKQCENLKEELQEAKSIIEALEIQNLVSINELEDLKNINNNQYSEITSLKDKILSQESKEQTSLTKVQDSLEKAKKLNTFYQNDRVFHESNEEQMDEVRKQVEAETSEVIVCLQEELCNIQKKMQENSLKERETQQELIILQEKLDVMSECNRTLREKFEEKDRVLFGLCEEIEEVLSVGDMALDDVLNRFVNGKQDWVSEKLKIIARNVYEKELRIEELNSCLEDAKRKSNEMESMLRSLRGAILVMSEAHQQDCNKKDEEIVEKECEIDKLKESLVEKDFAIEVESCCYFDKFLETDFMIEEMKIEISEMKKKEVFLINERESYSILEEQIEEDTISMKKEVEEIEHMILEIQAKNQDLMSFDFDNIKSCVHEMLTWHEEIWCEIIAKDWVVSVLHVCHMGILMETLSGLNVEKSLLHHGLCESNALVSELKGQNGKSRKELEACSMLRGKLLVDIKNGFDRISRKEEETGNLSIKITNFEKKILDLQVMEEAMLERFNHMGDELFTIMKEIDVIEVIHDEDEEKIVIDSFAKEIELLLKLEKMVSINNDLEKEKFSISMELEKFKEDMIISFVDMKLKDSFDLEMSSCKLQHELEMNVEELRLQKEENKRLSIMLKDQKHEIEEAFEELRLQKEENKRLSIMLKDQKHEIEEAFEELRLQKEENKRLSIMLKDQKHEIEEAFEALQCEVYESVVKSHVLDEKNILIESLKEDVKTISCEKDEKEEELKVYEKSVEELECTVNVLENQMVKGDAERQRLQREEAEVELHALKQSNDSVIMQRDLDEKEKELQDLLRRNIILQKEIISKDAEIIELNLQKVQSREYNEKIKAMGETTPDVNNINSPLKRVERSGSRSKGQNGSSPFKSIRLGFVQQVNCEKEKDEELISARIRIQELEALVASWQKENNEGVKQQQLLTMENEMLKNEIDNLKKNVMELEVEGQQNLQQQIDHHAKIKEENNVLKAQNDEISHKLKRAEVIIRRVKEELANLRATSERRPC >cds-PLY70601.1 pep primary_assembly:Lsat_Salinas_v7:1:89411281:89416176:-1 gene:gene-LSAT_1X74961 transcript:rna-gnl|WGS:NBSK|LSAT_1X74961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTETAIEFLLPSWWEVQVTVAAACFVIAAYWFFTDGGFTGAGDRSQFDNSSLASGDVVDDKEKIDQQKGDSNGSSAYMIKVELLAAKNLIGANLNGMSDPYAIITCGTEKRFSSMVPGSRNPMWGEEFNFSVDELPVKINVSIYDWDIIWKSTVLGSVTIPVEKEGHTGAVWHPLSSSPGQVCLHIRTIKHSSGSSRGLKGYAGANPRRRVSIVQHSYSCAMERSFLYHGRMYVSAWHICFHSNVFSKQMKVIIPFGDIDEIRRSQHAVINPAVTIVLRMGAGGHGVPPLRSPDGRVRYMFASFWNRNHAIKILQRTAKNYHAMVEAEKKEQQQSELRASSSSLNRKKTVKVLEESVPNKHQPFVQEDVLTGIYTDVFPSTAEQFLDLLLSDGSNFTSEYRAARKDTNLNIGQWHSADEYDGQVREITFRCLCNSPMCPPDTSMTEWQHALLSPDRKSLVFETVQQAHDVPFGSYFEVHCRWTMVTTSESTCSIDVKVGVHFKKWCVMQSKIKSGAISEYKKEVELMLEVARSCFSSNTVINEIASVVSSVSTIENA >cds-PLY74796.1 pep primary_assembly:Lsat_Salinas_v7:6:121364322:121364911:-1 gene:gene-LSAT_6X73721 transcript:rna-gnl|WGS:NBSK|LSAT_6X73721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYRSYCISGYMSPEYAMKGTFSIKSDILSFGVLILEIISGKRNSSFVHVDRTFNLIGYAWELWQQGDTLELKDPTLGNNFVVQQLLRAVHVSLLCVHESAVDRPTTSDMISMLLNDAIPLPTPNRPAFIITTRMESESKSTSNENKAKDCSVNNMTITALEGR >cds-PLY64040.1 pep primary_assembly:Lsat_Salinas_v7:8:93104625:93105927:1 gene:gene-LSAT_8X65701 transcript:rna-gnl|WGS:NBSK|LSAT_8X65701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKKIFLQFLEFLKKWMVIMSKNGKLMAIVTSIYIIIASLFFVLNISTVKPMIFDIVTKSMMLPSQDPQGLVFAQLQNTMQKEFKIFLGTELAFIVGLFITSLIAQNAIILLIGSAHKDEKISHINLILRMPQPLKRTFITSFHVTLLRIGFLYISFFFVMVLIIVTSGNKIVSKLILWALLILVVSMYLYFSVVWILSMVVSVLEECSGIEALGRAGRLVKGMKLQGFLLNLLLNLLSYVFFHFLSKMMMVKQPALTQAILLFFLMGFICSITMFTFQAYTVLYFECKKNHGEEIELHGSVEYSKIPRVPLGEELL >cds-PLY96573.1 pep primary_assembly:Lsat_Salinas_v7:4:368420474:368422333:1 gene:gene-LSAT_4X180600 transcript:rna-gnl|WGS:NBSK|LSAT_4X180600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERFVFKGLACNLVTYLTDVMKMSNSSAARTVSIWSGVTSMLPLVVAPLVDSYWDHYSTILASSLLYFLGLLALVSSTLYKQNPSSSSLYSSLYLISLGQGGYNPSLQAFGANQIEPQNELPTVENNRDPNNKSWFFQWWYFGICSGSLLGVSIMPNVQDLVGWGLGFGVSAIVMVMSIGLFLCGGRFYSYRQHKTVDINVSFFQKIIRAIKGLKSSEKSRLVELELEENPLFIKEDNNGVETGCSSDQNSSNSNHLFKIMKVIIRLLPIWTTLLMFAVIFQQPATFFIKQGMTMKRSIGKSYNIPPATLQSAITISIILLMPFYDKIFIPITRFILRNDKGITTMQRIGIGMFLSVIAMVFAATVETKRREASGSGFGRLSIFYLLPQYILLGVSDIFTVVGMQEFFYSEVPEEMKTMGIALYTSVFGVGSFLSALMVFLVERFTGSEEKNGNWLSDDMRKARLDKYYWMLACTSFLAFLGFLFLCKLYKPSV >cds-PLY94515.1 pep primary_assembly:Lsat_Salinas_v7:2:156179600:156180733:1 gene:gene-LSAT_2X79961 transcript:rna-gnl|WGS:NBSK|LSAT_2X79961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGKNGSFDAMWKAIESMHKEGLLSLATFASVFGSYVIADKVKGAFKTFYEMERYGCVRDIVALNSLLSAICRDGKTIDAKEFLQVAKSDIRPDADTYAILLEGWENEQDAVNARQTFEEMVDEIGWDPNNFPAYDSLLNTLLKGSDGLKEAMKFFESLKDRRCYPGMRFFKTALNECVKKGDMKSAIVLWGVVKLQEGCKPDTEMYNLMISVYLNLEDDELAGRMLDDMVLNGVFPDSQSYNLLFQFLINTNKVQESELVFTEMIKNEFVPTHDNCCMAVRVFVEGGDPYTAIKVWKCTVENYKTDLEETGNILISGLRDHNWLPEAAKYAEDIIDRGIKLTSDTLSKIRQGLAKAGKGPVYDALWKKWSLHQVSF >cds-PLY62378.1 pep primary_assembly:Lsat_Salinas_v7:8:113482061:113482921:-1 gene:gene-LSAT_8X76800 transcript:rna-gnl|WGS:NBSK|LSAT_8X76800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGSMAHKRLGTIADRASRSSDVLRNNGMFDGIGKIACRNRHEKKSCDHSYDKKEIPNEGRLPDATKGNDHLREVFVETMGLEGIDIVTLSGGHTLGGAHKEISGFEGPWTSTPLIFDNSYFIELLAGEKKGFLKLPTDKALLEDPIFRSLVEKYAVDEDAFFVDYAVSHMKLSELG >cds-PLY79983.1 pep primary_assembly:Lsat_Salinas_v7:9:44868942:44870207:1 gene:gene-LSAT_9X40640 transcript:rna-gnl|WGS:NBSK|LSAT_9X40640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFLNPFACFAISEKVADCASEDGVGSYSTTEATPTTYVKKFSWEEIKKHSRNFSKVIGSGGFSTVYLAQLPDSGFTAVKIQSACTERLAEIHDQELQILLRLKHPNIVKFLGHCDDREEERVLLFEYASKGTLHDTLHGCNTQTLTWKARTSIAFQLAEALEYLHGMQIIHGDIKASNILLDDQENCKLCDFGSAKLGFTSMVLPPSSTKRNRMIMGSQGYIDPHYMKTGLVSKKNDIYSYGIVLLELITGREAFSLERKETLVEIIGPVVRGVVGVEEVVDPRLRGADLKEVKAMVSMAEMCIGSSPMVRPCANEIVASMRDNFESISHL >cds-PLY64588.1 pep primary_assembly:Lsat_Salinas_v7:6:37616425:37620094:1 gene:gene-LSAT_6X27140 transcript:rna-gnl|WGS:NBSK|LSAT_6X27140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVTGNSARRKERKKKRRGNHKPNPFSVDYHNPSASQNNETIFFVLKDPTGHDITQRYNLGRELGRGEFGVTYLCTDLGTGERLACKSISKRKLRTTVDIDDVRREVEIMKHLPKHPNIVTMRDTYEDNDAVHIVMELCEGGELFDRIVARGRYTERAAASIMRRIVEIVQMCHSHGVMHRDLKPENFLFGNKKETAPLKAIDFGLSVFFKPGEVFNEIVGSPYYMAPEVLKRNYGPEVDVWSSGVILYILLCGVPPFWAETEQGVAQAIIRSVINFKRDPWPKVSDNAKDLVKKMLDPDQKRRLTAQQVLDHPWLLNTKKAPNVPLGDAVKSRLKQFSVMNKLKKRALRVVAEHLSVEEVAGIKEEFRMMDTGNKGKINIEELKIGLQKLGHQITDAELQTLMESADVDRDGTLNFGEFIAANVHLKKMANDEHLHKAFNFFDKNNDGYIEVDELRHALSDEGDVNNDVINAIMHDVDTDKDGCISYEEFVAMMTAGTDWRKASRQYSRERFNSLSLKLMKDGSLKVTGEGC >cds-PLY82930.1 pep primary_assembly:Lsat_Salinas_v7:1:17065967:17067202:1 gene:gene-LSAT_1X15241 transcript:rna-gnl|WGS:NBSK|LSAT_1X15241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPPLTKPESKEDADYNKICEEHRHLIEIVPKGNGWMEKHLYNYNGFWFHPNYIKNHLLLHIYFKSQPTDIFLASFMKTGTTWLKALMFATINRHRYTLSDHFLLHHGPQGAFPYLDIESYPPTDFTHLPAPRMFATHYPRTLLPPCITSCKFVYICRDPKDVLISKWHFMSNQRSKDLPPFSLDEAFELFCQGISDFGPFWEHVLSYWRASLESPDKILFLKYEEMMKQPEVALRNLAAFMGKPFTAEELEKGVVEKIVELCSFENLSNLEVNKKGVVKFGKVFEVENRLFFRKGEIGDWKNYLSVEMKQRIDEITDEKFKGSGLILES >cds-PLY94139.1 pep primary_assembly:Lsat_Salinas_v7:5:35323201:35324429:1 gene:gene-LSAT_5X17161 transcript:rna-gnl|WGS:NBSK|LSAT_5X17161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSSFKTCTSMAVDGYQDLFLQEGRKVESIQHIVMDFNLDKLLMSLLSYLFHNMKTHKTLCLFGLLDMSSGVLHFCPCYTGNIFTGIKLLGNGNLRNTPPEPNFYITISFAPSSEKQTRQSTTTTTSSDAWNCLNVVGSNVRVNMLVDHFKMVVDCFFVVWFVVGNVWIFGEQSYSVDAPNLYRLSIVFLAISCIGYAMPFILCGMICCCFPCIVFILGIREDMNQIIGASQDSINAFILQHPSNQTFHQTPGMQLRSCTNPCKIQFK >cds-PLY99127.1 pep primary_assembly:Lsat_Salinas_v7:2:11668756:11675958:1 gene:gene-LSAT_2X5021 transcript:rna-gnl|WGS:NBSK|LSAT_2X5021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCTRVSIKKLFAPSFRKSSATEFGRKEILKEWRLGITVIKEMKEEGYPVNPTSVQKQIMNALHLGERERASSLLSHIGYNNHVLKPNNFLKILEYCASTPDPPFVLEIWKTMEEKGVDINNECHILMIQALCKGGYIEEAFNLMSNFEESPDLYPSLNKYNTILEASAKLKSATHASKCLDLMDHDMVGKNETTYTELLKLAVLQKNLPYVHNIWKEYAKYYNFNFISLREFIWAFTRLGDVGSACEALRHLVDLVFRGGFTIKENAEGKLVISRLDVPIPFYSNLEWDRCQTVSNVTSVPSIYENNNKDHIKIGGFDLKEVKHVGRNSNIGVVMRILRLSFADVIQACAREKNHELAEQLFVQMQNLGIKPSRGAYDGLIRVLLQEKGFHDGMKVVKLMQERNMKPLDSTLASLSARCSKDLELNLAESFLSEMSQCTTAYPYNQLLGACDTLDEPERGIQVFGKMKKLKVAPNITTYELLFSLFGNVNAPYEDGNNESRAEAAKRINAIENDMIRNGIQHSYVSIRNLLKALGSEGMISEIEHYLHVAENQLTTPGAPIYNIVLHSLVEAKEGQKAINEFKTLMSHGYHPNDVTYNIMIDCCTITGSLKSAQAFIAMMFHHGYPPQTQTYTSLIKLVLELGDFDEALVLLNQACSEGIELDALLFNTILRVANWKDRIDIIEYVMDRMHQERVPPDPDTCAHVFSAYVNRDCFTTAMEALQVMSINMLPQQDIQKYKTIFEQDFIYAEDSEADLRALNLFKDSNEIHAVALFNLRWCAMAGNQISWLTGQSHWVQQLAATNRSST >cds-PLY99615.1 pep primary_assembly:Lsat_Salinas_v7:5:241980362:241983147:1 gene:gene-LSAT_5X119240 transcript:rna-gnl|WGS:NBSK|LSAT_5X119240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRSFAVLVSMTLSTSITAREGSIPMAAYQIYLEVWLDLSLLTDALALAGQDTRAQGKGKIARLQRRLLIVEVEKKIMQFQTCIDQGLEKDGQCMYGLILYTLDRLYRAVERHIKATGEWQSAHIWEVLNGKKESDDETKVTVSPSIGPVLFGHTARVWDCCMSKSISPPLPLLYLYSWFAYSLY >cds-PLY73970.1 pep primary_assembly:Lsat_Salinas_v7:5:105957384:105957656:1 gene:gene-LSAT_5X47841 transcript:rna-gnl|WGS:NBSK|LSAT_5X47841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLVVDLVSLVQHYISSAIIFQERLTSAKVPYMTQKHTYLYSIVPHVVAISMPHRGDQVKSCFTTCRTLPPRVSVTRLIPHCGESTTTLW >cds-PLY62362.1 pep primary_assembly:Lsat_Salinas_v7:8:113248815:113249459:-1 gene:gene-LSAT_8X77240 transcript:rna-gnl|WGS:NBSK|LSAT_8X77240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPKGVAMPYAKGKRLIKWQKCILIFGLILLLMIDSSSDKKDIPWLYFISSTSLVMSITSLLFRWREEPMISF >cds-PLY62248.1 pep primary_assembly:Lsat_Salinas_v7:5:165355370:165357499:-1 gene:gene-LSAT_5X73100 transcript:rna-gnl|WGS:NBSK|LSAT_5X73100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQCTIELIQDISVLLIRSNKKLLRFSVLLIGLGEESGAGKSMTTIFYGASDRKWSQMLLEIFGCNF >cds-PLY98777.1 pep primary_assembly:Lsat_Salinas_v7:7:24255003:24257709:1 gene:gene-LSAT_7X20320 transcript:rna-gnl|WGS:NBSK|LSAT_7X20320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMADSEKQVGAAVAMVVEEEEKERLIERVPVLDFDILCSTVAMKTNQGKWNKLEENYEEDGGEFGGGVFRMWEGDLLDCYDDRRLVLQSSFCPWYRFGENMRLAGFGSCFLQGFMYAILAGIALCNIVAFAVTKKHCFLYLGVFFALSLGTYMGFYRSKMRNKFNIKGSDGSLDDCVSHLICPCCTLAQESRTLEMNNVQDGTWHGRGDTMCIGTYVEGVKAFELIPPTIISIDSPKPFYMPKNTIVAQDSQPRV >cds-PLY98259.1 pep primary_assembly:Lsat_Salinas_v7:7:172127301:172129333:1 gene:gene-LSAT_7X102620 transcript:rna-gnl|WGS:NBSK|LSAT_7X102620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRSFLSRRTSSRSKSFKELTTLSKPPEINIAAVPGDDDVVGEQNGGENNGRDSWSNMLPELLGEIIKRVETSDDRWPLRRNLVACGCVCKSWREVTRQIVKPPVHSGKITFLSCLKQPGSRDSPIQCLIKRNKKNSVFYLYLAATPSFTNKGKFLLAARRYRHGAHTEYIISLDPDDSSQGSNAYVGKLRHNDDFTLHRSDFLGTKFTIYDSQPPNNGAKQLSSKSVRRIANKQISPQVPSSNFEIGETSYKFNLLKSRGPRRMVCSLTSTSPDQQFDSGQTQTLLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATLDPSQPGGKGDGETVLLQFGKVGDDMFTMDYRQPLSAFHAFAICLTSFGTKLACE >cds-PLY62683.1 pep primary_assembly:Lsat_Salinas_v7:4:336434428:336436511:-1 gene:gene-LSAT_4X167001 transcript:rna-gnl|WGS:NBSK|LSAT_4X167001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLADENAILKLEKISFIGHSLGGLISRYAIAKLYTHNQTYQDRSRKIVGVEAINFITVATPNLGSRGHRQVPMSCGVRGLEKVGYHSSVVVRRTGRHLYLKDKANGQRQTPLLVQMANDSEHLKFISVLQSFKRRVVYANVHSDPLEIDLVGWSTSSIRHQIQLPKPKTHKTKTATATATASMEGSNQFGCETTESFSNGTIFSLVFHVSRNTEGEIVDGAI >cds-PLY70208.1 pep primary_assembly:Lsat_Salinas_v7:9:1822895:1824500:-1 gene:gene-LSAT_9X3620 transcript:rna-gnl|WGS:NBSK|LSAT_9X3620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMEISKITLEIFSKLEKKWLSHCEGSKKTRVLSIDGGGTTGIVSGASLIHLEDQIQAKTGDSNARIVDFFDIIAGTGIGAVLAVLLNADDGNGRPLFTARDAVKFVNDRRTELFKVKTVGVFRRRLMFSSKSMDRILKEVLTRDDGKVLTLKDTCKPLLVPCYDLNSSAPFVFSRADASESASYDFELWKVIRATSADPSMFKPFPLTSLDGKTSCLAIDGGLVMNNPTAIAVTHALHNKRHFPALTGVENLVVISIGNGLLSISPKRKLDRHGRCLNSSVVDIALDGVSETVDQMLGNAFCWNDSDYVRIQSNCYTKGSVGPTLEEVLMERGVESLPFGAKRLLTETNGERIESFVQRLVSSRRSSLPPSPCKDAAVSVTPLVHGR >cds-PLY80735.1 pep primary_assembly:Lsat_Salinas_v7:3:84654734:84655372:1 gene:gene-LSAT_3X63860 transcript:rna-gnl|WGS:NBSK|LSAT_3X63860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANTFVGFEERAVDALREGKCIPNGPTPPIYFIGPLIVGGNHVDPSENECLKWLNSQPSKSVVFLCSGSQGVLKKEQLKEIAIGLEKSEQRFLWVVRDPPPDDKKTDSNSGGGKEVGLDAILPDGFKGRIGDKGMVVKNWAPQPAILSHESVGGFVSHCGWNSVLEAVVAGVPLVAWPLYAEQKMNRVYLVERIDTRETEILIQVRLRGKNI >cds-PLY63372.1 pep primary_assembly:Lsat_Salinas_v7:7:150277575:150279292:-1 gene:gene-LSAT_7X89880 transcript:rna-gnl|WGS:NBSK|LSAT_7X89880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDETENLSEAKRTTVGPPSVLEYLDPNYWNERFTSEDHYEWLKDYSHFRHLIQQHIKPSSSVLELGCGNSQLSDELYKEGITKLTCIDLSSVAVEKKKKQLLSKGYNDIKVLEGDMLNLSFDDEAFDVVIEKGTMDVLFVDSGDPWNPKPEVVKKAMAMLRGVHRVLKSDGIFISIAFGQPHFRRPMFNAHEFTWSIEYTTFGDGFHYFFYILRKGCRLLDVDEDVKKVDAHCLNLYQDELEDEDYLFRTNIDDVDDR >cds-PLY91415.1 pep primary_assembly:Lsat_Salinas_v7:3:56387898:56389412:-1 gene:gene-LSAT_3X43740 transcript:rna-gnl|WGS:NBSK|LSAT_3X43740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:APO RNA-binding protein (DUF794) [Source:Projected from Arabidopsis thaliana (AT1G64810) UniProtKB/TrEMBL;Acc:F4I896] MEPVLHLGGLSPTILGSFSTHKHHIAYNWSHQSHNLHTNDRISSLFFEKCHQFQRKHKLHCGKKSRTSIVACFHPVRDTRRNKKCLTHPQNTDLPPVLPKQKKKPFPIPLKKILETSRADKKLAEKGIEKLLEPPKNGLLVPDLVPVAYEVVDAWKILIKGLSQLLHVIPVHACSECGEVHVGPTGHEIQTCHGPNSANRRSFHLWVKGSITDILLPIESYHQFDPYGTRIKHETRFDYDRIPAVVELCIQAGVELPEYPSRRRTQPIRMLGKKVIDRGGTIEPPKMPPHSEVLELDTHRALERFSCPAESDVVGIAHSTLNAYEKVRWGVGKLMRKYSVKACGYCSEVHVGPWGHNAKLCGEFKHQWRDGKHGWQDATVDEVFPPNYVWHVRDLKGPPLKSLLKRFYGKAPVVVEMCVQAGAVVPRKYRPMMRLDIVVPDNEEARLVA >cds-PLY96033.1 pep primary_assembly:Lsat_Salinas_v7:3:194604437:194604950:-1 gene:gene-LSAT_3X115920 transcript:rna-gnl|WGS:NBSK|LSAT_3X115920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIKRTHPHSFCYLLKSIFFHSADILRKELEHKAFLTSSRAFAAKKAKSLGAKAGNKKAQPKCKAGVKKNLKQEEAIGSSIDDAAHDLISDERNQRRLLDEDKRDMSLDIGPNGRPLFTSVASLFELSRKDTYT >cds-PLY74139.1 pep primary_assembly:Lsat_Salinas_v7:9:12010721:12012099:-1 gene:gene-LSAT_9X8480 transcript:rna-gnl|WGS:NBSK|LSAT_9X8480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKHPSIPTSLLLPAVVLMLFLAPIVTESVARPGFVYTRTRGRCTPQYWSSRREAWPRMIPQGSSVSKVFGSRAYERYRYDLTLLEATSRNDDGENVYARLVKESTAALINSYSRKGYPYSAWEVKTAVIQGIVSDQAAAVQAQRFYDANRACN >cds-PLY75820.1 pep primary_assembly:Lsat_Salinas_v7:3:69258050:69260458:-1 gene:gene-LSAT_3X56380 transcript:rna-gnl|WGS:NBSK|LSAT_3X56380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIAKTPNCRTSPVVGVWAEVFPPFLPKEMEKIKDPFARRLASRIERLPVELSISNTCVMSSCVKPKIKDTTNPLVLLHGFDSSCLEWRYTLPLLEQAGFETWAVDILGWGFSNLEKLPLCNVESKRDHLYQFWKSYIGKPMLLVGPSLGAAVAIDFAVNHPEAVDKLILLDASVYAEGTGSLSRLPKFVAYAGVSVLKSLPLRLYATSLTFNSLPFNTCLDWTRIGRLHCLLPWWEDATVDFMNSGGYNVTSQINQVLNKTLIIWGEDDQIIDSKLAVQLHCELPNAIIRQIPKCGHLPHVEKPDDVANLIKDFIETKNVPVLLKKEEKKVLVPQFE >cds-PLY78702.1 pep primary_assembly:Lsat_Salinas_v7:9:47821956:47825396:1 gene:gene-LSAT_9X44001 transcript:rna-gnl|WGS:NBSK|LSAT_9X44001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPTTGRHPTLLLLRPPYEPPFCPNHMARLEKDNNTSPFTDLSPYVPIYPLFHDPEDIVNLIVESGVVPALVRHLQVPQSPEEVVTGTIPYEHEVEKGSAFTLGLLAIKPEHHQRIVDDEALPHLVGLLKRHLDGQSSRALNGAIRKATDAITNLAHENSSIKTLVRVEGGIPPLVELLESSDAKVQRAVARALRTLAFKNDENKNQIMECNVLPTLVLMLHSEDVAIHYEAVLHKGATIGDMTVTVTLAPNYHVPLDATSTARGVTEGEESVMSKAEDVISNMLLKGFILGKDVVSKAKSFDEKIGLTKKVTTGTSMVN >cds-PLY82082.1 pep primary_assembly:Lsat_Salinas_v7:8:52257133:52257951:1 gene:gene-LSAT_8X38840 transcript:rna-gnl|WGS:NBSK|LSAT_8X38840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLYSGSSSSVDAEDNAFYQELTRQILTLTDEDDDDTGMYTKGVAVIRRRPVVYGGVESRPVARNYCSWSETVRSPVPSWMENLWANGGGGTGVFIPNGVAAYGGGGKKSGRRRKSSHGNLITPSSKPTLEDIPTPFFPFPFNKKLA >cds-PLY94590.1 pep primary_assembly:Lsat_Salinas_v7:8:181902805:181903692:1 gene:gene-LSAT_8X119321 transcript:rna-gnl|WGS:NBSK|LSAT_8X119321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFLTCIDQGLEKDGQCMYRFILYTLDHLYRAVDRHEKATGEWQSLREDINNLVKPNLVTTEPVLFDILLVQCSYLGSS >cds-PLY98864.1 pep primary_assembly:Lsat_Salinas_v7:5:19650948:19651214:1 gene:gene-LSAT_5X9760 transcript:rna-gnl|WGS:NBSK|LSAT_5X9760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQDDSFVIFATSDYDALLRFVQLDLVGIRQLYVMEDVDEPMGDSVGGGDTGVNGAGAGGGIVKNVEEGAGQNVEEVASVKDELVGGI >cds-PLY86169.1 pep primary_assembly:Lsat_Salinas_v7:3:242297310:242301939:1 gene:gene-LSAT_3X135321 transcript:rna-gnl|WGS:NBSK|LSAT_3X135321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP:RNA uridylyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT2G45620) UniProtKB/Swiss-Prot;Acc:O64642] MVGGGGDAPPPPPINGGEFLLHLLKNPPQHPRSHSQPPPPPQPSQVLPHDPAVAAVGPSIPFPPQSFPPHGADYLSPSRSPLFPPHNYFNQGLGFPQNPNPNPNLNPNLINPNPNWHLNFMQNHHQVNNQGVFDDLSKLGLIYGNNQQQQQDRQNKFIFGTLQSDIQSSKVSRNGNLDYDLANIEKHLMKERELSMGNSRLNGSEVDLHRNAELMQNLQISSLEFGNYGSKGASSQQQVRRIPPPGFSSNPRSVGKRNMESSMDRGKGNHRDVISSGERLHTGERRGLIQQFDDPGPSRGSHLHSVPATDVEESLMALHAMDEKKSRNNDIDSRELDECDEHLDNLLLEDGTDEKSDATKGPKSRDKDYRSDKRGQWILNQRMRNYRSRTECRGDIQRLNASFLAIYESLIPPEEEKEKQKQLMALLDKHVTKEWPEARLFLYGSCANSFGFRKSDIDVCLAMGDADIDKAEILLKLADILKSDNLENVQALTRARVPIVKLMDPTTGISCDICVNNLLAVINTKLLRDYSKIDIRLRQLAFIIKHWAKSRGVNETYQGTLSSYAYVLMCIHFLQMRTPSILPCLQEMETTYKINVDNVECCYFDKVEKLEGFGSRNGESIAQLVWAFFNYWAHSHDYANDVISIRTGSLVSKRAKDWTRRIGNDRHLICIEDPFEVTHDLGRVVDKRSIRVLREEFERAAEIMQYDPNPCVKLFEPYIPT >cds-PLY93179.1 pep primary_assembly:Lsat_Salinas_v7:8:259058382:259060108:1 gene:gene-LSAT_8X151500 transcript:rna-gnl|WGS:NBSK|LSAT_8X151500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPHILDAKAGEDVLGKLMWFSQNSTRAVCILSANGAISNVTLQQSATSGGTVTYEGRFEILSLCGSFMVCESDGQRSRTGGLSVSLSGPDGRVLGGNVAGLFTAASPVQMIVGSFVPASQKQRKTEAKIVNTTPVNVGTTSGSSGGGIGSPLVHSNNSNPQGPRNLKEQHMDDPSARYAI >cds-PLY79240.1 pep primary_assembly:Lsat_Salinas_v7:9:182350517:182353145:-1 gene:gene-LSAT_9X111560 transcript:rna-gnl|WGS:NBSK|LSAT_9X111560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVEGNKQTILSNDQKNTTDKKSNPKLTLLPLIALIFYEVSGGPFGVEDSVKSGGGALLSLLGFLIFPIFWSIPEALITAELATSFPENGGYVIWISSAFGPFWGFQEGFWKWFSGVMDNALYPVLFLDYLKQSLPIFDQLYARIPTLLAITILLTYLNYRGLHIVGFSAVLLASFSLLPFAVMGILSIPKIRPKRWITLDFKKVQWRGYFNSMFWNLNYWDKASTLAGEVENPSRTFPKALYGAVIVVVCSYLIPLLAGTGSLESDSSEWSDGYFAEVGFLIGGSWLKWWIQAAAAMSNLGLFEAEMSSDAFQLLGMSEIGMLPSIFASRSKYGTPTISILCSATGVIFLSWMSFQEILEFLNFLYAIGMLFEFAAFIKLRLKKPDLHRPYKVPLKTFGATMLCVPPATLLVLVMCLASLRTFLVTGGVVALGFCLYPFIIHAKNKNWAHFVSIDDVKLNDCDVEDDENVMRHVADEAGVRLLSDSSSSSSMEKVSEILPEGGENL >cds-PLY64144.1 pep primary_assembly:Lsat_Salinas_v7:1:814956:822171:-1 gene:gene-LSAT_1X581 transcript:rna-gnl|WGS:NBSK|LSAT_1X581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNNPQNSGAQSLRPPAIGSIGSQSFGTNFSIQFRPVVPSQQGQAHAFMQSGSGSQQYRPQFSQPRPGQPPSSQAMPMSSYMQQQPNMPMSSSSSSSSSSYTFTAPSFGTNVSSQFQMQTPVGGQPWPSSGNQGPLAVAPMPQTGHQPTNQQALNVLHSSSQEASSDWQEYTAGDGRRYYYNKNTKQSSWEKPLELMTPLERADASTVWKEFTTAEGKKYYYNKDTKQSKWTIPEELKLAREQAEKEASRSSQTEMVTNPNAPAISTPSALDQSSVNDISSTIPRVASSPAPHNVGSESSSVAIPLNPAVVAASMIPPNLHSSPMKMEDSSSHGVPKTLDGVSTHDTEEAKKGMAVAGKVNTTQIEEKVVDDEPFIYASKQEAKVAFKALLEDANVEADWNWEQAMRVIINDKRYGALKTLGERKQAFNEYLMQRKKLEAEERRLRQRKAKEEFTKMLEESKELTSSMRWSKAMAMFEDDERYKAVDRPSDREDLFQNYLVDLQKKERAKAQEEQRQYRSEYKQFLETCGLIKVDTQWRKVQDRLEDDERCSRLDKIDRLEIFQEYIRDLEKEEDEQRKIKKEHIKRVERKNRDEFRKMMEEDVNSGTLTAKTQWRDYCQKVKESVAYQAVASNTSGSTPKDLFEDVAEELEKKYHDDKTRVKDAMKLKKVSVVPTWTFEDFKAAIEDDIISSLSDINLQLVYEDVVERAKEKEEKEAKKRQRLTKEFTDLLYDIKDITASSTWEECQPLFEETSEYRGIGDEGVAREAFEEYVARLVDKAKERERKREEDKVRKEEKERRKEKERREKEKEKEKRLKKDKDDSDSENLDMMMEITTTTTKEDKKRDKKHRKRHHHQQQQQDDNDDDATSDKDEREDLDSSSKKSSSRRHGKKSRKHASAYSPESDSRDSESKHKKQKRDSRKNGNGGGEELEDGELGAGEDGEIQ >cds-PLY97420.1 pep primary_assembly:Lsat_Salinas_v7:4:16653678:16653998:1 gene:gene-LSAT_4X10481 transcript:rna-gnl|WGS:NBSK|LSAT_4X10481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIAKVIREEQAGRFHEFGVSDEDDFRFSLDLSEGVSAKEIDSRGWTVFPLFNHDLLIKDEVKSKDNEIHASDSITSSLRKLLIDEPEESSSCSSTEADELEVGTV >cds-PLY78629.1 pep primary_assembly:Lsat_Salinas_v7:4:150826517:150828154:-1 gene:gene-LSAT_4X92641 transcript:rna-gnl|WGS:NBSK|LSAT_4X92641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFFNWMQSKLNGGQEHKKSHTVTSTTLHVKQDSQKEEFNDWPHGLLAIGTFGNNDIPTENEEIEDNTEVTASSSPDLSDFTPEEIGKLQKELTKLLSKKPAANKQGEISADLPLDRFLNCPSSLEVDRRLSTTVITNQDDKEEDIDRTIRVILGRCKDICMENSKKAIGKKHKSISFLLKKMFVCSSGLPPMPSLQDRLPESRMERLLRAMLKNKINPQNSSRASSTRKLIENRQSPRKGKGKAIEKQEDDANDGSKWVKTDSEYIVLEI >cds-PLY68183.1 pep primary_assembly:Lsat_Salinas_v7:8:118975672:118979343:-1 gene:gene-LSAT_8X82761 transcript:rna-gnl|WGS:NBSK|LSAT_8X82761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVSDEVTTVVYGGVRFLVVGKEGVMFLAVVDIDKAEILLKLPDILKSDNLGNAHAILLKGKLALTQSHVPIMRLMDLTNVVINTKLLCDYSKIDVCFDVHYVKECVPVTDAKRGEQK >cds-PLY88986.1 pep primary_assembly:Lsat_Salinas_v7:8:242278160:242278807:-1 gene:gene-LSAT_8X144641 transcript:rna-gnl|WGS:NBSK|LSAT_8X144641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEDMVSLDPIEFHSEEEPYKNRIDSYQRNTGLTEAVQTGRGQLNGITVAIGVMDFQFMGGSMGSVVGEKITRLIEYATKEFLPLIIVCASGGARMQEGSVSLMQMAKISSALYDYQSNKKLFYVPILTSPTTGGVTASFGMLGDIIIAEPNAYIAFAGKRVIEQTLNKTVPEGSQAAEYLFQKGLFDLIVPRNPLKSVLSELFQLHTFFPLNQN >cds-PLY68908.1 pep primary_assembly:Lsat_Salinas_v7:2:193145254:193149098:-1 gene:gene-LSAT_2X113761 transcript:rna-gnl|WGS:NBSK|LSAT_2X113761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFTHAHTRRSANLSSEMENSAANQLPEADSLPDGFVDSSAEPVTASVPTAPDQEDDVTDYKEEKLVEVESRPDLIVNDFQSCEGDNGSSEPSRTFPVELSEYVSCGEDAVKSDAGSPNVVSENVVRKPEQGMAPVTDGDKQKHAGETCQNSEKLTEQGVETQAANSQGTLSSQSSGTSRKDTSEVKRKSSKRTFKTEKEFLEFTLSYQKVLSERDAAITMRDKLESLCRELQRQNKMLMDECKRVSTESQNLRLDLSNKFQEAIKVSSVHALRNQLKQKEEHNALTVQQYTQQLKQKSLELQIADLKLQQHEEKLVKEQSQMKMYAEQVSQLLATEKNLRLQLTADGEKFQQFQDALVKSNEVFETFKQEIEKMGKSIKELKKENSFLKGKSEKSDMSLIQLVEERERMKKQLEKTKNQKEKLESLCRSLQAERKQHNPTTPTPTPTAADDDSLPV >cds-PLY96289.1 pep primary_assembly:Lsat_Salinas_v7:6:157706808:157707266:1 gene:gene-LSAT_6X95500 transcript:rna-gnl|WGS:NBSK|LSAT_6X95500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDLNSVLVNDESNDIVSTGANTSHGYPMGLGFGATKRVKALRNGDEGNWWRILITNMVGITSAPPVKCEKSSVGKKKKKKKVKELMKSENGDFGSRKQRLLLKLNYDAVLNAWSDRGSSLSEEISQSTSPGDDVHVCSLFLPITKYFLIKY >cds-PLY99594.1 pep primary_assembly:Lsat_Salinas_v7:5:241374707:241376068:1 gene:gene-LSAT_5X118900 transcript:rna-gnl|WGS:NBSK|LSAT_5X118900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNVFIVLTPLIHGIVKLAGLTPQTIEIEPGTLMNIWVPKEIVTKYDGKIVYVPPTKPAVLLLHSFAMDGIFTWLLQVLALTREYSVYVPDFLFFGGSITDRNERSASFQAEFVAKGLKKLRVENVTLVGLSYGGMVGFKIAQLYPNLVKSMVMSATVTELTESISLDSYDLTMFSNRKERNELLDCLVVPDTDVTSDPDYSQAIHMLWGDDDKIFDLDLANTTKIRLGEKATLDWIKDVGHLVSLEKPFTYNKRLKSIIECVNQ >cds-PLY70457.1 pep primary_assembly:Lsat_Salinas_v7:1:76672422:76674838:1 gene:gene-LSAT_1X63920 transcript:rna-gnl|WGS:NBSK|LSAT_1X63920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNKHLQYSSILIVLIIVFCAPFAISQEVDDESEFTYDMNSPNGPDHWGEIHPEWRLCKKGKLQSPIDLTHKRVHTTSKLGKINRDYKPANTTLINRGHDLMLRWVRGAGHIHINKTKYQLNQLHWHTPTEHTINGRRFNLELHLVHQSKNRRIAVVGILYKIGRRDSFLSKLEPYLKALCSKRKVEKRVGIIDPRKIKIGSKKYYRYIGSLTTPPCTENVVWTIAKKVRTVSRAQVRLIRKAVHDDAGANARPLQPLNNRLLKLYRPDDH >cds-PLY97880.1 pep primary_assembly:Lsat_Salinas_v7:4:90351616:90361489:1 gene:gene-LSAT_4X60181 transcript:rna-gnl|WGS:NBSK|LSAT_4X60181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGAYSSIFTASHEFTNILGANSSLSSFPTNFLFGTASSSYQFEGAYLTHGKGLSNWDTFSHKPGNIADGSNGDIAVDHYHMYLEDIELMEYIGVNTFRFSISWARILPKGKFGDVNMAGIRHYDNLINSLLGKGIEPFVSLTHYDIPQELEDKYGGWLSSQVQKDFAYYANICFKYYGDRVKYWVTFNEPNVVAIRGYRSGIYPPARCSGSFGNCSSGDSEREPFIVAHNMILSHAAAVDIYRTKYQGKQNASYIGIVMNAVWYEPISNSSEDRLAAQRAQSFYMNWFLDPIIFGKYPQEMKDILGSLLPPFSKDYFKKLKNGLDFIGVNHYTSFFVKDCLHSTCEQGPGISKTEGYYLRTALKNNALIGESTALDWLYVYPQGMEKMVIYLKNRYNNIPLFITENGLGVMNQPDSNIDSCLNDEKRIEYMKSYLDALLSAIRKGADVRGYFAWSLLDNFEWLSGYTIRFGLYHVDYVTLKRIPKSSAKWYKQFISNFTSFEEDATSYHMLMKQ >cds-PLY73110.1 pep primary_assembly:Lsat_Salinas_v7:9:22422148:22423391:1 gene:gene-LSAT_9X20601 transcript:rna-gnl|WGS:NBSK|LSAT_9X20601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQADSESLVLVCGGGDGGGDRKIACETLADGRGYAPEDLDIPHSTVVEVTPDFPPESFWLSKDAEFDWFDRNAFLERKESTKGNSNSMNLNPNVNPSHSNSNSQRYSMTLKSKPAIIGLPKTQKMTYVESKRRQCKPANIRLFPKRSNSVGKALTTVLVTEPSSPKVSCIGRVRSRRCRSRRKSSAQANQPEKSASQRSGTSRSHKAGFMSRITSLFRSEGHRRKKNGKSSEKVIEPAENSVSRKIYVTVKPVNSEPETPSAPPALGGMMRFASGRRSASWGGSDDEEEVAARHSLDSGRRGL >cds-PLY70497.1 pep primary_assembly:Lsat_Salinas_v7:1:76663983:76665178:1 gene:gene-LSAT_1X63900 transcript:rna-gnl|WGS:NBSK|LSAT_1X63900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMAATAAADEDDDHSSEDESSLEIPAKTTSQPRPIPRSVSYGAFLATSLNFPSRTKGLMQVYAHRKLLQDGGGSQAVYWQWLGWMMVAIYMGGRIPQIAINIKRRSVEIIMQYVYYRYFMKPDLNTNDE >cds-PLY74677.1 pep primary_assembly:Lsat_Salinas_v7:5:177869405:177872707:1 gene:gene-LSAT_5X79220 transcript:rna-gnl|WGS:NBSK|LSAT_5X79220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLSYFNEFDDINAINEEDEINYHQTASVEDDFMSSDDDYCSTDDDNTAFGKVDIMAEHNLRDFPQVENIDQSIQVPEHSIDDVSFPKV >cds-PLY99920.1 pep primary_assembly:Lsat_Salinas_v7:7:15834552:15836705:-1 gene:gene-LSAT_7X12861 transcript:rna-gnl|WGS:NBSK|LSAT_7X12861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGFQARNRQNAAASPAPTSTDPSLPSTSSAAAAVGEIDTAAPFESVRAAVTLFVEASPKVDKPVIKKPRPNLEESVLGKEAQLHWIHKEIDKHKEYVKTAEATKEKALRDLDKANKTLQELTNKLEAASESKHASIQETETANIRAHELEQLKSTENQADDEARQETINNERNQYKVAANELIATKQELNNLKREFDSALEAKLAAVQRAAEAQHATQINRKKIKQLSKDVVDMSVTLERVKFASDKAEEDYANLMEGKETLLESKKNAKEDTDLKIQNLRKESEEDPGNIEKRLEETTGAINVLEEQLKEVRAADMELLSNANSELDDAKKRLDETKEEETSLRDLVESLKHEVEDVKRDIAVSREEEIKREQLQGELDKIELEVKDAITEKTKATNEVDELEIKIREMLLEAEKARKEEEEIKRQVENLWRDAQWSEVEVKEAESKLEVAEREVEEAKAAQELANDQIRKRSCTEELTDSKSDNMIMLSIQDFEALNKKSEEAIIEADTKVETIMAEVETIKENERGILEKLEKSKEEQKEIEEQISKAEKTAEVADAARQTVETELNKWREETKGS >cds-PLY91056.1 pep primary_assembly:Lsat_Salinas_v7:2:55012304:55014026:1 gene:gene-LSAT_2X24761 transcript:rna-gnl|WGS:NBSK|LSAT_2X24761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPPNSRFDNGGGGGGYDSNDSKGFSSCPLVIPLHPPPGDTSTERTVQIDGSKLSAGAAAGGYDYYNQQQAPQTQPPGGTVAAANGSGYGINC >cds-PLY84736.1 pep primary_assembly:Lsat_Salinas_v7:5:230630582:230633022:-1 gene:gene-LSAT_5X111001 transcript:rna-gnl|WGS:NBSK|LSAT_5X111001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLGHSISLVGKNRSGIARFQATTLGRPQGFRSNAALESLTKAREERTQNLVLYNYPSFSGAFSALFAHLFHRHLNIPCLILPFSSVEPFRVEDLRIDGVEKYYFLDFLGPKGFAAELSRRTSCQVIGFDHRKSTLSDIHLYEDHNSNLTYHVNLEKSSSSAVYDYFCAKLSETRSNNGHNANLLNSEDQERVEMVLKYIEDGDLRRWSFPDIKEFNIGLSIWRSKLNCITNPHMFEQLMKINVMNLVAHGSATIADQESLSSKFMEKVFRVRLGRGLYGECLGVRIDGNPNLSDEIGKKLSIKSEAAGLRPIGAVVYMQGKNLKMCLRSKDSSTDTSVIAKVYGGGGSPSSSSFIIRMDEYNVWLSENNN >cds-PLY83691.1 pep primary_assembly:Lsat_Salinas_v7:4:40675977:40679256:-1 gene:gene-LSAT_4X28580 transcript:rna-gnl|WGS:NBSK|LSAT_4X28580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMFNICNCSISLFCCIGYDGSTNDWDDYSRYVNHDGVDLSHGVYGYGYAPNGPYSPAGSPMPIVVGGLAKHLRCVGIDDVVPHSKKPESRYITSCFDDKVGKKECDFKSTPTACLKGTSSYGRAYSAINSIGRYLAELPHIYEGVYKGVPKL >cds-PLY77700.1 pep primary_assembly:Lsat_Salinas_v7:9:18393051:18395518:1 gene:gene-LSAT_9X13500 transcript:rna-gnl|WGS:NBSK|LSAT_9X13500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAYAKNTNRVTLAMERTGQWVFSQDIPTDILIQVGEAKFPLHKFMLVAKSNYIRRLILESKEPDLAKLDLSSIPGGAEIFEKAAKFCYGVNFEITVHNVAALRCAAEYLDMTDQYCDGNLAGRTDDFLLQVALTSLSGAVVVLKSCEDLLPIAEQINIVQRCVEVASAKACNEANFPSRSPPNWWTEELSIVHIHFFEKIIASMKSRGAKALTIASAIITYTERALPGLVRDHSGNTIKSSISIDSASRNKQRELLESVVALLPVENQRASFPINFLCCLLRTAIFLENDDVCKKQLEKRISAMLEHVTIDDLLVLSYTFDGERLFDLESVRRIISGFVEKEKSVSVFNGGDFREVSSTAMLRVAKTIDAYLGEIAMVTELTISKFNGIANLVPKNSRKFDDDLYRAIDIYLKAHPTLDEIEREKVCSAMDPLKLSYEARVHASQNKRLPVQIVLHALYFDQLQIRSGTDEKKTPDALSMRSQVQADVSLAKENEALRSELSQMKAYITDIQHKNQVGSTSSRIGNAKKHKFFSSMSKTLGKLNPFKNGSKDTSHIDDVNDIDLTKPRRRRFSIS >cds-PLY67585.1 pep primary_assembly:Lsat_Salinas_v7:4:351943885:351946692:-1 gene:gene-LSAT_4X173140 transcript:rna-gnl|WGS:NBSK|LSAT_4X173140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISFYLPLFSFFLLFSTTTTTTAAQPYKATDNFLLDCGSSSAAISIPSGRRWDGDEDSKFINSNSAATSFSFTPSFQDPSVDQIPYATARIFNRSSFTYRFPVSQGPKFLRLYFYPAIYSNLNADQSFFSVTSNGYSLLTNFSASLTASFLSESGPKVSSFFKEFIIYVKDSQSLNVTFTPSPNSFAFINGIEIVSMPENLYFKANNLKYVVITSGPVTDSYTALENIYRLNVGGKQISGEGDTGMYRSWDGDENYIFPKNALGLTPSTQIPITYTTETPNYTAPELVYQIQRSMGKLSMSYNLTWLLPVDSGFYYMLRLHFCNIIPQYTFKGQMIFKIFINNQTAEDEADVFLWTQGSGYPIFRDYIVFVSDPDGQRSKQDLWLALHPNEESQEYLDGFLNGLEVFKLNMTGYNLAGPNPNPRPIIPPPPAASTGKPNKKKTPYAVIIGGVVGGLVVLTVLVLIVLLQRRRVKHYATADDKSSWGPADHSESKSTKSSHSTLPSDRCRRFSLTELKVATNEFDDSYIIGNGGFGKVYKGYLDNTTTTVAIKRLNQSSSQGLHEFRTEIGMLSKLRHVQLVSLIGYCDDEGEMILVYDYMAHGTLREHLYKTKKAHLSWETRLNICIGAAKGLHYLHTGANRSIIHRDVKSTNILLDENWVAKVSDFGLSKLGPRDHQEQNHVSTVVKGSIGYVDPEYYRTQHLTDKSDVYSFGVVLLEVLCARPVMIPSLPREQVSLAEWAKLCYRNKATLQQIVDPKVKGEIAPECLRKFGEVALNCLKEQRSERPTMEEVVWDLEFALQLQESASKRGGDVVSDNQELPFLMQVEPTTTDDEVFSGSSAIRNGTSSISSSFEGFKSETVFSEMQKSTGR >cds-PLY76391.1 pep primary_assembly:Lsat_Salinas_v7:8:84282332:84282640:-1 gene:gene-LSAT_8X62181 transcript:rna-gnl|WGS:NBSK|LSAT_8X62181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFAQILATVITGSSNTHQGIPFCNFPNFVSPISSPFCLWLLMRVIRNQHERGPNSYATAVTNLAQVRRVFQSSFVFLEIIRENRLNFRNMLSCRWNFLLICT >cds-PLY81071.1 pep primary_assembly:Lsat_Salinas_v7:6:132324183:132325058:1 gene:gene-LSAT_6X80001 transcript:rna-gnl|WGS:NBSK|LSAT_6X80001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSLSSSKNSSKPSKSSFSSSRDHDDQSPEKEFLTEFDSSITLADSISKRTIVIPPIPNEWNPQKQTTNIDIPIKSNDPNLEFEVDTNSAEEPIDSNISYGLNLRTKKASRSDKDPEVDRSESLSSINRLMLMKLRSDLKSLPDDRGLDEFDDVSVEEFAPALLKGYGWYEGRGIGKNAKEDVKVFQFTKMTAKQGLGFVNNGGKGT >cds-PLY98302.1 pep primary_assembly:Lsat_Salinas_v7:7:167082716:167082910:-1 gene:gene-LSAT_7X99201 transcript:rna-gnl|WGS:NBSK|LSAT_7X99201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGFTTGNRGEDTSDRFSSAVKPPTRWLSSIKGVIDMGLHLHTTDGSSSYKLNISEGDEHGDGV >cds-PLY68472.1 pep primary_assembly:Lsat_Salinas_v7:2:212859304:212861166:1 gene:gene-LSAT_2X133880 transcript:rna-gnl|WGS:NBSK|LSAT_2X133880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPVDSAGGDAAARSLPTPFLTKTYQLVDDKAIDDVISWNEDGSTFIVWNPTEFAKDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFSNDCFRRGEKRLLCDIQRRKIAATPTTQPLVPAPSPAVAAIPVLSPPLGMVSPCDSGEEQVVSSNSSRGATTNLSRETTASGGTNAELVGENERLKKENVELNKELSQMKNLCSNIYVMMSSYAKNKPSEGSTSQQSPPEPEAATATTTTNTTTTTMTPLDLLPLKRLADECLGRAGDDGDYLETTEPDEMSPRLFGVTIGVKRSREGVSGGGGAAEQYNELRLRQPGGDVKFEPLDQNDNGSSSVDNQESTWMLRQCQRSDRNMLN >cds-PLY87548.1 pep primary_assembly:Lsat_Salinas_v7:9:119042189:119042604:-1 gene:gene-LSAT_9X80540 transcript:rna-gnl|WGS:NBSK|LSAT_9X80540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVALKSSSLNLHKSPMLNLRNSPPLPATIAFRCVLPKHRHIRDAQQLLDEMPEEIMSAIPPFTPSLALRTAEKCNTCY >cds-PLY82318.1 pep primary_assembly:Lsat_Salinas_v7:1:67173717:67174627:-1 gene:gene-LSAT_1X57181 transcript:rna-gnl|WGS:NBSK|LSAT_1X57181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPEQPHLNGAFYGPPIQPKTKSYYRPGRGGGGGPSCNPLTCCCSCICSCIFNLICQILITVAIFLAVVGVIFWFIFRPNVPKFHVADATLTRFTLSPTNNTLYYNLAVNMTFRNPNRRLGIYYDKIEANAMYHGQRFSSAEVQGFYLGHKKENNVSVAFKGEQLVVLDSSDKSKYDSEKADDVYYIDLKLRLKIRFKVWFAKTPKFTPKFECDLKVPLSSKGKVLSTNFERTKCDFDW >cds-PLY72774.1 pep primary_assembly:Lsat_Salinas_v7:4:373561331:373561543:-1 gene:gene-LSAT_4X184501 transcript:rna-gnl|WGS:NBSK|LSAT_4X184501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELPPNDATHCCIAEHPDYWKNKCCKLKSLRNGQGAVCKRYKFTSLQDCEEKCSTKKCPWPFPSPPSVRY >cds-PLY88288.1 pep primary_assembly:Lsat_Salinas_v7:6:126962046:126963410:-1 gene:gene-LSAT_6X76321 transcript:rna-gnl|WGS:NBSK|LSAT_6X76321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHPFAPTSGNVKNLGDMLCTVTGFGSFSLQPNVGAAGEYAGLMVIRAYYMLELIQIKI >cds-PLY84281.1 pep primary_assembly:Lsat_Salinas_v7:1:45171624:45172629:-1 gene:gene-LSAT_1X39740 transcript:rna-gnl|WGS:NBSK|LSAT_1X39740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHIHPHHLIQTPTDIAVHSPLPPSAAPMSNQQHLDLSDFIRIGNGSWGPVYKVLHRPTATHFALKVIYGNHDDDFRRQILLQIKLLHGIDNINIVKCHDVFDRDGENIQVLLEYMNCGSLQGTHLSDESSLADLTRQILSGLHYLHRNNIAHRHIKPSNLLINSKKEVKIAISGVTRILEQTTHPCKDSVGTIAYMCPERINTDLNQGKNDGYAGDIWSMGVSILELYMGILPFEVGSPDDWASLMMAICMCPPPKAPATASTEFQDFVGRCLQRDPAKRWTAAQLLGHPFVTGEAIADISTTTTISLEGASTRIQSFDI >cds-PLY95138.1 pep primary_assembly:Lsat_Salinas_v7:1:93302892:93303131:1 gene:gene-LSAT_1X77020 transcript:rna-gnl|WGS:NBSK|LSAT_1X77020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCKTVFLPNLLGGIRDVLGDKFVVPGQKDITVVPSSSKASPSSFTGLLSVDPGSSSVLGGALGVSEDSSPVDNLPWLMN >cds-PLY77327.1 pep primary_assembly:Lsat_Salinas_v7:5:143670676:143676165:-1 gene:gene-LSAT_5X63380 transcript:rna-gnl|WGS:NBSK|LSAT_5X63380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSPKILVEYMPLESNQVEEDLEVKLRHILYHVPVRVNHTFGSSVGFGSDDFYQSGGIAKQDLFSIAQCVAVLCLVAGDHKCSFTVKMLTNILKDDSTSNSKLIFEKPPPNVRKIVLATNMDEASITINDVVLVVDCGKAKVTTYDASNNTPCLLPSWISQASARQVQNAVDFLKMIGALDVHENLTHLGKMLIMGAFFRCFDPILTIVAGLSVRDHFLLPQENKDVINPATFTQGHFGLFLISFVCLFQQASTTKSIFSAKDYSDLMALVCAYEGWKEAEREGYAYEYCWRNFLSAQTLQAIHSLRNQFIHILKDAQLLETKSGINNRLSHNQSLVSAIICSGLFPGIASVVHRETSMSFKTIDDVQVLLYAND >cds-PLY80891.1 pep primary_assembly:Lsat_Salinas_v7:8:127829668:127831689:-1 gene:gene-LSAT_8X88521 transcript:rna-gnl|WGS:NBSK|LSAT_8X88521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRVTRWLKGLFGTRKQKDSGDRKDTNSSCVGRSRRDPTISPPDKQIYITQSEEDQNKHAIAVAAATAAAADAAVAAAQAAVAVVKLTSQGLLAGGGREVSAAIKIQSLFRGYLSRKALRALKGLVKLQALVRGYMVRKQAAATLRSMEALVRAQSSVCAQKFHAMNRLQDSKERFDETRSDHTSIHSRRFSASFESSLNDESPKIVEMDPGYGRPKSRSRRANTWAWTPGYSDNMYAQTASSPHRHQSPVRLPIPSSQSHEFEWAPHLVPTRYRPVSPSKSVCTDGSSSNRSYMANTKSFNAKLRSHSAPKQRPEFGFGVKKKVPLNEMMESRNSVSFGVKMQRSSSQAQEATSFKNAIMGRLGGSSDIQW >cds-PLY89561.1 pep primary_assembly:Lsat_Salinas_v7:4:365288613:365289361:1 gene:gene-LSAT_4X178380 transcript:rna-gnl|WGS:NBSK|LSAT_4X178380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGVVIVMLAMLAMVQFLVQPSEALSCTNVDLLLGPCLNYLKFGGTPPQDCCKGLERLEAAVTTQVDRQAACNCCKLAARTFQIREDTASELPDICGVKISIHIDPNVDCSTVSLYQSYK >cds-PLY90669.1 pep primary_assembly:Lsat_Salinas_v7:6:53111872:53113543:1 gene:gene-LSAT_6X38861 transcript:rna-gnl|WGS:NBSK|LSAT_6X38861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML48 [Source:Projected from Arabidopsis thaliana (AT2G27480) UniProtKB/Swiss-Prot;Acc:Q9ZQH1] MSYSHYSTGNTTSPSAPPVPENQSQPGVGYPYQRPPQSQQSNYNYNYGQPQNPTSAGGYGSQYGYAPPTPSFPPGTHPEIIRNFQMVDSDRSGFIDAKELQRALSSGYQQFSLRTIRLLIFQFGNPADPHRIGPKEFSELWNCLGQWRAIFERFDRDRSGKIDAAELRDALYSLGYAIPPTVLQLLIAKYDDQSGRRPDLSFDSFVECGMIVKGLTEKFKEKDTRYTGSATLSYETFMTMVIPFLAAE >cds-PLY90548.1 pep primary_assembly:Lsat_Salinas_v7:6:53094080:53095795:-1 gene:gene-LSAT_6X38900 transcript:rna-gnl|WGS:NBSK|LSAT_6X38900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRQMVRYVSRRFSSGGKILGEEEKAAENVYIKKTEREKLEKLAQKGPKPEETPASASGVAGDAKASESTSAKVVGVSTDMHKNYAVVAGVVTGLSALGWYLLSKDKKTPEDLHD >cds-PLY95390.1 pep primary_assembly:Lsat_Salinas_v7:9:191285621:191287861:1 gene:gene-LSAT_9X117961 transcript:rna-gnl|WGS:NBSK|LSAT_9X117961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWYLCVFYHRLLDYRKPEFESLADLFGAFDDDPSSSHSLEWKLPQHHHPDSPFHFVNLPSEDIARNIANRSILVKGVYEIWGEGSSYEELKEAINSYPEDRKLPYLTPDSTFRIIVDSFGKAISFKDQTSRIQGMSYIPFKGRVDLRNPEHKFWLIETDDYESNNGLPPIVDKRIFFGREVGAADRKLLPTYQLKSRTYLGPTAMDAEVAFLMANQAQAMPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNVWSNFKQYGLQMPVSLLRADNNLPPWRPGLKEIFDAIICDPPYGVRAGGRKSGGRKLLKGTVDPYTVPDNLRSGHIPSTAAYSLAECVHDLLDLAAKMLVTGGRLVYFYPVLRDNNDTLDVDFPKHPCFKLVASCEQILSYRYSRVLLTMVKIGAYTDEIEEAARVQHLEFRENHVKWMEEGNLHSAVFDPDFVGFDEVDSKSGKDPKKKYRGKYV >cds-PLY84311.1 pep primary_assembly:Lsat_Salinas_v7:5:188939107:188942136:1 gene:gene-LSAT_5X85701 transcript:rna-gnl|WGS:NBSK|LSAT_5X85701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAADTEDFEMISHIPFLTKYKVMLTDFGVAKQFDESTRYLFNTLLRKLVAIF >cds-PLY74881.1 pep primary_assembly:Lsat_Salinas_v7:7:66865736:66866875:-1 gene:gene-LSAT_7X48321 transcript:rna-gnl|WGS:NBSK|LSAT_7X48321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKSFMIKKKLAKKMRQNRPIPHWIRMRTDNTIRYNAKRRHWRRTKLGF >cds-PLY95241.1 pep primary_assembly:Lsat_Salinas_v7:9:21373718:21376162:1 gene:gene-LSAT_9X20320 transcript:rna-gnl|WGS:NBSK|LSAT_9X20320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMSLTQEEVIRPLVNFPSSVWGDQFLIYDEHVEHARMEQIMEDLKQEVREDIMTTLDVPMEHTNLLRMVDAIQRLGIAYYFEEEIDKLLRHIYDTYGDDWNGGSPSLWFRLMRQHGFYVSSDVFNRYKDKNGAFKESLANDVQGMLELYEATYVRVHGEVVLDDALVFTRIHLDEIAKNLVQSNSTLSIHIQEALKQPIQKRLPRLEALRYIPYYEQQAFHSETLLKLGKLGFNLLQSLHKEELSRVSKWWKGLDVPNNVPYARDRMVECYFWALGVYFEPQYSRARIFLTKVISMATILDDTYDAYGTYEELKIFTEAIQRWSITCLDGLPEYMKLIYEGLLDIYKEMEEIMACEGKSYHLSYAIESMKEFIRSYMMEAKWANEGYIPTIEEHMSVAFISSGYSMLATTCFVGMGDMVTNDSFKWALTKPLLVKASCQIARLMDDIFSQKEEKERTHVASSVESYMKQYDVPEEYVHDLFNKKIEDAWKDITQESLVCQDVPMALIMRVINLARVMDVLYKRKDSFTHVGEELIGHIKSLLVGAISI >cds-PLY98075.1 pep primary_assembly:Lsat_Salinas_v7:4:79320213:79322263:-1 gene:gene-LSAT_4X52740 transcript:rna-gnl|WGS:NBSK|LSAT_4X52740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVGSMRKSFKDSLKLLEADIQHANTLASDFPREYDGACVQMRMSYSPAAQFFLFFVQWSDCHLAGALGLLRILIFQVYADGTTTMSTHERKASIREFYGIIYPSLMQLQSGVSDSEEKKQKKVCMERYKKREDEDYNRCSDVDIEREEECGICMEMNGKLVLPKCNHAMCSNCYNDWRTRSLSCPFCRVSLKRVDSGELWVYVDYKETIDMATITRENLKRLFMYIDKLPLVVPDSNFDTYDSHLM >cds-PLY77788.1 pep primary_assembly:Lsat_Salinas_v7:2:169991896:169992527:1 gene:gene-LSAT_2X91461 transcript:rna-gnl|WGS:NBSK|LSAT_2X91461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKKHQVDGDIMEGKTWVISGITMCAPLRCVSTNKANGETDEGSNSGSTTPTLKESRLPEKFRCPPPPAPKKRRPVSKCQKNGDIQFFTSPELDSFFENFANAERAKYKSRS >cds-PLY81971.1 pep primary_assembly:Lsat_Salinas_v7:9:153072136:153072345:-1 gene:gene-LSAT_9X96980 transcript:rna-gnl|WGS:NBSK|LSAT_9X96980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYRNASDEDLKKAYRRLAMIWHPDRNPSSNKGEEEAKFKQISEAYDVLSDLKKRQIYDLYGEEALKSS >cds-PLY91389.1 pep primary_assembly:Lsat_Salinas_v7:8:47768569:47772081:1 gene:gene-LSAT_8X35580 transcript:rna-gnl|WGS:NBSK|LSAT_8X35580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESILERYERYSYTERQLVAADAAPRSWTLEYNKLKSRAELLQRNHRHYMGEDIESLSLKEIQNLEQQLDTGLKNIRTRKNQLLHESISELQKKIGMYGVGGGGGGGYGEGGGKVVGTEAVVMTAEVAEEAEVAVEKKSSTSSIFSCMILILIHQGKAIQEQNTTLTKKIKEKEKEKTVTQNAHDQWDHHNYMETDPSFLMPPPPPSLHMGGNYNQGGGGGGGEGEAAEGRTNELDLSLQPIYSCHMRCFPS >cds-PLY84765.1 pep primary_assembly:Lsat_Salinas_v7:5:229826462:229834535:1 gene:gene-LSAT_5X109840 transcript:rna-gnl|WGS:NBSK|LSAT_5X109840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPRSQSHLQPLSLFNGFNIRFLLFYVFILLVSLEVIKSGFVVKKLPGWLGDLPFTLETGYVGVGESNDVQLFYYFIESEGNPENDPLILWLTGGPGCSALSSILYEIGPFTFNYANSTLKKPVFEIKPHSWTKVANIIFLDQPAGTGFSYAKSRDAYITNDTLSSMHGYDFLRKWLVDHPKFLNNPFYLGGESYMGIVGPMIIQEIYKGNEVGEGPKINIKGYMLGNPLTDTNSDYNSRIPFVHRVGLLSDEIYKSTKKNCHGEYFNIVDLDNSRCINDLQVVDKCIGRINKPQILEPACDTSNTLKYDLFGRKLRSLDKASMDMWSLTQVQIQGCRDDHYLFSYVWANRRDVREALHIDEEFDKIEWVRCNETLFFYIDKEPISYTHNVLNTVAYHRYLANKNCRALVYSGDHDMVHPYLGTMKWIESLNFLVVNDWRPWFVDKQVAGYTMKYSHHEYNLTFATLKGGGHTAPEYKPKECFNMVKMWLANDAL >cds-PLY81620.1 pep primary_assembly:Lsat_Salinas_v7:1:50554828:50556985:-1 gene:gene-LSAT_1X43540 transcript:rna-gnl|WGS:NBSK|LSAT_1X43540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFDFMVFDQSTCQKHYPNLFDEIEGDEPLTESDTINTHRPKKLKKRKRNDYASEDENNFEVGDNGCFMFKMTPYVFSNSRLYLPVDFSISNGLKAGEMILRDNKGRSWKVHMNKANEKRLYLGYGLKDFLVSNGMKEGDAFKFELLEKKEDKPPIVSFMFLKSNPIKSHKQAKFTQKEGSSMCEEDGRPYFVGELKPGSIKQSILYLPKKFAKSNGLMNHNKMTLRNVEDERSWTVEFKNHKNTYYYIGRGWKDFRVANGLKEGDRFKFELVNKGENPIVNFYFQKIPTSY >cds-PLY84059.1 pep primary_assembly:Lsat_Salinas_v7:6:188605855:188607376:-1 gene:gene-LSAT_6X114421 transcript:rna-gnl|WGS:NBSK|LSAT_6X114421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METVAKTEKKPHVIFIPLPAQSHVKAMLKLAQLLHHKGLQITFINTEFIHKRLLKSGGVHSLDGSNGFQFATIPDSIPRSSEDDDGLEHLLPCVETHFLTPFLDLATKLSSPPTLIISDGFMSVFTIDAAKKLGIPVMLYWTLAACGFMGFYQTKSLLEKGLTPLKDESYLTNGFLETIVDWIPGMKEIQLKHLPILDPSHKLFTFSMAATQNAHKVSYNIIHTFDTLETSLVDVLSSMIPRIYTVGPAQLLLNQIPAKERQAQMSNFNGYSLWKEEPECLQWLESKELNSVIYVNYGSSTVMSLQDLIEFGWGLANSNHYFLWIIRSDVIVGESAVLPPEFEEVIKKRGFIGSWCPQEKVLEHPSIGGFLTHGGWGSTIESLSAGVPMICWPYSWDQLTNCRYICKEWEVGMDMVKDVKRDEVSKLVQELMGEGGDMMRKKAMEWKEKALVATAPNGSSTLNVNNLVEEIIMLSRN >cds-PLY77126.1 pep primary_assembly:Lsat_Salinas_v7:7:183151242:183153334:1 gene:gene-LSAT_7X107961 transcript:rna-gnl|WGS:NBSK|LSAT_7X107961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDLQIPTAFDPFAEANAEDSGAGSKEYVHIRVQQRNGRKSLTTVQGLKKDFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSAFLTQAGIVKKDHIKIHGF >cds-PLY74417.1 pep primary_assembly:Lsat_Salinas_v7:2:13932663:13933584:1 gene:gene-LSAT_2X7040 transcript:rna-gnl|WGS:NBSK|LSAT_2X7040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNQDLQPMIANREYLWLPILVYIVFKSPVHDYFKELKVWGNGASTLLHIAFHECESYGG >cds-PLY69754.1 pep primary_assembly:Lsat_Salinas_v7:5:232538533:232538811:1 gene:gene-LSAT_5X113960 transcript:rna-gnl|WGS:NBSK|LSAT_5X113960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQGNLAKKFGIEVSVGQRGRAKKHVLSPIEGSLIEHHARLWSYVEEIRRPNQGSHICFRSHKSQYSVSKNSNHGYQCFSLCSKSKLVSRIE >cds-PLY71401.1 pep primary_assembly:Lsat_Salinas_v7:3:218127360:218128593:1 gene:gene-LSAT_3X126500 transcript:rna-gnl|WGS:NBSK|LSAT_3X126500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVMEKLKMFIVQEPIVAASCLIGGFGLFLPAFVRPMLDSFESSKQVPQPALSDVVAGMTGKK >cds-PLY90471.1 pep primary_assembly:Lsat_Salinas_v7:9:93148416:93150123:-1 gene:gene-LSAT_9X70901 transcript:rna-gnl|WGS:NBSK|LSAT_9X70901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFCMFTVLVNLDVTLPTTTKDLENFFELALLNGACRTNDKTFNIFHTAVENKEEEKSRMLILSLMHLLQKLKIFLFLVTTYHKPLTPPTWDTSCLLKICFISKEQSWDPSDYPFKHPNDQGLPSKHQHQWYHLLKHPKGAVEPSVAFDLLSVN >cds-PLY93141.1 pep primary_assembly:Lsat_Salinas_v7:MU039145.1:1:1889:1 gene:gene-LSAT_0X44400 transcript:rna-gnl|WGS:NBSK|LSAT_0X44400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHYTPHVDLAFNSVEHIMRDVEGGWLLRYMHANGASMFLIVVHLHIFRGLYHASYSSPREFVRCLGVVIFLLMIVTAFIGYVPPWGQMSFWGATVITSLASAIPVVGDTIVTWLWGGFSVDNATLNRFFSLHHLLPFLLVGASLLHLAALHQYGSNNPLGVHSEMDKIASYPYFYVKDLVGWVAFAIFSSIFIFYAPNVLGHPDNYIPANPMPTPPHIVPEWYFLPIHAILRSIPDKAGGVAAIAPVFICLLALPFFKSMYVRSSSFRPIHQGIFWLLLADRLLLGWIGCQPVEAPFVTIGQISPFVFFLFFAITPILGRVGRGIPNSYTDETA >cds-PLY94781.1 pep primary_assembly:Lsat_Salinas_v7:2:179316482:179324794:1 gene:gene-LSAT_2X101160 transcript:rna-gnl|WGS:NBSK|LSAT_2X101160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFERSDSSGTDDNLPPSHQNKFRTQRPVIENTRTAVVNSTPFLQMQNDMEVQIHQIEQEAYCSVLRAFKAQSDAISWEMESLITELRKELRVTDDEHRKLLSRVNSDDLIGRIREWRKVNVLQPGMPNCPASASYKKQKPSLSYGSTGFNGRGEAPKQQGLENSSDPLIGRKVWTRWPEDNSFYEAVISDFNPIEGNHALVYDAGTPNEAWEWVNLREISPNDIRWKQEDVGISRTGGGSRLGHGMKKSMARGGNGMTDGPERRNGIMKGQSKKEKELPPSQNGIAKKALSDIQILHTDTLVKEVDRVFSSSNADPMDIENARKLLKEHEQALVDAIAKLESISDFESGNNLLQITVILFILQMVKGFPEFQLKNCLLVEPTMPINNDRKKRPKREYADATQSVEPTMPINDDRKKHPKREYADGSQSNDQKYTQRRDLRSGYLSIQSYIRGRRDEIAAANSKEFISIIDEVDGMHHLVNKPREQVSDAGALRDLASTLVTSIKVHNIGSVTPSLFVSSLISRFGKKKKKKRRITESGQILWKDIGLHASPLFMTFQGPCSMLGHMNHDTQPPKVLVTRKRSRSSLKEKKVNVKPKEIKDTVSKEKTDTEKIIATMFDILKTNKKVCLGNIVLNRISFAQTVENLFALSFLVKDGRVLITVDEKGSHYVSPTNAPYARMITSGKVAYSQFMFKFGFVDWKLMKDSVGGGCELMPHRMKVDSCGGCELKPDLKEGNSHGATITTIKMESRNQEGSHEDGNEQMFIYDKPETLLVYRRRGRRIYKPETLLVYSRRERRKPRT >cds-PLY80181.1 pep primary_assembly:Lsat_Salinas_v7:8:191261886:191264960:1 gene:gene-LSAT_8X123301 transcript:rna-gnl|WGS:NBSK|LSAT_8X123301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYAHVQMFMEKLKQLIYSNDIPVINNPSIICERPQFQLLYEELGSMIQIFFNHEDQDLHNFEEVRKLKKRFKAAAEEAEDIVDIFISSVHVRNNGYFTISDVFQTSLNLEDVMRSIKSIKVEFMTTRIDNMKIDSSRRTESAAGTSNPTNSLGSKKVLEEMVVGLGGDVVQMQSAGTSRSRSALGSKKAVEGMVVGINGDAEIIRDKLVEDGKHLDVVSIVGMGGIGKSTLANKVFIDPYVVYHFHVRGWVTVSQTYDKRDLLIQVLSSIDDQLELEKATDSQLHKMLHRSLYHQRYLIVIDDIWSTEAWDKMKLFFPDHNNGSRILLTSRLNEVASHAKSHGLIHHLQHLTDEESWKLLCQKVFQGDECPKWLVDPGKQIAKNCHGLPLSVVVMAGVLAKETRTKDLWLKISCRFHSYIASDEKGCLETIALSYDHLPLHLRDCFLYLGGFPEDYKIYSPWLLWVWMAEGFIQEDGSRSLEEIANGYLMDLVDRNLLIVEELYILGGVSVCKVHDLVRQVCVEKGKEERFFLKIDSPPSNRLFGIIGKQNKKLRNWKKVITTNKPRRVVTYQEINIKRLSPPPTPSIRAFVCLHWETTIIHNISKFFRSFTCRRVLNPEKFLCSFALLRVLLLEKCESNDFSPGLALLVHLRYLDIWVSSFPQSICNLWNLQSLGIKTSSRSMVLPSNMSDLVNLRRLYCNADLYLPSIGKPMKLLEVIRKVVLGDGVDNFQKCFPGIKELTTTLYSDEENDFEVLHHLQALKLIGSGYSRRRSVEREFLRGEPNLGKYHIRFPATLKELQLIMCGLPWSDMSIIQSLPNLEALLIKDNGFEGTLWKTGEEQFQRLKVLKLKKLNIKKWEASSINFPCLKELQVKDCVNLGEIPLELGDISTLEYIVVKNCGVSLLESVQKIQQEQDDAGNYELKISVDGSYMPSCEPNHDD >cds-PLY64935.1 pep primary_assembly:Lsat_Salinas_v7:8:133473492:133474428:-1 gene:gene-LSAT_8X92581 transcript:rna-gnl|WGS:NBSK|LSAT_8X92581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWSHYLNFLLEWNEVTLTGMESQRKYYLLPLLPTGALLLGTRTAGGGGGGWTAGGGGGGYWAVGGGGGGGWAAGGGGGGCWAVGAGGGGGGCWVAGGGVGGGWAAGGCPSLPLDKHGSGLLPLDELDTANKN >cds-PLY84855.1 pep primary_assembly:Lsat_Salinas_v7:9:126286987:126287295:-1 gene:gene-LSAT_9X82821 transcript:rna-gnl|WGS:NBSK|LSAT_9X82821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDWIANPYSFEFFHYEEEYDEDNDEEKDDVDDNHEDAEDNEGVDEEENIADEEEDLDDEEDDLATDKGEDFVQGMSSPPRVNNHIRFPYTSSSTPSADDAV >cds-PLY81676.1 pep primary_assembly:Lsat_Salinas_v7:MU041633.1:1035:2220:-1 gene:gene-LSAT_0X45981 transcript:rna-gnl|WGS:NBSK|LSAT_0X45981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQSVNNDWGGSKPPVNEDDTEFLQNKERLLNLEKQLSNASKQAESFVKAQQDMAETMGDFGLSFIKLTKYENQQAVLDTQRKRATDMKNLATSAIKASRLWRELNSQTVKHLDTVHDQMGLILGVHTAFSDRSSALLTVQTLTTELDSLYSQAEKIETSSKTFGNDRSKALKLGELREAIRVTEDAKSCATREYERIKENNRTEIERLDKERKVDFKNMLKGFVLNQVAYSEKIGKEWGKAAEESSGYAKHGG >cds-PLY73942.1 pep primary_assembly:Lsat_Salinas_v7:5:36451913:36452149:-1 gene:gene-LSAT_5X17981 transcript:rna-gnl|WGS:NBSK|LSAT_5X17981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDLSKQPTGGGGGCRKANGPSFDKIPTKRWGRIKKRIVKELIFGVGKQPTEGGSVKLPADRRQIKKQIAKDFFSTTI >cds-PLY67901.1 pep primary_assembly:Lsat_Salinas_v7:1:55725153:55726605:-1 gene:gene-LSAT_1X49740 transcript:rna-gnl|WGS:NBSK|LSAT_1X49740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIIGDALRQAFMPKHEYQNLRNEDKAWIKLRRPIVISIFVFLIFCIVISSALSFKMVFPSDLQHRPFCKHHRIESTTNNDHEDRDFNFTDQQIVYYYWMIAFVPSAILFSTSAVYLVAGGVRCLYILNSIFAIMFGFVAIFFGLVLLTSESICSLPLFWCYEVQWWGLVTLYGATASLLRRKAAMILDDGDIDGHNQIIGIEMLEFGDVEMTPDVERRINQGFRSWMGTSYLSSDDEDEDENG >cds-PLY85484.1 pep primary_assembly:Lsat_Salinas_v7:3:43041636:43044773:-1 gene:gene-LSAT_3X33421 transcript:rna-gnl|WGS:NBSK|LSAT_3X33421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKTDEGGEKGKQSTKEGKKEKLSVSAMLASMDQKPDKAKKVTSSSSTTRNPKTKAPPKLQSYADIDLPPSDDEEDDDAEYESGEEVQKGGPKKPSRKERANDRNLEVSITEKDLKKREKKDMIHAQVIEQAKQEALKDDHDAFTVVIGSRASVLDGQDDADANVKDITVDNFSVSARGKELMKNASVKISHGKRYGLVGPNGKGKSTLLKLLAWRKIPVPKNIDVLLVEQEIVGDDKTALQAVVAANEELVKLRQEVATLLESPEGENGNEVDDDAGEKLAELYEKLQIMGSDAAEAQASKILAGLGFTKAMQIRATRSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNTVCNEIIHLHDLKLHMYRGNFDDFESGYEQRRKEMNKKFETYDKQVKAAKRAGNQKQQEKVKEKAKFAVKEAKKKSKGKVDEDEEIPEAPQKWRDYTVEFHFPEPTELTPPLLQLIDVSFSYPERDDFRLSDVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLNPTEGEVRRSQKLRIGRYSQHFVDLLTMGETPVQYLLRLHPEQEGFSKQEAVRAKLGKFGLPSHNHLTPIAKLSGGQKARVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFSGGVVLVSHDSRLISRVCDDEEKSEIWVVDNGVVDKFEGSFEEYKEELQKEIRAEVDE >cds-PLY92915.1 pep primary_assembly:Lsat_Salinas_v7:3:113294013:113295753:-1 gene:gene-LSAT_3X82901 transcript:rna-gnl|WGS:NBSK|LSAT_3X82901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNITNVTVLDNPASFLTPFQFEISYECVSPLKEDLEWKLIYVGSAEDETYDQLLESVLVGPVNIGNYRFVFQADPPDPSKIREEDIIGVTVLLLTCSYVGQEFVRVGYYVNNDYDDEKLREEPPQKVMIDKVQRNILTDKPRVTKFPINFHPENENTESGEQVEPPEGGESSQQPPPSEGGGDGEDKSEP >cds-PLY82146.1 pep primary_assembly:Lsat_Salinas_v7:1:15564449:15564919:-1 gene:gene-LSAT_1X14001 transcript:rna-gnl|WGS:NBSK|LSAT_1X14001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSFVVVLLGFFLLVSSFTAIPISRTINLIDEGSLEVSSNTDLNVEDNWDETMLSRRMVLTSDYPGSGANDRHTPRP >cds-PLY79915.1 pep primary_assembly:Lsat_Salinas_v7:8:17350539:17353501:1 gene:gene-LSAT_8X12100 transcript:rna-gnl|WGS:NBSK|LSAT_8X12100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSANDDSNGGQRNNTNNLKKRSCGCVKDDFLPEESFQSWSNYGKALLETKTRLKDRILSRSSDQLELHDMRARSQNEMRKTLNWFDLIWFGIGAVMGAGVFVLSGEAARDLAGPALLLSYLISGFAALLSVLCYTEFAVELPVAGGSFAYLRVELGDFIAYIAAGNILFEYVVSGASVARSWTSYFATLCNHHPNDFRLNVTTLTEGFNHLDPIAVGVSILICLLASFSIKGSSRFNSIATIIYMSLMLFMLIALSTKVNTSNFQPFTPFGVRGILKASSVLFFAYVGFDGVATLGEETKNPGTDIPIGLVGSMTIVITIYSLLATVLCLAQPYNQIDRDAPFTVAFQAVGMNWAKYIVALGALKGMTTVLLSNLIGQARYFTHIARTHLAPPILAVIHKKRGTPMNATIIMTAANCLVAFFTSLDILANLLSIATLFIFSLVAIALIVRRYYSTGVTSDSDRNKLIVFLSLIIVSAVGGGTLWFLNVNSWVGYVIVGGVWFLATAGLQVTVKQARKPKLWGVPMVPWLPAASIGVNLFIMGSIDGASFLRFLAWTGLLLVYYFLVGLHASYDASKGGGGDGDGDGSKTDVEGGGVSATSEAEMSTITTSSK >cds-PLY65701.1 pep primary_assembly:Lsat_Salinas_v7:5:268278588:268281712:-1 gene:gene-LSAT_5X139501 transcript:rna-gnl|WGS:NBSK|LSAT_5X139501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHHPRIRQHQALMQQSLYHPGLLASPQIEPILSGNLPPGFDPTTCRSVYVGNIHPQVTELLLQEIFTSTGALESCKLIRKEKSSYGFVDYFDRRCAALAIVTLNGRHVFGQPIKVNWAYASSQREDTSGHFNIFVGDLSPEITDATLFASFSVYSTCSDARVMWDQKTGRSRGFGFVSFRSQQEAQTAINDMNGKWLGSRQIRCNWAAKGAGGDDKQSSDSKSIVELTNGTSDDGQEKSSSEDAPGNNPQYTTVYVGNLAPEVTSVDLHRHFHGLGAGVIEDVRIQRDKGFGFIRYSSHGEAARAIQFGNAKLLFGKPLKVALSKMGLMHHQRMGMVASQAVYDGGYSGVAAQPPPPLYYQ >cds-PLY90673.1 pep primary_assembly:Lsat_Salinas_v7:6:52831531:52834525:-1 gene:gene-LSAT_6X39120 transcript:rna-gnl|WGS:NBSK|LSAT_6X39120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWGVTYPMARLFHVVEDEVWVNVMKVNVISTRLVTRVVIEERVERKRGVVVNIGSGVAIVVPSLHLYATYAASKLTSKTTDHGLSSPEKLTTSVTPLQKVIYDEQLDVSILVNNVGVTYPTARLLHEVEEEFWMNVMKLSVIGSSLVTRVVIEGIIERKRGVVVNIGSGVAIVVLANCTSLVTRVVIEGIVNKKRDVIVNIGYGVAIVVPSHHLYATNAASKLYKFGKRAVIEGIVERKRGVIVNNGSGVTIVLPSHHLYATYAANKR >cds-PLY93679.1 pep primary_assembly:Lsat_Salinas_v7:2:200344598:200345388:1 gene:gene-LSAT_2X121240 transcript:rna-gnl|WGS:NBSK|LSAT_2X121240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQQNFLYSKAKNHYETKQTLVNYNPKHSFKIEPKETSYLIIFLLYCYTLLLLYYLSHYHNHISASDGGNETDYEVLLQFKSIIMNEEALSSWNSSFHFCDWSVHKRVTALDLNSQGLQGSLYPYVGNLNFLRGNNPSRTASSIQATYVSSTLVITNSSESFLLTFPIVLINIEKLGLHNNKLVGSIYRERLVSYQNLLNFLVESCLYILENMTSMEVFSILENPLGGSIPHTLGQWKKLNNI >cds-PLY87063.1 pep primary_assembly:Lsat_Salinas_v7:5:261848648:261850524:-1 gene:gene-LSAT_5X134240 transcript:rna-gnl|WGS:NBSK|LSAT_5X134240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDPSKVNDVKLHDMSLLWLQTYRNCQTCNGGNALRCTMCRGTGKVIYQVKNYTLRSEKATTEAIAEPIAENRAKLVHLPATMDLNVPLPSKDCDSCDGSGVMKCPKCKDKLQVRISADDVLSDPAQRMVYDEIHGYALTAINPFFDDSSPKDHVFVDEFSCIAIPAPPYLFAELEYCVVVSLLSGKFYGRQTNHGKIHRVGSVVPSLLKPFN >cds-PLY88935.1 pep primary_assembly:Lsat_Salinas_v7:8:128486577:128489721:-1 gene:gene-LSAT_8X89001 transcript:rna-gnl|WGS:NBSK|LSAT_8X89001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDFDFPAAGGMNDEMMDDTPYDAPGTLKVGEEKEIGNEGLKKKLLKEGESWETPENGDEVEVHYTGTLLDGTQFDSSRDRGTPFKFTLGQGQVIKGWDQGIKTMKKGENALFTIPAELAYGASGSPPTIPPNATLQFDVQLLSWSSVKDICKDGGIFKKIVKKGEKWENPKDLDEVLVNYEVRLEDGTLVAKADGVEFTVQDGHFCPALSKAVKTMKKAEKAVLTVKPQYGFGEKGKQASGNETGVPANATLQITLELVSWNIVSNITTDKKVVKKILKEGEGYERPNEGAIVQLTLIGKLQDGTIFFKADPFDFKTDEEQVIDGLDRAVLTMKKGEVAVLTIAPEYAFGSSESKQELAVVPPNSTVIYEIELISFVKEKESWDMITPEKIEVAGKKKEEGNMLFKAGKYERASKRYEKGVKYIDYDASFSEEEKKQAKVLKVSCNLNNAACKLKMKEYKEAEKLCTKVLEMESRNVKALYRRAQAYINVGDLDLAEIDIKKALEIEPENRDVKMEYKVLKEKMREYNKKDAKFYGNMFAKLSGADSKKKMAPKEVAPMTIDSKA >cds-PLY89135.1 pep primary_assembly:Lsat_Salinas_v7:3:21238064:21240867:1 gene:gene-LSAT_3X15700 transcript:rna-gnl|WGS:NBSK|LSAT_3X15700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRQKKTGLQIGEERSSSDSKTPQFQFQENTNFKANYVSRIPHLQIQIPRKDYNEHRPTFKQNATTTATTTNTQKTLISNPQKRPVVMSPQQKTSSHHHHHHHPYSHPRRASHQKSLICCSSSEALLATKTVALKLLKFTHVKLFWVKVPFRVLILLFLPSIYYFSLSYRSFYLYILFLIAFCSVLLSSFNINSFHATNLPSIRLFVARNFPNLKLYKSDNASTTHPPVVWSIGSKTQLEENTNSGFLVKVYSNGDVYEGEFYKGKCSGSGVYYYNLNGRYEGDWVDQKYDGYGVETWAKGSRYRGQYRQGLRHGYGVYRFYTGDMYAGEWLKGQCHGCGVHTCEDGSKYAGEFKGGIKHGLGHYHFRNGDTYAGEYFADKMHGFGVYRFANGHRYEGAWHEGRRQGCGMYTFRNGETQSGQWDNGVISVSTSQNPFLSSVSHAKVLKAVQEARRIAEKALAVADVDERVNRAVTAANRAANAARVAAVKAVQNRMHQHDDGDDVPLDIV >cds-PLY88562.1 pep primary_assembly:Lsat_Salinas_v7:7:7787868:7790078:-1 gene:gene-LSAT_7X7241 transcript:rna-gnl|WGS:NBSK|LSAT_7X7241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNASKVEEDVFHHHLDSIGDPQPLILDRHDSIGDSQPSILDHHDHQEEQQPPSEFSLPPMSEFDFFRDEDEDDSISHTSSDAEGVQSFTNDAISSDKTNPRFQYINPEPHISSQFYTFNIESHSLMIRCILEGRLATPDEIRLATPLAVLTSWRSVWKDRNEDTAYLTAWKRIQEKLTVHVGEQGNEFLCFKNNSNNQFVSHISQWQEIVMSFHGDGDLKHLGLKETIERIKQVWTVGAKFYGIPESYIRVCVAACPVCLDSSGSAGARSKRRRFEYTESFDVPAKEVPIKLQQLAAKHNVVLCIRQKYIRYKPFMAEVKDYACHRAGEPASSSKKSRNLKREAYASKRCGCRFRIRAIVPISNYNEKDKTFVYQEEGIAVFKLYAVHSGHEPGPLDGNARIMHRIVGHKGGLLMEQDMVYGMSEEESFSFMGKDDGDMQRSVLQQVKELRNEVGLLEGKITKIPPELLGSVSQELFDLLNKVRSVGGYEESKSGGGGLVCEKLQSDDDHVLVGVGENDLGDWSHHQRIYGDGKDAADLIEDDDDSFGQTLGDVASCSWDEKDLLCKPEKWLKCSSCFDEKTIILSDSKLIKPNMNMNMETDSLVSLQVDSFYPENPSKWYE >cds-PLY99209.1 pep primary_assembly:Lsat_Salinas_v7:4:367275150:367276308:1 gene:gene-LSAT_4X180001 transcript:rna-gnl|WGS:NBSK|LSAT_4X180001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYFQRAKAVRLRSHHRKYLLADDDGEHVIQDRQGTFKNARWKVEFDDKYDNVIRLKSCFGRYLTASEDPHILGVTGQKVIQSVPRKINSSVEWEPILEASKVRLKTRYGNYLRANGGVPPWRNSITHDIPHRHRNWILWDVEIVEIRLHPPKRKSDPSLDLDLDSSSFHLLSCPFPMGSDPNSGNSKNEGRMIYYKLVDDDGEIYDAEGSFLFKGNTIEDLTQNLEEETELENITVCSRNPLNGRLYPLRLALPPNNTTLNIIVVPETSKGFEFEEAFSHFDFGSVICVCS >cds-PLY76741.1 pep primary_assembly:Lsat_Salinas_v7:7:61131222:61133693:1 gene:gene-LSAT_7X43500 transcript:rna-gnl|WGS:NBSK|LSAT_7X43500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVPINKYDSSTVNRSYQKQTFSEDGSDGTYTVVKGFRGTDHLLCTAVYTRENFFMPKIIENLVKKGNHNSYKNRENENLRRAIKGKQVPKKGLRILAMDGGGMKGLATVQILKEIEKGTGKQIHEMFDLICGTSTGGMLAVAIRVDLKAQARAHRIGQKKEVKSVEEQVRGSAEHKLGVVSQSITAGFFDSNTR >cds-PLY77087.1 pep primary_assembly:Lsat_Salinas_v7:MU042616.1:513925:516275:1 gene:gene-LSAT_4X76740 transcript:rna-gnl|WGS:NBSK|LSAT_4X76740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENQTYLFDPTANMGGSEKVVAGEFSSSLWLAPNPSKRWGELFFLLYTPFWLTLCLGIVVPYKLYEDFKELEYLLLGLISVLPAFLIPIFVVGKADRSLNWKDRYWSLWIIIFSYVGNYFWTHYFFTVLGASYTFPSWKMNNVPHTTFLLTNVCFLFYHVTSNLTLRRLQHAIAHLPIKTQWIIKSAWILALSYFIAYLETVAISNFPYYTFVDRTLMYKVGSLFYAIYFLVSFPMFLRIDEKVGDPWDLPRVAVDALGAAMLVTIILDLWRLFLGPIVPILDTKQCAQPGLPWFPGHTEL >cds-PLY94637.1 pep primary_assembly:Lsat_Salinas_v7:1:41557389:41558148:-1 gene:gene-LSAT_1X37021 transcript:rna-gnl|WGS:NBSK|LSAT_1X37021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQNLPIIAKKVRSLVRVIFFMLRKGISKIKFFADLNMMINRGKIAGKALHNLLFNHHHNWVASTFHRQPHHLSFPTTPPGEYEFSCKDTPPYPLSLFSTHKKHQNKHHRLATPHAPPPPDANDDIIINAAVMKALEMLTSTTASPALPGFGKSPMVKQLRITDSPFPLSNGEEDGHVDEAAEKFIMRFYNNLKRQN >cds-PLY79426.1 pep primary_assembly:Lsat_Salinas_v7:3:78555087:78558689:-1 gene:gene-LSAT_3X60980 transcript:rna-gnl|WGS:NBSK|LSAT_3X60980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNFLYFSLALIIITLSSTPNFSCASLEEANALLKWKASLEIPNNSILSSWFPLHMNSNASFPCSSWLGVVCNVDGSIQRLNLSSSGLNGTLHQFSFSLLHGLTYFDLSVNNFSGPIPSEIRLLSKLEYLDFSVNKFSGVIPPEIGNLHQLTILYLYSNNISGSIHSSLGNLTSLNVLYLYYNQLSGPIPIQLGNLKSLIDFQMGYNQLSGSIPSSLGNLSNLHYLYLNDNRLSGPIPIELGNLESLTHLAVGNNQLNGSIPSSLGNLSNLQTLYLFKNRLSSLIPSELGNLKSLTNLGFSENQLSGSIPPSLGDLTSLNVLYLYHNQLSGPIPIEFGNLKSLTDLEVSNNQLTGSIPSSLGNLTSLIVLYLALNELSGPIPIELGNLKSLTCLDVRKNQLNGFIPPSFANLNNLRTLSCRENKLSGSVPQGLGNLVGLELSYNHFSGNLPEDLCHWEKLRLLVVNDNQLTGPISRGLRNCSSLIRARFDRNHFIGDISYSFGIYPRLKYLDISHNNFHGQLSQNWSKCKNLTSLVMAYNNISGSIPPEFGNSTQLQRLDLSSNHLVGEIPKEFGKMKSMLNLFLANNQLLGIIPLELGFLKLLEVLDLSTNRLNGSIPKSISQWEHIHHLNLSNNKLSEKIPSEIGNLVHLTELDLSQNLLTHEIPSEVQSLKNLQKLNLSHNRLFGSIPNAFTTLPSGIDIDLSNNELTGLVPLCSNFVNASLQGNPGLCGNFTGLKFCANQISKKKNDPFHHQLILITMLPLIGATLLGFFMCGLIAYRKQKRHSPQKPLDNEDGDYFSITNFDGGVVYDDILKATDNFDEAYAIGTGGYGTVYKAELQPNNVVAVKELHSSSSENVDQNGFLNEVRALTNIRHRNIVKLYGYCSHARHSFLIYEYLEKGSLGSILCNEILAKELDWLKRVNIVKAVANGLAYMHHDCTPPIIHRDISIANILLDSDYEAHISDFGTSKLLKLDSSNWTAVVGTYGYIAPELAYTMVATEKCDVYSFGIVALEVIMGKHPGELPTLSADYLVLANVGDSRIPLPSPQVEKQVNLALNLARACLNSNPKERPTMRQVSNLFMKDLL >cds-PLY72659.1 pep primary_assembly:Lsat_Salinas_v7:3:182884106:182886274:1 gene:gene-LSAT_3X109840 transcript:rna-gnl|WGS:NBSK|LSAT_3X109840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNPKKLGFFVATLNKVKATSTAHSSLPYFRNPSSIFESGNEICYPKTILAHFYSTSSSNSQTQDNHTRPMIDKITNLDDALKLFDEMTQRQPLPSVVKFNQLLQAVIKMKHYSCAIDIFKQMNAIHIPVSVYTVSIIIKCCCLMYRTREGFAVLGYGFKHDIPPNVYTFSILLNGLILEDKVLKAEILFKKLIKEELCEPNAIMYSTMIKGLCKCGNNNTAIALLRLMDKKGCKPDVVTYNTIIDSLCKDNMVDDALKLYKEMIFNKRIQPGVVTYSSLIHGLCNLCRWDEVSKILKEMEQKNISPDVQIFSILVDALCKEGKVEEAKGVINLMIQRGKDPNVVTYNSLIDGYCLRGEMRKANEVFDLMGVRGLVPNIVTYNSLVNGYCKKLKIEEAMHLFHEITNKGMKPDVITYNTMIQGLFQVGRCEDADELFHEMRAHNVIPDEITYGIVLDGLCNNKKVDEALSLFHLKGDNKLNLNITVYNILIDGASKSGNFDIARILFDDISVKGLQPDVMTYTVMIGGFCREGLVREAKELFLEMEERGCPPNNVTYNILLQGILKNQQHDMVEMLLQEMEGRKFSPDASTLSLLLGQIATRSLDASLIKLIGKTMPKEEVDCPSFTM >cds-PLY90850.1 pep primary_assembly:Lsat_Salinas_v7:6:100669367:100669880:1 gene:gene-LSAT_6X63820 transcript:rna-gnl|WGS:NBSK|LSAT_6X63820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPTYGMLLKYELPNLHLPGRFFCPTCPYVKIKRNQCLVKKEIDPIITQDDMKNAPKTDQGIYMLHNLSKMMHFFFFQLEFMMFFVSCSPDCGHNKAAYIQFQTRSVDEPMTINFTCKKCGKCWRED >cds-PLY93988.1 pep primary_assembly:Lsat_Salinas_v7:4:273969874:273970248:-1 gene:gene-LSAT_4X140460 transcript:rna-gnl|WGS:NBSK|LSAT_4X140460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQNTTITTAISHHLKLAAPKATVPAVEADNGSWGIPTSHTRRRDERGGRIRFQLAYQGFSVIHNSQRRSQLHRRIPVVLFGFAPRHRQQHWGGAQRWGSRRHLLLIGIVRRRDRWWLKLTCGG >cds-PLY75845.1 pep primary_assembly:Lsat_Salinas_v7:9:195930166:195931241:-1 gene:gene-LSAT_9X119620 transcript:rna-gnl|WGS:NBSK|LSAT_9X119620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEISRRIFLRFLLIFFSIFLQFLPGFSDDSDSQKDTKDNDADASSRKKNGSTIFIMCMVLAAVVTLSFFLFKLWQKKKREEQYARLLRLFEEDDELELELGLRD >cds-PLY64155.1 pep primary_assembly:Lsat_Salinas_v7:1:2703064:2707364:1 gene:gene-LSAT_1X2200 transcript:rna-gnl|WGS:NBSK|LSAT_1X2200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKGFESWFQSQQIDPNLSSAPGFRHRLNIPSLGSMLSPYGNPPEFAIPASKPEQLPELVQKKFLVFDQSNDRTTLIYSSIPIPYHLPEPQFTFEMKNEDSVMEKQKDTRSSDSFHEDTEELKALLSSDDDGIDDSEDEDEQSTGHSPVMGFGSHEWLEEVASSVGCRKRQKLDSGEYDAVSLEDTATSGVNCSSEAELSSCGNNGGNGVADVESGGKRLRKEKVRETISVLQNLIPGGGRNGKDAMVILDEAINYLRILKVKAKALGLDSL >cds-PLY98475.1 pep primary_assembly:Lsat_Salinas_v7:1:173306627:173309020:1 gene:gene-LSAT_1X115501 transcript:rna-gnl|WGS:NBSK|LSAT_1X115501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVIAVANAPLHVLAVDDSNLDRKLIERLLKTFSYHVTAVDSGSKALEFLGLQDVEKLPSFAANTCQPEVEVNLIITDYCMPGMTGFELLRKIKESTSLKDIPVVIMSSENEPARINRCLEEGAEEFFLKPVRLSDVDKLKPYFSKNKRKTNNNEEECVSNDKSRVKYDDVEAWSEEERQMFSEDKSC >cds-PLY86663.1 pep primary_assembly:Lsat_Salinas_v7:4:279435254:279435883:-1 gene:gene-LSAT_4X143361 transcript:rna-gnl|WGS:NBSK|LSAT_4X143361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTALLTHPSAKDPLGRSAVGPPGPGEGAEEGLGGRALGAIDIEGDGDGVAASGAGAGARTGAGEGVGGATAGVGLAVGETVGAATGAWAMHEVAKRANNKNTDRDNVAEAILLI >cds-PLY96039.1 pep primary_assembly:Lsat_Salinas_v7:MU038517.1:289234:292018:-1 gene:gene-LSAT_0X17361 transcript:rna-gnl|WGS:NBSK|LSAT_0X17361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINKIFNRLPRKPKSHDNRDQGNPNSSSNTSTSSRPNNDSNPNPNRFANSNPTPQKLTNNNKLLQPQTSKLNGSSSSSSYEALPSFKDVPNSEKQNLFIRKLKLCCVVFDFTDPTKTLKEKEIKRQTLVELVDYVSSASGKFPETIMQEIVNMVSLNIFRRLTPQPRDNKILETFDGEEEEPSMDPSWPHLQLVYEFILRFVASPETDPKLAKRYIDQPFVLKWLDLFDSEDPREREYLKFVLHRMYGKFMVHRPFIRKSINNIFFRFVFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIPMYHQQISYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLSELEEVLEATQPPEFQRCMVPLFRQIARCLSSSHFQVAERALFLWNNDHIETLIKQNRKVILPIIFPALERNTKTHWNQAVQSLTLNVRKIFLDADSQLFEECSKKFKEDESRKEETMAKREAXXXXXXPSAPLAAMAAAVVGRW >cds-PLY94094.1 pep primary_assembly:Lsat_Salinas_v7:8:9860271:9863442:-1 gene:gene-LSAT_8X8441 transcript:rna-gnl|WGS:NBSK|LSAT_8X8441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSPHGIKLAVHLISAYFGDIVSKVCECLLRNGTLSLQQVLRFTELNKENATNALLVLIQHNCVQAFSIEQQGGFMEQPKMVTHYMALHDNIIHLMRFSKFLAIISDEFGQECMEIFEGLLQHGRLSMNQIVDRYKDKHKSHTSKENSTAANVAHENFNKLAQAHYIERCPASEPYLESEKEDDTAGKKKTAKSKTNDPSQTLEARALAAATPMESIRFLVEADTFTNGAPDENTKKTSTTEIVGEKCCITHMTTRMDNVAGIVLSAIFEATRRDETKVKMENTVPLPMDRIYEEAIKREEGRSLSLERVRDSLVQLGCEVPIIGLDETYSIDLKKIIDEAQAQEVESVVLKKYGREAYRIFRLLLQSKRLCETDQIWMATFVDKKDALKILFQLWKDDLLHMERVANEGQKLESLCWKLNKVSVWEQVLDDMYHAALNLKLRLVHELEQAKEILRKGKSVGDQVLAKRKKVGEKWEVLDSSLMILDDAIMLFHDF >cds-PLY64548.1 pep primary_assembly:Lsat_Salinas_v7:6:36630256:36632640:-1 gene:gene-LSAT_6X28020 transcript:rna-gnl|WGS:NBSK|LSAT_6X28020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g16610 [Source:Projected from Arabidopsis thaliana (AT3G16610) UniProtKB/Swiss-Prot;Acc:Q9LUS3] MAAAVGRTSITNTTFYLQILESSIKSRSLANAKTIHQHFLKQNLNYSSIILDKLTRVYISFHHLHLAHRVFDKIPYPERKNNVLLWNQLIRAYAWEGPFDHAINLYLEMTQSGVTPNKYTYPFALKACSAIQDIELGKTIHDRVKSEFLDDDVYVCTALVDFYAKCGLLDDARQVFDKMSNRDVVAWNAMIAGSSLHGMYHKTMKLIEEMQDAGLRPNSSTIVAILPAIGEASELMQGKAIHGFSIRSRFDNNVVVGTGILDMYAKCKHLDYTRRVFDTINVKNEVTWSAMIAACVAKDSSMEALKLFKQAIVNNNGNISPVTLSTILRACANLTNIKTGRCIHGYSIKLGFISHLTISNTLLSLYSKCGILQDTVRFFNEMEFKDTVSFNSIISGCVQNGDAGIAFNMFENMKKLRINPDMETMIGFFPTCSHLAALKHGACGHGYAITQGFTKSTKVCNAIIDMYSKCGKINLGRLVFDQMNTRDVISWNAMIFGYGIHGLGFEAVELFENMLRHGFNPDGVTFICVLSACSHSRLVEKGKDLYYSMNEKFQISPKIEHCLCMVDLLGRAGLLSEAHEFILEMPLTPDVRIWSALLGACRIHKDFNLGEEISRKIQILGHESSGNFVILSNIYSTAKRFDDAARTRVIQKEKGFKKSPGCSWIEIDGNVHMFVGGDKLHPWWVLIKRRLDELLVGMKELGYDGDYGFVLQDVEEEEKGHILLYHSEKLAVAFGDVSLRLGKSIFVTKNLRVCVDCHTALKYMSMIMKREITVRDTIRFHHFKDGSCNCGDFW >cds-PLY80994.1 pep primary_assembly:Lsat_Salinas_v7:9:175538545:175541310:1 gene:gene-LSAT_9X108200 transcript:rna-gnl|WGS:NBSK|LSAT_9X108200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASETLVGACNGSVYTHPDPQRSYQVVVAATRAMGIGKDGKLPWRLPSDLKFFKEITMTTSDPVKKNAIIMGRKTWESIPLEHRPLTGRLNVVLTRSGSFDIATAENVLMCSSMISALELLASSPYCLSIERVFVIGGGEILRESLNAPGCDAIHITEIETDIDCDTFIPSINTSEFQPWYSSFPQLENGIRHSFNTYVRVKSSGIEASKVNGSLSECHSESPKFDARMFSFLPKKIFEKHQEFLYLKLVEDIISNGALKGDRTGTGTLSKFGCQMRFNLRKSFPLLTTKKVFWRGVVEELLWFISGSTSAKVLQDKGIHIWDGNASRTYLDSIGLVDREEGDLGPVYGFQWRHFGAKYTNMHADYSGQGFDQLLDVIDKIKNNPDDRRILLSAWNPSDLKQMALPPCHMFAQFYVNQGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCDLVPGDFVHVLGDAHVYSTHVRPLQDQLHKLPKPFPVLKINSEKKDIDGFVADDFKLIGYHPHQKIEMKMAV >cds-PLY71407.1 pep primary_assembly:Lsat_Salinas_v7:4:238017737:238019631:-1 gene:gene-LSAT_4X127741 transcript:rna-gnl|WGS:NBSK|LSAT_4X127741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQSHSITTGIANRRRDNVVDHSCRSMAIAPKDPKELRSFLTEEKVQEEECDSGDISILPDACLACIFQLLGSGDRKQCSLVCRRWLEIEGQSRHRLSLNAESELISVVPSLFSRFDSVTKLSLKCDRRSVSIGDEGLILISQRCQNLTRLKLRSCRQVTDLGMISFAKNCKGLKRLSCGSCTFGAKGMNAILDNCSALEELSVKRLRGISDGAGAAAAAAAEPIGPGLSASSLKLICLKDLYNAQCFGPLIMGAKNLTTLKLFRCSGDWDKLLELVTDHVEGLIEVHLERLQVSDAGLTALSRCQNLQILHILKTPDCSNLGLISVAENCKLLRKLHIDGWKTNRINDEGLIGVSKHCPNLQELVLIGVNSTRVSLELLATNCQKLERLALCGSETIGDAEISCIAEKCTALKKLCIKSCPVSDHGMEALAGGCPNLVKVKVKKCRGVTGDGAGWLRASRESLAVNLDTTEVESQDAASDGAVENPPATSESGGASSSNTTTRSTSFKARLGFIAGKTLRKWSSFNGGSRSQ >cds-PLY76239.1 pep primary_assembly:Lsat_Salinas_v7:4:52754527:52758345:-1 gene:gene-LSAT_4X36001 transcript:rna-gnl|WGS:NBSK|LSAT_4X36001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIATRRSRVVLEVAVLSLICGVSLVFAGDIVHQDDIAPKRPGCDNNFVLVKVPTWIGDTEEEEFVGVGARFGPTLESKEKDATKSRVTLSDPPDCCSTPKNKLTGEVILVHRGNCSFTAKAHVAEAAGASAILIINNQTQLFKMVCEADETDVDIGIPAVMLPQDAGASLKESLENKLNISVQLYSPRRPLVDVAEVFLWLMAVGTILCASYWSAWSAREAAIEQDKILKDASDEYLNTESCRSSAVVDINTTSAVLFVVIASCFLVMLYKLMSYWFIEVLVVLFAIGGVEGLQTCLVALLSCFKWFEDSAETFVKVPFLGGVSYLTLAVSPFCVVFAVVWAVYRRISFAWIGQDILGIALIITVIQIIRVPNLKVGTVLLCCAFMYDIFWVFVSKWWFHESVMIMVARGDKSGEDGIPMLLKIPRMFDPWGGYSIIGFGDIILPGLLVAFSLRYDWLSNKSLRAGYFLWVMIAYGLGLLITYIALNLMDGHGQPALLYIVPFTVGTLLTLGKYRGDLRHLWSKGEPDRLCPHVQLQHEEQ >cds-PLY82628.1 pep primary_assembly:Lsat_Salinas_v7:3:104113868:104114804:1 gene:gene-LSAT_3X76040 transcript:rna-gnl|WGS:NBSK|LSAT_3X76040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP112 [Source:Projected from Arabidopsis thaliana (AT2G18260) UniProtKB/TrEMBL;Acc:A0A178VPA2] MNDLMTKSFISYVDLKKQAKIDINSEQTDLEKGTQEPNITPSDEAYLSKFFQEVDAIKSNMEEITNLLFDLQTLNEETKSTHSRKVLRGLRDRMESDMVSVLRKANAVKTHLESLDNSNELNRKSSYKENSAVDRTWVSVTNGLRSKLKELMNDFQALRDKIVSDHKEYLKKRYYNETGEYPSDGMMETMVSGNGKVFEGKKDLVMENKERHEAVMDIKRSLNRLHQVFLDMAILVDEQGENLNDIEGNVAKAGSFVNGGTDSLFYAKQMKDKHNKNWGS >cds-PLY79991.1 pep primary_assembly:Lsat_Salinas_v7:9:45818896:45821115:-1 gene:gene-LSAT_9X42261 transcript:rna-gnl|WGS:NBSK|LSAT_9X42261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKTKKYCYGICLFSSVVINILFSINLYLGGGALQRGEKKHEIVYRPSLTWSEKAAADAEAVAAISCSGHGRAYLDSLISDGQTVCECYTCYGGIDCSEFSPGCSADADSGDPIFLEPFWMQNAEKSAIVVSGWHRMSYSYADYTSMSSMSLEVEKYIRKIHSLVGNAITEDRYLVFGIGSSQLLSAAVYALSSQNSSSPSNVVATIPYYPMYEAQTLFFNSEDFQFKGDTTSWKLNNATNNMDVIEFVTSPNNPDGELKTSVLGGKTIYDHAYFWPHFTPIPAPSDHDFMIFTLSKLTGHAGARFGWAVIKDKDAYEKVSRYITVADLGISKDTQLRVLKLLKVAVEGDGKQLFKFAYDKMRQRWDRLTSVFSKSTRFSIQKRDPLHCNFFNETRLPSPAYAWVKCEREEEDDCRAVLEAGKIIGRTGSTYNVKDRYVRLSLIKSQDDFDLLMLRLTELVSLENGNFESI >cds-PLY94356.1 pep primary_assembly:Lsat_Salinas_v7:5:170926278:170926934:1 gene:gene-LSAT_5X75061 transcript:rna-gnl|WGS:NBSK|LSAT_5X75061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYSYTDSCCDSRVGRGSGASEYDGVGGGEGSGVGGDGDGRDGDGDGSRGGGGSGDDVVVVVVAGEEVIVEEVDVELIVMVAKVVVVVAVTVKDLVVV >cds-PLY83389.1 pep primary_assembly:Lsat_Salinas_v7:8:1503116:1504683:-1 gene:gene-LSAT_8X1221 transcript:rna-gnl|WGS:NBSK|LSAT_8X1221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAQFFRFLKIVGVGFKARAESEGRLLFLKLGYSHEVELTVPPAVRVFCFKPNIVCCTGIDKQRVHQFAAAVRSCKPPEVYKGKGIISFPPPQISLVNVISLFFHKSPIAFSPLLFLRGPIISGEQLDIEGIAVPKEVVVFVASAVAGAAAKRFRELRSPTRKALQRAVFYFKEPIRCLLIGSTRSFHTCNSYEIVQVITAYKTSYIVSPILVFSSFTANQAILDAFIM >cds-PLY76790.1 pep primary_assembly:Lsat_Salinas_v7:4:187906981:187909736:1 gene:gene-LSAT_4X108761 transcript:rna-gnl|WGS:NBSK|LSAT_4X108761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESVSVSEPHPDSQRKQSEHTTVINNKDISINNDMESNISKSNMLDKRELEELSKEGFGNVIISSQHMNMNHTKQEDGEDDDATGSERDCKSPTGFIEHVVQETSQSENDADNNLKDIMSKINIEFNACDNAMRAIKKLLMQGIKKFPDSVELHMLVTKRHHEFNLAWPDFSTSVDSHAGTFLNGAATPIMPVRDNTAKTKVDQFTEAQMADEPLIDDVCTPFTQILNADAFDMMLASAFATSTRLSTTSDHVEPNIKIDDVNAVLVTIVAPRWTSRLVVSPVTVVAPRRTRRLVQLTEKLRSPYFNRVVDPNKVLRAIEERVSGWIFAGLDEEWYISKSIYPFYSK >cds-PLY69264.1 pep primary_assembly:Lsat_Salinas_v7:2:129022379:129026868:-1 gene:gene-LSAT_2X60500 transcript:rna-gnl|WGS:NBSK|LSAT_2X60500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVEVAAMMVLGSGGGVATAMVVVEAAMALVGDGIDGGAGGGNGTGSDSGGGGGDGWYSDLDWDHRIRPNLIQPYFEILNTWSLTQ >cds-PLY78139.1 pep primary_assembly:Lsat_Salinas_v7:2:61491904:61500284:1 gene:gene-LSAT_2X27520 transcript:rna-gnl|WGS:NBSK|LSAT_2X27520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYIKQVIIEGFKSYKEQVATDDFSPKVNCVVGANGSGKSNFFSAIRFVISDLFHNLRNEDKHAFLHEGAGHQVLSAFVEIVFDNSDNRIPVDKEEVHLRRTIGTKKDEYFLDGKHITKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDAERLDLLKEIGNKRNQIIQVVQYLDERLRELDEEKAELKKYQQLDKQRKSLEYTIYDKELNDARKELVEVDEERNAISEELVRKYNASVEEEEEVKKLDKSFKDLTREVQGLTREKQTIEKQRTVAVKKHTEVELDVKDLEEKIIGSSKAKDEAGKQLEVLQREIKESTSELNKTKPLYDKQVKAEEDITKEIMKKEKQLSVLYQKQGRATQFRSKAARDEWLQKDIDKYNKVLSSNEKQENLLNDEISKLERDMEAQEEHVKNRQKEINALEARISSYRKGFNKHKSKRDELHDKRKALWGTESEITAEIERLKSEVVKAEKALDHATPGDIRRGISTVRRICREYSITGVFGSLIELLECDENLFTAVEVTAGNSLFHVVVENDDISTQVIRHLNAEKGGRVTFIPLNRVKAPHVTYPKTSDVIPLLKKLKYSPNYEQAFSQVFARTVICRDLDVATRVARTDGLDCITVEGDQVSKKGGMTDKEINALVAEQQKDDAELAHEKSEVEQLKQEVANAKKHSLNISKALEKKRKLLANVETQIEQVKNNIEMKRDEMRTELVDHLSPEEKTLLSRLNPEIAELKERLVASRATRVEIETRKAELETNLSTNLVRRRQELEAVQQSQEADNLNGEVDAKKQELLEAKMAVEEVKKQLKRVSESIDDRNKEIKKINKKKADSKARLEEYEKTRQNEDKRAEQLISRKNILLAKQEEFNKKIRELGPLSSDAFEMHKKKSIKELYKMLHKCNEQLKQFSHVNKKALDQYANFTDQREELQKRQQELDAGDEKIKELISVLDQRKDESIERTFKGVAKHFREVFSELVQGGHGILIMMKKKDGDPVDNDYDEDGPLPDREGRVEKYIGVKVKVSFTGQGDTQSMKQLSGGQKTVVALALIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMVRRLADMANTQFITTTFRSELVKVADKIYGVTHKNRVSRVNVVTMEEALDFIEHDQSHNAE >cds-PLY93226.1 pep primary_assembly:Lsat_Salinas_v7:6:158678064:158682834:1 gene:gene-LSAT_6X96581 transcript:rna-gnl|WGS:NBSK|LSAT_6X96581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable phosphoribosylformylglycinamidine synthase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G74260) UniProtKB/Swiss-Prot;Acc:Q9M8D3] MAASLEITTSEFLQGSRNRNFFLPKPLPKSRSSHLLFGLSKHKRNNLVRICNQGVRRNSSKKVRAVASVDVQDLGSVDKLGTEKVIHFFRTPLIQDTANEELLKSIQTKISNQIVGLKTEQCFNIGVDGSLSSEKLSVLQWLLRETYEPDNLGNESFFSEEKKEGLNSVVVEVGPRLSFTTAWSANAVSICQACGLSEVSRLERSRRYILYVKAGSVLLDTQIEEFAAMVHDRMTECVYPNKLISFKTSLVPEEVYTIPVMEKGRKALEEINQQMGLAFDEQDLQYYTKLFKDDIKRDPTNVELFDIAQSNSEHSRHWFFTGKIVVDGQPMDRTLMQIVKNTLKANPNNSVIGFKDNSSAIKGFLANQLRPTKPGSTSPLNLSTRDLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVASTAGYCVGNLNIEGSYAPWEDTSFEYPSNLASPLQILIDASNGASDYGNKFGEPLIQGYTRTFGMRLPSGERREWLKPIMFSAGIGQIDHTHITKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACIEMGEDNPIVSIHDQGAGGNCNVVKEIIYPEGGTIDIRAVVVGDHTMSVLEIWGAEYQEQDAILVNPKSRELLESLCKRERVSMAVIGSINGEGHIRLIDSHAIEKSKSNGLPPPLPAVDLELEKVLGDMPQKTFEFHRVKDLREPLEIAPGITLMDSLKRVLRLPSICSKRFLTTKVDRCVTGLVAQQQTVGPLQITLADVAVIAQTYTDYTGGACAIGEQPVKGLLDAKAMARLAVGEALTNLVWAKITGLSDVKASGNWMYAAKLDGEGAAMYDAAVALEEAMIELGIAIDGGKDSLSMAAHSNGEVVKAPGNLVISAYATCPDITKTVTPDLKLKDEGVLVHIDLAKGKRRLGGSALAQVFDQIGDECPDLDDVPYLKTVFEVVQELISGDLISSGHDVSDGGLIITALEMAFAGNCGVQINLNSNGKSIFETLFSEELGLILEISKSNLDKVTKILQNHGVNGEIIGEVTSDPKIGLKIDGLTHLDEKTADLRDIWEETSFHLEKYQRLAASVAAEKDGLKHRHEPAWRLSFTPANTDQKYMTAVVKPKVAVIREEGSNGDREMSAAFFASGFEPWDVTMSDLLNGSISLDDFKGIVFVGGFSYADVLDSAKGWAGSIRFNPSVLKQFRDFYNRKDTFSLGVCNGCQLMALLGWVPGPGVATGDPVQPRFVHNESGRFECRFASVTIKESPAIMLKGMAGSTVGVWAAHGEGKAYFPEKGVFDRILEADLAPVRYCDDDGEVTEEYPFNLNGSPVGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPKEWDVEKKGPSPWLKMFQNAREWCSREE >cds-PLY84943.1 pep primary_assembly:Lsat_Salinas_v7:4:330141095:330142141:-1 gene:gene-LSAT_4X163961 transcript:rna-gnl|WGS:NBSK|LSAT_4X163961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRALEEAICYQAVLLGITRASMNTQSFISKASFQETARVLAKAALLGHIDWLKAASKHLQANLTTLPLNSKKKEMMEAGVHLGHGTRKWNPKTAPYISTKRKGIHVTNLTRTAHFLSEACNLVFDAASKGKQFLIVGTKNKESYSIAWATIRVRCHYVNKKWVGGMLTNWSTTETRLHKFRDLRTEQKTGGLDHLLKRDAAMKKIDA >cds-PLY88182.1 pep primary_assembly:Lsat_Salinas_v7:5:220048854:220049294:1 gene:gene-LSAT_5X102700 transcript:rna-gnl|WGS:NBSK|LSAT_5X102700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMKGFMDLGFMQQADVSTVPLNDDYPGSYFEGEFSQEVQEQIVTLILMMVSNSIYKRKVSLSGRDHDTKARSSSTVGDTSPPPQKKRKLIFYVNELAKTWILPMEDVKQIILEYNVATQKKKEVRKQNACLQNFFKDLFPLKKKG >cds-PLY83459.1 pep primary_assembly:Lsat_Salinas_v7:9:88070124:88074268:-1 gene:gene-LSAT_9X69360 transcript:rna-gnl|WGS:NBSK|LSAT_9X69360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNHTSLAMLQEPSIDTDKLSYEIFSILESKFLFGYDDPKLWKPIQVSPEVSVTPTTVDVGDVNNSVQAMKNQRGKICVLSIDGGGMRNILAGKALAYLENALKVKSGNQNARIADYFDVAAGTGVGGVFTAMLFGMNHSRPIFKAEDTWKFLAEQGKRLYQQKSSSSGSGGGGKGQGLFKRILRGGNSRGGGGGGITHATAGFENAMKEAFTINGQSLTLKNTLKPVLIPCYDLSSSAPFLFSRADALETDSFDFRLWEVCRATTALPGLFEPVSMKSVDGKTRCVAVDGGLAMSNPTAAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQVLEGNYDYNQVKGWKPNDWARPMARISGDSSADMVDHAVSLAFGQSRSSNYVRIQANGSNMGRCGVNVDSDSSPGNVKLLTGIADEMLKQKNVESILFGGKRIGNQSNFEKLDWFAEQLVLEHQRRSCRIAPTVAFKQAAPNST >cds-PLY73965.1 pep primary_assembly:Lsat_Salinas_v7:5:104972203:104972886:-1 gene:gene-LSAT_5X46341 transcript:rna-gnl|WGS:NBSK|LSAT_5X46341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATMAGVSLSIPRVLASPSSDSPKVSSWVKMPTTTRMMSSGRMYLMPVRAAPESLSEKIASSIENAKESCADDPVSGECVAAWDEVEELSAASSHARDKAKDADPLETYCKDNPETDECRTYDD >cds-PLY79013.1 pep primary_assembly:Lsat_Salinas_v7:3:9881157:9883220:-1 gene:gene-LSAT_3X7280 transcript:rna-gnl|WGS:NBSK|LSAT_3X7280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPHLAYRNSLSTVSAAAYRLRISSDDHAKHVSIVIFMARLFLPRVDIMHMRLVWHPSIHHPYACKQLFSVHHNSQFTNI >cds-PLY66414.1 pep primary_assembly:Lsat_Salinas_v7:9:38240802:38242894:1 gene:gene-LSAT_9X34101 transcript:rna-gnl|WGS:NBSK|LSAT_9X34101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKGKGAAKRDTLKPVDDKKVGKRKAPPAKAVGKSKAKTAKAAKDPNKPKRPPSAFFVFLEEFRKTFKKENPDVKAVSAVGKAGGEKWKSLTAAEKAPYEAKAAKRKIDYEKLMTAYNKKQDSIADDDEESEKSKSEVDEESGQEGGDDDEDDEDDDEDDD >cds-PLY63259.1 pep primary_assembly:Lsat_Salinas_v7:4:202302626:202306785:-1 gene:gene-LSAT_4X115900 transcript:rna-gnl|WGS:NBSK|LSAT_4X115900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSFPPLAFSVRFPLTLHTFGSLHLAITCVSSFKVTEGHETEVEEATGFSMFSSTQSHHPLPAGYLPPTNVILSVFTTFVLLKMDSTIDQCSTSIVYTRLSKIDLRSHHFSKTKQRRPGKRCGKIIWIGDELKQIHPRNESKLSDHDPVKAIFSTEVKVSR >cds-PLY64764.1 pep primary_assembly:Lsat_Salinas_v7:7:192546800:192548830:-1 gene:gene-LSAT_7X114581 transcript:rna-gnl|WGS:NBSK|LSAT_7X114581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRVALMAKPKDISRTKSSAHDELHSFRSWLRWMCVDQSNCWTFCLSWLVFIVFAIVIPVLSHFYLACSDCDNRHARPYDTLVQLSLSSIATLSFVCLSQFVRIYGLRRFLFFDKLCNESETVRRGYTEQLNSSLKIVFIFVAPCFTAEAAYKIWWYSSGATAIPFLGNAIVSDAVACTLELCSWLYRTVVFFLVCVLFRLICHLQILRLQDFAQVFHVESDVESVLREHLRIRRHLRIISHRFRVFILWALILVTVSQFASLLDTTRSTADLSIFKTGELALVSVSLLAGLMILLRSATRITHKALGVTCLATKWHVCATIESYDPPDVDMETPPTAMGVMGNQVFRRDASSSSSDNEDVGSEDELDNDKLIPAYAYSTISFQKRQALVTYFENNRAGITVFGFMLDRTTLHTIVMIEMSLVLWLLGKTVGIS >cds-PLY98082.1 pep primary_assembly:Lsat_Salinas_v7:4:78690529:78694975:1 gene:gene-LSAT_4X53221 transcript:rna-gnl|WGS:NBSK|LSAT_4X53221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSLGLRSSSYGSLLQHQQQSQNGGVFLQQKPNSTPPIASRKTSKMFVNKDKENMFMWIFKSVHRKKVGMLFLCLVSIAAMLWVFYVGKGEVPQEVHIQNLEFKNDSITSFSPASVDEERVQAITLNDDTKITASPPPPPPPPPPPPPPPPPPPPPAYFTGYTLPPDHPCETFTMPPPPADKKRTGPRPCPVCYLPVEEAIALMPKAPSFSPILQNLNYIHEENLTRTEFGGSDFGGYPSLKQRFESYDIKESMNVHCGFVRGDKPGRQTGFDIDDSDLFEMDQCRDVVVASAIFGAYDLIQQPKNMSETAKKNVCFFMFVDEETASFLRNSSDLDDSKRIGLWRIVVVHNLPYTDPRRNGKVPKLLLHRLFPNVRYSLWVDGKLELVVDPYQILERFLWRKNASFAISRHYKRFDVFVEAEANKAASKYDNASIDFQINFYKTEGLTPYSEAKLPIRSDVPEGCVVIREHIPISNLFTCLWFNEVDRFTSRDQISFSTVRDKIMSKTNWTVHMFWDCQRRNFVVQGYHRDILEHWPPPPPPPLPQPPPGALTVFMPPPSTPIDETPNISALETSMEHIVSNPTKIPPVRRRRDRRFNSRRHRKVVAGTNQK >cds-PLY77721.1 pep primary_assembly:Lsat_Salinas_v7:9:18238013:18238332:-1 gene:gene-LSAT_9X13361 transcript:rna-gnl|WGS:NBSK|LSAT_9X13361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSNPSNRIAIHQSPVRMNAVEISEDDDIQSLTPPPKPNDTRKNLQLKPNNSIVDVKRPRKLTSNVWLHYEFIKRDEKG >cds-PLY70277.1 pep primary_assembly:Lsat_Salinas_v7:2:91522895:91523281:1 gene:gene-LSAT_2X40421 transcript:rna-gnl|WGS:NBSK|LSAT_2X40421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDLDVMLLEAARRTGRNQHSTPPSGMDLDEMLLEAAGRTGRNLHSTPASRRQGKGSYSDDGSDTRDDDHGSADRADEKDGALNELLARKRLILQDPDSHYNHEDTDSVGSDLYKLKKTDKCQSFIEK >cds-PLY90195.1 pep primary_assembly:Lsat_Salinas_v7:2:15041617:15042057:1 gene:gene-LSAT_2X7280 transcript:rna-gnl|WGS:NBSK|LSAT_2X7280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQKNMEMENVGNDIFQILVSNSLFQDVKRDEYGYIARCNMHDLVHDLALSLSKHENLCLVTVDHYSKDNLRINISFKKLISMVKKENKTARPLHTLFFKGEVESIISFQYLKCLRILKFNGCKLTKIDNSIGELVHLRYLDLSNT >cds-PLY81891.1 pep primary_assembly:Lsat_Salinas_v7:8:122530019:122530972:1 gene:gene-LSAT_8X83941 transcript:rna-gnl|WGS:NBSK|LSAT_8X83941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVCSLPLIKIPLLLPSLLLGVGTLPSNSISRHFPSSYYKNQLSSSSSSSNYNYKPSASYRFPPGRRSIEEDEDDDDEDDLVGGGAKFTEAVALFNSRDYHGCHDFLESIWNDSEDPIRSLVHGILQCAVGFHHLFNQNHKGAMMEIGEGVCKLRKLNFDSGPFHQFERDMSAVLDFIYHTQIEFAACNEDMCVAMDQSETSYKLLGGYGAGKHLYWLEMDGSASLYIVFCPDRSNTSDNQPRVRLPILYASQEHLMDLI >cds-PLY78245.1 pep primary_assembly:Lsat_Salinas_v7:7:194083809:194088363:-1 gene:gene-LSAT_7X116540 transcript:rna-gnl|WGS:NBSK|LSAT_7X116540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTRYLFTPESLCNSAVAVTFSLIPSTGAGEERMTENMGPRSRTSTRAPSAIPSSFLVRMAIRISRARWYSFARRVFHYQNGSRSDDIGSNPFNTASWMYMELMGLVFQIVVIAYTLSVSKEEKPVWPMRTWVTGYGIGCVLNLGLLFWRYWLFCLNQIGAELPSNIEESRNLQMMNKSRTFMELFFAIWFVMGNVWVFDARFGTFRKAPKLDVLCISLLAWNAITYSFPFILFLFLCCFVPIISNLLGYNMNAGSINRGASEEQILKLPSWKYKHIIEPDLEQGKSIVDSSTTECCICLAKYKENEEMRQLGCSHKFHMKCVDQWLKIVSCCPLCKKELDR >cds-PLY80463.1 pep primary_assembly:Lsat_Salinas_v7:2:137401850:137406852:1 gene:gene-LSAT_2X66741 transcript:rna-gnl|WGS:NBSK|LSAT_2X66741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEVLEQIGKGAFGAALLVRHKHERKKYVLKKIRLARQTDRTRRSAHQEMELISKMRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAGAIKKANGMLFSEEKLCKWLVQLLMALDYLHLNHVLHRDVKCSNIFLTKDQDIRLGDFGLAKMLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSHKAAFKAFDMQALINKINKSIVAPLPVKYTGAFRGLVKSMLRKNPELRPSAAELLRHQHLQPYVLKVNLKLNSPKRSITSHWPESDYMKKTRFVEPVYLRPRSRERRLSCGNDRTLNPSISLGDQGSPFSTKRVQDSPHYLQRRMGRLSIGSTCEESPITRNISSKASNSTKNLKFTSSATKKRTDLSKGRETECDSRTSVKQPGPTSRRASLPLPKRATIEESPFKSANFLHHTNSMDVSVNAPRIDKMVEFPHFTPTRRTSLTSGQGSSSPPPCDRSIMKDKCMVTTSGRNNSATGSDCSDQNATAGASSRTSSDLRRRRFDTSSQKQRAEALEGLLEFSARLLQEERFEELGVLLKPFGPGKVSPRETAIWLTKSLKENTSKQEEQF >cds-PLY69407.1 pep primary_assembly:Lsat_Salinas_v7:5:301770916:301774010:1 gene:gene-LSAT_5X161601 transcript:rna-gnl|WGS:NBSK|LSAT_5X161601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGSKEVKMVINSSLAALGYNKLICIAYVDLNNVDKKITYYFFNFFWPSGGGMLELSEAIRDNHDSTGYPHMVTSLSFQKPLEIIMIVLDTPIWYDES >cds-PLY81313.1 pep primary_assembly:Lsat_Salinas_v7:4:37034465:37035673:1 gene:gene-LSAT_4X25701 transcript:rna-gnl|WGS:NBSK|LSAT_4X25701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPSLERSYLNPRYPPIYSSSIDNVFAPFLPPSKTVNDQNDDDGDHVLVNRIYYVEGDQIKRLQLLASENGCMRSKVEAFTSFLWKKFALSMEDSGKHNAVCNMAVPVNGRRRLSEGGGEEKEKPMASYFGNVLSMPYGSKKAQELKGMSLADVASEVHEFLQTATNKEHFLELIDWVADKRPQPLISKAFAGEEMSMMVSAGQRFHTMDEIDFGWGKVAFGSCHIPSERTDFFVMIMPGPVNDEDWLVYMHLPLKQINYIQEDAGDVLKPMNVDYLKL >cds-PLY69081.1 pep primary_assembly:Lsat_Salinas_v7:5:276908985:276910158:-1 gene:gene-LSAT_5X144641 transcript:rna-gnl|WGS:NBSK|LSAT_5X144641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEGEDNYEEEEETPMLGLSSLKLTVEQELINGVSATNDHNNTIRTGTLWSTVAHIITAVIGSGVLSLAWSMSQLGWIAGPIALLFFALVTYVSASLLSDCYRSPDPINGTRNQTYTEAVRVILGEKQALLCGWLQFLNFFGTGVAYVVTTSTCMIAIQKSNCYHKEGQEATCEYSGNLYMFLFGVIQIVMSQIPDFHSMVWVSIVAAIXELYYLLFLKKLYFLSYIYIYIYIYNQNQIRRKIFDRKVRTFLYIHIF >cds-PLY86912.1 pep primary_assembly:Lsat_Salinas_v7:5:266196962:266200103:1 gene:gene-LSAT_5X137681 transcript:rna-gnl|WGS:NBSK|LSAT_5X137681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) UniProtKB/Swiss-Prot;Acc:Q9C552] MWFLPLLSMQVVKDLLMVAIGSKALPLRIAEICDLIAECSVAGEDNTYNQGGRRRNMSRKSMKKTKGLDGDNSGKNTSGGNYNSNHQDQEASVPHTSFLRQQVDPETAKYFTEISNVIEGTEIDLEERSSICGNALEETRGKEVELATDYIISHTLQTLLQGCDLNQLCTFLQNCSKDFPRISMDRSGSHVAETALKSLASHLQESDTQDLVEVTLNDICRSIVVNPVEVMTNCHGSHVLRSLLCLCKGVPLDSSDFHSKKSSQVLAQRLNFKASRVDESGTHGLQMGFPDMLKFLVSEILKAARKDIEILQVDQYGSLVLQTALKLLAGYEDELLHAVPIILGCKGNFIDKNVVKRLLSLMKETAFSHLMEAILEVAPETLYNELITTVFKNSLFEMSSHHCGNFVVQALISHARNEDHVELMWKELGTRFKDLFGMGKFGVVASFLAACHRLNSHEQKCCQALIGSVCMENEPPRCIVPRILFLDNYFYCKDKSNWDWPSGARMHVIGTLILQSVFRLPIEFIHAFISSMTSLEEDHLLDTTKDNGGARVIEAFLTSNASTKQKRKLIVKLKGHFGELAVLQSGAFTVDKCFDVSNVSLKEVIVSEMVALQGEISKTRQGPHLLRKLDIEGYAKRPDQWKARQTSKETVYNDFISTFGSKETKYNESFTENRPKTQKEKIKDMRKEIDTQLTPFLAHNKKKSGQKRQSDGTERGDVKFTKHATEDDVGKSKRKAHRKRKHTEKM >cds-PLY89831.1 pep primary_assembly:Lsat_Salinas_v7:4:323192314:323193586:1 gene:gene-LSAT_4X161160 transcript:rna-gnl|WGS:NBSK|LSAT_4X161160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINLQKVLSVVFLLFFTDITTIPCNADIKSVNIRSDGRRVILFHEFECTQTGQVSVAISSVSVTAMPVTSNLSRPDPSRFGFFLVSDDALHYMNYEHISCHLDSKFITLLFTFQDLSPPSQSSFNKSYTLTYPNMYSLRFATCNPLSYVTMDVRIEFYNTHNGTTKDYLPPGQTKLPSLYFNLYLIYLCSLAFWISVCFKNHPSCQWIHLLMGLLLLMKALNMLSAAKVQHSLQVTGVPPHAWDRVFILHSCIDYVCEEIGSDAAETSEIASIVLYMVMFILFRPSEKNEYSFPLYLTVAGELVGDVELGCGL >cds-PLY61918.1 pep primary_assembly:Lsat_Salinas_v7:4:221638800:221639123:1 gene:gene-LSAT_4X120260 transcript:rna-gnl|WGS:NBSK|LSAT_4X120260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGIDRLSLAAEIPLMPLPLFSSPSTTRLDSRRPQSSSDQNGGQVAVNDSIANKGVGVGQPIPMIGRIQSQLSASLTATTAAELPCTLKLGIWYHDSNNPCASLNPE >cds-PLY72235.1 pep primary_assembly:Lsat_Salinas_v7:7:58301959:58304378:1 gene:gene-LSAT_7X40741 transcript:rna-gnl|WGS:NBSK|LSAT_7X40741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKSPSSRDRELLIPVANTVDDDDDASSKPSPSSNSSSHHAGRETFIKVVRSWASKKFMTGCVILLPIAITFYITWWFIHFVDGFFSPIYVQLGIDIFGVGFITSIMFIFLVGVFMSSWLGASVLSLGEWFIKRMPFVRHIYNASKQISAAISPDQNTQAFKEVAIIRHPRIGEYAFGFITSSVTLQNYSGEEELYSVYVPTNHLYIGDIFLVNSKDVIRPNLSVREGIEIVVSGGMSMPQILSTLDSSVNVERHRNNRG >cds-PLY85494.1 pep primary_assembly:Lsat_Salinas_v7:3:42357585:42360118:1 gene:gene-LSAT_3X32661 transcript:rna-gnl|WGS:NBSK|LSAT_3X32661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASISNLSTPATPLKSSPLLPKPPTVSVSIGFPSKLALSSSRLCLRSNAPLAASLLVKCSQVGGNGTPVKQTTLHDLYHKQGQSPWYDNLCRPVTDLLPLIESGVRGVTSNPAIFQKAISTSNAYNDQFKELVQGGKDIESAYWELVVKDIQDACRLFESIYDETDGGDGYVSVEVSPQLADDTKGTVDAAKWLHKVVDRPNVYIKIPATAACVPSIKDVIALGISVNVTLIFSLSRYEAVIDAYLDGLEASGLDDLSRVTSVASFFVSRVDTLVDKMLEKIGTPEALDLRGKAANAQAALAFQLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVAPLVGPDTVSTMPDQALQAFIDHGVVGRTIDANVSEAEGIYSALEKLGIDWSFVGNQLELEGVDSFKKAFVSLLDSLQEKANSLKLVSL >cds-PLY89048.1 pep primary_assembly:Lsat_Salinas_v7:9:28984183:28985403:-1 gene:gene-LSAT_9X25761 transcript:rna-gnl|WGS:NBSK|LSAT_9X25761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRKSYRAAETVAKSFTAEKYLKKIGLGKEDYYFWKQIGKALVCTYTLFGAMWLFNETSPLGWWTLKPVPKEEKELAHLYQRINYPYPGDEEAMTDFIAKGGMIGTMVSAKGTIDMESGPGNYQKQLQKEKFDQEALRLWLRMKNEVVQELQEKGYGME >cds-PLY79287.1 pep primary_assembly:Lsat_Salinas_v7:4:370339637:370340483:1 gene:gene-LSAT_4X182080 transcript:rna-gnl|WGS:NBSK|LSAT_4X182080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLDLVVVWVLEEVMVQGWEAWWLFISCWMSLDMVVDLCMLRMILVSMREEDDGESNMLRRNLSVDEGSFEDKIAPSSLESSPRTSMRQPPSVLLWSCLREGVACLKIKFHFYVMLCKGLFRLRLTGL >cds-PLY75186.1 pep primary_assembly:Lsat_Salinas_v7:2:203456459:203459454:-1 gene:gene-LSAT_2X124241 transcript:rna-gnl|WGS:NBSK|LSAT_2X124241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKKENPIAEVDEDEEDFDDEEEEEEEPVDSQSRMRDERATMEGFLRRMSSERVPLRVHDVIIKGNNKTKESLIEAEVQALKTATSVQELLQAATIANARLQKLDIFDSVNVTLDSGPPDLPGTSNVIVEVVESKNPITGDIGIFTKPEARSWSVEGSLKLKNMLGYGDIWDGSLSYGWDQTSEVSTGVALPRFMKLVNPVMARVSLLSQDWLKFSSYKEQAMGLSLGLISTRKHDLAYNLSWRTLTDPSQRASVSVRRQLGHNLLSHLKYTFKIDKRNSPLRPTRGFAFVSTSQIGGLFPDYRSVRFIRQEFDLRYALPLGFARAALNFGVAGGAVFPWGNGFLTTSVSLPDRFFLGGNSSPVCTLGGPTSLLGFKTRGLGPSEPRRQVQDKSNKESGSDTCPERDFLGGDLAVTTFADLSFDLPLKVLRDSNIHGHAFACAGSLVKLTESSFRDLSFQKYTDSFRSSAGFGLIVPTKLFRMEVNYCYILRQHEHDRAKTGVQFSFSSPL >cds-PLY93041.1 pep primary_assembly:Lsat_Salinas_v7:9:25343286:25345022:-1 gene:gene-LSAT_9X22900 transcript:rna-gnl|WGS:NBSK|LSAT_9X22900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLVDWRRAEEKPANQGRIASRNNGNEPQDMDQDQGHHGSRCIGPMVNKLEDLGTRLKHIEAELNKYAPTQDDVVEIPTEKKPSHYNTNIGLPTFPEKFNLDVFCKWVKELELYFEYHCVARPEQVELVVSTLPQEGEAFKWWQDIQKLNEEVYKSNPIRWAEMKVLFMDKFLSP >cds-PLY87924.1 pep primary_assembly:Lsat_Salinas_v7:4:32973759:32979901:-1 gene:gene-LSAT_4X21621 transcript:rna-gnl|WGS:NBSK|LSAT_4X21621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDVLASQFGVTHLVTHKTTHQQFACKSIAMQKMNNKDDIDVVFRELHGAYEDRLLVNRCLSSAHRAKKLKSKDEGRLMDDVEARELWGFFGGFSPLLKKIATNDAQRKAIPVADDSLTKKLLDTHHCYLLDCGTEIYVWVGRSTNLEERKVANGAAEVEFLLALFKNKRKKNLFANRKSKVCCYKANNRCFKSLQEVIGIRDGNGNGAPYLMHLKIPVSFLESVVWVILTYYVVGFNSNIARSGAVVDVIQRISVSICSLVTKVKLMEERNMKPLDLNLAALSARCSKDLELNLAKSLLSEMGQCTTAYPYNQLFGALVLKNYERQGATLLSWNLMYIVD >cds-PLY93703.1 pep primary_assembly:Lsat_Salinas_v7:2:201668639:201669487:1 gene:gene-LSAT_2X122820 transcript:rna-gnl|WGS:NBSK|LSAT_2X122820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGRPATKRKRDVTEKMGKHKVSKKGKPIVCSVCHMPGHNKVTCPTTNKPEMGKHKVSKKVEGSVNGSAVGVQGSVNSVVGGVHGGEGDVYGGEGGGVHGVQKKKVKARGVGVAVRTRKPSERILKTKLAKAVYGKNGEGNSTTNAVDID >cds-PLY73837.1 pep primary_assembly:Lsat_Salinas_v7:7:67811157:67813161:1 gene:gene-LSAT_7X48821 transcript:rna-gnl|WGS:NBSK|LSAT_7X48821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVENLVIIGSGPAGYTAAIYVVRANLKPVVFKGYQIGGAICDGATGATTKRLKLPREDEFWSRGISACAICDGASPIFKGEVLAVVGGGDTGTEEAIYLTKYARHVHLLVRRDQLKASRAMQDRLVKEETLG >cds-PLY65508.1 pep primary_assembly:Lsat_Salinas_v7:3:1053257:1056756:-1 gene:gene-LSAT_3X1241 transcript:rna-gnl|WGS:NBSK|LSAT_3X1241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPPETLAPTPTPYDALDYTKNSSNQHPPPDFGSAPPPPPPPSDSDHNFSHQLQIVCQTDPKPDTTDPKPDNGGVRSVTTEKDNSGVEEDTTSRRRRRSRWDPPPTDGDADQNGGKKRKSRWADDEPKPVFQLPDFMKDFTGGMDMDPEIQALNARLMEISRILQSGQQLDDRPEGARSPSPDPIYDNMGTRINTREFRARERLNRERQEIISQIIKRNPAFKPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGRFGQKRDLKFDPSENEDLHVLVEAETQEGLEAAAGMVEKLLHPVDEGLNEHKRQQLRELAALNGTIRDDEYCRLCGEPGHRQFACPSRMSTFKSDVLCKICGDGGHPTIDCPVKGTAGKKMDDEYQNFLAELGGTLPEGVTKQSTPLPIMGSSTSNPPWAPSTNGGGSSSTGTSAHPGLGSNVAKPGVGFGLGLGIGKEIDDTNLYIGYLPPTFEDDALIRLFSPFGEIVMAKVIKDRVTGLSKGYGFVKYSDISQANQAIASMNGYRLDGRVIAVRVAGKPPQPTVPPGPPAPTYPGGYPSQQMTAPPPGSYMTAPVPWGPPPPPPPPPYAAYAPPPPPPPPGSSMYSSYPSQQQIPSYGVQYPPPPPPPPPQNKSVITSTTTTTTNTEAQAQQSYPNNMNAPPAQSPSQSSNVYGTTTTTPNTQTAYPYSSYYTQSVVEQQSFAAAPWSLNLSADQTQQTTYGTDPEYDKFMAEMK >cds-PLY65756.1 pep primary_assembly:Lsat_Salinas_v7:5:275861073:275867973:1 gene:gene-LSAT_5X146440 transcript:rna-gnl|WGS:NBSK|LSAT_5X146440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNISSSDLIDAKLEEHQLCGSKHCPGCGHRLEGKPNWVGLPAGVKFDPTDQELIEHLEAKVEAKNLKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRNGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEREGELVVSKIFYQTQPRQCNWSEKVNNNNLLSNVIHIEGSNNEMNRRESRSGSGSCSSSKEINITTTTPPVHNNMDELSAVSVSAVMSSYNPMDQMQQLKGVDNFSFLPFRKSFDEVGTTGGEASTRSDHRRMGSITCDEHDLQQQHHVTHENHQQNPHHHPHQHQMAAAAFHVSRPSHSVSTLVPPHPLHHTSIMLDEDSFHVSRMMDNFQQQQHHHHKIGGRSTSGLEELIMGCTPSSSTDIKEESSITNHQETDWLKYSTFWPDPDSQDHH >cds-PLY77836.1 pep primary_assembly:Lsat_Salinas_v7:1:21664339:21667191:-1 gene:gene-LSAT_1X18240 transcript:rna-gnl|WGS:NBSK|LSAT_1X18240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSQLKDLSSKPQSPTSQPNLEDASDNDDLPLLSPTAATTTTTTTDDDKSDSSTPRPSIEELEKKYAAYVRHDVYGTMGCGELPWPEKVLLGIALVTLLPLRVVLATTVVVLYYLICRMCTLFMAPNREDEQEDYAHMGGWRRTVLYWSGRVLSRIMLFAFGFYWIQETCRNPKINGSIDNEVDLNEQSEEEDERPGVIISNHVSHLDILYHMSSSFPSFVAKRSVGKLPLVGLISQCLGCVYVQRESKSSNTKGVSAVVNERIQEAHENKSAPMMMLFPEGTTTNGDYILPFKTGAFLAKAPVLPVILKYPYERFSPAWDSISGVRHVILLLCQFVNKMSVTRLPVYYPSQEEKDNPKLYAENVRRLMAREGNLIMSDIGLAEKRVYHAALNGRLTVLHEKDD >cds-PLY62574.1 pep primary_assembly:Lsat_Salinas_v7:9:76271057:76276842:1 gene:gene-LSAT_9X60921 transcript:rna-gnl|WGS:NBSK|LSAT_9X60921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTEKDQELSLAKARLHELESVALSRQKEIVFVMGVTLTKIEFPRFGGDDIKAWLCKFEDFANHNAFDLLAKYSTTHLVFNDDIQGTSMVFVGLISALKLVGGTLADHRFLFLRVGENVFIDDNDLVIEDVDFCDTHLMLIVKEVVDYTLLNGKFEIIQQQNPLQKRTRVLYGIASMGPTIDNKSNNNKDGESNSWNDLSEFYGCENHQVVSSDGVKVALTIVYSHKRKKEGENPGLLHGHGAYGELLHKKWYNESKSLLNRAWILAYADVRGGGGRGKKWHEDGRSIKKQNSINDYISCAKFLINNKIIHQNKLAGWGYSVGGLLIASAINFSQHLFRAANFKVTRIIGAGVH >cds-PLY87500.1 pep primary_assembly:Lsat_Salinas_v7:8:95886895:95887441:1 gene:gene-LSAT_8X67241 transcript:rna-gnl|WGS:NBSK|LSAT_8X67241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFLDLNLSESDYTLDLLHDTNASSLSSSSTNPEERVFPCNYCKRKFYSSQALGGHQNAHKLERTLAKKSRYINAGVRAWNQASRSYSNGSSHVDWVQRPFVMGMEHQGHVGRTGLDYCYKGESVQDDFHQLDLSLRL >cds-PLY78415.1 pep primary_assembly:Lsat_Salinas_v7:3:236219523:236227108:1 gene:gene-LSAT_3X132021 transcript:rna-gnl|WGS:NBSK|LSAT_3X132021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCTDSASSSHGGEYKNFRQITRDRLLLEMLKSSSSGDSRGWKVLIMDKVTVKVMSSSCKMTDITDQGISLVEDLFKRRQPMPSMDVIYFIQPIKENIVMFLSDMSGREPLYRKAFIFFSGPTPKELVSHLKNDSSVLPRIGALREMNLEYFPLESQAFTTDHDRALEELYSKSSEKSRQFDITMTTMAIRIATVFASLKEFPVVWYRAKGMDGTSAATFRDLVPVRLASAVWDTISMYKTSIPNFPQNETCDLLIVDRSVDLIAPIIHEWTYDAMCHDLLDLDGNKYVQEVPNKSGGDPQRKEFLLEDHDPVWLEMRHLHIAEIAGKVNKVVRDEGLRDLGQLEQDLVFGDAGTKELIHFLRSYQHTDTEFKLRLLMIYAMVYPEKFEGDKGTKLMQVAKVPSEDIKVFQNMKLLEGTNSKKKHHGAFSLKFDAHKKHHALRKDRTGGGEEEEETWQLSRFYPMLEDLIEKLGKNDMPKDEYQCMNGPRPKNAATNDTSAKSGGTANHPNSRRSRRTTKPHASGDGHSGNSTSTATDFKNMGQRIFVFIIGGATRSELRVCHKLTAKLKREVILGSTSLDDPTQYISKVKMLSE >cds-PLY72372.1 pep primary_assembly:Lsat_Salinas_v7:5:6515175:6517965:-1 gene:gene-LSAT_5X3921 transcript:rna-gnl|WGS:NBSK|LSAT_5X3921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVCISKSKSSPRTDVGSPYDQRSNEPNIVYSKSPAPEAQLPARKQPPPPSPKRFFKSDTILGKSFEDVKEHYTMGRELGRGQFGVTYMCTQNSTGLKYACKSISKKKLITKGDKEDMRREVQIMQHLSGQANIVEFKGAYEDKQSVHLVMEVCEGGELFDRIIAKGHYSERAAASICRSIVNVVHVCHFMGVMHRDLKPENFLLLDKSENALLKATDFGLSVFIQEGKSYRDIVGSAYYVAPEVLKRKYGKEIDIWSAGVMLYILLSGVPPFWAETEKGIFDEILKGDIDFESDPWPSISTSAKHLVQRMLTLDPKKRITSAEVLEHPWIREDGEASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENLSAEEIQGLKSMFMNMDTDKSGTITYDELKTGLARLGSKLSESEVRQLMDAADVDGNGSIDYIEFITATMHRHKLEREEDLYKAFQHFDTDGSGFITRDELESAMKKYGMGDEATIKEIISEVDTDNDGKINYEEFCTMMRSGTQGGKLF >cds-PLY66547.1 pep primary_assembly:Lsat_Salinas_v7:4:339557140:339559586:-1 gene:gene-LSAT_4X167661 transcript:rna-gnl|WGS:NBSK|LSAT_4X167661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSDSGYAPPSANNFLSAAKDNMTVEECETMIQRSLRNPLGSDYRTQFKLGNGGLLGASYIQKSIEIYEEIAKQPLNNNLLKYGVRGHLLNVGISQRCKGDGNTGGEDRDAFIDAFSKSVNEKKIEKGQWLYANGCRPYPEFSMDDWTHDEDLYDFSYSDSVEGDILNFSQVLRVIGIKPCEKVALFADNSCRCLVADQGSKGESQVRD >cds-PLY77893.1 pep primary_assembly:Lsat_Salinas_v7:1:26615050:26615232:1 gene:gene-LSAT_1X22380 transcript:rna-gnl|WGS:NBSK|LSAT_1X22380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYESWVVKHGKVYNTLEEKEKRFQMFKDNLKHIEDDNSGGKHSYKLGLNNFADLTKEEFH >cds-PLY95955.1 pep primary_assembly:Lsat_Salinas_v7:9:43120567:43121457:1 gene:gene-LSAT_9X38701 transcript:rna-gnl|WGS:NBSK|LSAT_9X38701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQAGDDNKGTLAVQSLRNTLMSSILSAMVTSIITVALAALINNTYSAKDLFSNSFFGLHTTKILFLKYGSAFLFLLTSFLCSSMAVANLIDANYLIYALGAEPEAEGVASPSPSSSRKYTRDILERGFILAIFGNRMLCITFPVLFWLFSPVVFVIASLVLVWGLYVLDFVNVAPYY >cds-PLY84743.1 pep primary_assembly:Lsat_Salinas_v7:5:230459309:230459795:-1 gene:gene-LSAT_5X110781 transcript:rna-gnl|WGS:NBSK|LSAT_5X110781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIMLNIFSLIGLNSAIYPSSLFFAKLPEAYAFLNPIVDIISNKSGSKQVAGKLKLELAQFAELEAFAQFASNLDKATQNQLARGQRLRELLKQSQSAPLGVEEQVPTIYTETNGYLD >cds-PLY73696.1 pep primary_assembly:Lsat_Salinas_v7:5:203768888:203769454:1 gene:gene-LSAT_5X92221 transcript:rna-gnl|WGS:NBSK|LSAT_5X92221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSRSIKLLRVGNVRDDDPCPPCDCQDAISVERTAWTDDNVARMFWNCKNSLAAEGPKCKFFMWKDKEMEEGYHKEQLRKMRFELKRKEEFSEVSKGQKKLVKLQQAMEADKQVFETQLMELMKQNRMLKCGIFVMVLVVIAMWLKWT >cds-PLY63462.1 pep primary_assembly:Lsat_Salinas_v7:7:152578829:152579756:1 gene:gene-LSAT_7X91261 transcript:rna-gnl|WGS:NBSK|LSAT_7X91261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASADVEYRCFVGGLAWATSDRSLEDAFSQYGEIVDSKIINDRETGRSRGFGFVTFKEEQSLRDAIEGMNGQSLDGRNITVNEAQSRSGGGGGGRREGGGGYGGGRREGGGGGYGGGGGGYGGGGRDRGYGGGDGGSRYSRGGGESEGNWRN >cds-PLY64381.1 pep primary_assembly:Lsat_Salinas_v7:4:24850461:24850658:1 gene:gene-LSAT_4X17740 transcript:rna-gnl|WGS:NBSK|LSAT_4X17740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYTSSPPSTILCGADVEGFCHGRTDCNTITFNLSSPLILIKPSRIRIRPCHMARTSWLMLRYWF >cds-PLY82267.1 pep primary_assembly:Lsat_Salinas_v7:1:66890544:66892035:1 gene:gene-LSAT_1X58201 transcript:rna-gnl|WGS:NBSK|LSAT_1X58201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDLENDVEVPRFFLCPISLEIMKDPVTLSTGITYDRDSIEKWLFSQKNDVCPVTKQVVVDIELTPNHTLRRLIQSWCTINASSGIERFPTPRLPISRTEIVKLLKDSKSPQLQMKSLKRLKTIVMENEKNRRLMESVSAADYLASILTTGAGEVSGVDGCVSTEADEALRILYHLKLSPTGLKSLFGKTENFVVTLTRVMQRAASFESRAYAVMLLKSMFEVAEPIQVTSLNTQFFTELVHILVDQISQKATKATLKLLISVCPWGRNRIKAAEAGVVPVLIDTLLDTTEKRLTEMIMIVLDQLCQSAEGRADLLKHGGGLPVVSKKIFRVSSIASERAVRILYSVAMFSGNARVLEEMVQLGVVGKLFLVLQVDCGSKMKEKAKEILKMHSRVWKKSSCIPNDLISAYPS >cds-PLY96883.1 pep primary_assembly:Lsat_Salinas_v7:2:110717323:110717630:1 gene:gene-LSAT_2X51581 transcript:rna-gnl|WGS:NBSK|LSAT_2X51581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGILPVEEVNCSGTVGVVGEVKCNGMVVVDISRVEVVKCSDMVGEAISLVVEVMYSGMEEVET >cds-PLY87937.1 pep primary_assembly:Lsat_Salinas_v7:4:33512740:33516709:1 gene:gene-LSAT_4X22000 transcript:rna-gnl|WGS:NBSK|LSAT_4X22000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERRDYNRGGYSRNRVDRYYDDPQDGGRGGYGADRYYEGEHDGSRGGYGGDRYYGSAQEGGRDSGYGGDRYHGSPQEGGRDSGYGGDRYHGSPQESGRGGGYGRDRYHGRAQDGGRGGGYGGERYYGGAQDGGRGGGYGGDRYYRGAQDGGRGGGYGGDRYYGGAQDVGGADAGYLSRRGSRDRNYSHPYNNRHQEQYGGSRRRESSGGGGGGSQLYRNRTPTYHRQNTDRVHDLSARDREIRASPVEYSQPVRSRSRSPAPTITQTQLRLADRIQEVHHREVEEVHHREIQCIPSPMDDEEYAYVPIKRPDHGKLAMKSVKLLVNHFPVKFDPSKAILRYDVDIKPEMSSSSSSRSLKKPIPKSDLRLIQEQLCSDNPNQFPLLQTAYDGEKNIYSSVSLPEGTYNVQLHGRSYTCKIRYGTKLGLSKLQDFLKGNAIQIPRDVLQALDVVMKANLFREKVPVGRGLYPRVHRKEDDLHGGVAAFRGSQQSLKVTSNGLVMCLDYSAVPFRKRMPVFDFLKECIQGIREVNDIERFGNQVIRALKGLKVGVIHRRTNQKYTVCGLSEKATRDLSFVLEDLEGKKEPEVIMLTDYFREKWGKEIKHKGVPCLNLGNSKKPNYVPIEFCILSEDKRFPKEQLGKEAARKLKELSLLNPNCREREIHNLVHDEYAPGKNGANAIKNFEVEVGMSMTEVDGRVMAPPQLKLGSLNGKTMATTVDRMKCHWNLLQGKTLVVGKSAERWAVMDFTRDDRRNSLNVNYFIGKLMKRCESIGIQMEEPIMVHQTTMREFSDVNTLNRLLKEIVDECRRRDNRRLQMIVCVMAEKHDGYKYLKWVSETQIGVITQCCLSFNANKANDQFLANLGMKINAKLGGSNVELIERFPRFNGSDHFMFIGADVNHPTAANQSSPSVAAVVGSVNWPAATRYAARVSPQHHRKEEIVNFGSLCLDLVNTYEHRNGIRPNRIVVFRDGVSDGQFDMVLNKEMVDMKKSLYTENYRPAITFVVAQKRHTTRLFLNNGNGIGNVPPGTVVDTTIVQPFEFDFYLCSHFGGMGTSKPTHYSVIWDENGFTSDEMQKLIYHLCFIFARCTKPVSLVPPVYYADLVAYRGRMFQEVAAESESESGMGGSESSSFDRFFYNLEDHLRDSMFFI >cds-PLY99376.1 pep primary_assembly:Lsat_Salinas_v7:7:145324795:145326525:-1 gene:gene-LSAT_0X4061 transcript:rna-gnl|WGS:NBSK|LSAT_0X4061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILSPYSSSFLDTLFCNEEHDHQWSLEDGDGDEDEDEVTQTTLTDSSDLHFPSLGQQDLFWEHEELVSLFTKEQEQEKQTTCTFSFDQTDPSVFLARKEVVEWILKVKAHYGFTPLTAILAINYLDRFLSSLHYQKDKPWMIQLVAVSCLSLAAKVEETQVPLLLDLQVEDAKFLFEAKNIQKMELLVMSTLKWRMNPVTPISFLDHIVRRLGLNNHLHWDFFKKCEALVLDLVSDSRFAWYKPSVLATATMLRVIDETDLPNYIDYKSQLLDLLKTTKENVNECYKLVMELPYNHHNKRNPEENETTYPVSPAGVIDFTCDESSNDSWEINDHLFNQPSFKKTRFDQQFGFSSFLSFEPFMSPR >cds-PLY70078.1 pep primary_assembly:Lsat_Salinas_v7:4:204237218:204238940:1 gene:gene-LSAT_4X115001 transcript:rna-gnl|WGS:NBSK|LSAT_4X115001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVLFVFLLLSLPLIYFLLKLIKNRSRFSPPGPLGLPFIGNLHQIRRSSLHTSLWQLSQSYGPIVSLNLGFIPAIVVSSASVAKEALQTQDIIFCRRPSFVGTNKLSYNDRDVTFSPYNEYWREMKKIFMLHLLGPKKVESFRYIREDEVSSAMKKIHELILSSKPVNLSELLKSVACTIMMRVAFGKSYQHGYDIKEVIRLLSEVQALLVDFFVSDIWHGVPFVGLVDRLLGKMNRLDECFNYFDVFYQKLIDEHINSGNFMSNEEEQDFVDILLRLKEDHNLTDDHMKALLMDVLVAGTDTSAATVVWAMTALIKNPEVMKRAQEEVRNVAGKKGKVDEDDLPKLTYMKAVVKETMRLYPPVPILVPRETTKDAILHGYKIKAKTVVFVNVLAIGRDPESWERAEEFLPERFLGSNIDFKGNDFGLIPFGAGRRICPGISMGVVTVDLLLANLVYRFDWRLPNGMNKEDIDFDVMPGLTMHKTNDLCLLANVYM >cds-PLY66035.1 pep primary_assembly:Lsat_Salinas_v7:4:284438451:284439963:1 gene:gene-LSAT_4X144160 transcript:rna-gnl|WGS:NBSK|LSAT_4X144160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECSILKLVIEKGPREGESLEYSSGSIVKIGRIVRGNTIGIKDAGISTKHISIEFDNESSKWEVTDLDSSNGTILNGQMLLPNVPSTLEDGDCIKIGELTSIIVKIGGVQSTIRPQRNPRRKGKSGVAADVERERSGGKLGLDGDLGENAVEEPVPKRNLRPRGKKAADSKTEVESLNVKRTLRSSKNEDKASSISTLNQISEDTSTDVRQAADQVVPVEQRKTRGRKKQLPVPHTTDDPDLDKGKPAETVLPVNVKKTRRGRKGLLTEPEPLEDLQTSESVRAHMTEEPTSTQEDHEKNVVKNSGLEENLDANHEPSQDHVAEKLVSTQESCEQRESLVDQSLVKETSVDTSRWQDLEKMTLGDFFDYLEWYLPKEIIEKTEEIISQLPEKNRKYHEARLQRNEKDKEKQAMD >cds-PLY94855.1 pep primary_assembly:Lsat_Salinas_v7:2:180600659:180602827:-1 gene:gene-LSAT_2X103561 transcript:rna-gnl|WGS:NBSK|LSAT_2X103561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRLISLEPSNMVVIRIEHGQKCSGELTLKNVMYTMPVAFRLQPVNKARYTVKPQSGIISPLTTLTVEITYDFHQNSSLPTSVPYCDDTFLLHSVVVPGAAMKNPSSTHDSVPNDWFTARKKQVFVDSGIRVMFVGSVVMASLVKNGSIDEIRDVLEKSDPISRAVDSVDNEGQPLLHLAIGQSRPDLVQLMLEFEPDIEARNRLGSTPLEAAAASGESLIVELLLAHRASTERSETSTWGAIHLAAGGGHVDVLRLLLLKGADVNMMTKDGNTGLHLAVEEHRRDCARLLLASGAQVNIRNSGDGDTPLHIAAALGDEYMVKLLLQKGAYKDIRNQSRKTAYEVAAEQGHTKLFDALGLGDRLCVAARKGEVRTINRILESGALINGQDQHGWTVLHRASFKGRTEVVRFLIEKGVDINLRDEDGYTALHCAVESGHVDVLELLVKKGADVDARTSKGATAMQIAESLNYSGIKRVLIQGGASKDEFTHVTKIAPAFAGKNGGRSEKDMGSMKKRNARAKALRDSFDLSSTPLAAI >cds-PLY75894.1 pep primary_assembly:Lsat_Salinas_v7:9:199797743:199799784:1 gene:gene-LSAT_9X123340 transcript:rna-gnl|WGS:NBSK|LSAT_9X123340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPEIEKYARAKIEEQHPDLPLHLSLLKPDGGCINPHCYMKIFEEEGKAQKLTEAFASGETLVRKLHRIRNKELVKFIDKDFNGIKDIHVISKATIGVVADAESNLLNHSFLDMVGTAGEVKHAEELILDNILKSYSSICYPVILMPPGICWDKINIPLHKVCRVLGTYGSNLLRIEVETKTWIKIEQGPSPGVWEWERVVNVFGPKENVNKAKYVIQSVISDQPGELSATEALNEFLKQFKEPSCSELIDTIDEESNKKSKKQKVGEHKWGQTFLRVKKSDGEGSKETEGKSEFKVPTWSQTFGHVASSSPGGSKQTKQGNEYDNDDEYSGEVEKEKLQAEEGGSGSGSSSTEKEKKKIDKGKQHMKSEDE >cds-PLY74530.1 pep primary_assembly:Lsat_Salinas_v7:7:41721259:41727931:1 gene:gene-LSAT_7X31561 transcript:rna-gnl|WGS:NBSK|LSAT_7X31561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:Projected from Arabidopsis thaliana (AT1G29940) UniProtKB/TrEMBL;Acc:A0A178W5B4] MKSEAVARVSGRHRMTPHLPNNPDYEPLRELFSHHIHSFDHFIEYGLEKALMNIKPIEVCRQAKISYTGKFLVDVCFKYGDGPGGAVIREKFNFGQLPVMLKSKLCHLKGADPQKLVSCNEEPSEMGGYFVLNGLERVVRLLVVPKRNYPTSMVRSAFKNRREGFTDKAVVIRCVREDQSAVTLNLYYISNGSARVGFRIRGRENLLPIGLVLKALIDTTDHEIFMSLTSVYNEKYEKAMGCVGTQILSERAKIILNEVRDLNLFTRIQCLQYIGEYFKPFMDGMENESHSAVADAVLREFILVHLDNNHDKFNLLIFMVQKLFSFIDQTSIPDNPDSLQTQEVLLPGHLVTIYVKEKLQEWLLKAKKLLQDEADNRKKNFEFGSLADVKKALDKNPAKQVGSAVENMLKTGRLVTQSTLDLKEKAGMTVQAERLNFLRFLSHFRAVHRGSSLAGLRTTSVRKLLPESWGFVCPVHTPDGSPCGLLNHLTASCRITSYYDSEGIIRDFSKINKSILSVLVGAGMTPAIPKLVKAGPPEILHVLLDGRVVGAIPTDIVEKAVNHLRKLKLLAASAIPEDLEVGYVPISMGGAFPGLYLFTSPSRFIRPVKQKFPEEKNNNIELIGPFEQVYMEIECPDGGNGGRLKEFPATHEEIHPTGILSVVGNLTPWSDHNQSPRNMYQCQMAKQTMGFSSQGINCRADQKLYHLQTPQAPIVRTATYEKYRIDDSPLGTNAIVAVLAYSGYDMEDAMVLNKSSVDRGFAHGHIYQTESIDLADEKNKSNRANRVFRRSNLDKKSHSFIDSDGLPYVGQKIKPGEPYYSMYNEVTSTSFNQKLKGSEPVTVDYVAIDVKNKKQLQKANIRLRRGRNPVIGDKFSSRHGQKGVCSQLWPDIDMPFSGVTGMRPDLIINPHAFPSRMTIAMLLESIAAKGGSLHGKFVDATPFSSSVKKPDGTLENEEDSLVNELGSMLTSRGFNFYGTEVLYSGVYGTELTCEIFIGPVYYQRLRHMVRSTGTVDQVTRQPIKGRKKGGGIRFGEMERDSLLAHGAAYLLHDRLHTSSDHHIADVCSICGSILTTSLIQHQKKAMREIAGLPPGRVPKKVICVNCGTSKGMETVAMPYVFRYLAAELAAMNIRMTLQLSNGAGA >cds-PLY69329.1 pep primary_assembly:Lsat_Salinas_v7:7:79860974:79866334:-1 gene:gene-LSAT_7X56501 transcript:rna-gnl|WGS:NBSK|LSAT_7X56501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar RNA-associated protein [Source:Projected from Arabidopsis thaliana (AT1G17690) UniProtKB/TrEMBL;Acc:Q8H1E7] MAKPRFGKKRGGSRPKANEFTKNIKNKRARRNHVEDEKIPDTPSSPSDSGNSSEEHADIASEEEEEEVAVYKEPSMYDNLLKTLGSASETIADAYKRRQRAEEGKSDTDEEEDNDLESLSGSEQSEDETETGSLRGDLDPTDLQGLAEVEEHSEDDADETFESDGEEDFTADDQSIAKESESTSSYSAHLGYKLSKEEAENLSRKKGKYKWEVDAANCKWVATKELLLEDSSMDSPYGLNPKLYDHWSNTYNASGGHDLHSSRQRSFFSLCNTYRDILHHNKKPFYLKGREEDLSIMDAYLLHSLNHVFKTRDLVTKNDKKLSNNKESKHEEVLDSENFLDHGFTRPKVLILLPMASIAYRVINRLIHLTPSGHRVNVEHIGRFCDEFGHGKSDDQEDEDEDGKKSKSWKTSKPSDFQTLFGGNNNDHFMIGIKFTKKTIKLYSDFYTSDMIVASPLGLITKIGEAELEKEKDVDYLSSIEVLIVDHSDIIAMQNWSHVNTVVEQLNRIPSKQHGTDIMRIRPWYLDGQARFYRQTIVLGTHVNPDINAMFNNHCLNYKGKVKLSCEHKGVLPKVLLQVRQIYERIDTESIADADDSRLEYFKKKVFPKIKDSVQGGVMIFISSYFEFVRVRNFLKSQDASMCLLGEYTEQSDISRARVWFFQGKRKIMLYTERAHFYHRYKIRGIQNLIIYSLPERKEIYPEIVNMLEGSHSMNCTVLFSRFDLLRLERIVGTTPAKRMVTSDKRIFTFC >cds-PLY77802.1 pep primary_assembly:Lsat_Salinas_v7:2:169048006:169049560:-1 gene:gene-LSAT_2X92520 transcript:rna-gnl|WGS:NBSK|LSAT_2X92520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWFEYEIYKKHGLLESHQPISSFSPPFSVTIHKTSNPSSSPGVKISPVILIIIIILAVLFFISGLLHLLVRFLTKYHSSTSITSLRSNRYPSTPDHTLQRQLQQLFHLHDSGLEQSFIDTLPVFMYKEVVGANNSSFDCAVCLSEFSEMDKLRLLPTCSHAFHINCIDTWLLSNSTCPLCRNTLFNPGFSIDNPIFEFDEMREADETGLASAAGSKTVQEHIIAEKGVFLVRLGKFRKLIDGGEVAGGETSSSNLDARRCYSMGSYEYVVGDSSLRLALNHKKDNQDEKITISDEREGKKINVGAKTDSYSVSKIWLWSKKGKFVGSSENQMHNPSSVDMELPWMSRIQGT >cds-PLY83750.1 pep primary_assembly:Lsat_Salinas_v7:4:42193562:42194862:-1 gene:gene-LSAT_4X29580 transcript:rna-gnl|WGS:NBSK|LSAT_4X29580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSELQNEPSSPPPPPPPASSESPSSPPSAPSDDPPPCRFDPCRTIGIIKRKALIKDLAAVYHAECLAYCQELLELQRKCEEPYPDIKVAADSRKETMRPPKRLKKSR >cds-PLY88143.1 pep primary_assembly:Lsat_Salinas_v7:5:220931478:220934741:-1 gene:gene-LSAT_5X102760 transcript:rna-gnl|WGS:NBSK|LSAT_5X102760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVDDKTNQEWPISLHAYSDLTNVSPVVFLYLLKECYVYGTCKATAKFFALQHQVHQALHHVPKPGPAVFVAHCLSLLPIFESHCEGFSHLMIAALRRRLKMGTTQEDLHEAKLFATQLFIIAVRGDKIHDDRILVKMLEVFNIKITDIKEVMFQFHSDVKNDRFEDIADVFVEQFISKLLQSQSYMAAVTLLEHLSIRHSGESFLHMMLENKEYRAAEKWATFIGKPMICLLIKGYVDRNMIKQAYDLIKKNDLREEFPQVYQMGKESTLKKLAEKGCWDIAEMRAKSDRQLLEYLVYLAMEAGYSEKVDELCDKYSLEGFMKGKEAEVSLPHIRYLHLDELAIDNIVWVDEPIGLRDATCHIEGCKVVGLDCEWKPNYEKGSKANKVSIMQIASEKMVFIIDLLKLSHDAPDVLDSCLIRIFHSPRILKLGYNFQCDMKQLSQSYEDFDCFKRFEMLLDIQNIFKEPRGGLSGLAKEILGVGLNKTRRNSNWEERPLTQNQLEYAALDAAVLIHIFWHVRNQSQIQSSDVSNEHNNNTQMEWKSHIISHVGDLTKTKKKDKSKKNSNVGIKESHPSSKS >cds-PLY85545.1 pep primary_assembly:Lsat_Salinas_v7:2:196641400:196643336:-1 gene:gene-LSAT_2X117881 transcript:rna-gnl|WGS:NBSK|LSAT_2X117881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFTHISALNYRFSHQFRHKKLKPTSTRDTVICSSTIRPKRNSKSVENTEAHDLVRMLLRNSNNDKSLLSTLNKYVKLVRTEHCFLLFEELGKSEKWLQCLEVFRWMQKQRWYVADNGVYSKLISVMGKKGQTRMAMWLFSEMRNSGCRPDTSVYNSLITAHLHSKDKPKALSKALGYFDKMKGTERCKPSIVTYNILLRASAQAKNIVQVETLFKDLEESICTPDIFTFNGVMDAYGKNGMINEMERVLARMKSNQIKPDIITYNLLIDSYGRKQEFEKMEQVFKSLLRSKERPTVSTFNSMITNYGKARLRDKAELVFEKITDMGYAPNFITYECMIMMYGCCDCVSKARGIYDKMIESEKQVKVSSLNAMLNVYCVNGLPLEADKLFESASNSGVIPVDSSTYKLLYKSYTKSNMKELLQKLLKHMDRDGIVPNKRFFLDALGALGSSRGESKPEYIGSKVRFNRSAPATTGPTSNMGS >cds-PLY66478.1 pep primary_assembly:Lsat_Salinas_v7:5:327036779:327040808:-1 gene:gene-LSAT_5X182601 transcript:rna-gnl|WGS:NBSK|LSAT_5X182601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEEGRNGHHPLLRGGRRSNEKYSHGFSSSQIQSLAAICEAFIPPIVPPPNPSPNQSVSSFYSATGSQPPVPQETAEKMMKRYPPEAVFLVKLILMLLSTKLGTLLVCGSICFDTKWPFIHSFHDLNLNKREKILQKWSNTYTSYIPLRLVFLVLKLMVFYIFFSLTDENSDNPSWEAIGYKVKSTNKTSNNKKERPLDQGVIETEKESDASFKKLVKNKGLELIEDDENGFYNIKCDVVIVGSGCGGGVAAAVLASSGQKVVVIEKGHYFCPDDYSLLEGPSMNELYEKGGVLSTLDAKVMILSGSTLGGGSAINWAASIKTPEDVLENWAVDKKIPMFGTAEYRSAMEIVCKRIGVTETCTNESFQNKMLRRGCENLGLTVEAIPQNSSENHYCGLCGYGCKTGDKKGTDSTWLVDAVENRAVIFTGCKAERIVIDDRKRCRGVIATAESSTITRKLRFESRVTIAAGGSLSTPPLLVSSGLTNRHIGRNLHLHPVLLAWGHFPSASGVETDGKCYEGGIITSLHKVREPSSDVNENVNVRAIIEVASLGPATFASMFPWVSGADMKDNLVKYSRIVKLFSLVRDESCGEVREVGKIKYRFNETDKENLRIGLRQALRILVSAGAEEVGTFRNDGQRMKTRGIKDSDLEEFLDDVSAVGGPKSRGVNWTTYGSAHQMGSCRMGCNEEEGGVDVNGETWEAKGLFVCDASVLPTAVGVNPMVTIESTAYCISKKIAQAMEKGMYSD >cds-PLY78568.1 pep primary_assembly:Lsat_Salinas_v7:1:105757175:105759781:-1 gene:gene-LSAT_1X83041 transcript:rna-gnl|WGS:NBSK|LSAT_1X83041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKLARLLHLKGIHITFVNTEINHNNLLKSGGPHSLDDEPGFQFKTIPDGIPDDLRGDRLELSKSILTNFLGYFLDLVARLEIPVTCIIGDGMTPFTVDAAETLKVPTMQFWTFSASAFWGYYQAPNLIEKKLIPLKDESYLTNGYLDTIIDWIPGFEAIRLKDLPGYVRTTNPNDVDYNFVIECAKATRKISNIIIHTFEDLESTVIKALNPIFPHIYTIGPLELLLKHIQNEQETKKLDNKSYSLWKEEPECLNWLQSKEPNSVIYVNFGSLAVMSSQQLLEFGWGIANSNHYFLWIIRPNLVVGESIVFPQELKEIINKKGFIASWCPQEEVLNHPSVGGFLTHCGWGSTIESLTAGVPMLCWPFLWDQPTICRQICKEWKVGMEIGESVKRDEVEKLTKELIGGEMGKQMRIKAMEWKKKIEIATSSNGSSFLNVEKLANDIHMFSTK >cds-PLY94738.1 pep primary_assembly:Lsat_Salinas_v7:8:51662659:51664068:1 gene:gene-LSAT_8X38520 transcript:rna-gnl|WGS:NBSK|LSAT_8X38520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSTDDQSTTTETTSTVAAPVTTPPLIGSGGSMVVDMEGGLEAESRKLPSSRFKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEHEAAKAYDTAVQRFRGRDAVTNFKPLPADTEEAGLEASFLNSHSKAEIVDMLRKHTYNDELQQSKRSCNLNKTPCTNGFRSGQQLVNAREQLFEKTVTPSDVGKLNRLVIPKQHAEKHFPLQSESTSKGVLLHFEDIGMKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLKAGDIVSFQRSTGSDKQLYIDWKTKNGSGSSNIQEQVQPVHMFRLFGVNISSGGVESSCNGKRSNIEMELLGLGNCKKQRIIDPL >cds-PLY89052.1 pep primary_assembly:Lsat_Salinas_v7:9:28186975:28187172:-1 gene:gene-LSAT_9X24641 transcript:rna-gnl|WGS:NBSK|LSAT_9X24641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPRRPLPPPSSTPAPPPLPPFLSAASDYLRETYICRTNFRSGSATFRSRYVVTASSRSLL >cds-PLY87442.1 pep primary_assembly:Lsat_Salinas_v7:2:134215403:134224865:1 gene:gene-LSAT_2X63641 transcript:rna-gnl|WGS:NBSK|LSAT_2X63641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NAP1 [Source:Projected from Arabidopsis thaliana (AT2G35110) UniProtKB/Swiss-Prot;Acc:Q5S2C4] MIPYQLNCEVHDQAIVSCDAIHHERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQSEVIWYFQHVGINSSKSKTNRIVAVDINANDPTIGFLLDGMDHLCCLVRKYIAAVRGYGLSYLSSSAGRIRFLLNTPGMVALDLDTTLKGLFQKIVDHLENIPKPQGENISAITCDLSELRKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNALYNWSRCSKCVDELESQLSKHGSLKRLYFYHQHLTTLTRIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGSLETQLLPEQAAILMNLTSRLSIPSAKSPRVSGIHLPGYESYPENNNSIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILGNFRRRLHTVLKSDTDLQRPTVLESLIRRHVSIVLMAEQHMSMDLTQGIREVLLTESFTGPVSSLHTFDKPNNDQQTGSAIEAVCNWYIENIVKDISGAGILYAPLHRCFKSARPVGGYFAEAVTDLKELHAFVRIFGGYGVDRLDRMLKEHTAALLNCVDSSLRANRESLEAVSGSILSGDRIEREANVRQIIDVETVIGFCIQAGQAIAFDALLAEASGAVLLEGAPLIHSLLAGVAGNLPVEVPEKRVVRRMRRVANGVGVVVDHDSEWVREILEEVGGGSDASWSLLAYLFSTFMTSSIWNTTAFNVETGGFNTNVHCLARCISAVIAGSELVRVQREHQQRQLYANGHAGDTVESETPDQQSVESNIKSTMHLFVKISAGIALDSWNEIDRSHLVAKLIFLDQLCSISPYLPRTSLEQHVPYAILRSIYSQYYGNTHSNPMSLLSMSPRSSPALSMGHSSPVVRNPYGDSTPQSGHDSGYLNDNDKGHGKGNDHKHRNGRRSGPLDYSSSQKLKNEGSTSGSRGPSPLPRFAVSRSGPISYK >cds-PLY66179.1 pep primary_assembly:Lsat_Salinas_v7:4:34440054:34444354:-1 gene:gene-LSAT_4X24181 transcript:rna-gnl|WGS:NBSK|LSAT_4X24181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSVSKQVGHNKVTCSRAKKTTKLGVKKRKRTYGNDGEGSSVKKAMDGKYEQGTKGNKGTNGNDGEGSSSKKAMDGKDEQGTRGNKGTNGNDEEGSCGKKAMYGKDEQGGRGKTEMKEGVVVQLKDDSGFDLEPEFEFWPVQHPTEPSHEDRPVQCPIPHSSHLINDEKMQDDRFSDRKRPEVTEPPAATIRTVRKRHHDHTDTIAPLLQTPTVAVYPHLHQKMNTTVFNKFHQVHRFES >cds-PLY61675.1 pep primary_assembly:Lsat_Salinas_v7:9:138399733:138400161:1 gene:gene-LSAT_9X88481 transcript:rna-gnl|WGS:NBSK|LSAT_9X88481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKLIDHAKFTSGISQIRHAAFVAGKESSCNGLKVEIDFGVYDPDDSDSRRIHTTSLNDALLSFVTIDHASLLGLGHLDMVGMRRLCALEDVGEVLDGIMICEASGGDAMAGGDGVGASDDGAKTGGGDAGASDDGNDIVSS >cds-PLY86034.1 pep primary_assembly:Lsat_Salinas_v7:3:73842953:73844631:-1 gene:gene-LSAT_3X61420 transcript:rna-gnl|WGS:NBSK|LSAT_3X61420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVSLTTFLSFALFLFLIRKLFSPSKSKQNLPPEPWRLPIIGHMHHLIGSLPHHGLRDLARKYGPLMHLQLGELSTIIISDPRWAKEVLTTHDIAFADRPVVLTTEIVAYQNTDVVWSPYGDYWRQLRKLMTLELMSVKKVKSFHHIREDECYNLVKTLRQSAGSPVNLSQMIFDTIARIVCRTSFGKGCKDQEEFIDIVKELFHLTGGFDVADVFPSKKIIHTLTGKRQKLESIHKRLDKILSDVITQHPGQHKESNEVESLLDVLLRLQASGEFKLTTKNVKAVTLDMFGGGTDTSSATLEWAVSELIRNPRVLKKAQAELREALKGKERFEEADIQDLDYLKLVVRETLRMHLPLPLLFPRECREACKLGGYDIPVGTKLMVNGWAINRDPQYWANPDSFVPERFRDNPTNVLGSEFEYLPFGAGRRMCPAAVLGIANVEVPLAHMLYYFDWELPNGAKGDDLDMVELFGASVQRKNELYVVMKPHKFAN >cds-PLY73662.1 pep primary_assembly:Lsat_Salinas_v7:5:204549071:204552901:-1 gene:gene-LSAT_5X93040 transcript:rna-gnl|WGS:NBSK|LSAT_5X93040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;5 [Source:Projected from Arabidopsis thaliana (AT5G20380) TAIR;Acc:AT5G20380] MAKLFTFRPDTNLSCLSQHKFRRSSNKRFLKPSSSPCLLNSRSLKVSCSIREKENIAENERISPIVTGLKVDDELGEKPGIGIEKLGLENLSWPPWKNVPQRYKLIGTTSLAFVICNMDKVNLSIAIIPMSHQFGWSSSVAGLVQSSFFWGYALSQLPGGWLSKIFGGRIVLQFGVLTWSLATALVPVVAGFMPGLVFSRILVGIGEGVSPSAATDLIARLIPLEERSRAVSFVFGGLSVGSVLGLLLAPPLIESFGWESVFYMFGLFGIMWFLGFQFVAEDQPSINSGSLSRSQSNPKPKSWETSMEEFGGSLNVPWKSFFQSKAVWAMIYAHFCGSWGHYCCLSWLPTYFSEELDLNLTEAAWVSVLPPLASVVVTSFASQFADNLISNGVDTTVVRKICQTIAFLSPAACMILSSVDLGLPPWEVVTILTAGLALSSFALSGLYCTHQDISPEYASILLGITNTVGAVPGIVGVALTGFLLDSTHSWSMSLFAPSIFFYLTGTIVWLAFASSKPQTFKKID >cds-PLY84173.1 pep primary_assembly:Lsat_Salinas_v7:4:369430541:369431921:-1 gene:gene-LSAT_4X181660 transcript:rna-gnl|WGS:NBSK|LSAT_4X181660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEDGHMRLTTGDDVSSPGTGKEASVAQALWDDEDTKSFYECLPDLRAFVPAVLLGEAEQKGNDQSSKASDQPSDSTTPESDQIVAQDTAETSVSEKKKDDGEKDKGKEKEKEKDGEIKAEIEKEKLRGPERTNLDDLIQRLPGGVSRDLIDQLTVEFCYLNSKASRKKTRTRFVQRAQNISGTGTLLFANGCHTVNLYEGLEEEFNSLINKKACLDDFTHHKIDIAYNLLETCGIYLYRYPDTSVHMANMLEIHEYGAQIEPVDLSGIPHIHFYSTSIL >cds-PLY85810.1 pep primary_assembly:Lsat_Salinas_v7:8:173134520:173138982:-1 gene:gene-LSAT_8X112800 transcript:rna-gnl|WGS:NBSK|LSAT_8X112800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESESVALIGPESSAFTHMISYIAKELQVPLLSFTATDPTLSSLQCPFFLRTTHSDLFQMAAIADIIDYYEWRQVVAIYIDDDRGRNGITSLADQLAAKRSKISHKAPINPDATHEDIRNVLLQVSFMESRVIVVHTCTNWGLDILNVAQDLGMMDSGYVWITTDWLSTIIDISSPLPRKSIASMQGVITLRSYVKDSDLRRKFVTKWENMTTFGVSTYSLYAYDTIWLLARALDDFFDQGGNLSFLKVPQMKDSQSRFLNLDSFRVFSGGKILVEKILGVKMNGTTGPIEFTSDKSLDFPAFEVINVIGTGFRRVGYWSNSSRLSTSPPETPKTNESSSSSELLHSVVWPGETVKKPRGWVLPQNGKHLKIGVPIRVSFQDFVKEVNETDRYVGYSIDVFVAAVNLLPYAVPYKFYSYGDGHKNPSYTDLMSLVSTGVYDAAVGDIAIITNRTRMVDFTQPYIESGLVVVTPVKKLSSGTWAFFRPFSAELWFSFSTLFFSHKQNMRSTLGRFVLILWLFVVLIISSSYTASLTSILTVQKLYSPIEGINSLQSRKDRIGYQESSFVRNYLVKEIGISESRLVPLNLQEDYDKALNDGPNNGGVIAIVDERPYIELFLSTRCQFSIVGPDFTKNGWGFAFPHESPLAIDISDAILKLSETGELQRIHNKWLMRSACSSQGAELAVDRLELKRFKGLFLIIGLACFLSLVVYLVPIIYHYTIKKSNSSESPRPRPIQTFISSVDGKGAVSAYSKKRLRGTSSLRSDDNDAFHHKFTINESSSNILHWSQQGH >cds-PLY77320.1 pep primary_assembly:Lsat_Salinas_v7:5:144903059:144911376:-1 gene:gene-LSAT_5X65341 transcript:rna-gnl|WGS:NBSK|LSAT_5X65341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRASCNLPSIASPAISICAVRLSLFPIFNLTPSSSARRIATAFAPSSALVSQTLNYSTSSLTLKRVLRMMDHPAPRASASYSTGSNDVGREVLVQHLLVKEEDQNILYELQKRVIRGEDLSDLAVEYSICPSKEEGGMLGWVRKGQMVPEFEEAAFNAPLNKVVKCKTQFGWHLLQVLSEREESVLVDIQPEDFHVKLQDPDFLEDAQCIDVREPHEVERASLSGFQVLPLQQFGTWGPEITTKLDPQKDTYVLCHHGMRSLQVAKWLQTQFQREAEYGGEIDSSILLLIWFTFQINIECSDEVNSGGGGSNFSLGDDGDGFNSSKNVGGGCAHDNSKARSVDGYIHVIKMMKGRRFRGGGRIHVK >cds-PLY78424.1 pep primary_assembly:Lsat_Salinas_v7:2:167719867:167721979:-1 gene:gene-LSAT_2X88820 transcript:rna-gnl|WGS:NBSK|LSAT_2X88820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPYDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFSEDYPNKPPTVRFISRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREVVEQSWTAD >cds-PLY98189.1 pep primary_assembly:Lsat_Salinas_v7:8:143958273:143959232:-1 gene:gene-LSAT_8X97420 transcript:rna-gnl|WGS:NBSK|LSAT_8X97420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLLLVIKNISNYGMTTCFYHGYSVGSHSKKRGLDDYFTTFCAAGSIMNDLLALETRKLSTPPII >cds-PLY87104.1 pep primary_assembly:Lsat_Salinas_v7:5:265714186:265727113:-1 gene:gene-LSAT_5X138080 transcript:rna-gnl|WGS:NBSK|LSAT_5X138080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIDGDVEDVSRRVQVRFVTKLKPSFKAPTTTIALPSTLTRKGLSSVVNNLLQSGNDDWKHEPFDFLIDGELVRMSLEDFLLAKGISAEKTLEIEYIKAVAPRKEEDPSLHDDWVNAVDGSNQFILTGCYDGLGRIWKGPGNCTHILEGHNGVITSVGVVNSKDNENDTNKVVATGSKDRTVKLWKFDPELLSENLKISSFRTLVGHTASVQSLASQPNGNLICSGSWDCRINVWQTECNEADIVSVKKRKKGNKEEESQSEGEDVSTLVGHTQCVSSAVWPEYGTIYSASWDHSIRRWDIETGKDTINMEKTLEIEYIKAVAPRKEEDPSLHDDWVSAVDGSNQFILTGCYDGLGRIWKGPGNCTHILEGHNGVITSVGVVNSKDNENDTNKVVATGSKDRTVKLWKFDPELLSENLKISSFRTLVGHTASVQSLASQPNGNLICSGSWDCRINVWQTESNEADIVSVKKRKKGNKQEESQSEFCGKVVNCIDIGGESSALIAGGCSDPTLRIWDPRKPGTLAPSFQFSSHTSWITACKWHKTSWFHLLSSSYDGKVMLWDLRTAWPLAIIDSHEDKVLCADWWNGDSVVSGGADSKLRISSEIAIP >cds-PLY88682.1 pep primary_assembly:Lsat_Salinas_v7:5:68220068:68224406:1 gene:gene-LSAT_5X32241 transcript:rna-gnl|WGS:NBSK|LSAT_5X32241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLLKGAWVGGVRFGVGGGGGVGGMGDDSSEFDSISRDEHLSSVHSIRSGILPSLGSQSTRSVKLRPFTINPLHHRYRLWQVFLVVLVFYTAWVSPFEFGFLDSPARVLSIADNVVNAFFAFDIGLTFFVAYLDKISYLLIDDRNRIAWRYLTTWFVFDVISSIPSEIGRKMFPSSLHPYGYFNMLRLWRLRRVGDLFGRLEKDRNFNYFWVRVARLVCVTLFSVHLSACCLYLIAHRYEDQSATWLALDASAARESIPRLYVRAIYWSTTTLTTTGYGDIHAVNWKEMLFSTCFMLFNMGLSAYLLGNMTTLIVERTGRTRRFRETIQAASGFAQRNHLPPRLQDQMLAHLCLKYRADSEWLQQQEIIDLLPKAIQSSISNFLFYGLVDQVYLFNGVSNDTLFQLVSEMRAEYFPPKEDVILQNEAPTDFYILVSGAVEIISRRFGVEQVVRELKAGEVCGEIGVLCYRPQVFTVRTKRLSQLLRLNRTQFRNIIQSNVADGTIIINNLLQHLKEKSDPIMEAVLADIENMLSQGRMDVPLSLCFAVSRGDDVLLHKLLRRGGLDANEVDHAGRTVLNLAAASGSLECVLLLLDYGADPNRRDTDGNVPLWSAIVGRNESVIKLLVDNGANLSNGDVGEFASFAVEQNSIEMLQDIIKHGGDITVRNSMGTTALHKAISEEKTSVVEFLINHGCNIDMPDVHGWTPRDLAHHQAHEDILDMFRKRPSPKEKPRRRKTKLDGASYLKKYQSEPRMLHLPTELPRLSSAGVQESRKRPDDFSNSLFGIVSSASRKQSAGDISFIPAPLPPGGDKIARVTISCPEANEQVGKLIRLPGSLQDILNIGAQKFSITPTKVLNKDGVQIEDIQLIRDGDHLVLKT >cds-PLY98386.1 pep primary_assembly:Lsat_Salinas_v7:5:314388343:314392953:-1 gene:gene-LSAT_5X171621 transcript:rna-gnl|WGS:NBSK|LSAT_5X171621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERMVEASAQEAKAVNPVWTDRRMKVEITQDTELVEPLDEACIWRQTRGTATETLGAENKVESTEKVQLQFTNLPFCFLKYYHICET >cds-PLY68974.1 pep primary_assembly:Lsat_Salinas_v7:9:142369135:142370071:1 gene:gene-LSAT_9X91041 transcript:rna-gnl|WGS:NBSK|LSAT_9X91041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPWFIHKHMLANNSLDIFLQKIVIKMQPKCGRCRTKAMMIAAKASGVSSVELQGENKNQMVVIGDGIDAAALTSSVRKKIKNASLELVQQL >cds-PLY94472.1 pep primary_assembly:Lsat_Salinas_v7:2:156625791:156627599:1 gene:gene-LSAT_2X81921 transcript:rna-gnl|WGS:NBSK|LSAT_2X81921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVERLESSRQKLLMEIDSQSSEIERLFEENSNLSSAYQEATDIMSHWENQVKDCLKQNEELRSMLDKLRIESIMNNENHIHSGISESNKEEEAHTTELVSIKGQLAKEQSKAETLSVEVLQLSAQLQQAIQAYNGLARLIKNRKFSQMVATGLPASLILKNCYCLTKDPTLREFSEQWMVGLPVTIRLFDPPFHEFLPEGDLQHIVSQLTEDTVMTEDQIYSRSENFSEVNPMLGFRGCRLRISYPELMEMQVNAIFQAGVTTSNQQISATYIPAENIRERTKELKDDAILNVHGLLVIDTPGHEPFKNLRSRGSGLYDIAILVVDIMHGLELQTIKSLRCCLF >cds-PLY82297.1 pep primary_assembly:Lsat_Salinas_v7:1:66580195:66582830:-1 gene:gene-LSAT_1X58540 transcript:rna-gnl|WGS:NBSK|LSAT_1X58540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLKGLCWRQSQPPPKIEPDLADNQSQSKDPPPTQQTPPQHDIITEKDHEHEHESKQEIKVETKPSRKVSLAKQQSKHTQSVKKPQEVKRLLSVGLQTNRVLKTKSGNLKDLYLLGKKLGNGQFGTTYLCKEKSTNKEFACKAIAKRKLLTDEDVEDVRREIEIMHHISGHPNVVSIKGAYEDAVSVYLVMELCGGGELFDRIIEKGHYSERKAADLAKTIVSVIEACHSLGVMHRDLKPENFLFVDNHEDSIMKSIDFGLSTFFKPGQVFTDVVGSPYYVAPEVLLKDYGQEADIWSAGVIIYVLLCGVPPFWAESENDIFEEVLNGKVDFSIDPWPKISESAKDLVKKMLVRDRKKRITAHEVLCHPWISVDGVAPDKPLDSAVLTHLTRFSAMNKLKKLALRVIASRLSEEEIAGLKQMFKMIDTDNSGYITFEELKDGLKSYGAKLEESEIHDLMHAADIDNNGSIDYEEFVAATLHFNKVEREDNLYGAFSYFDKDGSGYITLNEIQQSCKELGIDDAQVEEIIQEADLNNDGHIDYNEFVAMMQNGSGTVGRKEQKNSLKIGLREGFPVS >cds-PLY65745.1 pep primary_assembly:Lsat_Salinas_v7:5:275304872:275307685:1 gene:gene-LSAT_5X146040 transcript:rna-gnl|WGS:NBSK|LSAT_5X146040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase type B catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G56740) UniProtKB/TrEMBL;Acc:Q1JPN3] MGTKHHSSDPVSDPKKRRKVGFSKVGEFSDEGVEPNDCIKIYMVSRQEEVGSPESFPVEPVDLGPFFEEDGNIYGYQGLKVLSLINLNLCIVKKPVEPITIWISSISFQAYADISFESTSDNIFADNLVETKDDFLKTFSTESNYIKSMISEGKTIQQIVPSSYNNESNGTLKADCSELEVVHVEGTSMGLLYCRLVPLVLLLVDGSNPIDVTDPDWEVYLLVEKKNDESQKLLGFAALYRFFHYPNSKRLRLGQILVLPPYQRKGYGGHLFEAITHMAVSDNVYDLSIEEPLDSLQHVRSCVDVPRLLALQAIQPSLDSAALRLKQENLTKRTQITKLTPPSSVIEEARKTLKINKKQFLQCWEILLYVKLDPVEKYMENFRSVVLDRIRADVIGKDTGGVGKRVIEVPTEYDEEVSFVMFRTTGGGDEGKEVEMEEQDLSKQEEQLQKLVDERIQAIKLVAQKVCVKSS >cds-PLY62291.1 pep primary_assembly:Lsat_Salinas_v7:5:165787406:165792828:1 gene:gene-LSAT_5X73220 transcript:rna-gnl|WGS:NBSK|LSAT_5X73220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQHDSRLVCGDRSGKGSQISSAGSDIERPTFHDLHGPDTISYDYVLSQKKCDHGDGFPFNGSGEALAHAFAPPDGRFPFGADENFVIGAVQNSFDVETSDLHEIGDLLGLGHSQFQVAIMWSTLESRVTKAVVYKSSTVATATMEHCLKLDAVSFKREVIERQATVDRCKGIVDTMEDEYPPYPPTINDENSEECEITLLEGLNLVSGFFRNWVFV >cds-PLY77001.1 pep primary_assembly:Lsat_Salinas_v7:6:64571402:64576625:-1 gene:gene-LSAT_6X48040 transcript:rna-gnl|WGS:NBSK|LSAT_6X48040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRKSARKPRRIVKKKLISTAAETKVDSTSSSPVKSCSDNSALKKMDEISSIGCTTPKAQKYQIPEISTCPPAPKKRRLVSSCTLRRTPISFFDPPDIELFFASHLASIYKHVHTHNKENVDNNNKDFSVNNGRNVKESKVNQDLKKKRRCGICFDVGHDMRNCPHALVKRE >cds-PLY70510.1 pep primary_assembly:Lsat_Salinas_v7:1:73025021:73028921:-1 gene:gene-LSAT_1X64540 transcript:rna-gnl|WGS:NBSK|LSAT_1X64540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNKHTWTTEEDAKLIEALLELHVSGKYGGADNGFKPGYLKAVQQLLDVSLPNSGLKAEPHIKSRMKTWKNHFNIVHDMVYGTNTSGFGWDTDKCCVTADAEVWDEYIKSHKGAACFRDKLFPQFDNLCKIFGKDRATGLGATGLGATDLGEDVTEETQRSSHVEVEGLEDIVEETQQIALGNNKRKRPPTDDTESSYKDAAKDMKETFKESTEELTESLLITTMELEAARFKAQEELRLKDDQLNQLKQLLNTAINERNEAQDRYQSLVLQYHPQQHQKHHQITTPPPHSAVSTIEDEPITNGTFSSSDCEESIVSSPVTETPVRLTPPPPPLSSLEQELGFPVRALPEKGRLLEAVIKAGPLLQNLLLAGPLPHWRHPPPPLDTYQIPPIPVVLPSPPPINHLLGAFTKKRGFPEGSDSSTETKYQRVAIR >cds-PLY90527.1 pep primary_assembly:Lsat_Salinas_v7:2:42128836:42130298:1 gene:gene-LSAT_2X19840 transcript:rna-gnl|WGS:NBSK|LSAT_2X19840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRASNMQINLQQTVSERTSGVGSWCFIKSGRAGIIFEGRKDDAIQLSEMWLNEVPILIM >cds-PLY71079.1 pep primary_assembly:Lsat_Salinas_v7:2:162300454:162302200:-1 gene:gene-LSAT_2X85181 transcript:rna-gnl|WGS:NBSK|LSAT_2X85181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGTGAVAGEKGSSTTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLAEGA >cds-PLY87431.1 pep primary_assembly:Lsat_Salinas_v7:2:135835927:135842092:-1 gene:gene-LSAT_2X64381 transcript:rna-gnl|WGS:NBSK|LSAT_2X64381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSATMALSNKLIVTANNGDYKVWEDPSFIKWRKRDSHVSLHCHDSVEGSLRYWYERNKVDVLVSKSAVWDDDAVSGSIECAKYWVQDLPFVKSLSGYWKFFLAQSPTTAPSNFHDTVFQDSTWDTIPVPSNWQMHGFDRPIYTNIIYPFPLDPPHVPEDNPTGCYRTYFQLPKEWEGRRILLHFEAVDSAFHVWVNGSLVGYSQDSRLPAEFEITEFCHECGSDKKNVVAVKVYRWSDGSYLEDQDHWWLSGIHRDVLLLSKPKVFIADYFFRSSLTESSTHADLEVEVILDKSMKINDMTDVKIEATLFDINTNEGTNLLSTNVASLELQQPPHFPLGFHGYRLEGKLKNPKLWSAEQPNLYTLVVTLKDSSGNIIDCESCQVGIRQISKAPKQLLVNGHPVVIRGVNRHEHHPRIGKTNIESCMVKDLVLMKEHNINAVRNSHYPQHQRWYELCDLFGMYMIDEANIETHGFDLSRDFKHPSQEPSWAISMLDRVIGMVERDKNHACIISWSLGNEASYGPNHAALAGWIRGKDPSRVVHYEGGRSRTSSTDIVCPMYMRIWDCVKIAKDPNETRPLILCEYSHAMGNSNGNIHEYWEAIDSTFGLQGGFIWDWVDQGLLKENANGSKYWAYGGDFGDTPNDLNFCLNGLIWPDRTPHPALNEVKYCYQPIKISFTNGVIKITNTNFFQTTEDLEFNWMIEGDGCKLDSGILSLQTLDPQSSYDIKWESGPWYSSWTSSSSSETFLTITAKLLHPTRWLQSGHVVSSQQFELPMKKDSISHVPKTKEITLNWETVDHKLIIRQNVSEITFNIQSGVIESWKVEGVPVMLKGITPCFWRAPTDNDKGGEANSYFSKWKAANLDNICFTKENSSVTKISDHLLEVNTVYHGDTPEGSSSSNNLFKVDMKYSFHGSGDVVLFCNVKPRSDLPPLPRVGVEFHLEKSMNNVKWYGRGPFECYPDRKASAHVGLYEKKVDEMHVPYIVPGECSGRADVRWVTFQNDKGSGIYASIYGNSSSPMQLNASYYSTKELDRATHNEELVKGDAIEVHLDHKHMGIGGDDSWSPCVHDKYMLPPLPCAFSIRFFSNNCCNLSP >cds-PLY71093.1 pep primary_assembly:Lsat_Salinas_v7:1:145704264:145707701:1 gene:gene-LSAT_1X103141 transcript:rna-gnl|WGS:NBSK|LSAT_1X103141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTSTPIRSFFIQSPNSHHRRHSHHRHHTPLNLKIVTQTRKTHLSFTLNKLHNRTPPLTIAYSGGNGDDGVGGTGGGGGGGGGGDDDDAGEKNKSEAFLVLAEAGRSLESIPKDIAASIDAGKIPGSIVKRYLELEKSAVFRWLLQFSGFKERLLADDLFLTKVGIECGVGIFTKSAAELEKRRENFTKELDFVCADVIMAIIADFMLVWLPAPTVSLRPPIAVSAGVVAKLFSGCPDNAFQVALAGTSYSFLQRMGAILRNGAKLFCVGTGASLVGTGVTNLLINARKAIDKSYAVEAEDLPILSTSVAYGVYMSVSSNLRYQVLAGIIEQRMLEPLLHQQKLVLSAICFAVRTGNTFLGSLMWVDYARWIGIQRSRE >cds-PLY69364.1 pep primary_assembly:Lsat_Salinas_v7:MU044405.1:226:990:1 gene:gene-LSAT_0X46680 transcript:rna-gnl|WGS:NBSK|LSAT_0X46680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIRSSILVAAVVLALGLLSAGAGLAAELTRVKASQIRIVGNECIHPSSPAMGLAITAAAALFLLRIVVRVATGPGYACCRTHPNIPKLIRYCIILAWLLSFVAVGQFIAGAKLCSRRDLHVNEEGYYECYFLKPGMLSTAAFEALVSLCLTLFYYLVIVSTQNIPKKQSASEVEAPSVANDPQIPPVPPSMQ >cds-PLY73419.1 pep primary_assembly:Lsat_Salinas_v7:4:179203984:179206692:-1 gene:gene-LSAT_4X106101 transcript:rna-gnl|WGS:NBSK|LSAT_4X106101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLLSRITPRHSRNSKSPSNIPTHIKKIVNQICDILTTRNTHWEETLETRLSEEDIVPSDVAHQVFDKIRDVESGLKFFDWILHRPYGCPLNGIAYSSLLKLLAKSKAFREIDSVFIRMKTEKELPTLDALNYIIRVYSECGLIDKALEFYSYVTETYSCLPNVFACNSLLSGLVKSGRLETAHQVYDEMLQRNDETGTSCCADNFSTSIMVNALCKKGKVEEARKLILDRWGQGCIPNVVFYNTLIDGYCKKGDAEKAFLLFKELKLKGFLPSVQTYGAVINGLCKEGNFSIVERLINEMKSRGLIINVQVYNTIIDAQCRHGYKTKAVEMLRKMIEIGCSPDIITYNILIHDSCRHGKVKEAEQLIEQASRIGLIPNRLTYTPLLNTYCKQNDVNKALDLLLKMIDNGEKPDLLTYASLIHGIVVLGEVDTALVILDKMTERGVFPDAGVYNVILSGLCKKGKLPAAKNLLSNMLDRNIQPDKFVYATLIDGFVRNEQFDEAKKIFEDTIQKNMNLDVVGYNAMIKGYCKDGKLKDAILFVKKMIKTRVFPDEFTYSTIIDGYVKQHDMEGALGIFSRMVKENCKPNVVTYTSLINGFCQKGDFVNAEKLLKEMKLCGLIPNVVTYSILIGSYCKNGKLEKAALVFEQMLMNKCEPNDVTFRYLVHGFSKYVAKKGNEFDEGKNKSMFLDFNNRMILDGFSPRVATYTTIVVCLCLHGMMSVSLKLSDKIGIRDCPIVFGALIYGICLEGKGKEWKTVVSCNLNESELGIAVKYSLVFDRYVPLKVGFEGSLILRILLDDFKSKDLKD >cds-PLY70446.1 pep primary_assembly:Lsat_Salinas_v7:1:71531466:71533089:-1 gene:gene-LSAT_1X62181 transcript:rna-gnl|WGS:NBSK|LSAT_1X62181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSEALPVVEHFKLSRDDGTLFPLNVPWIRYHGCYKDLNLSVIYPGKDPDFGINSVGTVPASLVTYASIQALKPDLLINAGTAGGFKVKGACIFDVYLVSDLAFHDRRIPIPGPDRYGIGLRKSFPTPNLVKELNLKVCKLSTGDSLDMSPQDEKCILANDAIIVDMEGAALAYVSSLMKVPSIFIKGVSNFVDGEKSIHEEFKENLQATVVEIRDVVSRVVEFINGKCLSEL >cds-PLY90211.1 pep primary_assembly:Lsat_Salinas_v7:9:20415912:20419625:1 gene:gene-LSAT_9X19041 transcript:rna-gnl|WGS:NBSK|LSAT_9X19041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCSGSQRLRLPEHRPAPVHLHTQVRKSAQICAVKVFRSFESEKVKECASELVLQMFTSHVSLPIGESDTIDPCILHMMDMMRFLIPDLPPNFASKAIRALPIGAKSSMVMRRILDFMEILLKYFEDESELTPIDTE >cds-PLY91999.1 pep primary_assembly:Lsat_Salinas_v7:8:240119694:240121317:-1 gene:gene-LSAT_8X145141 transcript:rna-gnl|WGS:NBSK|LSAT_8X145141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNRTEITTPPTNIIVTNTTTRTIKEPTETPKPSATSAFLHRMKDDELFWRASMVPRIRKTPFKKTPKVAFMYLVRGRLPLSPLWARFFRGYKGFYSIYVHTQPYFVGEVAPEPMFQGRRIPSEVVTWGEISMVEAERRLLASALLDFNNERFVLLSEACIPLYNFSTIYPYLINSKQTFVECYDKEGPVGRGRYDHNMEPAVTVDQWRKGSQWFEVDRHLALEIICDRKYYPLFRDYCKPACYSDEHYIPTFLYIEFKEDNSDRTLTYVDWSKGGPHPYKFGKWEVTLSLLRQMQNGTECMYNGERTRVCFLFARKFVPSSLLRLLELAPEVMNF >cds-PLY81187.1 pep primary_assembly:Lsat_Salinas_v7:5:83084163:83084417:-1 gene:gene-LSAT_5X38861 transcript:rna-gnl|WGS:NBSK|LSAT_5X38861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPATYSYQPILLTDRTTAESQQLSTLKYSSISRRIFQFSLTCSTDQQPPVTNTDHPEQLCTKPTLTRRLIPTSINNLNKIPHKH >cds-PLY80798.1 pep primary_assembly:Lsat_Salinas_v7:1:70125567:70125800:-1 gene:gene-LSAT_1X58701 transcript:rna-gnl|WGS:NBSK|LSAT_1X58701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEIVLAKKDGGDEKKGKGGGGNDGEEKGGGGGQKKEKKGGGGDDGDGNDGTGRKAEAYHLMVNHFCRRRRPESRRR >cds-PLY75638.1 pep primary_assembly:Lsat_Salinas_v7:1:99527908:99539146:1 gene:gene-LSAT_1X81241 transcript:rna-gnl|WGS:NBSK|LSAT_1X81241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVKRKMEKFYEGQNGPPLRVLPIGGLGEIGMNCMLVGNFDRYILIDAGVMFPDYEDLGVQKIIPDTTFIKKWSHKIEAVVITHGHEDHIGALPWVIPALDSRTPIFSSTFTMELIKKRLKESGIFVPSRLKTFKTKKKFMAGPFEIEPIRVTHSIPDCSGLVLRCSDGVILHTGDWKIDESPLDGQVFDREGLEQLSKEGVTLMMSDSTNVLSPGRTLSETVVANSLLRNISAAKGRVITTQFSSNIHRLGSVKAAADATGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDGYAPKDLLIVTTGSQAEPRAALNLASFGSSHFFKLSKEDLILYSAKVIPGNESRVMKMMNRLSEIGPTIVMGKNEQLHTSGHAYREELEEVLRIVKPQHFLPIHGELLFLKEHELLGKSTGIHHTAVIKNGEMLGVSHLRNRRVLSNGFIALGKENLQLMYSDGDKAFGTSADLCVDERMKIATDGIIIVSIEIMRPQSTENTALLKETIKGKIRITTRCLWNDNGKLLDALHSAAHAALSSCPIKSPLPHMERTVSEVLRKMVRKYSGKRPEVITHAIENPIAVIADEISEKLSGVNVNVKVNNGFEMPLGKSVDGSLKKRKSSKFQEEKKDLDNEIKGDEGLLSEEETTTSVSDSTGIAVSDSDEFWKPYTDSDSVEQSESDSKDDSDSSNTSKKPLKRNRWKPEEVKKLIKFRGELNSRFQVVKGRMALWEEISGNLSTQGYNRSPGQCKSLWASLLQKYEESKKESESKRSWQYYEDMDNIFSTSSDATMINKQ >cds-PLY85246.1 pep primary_assembly:Lsat_Salinas_v7:1:164829958:164830795:1 gene:gene-LSAT_1X109360 transcript:rna-gnl|WGS:NBSK|LSAT_1X109360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLAPKPTINVAAICGSTRKASFHHGLIRAAIELATQAIDGMSIVNVDISLLPMLNTDLEVDDKFPPEVEAFRQKILQSECFLFASPEYNYTVTAPLKNAIDWASRPPNVFADKAAAIVSAGGGFGGGLAQYSLRQNGVFLDLHFINKPEFFLKAFEGPPKFDDEGNLIDTVAKESLKSVLLALKAFTLRLRAK >cds-PLY74783.1 pep primary_assembly:Lsat_Salinas_v7:6:117128447:117130569:-1 gene:gene-LSAT_6X70601 transcript:rna-gnl|WGS:NBSK|LSAT_6X70601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFHLKQWAHQNQHQPDDSSASLALPLFSSEPTSSSSSSKPSKMMQGVSGYFSVGQWQELEVQALIYRYMLTGSPIPPQLLHLLLNSTSNTNPPFYPLSNFPSLCQTGGGYWGRGGMDPEPGRCRRTDGKKWRCSRDVVAGHKYCERHIHRGRNRSRKPVEIPTPTTSTATAGSRGGDVDYGVGLVKKPTSVYTTTAAAAGYGGAQTYTGSTNCGGSVSGHSPFFDQLHLNQRVDDKAAYRSSENEDKLSGGRILRPFFNDWPRTVQEQETLATSLSISVTGDATSDFSLKLSTGNDETGPRGEEINGERERASLSWGMQWGTHHAGSLGGPLAEALRSSSTSNTSSPTSVLHQLQRGSTTTSETSYVST >cds-PLY80439.1 pep primary_assembly:Lsat_Salinas_v7:3:178263713:178265326:1 gene:gene-LSAT_3X107501 transcript:rna-gnl|WGS:NBSK|LSAT_3X107501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAITYSFNFKSYIFPLLLVLLSTHSSATSTSITNRFTQCLNNQADPAFPISEQLYTPGLSSFPSVLQAYIRNLRFIESTTPKPILIITALHPSHIQAAVLCAKTHRLLMKTRSGGHDYEGLSYVTNSNQPFFVVDMFNLRSINVSIKHETAWVQAGATLGEVYYRIAEKSNSHAFPAGVCPTVGVGGHISGGGYGNLMRKYGLTVDNVVDAHLIDVNGKLLNRKSMGEDLFWAITGGGGVSFGVVVAYKIKLVRVPTTVTVFNVQRTSEQNLSTIAHRWIRVADKLDNNLFLRMTFNVINNSNGEKTILGLFPTLYLGNSTALVTLLNKDFPELGVQISDCTEMSWIESVLFYTNFSIGTPTTALLSRTPQTLNPFKIKSDYVKNPISKKGFESIFEKMKELENQMLGFSPYGGRMSKISEFAKPFPHRSGNIAKIQYVVNWDELGVEAANRYLNFTRVMYDYMTPFVSKNPREAFLNYRDLDIGFNGHGKNAYVEGMVYGHKYFKEMNYKRLTMVKTRVDPTNFFRNEQSIPTLSF >cds-PLY96032.1 pep primary_assembly:Lsat_Salinas_v7:3:194698743:194701293:1 gene:gene-LSAT_3X115841 transcript:rna-gnl|WGS:NBSK|LSAT_3X115841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGANGFKKRKSSQECEERHYCPCLSSRPKKRESFLRSIDDSTDSLPDHHVLPLAHKHFTPKRQRELLYQSLCVMPLRVIECVLPWLVGSLTEEESKSFLHNMHMAAPPSDIALVTLFSGWACKGRPREICLSSGTTGCCPARAFLESSNGCNPPCCARAFLEICLSSGTTVLSICFIDTQVLKQLNFPLQLSLCDDKGDIKKAKTPSEFIPIGHKIGTPVPLFKELMKWSFSGINFAGSQADRVDWAFKEEAEAKKLTEKLKNTKISDKSGKKEKGEKSGVGEKAKTKGAVVEKEVTKGEDSFPLLTKIT >cds-PLY72435.1 pep primary_assembly:Lsat_Salinas_v7:2:141144326:141148383:1 gene:gene-LSAT_2X69340 transcript:rna-gnl|WGS:NBSK|LSAT_2X69340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD4 [Source:Projected from Arabidopsis thaliana (AT5G16630) UniProtKB/Swiss-Prot;Acc:Q8W489] MISFAFHFAGQDDTNKEAGDDPKANGTLANITPQKGINKFQKRVASRGLKGKDINFDSASKLESLSKGKEKQVKVNIGSQNIPKAELENSAPLNTDDGKSSMQVKSESEDVMADSDWEDGPNSNLNSEIDHQNHISNNISIEIDASPGDNTAKRKPVRRASAEEKEVAELVHRTHLLCLLARGRVIDSACNDPLIQFHSSFRVRSSSSDKSFHSALATALGTQEGTPEEVAALSVAMFRSLNLLTRFVSILDAASLKPDADKSDDMSPRRKTGTGVFQSSTIMVTRSNEPSTSSNKQFPPLDVDHIASIHNKQTPETSSKPSCQSQSQSQTISPVDDQSNERRVDLGSKRKGDLEFEMQMQMALSATATKTSEISNTDSFKKLKKIKCEEDPSSSSSSSISTAIGSRKVGAPLYWAEVYLNGKWVHVDTINATIDGENKVEALVAACKTSLRYVVAFNGQGAKDVTRRYCAKWYKIASHRVNSTWWDAVLAPLKDLESKTTQGIGLSDNLRNERASLEDMELETKALTEPLPTNQQAYRSHHLYAIERWLTKYQILHPKGPILGFCSGHPVYPRASVQILHTKEKWLREGLQLKVNELPVKVLERSVKVNKGKVTDEDDCVGPTGTIHLYGKWQTEPLCLPHAQNGIVPKNERGQVDVWSEKCLPPGTVHLGFPRIFNIAKKLNVDYAPAMVGFEFKNGRSYPLYNGIVVCSEFKDMILDAYGEEEERRGEEERRKSEAKALSRWYQLLSSIVTRQRLNNRYAKPEEVSSCHKNDVGKTNDSFDHCSTSVNTQDVQKLVSLQENDDVAQTFEDDHEHVFVNGSLDVENSVRKKSCRCGFSIEVEEM >cds-PLY74340.1 pep primary_assembly:Lsat_Salinas_v7:6:783404:785567:-1 gene:gene-LSAT_6X980 transcript:rna-gnl|WGS:NBSK|LSAT_6X980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESRCGLMTAGESLMKLWRIALRLSNECDYELQMYCVDPRYMVAIRADLLCYEAIEVLLKIAR >cds-PLY90999.1 pep primary_assembly:Lsat_Salinas_v7:7:129846241:129858524:1 gene:gene-LSAT_7X78601 transcript:rna-gnl|WGS:NBSK|LSAT_7X78601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDQRSKLINHGDDLDDESHPISFTTECYACTQVGVPVFHSTSCNQAQQPEWEASAGSSLIPIRNRPGSKIIKNRYSAGKRRPLSSSGLSFRRVYDPRSKSVQRWNRFVLLARGMALAVDPLFFYSLSIGRGGTPCLYMDGGLAAVVAVLRTMIDCFHVVHIWLQFRVAYVSRESLVVGCGKLVWDPKSIALHYVRSLKGFWYDIFVVLPVPQVVFLLVLPKLIQEERIKTIMTTLLLVFMFQFLPKVYHSIYLMRRMAKVTGYIFGTIWWGFALNLITYFIASHVAGGCWYVLAIQRVVLCLRQQCENKNSCDLTLSCAEEICYQFSGTSGNPCNRNFTMHAVRMPLCLDTNGPYHYGIYQWALPVISSNSLRIKILYPIFWGLMSLSTFGNDLEPTSHWVEVIFSICIVLSGLMLFTLLIGNIQVFLHAVMARKKKMQLRCRDMEWWMKRRQLPSRLRHRVRHYERQNWVLMGGEDEMELIKEFPEGLRRDIKRFLCIDLIRMVPLFHNLEDLILDNICDRVKPLVFSKDEKIIREGDLVQRMVFIVQGRVKSYQNLSKGVVATSILDPGGYFGDELLSWCLRRPLINRLPSSSATFTCLEATHAFGLDANHLQYVTDHFRYKFANERLKRTVRYYSSNWRTWAAVNIQLGWRRYTARMRPVMAIVSAENNGSDRMLRQYAAIFMSIRPHDHLD >cds-PLY90580.1 pep primary_assembly:Lsat_Salinas_v7:6:51531503:51533319:1 gene:gene-LSAT_6X37480 transcript:rna-gnl|WGS:NBSK|LSAT_6X37480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor NCBP [Source:Projected from Arabidopsis thaliana (AT5G18110) UniProtKB/Swiss-Prot;Acc:Q9FK59] MDASMEKRAEADKNTNINSQSEYDSSEDRERQSRELKAGLHPLKNKFVFWYTRRTPGVRTQTSYEDNIKQIMDFSTVEGFWVCYCHLSRPSSLPSPTDLHLFKQGIRPLWEDSANCNGGKWIIRFKKAVSGRFWEDLVLALVGDQLDYSDNICGIVLSVRFNEDILSVWNRNASDNQVVMALRDKIKRHLKLPHGYVMEYKPHDASLRDNSSYRNTWLRG >cds-PLY69406.1 pep primary_assembly:Lsat_Salinas_v7:5:301722659:301723072:1 gene:gene-LSAT_5X161681 transcript:rna-gnl|WGS:NBSK|LSAT_5X161681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAIIKGLEKVRQELDASANNGSVYEVFPKTPNQFISIAELEVGSVTNLYSVVGRNVDALTSYFGEDPARCPFQQGNIY >cds-PLY75752.1 pep primary_assembly:Lsat_Salinas_v7:3:57867479:57867766:-1 gene:gene-LSAT_3X45220 transcript:rna-gnl|WGS:NBSK|LSAT_3X45220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNFRLHSLVANAKQMIRLHNKHQPDVPRGYLAVYVGEIQKKRFVVPLSYLDQPLFQDLLRRSEEEYGFNHPMGGLTIPCQEKAFIDLTALLQIS >cds-PLY67060.1 pep primary_assembly:Lsat_Salinas_v7:5:282859241:282861016:1 gene:gene-LSAT_5X148201 transcript:rna-gnl|WGS:NBSK|LSAT_5X148201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINPRIHSLSQKGPYKVKHIIIGGPYKNTGQVGYGSFTWVINILRVNDLV >cds-PLY71687.1 pep primary_assembly:Lsat_Salinas_v7:3:46182910:46183095:-1 gene:gene-LSAT_3X34960 transcript:rna-gnl|WGS:NBSK|LSAT_3X34960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGECWNGGNRWKAAATLGRLMAMGHDRGRADWAENNGGSRWCSFQRLLLLLFISSSEVNA >cds-PLY97548.1 pep primary_assembly:Lsat_Salinas_v7:5:239520556:239522140:1 gene:gene-LSAT_5X117301 transcript:rna-gnl|WGS:NBSK|LSAT_5X117301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSSDHPTSSLELSLREIPGSYGLPFIGPIKDRYDYFYNQGENEFFKSRIQKYNSTVFRTNMPPGPFISSSPKVVAVLDSKSFTILFDNDKVEKRDILDGTYMPSTSFFGGYRVCAFLDTTESNHHALKTFFLSFLASSHKKFIPYLRTSLAELFENLENEISEKKSADFNNHSDNMAFDFVFKLVTGVLPSETELKSKGPGTIATWLALQLAPLGTAGIKPNFIDDIIHTVRLPFLLIKSGYKQLYNAVYDSASSLLDEAETLGMKREEACHNLVFLAGFNAFGGMKVLFPTLIRWIGSGGEDLHRRLAEEIRAVVKEEGDITFSALEKMPLTKSVVYETLRINPPVPFQYAKAREDIVVESHDAAFQIKKGEIIFGYQPFATKDPKVFENPEDFVADRFVGEGEKLINYVYWSNARETEQPSADNKQCPGKDLVVLCCRLMLVELFLRYDTFTVEIGKLSALGASITIASFRKAT >cds-PLY62254.1 pep primary_assembly:Lsat_Salinas_v7:5:163062764:163063393:1 gene:gene-LSAT_5X72281 transcript:rna-gnl|WGS:NBSK|LSAT_5X72281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVPYPRWLGLILARNECYVESHGIIIPIPALSSMIINTAPTEDDLHITLKMKKWINKPYVVESAKSKEENDEDDNEEGTDNKEETDEEEDMNDDEEEPIIDKGEDLAHGMNPSPRMNKHIRFSSTSSSTPSTNDVVQRGSTPPLMETTELMIQDESTSPSPEVENVPPMPTLLLFIRMIREESSSTTSFTISSSSSHSFTTFWVTSSI >cds-PLY70439.1 pep primary_assembly:Lsat_Salinas_v7:1:72637035:72647560:-1 gene:gene-LSAT_1X65000 transcript:rna-gnl|WGS:NBSK|LSAT_1X65000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNGDYGPQQLEKWMEMGDSLTEISDRTRLVLAAHSSAFILGGASPAVHDFTKGGRGGMTYQSSQKSITCDIKMQRIRNFLRRASRSDRVGLNLMLREGISPNVQDYDNRTALHLAGSEGHDSIVELLLHYKVGVNLDDRWHKTGKETNGMMEMGNRENTTGDSSSSTGEGDERDDSR >cds-PLY93363.1 pep primary_assembly:Lsat_Salinas_v7:9:63714127:63718522:1 gene:gene-LSAT_0X29921 transcript:rna-gnl|WGS:NBSK|LSAT_0X29921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTEIKVWCLSCIIFGIFFVFHGDSAIVEQIPDRESLVSFKNALLNKHILSTWNHNTPHCQWEGVSCQHNRVTMLVLSQQSFHGPLPDSLFSLSNLIVLDLSSNQFSGELSPKISSLRKLRVLDLGKNQFSGKLPMELGELTQLRTLELGPNFFSGEIPKEIGKLLNLESLDLSSNSLTGTVPPELGNLHRLRSLGLGNNFLSGFLSPTLLTNLKNLIFLDVANNTLSGHIPPEIGILSNLTDLFIGINRFSGVLPPEIGNLSKLQNFFSPSCSIKGPLPETIANLKSLSKLDLSYNPLKSPIPKSIGQLQNLSILNLVYSELNGSIPSELGNCSNLRTLVLSFNSLTGSLPENLSQLPMLSFSAENNQISGELPSWLGKWDQINSLLLSGNRFSGQIPPEIGNCSLLNFIGLSNNLLTGSIPKEICKAVSLTEIDLESNLLSGSIHDTFIACSNLSQLLLSDNQIIGSIPGYFSKLPLMVLDLDSNNLTGSIPVSLWNSINLLEFSASNNMIDGNLPRDIGKSVILERLILSNNRLTGNIPKEIGILDSLSVLNLKSNQFTGSIPVELGNCVSLTTLDLGDNKLNGSIPAEISGLPELQCLILSNNDFSGSIPSSRKSKYFRQTGIPDSSYVQHHGLYDLSNNKLTGSIPDELGNCSVLVDLLLNGNMLSREIPKSLANLTNLTTLDLSGNQFSGGIPAELGGALKLQGLYLGNNNLSGGIPKSLGQLNSLVKLNLTGNNLSGSIPETFKNLNGLTHLDLSKNLLNGKLPSTLMVNLVGFFIQENRISGCLNELFNDSGSWRIEMMNLSNNLFTGEIPSSLGNLSFLTSLDLHRNGFTGEIPSELGNLIELEYLDFSNNKLSGRIPNKLCSISNLNLVNLEGNGLEGPVPRNGICSNTSRISLSGNKNLCGGILGMECPVEKFQTKPQFPYIWALISIVIGTLLIIIVTLLKLWMNRFKKRDNSEDEETDVSKLNTSSLDQNLYLITNSKSKEPLSINIAMFEQPLLKLTLVDILEATNNFCKSNIVGDGGFGTVYKAKLPDGKIVAVKKLNKSKTQGQREFLAEMETIGKVKHQNLVPLLGYCSFGEEKLLVYEYMVNGSLDLWLRNRTGGLEILNWTKRFKIAIGSARGLAFLHHGFIPHIIHRDIKASNILLNEDFEPKVADFGLARLISACETHVSTDLAGTFGYIPPEYGQSWRSTTRGDVYSFGVILLELVTGKEPTGPEFKDIEGGNLVGWVLKKMKKGQVVDVLDPTVVNGASKQAMIKTVQIAAICLSENPASRPSMLHVFKFLKAIKNDFL >cds-PLY62526.1 pep primary_assembly:Lsat_Salinas_v7:5:294913132:294914144:-1 gene:gene-LSAT_5X155841 transcript:rna-gnl|WGS:NBSK|LSAT_5X155841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYTTRPTNTHSRQSMHSDLYHPSTTTISAGNMSNRHHLSPQMLLQTCNPSLQLQSDRYGYDDLVTTDSPLPARSFSSSTSASINHKEAEKRRRERINSHLNRLRTLLPCNSKTDKASLLAKVIQRLKELKQTTSEIEHLEGLPSETDEITVISLNNNQSDGRIVIKASICCDDRSDLLSDMIRTLKSLHLSPSRMELVAMGGRIRNVVLVECDYPGDDCGDLIHCLKEALGCLIKSNLGSDQSSKRRKMLVCT >cds-PLY71463.1 pep primary_assembly:Lsat_Salinas_v7:7:191150698:191153542:1 gene:gene-LSAT_7X115441 transcript:rna-gnl|WGS:NBSK|LSAT_7X115441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYFLKKILESANRLNSTNSSSSSSLNLNFSISSHASVSDQVIVCSSSTGEFDRIPIDIFMQILKLLGPKEAAKLIVVCKSWKLIVSCNNLWIYYLQNKKDPWDAMFFAESNLRSGYPLRSYPSQMQSFMQIYGQRAQVPGAIIIDGGSGYCKFGWSKYGSPSGRASTFMEFGNIESPVYSRLRHFFATMYSRMQVKPSSQPTVVSIPISQYGDREAAKTARRQLKEAVYSALFDLNVPSVCAINQATLALFAAKKTSGILVNIGFHQTCVVPILNGKIMHKVGVESMGVGALKLTGYLREQMQQRNLNFSSLYTVRTLKERLCYVAIDYEGELRKDTEASYEVPVEGLFTLKQERFRTGEILFQPRIAGLRTANGLHQAVAICLEHCHAAGLTPDETWFKTIVLAGGTACLPGVVERLEKELHVLLPPSISNGIRVIPPPHGADSAWHGAKLLSNVSSSFILPLVVSLITKSLVFDMM >cds-PLY76074.1 pep primary_assembly:Lsat_Salinas_v7:9:31818553:31821157:1 gene:gene-LSAT_9X27341 transcript:rna-gnl|WGS:NBSK|LSAT_9X27341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLAGEKSFFILSDLKLSEYPFIICFSYRKLSDSTMNQLTVETNDTFATLLEHAANNDINGFTKLIEQDPSTIDEVGLWYERQKCSKQMVLEHRTPLMVASMYGSIDVLKLILSLSKSNVNRSTGADKTTALHCAAASGSPNAADVIKLLLSEGADPYLIDVNGLRPVDMIVVSPKFPNTKNTLKELLATNETEFTDLPSSPKISLNDGKREYPVDPSLPDIKNGIYSTDEFRIYSFKIQPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRRVCFFAHTQDELRPLYPSPRAMSSLEFAAAMSLIPGSPSSFTPPMSPSANAMSNWQQSSVPNVPSLLLPGSNLQSSRLRSSLNARDQDLSMFLQRSSHNQTVLEDLFANETLSPRFSDQSSMFSPRNNSSVFNAFQQQQGMLAPINTNYTGQSMSPRSMEAISPMSSRVSKLARENQNQHQQPFRSLSSRELGSKSNTGSSVDPWSKWDSSGSGKADWAVNADDFGKVRRSSSFREDADVSWVQNEQPQTHEVNESEIEQMDESVLSAWIEQMQLDQLVALKKLS >cds-PLY93577.1 pep primary_assembly:Lsat_Salinas_v7:2:174451022:174453573:-1 gene:gene-LSAT_2X96681 transcript:rna-gnl|WGS:NBSK|LSAT_2X96681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLVIYLILIFLISHSPFASFASQIHDPSSRTGYELIKELNLNPKLDLNIIKPNISDSSSVSITGSRIVEKPLKLQVLGDSGASVHDLAHLAGYVQIKHTVGARMFYYFFESRNKKDDPVVIWLTGGPGCSSAIALFYENGPFQLTNNLSLVWNDHGWDKVANMIYIDQPIGTGFSYSSSEKDIRHDEKGVGDDLYDFLQEFFKVHPEYVKNDLYITGESYGGHYIPAFATRINQGNKNKDGIHLNLKGFAIGNGLTEPGIQFKADVDFALVNQLITQKDYNELIQIVPKCEEAANKCGTNGKASCLDAWNICGEIHSKILYASNICPYDLRKQRCGDLSRIDEFLNLESVKKALGVPEEIHFGACNGKVYGALKEDIMRNLEVGIPVLLEDGIQMLVYAGEYDFICNWLGNYRWVKGMKWSGQLNFAASTVYQFIVDGKEAGLAKNYGPLTFLKVHDAGHMVPQDQPKASLQMLKMWMDGELTLTQI >cds-PLY99019.1 pep primary_assembly:Lsat_Salinas_v7:1:146327677:146331303:1 gene:gene-LSAT_1X103300 transcript:rna-gnl|WGS:NBSK|LSAT_1X103300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVRVFVSYVFISAVRHYSSLSTMSPSLRYAYQEVSEVSPHRRFIAYTMYDKDNDFFKLCVRDLNSGSLCSKPQADWVCNVAWAKGGQALLYVVTDQKKKPYRIYCSMLGSKDEDVILLEEPEXLEVPEENVHVNIKHTKDFKFVTVYVFSTTYSKECEACAHCNIEHQQGYLYPFTNANKEGQSDVFHLKELGLHFIPLPESVSQISHGPNYDFYSPIMRFTISSPVPLDDEIVLELDQMEKVSDIEDILSYKPAAELELQELLVDSASGFGNSEA >cds-PLY98968.1 pep primary_assembly:Lsat_Salinas_v7:7:51550887:51552161:-1 gene:gene-LSAT_7X37441 transcript:rna-gnl|WGS:NBSK|LSAT_7X37441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISPHPLRTFSPLESQTTKRSKTMALNKKTLSLISIYALLFSTLYRRTTSSRSPSPSPTESTSSPTPTPSPSSSQPPSQSSSSPISLSEMFELALPDIGIQKAVVAPLTEGSKVACKQLEAIEHRISEFKVIVTKRLDDPNTPEGTKSCLSQCLDNFDDAINGVKTGIDSINKKDQSKANSDVSGITTDIETCNDCFMETDGEDKEVKAFNDWIQGLIAPLSNSGRKINSIDFKFDLFPFFGVVDDNVVVKFFGEVGGAFIQTGGGHERLLAGSPKQ >cds-PLY79408.1 pep primary_assembly:Lsat_Salinas_v7:3:79208509:79209109:-1 gene:gene-LSAT_3X60200 transcript:rna-gnl|WGS:NBSK|LSAT_3X60200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQQDWNMIGADCLVLSCCCQCLMLQLLVFFLLKLPTKLLKRTIRYIKRKFTDQMRHGGKVVGVKVARCAKEVVGCQKPRDLMKGRADQVESSRIDGCMEEVEEVLEEFSRNGEFGFGSFWKGNNDVEVYFPTCLVKQELGCHDHVFGSFRVH >cds-PLY96124.1 pep primary_assembly:Lsat_Salinas_v7:3:98249851:98250853:-1 gene:gene-LSAT_3X72900 transcript:rna-gnl|WGS:NBSK|LSAT_3X72900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAISYGXNKEFWLGPGKRWQQQKGRGPCSCCARPPLSQWGSSIASMILGALAAMAQTKVKRLLAHSSIGHVGYIRTGFSCGTIEGIQSLLIGIFIYASMTIDAFAIVSALRQSRVKYIADLGALAKTNPISAITFSITMFSYAGIPPLAGFCSKFYLFFAALGCGAYFLAPVGXL >cds-PLY83269.1 pep primary_assembly:Lsat_Salinas_v7:8:191862250:191862594:-1 gene:gene-LSAT_8X123900 transcript:rna-gnl|WGS:NBSK|LSAT_8X123900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMATSNDSNSSGGTNLLVFLVSNSNTCGGNGRWFRPPQRSWRLQSSLSIVPDVRQQDGNEKAVIENETAAAVVSLIPGQVATGKWQQRHLPVVFRPHTTSSNVAMGVFRWQNR >cds-PLY79469.1 pep primary_assembly:Lsat_Salinas_v7:8:150454670:150456163:1 gene:gene-LSAT_8X101700 transcript:rna-gnl|WGS:NBSK|LSAT_8X101700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHGHLHHVSVGVDPSSGAGGGERFPQWSVQETGEFLMIRAELDPRFMEIKRNKLLWEVISRKMKDRGYNRSAEQCKAKWKNLVTRYKGCETVEDDSVRQQFPFYNDLDAIFTARMQRMLWTETEGSKKRMMRFSSDDDEESDLEKTKKKKVAIKSSNIGSYSNTKEILGEFMKQQMQIEKQWMKAFEEKEEERRSKEMEWRQTMMALEKERMMLDQRWREKEEQRMMREEVRSQKRDALFTALFNMLTRQDL >cds-PLY69166.1 pep primary_assembly:Lsat_Salinas_v7:5:285073262:285074903:-1 gene:gene-LSAT_5X150340 transcript:rna-gnl|WGS:NBSK|LSAT_5X150340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSTRSDHGSGKERLKWTQELHDLFEKAVNQLGGPDRATPKGILKAMGIEGLTIYHVKSHLQKYRMSKFVPETSRRDKFEKRSISEIFPNFSVTSGAQLNEALQMQMEVQRRLSDQLEVQKNLKLKIEAQSKFLEKLSQEHKSPMPNITKINKAMIMSPTSLPSLCDVSESIMKDLESDSEVDTNEMRPKQAKRSRIDDDDDLLMAHTQRLKPTSLNNIHNNIHLSKGGNVSFPSQENIFPWSSAFCHSPLIPTWFNSFAN >cds-PLY65979.1 pep primary_assembly:Lsat_Salinas_v7:4:137762885:137764096:1 gene:gene-LSAT_4X86400 transcript:rna-gnl|WGS:NBSK|LSAT_4X86400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLKKGNEKKRSLISSESWVVNDERKRRKQEHEVLEQSKYGDGMAWFRGCLIGKGCFGSVFLANLKKPKSRYSSYPPIMAVKSAEVSVSSSIQNEREVLNNLRGCRNVIRCFGEEITTGENGQMVYNLLLEYGSGGTLADLIKKSGQNGLPESDVKRHTRSILHGLRHIHHNGYVHCDLKPENILLIGSSSNGDFTAKIGDLGLAKRAKQSKKSKVVRYQRGTPIYFSPELQTDGVQEAPSDIWAFGCVVLEMFTGKPPWNSNMENNNDESPSIPSSISREGRSFLKSCFSRKACFRWTAEMLLAHPFLEGVGDDDDEEDVKVEELGEVLDINGICSSIMSDDDEMSMLSFSDGLSYFSEDELHCWSEEDVSCFSVEENGTTVPLNEVHQYPFTFSISSGV >cds-PLY90848.1 pep primary_assembly:Lsat_Salinas_v7:6:100894559:100897099:-1 gene:gene-LSAT_6X63921 transcript:rna-gnl|WGS:NBSK|LSAT_6X63921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKDYVINPDRFKNFFNELDTRRTLLTNVTDLNNKLVNHFISLEETLNQKSVTLDTQIEKFKKDTEKTLESLQVRESALPEKEVTLAARVQQLKDSGIKDIENGASSHGKKKRMSELLRMWFRRMDSKGLMEYLLANRKKYEVLRMETAMASEEAIDLLAFVLEAVEDYLELKISGKFGGLAPTRCACGILIQAAFPIPSGGEPFCLSHGGGGVSSKLKERAAMVMEKWKTVLGSGGSVPGYREDIVASGEAILFLTMVMGFGLKDRFDDEFLKSLMLEVGNRKDMAKLAMALGFGGKEIIEELVNTGKEVEAVYIASEAGLSDLFPPLTLLKSCYANCQKTFTKDSPSREEIFNESKITREIIKCIEDHKLESEFRMSTMRKRVAHLERTKAEMATATAAATRCSQSPARKRSRSPHHHNHQHHHRRFDFDASPSPRAPKSGRVSSTFTAFHRQSRPQLPTHQPAAARYPVAAATAAPYSYSSPSVYESDPYAVGYGAVHTESHAGYGQYAGQDAVQAGGSYVSQDGAASGSYSYDYSSPAVATEAATSYPAT >cds-PLY95453.1 pep primary_assembly:Lsat_Salinas_v7:8:193203293:193204297:1 gene:gene-LSAT_8X124860 transcript:rna-gnl|WGS:NBSK|LSAT_8X124860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKEQVILMLCTIVIAIPLVQSVSEGPKAVKNWFKELPLKKQKSTNLHFYFHDTIAGPGQTAYQVFDSNITSTTMSQFGLGFMFDNPLTVDPEASSMRIGRGQGLFGSASLEVPRFLMNLNFVFTEGSFNGSTLQVLGTNPILSQVREMSVVGGTGVFRLARGIATAQPFFRNDTSTILEFDLVVLHY >cds-PLY64553.1 pep primary_assembly:Lsat_Salinas_v7:6:34272388:34274335:1 gene:gene-LSAT_6X25521 transcript:rna-gnl|WGS:NBSK|LSAT_6X25521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKQGEGKKVCVTGASGFIASWLVKLLLDRGYTVKASVRDLDDKKKTEHLLALDGAKERLHLFQADLMKPGSFDAVVEGCDGVFHTASPFFIHTANPQVELIDPAVKGTLNVLSSCSKVPLIRRVVLTSSVVALMYSGSPLTPEVVVDENWFSDEGFCKETKLWYPLSKTLAEEAAWKYVKENGMDMVTINPAMVVGSLLQPTLNTSVAVIFRLINAPTYPNITLGCVHVKDVAIAHILAFETPSASGRYCMAESVVHISELVQMLRKLYPSFKLPDKCADDTPFVKYQFSREKARSLGINYTPLEEGIKETIESLKEKKFL >cds-PLY98942.1 pep primary_assembly:Lsat_Salinas_v7:7:49802976:49804480:1 gene:gene-LSAT_7X34541 transcript:rna-gnl|WGS:NBSK|LSAT_7X34541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATMVHEGSHFDVGQFDSKMNELLSSDGLDFFTSYDEVYDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFTKGLDVIQQAQSGTGKTATFCSGILQQLDYNMVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTIVREDQRILSAGVHVVVGTPGRVFDMLRRQSLRSDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKVQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTLDDAKMLSDVQKFYNVVVEELPSNVADLI >cds-PLY95131.1 pep primary_assembly:Lsat_Salinas_v7:1:93664079:93666582:1 gene:gene-LSAT_1X77280 transcript:rna-gnl|WGS:NBSK|LSAT_1X77280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSIMASPSANVSSYDVFLSFRGEDTRDSFTDHLYHALIRAGINAFRDNEDIKRGEELKLEIKKAIKESKGSIVVLSKNYATSTWCLDELLLILEQRKECNHFVLPVFYHVDPSDVRKQKNTFAIEVKASSRWTDNNVKVWKRALKEVANLRGMADQSRPETKLLEKIVYFIYEQLDHKEVHLPINLIGMAARYKDVNFLLNGSNAEFLAICGMGGSGKTTLAKYIFDSNCQKFESMSFIEGIGPKCKESNDLLKLQEQLLRDILGGNKRKKPSVCQGTFKIEEALRVKEAFIVLDDIDEVSQLVALLGSGYINKQSKIIITTRAHSIGKWFQFRSWRCEEYQMKLLDDDESLELLSQHAFGSKSLMVGYEELAKQVLQYCEGNPLALKVLGSSLAEDNSIPFWESTVSLLGRDIHDKIQSVLIRSYSTLPHDSNKELFLHIACFFVGKDIDYVVKILERDYSALSGIKILTNRCLLSVSPNNKLMMHPLLQEMGRTIVHQEAPKDPAKRSRVWRNKDSYDVLRKGKVRFFLFVC >cds-PLY96176.1 pep primary_assembly:Lsat_Salinas_v7:8:101528444:101529061:1 gene:gene-LSAT_8X69240 transcript:rna-gnl|WGS:NBSK|LSAT_8X69240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSVSSYRTYLRGATKEEFRRIFNPFGTLSDIYFGGRKGKNGKNFGFIRFTGVTDTKTLEARLNGTLCKNNKLEINIAKHERETSKAFTKIGNNFPVQKSIPVRGGFVRRRSYAEVTGVRPIIQQNQSSPNTPVRLHADDRMMRIIRGKALFGKVKSLEHLGHLLALMSIHGEVDAKVKYAGGMKAFIEFGSSALAKNFLENGHK >cds-PLY73560.1 pep primary_assembly:Lsat_Salinas_v7:5:58603597:58606371:1 gene:gene-LSAT_5X28001 transcript:rna-gnl|WGS:NBSK|LSAT_5X28001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLKHFLSRLTINKVSRFSGRRTPSLNYREVCTSRCITPLIYLPQYHKDDSRIGNPKTSMAHSLFYRFVHSGQQTVPSSTNAVETVSVDSEDDDDVVMNEFLSRFVWIMRGKLTEVYTDADKKEIDAMLHIIVEKVVSEMEAGRLESFLGSETASVSQDFSEDLWKTVWEVSNIVVEDMKKAKKKEKMKSFLQSEEVKDMARFAGEIGIRGDLLRELRFKWAREKLEDSEFYDSLELLRQEAKQQEPESESETETTEFHEDNIEKEDDAEEISLPKRSGKIKYKIYGLDLSKPKWAEVADHIHEIGGSVWPQEPKPISGKSKIVTEKLLSLQVDDDPSPLITEWIELQQPNRIDWIALLNRLKQQNDQLYFKVTEHLLDEESFQTTIRDYSQLIEAYAKNNQLNNAERIIKKMTEKGISPDILTKTTMVHMYSKAGNLNLAKEAFDSLRSLGFQPDLKVYNSMIMAYINTGDRKSSEALMRDLESQNFKPSEAAFLALLKSYAQNGDPIGAHRIFTRMEFAGFPRSLESCTYLVEAYSRKGNPDQARTHFDDIIKLGYKPDDKCIARMISAYAKKNLLDKGLQLLLQLEKEGTEHGVETYSVLIDWLGKLQLMEEVEGILGKLSEKGVDPSLSVHLSLCDMYARGREEKKALQALGVVEANKEKLKADEFEKVISGLIAGGFKQDAERIHNMMKEQGFKVSEQLSVSLQAAQTFNRKRPSLMR >cds-PLY73452.1 pep primary_assembly:Lsat_Salinas_v7:4:182917935:182919923:-1 gene:gene-LSAT_4X107380 transcript:rna-gnl|WGS:NBSK|LSAT_4X107380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGRHSSAAMEEPKTPTRKKGGWKSIKYFIGNESFEKLASISLIMNMTVYLQSNYNMNGIFLISVVTIWMGTSNLSTLAGAFISDAYIGRFLTLFLGSIVSLLGMGMMTLTAGVPHLRPPKCTDGINCMQPENWQLAFLFAGLGLLALGAGGLRPCGIAFGADQFDTTTSKGKKQLESFFNLWYLSFTLAILVALTGVVYIQTNISWVIGFAVPTACLLSSFIIFLIGRHTYVKKKPVGSVLSDIVKVIVASIRKRKIPYDDELRYSLYQEESGSREHNLARTQRFKCLDKAAMIVDSSELNSTGVAKSKWRLCNVQQVENLKCVVGILPIWVSGIGCMLVNDQQTTFGILQAIQMNRTIGSKFMIPPGWMTEISMITLSIWIFVYEGIYIRKLTKFFKKDARLTMAMRFRIGIIMSILCMVTAGIIERKRRDSALNNNTYVAPLHISWLIPQLVLSGLMEAFDNVAMMEFFTTRMPESMRTIAGAIFFITLSISSYLNTLMVNIIHKLTGMNGRTPWLGSHDLNKNRLDYYYYIIAGFGVLNLIYFTFVGSKYVAPAKVIGVEEELPQVEDGGRGKNALGDYHEV >cds-PLY89402.1 pep primary_assembly:Lsat_Salinas_v7:4:121282500:121288328:-1 gene:gene-LSAT_4X76040 transcript:rna-gnl|WGS:NBSK|LSAT_4X76040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVFQPLLLLLFLILVPSCFSLNSQGQSLLSWRNTLKGPNLQALNNWDSTNETPCGWFGITCDTNKNVVELSLSYVDLLGTIPSDFNSLLTLKRLVLSGTNLTGSIPKAIGSLQDLSYLDLSDNGLTGEIPKELCDLEKLVELYINTNRLEGSIPAEIRNLKNLVVLTCYDNQLGGSIPSSIGDLKKLQVIRAGGNKNIEGPLPEEIGNCTSLVMLGVAETSLSGFLPSRIGNLKKLQTLAIYTTLLSGQIPAELGDCTDLQNIYLYENSLSGSVPSTLGNLKNLKNLLLWQNNLVGSIPPELGNCLQLVLIDISMNLLTGVIPVTFGNLTSLQELQLSVNQISGSIPSQLGNCKSLTHIELDNNQITGTIPSEIGNFENLTLLFLWQNHLEGAIPSSISSCQNLEAIDFSQNSLTGPIPKGLFNLQNLNKLLLLGNDLSGQIPPEIGNCSSLIRFRANNNHLIGSIPPEIGKLKNLNFLDLGSNRLTGVIPTSISGCQNLTFLDLHSNSISGSLPASINDIVSLQFVDVSENQIDGTLSSNLGSLTSLNKLVLSKNRLSGPIPSEIGSCMNLQLLDLSSNEFSGEIPPTLGKLPALEIALNLSCNKFSGNIPAEFSALDKLGVLDLSYNQFSGDLQNLVDLENLVALNISHNDFKGHVPDTPFFSKLPLSVLSNNPSLCFAGNECSADKDGASRRSKGARVAMVVLIGIACVLLLSAFYIILAGKIRSREHGMGDADVEMGPPWEITLYQKLDLSIADMAKYLTPDNVVGRGRTGVVYRANIPSGFPIAVKRFQLSENYSAAAFSSEIATLARIRHRNIVRLLGWAANGKNKLLLYDFLPNGTLGTLLHEGGVEVVEWETRFKIALGVAEGLAYLHHDCVPPIIHRDVKVENILLGDRNDACLADFGLARLVEEDNASYSVKPQFAGSYGYMAPEYASMLKITEKSDVFSYGVVLLEIITGKKPVDESFSEGQHVIQWVRDHLKSKKDPVNIIDKKLHGNPDSQIQEMLQALGIALLCTSNRSEDRPTMKDVVALLREIRHEPTITHKETNKPRQDSSVSSLYSSSSVTPAQLLIQGSSNCSLAYSS >cds-PLY68926.1 pep primary_assembly:Lsat_Salinas_v7:2:193704644:193706984:-1 gene:gene-LSAT_2X115101 transcript:rna-gnl|WGS:NBSK|LSAT_2X115101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENSEPFSSSTAPLTWHDFLERMRHPSAAEFVRAIKTFIVSISNTPPDPETDSTSVQQFLANMEASFRAHPLWSTGSEEELDSAGEGLEKYIMTKLFPRVFASHQHDIKQDENLHQKLSLLQQFIKPEHLDIQPTYQNETSWILAQKELQKINIYKAPRDKLVCILSCCKVISNLLLNASVAANENPPGADEFLPVLIYVTIKANPPQLHSNLLYIQRFRRESRLVGEAAYFFTNMLSAEAFVTNIDAKALSMDETEFQKNMESAQALIHGLSTDYTTSQNIGQSMSSENSESTTEKENEKEKEVSSVKKNLSVSDLENKGADMIVKDGNGIEEFRNFPYLYSEAGDLTIGDVEDLLDGYKELVLKYVSLAKGVGVGGVSSEDK >cds-PLY86336.1 pep primary_assembly:Lsat_Salinas_v7:8:27301893:27303903:-1 gene:gene-LSAT_8X17641 transcript:rna-gnl|WGS:NBSK|LSAT_8X17641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVEFEGGYAVGNRTRGRMKSERIHNRYSLMKYKNDPSNPIIICIDVDASDSIKITPIPTIAKAGVLTQRSKSKPVSTIKPIQKEGQHSGDSVSVEVLHENRSKQKFDLHSNSHSPVHGYTKSDIRKLLFTSKEKQHSSHDKSDFKDPNPVISQNKRKSPPLVTAKIVQHQEKRAKADVANPQAIKTKVKVKKLENRKSKKGVHVGIPTQPRRIHIRTSPKILFSTMHGPTNRQKDYLSSIGFGPLLNIKVDGSASRIGYYAVNNFDPERMVLNVERGEIPITRQLIHDMFGLPLGNININSLKFTPTKHKTVDLWSAQFNSENDIRPKGVQRTIKRLKDVGLLFKFNFWFLFVTPLDNPRPWAHVMYQCFQGFQRI >cds-PLY83761.1 pep primary_assembly:Lsat_Salinas_v7:4:43771190:43773473:-1 gene:gene-LSAT_4X30301 transcript:rna-gnl|WGS:NBSK|LSAT_4X30301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 11 [Source:Projected from Arabidopsis thaliana (AT5G13460) UniProtKB/TrEMBL;Acc:Q9LYR0] MAKRRWLSFLKRFFLSETCQNRAKEKKRIWVFGRHKLKRLTSQSAPLERPKSEEERVNPVTQEVDEQKKETHDNIQSTSSWYGDNERESDAAIKIQTAFRGLLARKALRALKGLVRLQAIIRGHLVRRQAVTTLKRLQSVVNIHSQACAKRIQVLDCTSHNNYQDNRGKDIKMDVNSQKRWDDSILTKEEENAMLFSKREAAMKRERIKEYTFNHRMSSESEQSKVNGKWRYWLEHWVDTQLAKREDLQNIGKKEEFESQKVKLRNLRTPHHRKQRSIGEEHSMSMMGSGSPVCPTYMAATESARAKSRSLSSPRLRPISVDTWSATNSPYKHKLLSPISSINSDASSSRIWNNANNGRAGFSQRSPSLKGVPGHVKSIRTSKNLSYNSESSVPNWDHPNQFR >cds-PLY85585.1 pep primary_assembly:Lsat_Salinas_v7:2:119395954:119396373:-1 gene:gene-LSAT_2X55560 transcript:rna-gnl|WGS:NBSK|LSAT_2X55560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKSNSSWSGTSRRKNREIIRCSCGDICPIYVSRTPENPGRKFRACPNYQDEDGGCGHFKWVDEEEDEFRAFKKQLNLQHKDIESVMLLKLIVGLLVSILVCLVAVVIKM >cds-PLY73180.1 pep primary_assembly:Lsat_Salinas_v7:4:267792454:267799610:1 gene:gene-LSAT_4X138380 transcript:rna-gnl|WGS:NBSK|LSAT_4X138380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair nuclease, XPF-type/Helicase domain containing protein [Source: Projected from Oryza sativa (Os04g0648700)] MSNPIPVNIISDDDTTPLQFKSKKQRISFDSNATTVFIIDDDPTPRKPSPSPSAASTPSVVPETPSVAPETPSVVPETPMVESPQSYVPIVRGNNAVSDPQPRFSSSPNPKPSGLICLESDEECEGFGSFPEKLNESTSLTLEVENNLEIPSTCSKSFMLQDSSCLSPMLEELPKVSDHSGEEDDIEDPTSEAKGKYVNKKWSEGKTSEEEKDHLMNEKKPQKEESFKAYVPLVRCNNSMSSSNPKPSGLICLESDDESEGFENFPEKLKESTSLPCSKSSILQDNSCFPYMLEDEPPQVSCFSGEDDIENSTIKNISPKGKKTKGNNVNKKRSNGGVTKEEKARLMNEKKQQKEQEKLQKLALKAQAAEMKKMQKDIQNYEKGKFAQKSIVAQIDTKVIEQGSIGGQLLTRFAEKGIQYRITSNAVEKSIIWNMSVPQHITHALTRGTEIPYVLVIFEAEEFCKLVMDDETSSFMDHVTRVQSMYPSHTICYLTNRLTSYINKREQEHYKNPGNHSGWRRPPVEQMLSNLTTHFVGVHSRLCTDESELAEHVVGLTSSLASCQFRKKLTRLSVNANNGTLIPKDADRFLIKKNIWLKALIAIPKVQPRAAIAIWKKYPTMKSLLTVYMDPTKSEHEKEFLLENLKTEGVVGDNRRLGEICSKRIYRILMAERGTTKTDDVENGADFFSPQSSQKIYYDAKGTSIGGGNVSISQLVEFKV >cds-PLY81267.1 pep primary_assembly:Lsat_Salinas_v7:4:305352722:305354109:1 gene:gene-LSAT_4X154601 transcript:rna-gnl|WGS:NBSK|LSAT_4X154601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLYSDNSGATTTSPRISFSYDLSQSDTVPVEQLFRSFSSASVDFNFCVQENSDNHHASMADELFSDGKILPAQIKPNTDINKRTPPPPRPENQKPRSLPLPPPEDEELNLKQQNSKSFWGFKRSSSCGSGYARSLCPITLLSRSHSTGSSTSSKRSSSSKEGFNQKHQRNIQKPLISQKPPLRKTSYGYGSNSTSTTTSNGVRVSPVLNLGFGSFFSSNKNKNKK >cds-PLY97414.1 pep primary_assembly:Lsat_Salinas_v7:4:16605176:16609215:1 gene:gene-LSAT_4X10521 transcript:rna-gnl|WGS:NBSK|LSAT_4X10521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein argonaute 7 [Source:Projected from Arabidopsis thaliana (AT1G69440) UniProtKB/Swiss-Prot;Acc:Q9C793] MEERENKKCTAKTKSFRGRTHNNPPHQHFYHHLHLHPHYLLQHSNRNFGFLNQNLYHSPSPPLLPLPPPQYAIAPSLHLPLPLNHAFTPKPHLQKPPWKQNHHLPPLVATSDSHLSHQTALEVIPRTINSSMGAKKDELRPVRDSTLPEPLLTVARRPDSGGSEGTIISLLANHFLVKFDPSQLIYHYDVDISPKPSKDIARLIKQKLVEQNPTILSGANPSYDGRRNLFSPIEFQKDRIELCINIPIPIGNPSIPPNCEENQEKSKLFRVNIKIVSKLNGKELSKYLSKEDNDSIPPPQDYLQALDVVLRENPLSECIPLGRSLYSTSMGGAKDIGGGAIGLRGFFQSLRPTQQGLALNVDLTVTAFHESIGVIPYLQKRLSFLNDLSERKTRELTIEEKKEVEKAMKNIRVFVCHRDTVQRYKVHSLTDESTENLWFRDRNGNNLWVVSYFKEQYNYDIQYRNLPCLQTSRRRPCYLPMELCVVCEGQKFLGKLSDDQTAKILKLGCQKPRERKAIIDGVMAGPFGPSSGKQAGDFNLHILKEMTRLNGRILRPPKLKLGDGGEVRDLTPSRHDRQWNFSGSQVFEGSRIGRWALISFGGTDEQKRIIPKFIDQLTQRCQQLGIFLNKNTVIKPQFESMQVLNNVCLLESKLKKIQRAASDNLQLLICVMEKKHKGYADLKRIGETNIGVMSQCCLYQNLARLSSQFLANLALKINAKIGGCTVALYTSLPAQIPRVLTLDEPVMFLGADVTHPHPLDDFSPSVAAVVGSVNWPAANKYVSKMRSQTHRQEIIQDLSSMVEEILHDFVRELSKLPKRLIFFRDGVSETQFHKVLRDELQAIRDGCSRFTGYNPPITFVVVQKRHHTRLFPAESGGGTSRNLFSDENVPPGTVVDSVITHPKEFDFYLCSHWGVKGTSRPTHYHILWDENEFTSDEFQKLVYNLCFTFVRCTKPVSLVPPCYYAHLAAYRGRLYLDRTVVSPGFTRRGPPKTTPLPKVRESVKNLMFYC >cds-PLY82860.1 pep primary_assembly:Lsat_Salinas_v7:1:86506942:86509933:1 gene:gene-LSAT_1X73080 transcript:rna-gnl|WGS:NBSK|LSAT_1X73080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTSRPCPSLKSLSNKFGGILRFCHSRNRYERLDSKLEKKMIEVKQRSTSGNSKFRSINSIILRFPQFREGLHEIRDVFRQYDVDSNGFINREELKNCLQELQFNYTEKEIDELFECCDLSGRKGMKFNEFIVVLCLVYLLVSTPSSNETGTKFGSPVLKATFDTIIEAFLFLDKNGDGKLNKKDISKAMNDHFPLEKAPIHVTTTRFKEMDWNKDGKVGFREFLFSLTNWVGIDSNEEVHVTEI >cds-PLY67299.1 pep primary_assembly:Lsat_Salinas_v7:6:22873704:22874385:-1 gene:gene-LSAT_6X16781 transcript:rna-gnl|WGS:NBSK|LSAT_6X16781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGITWDDGGKSKLMQILISYGKNTVYSIQFVYAVNGVMRPSAIHGKPYGSKFDIVMFDEQKGEYLTCVSGQYGKKKLGSITFGTNKSTYGPYGSTLKSSDPQFVYKFIPALSFGGFHGSVYKSCLCSIGVYVRPLGLNVEPDKGDEDA >cds-PLY74466.1 pep primary_assembly:Lsat_Salinas_v7:7:33411859:33412296:1 gene:gene-LSAT_7X25881 transcript:rna-gnl|WGS:NBSK|LSAT_7X25881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRQWSAGKLVLILDAVHWNPEAPPRTLPRVRLRCEGRSHLILPKNRKRSAMPGRGDGKRNGSEERNLGSQCFSTLNEMRQPRDALLLTPQGSETELNLSLG >cds-PLY96486.1 pep primary_assembly:Lsat_Salinas_v7:5:336098985:336099704:-1 gene:gene-LSAT_5X190060 transcript:rna-gnl|WGS:NBSK|LSAT_5X190060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPIKTLIITGLLIRLFGICKFVRSAPNTNVTSVLCNSGVYTGGDPFTISLAYVLDELKRVTPSQEGYDYRDISPYPNAFAYGHASCNQNLRSMDCKTCLDAAEIQMLTSCNNRIGARAVLNDCATRYEQYPFKD >cds-PLY76120.1 pep primary_assembly:Lsat_Salinas_v7:9:30045445:30045618:-1 gene:gene-LSAT_9X26601 transcript:rna-gnl|WGS:NBSK|LSAT_9X26601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPEWRCRVIDSQSGPGLLRPRYSSGADPFEVGWFRMRSFQIKRRVFQSMRRLSGTF >cds-PLY85482.1 pep primary_assembly:Lsat_Salinas_v7:3:42275585:42277106:1 gene:gene-LSAT_3X32320 transcript:rna-gnl|WGS:NBSK|LSAT_3X32320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIDVAMAMAMVTATSAPPALLFSDTKFPSSYGYLKLTSTHTNTTTTTHLFRVSTQLQLHRHRGVKCQAAGDDGLLEPPTPRVYQGIYGPWSVDSSDIREVISYRSGLVTAATSFVLASSTAFLPDDFFVTDFLKQNIDILYAIGATGLGFSLVLIHIYVTEIKRTLQALWALGVVGSFAAKICLAQPADESLIRYVIDNPSAVWFVGPLFAALTGLVFKEGLCYGKLEAGILTFIIPSVLLGHLSGLMDDGVKVSLLGVWMALFVIFAARKFTQPIKDDIGDKSVFLFDALPEEEKAALVKKLEQQQKS >cds-PLY70854.1 pep primary_assembly:Lsat_Salinas_v7:8:117046126:117047593:1 gene:gene-LSAT_8X81161 transcript:rna-gnl|WGS:NBSK|LSAT_8X81161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTAQVANSVGIGIAVGKNSLGSRPTCTRSSPSTNANRIFFGLNSQGTSTLRYDSVAAIGGQFPYYHRSHPPLPLSVTVKAYSADQPTSPGVENLVIIGSGPAGYTAAIYAGRANLKPVMFEGYQIGGVPGGQLMTTTEVENFPGFPEGITGPDLMDRMRSQAERWGAELFQEDVEFVDVNTSPFTVQSSDRIVKCNSIIVATGATAKRLKLPREDEFWSRGISACAICDGASPIFKGQVLAVVGGGDTATEEAIYLTKYARHVHLLVRRDQLKASRAMQDRVFDNPNITVHYNTETVDVVSNSKGQMSGVLTKRLDTGVESVLEVKGLFYGIGHSPNSQLLEGQVKLDDTGYILVEEGTAKTSTEGVFVAGDVQVI >cds-PLY72988.1 pep primary_assembly:Lsat_Salinas_v7:8:309324570:309327532:-1 gene:gene-LSAT_8X167400 transcript:rna-gnl|WGS:NBSK|LSAT_8X167400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPSAAVFSVYPSSAIETLRTTRITDRHMPPPPSSSSSFSPFLGITLKRASLRSINHGSNMPRRTTLLMEWQDCTVKMEIDVPISVAYNCYLDREAIPRWMPFISSVKILEEKPELSRWSLKYSAFGRDLEFSWLARNMQPIPNQKIHWRSLEGLPNRGAVRFFPKGSSSCLVELTVSYEVPQLLAPVASALQPFLKNLLGNGLERFANFARTYQHES >cds-PLY72864.1 pep primary_assembly:Lsat_Salinas_v7:5:169067101:169069822:1 gene:gene-LSAT_5X73661 transcript:rna-gnl|WGS:NBSK|LSAT_5X73661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSERPKASRREDGIDLISNMPDHILLLILSRLKSTEEVIRSSILSRRWRYLWTSIPSLYIRCFRKDSLKEAEIEPKLMDNTISVFSGISQVESLLIDVNFFTQCINTAFDPSLPNLKTLVLSTTIGAFTMEEFNRILKYYPKLESLKLIVIEELWREEQEVHEGDPRSIFTNDVKRVEIFELNGEKPKLVIDLEEKVLLEIVFSWGKKFKYSH >cds-PLY92126.1 pep primary_assembly:Lsat_Salinas_v7:9:193093289:193095141:-1 gene:gene-LSAT_9X116001 transcript:rna-gnl|WGS:NBSK|LSAT_9X116001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSSSSMSFGGFRSILGFGNDHNQVHMEEVKNDSKSPLDRELERFQEQVFTQFHALSSSSPDEFLSIFWISKLLDAFIVCQEDFKVILLNNSENFSKPPLDKLLTEFFDRSIKALDICNAVRDGIEKVRLWGKHLAIVSSAFDSKQRNMIGEGQFRRARKSLTDLAIVMLDDHKESGSVFSHRNRSFGRPNKGKDLNQRKHGHSRSLSWSVPNSWSATKQLQSMSNSLTPFPPRGNENGGNSSLQNCVFTMGFVLMFVLWTVVAAIPCQDRGLFNFSIPRQFSWGTPLFFLQARILDESKKRERKNSPGLLTEIQQMEKSINLISDLIDSVHQFPLTEEQQKEVKDGVEELSLVCSLCKKGLDTLDCKLREVFRKIMYCRTEGLGTLTST >cds-PLY78609.1 pep primary_assembly:Lsat_Salinas_v7:4:151958486:151962482:-1 gene:gene-LSAT_4X93421 transcript:rna-gnl|WGS:NBSK|LSAT_4X93421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKPISWKTALLGCFTSNKVLLHPKSLVSKSRKSQRICMSDVSSSLFIINGVSDSMVVWDLHEFTLAELMMITHDFASSSYLGEGGFGTVHKGFVDDELRPGLEAQPVAVKLLDLDGGQGHKEWLTEVMLLGQLRHPHLVRLIGYCCEEENRLLVYEYMPRGNLETQLFTRYSSSLSWLIRIQIALGTAKGLAFLHCQDRPVIYRDFKTSNILLASDYTAKLSDFGLAKDGPEGDETHVSTRVMGTHGYAAPEYLMTGHLTTMSDVYSFGVVLLELLTGKRSVDKKRPNREQCLVVWARPLLKDSNNLHRIMDSKLDGNFPVEGAKKAAALASRCLSHRPKCRPTMTEVVKTLEHILELGDFQVDWFVYITHEGEKSEQKEKKEDKGVIGSGSSGVEEKVVVVVKKKDDEC >cds-PLY65543.1 pep primary_assembly:Lsat_Salinas_v7:9:143984400:143986423:1 gene:gene-LSAT_9X93321 transcript:rna-gnl|WGS:NBSK|LSAT_9X93321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRASSRLLYHLTNPKSLLHHCPFAIFGLARRHSPAFPVATLYAAPNHLLHFSRTNSLIPINFAHSFYSRATDNTWQDYNHIPANDYQVSLSHPWPEWHRLLESLSILGYFNWSSNIEDEIVANEKLSMEFVAAANSCLAFARDRPLILGWLRREDIEVLITDCYPFLFKDAHETERRIRSFLQAGGSFQARPVDLMKCIVSYASNPIIYPERNIKEATVSFVRNLLQEMANISCKVGSPEQYQEQIPRNLGPNITMKKGDWICPKCNFMNFARNTKCLECEELRPVDNSRYTKTIERGQNDRNAPSPVTIDSNNSNYLQSKQTQDPDKEEKVETWFKKMKILHNVTDPKTSVSHEENKKTEIPFSPKRHQPSSSTYVPFVPFPPNYFAKKDDNSNTCVKETGTDDVDSRSSIGNKTLVSEDTITNNTGSSGNKLRSLEGSAVIESDPLDMSEEAKAERWFRRVAQIKDISELSQIPDEDFPSIMPMRKGVNRFVVSKRKTPLERRLTSPQYRKSLRSVSFERKSEGDDDN >cds-PLY85662.1 pep primary_assembly:Lsat_Salinas_v7:6:85357406:85360100:-1 gene:gene-LSAT_6X59401 transcript:rna-gnl|WGS:NBSK|LSAT_6X59401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEISSDNIHGLILALASSIFIGSSFIIKKKGLMKAGASGTRAGSGGHSYLKQPMWWVGMISMILGEIANFAAYAFAPAILVTPLGALSIIVSAVLAHYFLDERMHIFGVVGCALCLVGSTTIVLHAPHETLISSVKQVWYFATEPGFLVYASIVVVVVGILIYHYEPRYGHTHLVIYVGICSLMGSLTVMCVKAVGIAIKLSFSGHNQFIYFETWFFTLLLLAFCLMQLNYLNKALDTFNTNVVSPVYYVMFTTLTILASIIMFKDWDNQSGAQIATELCGFVTILCGTFLLHKTKDMAMVGSHPQVTTADTNSRQLEL >cds-PLY75514.1 pep primary_assembly:Lsat_Salinas_v7:9:33274569:33276129:1 gene:gene-LSAT_9X30880 transcript:rna-gnl|WGS:NBSK|LSAT_9X30880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGDERNATIHSPSPVAEVVVVMVPFLAQGHLNQLLHLSRLISTYKIPVHFVTTTTHIRQVRSRFHDFSSHSAASNLIHFHALPTPPFTSPHPNPSSRFPSHLQPAFESSLHLRRPVADLILSLSSSTTRVAVIHDFMMSYVVQDVKAIPNAETYIFRPLSAFHTFWLTWERLSRPFPVDPGMLKRLPSADGSLSPEFKEFVKQQLPHVGYHVGELFDSSRVIEGEYLEYLEREELNDYKKLWAIGPSNHVDRTSFTVSKNHHKCLQWLDLQPPTSVVYVSFGTTTTFSDEQITELAIGLERSQQRFIWVVRSADKGDVFGDEAKMADLPEGFEERVEGRGLVVRGWAPQTGILGHVATGGFMTHCGWNSCTESISNGVPTATWPMHSDQPRNAFLMTDVLRIGLVVQNWEHRDELVTSVVVENVIRRLMDSKEGEEVRERAVELADTVKKSVVEGGESSKETDSFISYISRHG >cds-PLY61964.1 pep primary_assembly:Lsat_Salinas_v7:5:161125552:161126997:1 gene:gene-LSAT_5X70261 transcript:rna-gnl|WGS:NBSK|LSAT_5X70261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDLPAKGTDMRELPLRYHSKGVTIASPPRPAPQVHENAMISKSKDQSSTDVRTGIKKRKRRNKQKNIVVLEPSSWNRICPQDVVDAGMHLNPAKKKHSAWFSLTPSCDQNRKNTLQLLVEPYLQIIMEGNCNPDVSILMKYIALQLKHVRQQEVDIFLNGKLLAPEMKLLDVVKQWMAIVDSKRKITKIGSSAENFCVKLTYA >cds-PLY85956.1 pep primary_assembly:Lsat_Salinas_v7:5:108294565:108303782:-1 gene:gene-LSAT_5X45480 transcript:rna-gnl|WGS:NBSK|LSAT_5X45480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEISVGNSGGSKTKSLDLKTLYKSEVSNKGEPVKKETSSWLGNGEGAEAKKRKNRNEVAISSFEDLAERKRVKHGIDDIEVDGADSCSAQSSGLAQKINGGAGLNAIGVNIGSDSHGVLIPKRPRGTVGRSKFAKSHLLKPSASSDSVEKVTKLKDEVNEDMQDEVSGRDEPVDSKASSAEQIIKLNGNNEVDQKGVVDSKGNQKQESTTVTSNLHEKNGKPDVSLEIPQENVSSADAHVNSSSLGSELKKEIDEIPQGKVPGADASLSSNSTSADQMGKLNGNSKGQPRNNVKRKGIIDGKQKEDSAAVDLEKGEGTEKDSSSKRNRSNHRKRKYLGSGNEASVEKNVGACDLDPDDDLEQNAARMLSSRFDPSCIGFASKNRTCASAELSVNGGESLSEKPTASDADADADAADRVLRPRRQQKGKGASRKRRHFYEVHSDDMDAHWFLNRRIKIFWPLDESWYYGLVNDYDAEKNLHHIKYDDRDEEWISLENERFKLLLLPCEVPQKPSLNKDTDTHKKDKGTRSFHIKDEAFMATHMESEPIISWLARPSHRAKSSPLKKQKQSHVIDRNMLLLERETDKPNCTSVFLSTSKESSNSSEGHLPIVYVRRRNRRFSDASCVPFVAWSLNSAGGLKLDTALIESKKFEICISLWPMLTYVLGADILWLFSRLLLLQYGTMVAMWPTVFMEVLFVDNIVGLRLFLFEGCLKQAVTFVFLVMKVFCEAEKDESIKHQVPVTSIRFKLSFFQNFRKEKVFAYYSFSKVRDSNWRYLDSELQPHCLLTKQLSLPECTYDNVKLLEAGTQQSQIPFAAQTAYFEVFHKKPNLGAVISSSPRSPCSPVPGSRPSTIYSLKNGNLPPFALSFSAAPNFFLSMHLKLLLERSIDSLSLQDHDSLHPPQDTFHQHADDDDDESLSSGSPAIDEKPTQLVLDPISISSKSGQISVEIPASDEIYMDCQKTPGADVAWNLSDDIICSSPPNPTAPRSLWTRNKSWADGKADFIGNGFSNGPKKPRTQVQYTLPCREFNFKNNKGGHNQTGLPYQRIRRANDKKTSGPDSSSKGPRRNLELVACDANILINDGDKGWRECGARVFLEVADQNEWKLAVKCSGVLKYAHKVHQDLQPGSTNRYTHAMMWKGGKDWALEFPDRGQWVLFKEMHEECHNRNIRAASIKNIPIPGVRLIEDLVDDQEEAPFVRSSWYFRQVRNDVEMAMDGSHVMYDMDSEDEEWVCRSRSRENEMISDEVFEKVMDMLEKLSYAQKRDHFTSGEIEELIARVTPMQAAKTIYEHWREKRQRKGMPLIRHLQPPLWERYQQICREWDQSQHSQPKLLTTGVLSGSQEKASASASGAGAADDKPPMFAFCLKPRGLELLNKGSKHRPHKKISLSGHSHAFLADHDTPTPHHASASASGRRVNTHVLGDDRAESSDVSPLLSRMYSPTGPGHFSLDGDASDWNHQLRLQRNTSNSNSKTIKPLISPRPCAPPSTFRKPGKRNNGNGKKPNNLFPDWHNHSSPPYRHPGQLLLGGSDLDEFRLRDASSAAKHARNMAKLKRERAQKLMLSADLAIHKAVSALMTAEAIKAMNE >cds-PLY90476.1 pep primary_assembly:Lsat_Salinas_v7:9:94013268:94014203:1 gene:gene-LSAT_9X71180 transcript:rna-gnl|WGS:NBSK|LSAT_9X71180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERCSESKRNRVSWKNVNVAKTFLDACINEIAINGREGGSLKRMSWKKIGQTLGDNHNFSVDRKQLKNHFDYLKNKYGAWLDLKNKCGNIYDASTNTFNLTDEEWENEIKKNKYVETLRNTSLLFPDLCAQLFDGVMTNGIKSLEPISAEPITAIVVKDDEEIEIPKDTTDSLSSSSSDPPPPPPVKKKQKMSKKSIDEEILGVLKIIADKMSKSETPPKPTFEECEKKLKKLGWSEDDPLHVVACAIFCEENDNYKECWMKLNPKMCANWVKMIGRSKGFI >cds-PLY74363.1 pep primary_assembly:Lsat_Salinas_v7:5:56406770:56407033:-1 gene:gene-LSAT_5X26780 transcript:rna-gnl|WGS:NBSK|LSAT_5X26780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARETTGYQSTTRLYQYISFLFGNPISSPTISSTSTPHSDARLIPKAADAPVKEVPSSGSKKWSRRHCTSTSSTLPPSNSSMKLITL >cds-PLY82776.1 pep primary_assembly:Lsat_Salinas_v7:2:144835044:144839204:-1 gene:gene-LSAT_2X71541 transcript:rna-gnl|WGS:NBSK|LSAT_2X71541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNRCLHHASNLETYRFFDLPFCLPDHLKEKPEALGEVLNGDRLVSAPYKLPFMVEKESETVCRKKLTKQEVLKFRSAVAKDYYFQMYYDDLPFWGFLGKVEKDKNDPSEERFYLFKHLHFEILYNKDRVIEINAKADPNAVVDLTEDKEVEVEFMYTVRWKETNIPFENRMEKYSQSSSQPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEETGWKYIHGDVFRYPKYKSLFAAALGSGTQLFSLALFIFGLALVGVFYPYNRGALFTALVVIYALTSGIAGYTAASFYHQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYTATAALPFGTIVVIVLIWSLVTSPLLVLGGIAGKNSKAEFQAPVRTTKYPREIPPLPWYRKTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGVFIYGYCLYYYYERSDMSGFMQTSFFFGYMACICYGFFLMLGMIGFRAALFFVRHIYRSIKCE >cds-PLY73985.1 pep primary_assembly:Lsat_Salinas_v7:6:111668336:111673554:1 gene:gene-LSAT_0X42660 transcript:rna-gnl|WGS:NBSK|LSAT_0X42660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGVGANRDISVNDLQQPLLSNVNIGPVSSSSSRAGSKCVCGIFSLLTFSWLSPLVALGHRKPLDLEDVPQLFGIDSVRGSFPILKNKLELESDDKKLTTTFDLVKALIHMTWKDIVVTAILAVIYTLSSYVGPYLIDAFVQFLNTRQDSKHEGYLFILIFFFAKLVESISQRHWFFKLQQGGIRARAALVAMIYQKGLNLSSMGHSHSSGEITNLIAVDAERIGDFGWYIHDPWLVIMQVGLALTVLYLNLGFASLAALVSISIVMLANIPLGRLQHTFQDELMKSKDKRMKITSETLRNMRILKLQGWEMKFLSKIMDLRSHETGWLKKFLSTSSTIISIFWVAPTFVAVVTFGTCMLFGIPLQSGKILSAVATFKILQQPIYNLPDTISMIAQTKVSLDRIASYLCLDNLDSGLVEIFPRGDHSDIAIEITNGSFSWDVSSCDPVLKDINIKVAHGIKVAVCGTVGSGKSSLLSCILGEVPNKLSGCVKLSGSKAFVAQSPWIQSGKIEEIILFGKDMDRIRYDKVLEACALKKDIQILPFGDQTVIGERGINLSGGQKQRLQIARALYQDTDIYLFDDPFSAVDAHTGSHLFKECLLEFLNSKTVIYVTHQVEFLPAADLVLVLKGGRIKQAGRYDELLNSGSEFMELVNARNQALSVIDSIKTSFGSKKTKVMEEADRVEVESKRQQQVQKEEREKGSVGFFVYWKYITTAYGGALVPCILVAATVYELLQLLSNYWLAWASPVSQGEKPPVGGSTLIIVYISLAFGSAFCIFIRAMCLTTAGYKTANLLFNKMHSCIFHAPMSFFDATPSGRILNRASTDQTAVDLTISNAVGVFVFAVVQILAVIAIMSQISWQVLLVFIPVIAICVWLQQYYVSSARELARLVGVCKAPIIQHFSETLSGLTTIRSFNQQHRFEDMNMKLIDGYSRPKFYSVACIEWLSFRVELLSSVMFGLFLIFLLILPNGTIDPSIAGLAVTYGLNLNILQASAIWKLCSMENSIISVERILQYMSITEEGPLVVESTRPNNLWPSFGEVEVLNLQVRYAPHLPLVLRGVTCNFRGGTKTGIVGRTGSGKSTLIQTLFRMVEPTGGEIFIDEINISSIGLHDLRSRLSIIPQDPNLFQGSVRSNLDPLEQYTDEQIWEALDKCQLGDEVRRKEEKLDSTVSENGENWSMGERQLLCLGRVLLKKTKILVLDEATASVDVSADHTIQQALGQHFSDSTVITIAHRITSVLNAHMVLVLEQGLIQEYDSPLKLLENRSSSFAKLVAEYQYRGRSTSSHGT >cds-PLY89889.1 pep primary_assembly:Lsat_Salinas_v7:8:68110253:68111300:1 gene:gene-LSAT_8X49801 transcript:rna-gnl|WGS:NBSK|LSAT_8X49801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFDTTIFVWVNAPYGNNLHHKENMNHGQFAFTTNESSNYFACFWVDGHHQGTKGLTVSLDWRIGIATNDWESVAKREKIDMGC >cds-PLY84147.1 pep primary_assembly:Lsat_Salinas_v7:6:186989291:186992535:-1 gene:gene-LSAT_6X115360 transcript:rna-gnl|WGS:NBSK|LSAT_6X115360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKDHWVTAAMTNDAMVAELLIRMRQSSSLDSSAINTPLLHTVRWGHRKSRSKPSAITTAANASGLRKEQLSGSPTTPLYWSSGGGGSGGGASTSDGYDESSRPSDLSSGGRSTKGGLGNEGATTSSYKKSRKRKSFAELKEEEDFLLKEKLHLNRELESMRLTMNKQIATSQKLKKFKINFHQSPKKEDKQEKGFVLPDLNMSPDMEEL >cds-PLY88912.1 pep primary_assembly:Lsat_Salinas_v7:3:117965959:117968099:-1 gene:gene-LSAT_3X83660 transcript:rna-gnl|WGS:NBSK|LSAT_3X83660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGPSGEVFIGSSINSVPASSHGYRYITYRLGSYTRLELKELKKKLVLDLERVRDLKHWICSTPIPKRHYQPPPLGLPPAPEADLTVKERPKNAVGQKRAKPSSSARDTKKHCGARVKNNTQRSKLVMKKCSQILAKLMKHKHGWVFNTPVDAAALKLSDYHKIINKPMDLGTIKSKLTKNEYESPLAFASDVRLTFQNAMLYNGQGSEVFAMAERLLSLFDEMFDTNHHSKSQNRSTKLPMEQKPISIPSLPIENEKPVSNVRKPNPVPPQLALHPGNTSSDNIRKPKKPEMTEQEKSELAAGLNNLQLDPEGMDQIMAIVKKRGSKLEQDGSEIELDFGLLDDDTLWELHRFVGISCKKTITNMGSSVQTNNSPDIEEEIDIGEEIPLTNFPFLEIEKDGLTVSSSSSSTSDSSSSDSEGCSG >cds-PLY61851.1 pep primary_assembly:Lsat_Salinas_v7:6:57304521:57308768:1 gene:gene-LSAT_6X42341 transcript:rna-gnl|WGS:NBSK|LSAT_6X42341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPTVVMFLVLHQVNYRPAITVGGDDNGGVNMKKCLDNEGHALLLFKAHLQDPDDTLSTWTADQHDCCKWRGVTCSTQTGHVTELYISGCGLGGEISRSLFNLTYLNFLDLSTNSFHGTIPTFIGYLTRLSYLNLDSNNLNGTIPRSLGSLTELTFLNLNFNSLSGTIPPEFGNLTNLQRLYLNSVGRCRVEKIEWLSHLSHLEELEMDGISLAKAEKWVDVILSLPKLSWLRLRGCELSQVMYPYSSSFLNSSSSSSSIESLSLQNNNLTSSMYRWLRPLTSNKLLGLDVSGNMLDGIPKYLGNLCSLEDLSIYNNSAAVKFPDFLNNLSGCTSLSLQSLYASHSQFTGSLSDEIQKFLSLESLYLSDNHLNGSISEKFWELPRLETLDVSFNNLTVPSTDHMSSLSYVKEIGLSSSQLGPCFPKWIHTLKSLTLLDISNTGISDTTPPDFWDMWPSQLEYLNLSSNNISGSVPDLSSNFASGSVIDLSSNRFYGPIPKVSSGLTSLDLSRNKFSGGVSFICQIVDGLLYFLDLSHNSFIGQLPDCLWHFKQLKVLNLGHNNLFGSLPPSIGSLIQLKVLYLYKNNFFGELPLSLKNCTSLISMNLGANKFSGNVPVWIGENLSKLYVLILRSNKFFGTIPQKLCRLANLQILDLSMNNLNGTIPSCLSNLTSMLQQGGFSQDVQLNTTYRAYEYKQETYVDHAMIEWQGDEREFVSILKLLKIIDLSSNKLTGQIPHVVTNLSDLVALNLSRNALSGEIPQKIGEMKKLLTLDLSRNNFSGGIPSSMSQMSLLNDLDLSYNNLSGRIPSSTQLQSFPPSRYVGNRGLCGPPLNKKCPGDEESESPPIIGKSEGDGEEWGWFYIGGGMGFATGFWIACGALLVNRRGRRAFFHFFDSFKDWVYVKVVVFVSSLQKDDQGRRYGAQDPSNKNNVCSFCG >cds-PLY91085.1 pep primary_assembly:Lsat_Salinas_v7:9:124910352:124911257:1 gene:gene-LSAT_9X81521 transcript:rna-gnl|WGS:NBSK|LSAT_9X81521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPHLQDTKEGSKHYFRKELILHHILTGNLQPNMGLMSSSGIFLTYTHLDAGGVPLFTCRGWDRLFRIREPVYREFLLEFYTTVAFDPIKPLNDRNAFSFQLGGVSRECSAIELAIMVGVYTADETRTPHIRDFLSSCIVGTHQEYNENTFWAQLTGEVYVPSSARGSMIRSTTYRLLHRLITSSLTRHKISERVPSGDLSALWTLITPGRNLNLPLTLALYMARKASGRREDPICGGHFVTQLAASYSLLTRDTTRAMIRYDMRVMGVQKLESMRVIARGGDGIFRIVPDDEAPEGAVEP >cds-PLY98028.1 pep primary_assembly:Lsat_Salinas_v7:8:43938845:43943262:1 gene:gene-LSAT_8X33901 transcript:rna-gnl|WGS:NBSK|LSAT_8X33901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 3 [Source:Projected from Arabidopsis thaliana (AT1G75010) UniProtKB/Swiss-Prot;Acc:Q6F6B5] MELPLTAINISIRLSSPSLFPKRSFDGHCKYHCRFPLRRLQFSSNSKRLRRIKSSFDKHSSSSTPILSRERALNLWEETEVVEVIGIGSRKDAVLDFCLRSSSLSRRLRFWNIIVEEAFKVQLQQRILGDEITKTFVEESVAMKSHSKAVILVAGASYGSDLTVLHDIFESIKLANGFVVTIILKPFRFEGQRRQNEVKDLMYKLEGLTDFCIMIDADALLEKDLVTLDEALQIANNAVLMALNAVSILTSECNKKFVSIPHESMKELGVTDLKKILGSYKEAKIGYGIGNDIEASVAQAIYDCPFLGSGVKGLNGIVVFILASSAIINRSDLDGILRNFRQVAEWEGDIVISVVHEPDMNPNSIATTIFTIGYIKQESPKKDSIISRMFQSFPYIFNILRPHSHPETIQEKEKYKNQNVNSINGSHNWSSELQSILTDATTDAKTFSRDHGVTEQNTAEGSMTLERELLINKRPGFLTNDETVNDTNNSGDTLMLDNLNPYKLPVGVKLSGDMKDSPKGTRVIYHPEESSELETKDEIQFPVSMSFDESIDATSQTPMDFNSNKNGVSKKNGRLSARAASMLESERESQKKWSPVVEINYRGGIYVGRCQGGLPEGKGRLSLGDGSMYDGLWRYGKRSGLGTFCFSNGDIFRGSWRDDVMHGKGWFYFHTGDRWFVNFWKGKANGEGRFYSTNGDIFFGHFKNGWRNGQFLCIDVSGSRYVEIWNEGVLVSRKKLESDDGFAG >cds-PLY86780.1 pep primary_assembly:Lsat_Salinas_v7:4:296767926:296768141:1 gene:gene-LSAT_4X147820 transcript:rna-gnl|WGS:NBSK|LSAT_4X147820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLKKDLRVSRVGPGGSLNAFFFLLIGVISQRLAMVRKKGGTGTLGERSTTESCMLRSGRMNRSRKGIY >cds-PLY70518.1 pep primary_assembly:Lsat_Salinas_v7:1:72772192:72772834:-1 gene:gene-LSAT_1X64800 transcript:rna-gnl|WGS:NBSK|LSAT_1X64800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEEKKAAVAEKSPAEKKPKAGKKLPKEAGAGATDKKKKRSKKNVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >cds-PLY97889.1 pep primary_assembly:Lsat_Salinas_v7:4:90196385:90196849:-1 gene:gene-LSAT_4X60360 transcript:rna-gnl|WGS:NBSK|LSAT_4X60360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSPNLTDQNFIVVDFHYNGQFAPNPLVYFDPDRASVRDVDFSAFGYEQFMEFLHKLTKSRSKDIYFCLPQESLGLGIHTPVNKGDYKEFLDLAYANDKRMNVYVDHHNEPIFDWIDVEESVSENEDLDEAEDSVIQDSYSVDHEEDDATYPF >cds-PLY71784.1 pep primary_assembly:Lsat_Salinas_v7:6:90024081:90025813:1 gene:gene-LSAT_6X61500 transcript:rna-gnl|WGS:NBSK|LSAT_6X61500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIAIEDEEDEQQQQPKRIKASHSTETSEDPLWIPTLLPEIIIEILSRLPVESLLRCKSVCKLWCSMISDSHFVKSHLALSTSNNRYTHHRLILHTSPRINLKSSPLYDVLYAESVNLLEHDYPLKHPYKSIWIAGSCNGLLFIAVEDDDLFLWNPGTRKSNRLPDVGFNVRSGSYVLYGFGYDESKDDYKVVGISCVFRSGGNYNIRVKIYSMKLGNWKNIGAFPHGIPLDDSGKLSNGALHWAASQDFGSFYSWRIISLDLAKETYGEILQPVYDEGNKDLTLGALGESLCVLCDYSKIRADVWVMKVYGVKDSWTKLVSIPYLTDPGRDQFSVPLCISNDGKVLLQFGAKLVLYDSKNLSFSEIHNIDECHEAYTFVESLVSPEAPIRSWR >cds-PLY94250.1 pep primary_assembly:Lsat_Salinas_v7:8:69946565:69947805:-1 gene:gene-LSAT_8X50200 transcript:rna-gnl|WGS:NBSK|LSAT_8X50200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNLNQESFFLLHRHLNISICYQNCYFDLRNNCHKRITLPYTGDKYLLHKQSTKWITVMTMAPPRLEKGAGVLDKPVIEKTTPGRESEFDLRKMAPPYRVMLHNDNYNKREYVVQVLMKLTRIGRDAVLYVESLIESIMGGLEGLINILDSEGASTRSQLKLQMAFDGQERYMKRSWEPSDKADLHFVYKDVEGVSTQWDDIQRKLRNLPPKPSAFKPDPFTPAEDEDSKPKTKSRIDNKTEELKDLEDDLDDSCFLEEYKYLQLP >cds-PLY79299.1 pep primary_assembly:Lsat_Salinas_v7:4:370455832:370458666:-1 gene:gene-LSAT_4X182201 transcript:rna-gnl|WGS:NBSK|LSAT_4X182201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTYQIKAVEKLVLLEDYSTIDWCQYMVDSLMTRKQLWNRDDRFCYYLGPIIVLLIKDSEVNANALGMGYFIKREDDENEDVSSDTDMELETVEREFADEEKNAQHENFGQASGSNKSPVTPYGTKENVEDIGKHREGKEVAHDYVTPMGENNEAWGNINELSSSQFFKLPGVVDEVLDMMDKVSGDKKVTYVGDNNYGVDKSLKETINEETTFTAQSSRNLLTDLNESIVVKDRGNIEKESNVTGRRKGKVTDYERSPFLDRITRVHERNELVMDETELEISRLKEFTLMLEMFIGNLAIKANLSDVGLVFFPIVDVDKYYLLCFDLKRGRYLIIDHVKRISTVDSRYGRIPRTLQRFFCNYLMTQNHQMHAELYSKEAKIMRVVWEVRDIGPDCGLYLMRHMECYKGDLEGKWETGFKGIKHSDAAVLSRLRYKYMYRLMTSDHNLQKDMLLEEADKFSKLDILQKSMLFDEAKELAKNKRKKYKKARKWKKWLKRV >cds-PLY63617.1 pep primary_assembly:Lsat_Salinas_v7:4:129949944:129950219:1 gene:gene-LSAT_4X81640 transcript:rna-gnl|WGS:NBSK|LSAT_4X81640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTATTPSLPEMSESGRDNNQKAVIIDEQEIPDTDETIDDQPISNTGDQSHTDDYEGFIDLGFMAQDAVTLIRTLRLRFLKEPKENMKMKM >cds-PLY77201.1 pep primary_assembly:Lsat_Salinas_v7:8:26597772:26600074:1 gene:gene-LSAT_8X21361 transcript:rna-gnl|WGS:NBSK|LSAT_8X21361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSEFQDKSSMEYQRMTWDALRKSINGLVNKVNATNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVVNTKFPEVGDLLLRRIILQLQRAYKRNDKPQLLAAVKFIAHLVNQQVVHELIALELLTTLLENPTDDSVEVAVGFVTECGSILQDLSPRGLHGIFERFRGILHEGEIDKRVQFLIEGLFALRKAKFQGHPAVRPELDLVELEDQLTHEVSLLDKIDPEIALDIFKMDPDFIENEKKYEDLKKTILGDESEEEEEEEGGGEDSDDEDESSEEEEEEDEEQMRIRDETETNLVNLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQETCKKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLSEPVMQEDFESIFPRDNPKNTRFSINFFTSIGLGGITENLREYLKNMPRLIMQQKPASESDSGSDSDSDSSSDSDSDSSSDSSSGSESESESESDHKSSKRRKKR >cds-PLY94337.1 pep primary_assembly:Lsat_Salinas_v7:7:165683248:165685485:1 gene:gene-LSAT_7X97701 transcript:rna-gnl|WGS:NBSK|LSAT_7X97701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKKPIVAAVQGLALGGGLELAMGCHACVTAPRAQLGLPELSLGVMLGLGGTQRLPRLLGLSKEIDMMLEVKVFNELVVSDTSKGLVHIFFAQRAISKVPKVTDVGLKPRSVKKVVVIGGGLMWSGIATALILCNIKVVLKEVNSESLQKGIKTIEANVKGLVIRKKLPQGQGEKALSLVNGVLDYSQFKDVDMVIEGGRDVEVFGSPTEKAILQWGVKVS >cds-PLY96829.1 pep primary_assembly:Lsat_Salinas_v7:2:109203489:109203722:1 gene:gene-LSAT_2X48441 transcript:rna-gnl|WGS:NBSK|LSAT_2X48441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPSELRYQRMSAHNMGLLGRPLNAHIQTLPLSHVISPKTKASLTKTKESQNASFHALQHKLQNTLSVDPFRCAGSI >cds-PLY71237.1 pep primary_assembly:Lsat_Salinas_v7:6:19099571:19100904:1 gene:gene-LSAT_6X14941 transcript:rna-gnl|WGS:NBSK|LSAT_6X14941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGFEGFEKRLELQFSSHDPDIGIGLRELEFKFLEQVLHQVQCTVVSALGNQHFDSYVLSESSLFVYPTKIIIKTCGTTQLLKSVQPFIHHARTLNLHITALRYTRGSFIFPQAQPHPHTSFQEEVAYLEDSIPASLCYRKASVIPSKLKSHSWHVFSAGAVEYSDNVPLDLYTVEVCMTDLDQTLARKFFRHPNDGKNGDSAGREMTEITGINRINQNAHICDFAFDPCGYSMNGIDGERYSTIHVTPEDGFSYASFECVASIYNDDMADMVKKAVRVFGPGTVSVATTSATQDMCARIKGAVEQLGMKCRSFSMDEFPAAGTVVFQTFTSRRNRI >cds-PLY85469.1 pep primary_assembly:Lsat_Salinas_v7:3:43030123:43033508:-1 gene:gene-LSAT_3X33381 transcript:rna-gnl|WGS:NBSK|LSAT_3X33381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATCVWMPHWQFASPEVESKLCRSHVRLHGIHHYPQSSVGFRKLNRFKVVCRNSNGQDSNNQSGRTGIQLYSEIERLLTETVKQSQGGWGSSSRDWQEVEGAWVLKPRNSEPTSVVHFVGGIFVGAAPQLTYRLFLERLSERGALVIATPYASGFDHFFIADEVQFKFDRCLRALNETVRDLPSFGIGHSLGSVIHLLIGSRYAIQRCGNVYMAFNNKDASMAVPLLSPVLLPMAQSIGPILSQIAASPTIRFGAEMTLKNLENLSPRIMKQVIPLIEQLPPLYMELANGRDNFTPKPEETRRLIKSYYGISRNLLIKFKDDTIDEPSPLAQVLSSEAAISSVLDMSIRSLPGDHGLPLQQALPDVPPGMVDAVNRGGELFANLTAGTPWEAVAKEVGNSLGVDSPTLRANNSKELDLLADTITAWMITNTGAKLLKP >cds-PLY92247.1 pep primary_assembly:Lsat_Salinas_v7:2:209713703:209717900:1 gene:gene-LSAT_2X130120 transcript:rna-gnl|WGS:NBSK|LSAT_2X130120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATDRSLVTEIGPDGLVRESPVIAHTERMIEEEQLQLRKYIEENYSKIRDVERELTGLTMEMKLTAGPKKAALEHMRKKIEMSTEKIRLAKLKEEEAKKALEAASKAVKDEEALKQKLCEDLNNLVQESSSSQFARLEELKRRLEALNPRRSSAFLGAGASPVEPALNLRRTGVEVSVPSNSVEAAATTITESSNNNNNNNEKTSGDVQEGMTRGKKKNAIQGHGQGRPNNKGQGGGIGAIPKRGTSWTGAGFD >cds-PLY81051.1 pep primary_assembly:Lsat_Salinas_v7:6:132496679:132499963:-1 gene:gene-LSAT_6X81340 transcript:rna-gnl|WGS:NBSK|LSAT_6X81340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLSTPPPFAEEAQYSSEADYTILKIARINDSTVVQDVGDHLHRHVSKKYILIHSCSTLHIATQRRSHCSLLSNYAKLIRKWEFRLLHVPLPLCIIEKFNISTRCDSYFMIDVLHDVLIMKMLNHPNIVNLIEAVE >cds-PLY72603.1 pep primary_assembly:Lsat_Salinas_v7:5:45025326:45026925:1 gene:gene-LSAT_5X21841 transcript:rna-gnl|WGS:NBSK|LSAT_5X21841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRKKPCRREAGSCSRKLDLNKSMNPDYEQSQVLDVDEGHDYSKSILPFIRSQGKQSHVIQKEVVNHEIETQEEVITSAVETEEQLGTYHVQEPSCQADNGANTTTVDDYEPFVEDYSLYADYDAEFNVQTSFEKQPEVDYLEGMVSDDSGEAFYSESGHGSEDSGDDSDDSEYNVDESNIQFDVDVYMSEFHNAVYVDEXNRKKPCRREAGSCSRKLDLNKSMNPDYEQSQVLDVDEGHDYSKSILPFIRSQGKQSHVIQKEVVNHEIETQEEVITSAVETEEQLGTYHVQEPSCQADNGANTTTVDDYEPFVEDYSLYADYDAEFNVQTSFKKQPEMDYLEGMELIVVGPQPEVGQSARVKM >cds-PLY99417.1 pep primary_assembly:Lsat_Salinas_v7:4:103138668:103139087:1 gene:gene-LSAT_4X65761 transcript:rna-gnl|WGS:NBSK|LSAT_4X65761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSCGVHVMPCQQHNFHGFQQEAPNVTLPTSPISGMFGEMVYDGIFGNSRDFLFGYGDSYGLAGLSTRRARRQIVRDERSLGRICFFLLCCVMLCLLLF >cds-PLY77511.1 pep primary_assembly:Lsat_Salinas_v7:4:50865889:50872711:-1 gene:gene-LSAT_4X34080 transcript:rna-gnl|WGS:NBSK|LSAT_4X34080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLENGVLPLKRDPLLKSSSRNERNNSFAQRPRSKFARFMVLKKLDYLQWICAVAVFIFFMFVFQMFLPLSSVEKDSGDFLKQKEDNFGDDLTNFLKEIGGLDFGEGVKFEPTKLLLKFHRENRGVNNVSFGTSRKVVRFGHRKPQLAFVFADLLVDPQQLLMLTVATALRTIGYEIQVYSLEEGPVHTVWKNIGVHVNILEASEDKKFIIDWLNYDAILVNSLEAKEAISGLLQEPFKSLPLIWTIHEKTLATRYKNYISNGKIQLIDDWKAVFNRATVVVFPNYALPMFYAPFDAGNYFVIPGSPSNACKLDNSTTVLEENLRVNMNIGAHDFVITITGSQFLYKGLWLEHALVLQALSPLLAQFPVDDDSSSPHLKIIILNQDITRNYSSAIEEIASNLNYPSGTVNHAVIDEDLYNVLSITDVVLYGSFLEEQSFPDILKIAMCFEKPIVAPDLSIIKKHIDDKVNGYLFQKENIKDLSQIILRLISNGRLSSLAHNIASIGKHTAKDMMVLESVEGYASLIENVMNLPSEVASPRAVSEIPSKIKTEWQWGLFEDIPDRKYVNRSSRVHNFLKKVENKWDLKESSVDISFDDTFLYSIWEEEKSIQTTMARKRREDSELRDRSEQPRGTWEEVYRSAKKADRNKNDLHERDDGELERTGQPLCIYEPYFGQGAWPFLHHESLYRGFGLSTKGRRSRTDDIDGPSRLPLLSIPYYRDALGDFGAFFAIANRIDRIHKNAWIGFSSWRATAKKASLSKAAEVALLEDIQGRKHGDALYFWVRMDKDPRNPMQQDFWTFCDAINAGNCKFAFSEALKKMYGVKDNSTSLPPMPMDGDSWSVMHSWSMPTKSFLEFVMFSRMFVDALDAQVYDEHHESGLCYLSLSKDKHCYSHVLELLVNVWAYHSARRMVYIDPMTGSLEEQHDFKNRRGKMWIKWFNYNTLKAMDEDLAEEADSSDHPKQRWLWPKTGEVFWQGMYEKERNQMRKQKEKRKQKSKDKIQRIRNRTHQKALGKYVKPPPENETVNDQNALLPEMKLLR >cds-PLY94098.1 pep primary_assembly:Lsat_Salinas_v7:8:9875337:9876052:1 gene:gene-LSAT_8X8480 transcript:rna-gnl|WGS:NBSK|LSAT_8X8480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQSYTIYVLYQRKVNMEVLAPESFKLELKCRCDGLVSFGVNGDKGEYVADFYTIIKDKEATDKPYVDPEYWKYAGFKPYYVPEEPNEVFLVEEGEEIEESWITGLHLYFF >cds-PLY96401.1 pep primary_assembly:Lsat_Salinas_v7:2:89361280:89364696:1 gene:gene-LSAT_2X37440 transcript:rna-gnl|WGS:NBSK|LSAT_2X37440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT4G33470) UniProtKB/TrEMBL;Acc:A0A178V1U6] MCTLYFLGGVQFLLGPPVGMNEHHHSLISIMRSRFFSKHLISQKKCFKSSISCSSEKLNGPHERKENTAKVIYSVAPAMGHNKEAHPECSSRVPAIVNALEKLELTPKFRGSEIVQLQNFRTATAEDIASVHTKSYIFGLEKAMEQASEQGIIHIDGSGPTYATSTTFNESLIAAGAGLSLVDSVVEASKTSENPPVGFALIRPPGHHAVPKGPMGFCVFGNVAIAARYAQRVHGLKRVFIIDFDVHHGNGTNDAFYDDPDIFFLSTHQDGSYPGTGKIDNIGHGNGEGATLNLPLAGGSGDIAMRTVFHQVIVPSAQRFNPDIILVSAGYDAHVLDPLANFQFTTGTYYMLASSIKQLAKELCGGRCVFFLEGGYNLKSLSNSVAESFRAFIGEKSMATQLDEFGFLYDEPSFKVKQAIDRIKHLHSL >cds-PLY62713.1 pep primary_assembly:Lsat_Salinas_v7:6:55730481:55740634:-1 gene:gene-LSAT_6X41480 transcript:rna-gnl|WGS:NBSK|LSAT_6X41480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAKKVAMGRSSSKALASPKVSKTQKKVPEDVNNQENKASQMITSSARKKKPAATYPKDSNENVDATNLNARGICLDNDSYIAINNMSVDSEGCEITESFSNGTIFSLAFHISRNTEGEIVDGVRQQPHRKMYKLRIGCVNVSHSHKPGNREHTKATAQETCDKWRKRGNEAYKSGDLSEAEHNCYVLFIVVILAVLFFISSLLVRFLTKHNSQSHSTQSNRFPDGSPSGNSNRIYIYMIPIQIKHSEIDSQMGLHPLIVSFVYAEFSENDLDYSQLEAFGKNRERNEECGCSLVVSHLFLSLYRTSQTKTTIKDVKTKL >cds-PLY62199.1 pep primary_assembly:Lsat_Salinas_v7:6:31304476:31307499:1 gene:gene-LSAT_6X24381 transcript:rna-gnl|WGS:NBSK|LSAT_6X24381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADCITKAIQVQCCAQRPSFDQHKNLLLSSFQPLMGEVLKFPMKIQVSRAMIKKTSMDLLDVFTNSMFKFIDHPSLPSQSNFAPIEELGESVCVDDIQGRIPNDFPEGVYVRNGPNPLFGGFKSTNSIFGKSSHIWVEGEGMLHALYLKKDSNGKWNVSYNNKHVETDTFKMEKQRNKPSFLPAIEGDSPAILSAYFLNLMRFGTVNKLISNTSVFEHSGKFYAAAENHLPQEIDIQTLNTLGTWDVDESWNRPFTAHPKKAPGSGELVMMGVNAMKPFFEIGIIEADGSKLVHKSDLKFERCSLSHDIGVTIRYNVILDFPLTIDIKRLVNGSPLIKYDREGYARIGVMPRYGDADSVRWFEVESCCVFHIINTYEDGDEVIVHAFRANNSIIPGPDLGLNKFEWFSSRFKGLESQQSDTAFSSDESFFSRAYEWRLNMENGEVKERYLSGTTRSMDFPMINENYLGLENKYGYAQTVDLDASSISGMAKYGGLVKLHLKDRSSSLMDEKQDYVEMEYHKFPENTFSSGAVFVPKPDGLEEDDGWVISFVHDEHLNRSRVILVDAHKFTSEPIAIINLPCRVPYGFHGAFMPTIS >cds-PLY71700.1 pep primary_assembly:Lsat_Salinas_v7:3:46034268:46034447:-1 gene:gene-LSAT_3X35080 transcript:rna-gnl|WGS:NBSK|LSAT_3X35080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSISTDVLDLINNRSNTRFRQRSNVLYQIERGDTVDFHKKSLYALYQTIGFWLQFG >cds-PLY94087.1 pep primary_assembly:Lsat_Salinas_v7:1:214362223:214362951:-1 gene:gene-LSAT_1X130540 transcript:rna-gnl|WGS:NBSK|LSAT_1X130540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIHDLANTIIPSSTISNPRKRKQLTRTSKKGEVEEDDTEWVPSQRETGAITKLWSDNDEIFLLEALRNSHDKSDMIMLHSITMGCFERPFSKLQVSRKVRTLKTKFFNTSEEVLPASTHEAKIRELSREIWGQTQTTTVDVGPFLTPRELEARYPRVSASIDDLPPYNKMTVEAKNVIRECMCFISPEELHEIEYEWADYREGEVMSTAKQMSLSNKESKLLQKGRHAYNLEHRVVYHSH >cds-PLY73405.1 pep primary_assembly:Lsat_Salinas_v7:8:114686298:114688370:1 gene:gene-LSAT_8X78300 transcript:rna-gnl|WGS:NBSK|LSAT_8X78300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCVYFSTIFLIIACSPGVESIGKRRFSFFFSFNPRLQGIQFLLMRLSTVVLITFSLKSSIMTIISVYAQTYAPTTGLIVLIGDQHFPLDPSVRYPGKKVDEGGVNCKQTSNGGVEKQIGHLYNVKKEANEDDMYYQQKKKPTFTVFPFIRLRNLTFSTAIPTPILQTKEQARRASIVEVSSWAKQKEDRLLVKLLKGLPCSDGTLQGIWEFGVYLFYWFYLVLLGFNLMLHMH >cds-PLY73141.1 pep primary_assembly:Lsat_Salinas_v7:2:190584125:190586147:-1 gene:gene-LSAT_2X113220 transcript:rna-gnl|WGS:NBSK|LSAT_2X113220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGKGTAADYLSGKRTRDGGPKTVFRSDYLFSSRDVEDSRNGVFLKNYFGQDLGAQAGPKAPGIPVFPKNEERYEENQDKFLEEHYKHCMLILIPSRVSEVPHLFTVRSKYGGVSVGSWARVKRGKYMGDLAQVVNVNEFQRKATVKLLPRIDLQAMAIKYSGGDTGYQYTSPAPRLITSSELKYHTSFFFLKSVTFFGSGFFIHLSHILCSAYRLRVRHSLDPETGDLYEVFDGMKLKDGYVFKKLSLDSLNFSSKPSEAELKKFSPGKEQEESNDLNKKQTTNNNQVPEGLSSSDMENTFEIPDLVNLGGKAFGRENDASEARFVEKLRSGAKELSDLMEEAATRCLGRASVENQLRCAIEELQSCKERVSAAEAERDTLRDRLKLDRKKILAIFRRILDTNFDLFPRLKEDVRIWCANI >cds-PLY80550.1 pep primary_assembly:Lsat_Salinas_v7:6:12816754:12816987:1 gene:gene-LSAT_6X8021 transcript:rna-gnl|WGS:NBSK|LSAT_6X8021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGGPENLRCNFRGIRQQTWGKWVAEIREPNRGSRLWLGTFGSVVEAALAYDEAARVMYGPCAHLNLPNCRTILL >cds-PLY79843.1 pep primary_assembly:Lsat_Salinas_v7:8:16081638:16084645:-1 gene:gene-LSAT_8X13000 transcript:rna-gnl|WGS:NBSK|LSAT_8X13000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPTSSSSSSSSSISTSVLTRKGRLSPYLFTLLAFIIFVTFLYGQDLGCILGQLDLDISPNPSPPPYTTENYYKKLPFSIGETEKGCDVFSGKWVWDPLNRPLYQESECPYIQPQLTCQEHGRPDRDYQFWRWQPHGCSLPSFNASLMLETLRNKRMMFVGDSLNRGQYVSMVCLLHSLIPDNAKSMETIGSLTVFTAKDYNATIEFYWAPFLLESNSDDAIIHRVSDRVVRRGSINKHGKHWKGVDIMVFNTYLWWMTGLHFNILQGSFDDEVKEIVAVSTEDAYKMGMKSMLRWVRKNMDPNNTRLFFTSMSPSHQKSADWGAEPNGNCYNQTEMITDPNYWGSDCRKSIMKVIGDVFGKSKFPITFLNITQLSLYRKDAHTSIYKKQWSPLTPQQIANPVSYADCVHWCLPGLQDTWNELLFAKLFYP >cds-PLY96689.1 pep primary_assembly:Lsat_Salinas_v7:8:135968137:135969472:1 gene:gene-LSAT_8X94340 transcript:rna-gnl|WGS:NBSK|LSAT_8X94340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMKRATDAGYFSEGTLDFMRKTLERSGLGDSTYLAEIFFEESQQYTPSMKDSRREAEMAIFGSIDMLLAKTGVRSDDIGILIVNCCIYNTMPSLSSIIVNQYKLPENVVSYNLVGMGCSAGLLATGLAKQLLQVHKNTYALIVSTETITENCYIGEDLSKLIINCLFRIGAAAILLSNRSSDHRNSKYRLFHTVHNNTSRSDRSYNCIFQEEDTAGTVGVTINKDLLTAAIVTIEANLTALGYLILPKTEKLLYVVNYIARNLLPGYKIKSYVPNYGKAVNHFLPHVGGKPVLDELQKTLRFSDAVMEASRMTLYRYGNTSSSSIWYELAYVEAQGRVKKGDRVWQMAFGSGFKCSSVIWRAMKTVDYDDKNPWTHEIAGFPVTLDECEPMPVFFEPTKHN >cds-PLY61837.1 pep primary_assembly:Lsat_Salinas_v7:6:62152349:62153168:1 gene:gene-LSAT_6X45980 transcript:rna-gnl|WGS:NBSK|LSAT_6X45980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDPSQVVDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIAKIMRPRSMAKELQGTVKEILGTCVSVGCTVDGKDPKDLQQEIADGDVEIPLD >cds-PLY82354.1 pep primary_assembly:Lsat_Salinas_v7:5:312870371:312872491:1 gene:gene-LSAT_5X170421 transcript:rna-gnl|WGS:NBSK|LSAT_5X170421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTYNENIKSLKSIHSKYDEILHAHTSDLKTVIENITEDCEKFLQDYKQRFLQTEALSKSLQQREDELKKKERKLLKGKRKLELKKRVREQLSSCKHLVNQCYSSRKVAEGVKRELDMLHKRRTELEDQLKAESFESLQSEIIQLEIALQVVEDNDQIDLQEKLKNLQRELIEKGEKLQELKDQAKVVGLVIQGNNDELQDARQEFIDGLKTYPCSTYDIDIKTMGELDLAPFCDGYHSTKRAKKNTLMNAIDFWLECKYLVEDQNWHPFTIITVGSDIKEIIDEEDEKIVRLKGECSKEQYHAVVTALNERNQYNSADCMQEIWNFKEQRKASLKECIDYILKECMEDP >cds-PLY81718.1 pep primary_assembly:Lsat_Salinas_v7:3:31234028:31241381:-1 gene:gene-LSAT_3X22001 transcript:rna-gnl|WGS:NBSK|LSAT_3X22001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATGHCVAHFMEPADSSTKCNNILGATKHTVYTPTQRYNHFCSLSMNGCQARDPRSPVGMTETRTFPSVSTPAMAMERLSSVITELGSHPPVYRSGILRLEVPIEQQIEAIDWLRGQQQFQHLPRCFFSGRSRRQRRINGYGYPSLFDYDDTSDHDSSPLSVAGFGSAVFFRHFSPFSLHDWRSIKRFLSKKCSMIRAYGAIRFDALANISSEWEAFGSFFFMIPQVEFNEFEGSSMLVTTIAWDESLSWTFGKALHALQATMTQVSCNIVKLRKEVPNTSILSSNNVPSKKVWDFGVNRALQMINKNNSNSPLIKVVLARSSIIRTSPDIDPLTWLATLQVEGENAYQFCLQPPDAPSFIGNTPEQLFHRNKFDIYSEAMAGTRARGDSKALDLQIALDLLSSSKDDKEFSIVRECIRRKLESVCTTILVEPEKTIRKLPRVQHLYARLRGRLRSQDDEFDILSSLHPTPAVCGFPTEEARLLIAETEMFDRGMYAGPVGWFGGGESEFAVGIRSALVQKGVGALIYAGTGIVEGSNSSQEWDELELKTSQFTKLMKLEVASVNTKKAMLSEM >cds-PLY96705.1 pep primary_assembly:Lsat_Salinas_v7:6:76723173:76726807:-1 gene:gene-LSAT_6X56180 transcript:rna-gnl|WGS:NBSK|LSAT_6X56180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RGS1-HXK1-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT4G26410) UniProtKB/Swiss-Prot;Acc:Q84K90] MIGDVAANSPSNTADETAENQQQEKPWHSYIYEDLPRTVQESADSAVRSARSLQQNSSTHIRTLQDHVVQYTAQYRSYEDLVFSKIKDQLTIAREHPTLSAGIAISAALLLMRGPRRFLFRRTLGRFQTEEAQIIKAANNVNHLTISVQLMKKESEKLLERAALAEKEMTYGYGELRNAGGQIKSLAKSVHKAESQAKDLVDDLREIPGRDALRLRGEVANMASSLKQKRLAMNKRIMRISELGIRV >cds-PLY67193.1 pep primary_assembly:Lsat_Salinas_v7:6:164254355:164255508:1 gene:gene-LSAT_6X100581 transcript:rna-gnl|WGS:NBSK|LSAT_6X100581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALNFDKKLMKVADEFADFDLLKTKDQPGPRFQTQETESMFILSVQLQGYKRTHIKVEINKDGNITIHGEKPFQDTLMVGGKVIKKDIQMHGFRKPFKIPQGVVLDKVKARFNEENSELVIRIPKATKGFIGIGIEELKTKEIPSESTELLHIYSNGELSEQEIEEKNVQDFTENIKEEQVETNDGESKEHDNQEPKLPQRKFKISTPVVFGSTFFVSLIVLVFHLVQSKKPVKQQKKTDED >cds-PLY81386.1 pep primary_assembly:Lsat_Salinas_v7:4:36678540:36679681:-1 gene:gene-LSAT_4X22640 transcript:rna-gnl|WGS:NBSK|LSAT_4X22640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRHTSIAIFFLLFLTVTNNTPCNAQLSSTFYDTTCPTALRTIRTTIRTAISRERRMAASLLRLHFHDCFVQGCDASILLEDGPSIVGERNALPNKGSVRGYEVIDAAKSEVEKLCPGVVSCADILTVAARDASEMVGGPSWSVKLGRRDSTTASLVLAETSLPSFKAPLDSLISTFKDNGLSARDMVALSGAHTIGQAQCFLFRDRIYSNGSDIDAGFASTRRRGCPVNDGNGNLAPLDLVTPNSFDYNYFKNLIQKKGLLESDQVLYSGGSTDSIVSEYSNNPSKFKSEFAEAMVKMSEIRPLTGQEGVIRRICGALP >cds-PLY88170.1 pep primary_assembly:Lsat_Salinas_v7:5:220693575:220694744:-1 gene:gene-LSAT_5X103040 transcript:rna-gnl|WGS:NBSK|LSAT_5X103040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYSRAVSRICTRLQSLSPKFTTKRSVPLSPDSSSFPKSTCKPQVSSSSSHRRTSRLPLELSALITMMPLHSAIASACLKSGLLIESESWGLVPQGKSMPL >cds-PLY70620.1 pep primary_assembly:Lsat_Salinas_v7:8:34858034:34859163:-1 gene:gene-LSAT_8X27820 transcript:rna-gnl|WGS:NBSK|LSAT_8X27820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGVYHSAPKTEECVETSTVVAEPETVEKSDRGLFDMFGKKDEEKKCEEAAISSEFEQKVQVSHPEPEEKKESLLEKLHRSDSSSSSSSDEECDENGEKKKKKKPLKEKIKEKLTKQEDETTVPIEKYDVAPLQHGPPEPEEKKGFMEKIKEKLPGGHKKEEELAATPPPPPPAVVTHADEGEQKEKKGIFEKIKEKIPGYHSKSEEEKEKEKVKECD >cds-PLY68360.1 pep primary_assembly:Lsat_Salinas_v7:5:107132981:107136765:1 gene:gene-LSAT_5X46500 transcript:rna-gnl|WGS:NBSK|LSAT_5X46500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCIKLADSLGVKIKDPWITWFKGSCLPASVSLMLTPLIIYKIFPPETKHTPDAPILAQTKLDQMGPVKRDEWIMLGTMLVTVALWISGENLGISSVVAAMLGLSILLILGVLTWDDCLSEKSAWDTLAWFGVLIGMATQLTDLGVVPWMSTCVANFLKSLSVGWHLALLLLQAVYFFIHYLFAGQTAHVGALYSAFLSMHLTAKVPRTLSALTLAYNTNLFGALTHYSSGQAAVYYGAGYVRLPDVFKLGIIMAMINIMIWGLIGTPWWKILGLY >cds-PLY85286.1 pep primary_assembly:Lsat_Salinas_v7:3:72024475:72027314:1 gene:gene-LSAT_3X54081 transcript:rna-gnl|WGS:NBSK|LSAT_3X54081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATVSKSLQLTTWTSSDYTVPKLNNSTRLYTRFEKNKSKRVNYLLNCNHLATIKPAIGHRTAGLKASCTSKNFPASLLKSETFIAEEDLLLKKKSEEIKPCLSGRCIYLVGMMGSGKTTIGQILSEVLDYSFFDSDKLIEQAAGGTAVADIFKLHGEGYFRDNETEVLHKLSLMHRLVISTGGGAVVRPINWKYMHKGISVFIDVPLDALAQRLTAIGTASRPLLHHGSGDAYTQTIMRLSKLWEERSDAYTNASVRVSLERIADKLGVGDVCSVNPTQIAIEALVQIEDYLKE >cds-PLY82164.1 pep primary_assembly:Lsat_Salinas_v7:1:14029925:14035192:1 gene:gene-LSAT_1X12220 transcript:rna-gnl|WGS:NBSK|LSAT_1X12220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQANRPVMGIVQDTLLGCRKVTKRDTFIEKDVFMNILMWWEDFDGKVPTPTILKPRPLWTGKQVFNLIIPKQINLIRTAAWHSETETGHMTPGDTQVRIEKGEVITGTLCKKTLGASTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQQGFSIGIGDTIADAATMETINETISKAKNEVKELIRTAHDKQLEPEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFIDRTLPHFTKDDNGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKIKKKEFDRLFKYEIDDENWNPSYMLPEHVEDLKTIREFRNVFDAEVQKLEADRVQLGTEIATTGDNTWPMPVNLKRLIWNAQKTFKVDLRRPSDMHPMEIVEAVDKLQERLRVVPGDDQLSIEAQKNATLFFNILLRSTFASKRVLSEYRLTREAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVSKTKDRAKNVQCALEYTTLRSVTQATEVWYDPDPMSTIIEEDVDFVKSYYEMPDEEIDPDKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGEMQDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKSGKVNKFDENEGFKPENEWMLDTEGVNLLAVMCHEDVDALRSTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAETDYLRGVTENIMLGQLAPIGTGDCALLLNEEMLQQAIDVQLPSYMDGLDIGMTPGRSPITPFHDGAMSPTNYLLSPNLRLSPINDAQFSPYVGGMGFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPTYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPSSAKYSPSLAYSPSSPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPTSPYNAGVSPDFSPGSPQYRSQTKNWAN >cds-PLY65437.1 pep primary_assembly:Lsat_Salinas_v7:9:184279428:184280061:-1 gene:gene-LSAT_9X113480 transcript:rna-gnl|WGS:NBSK|LSAT_9X113480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGNLGSVISSMGRWRMRLEVPRAEIDEMLPLARLVSRSTDPALQFRSKVAICSKALSSLGVYKYYMILGDVVPHYLNVDLALGDIRVAKFIKSDYPFVPLEEAQGSLLLLHCNNPSSHLFCSNVFFLQAI >cds-PLY78518.1 pep primary_assembly:Lsat_Salinas_v7:5:338495146:338496384:-1 gene:gene-LSAT_5X191161 transcript:rna-gnl|WGS:NBSK|LSAT_5X191161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQKPVPVMIVGVDDSEHSFYALEWTLDHFLTPSAPNSPFKLIVVHSKPSPTSAIGFAGPGAADVFPFVDADLKKIAARVVERAKELCHSKSVDDVSVEIVEGDARNVLCEAVERHHATILVVGSHGYGAIKRAVLGSVSDYVTHHAHCTVMIVKKPKTKH >cds-PLY88390.1 pep primary_assembly:Lsat_Salinas_v7:5:62739308:62741003:1 gene:gene-LSAT_5X30060 transcript:rna-gnl|WGS:NBSK|LSAT_5X30060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEPCANNGGGEFSSSFQLRNGVGGYCSEEELAVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEPPTGNENDDDLEFGNLQWNVSDLAFDDARKSHRSRHRAHKSISSAHKTINRSLSCDSQIKSPVSTHGSLKVDLGKLEMGALRRYWEHFNLMDASPNLSKDELVGVVQRHFMSQKLDELQVIVGFAQASRKLKMMCE >cds-PLY91188.1 pep primary_assembly:Lsat_Salinas_v7:4:124872138:124872458:-1 gene:gene-LSAT_4X78660 transcript:rna-gnl|WGS:NBSK|LSAT_4X78660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLVGKALNGMLLEVNLARYDRKEVPHNHPTGRKRDTGRVAWGQCIPNRRHRTGVIGTTNPSLRSQTRTNPDIKQPLEAMISSLLPLLPLFCVQTWVSTTCGGRHR >cds-PLY71487.1 pep primary_assembly:Lsat_Salinas_v7:7:191688452:191689881:1 gene:gene-LSAT_7X115200 transcript:rna-gnl|WGS:NBSK|LSAT_7X115200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGRLRHVVLGIINKLVSSPTTEEQKFYLLNIVIQSYGYDAVAVLLFLVLSKYDFSIYCLKCFEHLDIIKAELENACPGVVSCVDLLVVAAYESVILAGGPFYLAHTGRKDSNRSFSQLSYELPSPLYDLSTNIARFATGVFTDKETVTLLGSLVGYILLSVSSWFMAISWRFAPYLFNPSGFKWQKTVEDFRDRTN >cds-PLY63472.1 pep primary_assembly:Lsat_Salinas_v7:7:146598263:146600618:1 gene:gene-LSAT_7X86941 transcript:rna-gnl|WGS:NBSK|LSAT_7X86941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHSREGLDLSDSDDYEDRDEQSEEEEEEDEYQDAQEEHKKPPINSVDDLDSKLKALKLKYSSVENPNLKNAVKLYLHIGGNTPKAKWIVSEKITSYKFVKVRKIEDVDYDELDDDDDDDESSEGFWILKVGSKIRARVSVDMQLKMFGDQRRVDFVDSGVWAMKFVRDEDYREFLTRFQNCLFENVYGLKATDENKVKVYGKDFMVWAKPEVADDSIWEKDDDELWRSTPTKTTPQRGSNDLLEEFEEAASDGGIQSLALGALDNSFLVNDSGVQVVKNFSHGIHGKGVYVKFDNGSKNVGGSSQYSNRTPQKALLMKGETNMLLMTPLKEGKPHSSGVNQLDIETGKIVTEWKFEKDGADLNMRDITNDTKGSQLNPSDSTFLGLNDNILSQWDMRDRRGVVQNIAHSDSPVLNWTKGHQFSRGTNFQCFATTGDGSIVVGSIDGKIRLYSTTSMRQAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILISTLFTDKDGKTKTGFSGRMGSKIPAPRLLKLTPVDSHTAGKQNKFHGGRFSWVTESGKQERHLVATVGNFSVIWDFRRVKDSGHECYKNQQGLKSCYCYKLMAKDESIIESRFMHDKYAAVSDSPEAPLVVATPMKVTSFSMSDGKGKRRFK >cds-PLY67192.1 pep primary_assembly:Lsat_Salinas_v7:6:162966825:162967055:1 gene:gene-LSAT_6X99920 transcript:rna-gnl|WGS:NBSK|LSAT_6X99920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAERLPKSKSISNLATTPSSPAKLDDSDPPLFLDHMMIKDGSREENRIGPITTVKEGVEASLDLCDIEMMMRAGV >cds-PLY93442.1 pep primary_assembly:Lsat_Salinas_v7:9:61125087:61127181:-1 gene:gene-LSAT_9X52981 transcript:rna-gnl|WGS:NBSK|LSAT_9X52981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIIISLVLSFHRPLCSSSSSTPKHLLKSRICPLWSLSFLFCLPPPPRSTILRCCYSHSLSPAAAHRRGSFCSATLPSSMSMVSDDNPLLKDFVFPPFDAIDDCHVRPRMRALLKKLGETCAAEGKEVITAKVGDDCRQDVLALQVISLLKDIFEGVGLAL >cds-PLY98406.1 pep primary_assembly:Lsat_Salinas_v7:5:316953691:316956788:-1 gene:gene-LSAT_5X174641 transcript:rna-gnl|WGS:NBSK|LSAT_5X174641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLNSLPVQPPSQHCRKLIDAPSSTSLNYGTGAGGSLKPIVISGDPPTFVSAPGRRIVAVGDLHGDLSKARSALELAGVLSSDGRDTWTGQETVLVQLGDILDRGEDEIAILSLLRSLQIQAKEHGGAVFQVNGNHETMNIEGDFRYVDSGAFDECSDFLEYLDDCEHNWEDAFSSWGQVSESWKEERKLAQSSWGPWNLVKRQKGVIARSVLLRPGGPLASELARHPVVLKVDDWVFCHGGLLPHHVTYGIEKINNEVSKWMNNVGEDDESQIPFIATRGYDSVVWNRLYSRDTTDLEDYHIEQIQSILDTTLQVVGAKAMVVGHTPQTTGVNCKYNCSIWRIDVGMSSGVLDSRPEVLEIRGDKTRVIRSESETFNELQAVDYM >cds-PLY83068.1 pep primary_assembly:Lsat_Salinas_v7:3:81672083:81675483:-1 gene:gene-LSAT_3X63340 transcript:rna-gnl|WGS:NBSK|LSAT_3X63340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPESEHPIKAYGYAARDTSGTFSPLTFSRRATRDKDVRFKVLYCGICHSDLHFAKNEWGVTTYPVVPGHEIVGVVTEVGSKVKTFKVGETVGVGCLVGSCRSCQSCSNNLEQFCPKMTFTYAFPNYSDGTITYGGYSDHMVADEHFVLHWPDNLPLDSGAPLLCAGITTYSPLKHYGLDKPGMKIGIVGLGGLGHVAVKIAKALGAEVTVFSTTPDKKEEALDGLKADHFIVSKDMDQMQAATGTLDGILDTVSASHPIVPFLNILKTDGKLVLVGMPGVPHELPAFPLVLGRKIVGGSNIGGLKETQEMLDFCAKHGITADIEVIPIDYVNTAMDRLLKSDVRYRFVIDVANSIKAPSELRSRV >cds-PLY74635.1 pep primary_assembly:Lsat_Salinas_v7:7:41395246:41398741:1 gene:gene-LSAT_7X31821 transcript:rna-gnl|WGS:NBSK|LSAT_7X31821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-arabinosyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT5G13500) UniProtKB/Swiss-Prot;Acc:Q9FY51] MARKNLGCSSSSSFLVLLAVGSFFATYNLITLVVHNRTVNHAKYTFDPLVVMPDNVKRTGGKKVLFHVALTATDAPYSKWQCRIMYYWYKKTRDMEGSEMGKFTRILHSGQPDNLMDEIPTFVVDPLPSGLDRGYIVLNRPWAFVQWLERVTIEEEYILMAEPDHIFVNPLPNLARGEYPAGFPFFYIKPDENENIIRKYYPKEKGPVTNIDPIGNSPVIIRKDILEKIAPTWMNVSLRMKDDPETDKKFGWVLEMYAYAVASALHGVQHILRKDFMLQPPWDLEIGYRFIIHYTYGCDYNMKGELTYGKIGEWRFDKRSHLRGPPPRNISMPPPGVPESVRTLVKMVNEATANIPGWDAT >cds-PLY88738.1 pep primary_assembly:Lsat_Salinas_v7:4:170080216:170080883:-1 gene:gene-LSAT_4X99860 transcript:rna-gnl|WGS:NBSK|LSAT_4X99860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTALAFFFLTCTIAAVAGRQFLVGENVGWRVPAMRETQLYDIWALRRHFYVGDTLRFQYKNDSVVLTTESAFMRCDSRQPISVFNDGNTVINLDKVGKFYFISGKANRCIKGQKMTVNVESRNYPYPPPVVTPPHSPNYPIYPAPPSSQLPGSGNSSDSGPESTVSISVMSFIVSVVGLWLCMHHP >cds-PLY89496.1 pep primary_assembly:Lsat_Salinas_v7:4:149026440:149037827:1 gene:gene-LSAT_4X92200 transcript:rna-gnl|WGS:NBSK|LSAT_4X92200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIFVRRRKRRDPWRLIGRSTVSFVCVCLAQLALYLISSFFASSSIIIPFIFSVMTLIAMATLGRRCKRLFGLRGSAPAFVIANLLFIWFAYVIVIRQAVSSLLDIVFNVELIMLQIGLYRILLGDPGFVNCKPPNENIDSLSNNETYQESPLLLQRVRYCKHCDAYVKGFDHHCPAFGNCIGQNNHLLFMILLAGFITAEACYIMGASQILSESKEKVGWNETLVSSTMIFSILQVLWQGVFLAWHIYCVCFNIRTDEFIHWKRYPEFQHIVQLQPAVDLGSRLVSLVRPGLMGFSMGPSDGEPGTYNNQVSSKRRLTTVDAALAEDVGNNTISMRLRRRRNIDFLLSKSPVVIEIADGDIPQQTEVVDLCPSGGSSVVGACSDPPSASGDVHMEAGPSPLSAPGVYLPVWNLMHGSLLSK >cds-PLY74171.1 pep primary_assembly:Lsat_Salinas_v7:9:12672452:12673071:-1 gene:gene-LSAT_9X10421 transcript:rna-gnl|WGS:NBSK|LSAT_9X10421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLQFYINENGDKVYTTKKESPLGLATQSAHPARFSPDDKFSRQRVLLKKRFGLLPTQKPPRKY >cds-PLY62931.1 pep primary_assembly:Lsat_Salinas_v7:2:212599601:212602451:1 gene:gene-LSAT_2X131821 transcript:rna-gnl|WGS:NBSK|LSAT_2X131821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHDETTKWEELDIDILVKIFQRFDVFELTSGIGHICSTWRLAACDPLLWKTLDLSMLRSNFIKIPLEPYVYVDGRSDKQLTRLLKIALNLSRGSITTLIFHFNLYVSDDQLTYTAERTPNLKRLVLPAWNRIKRTGICKAISKWNNLESLTMPSIANPPYFMEEISKHCKKFSELKIMGPCDMLCVQTLIRCVPNLKVLSLRVSIVYKDALLLILNGLKNLEVLNISHSLIIDAPPPPATRKVVTELDDSIFKKASRLRQFLTCMDDESCIMCHRMKLDEGLVRWYKYEEGLWKEDEVKSLAV >cds-PLY78893.1 pep primary_assembly:Lsat_Salinas_v7:5:307310296:307311696:1 gene:gene-LSAT_5X166840 transcript:rna-gnl|WGS:NBSK|LSAT_5X166840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLVPVKGVTSGSGGGSSRWSPTPEQLKFLERMYRGGLKTPSATQIQQITTRLSTYGNIQCKNVFYWFQNHKARDRQKLRKKLIEIYQPHHFYPRQDQPFLPLYQVGGVEDASICRTIVNNAKEDFQSDQTCNLMCNYPLMTMMMMMNHGTTPYCTRVPPKTLQLFPVTNTTADLRGDDRSSTTNP >cds-PLY65167.1 pep primary_assembly:Lsat_Salinas_v7:5:250067600:250071607:1 gene:gene-LSAT_5X125061 transcript:rna-gnl|WGS:NBSK|LSAT_5X125061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDSPCFAFGRFSSGNVRHSSLQIDQRYHGEAWLPSCDLTLRINRCWWFGRTPSSLWDRDPLPLFLLRYISLISRSYVYFNISHLCVSVFAIVGKPLVVYFYPADETPSCTKQACAFRDSYEKFKKAGAQVIGISTNPGGGHQHVVEGGLPDMDANGPAGNLDFLRNIPQVVGKVLPELNGKLTGMDFRVHGLMGLTNQIVLHYVPQMPLIQA >cds-PLY96636.1 pep primary_assembly:Lsat_Salinas_v7:7:47111625:47112662:-1 gene:gene-LSAT_7X36441 transcript:rna-gnl|WGS:NBSK|LSAT_7X36441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKHFSVVLLLSSLGVDAAVFTFENRCAMTIWPAIQPSAGRPLLMKGGLELKPQESTNVTAPIGWSGRFWGRSGCNFNNHGEGTCETGDCANGLYCNGAGGQPPASLAEFTLDSPLDFYDVSLVDGYNLPISIFPYDDSRVCPSIRCDTDLNLHCPSNLQVKGDHGETVACKSGCTAFQSPEYCCTGQFQDPNICKPTKYSEYFKHGCPTAYSYAFDDGSSTFTCREANYMIIFC >cds-PLY71899.1 pep primary_assembly:Lsat_Salinas_v7:8:278930584:278930813:-1 gene:gene-LSAT_8X157620 transcript:rna-gnl|WGS:NBSK|LSAT_8X157620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTITVPYVDDNQFPFIVFSPSVGGYSVRMLVVEYMTLSEIVVQRDDQQVE >cds-PLY89858.1 pep primary_assembly:Lsat_Salinas_v7:4:316517347:316519923:1 gene:gene-LSAT_4X159141 transcript:rna-gnl|WGS:NBSK|LSAT_4X159141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRECISVHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSVLLDNEAIYDICRKSLDIERPTYTNLNRLVSQVISSLTTSLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNTAFEPSSMMAKCDPRHGKYMACCLMYRGDVAPKDVNAAVGTIKTKRTIQFVDWCPTGFKCGINYQSPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGLESGEGEDDEEEEY >cds-PLY88906.1 pep primary_assembly:Lsat_Salinas_v7:4:64085129:64087411:1 gene:gene-LSAT_4X43821 transcript:rna-gnl|WGS:NBSK|LSAT_4X43821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPILVVMLLDPTQSAEENSRFPGRGRTLDATQTETISNNASPDSTLQTRLLEKLSNKYKTEKGEYIREIDIPERIQISEESIGPPPTDEMIIEEESTWILHQLQTGVVLFGRGGDRTTEEGHDLAIVKDDIMRFLEFMHVQKLDVPFIAMYKKEECMSLFKDPKPQDDKESENKSEKKPTLKWH >cds-PLY69347.1 pep primary_assembly:Lsat_Salinas_v7:7:81450715:81454758:-1 gene:gene-LSAT_7X57241 transcript:rna-gnl|WGS:NBSK|LSAT_7X57241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDESSQQGQDRSSSASIPTLPLHHGDGPSAEKRIEKPNNETFVSLNHSIDAANQSEAWNASRSHTPINSSNTYIAPVQTYYYGGYDDGLINWGEGSNYVHTNNLQIMSPAIYNDNSSLLYHPAFTYDTQIAYAQFPQIASQLSPIMIDGQLYSPHQLPISPSYYSQPISPTDYYLQYPRNNNLGFYKFPNEITQCEPVSTQSNPVGILGPYHGSYEATRVDKNMDRVDSVSLSATTSDRNRGPRALKPKGTTTGEHMSNEDFVTSYEKAKFFVIKSFSEDNVHRSIKYGVWASTPLGNRKLDAAFKEAKEYASRVNASGQFCGVAEMIGGVDFEKDADYWQQDRWSGQFRVKWHIIKDVPNSRFRHILLENNDNKPVTHSRDSQEVKLEEGNTMLKIFKEHDADTSILDDFNFYDEREKSLQEKRTKEQVFSTKNTIDDASINRLSDRVANSLQLENQNEVTKS >cds-PLY89340.1 pep primary_assembly:Lsat_Salinas_v7:5:113480630:113481073:1 gene:gene-LSAT_5X50481 transcript:rna-gnl|WGS:NBSK|LSAT_5X50481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNKRTTEVYALGQHISMSAHKARRVIDQIRGRSYEETLMILELMPYRACYPIFKLVYSATANASFNMGSNEVNLVISKADVNEGTIVKRLKPHARGCSFAIQKPTCHITIIPTLLHGHKNLNRKKAYNYAVLCYV >cds-PLY86595.1 pep primary_assembly:Lsat_Salinas_v7:1:132845340:132850346:1 gene:gene-LSAT_1X98341 transcript:rna-gnl|WGS:NBSK|LSAT_1X98341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVSAVITVLCEKLISGDLMKLARSEGIDSQLKKWKKNLPLIQAVLADATQKEIKEKAVQLWVNDLQDLAYDIDDVLDDLATEVMRRKLNQEAHATTSIGKVLKFFPNCCTKFTPRNIMYGRKMSSKLDEITTKLRDLVDQKNDLGLNVTKERSTLERRVEENSLVDESKIMGREGDKVTLMGKLLGNEECDENVSVVSIVGMGGIGKTTLAKVLYNDEKVKDHFELRAWVCVSDELDVFNISKAIFQAVTGKNEDFANLNLLHVALKEKLSKKRFLLVLDDVWNEDNNKWELLQSPLLAGARGSRIIVTTRSTRVASVMDSQETYSLGVLSNEDAVSLFAQHSLGEKNFDNHPTLQLLGEGMIQKCGRLPLALKALGRVLKGNRNGDEWEKLLKSEIWDIEDGNKKILPALRISYYHLPPHLKQLFAYCSLIPKDYVFDKNKLVLLWVAEGFLSQSKGNKSMECLGHEYFEELESRSFFQHSTDDKLGYTMHDLMNDLATSVAGEFSFRLDGEVDVSAMNETFDKFLHFSLIGSGSGSFRKLKELQRAKRLRTFLLISVPWEIGSLLDELLPELQFLRVLSVVGLNPSDYQNPLVAYWREAIFSIRWIPESIGSLKHLRYLNFSNTKITSLPEQVSDLYNLQSLLVQNCFKLSSLPKSFAKLINLRHFGIDNTPKVIKLPLGIGGLTSLQTLSKVMIEEVNGFKISDLKELSDLQGQLFIIGLDKVINPIHAKDANLHQKKGLEVLEMEWSDNVFDDSRDETIEYEVLKELKPPHKLKNLYILNNKGMRFPSWVGDPSFDQLTEITLRGCRSTQLPTLGCLGSLKKLVVESMSEVKTVGFEFLAPTASFLGIAFPSLEVLEFQDMQSWQIWSINSGNGHVSPRSFPRLHDISISSCPELAQVSIGSIPSLRVLYIQDCSKAVVRSMVGLSRSLVELIISNIKGLTQLHGEDLMHLRSVKHLYIYSCDELRYLWERESEACKCLVSLQKLEVYDCKKLVSSAEKEVNFGISMKSLKEVKLCYCGTLGSYNCPNTVERLVINSCASMTSLTFSAVQEHPSTLTESIVGDFGSLPVSRLTSLEIRFCKNLKSFPHEHFQSLTSLEEMFIYECPSMDYSFPCGVWPPNLSKLRIGVLNKPMSEWGQQNFPTSLVELILFGKDSGVVSFAVADDVRNNSTTPSSSSFLLPPSLVFLEVNGFKDVESFSEVLPHLPCLKTLNIWSCPKITDLKTTSGPSNLTITVR >cds-PLY85470.1 pep primary_assembly:Lsat_Salinas_v7:3:42997666:43000018:-1 gene:gene-LSAT_3X33301 transcript:rna-gnl|WGS:NBSK|LSAT_3X33301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKGARVPLQAIVVADMLSTKLRPITLECPEVLLPLVNTPMIDYTLAWLESADVEEVFVLCCCSHSKEIINYLDKSKWEQKPNFSVTTIESQNCTSALRDVIQGDFILVSGDTVSNMMLKQALEEHKERKVKDKNGVMTMVINQSEVGTDELIMAIDPTTKELLYYEDKVDGDLKFDKSHLSVNPCLCLHNDKQDCYIDICSPQVLSLFTDNFDYQHLHGQFVKGLLVDELVLVLWFIPL >cds-PLY66906.1 pep primary_assembly:Lsat_Salinas_v7:7:18979385:18981882:1 gene:gene-LSAT_7X15140 transcript:rna-gnl|WGS:NBSK|LSAT_7X15140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEAIHGAFKEGGVLYGKTVYLFTSTESQLLRFGDEWKLIHIPAVIAVVSPFPPSDKIGIASIQMGYEEILDMKDMNMDWKLTGLLIDEKKLTEDQKDAFKKHVEEKVEEAKRAIQLAKVGNFLKLIN >cds-PLY82998.1 pep primary_assembly:Lsat_Salinas_v7:1:17981260:17981904:-1 gene:gene-LSAT_1X15641 transcript:rna-gnl|WGS:NBSK|LSAT_1X15641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATFTQYEFQTSDYSPETSCSWDELLFQHNAHPFNQFESDDMFLPDMLAAVESAEFPKLNSSAMKEEVVTYEPEKMKSYRGVRKRPWGKFAAEIRDSTRNGIRVWLGTFDTAEAAAMAYDQAAFSTRGPLAVLNFPVERVEKSLQEMKYGLEEGCSPVMTLKKRHCLRKKTVAGKKKAVAMNLPEQKSTVVLEDLGVDYLESLLLLGESSTPQL >cds-PLY80223.1 pep primary_assembly:Lsat_Salinas_v7:9:191856572:191860222:-1 gene:gene-LSAT_9X118341 transcript:rna-gnl|WGS:NBSK|LSAT_9X118341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHTVFQTAQIMPINTHLVPTVKTLSSAPSNPLLPVSNYRVLPLSRKGLIVKNSSAIVDGDAMAGLERCFRMSPSSTPECPPSSSSAPSHGPVMKGGQYGAFGGVTLEKSKLDMTQKQTKSSPELAIGGGGGNIGKSINFGGGDGGDDDGDDDDYFDEFDDGDEGDEGGLFRRRIIFPELFDRKFLEAVLNEWSKTMMDLPSGLRQAYEMGLVSSAQLVRYIAINARPTTARFISRSLPQSLSRGFIGRMIADPAFLYRILLEQAATIGSSVLYEVKNRKERIKEEWDLALINVLTVTACNAMVVWSLAPCRSYGNTFRFDLQNTLQKLPNNVFERSYPMREFDMQKRLHSFFYKAAELCMVGLTAGALQGGLSNLVASKKKARLSLTIPSVSTNALGYGAFLGVYANLRYQLLSGFDRAMVTQFDVIGVGLFFSTALRIMNAQLGETSRLAWLGVEVDPLAHSDDLLKQAYNRPSESNNTSSPKWFLSKNAVTSGLGLLGFNQGQSQTSGEGEAAPPPPKARRKRIVRKKVTT >cds-PLY74330.1 pep primary_assembly:Lsat_Salinas_v7:6:789100:789429:-1 gene:gene-LSAT_6X960 transcript:rna-gnl|WGS:NBSK|LSAT_6X960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFSCIRLVYYPSGQIHKKKEKKKNKLKMFTSLKDVDKEESMAPLQVCAGKAL >cds-PLY72668.1 pep primary_assembly:Lsat_Salinas_v7:8:209821349:209825368:-1 gene:gene-LSAT_8X132220 transcript:rna-gnl|WGS:NBSK|LSAT_8X132220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCCASTGSRGSGGKKRTRRTFSDHVSAFHHTSSVPNRIFANGKSRSSCIFTQQGRKGINQDAMIVWEDFMAEDVTFCGVFDGHGPHGHLVARKVRDTLPVKLFSFLDSYESKKKGSTTSICCNGENHGLEGDDCEEEKPELLWREAFLKSYKAMDKELKSHPNLDCFCSGSTAVTIVKQGSNLFMGSIGDSRAIMASKDTNDSLVAIQLTIDLKPDLPREAERIKKCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEVSHRILTESDKFIVLASDGIWDVLSNEQVIEIVSTSPTRSTAARMLVDSAAREWKSKYPTSKMDDCAVVCLFLDGKMDSESDNEEHGFSSATLQSIAGESDDGQNPEPVLQRNFTVRSAEESRKDQNDVVLEGNGETETGVAEDQNWSGLEGVTRVNSLVQLPRFSEEQSRT >cds-PLY69688.1 pep primary_assembly:Lsat_Salinas_v7:5:213129185:213129433:1 gene:gene-LSAT_5X97801 transcript:rna-gnl|WGS:NBSK|LSAT_5X97801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKQSHGGRRNDEAQQQHIRPVSVVHSSAMTSDSNVVVAYRWRSYSVHNFFVGLGGSSGFTYISGNHVVTALIGKAVGVGLV >cds-PLY76723.1 pep primary_assembly:Lsat_Salinas_v7:8:295233955:295242282:-1 gene:gene-LSAT_8X163401 transcript:rna-gnl|WGS:NBSK|LSAT_8X163401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFFDKTSAVRLKTHQDKYLVADDDQLTVRQSQSAAGTRRARWLIEHVDSNSHVIRLKSCYGRYLTATGMPFFLGMTGKKVLQTLPENTKDLSVEWQPVRDGFQVKLKASGGTYLRANGVMPPWRNTVTHDSCSTSSTHNWILFDVEAVDIPEDEEFNDYLTMVSSFSSVSDELSGLEFVASPVSIRSSSFSPRTPILSMKKRLSFQQQPNPAPVMDLFNNAKAVRLKNHHNKYLHAADDEESVSQDRNGAAKNNRWTVEFVTESHDDNITIIRLKSCYNKYLTASNQPFLLGMTGRKVLQTVPRRLDSSVEWEPIRVGNQVKLRTRYGQFLRANGGLPPWRNSVTHDVTHAFHEWILWDVDVVDIVVQSPVARPAPPLVLHSDSFTSSESSSPSTNWEKSPTFSMQETIDLHHNSPPKMEEGRAIYYHVLSEDFGEIDENAQGFCINFKGNNVAELTRKLEEETGLKDITVCTRSPLNGKLYPLRLQLPPNNVTMKVVIVQNSDSIEEF >cds-PLY72862.1 pep primary_assembly:Lsat_Salinas_v7:5:167832912:167836305:-1 gene:gene-LSAT_5X74061 transcript:rna-gnl|WGS:NBSK|LSAT_5X74061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSQVQINGISLEPDVRTVECELGIVDERRSLAYLTWEELGVAVSSSVWNAGKDGRKSILSGVSGYAKPGEIVAIMGPSGCGKSTLLDSLAGRLASNTRQTGRILINGRKQRLTYGTLAYMTQEQVLMWTLTVKEAVYYSAELQLPKMMPRSEKRERADRTIREMGLQDCVNTRIGGWGVKGLSGGQKRRVSICLELLTHPKLLLLDEPTSGLDSATSYHVMNQIVKLTRQYQMTVLAAIHQPSSHVFRLFDNLCLLSLGKTIYFGPTLAANKFFAVNGFPCPDLESPADHYLMTINIDFNEDTVSEKVRDEHVINKLAESYKSSAMYMEVKSEISMICGEEGVLILREGRLQANFITQCSVLSQRSFINMHRDPAYYWLRLGIYIGFGLSLGTVFFQVGSGFSSIRNRVSLFFFVSAFMTMLAIGGFPSFVEEMKVFQWERLDGHYTIGSFVISHVISSMPYLLFVSIIPGAIAYFLIGLQSEPCLFIYFALVLFLSMSLVECLMMIVATIVPNFLMGIISGVGIQGLMILGAGFFRLPNELPHVFWRYPMYYISLHRYVLQGLYKNEFEGLKFPEYSGGPPTIDGEMILKSVLQVDMRYSKWIDLGILFGMVVAYRVILFYTIKIIERMRPIIKDFTVYSIFGN >cds-PLY75561.1 pep primary_assembly:Lsat_Salinas_v7:9:33108354:33111799:-1 gene:gene-LSAT_9X31261 transcript:rna-gnl|WGS:NBSK|LSAT_9X31261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein AGL18 [Source:Projected from Arabidopsis thaliana (AT3G57390) UniProtKB/Swiss-Prot;Acc:Q9M2K8] MGRGRIELKKIENVSSRQVTFSKRRAGLLKKAKELAILCDAEVGVIIFSSTGKLYEFASSRLQNIISRYEKNSDCLDKPVPETQQEVDPEVEALKAEILKLRKGQRLMMGKELEGLTYKELEHLEHQLHDGMLAVKNRKDMALMEEIEQTKLREQRTMQENEALKKQILDHLTKSTTNSEIQLLGRNNHFNTPSSLGCLKRDNGDIGISLHLGLSPHNDQHHKRKVPKIELDP >cds-PLY92268.1 pep primary_assembly:Lsat_Salinas_v7:2:211111695:211113059:-1 gene:gene-LSAT_2X133040 transcript:rna-gnl|WGS:NBSK|LSAT_2X133040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSREIEVHNHHLNGSLPADIPEDLREFLSEVEGVSFEEAILQQASVYQSYQESGRNRVVATDDEHHISDSSCSSEDEDEDDDDDEDEDVDEDADEDEDDGESLVHEIVNSQEVTDEAYARSLQEFGEEYDEFMITEFSGRASGVTESPSTHVSSPDSSQDDIDPDNMRYEELLNLSETIGVESIGLSTERLSLLPNYIYTSGMFSKNKEESCVICQENFKFGKRVISLPCSHQYHSKCISEWLKLKKNCPICQKEVV >cds-PLY98687.1 pep primary_assembly:Lsat_Salinas_v7:5:303606319:303606591:-1 gene:gene-LSAT_5X163321 transcript:rna-gnl|WGS:NBSK|LSAT_5X163321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYPVVLFLTSPVEGGQRLKELRLRSVGAMVVPCWNFEMYKGSKECFGFRRRRFVGSLVEKGLSTRGGSVFTDVKRLIAVGTTSLEQSVD >cds-PLY88224.1 pep primary_assembly:Lsat_Salinas_v7:8:149751033:149753419:-1 gene:gene-LSAT_8X100741 transcript:rna-gnl|WGS:NBSK|LSAT_8X100741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIITKLRCITVDVTGTLMAYKGELGDYYCMAAKSVGLPCPDYKRVHDGFKIAYTEMAKKYPCFGHAEKMPNIVWWKTCVKNSFIKAGYDFDEETFEKVFRRIYSTFGSAAPYTVFSDSQPFLRWIRSQGVTVGIVSNAEYRYPDVILPALGLHEGSEWDFGVFSGLEGIEKPDPKLYKIALERAGNIAPEEALHIGDSMRKDYLPAKSIGMHALLLDRFKTPDALDWKKSGATVLPDLVAAREWLASHRI >cds-PLY90685.1 pep primary_assembly:Lsat_Salinas_v7:8:141732268:141732638:1 gene:gene-LSAT_8X96360 transcript:rna-gnl|WGS:NBSK|LSAT_8X96360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANHIQNLSLMGTLELRFDLEGCYTRYMGFNSSVDWAHCGHCVSKQCGQSHGC >cds-PLY68694.1 pep primary_assembly:Lsat_Salinas_v7:7:84093241:84102581:-1 gene:gene-LSAT_7X59420 transcript:rna-gnl|WGS:NBSK|LSAT_7X59420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLSHVTILFLAILFQETLFLAMGDRQFIENICKGTPSYNLCLSILLANPKSQDANLTGLALIVVDAVKNEENKSLNRQSFFFLQFIKMNLSRVTILFLAILFQETLFLAMGDREFIENTCKGTPSYNLCLSILLANPKSQDANLTGLALIVVDAVKNEGVKTLQQIHALKKSLPELTATLMQCGDVYNTIMHVNVPLTINALNLGNPKFGEDGMADTTIESQTCERSFKEHGQTSPLTNMNKDMEDVANVARAIIRMLL >cds-PLY76423.1 pep primary_assembly:Lsat_Salinas_v7:8:154995757:155005860:1 gene:gene-LSAT_8X105500 transcript:rna-gnl|WGS:NBSK|LSAT_8X105500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMYYHNLLSPTCNHHVTAFLGSRIALNSPAPVSQLLFYYKYNRSSHHVHVNVGRRNFEKMELEEAAANLRSRFIRVLRSRRSPEVPLQTLPAKPVVEPLYQGTPPSQPSEAMESCPKAHIHNFKELLNEENLYLTTEEGEQGRLPVLILSMKENTHSKRPAVVFLHSTNKCKEWLRPLLEDYASRGYIAVAIDSRYHGERAKNHTTYEHALVSSWKRGDTMPFIYDTVWDLLKLADYLTERDDIDHSRIGITGISFGGMHAWFAAFVDTRYSVVVPIIGVQGFRWAIDNDQWHARVYSIKSVFEEARIDLGKSDIDKEVVEMVWNRIAPGLGSEFDSLPTVPLIAPRPLLIINGEGDTRCPMEGLDVTISTTQKAYEDAKCLNHFKVIVEVGIGHNVTSSMLKEASDWFDKFLKP >cds-PLY69730.1 pep primary_assembly:Lsat_Salinas_v7:8:117592983:117593201:1 gene:gene-LSAT_8X80980 transcript:rna-gnl|WGS:NBSK|LSAT_8X80980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASNDNNNGIGTDPSVVLVSNSSTYGGHNSTDLQQSPLGHSRSPTTKLDTKWSSVAQGGEEGVGGDWKSNQ >cds-PLY81085.1 pep primary_assembly:Lsat_Salinas_v7:6:132319693:132321404:-1 gene:gene-LSAT_6X80020 transcript:rna-gnl|WGS:NBSK|LSAT_6X80020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKYGELRDDSALVKALDDAISKYKIMHGKGGTISTPDEEKITICKEESESIIFNGSNEAKSACVETEDNTTNMPNSNQVQDTESTYVDAQNAQTAEDYNVLLNQYNAVEEQRQKLLGQLYQYGNWDYQSYGYGGVYDSQDQPPQPSGPPACSCQPYVCPCSTKVSCNEDDGVIKAAMGAIDKVIQTFNTGDKEGKKSEETDLSAVLNAWFSAGFYTGKYLSEQAALKK >cds-PLY83856.1 pep primary_assembly:Lsat_Salinas_v7:3:52322218:52322388:1 gene:gene-LSAT_3X39601 transcript:rna-gnl|WGS:NBSK|LSAT_3X39601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHEMGLKGVQKGAQIAPNNYLHFKFIPLMSLHWVITIHSYIHTCIQHVSRRHSQSQ >cds-PLY82595.1 pep primary_assembly:Lsat_Salinas_v7:2:183934429:183942896:1 gene:gene-LSAT_2X107361 transcript:rna-gnl|WGS:NBSK|LSAT_2X107361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVPHSDFAATEGPQPMEVAPAEAGNAVDAPTVDDPPSARFTWTIENFSRLSNKKLYSDVFFVGGYKWRVLIFPKGNNVDHLSMYLDVADSSTLPYGWSRYAQFSLAVVNQVHNKLSVRKDTQHQFNSRESDWGFTSFMPLSELYDPTRGYLLNDKCIVEADVAVRKVVDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHNMNYIECINVDYKSTRKESYYDLQLDVKGCRDVYASFDKYVEVERLEGDNRYHAEQHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDMKRALDEQYGGEEELPQANPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRIRLKKEQEEKDQKRKEKAEAHLYTIIKVARDDDLRRQIGKDIYFDLVDHDKVHSFRIQKQISFALFKEDVAKELGIPVQYQRFWLWAKRQNHTYRPNRPLTPQEEAQSVGHLREVSNKANNAELKLFLEVEVGQDLRPISPPEKTKEEILLFFKLYDPFKEELRYVGRLFVKGTGKPIEILAKLNELAGFAPDEEIELFEEIKFEPNVMCEHIDKKLTFRGSQLEDGDIVCFQKPLKDEPITETYRYPDVPSFLEYVHNRQVVRFRSLEKPKDDEFSLELSKLNNYDDVVERLAGHLNLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSDMLAHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKTKVELSNPDAELRLLEIFPLNEKIENINDQYWTLRAEEVPEEEKELGPQDRLIHVYHFMKDTAQNQVQNFGEPFFLVIREGETLAEVKLRIQKKLQVPDEEFSKWKFAFLSLGRPTYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNSPKRSYAANQNRHTFEKPVRIYN >cds-PLY76639.1 pep primary_assembly:Lsat_Salinas_v7:4:116108418:116110859:1 gene:gene-LSAT_4X74620 transcript:rna-gnl|WGS:NBSK|LSAT_4X74620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKFRVFFFLAVLLFASSFLLQVARCQSDSESDADADLVAETVEEGRVSGAVGDDSQNFEGWNFSPASGVETVCVFPKNPSKLVVAGEETEVLIGMKNEGEQSVKVLAIHASIHLPYHHMLVQNLSAMSFNNASVPASVQATFPYTFAVSKYLQAGTFDLVGMIVYEVDQLPYQNIFYNGTIEVTEAGGLVSVETLFLISLGFALFVLFGLWVRGQMQNLSKKTKKVAKVEIGTKTVDASMDEWLQGTAYTQSNKSKKKK >cds-PLY61761.1 pep primary_assembly:Lsat_Salinas_v7:5:302266214:302266605:1 gene:gene-LSAT_5X164321 transcript:rna-gnl|WGS:NBSK|LSAT_5X164321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSSNMQLYPSKDHLYLPLSLLKSVLNELTQNNVRFQVNQGNTILDGS >cds-PLY89861.1 pep primary_assembly:Lsat_Salinas_v7:4:315974068:315975167:1 gene:gene-LSAT_4X158881 transcript:rna-gnl|WGS:NBSK|LSAT_4X158881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLAWLSEMEMEDSRFMNYNQMSTLYDTIENLSVDSLSSQGYTENMTSVDQSFQKTYTTNKKPSSNPEPLIATNLPSSNTFTISFGDLKSKNKIFQIKDSLGYESASATKIPTIARNMIQAQDHVLAERKRREKLNKHFISLSSLLPSLKKMDKASVLEDASNYIKELQGRVKELEGLSSPKRKNFQSSVISMKRSKLSTSDDEYYSTDDTNSCDSTNPFKLSPEIEVRMSGSSVLVKIECEKNISSFVKALNQMQKLGLTTISSSAMPFSKTRLLINIVSQVHKFRNPNQDS >cds-PLY87121.1 pep primary_assembly:Lsat_Salinas_v7:5:262029322:262030024:1 gene:gene-LSAT_5X134061 transcript:rna-gnl|WGS:NBSK|LSAT_5X134061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSTLRNQLQVTHVQSQEGVKTRLRKCSFFEWKYEEQADGYYKNLLYSLKQKLDAKEELSEMNNLRRRIVEVEFLLSQEKYKVAKSEKEFHDARKAIGRYKMIVSMLFDCLDLCVLKLGVIVVS >cds-PLY67649.1 pep primary_assembly:Lsat_Salinas_v7:2:207584325:207585878:1 gene:gene-LSAT_2X128161 transcript:rna-gnl|WGS:NBSK|LSAT_2X128161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKAITVYEHGGPEVLKWEDVEVPDPKEGEIRLKQKAIGLNYLDVYMRQGRHPQSVPFTPGVEGAGIVTAVGPGVTSCKVGDVVAYAGTQRLVGSYAEERILPADHAVPVPSSIDPVEAAAVIFKGLTAYVMIHRAFKVEPGHTILVHAAAGGVGYLICQWARAIGATVIGTVSTKEKAVQAKEDGCEHVILYKDEDFVERVMEITSGKGVDVVYDSVGKDTFNGSLECLKTRGYLVVYGAASGQPEPISVTKLAPKSIYLTFASLGEYIGGNREHLLIAAEALYSNVAKGVLKVRLNHKYPLSQATQAHIALESRKTTGSVVLIPDEE >cds-PLY91594.1 pep primary_assembly:Lsat_Salinas_v7:7:11907969:11922169:1 gene:gene-LSAT_7X10221 transcript:rna-gnl|WGS:NBSK|LSAT_7X10221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPLAQRFSTEIRLLFESLNESNSDSVLRELSQYVDYGLEGSILLLETCFDHFNVYERDLKSSHLEPVVASLFRKLLEKPQFSTVFQVSVRPTAITEEFLDNLSVALQLSSYEKLGFGLSLTDSENNDIRIAGRNFCTRQIEELCATTSLHNADYVQDILLFLNKSEALSKHVDSFMQLLSLVQFDKDSEFIVAPLLSDELQESKFMRKLDFLNDGTDSEFEAILAEMEKHMNMADLMKELGYNSTSDVSLCKDLLSSFSPLNEITVAMILGTIVRSDSSLQDQENAFSTFCSALGRGSLPDMSLLSSWNTEVLIESIKQLAPGINWTTVIENLDHEGFYIPDEAAFSLLISCYRLASQDPFPLSAVCGNVWKNTEGQLSFLKYAVSVPPEVFTFAHCERQVAYVDAVSTPKFQSGYANHAWLCLDLLEVLCQLAERGLAKSVRLLLEYPLKHCPEVLLVGMAHVNTPYNVLQNEVSLAVLPVILKDPSVGGILLHLWHVNRSFFLRALSDALNMDQDNIHRVLDLCQEIKIISPVLDMVPMSLGIKLAALASRKELIDLEKWLSANLSTYRDAFFEECLRFLKEVEFGVQESSNRLHSPGNIWTIYAETASVFFKVLQSHTGLLTSNQLIKEMERLYLKSPNNSSRMKNNGGQESSTSEVYGDDVEAEANSYFQQMFSGQLTIDAMIQMLARFKESSDKREQQIFECMIANLFEEYKFFNRYPDRQLKLAAIVFGLLIKNQLVTHLTLGIALRAVLDALRKPADSKMFVFGTKALEKFVDRLIEWPQYCQHILQISHLRATHSELVAFIERALARISSGHLDSDAGHNPASDQHHNSIPQPNIEMAAGSSFSLIGSSGAQLGSQMSSPIQLQQRNQSYLEERHRASVTSSNYMKPNLPTTGQMSVATANDPPPSVVSSSAALASSPAFVRPSRSVTSARFGSALNIETLVAAAERRETPIEAPPSETQDKISFIINNLSAANIEAKAKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLEKASSRPLTREIVQATYENCKVLLGSELIKSSSEERSLLKNLGGWLGKITIGRNHVLRAKEIDPKGLIIEAYEKGLMIAVIPFTSKILEACQNSLAYTPPNPWTMGILGLLAEIYAMPNLKMNLKFEIEVLFKNLNVDLKEVSPSSLLMDKVREVEGNPDFSNKDVGSTQQQPMVGEVKSSMISTLNQVDLPVEVASSSHPGGHSRILSQYAAPLHLPSATLSEDEKMAALGLSDQLPSAQGLLQAQLPFSVGQIPASNIDQQVIVNPKLQALGLHMHFQSVLPMSMDRAIKEIVSSIVQRSVSIATQTTKELVLKDYAMESDESRIHSAAHLMVASLAGSLAHVTCKEPLRGSISSQLRNNIGTTVNITSELLEHAVQLVTNDNLDLGCALIEQAATEKAVQTIDGELAPQLAIRRKHREGVGPAFFDANLYTQGHVGVLPEALRPKPGRLSHSQQRVYEDFVRLPWQNQSNQSSNAVPLGPSAPPGSGALHRGYGSASGNLNPGIFSSSLGNSGMNAVAHSLDSEDMEPSSVKLLSGSSGHAGMAGGIGPHNFENEAVLSSFSSVSAPELHIPDSSNISKESGVYAQPLPSPSASDRVISTTSEPSLTTGDALDKYRIISEKLESLIANDAKEAEVQSVIGEVPVVILRCISRDEAALAVAQKVFKGLYENAANTAHVGAHLAMLASIRDASKLVVKELTSWVIYSDEDRKFNKDITIGLIRRELLNLAEYNVHMAKLLDAGKNKVATEFAISLIQTLVANDARVISELHNLVDALAKLATRPDAPEALQQLVEVARNPSASSSSGLPAGKDDFVRQQKDKKVASHLVAGRDEMSALESSEPDPAGFHDQVSLLFAEWYRIYEHPNDQMSARFVLQLQQNGLLKADDTSDRFFRRLLEIAVSHCISSEVINSSPVQAPQQAQTLSFLAIDMYASLIFSILKFSSVDHGVSKLSLLSKVLAVTVRFIQKDAEEKKTSFNPRPYFRLFIDWLLDLSTLDPVFEGANFQVLTALATSFHALQPLKVPAFSFVWLELVSHRSFMPKLLSGNAQKGWPYFQRLLVDLFQFMEPFLRNAELGEPVRFLYKGTLRVLLVLLHDFPEFLCDYHFSFCDIIPPSCIQMRNIVLSAFPRNMRLPDPSTPNLKIDLLAEISQSPRILSEVDAALKTKQMKNDVDEYLKTRPQGTSFLSELKQKLLLSPSEAARAGTRYNVPLMNSLVLYVGMQAIQQLQARTPHGQSMASNASLAIFLVGAALDIFQTLILELETEGRYLFLNAVANQLRYPNNHTHYFSFILLYLFSESNQEAIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWSRSFTRCAPEIEKLFESVSRSCGGPKPVDDNVVAGGISDTMH >cds-PLY82959.1 pep primary_assembly:Lsat_Salinas_v7:1:19427692:19428003:1 gene:gene-LSAT_1X16820 transcript:rna-gnl|WGS:NBSK|LSAT_1X16820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLLMVGTASEKAAEMLVYAHETQHEKIIRGLALQITLTVYGREEEVDTLIEQMTRGQDPILRYGGMYSGTTNN >cds-PLY97566.1 pep primary_assembly:Lsat_Salinas_v7:5:235667888:235670914:1 gene:gene-LSAT_5X114821 transcript:rna-gnl|WGS:NBSK|LSAT_5X114821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLHFFFFSSFALLISSISALNSDGILLLSFKYSVLSDPLSVLDNWNYDDLTPCAWRGVTCEDFSGSGTPESLMVVSLILPNSHLLGSIPQDLGMIPHLRSLDLSNNSLNGSLPPSIFNSSELETLSLSSNVIAGDLPQLISGLQSLKLLNLSDNAFAGKLPESLASLKNLTSISLKNNYFSGSVPGGFDYVEVLDLSFNLFNETLPLDFGGEKLRYLNLSNNKLSGTVSAGFAGKIQANATIDLSFNNLAGEIPQVLSLSKQKTEFFAGNLDLCGKPLSKMCIIPSSLSTPPNVTANGSATAAIAAIPKNMDPSPSSSTTTGAPAVADSHSGSKVKPVKIAAIVAGDLAGIGLLAILFLYAYNLRKKKMNQNQNQNQNPKTKPETKIQESKISKDISSTRALSSKTCSCLNGVTGDETSETATGSDSDHDNDNLTIDVKGGDKQKCLVIVDGETELEMETLLKASAYVLGSSGPSIVYKAVLGGGNGSGGVAFAVRRIGESGVERMREFENTVKIISKLRHPNLLKVRGFYWGEDEKLVIYDYVSNGSLAGAGYRKVGSSPCQSLPFEVRLKIAKGIAMGLAYIHEKKHVHGNIKPSNILLTMEMEPIISDFGLEWLISGKHSHKTKGSNRHFGSKRSISTREEMMINHDYHHSASSSPYMAPATGLLGCTSPYHAPESMKSLKPNPKWDVYSFGIVLLELISGKVFSERELGEWNASSSDIDDENSILRLVDMSIRTDNNGRRDATLTCFKLGFNCASLDPQKRPSMKEALHVLEKIPFSSC >cds-PLY91677.1 pep primary_assembly:Lsat_Salinas_v7:8:12011909:12013800:-1 gene:gene-LSAT_8X8241 transcript:rna-gnl|WGS:NBSK|LSAT_8X8241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypersensitive-induced response protein 4 [Source:Projected from Arabidopsis thaliana (AT5G51570) UniProtKB/Swiss-Prot;Acc:Q9FHM7] MGNASCILVGCIDQASIGVVEKWGRFEKLAEPGLNFFNPCAGQYLAGVLSTRINSLEVKIETKTKDNVFVQIISSIQYRVVKQNADDAFYELQNPREQIQSYVFDVVRAQVPRITLDQLFEQKDEVAKTVLQELEKVMGEYGYNIEHILMVDIIPDPSVRRAMNEINAAQRLQLASVYKGEADKILLVKKAEAEAEAKYLGGVGVARQRQAITDGLREKILNFSHKVEGASAKEVMDLIMITQYFDTIKDLGNSSKNTTVFIPHGPGHVRDISDQIRNGLMEGKAGSSGAHHD >cds-PLY97140.1 pep primary_assembly:Lsat_Salinas_v7:4:358866469:358867083:-1 gene:gene-LSAT_4X175521 transcript:rna-gnl|WGS:NBSK|LSAT_4X175521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKHGLAHLDSHNHILAQLPSHSNSLGASSPLNFFLCTCTWSSPSLCTINNGLGKEQNAAIAALIRLLGENPSRGLIAADVEYNTIDILCRILSSNSSMELNSDAAELCYVLFESTRIRYTVTAGRSVEPLTSLLISECTPAHLSVVRALDRLIDDENLVELVSVHGGIIPLVGLLHRTNYMLHEATSLALVKMGVDILARLKR >cds-PLY92531.1 pep primary_assembly:Lsat_Salinas_v7:3:253539881:253540482:-1 gene:gene-LSAT_3X139821 transcript:rna-gnl|WGS:NBSK|LSAT_3X139821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTEGACEIMEGVRFSFMTDEEVRKHSVLKITNPILLDSVGRPMPGGLYDPLLGPMDEQAPYINQRKRNARIL >cds-PLY87199.1 pep primary_assembly:Lsat_Salinas_v7:4:308432900:308435065:-1 gene:gene-LSAT_4X155240 transcript:rna-gnl|WGS:NBSK|LSAT_4X155240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLTFESKPKKLMECRICHDDDEDSNMETPCACRGSLKFAHRRCVQLWCNEKGNTTCEICLEPFKPDYTSPPPSLHCDGDPMNFRGNWEISRRDLYNLQFSTMVSSGHEEPDFDDYIAPSPGSLTCCRVIAIMFMTLLVLRHTLPIIINGTGGYTITMFTLIMLRCIGVILPIYIMFRAFIVVQRGLQLEVNSLKWIFFSFHI >cds-PLY67522.1 pep primary_assembly:Lsat_Salinas_v7:6:68501447:68502160:-1 gene:gene-LSAT_6X48661 transcript:rna-gnl|WGS:NBSK|LSAT_6X48661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEASEAYLVGLFEDTNLCAIHAKRVTIMPKDMQLARRIRGERA >cds-PLY76434.1 pep primary_assembly:Lsat_Salinas_v7:8:154416020:154419348:1 gene:gene-LSAT_8X103420 transcript:rna-gnl|WGS:NBSK|LSAT_8X103420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSICLFHHNHLLIRSRNFQHDNRCFRVQSFTQIPDSSIRFRNLPKSSSRQNPSTSSPERPVEKPGFKSQIDSNDDDENLDEVAPSSPSGSTSSNPFASLIQSFRDKLTFDELGMEIMSIALPAALALAADPITSLVDTAFVGHLGSAELAAVGVSISISNLVAKLFNIPLLNITTSFVAEEQAVLVNGDDDDDDDSTSVSHGGSKKKFLPSVSTSLALAAAFGIGETIALFFGSGSLLNTMGIPVDSPMRIPAQQFTSIRAFGAPAIVLALAAQGTFPAGNLVNAILDPILIFFSGLGISGAAIATVISEYLIAFILLWKLNQEVQLVTPNVDGDKVARYLKSGGLLMWRSFAVLVTMTLSTSIAAREGSIPMAAYQICLEVWLALSLLTDALALAGQAILASSYSQKNYGESRRVIDRVLKIGLISGGSLAFVLFLGFGQLSSLFTTDSQVLNIARSGTLFVAASQPMNAIAFVLDGLYYGVLDFGYASYSMVVIGLGTCLFFLLVVPQFGLPGVWTGLFLFMTLRVVAGILRLGTKKGPWKLVYSQTNQDQDQVNR >cds-PLY86176.1 pep primary_assembly:Lsat_Salinas_v7:3:242268073:242269035:1 gene:gene-LSAT_3X135401 transcript:rna-gnl|WGS:NBSK|LSAT_3X135401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carboxylesterase 9 [Source:Projected from Arabidopsis thaliana (AT2G45610) UniProtKB/Swiss-Prot;Acc:O64641] MSKFDPYEHLKILNNEDGTITRLVQFPQKSATGDGELLPGQTVVSKDVTLDATKSTWIRIYRPAKIPSNDSKIARLPLVIYFHAGGWILFNVNDMMNHDAMNKLSEEIPAIAVSVNFRLAPETRLPGQYEDAMDALNWVKKQATDPNGEPWITQYADFSRVFLYGTSCGANIVLNTALRVLDQDLSPLTIGGIILNQPFFGGKKRTKSELKMAADMFFPLPVIDLLWELALPKGTDRDHRFCNPLGDEQVKKKMKRLGRCLVIGFGGDPLIDRQQDLVQLMVMQGVMVEARFDDVGFHGIEMIDPRRASAILNFVKEFII >cds-PLY96281.1 pep primary_assembly:Lsat_Salinas_v7:6:158033439:158035177:-1 gene:gene-LSAT_6X95901 transcript:rna-gnl|WGS:NBSK|LSAT_6X95901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVKLYTTSQSIEEFISLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSYEIRQQAGLALSEFLQEMKNSLL >cds-PLY93342.1 pep primary_assembly:Lsat_Salinas_v7:9:58100640:58102782:1 gene:gene-LSAT_9X51220 transcript:rna-gnl|WGS:NBSK|LSAT_9X51220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTPSLPPPLSTTLLRLLPKLLSYQQHLFYLSPYTLPSSVSLCDHNPSYASVTTTILTFWLHPSPGILEDKLLCLVYFSMALEYLAVGRTRVGKNQVESGHTMLVYATKTWIGDNEPRYI >cds-PLY73036.1 pep primary_assembly:Lsat_Salinas_v7:9:37340111:37344997:-1 gene:gene-LSAT_9X35140 transcript:rna-gnl|WGS:NBSK|LSAT_9X35140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALVGASFVAVSAYYMHRKTLNQLLEFAKTVEKERNDGEDELLQHYRKQNSTEKPLQRRNQGGRINGFYRRGSASLPEITSISGGSGGHDEDAIPAGLPRLHTLREGKSPSHTGLAKRAGHLLRPTSPKSPVASASAFESVEGSDEDADLNESINLDPTYLHTNGNTGAECEGVNANGEQLPIPASSMIRSHSVSGDLHGVQPDPVAADILRKEPEHETFVRLKISPHETPSPDEAEVYRALQVCLEMRNSYVFRESIAPWEKEVISDPSTPKRNPNPFDYVPEAKSDHYFEMEDGVIHVYAKKNSNEKLFPVVDATTFFTDLHHILKVIAAGNTRTVCHHRLGLLEQKFNLHLMLNADKEFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLREVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFADLDASKYQMAEYRISIYGRKQSEWDNLASWIVNNELYSDNVVWLIQLPRLYNIYKEMGIVTSFQTILDNIFLPLFEVTVDPGSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNIFNPAFSYYVYYCYANLYTLNKLRESKGMTTIRFRPHCGEAGDIDHLAAAFLTTHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSACDLCEIARNSVYQSGFSHVLKSHWIGPEYYKRGPDGNDIHKTNVPHIRLEFRDMIWREEMQQVYLGKVRLPEYIET >cds-PLY95929.1 pep primary_assembly:Lsat_Salinas_v7:9:43053440:43057426:-1 gene:gene-LSAT_9X38760 transcript:rna-gnl|WGS:NBSK|LSAT_9X38760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREGRLGFVICVLLLICESTLGRFVVEKNSLRVTSPQNLKDTYECAIGNFGVPQYGGTLAGAVLYPKANQRACRSFSDDVSFKFKSGAGLPVFLLVDRGDCYFTLKAWNAQRAGAAAILVADDRPEPLITMDTPEEESARFNYLQNISIPSALISQSLGEAIKRALEKPEMVKVNLDWREALPHPDDRVEYEFWTNSNDECGPKCDSQKNFLKDFKGVAQILEKKGYTQFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEEDFARGYDGKDVVIQNLRQACFFKVANESGKPWLWWDYVTDFAIRCPMKEEKYNKECAEEVIKSLGADLNKINECVGDTKADKENPVLKAEQEAQIGKGPRGDVTILPTLIINNRQFRGKLDKGTVLKAICSGFEETTEPVICLREGIETNECMENNGGCWMDKTLNITACRDTFRGRVCQCPIVQGVKFFGDGYKHCEEGCKCPPGFRGDGVKNCEDIDECEEKTACQCPNCKCKNTWGSYDCKCGGNMLYIREHDTCISKDAISGVGWGFMWVIILGMAVAGVAGYAFYKYRIRTYMDSEIRAIMAQYMPLDNQAEIAVHASHGNV >cds-PLY80876.1 pep primary_assembly:Lsat_Salinas_v7:8:127798737:127801274:1 gene:gene-LSAT_8X86941 transcript:rna-gnl|WGS:NBSK|LSAT_8X86941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKNEQKEREESLRLRGGCIGAVGQPADLELSKSWGWWKVKKWTVHILNRLYTRFGDLKLKNPENKAFAQHFLKNKAFTCQSFQPYFAILEQQDLFGDLRPNMTHYSTIEKADAVLVQLEEHERSGEKHHTEQEKQRSMSGSSSMVNGQFVVANGMEEENGGTHHEVESDSASQGSTMDPEDCRTTCSC >cds-PLY64631.1 pep primary_assembly:Lsat_Salinas_v7:6:33522634:33525455:-1 gene:gene-LSAT_6X25880 transcript:rna-gnl|WGS:NBSK|LSAT_6X25880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTSPRFPLFTICIEHRHHRYLAFRLPSSVGAATALNHHFLLRSLQYRAPKFEALVSPIEASIRLYFLVLLLVKLNMGVAKKSNGMEEATLEIGMEYIIVSGVNMETAQFFKRDFEENGSMERVTLFLSLVSAAREEVPGRRGYPGYMYTDLATIYERARRIEGRKGSITQQYTDLALQALSIQYGVFQSIHSYRKEKHERWLKPILRRLQD >cds-PLY73268.1 pep primary_assembly:Lsat_Salinas_v7:8:211139770:211144445:-1 gene:gene-LSAT_8X131861 transcript:rna-gnl|WGS:NBSK|LSAT_8X131861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVIKDGSISGTLPSNKVFGVNYPGYPSSMERALVTLGGAEGISMARESPSNLLELRFRPEDPYSHPTHGELVPCNNFLLKISKQNIQPEITANAHLKEDDVTHILEKQQDTICADIVGHVSETYYFNGMVDYQHVLGVHADVARKKKRNWDDVEPQFEKHGLIDADQEDLMILLPPLFSLKNIPENVVLKPSMYVSLKKKQEGVVQHRWEMDIEPSLAIDFNIKDVPKKVNWEKFIKEGTDEWNCQMAVCDSFEERPIWIKESLSEHLSNKGLKLSSNYLKRLLFRAAYYFSNGPFLRFWIRKGYDPRKDPESRIYQRIDFRVPPSLRSYCDNGIASGLKHKWGDLCGFRVFPYKCQISLQLYELPDDYIRQEMKKPSTQTTCNLATGWFTPHVLEIFRLCVAVRFLSVYPNAGAESFLKSASSRLEKSKRAVTVVKEPIVNQQINRDEVIIEEKGMSNDEEEDDEDDDDVEDDMEDEDFDADEGLDLVGDGTNFLQEPSYTNEDNISKSYLQDLFGSFPYNNGGGNEFQDGENSDGEYHIYDQDSDENYSDDDY >cds-PLY81207.1 pep primary_assembly:Lsat_Salinas_v7:5:339546608:339549631:1 gene:gene-LSAT_5X190521 transcript:rna-gnl|WGS:NBSK|LSAT_5X190521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAVPKRKSPKRRSETFIHKFYKNPKIPFAFALLLADAVLTALIIAYVPYTKIDWDAYMSQVDGFIEGERDYSNLKGDTGPLVYPAGFLYVYSGIQYVTGGQVYPAQILFGFLYIVNLGIMLFIYVKTDVVPWWALTLLSLSKRLHSIFVLRLFNDCFAMTLLHLSLVPLLYQKWHLGLIIFSAAVSVKMNVLLFAPSLFLLMLKGMGIIGVISALTGAALVQILLGLPFLWSYPIAYLSNAFNLGRVFIHFWSVNFKFVPEPVFVSKKFALILLFAHLGLLTLFAHYRWCKHEGGLVSFLRSRFLQNQLKTFPPNKTHNSISGLQLLKREHIVTTLFTGNFIGIVCARSLHYQFYSWYVCSLPYLLWRTSFPNIVRVLLFAGVEVCWNVFPSNVYSSMALLCLHVLILWGLWRAPSEYPYQNNRLQKIK >cds-PLY76786.1 pep primary_assembly:Lsat_Salinas_v7:4:188344423:188345922:-1 gene:gene-LSAT_4X108620 transcript:rna-gnl|WGS:NBSK|LSAT_4X108620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASITFSFIGLHQSSMEIHHHHSITTPSKCLVPSQLHYRRLNVVAAMSSPTITGRNLRVAVVGGGPAGGAAAETLAKGGIETFLIERKLDNAKPCGGSIPLCMVEEFDLPMDIIDRRVTNLKIISPSNVSVTIGKTLKPHEYIGMVRREVLDAYLRDRAVASGATIINGLFVKMDKPQEKNAPYVLHYNTYNGKTPGERTSIEVDAVIGADGANSRVAKSIDAGDYEYLIAIQERIKIPDNKMKYYLNLAEMYVGSDISPDFYGWVYPKSDHVDVGTGTLRHKPDIEKLQLATRLRVGEKIQGGKIIRVEAHPMPQHPRPRRVVERVALVGDAAGYVMKGSGEGIYFAAKSGRMCAEAIVEGSENGRKMVDEGDLRSYLEKWDTIFGPTFKKMDMVDKVFYRSNMGKEAVVELFRHEYAQKMILESYLYKTVATVNPLERLKLAVSSIGSLVRANN >cds-PLY81563.1 pep primary_assembly:Lsat_Salinas_v7:2:128127852:128135131:-1 gene:gene-LSAT_2X59580 transcript:rna-gnl|WGS:NBSK|LSAT_2X59580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMGVAKVGLELMIMMMMIIGVIMKETEGRFVVEKSSISVLSPIDLRSKHDAAIGNFGVPDYGGSMVGTVVYPQKSDSVFGCSKFEGDNPFKSKSASRPTILLLDRGDCYFALKAWNAQQAGASAVLVADNTDEPLITMDSPEASSTADDFIDKLTIPSALIQRSFGQSLKDALQKSNQEVLLKLDWSESLPHPDHRVEYELWTNSNDECGARCDQQMNFIKNFKGHAQILEREGYTMFTPHYITWFCPKPFVLSDQCKSQCINHGRYCAPDPEMDFGEGYNGKDVVFENLRQLCVHRVANETNRSWVWWDYVTDFHIRCSMKKKKYSKECAEEVIKSLGLSTEKIQKCMGDPEADVENEVLNIEQESQLGRGSRGDVTILPTLVINNVQYRGKLDRTGVLRAICSGFKETTDPPICLSGDLETNECLERNGGCWIDSQNGISACKDTFRGRVCECPTVNGVQYRGDGYTSCEAFGAGRCTVNNGGCWSDLRNGKKFSACSESNITGCSCPQGFRGDGHTCEDINECKEGIACQCDGCTCKDTYGGYECKCKGDKLYIADQDTCIERKASKFAWFISLLVLGVVASAGLAGYIFYRYRLRAYMDSEIMAIMSQYMPLDNQHPNQVVIHENEPLHQTSTV >cds-PLY93293.1 pep primary_assembly:Lsat_Salinas_v7:4:300921880:300924357:1 gene:gene-LSAT_4X150760 transcript:rna-gnl|WGS:NBSK|LSAT_4X150760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGKIPNKFLGVRNNKIYMDLKLIIREHALQFLPAKSLFKFRGVCRDWKLIISTPFFAHNQSLSFRSLSGLFLQTPGTPPTFVSLDPNSAGIPDPALKFLPAPTNILASSNGLLCCQALTGDRAYYICNPVTSQFKKLPKPSFDHGRDPAVVLIFNPSLLNFVAEFTLVCAFRSADFDDGTEFEIYSSKTDAWTVSGEINFAAKKLVPKSGVTVNDCAYWQTYNGGILAFDLVKNRSQVIHGCHYGGAGCLGEMNGKLCNGHVNGHTMIVSVISNIYSNTMQMSSHARLWDDKVRIQLSNEVFDGNANDDLFSVLHVESDFALVLGRRNVYRFDLKTKEIKFVVEAAQFDRKGKGIPYVNSLVAL >cds-PLY94528.1 pep primary_assembly:Lsat_Salinas_v7:2:156843235:156844609:1 gene:gene-LSAT_2X81801 transcript:rna-gnl|WGS:NBSK|LSAT_2X81801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSAWLPELEMQEQGFMNQYQMNKPYHHMMDHDFSVDSFSSESYTENPSFIDQSFQTSKGLEEPSNIKQLTSYKKSNSINMNFTPTEKSRPKLLSDTPNTFTISFGDKKPKDEILSFSDSLGFTAADTKKVPTMIRNPIQVQDHVLAERKRREKLAQRFISLSSLLPDLKKMDKATVLEDAANYIQELQGRVKELEGLSELKRKNMQGSDISAKRSRLSCSDDDGSSSNETNFEESSSPCNPEIEVRESGFSLLVEIYSRKNCTTLVKVLREIQKLGLSVISSSTMPFGDTTLLITIVAQKNDDFIMSSTDLVKNLQLAICTFN >cds-PLY70898.1 pep primary_assembly:Lsat_Salinas_v7:9:15509722:15511176:1 gene:gene-LSAT_9X14981 transcript:rna-gnl|WGS:NBSK|LSAT_9X14981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADELCFSSTCDIKNNSCFVFYDLSLLCSLIFSHPLYFSYFLFFSPYLFKFIFFISPLFFTTTLVLLLSFLTTTFPQPKLGIIQTVVDKLRSKLNDVDEDEDFCNFEDFEIYKIVFHDQPLITVSDGEDNEQVSVMENAVAVAVTIPESDHKQEELRSLECLFEELDRFEDSTAAIETTEKESSSDLGKIVGELQKPEPVVKKKLGSKSMAVVEAEADQKLFEKSFSLKSNSCRVDSPSSIGSYGSMRKEKEWKRTLACKLFEERHNSEGGEEGMDSLWESYEEDNSSRKSKNRKEPMSINQKKMMMNNKKKIEFKYFDDDFEEDDDDEEEFMSNGQLCCLKALKLSAGKMNLGMGKPNLVKISKALKGFGWLHHVGSKNGKKN >cds-PLY86862.1 pep primary_assembly:Lsat_Salinas_v7:8:49530162:49534529:1 gene:gene-LSAT_8X37740 transcript:rna-gnl|WGS:NBSK|LSAT_8X37740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATVVTSHHIRSVEVLVITWTEVSEAERVGMLLYLSTWFDFDAITNHPMASTYRASLNNRNVEAARAKAPTGMDQQRWNAAIDHFLTEKHKKRSAGNKECWKKQVVKNRGGTCSYGSACFKKNLNRLEVFHRAHVNKRGEFVDPLVEKQYNVLVAEVALQTHHIANYGGDPDIID >cds-PLY78120.1 pep primary_assembly:Lsat_Salinas_v7:2:62799789:62810025:-1 gene:gene-LSAT_2X27040 transcript:rna-gnl|WGS:NBSK|LSAT_2X27040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVIIVAGGILKKVLSIAAGELVISWGYDEKLTTLHRTLDLICAKLSDAERKKGTEVVMMWLKQLKVVVGEADDVLDEVHFEMLRREIKKRDRVAKTVPSLPSLKKLSFRWEIGHKIKNINKRLLDINTQANGLGLQNEHPGATPFTDRLYRESVPYPEKFKTVGRDDDVLRIIQILTQSRTEEKLTIVPIVGMGGIGKTTLAKSVYNSKNIKQYFDIKAWLCVSVKVDINTLLAKIYESLAGKKPESDSMVNLIKSLEEKLGSKRYLLVLDDVWVEERAYWEAFESCMLNVNSQNGSGILVTTRKLEIGTTGMKADACLLKGLSDDHCWDIFRERAFVTGSSPSPELEEIGREIVKRCGGLPLLLNVTGGMLANYNDDKEKWFSIKNSKVWDLEEERDRVQKSLELSFDNLPNSIVKQCFVYCSIFKKDKVIKREELVRLWMALGLIQADEERNKEMEAVGNEIFQVLVSNSLFQDVERDEYGRVDHCSMHDMVHDLSLSLSKHESLCLVDATNDDIACIPQFKHLSFYQEQNEYDELKANVSMFIERNTVARTLHTLFIKVEVEKKLSFQRLKCIRILKLKGDSIEKLDDSIGGLVHLRYLNLSSTEIRVLPKSIGKLYHLQTLKLPDRIEQFPETMRNLISLRYLKCDENIPASILGQLTSLRTLTPSFRVLKRKGHSIQELRHLNNLSGSLFISHLENIGSKEEASKADLSSKKNLHNIHFKWSEDDQGANRIDMDVLEGLQPPRDVKTLTIKNFSGDNFPDWVMKMVIYIEGKWTPLDKLMDITLSNCRSCLSLPTLEHLPHLRHLSLENMDSLTCLRTSVGSGIIKPLSPTLTSLLLYRMRKLEKWIDGAPNSSEMISPVLRSLYIRECPKIIHLDECHPHPLFSLQIRGCEGLASIKSIQGLTSLVSLHISMCPSLLEITNLPKQCHSLKTLYITHCLKLTSLPHKLFDCYAFLNELELGLFSKELDSFPSLQGIEKLRNHLHSLYLGGWDHWESIPDEIQHLTSLTLLRINRFGLQELPMWLTNMSSIRDMIFYNCKGLDEEKVKRGAPREANGVTCQIR >cds-PLY94529.1 pep primary_assembly:Lsat_Salinas_v7:2:158425130:158427979:1 gene:gene-LSAT_2X82660 transcript:rna-gnl|WGS:NBSK|LSAT_2X82660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTSCISLQTLCRQKATSDLGSTTGSVKMPVFNSVASVKRKNVSFSTCNVLGEFESEGAGEANINAMKDEVFVTFFREAWPYFTAHRGSTFVVLLSAEDISLLHGLGIKFVLVPGTHVQIDQRLAEKGCEPKYVGRYRITDPDSLDAAMDSAGRIRFMIEAKLSPGPSLCSIRRHGENRRWHDSVSVESGNFLAAKKRGVVEGIDYGATGEVKKVDVERIRKKLDNDSIVILSNLGHSSSGEVLNCNTYEVATACALALGAEKLICVIDGPILDEWGRLIRFLTLEDADMLIRRRAKQSEIAANYVKAIGEEDSNSNSNSNSIDFSTMNGMASSDRYTAKFQNGVGFDNGNGLWSSEQGFAIGGQERLSRSNGYLSELAAAAFVCRGGVQRVHLLDGSISGVLLKELFQRDGVGTMVASDLYEGTRMGKVDDLPGIRQIFKPLEDSGTLVKRTDEELLKALDSFIVVEREGQIIACAALFPFFEEKCGEVAAIAVSPDCRGQGQGDKLLDYIEKKASSMGLQKLFLLTTRTADWFVRRGFSECSIECIPEERRKRINLSRGSKYYMKQLLPDTSGIRFNSMFA >cds-PLY86214.1 pep primary_assembly:Lsat_Salinas_v7:8:226168003:226170508:-1 gene:gene-LSAT_8X138241 transcript:rna-gnl|WGS:NBSK|LSAT_8X138241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGEVVSRAASGVWSFLVHLPGTTCLFMISVIILHSMSWQRGIHLMGRSCMEKFHKKVASIMAPFFVCECYFVDFKVFVWKTFGQMHLCVPWDIRL >cds-PLY88339.1 pep primary_assembly:Lsat_Salinas_v7:2:10383936:10393017:1 gene:gene-LSAT_2X4060 transcript:rna-gnl|WGS:NBSK|LSAT_2X4060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERVCVTGAAGYIASWVVKLLLSKGYIVHGTVRDPGNEEKNGHLKKLENAGERLHLFKADLFDYEGLCAAFAGCTGVLHIASPVPGTLKFDDPQTEILDPAIKGTRNVLNACLEVKVKKVVVVSSMVSAMLNPEWPQGLEMDESCWADVEYCKTNERWYALSKTLAEREALEYAKKVGLNVVTICPSGTIGPMLQSTVNASSLLLLSYIKDLDGRSDGTKKTDDAERAVVDVRDLANAIVLLYEKQESEGRYICSSYSLMTREFVAKVQNIFPDYDYPKNFTEPNNAERAIFNTKKLLNLGWNYRPLEETIVDSIKNYEEAGLLNTDGISLNIKF >cds-PLY68352.1 pep primary_assembly:Lsat_Salinas_v7:4:191100728:191103981:1 gene:gene-LSAT_4X109800 transcript:rna-gnl|WGS:NBSK|LSAT_4X109800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTETMISGREAIGDLLDLDKEVLLDLRSFLEQENKVNQRDYNKWDPQASSPCTWPGISCSGNRVVGINLSNNNIAGKIFKNFSALTELAYLDLSTNNIGGSFPVDLGNCKSLKVLNLSHNMIDSELNLTGLGSLEVLDLSVNRLSGDIFMSFPMICSSLVVANLSANHFSGEIGNSIDGCPKLEYVDLSANYLTGNLSFGFHRFKGFAVCENRLNNDLQNWIFADNCSLQALDLSENAFTGEIPTTISNCKNLTILNLWGNYFSGKIPGELGVIPNLQQLYLGNNTFTGEIPATLLGLQNLEFLDLSRNNFGGDIQEIFGRLTQVKTLFLHSNNYTGGLTSSGILRLHNLSNLDLSYNNLSGELPIEVSQMESLKFIFLANNQFSGSIPSEYGNMQGLQALDLSNNHLTGSIPSSFGQLTSLLWLMIANNSLTGEIPPELGNCRSLLWLNLQNNQLSGHFSPALTNMGKNATPTFLVNRQDALIADSGECSTLRRWIPADYQPFSFVYTLLNMKKCKNLWDKLLKGYGIFPICLPETNVRVETISGYIQLSGNHLSGQIPSSIGKMSDYSMVHFGGNQFSGTLPADIGDMALVVFNISQNEFSGRVPMQLGNLKCLRNLDLSYNNFSGTFPTNLNNLTDLSSFNVSYNPYISGYIPDTGQLATFEIWSFLGNPLLRLPSFIKNSTNNSSSTSGRSIAPKWGAVLIFIFLLLAFFVCGVMTIIICMATKSTMEPPQFLLPEIKPRNGYDDSSPWLSDGVKVIRLDKTAFTHADILKATGNFSNDRIIGRGGFGTVYHGVLPDGRVVAVKKKLREGAEGEKEFKAEMEVLTGNGFGWPHPNLVTLYGWCLDGSEKLLVYEYMEGGTLEDVIHDRIGFPWRRRINIAIDVAHALVFLHHECHPPIVHRDVKASNVLLDKKGTARVTDFGLARVVDGGGSHVSTMVAGTIGYVAPEYGQTWQATTKGDVYSYGVLVMELATGRRAVEGGGGESLVEWSKRVMGRWSLIPVSLMVSGLAEGAAEMRELLRLGVRCTVDAPQSRPDMKEVLETLVRISARSKGF >cds-PLY67397.1 pep primary_assembly:Lsat_Salinas_v7:4:210995307:210995667:-1 gene:gene-LSAT_4X118180 transcript:rna-gnl|WGS:NBSK|LSAT_4X118180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSISFNAVDSEYRLPFHIQLRLLLNTSPTPATRIGDAMAKVIWLITILLPGWTIE >cds-PLY61721.1 pep primary_assembly:Lsat_Salinas_v7:5:218638957:218643345:-1 gene:gene-LSAT_5X100700 transcript:rna-gnl|WGS:NBSK|LSAT_5X100700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSNGATNEIKFTKLFINGQFVDSLLGKTFETTNPTTEEIITRVAEGTKEDVDLAVKAARNAFDHGPWPRLSGQARRKILLKFADLIEENVEELATLDVIDGGKPISLSRSLEIPTVVELFRYYAGAADKIHGTTLKMSTSIHAYTLREPIGVVGHIIPWNFPSQGFAMKVAPALAVGCTMVVKPSEQTPLSALFYAHLSKLAGIPDGVINIVNGFGETAGAAISSHMDIDTVSFTGSMEVGRLVMQAAATSNLKPVLLELGGKSPFIVFDDADFDKAIDLAIYGNFINKGEICVAGSRVFVQEGIHDAFVNKLAEKTKDWTIGDLFDPTTRHGPQSNKQQYEKVLSLIEHGKKEGATLLIGGRPFGKKGYYIEPTIFTDVTDDMIIAKEEIFGPVISVLKFKTIDEVIKRANATKYGLAAGVMTKNIDIANTVSRSIRAGAVWVNCFLALDKDAPHGGYKMSGFGKESGIEGLDHYLHVKTVATPIYDSPWL >cds-PLY85888.1 pep primary_assembly:Lsat_Salinas_v7:5:97112725:97112955:1 gene:gene-LSAT_5X47081 transcript:rna-gnl|WGS:NBSK|LSAT_5X47081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEENGNETERIPKFRSANHAGRGGLKEKREWWKVLWKADSILEGRRNVSKLGEDRDELEGTIDSAVEYTWSTVIVP >cds-PLY63966.1 pep primary_assembly:Lsat_Salinas_v7:7:117718295:117718477:1 gene:gene-LSAT_7X71661 transcript:rna-gnl|WGS:NBSK|LSAT_7X71661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDMETLESEKHEDVPDVEMKDNEESEKEGEEEQETKEKDEEIEDEEEAKDKESEDEEE >cds-PLY97388.1 pep primary_assembly:Lsat_Salinas_v7:4:16752001:16753524:-1 gene:gene-LSAT_4X10380 transcript:rna-gnl|WGS:NBSK|LSAT_4X10380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDWKSCSVGCKFSLRKKKKKVDASFGLHKEEGTFVVLLSMESAHYFPRNEISKARGVGYDIIMTTSLSADVPVGYFSWEKYDIMAPVQPKTEKALAAAFISNCASRNFHLQALKWLEKSNIKIDSYGSCHGNHNGNVEKVETLKCYKFSLAFENSNEEDYVTKKFFQSLVLGIICLLE >cds-PLY61821.1 pep primary_assembly:Lsat_Salinas_v7:6:58983475:59000976:-1 gene:gene-LSAT_6X44740 transcript:rna-gnl|WGS:NBSK|LSAT_6X44740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKAQQLCLLLSRALGSDVTILESHNSEVWKARIWTIADGHCSSNLQKGPKDIVVLKHYRGQTNERSKDVTTKPHMTGMFCYKANLNLIKLYNLILLQQCQHYLGSPFLMEVAGTWKNLEEGLSQQKFKEWMKNYAKVFCVNSCQVKRYIDVYTASSFIGFSIDDLKHVEEIDAKGGINRARVEQMEDRLKEDILLEAARWSNGGSMGASHTDSVKTSLQVYLEIQTRKFLVDYERIPATDEKSPKEHDFDTLVDRISRADLKTEIIFNCQMGHGRTTTGMVIATLIYLNRIGASGSFKGGLGRPVFWHNMREEPVIYINGKPFLLREVERPYKNMLEYTGIYCERVERMEARLKEDILKEPERYGCKVATSHLETVLDKHKDIFNNIRKSVLQRYIFILYNFYLLFMLILCLIFLITKDCLKCRFLSFFSDKTKIKDSDDIHAELALICGYATTYAPSTVIDARIWKKSCRATITYLETNQSHCFYTSQRSIFPK >cds-PLY78456.1 pep primary_assembly:Lsat_Salinas_v7:4:261878764:261879292:1 gene:gene-LSAT_4X136081 transcript:rna-gnl|WGS:NBSK|LSAT_4X136081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLDQILHDLSYLRESKCSEAEILLCLNITQSQLKGFDASLHQSKQAAKEDNDENKEESDYEDGVEESQVDNDEDGAEDDEEGVDDTQVRVRTQFGVRTRKPFERITENMLKKIVVDKKGIGMALENLLVWIRLVRI >cds-PLY99647.1 pep primary_assembly:Lsat_Salinas_v7:6:81508759:81510573:1 gene:gene-LSAT_6X57961 transcript:rna-gnl|WGS:NBSK|LSAT_6X57961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDPEVPKLKLGSQGLVVSAQGLGCMGMTGSHGPPKEESDMIKLLHHAIVSGVTFLDTSNVYGPFTNEILIGKALKQRGLREKVQIATKFGFRMVDGNIEVCGDPAYVRSACEDSLKRLDINCIDLYYAHRIDTRIPIEITMGELKKLVEEGKVKYIGLSEASASTIRRAHAVHPITAVQNEWSLWTRDLEDEIVPTCRELGIGIVAYSPMGWGFLAAGPSLVENLIPNDFRKNMPRFQNVDHNKTVYERVNEMAARKGCTSAQLALAWLHHQGNDVIPIPGTTKIENFNQNIGALSVKLTPEEMAELESFAYGDMVKGERNFMMNLTWYNSETPSLSSWKGAQDSV >cds-PLY72924.1 pep primary_assembly:Lsat_Salinas_v7:9:194428880:194429077:1 gene:gene-LSAT_9X118581 transcript:rna-gnl|WGS:NBSK|LSAT_9X118581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRSTTLPPKFFVNFPVKFVPEPKVPNFIQKEAKKLSKLDPLFSLFEKRSRKKKATAKPQFARYM >cds-PLY78894.1 pep primary_assembly:Lsat_Salinas_v7:5:304926509:304929079:-1 gene:gene-LSAT_5X165601 transcript:rna-gnl|WGS:NBSK|LSAT_5X165601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVCSRQLFLQLRGVVTPKLPFSSDLLVGSRFQLSESNKNRASRLILTREFSGGAVARASPANGNITPVGGDEEGVSLGTMKLPMNIDIDRFETLLFQWANSLCQGAQLPLPMPLKVDKIEGGVRLGFITIGDGVTEVPVYIDCLVIPANGGSTPVFRAIREGPMKDRSPPGEPRIMKSLLAALKKSVELASTL >cds-PLY68874.1 pep primary_assembly:Lsat_Salinas_v7:3:62230579:62230809:1 gene:gene-LSAT_3X47940 transcript:rna-gnl|WGS:NBSK|LSAT_3X47940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYNGGFVRVKASLRTGKRTRRINGTVLRKVKKLQKLIPGGRGLNADRLFDHTANYIMHLKLQVDVLQALSDVYRS >cds-PLY89056.1 pep primary_assembly:Lsat_Salinas_v7:9:28208066:28209987:-1 gene:gene-LSAT_9X24741 transcript:rna-gnl|WGS:NBSK|LSAT_9X24741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFCPHVYISTALLDMYGKCGVISDAQKLFDETPHRNVITWNSLISGYLHTHFVDFSVELFLEMLRLGIYPTHFTISTVLVGCSQLETLELGEQVHGLSTKFGFLSNVVVCTSLLEMYWKCSNVDDSRRIFDEMSDKNAVVWTSMITGYTQNQQTNIAMCMIKKMLVSGHKADSITYNTLLSSFSNIDDMIHCEQIHGSIIKQGLDSDVYLAVTLVTVYSKCGSSLQDFHKICATLPVRNKITCNAIIAGFSNMGNVEKALGVFSEMRQIGIDTDFFTISSILKVIGVMSSFKEGKQIHSLIVKSGHSSNIYIQNGLISMYAKSGDFDEAKWMFTSMVEHDTVSWNSLLSCYAQHGKGKEAVEVFEEMRNTKVKPDLTTFLIVISACGHVGLVKKGLEYFELMRSNYGSPKVEHYACIVDLYSRAGFLDEAEVFVNKLGMEVGPEVYKALLSACRVHGNKEIGLRMSRKIVDLFPDDPAVYVQVSNILASNGYWDDSARAHNLICSKGIKKKAACSWI >cds-PLY74292.1 pep primary_assembly:Lsat_Salinas_v7:3:127588948:127592081:-1 gene:gene-LSAT_3X87521 transcript:rna-gnl|WGS:NBSK|LSAT_3X87521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIIDIPLKLGFYVLQKFDSKRMVIDIEGKELKVTAESVHDMLGIPIGGTKLTQLDQWTKDDTIYDEWKQQFKKDSIIRLSAIKNVIFSTTQADFNFKFNFLVLFVNTFCESTSLGRCNLFPLSYISRRTDISNIDWCNYVLDCLVRTKNSYIPYSYTSFFVGPSAFLMKIRYREAFEQEKVKFGLGEINEEFVDQQDKGDTDLEDNDYDKDEDHSVEAYESKISKMINSFERMKEKLNSKLNDAMTKFPEKESFRIFKEKMTNMIVEEKTESTTLFNFPINETGVEGINLTPIMGQKTNDQTENEDKEGNGEEDSDNGASQPEVDYLLDSDEADNEEIKNDADKNKKEGEIGVKEKDGKRNENENDEEEKDDHAEETNNHEETIQQTENENLLDKVVDNIVDNVFGIGISKKEKLEVVHEQGTKVKKTKGDDTGKENSEDRNEGGTEAKNTKDGGEEKHTETGKGNAEDKDTHPSFSLGLSQDSDQTSSKKSNESSPKKALTKKQIKDDHQKVVIRLAKKNVLNPNPISVSIPTEVGPSKHDLDQPREKKLADAFKSPFKCRITDTKPKLTHQESIVCEWLFNLQGNTSDVVVQTKYGQIAERAVMESLYANTEIFGEVLDTWSDLLNHQELERDFGNSPYRLFLKVGVSVSLCSKLNSFLILK >cds-PLY69910.1 pep primary_assembly:Lsat_Salinas_v7:4:67532111:67532737:-1 gene:gene-LSAT_4X46480 transcript:rna-gnl|WGS:NBSK|LSAT_4X46480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTGYKHFSHAHNLVIHQAHEAEKMSCSGCNSLVMGTIYVCWQCKFVLHEQCFRATRSLKHPSHPSHPLTLVPYPTYPSNSFYCNSCKHTGSGLSYSCSDCEFDLHIHCAQSNSHTPMMILLNQTHGQGVVPHHGQNSYVPQNVYAPTSVLHNPITNIQYSSVASNMPEGVLSASDLEHIKRNQKKLEIAREESRIAALGRKYILDSI >cds-PLY70235.1 pep primary_assembly:Lsat_Salinas_v7:9:526269:526589:-1 gene:gene-LSAT_9X5080 transcript:rna-gnl|WGS:NBSK|LSAT_9X5080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLRNEASSLFFFKAPIRSRSPLLTGSRLISLPLATQMFQFAKFEKSKERRLATELGYGFPIGDPWITDGISPWPFASESVLPSQCPGIHPMHSFRSCTHGAVH >cds-PLY97389.1 pep primary_assembly:Lsat_Salinas_v7:4:15343966:15344706:1 gene:gene-LSAT_4X11221 transcript:rna-gnl|WGS:NBSK|LSAT_4X11221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEVNDASIKFFGSTIQSSYILSQTDEQQRRSYKGIKAFRSEGDEIALGKKAMVNLRANPAAMSRSVNFHETS >cds-PLY96813.1 pep primary_assembly:Lsat_Salinas_v7:2:171532269:171533977:-1 gene:gene-LSAT_2X93141 transcript:rna-gnl|WGS:NBSK|LSAT_2X93141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDALDSVFEPLREFSKDSYRLVKRCHKPDRKEFSKVAVRTAIGFVVMGFVGSYNHEGMDGGRLAVWKMVLHREANKE >cds-PLY84775.1 pep primary_assembly:Lsat_Salinas_v7:8:23867195:23870589:-1 gene:gene-LSAT_8X18601 transcript:rna-gnl|WGS:NBSK|LSAT_8X18601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA splicing factor SR-like 1 [Source:Projected from Arabidopsis thaliana (AT5G37370) UniProtKB/Swiss-Prot;Acc:Q8RWB1] MEIPIKPIDQLLERVLCMNILSSDYFKELYRFKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAVGFLYLRYAADPKTLWGWFEPYVKDDEEFSPGSNGRMTTMGVYVRDLLLGQYYFDTLFPRIPVPVMRSITANLEKLKLPTKHCGVTGESTRGSDDMARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLPPLPSYDDSSRRSPARHRSQSRDLPDRDYPDRDRERERGRDRERERDRYRERERERDRRHEYDRRSRESSRRDYHRESSSRRSRSRSRSRSRSESVSIHHGGKAAVSPNGREEVKDRTSASSNLAKLKDMYGDLSSDKADVGNGRVSARDSGAEEVIRLGGSSWR >cds-PLY61767.1 pep primary_assembly:Lsat_Salinas_v7:5:302825152:302826869:1 gene:gene-LSAT_5X163881 transcript:rna-gnl|WGS:NBSK|LSAT_5X163881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNRTMTISLNSFSIQSATLILIFCSAIIQSESYTSSSIRLPHSHSRSPSVTVSVTEYGATGDGVHYDTEPIQSAIDACWSAGGGRVVFPPGNYLTATVFLKSGVVLEVQKNATVLGGTRLEDYPVEQNRWYVVLAEDAEDVGITGGGEINGQGLEFVLRFDERKNVMVSWNQTGACSGDECRPRLVGFIRSKNVRVWDIHLSEPAYWCLHLVQCDNTYIHDVSIYGDFNTPNNDGIDIDDSNNTVITRCNINTGDDAICPKTYDGPLYNLTATDSWIRTKSSAIKLGSASWFPFKGLLFNNITIVESHRGLGLQIRDGGNVSDIVFSNINISTRYYDPSWWGRAEPIYVTTCPRDNTSKTSSISNLLFVNITANSENGIFLSGSENGVLSNLKFLNVNLNYKRWTSYEDGLVDYRPGCQGLVNHSSAGIIMEHIDGLEVENVNMRWLGDRVRKWNNPLDFRPSSVDNISLMNFHSISFKQ >cds-PLY67596.1 pep primary_assembly:Lsat_Salinas_v7:2:78365521:78370149:1 gene:gene-LSAT_2X34361 transcript:rna-gnl|WGS:NBSK|LSAT_2X34361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT2G43560) UniProtKB/TrEMBL;Acc:A0A178VVY6] MASASLLLPLGSSFAVKLSNNYEITRGRPRRVPAKYMSLGLRAKASQSVGYGYEDDMALIKRRDVIGIGLAITVSASSLLIQSLNAKAAGLPPQEIPRLCDETCEKELENVPMITTVSGLQYKDIKVGSGPTPPVGYQVAANYVAMVPSGQVFDSSLEKRQVYIFRVGSGQVVKGLDEGILSMKVGGKRRLYVPGSLAFPKGLTSAPGRPRVAANSPVIFDVSLEYIPGLDDEEEE >cds-PLY95350.1 pep primary_assembly:Lsat_Salinas_v7:5:267567442:267573949:1 gene:gene-LSAT_5X139061 transcript:rna-gnl|WGS:NBSK|LSAT_5X139061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDENVMSFVYECLKRGCFPIVMNPRGCARSPLTTPRLFTAADSDDVCTVVQFINRARPWTTLMGVGFGYGANMLTKYLAEVGEETPLTAATCLDNPFDLERATKSPNHRYVNQNLTDGLIDMLKSNKELFQGRSKGFDIEKALQAKSLQEFEEAISMVSYGFDSIQEFYVNSSTRDVVGKVKIPLLFIQDDVMPSFSIPRGLIAENPYTSLLTCSLQSKDKSYTGTSAVSWCQHLVVEWLTSIELGLLKGRHPLLEDTDVTINPSKSLKLMASKSSHSKKMLKTNDTDSSSKFEEKDKDLKKTTNGAVTKTNSTVVEAEVVKEGDVDLTDSERGKLLQATEVVMNMLDVTMPEALSEEQKKKVRTAIGKGETLMTALQGAVPEEVRGKLTTAVTGILESQKKTLNGLTSITKIPDVLNKKILKKEPKDTSDHSKNDDSSKQKTDESDSSSKAETSGSSEDKVKPGNEGETKEEKGDQQKEETNAPNPVNEETPSPSSPEDGVPDDTASGLPSLEPPPALDAITGIDDSTQVAVNSVFSVIEGVITQVEGETDDESETDNENEVEETSTTESEKKHQTESKSDLQDEKSIKLSTDSQKTHQTNTSTESEKKHQTKSKSDLQQKKDEEIIEVSTDTEKKHQTEVEETSTNSEKKHQIKSKSDLPQKKDEKIIKFSGDSEKKHQTEVEDTSTDSEKMNQSESKSDLQQKNDEKIIELSTDSEKKHQTEVEETSTNSEKKHQTKSKSDLPQKKDEKIIKFSRDSEKKHPTEVEDTSTDSEKKHQSESKSDLQPKKDKEIIKLSRDSKKKHQTEVEDKSTESEKKHQTKSKSDLPQKKDEAIIKLSTDSEKKYQTKSEPDLQQKKDEESIKLSTASEKKHQTEVEDTSTDSEKKHQTKSESDSQQKKDEKSIKLSTEKKDEGKSEDNDDDDDEDVIEPDYVILDSEDDWDKKGKLEIGDDASVVLMQRVKENILKSLKVEVCRRIDATEMEDMAETLKKEVEHVANEISVAVVNEKQHIISWDGEDMFGHGNPYAERILDTITSAVEGTTYLKKSIPLGIVVGSSLASLTKVFKTAAADPVENNTVRHQLTLKEVNQKNNKVNSEDDVYEEQDDVYEEEDMSLSTSLGNNTVMAGAVTAALGASALFVQQQRINMKGSNGDGLENSLSSFSFNQKENHEEPGNMVEENTSKSNDNNIVTSLAEKAMSVAAPVVPKKEDGAVDQDRLVAMLADLGQRGGILRLVGKFALLWGGIRGAMSLIGKLISFLKLSKRPLYQRILGFAVLVVVLWTPVVVPLLPTLLQNWATKNSSANGFAELACIIGLYGSVVVLVVLWGKRIRGYENPLEKYGLNFKSTTQMKNLALGLMGGVMFVSLIQFTNMTLGFVSISWSATTPSSADPLTILKLTGDVVRIAGQGLITATAIALVEELLFRSWLTEEIATDLGYIKGVILSAFAFSISQWSLKAIPGLWLLSIGLAGVRQRSGGSLAIPIGLRAGIMGCSFMLKEGGFFIFQPNYTSWIISGGDPFQPFSSIVGLVFALLWAIFLYPRNPKKENN >cds-PLY91067.1 pep primary_assembly:Lsat_Salinas_v7:5:171824493:171825324:-1 gene:gene-LSAT_5X76401 transcript:rna-gnl|WGS:NBSK|LSAT_5X76401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLAQTIGTIFIKVKENQTRGRSANDTRTPSAAHPSSEGKRNRRRQQVAQWRRPIAKRRTENRGFGPHLRLHQIGDVTGERRQSRWLRDSEEGSTSGGRLVRRKKGEQAAKLLSFCSGSEIRRGKTGGDSSSGGGCSTSSFDSKQGAQREGLDVFLIAGKSPLLVEVWVDSIDR >cds-PLY85983.1 pep primary_assembly:Lsat_Salinas_v7:3:132895966:132897010:1 gene:gene-LSAT_3X89921 transcript:rna-gnl|WGS:NBSK|LSAT_3X89921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEERRAGVGVGVGVGVGGGSGGGGELNGGSSCNYSSKTGKRKTDQRPYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVYYLRGPSARLNFPELLASDGGPDELSAASIRKKAIEVGARVDAETSCTSLHTSGTLEHHHASTSELKACWFQEKPDLNLKPEPEDPDGDYW >cds-PLY75905.1 pep primary_assembly:Lsat_Salinas_v7:9:198550114:198551701:-1 gene:gene-LSAT_9X122701 transcript:rna-gnl|WGS:NBSK|LSAT_9X122701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g09190 [Source:Projected from Arabidopsis thaliana (AT1G09190) UniProtKB/Swiss-Prot;Acc:O80488] MSRGGTIREVERKILSHLHGRRSRIHLTQIHAHILRHHLHQSNHILSHFISVCGSLRKMDYAHLIFLQTHNPNILLYNSMIKGYSLSPPFQTSLHLFSTMKKHRIWPDEFTFAPILKSCSNLSDVEFGQTVHSQVVSLGFHCFSAIRVGIVEMYTKFGILEDAKKVFDEMHHRDVIIWNLMIHGYCKTGNIDMGYNLFKQMKERNIVSWNTMLSSLSKSNQEFEAMKLFHEMRDKEFEPDEVTIVTMLPVCARLGEDNIGQWIHSYAKSNGFYQNHVSVCNSLIDFYCKRGNLDSAFMVFNDIPSKTVVSWNIIISGLAFNGNGEKGLSLFDEMIKNGFNPNESTFVGVLSCCVHSGLIQRGRDLFSSMVLNHKIEPKLEHYGCMVDLLGRGGFVKEAYGLLKSMPMKPNAVLWGALLSSCCNFGEMEVAEVAIKELITLEPWNSGNYVLLSNLYAERGKWDEVEKVRVLMMDNKIEKAPGQSMVR >cds-PLY93348.1 pep primary_assembly:Lsat_Salinas_v7:9:58426263:58432414:-1 gene:gene-LSAT_9X51641 transcript:rna-gnl|WGS:NBSK|LSAT_9X51641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMENLICHCLQKPMTLCLNHLATESGALIGSNDDLLIEILLRLPVTSVLRFKSVSKHWRSLLSQRPFTLLYKNASFSPGFFGFFGRSLYIPFDDENRSTHPFRDLDFYPDPHGIRIVQSCNGLLLCRSNEGDERVRKYYVFNPTTKQFAVIPSILGGMAVRETILFMALKFQKLNPHENPLQNEQQSSYFDGKWVFDDSTHPLYKEDECEFLTEQVTCMKNGRKDSMYQKWRWQPKDCNLPKFIGKLLVEKSKNKRLMFVGDSLNRNQWYRDEYKGYRRISRSSSRDRSRSPPRRSRSPSRSRSPEGGGNEKASTSSPYSHGRADSRSPLQRSDSNGLPGPMGLMSTSHLEQEAAVLALSTLMTIAPAEVYAEFEKCIRSYNMLKC >cds-PLY62517.1 pep primary_assembly:Lsat_Salinas_v7:1:82282310:82284074:-1 gene:gene-LSAT_1X71301 transcript:rna-gnl|WGS:NBSK|LSAT_1X71301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLCPELNTQIQTWLRDYDKIQSFAVILIYIQIACALIGSLGALYNGITLVNLGIGLFALVAIESSSQSLGRTYAALLFSAILLDILWFIFFSQEIWNISSEIYGEFAIFSVRLTLLMQIIGFTVRSSSSLLWIQMYRLGPSLVDSIVPRDGDMDSRNSFMNPATPPHVVRRTSGSLDSVGGGGGGASIYDPSYYTSLFPDNHDEESLQPGQNRRMGMDASLSDSLLKPPLGKSFRGSNERSIVNRLGSL >cds-PLY90009.1 pep primary_assembly:Lsat_Salinas_v7:3:84027916:84029882:1 gene:gene-LSAT_3X65121 transcript:rna-gnl|WGS:NBSK|LSAT_3X65121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVCCGVECFFALGCLRWAWKRCTYVGGDDSATWPPATVEEFESVPHLCRVILAVYEEDLHHPKCPPLGGYRLNPDYVVKRVTYQETLGHAPPHLIYLDHDRREIVLAIRGLNLKKESDYKLLLNNRLGMQMFDGGYVHHGLLQSAIWLLNQESENLKRLWIENGSCYKMIFVGHSLGSGVAALMTVIVVNHRDMLGGIPRELVRCYALAPARSMSLNLAVKYADVIYSVVLQDDFLPRTATPLEDIFKSIFCLPCLIFMVCLRDTFIPEGRKLRDPRRLYAPGRMYHIVERKFCRCGRYPPEVRTAIPVDGRFEHIVLSSHATSDHAIIWILREAEKAVQLMKENKNSSESITTAPKVQKFSRLQSIEEEHKDALERAVSLNIPHAVKTTDDVADNEDRVSTSAVSEEHQEGEAADELASKQKDDVERSPNWHDLVERLFERTKSGKLVKKEDVNAPDSH >cds-PLY72718.1 pep primary_assembly:Lsat_Salinas_v7:6:27618062:27619069:1 gene:gene-LSAT_6X21280 transcript:rna-gnl|WGS:NBSK|LSAT_6X21280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANVIMASSKTLITSPPTPKSKHQPLPQPPVSLPLISTTTKPLISLSLTPKSLAAAALVAASVAATPFPSLAVEIEKAQLFDFDLTLPIIAAEFLFLMFALDKVYYSPLGNFMDGRDKEIKEKLSSVKDTSSEVKQLEEQAAAIMRAARAEISAALNKMKKETALEVDAKLAEGRKKVEAELQEALASLEKQKEDTIKSLDSQIAALSQEIVNKVLPVQ >cds-PLY78017.1 pep primary_assembly:Lsat_Salinas_v7:9:44294917:44297773:1 gene:gene-LSAT_9X39861 transcript:rna-gnl|WGS:NBSK|LSAT_9X39861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALNLRQRQTECIARMLNLNQPINASGTANEEVYKILIYDKFCQDILSPLIHVKDLRKHGVTLYFLIDKNRKPVSDVPAVYFVRPSQQNIERIISDASNSVYDYFHLNFSSSIPRPLLEDLASGTLNSDSIQRISKVHDQYLEFVTLDDNLFTLADKSCYIRLNNPKATDREIEEIIEKLVSGLFCVLATLGVVPIIRCPRGGPAEMVASLLDQRLRDHLLVKNNLFSENGNFTSSFQRPVLCLFDRNLELSVAIQHDFRYRPLVHDVLGLRLNRLTIQGGGGMKSYELDRVDPFWVANGNLEFPEVAVEIETQLNKYKKDVEEVNRRTGGGNDGAEFDGTDLIGNTKHLMNAVNSLPELTERKQTIDKHTNIATVLLGEIKERSLDSYAKKEYEMMVRGGIDRGELLSVLKGKGSKTDKLRFAIMFLISSETIPQAEVEMVESALREAEVDTCAFQYVKKMKSLNVSLASSANAASRSNIVDWAEKLYGQSISAVTAGVKNLLSGDHQLAMARTVEALMEGKPNNNPEIESYLVLDPRAPKSSSSSGQMKGPFKEAIVFMIGGGNYVEYGSLQELARRQQQPPSSAKHIIYGTTEILTGADFVDQLAILGQKMGLGTTPSASAPA >cds-PLY83327.1 pep primary_assembly:Lsat_Salinas_v7:1:63453668:63455840:-1 gene:gene-LSAT_1X53800 transcript:rna-gnl|WGS:NBSK|LSAT_1X53800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADVPVSPGGGSHESGELSPRSSNVREQDRFLPIANISRIMKKALPANAKMAKDAKEIVQEAVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKLYLIRYKEGDTKGSSKGRDGVQPDHDHNAQMAVSDGDGGNGGGGGE >cds-PLY88429.1 pep primary_assembly:Lsat_Salinas_v7:8:86269321:86270597:1 gene:gene-LSAT_8X61181 transcript:rna-gnl|WGS:NBSK|LSAT_8X61181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSGSCFRCCLVILAVISALCVSGPALYWKLKRGFNLKPGSSIHCTPCVCDCPPPLSLLKLAPGLVNLSVTDCGKDDPDLKEEMGKQFVDLLSEELKLQKAVGEEHIRHMNITFGEARRVASQYQKEAEKCNTATETCEQAREQAEALMRQEKKITSLWERRARELGWEGE >cds-PLY74592.1 pep primary_assembly:Lsat_Salinas_v7:7:41884174:41889140:-1 gene:gene-LSAT_7X31340 transcript:rna-gnl|WGS:NBSK|LSAT_7X31340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPSTLSSMESSQPCRIFEFHEILSATDNFDESLVIGHGGFGKVYKGNVFKGSSHVVAAIKRLDSMSSQGEAEFWAEIEMLCKFRHCNLVSLFGYCNHEKEMILVYEYMPNGTLEDHLHKLDTGLSWLQRLKICIGAARGLDYLHTGTGIELGVIHRDIKTSNILLHESWAAKISDFGLSKIGPTNQPATYVNTLVKGTFGYLDPNYFTTGKLTRKSDVYAFGVVLLEVLCRKRAVDRSLDEGLVTWAQDSIKNGNLKDIIDSDIRAQISTKCLKEFVRIAERCLLNSPKQRPTMAKVVVSLDSVLTLQEKANGSLQVAGKTMFGRMFDMFPFPSNRDNSGAHDNSRNSSLKVLKFSDLERATREFSEAFHLNADGFSDVFLGWVDKNTFIPSTEGVGIPVAVKRYHIDDPQYWQTEVTILGRLTHPNIISLLGYCDDKDKYLVVYEYMQNRCLHHFLFTDARDVAKPLSWETRIKIMIGIARALAYIHSLDNQVVHRDVKTTNIWLDKEFNAKLGGFDLAKFGPKTGENDATTCIKGTFAYMAPEYAFKGLVSVKSDIYSFGVVLLETLTGHRALEFDFGLVKWATSVLADISELKKIIDPYLGQNYPVEEVLGESGFCVVTNNSRVWRTLDVTEVKLGCPGKVGIVWRTLDVTKVEVGCPGEVGMVLEDGDSALL >cds-PLY77467.1 pep primary_assembly:Lsat_Salinas_v7:4:50747048:50751630:1 gene:gene-LSAT_4X34181 transcript:rna-gnl|WGS:NBSK|LSAT_4X34181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARRNWVSFSLHSIAIEICDSLPSSIKKWNIGFFFVDASAFGVSMQFESLLIREHAPNPELTTKEQSAIDRLVANYVEWIDLDDSLLELANLEGSPYVIDRPSTSVLCGQNSSLLEHLHRKRNAPNPKVVEGATAKSRTTMEGEIGLESESSIDSPWYPDLMTGIKLPFIFPNTNELALQQFTGLTTYPFSLLKMLEGDDVDASFLKKQLKELDTSTIGSDD >cds-PLY93417.1 pep primary_assembly:Lsat_Salinas_v7:9:58335395:58336684:-1 gene:gene-LSAT_9X51501 transcript:rna-gnl|WGS:NBSK|LSAT_9X51501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNTRSKIKKIQNTINLWFSKAAMADTNHLATESGALIGYNDDLLIEILLRLPIASVLRFKSVSKHWRSLLSNRRFTLLYKNAGFFARSLYIPFDNENESIPPFRNLDFYPDPRGIRIMQSCNGLLLCRSNEGNDRLYKYYVFNPTTKQFAVIPSILGGMAVRKTIIFMALAFHQTDCVHYKVVCFYFPEPDDVIKVQIYSSETGKWKISDDYMSLPDCSIDYIVFWNQAIHWYPFDDKSYFKLDTEEFQSLPSEDFEDWEDALYFGESRGHLHLVARVDPRESRLQLNVFEMLNDHSRWFLKYRVDLDELTDAYPEMICSNLDPLSLDYYEFDVFDVVRGEEEEETFMVIRVPGKVIRYNVVDKSFKQISDKIGQTRGIYVHRYIESLVSF >cds-PLY78996.1 pep primary_assembly:Lsat_Salinas_v7:3:7191347:7192742:-1 gene:gene-LSAT_3X4780 transcript:rna-gnl|WGS:NBSK|LSAT_3X4780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQSWTTRRSSNPRLETSVDIPTTPTGEVRYGTSNNIFAALSITSVVSPTILTALIIASWYLSNIGVLLLNKYLLSFYGFRYPIFLTMLHMISCTFYSLIAIRWFQIVPFQQISSRKQFFKIFALSAIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAIFAFLITCKKESAEVYFALMPVVFGIVLASNSEPLFHLFGFLMCVGSTAGRALKSVVQGLLLTSDSEKLHSMNLLLYMAPMAAAILLPFTLYIEGNVLGKTLEKAKSDSFMVFLLIGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKAAVAAVVSVMIFKNPVTAMGISGFGVTIMGVVLYSEARKRSKVTVH >cds-PLY63533.1 pep primary_assembly:Lsat_Salinas_v7:9:25264832:25265236:1 gene:gene-LSAT_9X22521 transcript:rna-gnl|WGS:NBSK|LSAT_9X22521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVNPQKQLRRLPHIFSQVLELPLRSHADVLIEYRSDCYRFTANIEDNAFAGQVRAHAVKIHPEVTKVVVRGGNGLGEVELKLDKLEVDVWRFRLPATTRPELAKAVVVGRELIVRVPKGKPEKPRRQPSRSQC >cds-PLY98991.1 pep primary_assembly:Lsat_Salinas_v7:7:51789646:51790500:-1 gene:gene-LSAT_7X37621 transcript:rna-gnl|WGS:NBSK|LSAT_7X37621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEHKNVYRTIKDPYGNNLLHLAARLAPNDKLNRISGAALQIQRELQWFKEVEGFVCPLNIIQKNSFDETPQMVFTREHKDLVIEGEKWMKSTAESYTITAALITTIVFAAAITVPGGNKDTGIPTFTNNNAFKIFAISDAISLFTSATSLLMFLSILTARFAEQDFLFMLPTKLIIGLATLFISTTAMISAFGATLYLVFGQSSSMILIPIAVLTCLPITSFVTLQFPLVVDLMSATYGRSIFRKQDDSIFY >cds-PLY71844.1 pep primary_assembly:Lsat_Salinas_v7:3:58977564:58981008:-1 gene:gene-LSAT_3X46341 transcript:rna-gnl|WGS:NBSK|LSAT_3X46341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSRTMPSRIVHGVVEERHDIMHYWKVDDRTNASTETQAMNIEDNYSKSFDDDGRLKRTGTFWTASSHIITAVIGSGVLSLAWAVGQLGWVAGPIIMILFALVILYTSNLLSQCYRSGDPVTGPRSYTYMEAVKAHLGGRKVKVCGMIQYINLFGVAIGYTIAASVSMMAIKRSNCFHKSHGKDPCHMSSNGYMITFGIIEILFSQIPDFDQVWWLSIVAAIMSFTYSFIGLALGIAETTENKEIKGSLTGISIGTLTHAGTVTATQKLWRSFQALGAIAFAYSYSIILIEIQDTIKAPPAEYKTMKKATYLSIFVTTAFYMLCGCMGYAAFGDEAPGNLLTGFGFYDPYWLLDIANIAIVVHLVGAYQVYCQPLFAFVEKWSAQRWSNSDFVTAEYDLPIPFYGIFHVNFFRVVWRTIFVIVTTVIAMLMPFFNDVVGLLGALGFWPLTVYFPIEMYMSQKKIKKWSSQWIGFQILSFGCLLVSIAAAVGSVAGVVLDLKTYKPFKTSY >cds-PLY65385.1 pep primary_assembly:Lsat_Salinas_v7:6:41498675:41503298:-1 gene:gene-LSAT_6X29620 transcript:rna-gnl|WGS:NBSK|LSAT_6X29620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEGPSSPGESGDLSPRSSNVREQDRFLPIANISRIMKKGLPANGKTAKDAKETVQECVSEFISFITSEASDKCLKEKRKTINGDDLLWAMATLGFEDYIEPLKAYLIRYREGDTKGSGRKEGVQLQPEHDEGFYSQGLSYGDSQDERRSLTGDDLLEAMETLGFEDYVKPLEAYLEKYREDELLRSLKDHDESVRKEGVQLQPDQDNTQ >cds-PLY79710.1 pep primary_assembly:Lsat_Salinas_v7:8:124988298:124990822:1 gene:gene-LSAT_8X86161 transcript:rna-gnl|WGS:NBSK|LSAT_8X86161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAPEGSQFDARQFDSKMSELLSADGQDFFTSYDEVYDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFTKGLDVIQQAQSGTGKTATFCSGILQQLDYNVVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSAGVHVVVGTPGRVFDMLRRQSLRADYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKVQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTLEDEKMLADIQKFYNVVVEELPSNVADLI >cds-PLY95766.1 pep primary_assembly:Lsat_Salinas_v7:3:27383816:27385995:1 gene:gene-LSAT_3X20520 transcript:rna-gnl|WGS:NBSK|LSAT_3X20520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSFAGHAVPGTLFLMVGVWHIWSTVVRYAADPKSFRVRMWNPVPGFNGKLKYLELYVIAVGAFIDMCIELLYSTHLKFFVNGVLNPHHMNDFEHGGMLLMFFLFSIVALLSEKTSFLPLPEGALCLISAAAFCAEYLLFFFHSTTHKGLEGHYHLLLVLLVALCIISIVAGAIMPTSFPADLCGGIAVTLQGLWFYQTAFTLYGPMMPAGCKLEANEVSCLHHDHEVRGQVLANLQMFGLVFLVLSGVVGSYIFADKKCGKPEFRMLHITPDEGFHEQL >cds-PLY76508.1 pep primary_assembly:Lsat_Salinas_v7:5:13037307:13037489:-1 gene:gene-LSAT_5X7221 transcript:rna-gnl|WGS:NBSK|LSAT_5X7221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSVSLSTTHHLQLRPFSQGLAEEEENEGGAPPIYKRMGFSCTPILWSVFLEGSPSFK >cds-PLY86619.1 pep primary_assembly:Lsat_Salinas_v7:1:3585279:3587009:1 gene:gene-LSAT_1X2740 transcript:rna-gnl|WGS:NBSK|LSAT_1X2740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSEISKSNKHPDKNPIIRRKQKLCLNKSVNHMMRDSTKETTVYIDKIIKLREVTFVHGVDIQ >cds-PLY67250.1 pep primary_assembly:Lsat_Salinas_v7:6:133373114:133374981:1 gene:gene-LSAT_6X80500 transcript:rna-gnl|WGS:NBSK|LSAT_6X80500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVNIETIVGASFENGDEKPEAISCYACHKHISSGFVYGCTQCRYFMHKACAQLPPTIDHHSLYKQPLTLVDCKAMHFRTWRCDVCRQNKVLGLFYFFMRHDNIYSFTACIDCCVVELVRKAEIDAIKEEARIKIEHEGHPQHTLTLQLRPGAFRCDACNAKNEGLFYQCDSCDFWIHKTCTSLAPTIHFPNHLDHPLTLVYSLPEKFFNFSYYCESCNIYIRWNEWLYHCANCRYFVHIKCALDTKQLSTSRGNPSTSATEEDINDSLHFPMSQVFTDPLKLLHFEKTSQDDDGETNINHWSHGHPLILNVEPYPNTSDINCSDPIEVCHGCVRPLSFPYYSCKDGCSFILHKYCVELPLKLQHPLHQDHSLDLINTWGQQIYCRCNGCGSFGNTFLYRCETCKFNLDVNCAFLPRTIKHKSHKHPLIQVMDPDPLCNACNMWNDHISYACKPCNFILDMYCAMRSPDSLGHRYCKGHEIPLTYPPVMDHPEDFYCDICEEEMHPKLPLYYCGKCKNSFHLYCINRFKRFANVFHEGTFNVPYHKHPLTYVRRNKTPKYVCCNCNQDINGCLILECQSRVCSFNICFECDYNKEMGP >cds-PLY86820.1 pep primary_assembly:Lsat_Salinas_v7:1:16409786:16412043:1 gene:gene-LSAT_1X13581 transcript:rna-gnl|WGS:NBSK|LSAT_1X13581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVPCPKTSALLAPHSNPKQIPNHRNTSIVLFQSDFKIKPNRALVSRSPSLGFQATKRNQNGVMKVSAQLNEISVGESSNSAPPPSDEKSSKISSSETAVPDVASITAFMNQVAGLVELVDSRDIMELQLKQDDREVIIRKKEALAPPPAPPMMMMQSSQQPQPMYHSQPPPPPQAATPAPSASPPALPPPAKPKSSRPPLKSPMAGTFYRAPAPGAPAFVKVGDKVQKGQVICIIEAMKLMNEIEADQSGTVVDIIAEDGKPPLLVIEP >cds-PLY62175.1 pep primary_assembly:Lsat_Salinas_v7:2:152938940:152939570:-1 gene:gene-LSAT_2X77680 transcript:rna-gnl|WGS:NBSK|LSAT_2X77680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIMKVVFIIAIAMATVIAVNYTITFTGNNEEETMVTETPYVKPFPKRLSRFLAETKNPRAADHCNKDDEICYILEGKNSTCCNNKCMDLSEDKHNCGACKNKCKFTSSCCGGQCVNLAYDKRHCGSCGNKCMPGGYCIYGLCNYA >cds-PLY70469.1 pep primary_assembly:Lsat_Salinas_v7:1:72958156:72959805:1 gene:gene-LSAT_1X64601 transcript:rna-gnl|WGS:NBSK|LSAT_1X64601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RZFP34 [Source:Projected from Arabidopsis thaliana (AT5G22920) UniProtKB/Swiss-Prot;Acc:Q9FFB6] MESQASLMEVEGGNFGCSHYMRKCKLKAPCCDEIFSCRHCHNESKNSIDIDAVLRHDLPRHEVKKVICSLCETEQDVSLLQFITITKKLCFNIKKEFQVQQNCINCGVCMGKYFCEKCKFFDDDVSKNQYHCDQCGICRTGGQENFFHCDKCGCCYSKVIKDTHVCVERAMHHDCPICSEFLFDTLKDLTILPCGHTMHMDCLKEMEQHHRYSCPVCSKSVCDLSDVWRKLDHEVEVTPMPQSYRNKMVWILCNDCEKISRVQFHIVGHKCENCNCYNTRQIRGAPSSEFTSSASEEDCN >cds-PLY70138.1 pep primary_assembly:Lsat_Salinas_v7:3:10907196:10910745:-1 gene:gene-LSAT_3X6160 transcript:rna-gnl|WGS:NBSK|LSAT_3X6160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSCNCIEPQWPADELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFNAHTRTVAIVMTTAKVLTAAVSCATALMLVHIIPDLLSVKTRELFLKNKAAQLDREMGIIRTQEETGRHVRMLTHEIRSTLNRHTILKTTLVELGRTLGLEECALWMPTRSGLELQLSYTLRHQNPVGFTVPIQSPAINQVFSTNRAVKISPTSPVARLRPASGKYMLGEVVAVRVPLLHLNNFQIYDWPELSTKRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAETAVRARNDFLAVMNHEMRTPMHAIIALSSLLQETDLTPEQRLMVETILKSSNLLATLINDVLDLSRLEDGSLELDTTTFNLHALFKEVLNLIRPVASVKRLFVTLSLSSDLPEYAVGDEKRLMQIILNIIGNAVKFSKEGSISISAIMAKPDSLRDPRAPDFFPLLSDNNFFLRVQVKDTGMGISQQDMPKLFTKFAESQSPATRNPGGSGLGLAICKRFVNLMEGNIWIESEGLGKGSTAIFFVKLGFPSRLNGSRLPHMRVPAKLGQTKFPGLKVVVVDDNGVSRTATKGLLVHLGCDVTTVSSGEECLQAITKDSYKVVFIDVSLSSDAYNVARLVHEKLPKRHEKPPPLIVGLTGNTDKAMKESLLRAGMDGLVLKPVSVEKMRLALSELLEHNT >cds-PLY67963.1 pep primary_assembly:Lsat_Salinas_v7:2:12647783:12648070:-1 gene:gene-LSAT_2X5840 transcript:rna-gnl|WGS:NBSK|LSAT_2X5840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLGDARSCGCNCENGGVSGRGGDSDGGGSVGRGGRGGKNSGKRGGGGYYCGGRGGGSDGSGGGEYVELVVVAMVMDYMWESSHDGIDNDNGGDA >cds-PLY66445.1 pep primary_assembly:Lsat_Salinas_v7:5:321103583:321106105:-1 gene:gene-LSAT_5X176300 transcript:rna-gnl|WGS:NBSK|LSAT_5X176300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHLFLSEPDWSCDGSDDSFKHRLSLLNDLDSIVRLLIASQSRSEARLWLCKDLSGVNSLSSRQKRELFVTLLKTSSQKRDLAAQLMQMIFDKHPKKAGSILAKKSHMLEDFFRGNPRRILLWFSNFAGSGDMEHKNGAKALSQFAFLNRDICWEELEWKGKHGQSPAMVATKPHYFLDLDVEQTVDNFLENVPEFWSSHEFSDTLKNGDILLMDTKFFINMFVSLMYKQDMKEIWEVINDFLAEEPFSSLCSHLLIVLDEKELCSFLDLLQKFLGPKDSGDTCQYMDAILFRYSGSDSISELLLLNAVINRGRQLMQILQENEHIEEKMKIKDVVQQICSLSHDGFVPLIKECSKTKSLNWIKLLGLQSWALRCFLSEGFWPPEAWESLFNSNGISFRHSGKHELLYTNKLLVDESDSDSDKRTSSRSKSRKKGKSRKKRRMSLFDDSCDYDDNDDLLNLGFSYNKMEFQSKASYWLLSTDDYSASWNSVDLPEHLSKHCFSTWMKWAFSRSIG >cds-PLY62894.1 pep primary_assembly:Lsat_Salinas_v7:4:328294080:328294695:1 gene:gene-LSAT_4X164020 transcript:rna-gnl|WGS:NBSK|LSAT_4X164020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIAIKCQNLITLLVLLNLAFLMNARPLSILNTVNCPDENDQLFDRFSLGSIKEGPSPGIGHKVEDKTTLGGIKVGPSLGAKDGYTHEPSHGIENVFNIETLVGVKDGPSPGIGHKVVNVESLGNLKNSGPSPGAGH >cds-PLY81022.1 pep primary_assembly:Lsat_Salinas_v7:9:175360617:175364551:1 gene:gene-LSAT_9X107940 transcript:rna-gnl|WGS:NBSK|LSAT_9X107940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEGEDAVARRRGAVTEYRKKMLQHKELDSRVRGVRESLRSTKKDYAKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTAGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNISYSAVGGLSDQIRELRESIELPLMNPELFIRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFNYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYNADFGKD >cds-PLY78199.1 pep primary_assembly:Lsat_Salinas_v7:4:252280221:252281625:1 gene:gene-LSAT_4X131860 transcript:rna-gnl|WGS:NBSK|LSAT_4X131860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNGGVPPGFRFHPTDEELLHYYLKKKISFEKFDMDVIREVDLNKIEPWDLQERCKIGSSPENEWYFFSHKDKKYPTGSRTNRATNAGFWKATGRDKCIRNTFKKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEDIDDHHDPLNSIPTSSPEDGWVICRVFKKRNLFKVGANEVSSGSIGSERLNNNQFQPRSLPYGQDNNQYLQYQQTHQQQQNFDLGLNYNHHQHPHLIPTHKPLGYGFLNLPSEDSPLMVRQLMTNPRECDSGSCENQLDVGYQACEPGLEVDTCEQVQIMVNTNVREETVNGWGMVDRLVTSHIGQPNEDVNSTKGMLRYRDDTTSSLSMQHVNQLSLRGEMDFWGYEK >cds-PLY76591.1 pep primary_assembly:Lsat_Salinas_v7:5:223749649:223752265:1 gene:gene-LSAT_5X105641 transcript:rna-gnl|WGS:NBSK|LSAT_5X105641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRASRSNVSLGFLPHSSHHSQLSDGSISFQIDSSFNSSSRHLVSSIPLQLMEEQTTTESNSVELNNQQQQRNVGSVDLNGESKIGGEEEEEKDVDNFQILGHPMCLKRRRDDNSSGATPESSSSSTSSKRCQTDQNGQERSLESRRQAVRAWGNQSLQAADPDIFDILEKEKQRQYKGIELIASENFVCKAVLEALGSHLTNKYSEGMPGARYYSGNQYIDEIEILCCQRALTAFGLESENWGVNVQPYSCTSANFAVYTGLLSPGDRIMGLDTPSGGHTSHGYYTPNGKKVSGASIFFESLSYKVNPQTGIIDFEKLEERALDFRPKILICGGSSYPRDWEYAKFRQIADKSGAVLMCDMAQISGLIAAKECASPFDFCDIVTSTTHKSLRGPRGGIIFYRKGLKPGVRGKLLNQGDGSYKYDFEEKINFAVCPALQGGPHNNHIAALAIALKQLATPDYKAYMQQVKKNAQALASALLKRNCKLVTGGTDNHLVLWDLRNLGLTGKNFEKVCEMCHITVNKIAIFDDNGSLTPGGVRIGTPAMTTRGCLESDFETMAEFLYRAAQITSVMQQREHGKMGKAFVNKDIVELRSQVENFATQFAMPGQDM >cds-PLY77189.1 pep primary_assembly:Lsat_Salinas_v7:8:25658291:25663870:-1 gene:gene-LSAT_8X19521 transcript:rna-gnl|WGS:NBSK|LSAT_8X19521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELYHTVLVLLYCNVFFFLSCSAALDSTVSANQQIKDGNTIVSYGETYELGFFSPRKSKNRYLGIWYKKISPCTVVWVANRHTPITDASGVFEVTTDGILLIHSGGGTGNRSVIWSSNFTVLSVNPMAQLLDTGNLVVWDESSTKESPLWQSFDYPGDTLLPGMKFGKDLITGRERFLTSWKSPNDPSRGLYKFWVDTNGYPQIFLGEGGRETLRLGPWNGVGFQGVPVDNMNPIYSTEFVVNQKEIYYRYKLKSTTIQRLLLVWDGMGRRLQWIKRTQEWVEYANIVVDACSRYGPCGPFGSCRMKSSLPCSCLEGFEPKVPEEWKAGDWSSGCQRRKPLDFRTPDFFHKISGVVFPDTRRSSYNKSMSLGECEMACRRDCSCTAYANLDIRNGGSGCLLWFNELMDIREYDDDQQLYIRMSTFQLTESQFSFNKKKGVLAVVLSVSIAALLLFAVAYACRKKVKRLNKKGRGSRAPTLDKDHTSVQMENFDELPFFSLHKIVEATNNFNINNKIGEGGFGPVYKGVLENEQVIAVKRLSETSQQGLDEFQNEVICIAKLQHRNLVKLLGYCIHGNERILIYEYMDNKSLDSFLFDETNGSMLDWPQRFRIIHGIARGILYLHQDSRLQIIHRDLKAGNILLDSEMNPKISDFGLARKFVGQDAMAKTKKVVGTHGYISPEYAVHGRFSIKSDVFSFGVVVLEIVSGKKNRGFSHEAHSDNLLGHAWRLYKEDKSIELLSASVRNSSVVSEVLRSIHVGLLCVQHHAEDRPTMLSVVLMLISEGVLPPPKQPAFFIDESYREVDTVSSHEEYTITLLHAR >cds-PLY88587.1 pep primary_assembly:Lsat_Salinas_v7:7:8070634:8090732:-1 gene:gene-LSAT_7X7601 transcript:rna-gnl|WGS:NBSK|LSAT_7X7601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEKLEHVEISGGDSLPEVGGGSGSDLRVETSDSEIGTVNINIADVVGRVDFESGYVDEGMFEQVSLDKEKNVVDLDNLRTSSSGNEETSGVSIKGGTMEDDSSLAVVQVHQDSNVSSRGSGGKSPHLSEQSSARTSYDSPLYAYGDHGHSPPKPKPKPMPNVSPELLHLVDSVIMGKAESMESLKNIVSGVESFGDGEEAESIALLVVDSLLATMGGVESFEEDEDNNPPSVMLNSRAAVVSGELIPWLPWLSDTVGFMSPRTRMVRGLLAILQACTRNRAMCCSSGLLGVLLQSAEKIFLDDSSKQLKWDGTPLCSCIQYLAGHSLSVTDLNKWFSTITRTINTPWAARLMLCMERALGSKESRGPMSSFEFDGESSGLLGPGESRWPFPNGYAFATWIYIESFADTLNTATAAAAIAAAAAAKSGKSSAVSAAAAASALAGEGMAHMPRLFSFLSADNQGMEAYFHAQFLVVESGSGKGRKASLHFTHAFKPQCWYFIGLEHTSKQGLLGKAESELRLYIDGTLYESRPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMGQLASRGGDALPSFGNAAGSPWLSTFSHVQKVSEESARLDADIAGCLHLLYHPSLLSGRFCPDASPSGAAGMLRRPAEVLGQVHVATRMRPAEALWALAYGGPMSLLPFVVSKVDNKSLELQKGDLALSVATTALAAPIFRIMSLAIQHPGNNNELCRTKGPEVLSVILTYLLQTLSSLDCSKHGVGDEEIVAAIVSLCQSQKNNHALKVQLFSTLLLDLKIWSLCSYGLQKKLLSSLADMAFTEASVMRDAKAIQTLLDGCRRCYWTIREKDSVNTISINGATRPVGEVNALVDELLVLIELLVGAAPPSMAVDDIRCLLGFLVDSPQPNQVARALHLIYRLVVQPKASRAQTFAEAFLSCGGIETLLVLLQREAKAGDYDVTLSDPLVNNEESKANGGDSLENSNNDELSSNEPQTHTSVNTILIGSRIESKSSVSESQLKKILGGINFSISADNARNNVYNVDKSDGIVVAIIGLFGALVISGHLKSGSQASNDMTRGMHRLLEGGGSMFEDKVSLLRYALQRAFQAAPNRLMTNNAYLALLGASLNASTTDEGLNFYDSQHRFEHSQLLLVLLSSLPHAPKSFQCRALQDLLILACSHAENRNRLTKMDEWPEWILEILISNYETSGKNASMSSSFRDVEDLVHSFLVIMLEHSMRQKDGWKDIEATIHCAEWLSMVGGSSTGEQRKRREESLPIFKRRLLCGLLDFAARELQTQTQVIAAAAAGVAAYGLPPEVSKAEAENAAQLSVALVENAIVVLMLVEDHLRLQSKLFSFSLVQGGSGSSLPSVVRVGNSTNLPTIPEPLEARASSNDSRTLPLDVLASMADTKGQISATVMERLTAAAAAEPYDSVSCAFVSYGSCAVDLAEGWKYRSRLWYGVGLPSKASDFGGGGSGWDAWNSSLEKDSNGNWIELPLINKCVSMLQALLLDESGLGGGLGIGGGSGTGMGGMAALYQLLDSDQPFLCMLRMILVSMREEDDGESNMLTRNLSVDEGSFEDKIVPSSLENSPRTSMRQPRSVLLWSVLSPLLNMPISESKRQRVLVASSVLYSEVWHAVSRDRVPLRKQYLEAILPPFVSILRRWRPYLAGIHELVATDGLNPLAVDDPALAADALPIEGALAMISPGWAAAFASPPAALALAMIAAGAGGGEAATPATAVHHLKRDSSVVDRKPVRLQSFSSFREPMEAPNKSSSSSSSSSVKDKAAAKAAALAAARDLERNAKIGSGRGLSAVAMATAAQRRNRSDMDRVKRWNVSEAMGTAWMECLPSADNKPVYKKDLNALSYKFIAVLVDRRAQVDSIARHRALTGIRAWRKLIHYLIETKCLFGPISENLYNPERIFWKLDHMESSTRMRTCLRRDFEGSDHFGAAADFEDHVEPKHDKETVVSPKASILPSEAISIELVNEDDEQEDFSNVEGKTDDIQTRQSGNAEQPLQVPDSTASQVGNDEDFGSSSSVGPGYIPSEDDERVILEISASMVRPLKVWQGTFQITTKKINFLLNKSEGNVLEDGLDNKTESKVEDKDRSWLMSSLHQVYSRSTSFYFLFWLLLTSQLYRYDRYLLRRSALELFMVDRSNFFFDFGTMEGRRSAYRAIVQAHPSHLNNIYLATQRPDQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYKSTHLDLANPSSYRDLSKPVGALNDDRLKKIQERYYSFNDTVIPKFHYGSHYSSAGTVLYYLMRVEPFTTLSIQLQGGKFDHADRMFLDIPATWNGVMEDMSDVKELVPELFYLPEILLNENSIDFGTTQLGDKLDCVKLPPWAENPVDFVHKHRMALESEHVSAHLHEWIDLIFGYKQRGKEAISANNVFFYITYEGTVDIDKITDPVQQRAMQDQIAYFGQTPSQLLTTPHIKKMPLPDVLQMQTIFRNPEEVKPYNVSSPERCNLPASAIRAFSDSLVIVDTDAPAAHIAQHRWQPNTPDGQGTPFLFQHAKATASSSGGSFMRMFKGPSVSGSEEWLYPQALAYAAPGLRSSSIVCITHDNEIITGGHVDNSVRLISSDAAKTLEIARGHSAPVTCMSLSPDSNYLVTGSRDTMVLVWRIHQSTDPQKTSISESPPPSTTPTSAKSTNNNLLSSGKRRIEGPVQVIRGHFGEVVCCCVDSDLGVVASCSDSSDVLLHSVSRGRLLRRLDGVKAHMVCLSPAGVVVTWNRLSCTLSTHTLNGKMIARAQIPGSCTVSCMEVSSDGQSLLIGLNSSSGNDDFSSIRSKREETEGVDGDDVELNEYGEVERLEFTSPSVCFLNLHTLEVFHSLQLKEGQDITAIAMNKDNTNLLVSTADKQLIVFTDPALSLKVVDQMLKLGWEGDGLSPFMK >cds-PLY80649.1 pep primary_assembly:Lsat_Salinas_v7:5:245780476:245782730:-1 gene:gene-LSAT_5X122081 transcript:rna-gnl|WGS:NBSK|LSAT_5X122081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTAQISKAPMTMANLLLNAAQTPKPSMATTGNLLLTPPLLLIPPLMMFALKSTQQPPLFKNSFLNTSRSKKSFSYTPPTSSSTRPLSLRLSWNNAAVPISPVHKKDHKGFGGNMSCADFWGPLSGWDDVVQMEKKFVCGWAAKEDSTVAGTEDDFAPTLLRMWHSWYYLQSWKNFGKRKDRNPSKKQCVTFNDVEGVDAAKAELLEIVSCIKGDSKYMKLGAKLPRGVLLAGPPGTGKTLLARAVAGEANVAFFSMSASEFVEIFAGNGAARVRNLFSDARKRSPSIIFIDEIDAVGGQRGISFNSERDQTLNQLLTEMDGFEKGATVVVIAATNRPELLDSALMRPGRFSRKVVVGKPDEDGRRKIFSLYLEKVPMDKEDKQVICDIVASRTPGLVGADLENIANEAVMLAARTGGDYVTKEDVLQALERSTTKICNDDATSEAKSPYLFGQMALESVQAGGY >cds-PLY86592.1 pep primary_assembly:Lsat_Salinas_v7:1:133154155:133159683:-1 gene:gene-LSAT_1X98040 transcript:rna-gnl|WGS:NBSK|LSAT_1X98040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAVTAVITVLCEKLISGDLMKLAGSEGIDSQLKKWKNTLPLIQAVLADASQKHITDKAVQLWVNNLQDLAYDIDDVLDDLATEAIRRNLNKEALASTSTGKLLKFFPNCCTNFTPHNFMYGRKMSSKLDEITTKLCDLVDQRKGLGLNVNVERSNITERRLEQTSLVVESKIMGREGDKVALMGKLLRNEECDQNVSIVSIVGMGGIGKTTLAKLLYNEQEVKDHFELRAWVCISEEFDVFNISNAMFEALGGDNKRFSNLDPLHVALKEKLSKKRFLLVLDDVWNEDHSKWELLQSPLLVGAYGSKIIVTTRSTKVALVMDSELSYGLDVLSNEDALSLFAQHAVGEKNFEKHPTLKSLGEGMVQKCGRLPLALKALGRVLKGNRNGDEWEKLLKSEIWDIDDGSEILPALRLSYYHLPPHLKQLFAYCSLFPKDAEFEKNDLVLMWMAEGFLSQSKSMERLGHHYFEELLSRSFFQQSANDEFEYTMHDLMNDLATSVAGVLKGNRNGDEWEKLLKSEIWDIDDGSEILPALRLSYYHLPPHLKQLFAYCSLFPKDAEFEKNDLVLMWMAEGFLSQSKSMERLGHHYFEELLSRSFFQQSANDEFEYTMHDLMNDLATSVAGEFFCRLDGEMDVSDTNEVFEKLRHLTFIASSSGSYIKLKQLQTTRLHTFLGILLSESDYVYDELIHKLQFVRVLALTGITITEVPKSIGSLKHLRYLNFSFTEITCLPEQVSDLYNLQSLLVQDCSELSSLPKSFAKLINLRHLDLSKTPNLKKMPLGIGGLTSLQTLTKVFIEEGNGFKISDLKGLSDLQGRLSIKGLDTVKNSIEAKDANLHEKKGLDVLKMEWSDLFDDSRNEMIEYEVLKELRPHPKLKKLKIFNNNGMRFPSWVGDPSFDQLTELKLCGCRSTYLPTLEHLRSLKELVVERMNEVKTVGFELPAPTNSFPSLEVLEFGHMQGWQRWLINSGDGHETPTSFPRLCKISIKCCPELDEVSIGLIPSLRFLHIEECSEPLLRSLVGLSPSLIELKMLNVRGLTQLHGEVLMHLRALEYLCIKNCDELKYLWEQESEACKSLVSLQKLEVWGCKNLVSSAEKEDNFGISMESLKSVEFYYCETLESYNCTNTVERLLISSCDSMTSLTFSAVQEHPCPLTELIVGDCDNIQLQSKPIPAKDFSLSRLTSFDIISWKNLKSIPYEHFQSLTSLEDMIIHNCPSMDYSFPCGVWPPNLRMLSIGDLNKPMSEWGLQNFPTSLFDLRLYGKDSGVVSFVVADDVRNTTTTPSSSSSSSSFLLPQSLVSLSLHDFTDVESFSEVLPHLPCLKTLYIWNCPKLGDLKTIYDPSNVTILVLK >cds-PLY85240.1 pep primary_assembly:Lsat_Salinas_v7:1:164253183:164254350:1 gene:gene-LSAT_1X108100 transcript:rna-gnl|WGS:NBSK|LSAT_1X108100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAASISTTFLPLYKPIKSFVSTSQTPKCFPKTKHGANTGIVWAVSKEQDVIPVQSNNFTDHQVGILVSEIEKEVEGGKGVQFIGGFGGSEGILSFEGGFSSASTSGDGNQVVEGENIDKLIDRTSNTTIVLAAGTFGITKLLTIDYDYWHVK >cds-PLY69161.1 pep primary_assembly:Lsat_Salinas_v7:5:286768580:286769200:-1 gene:gene-LSAT_5X151821 transcript:rna-gnl|WGS:NBSK|LSAT_5X151821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHDHALSLDQINAIVGAPTENTFGPNDPFPGYSDLTWCTDITHQHPYVSSSAKASSLTHYVIKVAHRIIASLVVPREERSTISALELKILYAMAHPNDNLIPHYLSFLCNKLTCLSTSRSGKIYCGSIVSLFAKSASVQAPYPGIHQPLPGEPYLTMEVIERIRMFQTEDGKHNSSVGQNHDPRLLITPENRNIFALRQPTNFID >cds-PLY88457.1 pep primary_assembly:Lsat_Salinas_v7:8:86103509:86106766:1 gene:gene-LSAT_8X62721 transcript:rna-gnl|WGS:NBSK|LSAT_8X62721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRWVDRSLLFLLLLHLQPSNSCAISIELENLITHPSWGKVEIYCNLAGHFPAVSVFDSAVMYVCSHGGLILFVLILSTFVATCVLEVYIHKSIVHCILDWMFFTFEEAIESSIQKFSSTGKCLFYRTSAEKLPNQLLRVTVGMLLNMVKKVRCV >cds-PLY75056.1 pep primary_assembly:Lsat_Salinas_v7:2:67931613:67935566:-1 gene:gene-LSAT_2X30340 transcript:rna-gnl|WGS:NBSK|LSAT_2X30340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLILITHSLSLTLPRFSLRDFRNSLQTILCSLHIGSNGRQNHVILGNLVNRFIAWALPLFSNINAGDGTVELAAEGLHEFLNVAEGLNAGDGTTTKLSSRLCPTVGKSWISYASWCYAQAKETSDTTLQSYSFSPILDSELVPDRFRLTEEEVSRVKHVSELLLITRDVKYLDSNNVHAVVQQVVNIIEAAAGELECLSVVVVSKLQKFFLFANIDVEGSAFFNELVDVWWCLRKRRLFKDMIEIVANKLRSMVVVEVPKLASAAKYFFKMGVEGKRFRPTDSRLPAEFEITEFCHECGSDKKTIVAVKVYRWSDGSYLEDQDHWWLSGIHRDVLLLSKPKVFIADYFFRSSLAESSTYVDLKHVAAEGTTLVKHQEDAASNDL >cds-PLY62476.1 pep primary_assembly:Lsat_Salinas_v7:1:84833763:84833963:-1 gene:gene-LSAT_1X70480 transcript:rna-gnl|WGS:NBSK|LSAT_1X70480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVLIALFEMRKTNHKIISIRLSSHISLRKHNIIFICFLNVPLDTNSGCNSHGLYDFSSDAFMPPS >cds-PLY96744.1 pep primary_assembly:Lsat_Salinas_v7:2:171978240:171982318:1 gene:gene-LSAT_2X94721 transcript:rna-gnl|WGS:NBSK|LSAT_2X94721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CDG1 [Source:Projected from Arabidopsis thaliana (AT3G26940) UniProtKB/TrEMBL;Acc:A0A178VIM3] MVVAVKKLNKDGLQGNKEFQVEITMLSLVCHPNIVTLIGYCSESNQHLLVYEFMPLGSLEKHLHDPKPNMKPLDWNTRMKIAVGTARGLDYLHNHCEPRIIYRDMKAANILLGEGYDPKISDLGLAKFGPLGDKSYVSTRVMGTMGYCAPEYGLTGHLTIKSDTYSFGVVLLELVTGRQALDDTKEGGQYLIEWANPMLIDKRKYVKLADPRMKGEFMQRSVRKAVEVALMCMNNDQEKRPDMSEVVDALDFVASLSDPVMVGDRSRNRNQNGKSGLIVNLDSSDDENDGLKEEDEDERAKAIEEAKMWGKRYKHEAANTTPL >cds-PLY97584.1 pep primary_assembly:Lsat_Salinas_v7:5:235172628:235174691:-1 gene:gene-LSAT_5X115160 transcript:rna-gnl|WGS:NBSK|LSAT_5X115160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRMCAKPIERCLGRRSGDVLLWHNDLKPHASGDFSIAVVQANSNLEDQSQVYTSPSATYVGVYDGHGGPEASRFVNSNLFPFIHKYASEQGGISADVIKKAFSATEEKFFQFVKHQMPIKPQIASVGSCCLVGAISNDELHVANLGDSRVVLGQKVSDGRKDKVVAERLSRDHNVSYEEVRKEVEAQHPGDSPVVMYCRGVWRIKGIIQVSRSIGDFYLKKPEFHRDPRYLQYGNHVPLKKAVLSAEPSIISRKLKSQDLFLIFASDGLWEHLSDEAAVDIVYNNPRSGIAKRLVGAAIREATKKRELRYKDIMKIERGIRRHFHDDISVIVIYLDREKGTSKNRKLGFTTAPMDIFSHNEDKNHKTVEDPLLAN >cds-PLY86089.1 pep primary_assembly:Lsat_Salinas_v7:7:139652087:139654855:1 gene:gene-LSAT_7X83081 transcript:rna-gnl|WGS:NBSK|LSAT_7X83081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMFHMAPPTSQKNPTAHAVHPFQPDLSCHQNFKRGGQHSSQRREVDDKNRISQSQVSTTNQHVDDTFRHHYLYQQRRSFSSISFFDSYSTGIDQILHYLLVVRHAQGVHNVIGDKDYKAYMSHEYFDAELTRLGWQQVENLRKHVHECGLAKKIEVVITSPLLRTMQTAVGVFGGEGYTDKVDSMPLMLANVGNSGRSAISSLNCPPILAVELCREHLGVHPCDRRRSVGEYQCLFPAIDFSLIESDEDVLWKANERETKEELANRGKSFFNWLWTREEKEIAIVTHSGFLFHTLATFGNDCHPLVKKEITKHFANCELRSMVIVDKSMLGSDPSTTDYPGKIPPGPDIPSDVVDKKLANDDTVSGST >cds-PLY67518.1 pep primary_assembly:Lsat_Salinas_v7:6:71867748:71868574:-1 gene:gene-LSAT_6X51140 transcript:rna-gnl|WGS:NBSK|LSAT_6X51140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKESAHEPLTLLGALTWIFISQETISVHLQFLKCRESWLCGILDDLDQRNAYEYLKGMTARSRRMRCRLPT >cds-PLY69090.1 pep primary_assembly:Lsat_Salinas_v7:5:276523360:276529777:-1 gene:gene-LSAT_5X145120 transcript:rna-gnl|WGS:NBSK|LSAT_5X145120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVLIVTATQLEEEEGCTDDVTVRNLGKPAELAGQYYLDGLPPPPQPQTPPXAQAAVAFVRLTSYNRVTVRENSAAIKIQALFRGYLSRKALKALKSLVKLQAVVRGYLVRKEAAETLHGMEALCRAQSTVCAHRFRLQDDKFHSRRSTKRANEARSRRISTSFQAHGRPSPIEFDPEYQAKTWAWGPDSGAQTPNFSNPHHLSTPSLPNHHVFDYGFSTTHNTPRFSYSCGPNIYDSVYDKVSKDDSFASHPGYMANTKSFRAKVRSHSAPKQRPDYGFGFKKRVGLNEVEFGARVEKEKSKSKSPSLLEVNNFKNFVMGRIGKSSKVQWG >cds-PLY97820.1 pep primary_assembly:Lsat_Salinas_v7:9:2060619:2060867:-1 gene:gene-LSAT_9X5381 transcript:rna-gnl|WGS:NBSK|LSAT_9X5381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYCHNQLEPAKVVHCILTESSKFHKRSFEEAIGSIRAIATSYLWQVDMWHVFICLYWKI >cds-PLY75503.1 pep primary_assembly:Lsat_Salinas_v7:9:32899725:32900677:-1 gene:gene-LSAT_9X30801 transcript:rna-gnl|WGS:NBSK|LSAT_9X30801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHDQSLLSLSRNSSSKIAPSNYITCTAFIVDPFSINDNKPLVGKSSPLSYSRFSRTRTGISTLIRSLLTIISIPAIIPTFRRLSLPIQLSPTPSLGRKVTGTLFGNRRGHVSFAVQYDPRSAPVLIIELSISTAALVKEMSSGLVRIALECEKKQHSRLSGRRGEIKLFNEPTWTMYCNGRNCGYASARACSDSDWYALSTVQSVSVGAGVLPVLEGGRKSSAGGSCGESEGEFLYMRARFQRVVGSRDSEAYYMMNMDGNGGPELSIFLLRV >cds-PLY65453.1 pep primary_assembly:Lsat_Salinas_v7:9:184830202:184832428:-1 gene:gene-LSAT_9X113920 transcript:rna-gnl|WGS:NBSK|LSAT_9X113920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIHILKSGLYPFSASQSYSRRKERLICCDGRRIIKLRSKLLINRRSTISCKVQDSEDNQNKGEEPTESLFMKELKRRGMTPTSLLEESRSTSKDENFIYKEEDGGFSNRNAVSTDLEKSLSNQRERSMALNSEGLEGLIPRAKLLLTLGGTYFLAFWPLILVTVASFSAVYIYFGPKFVHDASTRQVYLPQYVDPYALLEDQRISQTAPRLN >cds-PLY74700.1 pep primary_assembly:Lsat_Salinas_v7:5:26212225:26214560:1 gene:gene-LSAT_5X13101 transcript:rna-gnl|WGS:NBSK|LSAT_5X13101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CBBY-like protein [Source:Projected from Arabidopsis thaliana (AT3G48420) UniProtKB/Swiss-Prot;Acc:Q94K71] MASIIVPASSILCSDNFSTNKTSSRHGSTHQVRPSMQPSSVIGKEVSVRSSTSKFWNPLVRNCSRRSRGSSFRCSAVDSPVLPSALLFDCDGVLVDTEKDGHRVSFNDTFAEKELGVTWDVDLYGELLKIGGGKERMTAYFNQTAWPEKAPKGEQERKEFIASLHKRKTELFMVLIEKKLLPLRPGVAKLIDQAFAKDVKVAVCSTSNEKAVSAIVSFLLGPERAAKIQIFAGDVVPRKKPDPAIYNLAATTLGVEPSRCVVVEDSGIGLAAAKAAGMTCIVTKSGYTADEDFANADAVFDCIGDPPEERFDFEFCTTLLQKQYV >cds-PLY90538.1 pep primary_assembly:Lsat_Salinas_v7:1:212987685:212992175:1 gene:gene-LSAT_1X130060 transcript:rna-gnl|WGS:NBSK|LSAT_1X130060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDNDTGEKQNGPPPPATAAVAECTVEEVALVVPETDDTSLPVMTFRAWTLGVGSCVVLIFLNTFFIYRTQPLAISAILMQIAVLPIGKFMAATLPTKEYKLMGRSFSLNPGPFNMKEHVIITVFANCGVSTGGGDAYSIGAITVMKAYYRQSLSFLCGLIIVLTTQILGYGWAGMLRRYLVDPVEMWWPANLAQVSLFRALHEKENKGEGMTRMRFFMIFLVLSFAYYALPGYLFPILTFFSWVCWVWPHSITAQQIGSGYHGLGVGAFTLDWAGISAYHGSPLVTPWTSILNVGAGFIAFIYIIVPICYWKYDTFDARKFPIFSNKLFTATGHEYDTTRILTPQFDLNIAAYNSYSKLYLSPLFALSIGSGFARFTATLTHVALFHGGDIWKQSKSAVKNAKIDIHAKLMQSYKQVPQWWYLVMLVGSIALSLVMCFVWKEDVQLPWWGFLFAFGLAWLVTLPIGVIQATTNQQPGYDIIAQFIIGYILPGKPIANLLFKIYGRISTIHALSFLSDLKLGHYMKIPPRCMFTAQLVGTLVAGTVNLAVSWWMLENIENLCDIEGLHPESPWTCPKFKVTFDASVIWGLIGPERLFGSGGLYRNLVWLFLIGAFLPVPVWIMSKIFPEKKWIPLINIPVISYGFAGMPPATPTNIASWLVTGMIFNYFVFKYRKQWWQKYNYILSAALDAGTAFMGVLLFFALQNEGVNLKWWGAKPDHCPLATCPTATGINVTGCPIF >cds-PLY75990.1 pep primary_assembly:Lsat_Salinas_v7:1:42136479:42143673:-1 gene:gene-LSAT_1X35540 transcript:rna-gnl|WGS:NBSK|LSAT_1X35540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin CPN60-like 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13860) UniProtKB/Swiss-Prot;Acc:Q93ZM7] MLVVMLCQPLGGTLFYLRKAFLDESLLRFAKFEPLPCFGFNDSTVKPYMVLDAAALENLQVFETLKESLEQFCKDTVITIWHYHGGCHVGKVVNNDYEVMGVHRLRVINGSTFEQSPDTNPQATVMMIGRSSTSRKLVRIPFSFFSVLLGFRTRANLWRAVDLDSVEIIDMDDWKCWKVHGRVIWSRNYVAKDIEFGSRARAAMLLGVNQLADAVKVTMGPKGRNVIIEQSHGSPKVTKDGVTVAKSINFEEKAKNVGANLVKQVASATNSAAGDGTTCATVLTQAIFTEGCKSVAAGVNVMDLRSGINMAVDAVIADLKSRAVMISTPEEITQVATISANGEREIGELIARAMEKVGKEGVITVADGNTLYNELEVVEGMKLGRGYISPYFVTNTMTQKCELEHPLIFIHDKKVSDVNSLVRILELALGKNRPLLIVAEDLESDLLAMLIINKRQAGLKVCAIKAPGFGDNRRANLEDLAVLTGGEVISEERGLTLNDVQLDKLGTAKTVIVSLDDTIILHGGGDKKQIEERCEELRSTIENSSAMFDKEKAQERLSKLSGGVAVFKVGGASEAEVGERKDRVTDALNATRAAVEEGIVPGGGVALLHATRVLKTLQTANADQKRGVEIVENALKAPTCTIVSNAGGDGAMVIGKLLEQNDLQFGFDAAQGKYVDMVKAGIIDPLKVVRTALVDAASVSLLLTTTEATVVDRQGEKNPLANRMPNMDDMGMGF >cds-PLY76837.1 pep primary_assembly:Lsat_Salinas_v7:3:6055584:6056180:-1 gene:gene-LSAT_3X3380 transcript:rna-gnl|WGS:NBSK|LSAT_3X3380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSNILIPLPFILILFLFSANSAPDSVLDTTGKNLRPGINYHVMPAARDGKGGGITLTNNTCPSGITQFHTHNYELPLTFSPVNPKKGIIRLSTDVNIKFMGPTPTRCNESNIWRLKYDESIKQYFVMVGGVEGNPGRETLDNWFKIEKTDDGYKFVFCPTVCNFCKVICRDVGIFLDGNGMRRLALSDVPFSVIFMH >cds-PLY72760.1 pep primary_assembly:Lsat_Salinas_v7:4:374385962:374387346:-1 gene:gene-LSAT_4X183700 transcript:rna-gnl|WGS:NBSK|LSAT_4X183700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDRAGLKRGPWTMEEDRRLMNFIINNGIQCWRMVPKLAGLLRCGKSCRLRWINYLRPDLKRGTLSEAEEDQIIELHARLGNRWSKIASHFPGRTDNEIKNHWNTRIKKKLKLLGVDPNTHKQIDEEKKQDMGPKNEQCNTIYDVTSNNGNTQVGHKKIDEHRLDNDINSQNHHDMVCKNFDMDLRTNMNQEESTSKSFSYSHSYSYEDSMNPDLYIGESPFVEGDYSLQQWVDGVDPSLLWDYLSQQEDTFFLLGNT >cds-PLY81607.1 pep primary_assembly:Lsat_Salinas_v7:1:50509472:50511913:-1 gene:gene-LSAT_1X43600 transcript:rna-gnl|WGS:NBSK|LSAT_1X43600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADPPTHFFKFIPAGFLFNLSVPSAFLTNLNGKRCLKAILRHGRHKWSVDIDDGVFGDGWRKFVTENGVQEFDFIVFKHQGNMVFDFMVFDQSTCQKHYTNLFDELDEEPLTESDTICIHRRKKLKKRKRNDYASQDKEIFQVDDSGCFESKITPYVIKKSRLHVPINFARSNGLITRWIHTPVYLMDDTQRTWPATLTKTKTELCITGWREFIVKNHLKVGDVCSYLGFDECKLLVINHSGLNENNKMDSSIVNPPDLFVYSDTGKNLVENDIQEKGTSSSTFTNHPYFISTLKPTTLKRWILYLPADFSKPNGLKIGEMILRDDKGRSWKVHLNKMNRTSFYLGGGLRSFLVANGMKEGDEFKFELLEKEKDKSPIANFLFLKSKQQIKSHKEEKLSRKEGYILCEEDGHPYFMGEVKFCSIRKSVLFLPIKFAKSNGLMNPRKMTLKNVEDERSWTVELENYKNRYYYIGQGWKDFRVANGLKKGDRFKFELVNNGENPIVNFYFEKSC >cds-PLY99607.1 pep primary_assembly:Lsat_Salinas_v7:5:241854048:241856370:-1 gene:gene-LSAT_5X119101 transcript:rna-gnl|WGS:NBSK|LSAT_5X119101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21620) UniProtKB/TrEMBL;Acc:Q94II5] MSMRMQTLSEEVEYNWTADRRPGRDIVIAVDHGPKSKHAFDWALLHFCRPGDTLHLVHAVSSLKNNIVYDVSQTLMEKLSLEAVKVAMVKTVTRIVEGDAGKAICKEAEKVKPIAVVMGTRGRSLMQSVLQGSVSEYCFHNCKSAPVIIVPGIVEAGEESVVPMD >cds-PLY92452.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:2013501:2014294:1 gene:gene-LSAT_0X18460 transcript:rna-gnl|WGS:NBSK|LSAT_0X18460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEYLQVIREVVGDMPPLLPTFPMTVYYGNERVFNGREFKACDVDIAPRVFIGGGPSELYTLIMIDPDAPDPSDPCLKQVVSWIVINIRGGTSHSQGTEVVPYEASSPEVGIHRNIFVLYKQQSQLDNIETLASRFCFNIRAFATENNLGNPVRVTYFNMRQTNKRKRGE >cds-PLY74628.1 pep primary_assembly:Lsat_Salinas_v7:7:36535793:36537859:1 gene:gene-LSAT_7X26041 transcript:rna-gnl|WGS:NBSK|LSAT_7X26041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRKLKVLQWSSDISRTILCTKVALAHSSKCLTTITNPVKQTTPAYIPTTSSDHLKHQRTNEALLFPNKLSYPDVYSCTKMIQGHVRNNRLNDALKLFDEMPVRDVVTWNSMIKGCFDCGDLKLGSKLFEEMPERNVVSWTTMLNGLLIYGRTEAAEKLFLEMPLKDEAAWNSMIHGYFINGRVEDAMRLFHEMPNRSIITWTSMISGLDLAGKSDDALLLFNQMMPSGIRPTSFTFSSAITASANAKDFHLGVKIHGQVIKLGYLSDTYITSPLITFYAQCNDTDSSSKVFNENPQTSVVVWTSLLTGYSLNSKHEKALQVFSNMFRSNVLPNQSSFTSALNSCCEMEDSNKGNVINGAAIKLGLESDMFVGNSLIVFYTKHGNIQNGLSVFKQIHEKNIVSWNSMIVGCAQNGYGMWSLILFNQMISKGMLNEADDITFTGLLSACSHSGMLEKGQNIFNYLLKYKFKKVKLEHYACMVDILGRSGRLHEAEELIKNMPMEPNKSIWLSLLSGCKMHSNLKMAERVTQTIFNMDQDCSAAYTLLSNLYAFSGRWNDVLRIRELMKSKGVIKEPGHSVA >cds-PLY78951.1 pep primary_assembly:Lsat_Salinas_v7:8:3168799:3172655:-1 gene:gene-LSAT_8X2220 transcript:rna-gnl|WGS:NBSK|LSAT_8X2220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKGDAAGEIMLTSGASGRVTALLSMQVLKSFLMLVNAFLLLLFFPFRGRKRCHSGQLPLSEKGGKEEKQDVGGSGCGVNSNNNNNRKVGVPVMRVPSTIVSWKSSTSAAVAVDHEVAIRRALAIRKVTDGGDVCRREFSLFVTPRGETMFTQSWTPISVKTSGRYDEFAKQLNAHGYKVYGMDWVGHGGSDGLHAYVHSLDDAVTDVKAFIKHVLAENTGLPCFCFGHSTGGAIILKAALDPKVEKSVSGIVLTSPAVGVQPSHPIFLILAPLFSLLLPKFQLSAANKKGTAVSRDPEALVAKYSDPLVYTGSIRVRTGHEILRITSFLQRNIQKLKVPFFVLHGTADTVTDPDASFKLYKEASSEDKSISLLPGYLHDLLFEPEREEIKREIIDWLNSRA >cds-PLY78123.1 pep primary_assembly:Lsat_Salinas_v7:2:61607828:61609492:1 gene:gene-LSAT_2X27560 transcript:rna-gnl|WGS:NBSK|LSAT_2X27560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLKHEGGAEFLSISLSDMIDVCGEGRAEFLQVDHLRSPSFLDEDQLSRNGVQRVFCYGHQDPLDIEKAKKLLKEHEQALANAIARLVDISDGETGLLFFLGEQGTGTTANITDYIFNKSIVITIEKLFTDAEKSHKILKLLINSLLKNSC >cds-PLY75344.1 pep primary_assembly:Lsat_Salinas_v7:4:204938060:204941823:1 gene:gene-LSAT_4X116120 transcript:rna-gnl|WGS:NBSK|LSAT_4X116120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPDKFTHKTNEALASGHELAMNAGHAQFTPLHIAAALISDPNGIFRQAISNAGGEETANSAERVFNKAMKKLPSQSPAPDEVPASTSLIKTIRRAQSLQKSRGDTHLAVDQLILGILEDSQISELLKEAGVGASRVKSEVEKLRGKEGKKVESASGDTNFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVIRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRILRGDVPSNLADVRVIALDMGALIAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLDEYRKYVEKDAAFERRFQQVLVAEPSVPDTISILRGLKERYEGHHGVRILDRALVVAAQLSSRYITARFLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRMQLEVELHALEKEKDKASKARLVEVKKELDDLRDKLQPLMMKYKKEKERIDEIRRLKQKREELLVALQEAERRYDLARAADLKFGAVQEVETAIAKLEGTTDENVMLTETVGPDQIAEVVSRWTGIPVTRLGTNEKERLIGLSDRLHQRVVGQNQAVNAVAEAVLRSRAGLGRAQQPTGSFLFLGPTGVGKTELAKALAERLFDDEKLMIRIDMSEYMEQHSVSRLIGAPPGYVGHEEGGQLTEAVRRRPYSVVLFDEVEKAHQAVFNTLLQMLDDGRLTDGQGRTVDFTNTVIIMTSNLGAEYLLKGLSGKTTMEKAREMVMGEVRKHFKPELLNRLDEIVVFDPLSHDELRKVARLQLKDVAVRLAERGVALGVTEAALDVILNQSYDPVYGARPIRRWLERRVVTELSKMLIREEIDENSTVFIDAEMNGEELSYRAERNGGVVNAATGEKSDVLIQVVNANGNSGARSEAAQAVKRMKIEEVVDDEMDE >cds-PLY84290.1 pep primary_assembly:Lsat_Salinas_v7:6:6351481:6354663:1 gene:gene-LSAT_6X2241 transcript:rna-gnl|WGS:NBSK|LSAT_6X2241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKLEQLKIFISRCKADPSILSDPSLSFFRDYLESLGAKLPSSAYKSESQSKPYVVEEEEDDFEEPEEEEDEIIESDIEFEGETVEPDNDPPQKMGDSSVEVSEENRDASQEAKAKGMEAISDGKLEEAIEHLTEAILLNPTSAIMYATRASVYIKMKKPNAAIRDADAALQINPDSAKGYKSRGIALSMLGQWEKAAKDLHVASNIDFDEEISTILKKVEPNAHKIVEHRRKYDKLRKEREDRKIERERQRRRAEAKAAYEKAKKEEKASSSERTGGMPGGFPGGMPFPGGMPGGFPGGMPGGFPGGMPGGFPGGMPGGFPGGGMPGGFPGGGMPGGFPGGGAAGGGMPGGGAAGGGMPGGIDYSKILNDPELMAAFKDPEVMAALQDVMKNPANLAKHQANPKVAPLIAKMMSKFGGAN >cds-PLY94530.1 pep primary_assembly:Lsat_Salinas_v7:2:156979134:156979738:1 gene:gene-LSAT_2X81741 transcript:rna-gnl|WGS:NBSK|LSAT_2X81741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAERKRREKLARRFLSLSALLPGLKKMDKAAILEDASSYIQELQGRVKELEGLSDMKGINMQPIVKRSKLSCSNDDGSWSSDEANFGEIRSPYNPEIEVRMSGSSVIVRIYCLKNYVSLVKVFDEMEKLGLSITSSSTLPFASTTIVITIIAKVCILLNSWV >cds-PLY75263.1 pep primary_assembly:Lsat_Salinas_v7:7:66743667:66752463:1 gene:gene-LSAT_7X47441 transcript:rna-gnl|WGS:NBSK|LSAT_7X47441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transport protein 7 [Source:Projected from Arabidopsis thaliana (AT4G02050) UniProtKB/Swiss-Prot;Acc:O04249] MAGGSFAPGGVAKARADEYEGKVTTYVIVACIVAAVGGSIFGYDIGISGGVTSMDGFLKKFFPSVYEKKSHSHESNYCKFNDQGLAAFTSSLYLAGLVATLFASPVTRNYGRRISIICGGISFLVGAILNAASVNFGMLLSGRIMLGIGIGFGNQAIPLYLSEMAPTHLRGGLNMMFQLATTLGIFSANMINYGTSKLDQWGWRLSLGLAAVPALLMTIGGILLPETPNSLIEQGSKEKGRKVLERIRGTQNVDAEFEDMVDASELANSVKHPFRNILKKRNRPQLVMAICMPMFQILTGINSILFYAPVLFQSMGFKGNASLYSSALTGAVLALSTLVSIATVDRLGRRVLLIGGGIQMIVCQVIVGIILGLKFGNNQQLSEGYSIAVVIVICLFVAAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLFFTFIIAQSFLSLLCGLKFGLFLFFAGWITIMTIFVYIFLPETKGVPIEEMMLLWQRHWFWKKIISEDLGEDESFERQKNSLVLPRQAR >cds-PLY95239.1 pep primary_assembly:Lsat_Salinas_v7:9:21145880:21146595:1 gene:gene-LSAT_9X20140 transcript:rna-gnl|WGS:NBSK|LSAT_9X20140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFCEVNSSKKVDGNGTKVAGSCRFLPERSELSPIKCIKHLADKMIAVVRLIGARKRSCRKVTSSGTPKQPTAVSIDSQRAEAIDDCIEFINSSSSLTRSNSVCS >cds-PLY66991.1 pep primary_assembly:Lsat_Salinas_v7:6:141306835:141314881:1 gene:gene-LSAT_6X85620 transcript:rna-gnl|WGS:NBSK|LSAT_6X85620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSLYEPLQANPPSETNENVTPMANAGTLSKFTFWWLNPLLIKGKSKVLDDKDIPKLRKEDTAEECYSRFMETMKKRREKSVSGDRSCSDPAIFSTLFVWQSKKLVITGFFALIKVLAMASGPLILRAFIRFCQGKQSFEHEGYFLTLGLFLAKCLESISERQLKFRNRVIGLQVKSMLSAAIYQKQLRLSNDAKLSYSPGQIMNYATVDTTRIGEFPFWFHHIWTIGLQISLGILIIYYSVGVATIAALLVIISTVVGNIPLGKLQHKHLTKLMAAQDRRLKAITESISNMKVLKLYAWETHFRDAAGKLRNEEMKWLSAVISQRGFLILMFWSSPAIVSVVTFWTCYLLGIELDASNVFTFLATIRIIQEPIQNISDVAAVFIEARVALSRVVEFLQAPELQKERKNHVKVEDRSLIIKCESISWIDDSSKPTLGDVNLEVLIGKKVAICGEVGSGKSTLISAILGEVPNIKGTVEVNGKVAYVSQTAWIRTGTIQDNILFGKLMDEEKYQEVVTKCSVEKDIDMFPFGNQTIIGERGVNLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTAASLFKEYIMEALSSKTVLLVTHQVDFLPAFDDILLMADGKIIQTGTYDQLLNTCKEFQNLVIALSNTSGSDNQATNDSQQLSKSPNQEIKQINPKEKMESNHSLGEQLIKKEEREAGDTGLKPYKQYLSQSNGFFYFAMSVLSHFSYIIGYFLQNLWLAKEVQGGSVNQRNMLVVYMMLGFVMMFFLFGRSYFIVKLGVKTSIAMFSKLITSLFRAPMAFYDSTPVGRIISRVSSDLSIVDLELAMKFTVGIGTTMNTYFSFGILTFLTWHILFIIIPTVYVTILLQKFYYASAKELMRLDGTSKSLVASHLAQSIAGVVTIRAFGEEDRFFLEHLHLIDNNSSPFFHSFSANEWLIQRLEMLCALIVSSSALAITLLPFQASDSGIIGMALSYGLSLNIFLVVSVQFQCQLSNLIVSVERLEQYMHIPSEASEIIEENRPSRNWPSIGRIVIQNLKIRYQPNSPLVLQGINCVFEGGHKIGIVGRTGSGKTTLISALFRLVEPTEGRIIIDEVDTTSIGLHDLRSNFGIIPQEPTLFSGSIRYNLDPLGEHSDQELWKVLEKCQLQEVIQDKKEGLDSLVVQDGSNWSLGQRQLFCLGRALLKRRKILVLDEATASIDNATDTIIQKTIREEFQDCTVITVAHRIPTVIDCSMVLVMKDGKVMEYEKPTTLMNEPASLFAQLVNEYWSQHKTSTSDKIA >cds-PLY80191.1 pep primary_assembly:Lsat_Salinas_v7:8:190837219:190837724:1 gene:gene-LSAT_8X124520 transcript:rna-gnl|WGS:NBSK|LSAT_8X124520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGVTSVTVERKQHKLTVVGYVEPHKVLSRVAHRTGKKVELWPYVPYDIVAHPYAQGVYDKKAPAGYVRYVEDPHNQLARASSTEVRYTTAFSDENPAACAIM >cds-PLY95289.1 pep primary_assembly:Lsat_Salinas_v7:1:190891814:190893157:1 gene:gene-LSAT_1X125380 transcript:rna-gnl|WGS:NBSK|LSAT_1X125380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQSKLEMNNSSSDDEEVSTGVSESELESDMTPPPQPFSRKTQATPQLSSEDTESDADSPRKKFEKADPNIKPLASKPMDDEPSKKPKPKNPISRNSPPPPKPVNGKRKAAEVEDEDTETKITKKEVVAPAPSGPENAAEKKPLFQRLWSEEDEIVLIKGMISYVETKGKDPIADVNDFHEFVKNSLNVDVNNGQMTAKVKRLKAKFMNNVGKIEQNGKVRSLSNPHEKKMYELSEKLWDRNSIKNAAIVGCNTKKVNPKPKPDQKLKANSNHVVSNGGHESGKKVVLSRGGIKIPITDEDIMNRGLELLSGQKKVELEEKWNDLKVQELKNYLRKLELMKEQGELVLNAMKKSCAS >cds-PLY65119.1 pep primary_assembly:Lsat_Salinas_v7:4:5816440:5819460:-1 gene:gene-LSAT_4X3881 transcript:rna-gnl|WGS:NBSK|LSAT_4X3881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECIKGSKNLLFLHQTELNLSLLQPPPPPSMAAASTSRRPRGDRHMFSSSDDNAMMKHILATHAPDGSSFDVKPLLQIIDDIMRRAAPANTQPPAPPGNQAEVDAIIERVIYSDLDEMLEIMAITINKVSCEISCKCIGGGDAHASTTGIFNMLSNYEWDAKAVISLAAFAVNYGEFWLVAQLYTANPLAKSLAHLKQLPDVLERGEALKPRFEAVTTLIKAMLELTRCIVEFKELPGQYITPETPELMTATAHIPTAVYWIIRSIVACASILINLIGMGHEHITTTTEAWELSSLAHKISNIHDHLKDQLDLCNHHINEKRQIEAYLTILRIMDTGHLDNTKPLRHLIYLKDDQPPLYESSTKTRVTIEVLKKKIVLLLISDLDIPPEELSVLDQMYREAKQSPTRPESQYEVVWLPVVPNLRSTPWTDEDQIKFEGVRNMMPWYSVFHPSLLDPAAIKYIKEVWHFNQKPMLVVMDPQGRIVNTNALHMMWIWGSVAFPFTSLREEALWKEETWRIELLADSIEPMIFNWIADGKYICLYGGEDLEWIRRFTTTAQAVARAAGIQLEMLYVGKSNPREKVRKNNDIIRSENLSYVLPDLTLIWFFWVRLESMLHSKLQHGKSFEEDPILREINVMLTYDGNDQGWAVICRGSNDWMRRASGESVLKGLTNYNDWQGDAQERGFLPALNDHLEANQPPHHCNRLILPGTTGSVPERVVCAECGRSMERFFLYRCCTD >cds-PLY82192.1 pep primary_assembly:Lsat_Salinas_v7:1:14051980:14053682:-1 gene:gene-LSAT_1X12301 transcript:rna-gnl|WGS:NBSK|LSAT_1X12301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTRWSWRAIVVFIWWCAITSTTQAIWLDLPTSGTKCVSEEIQNHVVVLADYSLINGQEDAHMPSGATISVRVTSPYGNNLHHKENMTHGQFAFTTNESGNYLACFWVDGHHQGTKGLTVSLDWRIGIAAKDWESVAKKEKIDGVEFELRKLEGAVEAIHENLIYLKNREAEMREVSEKTNARVAWFSILSLGVCIAVSVLQLWYLKRFFHKKKLI >cds-PLY65541.1 pep primary_assembly:Lsat_Salinas_v7:9:143797607:143801379:-1 gene:gene-LSAT_9X92001 transcript:rna-gnl|WGS:NBSK|LSAT_9X92001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVGRITSRIARTVVTRYQISSILHGRPDNRYTIPIIGNHFPGSQNKVITGQISRIHNSVLSSSAFQRFGFSSSASTQPNEKGTSENGKENTNDENLGQKEGSDDLTMEDLIGLVTEKEELLKTKQEEIKVLEDKLLRSYADMENVMARTKREAENSKKFAIQNFAKSLLDVADNLDRASSVVKESFAKLDTSGDAAGGAVPLLKTLLEGVEMTEKQLSEVFKKFGVEKYDPTNEEFDPNRHNAVFQVPDPTKAPDTVAVVLKAGYTLHDRIIRPAEVGVTIKVD >cds-PLY85255.1 pep primary_assembly:Lsat_Salinas_v7:3:71162239:71163039:-1 gene:gene-LSAT_3X55080 transcript:rna-gnl|WGS:NBSK|LSAT_3X55080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGKSLSGKRVAKVKIKSDGDVFHELWKSLQLQIPSLTPTTFKNCQTLGGQVGIVGCVLVWNYFLDGKDCLAKTQIVDIDSEKKSITYKLLDGDLANLYETLVVNIRVEADGLENLVTWTAEYEKLSPDVPDPDTLMDFYRKATKEIETRLLQN >cds-PLY72299.1 pep primary_assembly:Lsat_Salinas_v7:5:97804712:97813478:-1 gene:gene-LSAT_5X45321 transcript:rna-gnl|WGS:NBSK|LSAT_5X45321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWLFYLISFPLTLGMVVLTLKYFAGPDVPRYVFFTVGYTWFCSISVIILVPADISSTIIGHDNGGISFFWSWSYWSTFLLTWLVVPLIQGYEDAGDFTMRERLKTSIHVNLVFYLILGLIGLVGLILLIMMRNDWSGGVLGLAMACSNTFGLVTGAFLLGFGLSEIPKTIWRNADWTTRQKVLSHKIAKMAVKLDVAHQELSKVIAVAQETSKQMSKRDPFRPYMNIIDDMIYQMLHEDPSFKLEGGRLGDNDMDYDTDEKTMATLRRHLREAREEYYRYKSQYLTYVIEALKLEDTIKNYEQRDETRWKYVSSFRPGRSGTLGGYLDTMELIWRCIVWRQLEKVFAVILGCMSAAILLAEATLLPSGLHLSVFSLLINSCGTQDMLVQVVAFVPLFYMCICTYYSLFKIGMLTFYSFTPRHTSSVSLLMICSMVARYAPPISFNFLNLIRLDGKKTIFEERMGNIDNAVPFFGKGFNKLYPLIMVVYTILVASGFFHNIINFVGKWKRIALQNEEDDLDGVDPSGLIILQKERAWLEQGHRIGEEAVPFARDFSDTSVEIESGNSSMGRYEVKSKLLSNKNESESSSRHQRSREAITSKYAAIREQTRQTSNHNNINNNISSTKVSLLDANTITGSPSSSKLSSTWSSIKSGLHDWKLNINSKQYIPLLQVDDSKSSSDSLDEIFHKLKRPVVEDGDAYVGSCAKNLRLQFAGMRLGLILMSICWNETWFDLDVSMVEEDVTRLALDIT >cds-PLY73839.1 pep primary_assembly:Lsat_Salinas_v7:7:66971566:66975084:-1 gene:gene-LSAT_7X49460 transcript:rna-gnl|WGS:NBSK|LSAT_7X49460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTGCVALVLCLNISVDPPDVKKIPHCAKMECWIDPFSMASQKALETIGNTLSFQYESSNANPPFWIPIHIVIPERPTESTVFNVIADSQRDPVQFIEWSPSWENHYLDSAFPYFFNWNKVKMRYCDGASFDGHPKSEQKGLDKIKCKLLKGTNAQEAYNDVVLDLASHFNTRLLASHAVTTGTSGSCSKKQQHTFLIWHHISPTTQPTGTPAPGATQEDTKEQSDSDALENNPQYRLGVEFG >cds-PLY92106.1 pep primary_assembly:Lsat_Salinas_v7:1:109288926:109291616:-1 gene:gene-LSAT_1X85441 transcript:rna-gnl|WGS:NBSK|LSAT_1X85441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNPHFDDTIKVIGVDADGKKYDKVSRIEARGEETDIHIVLDVNSQLYPMHAGEKYRMVLSQTLNEDGSTVTTHTQGSKKSLADRFEYVMHGLLYKISDDKNQNGDAEVAVYISFGGLQLMMKGAPVKMGKFKVDQRLFLLLLKE >cds-PLY93022.1 pep primary_assembly:Lsat_Salinas_v7:4:193576794:193578604:1 gene:gene-LSAT_4X110881 transcript:rna-gnl|WGS:NBSK|LSAT_4X110881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNNTSEGKDVRAKQEGSSNEHIFPKHTSTSRQWAGFKNPRIVRVSKDFGGKDRHSKVCTVKGLRDRRIRLSVPTAIQLYDLQDRLGLNQPSKVIDWLLDSTKDDIDKLPPLQMIPGDLNQFHQAPTTFIPQDLNSPQISFSHFLTNPNATFVKDVGNRTLLYTKQGMKLDNDHGINGDNQRMKGKEAVVENKWNEQENGDGNGGLNFFPIPQYSYPGLFPYNPSYNWEPNSNVAISQFGNQGLISSHTDPSMALPSASQFLFCPPSAITPPAFSPYLMPTLGENYDLARQNNNFHLVSSSSPNVQPNFLLPLLNANDSQERVPFSLDAHPNVRSQKNVDS >cds-PLY62829.1 pep primary_assembly:Lsat_Salinas_v7:4:31538618:31538959:1 gene:gene-LSAT_4X20560 transcript:rna-gnl|WGS:NBSK|LSAT_4X20560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTKQVIKPDEFLRKNEIEDLENGMEFEVKLWGPRLEMHKKPMMLKMWHMISTSNYVLKTNWNRFVKANEKDFVINKKIQVWSFRIDEKLCFAIACLDRDVDGQNDAAAAPII >cds-PLY86426.1 pep primary_assembly:Lsat_Salinas_v7:1:10154639:10160694:-1 gene:gene-LSAT_1X7960 transcript:rna-gnl|WGS:NBSK|LSAT_1X7960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGKKSQKKPNRKEIVSVKSKKKNDILSESDMEDEELEQQQSINSDEGGDSGSELSLDGDDTYARDVLGGNDDEVKGSGSDSELDSGSDSELDSGSDSDRDPQAESAAIDRESAIVQQEGQDELQLNIKEQPDEFRLPTQEELEEEACGPPDLTGLQQRIKEIVRILSNFSSLRQEGATRKQYIEQLKLDLGSYYGYNEFLISSFVEMFPPVELMELIEAFEKPRPITLRTNTLKTRRRDLAGVLLNRGINLDPLSKWSKVGLVVYDHQVPYGATPEYMAGHYMVQSASSFLPVMALAPQEKERIVDMAASPGGKTTYIAALMKNTGIIYANEMKEKRLSKLTSNLQRLGVTNTVVCSYDGKELPKVLGHNTADRVLLDAPCSGTGVISKDESVKTSKNAIDVQNFSRLQKELILAAIDMVDANSKSGGYVVYSTCSLMVIENEDVIDYALKKRDVKLVPCGLDFGRPGFVRFRERRFHPSLEKTRRFYPHVHNMDGFFVAKLKKMSNSKGVPGTYSEVQEEEEEEVEEGSEIMEEEIPQQKQKKEVLRKEKKNSNDASLKRKKQEEKPATFEKRKKYKPPPREEISKARYILSLSLSLSLSLSLTHTHTHTPYMSLWYYVI >cds-PLY73504.1 pep primary_assembly:Lsat_Salinas_v7:4:21914062:21914394:1 gene:gene-LSAT_4X14980 transcript:rna-gnl|WGS:NBSK|LSAT_4X14980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPKDGGSTVEVYGSTSAGPRVQEKTKRCIQLNTTSPQQKETSADLRMARPRYGAKITKQRSAYPWARVLAWPTIDDQTMPIQGAIRDSEKILVDVEREPKSHGTKEITA >cds-PLY98057.1 pep primary_assembly:Lsat_Salinas_v7:2:19524184:19525395:1 gene:gene-LSAT_2X10420 transcript:rna-gnl|WGS:NBSK|LSAT_2X10420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCCNKKLEGLYCQNGTIWYAFSYCSSKIVALKNALRSEFMLSNYWVLGRNDSLNLNLREGHSSQDWHGYNCRPSNLLRYNKVIELLKVRSSNMFKNNPILIPFRMVHKKELERYDEAPKSVLGFWRCI >cds-PLY97911.1 pep primary_assembly:Lsat_Salinas_v7:4:89517164:89517822:1 gene:gene-LSAT_4X58861 transcript:rna-gnl|WGS:NBSK|LSAT_4X58861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLSRRFPPPLTMTSYKSEAMDNGELDFCNHEIFVGDIPSSGSMNSFFDEIFNDTHACTHKHACNPPGPDSSHTHTHTHVTMSTPKSYLLRAMTTKPIQKTPLNPPTKKVRIVHSKPGSCEKVS >cds-PLY76220.1 pep primary_assembly:Lsat_Salinas_v7:4:54432215:54433577:1 gene:gene-LSAT_4X38100 transcript:rna-gnl|WGS:NBSK|LSAT_4X38100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGANTSLLSSDISDGVDNPSKLNLGDLPESCVALVLFYLEPPEICKLARINRAFRAASSADFIWISKLPSNYHYLVGKLLIDDKKKVGEKEIFARLTRPMPFDAGNKEFWVDKTTGGVCVSVSSKALTITGITDRRYWNHIPSDETRFRTVSYLKQTWWLEVDGDVEFLFPAGTYSLSFKLRLGKVIKKHGRPICSTEDVHGWDAKPVEFKLATSTGQHAVSKRFLKTTGTWEYHHVGDFIVDDSNIPTRVTFSLTQIDCTHTKGGLSIDSVLISPCN >cds-PLY92964.1 pep primary_assembly:Lsat_Salinas_v7:5:48182378:48184464:1 gene:gene-LSAT_5X23420 transcript:rna-gnl|WGS:NBSK|LSAT_5X23420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWAIALHGGAGDIPVDLPAERREPREAALRRILQIGVSALNAKCSPVDVVELVVCELENCPDFNAGKGSVLTTNGTVEMEACIMDGISKRCGAVSGVTTVVNPISLARKVMEKTPHIYLAFDGAESFAREQGLKTVDRSHFITPENIQRLKQAKESNRVQQDYTQPIKTPDEKVPDDGDSKLGTVGCVAVDGLGNLXXXXXXGLVNKMAGRIGDTPIIGAGTYANKLCAVSATGRGEAIIRDTVARDVAAVMEYKGLGLKEAAAYVVHEVTPMGTTGLVAVSGGGEVAMPFNTTGMFRASATEDGFMEVAIW >cds-PLY87557.1 pep primary_assembly:Lsat_Salinas_v7:8:257761191:257762211:1 gene:gene-LSAT_8X150321 transcript:rna-gnl|WGS:NBSK|LSAT_8X150321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELNRKSGDILDSMMKYKREKDRVLERGGKKTTKEQVLAELDETISYKEKEFMETLEETYEIDDQMFRRETMTLSIGVRELCFIERVKNPPIRLKDFASVEFMKEEINEVVAFLQNPCAFQEMGARAPTGVLIVGERGTGKTALALAITAEAKVPVVEVKAQQLGASLWVRQSASNVRELFQIARDLVILFVFIVSFMPFMNIWTNMNLFVGTCDHICGGF >cds-PLY68169.1 pep primary_assembly:Lsat_Salinas_v7:7:70411568:70412256:-1 gene:gene-LSAT_7X50920 transcript:rna-gnl|WGS:NBSK|LSAT_7X50920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTTHKGVILTTLFSSFCVKVKVKAKSKKNINGKPRISMAKKDNFSQKSTLNSSLKIVSQAAVAVLGLGFIDAGYSGDWSRIGVISKETEDLLKVVAFLVIPLCFFIIFSISKTREE >cds-PLY85280.1 pep primary_assembly:Lsat_Salinas_v7:3:72516030:72518344:1 gene:gene-LSAT_3X56860 transcript:rna-gnl|WGS:NBSK|LSAT_3X56860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQTLERFGRIGAAAAIVPPLQQAVVGGSSSSMVADNVSGRDEMQRGAQTTQWANLDILNVGEELQKETLPLQSGSRIYQLQGLKPNHWYEVKISYPASIPASFSLQLKKENPNFEPKHQRKLLNTEKLIFKNDDEDLQINQSGMYVMLTVETEGVVAIPNGKEREMVIYNIVCDELLLGIPHKAWWVVMFVIICLGVAFVIPSFLPSLLITTDRMPLLASKNS >cds-PLY98398.1 pep primary_assembly:Lsat_Salinas_v7:5:316153077:316153244:1 gene:gene-LSAT_5X173320 transcript:rna-gnl|WGS:NBSK|LSAT_5X173320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDGPPIEEEIFFFLEKIHCTTGILLTYMLTFITYYPIVTPYFQIPHVHLCDEHM >cds-PLY99679.1 pep primary_assembly:Lsat_Salinas_v7:9:56321384:56328722:-1 gene:gene-LSAT_9X47961 transcript:rna-gnl|WGS:NBSK|LSAT_9X47961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein kinase YAK1 homolog [Source:Projected from Arabidopsis thaliana (AT5G35980) UniProtKB/Swiss-Prot;Acc:Q8RWH3] MDEVNTTCTVTADDSLSSASASVPWSPRQLVFRPYAPSDAKSQNLRVLVKRPLVSRLTKDIVDTYRICNPQFNYSDELNPKRFLTTPSAGVLNDGYDNENSDLILTVNFALVNLDTHRRYIVKDILGQGTFGQVAKCWVAELDRFVAVKVIKNQPAYYQQALVEVSILTTLNKKFDPEDKHHIVRIYDYFVYQRHLCIAFELLDSNLYELIKLNHFRGLSLSIVQLFSKQILYGLALMKDAAIIHCDLKPENILLCTSVKPAAIKIIDFGSACMEDHTVYSYIQSRYYRSPEVLLGYQYTTAIDMWSFGCIVAELFLGLPLFPGASEFDLLRRMIKILGEQPPDYVLKEAKNTSKFFKCVGSVSHEETSQISSCGRSVYQALNEEEYEARELKKPSIGKEYFNHMNLETIVKKYPYRKNLQEEDLAKESQIRLALIDFLRGLVEFDPAKRWSPLQASKHPFVTGEPFTCPYTPAPETPRVPVSHNMKVDHHPAGGHWFAAGLSPNVLGGNRVAMYNNSHFQVMPYAHGGNFGSLGSHGSYNDGNLLGSSYGSYGDNSNNMVTYYSPVGPSAMNNYAQGHAPVLGSSPDARRRIMQIPHGNGFGFSPAQGNFAPMSLGTSPSQFTPPYSQVTSGSPGHYGPSSPARGNHGSPLGKGTGIPSGNQMNRRKPWGYSGSLQTQETTSTPHWQGHGQGQGQFPDVSSSIESSPPVMGRSSMHHLQSNLNSQNRKQQPRSSGNHKQFKSEATTSLPDPGDWDPNYSDELLLQDDSSDVNNMTVEFSKSMHLNQESFVGAAGRFNQMSTQRPIQGFPHGEGSSQDIYVHPMMTTSHIIPTHYSQFSPSRLGQQPVQRFNHGRSMGIRGAEWNHVKQAPLSNFNSGGPRSPGSSSAPWGIVLAT >cds-PLY99580.1 pep primary_assembly:Lsat_Salinas_v7:7:189500486:189500866:-1 gene:gene-LSAT_7X112201 transcript:rna-gnl|WGS:NBSK|LSAT_7X112201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVFLPQKLAMVVASPEQWQQWLFVDFLITKNYLRVNKLQRRKINKRDEKLLIVGGFVVEGSPETHPVVFLLVGGGHRPVNGLKLSNGSEWSRNRQTREVGLNSVLVRKENIDKDEIDLRYYRQRE >cds-PLY96940.1 pep primary_assembly:Lsat_Salinas_v7:1:142940804:142941052:1 gene:gene-LSAT_1X104081 transcript:rna-gnl|WGS:NBSK|LSAT_1X104081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSRWQPSVASVCSSAGKFEGGEKLEVAAGRRRAGGIRRPGSVWVVVQGGQTATTPPPLDGGCSQQRRKKGKDGRRLGCV >cds-PLY81833.1 pep primary_assembly:Lsat_Salinas_v7:3:30146578:30148096:1 gene:gene-LSAT_3X23241 transcript:rna-gnl|WGS:NBSK|LSAT_3X23241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYVFYIIATLVLLRSISCARIFCDNSLLSGLCDSIIVSRFESHESQIKASMSPTDNLSMTSDHRLVQSVDKGGKVTKVDLVVAQDGSGDFSTVSKAIEASKNRRTGTDKFVIFVKSGVYVESVIVNSTMPNLTLIGEGIDATVITNNKNANDGYETYNTATFQIWGSGFVAAGITFENTAGPEKLQAVALLSASDLSAFFRCSFKGYQDTLCLLQHRQFYRECDIYGTVDFIFGDATAVLQECNIYFRKPLPGQQNTITAQGRTDSSSVTGFVIHKSRVTAAAELTLANGWVRNFLGRPWRDYSTVVFVKCYLDSLIDPQGWLPYRGSSAFDKLYYAEYMNSGEGANTTGRVKWPGFHVLTSDQEAEQFSVGSFLAGDSWIPQTGIPFYSGI >cds-PLY93332.1 pep primary_assembly:Lsat_Salinas_v7:9:59399890:59400294:-1 gene:gene-LSAT_9X52421 transcript:rna-gnl|WGS:NBSK|LSAT_9X52421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCTGSLVNKFEDLGTRLQRIDAELKKYQPKPTPEIPTEEKPSPYDPNIRLPTFPDNFNLDVFCNWVKEIEFYFEYYCVPEHEKIDLVANTLPLEGEAFQWWQDIQQLNKKLYKNYHIQWTGMKMLFMDKFLSP >cds-PLY63113.1 pep primary_assembly:Lsat_Salinas_v7:8:76083929:76085118:-1 gene:gene-LSAT_8X54660 transcript:rna-gnl|WGS:NBSK|LSAT_8X54660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMKVYGSMISTATLRVLVCLAEKDIDFELIHVDLASGEHKKPHLLSINPFGQIPAVEDGDFKLIESRAIMQYIERTYVKQGTDLISKEPKKAAEQSVWMEVESQKFEPATTRLVWELCMKRLLFGEKENQAVVKVKEEALGNLLDVYEGRLTENKYLGGDSFSMADLYHVPVIKFLMGTQTKKVFDARPHVSAWVTDILSRPSCLKVFCRSANQLGA >cds-PLY99390.1 pep primary_assembly:Lsat_Salinas_v7:7:144819293:144820111:1 gene:gene-LSAT_7X86261 transcript:rna-gnl|WGS:NBSK|LSAT_7X86261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQSMVCHVTLVVMFILVVLGEAQTPPGINNPSHATCKDPSYKECHNLVHVCPKFCPDSCTVNCQSCKPVCGGDIASSPPEIETPPTVTPSPPVSTSPTPSAPPPTTPSPPTESTPPTTPSPPIEPTPPKPTPSSPPPPTPSPSTLSSSPPPTTPSPPTKYTPPTPTQSSPPTTTPSQPTESTPPTPTPSTSPPTAPSPPTESTPLAPTPSSPPPTIPSPPTKSTPPTTPSPPIDLTPPTPTPSSPPPIIPSPPTPSSPPPTTPSPPTEYTP >cds-PLY79963.1 pep primary_assembly:Lsat_Salinas_v7:9:46549973:46550161:-1 gene:gene-LSAT_9X43141 transcript:rna-gnl|WGS:NBSK|LSAT_9X43141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTTAAWNDGGRARQPSDGGVGCYGEIAGVSAVVKGGRSNGGDGGDECIPRLEGVLLGLSG >cds-PLY89884.1 pep primary_assembly:Lsat_Salinas_v7:7:180049592:180049852:-1 gene:gene-LSAT_7X107080 transcript:rna-gnl|WGS:NBSK|LSAT_7X107080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMRKLCAFDDADEPFSNHEGDDGGDVILPHVEEGLAIVVSVRLLEELLVVVMVKLVMVMRMLAVGMGRLVVCEVPITVVLPFGV >cds-PLY91966.1 pep primary_assembly:Lsat_Salinas_v7:7:125111203:125111535:-1 gene:gene-LSAT_7X76021 transcript:rna-gnl|WGS:NBSK|LSAT_7X76021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAYKADGDYGYLFNLVLIGDSGVGKSNLLSRFAKNEFNLESKSTIDVEFATRSIHVDDKIVKAQIWDTVGQERFVSLMNFCICVYHASMYPSTSLFFVSMFGILDSYVE >cds-PLY96394.1 pep primary_assembly:Lsat_Salinas_v7:2:89402495:89403203:1 gene:gene-LSAT_2X37460 transcript:rna-gnl|WGS:NBSK|LSAT_2X37460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDGRQGKVKLENPCSKNNEINSSSNNNNKEQDRFLPIANVGRIMKKVIPANGKISKDAKETVQECVSEFISFITGEASDKCQQEKRKTINGDDIIWAITTLGFEEYVDPLQKYLLKYRDLEGDKGNNNGPKQHHQQQQQQQQHNTTNSLPYESVYSSSAASIISQSYGLPFSPSSIQTQIHPQESIDSVGHW >cds-PLY69922.1 pep primary_assembly:Lsat_Salinas_v7:4:67647418:67648571:1 gene:gene-LSAT_4X46401 transcript:rna-gnl|WGS:NBSK|LSAT_4X46401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARDPILTCCGHLFCWSCFYQLSYVDSSAKECPVCKGEVTDSSITPIYGNGKNQPILKLESGVKIPPRPRARRVESIRQQRVIRGISHIPVAEALRRIRIGIGSIGENPLLQGLNTVGGGGGPTSEINQSLLHSSEAAGGSRRHRSRPFSRVISESAASLSSISSALNNAERLVEDLETYINDRLLRRTDASQLLPGNQERNTFLPNGGDIQVQLEPQNAEMNMSPNPAVPVSSSSSQRAIATTVVHLDNLSTDSAVEIDLTISHPSSSSARRAAVSRALSLEGGTSRELRRRRLR >cds-PLY68713.1 pep primary_assembly:Lsat_Salinas_v7:5:279448374:279451595:-1 gene:gene-LSAT_5X147441 transcript:rna-gnl|WGS:NBSK|LSAT_5X147441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRHLIIVLPLLFASCSGGADARKGCLCPGGGNGGKGYPCPGGCPCPGAADGGKGCPCQGGDDGGKGCLCPGGAEGGKRCHCPAAADGGKECHCSGGGDGGKGCHCLNGCPCPGAADGEKGCPCSGGADGGKGCHCLDGCRCPAAADGGKECPCPGGGDGGKGCHCLDGCRCPAAADGGKECPCPGGGDGGKGCHCPDGCPCLAATDGGKECPCPGGGDAGKGCHCPDGCPCPAAVDGGKECPCPGGGNGGKGCHCPDGCPCPTANDSGKGCPCLGDAIGGKGCPCLGGAEGGKGCPCPGGADGGKGCLCADDADGEKGCPYAXLCADDADGEKGCPCAGGADGGKGCPYAVGADSGKGCPCAGGAAGGKGCPCAGGADGGKGCPCAGGADGGKGCPCACSADAKGCPCAGGPDGAKGCPCAGGADGGKGGPCAGGTDGGKGCPCAGGGCAPKGAYGGKDRPAPKGGGNSPKDAGGGKDTPKKKGGDDKKKAGAKPFYKQPILDSDYKGDWIIHNPNCGVNAMQHQLMPNNKAVWFDTTNLGPSARELGPKGNCPPNPDNNNEPDCFAHAVQYDIESGIVKSIYVQTDPWCSSGHLLPSGDLLSTGGNKMGGASVRLLKVDDPAPKFIDKKDALGSPHWYATNCILEDGSAAIIGGRDTYTYDIVGPTVDFKPNNKQLPFLQKTTAPAAGPGLHVENNLYPFCYLLPDGNIFIFANDRAISFNPQTGKTMKEYPVLKGGSRNYPPSGQSAILPLRLTADNQPVTVEVVVCGGNKADAFQNVDPRYTQNRVFTSALSDCNRIIATADNPTWQKEQEMPTPRVMGDLLQLPNGQFLLINGAKKGTSGWDDGEDPNLTPTVYMPENPKGKRFRELKPTNIPRMYHSSSSVLPDGKILVAGSQSHQFYTYDGNFPTELRVEKFSPHYLDPKLEKERPVITADATDKQLKYGKDFKVTFTIKSNPKLADGDVIVTLLHPPFTTHGYSQNQRMLICAITEVDSTVVNAVAPPSGRIAPPGYYMLFISHRNIPSKGVWVQVGA >cds-PLY67285.1 pep primary_assembly:Lsat_Salinas_v7:5:135161081:135161484:1 gene:gene-LSAT_5X58461 transcript:rna-gnl|WGS:NBSK|LSAT_5X58461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRVFFYAELKAATKEFNCRNFLGQGGFGRVYKGFLECGQEIVVKRLVLNGEEKQDKESKNLTRRLLCWDVSDIPIWCRWWATANMKMKGCWCTNTCWAAALENIFFV >cds-PLY76694.1 pep primary_assembly:Lsat_Salinas_v7:3:140905686:140905963:-1 gene:gene-LSAT_3X93880 transcript:rna-gnl|WGS:NBSK|LSAT_3X93880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQKLCFYGRDVAERTSWTNLNPNRHFIACPKQDSKCGFIGWVEDVFGYKLGLFLLFIFFRNYGLHVKV >cds-PLY78084.1 pep primary_assembly:Lsat_Salinas_v7:1:6077283:6082523:-1 gene:gene-LSAT_1X5240 transcript:rna-gnl|WGS:NBSK|LSAT_1X5240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLEDQVGVEKVVQDLKEEVRKELLTTLNVPAEHANLLKLIDAIQRLGISYYFEEEINQALQHIYVAYGDNWNCNCNCTSLWFRLMRQHGFFVSSDIFNKCNDKEGVYMDTLTNDVQGLIDLYEATYMRMPGEVTLDDALIFTKARLNDIAKDLQRANNIDTINQIQQALKQPIRKRLPRLEALRYIPFYQEQASHNKSLLKLSKLGFDLLQLLHKKELSQLSKWWKVYDVAKNVPYARNRLVECYFWAQGVYFEPKYSRSRIFLAKVLAVITILDDTYDAYGTYEELLILTDAIQRWSITCLDVLPDYMKLIYPMVLDVFTEMEQILATEGKAYHINYVKEAMKEYMRSYMKEAEWRNEGYTPTTEEHMSISLISCAYKFLLIASLAGMGDIITDESFKWASTYPPLVKASCKLCRFQDDIVSHKEEQEREHVASGIECYVKEFDVTKEHVYDLFKEKVEDAWKEMNRESLMCKDVPMPIIMRTINLARVIEVLYKYSDNFTHVGEEVQNHIKSLMLHPMST >cds-PLY96285.1 pep primary_assembly:Lsat_Salinas_v7:6:157915276:157918415:-1 gene:gene-LSAT_6X95841 transcript:rna-gnl|WGS:NBSK|LSAT_6X95841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVPIIVFLFSLLTPLYIGGLSCVAAHTTKDSSSQSKEFVLTLDQSNFTGTISNHDFVVVDFYAPWCGHCKKLDPEFEKAASILSSNNPMIVLAKVDANDEKNKDLASEYDIKGFPTLKIIRDGGKTIQDYKGPRDADGIVSYLKKQAGPASVEITSTEEGNALVDGDNIVIVGVFPEFSGESFRVFLTLAENLRSDYEFAHTLDAKLLPRGDSSVSGPIVRLFKPFDELVVDFEDFNLDALEKFVEEATIPTVTVFNHDPKNHPFVIKFFNTPNAKAMLFVNFSSKPFGAFESKYQDIANEHKGKGISFLIGDVEASQGAFQFFGIKEDHVPVMVINDDKEKYVKPNVEPHHLESWLKEYKDGKVTPYVKSEPVPEVNNQPVKVVVADNFNDIVFKSGKNVLLEFYAPWCGHCKKLAPILDKIAASFANDADVIIAKIDATANDIVHEGFDVKGYPTLYFKSASGKLLQYSGKRSKDKITSFIKKNKEKTSQQSSIKEEL >cds-PLY85921.1 pep primary_assembly:Lsat_Salinas_v7:2:189673236:189675461:1 gene:gene-LSAT_2X111181 transcript:rna-gnl|WGS:NBSK|LSAT_2X111181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Diaminopimelate epimerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53580) UniProtKB/Swiss-Prot;Acc:Q9LFG2] MSVATIVSAPLTSSNIRSLSIISSSSFSPINSFKCSSVALKLTTTSLLRNPNLRFSVSAMSVAAQENLSQTAFLDRRETGVLHFVKYHGLGNDFIMVNNLDSMEPKVTPEQAVKLCDRNFGIGADGVIFAMPGYNGTDYTMRIFNSDGSEPEMCGNGVRCFAKFISDLNSLPGKQSFTVHTGAGLIVPEIQDDGKVKVDMGEPVLKASDVPTKIAPNKDESVVKAKIEVDGVNWNVTCVSMGNPHCVTFGTQDNENLVVDELNLAEVGPKFEHHPLFPARTNTEFVQVFSRSHLKMRVWERGAGATLACGTGACAVVVAAILEGCSERKCRVDLPGGPLEIEWREENNHVYMTGPAEVVFYGSVEL >cds-PLY70106.1 pep primary_assembly:Lsat_Salinas_v7:3:11564312:11565768:1 gene:gene-LSAT_3X9061 transcript:rna-gnl|WGS:NBSK|LSAT_3X9061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGQKIETGHTDTIHDVSMDYYGKRVASASSDATIRIAGVTTGSNSHPLAILTGHNGPVWQVAWAHPKFGSILASCSYDGSVIVWREGNLNEWTQAHTFTDHKSSVNSIAWAPHELGLCLACGSSDGSITVQTARSDGGWDSSRIDQAHPTGVTSVTWAPSMAPGALIGSGVFDPVQKLASGGYDNLVKVWKLTDGSWKMDCFPALQMHSDLVRDVAWAPNLGLPKSTIASCSQDGTVVVWTVGKEGEQWKGIVLNDFKAPVWRVSWSLTGNLLAVAAGDNNVTLWKEAVDGEWQQVTTVE >cds-PLY71581.1 pep primary_assembly:Lsat_Salinas_v7:1:116012516:116013788:-1 gene:gene-LSAT_1X87981 transcript:rna-gnl|WGS:NBSK|LSAT_1X87981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGTISQILNPQYREIMRNLVLTSKSQLISPETMQEMLALHQSLSYLLGEEPITRWDAGQTSGGSAIGPRSKDLRISITLSWVFFLQGRLLKYMHPDSELQNYLVQIMDMLRA >cds-PLY74124.1 pep primary_assembly:Lsat_Salinas_v7:9:11640050:11642370:1 gene:gene-LSAT_9X8701 transcript:rna-gnl|WGS:NBSK|LSAT_9X8701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRASLRLLHFQFQKFYSKSSISVFSQDFSCQFSSLPSIDEHNNNHPSNPDSSSCSRTQSLLFSSKRRHSLNNPSHNLSTLVEPRQPSSQLSSRQRKLKERSQLVDDFEAAKTSDEMIKAFQEMEAILDEKELGLACLKIGLKLDEEGQYPEKVIDYANKALKILDENGHDNDLSLPLAMNLQLLGSASYTLSRFSESLGYLNRANRILKKLEETIDDDGFEIKHVLHAVHLNLANTKNAMGRREDAISDLKICLEIKEMTLDKDSRELGNAYRDMSEAYVALLNFKEALPYCIKATEIHKVELGTNSVEVAHDRRLLGVIYTGLEQHEKALEQNQLSQKVLKNWGLTSELLRAEIDSANMQIALGKFDEAINTLKGVVLQTDKESEDRAMIFVSMAKALCNQDKFSEAKRCLQMACGILEKKERSSPLEVSEAYMEISMQYETINEFETAISLLKKAQMMLEKIPQEQHSVGSASARIGWLLLLTGKVQESIPYLEDAVERLKENFGSRHFGVGYVYNNLGAAYLELDRPQSAAQVFALAKDIMDISLGPHHVDSIEACQNLSKAYSAMRSYTLAINFQEKAIDAWRGHGPSACDELKEAERVLEELKVKACGEFEEQKALPAHPVRRRSSRTGVLVD >cds-PLY70229.1 pep primary_assembly:Lsat_Salinas_v7:9:423479:424169:1 gene:gene-LSAT_9X5241 transcript:rna-gnl|WGS:NBSK|LSAT_9X5241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFCLLGLCLTKVSQRLNLTSQKKLTSNNTNTIKSSFWVFIWSLSILFEDFGEIKEDEDDGIGKIFNDGDVYRDWPADIDNGSVELSWWLDVVESIKACGNEAFMVVSI >cds-PLY65811.1 pep primary_assembly:Lsat_Salinas_v7:5:272926858:272927984:-1 gene:gene-LSAT_5X143020 transcript:rna-gnl|WGS:NBSK|LSAT_5X143020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSIICIFAMAGVKELRKIAPLRSSFIIQTDFECRWNLVEIDAELLKLTMETKSVLSLIYPSNTYMDLNIGIAFWLAAGGDGCVSEEINGNNNLKVKYKSDSRILLVGSGADEQCGGYGRHRTKFRESSWGGLNKEMKLDMQRIWKRNLGRDDRCIADNGKEARFPFLDENVIRILLDIPLWEIADLGQPSGVGDKILRKILVNFLQYMNPVFQLLKKSGKS >cds-PLY94019.1 pep primary_assembly:Lsat_Salinas_v7:8:99153561:99153980:1 gene:gene-LSAT_0X12581 transcript:rna-gnl|WGS:NBSK|LSAT_0X12581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKSNSSWSGTSRRKNREIIRCSCGDICPIYVSRTPENPGRKFRGCPNYQDEDGGCGHFKWVDEEEDEFRAFKKQLNLQHKDIESVMLLKLIVGLLVSILVCLVVVVIKM >cds-PLY79928.1 pep primary_assembly:Lsat_Salinas_v7:8:15400170:15401961:1 gene:gene-LSAT_8X13621 transcript:rna-gnl|WGS:NBSK|LSAT_8X13621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor 18 [Source:Projected from Arabidopsis thaliana (AT2G40620) UniProtKB/Swiss-Prot;Acc:O22873] MQDPSNPNSIPPNAATFPFRPSHHRRAHSEVNFRLPEDLDLVSDSFDAPSGSFEEIGSEDDLFSTYMDMEKLGSSLKDGGGVPDSSRGINNVNVVGEGGASDDNYGDDGDGEKGMSRPRHRHSNSVDGSIFFRESIEAKKAMAPDKLAELWTVDPKRAKRILANRQSASRSKERKARYISELERKVHTLQTEATTLSAQLALFQRDTNGLSSENTELKIRLQAMEQQAHLRDALNEALKQEVVSAENSNW >cds-PLY80447.1 pep primary_assembly:Lsat_Salinas_v7:4:175839246:175840304:-1 gene:gene-LSAT_4X103441 transcript:rna-gnl|WGS:NBSK|LSAT_4X103441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLIPGLPNDVGLECLIRVPYNHFSSAASVCRSWKSEIDLPLFRNHRKSAGLSRRIFVMVQSQLDSNMNHGLRKYMITPVYRLSVYELETGDWSELPPIPGFSDGLPYFCQIAPVGYNLVVMGGLSPENWEASNFVFVYNFVSATWRRGPDMPGSTRSFFGCASDNDRRVFVGGGHDNEKNALRSGMMYDVADDRWVILPDMADERDECKGAFHRGKFYVIGGYNTSMQGNFGTSAEAFDVPTWRWEKKEDEFLGTDTCPRTCVDGGDGVMYMYQDGVIVALDHSFKTKIPKDLDRVHCVIGCEGRLLAVGSVGFGKSHGVYVLDLKSHTWKKVETPEEYSGHVQSGCCLEI >cds-PLY86231.1 pep primary_assembly:Lsat_Salinas_v7:8:56563312:56563494:-1 gene:gene-LSAT_8X40140 transcript:rna-gnl|WGS:NBSK|LSAT_8X40140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSENEKVREFNDGEMRRKGGESFIGGCSGNGGRERKQSLNICLKMEMQEVSSGGRRNGGG >cds-PLY74460.1 pep primary_assembly:Lsat_Salinas_v7:7:38619079:38619369:-1 gene:gene-LSAT_7X28560 transcript:rna-gnl|WGS:NBSK|LSAT_7X28560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNTPINGARGAGFVDRKGRFSQTTSKRKGNGVVEGGLSLPNVAITRGVAENQCNSVVPSPVRVADCELQGDPSHLVANVEREGASESPSQPPGYR >cds-PLY67457.1 pep primary_assembly:Lsat_Salinas_v7:6:70296777:70297306:-1 gene:gene-LSAT_6X49360 transcript:rna-gnl|WGS:NBSK|LSAT_6X49360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKLFCDFQRGSWVMKRNFRIPLEEELRTMVTPENVCAFESMLAGIYRVKLLGINMTHPCGLSSTMNQLPSYGSNSFSCCFPHRERITNNSLEFKQQLCCTNQS >cds-PLY98744.1 pep primary_assembly:Lsat_Salinas_v7:1:8495147:8498238:-1 gene:gene-LSAT_1X8721 transcript:rna-gnl|WGS:NBSK|LSAT_1X8721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAMVAVDTRKLPRPGRGGVISQGLSEEESRVRAIAEIVNNMVELSRNGEKVDLNALKSAACRKYGLSRAPKLVEMIAALPDSEREALLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPAEYRDYFIRNLHDALSGHTSANVEEAVAYSEHGATKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFSLAKDAGFKVVAHMMPDLPNVGVERDMESFKEFFESPCFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLVDIVARILSMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMDDLGLKCRDVRTREAGIQDIHHKIRPDEVQLVRRDYTANEGWETFLSYEDTRQDILVGLLRLRRCGKNVTCPELVGKCSIVRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIARKEHRSTKIAVISGVGTRHYYRKLGYELQGPYMMKHLL >cds-PLY98223.1 pep primary_assembly:Lsat_Salinas_v7:7:171847037:171848994:1 gene:gene-LSAT_7X102300 transcript:rna-gnl|WGS:NBSK|LSAT_7X102300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVNQSALAPNKTKLARTFAKVLNIRSAKVKHETPITDIGQPKFFDEEDDKFQRAIMDAFIAKVFSTISSVKAAYAQFQYAQSPYDADGIQSADQIVVSELKNLSELKQSFLKKHLDDSSPETTILQAEIREQKNLLQMYEITGKKLDSQKKLKDSEIIFLKEKLNEANRENKSIERRLNSSGPLSRHFPSLTLNDFPSALRQTTKSIRSFVRFMIGEMEVADWDIDAAAGAIQPDVVYSEMNHKCYAFESFVCRVMFDGFNHRSFSISGDSDTPTTDEFNELKSFKPREYLTRKPKSMFAKFCWSKYLRLIHPVMEFSLFGNLNQRNLVSAGKFPETTFFSSFADVAKRVYLLHCLAFAVDPGASIFQVRNGSRFTEVFMESVNEEPVKASPEVAFTVVPGFKVGKTVVQCQVYLT >cds-PLY80364.1 pep primary_assembly:Lsat_Salinas_v7:7:156521401:156524114:1 gene:gene-LSAT_7X92500 transcript:rna-gnl|WGS:NBSK|LSAT_7X92500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQSGMTTTLMIFIVIAMAEIISGEDPYRFFTWNVTYGDIYPLGVKQQGILINGQFPGPQIDSVTNDNVIVSIYNSLDEPFLITWNGIQQRRNSWQDGVYGTNCPIPPGQNFTYILQVKDQIGSFFYFPSLAFHRAAGGFGGITVSSRPMIPVPFPPPAGDFTILAGDWFKQNHTDLKAILDGGHDLPFPDGLLINGRGSNGFTFTVDQGKTYRLRISNVGLTTSINFRIQGHKMLLVEVEGTHTLQNTYSSLDIHLGQSYSVLVIADQPPQDYYMVVSTRFTSQVLSATSILHYSNSPGTFPAPPPGGPTIQIDWSLNQARSIRQNLTASGPRPNPQGSYHYGMVNFTRTIRLANSAPIINGKQRYAVNSVSFIPADTPLKIADYFKISGVFSIGTISDSPTGGGGYLQTSVMAADFRAFAEIVFENSEDTVQSWHIDGHFFFVVGMDGGPWSSDSRTSYNLRDGISRSTVQVYPHSWTALYVPMDNVGMWNIRSQNWARQYLGQQFYLRVYSPVNSWRDEYPIPKNAITCGRASGRKTRPL >cds-PLY79434.1 pep primary_assembly:Lsat_Salinas_v7:3:78749580:78750850:1 gene:gene-LSAT_3X60641 transcript:rna-gnl|WGS:NBSK|LSAT_3X60641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQERAPEGYHQVKPYSLLHLFNLYFRSLSLSLPPTHLQNSMKTTDEKGDHQVKPYSLLHLFNLYFRSIILIVFISDTKVVQGSVLAITDNVVVSLDEVLNAVRMVKKEVVQDFNPLIINQAASLSTFLSLPFSESVTVNIYAFILSPIPCSLAVDSSSTDFVISMCKSSDFPGDKLLEELSRVLKPGGEIFFHHTCAAAAAKETVMHLISILKQSHDGWS >cds-PLY73050.1 pep primary_assembly:Lsat_Salinas_v7:9:36115595:36117808:1 gene:gene-LSAT_9X33201 transcript:rna-gnl|WGS:NBSK|LSAT_9X33201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKQTRSKRSETAIGKGKITPIQVAFIVDRYLSDNNLVRTRSTFRSEAPHLLAKSPVNDAPKSLMSLGDMLEEYISLKEEKVILSHEKSRFEQEKFRVQTLLRGMQDVMNVYNGGGASASAAPPLPPPAVMPTLPAGTSMASPAANFPVYNTPVMMSTSRLPNSLSEPTNFSTPSTSQPSLKRRTNSRDVCGAPAASKRKRGQLNSSGTTKSILQPIASPNNQEQSLQITPVPSISHVSPPIRHSPAQGSSVAKCLFNPTSHSPGPQTPSPSPIDKSISPPNNQTPLSSTKKTLTPQKFTSSNRTVITSETIQVSPSKQVSYYSIERNHCISSPMKPSSKRFGTRDHVKGRLDFDGSEISEMNSGMLTSDENTGSPSEDDAFDFDLPNLDCLGVDFNLTELLGDFDYLCQPDNGSGSSPESLSGSPETMVDGMTGDHELVSGMSSTLTQIMSEQDMNLPGANSVTSVKSIKQCIKVFSPGMYLCLFAVIHS >cds-PLY79327.1 pep primary_assembly:Lsat_Salinas_v7:9:54735729:54736118:1 gene:gene-LSAT_9X49181 transcript:rna-gnl|WGS:NBSK|LSAT_9X49181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPEQDFLILYGQPSPSELSFMLSQETDMDFLGSADSSLDSLSSTESEAQSAPPFPEILESISHTLNQLLNETERQLPPEWSMADLVRAVNADDLSSLVRDFYDLQSNGTHSWLWEEIYNLLDLINYVF >cds-PLY85645.1 pep primary_assembly:Lsat_Salinas_v7:2:4298638:4298808:-1 gene:gene-LSAT_2X2280 transcript:rna-gnl|WGS:NBSK|LSAT_2X2280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGAHIFKADLPGLKKEEVEVEVEEGRLLQISEERSKEHEEKNDKWHRVERSSGKF >cds-PLY95655.1 pep primary_assembly:Lsat_Salinas_v7:2:117968352:117970577:1 gene:gene-LSAT_2X54340 transcript:rna-gnl|WGS:NBSK|LSAT_2X54340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRVDRESLKPGDHIYSWRAAYIYAHHGIYIGDEKVIHFTRHGPKVGTSSVLDALMVSSVSPPSLTPCPNCTHTENNNEVITSCLDCFLAGGVLYRFEYSTNPAAFFVKARRGTCTFAASDPPGAVLYRANYLLDKGFGCYNIFKNNCEDFAIYCKTGLLVSGKKSMGQSGQVASLIDGPLVGFLSVVVKLVKTNVYVKTASVASSVATYCVTRYATDIGIRSDVVKVRVEDLPIMHHNIAT >cds-PLY77076.1 pep primary_assembly:Lsat_Salinas_v7:3:219668514:219670994:1 gene:gene-LSAT_3X126940 transcript:rna-gnl|WGS:NBSK|LSAT_3X126940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDNEAPGWTQLKLPGQAPAARCGHTVTSGGHYLLMFGGHGTGGWFSRNDVYYNDCVVLDRVSVQWKRLATNTEAPAVCAYHSMTCIGSRYLLFGGFDGKLTFGDLWWLVPEDDPISKRSEVIQETRNDFQSETKENDMEGSAISELQKRLNISVSFSGHNLQIVHESEDKEFLELASRINGNKSFNNKQAVDALREPWQNSSPKSIALKELNL >cds-PLY96578.1 pep primary_assembly:Lsat_Salinas_v7:4:368901349:368906075:-1 gene:gene-LSAT_4X181101 transcript:rna-gnl|WGS:NBSK|LSAT_4X181101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEQGILGVKPRKQSAARIRGRRWLKRREIWLVALGIALHAVYMLSIFDIYFKSPIVHGMDPVSPRFTPPAKRLVLLVADGLRADKFFEPDSDGNYRAPFLRSVIKGQGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKGWKANPVEFDSVFNKSRHTFSYGSPDIVPIFCGALPHSSWNTYPHEFEDFATDASFLDEWSFDQFKSIVNKSKEDPKLKKLFQQDQVVIFLHLLGCDSNGHAHRPYSSIYLNNVKVVDRIAEGVYNLLENYFKDNQTAYIFTADHGMSDKGSHGDGHWSNTDTPLVVWGAGVKHPRPSSSHNHQNHGERFVDEHDMHDTPTPTDWGLNNIERVDVNQADIAPLMSTLIGLPCPVNSVGSLPLDYMDLNKGDEVEAVLANTKQILSQFLRKSQLKQESSFRFKPFEPLSHHASILEQIESLISNKDYPHAMQLSQNLRSLALKGLHYFQTYDWFMLMTVITLGYIGWMVCLILHVLQAYTSLPGKILKKEEAVFTRDLPSKVYLCGSLVMASVFVVLYLEHSPPLYHAYFAMTVFLWTNIFSEYRFLKAFCRYLQEKESYHVFELSATSLVSIIILELLVKSFTNRVIYTWCFLIFGVISPLYLFKSIPSKSGIPVFLCVACWFLSIFTLMPAEIPDNTFLVSMSGVIIIALLVGLASVLVYISTSHRTENRELLPLHQLMNWSIAGLSMVLPLFSATDLLSRLTSIFLGFAPPFLLLSIGYEAVFYGALGLALFGWILFENTLLYVKKSNKSLTSFEAENENILLEEGDRCLKLSDMRIPIVFMVFFNIAFFGTGNFASIASFEISSVYRFITIFSPFLMAALLIFKLFIPFMLVICAFSAITKLVRVPRLGCYFLVILCSDVMTIHFFFLV >cds-PLY85333.1 pep primary_assembly:Lsat_Salinas_v7:MU040991.1:321041:323420:-1 gene:gene-LSAT_0X12760 transcript:rna-gnl|WGS:NBSK|LSAT_0X12760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMALMLVIGFPLAKVPPYDHLKTASHFEIEHDKERNLRMYNLICFNVDAMLQTREVFRRGLISVAEFQKFTKSLNIHMIEVSPALKKIQKLTLI >cds-PLY75736.1 pep primary_assembly:Lsat_Salinas_v7:4:333241039:333245692:-1 gene:gene-LSAT_4X165160 transcript:rna-gnl|WGS:NBSK|LSAT_4X165160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKTKIKKSKPNKHPNTKKNSKQSDISEFRAQLDVLGLKIIEVTADGNCFFRALADQLEGDEDKHENYRKMVVHYIMKNRENFEPFIEDEVPFDEYCQSMEKDGTWAGNMELQAASLVTHSNICIHRISSPRWYIKNFDDENAQMIHLSYHDLEHYNSVRLKEDTCNGPSRPIIIKADADLSVKSHSHQPNAAPKTIIQAESIKTVKVGSGCDNEDKIQQVLVQVNGDVDAAIEIMIADQETEENMVENDRVDFSMDTSHECSSSNHLEKIEDENTKEKQTWSNLESENNKTNSLHDEKKIPRNKACPCGSKKKYKSCCGTMAARKLSTVAVNNTIERKDKKQGKKGRSVSHGSDGASHDMGALCI >cds-PLY65087.1 pep primary_assembly:Lsat_Salinas_v7:4:203056212:203057816:-1 gene:gene-LSAT_4X115341 transcript:rna-gnl|WGS:NBSK|LSAT_4X115341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSXLLLFFLVFLFHAQQCPAAGGSWSVLLPSIGISAMHAQLLPNDRVVMYDRTDFGVSNISLPNGACRPNTTDCSAHSVEYDVASNTVRPLMVLSNVWCSSGTLMPDGRLVQTGGFDDGYRVVRIYKSCDSCDWQEIRNGLNQQRWYATNHILPDGRQIIIGGRRAFSYEFYPKMSATENTPSLPFLVQTNDPNVENNLYPFVFLYPDGNLFIFANNRAILFDYSNNQVIKTYPTMPDGQPRSYPSTGSAVLLPLRITKGTVNAVEVLVCGGAPKGAFVNANKGIFDGALDTCGRIKISDPNPQWVMETMPLARVMGDMLLLPNAHVLIINGVSAGVAGWELGRNPVLSPVVYQPDKQVGSRFEVQNPSTIPRVYHSTAVLLRDGRVLVGGSNPHDKYEFGNVLYPTELSLEAYSPSYLDSNSSDLRPKIILPVKNTKIGYGKQLVIVFTVSGIVDPSSVSVTMMAPPFNTHSFSMNQRLLVLDGGVAAKILGKSRYQVVVTTPPSGNVAPAGNYLLYVVHKEIPSPGIWVQMQ >cds-PLY87719.1 pep primary_assembly:Lsat_Salinas_v7:1:5413133:5416747:-1 gene:gene-LSAT_1X4160 transcript:rna-gnl|WGS:NBSK|LSAT_1X4160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHQDKDHETKDTETRTFIPSQNVPYIHKVGIPPKQGLLKEFKTTVKETFFSDDPLRPFKDQPKSRQFMLGLQAVFPILEWGRNYNLKKFRGDLIAGLTIASLCIPQDIGYSRLANLPPQFGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTLLQNEFDPVNDALEYRRLAFTATFFAGITQATLGVLRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGFLGIKHFTKKTDIISVMKSVFGSIDHGWNWQTIVIGASFLAFLLSAKYLSKKNKKLFWVSAIAPLISVILSTLFVYITHAEKQGVAIVKHIEKGINPPSVNEIYFSGENLLKGFRIGIVAGMIALTEAVAIGRTFASMKDYQVDGNKEMIALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSLVVFLTLKFLTPLFEYTPNAILSSIIISAVIGLIDYEAAILIWKIDKFDFVACLGAFLGVVFASVEIGLLIAVTISFAKILLQVTRPRTAILGRIPMTTVYRNIQQYPEATKVPGVLIVRVDSAIYFSNSNYTKERILRWLTEEEDHLRATYQPKVQSLIIEMSPVTDIDTSGIHAFEELYRSLVKRDVQLVLANPGRLVLDKLYASGFPDLIGENKIFLTVADAVLTCAPKILQQV >cds-PLY65727.1 pep primary_assembly:Lsat_Salinas_v7:5:272877021:272881947:1 gene:gene-LSAT_5X143061 transcript:rna-gnl|WGS:NBSK|LSAT_5X143061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol O-acyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT2G19450) UniProtKB/Swiss-Prot;Acc:Q9SLD2] MALLDTPEIGQTTTETTTIRGEIRRRTGVKADAGIGDELFDSSSSSKTNSFEDGESFNNGFDENGKEQIGDGDQMKEEAKENGEKIDQGEVKRGGETSVAHYAYRPSSPAHRRIKESPLSSDAIFKQSHAGLFNLCIVVLVAVNGRLIIENLMKYGLLINSNFWFSSRSLRDWPLLMCCLTLPIFPLTAYIVEKLAWQKRISDPVVITLHILTTTTAILYPVFMILRFDSVVLSGVSLMLCACINWLKLVSFVHANYDMRSIVKSTAKEEAEAMSSDGEYFYNVNFSSLVYFMVAPTLCYQICYPRTAFIRKGWVLRQMIKLIIFTGFMGFIIEQYINPIVKNSRHPLKGDILYAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAQTIEEYWRLWNMPVHKWIVRHLYFPCLRNGIPKGAAILIAFFMSAVFHELCIAVPCHIFKFWAFIGIMFQVPLVILTNYLQRKFQNSMVGNIIFWCFFSILGQPMCVLLYYHDVMNQKVKSK >cds-PLY86493.1 pep primary_assembly:Lsat_Salinas_v7:8:134869020:134871664:1 gene:gene-LSAT_8X91560 transcript:rna-gnl|WGS:NBSK|LSAT_8X91560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKCEEIAWELKEFFTSKILPYLDYLNTVVKEYLDYLYDHDKPTYAQIIFASLIGLIIAAAMHIRLRKFRTQKIIPWIRVGVSKARQPLKLERFPHYVARQMGFGDVQQCPYLCKLASDYIRKEEGCEEDMYSFFVDDPFADTLFIKLVEEFERCILSYFAFHWSHASYMISQVLGPDTHEPKKKLKNIVMQATREQRFERVTKNLKVARVFTTLVEEMKAIGLVSPDDSQCTDVMVPMAHKDRSPLLLFMGGGMGAGKSTVLKELLKEPFWAGASANAVVIEADAFKESDVIYRALSSRGHHDMLHSAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWVPFVVQTITMARNVHRKHYRMGPGYKVNRDGSVIENYWEQLDEENELIDGNKKRRPYRIELVGVVCDPYLAVIRGIRRAIMCRRAVRVRSQLTSHKRFAKAFMTYCQLVDNARLYLTNALEGPPKLIGWKDKDKTLLVDPDEIKILKTIERLNEKADSIYELYKQPNPAFKRGSVWKDIVMSPSRLSIQKELKYSIQKAEDLKEQ >cds-PLY80263.1 pep primary_assembly:Lsat_Salinas_v7:6:124615322:124620459:1 gene:gene-LSAT_6X74421 transcript:rna-gnl|WGS:NBSK|LSAT_6X74421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSERGDRWLCIFLVCTLSLLCTEAYDVGLTFLNSAVAKGAVCLDGSAPAYHIDKGFGAGIDNWLVFFEGGGWCNNATNCLTRRDTRLGSSKKMLTTETFSGMFHNKAKYNPDFYDWNRIKVRYCDGASFTGDVEAVDPNTKLYYRGARIFRAVVDDLLAKGMKNAKNAILAGCSAGGLTSILQCDNFRSQLPATTKVKCLSDAGFFINAKTIIGQSHIEGFYADVVRTHGSAKVLSPACLAKMSPGLCFFPQNMVQFIKTPIFLINAAYDSWQVKNILAPGVADRKGTWRECKLDITKCSSAQLNVLQGYRLEFLKALNGFGNGNSPSRGMFINSCYSHCQTGIQETWLRNDSPLLGNTTIAKAVGDWYYERNTFQKIDCAYPCDKTCHNRVFE >cds-PLY72297.1 pep primary_assembly:Lsat_Salinas_v7:9:104768331:104768641:1 gene:gene-LSAT_9X75341 transcript:rna-gnl|WGS:NBSK|LSAT_9X75341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHAKSCSSTSTTRLKNLNRRTINVLASRLYFYYSLNYEPTVDLSKIRGNLLSLHCVATLRHDELGQETLLLQNDKVK >cds-PLY75509.1 pep primary_assembly:Lsat_Salinas_v7:9:34063333:34065222:-1 gene:gene-LSAT_9X30221 transcript:rna-gnl|WGS:NBSK|LSAT_9X30221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium-protoporphyrin IX monomethyl ester [oxidative] cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56940) UniProtKB/Swiss-Prot;Acc:Q9M591] MAAEMALLKPISKIGYCGSTTITSARTILPQRISTIVRMSAATTTTPTTTKGTGGGKNKKGIKETLLAPRFYTTDFDEMEQLFNTEMNKNLNEAEFEALLQEFKTDYNQTHFVRNKEFKEAADEIQGPMRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKTNPEYQVYPIFKYFENWCQDENRHGDFFSALMKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDTQRTSFYEGIGLNTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMADINSKIIAIGETDDIPLVKNLKRIPHIAALVSELLAAYLMKPIDSGSVDIADLDPQLVY >cds-PLY90745.1 pep primary_assembly:Lsat_Salinas_v7:3:35595779:35598037:-1 gene:gene-LSAT_3X27180 transcript:rna-gnl|WGS:NBSK|LSAT_3X27180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAETQIQQTANTEAAAEKKRWTLNDFDIGRPLGRGKFGHVYIAKEKRSNHIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQKRVYLILEYAAKGELYKELQRCKHFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLIGAQGELKIADFGWSVHTFNRRQTMCGTLDYLPPEMVESTEHDASVDIWSLGILCYEFLYGVPPFEAKEHTDTYRRIVKVDLKFPSKPVVSSAAKDLISQMLVKESSKRMPLHKLLEHPWVVQNAEPSGVYKG >cds-PLY76884.1 pep primary_assembly:Lsat_Salinas_v7:3:3722085:3723914:1 gene:gene-LSAT_3X2040 transcript:rna-gnl|WGS:NBSK|LSAT_3X2040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDGYDQVLKAMRDFDSPRPAIFAISNPTNNAECTAADAFKHVGDNIVFGSGSPFQNVVLGKIRDQAMKFRASNVTDDKYKVPNGNVKTDERSKERIEHSYVGPTLGRQEKLHNLNLNLKHQVYLSKPRPESDSGNNGIGNNHISATSYLDKRPERHTRMPQVNREEQEEEYVEKSTTRKVQEKEEEPVRLLKPCYNNTPPLPPPYIIKSNIPPPYTKPNTTTHNHHQQPPSSLLDYIYIYMMKFETSIYV >cds-PLY72621.1 pep primary_assembly:Lsat_Salinas_v7:5:44700288:44700856:-1 gene:gene-LSAT_5X22120 transcript:rna-gnl|WGS:NBSK|LSAT_5X22120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDISITIDNLRKSYYTAEEIMDYLGISEAELQQIEGLGLSETEVQPIEHVDVAMSQDVGIASQITVEELPITQVLGDEERMNEEDGIDELGMGEVMVNDERMDGEREIPVTQQLNQVRMRPIKRSKMNQVRRRKPSKRIIEIKLKKVVAVKNGKGMSSSNPLSLE >cds-PLY71943.1 pep primary_assembly:Lsat_Salinas_v7:3:26676807:26678483:-1 gene:gene-LSAT_3X19620 transcript:rna-gnl|WGS:NBSK|LSAT_3X19620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELADRAVGFILASISVTVFTYYSFWVIVLPFVDSDHFVHSYFLPQEYAILLPVYAGVSLICFLSVFIGYVMLKSNSKKKKA >cds-PLY98143.1 pep primary_assembly:Lsat_Salinas_v7:1:139627282:139631234:-1 gene:gene-LSAT_1X101201 transcript:rna-gnl|WGS:NBSK|LSAT_1X101201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQIMSSSKKIIFSVALLIVTLSPIPNFASASLEEANALLKWRETLKFPNNSLVSSWNPLPMNSNASVPCTSWFGIVCNTDWRIHKMNLSLNGLKGDLISLNTLYLYQNQLSGPIPIELGNLTSLIDLQLANNQLSGSIPSSLGNLAYLNVLYLDNNQLSSRIPTELGNLKSLIHLTVSDNQLSDSIPSSLANLNNLHTLYLHVNNLSSSIPFELGNLKSLIDLSMYKNQLSGSIPSSFGDLISLNALYLYENQLSGPIPIELGNLKSLIDLQLADNQLSGSIPSSLGNMTYLDVLYLDNNQLSGVIPIELGNLKSLVHLTVSDNQLSGSIPSSLANLSNLQTLYLHVNNLSGPIPVELRNLKSLVDLALYKNQLSGSIPSSLGDLISLNYLYLYQNQLSGLIPTELGNLKSLTDLEVAENQLSGSIPSSLANLSNLQSLSLYGNRLSGRIPIKIGNLKSLTILDVGDNQLSGIIPSSLGDLTSLKVLHLYHNQVFGLIPTELGNLKSLTNLDVGSNQLNGSIPSSLGNMGNLKWMILISNKLSGPVPTELGKLKSLTHLGMSYNQLNGYIPSSFGDLTSLNSLYMHYNQLVGPIPTQLVNLKSLTDLRVSNNKLSGSIPLEFGNSTQLQRLDLSSNHLVGEIPKEFGKMKTMLYLNLSDNQLSGVIPMELQFYERLEELDLSRNRLNGPIPKSIGHWAHIHHLNLSNNKFSEKIPSDIGKLGQRTQLDLSQNLLTDEIPSELQTLQSLQKLDLSHNRLSRSIPDAFTSLPHGIDINLSYNELSGPVPLCTNFVNASLQGNPGLCGNYTGLKVCAIQILKKKNDPFHHHLFFVIMLPLIGAILLGLFICGLIAYRKRKSHSQQKPLGKEGGDYFSIISFDGGVVYDDILKATNNFDEAYAIGIGGYGTVYKAELQHNSMVAVKKIHSSSENVDHNGFLNEIRALTNIRHRNIVKLYGYCSHARYSFLIYEYLEKGSLGLILRSDVLAKELDWLKRVNIVKAITNGLAYMHHDCSPPIIHRDISIANILLDSDYEAHISDFGTSKLLKLDSSNWTAVAGTYELAYTMVATEKCDVYSFGICVLEVIIGKHPSELPTLSADYLVFANVGDNRIPLPSPQVEKKINSVLRLSRACLNSNPHERPTMQQVSNLLTKVLL >cds-PLY90630.1 pep primary_assembly:Lsat_Salinas_v7:6:51248907:51250707:-1 gene:gene-LSAT_6X36921 transcript:rna-gnl|WGS:NBSK|LSAT_6X36921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT1G64770) UniProtKB/TrEMBL;Acc:F4I890] MAAASLLSLSLPKPTILIPKSTASTITTPSVTTFTETLDQKFGRKGIKFSEFDGTQIAELTVRNGSSLKLQLNNAHVTSYKPKVNWKDNDGYEEVLYTTSAAKGGIGLVINYADDNSKSKGVDLSEWIVKDADSDSIDALQVELSCTTSGTLDITYVISLYALSMATAVIIKNNGRKPVDLKTAILSHLKSKKRGGTAIQGLQGCKYCTQPPLTSQFEILSPSEAVKPEDPAWFSLGWEPEKKGGVWSVQDLPLTILKHKVSRVYGAPLEERLKEFYNTPPSKYETIDQGRELFFRVVRMGFEEIYVSSPGSLSEKYGEEYFICTGPATMLAPITIEPGEEWRGAQVIEHDNL >cds-PLY89992.1 pep primary_assembly:Lsat_Salinas_v7:3:84319921:84320364:-1 gene:gene-LSAT_3X64560 transcript:rna-gnl|WGS:NBSK|LSAT_3X64560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Egg cell-secreted protein 1.1 [Source:Projected from Arabidopsis thaliana (AT1G76750) UniProtKB/Swiss-Prot;Acc:Q9SRD8] MANSFQLIFLTLLISTTSMLVDTRPFTPIGAPSPTLLEELATGEDIDSTGCWESLFELQSCSGEIILFFLNGETYLGMGCCKAIEKIEKKCWPSSLGSLGFTNEEGDILRGYCDTSDDNDDVPTTTPPPHSANITNSTSTECNNGGF >cds-PLY98707.1 pep primary_assembly:Lsat_Salinas_v7:8:8950715:8953924:-1 gene:gene-LSAT_8X7120 transcript:rna-gnl|WGS:NBSK|LSAT_8X7120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:XBAT33 [Source:Projected from Arabidopsis thaliana (AT5G07270) UniProtKB/TrEMBL;Acc:A0A178U6J8] MGNSFGCSASGERLVSAARDGDFVEAKMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNDIVALLLDNGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVTRADYLSGRTALHFAAVNGHVRCIRLVVADFVPSAPFESIDTQPNTDAAPKNKYDQSALVKFVNKAADGGITALHMAALNGYADCVQLLLDLHANLSSVTFHYGTSMDLIGAGSTPLHYAACGGNLKCCQILLAKGASRLTLNCNGWLPLDVARMWGRHWLEPLLAPNSDLAIPIFPPSNYLSLPLMSVLNIARECGLQSSSTLSDDTDICAVCLERSCTVAAEGCRHELCVRCALYLCSTSNNPSEQLGPPGSIPCPLCRHGIISFSRLPGSPSKGMKLHLSLGFCTPCMLHPREPDENTPEISKNHVASVSPELFCPVTCSPFPSVAIPLCTCNEGTCPSSETRDDVAGNGSPPQRTNSGEEGKLGPLRVEKTSCSSMFWGRRSCSREQQCNSEINA >cds-PLY95943.1 pep primary_assembly:Lsat_Salinas_v7:9:40914392:40916855:-1 gene:gene-LSAT_9X36681 transcript:rna-gnl|WGS:NBSK|LSAT_9X36681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGNNAPAIGIDLGTTYSCVAIWKHGRIEIIPNDQGNRTTPSCVAFSDAERLIGDSAKNQVAMNPANTIFDAKRLIGRRFGDSKVQNDMKLWPFRVIQDPVDTPKIVVSYKGQEKEFLAEEISSMILGKMKATAESYLGKVVKDAVITVPAYFNDSQRQATKDAGAIAGLNVIRMINEPTAAAIAYGLDNKSDITCKINVLVFDLGGGTFDVSLLTMAEGGGTLEAKAVAGDTHLGGEDFDSRMVDHCAQEFKRRWNKDLTGNKRALGRLRCACEKAKRILSCNSQTSIDLDCLHEGIDFCMKFSRAKFEELNIGSFYKCIGTVEACLRDAKMEKSCVNEVILVGGSTRIPKVQSMLQEFFERKELCKSVNPDEAVAYGAAVMAAKLTGKNDKSCQDLMLLDVTPLSLGVGVLGDIFDVVIPRNTPIPTKKSKIYNTSRDNQPCVDVEVYQGERTRSTDNHLLGTFRISGIPPGPKGDAKLEYCFEIDANCILTVTAKILSTGKTEKLTITNANGRLCKEEIEKMMEDAVKYKQEDQEYRKRADAFNALEECIYNMKNKIKNMENCKRLKKMKRGIADTTKWLEQNQAALLMSFIA >cds-PLY96949.1 pep primary_assembly:Lsat_Salinas_v7:4:174501498:174507067:1 gene:gene-LSAT_4X102600 transcript:rna-gnl|WGS:NBSK|LSAT_4X102600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLVAYYNHNLLQISCLRSEQTQLTRSLEKERQRASESRQEYLVLKEEADTHNCHASQLEEEMRLLKKKHKRELQEALTQRELLQQVKIIDSYIATKQSKLRKKFGFVRFLGIDNEKAFAKRLSKIWIDKFHLFASVTQFQ >cds-PLY73001.1 pep primary_assembly:Lsat_Salinas_v7:9:35811009:35814490:1 gene:gene-LSAT_9X33481 transcript:rna-gnl|WGS:NBSK|LSAT_9X33481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGGKLSPHVIVVSLAIVYIYFSTVFVFVDQWFGLRSSPGIMNTVVFTAVAIMCIRNYVVAMFTDPGRVPPSFAPDIEDSEHPIHEIKRKGGDLRYCKKCSSYKPPRSHHCRICKRCVLRMDHHCVWMNNCVGHANYKVFFVFVVYAVLACLYSMILLLGSLTVDTQKDGQQPEGSYRTVYIISGMLLIALTMALGVFLGWHIHLTLQNKTTIEYYEGVRAMLLAEQGGNVYSHPYDLGVYENLTTVLGPNILCWVCPVSNYIGSGLRFRTAYDHPHGVFKTTTTTSTSSSSSS >cds-PLY91038.1 pep primary_assembly:Lsat_Salinas_v7:1:203464761:203465376:1 gene:gene-LSAT_1X122561 transcript:rna-gnl|WGS:NBSK|LSAT_1X122561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLYWPYMENSVMEGPKQTGIRFCEAQYTLLKCVFGHGFSMAEFLNEKETDSEFLLVEATLTSKSNKENHSSNNKADVSEDDVVVSTLTIYEKLSLESSFVIDESNQEHVH >cds-PLY84500.1 pep primary_assembly:Lsat_Salinas_v7:1:30380595:30383774:1 gene:gene-LSAT_1X26140 transcript:rna-gnl|WGS:NBSK|LSAT_1X26140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIILTRSQFENQRNRFDSCSRFCLFYFHDKFKMSHANQSRICTRQIVGSPKTNNFGDDDALMRFAPHREDELKKMLSSIPHQLRPQFDQWFRIAVKEAIELSHKNQDTTSMQSEDKSESRSLELRFSNSIIPTLFTGDHIQAIGGVPLEVTLVESRTKEAVKSGPEASGKVEILVLEAEVDGVTGEDVKNNSILVRHMEGSRSSRVDNLCLNLIQGAAILPMVSFVRNDKWMKNSKLRLGAKFVNNSNGVRVKEAKSGSFLLKDRRTKAYAKHYIPSLHDEIWRLRKVDRHGCLAKNMEKAEIITVGDFLVHLFRQTQRLKEIFDHSNHAKSWRITVEHARECPAKLQYCSSFDPKTRVFFNVSGEVLGLFKDKSFLSSDKLTQTQKEAAKNLVISAFENWGDVIHVEQEGKFVPKTEPVTLRTFGKHIFLDDLDLNIDETCLFGNMVSDGKCPDLELLPESLRRKYNEIYNGFESLRISNRSKLEGDQRYRLCNRLKILFCVVTFKIRSFPENGLDDIHAHKKPRLS >cds-PLY63765.1 pep primary_assembly:Lsat_Salinas_v7:6:26578846:26581389:1 gene:gene-LSAT_6X20140 transcript:rna-gnl|WGS:NBSK|LSAT_6X20140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-arabinosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G25265) UniProtKB/Swiss-Prot;Acc:Q8W4E6] MGCGNFFFTLTITFSVALITYNIIISANAPLRQELPGSSQGVLSVDPIIKMPGNRKSALADKKRMFHTAVTASDSVYNTWQTRIMYYWFKKMKENGGVNCEMGGFTRILHSGKADQFMDEIPTFVAQPLPAGTDQGYIVLNRPWAFVQWLQQVDIKEDYILMSEPDHVIVKPIPNLSKNGLGAAFPFFYIEPKKYEPILRKFYPEEKGPITNIDPIGNSPVIVGKESLKKIAPTWMNVSLAMKKDPEADKAFGWVLEMYAYAVSSALHDVGNILHKDFMLQPPWDTEIGEKFIIHYTYGCDYDMKGKLTYGKIGEWRFDKRSFDTIYPPKNLQLPPPGVPESVVTLVKMVNEATENIPNWGA >cds-PLY76312.1 pep primary_assembly:Lsat_Salinas_v7:6:153408387:153410163:-1 gene:gene-LSAT_6X92341 transcript:rna-gnl|WGS:NBSK|LSAT_6X92341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSSIDNHVDQEVIDMEVAGAEAGEAERVTFSLAIAFLFNWIARILNLLDTIGGLLINYYPQTFGAIDMPPSPITFIIASLYAFAEMKSQGSDFPFKTHPGFINVALVCLILYGLASAAEFFIYAIRPGSVYGMIVHLFKRIWQHEFES >cds-PLY79997.1 pep primary_assembly:Lsat_Salinas_v7:9:45687339:45693266:-1 gene:gene-LSAT_9X42181 transcript:rna-gnl|WGS:NBSK|LSAT_9X42181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVATSERWIDGLQFSSLFWPPPPDPEQRKAQITAYVDYLGQFTSEQFPEDIAELIRHRYPSTEKRLFDDVLAMFVLHHPEHGHSVIHPIISCIIDGTIEYDRSTPPFASFISLICPSADNEYSEQWALACGEILRILTHYNRPIFKVEHPHGETDRSSSGSQASTSNPTDVQSSSSSSSSSSPSGHHERKPLRPLSPWITDLLLAAPLGIRSDYFRWCGGVMGKYAAGELKPPSLVMASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETATLTAAAVPALLLPPPTTAMDEHLVAGLPALEPYARLFHRYYAIASPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGMRLPRNWMHLHFLRAIGTAMSMRAGIAADSAAALLFRILSQPALLFPPLRQVEGGEVQHEQISGYISSHKKQRQMPVAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSIIDLPEIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPAESPSQQTRKTRFVFGSASKNLAVAELRTMVHSLFLESCATVELSSRLLFVVLTVCVSHEAQLHVGKKHSDSSPEPELESESSSRKRTNKQGPVAAFDSYVLAAVCALACELQLFPLISRASNRSNLKDGEKPSHEVKSMNGNGIGIGTGNGFQSSIDSAVSHTRRILAILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHISELFRRSKACTHALSVLIRCKWDKQIHSTASSLYNLIDIHSKAVASIVNKAEPLDIVHVAIKDPPPVSSSSSCFNGKRQNSCITQINDPVATSVKKASKLCEEGGIMSFPFNASELANILTMDRHIGFNCSARVLLRSVLTEKQELCFSVVSLLWHKMIASPETQLNAVSTSAQQGWRQVVDALCNVVAASPTTAATAVVLQAERELQPWIAKDDDVGQKMWRINQRIVKLIVELMRNHENLESLVILATALDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGLAVADGLSNLLKCRVPATVRCLSHASAHVRTLSVSVLRAILNVGCIKVKQSGEQVNIGSSRHVAYMSGDVIDWEADLAKCLTWEAHSRMATGMPIEYLETASKELGCPIFV >cds-PLY93443.1 pep primary_assembly:Lsat_Salinas_v7:9:60239830:60242060:1 gene:gene-LSAT_9X53561 transcript:rna-gnl|WGS:NBSK|LSAT_9X53561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLALVSPVAGTGTDNLDAPKSPAVELVNENAEPSASNKETPVSSPAPLYGSISVVGRARDMEDEISVQPNFCRPEINGYRPVHFFGVFDGHGGHHVSALCKENMHVIMEEELMRVRTTGDKSTGEDVEELWRTSINRSFERMDEMAMALCQCNGIENSKMCRFHPQLSLVGSTAVVLLLTDEFIIVANCGDSRAVLSRSGKAVPLSFDHKSDREDERERIEASGGRILFAGNGARVEGVLAMSRAIGDRFLKQVVISEPEYTFTRRESEDMSLILASDGLWDVLSSELSCEVVRKCQQEDEKCKMGMVAQRAAGGGGGGGGGGQVYASRSATASAAALLVRLAMGRRSNDNISVIVVDLRKGYGVINCRIGDNGDGDCRVGGSTGDCGDEATLVVPSEAIMMAILHIQKSATTLSP >cds-PLY76009.1 pep primary_assembly:Lsat_Salinas_v7:5:320200864:320206724:1 gene:gene-LSAT_5X176881 transcript:rna-gnl|WGS:NBSK|LSAT_5X176881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHTLKEYFGFSTFRPYQKEIIEKILEGRDSLVVMATGSGKSLCYQVPPLIAQKTAVVISPLISLMQDQVMALKERGIRAEHLSTAQTNTSAQKNAESGQYDLLYMTPEKACNAPNSFWSRLLNTGICLVAVDEAHCISEWGHDFRVEYKQLYKLRDVLVGVPFVGLTATATEKVRNDIVGSLMMKDPHVAIGSFDRKNLYYGVKSINRGASFVDELVAQVSKHVSNAGSTIVYCTTIKDVQEIGRSLCEAGINAGMYHGQMGHKAREESHRSFIRDEVRVMVATIAFGMGIDKPDIRHVIHYGCPKSLESYYQESGRCGRDGIASDCWLYFSRGDFGKADFYCGEASSENQKKAIMDSFMAAQRYCMQTTCRRKILLEYFGEICPSINCGNCDNCTSLKEENDMSREAFLLMGCIQSCGGYWGLNLPIDVLRGSRAKKITEKQFDNLPYHGLGKDFSANWWKTLGFQLISFGYLVETVKDTYRTVSVSVEGAKFLRSCRPDHQPPLLLPITSELGGGNEDKISTDTPGSGIDGMSQAEREVYKILLEERMKLARSAGTAPYAICGDLTLKKIVATRPSTKARLANIDGVNQHLVTRYGDQIIRVIHELSKKLDLCLDGVASMEATQVCNNSKSHTTTIKNPKDLPPAKYSAWKMWQEDGLTAEKIANFPGRSAPIKVGTVLGYVLDAAREGCAVDWGRFFNEIGLTQQIAANVKAAVFKVGSKEKLKPIKDELPEEVEYFHIKAWLTMDELGMSTDAIPSPTPKQQFNGIQEKEESSPSSKRHINPMQAVVVDIIDDDDDSPMKRQKLEEDNNTSHQPQPQLTEASLLEWLQNFQNGVTLVDILKHFNGSTGAAVLDLLSCMESEFLIFQKNNVYKIM >cds-PLY97518.1 pep primary_assembly:Lsat_Salinas_v7:5:78543418:78548009:1 gene:gene-LSAT_5X36660 transcript:rna-gnl|WGS:NBSK|LSAT_5X36660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRHEDERLLLEGRLVENDDSLYTGDGSVDVKGRPVLKSNTGNWRACPFILGNECCERLAYYGISTNLVSYLTKKLHEGNAEAARNVTTWQGTCYLTPLIGAVLADAYWGRYWTIAVFSTIYFIGMCTLTLSASIPSLKPIECVGPTCPPATPSQYLFFFSGLYLIALGTGGIKPCVSSFGADQFDDTDPKERVTKGSFFNWFYFSINIGALISSSLIVWIQDNKGWGLGFGIPALFMGVAIVSFFLGTPLYRFQKPGGSPITRMGQVLVASFRKWSLPVPIDSSLLYETPDKVSAIKGSRKIGHTDELKFLDKAAILTPHETTTGNYSNPWRLCTVTQVEELKILIRMFPIWATGIVFSAVYAQMSTMFVEQGMMMDTKVFSFTIPAASLSTFDVISVIFWVPVYDRILVPAARKITGQGRGFTELQRMGIGLFLSILCMSAAALVEIKRLDIAASLGLIDENTPVPMNILWQIPQYFLLGAAEVFTFIGQLEFFYDQSPDAMRSLCSALSLLTTSLGNYLSSFILTVVTVLTTRNGRAGWIPDNLNEGHLDRFFWLLAGLSFFNMVVYIFCAKMYKSKKAAS >cds-PLY99251.1 pep primary_assembly:Lsat_Salinas_v7:6:185392540:185394578:-1 gene:gene-LSAT_6X113601 transcript:rna-gnl|WGS:NBSK|LSAT_6X113601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase 2 [Source:Projected from Arabidopsis thaliana (AT2G26550) UniProtKB/TrEMBL;Acc:F4IUM0] MKTNMAAAMVNVPTFRPSSLSRSSCYSIHYHFRHTTVSITQISTTNSNPRSNKSIRFPAISCCFNSTTEDSTVSSSLSSPDNASTPLNDRKPVRKYQRMFRRKQPGEEGGITEEMRFVAMRLRKKKPDHERRKLDDNDNSETEDDDSGNSDREIDGSDDDDGGTDSEDPGTWEPDLRGLMSFLVENRHVFSNIERLVDESQDVSFAYFRKTGLERSESFTKDIEWLSQQNIQIPDPQSPSAKYVKYLEELAVKNAPFFFCHLYNIYFSHIAGGQVILKKTSEKILEGRELESCQWPGDPEELLKDMREKLNALGQHWPRDVKAKCLKEASKSFMHMGAIVRLMISPKAKP >cds-PLY63833.1 pep primary_assembly:Lsat_Salinas_v7:7:101950858:101955421:-1 gene:gene-LSAT_7X67461 transcript:rna-gnl|WGS:NBSK|LSAT_7X67461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATFAKPENALKRAEELINVGQKQDALQALHDLITSKRYRAWQKTHEKIMFKYIELCVDMRRGRFAKDGLIQYRIICQQVNVNSLEEVIKHFMDLSTKRAELARSQAQALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLMALYADTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPESLHFYLDTRFEQLKVATELELWQEAFRSVEDIYGLTSMVKKMPKSSLMAIYYAKLTEIFWISSSHLYHAYAWFKLFQLQKSFNKNLNQKDLQLIASSVLLAALSAPPYDHLKTASHLELEHDKERNLRMYNLIGFNIDAKLETREVLSRSSLLADLVSKGVMTYVTQEVKDLYHLLENEFLPLDLASKVQPLLTKIAKLGGKISSASSVPEVQLSQYIPALEKVATLRLLQQVSQVYQTMKVETLSKMVPFFDFSAVERICVDAVKHNFIAMKVDHMKGAIIFGDLGFESDTLQDHLSVLAINLNKSRSLIYPSQSKASKLSAMLPSLADIVDKEHKKLLARKSIIEKRKEEQERHLLEMEREEETKRLKLQKKTEEAEQKRLATEFEERKHQRILREIEEREREEAQALLNDVGKRIKKKGKKPIIEGEKVTKQSLMELAMQEQVRERQEMEKKMQKLIKTMDHFERAKREEAAPLIEAAFQRCLAEEKIRHEREQQLEVELSRERHEGDLKEKYRLARVMEHKMELEEKVVSRRKAEYDRMRAEREERLGQILKARKEERDLKRKMLFYLKTEKERLDKLREEEEARKREEAERRKKEEAERRAKLDEIAERQRKREQELEERERLRKEAILRGTPLXXXXXXXXXXXXXXXXXLPPPLLLQDLVVGNMYQGTVEVRRQRRQHRHRHSHPLIGGGVGNLRSVERLHRATSGVRLRLVVVVLAEQVVVLVEKVGLHPGFRGETRVIFFYNYGRASGCVFVHFVFKMIRGFGRLIMLRSFLFALTF >cds-PLY84269.1 pep primary_assembly:Lsat_Salinas_v7:8:115118437:115121403:-1 gene:gene-LSAT_8X79160 transcript:rna-gnl|WGS:NBSK|LSAT_8X79160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASHCTLSLSLIFLLVFTINASSPSSSSTSSEADILLTFKSAINDPMNYLSSWSNTTTTHHCNWTGVTCTTTTTVSSLTLQNLNLSGEISPSVCQLSNLITLNLADNFFNQPIPLHLSQCSSLNTLNLSSNLIWGTIPDQISQFKSLKFLDLSKNHVEGKIPDGVGSLLNLQVLNLGNNLLSGSVPNVLGNFTELIVLDLSENPFMESEIPSDIGKLLKLEQVLLQRSGFYGEIPNSIVEMKELTIVDLSQNNLTGVLPSRIGSSFEKLVSFDVSQNNLFGYFPDGICESPGLTSLSLHTNYFNGTLPNTSIANCLNLERLELQNNGFHGDFPNNLWSLPKIKVIRAENNRFSGEIPDSISMSSQLEQVQIDNNSFIGKIPHGLGMVKSLYRFSASLNGLYGELPHNFCDSPLMSIINFSHNYITGEIPELKECKKLVSLSLADNNFVGEIPESLGDLPVLTYLDLSHNNLTGEIPLELQNLKLALFNVSFNRLSGRVPSSLTAGLPALYIEGNPDLCGPGLSNPCSKDDSLHKIAGISKLACALISLALLAGILSLAFGFYVLRRSSIQKSETGIWRSVFFYPLRVTEQDLIMAMDEKASRGSSGGFGRVYIINLPSNELIAVKKIDNIRNQSFKTLKTEVKTLAKIRHKNIIRILGFCHSDDSIFLIYECMEKGSLGDLISKGDFQLAWSFRLKIAIGIAQGLAYLHKDYVPHLLHRDVKSKNVLLDAEFEPKLTDFALDRILGEITFKSSLDSNSSSSCYMAPELGYNKKATEQMDTYGFGVILLELVTGRAAEQVESNEESLDVVKWVRRKVNISNGAVQILDPKISSLCKKEALGMLEIALKCTSVMPEKRPSMCEVVVELRSIGSKNYVPES >cds-PLY65677.1 pep primary_assembly:Lsat_Salinas_v7:5:272974540:272975650:1 gene:gene-LSAT_5X142981 transcript:rna-gnl|WGS:NBSK|LSAT_5X142981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESENGSPVSNLKVEVRAGRIKETSPRYRKESHVKGGEDTIEVSTPISEFKGQSIFDRAKEEFEALVETIHPKKEYDYFVSPPKKEDGFRATIGRKLEKITSARSHNHQD >cds-PLY84123.1 pep primary_assembly:Lsat_Salinas_v7:6:192289704:192291949:-1 gene:gene-LSAT_6X118081 transcript:rna-gnl|WGS:NBSK|LSAT_6X118081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALSRGLISYLRNLSVNPQRTLATPITNQFLRSFSSDVLVNEGESYSSNRIIEAKSRVMTANSKRTGVIAVKCGMTALWDKWGARVPITVLWLDENIVSQVKTPEKEGITALQIGCGHKKEKHLTKPEVGHFRAQGVPLKRKLREFPVTEDALLPIGTQIGVRHFVPGQYVDVTGITKGKGFQGAMKRWGFKGGPASHGASLSHRSPGSTGQRDAPGKVFKGKKMPGRMGGKQRTVKNVWVYKIDPARNLMWVRGQVPGNEGNFVFIKDAWYKKPDVSSLPFPTYFSTEDEDVTCLEPIVADLGDTDPFMAAD >cds-PLY63953.1 pep primary_assembly:Lsat_Salinas_v7:4:76700468:76702321:-1 gene:gene-LSAT_4X51801 transcript:rna-gnl|WGS:NBSK|LSAT_4X51801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSKAIIKWPKQVTTSLVEQLIKAERNVEKAVIVFDSASAEYANGFRHDHTTYSLIISKLLSVNQFTRAEDFIDRMTKESCKVTEDILLSICRAYGRVHKPHDVMRIFQKISEPTLKAYVTVFSILVDENQLKVAFKFYRYMRQLGFPPNLPSLNVLIKALSKNISTIDSAINIFREMPKHGCTPDTYTYGTLINGLCKLSKIKEAKELFKEMETKGCSPSVVTYTSLIHGLAQNNLDEALALFQEMEAKTITPNVYTYSSIINGLCKNGRSLEAMEILEGMIVKRHKPNMVTYSTLLHGLCKEKKIRESLEIFDRMKVHGLKPDAGLYWKMIEVFCDDGKFDKAANFLDEMVFEGIPIKRVTWGVHVKIHNTVVRGLCRGNDGNRGFQAYCRMRGKGICVDVETFEVLVGFYCEKRDLEKAIRVFDDMVVDGCVLEEDTWSDLVCRVLGKQNVQEAVELMSKLVVFGNQV >cds-PLY92092.1 pep primary_assembly:Lsat_Salinas_v7:4:106512293:106512907:1 gene:gene-LSAT_4X69081 transcript:rna-gnl|WGS:NBSK|LSAT_4X69081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFGEIYPSELTFIFEPKKHMSCMIKLTSNSNRYIAFKVKTTDPKLYRVRPNKGILKPDSTCEIEVTRQACTILPATDTIVKQKLLIQRVFASEDTTHENIDSLFSSKDSHNDINMKKLKVVITDVIPKVVEMKSKSEEQDLTADMAKEIAHMKLHIKGLNILLTKAEETISKLKEQKSDCGCKGKKRRHCWYIKCFDVKLA >cds-PLY83962.1 pep primary_assembly:Lsat_Salinas_v7:8:39336553:39336936:1 gene:gene-LSAT_8X30341 transcript:rna-gnl|WGS:NBSK|LSAT_8X30341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYNTTGILPLRVTSHDAEGSSKVSKPKKNKEPTMKLKPVEEEVVKQIVPTKTAKGVLKRTKKTKTEKQFESDSTKPTKNPVETQAEKFAKGIPTPMSETITQECEIVGKKGFQRRLRSCSLQGGVF >cds-PLY99709.1 pep primary_assembly:Lsat_Salinas_v7:9:55434836:55437606:-1 gene:gene-LSAT_0X9600 transcript:rna-gnl|WGS:NBSK|LSAT_0X9600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHSAVVSSDGPVRNTADEVSRIVEQSKELQDSATSLISRTSEEEASLRQRALALRSNIKMLRSFIASSLKKGNLDPKNAAKMIEELTRVNFALNEGDASAYLPCKSHGRFLRMLLGPINVRANRKDVQLKVKEEYNNFRDTTAYLFLLFPSLLLVLRTWMWNGCFPALPVQLYQAWLLFLYTGLSFRENILRVNGSDIRPWWIYHHYCAMTMALISLTWEIEREPECAQKQKGIQLFLKWAIMQGIAMLLQNKYQRQRLYTRIALGKARRMDVVWGETAGVEGQLWFLCPMLFVLQVFEGYVGVLLLKTAMVGVISEWQVVTCGVLLITMAVGNFANTVETLLLKSRFKAKMKKGMVGWLCIHVWVRLDDGGVRS >cds-PLY74364.1 pep primary_assembly:Lsat_Salinas_v7:5:56571978:56575393:-1 gene:gene-LSAT_5X26700 transcript:rna-gnl|WGS:NBSK|LSAT_5X26700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTTKERDRTKIFIVVLDGPKVLREKSGVAPLSYTLRQVVQPDDEVVVLVIFNSGDLTQTPVISSCCIRTEGRNHQPNNKRDIFITKLREEISQGTEGYMRIFRPFHRECKNIGTVPCTSRKNCKFTSMFSEDEEMILYTCNPSGESSGVKNEGYRDQKPSKYKKKQSSNNEVKPIYHILPNFEGIYQPSSSSSSIGSTSEPQQETEDFEKFKDHFVEISNIASSSRGLEFLVELSWEVISEITDRFKNIINVSSNEAFQVYSGYFEDRSSAVFVKRFVGTHFNYVLEAEKKAALSMYHKNIVRLLGFHQNENAMALVFPYASRGLLMDRFLNGFWTKELEIHFADKMKIAIGIAQGLRYMHEQCPRGPIVHGDLRACNVLLGHNLEPQARPLLAQRAYHILYDESEHDFHGLLVVTTAAIRCISTRWNSSPCMSQVLSLLKGDISCAEQTFPSTESSPAATLSPDSNVWLRSNENSSIMALTPDSNLWVV >cds-PLY85634.1 pep primary_assembly:Lsat_Salinas_v7:5:75605482:75607566:-1 gene:gene-LSAT_5X34921 transcript:rna-gnl|WGS:NBSK|LSAT_5X34921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLETDHHHHHLHHNHHLPHAPPPTSSDHSLSCKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRESPEVKKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNNKQWVCDKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACVVRHAHPDLTVFQRICSSSNASNTSPSNDENLNILGVMPRMQQIHYHHKPSHSQPQEKNIELQLLPSSSLFELKNNHETHLKLSIGTEVSFHTDEEKKMNMAMTEKAFADESRQQAKRQIQMAELEFANAKRIRQQAQGELERAKRQQEQVAKKVNLTILEVTCHSCKQRFQAASNKASTTIADDTSFGLSYMSSAVTEGEGDY >cds-PLY91937.1 pep primary_assembly:Lsat_Salinas_v7:8:200816764:200816985:-1 gene:gene-LSAT_8X127900 transcript:rna-gnl|WGS:NBSK|LSAT_8X127900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRGQGCYRLECLHQKRLVSSSKYDEGPTPQSVASTDVDPNLESEPSIDSQTRDHDGANTMASSPPSLKKVEK >cds-PLY76869.1 pep primary_assembly:Lsat_Salinas_v7:3:4072995:4076085:1 gene:gene-LSAT_3X2180 transcript:rna-gnl|WGS:NBSK|LSAT_3X2180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPSDLENPIATSALPYSYAPLPDGEQPAGNDRTIRPSRKTALFLVSVLLAVAFLVTLFAGNSPMLPKNLNTSPVPASTAATPEKVTPSSRGVDKGVSEKAFRPLLGADNSYPWSNNMLDWQRTAFHFQPKKNWMNDPNGPVFYNGWYHLFYQYHPDAPVWGKIVWGHAVSKDLINWRHLPIAMETDQWYDEQGVWTGSATILSDGQLVVLYTGSTNESVQVQNLAYPADPSDPLLINWVKYPGNPVLVPPPGIDNKDFRDPTTAWKTPEGKWRITIGSKINKTGISLVYDTEDFRTFELLDGLLHAVPGTGMWECVDFYPISKQGENGLDTSVDGPGVKHIVKASMDDDRNDYYAIGTYDAYKGKWTPDNPTLDVGIGLRYDYGIYYASKTFFDQNKQRRVLWSWIKETDTEASDIKKGWASVMGVPRTIVLDKKTQSNIIQWPVEEINRLRTNLTVFKDVVVEAGSLVPLNLPSASQLDIVAEFEVDKKTVERLNEVDAAYNCAKNGGAAQRGALGPFGFSVLAHEGLAEHTPVYFYVAKGVDGNLKTFFCADQSRSSNANDVDKSIYGSIVPVLKGEKLSMRILVDHSIVESFAQEGRSCITSRVYPTKAINSNAQLFLFNNATASKVTVSVNVWQMDSAHV >cds-PLY65555.1 pep primary_assembly:Lsat_Salinas_v7:9:143841637:143844228:1 gene:gene-LSAT_0X43840 transcript:rna-gnl|WGS:NBSK|LSAT_0X43840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSIASIQKSFTYDVFLSFRGEDTRTNFIDHLYEALQQQGIYTYKDDEAIRKGKRISDELISSIKDSKFYIIVFSKNYASSAWCLDELVMIMGCHKTTEHTAYPIFYDVEPTEVRKQSGAVGKAFVKHENDEAAGKWKEALKETADLAGWELKNTANGHEAKLIQKVIEEISLQLRSIDFNADEKLIGMETRVKDAVSSLEIGTDDVRVIGIKGMGGVGKTTLARVVFDQISFQFEAKSFVENVRENSNTSLCGLKSLQNQVLKDVLFDQGNVNSVHDGKIMMKKMRNKKTLLVLDDVDHIDQLEALAGDLNWFKPGSRIIITTRDEQVLVAHRVKLILDVNLLSEEEAICLFSRYAFGGEIPIQGYEDLSEQVVRYAAGLPLTIKVLGSFLCGKNESEWIDALERLKTIPLKETLQKLELSYISLEEDYKEIFLNVACLLKRWSKPVVIEALESCGFHATNGLKVLEQKSLITITRKPFEFVHMHDHIEEMGRNIVRRLHPDKPQKHSRLWIDDEIKDILANDLGTKATRYIQFQLMGGNFEMVMKGLRKMKELRFLHISRNTRYNFAEERKYANVSQYFPNALQYLCCKAYPFKSLPPTFQANNLVALKMAQSEIVQLWEGGERKVELWLIDYFTRFIMMLYIHCFVI >cds-PLY68226.1 pep primary_assembly:Lsat_Salinas_v7:4:195130931:195134136:-1 gene:gene-LSAT_4X112920 transcript:rna-gnl|WGS:NBSK|LSAT_4X112920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLGHSKGGVDAAAALSIYWCDLKDKVAGLALVQNPYGGTPIASDIMRESEIANKETRKIMELIVCRIIKVICLSYFILSMFCGWCFDALNPSSLLSPGNQIMTLLAARTKGKQKTPDSTTPVDFEYLFRFTYCNRLGVSLIELTSSTRKSTTHTDTDDNDSAPTDAHDSHLVENYEYTY >cds-PLY82042.1 pep primary_assembly:Lsat_Salinas_v7:9:156511404:156511772:-1 gene:gene-LSAT_9X98941 transcript:rna-gnl|WGS:NBSK|LSAT_9X98941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGSMGFVVGEKITRLIEYATKEFLPLIIVCASGGAHMQEGSLSLMQMAKISSASYDYQSNKKLFSVPILTSLTTGGVTASFGMLGDIIIAEPNAYIAFAGKRVIEQTLNKTVPEGSQATEA >cds-PLY63140.1 pep primary_assembly:Lsat_Salinas_v7:4:65135553:65136499:-1 gene:gene-LSAT_4X45480 transcript:rna-gnl|WGS:NBSK|LSAT_4X45480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQSKDPFEVAFEELDESLPDSPDTHDEIMAQTLSSKPNINSQRDDLENSIHPLNQPKSRVRLASTSAAIPTNQKNKEDDEEEEEENMDVELGKFPSTGDPDKMAKMQSILSQFTEEQMSRYESFRRSGFQKSNMKRLLASITGSAKISMPMTIVVSGIAKIFVGELVETARVVMTERKETGPIRPCHIREAYRRLKLEGKIPKRSVPRLFR >cds-PLY71322.1 pep primary_assembly:Lsat_Salinas_v7:7:185348762:185353587:-1 gene:gene-LSAT_7X110720 transcript:rna-gnl|WGS:NBSK|LSAT_7X110720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear cap-binding protein subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G13540) UniProtKB/Swiss-Prot;Acc:Q9SIU2] MSSWRSLILRIGEKSPEYGGTIDHKDHIDACFGAVRRELEHSEDEILTFLLQCSEQLPHKIPLYGTLIGLLNLENEDLVKKILETTQKSLQDALDSGDCNKIRISMRFLTVLMCSKVVQPSSLVVVFETLLSCAATIVDDEKGNPSWQARADFYITCILSCLPWGGSELVEQIPEEIERVMVGIEAYLSIRRRVSDVGLSVFENINKDKLHVEQDFVEDLWGRIQDLSKNSWKLESVPRPHLLYEAQLVAGKSHDFGAISCPEQPDTPEALTEVSFGRQKHEAELKYPQRIRRLNIFSASKTEDVQPIDRFVVEEYLLDVLFFLNGCRKECAACMVGLPVPFRYEYLMAETIFSQLLLLPQPPFKPMYYTLVIIDLCKSLPGAFPAVVAGAVRALFDRISELDMECRTRLILWFSHHLSNFQFIWPWEEWAYVLELPKWAPQRVFVQEVLDREVRLSYWDKVKQSIESTPALEELLPPKGGPDFRYSSEDEDKTEYSLSTEVNGMVKGRKTARDVIIWVEESVIPVNGVEVALRVVVQTLLDIGSKSFTHLITVLERYGQVIAKICPNEETQIMLISEVASFWKNSAQTTAITIDRMMGYRLISNLAIVKWVFSQSNLDLFHTSDRLWEILRNALNKTYNRISDLRKEIINLKKNVVKAEQSAAKAKAELDAAETKLMLVDGEPVVGENPARMKRLKSNAATTKDDELSIRESLESKQALFARALEENQALFMSLYKNFRDVLMERLDDAFGENENHEHQAMAVDVDEEDNENQNPAMDMDKENGTSNSKRYTTSEKQEWCLSTLGYVKAFSRQYASEIWPHMDKLEEEIVTETVHPLFKKAVYSGLRVHIDG >cds-PLY77056.1 pep primary_assembly:Lsat_Salinas_v7:1:159801139:159802841:-1 gene:gene-LSAT_1X109521 transcript:rna-gnl|WGS:NBSK|LSAT_1X109521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREIRTVVYSQFLESYKSVTIEAMAKAFSVTVDFIYLDSQEVALCNSKLKHISFYYSVHNYEI >cds-PLY70794.1 pep primary_assembly:Lsat_Salinas_v7:3:135835174:135838934:1 gene:gene-LSAT_3X90660 transcript:rna-gnl|WGS:NBSK|LSAT_3X90660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKLVVLGIPWDVDTDGLKDYMSKYGDLEDCIVMKERSSGRSRGFGYVTFATVEDAKAALASEHFLGNRALEVKIATPKEEMRAPSKKVTRIFVARIPPSVTEDEFRSHFDKFGEITDLYMPKDPSTKGHRGIGFITFATADSVDDLMSETHELGGSTVVVDRATPKEDDFRPVSRMSHGGSSGGGGGGGGGGGGGGGYGAYNAYVTTRYAALGAPTSYDYPGPIYGRGESTRGMGKKIFVGRLSQDASVEDLRQYFGRFGRILDAYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGQQVAIDSATPVDDGGSSGGGGGAYLENPEPYGGSYGPMRGYGRMYGSLDFDDWGYGGMGRMGGMGRMGGGMGGLSGGMGGLGGSMGGGGRSSRSDMRYRPY >cds-PLY79839.1 pep primary_assembly:Lsat_Salinas_v7:8:16530168:16530356:1 gene:gene-LSAT_8X11640 transcript:rna-gnl|WGS:NBSK|LSAT_8X11640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFPSRIDEDDDPQSIVCKLIDHDHEFEIFIAPPNTIDHRSLSCEHTESIISRWARESKLLR >cds-PLY85475.1 pep primary_assembly:Lsat_Salinas_v7:3:41394017:41394778:-1 gene:gene-LSAT_3X32020 transcript:rna-gnl|WGS:NBSK|LSAT_3X32020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQYQAAAAAESWRSTPTTGYYCNYMAVRHHPTVAFDPLERVAKLASQSAVVIFSLSTCCMCYAVKSLFSGMGVNPTVYELDEDPIRGREIEKALVRLLGNSTAVPVVFIGGKLVGTMDRVMAAHINGTLVPLLKKAGALWL >cds-PLY64959.1 pep primary_assembly:Lsat_Salinas_v7:8:161569986:161572998:-1 gene:gene-LSAT_8X107580 transcript:rna-gnl|WGS:NBSK|LSAT_8X107580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2A [Source:Projected from Arabidopsis thaliana (AT1G30825) UniProtKB/Swiss-Prot;Acc:Q8LGI3] MILLQSQSRYLLQILTNRVQNIEKGVELDCHWVEFDDVRYHIQASIKNPHLLHLSVSLPTPPPETEFSGGLPFGAIEAIKAAYGSVVHILDPPRDGFNLTVKLNLSKLPSLEEMKQNLLVKIASVREVVMGAPLRVILKRLASRSVPPNVGVLVPLLHRPNESFFIVPQIEKVTVVFPMRFNDSIDTVLAASFLQEFVEARRTAGLNNAPACLWSSSPPNELKEAPEHALSANAGFVSFVISTRHVEGKKLDKTVWSLSTFHAYVNYHVKCSEGFMHTRMRRRVESLIQALDRAKLDENGGASQSKSFKRLSIEESRGNSYS >cds-PLY86004.1 pep primary_assembly:Lsat_Salinas_v7:1:49159545:49161929:1 gene:gene-LSAT_1X43100 transcript:rna-gnl|WGS:NBSK|LSAT_1X43100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLSNTMAQNHNSNVNNDVKPTIFHDFLGRDCPPVPNCAAAGDASAAGSPSASASRAGGPISTTSDLGSDRQVGSHLEGVPFYGQKSDFSGSDIGNRYAGNKRSNSDSVFLSSSRDSSHLMKMLRNVGQEQQPMRPILQQATVANGKPDSNPSNSKWERAIPVNVGPVLQYPSRTGQPLPYPYQQTLSNRFKDPTMGTSVISQSAADEGSRTGIKGSGILNSGNVSGVGEPKHKSGISVPEPGSSAPLRRLGSTSVSRQMTIFYGGQAHVFDDVHPNKVSSKVVFGMMESGKEWNADAIMAMAGSSGGSWSTNYSQNPSIKPFIGIGDNNTLSRERLNSTHGTGLSDRIPSIHGNT >cds-PLY86661.1 pep primary_assembly:Lsat_Salinas_v7:4:282033418:282035291:-1 gene:gene-LSAT_4X142020 transcript:rna-gnl|WGS:NBSK|LSAT_4X142020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKQKVMVAEAKSGMDMAMTVVPKALFAAEMKKPPLMPGGYITISKKKLLQNLEINGGTRINAWVESMRASSPTSHRKSDVSLSQELTSWMDRHPSALNVFDEITNASKGKQIVMFLDYDGTLSPIVDDPDQAYMSDAMRSTVRKLAGCFPTAIVSGRCRDKVYDFVKLAELYYAGSHGMDIKGPSKGSKHKKNPPSVLCQPASEFLPMIDEVYGLLMEKTKSTPGAKVENNKFCLSVHFRCVDEKKWSELAAQVRSVLKDYPKLRLSQGRKVLEIRPTIKWDKGKALEFLLESLGYANCTDVFPIYIGDDRTDEDAFKVLRERGQGFGILVSKIPKDTNASYSLQEPSEASFQ >cds-PLY68876.1 pep primary_assembly:Lsat_Salinas_v7:3:61344081:61346276:1 gene:gene-LSAT_3X48821 transcript:rna-gnl|WGS:NBSK|LSAT_3X48821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHHLAVISSSKLSNWVSKIKESSFSGRWQDVLSLYNDMNKAGVRLTEPSLFHPILKACSAISLAHGKSLHASVIKLGVESSTSIGNSIMDFYTKAGDVGSTISLFGCMKIRDSVSWNILISGQIDHGDLDQGIRSFIQARATGFEPNVSTLVLVIQTIRTLKALHEEQKIHGYMIKTEFLAISSLQNSLLSMYADTTMEYAQKLFDEMPNRDVITWSVMISGYVKTNKNHLALQIFKEMLSQSGPEPDEQTILSALKACTNLKNLITGRTLHGFIFHKGFHHDTFLGNSLIDMYSKCNDTDSALQSFTEIPLKNIISWNSIISGLVYNEKHSEAIHLFDTMQNAKIDPDAVTLVNLLQICKHFTDPLICKSIHTVIIRRNHELNDLVVNTLVDTYAKCNLIFIAHKLFSLINIHDVVSWSTMIAAFTYCGFPHEAIRVFHEMMIDSQHKPNAITMLNLIEACSYDSDLKLPKSGHGIAIRLGFASDAIVGTSILDMYAKYGDIMTSKKVFEMISNKNVVSYSAMIGAYGMNGVPHSALSLLTEMESHGVKPNSVTILSVLSACSHGGLVHEGLSLFRKLTETLEVKLGVEHYSCLVDLLSRSGNLDLAMKMVEGHEKGGVSAWGALLSGCRFSFNERIAEKVASCVLEMEPNNSNGYMLASNMYAKLGSWEDVARIRGLMRDKEVKSVAGFSMINVNNKSCRFVAGDRNQVLLDEIRDTIKELHEFMKMDH >cds-PLY62447.1 pep primary_assembly:Lsat_Salinas_v7:1:82366316:82369099:-1 gene:gene-LSAT_1X71481 transcript:rna-gnl|WGS:NBSK|LSAT_1X71481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLKEVLAKKTVVGLVLGQILSLLITSTGFSSSELARRGINAPTSQSLANYVMLAIVYGGILIYRKSGLKAKWYYYLLLGLVDVEANYLVVKAYQYTSITSIMLLDCWSIPSVILLTWLFLKTKYRFKKIAGVSICIAGLVLVIFSDVHAADRSQSGSSPIKGDFLVVAGATLYAVSNVSEEFFVKTADRIELMAMLGLFGAIISGIQISILEREELKSIHWSSGALLPYTGFAAAMFLFYSGVPVLLKMSGSTMLNLSLLTSDMWSVLIRIFAYHEKVDWMYFVAFAAVAVGLVVYSGFDKENHGEVVDEVEERSRYLDEEVGGLSSNKSYVAIGSSSSNSSSNSNKDVSASTSERKTGSNTQIKKI >cds-PLY89671.1 pep primary_assembly:Lsat_Salinas_v7:3:184442769:184446178:1 gene:gene-LSAT_3X110280 transcript:rna-gnl|WGS:NBSK|LSAT_3X110280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESQIPSFNRILKKFDLEKKGIQPVRVQSTKQSQELKQQSFNIITDIRYSKPIREGDFIIQPKVDFDISISTVSGLLNLGYQVVAYIEASGYIYQYGKLLMEVDHLQDVPGPYIQIKGANKEVVVAAGSALKLDGLYTTKSYLEIVLEKLPASERNSSGINAQQAAWLQELVENIQSQGSSSSSDSSPSREVISPIEGLIKENSSEVRSGKESSS >cds-PLY71952.1 pep primary_assembly:Lsat_Salinas_v7:3:24195053:24198610:1 gene:gene-LSAT_3X17880 transcript:rna-gnl|WGS:NBSK|LSAT_3X17880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADHAETLAPPSESVSIYADAVESSSPKDSRSPTQTLDRYSSSRRTKVDVHASAHMLFDKISQEEEEKLEDSKPPESQINPLMATEALEDSEELALSLSGAEANAPTEPSSPVAVDDNANDPADETDAWISCNRSENDVYKPLPSVASSSSSWYEDVYEKPSMVGAGLANLGNTCFFNAVMQCFTHSVLLVQGLFSSPHPTPCDCNNERFCLICVLREQIELSLTSTGKVVSPWKFVDNLSYFSSSFQRYQQEDAHEFLQCFLDRLESSFSNLKVKDDTLSSESENLVKKVFGGRVISKLRCCNCNHISDTYEPSVDLSLEIEDAKSLSTALESFTKVEHIEDEEMKFTCDQCKEKVSVEKQLMLDQTPPICAFHLKRFKNDGSYVEKIDKHVEFPLELDLQPYTCGNQSNNEELKYELYAVVVHAAYTSSCGHYYCYIRSAPETWCKFDDSKVTSVSEAYVLSEEAYILFYARQGTPWFSNFMETYKPLLDPNLSNTSPKSVLENLDASIIHSHDTNESCSRSIDNNNKVTVPEAKADCSIKSVAVVPNTPIYVKKENLPLTLKEYGSNRRVVTPTSSHNKIHTANPENDPNRRKTTTTFEIEDIFSPSTPPRSPGVDSSDDETSEVVFASRRSEIKLLEKPSYKRPRNKEVENYAKIEALKHCNKTMPASRRDMLMAAIKSESAVNNKRSKKMASHSPPRKNLSRSSERPIPRKLASSSSFR >cds-PLY75421.1 pep primary_assembly:Lsat_Salinas_v7:7:73762427:73776217:-1 gene:gene-LSAT_7X51361 transcript:rna-gnl|WGS:NBSK|LSAT_7X51361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHKECSVSLVLLAAMLCVYCRIDFVKASIVRTEKGFTHEAYSKLGFTKMMMSRAIQDTSSRSRRSIVEKGDSGDRDVCAEIIWPQRNNPKKLKSPCPTLKVWVPKKDGFTEFIKVNEKSEVEGGFSIAIFCHALHLLPYTVKPIFKPFINGTGKSSGTYDQLVEHIKGKKCHAVAGDVTIRGHRAQHVDFTIPYLNSEVYVLAHASHEWNQTLWTFLKPFTWRLWITIVGVCLFTGVALAILEYREKNPSFSSPIYNQLIMVIWFPISTFFFQEGKIQNKCSKVVLLIWLSMIFIVIQIFTATLSSWLTLDQLRPRLPPTFEHAGYQDGSFFKDLITEKFKCSDKNLLPLKSVEEYKSALTSGIVSVVVDELPYIELFLAKYGSEYMKFGPINQESGIAFAFPRGSLLLQDFSRAVINVTESEIMMEMKKKYLGFSTNDTSQPNQTPPQSLDVQSFIGLFIFMGTIIVGAIITSEVSIMRRNKKVHPVKSSTDL >cds-PLY65883.1 pep primary_assembly:Lsat_Salinas_v7:3:108683520:108685377:-1 gene:gene-LSAT_3X80180 transcript:rna-gnl|WGS:NBSK|LSAT_3X80180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVRNKQVLLKNYVDGFPKESDMAVTSDATINLELPKNEPGSILTKNLYLSCDPMMRNRMTQTYEGAYMDSFTPGSPLVGVGVSKIVDSTHPDFKKGDYITGHVNWEEYSVIRDPQFLTKIHNTDVPLSYYTGILGMAGMTAYVGFYEFCSAKKGEYFFVSAASGAIGHIVGQFAKLAGCYVVGSAGSKEKVDLLKNKFGFDEAFNYKEEENLKATLKRYFPEGIDIYFDNVGGKMLDAVLPNMRLHGRIASCGMISQYNKLDQPEGVYNLQHVFFKRLKILGFTFYDFLHLYPKFYDLIFPLIRERKIEYLEDTTEGIENGPAALIGLFSGRNVGKQVVAVSHN >cds-PLY65713.1 pep primary_assembly:Lsat_Salinas_v7:5:272686923:272688597:-1 gene:gene-LSAT_5X143260 transcript:rna-gnl|WGS:NBSK|LSAT_5X143260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEISSIPFLNQEEYSYFYSFFQELDNNSNIGNISINKRRKIDDPAGENNSLKEILNTISFLDDLDIPNSTFDLDFQKPSSNFASETTERVRKTPPPKFDGGASSSTVAEEMVSGQYHGGGAGGPQRRLWVKERSKGWWEFYNSDECPDMEFKKAFRMSKATFNMICDELDSAVTKKDTMLRMAIPVRQRVAVCLYRLATGDPLRTVSGLFGLGISTCHKLVLEVCAAIRTVLMPKYLQWPNEARLEQIKAEFESISGIPNVSGSIYTTHISIIAPKVSPAAYFNKKHTERNQKPSYSTTVQGVVDPHGVFTDICIGYPGSMPDDKILEKSALSQRFNMGHLKDIQVVGSTSYPLLDWLLVPYTSQNQTWSQHSFNEKIGEVQKVAKDAFMRLKGRWTCLQKRTEVKLQDLPVVLGACCVLHNICEMNNETMDADLGFELFDDEIVAGENARGKMVNAVQVRDSIAHNLLHHSHGGSGFR >cds-PLY77596.1 pep primary_assembly:Lsat_Salinas_v7:2:164466638:164468515:1 gene:gene-LSAT_2X87340 transcript:rna-gnl|WGS:NBSK|LSAT_2X87340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLITMAFSFLPFLAFSVFFIFNPCIVSATSADTNFSCSADSPPTCQTYLTYRARSPYMDLGNISDLFDVSRLSIAEASNLTSENQEIVFNQLLLIPITCSCNGSIYFSKVTYSIKKGDSFYVAATSVFQNLTDYHYVQDMNPSLNPTNLTVGDQVVFPLLCKCPTKLQKTQDKYLITYIWQPEDKLSPVSSIFNTSSYDIDKENNFRNFTAAVCLPVLIPVSELPIFPPPPHLDNHLSDVKSQKSKKLRLVFIALGTIGSFFLFILLLFMVYKYRSRKIKRIMARNESSFEFTDLLHMKKGSKVEGFEPTTKNNQDKLLPGVSGYLSKPIMYDRKEIMEATMNFSERYRIGGSVYKAVIQGQIVAVKKFRDATEELKILQRVNHTNLVKLMGISSDFDGNCYLVYEYAENGSLDKWLFPLSSSSSSSSGSVVFLSWSQRLNLALDIANALQYMHEHSQPSIAHRDLRTSNILLDSTFKAKIGNFSAARPAISSIMLKVDVFAFGVILMELLSGKKAMETREDGEICMSWKEIKKILEVEEERREENLRLWMDPNLGSFYPIEGALNMAVLARACTSEKSANRPGMSEIVFNLSVLAQSSSDMYERCWTSPETEDPHLISPVVAR >cds-PLY89005.1 pep primary_assembly:Lsat_Salinas_v7:3:72786658:72789116:1 gene:gene-LSAT_3X62121 transcript:rna-gnl|WGS:NBSK|LSAT_3X62121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQTPTPPLPSLSATTTAPSATYPESLDSSPRSRNTDSWGEDNSVHPSNNGSMTVAPQAGLTKLRLMCSYGGHIVPRPHDKSLCYIGGDTRIVVVDRHTTLSDLTQRLSKTLLRSSSSSSLVSTTASFTLKYQLPSEDLDSLISVTTDEDLENMIDEYERLNQSSDVSKSSRLRLFLFPTKPESASSIGSLLENSTKSEDWFLNALNGTTSGFSDTSSVNCLLGLEDDVPVPEKKDADQKGIIGKNPKGNTSAQDVHSVPDLERTSSFGSASSSPSLASLPPIRVHVDDSLKVGGIEEQFSQMSVQQQLHKHTDDGVYVAAPASTVVTGVPLSSTAAMPVDYPNRVLSEDERSDQGSQIAYQKQQQQQYQQQKQSMGFDLASSDSVSSDGRQKPPMMYQDPLVQIQTSNNNRSDPNNLSDQNTRIQMQQQQIPESAYIMSMSNNQVDPQHPQLHHQQQQQQPQFIHTAVPPPQYIHHHPSGAVPMASYYQMYPSQNQHHPQHPALDQQNFVYYMPATRQPPHGYNLQLQQQPTSYAEALPATAAPQAPSPAYATARAPQTAAKSELPAGVYGTTNSGNSQFVQVPSSQHQPQYVGYSQLHHPPPQSVASSASGGGGNYGYEYGDPAQGQHIYYAAQPMPPQSTAQYQTMTGGESGSYLPTENSTKQQQGRTQ >cds-PLY69928.1 pep primary_assembly:Lsat_Salinas_v7:4:69883186:69886175:-1 gene:gene-LSAT_4X48181 transcript:rna-gnl|WGS:NBSK|LSAT_4X48181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIGRLLGPRYWYGGWDGKKWLLDVFVLDTMSLEWRELAVTGTLPPPRCGHTATMVEKRLLVYGGRDNEAPGWTQLKLPGQAPAARCGHTVTSGGHYLLMFGGHGTGGWLSRYDVYYNDCVVLDRANTEAPAARAYHSMTCIGPRYLLFGGFDGKLTFGDLWWLVPEDDPISKRSEVIQETRNDFQSETKVLVRKLEELNSRRYSRRKMNDTADLVVGLPSFATCITDDDLRESAYEILLAAAGASGYLLSPFCFVALSYYSWACLNMLEEGLVNHPVVGFGESGRKASEMRILLARIEESESFAPSVGELQRIECLRSLREIAIALAERPARGDLTGEVCHWADGYHLNVRLYEKLLSSIFDVLDEGKLTEEVEEILELLKSTWRILGITETIHHTCYAWVLFRQVITNDKLVYYSNFKSYKFIFFFFLQFVKAKLLVIMYFI >cds-PLY89791.1 pep primary_assembly:Lsat_Salinas_v7:1:7673862:7674461:-1 gene:gene-LSAT_1X6441 transcript:rna-gnl|WGS:NBSK|LSAT_1X6441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARLPKGGSGRKKIQLKRIENEKERAVTLTKRYGGLFKKANELATLCGVQIAIIVFSLSGKPLSFGSPGVPFIINKFLNLNQADQQPDDFITRFKKSYNESRLQNLNQELDELNEQLANEKKRGQMLKERLKAMLGCETHEEYIGSLGIHGLMQLRSKLDEMKQHIERSDDEIIGASSSNDEAEVDLSKIGAPEDYLKL >cds-PLY81513.1 pep primary_assembly:Lsat_Salinas_v7:8:157310376:157312452:-1 gene:gene-LSAT_8X103901 transcript:rna-gnl|WGS:NBSK|LSAT_8X103901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANVGGGAPAIGIDLGTTYSCVAVWKHNRIEIIPNDQGNRTTPSWVAFTNTEQLVSDGAKNQITKNPANTLFNVKRLIGRRFSEAMVQDDIKLLPYRVIQGPNDMPKIMVTQMENEQQFSTEEISSMILKKMKEVAEAYVGQTVKNAVITVPAYFNDSQRQATKDAATIAGLNVLRMINEPTAAAIAYGIDNMLGLTGKRNVVVFDLGGGTFDVSILTIDEVGKFEVKAVAGDTHLGGEDFDNRMVDYCVEEFKKKYEMDLTGKKRALGRLKAECEKAKRVLSYATQASVELELLHKGIDFSMTFSRAKFEELNMSYFTKCIEQLESCLADANMTKGNVEEVILVGGSTRIPKVQLMLQEFFGGKELCKNINPDEAVAYGAAVMAAKLSGDTTKMIKEMMVYDVTPLSLGIETKGDIMTVVIGRNTRVPVKKTHNFVTSEDNQTVTRMAVYQGERSKSTDNYLMGSFTVSGIPPAPKGVSVIEACSEIDDNGILTVTAKIVSTGRTEKLTVNNYGGRLSKQDVDKMMKDAEKFKVEDQQFKRKAQAYNGLDDCIYHLRKKIRSNDVPPKDLKNMQYALAETTRWLSNGRVAELEEIERRKKYLYLLSRFPFQK >cds-PLY90438.1 pep primary_assembly:Lsat_Salinas_v7:MU039599.1:7560:12135:1 gene:gene-LSAT_0X40560 transcript:rna-gnl|WGS:NBSK|LSAT_0X40560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVTKPNKTEFSECFKIIWGKPYIMLLALSAGIGGLLFGYDTGVISGASLYIRDDFQEVERHTWLQETIVSTAVAGAIIGAAFGGWMNDRFGRKKSIMCADFLFMIGSIVMALAPNPWVIITGRLFVGLGVGIVSMTSPLYISEASPARIRGALVSTNGLLLTGGQFLSYLINLAFAKTRGNWRWMLGIAGVPPLVQFILMCFLPESPRWLYGQNRIKEATEILEKIYPANEVEQELKSLQLSIETQKEDERSTGDGPFSKIKSAFGNKIVRRGLYAGITVQVAQQFVGINTVMYYSPTIVQLAGFASNRTALALSLITTGLNSIGTVLSMLFVDRFGRRRLMIVSMIGIIVCLVVLSIMFFQASIHAPIVSVIESNHFGVNSTCLDFKMASSPASWNCMTCLRASSDCAFWACLVADTVTRSTCRAQHRTWYTYGCPSKFGILTVLVLGLYILCYAPGMGTVPWIVNSEIYPLRYRGTGGGIAAVSNWVSNLIVSETFLTLTEALGSAGTFLLFAAFSSVGLVAIFLLVPETKGLQFEEVEKMLEKGYRPTLCCSKDEEIKSAS >cds-PLY67641.1 pep primary_assembly:Lsat_Salinas_v7:2:207399207:207401544:-1 gene:gene-LSAT_2X127761 transcript:rna-gnl|WGS:NBSK|LSAT_2X127761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAILLLLLMALLSRATGHEVKSSSNFPLVVSTWPFLEAVRAGWRAVNGGSSSIDAVVEGCSTCEVLRCDGTVGPGGSPDENSETTIDALIMNGATMEVGAVAGMRYVKNGIKAARLVMLYTQHTMLVGDQASAFAISMGLPGPSNLSSTESMEKWIKWKENQCQPNFRKNVVPENSCGPYYRKKDVNVGEKTCLVEDVAEHIRMKSSYVDFHNHDTISMAIFDKSGHIAVGTSTNGASFKIPGRVGDGPIAGSSAYGDDEVGACGATGDGDIMMRFLPCYQVVESMRLGMEPRVAAKDAISRIAKKYPNFVGAIFAVDKNGTHAGACYGWTFQYSVRSPSMDDVEVITVYP >cds-PLY96234.1 pep primary_assembly:Lsat_Salinas_v7:7:182528956:182529291:-1 gene:gene-LSAT_7X108440 transcript:rna-gnl|WGS:NBSK|LSAT_7X108440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAMKEDLDELVAIEKMMEEELKVQEEEEVDEIEEDFYEEMEIVDEEMGGELEETTLVNMELDKEDPKGEDKMINKAKK >cds-PLY76728.1 pep primary_assembly:Lsat_Salinas_v7:7:61271225:61272701:1 gene:gene-LSAT_7X43541 transcript:rna-gnl|WGS:NBSK|LSAT_7X43541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFLVVIAILSSAAAAKVTYNVTSFGAKGDGNTDSTKAFLSAWSSACNSTKSATIYIPTGTFLLATAITFAGERCMSSAITIRIYGTLVASSEYNAIANSGDWIRFHRVNHVTISGGTLDAKGASLWSCKTSGKSCPKGTTSLGIYNSQNIVISGLKSVNSQMFHILIDACTNVKLQGVSISASGVSPNTDGIHLISSTGVTILNSKIATGDDCISIGPGNTNLWIEKVACGPGHGISIGSLGWQLEEPGVQNITVSTVTFRGTENGVRIKTWARPSHGFVTGVVFQHVTMVNVQNPILIEQKYCPEGNNCPNQVSGVKIKDVVYEDIHGTSASQVAVKLDCSEGNPCSGIRLQDVNLNYIRGNQTQPAVSSCAYAAGTASGVLHPTSCL >cds-PLY62712.1 pep primary_assembly:Lsat_Salinas_v7:6:56410548:56411186:1 gene:gene-LSAT_6X41100 transcript:rna-gnl|WGS:NBSK|LSAT_6X41100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANTFVGFEERAVDALREGKCIPNGPTPPIYFIGPLIVGGNHVDPSENECLKWLNSQPSKSVVFLCSGSQGVLKKEQLKEIAIGLEKSEQRFLWVVRDPPPDDKKTDSNSGGGKEVGLDAILPDGFKGRIGDKGMVVKNWAPQPAILSHESVGGFVSHCGWNSVLEAVVAGVPLVAWPLYAEQKMNRVYLVERIDTRETEILIQVRLRGKNI >cds-PLY62079.1 pep primary_assembly:Lsat_Salinas_v7:2:55748505:55755127:1 gene:gene-LSAT_2X25321 transcript:rna-gnl|WGS:NBSK|LSAT_2X25321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase/prephenate dehydratase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G07630) UniProtKB/Swiss-Prot;Acc:Q9SSE7] MAATISRSPIIPPHNHPITSTSTQKLPSQLKFRRFPISVSASTSSSIQGGTSEGKSTSLPRPLTSTDLTGIPSEGSRIRVAYQGVPGAYSESAAEKAYPNCEAVPCEQFETAFEAVERWLVDRAVLPIENSLGGSIHRNYDLLLRHRLHIVGEVKLAIRHCLLANHGVKIEDLKRVLSHPQALAQCENTLTRLGIVREAVDDTAGAAKHVALHELEDTGAVASAAAAKIYGLNLLVQDIQDYSDNVTRFLMLAREPIIPRTDRPFKTSIVFSLDEGPGMLFKALAVFAMRQINLTKIESRPLQRRALPTHTESTNGFPGSFPYLFYVDFQASMADQRAQNALSHLKEFATFLSVLGSYPEDITI >cds-PLY93205.1 pep primary_assembly:Lsat_Salinas_v7:8:109350411:109352685:1 gene:gene-LSAT_8X75181 transcript:rna-gnl|WGS:NBSK|LSAT_8X75181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCCGSCLKKKENESTQDGATTNNCQTAPPRGPLYGGGGSMRAPRTNGAGPQKDLPIEAPSLSFDEIIKMTDNFGPKSLIGEGSYSRIFYGKLEDGEEVAVKKLDTTSSTEPESEFKEKLSMISRLKNEYFSELRGYCLEKRNRILLYQYANKGGLHEILHGKKGGNEGGIVLNWNQRVKIAYGAARGLEYLHEKVQPPVVHRDIRSSNVLVFDDFQSKISDFSLCNMSSDNTARLHSTRVLGTFGYHAPEYAMTGQITHKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPRLGNDYPQKAVAKMAAVAALCVQYEADFRPNMTIVAKALQPLLNSKQSASES >cds-PLY63875.1 pep primary_assembly:Lsat_Salinas_v7:1:126034918:126035919:1 gene:gene-LSAT_1X95341 transcript:rna-gnl|WGS:NBSK|LSAT_1X95341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSPFSGQAVKVAPSGSELSGNGRVSMRKATVKQVASGSPWYGPDRVKYLGPFSGESPTYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRIGGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVANNAWSYATNFVPGK >cds-PLY67096.1 pep primary_assembly:Lsat_Salinas_v7:5:282804858:282805073:1 gene:gene-LSAT_5X148241 transcript:rna-gnl|WGS:NBSK|LSAT_5X148241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRHLPTVAQPTGDPVTDEDMDEVAIIGGTNVRSHCCHRGLLSLPPLTATFVDGVAAAVTVHHRGWLRPDF >cds-PLY88129.1 pep primary_assembly:Lsat_Salinas_v7:9:7514440:7515529:1 gene:gene-LSAT_9X7101 transcript:rna-gnl|WGS:NBSK|LSAT_9X7101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKDTKDTNLLVACVGFFVGLYRGVVMFSRSLSTYKLKGIWVNVFCPEFIQSDMAEKVDLLKLEFKRDELPLLRRGID >cds-PLY66061.1 pep primary_assembly:Lsat_Salinas_v7:2:206679356:206680326:1 gene:gene-LSAT_2X127141 transcript:rna-gnl|WGS:NBSK|LSAT_2X127141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLVMPKLINLLSSLLQRAAESNDLNRPLHTQKISIFYGLIRPNISIEKYLERIFRYANCSPSCYVVAYVYLDRFVKSQPFLPINSFNVHRLLVTSVLISIKFMDDICFNNAYYAKVGGISTVEINLLEVDFLFGLGFQLNVTPETFRDYCTYLQSEMMMGFPPVCSAPPVLTMGADHCAIINEDDCQSQHHQPQLAV >cds-PLY94641.1 pep primary_assembly:Lsat_Salinas_v7:1:40648204:40653476:-1 gene:gene-LSAT_1X36080 transcript:rna-gnl|WGS:NBSK|LSAT_1X36080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKEASCCSTHLIEGDGTFNGEGLDNFIKEVKLAECGLSYAVVAIMGPQSSGKSTLLNNLFHTNFREMDAYRGRHASIFLASFLLSQTTKGIWMARCVGIEPCTIVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMLRLFSPRKTTLMFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPEAHKQTPLSDFFNVEVVALSSFEEKEEQFKEQVANLRQRFFHSIAPNGLAGDRRGVVPASGFSFSAQQIWEVIKENKDLDLPAHKVMVATVRCEEIANEKYSFFVTNEDWCDLKDAVQSHLVPGFGNKLSSMIEASLSSYDEEATYFEDGVRSAKRKQLEEKLIQLVQPTYQLMLEHIQLETLDKFKKALQDALNGGQGFAKAAWNCTESFMTLFDEQCKEVAIKQADWDSAKVRDKFSREIDSHIAEVQTAKLSELNALYESKLKVALEGPVAALLEGGGDDTWPAIRKLFHQETKTTISDFSDALSGFEMDKKANEEMVSNLENFARGIVEGKTKEEAGKALYRMKERFTSLFNHDADSMPRVWTGKEDIRAITKIARSSSLKLLSVLAASRLDVDGDKIGDTLVLALVDHKKDKNTPMQDPLVSSTWEEVPATNTLITPAQCKSLWSQFQRETEYTITQAIASQEANKRNNNMLPPPWAIAAMFILGFNEFMTLLRNPLWLLVIFVGYLLFKALWVQLDISGEFSNGMLPGILSLSTKFLPTVTNLLRKLAEEGQKPVVTQPQVLGSNFQGVVSSSGSSGVTMENGNGTEYTSPTTHVKEQ >cds-PLY70058.1 pep primary_assembly:Lsat_Salinas_v7:5:201616200:201618340:-1 gene:gene-LSAT_5X90640 transcript:rna-gnl|WGS:NBSK|LSAT_5X90640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLYDCMLLLKPAVKKESLIELVSRVGKHVYRRNGVITDLKSLGTVQLGYGIKKLDGRYYQGQLMQMTMMTPPSFNSELHYLNKEDRLLRWLLVKHRDIKFGGEFFNEDDGRSDLKMMRSSIYDVGSEEEDDDDDDDVEEYDAAFQQQNNDV >cds-PLY68227.1 pep primary_assembly:Lsat_Salinas_v7:4:195118158:195118908:1 gene:gene-LSAT_4X112941 transcript:rna-gnl|WGS:NBSK|LSAT_4X112941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPDSDTILNIKYKISGDRNHGSHTPMFEDESSQMLTFKSALVLQRPVLEPCLAVGFLPLPPEGLRVGQLFTMKWRVERLKYLEDEQYDEVVYEINANSENWMIAGRKRGHAPLSTKQGSRIEISILCVPLVAGYMRPPQLELPDIGEGNISCNPAGPHLVCVSPPPLSSSFCIPIPIPA >cds-PLY94339.1 pep primary_assembly:Lsat_Salinas_v7:7:165505566:165509175:-1 gene:gene-LSAT_7X97240 transcript:rna-gnl|WGS:NBSK|LSAT_7X97240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYQCDHCGEARSMVYCRSDAAYLCLSCDRVVHSANALSKRHSRTLVCDRCNSQPAVVRCVEEKVSLCQNCDWVGHNGSTTHSRQTLNCYSGCPSAVELSSIWSFMTELPSVIDSTCEQGIGSMSIADNSQGPSRSNNNQDVVANESNLLTGSSSVHVDDKQENVVQQVGQLNSSSSKNKDLEWIKVSHSGKKDAEACDDDDDGFYVDFNMDEVDLSIENYEELFGVGHNDPEHLFAKDGIDCLFGGAESNCHGSYAAKESSTGHGNQVQPACSNAASADSLMSCKTEPNPCYGRQHSNISFSSLTGESSAGEYQDCGASSSMLLMGEPPWFIQGGGGGGGSDQTTTAIGSRSDAVLRYREKKKMRKFEKRVRYATRKARADVRKRVKGRFVKAGDAYDYDPMSQTRSY >cds-PLY89934.1 pep primary_assembly:Lsat_Salinas_v7:8:68816346:68817082:1 gene:gene-LSAT_8X48561 transcript:rna-gnl|WGS:NBSK|LSAT_8X48561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEGNAENYFISIRISGIDIWRGLPVPWFDWVDNCNMWLPHDWYVRFSGILMFVRAEDLFLDIKISMKQGIDEDFQSHLWNKSNDSLKNHNPDTYVGYVSFSSLRHTGCLNSTYNVISFSYDEHLYVDGRWFGAVLLPKGDRMQTTKFITDSSEFGNEEEVYERKTFYIQHDSNSCIKILWNPFSHF >cds-PLY68642.1 pep primary_assembly:Lsat_Salinas_v7:8:97886251:97886436:-1 gene:gene-LSAT_8X68820 transcript:rna-gnl|WGS:NBSK|LSAT_8X68820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIITKSPFLMRELDEWIHSSDGSGCGVSYGDLYAHTKEKNTFWSIFVVAALMGIVTIGQQW >cds-PLY74178.1 pep primary_assembly:Lsat_Salinas_v7:9:13158367:13158597:1 gene:gene-LSAT_9X11280 transcript:rna-gnl|WGS:NBSK|LSAT_9X11280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNHPKQVVVKYKSGLQENTRADANAKSSMLLAYTGIVPLIARMCLAGNGKKRVVSWEEKSQEMWKKEFFHPQPKH >cds-PLY87908.1 pep primary_assembly:Lsat_Salinas_v7:2:28048046:28048754:-1 gene:gene-LSAT_2X14741 transcript:rna-gnl|WGS:NBSK|LSAT_2X14741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein 20 [Source:Projected from Arabidopsis thaliana (AT3G18360) UniProtKB/Swiss-Prot;Acc:Q9LS54] MGLSQFHAKEEQHDGGAYDQTPLKINKDSNFITRLPPSSSTTSSTTTSDGLTNKPQQQQPQPPPRRPVIIYTHSPKVIHTHPRDFMALVQKLTGYTPPPEDTQQTQDRRHHRGQGNTEDNESTSVVTEEHGSSVNDIPQVNSCFVDGGVGVGVTAPPYRAAGFDPYFNPTPVFSANPTDFFCGSTHQLPFYNPDSLFLNRNSLSSSSSSLRVLKEYPDI >cds-PLY91473.1 pep primary_assembly:Lsat_Salinas_v7:7:142470657:142473179:-1 gene:gene-LSAT_7X85141 transcript:rna-gnl|WGS:NBSK|LSAT_7X85141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFRSVFDAAFIRTEFDQAGINTQFIPSIWKYVVQNPNCEWREIPSLPSAAYSLLSSKFKPCTSVVDSVLDSIDQVTTKLLIKLQNGASVEAVIMRYDTRLGKYGGKPRPGGPRSTLCISSQVGCKMGCTFCATGSMGFKSNLSTGEIVEQLVHASRLSPIRNVVFMGMGEPLNNYNALVEAIRVMTAFPFQLSPKKITVSTVGIIHAINKLHGDLQNINLAVSLHAPVQDIRCQIMPAARAFPLEKLMNSLAEYQKKSQQKIFIEYIMLDGVNDEEQHAHQLGRLLDTFEVVINLIPFNPIGTLSKFGTSGDEKVTKFQTILRSSYNIRTTVRKQMGQDISGACGQLVINLPNKKPPTLTDIEDLHL >cds-PLY88128.1 pep primary_assembly:Lsat_Salinas_v7:9:7463845:7465325:1 gene:gene-LSAT_9X7181 transcript:rna-gnl|WGS:NBSK|LSAT_9X7181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIRGLPITLDCCHPVNVVEAAVTISDVVYSPIYAAAFSSTKIKYPPIYSYKPIPLGATSRISVPFAASCSKGDSGESLNDCSDTDLQQVSEPPPTGADDIEVKIEKLSKNRRRIRSKVAVEASLETIWGILTDYDRLADFIPGLAVSQVLDKKINFARLLQIGEQKLAFGLVFNAKGIVDCYEKDFERLPCGQRRDIEFKMIEGDFSLFEGKWSIEQLTDEKRFDQQYHTTLSYAVDVEPKMWLPVQLVEGRLCKEIKMNLFSIREVAQKASDNISSF >cds-PLY70557.1 pep primary_assembly:Lsat_Salinas_v7:1:89087637:89090214:-1 gene:gene-LSAT_1X75060 transcript:rna-gnl|WGS:NBSK|LSAT_1X75060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNINTPSAKSKWSTGLCDCGSNPATCFITCCLPCITFGQIAEVVDEGQSSCVAQGFIYGVLMIVQCHWLYACMFREKLRSKYGLPSDPCNDCCVHCCCDACALCQEHTELKNRGLDPSKERRFLCHMDSTFLFKECRRLLPVAYLNIFRYDNRTWQNCQRWRMEASPTLLRFPHFDLKISSFSSSSFHGCSPSQSSCTFSLRFNIRNNSNKKTAFGISTTKRRRHTGTGNNYNKKLRRNNISHTEITGKLVLDDNYLEIRGKHVDITEDRPINLRSLSQNGDPLGRKDLGKYS >cds-PLY91358.1 pep primary_assembly:Lsat_Salinas_v7:8:45390350:45393195:1 gene:gene-LSAT_8X34680 transcript:rna-gnl|WGS:NBSK|LSAT_8X34680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMSPEQKQAILRMGFGSILQVNITSYPGQLSYYLLDVYDADSKRLVLQNSVIEITEQTVHDMMGLPFGGEDINELPLCDKGNQILEEWRGQYSGDKFNGEEYLRRIQATTKDNLMFRLNFLTLFVNNFIESMLMGTNQIKVVRKLVLVEDFSKLNWCKYMLDCLGSRKKLWKRDDKSSYYSGPITLLILVYVYNMKYSIKIDKRLPFIGHINGAKLLKVQKLEISLGGFGRQFRDEHDDVDMGNETGAEEQQMLSFKRDFGDEEAYAAVIEHSYGVILTEKSTMEVALKDGLLKFPHSVVLNEWMEKMNELFKGVFEGAGNKKVHEPACFNEVNMNDVGDGGEGNSSLVRGLILTEVNTEKENNYTTPVDTTSLTMTQFHRLPGVNEEMIKLLEETELQVYKKKKQMSVISGDNLVGRNIGEAVDNAGGYDDNDKREKRIPKKAKVFHLPYIERIVKVGEKLSKDETWICNSVFASTRDDGDEIWDIGTGHLMHQGFAYQFNHGMFLHSKIIDCWAAFLNKMENYKDESSLSRFFFDTTIGTEDILNELKSEDMKCRLFATLLRIYTKKFDVKPSFRDVALVLFPIVDDGKYYLLIFDLRSSLYYIVDHVKRTGTLERKYGMIPNLVKKLFCNYLTSQHHPMAKTLTFKAARVMNISWLVEKAGTECGIYLMRHMETYMGEYEGRWECGLTGKMPADVSATIKLRTKYMARLLTFDFNKFKSMIVKDFEAFRKLDILEQDMLLRESAENRKKKRKTKGRR >cds-PLY64824.1 pep primary_assembly:Lsat_Salinas_v7:8:264905846:264906929:-1 gene:gene-LSAT_8X155020 transcript:rna-gnl|WGS:NBSK|LSAT_8X155020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATMDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLLSKEATVGEKQFSSQGHQGNFSKNEGAGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIEPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGVNFNGMFSSPIEIDITSAPSATAASSPVKMSLSGQSRPKHTL >cds-PLY72424.1 pep primary_assembly:Lsat_Salinas_v7:3:190577578:190578487:1 gene:gene-LSAT_3X113040 transcript:rna-gnl|WGS:NBSK|LSAT_3X113040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRLCISCVNRLFHRYLPFQLLTFDFKGVDILTAINKDQILQMVRSGAEMVFNSKDSTITNEDIDIIIAKGEEDESKVDFKKIVSDTWVEPPRVSCGNKRSITIRSRNKKMFHNSNVVLGYIVRENLLIAMYNKIPLIPMYYNFLT >cds-PLY89395.1 pep primary_assembly:Lsat_Salinas_v7:4:120924531:120926990:-1 gene:gene-LSAT_4X75941 transcript:rna-gnl|WGS:NBSK|LSAT_4X75941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPLMYASTLIMLVFFLIKLYYFTRPSTKRNLPPSPPKLPVIGNLHQISPLLHRSLHSLSQLHGGPLMLIHMGCIPTLVVSSAKAASEIMKTQDLIFANRPDVKMWRKLLCDLKEVSVAPYGEYWRQVKSIMVLHFLSNKRVESSSEVRDEEIAFVVNKIKKSGNQVVDLSDMFVTLTNDVVCRLTFGRKYSEGESGRKFKNMLREFFEILGGLNLEDFIPQLAWVDRLRGLNDKVERVAREVDEFLDGVVEDRLKKGSAAGGGGGGEDFVDILLKIQKDDNIGVTIDRLAIKALLLDAYTAGTDTTATVLEWTFTELLKHPKILKKLRDEVRMVLKGKSKINHDDIDNMKYLKAVFKETLRLHPPIPTLIPRVASQDAKVMGYDVMKGTRVIINAWAIARDPKVWDEPDEFKPERFLDCAIDYKGLDFDLIPFGAGRRGCPGIAFAMATNENVLANLLLKFDWELPNGKEEDLDMTERPGLTIRKKTPLLAVATPFTS >cds-PLY93495.1 pep primary_assembly:Lsat_Salinas_v7:9:87265192:87270581:1 gene:gene-LSAT_9X68780 transcript:rna-gnl|WGS:NBSK|LSAT_9X68780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLCVEDMDIDEVGAPDSQKKVEQSFSGKTTPNIDTEYSNQISSVKIYSLAHVGDKKIQSLEPEDVSMDEGFTFNVRGYITLYLDFKDIDMDVDRAPDTQKNVESSLSAEVCEEVIVIAQVSEPNTVILPDAAADVESSKISSPATQEADIVVEDQPRSPILRVSLLHVNNKEKVEVGGNGEKGTPQVDSSPLPLVKKDLDSHGDLPVGSSDVGSSEQTVDVNQSGLKFFKPLETTSLSCDLPEEANNADAGSLLDSKESMAGEGGVVPLNLTVNISNEDQASVSVAVPPVHEIEGGSASLGPTYMDSFFHYKGVSKTVVLNMSTLSLSVITTAEGRVSLASKGLAMVKIS >cds-PLY69051.1 pep primary_assembly:Lsat_Salinas_v7:3:206631099:206632253:-1 gene:gene-LSAT_3X121241 transcript:rna-gnl|WGS:NBSK|LSAT_3X121241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNNLACPNLNICPLFTSPLLATASLVLSFSFNALLKAGRVPGFTTMLCISNHKPQFIVDPVATLLPLSNLDFRRSFTTISTTPHQQHHHGFFPLTVAFNHLKKPSLPFIINVTTTISIAHIPLLHQRLRIWHGCRVLEPIKEQYYIVSYDDFYQLLLMVFDTRCNCTFLLLE >cds-PLY62153.1 pep primary_assembly:Lsat_Salinas_v7:5:7085440:7086698:1 gene:gene-LSAT_5X6180 transcript:rna-gnl|WGS:NBSK|LSAT_5X6180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSILPHHLHLHHAVAISRHHRVYCTATTPPKNNRPKLQKLVINVTPPKLDDPSLKSTWAHRAWVATGCTTVLLSLTNSIIGSIDTHIWVEPILSGFVGYLFADLGSGVYHWGIDNYGDASTPVFGSQIDAFQGHHKWPWTITKREFANNLYALARVITYILLPIDLIAHDQPVVMGFVGMACGCIMFSQQFHAWAHGTKSKLPPVVVALQDAGVLVSRSQHADHHRQPYNNNYCIVSGIWNKFLDERKVFEALEMVVFFKLGLRPRSWSEPNTDWTEEMEPSLTTSSPP >cds-PLY79332.1 pep primary_assembly:Lsat_Salinas_v7:9:54724084:54724461:1 gene:gene-LSAT_9X49261 transcript:rna-gnl|WGS:NBSK|LSAT_9X49261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGATSTNSLMIKLQPKIYDHIMLTVRISFIPSVCSQVPVIVIRLPEPRGLSVETSTNNRRFLMVFPLLTAALSTPPDIWCQIVAPFLIFSIIELAISVASIVQVREEVEEKARDEFRSLCASRMR >cds-PLY97988.1 pep primary_assembly:Lsat_Salinas_v7:8:286844287:286844529:-1 gene:gene-LSAT_8X162360 transcript:rna-gnl|WGS:NBSK|LSAT_8X162360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVASGRQWVAAPLLGFPALVNRKEGMSGDSAWKQLGGGCGWSLVAASHGPRGRMEAGDVCSNNGGSTQWWPIVVVWVARK >cds-PLY82720.1 pep primary_assembly:Lsat_Salinas_v7:2:145836965:145841253:-1 gene:gene-LSAT_2X71921 transcript:rna-gnl|WGS:NBSK|LSAT_2X71921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATDTDKKKSENKGEKLIHEVFSWSLDDVLNKNFYKSKVAEIPKTFPTVSDYTKSFVYPLYEETHADLLSKMLGVNRSPTAEVTKIKKSKDFRLPKALLYTIVLKRRQGFYSPEVGDLIALTDVKPKSVDDLKRPDKPYLIALVQSMKANKSNYQLFVLSSKPIIPEVVEMDIRKDVTSYSRMNVNHFVVYLTNLTTNIRISQALHAELEGERKKIIEKVLRADSSSVEESHLEFSVDTTEDLTLLKIKKFLKSFQLDSSQEAAVLSCIAARKHRDQNTIKLIWGPPGTGKTKTIGCLLFMLLRMKCRTLTCAPTNIAVVGVTKRVLSFVKDSLPYGTYGLGDIVLFGNGERMKIDDCKDLSEIFLEFRVKILADCLAPRSGWIGSSEWMIRFLEDPEQQYRMYLREKVQCDEKESDSSDTDEKAHSEEDEDEEYLIPDQKEKSEDSLLKNALKKNNWKTLIVSTLKGNKKLSNSETTHEDVNEGPSKQKSDKKNFQEIILTFEHFVTNGFTFLGNHIIYCLESLYTHMPTSIISLEEAKQMVELVSSLQSLGDSLKQTIAGNLDLKEALNGLDDSRKESISNLHAWRIASLQILKCLQNTLCFPDLKDEFETRRFCMANSCLIFCTASSSINLHTEGMSPLEFLLVGARHAILVGDERQLPAMVQSKISEEAEFGRSLFERLVSLGHKKHLLNVQYRMHPSISQFPNKKFYAKQILDGMNVKRITYGKSFLQGSIYGSYSFINVTSAKEEFDKSHSMKNLMEVAIISEIISSLYKESVARKRRVSVGCISPYKAQVNAILEKLGNKYMDSEDYFSVNVRSVDGFQGSEEDVIIISTVRCNGRGSVGFLSNHQRTNVALTRARYCLWILGNGSTLLNSGSIWRDLIVDAKDRGCFHNVSEDKNLAQAAMGALIELRQLDSLFNMDSFLFNDTKWQVKFNDTFLETIASFGDTKICKEVVTILLKLSSGWRKDGNNKVNLEGTSMLLEVYEVTQNLCLIWAVDIVVQNSLCIQVIKIWDVLPATKIEQLAKILVEKVYGNYTVNMMNRCKEKRVEGNLTLPVTWPMNSDTDQSWSLINQLATLSLRNQPKSSSSSRASSVWLVLGPWMVIQDLMEEEVGGEGERNLLILLRN >cds-PLY99004.1 pep primary_assembly:Lsat_Salinas_v7:5:28601969:28603271:-1 gene:gene-LSAT_5X14241 transcript:rna-gnl|WGS:NBSK|LSAT_5X14241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQFPAFMTQYPSSTRMIPTSLLLTPQWPQPHSEELLLAIEESDFEDKCNEIRKINMEQIVIGKMTDDNEKEELDNDADDDDADNAEESEGDEFEQETG >cds-PLY71005.1 pep primary_assembly:Lsat_Salinas_v7:9:69868718:69870693:-1 gene:gene-LSAT_9X60220 transcript:rna-gnl|WGS:NBSK|LSAT_9X60220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 7 [Source:Projected from Arabidopsis thaliana (AT2G40760) UniProtKB/Swiss-Prot;Acc:Q1JPN0] MQSFPVLSCCQRRTPLAFLPLRMLSSSSSNPNPSSNSLYATMNPNPDSSSPSSSMKNPNLVPLFTSPRIPHINCKGISQGVTFSNGFSSSSSSVAANPASVSGIDESGDAEELQLVVVSFYKFADFPDHADLRKPLKDLCENLYISGGIILAPEGINGSICGIRKSVEKVLEFIQSDDRLKGLRQIESPVSPEEEAIHHGHTSSSPLAAGEDAPFRWDHVRVKLKKEIVSLGMPSVSPTEKVGKYVSPNEWNSLITDPDTVVIDVRNDYETRIGKFKGAVDPRTTAFREFPSWVDDEFQIPSEGQKCPPPRVAMYCTGGIRCEKASSFLLNKGFKEVYHLEGGILKYLEEIPKTESLWEGECFVFDKRVSVKHELVPGNFKLCYGCKKPVSDADMESPEWEYGVTCPYCYASKSEEEKERARARQRQFETWGVIGGPDKGRKPIKVKTTDTVRLD >cds-PLY89331.1 pep primary_assembly:Lsat_Salinas_v7:7:177587601:177590651:1 gene:gene-LSAT_7X105241 transcript:rna-gnl|WGS:NBSK|LSAT_7X105241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGVSLDLRTQSYGSPQQPQNGSLQIQNGFIVRKSSRMSLSGSREKDKLLLVLCRYLSRKKVVMLILVSFALMAFLSGFFTTNREDSSESTIFDHFNDAYNTSLQFSRKFGSQKDDNSSIQTTLSVCEENPPIHHFSPPLSSESTIFHSNFCQNFAFPPPPPGDRRRPGPRPCPVCYLPVEEAIASMPRVPSPSPVLNHLTYFHEERSTKTEPHEGSDFGGYPSLKQRNESFEIKESMTVHCGFVKGCRPGYKTGFDIDISDLVELEQFHDIIVASAIFGNYDVIQQPTKISDHAIKNIPFYMFIDEETESYMRNSSLLDYRMKVGLWRIIVVHNIPYTDSRRNGKVPKLLLHRLFPNVKYSIWIDGKLQLVVDPYKLLERFLWRHNATFAISKHYRRFDVFEEAEANKAAGKYDNKSIDYQIDFYRNEGLTPYSEAKLPIISDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFAAVRDKIMGQVDWSVNMFMDCERRNFVIQAYHRDLLEHMPPPNLRRNRDSIPVSVSVRGNPIGRNPVKKSPVKRGKGERRTRSRRHSKNPSNRDNMVF >cds-PLY66132.1 pep primary_assembly:Lsat_Salinas_v7:7:30731571:30735407:1 gene:gene-LSAT_7X23661 transcript:rna-gnl|WGS:NBSK|LSAT_7X23661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAILMGVCGKTEGATVTCEPQYGFLPCTSAPWGSLFVIVVYQYLMSVGQSYISNGSDKFFSLIGPGIFGASFFHILANFPMLFLILESGLSNDAQGASFSAAMGMNVLAGSAVMSLTLIWPSVIAFGSYDLADDDDTISPQSSEEEPSFCTKLTAYGLTTDGETSFTATVMLVSMIPFLILQLPKIINVDSVTQVIELITLIITLAFFVANAVYQIFRPMIQNRRFDYVRQKFVKNKLLKLLSTNGKANVQLIREVYKGLDKNHDSKVTSAELKTLLMGIQVQADGDLSEDLVERVMDQLDLSGDESIQEEEFVTIITKWLQDARKSLSKNDYNPLNFFTTPQVVVADEEQQEALIPTTTQVTVDQASIWEYLEALALVLVGTIVTALIALPLIMNVVSFASATGVPSFLIPYFIIPCAINIPRLLSTINSASQKTQRAASLTLSQIYVGVFTSNMSSLASFLLIVYIKDVPWDVSAEVLVVLVICGVMGVFTSTRTVFPLWTGYAVYLLYPTSLIMLYLLTSVWGWT >cds-PLY87857.1 pep primary_assembly:Lsat_Salinas_v7:3:44276028:44276234:-1 gene:gene-LSAT_0X9081 transcript:rna-gnl|WGS:NBSK|LSAT_0X9081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVMXXXGRGGVSGVGCRGDGEGGNGGGGGGGGGGGSSIGRYGCGGGGNNGGRNNGCGGKKYHIIVLT >cds-PLY85020.1 pep primary_assembly:Lsat_Salinas_v7:4:222327792:222328809:-1 gene:gene-LSAT_4X120340 transcript:rna-gnl|WGS:NBSK|LSAT_4X120340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMTGEEMYGIVTGWLEDHKRVRAEEKHAQQHERSQVFMDVLISVLEGASPEEFRGFDHDTIIKATCLTVLAAGLDTSSATLTWALCLLLNNPRVLKLAQDELDEHVGRKRAVEESDLKNLVYLDAIVKETLRLYPPGPLSLPHESMEDCIIGGYKIPKGTRLLTNLWKIQHDPNKWSDPEEFQPERFLTSHKHVDVRGNNYELIPFGSGRRVCPAIPFALRSLHITLATLIQQFVLKKPSNEPIDMSETPGVTISKAIPLEVLLAPRLSLDMYPVAA >cds-PLY70910.1 pep primary_assembly:Lsat_Salinas_v7:9:15225298:15228612:-1 gene:gene-LSAT_9X13060 transcript:rna-gnl|WGS:NBSK|LSAT_9X13060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEISETMGTELSPSEEDRKENSETLIFDHKTMRKTKPGLKRLALTLSVFFSFLLGLPWLLKSIEIYRSPLPFRDIDSLSNAIDSKPFLYPCKFHVVFVNLDHSTPVKSNAEKLGFLVTNHMLRFADRNSVCGTCENNYTVSVSLDSGNDCVEYGDVDQERAWRCGALSKLNHDETLKNEDNFDDYLESVVGRNRVYTVVVVNTGEGDRIRSVVGKYRHAWIVGRVSEMNVLAEKVAEIFIKIFVNGLKEEESIQGEFMPAGADGKIVLSFNLLNADPSDWIYDWDFQEVDEKLLAPTLEALGPIANISVESQVLYHTPKSSYSYWDAEQESHVFSTKDLPFFVNSNEWHVDTSIAAGGRSKILQFVVYIPSANECPLRLQLPNGEISVTNGFISPTWGGVVILNPPNCLENTNSMHPLRRKVSPQLRQLFGLKSSGFHKGTSGTSLLLASERGFTEWELDVLARKHACYNLRQCGATLGSLSRLVQSLPRMIIKDEIGKQVKFSLEAAKLAQSNASQGIYDASAVSSRHARSLAEDAFFHPSVMSVSYYSFEHNFAVYSPFFLPLSLHVILAVVREMKRYKQETRKYTAWKAARKPEF >cds-PLY89384.1 pep primary_assembly:Lsat_Salinas_v7:4:122792555:122793533:1 gene:gene-LSAT_4X77520 transcript:rna-gnl|WGS:NBSK|LSAT_4X77520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAPVGFLVVSLLLSCFATTCYGVTFSSLQRTLEVTASPTXVTLHQHRTKAGDANITVTWGLNRTIQAGTDSAYKTVKVKLCYAPISQKDRSWRKTVDEMKKDKTCLVKIVAKPYTASNNSFTWTVERDIPTGTYFVRAYAFNAHDEEVAFGQTTNDKKETNLFKIQAITGRHASLDIASVCFSAFSIVALAGFFYMEKSKGKASQQK >cds-PLY65275.1 pep primary_assembly:Lsat_Salinas_v7:1:151748575:151748985:-1 gene:gene-LSAT_1X105940 transcript:rna-gnl|WGS:NBSK|LSAT_1X105940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPETGRFQVVQKEVLVADILNANTTTDDQNVTYTSDLSETDDYEGFLDLGFMPQAVGPDIPLNVVYPDSYFEGDVPQGTNSDIDYDNNKLNPQNRKASFLGGTQDTEVGSPAAGNPSISPPTKKSKKSKLIFYLNE >cds-PLY74559.1 pep primary_assembly:Lsat_Salinas_v7:7:35415719:35419337:-1 gene:gene-LSAT_7X27121 transcript:rna-gnl|WGS:NBSK|LSAT_7X27121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMRVILALFAMLVHAQAIDIAKLRVFAAKNNVSCIFVFGDSSVDPGNNNNLITDQKVNFLPYGKDFYNGRPTGRFSNGRLATDLIAEALGHTKTIPAYLDPNLTNAQLPNGVSFASGGSGFDDLTAELSNVISLPKQLDYFRQYKARLGRLVGKKRAQKIVTNGVFLLSMGTNDFLQNYYIEPTRAKQFTIDKYQDFLISAMTKYIKEMHVEGARRLAVVGMEPFGCIPLIKALRGTAECDDVYNKVALTFNTKIKTLMATLKPSLGIINFYTDIYSLILDTVQNPRKYGFIEASKGCCGSGLEFGPSCKGLSTCVNRSKYVYWDAVHFTERMYKIISEEALQSLMKTLA >cds-PLY73936.1 pep primary_assembly:Lsat_Salinas_v7:8:215544447:215550557:-1 gene:gene-LSAT_8X135340 transcript:rna-gnl|WGS:NBSK|LSAT_8X135340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKDKELMTFEKVHLEAAIDVINNRNSVAKFSRAYHDAPNELLAYLEFMRNDKNEKRQIHALYFWNYEMMVKREKTELKSGDFGTLE >cds-PLY61999.1 pep primary_assembly:Lsat_Salinas_v7:4:312696824:312698368:1 gene:gene-LSAT_4X158101 transcript:rna-gnl|WGS:NBSK|LSAT_4X158101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGEWMEVWRRRNWNTELTYYYVMGFPDGIRKEELREPFNMFGKVADVYFGLKKDYQIRNFAFVRYAGIKDAKAMELKLQGIKCRGKIFEINISKHQQKPKQYQRQETFRQPIPRKNIQTNNNHHAQQYFSNDSGNKTYAQVISEKNGNNPQQPASITLNPNTFMRDWLKKGVLIGETLSLDHMANFHALGIINEETKYLGCLKLAIHFRWSVEAKEYLKDKKRWQDWFKWLVMANQYDMDYERVAWIKITGVSLQLWEEKNFSIIASRYGRVINPFDDIENIRDYSMGKVGVLTSVMKWINDEITITSNGRECKVGVVEYTDDWSPLRTAPFDKVGESDEDDDNSEGISETWMEDQMEEPEEDEIQPEDVTETDIQLDDHQIPATVILSPKKSLTLEGNEKSPNNLPLKPNHEAARINALTNINSGMSYEIPNVFSKLTNTYNKEHTPINGPLDTYTLGLLEKLVPLGCFGPFPNNNMPFSFTSPSAQKMIHILIQIVEVDPNTKKEKEIK >cds-PLY91125.1 pep primary_assembly:Lsat_Salinas_v7:3:82615012:82615206:-1 gene:gene-LSAT_3X64300 transcript:rna-gnl|WGS:NBSK|LSAT_3X64300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKVDDGGSVDVGFLVTKGEGCRHVKMIVMGTIRASFTFHVFIVNDAQLLDRGHEESERYQRKF >cds-PLY85017.1 pep primary_assembly:Lsat_Salinas_v7:4:223533895:223534433:-1 gene:gene-LSAT_4X123560 transcript:rna-gnl|WGS:NBSK|LSAT_4X123560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNWRSEHSSLSLHKYILYDTLSHDFLLQTQNFQLIDLLFLFLEPTRSHSALLAGYFTKVCSTSITASIHVGEMEKELEEAVESEDFETAERVSDSLASAERNKELLSVALRDAKADCDAIDSDMQECLELQIVTEEECATLLQIFVVGTVSSF >cds-PLY96853.1 pep primary_assembly:Lsat_Salinas_v7:2:109145376:109145675:1 gene:gene-LSAT_2X48661 transcript:rna-gnl|WGS:NBSK|LSAT_2X48661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILFPRIIQVKQIMRRSLSNGSGTTKSTDIPKGYLAIYVGEQEKKRYVVPVWLLSEPAFQELQDQAEQEFGYVHQMGGLTIPCSEYTFSDVASQLGAL >cds-PLY84547.1 pep primary_assembly:Lsat_Salinas_v7:1:31189048:31190255:-1 gene:gene-LSAT_1X27041 transcript:rna-gnl|WGS:NBSK|LSAT_1X27041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSIVDASPSFNTHSSNNLTDIADRVVQELLRNDNGFGDDIFDFGVDNNRENTGEDEGVMTNTPTGNLKENEEDTPNEDEIEFEFPVVCRDSNLFPVSTADSQISRSYPLFDRSLLLDVDPNSSNDVDTSGTDPKPSPAARHSLMMLFREDLSASSSSSEADDLDRISPGTYCVWNPKSESHGKHKKSNSISFDNNSKRWKVRDLLKRSYSDDNYSAGKVDIFTPPIATKQKMNNEKVKKTEKTAKLTSAVDGGGIYSKTESNTPVHKAKAGGNRLPPYLPYRPDQQGLYANFTGSSRNFYRY >cds-PLY65707.1 pep primary_assembly:Lsat_Salinas_v7:5:274863774:274874749:-1 gene:gene-LSAT_5X145761 transcript:rna-gnl|WGS:NBSK|LSAT_5X145761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIDKERAGVLVGTGMGGVTMFSEGVRALIENGPRKITPFFIPYAITNMGSALLAIAIGFMGPNYSISTACATSNYCFYATANHIRRGEADMMIAGGTEATIIPIGLGGFVACRALSQRNDDPKTASRPWDKDRDGFVMGEDAGILMMESLEHAMKRGAPIITEYFGGAVNYDAYHMTDPRSDGLGVSSCIKSCLEDVGVSAEEEEITGLVLLCMQAESLEEAIAIVNRNRAAESSGESANPAVVGRNLQLAAMFGVWYLLNIYPNIFNKQGLIVPTNGGVKVVVTGGGGDVPLGNKGIRGGSGGNGTNGIRGGNGTGGISGGNGGNGFRGGNGTDGIIGDNGGNGIKGVNGGNGLRGGNGGNGISGGNDTDGVKGGNVGDGIEGVRRDDGASGKPFDSISGGTGGKRRPATVAWRLLEKMRVARRGRMTRAGLIVPATGGVNVVVTGGGGDVPLGNKGIRGRSGGNGTDGIRGSNGTDGISGGNDGNGFRGGNVTNEISGDNGGNGIKGVNGGNGLRGGNGGNGISGGNDTDGVMGGNVRDGIKGVGRDDGASGKPFDSISGGTGGKRRPAAVAWRLPEKMSVARRGRMTREVEAIL >cds-PLY86755.1 pep primary_assembly:Lsat_Salinas_v7:8:185275673:185279275:-1 gene:gene-LSAT_8X121301 transcript:rna-gnl|WGS:NBSK|LSAT_8X121301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGACFSSSSSTNQTTNTGNLSSAISQTTITSTTTNSSSSGISSNVSGNSQFSAASGGDDRQPGGQILPHPNLRVFSFAELKTATRNFRSDTVLGEGGFGKVYKGWIEDKSSSKNSAEVDFLGRLQHPNLVKLLGYCYEGTELLLVYEFMQKGSLENHLFGRGSTVQPLPWDLRLKILIGAAQGLAFLHTSEKQVIYRDFKASNILLDGSYNAKISDFGLAKIGPSASQSHVTTRVMGTYGYAAPEYVSTGHLYVKSDVYGFGIVLVEMLTGLRALDTNRPAAQQNLSDWVKPYLADRRKMKDIMDSRLEGRYPSRAAGQIAQLALRCMENEPKTRPSMKEVVEKLEQLDAINGRPRVPRVHHSSHHYSQPQHTQQRSPHHRRSPQPHAVKEGSRGSRRSPKGW >cds-PLY99268.1 pep primary_assembly:Lsat_Salinas_v7:2:63744234:63745739:1 gene:gene-LSAT_2X29401 transcript:rna-gnl|WGS:NBSK|LSAT_2X29401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTVSLILLLAGVLSACILTTTAQNCGCAPGLCCSRFGFCGSDEAYCGAGCQEGSCFGPPPTNDVSVADIVTDAFFDGIVDQSDSSCEGRPFYSRAAFLEAVGNYPQFGRVGSEDDSKREIAAFFAHVTHETGHFCYIEEINGPSRDYCDEDNTQYPCNPSKGYYGRGPIQLSWNYNYGPAGRSIGFDGVNNPEIVATDPVIAFRTALWFWMNNVQSVLGQGFGATIRAINGMECDGGNPDTVSSRVRYYTQYCDQLGVAPGDNLRC >cds-PLY68956.1 pep primary_assembly:Lsat_Salinas_v7:4:363422426:363426055:-1 gene:gene-LSAT_4X179560 transcript:rna-gnl|WGS:NBSK|LSAT_4X179560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKHYRPPGKKKEGNAARYITRSQAVKDLQVSLSVFRKLCIFKKVFPRDPKKKVKGNNHTYYHMKDILGLKHEPLLVKFREARTYEKKKKKAMSKKNKDLVERVSTRKPSYTLDRPILERDLDDGLTMVHLFAALPAIERENIQVERIHKCRGLSLEWQAYVSRTHKLRKAFISVKGIYYQAEVEGQKITWLTPHALQQVMPQDVDYKIMLTFLELYENLLGFVNFKLYNSINLKYPPILDPRLKASASDLYALTRYVENAANENEDDEETRACKTLFKDMTFFLSREVPRESLLFVITAFGGVVSWEGDGAPFEESNQSINYQIVDRPTQSHRFICRDYIQPQWVFDCINARIILPTQDYIVGKVLPPHLSPFVDNEAEGYVPEYAETIKRHQAAARKEILPMPGGEQDDLDNAQNLLAEGIIDRTKAKEAAERKRKVPSLLLYKFTFLVLVLWSSSRIWSPKESFFLLAPCLIRPYIPMME >cds-PLY96662.1 pep primary_assembly:Lsat_Salinas_v7:7:45965761:45967038:-1 gene:gene-LSAT_7X33800 transcript:rna-gnl|WGS:NBSK|LSAT_7X33800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLQRVHEVKFAFIFVILSVVTVSRMTLGADDKDKEECTEQLVGLATCLPYVGGNAKSPTPDCCNGLKKVLNTNKKCLCVVIKDRNDPDLGLTINVTLALGLPTVCQAPANVTKCPELLHLSPNSTDAQVFLQYGHSTAGAPANSPVPSASANVTSGTSTKRPSGNVSGAERTWLKWEVILMGVMSCILTLTIVI >cds-PLY68136.1 pep primary_assembly:Lsat_Salinas_v7:8:232800633:232803914:-1 gene:gene-LSAT_8X141180 transcript:rna-gnl|WGS:NBSK|LSAT_8X141180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEFDFFRDDDEDGSISHTRRFCASTKIAFSQHFRNRTERHALLVEGINGKTLLRPIISIQSWRIGILFFAFGNALNFISFGYAAQSLLAALGSIQFVSNIAFSYFVLQKTVTLFLCRVLVATTFIVLGNIFLVAFGNHQSPVYTQEQLAKKYSNITFLFYCLLLVVVVLMHHYVYRRGELLLAIPGKDLMRYWKLLLPFSVSFL >cds-PLY92200.1 pep primary_assembly:Lsat_Salinas_v7:6:74609876:74611946:-1 gene:gene-LSAT_6X54441 transcript:rna-gnl|WGS:NBSK|LSAT_6X54441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVPPTPVSPHDDAIRLYKAFKGFGCDAEEVISILAHRDATQRAHILHEYRRLYSDDILKRLSSELSGNLQIAVSLWMHDPATRDATILKKAMTKNYINLETVTEVICSRTSSQLQTLKQIYHSTFGTYLESDIELQATGHHEKILLACLSKPRYEGMEVDREMAAKDAKALYKAGEKKLGTDEKVFVHIFSERSRAHLVAINSFYHDMYGGSLKKAIKGETSRLFELALLTILQCAENPAKYFAKLLNKSMKGLGTADTTLIRVIVTRTEIDMQYIKAEYHKKYKTTLNDAVKSETSGNYQTFLLSLLGPNH >cds-PLY80889.1 pep primary_assembly:Lsat_Salinas_v7:8:125962745:125963685:1 gene:gene-LSAT_8X87980 transcript:rna-gnl|WGS:NBSK|LSAT_8X87980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHIIISIAIPESSGASQVRCSKRCVAENCNSIGIRYGKYCGVGWTGCPGERPCDDLDACCQIHDECVEKKGMTNVKCHEKFKRCIKKVQKSGKAGFSRDCPVDTAVPTMQQGMDMAILFSQFGNSKLEL >cds-PLY89870.1 pep primary_assembly:Lsat_Salinas_v7:3:252296739:252298032:-1 gene:gene-LSAT_3X139140 transcript:rna-gnl|WGS:NBSK|LSAT_3X139140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFDPVAFRDSIVLFVERYKDQEISFVAVDRVSGFVKGAVSGLQNQPIINVVIDGDDIIYRDYIDISIAVGTPKGLVVPMIRDAEKMNFAEIEKANSGSLSIDEMARNVHG >cds-PLY99116.1 pep primary_assembly:Lsat_Salinas_v7:8:70629917:70631216:-1 gene:gene-LSAT_8X50921 transcript:rna-gnl|WGS:NBSK|LSAT_8X50921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKSVRLEEGDASKVLVPVGSNKGVSVMDLVLRLVGIAGTLGAAIAMGTNEQTLPFFTRFVVFNAEYDDFRSFRLFVIVNAIVCAYFVLTIPLSIVHIMRSAARGSRILLIIMDTVMLALLTAGASAAASIVYLAHNGNTSTNWLPVCQQYGDFCQGASGSLIGSFGAVVVFILIILLGAIALSRHAKRVVL >cds-PLY98571.1 pep primary_assembly:Lsat_Salinas_v7:1:39014255:39014584:1 gene:gene-LSAT_1X33460 transcript:rna-gnl|WGS:NBSK|LSAT_1X33460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFLSVQRKSAATIPLIRSTPLNPNATTTFPSLLASMSPSTTVVSSPPQKTHNRHSLIQKVKCGVRSLLSPQPSYYCTANTPLCHRLFTDLTPLNPTPTPKLFSPLDSL >cds-PLY73461.1 pep primary_assembly:Lsat_Salinas_v7:4:179231784:179234059:1 gene:gene-LSAT_4X106160 transcript:rna-gnl|WGS:NBSK|LSAT_4X106160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDMWNRELLLSQRSSSMEERFWTEIGSGWITYTLAVVTLVFVFQFARFQIIPRFLLFINPRFGSVEQSNTPTVSLPPSSQSHRISDIITDLDLKLLIDNLDETTHENWENVVDKRNNSLSYHVKCCKPKDGGPLKYLSTTVFHSCSSDTLRDFYMDNFYRKEWDKTIIDHEQLQIDESNGTEIGRTIKKFPFLTPREYILAWRLWEGSDKTYYCYSKECEHPLAPRQKKYVRIGLLRSGWRIKEGKNSCEIKMVHQEDAGLNVDMAKMVFAKGIWSYVCKMDNALRKYSDIKRLQLTSVVSAITLVQKVPLELESTRRIEEIGHPEVSQERKVSRKPSKKLIANGLILVGGAICLARGHNSLSGKLVMAFVLSKLTKRHQKKE >cds-PLY64099.1 pep primary_assembly:Lsat_Salinas_v7:5:230977141:230977424:-1 gene:gene-LSAT_5X109681 transcript:rna-gnl|WGS:NBSK|LSAT_5X109681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVIIFSSTGKLHEFASSSYHLTLVMRKC >cds-PLY71152.1 pep primary_assembly:Lsat_Salinas_v7:9:82455464:82458095:-1 gene:gene-LSAT_9X66001 transcript:rna-gnl|WGS:NBSK|LSAT_9X66001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAPRSLRRTVMEAVSAILGGELHVGIFLKGKKVKDNDRTLQQTGISRDSDLKSLGFTLEPNLFQAIIPSPLEKEAPFQDKNHEMIATSAANSSLDYHVDENQEFVPLQTELLKEGMSLVQVTKKCEASQRRIRRPFSVSEVEALVEAVETLGTGRWRDIKLRAFDDANHRTYVDLKDKWKTLVHTAGISPQQRRGEPVPQGLLDRVQAAHSYWSQHQRKHQTKPVQILAGSSVESVNL >cds-PLY67600.1 pep primary_assembly:Lsat_Salinas_v7:2:78180560:78187024:1 gene:gene-LSAT_2X34521 transcript:rna-gnl|WGS:NBSK|LSAT_2X34521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAADSESMNMEAKEDYHSNNEASSCNDNSEDDWEAVADRAPDELLSAEVKLSKLRVEDKKDQTPTARRGRGTFSYEKQKEGLYLYSDQQQSSFSIQDDSLNQTTSQGHQPTTDLKYGTRHAVVLEGFQANMSTSGLENLLDKFVSHDFVIRWVNDTTAFAVFRTPAIAVEACNLIKCPFTVRVLDENDVLLSSIPEKDLEPPRQRPKTSARTAQRLIAHGMGLKLPSTSFTQKQLKEQEAARKQRILSRQNLKDDAWGGPTD >cds-PLY66644.1 pep primary_assembly:Lsat_Salinas_v7:1:52024530:52026716:-1 gene:gene-LSAT_1X42220 transcript:rna-gnl|WGS:NBSK|LSAT_1X42220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQPIYPEDAIPWVGLYVFLASLICTLAMAADALTGFLQWKLWFPCRFFTINAASLTLIAIAMKLPVDLNTDMSVNKTISTIFFIVMLGNSLPSLGLMDDTELLTNMVAFGILIITITVNVWIQYATAKEELVYVIPMTMLICSLPWPFSIALTIPASRKILQHRYKELHRLTSNHQEINFSNKRLIRHVKKYWMMAETGNPQFAIACSPVSSAFGVICLCLACSLFFLFVQGGWISFTYDHSRSGYYEKSEYKWSMKFIIIMQTVGTIVGSIAPILRCLTSISHFDLSKKWTKNHLNVFRVEKYWTQILHLWKRNHVGLGIPGRHSKKLLHNFKNMILNFCIALQIMIVVICKTICLIPRSFLILFSCCCYFCKSLLKRFRQEPNASNTNVIADTEEYTGYVLQTEAEAKLSKKILRKSFNSITRILQESEEKEPMNLLKLLKKSTGFNGVLEFDNDQVPPLHPEEIQNCWSLVAVTLTAIALSLPNIENGQAKRLLASMNEGIEFVRHIEESLNTNGELVKARKAARHVWTDVEVYCTWLQIDLQKKACKGETSQKILEWLGDEAVNTVIQVKRSKDGSPDHSLRNFIAANSMYRISQTILLHCNQQQNWPTDEELFEFISTIIADLLCACFTNLPRVITLRCHADAIEKREESIRTAAVLLGKSKKIMELLEARKLPNLDMNSMAYIDKWHALPKSLISTCYFSYACRIHPASLSSCESIEITIV >cds-PLY92149.1 pep primary_assembly:Lsat_Salinas_v7:8:4812193:4814028:-1 gene:gene-LSAT_8X3860 transcript:rna-gnl|WGS:NBSK|LSAT_8X3860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVWFTVIIDQEKESRSRNRSTHQVKMIGQATEMMGLTYFMLDQSKKDAEYCTENTFNTFVDINASWCGLWWIEMLKTSGQDKEGVGVCFHFSYEESLHSAVMLAPIMLRKCRFHFILSGDLYKKWDQSNGAMDPKVPKEFVSVHKEV >cds-PLY71740.1 pep primary_assembly:Lsat_Salinas_v7:3:45264640:45270095:1 gene:gene-LSAT_3X35721 transcript:rna-gnl|WGS:NBSK|LSAT_3X35721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSTFTSQITSPNPFTPFLKTPSFNFCSFPQTQHHNHRRRRWRSHTPLTVISLHNNKADVPLQLLTSAGIQSTEKRQDKVLLSNVITRISKPIVFTVFWIVIGLCPSRGFHQAPAIAAPVTSEALKTRKSKSKQGVSNWKDHEFSDYTRRLLETVSRLVRIIEEVRSGNGDLKNVEAALKDVKLKKKELQDEIMTRLYAELSVLRGKKMELDRKSGDILDSMMKSKREKDRVLERGGKKTTKEQVLAELDESISYKEKEFMETSEETDEIEDQMLRRETMTLSIGVRELCFIERESITLVENFIRQMKQKDSDRVPKSSNTNLSRPEIKKELQTAQKQLWEQMVLPNVVGNEDFEYPFDQNSIDFSQRIKQSLAESREMQKNLEANIRKKMKKFGDEKRVILNTPVDEIVKGYPEIEMKWMFGAKEVVAPKAARAHLFHGWKKWRDEAKTDLKTKLLEDVEFGKEYVAQKQERILLDRDRVSSRTWYNEERKRWEIQPIAIPYAVSRKLVENARIRHDWAAMYLTLKGDDKEFYVNLKEFDMMFEDFGGVDGLYLKMLASNVPTDIQLMWIPFSELDIRQQFLLPIRLSRQLLIWLGKFNLRDLSAVNMVIDWVHNMNKEIMAIIVFPILDFLIPYQTWLDLSRYTTMKKFLNWKIKAEREVKSLNEGEEFNWYFLFAVRTFIYGYILYQIFRFIKRKTPKIGFWPKRKSVNMQKLRRLKYFFRARLQRAKAKKKEGVDPITHAFDQMKRVKNPPIRLKDFASVEFMKEEINEVVAFLQNPRAFQEMGARAPRGVLIVGERGTGKTALALAIAAEAKVPVVEVKAQQLEAGLWVGQSASNVRELFQTARDLAPVIIFVEDFDRFAGVRGKFIHTMKQDHEAFINQLLVELDGFEKQDGVVLMATTRNLKQIDEALQRPGRMDRIFNLQRPTQTEREKILQIAAKETMDPQLIDFVDWQKVAEKTAFLRPVELKLVPMSLEGAAFRTKVLDTDELMSYTSLIATFSGSIPTWLRKTKLAKAMGNMVVNHLGLTLTKEDLQNVVDLMEPYGQISNGIEYLTPSIDWTRETKFPHAVWVAGRGLIAALLPNYDVVDNLWLEPLSWEGIGCTKITKAKTEGSRFGNVESRSYLEKKLVFCFGSYVASQMLLPFGEENILSLSELKQAQEIATRMVIQYGWGPGPDDSPTVYHHGNAVTGLNMGDNHEYEMAAKVEKMYDLAYEKAKTILWSNRNVLEKIVEELLEFEILTGKDLERIISVNGGIREKEPFYLEKFHNEEVRIQCSLIYLILL >cds-PLY88197.1 pep primary_assembly:Lsat_Salinas_v7:3:211816062:211816873:-1 gene:gene-LSAT_3X124440 transcript:rna-gnl|WGS:NBSK|LSAT_3X124440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLENALGYQHLISCGYCNIFICPDRCSLGMSNGYVHVLEPVDPDMKLEPDK >cds-PLY66750.1 pep primary_assembly:Lsat_Salinas_v7:1:167837438:167848266:-1 gene:gene-LSAT_1X112541 transcript:rna-gnl|WGS:NBSK|LSAT_1X112541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRKTEFMFLLALKFTLFIRLADSVVPQDEVEALEEIMTLMGATNWRLNGNSCQLEVISEMPKPHPEADAKVQCDIDCNNDNSTDCHVVSFVHKFYSLNGVLPRELVKLPYLQTIDFAYNYLGGKIPSELGSTRLQSISLLGNRLSGEIPGELGNITTLTYLNLEANNFSGTIPSDLGKLINLQALILSSNRLTGMLPASFAELRSLTDFRISDNNFSGSIPNFIQNWRQLSKLEMIGTGLQGPIPSNISLLHNLSDVRISEISGPTQRFPPLDNAIGLLTVVLRGCNISGEIPAYIWQRRDLQLLDVSFNKLVGEISNDIVGRSLRYVFLTGNMLSGAIPNTLLIEGATIDLSYNNFTWQGPDRPTCQPNMNLYINLFKSSGNTLQDILPCIRDATCPRYGCSLHVNSGGDDVRVKENGVDVVFEGDASFDGGAGSFHRTSNNWGLSSSGDFLDDNIQTNRYVESLQNSTNLGSIYTTARLSPLSLTYISYCLENGDYIVDLHFAEIQYTNDSTYRSLGRRVFDIYIQGQLVKKDFNIEDMVGIRMPLVLPFNASVTNNILEIRFYWAGKGTTRFPKRGRYGPLVSAISVNPYSKICSIPGKKTDKIKYVGFAIAGLCLVLIILAVLWWKRSPKERKRNNKDFEGVELKTVSFSYKQLNTATNNFNASHKIGEGGFGPVFKGTLRDGTVVAVKQLSSRSRQGNREFLTEIGVISCLQHPNLVKLYGCCVEEDQLLLVYEYLENNSLANALFGSSKSSLMLDWATRFKICVGIARGLAFLHEESRLKIVHRDIKATNVLLDKDLNPKISDFGLARLDEDEHTHVSTRVAGTIGYMAPEYALWGHLSDKADVYSFGVVALEIVSGKNNNKYIPNNDSVCLLEWAYRLQSSKKYEELFDERLEFKINKEEAENVVKVALLCTSGSPSIRPTMSEVVSMLEGITCIPEIAPEVGRYFEDLRFQTMRDGNQAGGHTGSSVNTQYSATVKSDTRFLSTSSNDRFEVVSVDTRSF >cds-PLY66593.1 pep primary_assembly:Lsat_Salinas_v7:6:167265906:167271376:1 gene:gene-LSAT_6X102220 transcript:rna-gnl|WGS:NBSK|LSAT_6X102220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATAFNGCISTIKSHGHKSNFALDQECRNSSLRLTSKGFKINLEVSGKERYCFRKRGFSVIQASSSSQTTVLDQVSTPLNNKVDTPKKSSEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAVEAGKRISNIPVDMIYTSALIRAQMTAMLAMTQHRRKKVPIVLHNESEQAKSWSQIFSEETKKQCIPVVTAWQLNERMYGELQGLNKQETADKYGKEQVHEWRRSFDIPPPNGESLEMCAERAVAYFKEHIEPQLQAGKNVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIVKEEKYIRRGSPAAPSEASVYAYTKNLARYRQKLDEMVH >cds-PLY99173.1 pep primary_assembly:Lsat_Salinas_v7:6:130054424:130056503:1 gene:gene-LSAT_6X79160 transcript:rna-gnl|WGS:NBSK|LSAT_6X79160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSNTETIQEIMATYKSLPPRPAIEELEAAISVIKTVNTEEKQKLDQISIQICPQDVSPQLFSVLQEIRQTMVVFQSHEQRKEALHILNVDQTYQTFDELIQKASKCVLGDTQLDKDDDLKYPIGNFQKQPPISDESLLISNKISKVESFKGLVMSSSTKATNLPSGVEECEKLSMMKVAALIETIAKQDGKVLDLQSKLMENIEWLPVSLGKLSNITELNLSDNKIMALPQSITNLTSLTKLDVHSNQLINLPDSFGGLVNLLDLDLHANRLKSLPESFGNLSSLINLDLSSNHFTHLPDLIGELNSMQILIAETNDLEELPYTIGSCSSLVVLKLDFNQLKGLPEAIGKLECLEILSLHYNRIRKLPTTMANLTRLRELDASFNELEGIPESLCFATSLEKLIIGKNFADMTTLPRSIGNLENLQVLDISDDQIRFLPESFGLLSKLKIFRADETPLEVPPREITKLGAQVVVEYMADLVAKRNATPQDIKRKRKGFWSWICMLFSG >cds-PLY98688.1 pep primary_assembly:Lsat_Salinas_v7:5:303792378:303792819:1 gene:gene-LSAT_5X163660 transcript:rna-gnl|WGS:NBSK|LSAT_5X163660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHSAHLFPPATSAYLFPPVTSSHPFLLASSGSPHLTEDCNNCSTLQALVTIKRESDGFEVKDASVPESADGAEAATTMKSMLAETIVLGFSNTFCGHPYTYCDFKVIL >cds-PLY84275.1 pep primary_assembly:Lsat_Salinas_v7:1:45135409:45139253:-1 gene:gene-LSAT_1X39760 transcript:rna-gnl|WGS:NBSK|LSAT_1X39760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAPLLFIFLVLGLNSINGDTDPSDVSALVAMYQSMSSPGQLTKWSSSGGDPCGDSWKGVTCSGARVTEIKLPSLGLSGGIGFQLSSLTSVTDFDVSNNNFGNQIPYSLPPNVQRLNLAGCGYTGSLPYSISQMASLRYLNVAHNQISGQLPDMFGQLPSLSNLDLSSNLFTGDLPQSFSLLSSANNMYLQNNQFTGSIDVLANLPLKTLNVANNKFTGWIPSQFRNINFLNDGNSWNSGPAPPPPPGIPAPEGGGQTRQPSGGITPSASGSNGGRKKSGISGGAIAGIVISILIVAAFIVFILLKRRSKKSTDIEKTEPRSLPPVPPQQDSIQDSSMVNTKSVDPPPVINLNLKPPPMDDNNISFDDIDNDFTAKPIVVPKKQSVAPPDATSYSIADLQIATDSFNGDNLIGEGSIGRVFRAQFEDGKVVAVKKIKPSVLHGQLSEDFIDIVSDVSRLRHPNVTELVGYCSEYGQHLLVYEFLKNGSLYDFLHLLDEYSKPLIWNSRVKIALGTARALEYLHEVCSPSVIHKNIKSANILLDSELNPHLSDCGLARLVSDADQEENVGSGYSAPEVSMSGQYTIKSDVYSFGVTMLELLTGRKPFDSSRTRAEQSLVQWATPQLHDIDALAKMVDPALKGLYPVKSLSRFADVIALCVQAEPEFRPPMSEVVEALVRLVQRANMSKRTVSLEQGSAVRTRDQDSPRES >cds-PLY77864.1 pep primary_assembly:Lsat_Salinas_v7:1:25943879:25944088:1 gene:gene-LSAT_1X21961 transcript:rna-gnl|WGS:NBSK|LSAT_1X21961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKWKDKELTSKVKELAGKRVELERMTEEIDDLKDQLNNIKNNAKTFTIVSVLEDRLKMVEYVEKNKVDS >cds-PLY82753.1 pep primary_assembly:Lsat_Salinas_v7:2:144642250:144644089:-1 gene:gene-LSAT_2X71401 transcript:rna-gnl|WGS:NBSK|LSAT_2X71401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHEENIVRFGILGCAEIARKICRAINLSPNSIIQSISSRSTEKAKQFAIKNNLSELVKVYGNYEAVLDDPSVDAVYIPLPTSLHLKWAVLAAEKKKHVLLEKPTALNVEELDQILEACESNGVQFMDGSMWYHHPRTSWMKEFLLDPNLFGQVKTIYSSSSYLPPPQFFETNVRTKADLDGLGALGDAGWYCIGAILWAMSYKLPTTVTTLPATNLNSNGVIMSTTVFLHWEEEETTAIFYCSFLSHETMDISVLGSKGSFHVEDLIIPYEEISASFKLTSGAGFADLHIGWNEKEKEVQVHNELPQEALMVKEFSRLVKGIKESKIRPDTRWSGISRACQVVMDAAKASMDGGFKAVYI >cds-PLY82684.1 pep primary_assembly:Lsat_Salinas_v7:MU041506.1:86005:88516:-1 gene:gene-LSAT_0X27160 transcript:rna-gnl|WGS:NBSK|LSAT_0X27160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSTAKRYESTVSDFQTPDPPVEKYEYQAESPGAMEVAIRNLPPYAFGDLSNLDAMGLLASNKGKPMEAILEKFRDGGSLRVYLLPEFHFVLVFVARIQAPSMGRRTTQEPRIPIERYAKYVEWSASMMEDEARRKLRVAELLAKKTKLWLCTKYVPPTTNSKAISDNFIGKVVEVVSGDYIVLVDDSLPFGSPAAERRDNLSSI >cds-PLY97103.1 pep primary_assembly:Lsat_Salinas_v7:4:74069949:74070350:-1 gene:gene-LSAT_4X50060 transcript:rna-gnl|WGS:NBSK|LSAT_4X50060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMILGEHVNTLKVIDSSLRLFVVPPSLGSMWLTLTNHQNNEMYGKIEFGNIKGLKFLVSISAISVGYAVVAVISSWGQELDEQSLDLFCLRSGYIYFEYFI >cds-PLY90475.1 pep primary_assembly:Lsat_Salinas_v7:9:93345564:93345959:-1 gene:gene-LSAT_9X71021 transcript:rna-gnl|WGS:NBSK|LSAT_9X71021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDTCLLYLHNIATYFSFRNPNTLVYPLNCLSFFSPFSLKNHRPKITFSLSCFVLFSVTDTTTVFWRCSLHQRFSFSLSHCELPHDDYITTSKTPPPLIKTKISRALPHTTTIYDGYKAEIIAINIHFSSD >cds-PLY63481.1 pep primary_assembly:Lsat_Salinas_v7:9:168052430:168055583:-1 gene:gene-LSAT_9X104601 transcript:rna-gnl|WGS:NBSK|LSAT_9X104601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTRLVREGRLADTDHIRVLMVKECRTQEELMGVVDYLNELRSKGLAYSLYSSNTLLIQMGKFQMVDAARDLFTQMMSSGIKPNLLTFNTMINMLCKKGKVQEAESFLDQMSQYDLYPDVFTFSSMILGYCRNRDLETAFRVFDRMIKEDCEPNSATYTNLINGLCKEGRIDEALDMLKEMMDKNIEPTVYTFTVPISSLCAFGQVKKAIDLVVMMTKTNCVLNVQNYTALISGLFRIGQAEVALGFYHKMLREGLIPNVVTYNALIYELGQIGRFDGLFMIFDWMERNGMPNTETYNQMITILCLMKNFQKGMVLLSKMTKVGPFPTVVSYNTLIIGFLKQNDLKNAMRLLSLMKANGCKPDEWTYGELISGFCETGDLDGGLNLFHEMVKQKLTPNKVHYTILINGYCKKGEVESAIMLLEKMEADNCKAEVETYNAIISCLSKRNRLHEAERLCEKMVEKDVIPNVITYTTLIDGLCRNGSVHLAFKIFQEMEKKNCTPNLFTYSSLIYGLCLEGKANEAEILLEEMEKKGITPDYVTYTSLINGYVSLNQINHSFSVYKKMIDKGCKPNYRTFQVLLKGLETESRLLFEKNTGPHEKDPTFTNLLVKMSEYGCGPTVDTYSTMIVGLCKEGKSKEAVELFKNMEENSMNPDRNIYLSLINTLCKNSKVETALEFFDLIKVKGFEPDIKTYKVLISALCKERQVSKARVLFEDMLDRQWDTDEIVWTVLIDGVFKDADVDTCLCFIHIMELKNRVPNFQTYVMLAKELSAVDGSCDIHEVVERLKLCRGKTIS >cds-PLY67070.1 pep primary_assembly:Lsat_Salinas_v7:5:280475289:280475483:1 gene:gene-LSAT_5X146940 transcript:rna-gnl|WGS:NBSK|LSAT_5X146940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDTPLELMDYQWNQCNPNPNEVLKCIIVGHLVQGQPSYMVNMVLKLGGETASHPPNSKRTTIN >cds-PLY70120.1 pep primary_assembly:Lsat_Salinas_v7:3:11569252:11569617:1 gene:gene-LSAT_3X9041 transcript:rna-gnl|WGS:NBSK|LSAT_3X9041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSSPIEGALKMGKQIHTIICETGYSVVTSVCNALIYMYTKSNQMHDARWVFDEMVHQNSASWNSLISGFSQYQLSNQAIYLFSGIHNSFLQPNEYILEESSNLNFLKWATQIHSLILKLL >cds-PLY75451.1 pep primary_assembly:Lsat_Salinas_v7:7:72014911:72015989:1 gene:gene-LSAT_7X52220 transcript:rna-gnl|WGS:NBSK|LSAT_7X52220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEDLLDLSKMFNAVKSLVRVPSVDPKYKIALLASKQDHCLVDLLHAWQDGKLPVQISSVISNHDRVGNTHVMRFLERHEIPYHYLSKSKEKNVEDEILGLVEDTDFLVLARYMQAFEAGVKLIGATTHFVTEELDGGPIIEQMVERVSHKDNLLSFIQKSENLEKQCLMKAIKSYCELRVLPYQHNRTVVF >cds-PLY86994.1 pep primary_assembly:Lsat_Salinas_v7:5:266866827:266868697:-1 gene:gene-LSAT_5X138460 transcript:rna-gnl|WGS:NBSK|LSAT_5X138460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHFRISELKGSTRASKLSTSVTVGKSELEQILKQMTFTRSETQRLILLLHSRTIEESPTSLLRLEASTTSGSMKRHKHGDERDNFHASVVSSRVLEEEIAQDSKFISNNGTCLPNPTTSTASPQQPATMENLPHELLSNIFIRLFAKQLAQMRSVSKSWNAFLSHPSFVKSHLHHSIHNNDQILLIFQDEEFYSDTKPFTAIPSRSPRLELTNFIKFPVKPQSGHTDGIRVIGSVNGLICSSYDNHSVIHIWNPSLSALLTLPPYSTPCHGYNSFKIHFRFGFDPKTNDYKVVKLTGINGPYENVVTWWLQVEIYSMRKGSWKLITEMFPSHIAILINRDDVCADGHHGHLHWLGCTDGKEDTKTIVGFDLGSETFREIPLPDSTLDHNRSNVVGILAGKLCVMSYIEDVAYAVWVMDEYGVAESWVKHHVFSHFIGYTYPFGFTSHREFLTEGDSYLVLLDPSTNEQK >cds-PLY86531.1 pep primary_assembly:Lsat_Salinas_v7:2:208670526:208672388:-1 gene:gene-LSAT_2X128800 transcript:rna-gnl|WGS:NBSK|LSAT_2X128800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25280) TAIR;Acc:AT4G25280] MLRRPSLLSTFLSAAKPSLLNQVQEFIPLISSENASILLLFISIVLPKDLKLILCCLSDICISLVDLVAYGLSFLEAFSTTTFLKPAEGGILSKARTPSINFVLGGPGVGKGTQCARIAERYGFTHLSVGDLLRNEISSNTEYGEMILETITKGKIVSSEVTVKLLKRTIESNETDRFLIDGFPRSEENRVAYEQIIGIEPGVVLFFDCDEEEMLKRVLHRNQGRIDDNIDTTMERLKVFEAYTLPVIKYYTEKGKLYKINAIGTEDEIFERVRPIFSSLHTP >cds-PLY86381.1 pep primary_assembly:Lsat_Salinas_v7:8:283238377:283240655:-1 gene:gene-LSAT_8X160061 transcript:rna-gnl|WGS:NBSK|LSAT_8X160061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHQSFHNLFERRPFIKSKTPAVKWFKEWVPQDVVATGGKCYVLKWVTEAQMNAMKEKPVDSEAVEPEPEPTTEVLFLCSYEGCGKTFIDAGALRKHSHVHGERQFVCHYENCGKKFLDSSKLKRHFLIHTGERDFICPHEGCGKAFSLDFNLRSHMKTHSQENYHICPYQECGKRYAHEYKLKNHIMSHHEKGPNPMYDLPRPWMKGNNTNMVEVPPPPPPKYVHVQEKPPPKPPKAAXRHLRHRVLRPAVCVSV >cds-PLY81734.1 pep primary_assembly:Lsat_Salinas_v7:3:30775797:30776356:1 gene:gene-LSAT_3X22401 transcript:rna-gnl|WGS:NBSK|LSAT_3X22401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATFTHIVLVFAIFVVVLLPLTTMATEYMVGDASGWTINYDYKAWVKDKVFYYPVNVHNVYKVNASSFATCTIPPPRTGLTSGNDVVTLMTPGKKWYIYGVEEHCADFNQKLVIDVQSMTPAPAPSTGTKYDSKTFMSMVIFILATIVMV >cds-PLY94179.1 pep primary_assembly:Lsat_Salinas_v7:5:32813602:32813928:1 gene:gene-LSAT_5X15361 transcript:rna-gnl|WGS:NBSK|LSAT_5X15361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRDCKSKVHSVWRGNMLMPLFECFTEKANQAVILAQEVARSLGHNYVGPEMILLGIIGEGTSIVAKVLLSMGVNYETLYVEVENIIDRVIGFVAEEIPFTPVQNVEL >cds-PLY79002.1 pep primary_assembly:Lsat_Salinas_v7:3:8747052:8749737:-1 gene:gene-LSAT_3X5360 transcript:rna-gnl|WGS:NBSK|LSAT_3X5360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNGDLESWGSTKNRGAPPPAPPPASSTYHTESSDKQWTSWLVPMIVVANVAMFLVVMIVNDCPKNHNSSLEGDCVAKFLGRLSFQPLKENPLFGPSSSTLEKLGALERSKVVNGHEAWRLISCIWLHAGVVHLLANMISLVFIGIRLEQQFGFVRVGILYMLAGIGGSTLSALFITSNISVGASGALFGLLGAMLSELLTNWTIYANKAAALFTLVIIILVNLAVGMLPHVDNFAHIGGFLTGFLLGFVLLMRPQFSWQERRRLPADARGKSKYTVYQYVFWIISAILLIVGFTVGLVMLFKGENGNDHCSWCHYLSCVPTSKWRCDNR >cds-PLY64487.1 pep primary_assembly:Lsat_Salinas_v7:3:15485678:15488951:-1 gene:gene-LSAT_3X11081 transcript:rna-gnl|WGS:NBSK|LSAT_3X11081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCFSYLLKLAVCLVALLIQTNEVVSEDHLALLAIKSKITDDSQGFLISWNDSLPFCEWRGVTCGRRHQRVTSLNISNWGLVGTLSPYVGNLSFLRYIMFANNQLHGGIPPEIGRLHRLRVLSLANNSFTEEIPANISSCSKLWYLDLSFNMLSGKIPNVFSSLGMIKALGFGKNNLTGGIPASIGNLTSLEQLSLSYCPLGGSIPDSFNQLKNLRKLGLGEMGLVGVFPSFLFNLSKLQLLNFPENQLVGSLPSNLCVSQPHLQRLEFSYNHFNGYLPSSISNCSELRSFDVLSNNFKGEVAADFGKLRYLRWLTLGGSGTNVGLGGMKHFDSLSNCSDLEVLQIVEVQIRRVLPDSLGNLTKLRYLMIESSYISGTLPSSIGNLFSLILLSLPENNFTGMIPKSIGNLGNVGELDLDANSFSGIIPRSIGNMSSVTKITLSRNKLEGAIPSTIGACKRLLLLSLDGNNLRGSIPKELFQLSSLSVGLDLSQNNLSGVLPQEIEHLKNLGILYLSENHLSGELPGSLSSCISLQVLDLSSNFFHGSMPERLSSLKGLEYVNLSRNNFSGHVPAYLQQIALKYLDVSYNDFEGEVYVKGVFANASAISVIGNHRLCGGIPELHLPNCTNISNYYQKSKKLSLGVILAISVPSSVVASLALVSFLLLYFCKKKTSESESVVTESFDKISYERLFKATQGFSLENLIGTGSFGSVYRGVVDEDEHGIGPTVAIKVINLQRRGSSKSFVAECEALRNIRHRNLVKVITICSSLDFHGNDFKALIYDFMPNGSLESWLHPHDHQLDLSQRISIAKDVAYALDYLHYHCGNTVVHCDLKPSNILLDADMVAHVGDFGLAKILSLEQLSNPHKTSSSSIMRGTIGYAPPEYGVGNEVCTSGDMYSYGILMLEMLAGKKPVDPIFEQGLNLHSYARKALADGFVLQIVDPMLLNDDVIVNQACLISLVKIGVQCSSESPQNRLHIGTVIHELHALANA >cds-PLY92881.1 pep primary_assembly:Lsat_Salinas_v7:4:197535885:197537210:-1 gene:gene-LSAT_4X114161 transcript:rna-gnl|WGS:NBSK|LSAT_4X114161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTFPDQNMSVHPSAVNGEKQIPVDEEEQQVYNNLDEKLDSLLATLPKAKGWRSPNIFLHKGFWLSPTVFKGLLAIHEYFHPQPIDIFLAAFMKCGTTWLRALMFATANRHRYNFSDHPLHRTGPHGAFPSLDTQILVDFPVTKFDHLPSPRLFATHFAHDLLPNSMTAPRSTCKFVYVCREPKDALISKWHFMNKVRSKELAPLLFNEAYELFCDGVSEYGPFWEHVLGYWKASQESPDKILFLKYEDMKREPSAELKKLAAFMGMSFTAAEEEGGVVEEIVKLCSFENLSNLEVNKGGVQKFNTQLKVENRDFFRKGKVGDWKNYLTEEMRERIDSITETKLKDSGLSLGVTKITLS >cds-PLY79119.1 pep primary_assembly:Lsat_Salinas_v7:2:17014678:17017790:1 gene:gene-LSAT_2X10761 transcript:rna-gnl|WGS:NBSK|LSAT_2X10761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLVNYSRNLISWKVDEDCSFWEESSKSNISKVPLKKENGQISMVPLFQSQECIAGVISVDPMQGKKVEHNGIKIELLCQIVDPCAAVLVFIITVLLCTGIKESSLGQGIITTINVVALLFIIVVGGHIGFKTRWVDIKFQAGISRFFSYDGFDAVTSTAEEVKNP >cds-PLY71629.1 pep primary_assembly:Lsat_Salinas_v7:9:135341788:135345374:1 gene:gene-LSAT_9X87360 transcript:rna-gnl|WGS:NBSK|LSAT_9X87360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSQEGNIGNVNNRGAGDSIEVSPDYGFEVGDMVWGKVKSHPWWPGHVYSEDLATPSVRRSKKDGLLLVAFFGDSSYGWFDSSELMPFDSNFAEKSLQTNSKTFVKALEEAIDEVRRRSALGLSCMCRNEQNFRKTDAQGFVAVDVVDYEPGAVYSINTIRKARESFQPRLALDFVRELALEPTDEHDDIDFIKNKAIVMSYRRAVYYDQAFGGDIIRPLPGSIQVSTSGKKPSKAPLSGRQVFSDTPSKLKTPSNAKPNKPKTDKYLFKRRQKVKESTPEDIEDPILATLKVSTNEDTSKPIIVPESSNNPLDFEINIPIISLIHKSSNLQKFVTQNGFPNSHLHKISSPEKKIKKRKKESSMEKVEVPEEQKKRKKESLIHDHDHDGHSPEMELPRVLADLHSLALDPFHTNNRKLRQIFLKFRSLVFQKSLNSVTQNGIKSPVVAGAPPVKPPPPPLPPPPPLSGGIKRDRDEETVAKKKKIKKIDEDGGRQTAGVKPRKSGQEVGQRAGAEEEERTTLKMEFPSGESLPSISELKAKLGRYGTMDHDGTRIYWKTFTCLVVYKYKADALAALKAVTATGSSSCSLFGSTNVKYSLKEISNSEPSAKVSDRQPPAEDTTAVVVAVDTPVKSCLKKSGGGEDGGRKISRVKFNLARDERVSVAPPLSMLVAPPPSPPPLVPLHPPPLASPPPQRRSMNYNKPLAPPSLPPQSMLVAPPPPRPLAQAPRLNRPAAMPPAPPRPNVDIAQQMVSLLTRCNDVVAHVTGILGYVPYHPL >cds-PLY99051.1 pep primary_assembly:Lsat_Salinas_v7:6:151521755:151525725:-1 gene:gene-LSAT_6X91180 transcript:rna-gnl|WGS:NBSK|LSAT_6X91180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQAEDGASNRELYALLNVSPEASDEEIRKAYRQFAQVYHPDKIQATGQKEVATVNFQRICEAYEILTDENKRQIYDIYGMEGLTSGLELGPKLNKVEEIKEELERLKRRREEEKTSAHFQPSGVILAQLSVPSFLSGHGLMRAMSMNSEIQSQISKNNVIAVGGTLAVKGSDGDAAANAVFRHQLSSVSSIEFMASAGLRGLIGLQTSRQVSRHATATMGLAMSLRDGSINLSNSWNRQLSTTSNASIELSLGSDSSVAVGWRKKDQKMAAAGEIRIGTSAIGAVARYTRRFSTNSHGRITGKIGSGALELEIGGGRKISNFSTIRMLYTIGIQGIYWKLEFHRGPQKLVVPIFLSRHFNLGFASGAFLIPTSLYFTLKSLVFKPYYRRREKQQALENMEQTRTQVQEAKAAAEKAQQLLENVANRKKNKQLETGGLVIIEAVYGNPKAIKKITNNSEEKKDEYELASQIVDVTLPLNFLVNDSGQLKLHEGVKKSGIMGFCDPCPGETKKLHVKYTYGGNRYEVIPLFGMMEWNRLFRRNDKKTVCFANGMESAIHERMWSVVEVDDLQELILPQEEHRI >cds-PLY62911.1 pep primary_assembly:Lsat_Salinas_v7:4:327365882:327366446:-1 gene:gene-LSAT_4X162481 transcript:rna-gnl|WGS:NBSK|LSAT_4X162481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKVLISSASSSYLDFLITGVSLAGLLLSAATWPPEMEKVEGLTSPTNFRLFNATVETNLS >cds-PLY89139.1 pep primary_assembly:Lsat_Salinas_v7:3:22593076:22596422:1 gene:gene-LSAT_3X16860 transcript:rna-gnl|WGS:NBSK|LSAT_3X16860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSRESLAPPSSLQQHHAHHQQQQPPPGMNMMMPPNSFSTHHHLSNNSNTASANNNKNANMMSPNSMQQRFPFNSPSNQYGDGSSPSGGFRADGYSIEPVRKKRGRPRKYSPSPDGNIALGLAPAPIAAIPTSAGGGGNLDSSNDGSGGTPNADSSAKKNRGRPAGSGKRQLDALGAPGVGFTPHVIIVKAGEDIASTIMAFSQQGPRTVCILSANGAIGNVTLRQPATSGGTVTYEGRFEIISLSGSFLHSESNGNNSKPSGLSVSLAGSDGRVLGGGVAGMLVAASPVQVIVGSFIADAKKSKSSGGPSPAAAPPNMLTFGGGGGGGGAVPGISPPSEGPSSESSDESGSSPLQRIPGSYNNSSHHQHQQQQHHQQHHQPPPQQQQQQQQQQQPLQNLPMYTNMGWPSSTMNMLPN >cds-PLY80991.1 pep primary_assembly:Lsat_Salinas_v7:9:176814188:176815220:1 gene:gene-LSAT_9X108520 transcript:rna-gnl|WGS:NBSK|LSAT_9X108520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKQEAGGSEKEQVKWSEIMDYAYIQAMIKQQETGNRVNGSFTPTAYAQMSKPEAVSLKTKKIAYFDEMLMLFARDRASGAHAETAKERNARLNKNENIQVETIKEVDDMLANNEIHLENEYVDLDDNIQDVIPPPFSQEQSSCAKKCKSKKRKFEDDEEEEDINSKIMKSVDNVAGAIREGNIIFDRAYPREYTGEEIYRELELVGLEPHELPRALNFLATNQAKARTLFSCPLQIRMGILKDMMGARD >cds-PLY75121.1 pep primary_assembly:Lsat_Salinas_v7:5:267921001:267921928:-1 gene:gene-LSAT_5X139401 transcript:rna-gnl|WGS:NBSK|LSAT_5X139401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERVDANEIEDHLLEDNRLEENLEMGGDANEIEDHLEADLEEDVNEIEDNLLEENLEMGVDANEIEDHLEADLEEDVNEIEDNLLEENLEMGVDANEIEDHLEADLEEDVNEIEDNLLEENLEMGVDVNEIVPPVQEVAIQGLDANAWVGEDDGNIDFIEDTQVVGRPRKRKIFERIVKIKLKKAVYDKDGRGSSIKKPVNLE >cds-PLY66716.1 pep primary_assembly:Lsat_Salinas_v7:6:78796735:78798519:-1 gene:gene-LSAT_6X54601 transcript:rna-gnl|WGS:NBSK|LSAT_6X54601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRASLPLLPFVESPSIKSSSRHVLCFSRCETRIQHTIRTHITYKCDPSEKWLVLIGIAPGSPEKPQLGKGNMHLFSVYQQRSQALEAHAASFASFKAVFTKKQADLFFPPDFADDFPVAMQISHKYGLIYVITKLGLLFVYDLETATAVYGNRISPYPIFLTSEASSVGGFYAVNRRGRVLLATLNEATIVPFVSGQLNNLELAVNLAKRGNLPGVENLWRLIMAAMKLLLG >cds-PLY79693.1 pep primary_assembly:Lsat_Salinas_v7:5:252857424:252857690:-1 gene:gene-LSAT_5X126960 transcript:rna-gnl|WGS:NBSK|LSAT_5X126960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECHRQEPLGRSFLDLGSTTDIRKPLGCFRIRISAITPLKTGCHRCCLSADDNDQKKSLPSLLLLQPSTTKSLMLRLNLRATAGDHWM >cds-PLY66160.1 pep primary_assembly:Lsat_Salinas_v7:4:255880862:255886875:1 gene:gene-LSAT_4X135540 transcript:rna-gnl|WGS:NBSK|LSAT_4X135540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHHLPQFLVINGDTRRKKKTPKKPKLERMNARKNIEYEFSRTSTEEASVRPSTVRGTRSLDILPSLIDRTSFRIDGSDAGQVEIICRSLGFESPEDFGIPVSSWEARKIRSTSDLLPSSRLNLDTDHGATAIEEEQPAEIIGKERKSDIIRVRVGNGIKGVRPPVLSPPSSSIPKPLMHDHDAGSEWDVVRSFASISIEAGSSSAHVNQHGFRLSEEEEADVENVRMRLSASCSFTTSNEDDSSSTTTEPTSSISPNGYGSNISTNGRFRHITITHWQRGELLGRGSFGSVYEGICDGGCFIAVKEVSLLDQGEQGRQSVLQLEQEIALLSQFEHENIVRYYGTDKDEAKLYIFLELVTKGSLLSLYQRYHLQDSQVSSYTRQILHGLKYLHDRSVLHRDVKCANILVDANGSVKLADFGLAKATKFNDVKSCKGTAFWMAPEARKAKARKDCLQVVNQKKKGYGLAADIWSLGCTVLEMLTRQIPYSPMECMAALYRIGSGKPPPVPDGVTGEARDFIFQCLHVNPRDRPTAAQLLNHPFVTRPLPGNSYNYNFKILN >cds-PLY79213.1 pep primary_assembly:Lsat_Salinas_v7:4:235548035:235548295:1 gene:gene-LSAT_4X127361 transcript:rna-gnl|WGS:NBSK|LSAT_4X127361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRLMALGDDDGGDMVVDDTPPNQMIILLHPPPSSNLPPPSTPSHPHPRTPSPPHGSLPQSNVATNRENNQGSPDQQMQRVMIAPT >cds-PLY67046.1 pep primary_assembly:Lsat_Salinas_v7:5:280474750:280475258:1 gene:gene-LSAT_5X146920 transcript:rna-gnl|WGS:NBSK|LSAT_5X146920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFFPHEKTSNSRRYVGIWFTMDPNIVLWVDKPLLDKSGSVVTLSEEDAERCNPRVRNDKSCCRRLLGKDNLHT >cds-PLY70427.1 pep primary_assembly:Lsat_Salinas_v7:1:76827470:76830654:1 gene:gene-LSAT_1X64080 transcript:rna-gnl|WGS:NBSK|LSAT_1X64080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHVLRLALMLILLSIVHPSSSSYDFEHCKNSVKQWASSSLHSDTKDGHILKDLLFFLHVPRTGGRTYFHCFLKKLYSSALECPRSYDKLRFNPHKPGCRLLVTHDDYSMMSKLPTEKTSVVTILRNPIERVFSAYEFSIEVAARFLVHPNLTSVLKMSSRIRSKNGGISTLEIWPWKYLVPWMREDLFTRRDERKRRGPHHAYGNDSYDMEEIVMPLHEYINHPVAMDIVHNGATFQVAGLTNNSNIREAHELRQCVITHEILGKYVLEVAKKRLDDMLYVGITEDHRESATMFANVVGAQVISQLMASTVNNNNNNNNNNNNTSEQGPSVSDSTNDTSDDQGNSSSTVEEQSESMTVGKLMKTYETCISSLRSSQSQRRIASLKRISPANFTKEARRNVPDTLLKEIISLNSLDMELYKYAKEIFQKQHQIMKLQDSVSSVTPIELKEYCELR >cds-PLY66514.1 pep primary_assembly:Lsat_Salinas_v7:4:339824105:339829150:1 gene:gene-LSAT_4X167780 transcript:rna-gnl|WGS:NBSK|LSAT_4X167780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYHRGFYHDVEALHFKIVTLCALFALSTFGPCTITGTHNPIAYDLNSGENSNQFPPQTSLPKLTLENICKRSDLFCFPSTLSGFFTDFHTQEANLSGLSRVKPVLEKSTPSVNNLTWSTNHGNFQLPNGNIVSCSLEYQENPQIDENDTKDESFIIKSTHVNDFSSPHIQISHHILDWGQKYLHSPSITFLTLQNLQNHSFLNIFEPYSTNLQFYPCNNTEFNLSPGEIASICFIFFPKSLGLSSGHLILQTNLGGFLIQTRGFAIESPYTIHPSVSGKFNNFVSIFNPSEKVLHLKEVSFRVSFSSGNISYSIKGVCSVKNHNDSDKFSFEEWLEVKIGQHGQTGRPIIAIRPQKWTVGSNRDESILELEFPYNSQAKTVFGSFCVQLQDLDTIVVEEEFGGQSRLLVSLNVLVPCDANGTITVSLMVENDGPEVVKIFKIREIGDNFESLKTKFVEGLILFPYSVTQVGILTYVVNQDANLHCKLLVETNKSDAPDLEISCSDIVGLCSWEKSDGVLNYDNVDRVLPLLGIKVTETTKSDESVLGNWKSQGTNNKMSILNNNNNELTFPIVHVNTHQSKYINVINPSNQPVIMQLLLNSGEIITNCQKSDQILHPSSFLNSQITPSRYGFSIPQHAVTEAYVPPHKKAILGPVFFHPSSRCEWKSSALVRNNLSGVEWVSLRGSGGSPGLVLLNGSDPDPVPVHTVKLEHRGFGQRSVKILFVKNTGDLPVEVEKVSVSGTKCELDGFFVRDCNGFGLLPGESRNVMVSFRADFCAGIVRRELEFVMSGGILVVPVEVGISSPMLTICKRSHVLMKLKKFVLAVLVFGFLILMACSCTVSFVIRDGDLSKMPSGKEDCSSDVCPKPETVKMKVQPPETAKEVAVVEAAKPENLTVKTGKDKSRRRKKKRVSGSNPGLISQFEVSSSHSSNSTPSSPLSPPSSLTPKRSAELSLSQHVRAKSPVKRSTVPLVKEEPSLSSLSVAPVRSPGPQARAPGAERKVVNLESSAYDPRYKYNIWDDHLRVLPTIPEDNNFGSLFAMSPQELFTNVSRKQNDQ >cds-PLY99133.1 pep primary_assembly:Lsat_Salinas_v7:2:11920388:11922673:-1 gene:gene-LSAT_2X6321 transcript:rna-gnl|WGS:NBSK|LSAT_2X6321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAPQYDALSGLNKGAWTAEEDKKLLTYINKYGIWNWCKMPPYAGLSRTGKSCRLRWMNYLRPNIKRGNFTSEEEETIIYHHSIYGNRWSAIARKLPGRTDNEVKNYWHTHLKKQVTKYRMSETKPAEIKTLNNDVESSIESTTDHIFSSITDDHDTSSCLFDICSRCEPKANFETNFNMSSPGTVEDVESFWQQLYSNNEDLKFQYLPEDTFSNESVVSSNLHDI >cds-PLY68575.1 pep primary_assembly:Lsat_Salinas_v7:2:22630315:22633594:-1 gene:gene-LSAT_2X9441 transcript:rna-gnl|WGS:NBSK|LSAT_2X9441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMKGSKRPIQVVRTWVRRQPPKVKVFLAFICAIAALVFIRMVVYDHDSLFIAAEAVHALGISVLIYKLATEKTCAGLSLKSQELTAIFLGSRLYCSFVMEYDVHTLLDLATLATTIWVVYMMRFNLNASYMHEKDNAPNYYIVIPCAILSLVIHPTTQHHIINRILWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWILQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVQRFSIFSPFIFFLIYILXXXXXXXXG >cds-PLY81007.1 pep primary_assembly:Lsat_Salinas_v7:9:177417650:177418054:1 gene:gene-LSAT_9X109181 transcript:rna-gnl|WGS:NBSK|LSAT_9X109181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGNSRIGFIPSKVCTWLPYLVNLYLSNNKFTGEILASLNNFSFLNTIILLGNKLPSNILVQFSNLGRLNKFSIVDNDLYSLIPPRLSNFDSSNFDGNNGLCDKPLTKYGNLSKKNLVIIIAVDALHLQSRG >cds-PLY62616.1 pep primary_assembly:Lsat_Salinas_v7:8:108340239:108344606:-1 gene:gene-LSAT_8X75741 transcript:rna-gnl|WGS:NBSK|LSAT_8X75741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELLRPSHPPVTMATDGCSGFSPAASSQDGFHLNSPVAADVFRGDKVYVAVGKSVEKAVSLFHWTFRRFRGREICVLHVHQPSPLIPTLLGKLPATQANPDVVSAYRREEKNQTDKLLLDYMSLCSRSKVNASFVTTENEQVRKGIMDLVNEYGVQKLVMGAVPETWMKVTKNSSKSSYAAKNAPPFCQIWFVNKGKLIYTKEPSESYDVMPPSIHQDSNTLRSQSLHYPGVERGLQQVYHCPRSRSISPHRSLVKTSSDSGCSSSTEHEEEALLYKQLEEVNMEAEASRNEAFQEFLKRKRLEAQASEAISKVKAYESAYAQELELRKAAEETLNCAKREHEQLLERREMAANELRKAMRNIAILENQVQEANRRREESAQELKLIQASITTLKIEKQTVQRQRFEAAKWLDRWKIREPAGSISCTDESTAARPPEFSYLDLETATCGFSESFKIGYEMYGSSVFKGEMSNRTVVIKKLHPNNLEAQSEFQQEVRVVGKLDHKHILKLIGTCHEAYALVYEYMPGSLESCLSNKSSSFSWKTRTRIISEIANTLMFLHTSRPEEIIHGNLTPKNILLDSELSCKLCNFRFSPLVNEETFRCRSFRLYEEGSGPFSFTDPVVLQTGNLTAKSDVYSFGMIILWLLTGNQSPGLSNEVRKVVSSSKLTSILDASAGEWPSFVVKRLADLGLRCCESNTRDRPVVSPVLVKELEQLSVIEDRRIPPFFLCPILKEIMYDPQLAADGFTYEGEALYGWFKNGRETSPMTNLKLSHLDLTPNHSLRVAIQDWLCNP >cds-PLY67991.1 pep primary_assembly:Lsat_Salinas_v7:1:79461553:79462572:-1 gene:gene-LSAT_1X67520 transcript:rna-gnl|WGS:NBSK|LSAT_1X67520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYAPRLKFQLKELEKLGPRKGVISQAVKDVVQTLVDDDLVSKDKIETYVYFWSLPSCAGNQDAQEEALSELKASEQKYNNLKRKRLKLPMKQLTDGHV >cds-PLY92002.1 pep primary_assembly:Lsat_Salinas_v7:8:241098338:241099590:1 gene:gene-LSAT_8X145681 transcript:rna-gnl|WGS:NBSK|LSAT_8X145681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVEYASYLWEDFGTSISHLNLTNGVSSAQFWILILKEVYSQENIFVPTDFEIAEFSIMVARRVFVDDLNAFLIVARIPDSMLKLGVEGATKASKDYKKTKPVEKSQLVEEELVKEIIPTKTGVLKLTKKPAKNSSASLIKTTTHEPVFERVKSPVIESSNPRSSTKGTMKIRKLHVTKRGLLIREVLFPSLPASKKHQVIDMAQKLHKKKRKDQVPLANLRGETEDDSDNERSDIQIEDSYIGSPRRDSRVDSNLKDTRDPDVSVNVSNTDTNINLSDQENINIPEKKLIKPSGVSHIESEREEVETLYITLDLSNKGTNVNMDAGIHNNEYFVTSTIDTSTIETSIVKTSKALPPPSSPITTSITISTISPTYSGIMQEPIATLFSS >cds-PLY82980.1 pep primary_assembly:Lsat_Salinas_v7:1:18186591:18188498:-1 gene:gene-LSAT_1X15801 transcript:rna-gnl|WGS:NBSK|LSAT_1X15801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSFFITLSALPVFFFLLYKFRSFLSKTLHRLPPGPPGLPIIGNLHQLDTSDLADHLWRLSKLYGPLMSLRLGFIQTLVVSSADMAKEVLKTKDLVFCTRPILTGQKKMSYSNKDVAWSPYSEYWREMRKICIIHLFSTKQVNSFRSVREEEVFAMIDAIKTRISIKKEVNLSETMMILTSNIISRVAFGKRPYVYDDEQHQVRRFQELLLECQALLVNFYYRDYFPLMGWLDNLNGSIPRLEKNFKEMDECYQEIIDEHLNRNRPNKMQEDMVDILLRVKEDSDSYIDLTFDNIKGVLMNIMLGGTETSASGVVWAMTLLMKNPECLKKVQQEVRNAIGNKGKVEECDLYKLNYLKAVIKETLRLYPVAPLLVARESREECVLDGYEIPKKTLVYVNAWAVGRDPKCWERPEEFEPERFMGSSVDYKGMDFELIPFGSGRRGCPGMTMGAVTMELALSNLVYSFDWGLPEGTKEINTLTTPGTVSHKKDELRLVGKVYNHVQGC >cds-PLY95653.1 pep primary_assembly:Lsat_Salinas_v7:2:111445058:111447747:1 gene:gene-LSAT_2X50720 transcript:rna-gnl|WGS:NBSK|LSAT_2X50720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEERESTRYWCHACDRVVDPVMEVESVKCSVCHGGFVEEMGSVRRDDDHHHHQNDGGSGSDSDRGISFWAPILLTMMDTPRRHRRFRQLGLDEANEEQNRHQGGGGGESELDPEQRRRRSTAAIFHLLQGIQAGMASESENNEEVAGGDRDSNQEQVILINPFTPSIIVHGGSGGNPFDSRNLSQNHPFGSFGDYFIGPGLEQLLQQLSENDPNRYGTPPAKKEAIESMPKVKIEEDSIQCSVCLEEFEIENEAREMPCKHRFHGDCILPWLELHSSCPVCRYQMPADESKVDREQEGSRGIIGNSSNGVTGESGNRNNEERRFSVSFPWPFSSLFSSPRANTVNLGSSTVTRSSSGDSGSQPRAHEHDHNSH >cds-PLY64211.1 pep primary_assembly:Lsat_Salinas_v7:7:2965384:2967330:1 gene:gene-LSAT_7X1880 transcript:rna-gnl|WGS:NBSK|LSAT_7X1880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNQNSSSFQSNQTTSSSPDFNLSLSERAFAAAGAAFLSAVIVNPLDVAKTRLQAQAASVPYDIRISTPHVALNQTQHLKMANNMEQIKLPGVGVVSADTNTTKNLNNNNNNNSSSFRFLWTGLGAQLSRDVPFSAVCWVTLEPVRRRILGMWGGXCKLCCWMRCRCLNMSVRHCKHSPSNREGYSPSIEDDNKTRHWWKYGGMDFGFVDYNLEG >cds-PLY73792.1 pep primary_assembly:Lsat_Salinas_v7:7:67721979:67725649:1 gene:gene-LSAT_7X48881 transcript:rna-gnl|WGS:NBSK|LSAT_7X48881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSYLRILFKQKKQITGTGHPWICENGVALDRALDPAVLSRLKQFSAMNKLKKMALRVSKERNKKGSIKWKSMEICVSNEASWIGFFG >cds-PLY67195.1 pep primary_assembly:Lsat_Salinas_v7:6:135136504:135137466:-1 gene:gene-LSAT_6X81601 transcript:rna-gnl|WGS:NBSK|LSAT_6X81601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSHLLNQDDDFTQIGSSALGHHIVSLTSTTYGLLTLDPSSSPTTVPPTPPPRFTLSSFFPSPSPLSESNSDPNPPPEVINSWELMAGLDSTADSFRFSPQPSKPSPFRYALLDSENVNPTKDPSSPNYKNPKFVKSLASKPNFLDKFEALCPPKGENKVVIYTTTLRGVRKTFEACNAVRAVIEGFGVFFCERDISMDRGFREELWELMKGKDKHELVPPRVFVKGRYVGGSDEVLRIAEEGCLGKLLEGLPKSKPGYVCEVCCGSRFLPCLTCNGSCKMVMVLKKEIDGEELGKTVRVQCSDCNENGLVRCPICS >cds-PLY69886.1 pep primary_assembly:Lsat_Salinas_v7:4:69210246:69211277:1 gene:gene-LSAT_4X47161 transcript:rna-gnl|WGS:NBSK|LSAT_4X47161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLINHKAVIARLSRMQRNISTLKECMYVVNIGSNDFVNNYFLHDHYNTSRLYSPKKYAEVLMKQYSKQLRTLYKMGARKVALFALTQIGCTPLATSKFGTDGKPCVKSINKAAMLFNHKFKPLVDQLNANNEDALFTFINITSILYPQGDTAMRTPPCCKLDGEWACKAGSIPCPFRIFHIYYDALHPSEISNIAMATRAYHAIHPADAYPYDIYHLVRVQN >cds-PLY80335.1 pep primary_assembly:Lsat_Salinas_v7:7:154760805:154765795:1 gene:gene-LSAT_7X91720 transcript:rna-gnl|WGS:NBSK|LSAT_7X91720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATSASKWVSLLIVSFLLCIDFTKCSVTYDKKSLIINGRRRILMSGSIHYPRSTPEMWEDLILKAKNGGLDVIDTYVFWNGHEPSPGNYNFEGRYDLIRFVKLVQKAGMYMHLRIGPFVCAEWNFGGFPVWLKYVPGISFRTDNEPFKMAMQKFTTKIVEMMKYEKLFESQGGPIILSQIENEYGAESKAYGAAGHAYLTWAAKMAVGLDTGVPWVMCKQDDAPDPIINTCNGFYCDYFSPNNKETKPTMWTEAWSGWFSDFGGPVPHRPVQDLAFAVARFIQKGGSFTNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLLRQPKYNHLKELHKAIKLSERALVSADPTFISLGTYEQAHVFSSKTGGCAAFLANYHLNSSTTVTFRNMHYNLPPWSISILPDCKNVAFNTAQIGSKTSLVNMLPTHVNRLPWQTFSEDVSSVDIGSKMIVSGLLDQLSVTRDASDYLWYSTSVVIKPWEIHGNSVPTLTVDSQGHALHVFINGQLSGSAFGNPENKNVKFTGNANLHAGINKISLLSVAVGLQNNGPHFELWKTGVLGPVVLHGVDRGSRDISRQKWSYKVGLKGESQNLASPTGVSSVDWIESSLLQQNHRPLTWYKAYFNAPEGVEPLAIDMKRMGKGQVWINGQSIGRYWTIRAKGSCTGCNYAGTFRPAKCQTRCGKPTQRWYHVPRSWLKPTQNLIVVFEEMGGDPSTISIMRRTLK >cds-PLY97324.1 pep primary_assembly:Lsat_Salinas_v7:3:137296035:137296648:1 gene:gene-LSAT_3X91380 transcript:rna-gnl|WGS:NBSK|LSAT_3X91380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARLSITLFCYVLIMLFMAFEVLQTSEARKLGENFKCSEKCIEGKQVAEGNNAINASQVPPPSGVDAFRPTNPGHSPGVGHSVHN >cds-PLY88101.1 pep primary_assembly:Lsat_Salinas_v7:6:183453747:183455310:-1 gene:gene-LSAT_6X112060 transcript:rna-gnl|WGS:NBSK|LSAT_6X112060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLVKVATFTQRLFLVTCFLAPSLAAAPTLLFQGFNWDSCNKDGGLYNSLLGSIDDLAAAGITHVWLPPPSQSAAPQGYLPGRLYDLNASKYGNEEQLKSLIKALNNKGIKSVADIVINHRTGEKQDGSGKYCIFEGGTPDERLDWGSSMICKDDDYCIGNGNLDTGGPFTGAPDIDHVNPTVQKELSDWMNWLNTEIGFDGWRFDYVKGYSSSFTKIYMTNTSPDFAVGELWSSLAYGEDGKPDYNHDNHRNELMKLIDPNGKPPGFIGIMPANAVTFIDNHDTYSQNVWPFPSDKVMLGYTYILTHPGIPSIFYDHFFSWGLKEAIINVSAIRERNGIGATSQVKILKYEADLYVAEIDEKIMVKIGSKDDLGNLIPENFEVATSGLNYAVWEKNTIKFE >cds-PLY73163.1 pep primary_assembly:Lsat_Salinas_v7:2:191682635:191683487:1 gene:gene-LSAT_2X112241 transcript:rna-gnl|WGS:NBSK|LSAT_2X112241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTPFKPDEQPHTYTLIKLILSLLQPRLHLIPLSLVDLLLRCISVLHRSPPTGEAIITGKRSRTRTHHQRSSSRQLHLLSFFLCFAQTLHQPPPLSFPTMSLTISKWNGIKLVMDDSSHGCCCR >cds-PLY62749.1 pep primary_assembly:Lsat_Salinas_v7:9:106467014:106467770:1 gene:gene-LSAT_9X76501 transcript:rna-gnl|WGS:NBSK|LSAT_9X76501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPYAVIWIAGNNSDSKVKTNTAKNSGSNPVWDAHVEFNIEHDASMQENLILVCEIKHSGTVVDRNIGKVQVPLKHLLSVGASEEKVSYPVMTSSGAVEGTIIISYTVKNLQESIAGSSSSTDEEPSDPLPEEPSDPVPNEPHQTKKSKNRLIKNVLHASTAMANSLAIVSSALTLSGDRD >cds-PLY92510.1 pep primary_assembly:Lsat_Salinas_v7:4:210133192:210133401:1 gene:gene-LSAT_4X117640 transcript:rna-gnl|WGS:NBSK|LSAT_4X117640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDSSTDDTPPTNHEQISTPISISTANLHRLDAILTQMATINTLIGIQTGTMARLISPPPLIPPPN >cds-PLY97520.1 pep primary_assembly:Lsat_Salinas_v7:5:78577858:78579693:-1 gene:gene-LSAT_5X36721 transcript:rna-gnl|WGS:NBSK|LSAT_5X36721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKYKLIAKIKKGVFQGLCLLSSQTVTLKQLGFPDNEGVPGSLIKEITLLQAMRHANIIRLIDVVNHQEQSSIVLVYESMETNLTSFIRIYPKVMKIPRTIKELLRQILLAASYYHSFNIVHGGIKPANTFIDPATNTLKITDFVWPRTLFNSNTEDPNEELGFCYKAPEILVEHKQFSTAIDVWSVGCIFAEMDLRKLVTGLEPAGIDLLHKLLYMDPKGRITARQALEHVYFQN >cds-PLY76077.1 pep primary_assembly:Lsat_Salinas_v7:9:31385700:31386221:1 gene:gene-LSAT_9X28560 transcript:rna-gnl|WGS:NBSK|LSAT_9X28560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPKVSTSFFESFASPGTHASVIQGRREHVRDMYKIKLVENMVLGQEIISWLDENELLHLENRRLHDKFKKISDLIKPFIMLSLGVAHNMQFFRYRQVELVEKFVELEKAMPNMGVVPQGKVDNVKDEVVVVKNENVLLERKLVGYDDVVDEVSTLKATMASLELEKLSCWRR >cds-PLY80681.1 pep primary_assembly:Lsat_Salinas_v7:5:179630900:179631284:1 gene:gene-LSAT_5X81360 transcript:rna-gnl|WGS:NBSK|LSAT_5X81360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTVMDDLDMHDIKNNHLDEENHQFGSNDSEILHNEENQSETQVMDDLDMNDIRNDHYDEENHQFSSGDSEILHNDENQSEIQGNSVIR >cds-PLY68444.1 pep primary_assembly:Lsat_Salinas_v7:2:58093930:58094820:1 gene:gene-LSAT_2X26540 transcript:rna-gnl|WGS:NBSK|LSAT_2X26540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPEARKHSCGMTMTRRVTRNQNQDDASISKTIKTDDVGPWSSLNHDWRLQVMEICSTQ >cds-PLY73295.1 pep primary_assembly:Lsat_Salinas_v7:MU043501.1:338937:341385:1 gene:gene-LSAT_0X13081 transcript:rna-gnl|WGS:NBSK|LSAT_0X13081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPKSKQEDEDLEVKRYLIKETSRTNVTSVKARRIRQHQENLRRAQSDVTPTQSTTSSVREEPENQLMHPSNPELLESSVVAVPATSSLCNLERFLESVMPSVPVQHLSKQRTMRGWRTSDVEYQPYFVLGDLWESFKEWSAYGAGVPLILNEANCVIQYYVPYLSGIQIYVDPLKSSINSRQLTEDIDDNSFTDSSSDGSSDYEQEKGSLHYLREKSNNHHPKNDILHRMDHLSMNDENNVVQEDFSSDDSDSATTQSCLIFEYMEHSQPLGREPLCDKILDLAQRFPELKSLRSCDLLPSSWLSVAWYPIYRIPMGPTLKDLDACFLTFHSLHTPTTGNECEHPPVVRHFSGTGVVSLPAFGLASYKFKAPLWVPNELKRLEGPDGLFTILYVFVTYIEVLVLKRLECPYHVMCQ >cds-PLY98413.1 pep primary_assembly:Lsat_Salinas_v7:8:292875492:292880546:1 gene:gene-LSAT_8X163020 transcript:rna-gnl|WGS:NBSK|LSAT_8X163020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFQFHPLHFQTSLLLLFLFSNLLSASQSTPQNIQVYFPIPTPPPPPPLSETTPPPETTISTASRSSNKPIAKAVAITASCTLVLSGLLFLLLLKLKHRSKKEATNLYADSRINYSNDDLGMQKNDEFMSFGAIKRVIVDDDHEQGLDVIYLKMVHDNGGRKSKFDFPIQEIHFIREKSSGSRIWSVEDHNSDSVQVIDVIKDVRNQETMESQLLLQSPPLPPPVPPPNAAVPPPPPPVVERKSSAPLPPSLPKSGGLTLLSQRPPPLPKGNMSLSNENKVKLKPLHWDKVNANVEHDMVWHKLRNGSFRVDDDQMKSLFGTIATDKPSPPPESTTSPGKGGQSMIFLLDTRKSQNIAIVLKSIAISKHKIIECLQQGHGLDIDTLEKLNRITPSQEEATIILEFEGDITKLADAESFLYHILRSVPSAFTRFSIMLFKLNYYSEVSDLNNSLRTLESACKELKTRGLFVKLLEAVLKAGNRMNAGTSRGNAQAFNLNSLLKLSDVKSSDGTTTLLHFVVEEVVRLEGKRCNINRSAGGSNGAAFTESYYIKLGLPVVGGVSSEFCNVKKAAGIEYDAFSKTCSGLRNHLAEIKKSMEECEGDGGFVREMGRFLEEAEMEIEKLREEEERVMGVVKMTNEYYQSGGSKEFELFVIIRGFLEMVDKACVDIAVKLQKRRTGDGSPEAGKRAEVKFPALPANFRIVSSSDSD >cds-PLY62449.1 pep primary_assembly:Lsat_Salinas_v7:1:82264739:82265393:-1 gene:gene-LSAT_1X71241 transcript:rna-gnl|WGS:NBSK|LSAT_1X71241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVANLIDNYLAEVASDVNLKLKKFQSLVATVTDFARSIDEGIYRAIDIYLKKFELKWRNLVIVILQAHPWLIDSDWELLCRLMAYQKLSLEANTHAAQNERLPLRFIVQGCLLREAPAADICCGLLLCLLKLQLTNIPEQHVLPESGNVHLFPFVWIASPREEHERKK >cds-PLY72652.1 pep primary_assembly:Lsat_Salinas_v7:3:183200004:183202014:1 gene:gene-LSAT_3X110040 transcript:rna-gnl|WGS:NBSK|LSAT_3X110040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKRKMMKRENKEVATSTTFMDMKSQRMELNSILKDIQYMGPSHMTWKEKKALENKKVVSLGGKPPKKQRLPLSVARVQMKKQKERDQKMLQENMILGQVGGKRGNRSKRESESRKPEDRVLMSTAGRFRNGILDVKDLLKTGAPSRDRGFSGSRGSGGLGLGDGGKKKHGKKGGRRKGHH >cds-PLY95753.1 pep primary_assembly:Lsat_Salinas_v7:MU038594.1:19152:22943:-1 gene:gene-LSAT_0X38780 transcript:rna-gnl|WGS:NBSK|LSAT_0X38780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMVSMKNPCVLVFLCHLAFISSVTSTGNCSSGTIDGSETWGYVEVRPGAHMFWWLYKSPNKPKQPTKPWPTILWLHGIPDESAAGNGNFGAIGPLDVDLKSRNSTWLQKADLLFVDSPVGTGYSYVEDGSLFVNTDLEAATDVITLLKEIYNGNKTIESRSLYIFAESYGGKSAVPTALAILQAIKNGELNLQLEGVALGDSWISAEDYVLSWGPLLKDVSRIDDVALNRSNSIALKIQQHIADGQYEEAAYSWFDLMKDIYESSNNVDFFNFMLDDGYTDQTSLKTKASRILGKKSYDMVSEANATDLYSVMNNQIRQKLKIIPSDLEWGEQDVYAYFSMVYEYMRPRIAEVDELLLTGVNVTVFNGQLDLVCATKGTEAWIKKLKWDGLSEYMNLNRTPLYCGEDKSTTKGFYKSYQNFAFYWILGAGHYVPVDQPLISLEMVDGIVNTPKL >cds-PLY76765.1 pep primary_assembly:Lsat_Salinas_v7:7:99142369:99142935:1 gene:gene-LSAT_7X67221 transcript:rna-gnl|WGS:NBSK|LSAT_7X67221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTKVTDTSSARARSGKDIQGIPWERLNITRESYRRTRLEQYRNYEDIQLSGDVVDKKCKQNSKIAKEPDIGYLKA >cds-PLY94048.1 pep primary_assembly:Lsat_Salinas_v7:7:100166151:100169658:-1 gene:gene-LSAT_7X66400 transcript:rna-gnl|WGS:NBSK|LSAT_7X66400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDSNQENSENDDSKSSSNGKGNVTGNINQRILFGGEGSERAFLGQVDDNTPIPSVVPDCGTVVSVQKHREEILKEHAEWRESSSMRIQGSKELNFFTEYGEVSRYKVKEIIGEGNSSIVCSAYDTHTGEMVAIKKINNIFENLSVAISVTREIKLLRRLYHPDIVKIKNILLPPSRKEFNDIYVVFEHMDCDLHQIIKANDDLTPEHYQFFLYQILRGLKYIHTANVFHRDLRPKNILATSDCKLKICDFGISRVAFSDQPTGKFWTDYIAARWYRAPELCGSFFSKYTPAIDIWSVGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSSETISTIRNEKARKYLNSMRKKTPFSLVEKFPNTDPLALGLLQRLIAFDPRDRPTVEEALSDPYFKNLADVEREPSAQPITKLEFEFETRENTKEELREVIYREILEYHPVGFHLTIKS >cds-PLY87276.1 pep primary_assembly:Lsat_Salinas_v7:1:50903663:50905275:-1 gene:gene-LSAT_1X42920 transcript:rna-gnl|WGS:NBSK|LSAT_1X42920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPPTSWDSLRKQARNLEAQLDDQMHLYRKLVSTKVDDGNEKDLGSQIEQLLKQLNHVISQMQAWVSSGGSEIFSHTLTRHQEIHHDLSQEFNRLRSSLRAKREHASLLEDFREFDRTRLDLEDGGASQEQSLLKERATLMRSTGQMDGVISQAQETLGTLMFQRSTFGGVNSKLSNVSSRLPTVNSILSSIKKKKSLDTIILSLVASVCTFLILIYWLTK >cds-PLY82789.1 pep primary_assembly:Lsat_Salinas_v7:2:143752149:143753999:1 gene:gene-LSAT_2X70481 transcript:rna-gnl|WGS:NBSK|LSAT_2X70481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSSSQEQYSQHKEVTNTERTEEGDKVKQYIGIRRRPWGKFAAEIRDSTRSGKRVWLGTFDTAEEAALAYDQALYSMKGPSSVLNFPAEDVKESLKGTNYYCNKKDGESPAAAIKEMHRLRRTSLSSSSREKNKHQKDLVILEDLGSDLLEELLSS >cds-PLY91622.1 pep primary_assembly:Lsat_Salinas_v7:8:12005734:12010299:1 gene:gene-LSAT_8X8220 transcript:rna-gnl|WGS:NBSK|LSAT_8X8220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKTPIRRKKSSSATTPTPVGDHKSTTPKVETGAELEDVAKVKTEMSGQVEVSDLNSAKIDGDSTTSLVVSPESMIKVGIVLENSAAGDGNKARNEGGEPDVGGDKVTEDLPLVSEDVGKGKQGEGDGIVYLENDESDETIVKKKDDLQHGSENVDQDKQGSGINGSQLETVAAVKGNNEEGKQENAKTISAQESNVDKAPCNNAAASVVEDGESDDDSEGDDADNEGEEDPSQDPVPDNKKDKDIEIYVGRLDKNTVEEDLVNVFQQFGELKSTRIVRNSTTKKSKGFAFIRFASPDQAKRALLELKDGVEVRGKHVKISESQDNHTLYLRNISKTWKKEEVLQQLKQYGIEHIEMIRLPENPKLVGKNKGFAFLEFTTHSDAVAAFQRLKKPDAVFGRDISAKVAFAQTPMRQNDEDLSQAKKVYLEGLTKDWSEEKVKEICKKYGEILRVDICLNPRTKHKDFGFITFASNEHALACVEGINNADIGGEVKIKANISKPQSKSRSQKQGFGGGFKVEASTSNKEGGKSKEISEPKPIKTKGGLNSQQVKRNKNDTIKNKVKGSIKNGESSNKKRKASSDHNVNAPPNLRHGDTKKPKFGVGVEGQNSKSASKPGNHKRKPPKHDGVNRNPQNKKPFKKQKGNVHAIGRERDNVIIRNPNSDNHIRRGHDDYRSSTRTRYMGHSYAVAVAVASDSFRLPPDSLSTRHFKEMGGSYSGGGYSTSQRTYY >cds-PLY87191.1 pep primary_assembly:Lsat_Salinas_v7:1:135020843:135023695:1 gene:gene-LSAT_1X99961 transcript:rna-gnl|WGS:NBSK|LSAT_1X99961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEIEGSTSTNNAYANGFAQINNGSLEEKLDELRNLLGKTDDDPLRIVSVGAGAWGSVFAAMLQDGYGHLRDKVQIRIWRRAGRSVDRATAQHLFDVINSREDVLRRLIRRCAYLKYVEARLGDRVLYADEILKDGFCLNMIDTPLSPLKVVTNLQEAVWDADIVINGLPSTETHQVFQEISKYWKERITVPIIISLSKGIEAELEPEPHIITPTQMISRSTGISMENILYLGGPNIASEIYNKEYANARICGSEKWRKPLAKFLRQPHFIVWDNGDLVTHEVMGGLKNVYAIGAGMVASLTNESATSKSVYFAHCTSEMIFITHLLAKNPEKLAGPLLADTYVTLLKGRNAWYGQKLANGELTLDMGDSIKGKGMIQGISAVKAFYELLSQSHLSILHPEEKKPVAPVELCPILKTLHKILISREVPTQAILQALRDETMNDPRDRIEMAQTHAFYMPSLLEQ >cds-PLY88596.1 pep primary_assembly:Lsat_Salinas_v7:5:132226163:132226714:1 gene:gene-LSAT_5X55961 transcript:rna-gnl|WGS:NBSK|LSAT_5X55961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVKELKPTPTQGSKEFEKEIIVIITAKYTCLLEVRGCCIHGSELILVYEFMENKSLDYHLYVYISIQIYDCLRCYNICVDEAMWMKVRWPTRKNIIQMVVKGLTYHYKDLNKQVIHRDLKASNIFLDQNMNAKIFDFGLAKFLNLNETEHRQKHWMGHSKNTLYFSRFT >cds-PLY75190.1 pep primary_assembly:Lsat_Salinas_v7:2:203551147:203551671:-1 gene:gene-LSAT_2X124301 transcript:rna-gnl|WGS:NBSK|LSAT_2X124301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANNQFIITISILALLAATTAAHEVTPLKQDKTATVVVEGKVYCQSCKYFGSWSLTGAEPIPAAKVSVICKNHKKRVSYYNTFATNEEGYFYAELKDFKMTHYLLDHPLHACHVKLVSSPLATCNLLSNVNNGINGSPLKFENKVLHGKDYEAVVYGSGPLAFRPSNCDPETET >cds-PLY82435.1 pep primary_assembly:Lsat_Salinas_v7:2:184065207:184066975:-1 gene:gene-LSAT_2X107300 transcript:rna-gnl|WGS:NBSK|LSAT_2X107300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSGFSSLLVVFIFLALEVFAPRAEADPRAFFVFGDSLVDSGNNNYLVTSARADAPPYGIDHPTHRATGRFSNGYNIPDLISMRIGSEPTLPYLSPELNGQKLLIGANFASAGIGILNDTGIQFVNIVRAPLQLEYFKEYQQRVSALIGAEKTKQLVHNALVLVTLGGNDFVNNYYLVPMSARSRQYSLQNYVPFIISEYKKILKRLYDLGARKVLVTGTGPLGCVPAELAQHSRNGECAAELQKASSLYNPQLDDMLTSLNNELGSHVFIGVNTKQMHNDFMSNPRAYGFVTSKIACCGQGPYNGIGLCTPMSNLCPNRDQYAFWDAFHPSEKANRIIVDQIMMGSTKYMNPMNLSTILALDSNKW >cds-PLY69321.1 pep primary_assembly:Lsat_Salinas_v7:7:81226369:81231871:1 gene:gene-LSAT_7X57100 transcript:rna-gnl|WGS:NBSK|LSAT_7X57100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSFSAISVGWTVVPPPDITFPSSSSYFGREFRLTSHVHSLIGDRLVISNRNASCFVVQRSSNSTATERTEKGEHERSPEKDEGVSYTLDEAISSIGFGKFQFIVLAYAGLGWVAEAMEMMLLSFVGPAIQPEWGLSSGEESFISTVAFAGMLVGAYLWGVVSDNYGRKKGFLGASILTTGAGFLSAFAPNYTSLLILRCFIGIGLGCGHVFTSWFLEFVPTQKRGTWMVVFATFWTVGTIIEASLAWSIMPRFGWRWLLGLSAFPSLLVLLFYGNVPESPRYLCMKDRLTAARDVLERGSTLNQRELPIGMLVSDQINKADHNEFHSLETLDDHRTTVSSISMLLSPKLIKTTLPLWALYFGNTFSYYGIVLLTSQLSISNSECGNVIASQLESIQDSSLYTNVFVTSLAELPGLGLAAIILDRLGRKISMKIMFLAGFILLLPLVVHQNQIMTTTFLFGARMFISTSFIVACIYAPEVYPTNLRARGVGIATAIGRTGGMVCPIIAIGLVANCNQTLAIMLFEVAILVSGLSVVMLPFETKGMELIDDVEQSSNI >cds-PLY85359.1 pep primary_assembly:Lsat_Salinas_v7:5:244391335:244392490:-1 gene:gene-LSAT_5X121061 transcript:rna-gnl|WGS:NBSK|LSAT_5X121061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLDFWPSMFGMRVRIALAEKGVSYEYREEDLRNKSQLLLKMNPVHKKIPVLIHNGKPICESSIIVEYIDEVWKDKSPLFPSDTYDKARARFWADFIDKKVYQNGRTLVFTAEGEEHEAAKKEFIDCLKLFEGELGDKPYFGGDSFGYVDVSLIPFYSWFHSYEIYGKFNIEQESPKLIAWAKRCIQNNESVSNTLPDSLKILGFVQIVRNAFGLCD >cds-PLY95865.1 pep primary_assembly:Lsat_Salinas_v7:5:317856848:317857528:1 gene:gene-LSAT_5X174081 transcript:rna-gnl|WGS:NBSK|LSAT_5X174081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLSCLIPCGALDLIRIVHLNGYIEEITRPITAGEFLSNHPSYVLSKPSSQGVARRILILSSSSELKRGCIYFLVPSSSVPENKRKPRRKNCEKAAIGDAVSVSVSVDLKLSGGVVAEKKAGRRRVRRSVDGGDWRPHLDSIFEEQ >cds-PLY64886.1 pep primary_assembly:Lsat_Salinas_v7:2:77643078:77647700:-1 gene:gene-LSAT_2X35081 transcript:rna-gnl|WGS:NBSK|LSAT_2X35081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTKSKDHLISAAAFVEGGTQDACDDACSICLEAFSENDPHTVTGCKHEFHLQCILEWCQRSSQCPMCWQSISLKDPSSQELLDAIEQERSIANNPALNATIFHHPTFGDFELQHLPVGATDSELEERIIQHLAAAAAMGRARHMARREGHRTRTSAHGQGQGQGQGRPRFLGFSAQPSVPSPTRPTASGTDDVQTTPTPTPTIGLGTVHEEPVPSSSSVPASSFVSTIVPARPHEPPPPERSGNQDRAGPSEAHSFSDFKTRVNAISLRYKDSITKTTKSWKERLFSRNNSAADDGSKDRGEGSATLSRMMDHLQQDLGHVDSTTSPRVQNTNNSASRNEITGSAPAPAPSSGIE >cds-PLY62527.1 pep primary_assembly:Lsat_Salinas_v7:5:295323949:295325788:1 gene:gene-LSAT_5X156840 transcript:rna-gnl|WGS:NBSK|LSAT_5X156840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDTLYPMSLGLNSACRKNIEEFMLTHLNIDECEVPTMCLDLYREHGTTMAGLKALGYKFDDDEFHALVHGTLPYHTLKPDLVLRNILLSMPQRKIIFTNADKAHAAQVLSRMCLEDCFEGVICFETLNNNPVEEPQSMKCSINETDDINKLSSATRIICKPALESFQAAAIIANIDPNKTIFFDDSIRNIATGKAAGFHTVIVGRSSLVPGADHALRSIHNMREALPELWECEGEEYTEQMMIHSTAVETVVKA >cds-PLY92832.1 pep primary_assembly:Lsat_Salinas_v7:5:329120508:329121960:-1 gene:gene-LSAT_5X181740 transcript:rna-gnl|WGS:NBSK|LSAT_5X181740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVLYILIWFHEIWSLIFLSMLLNQQEIDEKLIITDFLCCLIGNQCQKIPHKSSYSRTSENIPHIAFALTKRLPFNYFLPASDVTRKHRSNVSHQSTTLRFFTLHPSPFTIILSLKSTIMFISPRLKISQGFSSLQSSSQPCAIQTSNPQDSSRKKLNVSKSSLKSQEIKPST >cds-PLY91117.1 pep primary_assembly:Lsat_Salinas_v7:3:82845218:82845406:-1 gene:gene-LSAT_3X64200 transcript:rna-gnl|WGS:NBSK|LSAT_3X64200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRSNRLNNQPPLEQPDQIVPPVIVVQPIPPVDPPIHSVEFEQILAQRVAEAMPNCETI >cds-PLY67461.1 pep primary_assembly:Lsat_Salinas_v7:6:69032160:69033398:1 gene:gene-LSAT_6X50440 transcript:rna-gnl|WGS:NBSK|LSAT_6X50440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGSRVVTLCYRPPELLLGATDYGVDVDLWSAGCILAELFAGKPIMPRRTENDYFALYFIFFLLLICINNYSRVEQLHKIFKLCGSPSEEYWRKSKLPHANIFKPQQPYKRCVGETFKDFPPSALMLLDSLLAVEPHNRGSSSSALHSEVHLSSILYEWGITH >cds-PLY83973.1 pep primary_assembly:Lsat_Salinas_v7:8:37450126:37453055:1 gene:gene-LSAT_8X30100 transcript:rna-gnl|WGS:NBSK|LSAT_8X30100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIISAIAGAFGFGIGITLGLVIGYFLFIFIQSSDVENPEIKPLVEQDEPTLQRMFPEIPIWVKNPDHDRVDWLNKFLELMWPYLDKAICKTVLATVEPMIKEQTPQYKIDEVEFDSLTLGSLPPTFQGMKVYTTDEKEIIMEPSFKWAANPNIHVGVKAFGLRPTIQIVDLQVFASPRITLKPLVPTFPCFCKILVSLMDKPHVDFGVKLIGADLMSIPGLYGFVQELIKDQVANMYLWPKVLEVAILDPTKAMKRPVGMLNVKVVKAMKLKKKDLLGASDPYAKLTLTDDKLPSKKTTVKHKNLNPEWNEEFNLVVKDPEVQALEIMVFDWESVGKHDKMGMNRVPLKDLTPEEPKTLTLDLLKNMDPNDSHNDKSRGQIVVEVMYKPFGEDQMPTDVEEVSAMQKAPVGTPEGGGLLVVIIHEGEDLEGKHHTNPSVRVLFHGEEKRTKPMKKTRDPRWEEEFTFTLEEPPTNEKMHIEVVSTSKRMGLIHPKESLGYVDISLADVVNNKRINEKYHLIDSKNGRLQVELHWRTSG >cds-PLY98275.1 pep primary_assembly:Lsat_Salinas_v7:7:167383207:167383536:1 gene:gene-LSAT_7X99620 transcript:rna-gnl|WGS:NBSK|LSAT_7X99620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEFSDNGVVGYKDGDLGSAMFSKPKSFALDRKNNIYIADKTNHVIKKFNQISQTGMTTIAAGYVQKTGKNRWTCTEGIIL >cds-PLY65838.1 pep primary_assembly:Lsat_Salinas_v7:5:97653309:97674559:-1 gene:gene-LSAT_5X48861 transcript:rna-gnl|WGS:NBSK|LSAT_5X48861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGHLFSIIVLILFVLFVTKSTSISGVSGWDDDGVYDNDGFGRLFHQDYSPPAPPPPPPHPPSASCEDDLGGVGSLDTTCKVVSNLNLSRNIYVAGKGNFYILPNVTVNCSVLPGCEIGINVTGNFTLGENAQILVGSFELEAMHAVFANGSLVNTTALGGDPPEQTSGTPQGVDGAGGGHGGRGAACLVDEKKLPDDVWGGDAYAWSSLAKPLNYGSKGGTTSKEIDYGGGGGGKIMVVVKGNIEMDGTLLAEGGDGSPRGGGGSGGSIYIKAYKMTGNGKMSACGGSGFGGGGGGRVATDVFSRHEDPKIIVHGGSSIGCPTNAGAAGTFYDAVPRSLIVDNLNMTTDTDTLLMEFPYQPLLTSIFIRNFAKAAVPLLWSRVQVYGALRMSVKMFLMWNSQLLVDGEGDRNVGTSVLEASNLIVLKESSTIHSNANLEVRGQGLLNLSGPGDCIEAQRLALSLFYGVNVGPGSVLRGPLENATTDAVTPKLNCDSQQCPEELVRPPDDCNVNASLSFTLQICRVEDILIEGLVKGSVVHFHRARTVTIPSTGTVSTSKMGCTGGVGRGRILGNGIGSGGGYGGTGGYAYYNGTRVEGGLPYGDADFPCHLGSGGGNAYPTTTPTPTPTPGGGVLVIGSLEHPISSLCVDGSLTADGGSYGEKSVRNAYDIYKSGGGSGGTILLFLNDLFVGESGSLSSAGGYGNPNGSGGGAGGRIHFHWSHIPTGDVYQPIATVKGNISTGGGLGGNDGGAGQNGTVTGKPCPKGLYGTLCEECPVGTYKNVTGSDRSLCFKCPPDELPHRALYVAVRGIQLLXLPHRALYVAVRGGIAETPCPYRCVSDRYHMPNCYTALEELIYTFGGPWLFGLLLLGLLILLALVLSVARIKFVGFDNDNSPGPAPTQQGSQMDHSFPFLESLNEVLETNRVEESQGHVYRMYFMGLNTFSDPWHLPHTPPEQVKDIVYEGAFNRFVDEINSLATYQWWEGSMYSILVFVAYPLAWSWQQWRRKMNLQRLREFVRSEYDHACLRSCRSRALYEGLKVAATSDLMLAYVDFFLGGDEKRSDLPPRLHQRFPLSLLFGGDGSYMSPFSLQSDNIITTLMNQVSYSYFTPRQHYIFNLWRLLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGLNAQLRLVIRGRVKAMFGSVVTWLENYANPTLKVYGIRVNLAFFQATTGDYHQYGLIVSAVDDQPQPQPQPQPDFISSNLEEDTNTRTSNDEYDGDSREFMRLIENSATQKIRSYGRILDLNSLQTFNEKRDIFFPLSFIIHNTKPVGHQDVVGLVISVLLLGDFSLVLLTLLQLYSFSCADVFLVLFILPLGILLPFPAGINALFSQGPRRSAALARIYALWNITSFVNVVCLLSFCINSKDLDENGTKLAEDVLLSNEETSTKPSL >cds-PLY69511.1 pep primary_assembly:Lsat_Salinas_v7:6:44598307:44599895:-1 gene:gene-LSAT_6X31701 transcript:rna-gnl|WGS:NBSK|LSAT_6X31701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVEGGGTLSELYQSSRRLLLKTRDGLERLERLEFSSSAGSVDSSELAVAVRRDISQIQTLCSDMDGLWRSVGSKPQRDLWKRKVEQIAEEADSFKESLDRYFQRQQKRIQEAQERAELLGRANGESSHVLRIFDEEAQAMQSARNSSRMLEEATATGTAILAKYSEQRDRLKRAQRKALDVLNTLGLSNTVLRVIERRNRVDQRIKYAGMILTFIIVIAFLRWTR >cds-PLY62267.1 pep primary_assembly:Lsat_Salinas_v7:5:161994282:161995663:-1 gene:gene-LSAT_5X70861 transcript:rna-gnl|WGS:NBSK|LSAT_5X70861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISRPKHHIHKLLLCIQDRWWQQCIPRERELRKLLEAGCTMSEKMLPLPGSIDEIAQFISRKLRMGIGHKGGEGEEGHSAGD >cds-PLY83112.1 pep primary_assembly:Lsat_Salinas_v7:2:8335467:8336114:1 gene:gene-LSAT_2X4780 transcript:rna-gnl|WGS:NBSK|LSAT_2X4780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSWILENLKKKGIDEEIDKEILVIAKKGKDVSKDLMLKLLPNKFPGANGSGSEVTYGELYRLEEFLLCPLKVLDDSGDGFTKGLEAELASLHSKGFNASVASGGYGWYITLDPNENGWEVVKKGRNAQVIGEVSHKFKNVFEVVRIPSEGPSFHYQYFSSGVMSNKNGKVDKVIKMILEELEPVIVGQATKQGFELEEMEGEQQQHDSLKKKS >cds-PLY86208.1 pep primary_assembly:Lsat_Salinas_v7:8:226121449:226122258:-1 gene:gene-LSAT_8X138060 transcript:rna-gnl|WGS:NBSK|LSAT_8X138060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYLQILDVAYNNLTGIIPHCLRELNAMVNGAEKWYDSYSWADSNENVIQVMKGVDLEYTRILDIVYNMDLSSNKLVGEIPIEITALSMLVGLNLSNNHLSGNIPDTIGNLTALFSLDFSNNELTGMIPPSMAALTFLSHLNLSHNNLWGRIPTGHQLQTLTDDPSIYAGNRDLCGPPLPNNCSDHQDPTTTAKPKKKHKAADKSIKVWWFYLDIMSGFATGFWGVIVVLLFKKHWRRRLFMFVEKSMDKIYVAVMVKVAKIKRRREAV >cds-PLY92905.1 pep primary_assembly:Lsat_Salinas_v7:3:181434425:181435642:1 gene:gene-LSAT_3X108640 transcript:rna-gnl|WGS:NBSK|LSAT_3X108640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFPFDFFIPPRDSFPLYYFVISSLTQRQTTKTQQIPAAFCCNRRLPPCIPKFMVWSDHRDREHQKSTELRKEEICRLKVKSISRSQRPNPVIPIFVDNSGGWTDKVEEASREWLSCIVRMIVLPSPSKSRRTMYFTSITLQL >cds-PLY87010.1 pep primary_assembly:Lsat_Salinas_v7:5:258583296:258587725:-1 gene:gene-LSAT_5X133240 transcript:rna-gnl|WGS:NBSK|LSAT_5X133240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGLASIVFGLIADAFGGTSFIAIGTIAFSIAGAGGNDATLMSTAVRFPAQAASTPAVSRRSTAQQGAGGSKPSVFSFVLLSMGAGGLASIVFGLIADAFGGTSFIAISTIAFSIAGAGGDDDTVMFTAVRFPAQAICAAGGIMYLLDFMPLEYKHLLEGPTLKVDLHTGAIAEGVLTFVITFVVLFIIIKGPNSLFLKNWMLSMATMVMILVSSSYTGPSMNPANGLGEHELEEKNPQFAVDSLANLTNLVSFNTSRFTLLGSVPSGSSLQLQTK >cds-PLY81846.1 pep primary_assembly:Lsat_Salinas_v7:3:33374440:33377055:-1 gene:gene-LSAT_3X25100 transcript:rna-gnl|WGS:NBSK|LSAT_3X25100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGRRKMSAPLPNSSKDRDEDLVLFREMHKRDKDRVVSLLQPVSDEFEPNGNYPIYGMASVKKPGFGFLGETEKNDYDWLKTPPATPLFPSLEMDTKNGHELVVQRELPIIQPLSRFAVKPEEKETKQTGNGEGRVKITNPKPRIPARSVTPSGRSTGLFTDQKKNIKTAPIPVITGVNKITDVTNKSNTTSSISNQLKSITNSSPRTSQKTRGVSPLVTSRIPARISGFSDETPANLRTDRSTSASRGRPVNTPVAAPQKPEVLNMRGRRQSCSPSVMRGRKVAPTNEESVNTMAVQKGNNRMFQTGNGGQFLGSRMVDKIMNARKSSVEEKETRMKLNGSINEGSGYGRIMAKSSLDMETKRDSVHSRQVGLSSGRKSISTTYAPTSRSNGGNYKM >cds-PLY72090.1 pep primary_assembly:Lsat_Salinas_v7:9:197053337:197058028:1 gene:gene-LSAT_9X121820 transcript:rna-gnl|WGS:NBSK|LSAT_9X121820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEPVTTGQTDDQEVGTPDNMEERNPSPKAVSRKEKRKALKKDKRKQIRKELAEKARTEEEARLNDPEVKRKIELEEEREKERLEKERKEFEERERIFLEELQKKKEEEEEEERRNAVESESKPKQEGTEEVSNEDDDEWEYIEEGPPEIIWQGNEIIVKKNKVKVKKKEANQSIQKEDPDRPTSNPLPPQSEAYAAFKNAPMTPTELLDTVAQQVPNFGTEQDKAHCPFHIKTGACRFGMRCNRVHYYPDKSTTLLIKNMYNGPGLAWEQDEGLEYTEEEVGRSYEEFYEDVHTEFLKFGEIINFKVCRNGSFHLRGNVYIQYKSLDSAVMAYNSVHGRYFASKQVKCEFVSLTRWKVAICGEYMKSRLKTCSRGSACNFLHCFTNPGGDYEWADCDKPPPRFWVTKMVALFGYSEDDTWHQPTPRDQHRIFTSDRVSYREKRHRSIEKNQHNGNSSRKHHDENHVRKSRKHERDKNYEHENSDSDGDRLRRHHEGSQTHSRHSRSKESNYRKSKRRTHGSSSSSSSCDEDFYTKKRHLNTKSRSRRAKNVSESSNDEESDDAELKRRKERKTNCQRSRRRGRDGGSCEIDGESGKVERRRNGRSRSREGGGGCLSEKIEMGDRWEPEGSPDELKNSEGDGKSSHGENRRSLDCDDKMRDKRKRI >cds-PLY90440.1 pep primary_assembly:Lsat_Salinas_v7:8:35358743:35361620:1 gene:gene-LSAT_8X28221 transcript:rna-gnl|WGS:NBSK|LSAT_8X28221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPNHIVFLLFTLLVYVFPSPAISNYLIGVGSYDSTGPAAGVNMMGYANLDQSTAGIHFRLRARAFIVAESSEGPRIAFVNLDAGMASQLVTIKVLERLSKRFGNLYTEDNVAISGTHTHAGPGGYMQYVIYSVTSLGFIPQSFDAIVTAIEMSIVQAHQNLKSGSIFINTGDLDNAGVNRSPSAYLFNPPDERSRYPRDVDTLMTLLKFVDGTSQKSIGAFNWFATHGTSMSKDNTLISGDNKGAAARFFEDWFTSTATNSTTFDAQDDINVLKEKAAAIKATGGQPCGKTTSQGFKVRKNADGSKFVGAFCQSNVGDVSPNVLGAFCTDTGLPCDFNHSSCHGDDQLCIGRGPGYPDEIKSTKIIAERQFLKAVELFTSAKQQLTGKIDYRHVYLNFTNIKVSLAGGRSGMTCPAALGPGFAAGTTDGPGAFGFQQGDTKINEFWKLVRDHLKKPSDYQVDCQKPKPVLLSTGEMFFPYAWAPAIVPIQILRIGKLVILSVPGEFTTMSGRRLRESVKQTLISNSGGEFDNDTHIVIAGLTNTYSQYIATPEEYKQQRYEAASTLYGPHTLLAYIQEFNKLAESIAKGHKIVNKGPSPPDLSSVQLKLLPDPFGESPPPGIEFGDMKDDVSIPKSGFFSKGDKPSAAFWSPNPRYDLLSEGTYAVVEMLQGKRWVAAYDDDDFSLIFKWNVYNDSSYGLATLEWEVPDTVSNGVYRFRHFGSSKKTIGSAIEYFTGASSAFTVS >cds-PLY92657.1 pep primary_assembly:Lsat_Salinas_v7:2:160215574:160217187:1 gene:gene-LSAT_2X83541 transcript:rna-gnl|WGS:NBSK|LSAT_2X83541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSNRQLAMSSPPHISVLLTRYFEICEMHEVQPTSAVVSLLKKATIQNMTCQKSTTVISLHQLSNTDLFLLTDLFHSNDSNALDNIDLLHESSGDLNGYAVLSLMHAINKKLHIVDIKDMALKEDVARSILMLPSDSD >cds-PLY78344.1 pep primary_assembly:Lsat_Salinas_v7:5:210366612:210366857:1 gene:gene-LSAT_5X95480 transcript:rna-gnl|WGS:NBSK|LSAT_5X95480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVAIPCTSRFWINVGSIWNDGLEALMTDVPVVLTGVAPELGGSPDIWVTSGGLLQLPWGVGFGQNRCSFRGGIVLICLNR >cds-PLY72489.1 pep primary_assembly:Lsat_Salinas_v7:2:139621929:139623471:1 gene:gene-LSAT_2X65581 transcript:rna-gnl|WGS:NBSK|LSAT_2X65581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKEWREVRRKGFTRKRSPGRVDHSSLTSFFISNLPRDVMKSELWKLCTYLGKLTNIYIAGRKDVIGSLFAFMKFSNVERPEKIEQRLDIISCGDRKLAANLARHPCHPAPRVVPSRPAIGAPRSFPPATRDSCLFADVAKGRVNATLPPSPPPIMLSCIRDCRIGMKNPFLWEKSNVSTCSVTSPPCWYWMATMLLKQNIMAKYGGMDLEDGETGLHPNFQAGKLEMDPIPPINSRGQVDGEESLFHVENLKTTHKDMGARGLGISAGINSNEKINSVCESLGGPTIIVPGSNNTHDACIRNDGSSNVGHGIAEHISSPISNLNASSLEFEPGDSAIKRHRTKQARRGEINLAVNLAIHLL >cds-PLY77064.1 pep primary_assembly:Lsat_Salinas_v7:8:172472667:172477444:1 gene:gene-LSAT_8X113461 transcript:rna-gnl|WGS:NBSK|LSAT_8X113461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGDTSEGTRELDQTPTWAVAGVCAIIIVVSIALEKVLHRLGKFFTDKHKKALFEALEKVKAELMVLGFISLILTFSQYYIAKICIPIDIADTMLPCTKKKEKVEEEVAHRLLLWYQERRSLAGAKASTCKKGKVPLITVDGLHQLHILIFFLAVLHVAYSAITMALGRLKIRGWKQWEQETLSHDYEFSNDPSRFRLTHETSFVRAHTSFWTRIPFFFYIGCFFRQFFRSVSRSDYMTLRNGFINLHLAPGTKFNFQKYIKRSLEDDFQVVVGVSPVLWASFVIFLLLNVNGWQAMFWASIIPLVVILAVGTKLQSILTKMALEITERHAVIQGIPLVQASDKYFWFSRPRLMLHLIHFALFQNAFQITYFLWIWYEYKINSCFHDNMKLVILKLVLGVGVLILCSYITLPLYALLSQMGSNMKKSIFDEHTAKALKQWRMAVKRKHGGKSPTRSTAGSVAASPIHPMATTTSRTAAAMLHRFQTTGHSTRSNFTYEDTDVSDFEGEPLSPESSTRQLLDVRVDYHSDNEIELVNESQQEVEMKNEDDFSFAKPAPPK >cds-PLY99531.1 pep primary_assembly:Lsat_Salinas_v7:1:115249071:115254003:1 gene:gene-LSAT_0X16120 transcript:rna-gnl|WGS:NBSK|LSAT_0X16120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAVNLQKYQIRLADIHRATNYFDKEYQIGDGGYGIVYLGQLSIGSEERSVAIKRLSKCGHQGSDEFHKELEMVPTFDHQNIISFIGYCEEANEMIIIYEYAANGSLDSHIQDPVKRHRITWAQRLKICLGAAKGLGYLHSAIGENTRVIHRDMKSANILLDENLEAKICDFGLSRYGPRNQQQTKLVTKAAGTNFYIDPVYVQRGRVSKESDVYSFGVVLFEMSSGMLCYNPMHFKDAKEQYLLDLVRCYYDEHEEDGLNKLIDPIVKGHIDMESFQIFNEIAHECINLDVTKRPSTERIIDRIQEALTIHIQSSQCQTPISSLEVHLKNYLIPLEEINHATDNFSENKRIRHGGYGDIYRGQLSKCWQYRTAAIKRLHHDGYLGEGEFHNELEVLSKFHHKNIISLIGYCDQDDEKILIYEYAMYGSLDHHLEDPNKMRCITWTQRLQICIGAARGLNYLHTGLRDYNGVIHRDVKSANVLLDGNLVAKITDFGLLRSSPKNRLYTEAKVTGTQIYLDPTYNASGILRKESNVYSFGMVMFEVLSKRSVSDDELIDPHIRNQIDNRSFDTFNEIAYQCTHLNFMERPTMDKVVDRIVEALDIQMEENVAVLCECVRVEVGFNYGKPVAAYTIYKCLLHWKTLEDERTPVFDKLIYIILSAYEDGENNNQMAYWISIASTLLFLIEESQKKLPPRCLAMGSGPSVFSGDLTEDEDTTEIVQQVEAKYPALLFKKRLIAYVEKMYDNILGNVKKELGSLLALCIQAPPRSEKVLIFGVSFGKDSHFKSVNSLHSRLKKKFGKDSHFNHWQGIVDCLNTLLNTLKENFSSSEPGVLFLH >cds-PLY89173.1 pep primary_assembly:Lsat_Salinas_v7:3:21357453:21382995:-1 gene:gene-LSAT_3X15901 transcript:rna-gnl|WGS:NBSK|LSAT_3X15901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVAHLLQRYLGNYVRGLSKEALKISVWQGDVELTNMQLKPEALNALKLPVKVKAGFLGSVKLKVPWSRIGQEPVLVYLDRIYLLAEPETQVEGHSEDAVQKTKKSRIHDMEMKMLESRQILTTEMNKSWLGSFIETIIGNLKLSISSIHIRYEDTESNPGHPFAAGVTLEKLSASTVDDSGKEAFVTGGALELLHKSVELERLAVYLDSDITPWHIKKPWEDMKPSEWDQVFSFGTKNGKPATALAQKHTYILQPVTGNAQYSKPRSNSSIRDQPLQKAVVNLDDVTICLPKSGYRDVLKLADNFSAFNQRLKYAHFRPLVPVKSDPKAWWKYAYRVVSDQIKKASGRMSWDQVLKYATLRKRYISLYASLLKADPGRDVIDDDKDIEELDRELDIELIVQWRMLAHKFYEKSQSDIAMKKEQTKKSWFSFGWGNGTAEDDNQPLQFTDEDWKQLNDIIGYKEGDNDEQSGNKDEQGDVLRTLIEVHMKHNASKLTEAHEFVAELSCENLDCLMKFYKDAKVIDMKLGSYRLSSPDGLLAESATSSDSLVGVFNYKPFDAKVDWSMVAKASPCYVTYLKNSIDQITNFFESNAVSQKIALETAAAMQLTIDEVKRSAQQQVNRALKEHARFFLDLDIAAPKITIPTEYCPDNLHPTKLLLDLGNLIIRTQDDDDDDVSHEKDIYLQFGVVLSDVSAFFVDGDYHWSQRSVTGGSGGSSQSSIVSLLPIIDKCGVTCKLQQIQSENPSFPTTRLAVRLPFLGFHFSPARYHRLMQVAKIFQGEDNDNEGLVRPWDQADFEGWLDVLNWKGVGNREAVWQRRYFCLVGPFLYVLEAPGSRSYKQSFSLLGKQVYGVPSETIGDVENVLAVCRTERSISKVVEDANALILRCESEESKTNWKHLLQGAIYRASDPLPVSMQGSAPITGLLETSSESEDSEIEEVQKLDMKDVSNTEKLFITGVLDELKLCFNYSTQTDRNLIKVLLAEEIRLFELRAIGGRVEISMRASDMFIGTVLKALEVEDLVSCKGSRKPVYLARSFIRHADAPSVFDTIEDQSYGGSDKNQTEGDDRFYEVSDSLNDPGDSPGPSKGTILKTPSFTRIAGLLPDDVNQDGENNLEVTDTLDSFVKAQIVFIDSNSAFYDNVDKRVMVTLATLSFYCRRPILVAIMEFVSAITVEDDNLESFSDHSSTDDVNDSSREVEVNNRSPAVDELKVTGLLGKGRSRVVFLLTLEMARAQILLMKEDGTKLATMSQDNFTTDIKVFPSSFSIKASLGNLRISDDSLQSSHMYFWACDMRNPGGSSFVELVFSSFSADDEDYGGFDYSFTGQLSEIISYFMGLVPNNSVAVVKVKDQVTDSEKWVKTSEIEGSPAIKLDLSLRKPIILMPRRTDSPDYLKLDIVHITVQNTFQWFGGTKREMNAVHLDIMSVKFEDINLNVGMGTELGESIIHDVKGVSIVIRRSLRDLLHQVPSIEVAIEIGELKAALSNKEYQIISECALSNISETPNAIPSLNNNSAVSASADVVESLVLQDSEGREHGAENEQTWISMKLSVVVGLVELSLHYGMASDAALATLQVSGLWMLYKANTRGEGLLSASLKGFTVNDDREGTEEELRLAVGQPKSLRYSPDYEVHNEENQMAKADEVKYDEILGVPTMLILDAKFSQYSTSLSLCIQRPQLLVALDFLMAVAEFFVPTVRGMLSNEVDETSLYVVDALVLDKPVFSQSDEVLILSPQRPLVVDGEDFDHYIYDGRGGTLLLQDREGQMISSTSIETIIHVDSGKRLQFKNVTIKNGEYLDLCVSLGSNSSFSALEDDQVFLEEEEVSSPNTQEETTKDLPSPNNTMSRPTETSIELQVISPELTFYNSSKYVGESPLFTNKFLHARLDAFCRLVLKGNTIEMSANALGLTMESNGIRILEPFDTSVKFSNASGKTNIHVAVSDIFMNFSFSTLRLFLAVEEDILKFLRMTSRKMTVSCSEFDKLGTFQSAHNNQTYAFWRPHAPPGFAILGDYMTATDKPPSKGVLAVNTRYVKIKKPEAFKLVWPPSDSEGAGDLELVPEDGEESCSIWFPVAPKGYVALGCVVSPGKTQPSLSSAFCIHASLVSPCALRDCIAINSGDISSPGLGFWRVDNSLGTFLPADPGTLNMSGRAYELHHILFGFRGVSSKSSKGSDSPALPSNRDNIQSERSSTATSGRRFQAVASFRLVWWNQGSKSRKKLSIWRPIIPQGMVYFGDIAVTGYEPPNTCIVLPEDDETFKAPIGFQIVGQIKKHKGAESISLWLPQAPPGYVSLGCVAFKGSPKQSDFGCLRCMRSDMVTGDEFLEDSIWDTSDIRFSKESFSIWGVGNELGTFMVRSGFKKPPRRFAVKLVDSDTPTGSDDTVVDAEIRTFSLALFDDYGGMMTPLSNISLSSIGFSLHSRPDYLNATVCFSLAARSYNDKLEAWEPLVEPVDGVLRYQNDVNAPSAASQLRLTTTRDLNINITVSNANMLIQAYASWNNLSQVHEPSSVREAISPSYEGPIIDVHHKKNYFVIPQNKLGQDIFVRATEIRGLPHVIKMPSGEKKSLKVPVSKNMLDSHLKGNLYKKLRSMVTIIISQAQFPKVEGLGSHQYGIAVCLTPGQNSSNGILLGRQSARTCGASSSSEKSSDLELVTWNEIFFFKADSLDGYMLELVATDMGKGVPVGYYSSSLKELLEAQDDSAGNGSEWLELSPADSTKTTQADTSSVSCGRIKCCVLLSPRSVVANTDKGFIRDRNSGSLQVGPTKEGPWTTVRLNYVAHAACWPLGNAVVASEVLVEDGNRYVNIRSLVSVTNNTDLVLELCLQLDASSKENLDTLEDSRTDSPKDAIETDVQKQIVIGELKPGESLPLPLFGLVHSGLYVLQLRPTLDDDDDHKEYSWSSVMDKHAVSEDDSRPKETSGIHVSNLNESEELLYCSEISGTSSNPSHGMWLCLAIQASEISKDIRSDPIQDWNIVVKSPLSITNNLPLTAEFSVLEMQRSGHFKACSRGVFTPGETVKVLNADIRNPLYFSLFPQRGWLPIHEAVLISHHSLDPAKTLGLRSSVSGRVVHIVLEQNYEMERPLAPRTLRVYSPYWLTIARCPPLTFRLVDMSAKKAKRNPFKSKKTNEVILEEITEEEFHEGYTIASALNFKLLGLSASISDNGNDHFGDVTDLSPLADMDGSLGVSAYDADKNCMRLFVSSKATPYQSVPTKVISVRPFMTFTNRLGEDIYLKLSSEDEPKLLRASDVRVSFVYRETDQPSKLQIRAEGTEWSFPVQIEKEDTIFLVLKKEDGSQEILRTEIRGYEEGSRFIVVLRRGPRDGPIRIENRTASKVVRIRQSGLNTDDWIRLEPRASTKFSWVDPYGEKFIDTEVHNNNEVTVCKLDMDKLGVSSECCEGTGLLFHVVEMGNIKVARFVDDVATGSHTDGLSRSMASFENWKSANMPTTEKETAAPLELIVELGVVGVSLVDHRPKELAYFYLERVFVSYSTGYDSGTTSRFKLILGHLQLDNQLPLTYMPVLLAPEEASDMNHPVFKMTITTCNETPDGIQVYPYVYIRVISVRPFMTFTNRLGEDIYLKLSSEDEPKLLRASDVRVSFVYRETDQPSKLQIRAEGTEWSFPVQIEKEDTIFLVLKKEDGSQEILRTEIRGYEEGSRFIVVLRRGPRDGPIRIENRTASKVVRIRQSGLNTDDWIRLEPRASTKFSWVDPYGEKFIDTEVHNNNEVTVCKLDMDKLGVSSECCEGTGLLFHVVEMGNIKVARFVDDVATGSHTDGLSRSMASFENWKSANMPTTEKETAAPLELIVELGVVGVSLVDHRPKELAYFYLERVFVSYSTGYDSGTTSRFKLILGHLQLDNQLPLTYMPVLLAPEEASDMNHPVFKMTITTCNETPDGIQVYPYVYIRVTDKIWRINIHEPIIWASVEFFRHVQLDRLPQTSSVAQVDPEIRVNLIDVSEVRLKLSLETAPAQRPHGVLGVWSPILSAIGNAFKLQLHLRKVMHKDRYMRKSSVIPAIGNRIWRDLIHNPLHLIFSVDVLGMTSSTLASLSKGFAELSTDGQFLQLRSKQVWSRRITGVGDGFLQGTEALAQGFAFGVSGVVRKPVESARQNGILGLAHGLGRAFLGFVVQPVSGALDFFSLTVDGIGASCSRCLEVINNKTIIQRIRNPRAIRADNVLREYCEREAVGQMILYLAEASRRFGCTDIFKEPSKFAWSDLYEDHFLVPYHRIVLVTSKRVMLLQCLSPDKMDKKPCKIMWDVPWEDLMALELAKAGNPKPSHLILHLINFKRSESFVRVIKCSTEDESDESDPQAVKICLAVRKMWKTHQSSLKSLVLKVPSSQKHVSFAWNETDYRNNTRNQNKSIIKSRDVLSTTTDKTSFVKHIINFSKVWTSDQDSKARHPFSKKNVVEDSTICSFWRPICPPGYISVGDIARIGTHPPNVAAVYQNTDRLFALPLGYDLVWRNCAEDYASPVSIWRPRAPEGYVSCGCVVMSSFTEPEADALYCVAESIAEETTFEEQQVWSAPESYPWTCCVYQVRSPALHFVALRQPKEEAEWKAMRVIDESVSVSEASSSSR >cds-PLY84924.1 pep primary_assembly:Lsat_Salinas_v7:6:15198141:15200595:1 gene:gene-LSAT_6X11360 transcript:rna-gnl|WGS:NBSK|LSAT_6X11360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MVEEKEQQHNKKRRRLTWDVAASPAEQQEAEVRQRHISPPKRKDDREGHYTYNLGENLTPRYKILSKMGEGTFGRVLECWDRETRGYVAIKVVRSIRKYRDAAMIEVDVLEQLAKNHKGRSHCVQILNWFDYRNHICIVFEKLGPSLFDFLKRNKYCPFPVDLVREIGRQLLESVAYMHSLGLIHTDLKPENILLVSSDYLKLPGYKRTSQGETHFRCLPKSSEIKLIDFGSTAYDNQKHSSIVSTRHYRAPEVILGIGWSYPCDMWSIGCILVELFTGEALFQTHENLEHLAMMERVLGPLPEHMVQRANQGAEKYFRRSRLKWPEGAVSRESIRAVRKLDRLKNMISGCVSYGGLRSCIVDLLYGLLKFDPEERLTAEEALDHPFFRNTHA >cds-PLY82462.1 pep primary_assembly:Lsat_Salinas_v7:2:184823771:184824920:1 gene:gene-LSAT_2X108140 transcript:rna-gnl|WGS:NBSK|LSAT_2X108140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLTDGSRILGLGDLGVQGMAIPIGKLDMYVAAAGINPQRILPIMLDVGTNNQQLLDNPLYIGLRQPRLEGDEYISVVDELMEALHARWPKAIVQFEDFQFKWAFETLERYRKKICMFNDDIQVRICLMLMLLDLKHQVIVWYDGVKEGMEWFIPPERKKNSCLFCLME >cds-PLY99346.1 pep primary_assembly:Lsat_Salinas_v7:1:81319730:81320206:-1 gene:gene-LSAT_1X68601 transcript:rna-gnl|WGS:NBSK|LSAT_1X68601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTILNSYLPTRSYFDCAMKVVPSTMWETSCLRKWGPLTKKMAGISIGFPLFISRLCHRDQIMTSVSMLVENFVGECKRKK >cds-PLY66437.1 pep primary_assembly:Lsat_Salinas_v7:6:152797839:152799954:1 gene:gene-LSAT_6X92581 transcript:rna-gnl|WGS:NBSK|LSAT_6X92581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEDNKKIIGLSWEPKLPSLLFGAKSGSQNVQESSLVYKPDSELIDGLFVPPNDPKKVNKLLKKQVNDTTGKSWFDMPAPTLTPELKKDLQLLKLRNVIDPKRHYKKGDSKLTTFPKYFQVGTVVEPTSEYFTSRLTKRERKATLADELLSDQSLKVYRKRKVREIEEKSQPGGVDKWKIKGKSSWKRAKQRRH >cds-PLY62666.1 pep primary_assembly:Lsat_Salinas_v7:1:35301204:35301827:1 gene:gene-LSAT_1X30180 transcript:rna-gnl|WGS:NBSK|LSAT_1X30180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNGSNTSGFGYDSEKHCVTAEDPVWEAYLQVHKEATRWKHKSFPYYEDLCIVFGKDRAQGNRARDFIEMEQDVNLEEEMQESDDDILESEEISHNTSVQNDETSPSVRSNKRKRRADDVFHNAVGLITESLFEQQKNIQHSQTLSSASCLFDSNHVISSQHFQTHVENYKHVC >cds-PLY98973.1 pep primary_assembly:Lsat_Salinas_v7:7:52018698:52021469:-1 gene:gene-LSAT_7X37861 transcript:rna-gnl|WGS:NBSK|LSAT_7X37861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPYEDADETLEEAATASSNLEEDSAMCEPEDASVAGGDKTSADYYFDSYSHFGIHEEMLKDVVRTKTYQNVIYKNKFLFKDKIVLDVGAGTGILSLFCAKAGAKHVYAIECSQMADMAKEIVKANGFSDVITVLKGKVEEIDLPVPQVDIIISEWMGYFLLYENMLNTVLYARDKWLVKNGIVLPDNASLHLTAIEDAEYKEDKIEFWNNVYGFDMSCIRKQSMMEPLVDTVDQNQIVTNSQLLKTMNISKMTSGDASFTAPFKLVAERDDYIHALVAYFDVSFSVCHKMTGFSTGPRSRNTHWKQTVLYLEDVLTICQGEFVVGTMTVTQNKKNPRDVDITLKYTLNGRRCNVSKTQQYKMR >cds-PLY88418.1 pep primary_assembly:Lsat_Salinas_v7:8:86987434:86988879:-1 gene:gene-LSAT_8X60501 transcript:rna-gnl|WGS:NBSK|LSAT_8X60501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKARKWIKNLLAGRKVKQAVDATQTPPTSIAPATPKEKRRWSFRRSSATPPTSTTTNSIDVISSAPPSSLVHEAENYEQKKHALEMAFVAAATANAAATAIIVKHTAATKIQSVFRSYLARKALYALKGLVKLQALVRGHLVRKRATATLRCMQALVTVQARACARRRRSNDGVDSYNYGWPDSELYQGFRTPDVSEEHIKIVEMDTRSTRKSYSKERVSMHFSPAPSEPSPRAYFRNFEDFRLASAQGSPTMKPDYAESLYEFPSYMANTESSRAKARSHSAPKQRPADFSSVYERQSSSVTRRRPSIEGRNVPRAVKMQRSSSHLGSNSGAQNHYPWSVKLDKSTVSLIGSECGSTSTVLTNVNYCQSLIGFDTYHRNEY >cds-PLY92293.1 pep primary_assembly:Lsat_Salinas_v7:2:210426495:210427142:-1 gene:gene-LSAT_2X130801 transcript:rna-gnl|WGS:NBSK|LSAT_2X130801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANRRRSNSSAFQLPEYDTSHSTEAGGSSSTRHPVYHGIRLRAGKWVSEIREPNKSSRIWLGTYPTPEMAAAAYDVATLALKGTHAVLNFPESVLSNTLPECPTAGDIRAAAARAAAARSPAYESGEGSMTVDAADNIYTAPSTGVFMDDEAVFGMPNLLLDMAEGMLLSPPRIDYSPPDNGAYYFGGGNLWNY >cds-PLY67057.1 pep primary_assembly:Lsat_Salinas_v7:5:283267145:283268220:1 gene:gene-LSAT_5X149240 transcript:rna-gnl|WGS:NBSK|LSAT_5X149240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAILPISNQQTISTGESQAPVSTPAVRAFINTVTENVRSGLSSRRPWSELVDRSAFSKPDSITDAAGRIRKNYSYFRVNYITIIAAVLGFSLLTNPFSLIILVGLLAAWLFLYLFRPSDPPLVIFGRTFSERETLGLLIISSIVVIFLTSVGSVLISALLLGTAVVSAHGAFRTPEDLFLDEQEPGASTGFLSFLSGAASSAAVSAAPVVAAARV >cds-PLY71012.1 pep primary_assembly:Lsat_Salinas_v7:9:71102567:71102848:-1 gene:gene-LSAT_9X59300 transcript:rna-gnl|WGS:NBSK|LSAT_9X59300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCKSKRVDTNEDYEAKYKKLLPPLKRHTIDLRILVTEVENWVGNEESSGEDGGKDKCLIAHIDAPITDKESKGSSTFEADLAKVAKDSKTQD >cds-PLY96532.1 pep primary_assembly:Lsat_Salinas_v7:5:336894216:336895400:-1 gene:gene-LSAT_5X188741 transcript:rna-gnl|WGS:NBSK|LSAT_5X188741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLESVKNLHAPSTSILEPTMSDSSLLVALRKSDIHVDDIRNKTIQVAGEKKSNIKRMKKDCVCGASYKSRFTKI >cds-PLY81684.1 pep primary_assembly:Lsat_Salinas_v7:3:159588248:159589778:1 gene:gene-LSAT_3X100021 transcript:rna-gnl|WGS:NBSK|LSAT_3X100021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPFHDMKQTTQSMFYLQFDPSTGDVEKDGVEDKYKLEDSEIVVVCHGTHRVPFSICLNKSTFHRFDKFNLKYNPCGRFLAEVTSEVFAGLEASKYQMVEHRISIYGRKQSEWDNLASWIVNNELYSENTILDNVFLPLFEVTIDPDSHLQLHVFLKQVVGLDLVDDESKPERRPTKHMPIPSQ >cds-PLY93705.1 pep primary_assembly:Lsat_Salinas_v7:2:201660177:201663728:1 gene:gene-LSAT_2X122780 transcript:rna-gnl|WGS:NBSK|LSAT_2X122780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADKRKIKTEASSSSSNINNGRGESVVVDVGRRRSSCGYCKSDSNTSITHGLWAHSLTVHDYQALLDRGWRRSGCFLYKPEMEKTCCPSYTIRLKASDFVPSKEQVRVSKRMQRFLEGSLTVKKPDEQNEPSSDAIRLSDSDDHGKADNSIENYLSNEIDSIVVTCTQRGEFPNDINAPKASVKPVAPSKRKLQAEEAHDLLYSSNISFQISAALKRGNKTIQKDNQSIDPNPKLIAEMLSGHLSNLTPPLHLSVKACNGHINFYSTEIQPPHTESITGKPSSVCKDSSSTSGTKGISVNKQSLEIRLKRSGFDPEEYALYRRYQIKVHNDTPDHVTESSYKRFLVDSPLIFVPTNGDVSVPPCGFGSFHQQYLINGKLIAVGVIDILPKCLSSKYLFWDPDLASLSLGKYSALQEINWVRENEKHCSSLQYYYLGYYIHSCSKMRYKAAYRPSELLCPLRYQWVSFDIAKRLLDRKAYVVLSDYANLLDEGDMSANNNNNNKKNVVEDQTQRGEEEEEDERFGEGSNDVRMDGDEEMSEVAFDESDESDDDDGTGSLTPVEMQKDVSNIVIGLKGMHLKYKDIRQAFGANERNYLENQLHKYATVVGTDLSERMIYSLG >cds-PLY65823.1 pep primary_assembly:Lsat_Salinas_v7:5:136364183:136365112:1 gene:gene-LSAT_5X60441 transcript:rna-gnl|WGS:NBSK|LSAT_5X60441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPFCRCFRVSRQYIKYKLLYLILICFYIVFLDLLFIFLQTMYRWNSLENSNIFDAFKCVLKDRYRDRMKRIRIKSGEMARNDGKPVPLGHCTYYEGMHDYRPGRIPENVWRRLCDHWSTDKWRKYSKIAQQNHKVADANGSTARHNAGSIGFDEHRNNLEKMMGKPPTQFDVFMKTHGTVRRXAKKRYFAGDHENIEYFSLTAKEAQEMYLQEMVKKTRRRLFKP >cds-PLY92433.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:2692056:2693712:1 gene:gene-LSAT_0X16841 transcript:rna-gnl|WGS:NBSK|LSAT_0X16841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLFLTAVAFFFSAIIFSFTRHSKRLNLPPGPPGWPIVGNLFQVAGSGKPFFQYVRELIPKYGPIITLRMGTRTMIILSQADLVHEALIEKGQVFATRPAENPTRGVFSCNKFTVNAALYGPVWRSLRRNMVQNALSASKLRTFTEVRMTAMDKLMDRLKTEAAANGGAVWVLKNARFAVFCILLSMCFGVEMDEKTIEEMDEMMKTVLITLDPRLDDYLPLLRPFFSKQRKNAMEVREQQIATLVPFIERRREAVKNPGSHPTAAEFAYLDTLFDLTVEGRKDTPTNPEIVTLCSEFLNGGTDTTATAIEWAIARFIENPSIQSRLHDEIKTIAGERKVNEKDVEKMPYLNAVVKELLRRHPPTYLSLTHSVIEPAKLAGYDIPTGTNVEIYLPGISEDPKLWNNPNKFDPDRFLTGGETADITGVTGLKMIPFGVGRRICPGLGMATLHVSLMIARMVQDFEWSSWPEKSKVDFGEKAEFTVVMKNSLRATITPRV >cds-PLY80846.1 pep primary_assembly:Lsat_Salinas_v7:MU041859.1:319629:322131:-1 gene:gene-LSAT_5X38561 transcript:rna-gnl|WGS:NBSK|LSAT_5X38561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRSKAADTFSLSSSPTTVITRKGSVISGFMFSSLAAASKDDDTDCTTNTPPIRHPEVQLLCDQHRNVAALHSRDCSFQRRHQKNKEVGSKVQED >cds-PLY83780.1 pep primary_assembly:Lsat_Salinas_v7:4:39832312:39835453:1 gene:gene-LSAT_4X26261 transcript:rna-gnl|WGS:NBSK|LSAT_4X26261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLWKIWVLVILLGVSRVLVISQNLTCNSNDLKALEGFRNGVESAIDGWWPTNSSSSSFNCCNWVGIKCDSDSGRIVRLELPKKRLTGVFSDLISNLDQLRTLNLSGNFLSGPLPISLFHFTHLEVLDLSSNDFNGAFPDSINLPALQELDLSGNGFHGSIPAGLFVNSTGIRVLKLAVNSLNGSIPPELGDCKFLEHICVASNLLSGAIPEFLFHLPRLGALDLQDNSFTSITGIGNSSSHVTRLDVSSNQLSGNLPDFFHNFPNLTHFSAQSNRFSGGIPSSLSNSPAISFLNLRNNSFNGPIELNCSMMTNLSSLDLGTNNFSGSIPDDLSSCRNLRAINLARNRFHGEIPETFKNFRSLSYLSLSNCSFSNLSTTLKILQHCPNLTVLVLTMNFRGEQLPADDDKLSFRALKALVIANCGLSGSIPTWLKDSTQLQLLDLSWNNLVGSIPSYLGDFQYLFYLDLSNNSLSGVIPKNLTQLPCLSFREISLEEGSPDFPFFRRPNISNRAVALQYNQIMSFPPLLDLSNNHLNGSIWPEFGNLKRLIVLDLKHNNISGGIPSSLSGMTSIEILDLSYNNLTGWIPPSLVNLTFLSKFSVAYNDLTGLIPKGGQFTTFTNSSFEGNKLCDYTCQNSNELFPQSQNRKSRKSKGAIAGMAIGIGIGTLFILLLMFLIVLRATTRQEVDPEKEDETDSNKEEHESRLVVLFQKSNENNKDLSLNDLLKSTNSFDQANIIGCGGFGLVFKATLPDGRKVAIKRLSGDTGQVDREFQAEVETLSRAQHPNLVLLQGYCQHKNDKFLIYSFMENGSLDYWLHEKPDGRSKVNWDTRLRIAQGAIKGLAYLHQSCDPHILHRDIKSSNILLDENFEAHLADFGLARLILPYDTHVTTDLVGTLGYIPPEYGQASVASYKGDVYSFGVVLLELLTGKRPMDMCKPKGSRDLISFVMQMKREKRENEVFDSFVFDKDNAKGMLWVLEIACVCLNENPKLRPSTQELVCWLHHGCLGT >cds-PLY97086.1 pep primary_assembly:Lsat_Salinas_v7:4:75756734:75761470:-1 gene:gene-LSAT_4X50981 transcript:rna-gnl|WGS:NBSK|LSAT_4X50981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFSPALTFSLKITLVFLVQILLILVSNAKPQDLTVKTSTQDHKVSAILVFGDSTSDPGNNNYILTPFKGNFPPYGRDFANQKATGRFTNGLLTSDLIGRYLGVKDNVPPYLDPSLTIHDLMTGVSFASAASGFDPLTPTISNVIPMHVQLEYFREYKVRLTAKLGVLRAKEIVNNALYIVSAGTNDFVVNYFTLPVRRHEYTLPGYMDFLLNKHLEFVQGLLDEGARKIGVAGLPPMGCLPIVITLFSEDAVLDRGCIDYFSSVGRTYNSMLQSGLNIMQFNNAHQGGRITYFDTYSPLYDVVAGHKYGFKEVQLGCCGTGLLETTFLCNPKSNICPDASKYVFWDSIHPTQEMYKIVFESLQPTIDYMIKD >cds-PLY70100.1 pep primary_assembly:Lsat_Salinas_v7:3:13650983:13651318:-1 gene:gene-LSAT_3X9700 transcript:rna-gnl|WGS:NBSK|LSAT_3X9700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSGVPNFCVVLLALNDLGYKAKGIRLDFGDLAYLSCDKRKFFEIIGMEFGVPRFGKTGITAGNDLNEETLDALNKQASFL >cds-PLY66962.1 pep primary_assembly:Lsat_Salinas_v7:1:152312283:152316008:1 gene:gene-LSAT_1X106760 transcript:rna-gnl|WGS:NBSK|LSAT_1X106760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLGKEIILIALPAAMALAADPIASLIDTAFIGRIGPVEIAAVGVSIAIFNQVSKVAIFPLVSITTSFVAEEETIERMNREAIEVQNTEKCCSNQEKTKELTQDDAKLENMENGSTLTNEKKEMATEKDFPKSPCKHINVTNNIKDKSKLEKHKRCIPSASTALLFGAILGLLETLLLVLLARPFLSLMGVKSGSPMLLPAHKYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVANIILDPILIFTCNLGVSGAAIAHVLSQYLISTILLVKLMQQVDLLPPSLKALQFSRFLKNGFLLLFKVIAATICVTLAASLAARLGATSMAAFQICLQVWLTSSLLADGLAVAGQAIIASSFAEKNYEKATAAAARVLQMGFVMGLGLSLLVGLGLEFGSGVFTKDINVKHIIAIGVPFVAGTQPINAIAFVYDGINFGASDFAYSAYSMILVAIGSIGSLFVLYKAAGFVGIWIALSIFMGLRAIVGIWRMGTGTGPWSFLKS >cds-PLY84592.1 pep primary_assembly:Lsat_Salinas_v7:1:31905721:31910167:-1 gene:gene-LSAT_1X27840 transcript:rna-gnl|WGS:NBSK|LSAT_1X27840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAKTSIENDKEDFDNDADDDDADNAEESEGDEFKQETGTMTTRLRKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLRNKFHCPIVNVDKLWSMVPQDTKEKASADNVPVVDVSQFGYFKVLGKGMVPPSHPMVVKAKLISKTAEKKIKEAGGAVLLTA >cds-PLY77915.1 pep primary_assembly:Lsat_Salinas_v7:1:22489220:22490901:-1 gene:gene-LSAT_1X19661 transcript:rna-gnl|WGS:NBSK|LSAT_1X19661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFPAIFTVLAGIFSLILILRFVFTRKTGDVKAPPRAAGALPIIGHLHLLSGEGGLPHRVLASLGKMYGPIFTLNLGAHEALVVNSAEMARECYTTNDKSFADRPRSAAVELLGYNFASFGFVPYGPYWRGLRKIAVLDLLSPSRLRMLSHVRTSEVKFLMNDLYKVWAEGKGSTGKVVVEEMEKRFETLVFNVVLRMIAGRRYTTGDKEGDHVKNTIKDYVHELGTVVVGDVIPWLRWLDFSFHRKVKKTAEEYDVIMEDWLREQREKMSSGKPVDPKDEVFVASLLTRLNSEQDKDLSVFDKGTIVKATCSTIIGGAADTTTVALTWTLALLVNHPEVLKKAHEEIETHVGRERVVEESDLKNFVYLNAVIKESLRLYPPGAIIAPHQTVEDCIVAGYKVKKGTRLMVNLWNIQRDPEFWPQPEEYKPERFLTTQKDIDVWGQSYEFFPFSSGRRTCPGIGLAVQSMQLTLATLLQGFDFETPTGEPTDMSEHYPGMITSKATPLSVLISPRLAPNLYN >cds-PLY89931.1 pep primary_assembly:Lsat_Salinas_v7:8:68846870:68851121:1 gene:gene-LSAT_8X48660 transcript:rna-gnl|WGS:NBSK|LSAT_8X48660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVNKVGGKWLPDDRLRRINVLIVLDDVDHHDQLKALAGSHNWFGEGSRIIITTRDEHVLTAHKVDVIHNITLLSDDEAMNLFCKLAPQEYRSKEDYELLSKEVISYSGGLPLALTVLGCFLCDKDINTWRSVLARLKKIPETDIVEKLKISYDGLKPVEKELFLDIACFFRRRYKDERIMAMFDACGFYPGIGIRVLTEKALITISDGRFDMHDLVQEMGHYIVRGEHPNNPEKHTRVWKKEDVLKVFATNATEEVVFKVNVQNAKEKREAMKAVSSVAGIRSIAMDMKHKKLTVIGVTNLVVIISKLRKRWDTKVLSAGPARGLWKLIGPTILS >cds-PLY63576.1 pep primary_assembly:Lsat_Salinas_v7:9:150120978:150123517:1 gene:gene-LSAT_9X95240 transcript:rna-gnl|WGS:NBSK|LSAT_9X95240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTKVVIGVRKSNCGFHRHMDVWRGIVELREGVNSDARYDTRSPLSSPTFAPHQLEVLFRLTAVAALLRSPLLSLHSPPAPTPPAAASDLKIDQEIRKMKEEEVNRCQIQEWYQKFKSTTIKTIIHELPESFIQYLLDDSGPFLLPLSISNSDALPNRIHNSEDQQDYIISQEQESDDESEQPPPPPSFPELESQINESIKTLGGAIFPKLNWTSPKDSAWISSTGTLKCTSFTEIAPLLKSSDSLVHDLCHAYDLCNDCNTPRPDRFFLALRKWYPSLHPEMEFRCFVRNRILVGISQREVTGFYPILIEKKHELETGIKKFYIENVSMRFESESYTFDVYVRTDGEVKLLDFNPWCAFTLPLLFTWPELESESEPESVRGGMEFRIVESECGVRPGLKTAVPYDYLDTSEGSGWDQFLRNADHEFRRQTKFV >cds-PLY79077.1 pep primary_assembly:Lsat_Salinas_v7:3:8982159:8984809:-1 gene:gene-LSAT_3X8220 transcript:rna-gnl|WGS:NBSK|LSAT_3X8220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCDNITAAVMGMSPEQKQAILRMGFGSILQVNITSYPGQLSYYLLDIYDADSKRLVLQNSVIEITEQTVHDMMGLPFGGEDINELPLCDKGNQILEEWRGQYSGDKFNGEEYLRRIQATTKDNLMFRLNFLTLFVNNFIESMLMGTNQIKVVRKLVLVEDFSKLNWFKYMLDCLGSRRKLWKRDDKSSYYRGPITLLILVYVYNMKYSIKIDKRLPFIGHINGAKLLEVQKLEISLGGFGRQFRDEHDDVDMGDETGAEEQQMLSFKRDFGDEEAYAAVIEHSYGVIVTEKSTMEVALKDGLLKFPHSVVLNEWMEKMNELFKGVFEGAGNKKVHEPACFNEVNMNDVGDGGEGNSSPVRGLILTEVNTEKEDNYTTPVDTTSLTMTQFYRLPGVNEEMIKLLDETELQVYKKKKRMSVISGDNLVGRNIGEAVDNAGGYDDNDKREKRIPKKAKVFHSPYIERIVKVGEKLSKDETWICNSVFASTRDDGDEIWDIGTGHLLHQGFAYQFNHGMFLHSKIIDCWAAFLNKMENYKDESSLSRFFFDTTIVTEDILNELKSEDMKCRLFATLLRIYTKKFDVKPSFRDVALVFFPIVDDGKYYLLIFDLRSSLYYIVEHVKRIGTLERKYGMLPNLVKKLFCNYLTSQHHPMAKMLTFKTARVMNISWLVEKAGTECGIYLMRHMETYMGEYEGR >cds-PLY91295.1 pep primary_assembly:Lsat_Salinas_v7:5:250347482:250351380:-1 gene:gene-LSAT_5X125381 transcript:rna-gnl|WGS:NBSK|LSAT_5X125381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSINGRSAGGLPIGAAVNMRPDLFKAIVAGVPFVDVVTTMLGPTIPLTTAEWEEWGDPRKEEFYFYMKSYSPVDNVVKSDIQDVIDKDGEGFTMVTKKAGNAGTATVKGYGTGDIHPNVSISAESCPASDLLVNNSDSGQTEAIESKCDTRVNSNLGNHDCLNPMNDILDTMVLKVRAHSESSRAVRFINEGLGDKYLLHKQSTKWITVMTMAPPRLEKGAGVLDKPVIEKTTPGRESEFDLRKSRKMAPPYRVMLHNDNYNKREYVVQVLMKLTRIGRDAVLYVESLIESIMGGLEGLINILDSEGASTRSQLKLQMAFDGQERYMKRSWELSDKADLHFVYKDVEGVSTQWDDIQRKLRNLPPKPSAFKPDPFTPAEDEDSKPKTKSRIDNKTEELKDLEDDLDDSCFLEEYKYLQLP >cds-PLY90976.1 pep primary_assembly:Lsat_Salinas_v7:5:287589554:287591657:1 gene:gene-LSAT_5X151740 transcript:rna-gnl|WGS:NBSK|LSAT_5X151740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDKYEKLEKVGEGTYGKVYKAKDKQTGQLVALKKTRLEMDEEGIPPTALREISLLQMLSNSIYIVRLICVQHIHHNGKPLLYLVFEYLDTDLKKFIDSHRKGPNPSPLPPSQIQSFLFQLCKGVAHCHGHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTVPLKSYTHEIVTLWYRAPEVLLGSTHYSTGVDVWSVGCIFAEMARRQALFPGDSEFQQLLHIFRLLGTPTDQHWPGVTSLRDWHVYPRWEPQNLARVVPSLGPDGVDLLSKMLKYDPADRISAKAAMDHPYFDSLDKSQF >cds-PLY74774.1 pep primary_assembly:Lsat_Salinas_v7:6:120282299:120283024:1 gene:gene-LSAT_6X72261 transcript:rna-gnl|WGS:NBSK|LSAT_6X72261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCNDFTTVSFNMMVVVALIVLLSFYSFPNPPKLSIEEFRIPASTNSSDLTAGNIYFDLKLRNMNKAIGLYYDDPLSIAFFYYPYDEPYQKYAWAGTIAGFYQGNGKTKHIKSFMGNDLQLPSTVVVDPEQHTEDLVKTDHVRSMLKDHLQMPSTLPETRRDMVGRLLALNIRIAVVISYRFKYWVGSSNHQLELGGNLLVDLDTGEMISPASIDLVESASAAGGPVMLGVLFTSFVLTMCF >cds-PLY77094.1 pep primary_assembly:Lsat_Salinas_v7:MU042616.1:473954:481378:-1 gene:gene-LSAT_4X76681 transcript:rna-gnl|WGS:NBSK|LSAT_4X76681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEALVVCGDKNLTVGLTIWDIETGDHLLHIPTCASQFHGLTCLRNRYLVASQIHLPGSVAGGVIFTWPFSKPRSPLRSYTIEAIGPISSTKDGIYLAGGAISGNLYIWEVISGKLLKTWHAHNSPITCLAFSNDASLLISGSEDGMIVVWPMISLLDDKNIESSDLSLSVSTEHKSFITGLLPSSAVSQSVFVSSSLDGTCKAWELVKGTLLQTWSFPQPITAMVVDPLERFLFSGSADGRIFMTPFDVGLMKEASFDSEDQKLELNGHKESITALTFCKSGLISASEDSTACLWNVIEGVIIRRFNHHKGFITNMVVIPHSSLLPLPHHQRKFTNLPVSLLQKYPHQDDPSNSSITLLLPSSSTQQQITHQYQSANLLEQQSIDLEVERTPEALQLKVETNVENRLWITNMTKHVIEMNSHLQSRLLDLTQIRLLQDETHTKSNTTHKS >cds-PLY94884.1 pep primary_assembly:Lsat_Salinas_v7:2:180134117:180136355:1 gene:gene-LSAT_2X102020 transcript:rna-gnl|WGS:NBSK|LSAT_2X102020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESEIEQPAATVVKWCDCGSDCCSAKLDNIGPWIRTTKRKVDEIDDNKFIIPGLSIPQVARVDIGNECAALREMVSNQQQTIQDLSDELDEERNAASSAANEAMSMILRLQSEKAEIQMEARQFKRFSEEKMAHDQEELMAMEDYLYEKEQTIQALTCEIHAYKHRMLSLGLTESEIEGDNNGLPLINSSEANLENQFEYNYPPLKCSVNEHHGDAEVENETADIEERIDELERSPKHSPVREIYTVDTIRNDASFDYDPKANLATYDDLNDARNESSYGGIGDTDVKKLYARLHSLEADRESMKQALISMRTDKAQLVLLKEIAQHLYKDMAPPPSRMPVKKPSIFRKFSFIMLFKWIASFLFWRRAHRSKYMFGMSVNNVGLRMVIDKGPQIGQWRCLSRTRFLKY >cds-PLY90214.1 pep primary_assembly:Lsat_Salinas_v7:9:20419731:20424022:1 gene:gene-LSAT_9X19021 transcript:rna-gnl|WGS:NBSK|LSAT_9X19021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVNICDALLEVLSTHANEHSLPVIAGLFQNIGNKSIVYMHKILLKLAEFMTKASGDVKKHVQECIGCAVIVMKPEKVHEFIPISVDPDELTYSNTWLIPIYRDHVVLSSLGFFIRTIVPLSESFMEACKKVEENSEIRKQFESHARGCLGLLPAFCRYPTDVCESFGSLADHMIKWLKKDVFMIEYVGIALQYLVKGNKGLPFLSGEELFKDWGIEYGKKVEMENMKAMLPWLEEFLKAFIQVFFQLSPEKPPAFVKDTIRCLTLAIKSSKVKVIFRWSLKNVSVDEGRPSNLILELASLFVEATGVDSDDIIYNSIEECLKEGVEDGDAYAALYKILVESSDFRSSKFKQVMDLLLGLKPPKDITSLRWRFLCFKILLVRSMEIFHAGKNIYGIRMLYEIIVRPQDKKSKKVAAEILVETSTIFKKESSPSKPGNYQVFISMIMLLLFWSPYHGKAPSHVKADAVSALSLLVSDDPQICLLMPDVVPSILGLLDKEDNIQVVIDVLGFIEALTVNLPVKYLYDFHADILGGILPWSYVSLHDIKSKVCVLSYVCMHVCMYVIIMCFQLQVTMILEIMMQKCGPASLKSPVLEQYQDFVMNDFGVTITSPITITLVDFFNLQRGFFVFCRNLAGKNLIVGKLCQYVITTLLH >cds-PLY75675.1 pep primary_assembly:Lsat_Salinas_v7:1:101168430:101178993:1 gene:gene-LSAT_1X82200 transcript:rna-gnl|WGS:NBSK|LSAT_1X82200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLGKQQEHQRSTTSIRSKAAHFVSDLTTVLLNPISDKPSSNSSPRSGDVSNEPRRNTQQCNQEEDVPVLVDGPDTSSFTAFLYSFLSPGRSENESEYSKWNDNQVVTSYKNDTSSPNVSKENNGKKGLFSKGKQPLGKALSQAAMFSGYRSHASGKVNTESKIDDMKETSSQFDTNDGVPVQNVIASFPSDKLPKMSKPSQLMTEDKRSDLYVALPVLSQGKKWVLLYSTWRHDISLSTLYRRSNLCPGLSLLVVGDRKGAVFGGLVEAPLKPSTKKRYQHPLLRFILPLILPGIKCLSLQGELCGWTCFYIGVAAVAFGCSYYHLKPNDGHLVWDRLPIEDAVEGLSRVVLTTQGKGDKDAARQLLTKYYVMTQPLKLALKKTRNGFGSSGYNTTTTFWQKFCCPKCQVELPPTSLANQVKRRIEFFISTYYKGIMTCDDETCDYTTWSLNLHVVGESERGTVCPNYLRYNGHLVRRVDAKLKAAVEKEVGRIRGLVGLAFSTAQKDADEEDFGDEDDEEKDHQAIDILLAEIDIYELFAFKHYENLEAKICDFGLSKFGARNQEDTQIHTRVAGTRSNMDSVYNERSRLTKESDVYSFGVVMFEMSSGTLVYREKCFGDDAKPQYLIDVGRSVYDDYKKAVGPHKLIDPYIKDHADMNSFHTFNKIAHKCVNLKLEQRPTMERVIRMIEQALTIQLNHDESPTIRSLDNFIIPPEEINLATQNFNQETYIGDSEYGVVHRGQFYGRWQNRTMAITRSDPKNYKRY >cds-PLY62548.1 pep primary_assembly:Lsat_Salinas_v7:4:355741530:355743937:-1 gene:gene-LSAT_4X175421 transcript:rna-gnl|WGS:NBSK|LSAT_4X175421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPQRSNLQKIVVYLSGSSPCTQHTRQHNINFRQKYTTDSVSTVYRPTNGSHFQATNENLPPNVIKQLARELRNLDETPPEGIKVGVNDDDFSTIYADIEGPAGTPYESGVFRMKLILSRDFPHSPPKGYFLTKIFHPNISSNGEICVNTLKKDWNPSLGLRHVLVVVRCLLIEPFPESALNEQAGKMLLEDYQEYARHARLYTGIHALKPKPKLKTVVVSESTPGQKVDQSTPGQNVDQKNMGSGVVVATPLGLSSNTMKGGGGGQEVPPSAAVNPVVEIGVSSGSGALPPPGLATLKKEMVKVQTDKKKIDARKKSLKRL >cds-PLY84551.1 pep primary_assembly:Lsat_Salinas_v7:1:31998212:32000309:-1 gene:gene-LSAT_1X27740 transcript:rna-gnl|WGS:NBSK|LSAT_1X27740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQTKRLLSTIRLANSLYSTQLARTHPNNKVRTRFLDLHVPNYVSPFLNFHAKLFFSSKPEQTLNIILSEKWSDELEQELSKSKTDFTHETVMYVLKKLSKEPQKASDFFNWIVGKQGFQPSSSIYSIMLRVYGNKESIKQFWITAQKMKEEGFFIDDQAYLMILQDFKNSNMINEAANLTKIYNSMAKDNVMNDVIKEIVSVVIESEWGNGVEKRLAGIKFDFKVSDNFVLRVLKNLRKYPLKAIKFFRWVSETFNYEQNTITYNGVLRVLCQEDSIKDFWDIFKEMKNAGHEMDLDTYLKISRFFQKRKMLKEAVELYEHMMDSPYKPSIQHCSVLLRTIAGNISPNLDLVFRVVNKFEATGNSLSKSVYDGIHRSLTSIGQFDEAEKMMTAMKDAGYEPDNITYSQLIFGLCKARRLEDAAKVLDEMQANGCTPDIKTWTILIKGHCSANEVDKALIIFANMIEKGCEADADLLDVLVNGFLSQNKAIDAHQVLVEMTETGGVKPWQATYKNLIKNLLLERKFEEALKLLRLMKKHEYPPVSEPFVGFVSKFGTIDDALEFLKALSYKESPSVSSYLNVFQGFVDEGRELEAKDLLFKCPPHIRKNKGISSLFGSV >cds-PLY78043.1 pep primary_assembly:Lsat_Salinas_v7:4:183590486:183595083:1 gene:gene-LSAT_4X107341 transcript:rna-gnl|WGS:NBSK|LSAT_4X107341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 4.7 [Source:Projected from Arabidopsis thaliana (AT5G62730) UniProtKB/Swiss-Prot;Acc:Q9FM20] MDQECQISKSSMYVNWRNKPAIEGQHGGILAASFVLVVEVLENLAYLANASNLVLYLSKFMHYTPSSSSNIVSNFMGTAFLLALLGGFLADAFFTTYCIYLISAIIELAGLLILTMQARSNSLKPESCLSANRNTKCQETEGGKEAVLFIGLYLVALGVGGIKGSLPPHGAEQFDEETIQGRKKRSSFFNYYVFCLACGALIAVTFAVWIEDNKGWQWGFGISTFAILISIPIFLLGSMVYRIKIPNGSPITTIFKVLAAAIFNNYLPKNGRNAVVNTNVSPTSNSNERKEEITEDLSFLNRAITNNSNLPRFSVTTKQVEEVKIVLKIFPVFISTIMLNCCLAQLSTFSVQQAATMDTRIGSLLVPPASLPVFPVIFIMILAPMYNHVIIPFARKITKSEMGITHLQRIGTGLFLSIMAMAVAALVEKKRKKVAFQETLINSTQPLPITFLWVSLQYLFLGSADLFSLAGMMDFFFSEAPFSMRSLATSLSWASLAMGYYLSSVLVAIVNNVTGTFHHTPWLYGSNLNHYHLERFYWLMCALSGVNFVVYLIWAKSYKYGAKTGSNETQMLCKSSGV >cds-PLY66905.1 pep primary_assembly:Lsat_Salinas_v7:7:19418515:19419711:1 gene:gene-LSAT_7X15560 transcript:rna-gnl|WGS:NBSK|LSAT_7X15560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIRSDKRGKILFSKFGFSHPGYVSVAVSSVSVTSPFPLPQPDPSRMGFMLLSHDLIDEYNQEFQRNHALCPLDNKFIKVLFTFQDLSSPPQSSFNISYHVTYPSVCSLYFVNCNLSCVTMDVHTELYNTNDDGTTKNYLSAGQTQHPSLIFSFSYLCFLGIWILVCFKYWRSLHMVHVLMGVFLVISFLNFVVVAVDNLYVKDTGTSHGWDIFVYIFQFMRIELLFTVIVLTGFGWGFFKPRLHVLEILVLMIVILLQVWANVSTINVEETGPYNKDKVHWAVSSIFAEFICSFVITLPMACSVSMIHGNAKTAYYLFGVVVIAYSFITWFFRMAPDPFELLPWVVNTTEETCALFLCLVMFYIFKPFDDNDETHWLWGNGNISLGNELPTSSFSFR >cds-PLY98462.1 pep primary_assembly:Lsat_Salinas_v7:1:9705523:9705741:1 gene:gene-LSAT_1X6881 transcript:rna-gnl|WGS:NBSK|LSAT_1X6881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSALGVVGLALKLRVYYFVSQEIRAAEDPEFETFCTKNILLNEGIHAWMAAEDQPHENLIFPEEVLPCGNAL >cds-PLY86405.1 pep primary_assembly:Lsat_Salinas_v7:2:148106627:148109842:1 gene:gene-LSAT_2X73280 transcript:rna-gnl|WGS:NBSK|LSAT_2X73280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGDVRIPYPFGIGTDCSLNKWYTVNCNSTTPYLSALNNLEILDINVRNQTVTANVSMISDCKNLVRNNSQIMNVDLGSSPFLFSRVQNTFVVEGCGNAVILDNGSAIAGCSTTCRNGTFSNRDKCLGVSCCQTTIPRYLKSYTMDLTGLETQGGDGGCGYAFLVDENSYVEGRLAESNFYVPISLQWTLAEAEFDQIQCCHLRVRLKLDLGNGTSIASWKCSVPQSRPIKGNPYLSSGCEGVTISIGVLFLVGISFSLFKVIKKTKARRMRKRFFKRNGGLLLKQQEEADPSFVDKTILFTSRELEKATDSFNENRILGRGGQGTVYKGMLVDGRIVAVKKSKLVDESQLEQFINEVVILSQVNHRNVVKLLGCCLETEVPLLVSEFISNGTLYDSIHNEANEFPISFNMRLQIATEVAGALAYLHSATSIPIYHRDIKTTNILLDEKYRAKVSDFGTSRFVSMDQTHLTTLVKGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTGERPISLTRFGENRSLAVHFMLAMEEGRVMSIFDAMVIKESSSDELLVLANLAMRCLNMNGKNRPTMKEVAIELETIRTSHIPSMVETNTRQVVYDDFSMLTYSDSTSTF >cds-PLY98190.1 pep primary_assembly:Lsat_Salinas_v7:8:143873393:143879333:1 gene:gene-LSAT_8X97481 transcript:rna-gnl|WGS:NBSK|LSAT_8X97481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISDFYALNHSYKTRIVVHTRNSKGDTIKALSAVEDLLNNVKVKAIIGPETHLQSKLLSLFTNKAKVPIFSFAGSSSMDYPYLFQIKEDESTMAKSIASLVELYKWRNVIFIHEDTDDGREILPYLAESFQDKGIRISYRSAISSSATLDDITKELHRLMTFNTTVVIVHMSPSLASSLFLEAKRLRMVTEEYGWILTEKTVDLLRSTKFEVMESLEGALGFRSYFPASHRLHNLTARWKNIFYRRYPTSITKEMPIPAIWAYDTIWALADCVEKVGVPHINGGTLLLHEVLKIRFKGISGEFELSERKVRSNGYEIVNVIDYGEKRVGYWTPSEGIRTLESVINSEHRYFSPKSGELQTTTPSKTLKIGVLKIKNFKYFMDVDHNAETNVTTAKGFSVDVFNTCIRALPYKVPYIFIAFENVTYDDLVRKVYNKEIDAVVGDSTILANRSVYADFTATYTDLGIGTLVRIKKRDMWFFLKPFDLSLWLTAIASLILTVFVVWAIECMNQDSERSPAQQFGTIFWFILLTIFFAQREKLSSNLSRFVLFIWLLVVLILITSYTATLTSLLTVEQFELTSKRGIVGFHGGSFMRGGTVSNIHFEGHQKRDYYSYEHYAHALSEDGGADAIVDEIPYIKMFLSKYSGDYALVSSEPITSGFGFGILELGFFKPREAMNPCFPLQFPTSYQQRYHRDRIWLMFVLRIPINNLFLSLVA >cds-PLY95254.1 pep primary_assembly:Lsat_Salinas_v7:8:136206417:136207171:-1 gene:gene-LSAT_8X93820 transcript:rna-gnl|WGS:NBSK|LSAT_8X93820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCYKLTLETLKFPSSILPKIISNFEVIDRVGKGWWFTGVLISGCLGDQHAAMLGQACKKRHVKCTYRNGAFILLNTGEEVIKSQHGLLTTLAFKLGKHAPTNYALEGSIAIVGAAVQWLRDILGILSSTKEIEDLASNVTTRLRFARETR >cds-PLY63313.1 pep primary_assembly:Lsat_Salinas_v7:3:90813520:90815092:1 gene:gene-LSAT_3X68681 transcript:rna-gnl|WGS:NBSK|LSAT_3X68681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHDGVGALRTRSKVAPDWTVEESLILVNEVSAVEADCGDTLASFQKWKIIVENCNALGVNRNLNQCRRKWDSLLSDYKKIKQSGSRKVSFNSELFKVIEWYVRDYEGGCDTDPDSDPEALPEPVLASFVQSASKKQRSKIIPQKRSIEDTPKPKKPIKTEEVKVEEYSSIPTNDILNVIDYENQEQIMAENVRENAELIEAIVKQDLINGGSNEELTRLDGDKLILCLSNLVVALDRLSKFVS >cds-PLY70256.1 pep primary_assembly:Lsat_Salinas_v7:4:205425495:205435506:-1 gene:gene-LSAT_4X116441 transcript:rna-gnl|WGS:NBSK|LSAT_4X116441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIGTSECLQYNFSTLKAATNEFSEDNKLGKGGFGAVYKGKLGDGQEIAVKRLARDSGQGDIEFKNEVVLVAKLQHRNLVRLLGFSIEGSERLLIYEFLPNASLDQFIFERPLSQPNLLHPSKGLKTRYFTNYSYELTMFTNYPRGVANDIQLSIQYLIGKSDTRSSKALPRDFYTFMKTPV >cds-PLY84859.1 pep primary_assembly:Lsat_Salinas_v7:8:171320228:171321476:1 gene:gene-LSAT_8X111620 transcript:rna-gnl|WGS:NBSK|LSAT_8X111620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASLIRLHFHDCFVQGCDASILLDDAPSEKTAGRNGGVRGYNVIDDAKAAVESICPGIVSCADVLAVAARDASVAVDGPTWPVRLGRRDSTSANPGVAQTDLPVASMNLGQLIANFDRKTLSVRDMVALSGSHTIGQARCVAFRARIYDNTSNIDPEFASSLRSNCPQTGGNGNLESLDLVTPNTFDVNYFRNLLERRGLLASDQALFNGDSTDSIVQEYVDNPSVFLSDFAAAMVRMGDIDPLTGANGEIRTVCTTAN >cds-PLY97230.1 pep primary_assembly:Lsat_Salinas_v7:4:95068213:95069334:1 gene:gene-LSAT_4X62861 transcript:rna-gnl|WGS:NBSK|LSAT_4X62861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRFRRVAEAFDHVVAKVRDCDSSGSEHSPETMKDLSDLVNSYIENGDGVVDKDFDTKINDECSSDEIDDDTEEAKESLERLFRAQKGDPVKKNLLFEVEKAWRRVTEVNSSPLPVPGSKRLLMARLRDQDLDAGLCKSKWEKKGRLISGEYQYVDVNVAGTRYIVTISLSEEFETARPTDNYTSLLEILPQISVCKVEEMKEVVRIMCRAVKKTMNQRKMAVPPWKRREYVQAKWFGIYKRTTNEFSTKNASDFSENKKKIEGFIWTPETCGRGYTYDFVWKGFGSKIGSLTMIMNGARY >cds-PLY62085.1 pep primary_assembly:Lsat_Salinas_v7:2:56854607:56860125:-1 gene:gene-LSAT_2X25701 transcript:rna-gnl|WGS:NBSK|LSAT_2X25701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESILFAGKEIKVLLLMGPRWKGKGSEAKALENPMSKTISDLQSSLIKSYSSGILSGYSVLLASNPDQIDLLNQSCFGKPITTIDKDKQWFQLTLEEAFYLSFSLNCIKIVTGDNIIKTNNELWDYMISKRESFPDFFKAYSHLRAKNWVVRSGCQYGVDFVAYRHHPSLVHSEYGVLVFSDRNRNGIGDLNRNRNWNDRLRVWSDFQCTLRLSGSVAKTLLILYVKNKNGENGIVKDSLCCLENYSVEERTITRWNPERCREDQGNVENGNKEVNLI >cds-PLY77502.1 pep primary_assembly:Lsat_Salinas_v7:4:48981887:48983954:1 gene:gene-LSAT_4X33100 transcript:rna-gnl|WGS:NBSK|LSAT_4X33100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISVSRFWVLSVFVSFVVVPVVVSDECSQDINAFLPLPYANMTHMVCKPVWNSYIVRFSQSKDNVVTIVLSATYTSGYVAMGFSKDGMMLNSSAMVGWITRKGTPVIKQYYAEGFKPSEVKHDKGELPLTGIPPFVTVNGAKIHLAYQLQYPSQLRTQPILLAFSSKYPEHHLLSVHEDKTTIHLDFSQGSSGSKSNSNSRTPSNNLHRSKTTHGVLGLLGWGIILPYGAIVARYFKHKDPLWYYLHVAFQFVGFIFAVATGFVGLSMYNRFSFHAPTHKGIGITAIVLSVLQVMAFFIRPDKDSKHRRLWNWYHHYVGKIALFFGALNVFLGIQLADAGSSWKIGYGFVLAAIILTSIILEVLKLKTPNKFSSDPAFPMDPVH >cds-PLY70117.1 pep primary_assembly:Lsat_Salinas_v7:3:13938088:13939038:-1 gene:gene-LSAT_3X9161 transcript:rna-gnl|WGS:NBSK|LSAT_3X9161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEHKPEPCPSEASSISAEGESLKNKTSDSKAVTEGSSDIQLNRRVVNQSSSRAFLDLKLSTLEEKKIELNLFNPSRVVEGATSSQASESSKEETREKSRVFSCNYCKREFSTSQALGGHQNAHKQERQIAKRRQMEVPPYGHLMPPPPHYGNFTYYPSFANLTNASVSNNIRSSLGIRNEPLIQRPPSWSSSPLNYRFASVGHHEQFTNRLPPYFDHRTKMLESSFQGNSTNTSVGFGSPAIATAASPSSRYEGRTGAPHDFFGVPSGNGNPTATHNNGIEVENSANNLLERIGVVHPENPQDTSNVGLDLNLKL >cds-PLY77544.1 pep primary_assembly:Lsat_Salinas_v7:2:164433577:164434934:-1 gene:gene-LSAT_2X87221 transcript:rna-gnl|WGS:NBSK|LSAT_2X87221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATFMQPTKVGVSSRLQLKSSQSICKAFGVESSGAKLTCSLQSDLKDFAHKCTDAAKIAGFALATSALVVSGASAEGSPKRLTFDEIQSKTYMEVKGTGTANQCPTIEGGVDGFAVKPGNYNAKKFCLEPTSFTVKAEGVSKNSTPEFQKTKLMTRLTYTLDEIEGPLEVSSDGTIKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPESFGGEFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELSKENVKNVAASTGKITLSVTSTKPDTGEVIGVFESIQPSDTDLGAKVPKDVKIQGVWYAQLE >cds-PLY93646.1 pep primary_assembly:Lsat_Salinas_v7:1:188316253:188324868:-1 gene:gene-LSAT_1X120340 transcript:rna-gnl|WGS:NBSK|LSAT_1X120340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIIILSLLIINHISSGANAAAVNTSLFRHRYLTVDYYSKTCPHLEQIVSFVVSQKFKDSPATAPATIRLFFHDCFVQGCDGSVLLSSKPGSKELAEKDAQDNKEIPAEAYDIIESAKGMVESKCPGVVSCADILALVTREYIHLTGGPYYPVKKGRWDGRTSMASLVYANVPHANSTIDDLLKLFSSKGLTINDLVILSGAHSIGFAHCKNFVNRLYNFRGTAKPDPDMDPRLLKSLRMSCPQYGGNINVVAPFDATTPFAFDNAYYQNLQGKLGLLASDKALFLDPRTRPLVDALAKDKNKFFQDFSLAMEHLGNIGVKRGKKHGEIRKVCNMHI >cds-PLY71195.1 pep primary_assembly:Lsat_Salinas_v7:2:132575216:132576199:-1 gene:gene-LSAT_2X63160 transcript:rna-gnl|WGS:NBSK|LSAT_2X63160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSLMKTGPSKSSLEAQLLGKSFVRGRGSSALRCIGNLVQQMNTEKDQELSLAKACLHELESVASSRQKEILSLTHTNTQLHPFYKETSGLTVNDPVSQTHKK >cds-PLY78208.1 pep primary_assembly:Lsat_Salinas_v7:1:213793493:213797607:-1 gene:gene-LSAT_1X130400 transcript:rna-gnl|WGS:NBSK|LSAT_1X130400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMNMRLRKPVMAFLFLTVLAPIVLYTDKLSTFSTDEFFQEPSSLLPSNPDATALNFLLPQESSTSLKEPMRLVYSENSTQSDTEKSRRTRQLNEESKGIDTNVTGDNQINPIRQVTANHGANEESPPVEKKSEKLKVPDLELKQAQAVEVSLKVSERKPANHRNRNEKRKQYQDEVLGDVRVRHLKDQLIMARVYLSLSATRTNTQFNRELRIRMKDVEKLLVDATNDSQLPNNANEKMKAMEQTLLKGRRIQDECSVDAKKLRGIIHSTEQQLRVQEKQTLFLTHLTAKTVPKGLHCLPLRLSADYYSLKASSQQFPNQDKLQDPNLFHYALFSDNVLATAVVVNSTISSSKDSSKHVFHIVTDKLNYAAMRMWFLANPPWNATIEVQNVDEFTWLNASYSPVLKQLATQKMIDYYFKTKTTELDSNLKFRNPKYLSMMNHLRFYLPEMFPKLNKVVFLDDDIVVQKDLTGLWGVDMKGKVNGAVETCGESFHRFDRYLNFSNPLIAKNFDPRACGWAYGMNLFDLEQWKNQNITDIYHSWQNLNSERELWKLGTLPPGLITFYGRVFPLERSWHVLGLGYNPNVSQKEIERAAVIHYNGNLKPWLEIGIPKFRGYWSRFVDYDHPYMRDCNITP >cds-PLY94180.1 pep primary_assembly:Lsat_Salinas_v7:5:33108522:33109425:-1 gene:gene-LSAT_5X15080 transcript:rna-gnl|WGS:NBSK|LSAT_5X15080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRSIILFILLHVFVYSVGASHVCRSYCGNITIDYPFALRSGCGHSGFRDLLFCINDVLMFHISSGSYRVLNIDYAYQSMTLHDPHLSTCDSIVLGGRGNGFVVEHWRAPYLNPTADNVFMLLGCSTQSPLFQGFPTGNHVPCRNVSGMGCEEYYGCQGWMDLGLARMGLAYGSGPPICCALPFDAIKSVNLSKLECQGYSSAYTLAPLRVSGPSEWTYGIRVKYDVEVSNDSFCKACEATGGSCGHDVEHFGELCMCGSWNSTSNCDTSKSLLL >cds-PLY95987.1 pep primary_assembly:Lsat_Salinas_v7:9:40840178:40840558:-1 gene:gene-LSAT_9X36521 transcript:rna-gnl|WGS:NBSK|LSAT_9X36521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGLYDFLKLHSPAAQPHPQLDAKQPNQSSRLFRCLYCPRQFYTSQALGGHQNAHKRERAAASRSYMGTADNHLPSTVSTSTAAYTSWFDHPLQADATTSLVFHHVAPPPTDNTTDVLDLTLRL >cds-PLY61715.1 pep primary_assembly:Lsat_Salinas_v7:5:216579856:216582152:-1 gene:gene-LSAT_5X99821 transcript:rna-gnl|WGS:NBSK|LSAT_5X99821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVKSSQGSSYRDRTQEFSSIAERLRKSLPSLNASASANNGGVGVSTKAEGPRSKVAIQTEFNKRASKIGYGIHQTSQKLAKLAKLAKRTSVFDDPTMEIQELTGVIKQDITALNAAVVDLQFVCNSQNESQSISSDTTTHSTTVVDNLKNRLMGATKEFKEVLTMRTENLKVHENRRQLFSASATKEPVNPFARQRPLANKSTTNSSNTPPPWVTNSSTSSPLFPRKQGDGESQPLLQQQQSQSQEMVPLQDNSYMQSRAEALHNVESTIHELGNIFTQLATMVSQQGELAIRIDENMEDTLANVEGAQGQLMRYLNGISSNRWLMIKIFFVLIVFLMIFLFFVA >cds-PLY73318.1 pep primary_assembly:Lsat_Salinas_v7:8:260263424:260266142:1 gene:gene-LSAT_8X150780 transcript:rna-gnl|WGS:NBSK|LSAT_8X150780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNERTWPHRSETSHFSTLQFPIKNSARKHENSTSKSIRVRQFRPSSSSRRSDQFRDFAALPNDVLTKIAANFSLPNLQAASLVCKSWRDGLRPLREAMLFLRWGKRFKHGRGGVQPNIEKALDSFLKGAARGSTLAMVDAGLVLWEMGKKEEGVALYRKAAELGDAAGQCNLGISYLQAEPPNMKEAVKWLYQASLAGYVRGQYQLALCLHRGSGMTQNLPEAAKWYLRAAEGGYVRAMYNVSLCYSLGTGFSQNHRHSRKWMKRAADHGHRKAQFEHGLSLFSEGQMMKAVVYLELAARGGETAAAHVKNVILQQLPPPSQERALSLADNWRPLPSSR >cds-PLY94797.1 pep primary_assembly:Lsat_Salinas_v7:2:176616244:176617146:-1 gene:gene-LSAT_2X100100 transcript:rna-gnl|WGS:NBSK|LSAT_2X100100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASKSYYARARPSYRFISDERNSAVGSDSLFELDESDVWNVSVSPELRKTVPGSRITKRSSSVAVKRGELGGTASSMPVNVPDWSKILKQDYMENRRRDSDDDDFDDDDNCSGDRIPPHEFLARTRMASFSVHEGIGRTLKGRDLSRVRNAIWEKTGFED >cds-PLY82365.1 pep primary_assembly:Lsat_Salinas_v7:5:312226687:312229133:1 gene:gene-LSAT_5X171060 transcript:rna-gnl|WGS:NBSK|LSAT_5X171060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDVTGKYTGDSELQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSLRSGAYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAIYRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEEEEEYEEA >cds-PLY67449.1 pep primary_assembly:Lsat_Salinas_v7:6:71385526:71387279:-1 gene:gene-LSAT_6X51360 transcript:rna-gnl|WGS:NBSK|LSAT_6X51360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQLNSLGSWWWEVMSSNKNELTLPISAIILTGLWYIWTVSSSSNGRSPLPPGPCSLPIVGYLPFLSRDLHKQFVNMAHSYGPIFKFHLGSKLHVVINTPDLAKVVVREQDEIFANRNATIAALAITYGGQDVVMSDNNSDWRNLGKIFVHEVLSHKNVEASRYFRRDEVRKTSRNVYSKIGKTIDICEIAFSTEAKVLTSMLWGNTSEEKANGGQIAAELQIIVANIVELMSKPNMSDIFPSLAWLDLQCIERNMKRQLDRLDRIFTRIIHDRIESNSNKSKDAVGHEGKKDLLQTFIELMDQKNPTSIKITQIKALLLNIMVAGTDTTTTLIEWTMAEIMQNHDIMKRIQEELLQIVGPNKIVEESHLPKLQYLDATIKETLRLHPVLPFILPRSPSQDCVVGGYTTPKGCTVFLNVWAIHRDPRYWDNPLEFNPERFLTNKYDFKGTNLNFFPFGSGRRLCPGVPLAEKMQLYILASLLHSFDWSLPEGEDHDLSETFGITLKKRKPLFAVPSQRLRNASLYM >cds-PLY71852.1 pep primary_assembly:Lsat_Salinas_v7:3:60341780:60344366:1 gene:gene-LSAT_3X47181 transcript:rna-gnl|WGS:NBSK|LSAT_3X47181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHVKSDSDLTSVEALSPPRSPRRPLYYVQSPSNHDGNDKMSYGSSPFGSPGHPAHYHCSPIHHSRESSTSRTFSASLKNAVVRAHHHGNGGRALSPWKRIENGDLEEEEDDDDEKTGGIPLRFYIVWFLLSFVVLFTIFSLILWAASVPYKPKIFVKSIVFDNFNVQSGMDATGVPTDMLTLNSTVRIFYRNPATFFGVHVTVTPLEIHYYQLKLASGHVKKFYQSRKSQRVIVAQVLGNQLPMYGGVSPFNAAIGHLKNVIVPVNLTFVMRSRAYILGRLVKPKFYRHVLCQVTLRGNHLGKHVNLTDSCTYQY >cds-PLY72040.1 pep primary_assembly:Lsat_Salinas_v7:2:204637031:204637651:1 gene:gene-LSAT_2X125281 transcript:rna-gnl|WGS:NBSK|LSAT_2X125281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVFRFLAPLLFAFFVISQSYLAAARPLMPDHVKAVITPELNLQRPGPLPEKISAGSNGVVVKKQNVPCELSSEIGEARSLKRYGAGTYGSLFLSALPKGTTVPPSGPSRRTNDVNN >cds-PLY72730.1 pep primary_assembly:Lsat_Salinas_v7:4:373902606:373908799:1 gene:gene-LSAT_4X184680 transcript:rna-gnl|WGS:NBSK|LSAT_4X184680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSSENISFMDQTLSNYMLRIKVNQNLVADLDDVKYKYTIQPLIECLNYSPLKKALTMYEKVKVDQAVLEVKDMYLNMKKFTKSFQSSSNNNVSEVNKVIEGFCLSLQTEKESLSSLCFGLQKDNTNHHTSIANSILRMQNELAHETKVMDALAGSTQKATTEQGEDDEVVNEGEILVNKYELPEANLRNPYGEMAKKNAEKVVIEKQSLKDKLKKLKEVKIGQKANVSSISVKGKVNNVLEEDPDLNLNLSEKELKEHEKLDKELEVSNALKAKIEVEEAKQKY >cds-PLY84804.1 pep primary_assembly:Lsat_Salinas_v7:8:24711507:24715395:1 gene:gene-LSAT_8X20281 transcript:rna-gnl|WGS:NBSK|LSAT_8X20281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENQTMDALKSDGRRMEGWLYLIRCNRLGLQYSRRRYFILEENYLKSFKSKPTSDSQKPLRSAIVDSFIRVNDNGRESLSRKVFFIFTLYNTSNHNDCLKLGASSPEEAARWIHSLQDVAMEPGTNSKRRWQPFRLDDSKNTTRKNSVDWTSSANMHVDAMTSDVIAPSHWKIFGCKNGLRLFKESRDSNNSAERTSNDHPAIMAVGVIEGTSESVFRTFMSLGLSRSEWDFCFYHGSVVEHLDGHTDIIHVQLCRDWLPWGMSRRDLLLRRYWRREDDGTYVILCHSVIHSDCPPQQGYVRAWLQSGGFVVSPLNQGKECVVKHMLSLDWKLWRSYLPKTPARSMTIRMLSRVSALKELFKAKGGGEFPSEFLNGEVESFQTGDEQMKQEVEVDPIQINENDKMEDANDAPVSGSSSLIGLNDDEFFDIPEESDKNKPHSDTPGLSTAAGFVKKLHVLAAQKKGYIELQNVDWDASTSQCFGSTLLRDLTHNTPCTWSSSDPSLFLVRGENYLKDNQKNKAKGTMMEMIAADWLQSDKREDNLAGRSGGIVHKLGGQGGPEFFFVINIQVPGTTTYNLVLYYMTRTPLKESPLLERFVNGDDGFRNSRFKLIPYISKGSWLVKQSVGKKACLVGQALEVNYFRGKNYLELDIDVGSSTVARGVVNLVLGYLNNLIVEMAFLIQANTQDELPESLIGTCRLNHMDTSKSVSVESIEKSINNNNNNNKM >cds-PLY91144.1 pep primary_assembly:Lsat_Salinas_v7:4:162643598:162646061:1 gene:gene-LSAT_4X98001 transcript:rna-gnl|WGS:NBSK|LSAT_4X98001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQECISQMVSNIDKNIKAKLDPIRRLVLRLPTNAPLAVQVLQGGEGGSCLSKYETEGLGAVVDLPIIKDVLEIANVLNSKWKRQVELMYIITYDILFGQDSSLTGDPEKYLILRKSQLQSALAKILLKKGAKRIEDLMSQYKIPADVKKPRYVRVNTLKLDVETAVSELSKDNMVEKDDMIPDLLVLPPATDLHNHPLVTNGSVFMQGKASSMVAVALGPKPGWETL >cds-PLY64808.1 pep primary_assembly:Lsat_Salinas_v7:2:101321944:101333800:-1 gene:gene-LSAT_2X44341 transcript:rna-gnl|WGS:NBSK|LSAT_2X44341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINKAASLSVLPEGCLSDVLSLTSPRDACSSASISKGFKSAADSDAVWERFLPPDYREVIDRSVSPVVFGSKKQLYLSLSDAHILLDRGNLSFQLDKESGKKCYILGAKELSITWQHDTQYWEWGHIPESRFPEVSILRQVCWLSIHGKIAAVMLSKNSTYVAYLVFRTTDDSWGLNVPAKTRVSFGGIEVETENVYLRRPHRVQENYVFPHRRKDGWMEMTLGEFEYNEGDDGDVQMAFEEVEHLNWKNGLIVEGIELRPKELAMFVNVVKMVLVLISRINSILLK >cds-PLY78942.1 pep primary_assembly:Lsat_Salinas_v7:8:3462887:3465029:1 gene:gene-LSAT_8X1820 transcript:rna-gnl|WGS:NBSK|LSAT_8X1820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta' [Source:Projected from Arabidopsis thaliana (ATCG00180) UniProtKB/Swiss-Prot;Acc:P56763] MIDRYTHQQLRIGLVSPQQISTWSKKILPNGEIVGEVTKPYTFHYKTNKPEKDGLFCERIFGPIKSGICACGNYRVIGDEKEDPQFCEQCGVEFVDSRIRRYQMGYIKLAYPVMHVWYLKRLPSYIVTLLDKPLNELEDLVYCGIRNEKLSSHLIQSGCPETFTSDFEGGRSYPNFYFARPIDKKPTFLRLRGLLEYEIQPWKYRIPIFFTTRSFDTFRNREMSTGGGSIRQQLANLDLRIIIDYSLVEWKELEEEEPTGNEWEDRKVGRRKDFLLRRMELAKHFIRTNIEPKWMVLRLLPVLPPELRPIYHIDEDKLVTSDINEIYRRIIYRNNTLTDLLTTSIATPEELIISQEKLLQEAVDALLDNGICGQPMRDDHNRVYKSLSDVIEGKEGRVCETLLGKRVDYSGQSVIVMGPSLSLHRCGLPREIAIELFQAFVIRDLIRKHLASNIGVAKSQIRKKKPIVWEILQEILDDHPVLLNRAPTLRRLGIQTFLPV >cds-PLY95370.1 pep primary_assembly:Lsat_Salinas_v7:8:284166281:284169295:-1 gene:gene-LSAT_8X160280 transcript:rna-gnl|WGS:NBSK|LSAT_8X160280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFTVSYSGYVAQNLATASGKSNTCRIFHEVFSRPRIFQNLDRDPVAGYGPTATAGSRCSVSAYATLACDFLGVETSSKPSPLVVGLISLVKSTATGGGFGGGSGVFGISPLKASSMIPFFQGSKWRPCNEINSTEVDKGGTSSTKSNQTTTDCVKTCNVASTKIIVERNNWFSKLLSVCSDDAKAAFTALSVSILFRSQLAEPRSIPSASMSPTLDVGDRIMAEKVSYIFRKPEVSDIVIFKAPPILQEFGYSSGDVFIKRIVAKAGDWVEVRGGKLLVNGVAQDEEFILEPLKYEMKPMLVPEGFNLKSCLCFYPWHLLNFETLWIMDLSSGIIQEVVKGSSKIIEICGQLIKEKSSLVKEILPSQQLPENNFSVEGISRAGLLYSVFLAETLDVVQDIDWVISLGNAFAKQYDLYTYDDEHYALLHRFLNCDSPLQLSECRLILVLD >cds-PLY94506.1 pep primary_assembly:Lsat_Salinas_v7:2:156341305:156343424:1 gene:gene-LSAT_2X82221 transcript:rna-gnl|WGS:NBSK|LSAT_2X82221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTGFEAPISTIACATKPFIFNAPVLPFSSEFLLATPKSFPILILSFKSHKPPTTKFNKFQTSKTSCSNSSTAQLEEDQEVEIAEGYTMTKFVDKIIDLFLNEKPKPKDWMSYLIFREDWNKYRDNFYNRCKSRADTENDFTLKQSLITLATEVKKVDDEMERHSELLKQIEDSPMDINAIVTKRRKDFTGEFFRYLTVVQETHDNLEDRDAIARLGARCLSAVSAYDNTLETMESFDDAQAKFDDILNSPSIEAACAKIKSLAKAKELDSSLILLINSAWASAKDSPTMRNEVKSIMYELYKATKSSLKSIAPKEIKLLKYLLNFTDPEERFSALATAFSPGKDDEAKDPNAIYTTPKELHKWITIMLDAYQLHKEETGIMEAKEMNLPMVIQRLSILKETVEEEYLEKDTPEGKKETNAEEF >cds-PLY88574.1 pep primary_assembly:Lsat_Salinas_v7:7:7649019:7652182:1 gene:gene-LSAT_7X7020 transcript:rna-gnl|WGS:NBSK|LSAT_7X7020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKLFSRWRHDFHSHNRPQILRHLTQSHFEDDPPFSPILKPQNQKNKKLKEDASNKKPQNEFPIKSNLPFDFRYSYSETNPSLEPIGYRETPKFSPFGPGRLNRKWSGTVAPLQQAADMAKVEEERNAVLGNPLSEEEVSELVERYRHSDCSRQINLGKGGVTHNMIDDIQNHWKKAEAVRIKCLGVPTLDMDNVCFHLEEKSGGKIIYRQINILLLYRGRNYDPKNRPVVPLMLWKPYPPIYPKLIKNVADGLTFEETKELRNRGLNSPPLMKLTRNGVYANVVEKVREAFEVEQVVKLCCAHVGMSDSKRIAVKLRDLVPCVPILYKDEQIILWSGKKATENTLNDL >cds-PLY78116.1 pep primary_assembly:Lsat_Salinas_v7:2:62358882:62362329:-1 gene:gene-LSAT_2X27501 transcript:rna-gnl|WGS:NBSK|LSAT_2X27501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVTIAAEGILKKVLSIAAGELAIAWGYEEKLTSLHRTLELIRAKLHDAKRQKGTEAVMVWLKQLKDVVGEADDVLDEVHYEMLRRQIKKRDQVARKASCLPSLKKFSFHNEIGHKIEKINEMLDTIYTQANGLGLQNERPVDPVPDHLYRETIPHSEEFKIVGRDDDVLRIIQLLTQSRTEEKLTIVPIVGMGGIGKTALAKSVYNDERIEHHFNVKAWLCVSVKIDIITLLRKIYESLAGKKPQSDSKVNLIKSLEEELGSKRYFLVLDDVWVEERTYWEEFRRCMLGVNSQNGSGILVTTRKLEIGTTGMKADLCHLKGLSDDHCWDIFRERAFVAGTSQSPELEEIGRQIVKKCDGLPLLLNVIGGMLANYHDKEKWLSIKDSNVWDLEEERDRVQKSLELSFDNLPNSIVKQCFAYCSIFKKDKVMKREELVQLWMALGLVQADEEKNKEMEDVGNDIFEMLVSNSLFQDVERDELYGHITHCSMHDLVHDLSVSLSKHESLRLVDVTDADIACIPQVKHLSFYHELNDDDEFKAKVSMFIERNTVARSLKTLFIKGKVEKKFSFQPLKCMRILKLNGCGTEKIDDSIGELVHLRYLNLSNTKIRVLPKSIGKLYHLQTLKLQGCDDLKFPEAMRNLISLRYFMCDEDIPTYVLGQLISLRKLSSVKVVGRKGHGIEVLRHLNNLTRSLCISNLENVRSKEDAVKADLSSKKNLNKIEFIWSEYDRGANRNDKEVLGGLQPPGGVKILTINNFCGDNFPEWVMKMAVNIDGKWMPLDKLVKIRLSGCSSCLSLPTLEHLPHLQHLWLQNMDSLTCLRSSDVTGSTKPLSPSLRSLHLYSMERLEKWIDREPNSSKMISPVLEALSIWTCPKIILLDECHPHPLVFLRIWDCTGLVSIKSLQGLTSLENLLIDDCPSLSEITNLPNQCYSLNTLHITKCGKLTSLPQKMFDCFAFLNKLELGPFSKELDFFPSLQGIQKLRNHLHYLELRGWDHWESIPEEIQHLTSVYWLEIDGFGIQELPMWLTNMSSIRYLSFYDCNRLNKETVRRGAPRESNFVRLNNEVC >cds-PLY72333.1 pep primary_assembly:Lsat_Salinas_v7:1:173850859:173861226:-1 gene:gene-LSAT_1X115780 transcript:rna-gnl|WGS:NBSK|LSAT_1X115780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 5.4 [Source:Projected from Arabidopsis thaliana (AT3G54450) UniProtKB/Swiss-Prot;Acc:Q9M1I2] MATETSMVFTKSAYHDVNPSPKNPTKGGWKSAIFIICVEFGERFAYYGVSGNLIMYLTLVLKQPLATAAKNVNLWHGVSAIFPLVGGFVADSCFGRYKTIVFSSITYLLGLILLVISVEAIPLEHRLPPFFLALYIINIGEGGHRPCIQTFAADQFDDHLPEEKAAKSSFFNWWYLGIVLGAISAILVLIYVQDNIGWGWGFAIPALVVAAALGVFLTGTSRYRREVPVGSPLTKVAQVIVAATRKRRLSPENDGFGVCVEAGEGGDDMTRSLARTNQFRFLDKAAMMDEIDRLPGKKKDWRLCTVNEVEQVKLLFRLIPIWLSCLMFAVAIAQLGTFYTKQASTLNRTIGSSSHFQIPPASLQVLPGLTILIAVPIYERLLIPTARRFTNHPTGITILQRIGVGIFFSILTMIVSALVESRRIRIATEHGLIDTPKSILPMSVWWLVPQYVLMGISDVFTVVGLQELFYDQVPEGMRSMGAAAYMSVVGVGGFISSALITVLQAATARHGDEWLKGDNLNRAHLDYFYWVLACLSGLSLVLYVVLAKGFVYKKLQRDDEV >cds-PLY93657.1 pep primary_assembly:Lsat_Salinas_v7:2:201485564:201489406:1 gene:gene-LSAT_2X122000 transcript:rna-gnl|WGS:NBSK|LSAT_2X122000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDRNYISQSSQRVHGMSDIVFECVLSYIHDTRDRQSISLVCRRLWQLEAHTRKHVTIALCYTATPKQLSRRFPFLESLTIKGKPRAAMYNLIPEDWGGFATPWVEEIAKSFSCIKAVHFRRMIVKDEDLELLARERGSVLQVLKLDKCSGFSTDGLRHICRSCRNLRVLFLEESQILEKDGEWLHELAMSNTGVLETLNFYMTDLSQVSVKDLELIAKKCLYLLSVKIGDCEILELVGFFNHANSLEEFGGGCFNEQAELYNHVMYPRRVTHLGVNYMSTSETILVLPFAFRLKKLDLLYALLDTEDHCRLLQRCTSLEILETRNVIGDRGMEVLAQYCKKLKRLRVERGADEQEMEDEEGVVSQRGLTAVAQGCLDLEYIAVYVSDITNAALETMGMNLKKLRDFRMVLLEREEVITDLPLDNGVRSLLSGCQQLRRFALYLRPGGLTDVGLAYIGQNSQNIRWMLLGYLGESDTGILGFSRGCPSLQKLEVRGCCFSERALAMAVLQLRSLRYLWVQGYRGSPTGCDLLAMARPFWNIEIIPPRKVIGGDGKEKEHHAHILAYYSLAGPRTDFPPSVIPLDSIAR >cds-PLY72802.1 pep primary_assembly:Lsat_Salinas_v7:6:21695423:21695842:1 gene:gene-LSAT_6X16641 transcript:rna-gnl|WGS:NBSK|LSAT_6X16641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDSTNKFSAGDDDTANQQERLLPIANVGRIMKQILPKNAKISKEAKETIQECASEFISFVTGEASEKCKKERRKTVNGDDVCWAIGTLGFDEYAPPLKRYLDRYREVEGDRSATPQRNEEDGGPSSSAAEFNPNLKPF >cds-PLY64693.1 pep primary_assembly:Lsat_Salinas_v7:7:139011135:139011524:-1 gene:gene-LSAT_7X83981 transcript:rna-gnl|WGS:NBSK|LSAT_7X83981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAWRIKTQLTVDQCLRILDVSLENNIPRVVEAMNFEIKLQFYSSYLSWTLDGVESPSMQQSPLNNSLHHLNPLSFSKQITSSHPHMFEAQTTTLHKTVK >cds-PLY76119.1 pep primary_assembly:Lsat_Salinas_v7:9:30145036:30145995:-1 gene:gene-LSAT_9X26721 transcript:rna-gnl|WGS:NBSK|LSAT_9X26721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPSQLKTLPPYSSPHPFAIRAPSPSPSSSSSLATSMKFKTLIHNFIFSHIFRLARALTKAKTIIIELLKQIQLNNVNFLEPLILKKNKNKNKLYFGSFRLHYNWCSSHVVPMTSPNPFNGHVYYDSTWGSFADEMAPHESQLSGYLQWLEEKNKSDDHGNKGSGITVDEMNEIDRLADKFIANCHEKFRLEKQESYRRFQEMMARSV >cds-PLY69950.1 pep primary_assembly:Lsat_Salinas_v7:4:69775515:69777014:1 gene:gene-LSAT_4X48120 transcript:rna-gnl|WGS:NBSK|LSAT_4X48120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKHPTIATFQIVLLHMLSSSIPDDDLNKKEEGIENLRDFLMPFWLAVFVEGTRFSHAKLIAAQEYAISTKSYVPRNVLIWTKLMKANDVRMEWVEALELEFENDEDGR >cds-PLY74982.1 pep primary_assembly:Lsat_Salinas_v7:5:88023268:88026244:-1 gene:gene-LSAT_5X42101 transcript:rna-gnl|WGS:NBSK|LSAT_5X42101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKVRKVSKDHPEGYRRLATRMTPGRISAAMKVMSPKQKNGIVSMGFRSLLNIDMDTSLGLLNYYLLDHHDPDSSHLVLENIVITITEDTVHDMLGLPNVGEDFLSMNSCEKDNEVLQEWKSQYDKKRLVYVYSMKFSGLKIVKTLPFVRNVTGAILEKIEKLDISVGGFGRQLTENFEDIDDDEGMVDEDEMLDGLMREYGDEEEEIWNIGRGNVLHQGFTYHFKSNTFIHVIIIDGWSSLLNIMEELRDVGSVSRVFFDTIFLAEEILDASMSSDRTQTLFDSMLKLHLKSLLKQEKLKDIGLDVGNVVLQEKQSDELALNNLRVKYMAKLMKSEYNKHKSMLEKDAEAYDRLDPLQKLAMMYEVKASREKQGCGRRRF >cds-PLY76705.1 pep primary_assembly:Lsat_Salinas_v7:3:140415123:140417520:1 gene:gene-LSAT_3X92121 transcript:rna-gnl|WGS:NBSK|LSAT_3X92121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEPIDKEEKGLGRSRSLARLQAQKEFLKATSLAADRTFEDEDSIPEFDEAFSKFLTMYPKYKSSEKIDQLRVNEYSHLTDTISKVCLDYCGFGLFSFLQTVNYWESSTITLSEITAHLSNHALYGGGEKGTVEYDIKTRIMDYLNIPENEYGLVFTVSRGSAFKLLAESYPFHTNKKLLTMFDHESQSVNWMAQSAKEKGAKVHSAWFKWPTLKPCSTHLRKQIVNKKRRKKDSSTGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSVIGSLQNPAGHAGSGIVKISPVFPLYLSDSIDITPGLSGIIGEDEVAGKSECLPETHAGALLPAFSGAYTPSQVRDVFETEMDLDHDHEHGNSPIFESFSVGEVMKSPIFSEDESSENSMWIDLGQSPLGSQSEIINSPLPPPVLSFDAAVHNVKKTENFQEKIHENGRKPDCGEIQEEPETKKPKESAIITRETENEFRLLGRFETSKRVSFGFEDNNNNELSKDFEEYFEDQESERREPEISCRHLDHVNMSGLNKTTFRLRFLVNWLVTSLLQLRLSSNGEKEKDKGDSVPLVHIYGPKIKYERGGSVAFNVRDRNGGLISPEIVQKLAESNGISLGIGILCHARVIQSNKQNLAIDTSVCKPMNDGGGGGGGGGSGGGGFVRAEVVTASLGFLTNFEDVYKLWVFVAKFLDPCFVGEYEGGGKEIG >cds-PLY83925.1 pep primary_assembly:Lsat_Salinas_v7:8:255115797:255119868:1 gene:gene-LSAT_8X149900 transcript:rna-gnl|WGS:NBSK|LSAT_8X149900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEISEIEENMFALGEPKLHGGMCKTLSLIYIKVLTVFPELEASRPRSTSGIQALCSLHIALEKTKTLLQHCTECSKIYLAITGDSVVLKFEKARSSLEDSLRRVEDIVPQAIASQISDILTELEGIEFSLDPIEKQIGDEIIGLLQQGKNFNNNSDNNELETFHQAASKLGITSSRTALRERRALKKLVEKARIEEDKRKESIVAYLLHLMRKYSKLFRSDFSDDNDSQGSTPCSPTIGSFEGYSGSGFERQLSKLNSFNFKPNFPRSGQVMMPPEELRCPISLQLMYDPVIIASGQTYERICIEKWFGDGHDTCPKTQQQLSHLGLTPNYCVKGLVASWCEQNGVMVPGGPPESHDLNYWRLSLSESESVNSKPPPESGGGGGGGGLVKCKGMKVVPFPVEEDEAAVVAEIGGDGFERYDELLAVLHGEGHLRKKCRVVEEIRRLLKDDEEARIYMGANGFVEALLRFLESALNAQSEFAQESGAMALFNLAVNNNRNKEMMLASGILPLLAQMIESSKSIGAAIAIYLNLACFDQAKPIIGSSEAVPFLIEVLQGDLDSQCKIDALHTLYHLSSFHSNVPRLISSGIINALQPFLSDSDSRSWTERAIAVLINLAVTNSGRSEIIEGPGMVSGLSMLLDMGEPEVQEQAAALLLILCTGSDKCSEMVLQEGVIPSLVSISVNGTMRGKQKAQKLLMVFREMRQRDPPVVVQGGGQGGEERKVLSKSTSRRKMGKAWSFWRKSKSFSVYQC >cds-PLY69159.1 pep primary_assembly:Lsat_Salinas_v7:5:283864389:283866502:1 gene:gene-LSAT_5X149640 transcript:rna-gnl|WGS:NBSK|LSAT_5X149640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSESNRTTVLVTGAGGRTGQIVYKKLKERSTEYIARGLVRTEESKEKIGGEDDVFIGDIRNTETILPAIQGIDALVILTSAVPKMKPGFDPTKGGRPEFFFEEGANPEQVDWEGQKNQIDAAKAAGVKQIVLVGSMGGTNINHPLNSLGNGNILVWKRKAEQYLADSGLPYTIIRAGGLQDKEGGVRELLIGKDDELLQTETKTIARPDVAEVCIQALQFEEAKCKAFDLASKPEGSGTPTKDFKALFSQVNTRF >cds-PLY83797.1 pep primary_assembly:Lsat_Salinas_v7:3:52504041:52504974:1 gene:gene-LSAT_3X39360 transcript:rna-gnl|WGS:NBSK|LSAT_3X39360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCCLTTPATTNKTAHRRLDDSKSRIEEPATHATPSPPFEKESVKEVLSETPISISIPIIQTTTQILHENDAGKKSNQENQENVTEMSEMCSYNDYESLSAATTSKAAMIDTERDVVEIEDDREVTQKIITSPPPKKVPRKRPEKFTGEISKEKDGGIRPPPRRLVAPPPKKRNRFPSNTTPTTHRHGYVGPSDIVRRRLRLPGERSRSPAIRGLRPNTREGSPAPVKNSGDQIIVKSDDLERNVTVVMVGVPSELEKSESLDHPVVSMECFIFL >cds-PLY72935.1 pep primary_assembly:Lsat_Salinas_v7:1:90965152:90965473:-1 gene:gene-LSAT_1X76240 transcript:rna-gnl|WGS:NBSK|LSAT_1X76240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEVFLTLLFASFGANVEDDDEFLSCSLDEHHVDLVVPFKKSVKQILHETTVVTTRRVLERFFVHHFSHRATYKLLKRTMSS >cds-PLY96834.1 pep primary_assembly:Lsat_Salinas_v7:2:110599745:110603193:-1 gene:gene-LSAT_2X51640 transcript:rna-gnl|WGS:NBSK|LSAT_2X51640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVTTGVPFRAPLWPIVIALTVFGIANLIAVSADPYIYSSPPPPYMYKSPPPPVHSPPPSYLYKSPPPPVHSPPPPYLYKSPPPPVHSPPPPYLYKSPPPPVHSPPPPYLYKSPPPPVHSPPPPYMYKSPPPPVHSPPPPYLYKSPPPPVHSPPPPYLYKSPPPPVHSPPPPYLYKSPPPPVHSPPPPYLYKSPPPPVHSPPPPYLYKSPPPPVHSPPPPYLYKSPPPPVKSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPTKSPPHYYYSSPPPPKSYYPVPYPHHKKLIVKVVGKVYCYSCYDWKYPIQSHAKHHLKGAVVEITCKAVGQKEIFAFGKTKINGKYAITVEGLDYSKYGGAKACTAKLHMAPKGTKCNIPTNLHGGLKGAMLKVKSKNAYEVVLQAEPFAYAPKTPSTLCEKPKPKPEPTPSPYYYKSPPPPPPTYLYKSPPPPVKSHPVYHYTSPPPPKKSPPPPYHYTSPPPPKKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPKYHYTSPPPPKKSPPPPYYYKSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHXIPTPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPIKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYSSPPPPLKSPPPPYHYSSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPIKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPTKSPPPPYHYTSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYISPPPPVKSPHSPVYIYGSPPPPTNY >cds-PLY91179.1 pep primary_assembly:Lsat_Salinas_v7:8:186451481:186452107:-1 gene:gene-LSAT_8X120400 transcript:rna-gnl|WGS:NBSK|LSAT_8X120400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKCAILIVSHGDPLQILQTIVNAVREQVGPDGGDLAAQLAAVKVRSVLSQHRKHALLTGQLRAVV >cds-PLY82502.1 pep primary_assembly:Lsat_Salinas_v7:2:182903206:182905742:-1 gene:gene-LSAT_2X104380 transcript:rna-gnl|WGS:NBSK|LSAT_2X104380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSKTLKKTNPDHNPDKFYPKIDNSDKAYQKTDNSDKVYRKTDQSGATNLLPLPSALPSPSTASLPVVRLYGSPTSPATSYIRFALLYKPVTLLFIPSEKPDFGFETPVIQLGSDVISGSSVTILRYLDAKFPKPLLLGNWNTYNETTPVVVTATALQHKSLVWHLDRMVRWGEDLAARGGRSKGDPVMGSARMEVKKYAKSYSQLLEVMLEHAQMEEKIVFPILEREDRGLSKSVNEEHARDLPLMNGIKEDIKTIIVLDSGSSSCQDALFSLTTRLKSLQENCKKHFEEEERGVLPLMEATELTQGQQERVLEQSLDVMPGTHSNLLRFFMEGLLPHEAMLYLDLITRCTDKDRAGSIYRFLVEEHSGKRNESRPTMGLLLKAKS >cds-PLY82996.1 pep primary_assembly:Lsat_Salinas_v7:1:18687744:18692352:-1 gene:gene-LSAT_1X16281 transcript:rna-gnl|WGS:NBSK|LSAT_1X16281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLTGDEINQGGGQEPGAHGEKIFVSVRVRPLNEKEIAKNDSCDWECVSNDTILYKSGLAEQRSTHPNAYTFDRVYGCDSTTKQVYEEGVKKVALSALNGINSSVFAYGQTSSGKTYTMTGITQYAISDIFDYIKKQNDREFVLKFSAIEIYNECVRDLLSADGTQLRLLDDPVKGTVVDKLTEIRLKNWTHLMQLLGMCEAQRHIGETSMNEVSSRSHQIIRLTIESSPSEFARIGSASSLTATVNFVDLAGSERASQTLSAGTRLKEGCHINRSLLTLGTVIRKLSKSRNGHVPYRDSKLTRILQNSLGGNARTAIVCTLSPAHAHLEQSRNTLLFAVCAKEVRTSAQVNVVVSEKALVKQLQREMERLQRELKNMSAANNNESVIKEKESQIEDMQQEIKDLTQERDLARTRLEEILRAAGIDQSLLPWNESRPLRTGSWDGRLPSSDTSFNAPITTYSKDELNIPNEDSYFFDRISSSQFMDRYLPDPSHEWEKSRSNSSGSCKELECMENDQEEQSSRSSGSSVVDKTYSRTASLTRSTSCSAVIEEMTPEYNVVAESMVTKEVEGDASGRSLQSKSESDIKSMCRQDSDEKDMKQSEGSVFIYSPTRTLKEVMRDDLKGEYVNYMAETPRAIGSAKEDNDWELLFEEQRRKIIKLWDECNIPLIHRTYFFLLIQGDPSDSVYIEIELRRLSFLQKAVDHASRAMDLERAMLSRKLLRKYSAKEREGLFVKWGVDLQSRNRRVQLSQLLWTKTDDMEHAKESAEIVAKLVGLVDPSQTPKEMLFAPTQESQTMRPSWRDTFSLLGY >cds-PLY89628.1 pep primary_assembly:Lsat_Salinas_v7:8:221511098:221512910:-1 gene:gene-LSAT_8X136820 transcript:rna-gnl|WGS:NBSK|LSAT_8X136820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGHYEVGGSPARPQHVYELCFSHGQDTLFDACDFTKTKAVEGISRKEVDPFERLTDQDIRTAIHNATGLRSALFVPEV >cds-PLY71099.1 pep primary_assembly:Lsat_Salinas_v7:9:121662771:121664746:-1 gene:gene-LSAT_9X81101 transcript:rna-gnl|WGS:NBSK|LSAT_9X81101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGWDPTTKSTLTQIPLLTVKAGPRDGAAWTQRLKEEYKALIAYTSMNKSRDNDWFRISAANPEGTRWTGKCWYVHNLLKYEFDLQFDIPVTYPATAPELELPQLDGKTHKMYRGGKICLTVHFKPLWAKNSPRFGIAHALCLGLAPWLAAEIPVLVDSGMIKHKDDAVSTSEAC >cds-PLY74469.1 pep primary_assembly:Lsat_Salinas_v7:7:32662356:32665041:1 gene:gene-LSAT_7X24981 transcript:rna-gnl|WGS:NBSK|LSAT_7X24981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMVEEGQNQPKIATKRIKFEEIMEVGGGDRITALPDCLLVEILSRLPSTEDAIRTGTLSKRWKHLWNPVPSLIFDDENHRRLNFVSLVTKTLNQCRQQKLKKFVVSTGYDTRSESQVHNWIRYAVSCNVEELNLKVWNVEVIADEFVLDQFFFINSCFTDLRLSGCILNPIGVISWKNLRSLWISEGNLDEDLIENILSGSPQLETLVFNECYGYKRLDITSKSVKNLVFNGYYWVPPDDECDDLSHIIEINAPNILSLTIEGDLLLWKLLLVNVSSLIEANLDYTMLGHHETTEYSLFGYHATTLKDEEEMLEEFILNLSHVNELKIRRSCSKVVSRLEFKGFVFPSNIKFPDAVYDWSDSESIESGDCYSVVSRLEFKGFVFPSNIKFPDAVYDWSDSESIESGDCYSVESGDW >cds-PLY79481.1 pep primary_assembly:Lsat_Salinas_v7:3:248968454:248971881:-1 gene:gene-LSAT_3X137381 transcript:rna-gnl|WGS:NBSK|LSAT_3X137381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGWSKLADGSALDCFRTLEEIHKIGVSIKCGEFDTKFTKSFNQIVSRFSKEICQNNCFTPFPPMLRDGKPIDLLTLYLCVREKGGYESVSRYGIWDLVAKEIGFNSSDSAYLKVVYLKYLESLEQWFCKIVKDKDSVNNSDMNFDPRKFFLDVEMKDYNEFVDTSVKKESEVIDLENIVERFDVEENESSKKRKRERYLPLLDWVKRVAKDPCDPAIGIIPERNKWKAYGGEHVWKQVLSAREARLLQLDLDSKAKVIWQKKNHMHPAMYEDRTEKSTSRFSQRLITAKETQSIPPSRKPPTQDSPESSSGSPSDRDRDEEHSFYGCNLKRKRTPLGRSFQAKLPELPEPDYSPDSKWLGTQIWPLQKGETRSSLLELDRIGKGRQDSCGCQFQGSLECVKFHISEKRNRGKLELGSAFYKWKFNEMGENVAISWTLDEEKKFEEIIKSTPSSSGRSFWDELKRNFKNKSMRAMVSYYFNVYLLRRRAHQNRSDPNNIDSDDDELEKIENEANKNETGSILCSPKKVHLNAR >cds-PLY90513.1 pep primary_assembly:Lsat_Salinas_v7:2:42591773:42593105:1 gene:gene-LSAT_2X20280 transcript:rna-gnl|WGS:NBSK|LSAT_2X20280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASHPCDLVTLEPNRVVETLKNLTTRFCDYRVVDVVNLLPTAKDGTITHLYMQGTVLDTTKSLIFPLPLVAAAHQQFLAGCRHADANGLDGLKVQIILCFN >cds-PLY72237.1 pep primary_assembly:Lsat_Salinas_v7:7:55626573:55634138:1 gene:gene-LSAT_7X40461 transcript:rna-gnl|WGS:NBSK|LSAT_7X40461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTKSPEKSMEENMKKKKKKSITIIFRYADWYDKLLIVLGTLGAIGDGMSTNVLLVFVSRLFNSLGYGKGVQRNPENFMHEIEKCSLAFVYIGLGVMVMAFMEGYCWSKISERQVLKIRYKYLEAILRQEVGFFDSQEATTSEIINSISKDTSLLQEVLSEKVPKFLMYTSMFLSGLAFCTYFSWRMALVAFPTTVFLIIPGLIYGKYLLFLSKKSFLEYSKANSIVEQALASIKTVYSFTAEKMIVEKYSMILDRTTEMGLKQGIAKGLAVGSTGLSFAIWALIAWYGSRLVMYKGESGGRVYTSGLAFVLGGLALGMALPELNHFTEASIAASRIFHRIDRVPEIDGEDIKGLVPDEIHGQIEFENVEFTYPSRPNSIILKDFNLKIEAGSTVALVGASGSGKSTAIALVQRFYNADRGIIRVDGIDIKKLQLKWLRAQMGLVSQEHALFGTSIRENIMFGKIDATMEEVIAAATAANAHNFIRQLPEGYETKVGERGALLSGGQKQRIAIARAIIKNPVILLLDEATSALDSESEKLVQTALDQASMGRTTMVVAHKLATIRNADVIAVMSEGRVIEQGPHTELINSHTGQYAHLVKLQRQFSSFNDEHHTPVTKSSASRLSTSKSSPAMFDSPMPFNDPQLLSSSNHPPPSFSRLLALNSPEWKQAVIGSLAAATFGAVQPVYALTVGGMISAFFVLNHEEMNSRIRTYSIIFCSLSIISILVNLLQHYNFAYMGEQLTKRIRLKMLEKILTFETAWFDDEENASGALCSRLSNEASMVKSLVADRMSLLIQTGSGVLIAMIMGLIVAWKLALVMIAVQPLTILCFYARKVLLSTMSANFIKYQNQSTQIAVEAVYNHRIVTSFQSLGIVLQLFDKAQDGPRREARKKAWLAGIGIGSAQGLTFICWALDFWYGGKLVNAGEISAGDVFKTFFILISTGKVIADAGSMTSDIAKGSKAVASVFSILDRQSLISTNVHEGDGSSGVKLEKLSGGIEIRKVDFAYPCRPETLILREFCLEVKPGTSVGLVGKSGCGKSTVIALVQRFYDTDRGTVKIDRVDIRMFNIEWYRKHMALVSQEPVLYSGTIRDNIIFGKVDASENELIEAAKAANAHTFISALKDGYETECGERGVQLSGGQKQRIAIARAIIRNPTILLLDEATSALDVQSEQVVQEALDRIMVGRTTVVVAHRLNTIRHLDSIAFVSEGKVLEQGTYNQLKNKKGAFFELANLQKT >cds-PLY89216.1 pep primary_assembly:Lsat_Salinas_v7:5:310160598:310160867:-1 gene:gene-LSAT_5X167761 transcript:rna-gnl|WGS:NBSK|LSAT_5X167761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPEESRRLQSIAAGLRHSLAVIAGHREVLDEVLTRMEILYQHSMEAADTASAARREAGHAMTAYYVLGGLLLIVVLMFLVGIAIGIWF >cds-PLY93967.1 pep primary_assembly:Lsat_Salinas_v7:8:234117029:234117394:1 gene:gene-LSAT_8X141940 transcript:rna-gnl|WGS:NBSK|LSAT_8X141940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFGIIVLGLFFSYKLIRVTFIVLGIGKPKYLPPGPAPLPIIGNLHLLGDHPHQSLANLAKFYGPIMFLKLGRTTTLVISSAAAKEVLQKQDIAFSSRHILDAFNARNHSHYSAVWLPIST >cds-PLY96270.1 pep primary_assembly:Lsat_Salinas_v7:6:157154420:157155218:1 gene:gene-LSAT_6X96080 transcript:rna-gnl|WGS:NBSK|LSAT_6X96080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKCFSIFSSRTKRIGKSSPELQEQNPSTKPPTRLVNSTSSITSPLLYKEEEHVLRKFSFSELKNATNNFNRSLWIKNGQFGNVYKGFIQPVKPAGDQGAHLVLEHKEWLSGVEFLGVVEHPNLVKLLGYCLVDDEKERQSQDY >cds-PLY65906.1 pep primary_assembly:Lsat_Salinas_v7:4:136190245:136192889:-1 gene:gene-LSAT_4X84380 transcript:rna-gnl|WGS:NBSK|LSAT_4X84380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPETLSANLFKWDTRAALAPPASRIYEPITLQQQPQQPPPPPPSMVATSAGMGGYLVRDNRDLGGLEEVFHAYGVRYFTATKIAELGFTANTLLDMKDEELDEMMNSLSHIFRWDLLVGERYGIKAAVRAERRRQEEEDSRRRYLLSSDTTNTLDALSQEGLSEEPVQQENEAAGSGGGGGAWEMAAIGSCAGGKAKQSKQRRGKQIRVKGRIGSSSQVVGGDDNYENESEDDPENGGGGGVERQREHPFIVTEPGEVARGKKNGLDYLFHLYEQCRDFLIQVQSIAKERGEKCPTKVTNQVFRFAKKAGASYINKPKMRHYVHCYALHCLDEVASNALRRAFKERGENVGAWRQACYKPLVSIAARQGWDIDAIFNTHPRLSIWYVPTKLRQLCHAERSSAAMAAATAASTSVVGCSGGGHLQF >cds-PLY80918.1 pep primary_assembly:Lsat_Salinas_v7:8:134196130:134197453:-1 gene:gene-LSAT_8X92960 transcript:rna-gnl|WGS:NBSK|LSAT_8X92960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATEEVKKVEVEPECPVEPSPVAEVEEKAIVPVENPVTEEKPVDDTNSFAIIEKPIEDKTEEGSVHREVVLARVSIEKKDAQIKHGKKARNQKQRTTSSIGAWENSKKADLEAEQKKIKENLEKKKS >cds-PLY93634.1 pep primary_assembly:Lsat_Salinas_v7:MU039104.1:118974:119989:-1 gene:gene-LSAT_0X15960 transcript:rna-gnl|WGS:NBSK|LSAT_0X15960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPTRPFVLFSVFLILSGKEAVACNFYISNKCPYPIWPATAANDGHPVLAYGGFYLPPGEQRKIQAPADWVGRIWARTGCKFGSNNAPTCETGDCGGKLHCNGLIGSPPVTLVQFALQADKSKPSFYDVSLVDGYNIPVSVTTIPSSPKCRIGGCKKDLNDVCPEELEVLNEKGEVVACKSACLAFDDDKFCCRNEYGTPNRCKPSVYSKIFKEVCPSYYSYAFDTPAPLVNCFSDDYLITFCPATKWVAEEIDSV >cds-PLY99529.1 pep primary_assembly:Lsat_Salinas_v7:1:114159591:114159827:1 gene:gene-LSAT_1X88460 transcript:rna-gnl|WGS:NBSK|LSAT_1X88460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLENQRDAKVAEANAELMMKNAKWAKDSQVTEVESKKAVVLREAELQKEVEIMNTLTQTEKLKAKFLSKASVEY >cds-PLY86193.1 pep primary_assembly:Lsat_Salinas_v7:MU040735.1:99564:101459:-1 gene:gene-LSAT_0X27560 transcript:rna-gnl|WGS:NBSK|LSAT_0X27560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNWPPSLNPLANLLHSVVDFPIIVSLLRNSHRRSTIAPLHSLRHCEEIDRENDLALYDELVSFNKFAHRQPLPSIKDFCKLLTRIVKMNHYSVVISLVQRLDVLGVKSDIYAFNIAINCFCHLNRVDFGLSVFGKALKRGFEPDYATFNTLIRGLCADGSLDQAHKLFDQMLESGFKPNIVTYGTLINGMCKLGNTTDAIILLRKIEEESPGVIIYSTIIHTLCKNRQVTHALHLFQEMTEKGITPNVVTYLCLMQGLCSSESPQLAKRLLDDMLARNISPNLQAFNVLINALCKLGLATESHNFLAFMIEKGMLPNTTTYNTLIKGYCLIQRLDKAKHLFNYMSTQGCDHDVVSYNVLINGYCKNQEIDEGLTLLKKMSKQKIDPNVVTYTTIIHGLCLVSKLEDALVIFHKMQDCGHIANVVTYSTLIDTLCKHERVNEALYLFKLMDDTHVPPDVFTFTSIIDGLCKVGEIDAANDYFLELSCRGLQPSVCTYTAMIGGFCRNRRLDEGEELFMKMEESGCVANVHTYTVMINGYCRNGKVDEANELFVKMKESGCLPDSCLINSIIQGFLLQNEMTKAIQYVDILRENGFLLDVYTEALLQNFQCCGVLEHSSKKGVEIFSQECNGE >cds-PLY96085.1 pep primary_assembly:Lsat_Salinas_v7:3:99928309:99929357:-1 gene:gene-LSAT_3X73960 transcript:rna-gnl|WGS:NBSK|LSAT_3X73960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIMAERDAAIQERNLALDERKRAFAERDMAMLQRDAALAERNSAMQQRDEAIATLRFQGTNNNNNNNFIISTLPENTPTQGQNRNFTNQEIHHMFEIPNDNTYQVQVQVQSTTKSKSKSPRVRRRRGESVKFTNQEIHHMLEIGEDTYEVEPQSYKTKGVKSPMIGRRRGESVKLKVEEWKDESELNQVSFDDLTMPVPVCSCTGVPQPCYRWGSGGWQSACCTTTMSMYPLPQVSNKKYSRVGGRKMSGGAFSKLLTRLSSEGYDLSTPLDLKEHWAKHGTNRYSTVK >cds-PLY74222.1 pep primary_assembly:Lsat_Salinas_v7:1:79017747:79020157:1 gene:gene-LSAT_1X67181 transcript:rna-gnl|WGS:NBSK|LSAT_1X67181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDADDEINFRASSYAPPPPPWISLSPFPSQVSPSPRRLSSNFTPPTQPVRAAKQLAWVSLQGRIVGAEEASSSKAIGGGLSPDEAIAWELFSPMHRVLIVAIIAVAAANSKKNKQIIQLTKSVEIRDQVLLGMQQKLENLCEQVNYFKDQPDTSSYNFKFSSCGCRLCNHHQLPSQSIEADSNSIVKSVDDEDMIKCKIPPQIEMEPEERRMSDLSDWAPSVSSTVDAQWNTSSIEQDFGNTREEYEDKDALINELSAFIHTTESFGSKRISELEDIIRHKNMIITKLRKDMVVLEQKVIHLTRLRRSSTSKSNSSSKKLPAMTDNLVYDMDSTTSPSSSDSDCSTKKIKPVFLLKNEKQVHYVKNENVQRDSNSSRGKEKNEERKFSDKFTQKPPRPVSPLKEKSMNQQSNSGSGSGDFKSRKSGTIKSRVSGSHKRWV >cds-PLY90243.1 pep primary_assembly:Lsat_Salinas_v7:8:13989879:13991202:-1 gene:gene-LSAT_8X9741 transcript:rna-gnl|WGS:NBSK|LSAT_8X9741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ERF5 [Source:Projected from Arabidopsis thaliana (AT5G47230) UniProtKB/TrEMBL;Acc:A0A178UKK9] MASLEEFSALDLIRQHLLIDDSSFLQEYSILSDHHCNNTTHKSTVVFPLTSSSSSSTSSSSSPTSSVIDHTRTVSGHQFEFRVEPEPMLQSSSSDITGKFSNNGFNQRKPSVNISFPPAIEKFDVVVKEKEFEERKHYRGVRQRPWGKFAAEIRDPSKKGTRVWLGTYDTAIDAAKAYDKAAFKLRGNKAILNFPLEIGNSEEAAETETRVVTSNSRKRAAGKSELEVRGSRKEVKVEPDTAKYGGDKADAAVGSLTFEKVPLLSSSYPAMDFTSGCMIRSNGPKSVAGQSVVEVRGSRKDVKVEPETAECDGDKADAAVGSTISPTNWTPDDNSMFDVTLLSPYPTMDFTSGCMVT >cds-PLY88686.1 pep primary_assembly:Lsat_Salinas_v7:5:69061582:69074156:1 gene:gene-LSAT_5X32481 transcript:rna-gnl|WGS:NBSK|LSAT_5X32481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGREGLRVNSSNIWRNSGRDFFSRSSREEDDEEALQWAAIERLPTNLRLQRGILTQEKGSPKEIIVKNLGLVDRMKLLERLVKIAEEDNEKFLMKLRERIDRVGLRLPTIEVRFEHLNVDAEAYIGGRALPTIYNFLVNILEGSLNYLHLLPSRKKALPILHDVSGMIKPGRMTLLLGPPSSGKTTLLLALAGKLGSDLQVSGRVTYNGHEMDEFVPQRTSAYISQYDLHIGEMTVRETLAFSARCQGVGANYELSRREKEANIKPDPDLDIYMKASSLEGQEASVVTEYVIKILGLEVCADTVVGDEMFRGISGGQKKRVTTGEMMVGPSRVLLMDEISTGLDSSTTFQIVNSIKQSIHILEGTSVISLLQPAPETYDLFDDVILLADGQIVYQGPRENVLEFFEYMGFKCPERKAVADFLQEVTSKKDQEQYWIRKNEAYSFVTVQEFSEAFRLFHVGRKLGDELGVAFDKTKSHPAALTTKKYGVSKKELLKACISREYLLMKRNSFVYIFKMTQLTFLATTTMTLFLQTEMPKKTILDGTVYMGAMFFTLLTITFNGFSELGLSIIKLPVFYKQRDLLFYPAWVYSLPTWFLKIPVTILEVAVWVILTYYVVGFDPDAGRFFKQMLLLICINQMASAVFRFIAALGRNIIIANTIGSFSFLAFLVLGGFVLARDDIKSWWAWGYWISPLMYGQNGMAVNEFLGKDWRQVLPNSTETLGVAVLKSRGLFIEAKWYWISVGALLGYMFVFNIFNILALTFLNPLEKPQAVLSKEELVARNAIKTGDTIELSVRDSSETKEVPRSVSSKSMPTRVGTSIIEAEKRKRRGMVLPFVPLSLTFDEIRYSVAMPQEMKAQGVPEDKLELLKGVSGSFRPGVLTALMGISGAGKTTLMDVLAGRKTGGYTEGRISISGYPKKQETFARISGYCEQTDIHSPHVTVSESLQYSAWLRLPPEVDSPTKKMFIEEVMELVELTPLNKALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKRGGEEIYFGPLGRHSCHLIKYFEEIDGVNKIKDGYNPATWMLEVTSEAQESALGVDFAEIYKNSDLYKRNKEVINRNSQPPPGSTDIHFRTQYSQSFWTQCIACLWKQHWSYWRNPPYTAVRFLFTTFIALMFGTIFWDMGSKRKKQQDLFNAMGSMYAAVTYIGVQNAVSVQPVVSIERTVFYRERAAGMYSAFPYAFGQVMIEIPYIFVQTIVYGIIVYAMIGYEWTIVKFFWQLFFMYFTLLYFTFYGMMTVAVTPNHNIAAIIASAFFALWNLFSGFIVPLTRIPIWWRWYYYICPVAWTLYGLVVSQFGDVKDKLESGETVSEFVSNYFGFDYDFLGYVAIINIGFAVLFGFIFAYSIKAFNFQTR >cds-PLY63812.1 pep primary_assembly:Lsat_Salinas_v7:5:4317897:4322029:1 gene:gene-LSAT_5X2020 transcript:rna-gnl|WGS:NBSK|LSAT_5X2020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQPAYPQRPLGAVGVIPSLARPPMMAMRGPVVPTIIRRPINTITPAEKPITTVYVGKIASSVDNEFMLSLFVDPTTGALKGFGFCEFRNVEGVLRALRLLSKLSIGGQELMEIEEAEIELDLIQKEQSVEESSNDVTDWRKLPFLAKDKRFFLLPISLDMVQGINLSVVKVNSSPIPSYSYYRTSLSRLSFGYLVLSFNSSSQNQEHATDSGIRDSNTCIDSMCFMLCGNNLVERTYESDVNGNKFNTRVHTIKC >cds-PLY99684.1 pep primary_assembly:Lsat_Salinas_v7:9:56945798:56946177:1 gene:gene-LSAT_9X48420 transcript:rna-gnl|WGS:NBSK|LSAT_9X48420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFIPCSQVSYYEMPFLQVIRGCLLQGHTGIHREDNMELLYVLHGQEGGVTHVQFSKDGNYLWKIMKEAEVIRRGKEEMRLLMTVEVQIQHLGKFLR >cds-PLY76356.1 pep primary_assembly:Lsat_Salinas_v7:6:170368968:170370939:1 gene:gene-LSAT_6X102860 transcript:rna-gnl|WGS:NBSK|LSAT_6X102860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERNTPVRKPHTSTADLLTWSENPPADSPMTGSAARSSARSHQPSDGISKVVFGGQVTDEEVESLNKRKPVSGYKLKEITGSGIFASNGENGVEETDSATHTPSNPTSIRMYQQAVVGMSHISFGEEETASPKKSISEAKQRELSGTLESESEARLKKQISDLKNKELSGHNIFAPPPEIQPRPLAARALALRESITIGESTPHNSNGGECVVKTAKKIPNQKLTELSGNNIFKGDDTSALVEKPLSSAKLREMSGSNIFADGKAESRDYLGGVRKPPGGESSIALV >cds-PLY84249.1 pep primary_assembly:Lsat_Salinas_v7:7:79135209:79135517:-1 gene:gene-LSAT_7X55321 transcript:rna-gnl|WGS:NBSK|LSAT_7X55321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMMKASTQDWGCSSLFPLPPLFLPIRLIQRVVLQHTSRSLTLNLVINRVMECTTQVWLNVRMDEDGNIRLLADKNPIPMTMMISAGEIGLLNPNFTFIKGN >cds-PLY94828.1 pep primary_assembly:Lsat_Salinas_v7:2:180775400:180781993:-1 gene:gene-LSAT_2X103500 transcript:rna-gnl|WGS:NBSK|LSAT_2X103500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGEFPWLNFYVAFAALMLQAIFVNADDIYLEWQVNIDTTIKPVLIDQPVITINGMFPGPLINATTNDIIHVNVFNNMDEPLLITWNGIQQRLNSWQDGVSGTNCPIRPGSSWTYVFTVKDQIGTFSYFPSINFHKAGGAFGPIRVNPRVIIPTPFPKPHGEFDLLIGDWYNNSFKDIRSSLNKSLLHLHPDTMLMNGNSRYPQTNRTIVVEQGQSYSVLVTTNQPKADYYMVASPKMVNTPESSQLIGVGVLHYDDSTTPVQGPLPRGPDPFDLNFSINQAKSIRWNLTAGAARPNPQGTFNVSNVTLSQTFILQGSLDEINGSARYAVNNVSYRVPDTALKLADYYKNGTGTYKLDAYSANSSNPVAIDGTFVVSGVHNGWLEIVFKNDMLVIDTWHLDGFGFYVVGFGAGDWTPNMRSTYNLYDPVVRSTVQVYPGGWTAVYAYLDNPGMWNLRSQNSIDDSHHVTFVLSGSVIPPPVPTPTPPTPVPVPPGPKPSPSTTLTINW >cds-PLY73938.1 pep primary_assembly:Lsat_Salinas_v7:8:215618982:215623476:-1 gene:gene-LSAT_8X135240 transcript:rna-gnl|WGS:NBSK|LSAT_8X135240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSLTRKELQFLCKLNKIAANTKNHVMADALRDLDTVEGIEKFIDVSQSETVDLNESKENVEVSSPKVPITRNRTTTVQKVETTEVENLKPTNTRTTRRTAKKVAVGVEESKNEAPETPALSSTRRKALATSSCRSVNSQLNDCELDTKEDKKSPEAVVAKGRRKVATTSTTVKKEIAVQKTYGTRRSTRQTEKKSGEPRVRKQSEKAIEIITLSDDDDSSSDEVSTVEVPESSLNAVIPNAEVEDICNALEEHDVDISEEKEDSGEVDNLKPESEEIPNSNEEGNLEEELIDGKPEKDDISSPIENGDLEEEKLESEVMVLEEEQDSVVDDSTNSTGVDVIEEEEEQEEQEEEAISDNIEDLNGAIELKLEAFSEINNGPSIMDSDMILDTSENPNENESNADEEISNDIKLSENTDDETSDAIAAIESISPEKIDLIVHEVDAKVEEKEEISEFDNQKSELSETLDVIPTIDSLSPVTEQVADHQPEISTEVAVEHSGEISDVFVCSSSIKENDVLKTPATSSRRNVNSQMKGQKLATPSTTVKKEATIQKTPATSSHRNVNSQMKGQTMELDTPATVAASSRRKVATLSTTVKKETTMQKTPSTSSRRNVNSQMKVGQTMESRTSVTVVASSQRMVATPLTTVKKEGTMQKEVCSRKSTRLTEKKCGDSLVKKVIEKTEKIDSLLDEVNAIFQEVFSVEVPENAIDSDAIMDSNETSKPCENVEFEEEKLELIPEEHSESFVEDNADVESDENSKACENVYFEEEKDDLIPEEHSESFVEDNADVEFVEKSKPCETVDFEGCSSIEEKNQLSPTPTTLFDLISHPTPAHPTTRRKTPATSSRRKEGEKMEWCTPGIATVRSSRRKVATTPSTTMKKEGTLQKEFSSRRSTRLTEKKSEKSTAKCQSENVMNFEEVFPEEVSENAMDAGNSDAKVEDVCNSLEELEMIPCENVHFEEEKSELLPEEHSESFIEDNAYVEHSENNVNGSSSMVNEKVDSDSCEENRISQISVPTPMKKIGGFVSDDKENKMKKEKDENVVMKENLQDASLRQLRKKLKALTLKTMNADNKEARPALQTLCENQLVGGETKN >cds-PLY92840.1 pep primary_assembly:Lsat_Salinas_v7:5:329594158:329594430:-1 gene:gene-LSAT_5X183060 transcript:rna-gnl|WGS:NBSK|LSAT_5X183060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSANKESGGAHDNQNTQGGSFHFIFWTPVNDRQPQTPHTRSKRNCPTWSECSVGSANIIVDLEEQLRRRDEEMEAMDAQIAQLQQAM >cds-PLY83017.1 pep primary_assembly:Lsat_Salinas_v7:5:51810679:51813789:-1 gene:gene-LSAT_5X25121 transcript:rna-gnl|WGS:NBSK|LSAT_5X25121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANRTSASSKSTTTQIDLNTTTTTTKTKTKRKSKPKPKPKSIQDESTRPQTPKKNQLNPSSSSSTPKRTKSPGVRVVGNRIYDSKNGKTCHQCRQKTMDFSVTCTNQGDNKKQCPLNVCQACLLNRYGENAEEAAASADWKCPRCRGICNCSFCMKKSGRIPTGTLAHTAKKNGYSSVSNLLNIKGSTLVKRADGGSRKRQKEPKDELETKVMKEEVDLESEDEKDAIEDMNEGHKVEIQLPQGTELTNLAGIDMPSGDIGHALQLLEFCETFGEVFEVKKGQPEIILRELTCADAHKTHEALIVQFHIRLLSLIEEDSGIKYSGKSWEEDFKECITESQILSQKSLVECFNDYNELNFSKKLRLLNFLCDEALCTAKMRLWIEEQNVVEKKKAKEKLSANREKEKNMKKKIQDEVAKTILSSNGVPLSISEQKDLLLKIKAETAQTLANSLDLREVPRESYIVRLEPELLDRNGCKLWRLKGYSDKIGILLQDSCCGDAIISDEKWFFYNDQDKALVDKYISPFR >cds-PLY97989.1 pep primary_assembly:Lsat_Salinas_v7:8:286331633:286334715:-1 gene:gene-LSAT_8X161520 transcript:rna-gnl|WGS:NBSK|LSAT_8X161520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSVDDVSKNCELMQAGRVAELPMQPQMDEGPKLTALDAKRYIKVVESRLTREKYVEFHGILQEFREHRFELDIGIERITLTIKQEEELMSRIYDKSMKTNSLFPVGDYFPTLLAKINKISEAKLKEDNIHQLSHLDFKYSDMHIHGDFFELIKFMVLEFFAPNKLKML >cds-PLY89211.1 pep primary_assembly:Lsat_Salinas_v7:8:268564180:268566582:1 gene:gene-LSAT_8X155401 transcript:rna-gnl|WGS:NBSK|LSAT_8X155401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKLRAKVADFGFARISVEDPGATHISTQVKGTARYLDPEYLSTYQLTDRSDVYSFGVLLVEMVTGRLPIEINKAPNEKLTTKWALQRQKGGEVVLAMDPKLRRNQAALMVVEKDFRVVLDKELGLILKLRDMMKGFRASSDTLMKSY >cds-PLY84312.1 pep primary_assembly:Lsat_Salinas_v7:5:188172406:188173458:-1 gene:gene-LSAT_5X83821 transcript:rna-gnl|WGS:NBSK|LSAT_5X83821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPERNPISGNPIINGVTTHKQYYSIGFVYHYTKVAEVELKVLKGSEIASKRIKFELSRLGGAHRSMMQQLSYELEQDEAQALWHHVGSSASLVGPFSPSQHDAFLGPPHHHVGSALPGIPLDKSERSFMSLVLQNGSEMGTFGKGQMMFSNGSYQGNED >cds-PLY67000.1 pep primary_assembly:Lsat_Salinas_v7:6:140616037:140620257:1 gene:gene-LSAT_6X85840 transcript:rna-gnl|WGS:NBSK|LSAT_6X85840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNEANAIEDINRARLQNKEREFSLNPTSSNVVNPIKDISFQENNPDTFTNIIDGKNNDNSRGIVEELVVRNFNHGNLEIVGASKPDVWEDSDSIFFPGFLENKQENQNQNQNQNETNDNSPHDENQPTSSIRTKILSKSGFSEYFVKSTLKGKGVIFRGPPRDGQTDPRAVIPEPNPPKDETVNLREWLKMGKNKVDKSKSLYIFKQILDLVDSSHSQGEALQALRPSFFKLLPSNQVLYMKELTETKGDDVLLSNQFLKRRKHGENFNSFGMWQQFPNRSGSNHGQTQTQNQTQPGLTSFGGDLLEEQWYAGPEDVKERPCMMSSNVYSLGVLLFEILGSFITSKEHAVAMMNLRQRILPPRFLSENPKEAGFCLWLLHPEASARPTTRDILKSKLINEIEETSTDDLLSSIAQEDTESELLLHFLTSLKDQKQKQATNLIKDINYLKSDIKEIESRRQSIQENNKPPIIESRLKANLIHLESAYFSIRSSIKNDPTDTIDQENNKPLDRLGIFFNGLCKYARYSRFEVRGNLRGGDFSNSANVICSLGFDRDEDYFATAGVSKKIKVYDFHLLLDDSIDIHYPAIEMVNKSKVSCISWNSYIKNYLASTDYDGSVKIWDAGTGQTVSHHIEHEKRAWSVDFSRVDPTKLASGSDDCYVKLWSINEKKSVSTIRNIANVCCVQFSPFSSHLVCFGSADYRTYCYDLRNITTPLCILGGHDRAVSYVKFLDGETVISASTDNTLKLWDLKKTKFGCLSSDACIMTFKGHTNEKNFVGLSVADGYIACGSETNEVFAYYRSLPMPITAHKFGSIDPVSGKETDHANNQFVSSVCWRQKSDMVIAANSSGCLKLLQMV >cds-PLY80362.1 pep primary_assembly:Lsat_Salinas_v7:7:154775746:154779447:-1 gene:gene-LSAT_7X91761 transcript:rna-gnl|WGS:NBSK|LSAT_7X91761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADQTIEKRSSEVDDHEREPSKKKDVTEDPETFSCMLQPCPADSDPKYVGIRRLLLFRKAQSGVLRRKDWRCNAKGYVAYRNFINRPDNWLNSQIPSRTSTPGTSGRWVPSPTPSQLSMALEVENWSTGSWTGMKDLRDSQTPSHNTVSSFGVSSTEFEHPRRKTEHAYSFVGMHCIFDECKSMVTVIKFGHMSSDVLAYGATDGTLTVCSVSDPPSIMNKLTGHSKDVTDFDFSLNNQYIASSSLDKTVRVWDIPNGLCIRVIYGVTSQLCIRFHPVNNNFLSVGNAQKEVSIFNFSTGRVISKTVVDSEVTTLDYDHTGQFIFCGDAQGCIYTVKVNSHQGTLSRSHRTKTSSKEKSEFTTIQYRTFSLMTQGPVLLALTRDGNLSFFSVSLELQGYLTLQCSLKLAPRLHSIRASFCPLLSLEKGEYIVIGSEDAAVYFYDLTRPKNTCVNKLQGHGYPVIAVAWNHGENLLASSDFGGTVIVWKREKSKQKEVT >cds-PLY82733.1 pep primary_assembly:Lsat_Salinas_v7:2:147220927:147224869:-1 gene:gene-LSAT_2X74261 transcript:rna-gnl|WGS:NBSK|LSAT_2X74261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVRRRPVKRHLQRSLKQQDSGEFLKHNDQAKASDVLPLPLYLTNGLFFTMFFSVMYFLLQRWREKIRTSTPLHVVTFSEMAALVSLLASFIYLLGFFGFDFIKSILRPSPASWIIEDDNMEEDKPVKPCGQALIPHISPTNPTTETEVERKKPPPTFEYNSEENEEIIKKVVAGSIPSYSLESNLGDCKRAAVVRREALERITGKSLAGMPLEGFDYESILGQCCEMPVGYIQIPVGIAGPLLLNGAEFSVPMATTEGCLVASTNRGCKAIYVSGGATCMLLRDGMTRAPVVRFGSAKRAAELKLFLEDPENFDTLAVVFNKSSRFGKLQSIKCAIAGKNLYIRFCCITGDAMGMNMVSKGVQNVLDYLQTDYPDMDVMGISGNYCSDKKPAAVNWIEGRGKSVVCEAVINEEVVKKVLKTTVASLVELNMVKNLTGSAMAGALGGFNAHASNIVSAIYIATGQDPAQNVESSHCITMMEAINDGKDLHVSVTMPSIEVGTVGGGTQLASQAACLNLLGVKGASKEEPGSNARVLATIVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSTRDMTKLAT >cds-PLY69872.1 pep primary_assembly:Lsat_Salinas_v7:6:1852226:1863272:-1 gene:gene-LSAT_6X720 transcript:rna-gnl|WGS:NBSK|LSAT_6X720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGHEGVLGNLESQENFPFSVQGIVLDIVINPHAFPSGQTPGQMLESALGKGITLGGSQRYATPFSSLSVDAITDQVHRAEFSRWGNERLYDKRTGEMTSKDILRKDGWQNRHTWDTSMDMDGNITDLEKPLKAPPTKRGFGFSLHLVSDNSLHLKFHLGGTPRKVLYHSKSKLLVVLRTDLSDHSCSSDICCVDPLSGFISSSFKLEPGQTGKCMELLKAGNEQVLVVGTSLSTGPTIMPTGEAKSLLVGIKMSTQILTVLSYLASLFPENINLFWQDEVPSYVVNNPEKTGRSMQEEVDTSGYDVVKENAFEEDEGETNTYYLPGGFEGHKYSKAAKKRRKNFKIYGGRSYEMGGDVSFMLELTNKKSVYCFQTEDYKSIPC >cds-PLY87381.1 pep primary_assembly:Lsat_Salinas_v7:4:12387604:12388020:-1 gene:gene-LSAT_4X8300 transcript:rna-gnl|WGS:NBSK|LSAT_4X8300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLIRSFQKLSSFLLTGNDVGSTSSISPPVIKPRTTTLKTPNSHHFDGLEGGGSSSFIKIQGNDHRDIRISDDDASCYSGMLTSELKTSVTNLEASDYIRRFHERNKHESVSLVLPPPPPPPHTHHVFDKVDFSGRDY >cds-PLY62531.1 pep primary_assembly:Lsat_Salinas_v7:5:295306410:295307953:1 gene:gene-LSAT_5X156800 transcript:rna-gnl|WGS:NBSK|LSAT_5X156800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIFKTVKAYICSSPLRRAALGAVAKTLTITELGYLRDQFMSLGPNKNGFISMQNFKSALMKNCTDAVKDSRVLDFVHMVSSLQYKKFDFEEFGAAAISIHQLEAMENWEQLARRAYDLFEKDGNRPIMIEELASELGLSPSIPVHVVLQDWIRHADGKLSFLGFIRLLHGASSRAFQKA >cds-PLY88512.1 pep primary_assembly:Lsat_Salinas_v7:2:154744252:154745837:-1 gene:gene-LSAT_2X79760 transcript:rna-gnl|WGS:NBSK|LSAT_2X79760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:BES1/BZR1 homolog 2 [Source:Projected from Arabidopsis thaliana (AT4G36780) TAIR;Acc:AT4G36780] MTGGGSSGRLPSWKERENNKRRERRRRAIAAKIYAGLRSQGNYRLPKHCDNNEVLKALCAEAGWVVEEDGTTYPKGCKPSPNEMAVMSTNISTCSSIQPSPMSSSFPSPAPSYHASPTSSSFPSPSRHENPPPPHHSSYILPYLCNLSSLPPLRISNSAPVTPPLSSPTSRGTKRKPDWEMLSTTALQSFRHPLFAASAPTSPTRRHRVPPATIPECDESDASTVDSGRWVSFQTMAASVAPPSPTFNLVKTSSQQPFFQNGMVVQNGNGCEFEFESSTLKAWEGERIHEVGSDDLELTLGSGKGVI >cds-PLY91525.1 pep primary_assembly:Lsat_Salinas_v7:7:143235345:143237090:-1 gene:gene-LSAT_7X86140 transcript:rna-gnl|WGS:NBSK|LSAT_7X86140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFSFSLFLLSVSLSLIPILCTNSEGNALHALRSKLSDPKNVLQSWDPTLVNPCTWFHVTCDANSHVIRLDLGNSNISGSLGSELGELKHLQYLELYWNNIGGKIPKELGNLKNLVSMDLYGNNFEGEIPKSLSKLKSLRFLRLNNNKLSGPIPRELTTLSNLKIFDVSNNNLCGTIPIDGPFTSFPMESFENNKLNGPELQGLVSYDFGC >cds-PLY63444.1 pep primary_assembly:Lsat_Salinas_v7:7:148912408:148913745:-1 gene:gene-LSAT_7X88161 transcript:rna-gnl|WGS:NBSK|LSAT_7X88161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKEMAGKTFFSKKNNVRNKLAILLHSSEPNVFITTHQQPENSENNFNHRSSNASLPSPVMSSCSSPASFMSPINHMPSPYSESPWTLPHDSNGEDGITYNTGLIGSLIREEGHIYSLASSGDMLYTGSDSKNIRVWKNLMEFSGFKSSSGLVKAIVVFGNRIFTGHEDGKIRVWKYSDKKKKAYKRIGNLPSTKDYIKSSMNPNNYIEARRHHNLPWIKHYDVVSCMCLDEETGLLYSGSWDRTIKVWRLSDSKCLESINAHDDAINSVVAGFDGLVITGSADGTVKVWRREFIGKTKKHLFAYTLLDQDSAVTSVVVNASQATVYAGSSDGLVNFWVRKKQSLSHGGVLRGHKLAVLCLATAGSLLLSGSADNSICVWRSEGSGVHTCLSVLNSHTGPVKCLAVQDRNEDYDDDDDRKDEEWIVYSGSLDNSLKLWRVSEILA >cds-PLY66147.1 pep primary_assembly:Lsat_Salinas_v7:7:31588190:31590973:-1 gene:gene-LSAT_7X23020 transcript:rna-gnl|WGS:NBSK|LSAT_7X23020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKKRKMEENGQYTTDVTNDVATPPSFSSITLTPQDARKILESFTKEQLLDVLQTAVVRDVTVLEAVRSVADSDPTKRKLFIRGIGWETTTDKLRSTFSDFGELEEAIVITDKNTGKSKGYGFVTFKHIDGAVLALKTPSKKIDGRITVAQLAASKDSANVDVSTRKVYVGNVPFVISSERLLSHFSSYGEIEEGPLGFDKQSGKQKGFAFFVYKTEEGARNSLMDSIKNIDGHQVMCKMATDGKKGKVGGPQGSNGRPVDSVRSMPGSMNSGYGMPGGITSYGGYSSGPPLLHQNPQLNSSMPSSVSSGPGYGSQGPPSYGGGGGYAGGGSHYGGDTALDHPGLNNYPSSMNRFPPSQGGYSDGGSYGSSAYSTQPYLPPSGPRVPHGGTYQSGPPYY >cds-PLY72750.1 pep primary_assembly:Lsat_Salinas_v7:4:372791452:372792200:-1 gene:gene-LSAT_4X183360 transcript:rna-gnl|WGS:NBSK|LSAT_4X183360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATHERQPHQIQVHTTTHHRPVYGGDSSLRHQQGPSKGKILAVMALLPVGGLLLGLAGLTFVGTLIGLALATPVFIIFSPVIVPAALIIGLAVTGILTSGTFGLTGLTSLSFLVNRLRQTMGSVPEQIDYAKQRIEDLAVYAGQKTEEVGQTIQSKAHEIGPDDQTQAQSKSGRKGGKS >cds-PLY97672.1 pep primary_assembly:Lsat_Salinas_v7:8:6945281:6948776:1 gene:gene-LSAT_8X4961 transcript:rna-gnl|WGS:NBSK|LSAT_8X4961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAIRAKTIAEKKLSDKDFPGAKKFILKAQNLYPSLDGISQMLTTLDVYIASENKISGEVDWYGILGANPNDDEETIRKHYRKLALLLHPDKNKSVGADGAFKLLSEAWSLLSDKTKRSSYNQRRSMRAFQQKVSVPVPPPSAPGANGAHTKQPPSKPNNRTTTTATRPPAPPPPSRPPPPPPPLRTDTFWTICHRCKMHYEYLKLYLNHTLLCPNCHEPFLAKEMPPPVNLPKPSSSSAHHHQNPTNHVKTTKVQGGPFTKPNVPADPSVATKAASVIQQVVADRLKREREEFYANWPSKKRKADDDSQGSGVKIPFQMSTTGVKMTGFETANLNTRLNRTRELTPLESRNMLMKKAQTEIKKKLIQWESEEKSKENLNKNNNNPSSQNQNQNSKQVSEQENGEEEEEEEEEEEEEELAMNVPDPDFHDFDLERTENSFEDNQVWAAYDDDDGMPRFYALIHKVLSRKPLKMKISWLNSKTTAEFGTLDWLGSGFRKVCGEFRIGRHELYKTLNSFSQKVEWTKSQRGSVLILPRKNQVWALYRNWSPDWDENVPDDVIHKYDMVEVLEDYNEEKGVPVSRLLKHAGFRTVFHPVTDESEVTVIPKEEMFRFSHQVPKYVLNGTEGQNCPKGCLELDPAATPSDLIQDTIEKDGVKGKIGNGEEKKI >cds-PLY88786.1 pep primary_assembly:Lsat_Salinas_v7:4:93651023:93655103:-1 gene:gene-LSAT_4X61561 transcript:rna-gnl|WGS:NBSK|LSAT_4X61561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLADIGLSAAINIITAFIFLIAFAILRLQPFNDRVYFPKWYLKGLRSSPATSGAFVSKFINLDYRSYMKFLNWMPDALKMPEPELIDHAGLDSAVYLRIYLLGLKIFIPILVLTWAILVPVNWTNDTLDKLKGEATYSEIDKLSISNIPQGSHRFWTHVIMAYAVTFWTCFALKKEYETVANMRLHFLQSEKRRPDQFTVLVKNVPPDADESVSEAVEHFFLVNHPDNYLTHQVVLNANKLAKLVEEKKSKQNWLDYYQNKFERNQAKRPIMKTGFLGLWGEKVDAIQHHISEIERLSNEIAEEKEDVVNNPKAIMPAAFVSFKTRWGAAVCAQTQQARNPTLWLTEWAPEPRDVYWKNLAIPYVSLTIRKLLMAVAFFFLTFFFIIPIAFVQSLANIEGIEKAAPFLKPLIEVKTIKSFIQGFLPGIALKIFLILLPTILMIMSKFEGFLSISLLERRSASRYYLFNFVNVFLGSVIAGTVLEQLNTFLDQSVNKIPETIGVAIPMKATFFITYIMVDGWSGTAGEILRLKPLIIYHLKNFFLVKTEKDREEAMDPGSIGFNTGEPQIQLYFLIGLIYAVVTPLLTPFILVFFALAYVVYRHQIINVYNQEYESSAAFWPDVHGRVVSALVISQLLLMGLLSTKEAASSTPFLLALPILTIGFHMYCKGRFEPAFVRYPLQEAMMKDTLERAREPNLNLKGYLQNAYVPPIFKEADSDSDSNSDSDDETNDQKWQKDNVLVPTKRQSRKNTPVPSKRSAGSSPNLPEIREKDKP >cds-PLY91593.1 pep primary_assembly:Lsat_Salinas_v7:7:11862026:11863316:1 gene:gene-LSAT_7X10301 transcript:rna-gnl|WGS:NBSK|LSAT_7X10301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGQDFSGVDELLDFSNDHGADLFCTASATDTLHHHHNVTSSSSSTFTSTATGCHFQYGVNNINEDNNNNGSRDIATTYHHHSTDFTDDLCVPSDDVAELEWLSNFVHDSFSDFPANNLAGTINYRPENASFHNRSRSKRTRAPTNNNNWTSTPPLPTTSISGKTYSSPTSSSDTTSEPGLVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTQHSNSHRKVMELRRQKEMIVAVGQPQQSPSSEYQLYGGGHHHHQVRHHHHHNRNNYEVC >cds-PLY90521.1 pep primary_assembly:Lsat_Salinas_v7:2:41525279:41526725:1 gene:gene-LSAT_2X18820 transcript:rna-gnl|WGS:NBSK|LSAT_2X18820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQRELFHASGPLHLLNSFDWRNTFHRRSLVASLVQGVKILEKDRQRRSIQQDAHAHAPPWWEGFHFQLNHVLVDDKNSYFGAIFELKYANPLFYQSTPTPPKYVIAFRGTITRSITRSDDMKLNIKLIFNKLEKTSRFQKAFEAVWNTVMMVGPTNVWLAGHSLGASMAMLAGRNMMKARCNLETYLFNPPFLSLPIEKMIKNETLEFGVRIAGSLLKAGIAKAINIYRKDPEEDLFAALSEWMPYMFVNPSDPICAEYIGYFEHRVKMEGIGARSIERTATRHTMRSLVSGAVGRDSEPLHLLPTAYMTVNNRPSENFKQAHGIHQWWQQHFQWQSMLYKFN >cds-PLY76342.1 pep primary_assembly:Lsat_Salinas_v7:5:224828595:224829686:1 gene:gene-LSAT_5X109320 transcript:rna-gnl|WGS:NBSK|LSAT_5X109320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKKARVLFHFQMMLLMMLIVVQNGECIWLNLPKSGRKCVTEEIHTNVVVLADYVVISNVHIHPTPSITTKVTSPYGNILHYKENATNGQFAFTTNEAGQYLVCFWADDPNQGGALSVNIDWKTGIAAKDWDSVARREKIEGVELELRKLEGAVEAIHDNLLYIKSREAQMREVSETTHSRVAMYSILSLGICIAASFAQLWYLTHFFQKKKLI >cds-PLY99526.1 pep primary_assembly:Lsat_Salinas_v7:1:114159178:114159490:1 gene:gene-LSAT_1X88440 transcript:rna-gnl|WGS:NBSK|LSAT_1X88440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEIFKGTKDFKKEVFDKVQLELSQFGFLIYNANVKQMVDEPRQEYFSYLGQKIQQEAANQAKIDVSEVKMKGEIGSKLR >cds-PLY81860.1 pep primary_assembly:Lsat_Salinas_v7:9:181160183:181161132:1 gene:gene-LSAT_9X111260 transcript:rna-gnl|WGS:NBSK|LSAT_9X111260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPTTKHVDRIKGPWSSEEDELLCKLVNQQGARSWTSISKSIPGRSGKSCRLRWCNQLSPEVEHRPFTVEDDEVIMKAHGQFGNKWATIARLLNGRTDNAVKNHWNSTLKRKYAAADGGDGGERNPRMMKMTERDVNAKMVAVAVTITNSGSLSGSDVCDSDNNIPAPYAGETCITSPGFRQKVEMDPPTALTLALPGTGSYSYEICDEVKKKINATSFSNEILSVMQEMVRNEVRKYMECEEGLMQV >cds-PLY85096.1 pep primary_assembly:Lsat_Salinas_v7:7:7385423:7388894:1 gene:gene-LSAT_7X6921 transcript:rna-gnl|WGS:NBSK|LSAT_7X6921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:MEE50 [Source:Projected from Arabidopsis thaliana (AT4G00231) UniProtKB/TrEMBL;Acc:A0A178V4E4] MDSAISLEESIPESILQPFFSATNSSTLNKALENLIELARTKEGRSDLASKNMLNPTLQLCKLLSYPSSGNTLLLALKLIRNLCAGELRNQNSFIEQNGVDIVSNIISCKEIVNDSNHGLIRMGLQVVANVSLAGEEHQLVIWNHIYTLKFLEIAKIRRKETCDPLCMIIYACVEENHELLDKLCSDRVLPLLVEIIRTASEVGFGEDWVKLILSRTCIEESYFTPIFSNLQYPHPSIETTNTFTQEQSFLLSILSEMINEQLEHITLSKLFALDIFKILKTSLGVVDYASRPKSGLPTGSNDMDALGYSLCILRDICAFDPRNKEGHVDMLLSLGFIETFLDLLRELEPPSIIRKTMKDDENEETKTIKHSGKVCPYKGFRSDIVAVIGNCAYGRKRVQDEVREKNGILLMLQHCVTDDENPFLREWGIWGVRNLLEGNVENQRVVSELEIQGSVNLPELSNLGLRVDVDQLTRRAKLVNMA >cds-PLY92209.1 pep primary_assembly:Lsat_Salinas_v7:6:76216737:76219024:1 gene:gene-LSAT_6X53540 transcript:rna-gnl|WGS:NBSK|LSAT_6X53540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIKVSTRLLMLSTFFILTSIPITSFACPLHQKQALLHFKSTLTTILNSNSSSPIDSWNPNSDCCTWDRVKCSTTANVTELHLSTIVPLSVNRVFSEILAPIFQIQSLELLDISMNLLQGEIPDRFGNLTRLIHLDMTQNSFTGPIPASMQNLSSLETLQLKNNKLAGEIPTWLFNITTLKNLFIGGRGSTLILNNRAKIVPNCSLQYISMPYCGISGEIPEWISSQKELAFLDLSGNQLEGKFPDWLAELDVGIIILSDNNLTGSIPPRLFESINLSVLALSRNKFSKELPENIGNARGLVILMLSGNNFSGQVPKSISKIFGLNILDLSNNRFSGDNFPVFGESPFHMYFDFSYNDFSGKVPLTLSSFLRVLNLGGNKFSGDLPSNLTNMDRLEILDLHNNDITGNFQDVLPQIPNLQVLSLRNNSLEGFIPRTISNLTSLRILDLSGNNLTGNIPPEISSLEKMIETPPMETLFDAVLIYGLRSDDLRNANEFQDLIFNWKNSFRGLSRRNLNIYSLLDLSDNRISGEIPASLGNLKGLKLLNISHNSISGKIPTSFGNLEGIETLDLSHNEISGLIPQSLAKLDELAILDVSNNMLSGKIPLGGQMNTMNDLRYFANNSGLCGMQIMIKCPEDIIPTDGSEEEEEKQSWILWEGAWVGFPIGFFITILIMGYYLNFLLLNFGEMFYDSQFRHLPIGEF >cds-PLY74579.1 pep primary_assembly:Lsat_Salinas_v7:7:37111046:37111276:-1 gene:gene-LSAT_7X27581 transcript:rna-gnl|WGS:NBSK|LSAT_7X27581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNLITRNPLPTLSQSTSRALQPAILAISAGLQGLKGAAGRTLVEREKKRKTKGNDSSFYFILHRFIESQFLSTIK >cds-PLY62807.1 pep primary_assembly:Lsat_Salinas_v7:4:31412722:31413249:1 gene:gene-LSAT_4X20980 transcript:rna-gnl|WGS:NBSK|LSAT_4X20980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGFERKKRKSTPTVERNKKVEIVKNHITQELEEFITNELEGTEAKVVIQKTLFSSDLRKNQNRLSMPMKQLKPDEFLRKNEKEDFENGMELEVGLLGPRLEMHANPMMLKMWHMKKTKNYVLKTNWNEFVMANEKDFKNKENTEIQVWSFRRKEKLCFAITFLEKDVEGQNDAT >cds-PLY68673.1 pep primary_assembly:Lsat_Salinas_v7:7:84254899:84256504:1 gene:gene-LSAT_7X59201 transcript:rna-gnl|WGS:NBSK|LSAT_7X59201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAARVGEGQRRGGGRPLPPSARGPPGPPPVHGKPGPRFEPVDREKTCPLLLRVFTKIGGHHNQTDFAVRGKEPKDEVQIYTWMDATLRELTDLVKEVAPEARRRDAMLSFAFVYPTKTGHFTIKEVGKTLSYPNARRPDDGSKALGSLSFEIGDYLDVAIL >cds-PLY62109.1 pep primary_assembly:Lsat_Salinas_v7:8:35218071:35219331:1 gene:gene-LSAT_8X28421 transcript:rna-gnl|WGS:NBSK|LSAT_8X28421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVVYHTAPTTYVETTTVVEVGHDTVEQSDRGMFYIFGKKEDETKFDDEQQALKVKSEKLKQDLLDFIHHTNSSSSNVIQHKTSVPLMQKYEEEIHVVQSTEKKGFIEKIKSDHQDKTSVPIQKGEETHVVQSKEKKGIIEKIKDKLHDSPIEKIKEKLPGHHKKAEEVHAAHPPTPAVVAHAHEGEHKEKKGIFEKIKDKIPGHHPKSEEQKKGK >cds-PLY89639.1 pep primary_assembly:Lsat_Salinas_v7:8:221897851:221900762:-1 gene:gene-LSAT_8X136560 transcript:rna-gnl|WGS:NBSK|LSAT_8X136560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVERENEKDDFGSVKDDAINASIYEVNDGEYNDVVCANHEVDVSDKSRSKNNCGDIKDDAIDESMSETIYSNIEVDVADNSMTDSNNVEDN >cds-PLY89735.1 pep primary_assembly:Lsat_Salinas_v7:7:42663784:42666069:1 gene:gene-LSAT_7X30821 transcript:rna-gnl|WGS:NBSK|LSAT_7X30821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVVSLLLWLHEARASLAKPGCQETCGNVTVPYPYGIGIGCYMHKSFEVSCNKSSPDVAHLWFVNHNKFPILEISMDSVRVTGNSRFNCNNSGNISTHVVQLDLHFSYSHKKNVYIAAGCNISAHFLSIGYGYFKEVGCVSNCITATATRSFTCNGSNGCCQSNIPIETSLFQAVILNHASEAPCNNVLVAEKEYVIVPNLYKLEDEYTYRFPVILNWVISLTSCHQARLRGDDVCGQNSRCVDSTHSLGHNCRCMKGYTGNPYLPTGCQGIIATVIGIIAIGAIGHWTYKELRRRKENKIKQEFFKRNGGYLLTQHISANKSHAIKLKIYSAKVIEKATIGFSQSRLLGKGGQGTVYKGFLTDGTIVAIKRSNIVDEDQVERFVNEVFILAQINHRNIVRLLGCCLEYEVPLLVYEYLSNGTLSQHLHDGAEVSKFSWKDRMRVARDVAGALFYLHSYASPAIFHRDVKPHNILLDQNYKAVVSDFGLSRSIPLSRTHLTTKIEGTFGYLDPEYFRSGQLTEKSDVYAFGVVLTELLTRRKVVSSINCGEGLVSRFQFLVKHNRVFEILDKQVLDEALMDDILLVTKLAKTCMKKNVKERPTMREVVIDLDKLKVVQLELPRKE >cds-PLY84785.1 pep primary_assembly:Lsat_Salinas_v7:8:24616356:24617364:1 gene:gene-LSAT_8X20401 transcript:rna-gnl|WGS:NBSK|LSAT_8X20401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPPLPTTTPELNMDLPTSHPLFTRIRLATRLDVPVIHKMIYQMAVFERLTDLCSATEPSLSTTLFSKDNPFESFTVFLIEASIKPFPSSSIAHHPKFTPTHKTLNLDYPISDPESEVFKSSTMEEGVIVAGFVLFFPNYSSFLAKPGFYIEDLFVRESYRRKGLGKMLLSAVARQAVAMGYGRVEWVVLDWNMNAIKFYEEMGATVMQEWRVCRLTGDALQGYATTSGTKNDIDLI >cds-PLY96439.1 pep primary_assembly:Lsat_Salinas_v7:4:344235895:344239826:-1 gene:gene-LSAT_4X169901 transcript:rna-gnl|WGS:NBSK|LSAT_4X169901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCIGSKNVVRSFSSPLHDSHSSTHFLGSSSSKNHDHDHNRGHPIEPSTKINKGTRRRYDDKISKNKDNHVVINDPLSETSNSDSINFNFGRLTEAEHIAGAAGWPSWLSAVAGEAIHGWLPLKSDSFQRFEKIGQGTYSCVYRARHIESGKMVALKKVRFDILLPESIKFMAREITILRKLDHPNIIKLQGIITSRLSRNIYFVFEYMEHDLSGLLSCPDIKFSDSQIKCYMRQLLNGIEHCHSRGVLHRDIKTANILVNNEGILKIADFGLANFYCQRSTQSMTSHVVTLWYRPPELLLGCTKYGTCVDLWSIGCVFAELFLRKPILKARTEVEYKIYNLILSDKRIAVFILLTSVFVEQLHKIFKLCGTPPDGYWNKSKLPFATMFKPHRNYESTLRERCKEVPKTAIDLIETLLSVEPHKRGTAKSALESEYFKTKPFACDLTSLPKYPPNKEIDAKVRKEACRKKPGGMLRASGAASRNPRMVRTSSQEQTSFRKVGPTKVNPDRNNGINGGFRDTVSEYSQNTERSGESDIIPTQPTPSNGGFVWATRRQKQNQKQKHPATGGTTQRGGAMAVCVIYSSSADGECRSSRGFRNRRVCSGSFDISHNLPNASVPTNESDND >cds-PLY77122.1 pep primary_assembly:Lsat_Salinas_v7:7:183866270:183868956:-1 gene:gene-LSAT_7X108620 transcript:rna-gnl|WGS:NBSK|LSAT_7X108620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNPVNQEVRPEASLMIPEKRKRGRPRKDPSERRAAKARSQAARMQPGFAQHHTTTPRLDPIHDPTQVMIGQTVTGVVEATFEAGYLLAVRIGNSNITLRGAVFKPGHTAPITPDNDVAPHVEMIKRTQVPFPEMQPPIRRRKRRSKEKNMQLVTYVPNGSPDQTLPNSPSKNYVFTPGRGNVTPVVLQPNGPLPNQPPPHLRATQGKPVHSVLPLAVYPPNGSTSQASESQTSSHFTGSGNENVSVKQGVTDGQQVEGSSKSTVRQPDRVVKMETDIGDMNEPLFVEPLQTRHSVHHFQPAPVMGPGPGPGPGPVMHTGTTGRMTELLQAVQQNMGDDQAPRNGHPSASFMVNRNSNRDEETN >cds-PLY75149.1 pep primary_assembly:Lsat_Salinas_v7:4:61577453:61577671:-1 gene:gene-LSAT_4X41741 transcript:rna-gnl|WGS:NBSK|LSAT_4X41741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQRDLNPLAPEIKVLKKETSLIFTLILILVLYSTGGLKEYATVSLRSSSSLKIELLSLKHSCCSSFYRSVF >cds-PLY77197.1 pep primary_assembly:Lsat_Salinas_v7:8:26274182:26275260:1 gene:gene-LSAT_8X19200 transcript:rna-gnl|WGS:NBSK|LSAT_8X19200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEDLLDLSKMFNAVKSLVRVPSVDPKYKIALLASKQDHCLVDLLHAWQDGKLPVQISSVISNHDRVGNTHVMRFLERHEIPYHYLSKSKEKNVEDEILGLVEDTDFLVLARYMQVLSGNFLKRYGKDVINIHHGLLPSFKGGNPSRQAAGVKLIGATTHFVTEELDGGPIIEQMVERVSHKDNLLSFIQKSENLEKQCLMKAIKSYCELRVLPYQHNRTVVF >cds-PLY84866.1 pep primary_assembly:Lsat_Salinas_v7:4:293629819:293631956:-1 gene:gene-LSAT_4X151381 transcript:rna-gnl|WGS:NBSK|LSAT_4X151381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSVSSRKVLSKIATNRLQKELTEWQINPPSGFTHKVTDNLQRWVIEVYGAQGTIYANEKYQLQVDFPENYPMEAPQVIFLHPAPLHPHIYSNGHICLDILYDSWSPAMTVGSICISILSMLSSSPAKERPEDNDRYVKNCRNGRSPKETRWWFHDDKV >cds-PLY64839.1 pep primary_assembly:Lsat_Salinas_v7:2:30796566:30799677:1 gene:gene-LSAT_2X15080 transcript:rna-gnl|WGS:NBSK|LSAT_2X15080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVVLLQELNPRLRYNGARLLSICPHAISSRDQGVLDSELHSVLQLATGSELYEVEKILFGTSYFSPLLKSIAKPSEIDYVMIEEDPEEREEFIRILESRFFFLAADARSSLRGWRPTYRNVLLGVRKELKIPCSTKISTEDLEVEIFLHLLQEYSSEGSRSLKKTSDSLQVGLSPWKVQAFAALGHGAEEIFSTILKGGGMFTVGKLYNFISSKLSGKVLVEAANYQIKKEVFKEGGRMAAINLESRAALLAAKQGLAGAASRYLGVRSIMVLLGPMLWGTLLADIVIQMLGTDYARILRAIYAFAQIRISRTYRLPSDSR >cds-PLY71204.1 pep primary_assembly:Lsat_Salinas_v7:2:132885444:132887030:1 gene:gene-LSAT_2X62881 transcript:rna-gnl|WGS:NBSK|LSAT_2X62881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:HISTIDINE TRIAD NUCLEOTIDE-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT1G31160) UniProtKB/TrEMBL;Acc:Q8GYJ9] MAAFTSSLLRSAVTIGALAPFNPLKQRNPFRLIHSNFNLSTRQCTRRAISSVRATHDEEASAKAAATEANTGAPTIFDKIISKEIPSSIVYEDEKVLAFRDINPQAPVHVLVIPKLRDGLTELGKAEARHEDILGHLLHASKIVAEKEGIIDGFRVVINSGATACQSVYHLHLHVLGGRQMKWPPG >cds-PLY75592.1 pep primary_assembly:Lsat_Salinas_v7:9:32114276:32114503:-1 gene:gene-LSAT_9X28921 transcript:rna-gnl|WGS:NBSK|LSAT_9X28921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKYVEILDLGVRMAARFHSHCPQTARLYYHPPSNSVDSSCRSHAPQALEESGKIRRFQASMSFNTREIIFSSAM >cds-PLY65391.1 pep primary_assembly:Lsat_Salinas_v7:1:61507525:61522975:-1 gene:gene-LSAT_1X51940 transcript:rna-gnl|WGS:NBSK|LSAT_1X51940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFYWRCFSFGEEEEIKYEPRTKTESTSTEEIEYESESQTQSSSAQTFYTASASSASSNLPGKPNSLRVFTVAQLEATTDNFSSARKIGEGRYGSIYKGVVKSLEHPFNKIQVAIKYAKRVTKEHNWLDEVNFLGVVEHPNLVKLVGYCVKDDERGIVQLLLVYNYMPNKSVRDHLSTMSESTLSWTMRLKVAQDAARGLAYLHEGMDIQILFRYFNSSKLHLDDQWNAKLSDLGVAMVGSQRGDIDDHDLIETMAYVAPEYIHTGHMSSKSDVWSYGVFLYELITGRQPFDRNQPKNEQKLLEWVLPYVQSKNLQPIIDPRLEGIYSLKSAQALVTIAELCLKKNPMSRLKMSEVLELVIGVTGVPSQLTSSAPAPSIEPVNVVVGVPLKVTNPASTSKSIVPVTRLSKLLKSWFRKVCSCVRVTISK >cds-PLY85951.1 pep primary_assembly:Lsat_Salinas_v7:2:86274078:86287973:-1 gene:gene-LSAT_2X38340 transcript:rna-gnl|WGS:NBSK|LSAT_2X38340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKFGTSSKNPGASSKSKPIYKTASCNLQAVLDASLYDPILAPLIEALKHHALFIPLAINTLNVLFSYLIKAHDIAEVDDNLDRFQLQPVNNDAVFHTKHIFLESIRLPRSATRSRCDTSSTAQVFRKILDIGHKPPLESTNNIKKSRLPITWNFGYHKVSNSLKSELSGTGTTPVVSLKDLGTVERETTLKESTSFDSSHKTDNVVNIDSSNGRVMLIDGTSIIYRSYYKLLAKLHHGHLSNADGNGDWVMTISTALSLIIDVLEFTPSHVAVVFDHDGFSFGNTPQSSRQKFMAKGQTFRHTLYPAYKSNRPPTPDTIVQGLQYLKAAIKAMSIKVIEVPGVEADDVIGTLALRSVEDGFKVRVVSPDKDFFQILSPSLRLLRIAPRGFEMVSFGMEDFAKKYGAIEPCQFVDVMSLVGDRSDNIPGVDGIGDVHAVQLISRFGTLENLLQHVDQVEEERIKKALIANKEQALLSKELDNGEKFTNLLTAIGAYAEGFSLDSVIRRAFYLWKKLDKS >cds-PLY64776.1 pep primary_assembly:Lsat_Salinas_v7:2:101099496:101099678:-1 gene:gene-LSAT_2X43961 transcript:rna-gnl|WGS:NBSK|LSAT_2X43961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEFILEVGELLKLRETLTRVYVQRTGKPLWVVSKDMEIDVLMSAPQAQAYGIIDLVAVE >cds-PLY98011.1 pep primary_assembly:Lsat_Salinas_v7:8:43287977:43299875:1 gene:gene-LSAT_8X32740 transcript:rna-gnl|WGS:NBSK|LSAT_8X32740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKGGSFGKKKGSSQSQDHDFAQLIFSWSLDDILNDNLYEHQVEKIPLTFQSEEHYFRSFVYPLLEETRTELASSVNMMDKAPRGEVSSFRMAKGKEKMVYDVTVGNWRNTERPKESYRTLPGDLLILTNGEPGSVSDLQSVGSTWAFLLVNNTEDDDSGTPVQFKVAASQKIELHDRISVIFLMNITTQKRIWNSLHKHANLDIIKEILYPDSVVNERCDMCSLGCNSSIQRFDQSLVSKLNESQKAAIMAAISKMECCHKSSVEQIWGPPGTGKTMTVSVMLSIFLQMKCRTLTCAPTNVAIVAVASRVLSLVKESSKTVTASGDSFCSIGDLLLFGNKERLKVGKDIEEIYLDHRIERLTECLGSLSGWKHCIKSMIDLLEDCVSQYHVFVENESFKEEQLTDENKSKTNNLKINSFTEFVRVRFSSFVLPLRKCIITFCTHIPRSFMKPENFQTMVSLLDNLSSLESLLSKKNLVSDELEHLFVSKPLEDDFVNSGHMSSINSVRIMSLSLLKTLQTSLGGLKLPNGSRDAITRFCYERASLIFCTTSTSYKLQKFKIDPLKLLVIDEAAQMKESEAIIPLQIPGMKHAILIGDECQLPATVKSSVSSECGLGRSLFERLSLLGHSKHLLNVQYRMHPTISSFPNRKFYQNKILDAENVTCKSYGRQYLSGPMFGSYSFINIVGGREEGDDVGSKRNMVEVSIVVKIVQKLYEEWKKSKKKVSIGVVSPYAAQVVSIEEKLRYKYEKRDGFSVNVKSIDGFQGGEEDIIILSTVRSNSHGNVGFTSSHQRTNVALTRARHCLWILGNERTLARSDSIWKDLVFDARNRRCLFDADADKCLKKIIIDAKKGLEPLNDMVKGYSLLLKPVKWKILFSDNFRKSFGKLMDSRMKNVVVDFLRKLSGGWRPNDGNTDLKVLKIYKVEGLHVVCTVDIIKEFKYIQVLRIWDILPLKEIPKLRKELDSIFATYTNDHMNRCTTKHLEGNLEIPKCWASSQNIIQMHLKFYSLSPGMVDHLRFGREIDLPVNLSDEQMDAFLCSKSSFIIGRLGTGKTTILTMKLLQNEQSFRSASEGESNQVRNGEVVDDHEESKPTLLRQLFVTVNPKSCSVVKQHVSHVTSVPCDGNSSREINLDDVDITSESDVPDTFIHVPEKSYPLVITFHKFLMMLDETLGDSFFTRFHEAREDSHGNYISSRSVALQTFIRSRNVTFDRFCSLYWPHFDSNLKKKLDPSRVFTEIVYHIKGGEIPDGKLTYEGYSLLSEDCASTLTKQKRENVNTLFQAYEKMKTERREFDLGDLANDLHRRLKTKSYEGDQMDFIYIDEVQDLSIRQISLFKYICQNVDEGFSFAGDIVQNIARGIDFRLEDIRSMLYTEFLGTRTSGKQEKVDMFEIFQLKQNSRTHAGVLKLAQSVIDILYCYFAHSIDILEPESTLFYGEAPVLLNSGNDKNAIVKILGGTRTSKGFVGFGAEQVILVRDNYVKAEICEYVGKRALVLTIEECKGLEFQDVLLYNFFGSSPLKDQWSVIYGYMKEQDLLTEGLPHSFPSFKDSRHNVLCSELKQLYVAITRTRQRLWICENNEELSKPMFDYWKGRCLVKVRKLNDSVARAMQCGSSPQEWLERGKKLFYENVFAMATLCFEKAGDSMWETMAKASEFKASADKMRETNPKAASCYLREAGEMFESIGKLELAATCYSDLGDHERAGKIYLDKCGDINAAAECFLLAGCYSDAAEAYAKGDQFSNCLSVCRKGEVFDTGFQYIEYWKEHVHVRSKEIEQIEQDFLESCALSYYERKDNNSMMKFVRAFSSMESKRVFLRWLGCLKDLLLLEEESGHFLDAAELAGSWGDVLKEADLLEKAAHFEEATLLLLWYVFSNSLWGTGNKGWPLIPFAQKKSLCKKAKLLAKMDSDSFFSFVCSEVKVLSNHQSCLSELKKHLHSSQQHKSLRGVFLSIRKILDAHLQLNILKYDWEDELPIDISKYVEDMISQNQVSVRTLVFYFNLWKDNVMDIFQSLGGLENAEPNEHEGHVDFCLNYFGVRKQYVKGNIVYLLVNKDFDWVRNVSDDKGFHRDGEILTLDGKQLGLAIRSYWQSDLFSVGVKVLETLQSLYNLTLKGSSFHQSTSLLHIFEVSKFLLDCQYLNLTIDHMKTLQVFLGSSMIYFDYVFPLDWRNSVSRSLIALRESDLSMNLLEEVILQHLNIERDLTYWTTGRVMMICLSSKKSVAVKEKIIRGLQWNPLWKSFAEKFSEDGFNEVYVALTLQNALEDTYRAYWWFPGYMSPHSFVYLVDRLLFMASFSLGVFFTTKSTFVEWYTHFHMMSTPDSKQIFSKDIAHFLVGIVQHILYEKEETISWIGRSNIVATYYHPLIALKLVMIVSLISLQLLDCSQVIIDLLLGWNNIAYLLPKKFVGDLLRRWNGCNLNLNPDMVAEAFASIEDPLLIVSSGNATPRVHAPCAIFVDLRRSRMEIMSVLFPGETTHNPQRDKATTSEALREENHVEETSDEQSNGDHSLVELEVESQSSNSNTQDSGNKTRMGNSRRKKSQKNRGSNTQNTLATVLLDEQPCARESTTPPEAKNSWDDLTTLLERNNSWGDLTSFPEAKNSWDDHTTLQEGNSSWGDFTTLSEAKNSWDDLTTLQEGNNSCCDLKQASYASNMSRPKVELPDSTSGKRTGVQTTAERLQSSRRMVDAFSGQSVIGQEWKVVKKVGSEEKCVEEADDQHSNVGQSLLKSLLEKKTSDDSTQNAEIKKGKGKNNGKWIKKNKGSNSLGTFTTGDVHINDLSPVELMLEKQTSNEKSKDVEFKEGKGKNMEKWIQKNKVSNSVNTFNGDDNSNDHPPMELMLEKQTCNMNIQDAEINKGKGKSKLKKGSNSLNTFTTVLVDGHHCAGEDTTLQEANTTFNDLKQPSSAFDTSQLEVGLLVSKSGETTVPTFVEKLQSRAVVDGVFNYVGSESSNITEALQVDETDHDELSIGDHSPLELWLESQSSNDTTLDMENKKRKGKYVIGEDTTMCEENSAYNDQEPSSPFDRRINTLDAVKCGSFLGLAVAFLGIRMWRKT >cds-PLY65703.1 pep primary_assembly:Lsat_Salinas_v7:5:275308197:275310398:-1 gene:gene-LSAT_5X146061 transcript:rna-gnl|WGS:NBSK|LSAT_5X146061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVLQLSTIQLPLIFSSTFTQLNHINSSPNLFTSIKLRSSRKPCKYLVKAGENRPPKSTDLLTQIRELEAEGEDEDHDDNDLIDIDWDKVEDEFSPKGPFKGEGEEGMDYDKDPEFAEILGDSLDDPAKARSKIEERMKKKRDKILQRKTGSATPMRVTFNKFDFNNSYIWIEFYHAPLEKDIRMICDTIRSWHIVGRLGGCNSMNMQLSQSITDKRPSYDDILGANIEPTTFYNISDLEIQDNVARIWVDIGTSEPLLLDILINAMTQISSDHVGIKQMVFGGSEFENWSPSLTAEDEGYSVHKI >cds-PLY72785.1 pep primary_assembly:Lsat_Salinas_v7:4:373020861:373023309:1 gene:gene-LSAT_4X184020 transcript:rna-gnl|WGS:NBSK|LSAT_4X184020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] flavoprotein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G08530) UniProtKB/TrEMBL;Acc:A0A178UJ45] MKGILSLQRAVLSRHQNANWGINATARLFSTQSATTATTAQPPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWHRTKDIVIKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRNDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERLNLEKARKEAYAAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETIAVSPTILRRGPEWFSSFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVPLLTKDLCEDVLMDFDALKAVQSGLGTAAVIVMDKSTDIVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERMKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPEMERRIRERADRELLQAAA >cds-PLY79882.1 pep primary_assembly:Lsat_Salinas_v7:8:18791901:18794246:1 gene:gene-LSAT_8X14680 transcript:rna-gnl|WGS:NBSK|LSAT_8X14680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESSYTSLPTSHLPGSVPAVITEVKAPVIQQDPEANLQIFPPNSNAGGRGYQTLTGPSDGNGQQSGNSWNGAFSISSYTQYFNVDTDDVVNRLTSSLYPTGDFFRKIEANPDLYGLIWIATTLVFVIASVGNLATYLMIKKGDSSVAWSFDVNYFQVSAIAVYGYAFLVPLGFYLLLQYFGSKVGLVHLWCMWGYSLFIFILSSVLLVIPVEFVRWSITIITGVASAAFVGLNLRSHVELTELTLVLVAACVLQFGLAIFIKSWFFQ >cds-PLY80340.1 pep primary_assembly:Lsat_Salinas_v7:7:154296201:154300325:1 gene:gene-LSAT_7X90441 transcript:rna-gnl|WGS:NBSK|LSAT_7X90441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMSGPIAGLGKTDSEYSEEKKYKLSFKQRAINASNKFRTSFGKKSRKNGKVVSVVEHVHDADELKIVDAFRQALILEELLPIKHDDYHMMLRFLKARKFDIEKTKQMWADMIKWRKDYGTDTIMEDFDFQEKEDVFKYYPQGHHGVDKEGRPIYIEQLGRVDATKLMQVTTLERYVKYHVKEFERTFIDKFPACSIAAKKHIDQSTTILDVQGVGLKNMNKNARELIQFLQNIDGNNYPETLCRMYIVNAGTGFRMLWSTVKSFLDPKTTAKIHVLGSKFQNQLLEMIDASQLPEFLGGTCNCADKGGCMRSDKGPWQDPEIMKMVHNGQHKCSKNQIPEEKTISEDCQSANAKSSASNKDLESTKKQEDIKKPSLISSQEKDLVKNHQPRVEKSNDHIPIVDKAMDDSIYYPIHDARKPISPDGIGNHLFSGMMTLVMGVMTMVRMTNNMPKRLTNATLYSIGIHDDDDDMVKKQPGSYKLQPPVVSAAEFLSVMKRVGELEEKVIILNNQPNQMPPEKEEILNKALSRVEALEIELVATRKVL >cds-PLY84532.1 pep primary_assembly:Lsat_Salinas_v7:1:30589457:30594607:-1 gene:gene-LSAT_1X26421 transcript:rna-gnl|WGS:NBSK|LSAT_1X26421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGAKADEPAPHPPKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGDEDKAKVIQTMLFVAGLNTLLQSLFGTRLPAVIGGSYTFVAPTISIILSGRWSDQDPELRFKKTMRAIQGAMIIASTLQIVLGFSGLWRNICRFLSPLSVVPLVALAGFGLYEFGFPGVARCVEIGLPQLIFLIIVSQYLPHLIHSGKNIFDRFGVLISVAIVWIYAHLLTVGGAYNHTAPRTQSNCRTDRSGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMAAFVTLVESTGGFIAVSRYASATHMPPSILSRGVGWQGVGILLSGVFGTVNGSSVSIENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIVAALYCLFFAYVGSAGLSYLQFCNLNSFRTKFILGFSFFLGLSIPQYFNEYEAINGYGPVHTSARWFNNMVNVPFSSEAFVAGILAYFLDNTLHRKDGSIRKDRGKHWWDKFHSFKTDSRSEEFYALPFNLNKYFPSV >cds-PLY78728.1 pep primary_assembly:Lsat_Salinas_v7:9:51517441:51520175:1 gene:gene-LSAT_9X45901 transcript:rna-gnl|WGS:NBSK|LSAT_9X45901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEKVSEEEMVTPGEVLGKGSEVKAGKGAYLSHHNNTVYASLTGRRSLSAASPESPDQRPTVEVIGHKAHGSVPEPGCVVIARVTKVSARMASADIMCVSSKSVREKFSGIIRQQDVRATEIDKVDMHLSFRPGDIVKALVLSLGDARAYYLSTAQNELGVVSAESMAGGTMVPISWTEMQCPLTGQIEQRKVAKVGA >cds-PLY89379.1 pep primary_assembly:Lsat_Salinas_v7:4:122896926:122900656:-1 gene:gene-LSAT_4X79220 transcript:rna-gnl|WGS:NBSK|LSAT_4X79220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g24740 [Source:Projected from Arabidopsis thaliana (AT4G24740) UniProtKB/TrEMBL;Acc:Q1EBU3] MEMERVTEFPMGQLDLRPRKRQRLGWDVVPPPPKAQLGLFCGQEIGNVASFAPQRAPSDHISSPLVKRVARNASPPWRQDDKDGHYVFALGDNLTSRYKIHGKMGEGTFGQVLECWDKERKEMVAIKIVRGIKKYREAAMIEIDVLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECIAFMHDLRLIHTDLKPENILLVSPEYVKVPDYKGSSRSPKDSSFSKRVPKSSAIKVIDFGSTTYDRQDQSYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPTHMLKKADRHAEKYVRKGRLDWPEGATSRESIKAVMKLPRLQNLIMQHVDHSAGDLIHLLQGLLRYDPLERVTARAALRHPFFTRDNLSRRY >cds-PLY94505.1 pep primary_assembly:Lsat_Salinas_v7:2:156215086:156216357:-1 gene:gene-LSAT_2X79860 transcript:rna-gnl|WGS:NBSK|LSAT_2X79860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSLLGLLRVHIHKGVNLAIRDLRSSDPYVIIRMGKQKLKTRVVKKNLNPVWDEDLTLSIVEPLPVKLEVYDRDLFSADDRMGDAEFDFTPFLEAVRMRLNSDILNNTIITTVKPTRTNCLAEESYITWTDGRVVQNMVLRLRNVECGEIEIKLSWIDVPGGGNKRM >cds-PLY98621.1 pep primary_assembly:Lsat_Salinas_v7:1:39461063:39461275:-1 gene:gene-LSAT_1X33961 transcript:rna-gnl|WGS:NBSK|LSAT_1X33961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGVGMVVDVAAVEEQGRDGYHSISNEFFDCVALDRLIADQTRPTTALVGPNAPPVDVGSPGIQMWIQ >cds-PLY92848.1 pep primary_assembly:Lsat_Salinas_v7:5:328684002:328684307:1 gene:gene-LSAT_5X182161 transcript:rna-gnl|WGS:NBSK|LSAT_5X182161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIGGGSGSSNPNASSSASSHQAPKILLAKPSLVTTAKYNRGAGGGGGGGGPDDASSSLRFRFPCLFAMSILLLLKIQVYDCICVRELILSVDSMSMSPF >cds-PLY69960.1 pep primary_assembly:Lsat_Salinas_v7:4:68740959:68741562:-1 gene:gene-LSAT_4X47580 transcript:rna-gnl|WGS:NBSK|LSAT_4X47580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGNGRDSDFVSRLDAGHMRRMELGSAGAGSLFRSSTKSRLVKAQASDGDITPLKIQSKSSGSVLPYVGIASLGAILFGYHLDVINGALEYLAKDLGIAENNWLE >cds-PLY80757.1 pep primary_assembly:Lsat_Salinas_v7:8:138802118:138804318:-1 gene:gene-LSAT_8X105180 transcript:rna-gnl|WGS:NBSK|LSAT_8X105180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSYSKVAIDPKSFVGVQFVADAVEYLHFGKSVGKVLMDFPQLTMTLPDQRKESVMKRTTLVANTSNMPVATNEASIYTDRYAHYLFENHSYEEAMYHFAASQWGVNSGTTEYYTESSPSSQINQMESDDLPALEPKKMNHKALIKYLKKKRPNIVGKAAAEVISDVVHVPRNQTRDELIFLRAREIAAICDDTALLQALLLTGQIVAVLERLKGFNYCDMKICQKLCFKYFK >cds-PLY64052.1 pep primary_assembly:Lsat_Salinas_v7:8:94312698:94313183:1 gene:gene-LSAT_8X66681 transcript:rna-gnl|WGS:NBSK|LSAT_8X66681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLQATSSMAPSSPVTIQRSPWHSPVPYLFGGLAAMMGLIAFALLILACSYWKISSEAGDRDLEAGEGETDGDSKPGNQKEKETPVFEEKYLVIMAGQDKPTFLATPISSRASSFGSCSSCGNSTVSTETSSVTEEEKEKSCDQLQVTNTENHETADQELS >cds-PLY78523.1 pep primary_assembly:Lsat_Salinas_v7:1:103987173:103992606:-1 gene:gene-LSAT_1X83640 transcript:rna-gnl|WGS:NBSK|LSAT_1X83640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVNQSKGDKNEPQQYRRVGRSGNSSLPRNYSGGGGKGGGGGGGGGSNTAPPSSSSYSSQRSFKKTNNHAQGVQPRVNTPITNSNSDLSNSSTPGGASVTNGAHLQPPARGAPGAPSTGATPKPSETPIQKNTNTPGLPKAPPQGNTAVPSSDVTGPSTPTKGPADASRAFQLQFGSISPGIMNVMQIPARTSSAPPNFDEQKQAQARVDSLKANKLPTPSIPKQRKDDVSVSVSVVQSNAPEIHHSIPKPKKENQTLSTHSTPQKLPGPPIPGVSMQMPFHHSPIHVPYPTPNPQMQSQGMPNMPLPMPLPMGNPPQQVFVTHHPMQPQGIIHQGQGINFSSPQMPPQLANMAIGVGQHYQSPPPPPTGKLGGHRKTVKITHPDTHEELRLDKGSTPPRPHLPHGSQPLPSFPPGHPMNFYSNSFNPNPIFFQPPNNSQTQPQPQTQTNSGSQPPRFYNQVTVKPAANVVVHEKVSVSESSLLGSVPVNDKNDKNDLPKRSERTHVEASVLLSQKEPEVKPSNASFGSFQSENSTNLVSSVEDLAPLVKDEQIKPEMKIGEQLDTPSSSSSEALKSTPVMEVNEEVSETTDKVVDVDVAANATGGFLGGSGEEGVNSSEKIVIETNLETPLKNQDPKVESLESKEQEKDSIFPTNEPEDTKINDDQILKSDIQVDISVSTPEEDMGKSENSVPSMDPSLPDSAKTKGNLGISNTNTNTNTSKSKKKRRDILKFADAQGTTSDLYMAYKGPEEKKETPCSETLEEASSIPQNQDIDEKEKHVNKFEPDDWEDAADISTPKLETIENEGLSKKYSRDFLLKFSEQCNELPLGFELTPDIAEAVMSNLAAREPRAAARTLDRRPSNVGHDDRWSKGQTGVNFTGNVPGFRPVHGGVLRNPRAQPVFSGGILAGPLQSPGVMQRNNSDSDRWQRVSGGNNQRGLIPSPNTPMQVMHKAERKYEVGKVTDEEQAKQRQLKGILNKLTPQNFEKLFEQVKQVNIDNANTLSGVINQIFDKALMEPTFCEMYANFCSRLSVELPDFSEDNEKITFKRLLLNKCQEEFERGEREEQEANRTEEEGEVKQTEEEREEKRVKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQSQNQNPDEEDIESLCKLMSTIGVMIDHPRAKEHIDVYFDTMLRLSNNMKLSSRVRFMLKDVIDLRKNKWQQRRKIEGPKKIDEVHRDAAQERHAQSTRLARGPSFNSSTRRVGPGPGQNLGHNPGQGHLLPSPNSGFRGMGPGRGYNQDARFDFENRTLSIPLRPMSENDGITLGPQGGLARGMSVRGHPNHHHQPEPSPSPSPSPRVPVGPNGSSQPGYDSPSARVWVPAPVQDKVWPAERLRDMSMEAIKEFYSARDEKEVAMCVKELNAPSFYPSMISIWVNDSFERKEVDRDSLAKLLVNLGKSQDGILNQESLVRGLESVLGALEDSVNDAPKAAEYLGRIIGRMLIGNVVPYKEVWRLIYEGGEEPGRLVEVGLAAEVVGVILEMIESEKGNQFLNGIRKESNLRVEEFRPSNSKKALRIDKFV >cds-PLY70103.1 pep primary_assembly:Lsat_Salinas_v7:3:13655955:13658354:1 gene:gene-LSAT_3X9661 transcript:rna-gnl|WGS:NBSK|LSAT_3X9661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHNGGGGGGNRRNHRYQQLQHHHHHHLRHHLLEDNHDPSPASPDLGSASTLRRHVAASLMQHHRTTQRNQTAIRAVSPASYGSSSMEVAPYNPAVTPTSSIEFKGGNGETNYNLKTSTELLKVLNRIWTLEEQHTTNVNLVRALKRELDLSRAKIKELARERQSDRHEIQHLMNQISQDKQGQSVRDELEDERKLRKRSETLHRKLARELYETKTTLANALRDLENERRSRVILEDLCDEFAWGIKNYEQELHNFKHINPDKRAVRDGLILHISESWLDERMQTNQEKTTKKNSVVEKLSSEIETFLDAKRNGARGSGSAVGPTARRRHSLESIALNLAASAPPDDGDDGSSDGGDSPCFELDKPVARGKGEIVNSTMKPDHGKKKLATQVTGKGRDSSSLQVKFEEQMAQAILGQEETKIKTEEENHVEITEEVGLNSKYLDSLLKNHYLQTGNDLDAPGSKPVWESHPSPVRGWTTKLPIEDLEISESKSKVTPESKDQTSLKSKLLEARTRGQRSGTRVKSNKISF >cds-PLY83143.1 pep primary_assembly:Lsat_Salinas_v7:3:95669768:95670328:1 gene:gene-LSAT_3X71401 transcript:rna-gnl|WGS:NBSK|LSAT_3X71401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSMRSYGLIDADSRCLFMINAQPGEHLHLDQLIGVNLSPIQALKIEEGDEDLWCLLIDHEPAYSTFWMEVTMNRGSIATARFVDGSQEFDDEETMEIAEIVVEYDKYSRYLRKISELPVTIIGKNKADGSKEGEGEICVVCQEEYEAGLTIGTLKCGHVYHEKCIKKWLVQKNLCPICRSTALS >cds-PLY92221.1 pep primary_assembly:Lsat_Salinas_v7:6:74291200:74292429:-1 gene:gene-LSAT_6X54221 transcript:rna-gnl|WGS:NBSK|LSAT_6X54221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLPDVLLSNIFIRLLAKQLAQMRCVSKSWNALLSESSFIKSHLHRSIQNNTTNGILLLFYRLFSFGSKSFTAYPSQSPHSELTDFIKLPINHQSENNRGKVIGSVNGLICFKYGSNHDFGVIHDSEIIYGSNHDPEVVCIWNPSLSALLTLPPYYTPPYSIQHSFRFGFDPKTDDYKVVKFTRPMERQGIMISVKDWLPIEVYSMRKGSWKSITQRLPSNLRDIFSCDTLCVDGHEGHLHWITETDDTWNSVLTVFTFDLSSETFWEMPFPKYVIDLMGYHNANDVWVQLGVLGGKLCVMSRALPDYKWEVWVMDEYGVGDSWVKHYVFPPFSGYNIVGCGFTLQNEFFIKVRGRGFALYDPVEEKTKIFKSYGENVNCGGKVVNYVDSLVWVTPERSCCSISQFKY >cds-PLY86462.1 pep primary_assembly:Lsat_Salinas_v7:8:4265135:4265749:1 gene:gene-LSAT_8X3200 transcript:rna-gnl|WGS:NBSK|LSAT_8X3200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLDNIISKARIISGGKKENFMWSEDELDFLWIGVRRHGIGNWDSMLRDPRLHFAYSRSPRELAERWEEEHSKLLITKTPPSASQNKWFRPTVSKKYEEPQPQPQLSLGVKGNLPHWLWEAVSVPPSVSYTGQSGMMHWINQPFSGPQGGTRLPDPMVLNGSGEVIGPRRCASASGSVGKVDEVIVINSDASSEETISDDHRQC >cds-PLY79717.1 pep primary_assembly:Lsat_Salinas_v7:8:125302409:125305506:1 gene:gene-LSAT_8X86900 transcript:rna-gnl|WGS:NBSK|LSAT_8X86900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARLLRNVSILKRSVCYFEAPKICLLGSSSSQTSSFSTKGKKKSKSDGSDSGEEGMSKKDLALKQAIDQINTSHGKGSIMFLGQCPSPRHVPVVSTGSFALDIALGVGGFPKGRVVEIYGPEASGKTTLALHVIAEAQKQGGYCVFVDAEHALDPSLAEAIGVNTRDLLLSQPDCGEQALSLVDTIIRSGSVDVVVVDSVAALVPKSELDGEMGDAHMAMQARLMSQALRKLSHSLSTSQTILIFINQVRSKLATFGFGAPSEVTCGGNALKFYASIRLNIRRIGLVKKGEETLGSQVLVKIMKNKLAPPYRTAQFELEFGKGICRESELIELGIKHKFIMKAGGAYYSMGEMKFCGKDAIKRYLANNLPIREELETKLREKLVDEPKKETTDAEEEVDDVIAPDSTDEEVTAVEA >cds-PLY82652.1 pep primary_assembly:Lsat_Salinas_v7:5:84808685:84809587:1 gene:gene-LSAT_5X38300 transcript:rna-gnl|WGS:NBSK|LSAT_5X38300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNGLVLCCWRSPTPFDYYICDPLTRQWITLPRRGPKYHEFFKEGLITRVNEDHMLTSYIVVRLKHFKSNYLNLEIFSSQTGKWIGNKLPCPISIKLWERVEGSIYCYGALHWQVINDKGIHVMLAFDPYKDPKCVRLISFPDDRDFQSEENDIGTLQLCGESQGTLRYFEVAHGRKQFYLFSMWSMKDYEKGEWCCEFRVRRSDLHSNDLELSNWLSRYGWFTPLSFHPLNPNVVYMYCMEPGRIVSYNILNRRLDVASKPIGQFISSYFAIPFVLPRWPVLVPIASVKSKKEKVECRN >cds-PLY69704.1 pep primary_assembly:Lsat_Salinas_v7:5:211326066:211327453:-1 gene:gene-LSAT_5X97280 transcript:rna-gnl|WGS:NBSK|LSAT_5X97280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGEAVNPKAYPLADSQLTITILDLVQQAANYKQLKKGANEATKTLNRGISEFVVMAADAEPLEIILHLPLLAEDKNVPYVFVHSKEALGRACGVTRAVIACSVTSNEGSQLRSQIQQLKDAIEKLLI >cds-PLY73842.1 pep primary_assembly:Lsat_Salinas_v7:7:69746065:69747714:1 gene:gene-LSAT_7X50220 transcript:rna-gnl|WGS:NBSK|LSAT_7X50220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDTAAKPPPQSSLSLPWRTRIAVSVLSTVTDAACRKNGTVNRRLLSFVDFRNPPTAKSINGVASHDVVVDEARNLWFRVYVPTQHAGEDLPVIVFFHGGGFVFLAPDVLVYDAVCRRFARKVPAVVVSVNYRLAPEHRFPAQHDDCFDVLKFLDDEENRSKSLPENANLLRCFLAGDSAGGNLSHHVAQRACEFNFQRLKVIGVVAIQPFFGGEERTDSEIRLEGTPIVSIKRTDWIWNAFLPQGEGFNRDHPIINVSGPNAVEISKLDFPATMVVVAGFDALHDWQKRYYEWLKKSGKEVYLFEYPNMCHAFYIFPELPEAGQLIGQVKDFIHKVSSNVATL >cds-PLY70274.1 pep primary_assembly:Lsat_Salinas_v7:2:92651995:92652313:1 gene:gene-LSAT_2X39580 transcript:rna-gnl|WGS:NBSK|LSAT_2X39580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGRGYNYATTLECALKVKEVAFMHSKGILGGEMKHGPLALVDENLLIVVIATCDQCFSKQGEKER >cds-PLY71550.1 pep primary_assembly:Lsat_Salinas_v7:7:30030862:30035718:1 gene:gene-LSAT_7X22221 transcript:rna-gnl|WGS:NBSK|LSAT_7X22221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 10 [Source:Projected from Arabidopsis thaliana (AT1G28470) UniProtKB/Swiss-Prot;Acc:F4HY61] MTWCNDESSTLQNLSTSSTERSTIQSRNPNIITSSCPSCGHTIQIQAKGLIPDLPGLPAGVKFDPTDQEIIEHLKAKVGCDTNELHPLIDEFIPTIEGETGICYTHPEKLPGVSNDGQIRHFFHRPSKAYTTGTRKRRKVHMDAERGETRWHKTGKTRPVLISGSVEGYKKILVLYTNYGRQKKPEKTNWVMHQYHLGNNEEEKDGEIVLSKVFYQTQPRNCASNLKESTLLDEKTERNPNVRNMSFIENYSISPFIPYDINRRQLSREIPSHVIPSFVLQDDTSPFIHLPSGSSKE >cds-PLY93894.1 pep primary_assembly:Lsat_Salinas_v7:4:270405638:270406543:1 gene:gene-LSAT_4X139101 transcript:rna-gnl|WGS:NBSK|LSAT_4X139101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSDAKGGRGKPKTTKSVSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKSRIVPRHIQLAVRNDEELSKLLGSVTIANGGVLPNIHSTLLPSKVGKDKGEIGSASQEF >cds-PLY99441.1 pep primary_assembly:Lsat_Salinas_v7:6:20370612:20372260:1 gene:gene-LSAT_6X15600 transcript:rna-gnl|WGS:NBSK|LSAT_6X15600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHFVLLLSRQGKVRLTKWYSPYSQKERSKVIRELSGMILTRGPKLCNFVEWKGFKVVYKRYASLYFCMCINQQDNELEILEIIQYFVETLDRYFGSVCELDLIFHFHKAYYILDEILIAGELQESSKKAIHRIIDAQDLLVDEAKERANSISAIMARVAE >cds-PLY89214.1 pep primary_assembly:Lsat_Salinas_v7:8:268826788:268827442:-1 gene:gene-LSAT_8X155260 transcript:rna-gnl|WGS:NBSK|LSAT_8X155260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNPPFQVEDTSEDFFDKLVNDDDDDYKVTLLSSETIKLADRNDYDDTKAFANIGISDVGTRLEDLGKVGEDSSSIDGDTKHASTDTFLDHVHPLVSSNSFTFDSVSEEPNTEVRWTTFAIEPTENDGNGFGSYNDFFTKFGDSYVDQIGKGCNLVSQKQNVVVLSSNNVVDDSMHRKNVYDYSNQFQDV >cds-PLY96693.1 pep primary_assembly:Lsat_Salinas_v7:8:136024821:136027151:-1 gene:gene-LSAT_8X94381 transcript:rna-gnl|WGS:NBSK|LSAT_8X94381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNCLPIWNPGSLNPNLTLDAHLKGVNCVDYFIGGDKPYLITGSDDHTAKVWDYQTKTCVQTLEGHTHNVFAVCFHPELPIIMTGLEDGTVRIWHSTTYRLENTLNYGLERVWAVRYMKGSRRIVISYDEGTIMVNIGREEPVASMDNSGKLIWAKHNEIQTVNIKSVGADHEVSDGERLPLAVKELGTCDLYPQSLKHNPNGRFLVVCGDGEYIIYTAVAWRNRSFGSALEIVWSSDGEYVVRESTYKIKIFNKSFQEKKSIRPTFSAEKIFGGSLLAMCSNDFICFYDWAECRLIQRIDVNVKLHSEALATLILNKLLAGIKVCAIKAPVFGENRKSNLQDLATLTGGEVITDELGMNLVKMAPEMLGTCKRSLPRLGGIIKFLLKWSHMA >cds-PLY91455.1 pep primary_assembly:Lsat_Salinas_v7:MU039439.1:249615:255849:1 gene:gene-LSAT_0X16540 transcript:rna-gnl|WGS:NBSK|LSAT_0X16540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM-domain containing factor CFM2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01370) UniProtKB/Swiss-Prot;Acc:Q8L7C2] MLLQQPSPCPKTLPNPFLSHLHLPRNLLPRTPYHFRRSSFLLHASASSADTKSLPQSAIRRIADKLRSLGYVEDDSNKQQSSAPEVTLVTSPGEIFVPLPNRIPKYRVGHTLDPSWSTPENPVPEPGSGNAIRRYHELRREVWKEKKEEKVKRSLEGNGESENVPTMAELKLPPAELRRLRGLGIAVKQKLKIGKAGITEGIVNGIHERWRRTELVKIVCEDLCRLNMRRTHDLLERKTGGLVVWRSGSIIILYRGTDYKYPYFFVKPNDASVDEPMSSSTNSEDSGVQTRTTTEPEPPLIQGVGSRTRVRFQLPGEKQLMEESDQLLQGLGPRFTDWYGYEPLPVDADLLPAIVPGYRKPFRLLPFGVQPKLTNDEMTILRRLGRPLPCHFALGRNRKHQGLAAAILKLWEKCEIAKIAVKKGVQNTNSELMAKELQWLTGGTLLTRDKEYIVLYRGKDFLPSSVSSAIEQRRNHGVDEFQRNKTPNSSSNPPQINQDNGTQSSSVAKIGDINDQKLEIAIEQRKQRSREAAIKKTSSKLSQALENKEKAEKLLEELEKEETKQQFEVDKEGITEEERYMLRKVGMKMKPFLLLGRRGVFDGTVENMHLHWKYRELVKILSGESTIDELHAIARTLEAESGGILVAIERMRKGHAIIIYRGKNYKRPPSLRPQTLLNKREALKRSIEAQRTQSLKLHVLKLGKNVEELKLKLAKNEEICIQGEKDEVAEAKNEEISIQDEKDEMAEAKNEEISIQDEKDEMAEAKNEEISIHGEKDDVTEEHEMEKSSSSECMEESNTNIELHSPNPTTLKEIEVDEDEDDLHFSNKNDGFLQFASSEETNCQKHADKDESASLLTNKTELRVTESNGRQHRSALLSNKERLLLRKQALKTTKRPVLAVGRSNIVSGVAKTIKTHFQKHPLAIVNIKGRAKGTSVQQLVSKLEEATGAILVSQEPNKVILYRGWGATTTTTTTRDYNKKVNERHEDKEGIVKKTIISPELISAIRFECGLEGNIVHEREGVS >cds-PLY93812.1 pep primary_assembly:Lsat_Salinas_v7:6:147180686:147180937:-1 gene:gene-LSAT_6X88980 transcript:rna-gnl|WGS:NBSK|LSAT_6X88980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVASVQATTSIPTLVPTTSVLPETATVVVPTPIAEKIPHVDSDTNGAVVNNRGGGAPVGFSGVYGRMPGVDLSKDSLSHPSGF >cds-PLY90621.1 pep primary_assembly:Lsat_Salinas_v7:6:52159115:52160603:1 gene:gene-LSAT_6X38260 transcript:rna-gnl|WGS:NBSK|LSAT_6X38260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSNTQNLEIFIQAPDLQIPTRALTLTPNLTIRNLKLSFLSQISSIHQTLVSSSFLTVNGRLLSDSDTVENSGVTSLSTLSLRFRLSGGGGDGGATGAESRDCYLNMYAEKKPDKCDPNEQRLSKWLNCALSNEPLKHPIVVDYLGNLFNKQPLVEALLMKKLPKAFSHIKGLKDMIAVELAAIPGSDSPSSGDVKFQCPITGMEFNGKYKFYALKSCGHVLSSKALKEVKSSTCHVCHKDFAESDKIVINGNEEEVILLREKMEIEKMKLREKKVKKVKNGETGICDDGVKLSGTKHGIVDLKGPQKISGKIETNGKDVNGLKANGKRFKAGDSAPAHANKEVYASIFTSSRKSEFKETYSCRSLPLGRN >cds-PLY84282.1 pep primary_assembly:Lsat_Salinas_v7:1:45356346:45358342:1 gene:gene-LSAT_1X39481 transcript:rna-gnl|WGS:NBSK|LSAT_1X39481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALSSSVFIPPFQETRSSSTSSTSLRLNFCFPKTTLPGFRSRGIAAVTREVAGDGEDDEQPLVDNAFALAPEQPPSFSLFMNRYINRLLKLCSDGFSHFLKDNLVQTDGIEALKSTSEMITPTSTHGAGSAGGTRAGLFRTPISGGVQSATSVHDLPRPALAVRNLMEQARFAHLCTVMSRMHHRREGYPFGSLVDFAPDAMGHPIFSFSPLAIHTRNLLADPKCTLVVQIPGWSGLSNARVTIFGDVYPLPEDQQEWAHKQYISKHQQGPSQQWGNFYYFRMQNISDIYFIGGFGTVAWVNVKEYEALRPDKIAVDGGEQNLKELNAMFSKPLKELLSMETEVDDAALISIDSKGTDVRVRQGAQFNIQRLAFEEGNGVETLEEAKTALWNLIRRGGEHKF >cds-PLY64331.1 pep primary_assembly:Lsat_Salinas_v7:4:25977515:25979287:-1 gene:gene-LSAT_4X18621 transcript:rna-gnl|WGS:NBSK|LSAT_4X18621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLLVSILAFCSTKWMWEPLCSAVMLEQASYCCYLLAKPIILRKREVIDEEGWIQITTFYALITCSDKAWKRYAGNGVVFDRLKH >cds-PLY80862.1 pep primary_assembly:Lsat_Salinas_v7:4:325639126:325640224:1 gene:gene-LSAT_4X162000 transcript:rna-gnl|WGS:NBSK|LSAT_4X162000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIRCNDNWVHFNSHENQCFEDEEETLSLCDLPTNDQEIEKISKKEEEDNNTTEDFEFGFCYGGAACTSPENMCTAEDVFFEGQLLPLRHSVSSDTDGITRKINRSASMRVVSSRIINRNDPIMIRSNGYKHRIRNQFHSHPSPSPQIRTQSFRTLSHSNQKTSSLWSFLQVGLLKPQEIGLLDLKNRSKRFGSHNSNSSSTSSSMNSTIDQNQNQNQNQIHRKNTKKKQRLFFSGCKCSADSIESTVKETNPKKMTTKEEKIIALQNSKVSEERTITGEGKRAASRHRTFEWLKQLSIAAPTAG >cds-PLY98055.1 pep primary_assembly:Lsat_Salinas_v7:2:19933324:19934466:1 gene:gene-LSAT_2X11581 transcript:rna-gnl|WGS:NBSK|LSAT_2X11581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDEIPFHIQELIIKRLPIVSLLQFRSVSKTWKFLIDSSNFIASHSVTESQHLLIRNEDKESDTVGKYFSFPDDDTFPHQRFVHTLPHAIKLLKNANIVGSSFGLFCFHGYNLGMEMVVLWNPSIRKSITVPMPNKFNLDPETKLCFGVSPVTTDPKIVEITQFHKTSYHCEANVYTVSSGNWRNLSNNLPSKPFRIFWPQVVVDRFIYWCAFDPMNVDSELPNHNFIMSFDITNESFGVVELPDSLRRHSPKQLCISKVRESLVLLEYDSYQKRACSVWMIENAVEKSFTKRFTVEAPHYWSMSITTLGFRKNGKPIVEVENAHMCYEQGALMVYEPNIECFKYLGMYGKPGTFFVHSYIETLVLIGQSDRNIEVEDDV >cds-PLY78752.1 pep primary_assembly:Lsat_Salinas_v7:9:49944095:49946187:-1 gene:gene-LSAT_9X45300 transcript:rna-gnl|WGS:NBSK|LSAT_9X45300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALNIRTVFLYVLASIVFLASVYHILIPTASISSDRRHSPSFNPQLYIPNPRLRPYVDNNLIIHEHDAVTPAATNDTRTAPEESTNSVGFIADDTIQFKPQIPQSRNISIEIEGTVLSNTTIVTNVDSVLEETGSKNNSLRVYLNSESSIMNVSILFPSWEVLVIVSSEFGDDTDYTSYFCLFDTNEISPARFSGTLTSPDRKTFTCQLPMRIRRRLPFRHPRLIKTSDQAKMTSNPLQSPSSELLRWNFIVYNIIETENDIVLFAKGINNRQGINRSPTEFKCLFGDNTVNGVTTPVTSSMQEVFRCQRPGLTAFSQNPVIASLVILQTNQVVPSIAFYAPVRKIAIPSPKKSLLCACTMVYNVAKFLKEWVMYHSKIGVDKFILYDNGSDDDLGKIVNLLKSKGYNIETRFWLWPKTQEAGFSHAVLLEKNSCHWMMYIDVDEFIYSPLWASSPPSKLLLPSLLPSHPYGQIMIRCLEFGPSNLKKHPSMGVTQGYTCRKEMENRHKSIVLLDAIDESLLNAIHHFKLKERYKSTKVHLDTVVVNHYKFQAWPEFKAKFRRRVSAYVVDWTRSVNLQSNDRTPGLGYRAVEPEGWAERFCEVYDHRLKDLTRRWFGRRDNRMIFRMEWQK >cds-PLY63968.1 pep primary_assembly:Lsat_Salinas_v7:7:117056771:117058419:-1 gene:gene-LSAT_7X71780 transcript:rna-gnl|WGS:NBSK|LSAT_7X71780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLDQLWDDTVAGPRPDKGLGKLRKQSTFSFRSSDSGKESPPVTNTAVEDPAMRVTRSIMIVKPERTLSDTPPASPAGSTTPVSPFPGGRSEAFRFRRKSASDAFEKASGIGTRSPRAPYDL >cds-PLY91242.1 pep primary_assembly:Lsat_Salinas_v7:3:77433937:77437919:-1 gene:gene-LSAT_3X57981 transcript:rna-gnl|WGS:NBSK|LSAT_3X57981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SUPPRESSOR OF GAMMA RESPONSE 1 [Source:Projected from Arabidopsis thaliana (AT1G25580) UniProtKB/Swiss-Prot;Acc:Q6NQK2] MAGPSWLVDSNRIASKIRSASSTCDPEHIKWKSNPTKTCPNCHHIVDNSDVNQEWPGLPRGVKFDPLDHEIIWHLLAKSGVHGFVPHPFINEFIPTVEEDDGICYTHPQKLPGVQQDGSVSHFFHRAIKAYQTGTRKRRKIHGDDFGDFRWHKTGRTKPVILDNIQRGCKKIMVLYISPIKGGKAEKTNWVMHQYHLGTGEDEKEGDYVISKVFYQQQSQSQSKNNGKDDDVILEEVNPPIVKVDPVTPKCVTPEPPRAERRVPDTGLGHGPTEIYADFAQHEMEAIDEAEDETSCMNLIDHNDEVEVVEVEDHHVHEQQIEGNDEGQEAKWWDSESQYLLDSQQLVEGLSLCDEFLQSQPENQESKGKPRLSDYAHLGSENLKKDLEECQGLIMDPANLELDTPPDFRLSQLEFGSQESFLAWGGCK >cds-PLY92041.1 pep primary_assembly:Lsat_Salinas_v7:5:323570909:323572599:1 gene:gene-LSAT_5X178101 transcript:rna-gnl|WGS:NBSK|LSAT_5X178101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSVLYTYAPTPITGKVVGTHDTPIVIMSKNVAFSPQIPKKYGKKEFEDFVAYLTACLLHYALVDVVDPSLLQHVCEVYYTSAYYDDQQPPPGFKGDPPSSGPSTSLLSPLPPPPPGTSPSNPPKIPPPATTPHSQTLALVMVHPLKLMMPKGGFS >cds-PLY69661.1 pep primary_assembly:Lsat_Salinas_v7:5:212640311:212641092:1 gene:gene-LSAT_5X98981 transcript:rna-gnl|WGS:NBSK|LSAT_5X98981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDDGGDGGKVNFPPLLRTLAKGAAVTLGGIFTITMISTTAITLLTQHKQNQFGSPSSSSSMKKKNTISCDVCRGKGFYMCKLCKGNATIEWSPLYDPVFINPCICPTCDAHRIQRCLNCLGSGYVHDSSS >cds-PLY86028.1 pep primary_assembly:Lsat_Salinas_v7:3:73964009:73967765:-1 gene:gene-LSAT_3X61380 transcript:rna-gnl|WGS:NBSK|LSAT_3X61380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASICVCARFRPLNSREDGEAICITRSDSQSFSIKDEKDEQYMFSFDKVFYEDSEQADVYEFLAGPIVRDAVNSINGTIITYGQTGAGKTFTMEVMCVTGANILEADNLKKGLLPRVVDELFDAINGCGEATAYKIKLSMVEIYMERVRLATDLIFCVFPLQLCFIIATGSVSGRDLFDLSKDNIQIKEHKSHGILLSGATEIPILDGEEALKVLCGGIANRAVGETQMNAGSSRSHCIYMFTIQKDVTNEKRVSSGKVVLVDLAGSEKVEKTGAEGKVLEEAKTINKSLSALGNVISALTSSQHAKSLHIPFRDSKLTRLLQDALGGSSQTALLCCCSPSLSNSSESVSTLRFGARAKHIKASSSRVLNINSKEDIDRKQQEISALNDVKQQIAERILKKLREKLDAETVNLIGEEFVMEGLLLSDPTTSGSMITDEEELNSSHESVITSQTIASWKEATQKLVNTILENVIMKEEWQVMKEENKVMRQVRKRIKAKLAQTKKLYLVMGIGFGVLASSCFSFVLLVSS >cds-PLY76747.1 pep primary_assembly:Lsat_Salinas_v7:7:61407864:61412187:-1 gene:gene-LSAT_7X44361 transcript:rna-gnl|WGS:NBSK|LSAT_7X44361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFIAGLITGLVVGIALIVLFVRSENARSMRRTALATTIAAFARMTVEDSKKLLSPEFYPSWVVFSQRQKLSLTWLNAHLTKIWPYVDEAASELIKANLEPTLEQYRPMVLSSLSFSKFTLGTVAPQFTGVSIVEDGGEGITMELEMNWDGNPSIILDIKTRLGVGLPVQVKNIAFTGVFRLIFKPLVPEFPCFGAVSFSLRQKKKMDFTLKVVGGDISAIPGVADALESTIRDAVEDSITWPVRKVIPILAGDYSDLELKPVGTLEVKLVQANGLTNKDIIGKSDPFAELYIRPLRNRTKTSKVINNDLNPIWNEHFEFVVEDTSTQHLTVKIFDDEGLQAAELLGCCHVKLSELVPGKVKDIWIKLVKDLDLQRDNKDRGKVHLELLYCPYGMENGFTNPFTSNYTMTSLEKVLKSGDNENGDFVNKKRTVIIRGVLSVTVISAEDLPAVDLMGKADPFVVLTMKKTGMKNSTRVVNENLNPVWNQTFDFVVEDGLHDMLVVEVYDHDTFGKDYIGRCILTLTRVILEGEYKECFQLDGAKSGRLHLNLKWMAQPLYRDS >cds-PLY69042.1 pep primary_assembly:Lsat_Salinas_v7:9:141430483:141439339:-1 gene:gene-LSAT_9X90160 transcript:rna-gnl|WGS:NBSK|LSAT_9X90160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTATDFLLSPVFLHGCVASIQFLFLLFILISWVWKRFRIDRSQTVVAKRSFGYLCFKQTLFCSLVLSLFNLVLCFLNNFYWYRNGWTYEKIVTLLHAVLGTLIWLFVSVYLHTLVSNSSTQSSKYPFVLRVWWVFFFTVSCYSLVVDYIHYRKTHNLPSMFFVSDSVSSLLGLFLCFVGLSHKSQEEGQSHNLEEPLLNSSSDRVRGESEIPSTYQNASFFNLLTFSWMSSVISKGNKKPLDLEDVPQLADMDSVKHVFPILLEKVQSLSNGNNQITTFGLTKALIYIIWKEVVITGFLALASSLLSFVGPYLIDTFVRYLNGQKDYKYQGFILVATFFVSKVVGCFTQRHWWFKLQQAGIRARSALVAMIYQKGLTISGQSKQGNSSGEMINLMAVDAERVAFYAWHMHDFWLLLVQVGVALALLYKNLGLAAIASLVATIFVLLANLPLGNIQEKIQDDLMKSKDNRMKATSEILRNMRILKLQGWEMKFLSKIIKLRDEEESALKKYMYTLSLTSFIFWGAPIVVAVVTFATCLFFGIPLESGKVLSALATFKILQEPIYNIPDSISAFFQTKVSLNRIATHLRLTDIDSNAIDKLPPGSSDVAVEIINGNFAWDANASSSNLTLKDINIRVNHGMRVAVCGTVGSGKSSLLSCILGEVSKISGSVKVEGTKAYVAQSPWIQSGKIEDNILFGREMDRERYDNVLEACSLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECMLQFLDSKTVIYITHQVEFLPAADLIFVLKDGRITQAGKYNDILNSGSDFMDLVGAHKEALSAIDSMETNVQEGTTSSKKNTNDTQNSKTDDISGSKAQLVQEEEREKGKVGFSVYWKYINTAYGGTLAPFIVLAEILFQILQIGSSYWMTWGSPVSESDPAPVTGSTLIMVYVVLSVGCALCILARGLLLATFAYKAATLVFHKMHFSIFRSPMSFFDSTPSGRILNRASTDQSAVDMDIPNQLGIFVFTIIQLLGIIVVMSQAAWQVIFIFVPVGIMCIRLKQYYLPSAREMSRLFGVCKGPLIQNFAETISGSTTIRSFDQQGRFQDTNLKLNDDFARPKFHAIAAREWLGIRLDMLSSFTFAVFLIFLISIPEGTIDPSIAGLAAIYGLTLNTLQGLVLWTLTNLENKIISVERIFQYSSIPSEPPLVIESNRPDDQWPSQGEVDIRHLQVRYAPHMPLVLRGLTCTFKGGMKTGIVGRTGSGKSTLIQTLFRLVDPAAGEILIDGINISTIGLHDLRSKLSIIPQDPTMFEGSIRSNLDPLEEYTDDKIWEALDKCQLGDEVRSKIGKLGSSVTENGENWSVGQRQLVCLGRVLLKKTKVLVLDEATASVDTATDGMIQQTLAQHFTDSTVIMIAHRITSVLDSDMVLVLEQGLIDEYDSPTKLLEDKSSSFAKLVAEYSMRSNSSFENLAAR >cds-PLY85065.1 pep primary_assembly:Lsat_Salinas_v7:7:7543199:7547078:1 gene:gene-LSAT_7X6780 transcript:rna-gnl|WGS:NBSK|LSAT_7X6780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFASSSMQETIERYRSHVKDVQTENSSSIEDVQHLKHETATMAKKVELLEVAKRKLLGEGLGSSTIEEIVQIEQQLERSVRIVRARKMQVYNEQIEQLQAKEKLLAAENASLTEKCLIQTDQGTEEMRPDLRVVDNEENSDVETELFIGPPEVRRTKQRWSK >cds-PLY69997.1 pep primary_assembly:Lsat_Salinas_v7:8:146592128:146593684:1 gene:gene-LSAT_8X99681 transcript:rna-gnl|WGS:NBSK|LSAT_8X99681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEKHIPILIFSFFLLKHITSANADCSPASCGPNEPHVRFPFRILDLQPPRCGFPGFDLSCDEQNQTILQLPSSSSSSSLPYIVNSISYLEQLIYIDPGFCQPNRIVSVNITDTSFSDSNLMMQNYTFFNCSQNYSTLYPVVGFPCLSTGNYSVIAIVTDSYNPGYVPLNCEFMKRIVVPILPNGVLSQELELIWSTPYCKSCEREGKFCEWKSDDGETVCVSSSRGKGISRGAIYGLSIGIGVPTLIFIIGLAYYLSYKRKGHNGSHNQRVVEDNSGM >cds-PLY76018.1 pep primary_assembly:Lsat_Salinas_v7:5:320309229:320312134:1 gene:gene-LSAT_5X176000 transcript:rna-gnl|WGS:NBSK|LSAT_5X176000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSNAFSLLELDVEDDREETTNATDNKKKANGNHDKETDSLQDATLIKNDKGTRQTLDMLEGEYRLPLVWIDLEMTGLNIEVDRILEIACVITDGKLTKSVEGPDLVIHQTKECLEKMGEWCQDHHAASGLTERVIQSSISEKEAEQQVVDFVKKHVGTYTPLLAGNSIYVDFLFLKKYMPDLASLFSHVVVDVSSIKALCLRWFPRDNKKAPKKENRHRAMDDIKESIAELKFYKENVFKSSKSKK >cds-PLY84621.1 pep primary_assembly:Lsat_Salinas_v7:1:31977782:31979481:-1 gene:gene-LSAT_1X27780 transcript:rna-gnl|WGS:NBSK|LSAT_1X27780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVIKIKFGDTLRRIYEEDLLDFDMEMLREKICILFGLDLDADFTLTYIDEDGDIVTLCDDNDLDDLAAQSLNPLRMTVTLNPKSSLTSTQDQLQINSLNSAVSLILKFVPERQHNALLKLLLDVAWENMMGDQQGLKIEEASETLLPCKDGSPDSNNEALQVETVKKDGVLENVDLNVPYSESETVKGSDVASLHDDNAKLRKSIDFETCVDLNECPFIGMPLSNDSIVHSCPCGTCVSPLKKADIGSKGLGSLTIHEDITCVGCRVCPIIGPRFISKSSIIVDLCCTCFEKTGHDAADYIRMDVSPFSFFCDEPPVVCNDKLDPEVEVVNTNMDQDINEVCEKEPIGIVEPEDLFLDAVQPHKDQEMSTCNSHVAFPSKLE >cds-PLY62689.1 pep primary_assembly:Lsat_Salinas_v7:4:334846649:334847143:1 gene:gene-LSAT_4X165640 transcript:rna-gnl|WGS:NBSK|LSAT_4X165640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGERDDSEHEEGEIRTSRDKQTHNDSETVLEEVMMEESVKETLDVDVVAQPEILIEQEENGEEQETKMDVQSETIDDDMTGEDLTSLVVDTCHMGKSVHQTTKSPPRKLSTIMINGNIPTPKVSGTCPSPNTNLDTPTTTNPIGPFSGLPAGCFGPFPSPIQFT >cds-PLY86216.1 pep primary_assembly:Lsat_Salinas_v7:8:225343918:225347580:1 gene:gene-LSAT_8X137980 transcript:rna-gnl|WGS:NBSK|LSAT_8X137980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGNRISAVIFDLDGTLLNTEQVTKDLLKEFLARYGKVVDMEKENKRMGMVHHESAIAVVKDYDLPITPQQYTQNIMPMYHEKWPQAKPLPGVNRLIKHLHKHGVPFALASNSIRKNVEVKVSSQKGWKEYFSVILGSDQVKSGKPSPDLFLEAANKMGVDASCCLVIEDSLVGVKAGKAAKMQVVAVPSIQDESDQYSIADHIIRSFLDFQPELWALPPFDDFNGASDLPNQAWGVYFGWVEVDSQQRFKIIVSIKWDYTSGSFGKTIEACFMNGSNEQIYDEPMKVTLVGFIRGFDTKKNPSSDTHILDQDKSIAEACLDLAEYQY >cds-PLY81239.1 pep primary_assembly:Lsat_Salinas_v7:4:307620095:307620833:-1 gene:gene-LSAT_4X155340 transcript:rna-gnl|WGS:NBSK|LSAT_4X155340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLLPTAKQPRRRWKVILAEEVKKTSYIALPMVVVTVSQNLLRVASMSMVGHLGELELAGTAVATSLTNVTGFSLLDRLFDNKS >cds-PLY98041.1 pep primary_assembly:Lsat_Salinas_v7:1:195171742:195174649:-1 gene:gene-LSAT_1X123721 transcript:rna-gnl|WGS:NBSK|LSAT_1X123721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQISFSLLFFVASIFFLFFKSHRSSNSLNTTTKLPPQPWKLPLIGHMHHLTGALPHRALANLAEKLGPVFRLQLGEVSAVVISSSHLAKEVMKTHDLSFADRPKLLSAEIVAYNYKDIAFAPYGEYWRQIRKICTLELLSVKKVQSFRSIREQESWNLIESMAMAMQTSKIINLSEKTFTLLNSIIYRVAVGSRCNDQETLTALLEEVIVLSGGFDVADVFPSVKVLHVVSGVRSKLVKLHMKIDQILDNIVAEHQERRKGGHIIDNEDLVDVLLRLKDDGGLQFPLTSDNVKAVILDMFAGGTHTSAVTIQWAMSELMKNPQVMKKVQTELRHVLKGKNKICESDIQELNYLKLVIKETLRLHPPLPLLLPRESRVECEIGGYHIPANTKVMINVWKIGCDPDYWIDPKSFIPERFSDIPINMSGTDFEFLPFGAGRRMCPGMNLGLINVELPLAMLLHHFNWELPDGTTSEDLDMSETFGASLKRKHELLLVPSVCNTN >cds-PLY70050.1 pep primary_assembly:Lsat_Salinas_v7:5:201437674:201441317:-1 gene:gene-LSAT_5X90820 transcript:rna-gnl|WGS:NBSK|LSAT_5X90820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSGALLHTPIPTVLFGSSSQSPSPPTFSASYSSKLNCNIRLSMASSVRIVIVGDVHDDWNLEEDSKALELLRPDLVLFTGDFGNENVKLVRSIAALKMPKAAILGNHDAWNTQSFSEKGKDAVQLQLESFGEEHVGYQRLDFHSLKLSVVGGRPFSCGGERLFRKQLLTKRYGVHNMNESAKKICEAAVGTPKDHSIILLAHNGPTGLGSNMNDICGRDWVRGGGDHGDPDLAVAISQLKSTKYSIPVVVFGHMHKELAFGNGQRKMIVVGGGDDNTIYLNGAIVPRVKRFSHNTLRAFTLIDSKQGQLEKITQTWVSVSLTQDTTTIEEEQILFTNNNIPTTSSI >cds-PLY99285.1 pep primary_assembly:Lsat_Salinas_v7:3:93517219:93519840:-1 gene:gene-LSAT_3X70221 transcript:rna-gnl|WGS:NBSK|LSAT_3X70221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase small subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G05610) TAIR;Acc:AT1G05610] MSLPTFQSLLKLHNNHEKPPLKLPSRFFITNSQRQDCQFSPFFPAISQSVAAVVFGEASQQSRLYPLTKRRSEGAIPIAGSYRLIDAVISNCINSNITKIYALTQFNSTSLNSHLLRAYSGGLLANQEFLEVIAAYQSPEDNGWFQGTADAMRRCLWVLEKHPALEFLVLPGHHLYKMDYQKLLEEHRNNKADITVAVLDNMKVENTGFGSFKIDVNSNNNNNNNNRVIGFTEEQELFTSLPVYGSNFNVEMFSGMGIYVMNRNVMIKLLKESFPKANNLKNEVIPGAXXXXXXXXXXXLLKVHAYRFDGYWEDMRSIEAYYEANMESTKKKNMKYNFYDRDFPVYTLPRHLPPSLVTNAAITDSVIGDGCILNRCSIRNTVIGPRTRVGDGAVIEDSVIMGSDIYQSIPEQRNGGGDGKHASIPIGVGEGSFIKRAIIDKNAKIGKNVKIINRDNVLEGNNETDGYIITSGIIVVVRGAVFPDESIL >cds-PLY65227.1 pep primary_assembly:Lsat_Salinas_v7:8:21154755:21156442:1 gene:gene-LSAT_8X16180 transcript:rna-gnl|WGS:NBSK|LSAT_8X16180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPSQASLLLQKQLKDLCKNPVDGFSAGLVDESNLFEWSVTIIGPPDTLYDGGFFNAIMTFPQNYPNSPPTVRFTSEVWHPNVYPDGKVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRDRRDEFRKKVGRCVRKSQELM >cds-PLY71336.1 pep primary_assembly:Lsat_Salinas_v7:7:185116644:185129348:1 gene:gene-LSAT_7X111061 transcript:rna-gnl|WGS:NBSK|LSAT_7X111061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:HUA1 [Source:Projected from Arabidopsis thaliana (AT3G12680) UniProtKB/TrEMBL;Acc:A0A178VA41] MANQLYGSSSYGYGGGSNSSSIYTSKSIAEQYVPSDPSYLSSSRFFGSDPLSSSSNLFSYSSISDRGPSMLYNHKDTIGTGYSAAARMTAREALWPGPPGVDAGVSSTSIESILAGYKRSSTEALYHQSLLGAHNTLGFSSNPLVKRPRFEATTSLLPVYPQRPGEKDCAHYMLTRTCKFGDSCKFDHPMWVPEGGIPDWKEVPTTSEALPERPEAPDCPYFLKTQKCKFGPRCKFNHPKDKAAPLAALENIDTSELPERPSEPPCVFYMKTGKCKFGSTCKFHHPKDIIITATEVEDGNGETFIVGGGTNGNGNLELSITPFAPAMLHNSKGLPIRPGEADCPFYLKTGSCKYGATCRYNHPERYAINPAGAIVSTPAAHLTFGMVNPISAVMQTVDPRLAQTTLGLGPTVYPQRPGQMECDYYMKTGICMFGERCKYHHPIDRSAHAQSAKESQLSIKLTLAGLPRRETGACKYGVTCRFDHPTPGEVMAAPTSQGPSDDVKEE >cds-PLY76703.1 pep primary_assembly:Lsat_Salinas_v7:3:140957269:140958114:-1 gene:gene-LSAT_3X93780 transcript:rna-gnl|WGS:NBSK|LSAT_3X93780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILRLRGNNFMGRIPRSVCDILELHVLDVAYNNLTGTIPRCLGRLHAMVSDTGGKGGVSDDLEEEYVNQVMKGVNRVYTTSWQIVFNMDFSSNQLVGGIPIDLTALSMLMGLNLSNNHLSGRIPESIENMTKLESLDFSKNDLTGIIPPSMATLSFLSHLNLSHNFFGQIPKGNQLHTLDDPSIYVWNKDLCGAPLPRNCSSHEYPTTDEKKDETLRPMKVWFYLDIISGFATGFWGVIGVLLFKKQWRKKLFMFAEETMDKIYVAVVVRVAKMKRGREAL >cds-PLY73377.1 pep primary_assembly:Lsat_Salinas_v7:7:64731435:64735197:1 gene:gene-LSAT_7X46021 transcript:rna-gnl|WGS:NBSK|LSAT_7X46021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSKDSRLSVGTIRREDGSKVAAVEQIQETPLVIKSTSSGSEQRKVGPSELQGVGKNVVSESSSRQGSSSHIAPVIHEWTYDAMCHDLLEMEGNKYVHVVPSKTGDGYERKEVLLEDHDLVWLELRHSHIKDASERLHDKMTNFVSRNKAAQMHGRDGGEMSTRDLQKMVQALAGKINGIIREMGLREVGQLEQDLVFGDAGTKDIIKFLNEQDATDEQKIRLLMIYVATHPEKFETDKLAKILELADLLPEDMKAIYNMRFLESAPDSMNNSNSGFPLKFDNKPVCLHPVHNYAIIAYDPSALGATGALVVQAVVLLPYDTGLAMGIQGTEVAKESSDIVILEDNFASIVKSRGVIDEALVVATGPDYRFELAIQLGKLENVKDIVLVAQSESKWK >cds-PLY74390.1 pep primary_assembly:Lsat_Salinas_v7:6:154038464:154039755:1 gene:gene-LSAT_6X93300 transcript:rna-gnl|WGS:NBSK|LSAT_6X93300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFTTDNPVDEEVAAVEVVTSAEKGTSNFAIPLFKCITQILFLLQHVGRLWIKYYPRVFGEETVIMPPSSLTFMISCLYAFAEIKSQGSEFPFRTHPQSTNVAVASLLFYGVASTAEHIVSATRLDPASAYAIIARLGRAMGNVEE >cds-PLY85490.1 pep primary_assembly:Lsat_Salinas_v7:3:42712317:42717129:-1 gene:gene-LSAT_3X33021 transcript:rna-gnl|WGS:NBSK|LSAT_3X33021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR1 [Source:Projected from Arabidopsis thaliana (AT3G12280) UniProtKB/TrEMBL;Acc:A0A178VFS5] MGDIGPLNPDTVTSTQTVTTIEARFSDFCKGRLTMDDNASEEAMKLFNQSKHLFLTNASSLGSGTPEEAEHYWFAFLLFSMKRLRQKNDAEDADKTNGNDFKLYQILRVAKLNFVDFFKELPQYLVKIGPILSNLYGSDWETRLQAKELQANFVHLSLLSKYYKRAFKALFLDNVDAQSAVANSAEYIANCHRFGWLLFLALRVHAFSRFKDLVTCTNGLVSILAILIIHIPARFRSFSINESSRFVKKEDKGVDLLASLCNMYETSEDELRKTMVRTNIVVEEILMKNPCSASECQNGNLDNIDTDDLTYFQDLLEESSLSSDLSILEKDYNDAMLLEGELDERLFINDEESLLGSSSLSGGAINMNGTKRKVDSMTSPTKTIASPLSPFRSPSKMISTPVSTAMTTAKWLRTIVSPLSSFPSVDLTRFLQSCDKDVTSDVIKRARIILEAIFPSSGLGDRSVMSMSNMQSSNLMDNIWAEHRRLEALKLYYRVLQAMCTAEAQILHGNNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPSLSSEINRLGLLADPMPSLDAIALQCNMSCGGLPPVPSLQKRDTSPGKSGELRSPKRVCNEYRSVLVERNSFTSPVKDRLLGINNLKSKMLSPALQSAFASPTRPNPTRGETCAETAVNLFFSKIVKLAAVRINGMVERLQISQQIRERVYCLFQQILSQRTALFFNRHIDQIILCCFYGVAKITQLSLTFKEIIFNYRKQAHCKPQVFRAVFVDDRSSSRRSGGKTGQDHVDIIMFYNEIFIPAVKPLLVELAPSGVAKNPNQVSEANKEDEGPCPGSPKVVSSFPSLPDMSPKKVSAVHNVYVSPLRSTKMDALISHGSKSYYACVGESTHAYQSPSKDLTAINNRLNGHGTRKVRGTLNFDEVDVGLVSDSLVANSLYLQNGKPPSASATAQQQLKTE >cds-PLY72030.1 pep primary_assembly:Lsat_Salinas_v7:8:114988428:114989476:1 gene:gene-LSAT_8X79221 transcript:rna-gnl|WGS:NBSK|LSAT_8X79221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMKTSFFTIALLSLFLSLYPSLSQRCNKKDEKILLRIKKSFGNPDVLTTWTKEIDCCSWYSVQCDEYTDRISALTIFSGNISGRITEAIGNLPYLETLILRKLTNLTGQIPSSIAKLTKLKTLTISYTNISGPIPSFLSQIKSLATLDLSYNGFTGTIPPELSLLPKLRTLRLDRNRLTGTIPESFGNFTGRLPAIYLSHNLLNGSVPQSMAQVNFTALDLSRNRLMGDLSVFFGMNKTIKAANFSRNLFEFNFSNLNQFPESLSVLDLNHNRIYGSLPATLTGLKLQTWNVSFNRLCGEIPTGGSLQKYDSSAYFHNQCLCGSPLPAC >cds-PLY75395.1 pep primary_assembly:Lsat_Salinas_v7:6:180368487:180372477:1 gene:gene-LSAT_6X110560 transcript:rna-gnl|WGS:NBSK|LSAT_6X110560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQGKYSRVDGKKSSCSTATIVVVFGVCLIGVWMFMSTSVAPGQNQDLPAQHSKTESKVKVSTNPSPLFEDNSGDLPEDEKTSNEEEPSKIEAENTNDEEPTSRNTGDDSSSSQSDKSKSEDDLNSDTNETLKSNSEVDKQEKKPENDKKTESFPAADQSEILNESRTENGAFSTQAAESASEKESRQPDVLKNEDGYEWKTCNVTTGPDFIPCLDNIGALRKIRTTLHYEHRERHCPVESPTCLVPLPQGYKTPIKWPRSRDQIWYNNVPRTKLAEVKGHQNWVKVTGEYLSFPGGGTQFKNGALHYIDHIRKSLPDIKWGKRTRVILDVGCGVASFGGYLFERDVITMSFAPKDEHEAQVQFALERGIPAISAVMGTQRLPFPSKIFDAIHCARCRVPWHIEGGKLLLELNRLLRPGGYFIWSATPVYQNNTEDSEIWKAMSKLTKAMCWELVVIYSDKLNQVGAAIYKKPTSNECYDNRQQNDPPICETNDDPDAIWNVELEACMHKAPVDESIRGTKWPKTWPQRLESPPYWLKATESGVYGKPAPEDFTADYEHWKRVVSKSYLNGLGIDWSSIRNIMDMRSIYGGFAAALKDLNVWVMNVVPLDSPDTLPIIYERGLFGIYHNWCESFSTYPRSYDLLHADHLFSDLKKRCKLASVIAEVDRILRPEGKLIVRDNVETIAEVENMAKSLHWNVRLSYNKDNEGLLCVEKTLWRPIEVETLAYAIQ >cds-PLY94600.1 pep primary_assembly:Lsat_Salinas_v7:8:181779235:181779816:1 gene:gene-LSAT_8X117981 transcript:rna-gnl|WGS:NBSK|LSAT_8X117981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMCFCGRDAVVRTSWTSANLGRRFLSCPQKGSKCRFLGWIDPLMCARSMLIIPGLLGNINNANYEVARLKIKKLL >cds-PLY86514.1 pep primary_assembly:Lsat_Salinas_v7:8:134580532:134582509:-1 gene:gene-LSAT_8X91261 transcript:rna-gnl|WGS:NBSK|LSAT_8X91261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFILFAGRLLFASLFILSAYQLYHEYGTDGGPAVKVLEPKLDVFTKLIASKAGIQIPEIDTKHVVSAVIVLKGFGGVGFIFGSYAGAILLVLHQLVFTPVLYDFYNYDVEDAEFGQLFTKFTQNMALLGALLYFIGMKHSISLRQQRKVPKTKTG >cds-PLY99552.1 pep primary_assembly:Lsat_Salinas_v7:8:248025320:248028304:1 gene:gene-LSAT_8X145740 transcript:rna-gnl|WGS:NBSK|LSAT_8X145740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDKAVTSCLDRAEDLLRHTLVLNQRIVVLEHLSFSLHPSSSSLSYNDNHSRIDIGSRDFCSHTCNLNQVLSQGPAAIDYSCLLQEDEFHKLADATIHDLLQKIEVGQLCEEYTTLFKQLTSGSQQFKDASTNNRVIKADVEALRAKKDHQAIDILLAEIDIYELFAFKHCWGRRLKLALCEELDERMRDLNLLSNSHFWRWP >cds-PLY73087.1 pep primary_assembly:Lsat_Salinas_v7:9:3797561:3798021:-1 gene:gene-LSAT_8X76621 transcript:rna-gnl|WGS:NBSK|LSAT_8X76621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLKQEKEKERQRALLNWNWYEVVDILSSEDEKVDDEVAEMFNTSNSTKPKTLSPPPKRPRVKVQSRNICYRLRDEEDDEFNVDVGHGLHTVAAENQPSQGDEDAVVNT >cds-PLY89064.1 pep primary_assembly:Lsat_Salinas_v7:9:28937495:28938230:1 gene:gene-LSAT_9X25680 transcript:rna-gnl|WGS:NBSK|LSAT_9X25680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRNEDKNNIQVTFSKRRSELNKKSLQLLVLCDAIVVVVMLFSNGKIYEYGSDSTNRLTELIRMG >cds-PLY95335.1 pep primary_assembly:Lsat_Salinas_v7:1:42395511:42402346:1 gene:gene-LSAT_1X37260 transcript:rna-gnl|WGS:NBSK|LSAT_1X37260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRRASDKLLALRPVARPNRIVRSSLSPATLRSSPTVITRHYSGPLGTKQHGMRFSTSVPNDPDTHDDFKPTNKLENSQLTLKDIVEQDVKDNHVMIYMKGDPEQPRCGFSSLAVRVLSEYRVPIHSRNILEDPELKNAVKSFSMWPTFPQIFINGEFIGGSDIILNMHQNGELKEKIKATPSE >cds-PLY86001.1 pep primary_assembly:Lsat_Salinas_v7:MU040799.1:1791:2249:-1 gene:gene-LSAT_0X45680 transcript:rna-gnl|WGS:NBSK|LSAT_0X45680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFHLTLFISISSSTPNPDNSSSSLSSTPLTAHSVLTHHGFPIGLLPTDVLSYDLNHTSGEFSVNLGYPCRLILPPDNYLATYSKKVTGKLVQNRISELNGIRVKAFFQWWGITGIKINGDDLVFEVGMVTAKYPSKNFDEVPQCVGKKHSSS >cds-PLY89579.1 pep primary_assembly:Lsat_Salinas_v7:4:366634537:366639409:-1 gene:gene-LSAT_4X177060 transcript:rna-gnl|WGS:NBSK|LSAT_4X177060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYKSPTVFHCFITIIIIINSFVLLSRGVSATKHEDIGIYELNNGHFSVKLTNWGATIVSVFLPDKNGKVEDVVLGYDNIDTYKNDSTYFGAIVGRVANRIGGAKFTLNGTSYKLHANEKGNILHGGTPGFSDVVWKVKKYEKDCESPCITFTYHSFDGEQGFPGDLKVMVTYSLVGNYKLRVKMEAKSLNKATPVNLAQHTYWNLGGHNSGDVLSQKIKIFGSHITPTDDELIPTGKIVSVKGTPYDFLKPYTIKSKIGELPDGYDINYVLDGEKGKKMKVVAVVWDDKSGRSMKLTTDAPGVQFYTGNYVKDVKGKGGCVYEAHAGLCLETQGFPDAVNHRNFPSQIVNPGEIYKHNMLFSFSIKDRKDLGSI >cds-PLY96601.1 pep primary_assembly:Lsat_Salinas_v7:7:43510468:43516338:1 gene:gene-LSAT_7X29861 transcript:rna-gnl|WGS:NBSK|LSAT_7X29861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASYSATTKSFTLFCLIVSHFLAVFTAEDGLLTNGDFETPPSGGFADEGLGDNPDAIPNWKVNGTVELVASGQKQGGMILIVPEGRHAVRLGNDAQISQELKLEKGEIYSITFSASRTCAQLESLNVSVPPASQTIDLQTLYSVQGWDTYAWAFQADQEDTNVVFTNPGMEDDPTCGPIIDDIAIKKLFVPTKPKDNAVLNGDFEEGPWMFRNASLGVLLPTNLDEEISSLPGWIVESNRAVRYIDSNHFSVPGGKRALELLSGKEGIISQMVSTTPNKPYKMSFSLGHANDMCKQPLAVMAFAGDQAENIHYAPNGNSTFQIVNVNFTAKAERTRVAFYSVYYNTRSDDMSSLCGPVVDDVAVVVSKGVRGLGFSGLVVFVLVLLFVV >cds-PLY80083.1 pep primary_assembly:Lsat_Salinas_v7:4:349228711:349229076:1 gene:gene-LSAT_4X172040 transcript:rna-gnl|WGS:NBSK|LSAT_4X172040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSINNPTVCTHLVSAKCICVTWRACLGHIPLAMALAQRGVHLNSTYCSMFANGVEDADHMLVGYPLASEALEWIFNWCGILFHKFSPVSNFVSFATYRVVPITEDLHCHLLWIPMVCVEG >cds-PLY91841.1 pep primary_assembly:Lsat_Salinas_v7:6:32648875:32650850:-1 gene:gene-LSAT_6X26340 transcript:rna-gnl|WGS:NBSK|LSAT_6X26340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDDNELLILLSDSDERGSDLDLAFQLQMQEAIKVSSSSKPSSSSSSYFPLNETQESSSGTARNSVKRERERYYRELVGAELKNMNENLNRLIHDQPFARQILDVPDVDLTMTGDFLEKPYALLPSSNEEVFTVYFRGLVCDESVINVKMSFAGIGVAIYDESDCCVFESRKSFLVGGTEGEDDDVLELTALIEALNTAVTLGLKRVEISCNCLSIYHYLIGERRPTDNKVMTLINHLNHMETKFAYCCPILVKQNNLAFAYQLAKDAILSHATKLAENESRKTLLEQCTICFESTYIGQMFSVNKCLHRYCFSCMRKHVEAKLHQGKLPECPHEECKSELEIETCKSFLNPKLYDMMSSMIKEASIPPSEKVYCPVSSCSALMSKTELQQQAPPSSSSSSSSSQESGKRKCVKCHRLFCMNCNVAWHDNMSCCDYIESFEYKSANEAKLKSLASSKNWRQCVKCKNLVELAAGCFHICCRCGYEFCYTCGAEWIEKKPTCTCPIWDESYIIYVE >cds-PLY97964.1 pep primary_assembly:Lsat_Salinas_v7:3:17905334:17911291:1 gene:gene-LSAT_3X13540 transcript:rna-gnl|WGS:NBSK|LSAT_3X13540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESRFRHALRVAQSHRRLLTMQDVFFEEEIRGLIHDLHLQTPMLGGGLIGQAAFTKKHLWMSSEDNYTGQNSSGSIWDMFRDDSKFCLQFSSGIKTIAAIPVEPQGVVQFGSSEKILETTDFINQTKRMFNEIVNAEGPATAISSSSPNGLFASLISSQDSNFGDGASLAFPQIDNNQSITNLHQDLFDLPIPMDFGMIPDDFFQTDLSNSQFYPQSPGQSNVTFGSLMSNDHLLSQSMGFLPINSEEKGKSLTISGIDVDLFGSTGDLGDIVTPLINENYSYNGCASMSKPTQHVNDATTLTPKKGLFSNLGIKELFEGISGTSNTPSTSCIEDQVSSKRRKTGNSIWEMSNSCSLQPVVYNKSLKIEPGGSWVGDGYSMDGSSTILQAKKQTESSKPIKKKAKPGTRPRPKDRQMILDRMAELRELIPNGEKMSIDCLLDRTIKHMLFLQSVTKHADRIKQADEPKHNGVIQNNYSNDPNNNGVTWACELGNQTMICPLIVEDLSTLGQMLIEMLCEEHGFFLEIVDIIRGFGLTILKGVMEVREEKIWARFIVEAEAKRHVTRHEIFAALVQLLQTMGSNALDTHLDKKIMQTGNSLLNNFQHSAGVLQLPVSLADNGYGMNL >cds-PLY67333.1 pep primary_assembly:Lsat_Salinas_v7:4:19005004:19005617:1 gene:gene-LSAT_4X13281 transcript:rna-gnl|WGS:NBSK|LSAT_4X13281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKRVTDNVEKYEIGRTIGEGTFTEFKFAKNTETGVAIVVKVLAKNTKLKHKLVDQVLSSHIKIYDTGICH >cds-PLY97976.1 pep primary_assembly:Lsat_Salinas_v7:3:17931116:17931501:1 gene:gene-LSAT_3X13560 transcript:rna-gnl|WGS:NBSK|LSAT_3X13560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMEKSNKKRIQQSPDTSDSRAFPIEIIELKVSYLGENIALVSLKCKKRRDTMVKICEVFESLKLNVVTANITAFPETLFKTLFIQVCTRKHTLRWCVLD >cds-PLY95582.1 pep primary_assembly:Lsat_Salinas_v7:6:138981940:138983056:1 gene:gene-LSAT_6X84020 transcript:rna-gnl|WGS:NBSK|LSAT_6X84020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT2G47420) UniProtKB/TrEMBL;Acc:A0A178VWJ8] MAGGKIRKEKTPRGSIGSSNHYQGGIQFHKSKGQHILKNPLLVDSIVQKAGINSTDIILEIGPGTGNLTKKLLEAGKSVIAVELDPRMVLELQRRFQGTPFSNRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLNHKPSFRCAIIMFQREFAMRLVAQPSDSLYCRLSVNTQLLARVSHLLKVGKNNFRPPPKVDSSVVRIEPRKPPPVVNFKEWDGLVRICFTRKNKTLGSIFRQKRILSIMEKNYKTLQALGVPQAADVAVDMAVLGDSGEMEEESEDEDEDMDMDMEMEDGETKSDFKEKVLGVLKEGEFELKRSSKLAQEDFMHLLSLFNKVGIHFS >cds-PLY92028.1 pep primary_assembly:Lsat_Salinas_v7:8:239448930:239450260:1 gene:gene-LSAT_8X142581 transcript:rna-gnl|WGS:NBSK|LSAT_8X142581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTTYLFDIINNVNNEIDGLQKRISDVKEAKDEEGKLSSFISHCLPPSAPSSSPTITSIWDSGFKDETESITSNFFWVFNQKSIRWRSRLKFHIGFSPISSQTVPFLSVFLPFSGEKYSKRDSRSYNLDVGHGIVVVSMAATGQGWDVKLIDGLRHGELKKLMGLDVYAEFIIPSTAVNLY >cds-PLY89068.1 pep primary_assembly:Lsat_Salinas_v7:9:28953691:28956694:-1 gene:gene-LSAT_9X25741 transcript:rna-gnl|WGS:NBSK|LSAT_9X25741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKPKQVNKRSQKHQNDWFNDVLDENKKLAIPIGPRFQADVAEWTGPPQRKYPHKSLTKSTSSKYLGTVIWSNKETTPETEIGKGRPENCDCHSPGAISCVKRHIALKRTRLQKDLGPAFHKWKFDEMGEAVAKLWKYSEQQKFIQIVKRNPISEGNNFIKLALESFQSKSWKNIASYYFNVYLPRRISAKTRSRTGTVDTDDDEEEEEKEKKTKPCSKGSRKRAQVDGVGKGNTSKIGKVGYLSGRR >cds-PLY94611.1 pep primary_assembly:Lsat_Salinas_v7:8:180551927:180561963:1 gene:gene-LSAT_8X117441 transcript:rna-gnl|WGS:NBSK|LSAT_8X117441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIERVQEIASLSNLNGTIPIEFIRSENEQPATTTIHGVTLEVPVIDLSDLDDQKLVASIFEASKDWGIFQVVNHDITSEVISKLQKVGKEFFELPQEEKEAIAKPVGYKGVEGYGTKLQKEVEGKKGWVDHLFHRVWPPSAINYQFWPKNPPSYRETNEQYTSMLIGVANKLLGFLSKGLGLEEGEIKQGLGGEDLTYMLKINYYPPCPCPELALGVVPHTDMSSITILVPNEVQGLQVFKDGHWYDVAYIPNALIIHIGDQMEILSNGIYKSVYHRTTVNKEKTRMSWPMFLEPPSEFEVGPIPKLINQDNPPKYKTKKYKDYVYCKLNKLPQ >cds-PLY64395.1 pep primary_assembly:Lsat_Salinas_v7:4:26470603:26473108:-1 gene:gene-LSAT_4X18981 transcript:rna-gnl|WGS:NBSK|LSAT_4X18981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSPPLPKEAPLEGEWEEEEEEQGPRREAKWWYSTFHTVTAMVGAGVLSLPYAMAYLGWGPGMAVMVISWCMTLHTFWLLIQLHESTTGVRFDRYIDLARHAFGPKLGPWIVLPQQLIVQVGVNIVYMVTGGTCLQKFMEMVCDDCTRLRHSYWIVIFGSTHFLLSQLPNFNSVYGVSLAAAVMSLCYSTIAWAGCLSKGRQPDVSYDYKKTSGADSIFRVFNALGQISFAYAGHAVALEIQATIPSTPGKPSKVAMWKGAIGAYFVNAICYFPVAIIGYWAFGQDVTDNVLVALQKPSWLIAAANLMVVVHVLGSYQVFAMPLFALIEKGLTKKFNVPSGVALRLVVRTSYVAFTLFMAVTFPFFGDLLGFFGGFGFAPTSYFLPSIIWLILKKPKKFTTQWIVNWVGIFIGVFIMLASTIGGFRNIIADSASYKFYQ >cds-PLY63002.1 pep primary_assembly:Lsat_Salinas_v7:8:183998669:184000911:-1 gene:gene-LSAT_8X121220 transcript:rna-gnl|WGS:NBSK|LSAT_8X121220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSISICNPKSISATVAFALQSLLQFHHQCHPTPLQSHSPNTLLDEFTASCYRKDLPAAMKALSFMQKHQIWADSVTYSELIKCCLARGAIQEAKLVHKHVFSNGYNPKTFLINTLMNMYSKFNLLNEAHELFDEMPERNVVSWTTMIAAFSNAKMNDKAMEFLILMIRNGIRPNMFTYSSVLGSCNGLPILKQIHCSITKAGLESDVFVRSSLIDKYSKWGELQNALTTFNEMETSDLIVWNTIIGAFAQNNNGDEALNLFKRMKKSGFMSDQATLTSVLSAVTGMALLELGRQVHVHVLKYNNQDLILGNALLDMYCKCGSMEDARFEFTKMVEKDVISWSTMINGLAQNGFNHEALKMFQTMEASGSKPNYITMVGILFACSHAGLVEKGRFYFEKMENIYGIKPGREHYGCMVDLLGRAGQLNEAFKLIHTMEPGPDVVTWRTLLSACGMHRDTGLAAYAANRVLELDPEDSGTYSLLSNIYANTQRWEDVEKVRRTMRGKNVKKEPGCSWIEVNKEIHAFIVRDDSHPEIENIMREVNCYVKRLREVGYVHDTSFVLQDVEGEQMEDPLLCHSEKLAIVYGLMVLVKGKTIRIRKNIRICGDCHLFAKLLAKMENRNIVIRDPIRYHHFQGGVCSCGDYW >cds-PLY73456.1 pep primary_assembly:Lsat_Salinas_v7:4:179201645:179203765:1 gene:gene-LSAT_4X106080 transcript:rna-gnl|WGS:NBSK|LSAT_4X106080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTLFAKKPLVTLVFFTIILLFAVISLSPSSPFSQIPLYSAIGESDIWSAKRILEWRPCKWWLQGHLTALPVETNGYIRVDCYGGLNQMRRDLCDGIGIARLLNATLVLPKFEVAAYWNESSGFTDVFDVDYFIQQLKGFIKVVKELPAEIASKEPVRVDCSKRKGQFDYIESVLPSLLKHHYISITPAMSQRRDRFPVYAKAALCQGCYSALRLTNPLETKSSELLEAIPKPFLSLHLRFEPDMVAYSQCEYQGLSPASMAAIEAARGGGDRKPFTGDTASVWRNRGKCPLTPNETALILQSLSIPTNTNIYLAAGDGVMELEGLTSVYTNVITKSSVLSGEELKDMHGNTKAALDYYVSINSDAYVATYFGNMDKMVAAMRAYKGLYKTVFLNRKAFAEFSSQGLKGKELMEAMRKAQEDDFVMGKGSALPDCFCDFKL >cds-PLY69709.1 pep primary_assembly:Lsat_Salinas_v7:2:41032567:41033260:1 gene:gene-LSAT_2X20101 transcript:rna-gnl|WGS:NBSK|LSAT_2X20101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFLDTFKRKNLSFLKVMQMMLYEKIQLNEEYVAMLLESGYNIGEIEGSKGITMPLHEMAPVELELQDQEGHPDDVEVVDDVQVDEVVDDGQVDDVEVFADDEGDDEGVDKVQVEAGIEAAVEGVDDIVNNLPNLSTKTVHRKRKPSERILKLKLKKIVYDKDGGGSSATKPVKLD >cds-PLY68778.1 pep primary_assembly:Lsat_Salinas_v7:2:211547997:211548362:1 gene:gene-LSAT_2X131321 transcript:rna-gnl|WGS:NBSK|LSAT_2X131321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTMVTLAASLPQFQHHCAMTSVLKSPFETQIASNEEALDKTRSCLMEGMHLLNKVTVHTRARTDQLSKCQIVFEEDLEVSKQLRTLHEKTEEEAKELREEVANILGSNQTLVRDLSQAIG >cds-PLY64579.1 pep primary_assembly:Lsat_Salinas_v7:6:37308981:37311285:1 gene:gene-LSAT_6X26820 transcript:rna-gnl|WGS:NBSK|LSAT_6X26820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLPQIEFFHNNLISLYATLGHISIARKVFDEMPHRNVVSYNTMIGAYSRNKEDNEACNLFADMRYHGFLPTQFTYASLFSCDSLNTDQGFCLQSVAMKSGLLFADTFVGTALLGLFGRQGYIREAFMVFDEMPFKNLVTWNAIISLCGHQGFTNECMLMFSHFMKTEIKLSEASFVGVLTGFQSKQNLKSGEQIHALVIKFGVEHSVAIANSLVKMYGKCEGNTFLAEKMFQFVSNKDLMTWNTIIGIVAKGEKPIKAIEFFSKMCINGFLPNKITFLNAITSCSRLDNLTYGELIHSMIIKNQFEKDSLVATSLVNLYAKHDRLDYAHQCFDKIHDKNLVSWNTLIHGYSDKQCSSSLLLMIKMIHSGISPNEFSFSSVIKSLLATELKEIHSLVIKTGFHSNEYITSSLMTSYANNGFPSDALRFFQDSKIPQSIVHMNVICGMYNRNGEYHKTQELFCEVGNPDIVSWNILIEACSRNGDYKEAFELFHHMLIDRIRPDNYTYVSLITISTNLCNLLLGSSLHGLMIKSNFNHFDIMVNNLMIDMYGKCGSIRGSIMIFEEMRKKNVISWTVLISGLGLHGFGKEAIEKFKEMEKFGVKPDKIAFMAVFSGCRHVGLVKEGMELFEIMKEKYGIEPEMEHYLLVVDLMARYGDLKEAEKLILGMPFLPNASIWRSFLHGCNRAKSLVSQIQAC >cds-PLY99748.1 pep primary_assembly:Lsat_Salinas_v7:2:131483434:131484621:1 gene:gene-LSAT_2X62141 transcript:rna-gnl|WGS:NBSK|LSAT_2X62141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRRKQRFFKRNGGLLLKQQQATDIGLVDKTILFTSDELDKATDNFNENRILGQGGQGTVYKGMLPDGRIVAIKKSKVVDECQLEQFINEVVILSQVNHRNVVKLLGCCLETEVPLLVSEFISNGTLYDLIQNEAGEFACPLNLRLQIAIEVAEAVSYLHSATTIPIYHRDIKTANILLDEKYKAKVSDFGTSRVVPIDKTHCTTTVMGTFGYLDPEYYSSSQLTEKSDVYSFGVVLLELLTGEKAVFLTKDVKTSLVEHFKVAMKEGRGLSIFDKMVLKEGPTSELLAIAKLSLKCLNLNGKNRPKMKEVAIELEGIRLSYMPSTIQTAFGHVKSNGSTSRSLTSNTELEGNRMSHVPSTIGTSSGHVKSHEEVSQTHGGSTSTSLTFEDDLCR >cds-PLY89163.1 pep primary_assembly:Lsat_Salinas_v7:3:22280617:22282518:1 gene:gene-LSAT_3X16540 transcript:rna-gnl|WGS:NBSK|LSAT_3X16540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKIVKLSQPIEKFREIHKNLSLRSSPKLWHDHENEDAGEPILRLNHHILSKLESCSTVTLREFNQVHAQLILSGLFQHSLASGRVMKKLCSSPSTVFHAVNLFHGVDEPDSFLCNTIMRGFVNANEPLRALEFYRREMIAKFIPANHYTFPLLVKICAELGLVREGEKAHTRVLKEGFELDLYVRNALIHMYAVCGRIRDAQMVFDLSWESDMVTWNSMIDGYVKNGMVGHARNLFDEMPERDVFSWNSMIAGYVWIGDMVAAQELFDRMPCRDIVSWNCLIDGYAKIGDIVFARKFFDWMPCRNVVSWNTLLALYTRSKNYKDCLKLFDDMLEEQDVKANEATLMSVLTASGHLGRLDRGEQLHLYIKNNKNIIVDALLSTALLTMYAKCGSMDLAQQVFDEMPERTVVSWNSMISGYGMHGHGEKALQMFQDLEKSESVPNDATFVCVLSACSHAGMVLEGWWYFDLMQRVYMIEPKVEHYGCMVDLLSRAGLMKDSEDMIKNMSMAKGPALWGALLSACRTHSNLELGEMVSKRLIELEPNDVGPYVLLSNIYAAGERWDDVENVREMMMKKGLHKLAGSSLVQFGNSDVESNGGHLVHKRRLVYSMLSDMGVQMKMSYKDT >cds-PLY73013.1 pep primary_assembly:Lsat_Salinas_v7:9:37337970:37339612:1 gene:gene-LSAT_9X35161 transcript:rna-gnl|WGS:NBSK|LSAT_9X35161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNFVQRVMSYLVNEILVDSLANSRAFQRFAVKTSKSMEEMSSIAAKKRQEIAEQVKEMSRNFESKNR >cds-PLY77222.1 pep primary_assembly:Lsat_Salinas_v7:4:176957825:176964906:-1 gene:gene-LSAT_4X104160 transcript:rna-gnl|WGS:NBSK|LSAT_4X104160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDIYKAVNSIRLGSLRAGSTRVPSLRSGSTSVWRNSGMDVFSKSSREDDDEEALKWASLEKLPTFDRLKKGLLFGSTGPSNEVDIDNLGVEDRKHLLDRLVKTADEDNENFLLKLRARLDRVGIDLPTIEVRYEHVTVEADVNTGSRALPSFINFHIDFFEGLLARFNLLPNSKKHITILDDVSGCIKSSRMTLLLGPPSSGKTTLLLALAGTLDKSLKSSGKVTYNGHELHEFVPERTSAYISQNDVHIGEMTVRETLAFSARCQGVGSRYEMLAELSRRERDANIKPDPDIDVFMKAAATEGQEASVVTDYTLKLLGLDICADTMVGDQMIRGISGGQKKRVTTGEMIVGPNKVLLMDEISTGLDSSTTYQIVNSLKQFLHILEGTAVISLLQPAPETYDLFDDIILLTDGKIVYQGPRENVLEFFESMGFKCPTRKGVADFLQEVTSKKDQQQYWMRRDEPYRFVTAKEFAEAYQSFHVGRKLGDALATPYDKSKSHPAALTNEKYGLNRKELLKACTDREILLMKRNSFVYIFKLFQLTFMSLVTLTVFFRTEMHRNTLEDGGLYTGALFFGVVMIMFNGMSEISMTIAKLPVFYKQRDFLFYPSWAYALPSWVIKIPVSFLEAAVWTILTYYVVGFDPNISRFFKQYVILLLVNQMSSGLFRFIGALGRNMIVANTFGSFALLLVFALGGFVLSRDDVKKWWVWGYWSSPMMYAMNGIVVNEFLGHGWRTPLNGTTLGKMVITGRGFYAEAYWYWIAVVALIGFVLVFNIMFGLSLALLKPFGKTQGNASGDDDEAAVELLTLKKDGDEGTQIKKKGMILPFEPHSITFDDVKYSVDMPQEMKDQGVGEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVKISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLATDVDEHKRKQFVDEVMDLVELNPLKDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQELYVGPVGRHSCDIIEYFEAIDGVSKIKDGYNPATWMLEVSTSAQELTLGVDFTEIYRNSDLYKRNKALIAELGVPRSGTQDLYYPTQYSQSFIIQCVACLWKQRLSYWRNPPYTAVRFVFTTFIGIMFGTMFWDLGGKMDTQQDLVNAMGSMYAAVLFLGVQNASSVQPVVDVERTVFYRERAAGMYSALPYAFAQVLVEIPYVFSQTMVYSVIVYAMIGFEWTAAKFFWYAFFQFSCLLYMTFYGMMTVAITPNANIAAIIAASFYGIFNLFSGFIIPRPSIPVWWRWYYWGNPLAWTIYGMVASQFGDYETRLSNGETVKAYLDRFFGFKHDFLGVIAGVHIGLILFFAFIFAYCIRAFNFQKR >cds-PLY96189.1 pep primary_assembly:Lsat_Salinas_v7:8:101414304:101416001:1 gene:gene-LSAT_8X69101 transcript:rna-gnl|WGS:NBSK|LSAT_8X69101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g14730 [Source:Projected from Arabidopsis thaliana (AT3G14730) UniProtKB/Swiss-Prot;Acc:Q9LUC2] MLINGFLSSPRSITSLINMYSKCNNISDAFLVFTSSSHSQLNVFAYNAIIAGFIHNEMPEPALQVYTKMRVAGVSMDKYTFPCVVKALSSCGDVAGLKIVHGLVFKFGVDHDLFVGSAVVDGYFKLGLMEDAHKVFDEMPDRDVVLWNAMINRYAQIGEHNSALECLHRLRTGGNVPSSFTVTGILSVLTMKGDLDNGKSIHGFATKMGYSSGIAVCNALIDMYGKCKSFLDALDIFEGMEIKDIYSWNSIMGVHQQSGDHEETLNLFRRMLHDRTFCPDLVTFTTVLPACSHLAALRHGKQIHGYMITNNLGKEDDTRINNALMDMYGKCGSMEEAQMVFNHMGSKDSASWNIMINSYAMHGFGHEALDVFRKMCETDLKLDEVTFVGVLSACCHAGLLNQGREFLAQMELKYKIVPTVEHYTCVIDMLGRAGLLDQAYELLSVMPVRPNSVVWRAFLAACHLHGDAKLAEIAARNVIDLEPEHCGSYVLMSNVYGTMGRYEEVCDVRFNMRQQNVKKTPGCSWIEFGDGVHVFGSRDQVHFDEDLIYSELGLLYATMGLCKAA >cds-PLY69686.1 pep primary_assembly:Lsat_Salinas_v7:5:210945561:210946319:-1 gene:gene-LSAT_5X95701 transcript:rna-gnl|WGS:NBSK|LSAT_5X95701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESFTDDQTINFMEFAFTQSTFFLTVGAHRIPHLKSVVVKLCANERGLHQHVASLESSVESSRQQLELLDGEKMSLVEHCAQVDLKLDGTLQQNEDLNIRVESLERDLLDKEKLLLDREGMGRIKNMCFVAGEESGREALRKVVVVGMFDPSAASSTSSHSWEMVDVIDMFISCNYASMMKLGTLNIDGLRQLCVYDDHRGVSPSNNAKITSLNGGAGK >cds-PLY78574.1 pep primary_assembly:Lsat_Salinas_v7:1:108070741:108074478:1 gene:gene-LSAT_1X85181 transcript:rna-gnl|WGS:NBSK|LSAT_1X85181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVNLEKYRIPLEEIIHATNNFSFDTLIGDGGFGMVYKGQLSKHSQNHIVAIKRLNQDGYQGNNEFLHELEMVSSFHHPNIISFIGYYNDANEMILVYEYAANGSLDHHLQNPNKRRCMTWAQRLKICLGVARGLKYLHSGLGEHMRVIHRDMKSANILLDENLDAKICDFGLSRFGPRNQPDSEVLLTKASGTRFYIDPIYNERGRLWKESDVYSFGVVLFEMSSGMLAYAKCFGETKEQYLMDLVRSYYEDDQKDDELEKFIDPILKGHVDMKSFRMFNEIAYECVNLSLKERPTMERIIRKIEQAMDLQNNYATSSITTRNLESFRIPLNKINLATENFKANTCIGGGRFYAMYIGKLSNQWENRLVAIKRLKQGEHEYFHNELHIILSFHHQNIIPFIGYCDEGNEMIIVYEYATNGSVNDHIKDPNKRSSLTWAQRLKICIGAARGLEYLHSGLGEDNDVVHGNFKSENVLLDKNFEAKICDFHFSIQGPINKPSIRHNKKPVDIEFYMNPIFEESIFHKEADVYSLGMILVEMFSGRLANEPSSLGDDKPQYLGDLFIRNYSELDRLIDPLIRDQINSTCYEAFTEIAYECITFYGFGGCPTMETIIEMLEAAADFQGIEV >cds-PLY81534.1 pep primary_assembly:Lsat_Salinas_v7:2:127725110:127727230:-1 gene:gene-LSAT_2X59780 transcript:rna-gnl|WGS:NBSK|LSAT_2X59780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSSKSKIVQTNHDATPAANMVSDINGRPVLQPATSNRVLTRSSLKKSPPLPKSSSVVQVSQQPLRTTIPTAKTSSTERILSSPPTKCATQKPIIPVKNSKKTVGSSGGKNCTNPNSLVVEYSSAAIVDSPGSIAAARRKQVAAMQVQRKMKIAHYGRSKTNNYDGCSKLTSFSDTTTFNVREEKRCSFITPNSDPIFVAYHDEEWGVPVHDDKLLFELLVLTSAQVGSDWTSVLRKRQQFRKAFSGFEAEIISKFTEKTITSTSSHYGIEVGFIRAVVQNSNCILEVKKAFGSFNKYIWGFVNHKPIATQYKVNHKMPVKTSKSEAISRDMVRRGFRQVGPTIIHSFMQAAGLTNDHITSCPRHLQCVDALVSHLPNPTSIINL >cds-PLY70090.1 pep primary_assembly:Lsat_Salinas_v7:4:204510806:204513992:-1 gene:gene-LSAT_4X114760 transcript:rna-gnl|WGS:NBSK|LSAT_4X114760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEFVFTPFDDASNPIIAQLAPEWKKAAKNLQGKVKLGHVNYDDEKDVMEEKCGSAAICFVSFLPDILDSKAEGRNKYIEILLSVVEKFKRSPYKKHFRLLPFGVQPKLTYDEMKILRRLGRPLPCHFALAALLPPEPLAVQNVVDFSKMIGALDVNENLTHLG >cds-PLY76396.1 pep primary_assembly:Lsat_Salinas_v7:8:84983832:84984245:-1 gene:gene-LSAT_8X62581 transcript:rna-gnl|WGS:NBSK|LSAT_8X62581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMVEVREDGNHPRDGNGARHPPYMCCSRPATAQCAEWLFHYGFPTSTRTKVVEVREDGNRPQDGNGFIDPTYMCCSGSATPQCVEWLLYYGFPTSIRTKVDYRYQEETRVPAHDTVETDGTEVVARTVLLGPNPLG >cds-PLY68030.1 pep primary_assembly:Lsat_Salinas_v7:5:293447823:293450179:1 gene:gene-LSAT_7X62020 transcript:rna-gnl|WGS:NBSK|LSAT_7X62020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVEGLDKKPIGFIVNQVAFFGFNCVRLTWATNMFTRYSNKTVVQTFRDLSLTNAIKGLEINNPQFLPLTVVDAFSLVIGVIQSYGVMVILDNHVSEPMWCCSNNDGNGFFGDKYFDPDEWLEGLSIVGKRYRNTPMVVAMSLRNELRGPRQNANDWYQWVRRGASTIHKVNPNVLVLISGLNYDLDFSPLKSEPLGLDRALPNKIVYETHRYSFTEGQRWLRQPLNQMCSNVIRDINNRATFLTTGSDPAPLFISEFGVNLMGTRQSDNVFLPCYMAFLAEMDLDWAVWALQGSYYLRQGVQNMDEQYGLLNNDWEGLRNPDFNAKLFLLRQTLQVPQWRSSNYTFLFHPMTGRCIRSDYKDQLFADECLWLNGWSHSGDGTPVQLASTPLCITVAGDGLPVKLTTNCNAKQSTWQSVKNSRFQISNKDENGVDLCLDFDPKYSSRIVSKKCICVDDNDSRCLANPQSQWFQFVSTNNRFV >cds-PLY63948.1 pep primary_assembly:Lsat_Salinas_v7:4:76802428:76803523:1 gene:gene-LSAT_4X52000 transcript:rna-gnl|WGS:NBSK|LSAT_4X52000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKERSTGAGKPNAHPAGLGVSVGAKEPHFRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDAAAREFRGAKAKTNFPTHDDLGLLKLQTCPNQRSPSQTSTVESSSRDPEPMVPLLDLNLSYGSPPPFVVQFPYNHHHHHHNHNQPRFSTVPGAGFYSPPANQMFYFDGGSKPSARHITNCDRSSVIFRQSASVAKSDDSASSSVVDLKPSPPTRRVGVTIDLNFPPPVES >cds-PLY96583.1 pep primary_assembly:Lsat_Salinas_v7:4:368337409:368338002:1 gene:gene-LSAT_4X180701 transcript:rna-gnl|WGS:NBSK|LSAT_4X180701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTLKRWLRPEVFPLFAAVGVAVGMCGLQLIRNISGNPEVRLTKKKRAAGVLDNYDEGKKYAEHSLRKFVRKRNPEIMPKINSFFADPK >cds-PLY85168.1 pep primary_assembly:Lsat_Salinas_v7:9:147118453:147119949:-1 gene:gene-LSAT_0X6661 transcript:rna-gnl|WGS:NBSK|LSAT_0X6661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCTAIQETTSQEKKRFTPTRLQISLYNLPMFNIHFPSHFALLFHAFSMNTGSPHKRTMLVVLGLLSIFLVFRNSHAYQFKVGGSGDWSLASSSSYDQWAQQSRFQTGDTLLFSYQPNEDSVLQVSEDDYKNCSTTSPIAKYSDGHTAIKLNQSGPHYFISGVIDHCKKNEKVVIIVLANRSNRSSDTPSPSPSVSPFAAPSPAPVSEEIPSPPPAPVTPEIDMTPAPAPVEENPSPPTKNGASSIVKSFFCSFGGFVGLSFVFSF >cds-PLY84640.1 pep primary_assembly:Lsat_Salinas_v7:9:102479143:102479876:1 gene:gene-LSAT_9X74161 transcript:rna-gnl|WGS:NBSK|LSAT_9X74161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHHEHVEEEEEEEEEETVTPVANSGRRNGGSERFRYKECLKNQAFSIGREARDGCGEFMPAGDEGTLEAMICAACSCHRNFHRKVLEVQPQQYYQAPFHHHQMAPPPFYCHHNPAGYLNVMRSPPSHHQRPLAILPTSRNDVEDIPNPSSSGVGSKKRFRTKFTQYQKDRMLPFAEALGWRIQKEDEAVVQQFCDETGISRQVLKVWMHNNKYTLGKRP >cds-PLY62303.1 pep primary_assembly:Lsat_Salinas_v7:5:165610096:165610587:-1 gene:gene-LSAT_5X73141 transcript:rna-gnl|WGS:NBSK|LSAT_5X73141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRECVGLLLAEGGNVGSSGPASLSQPAVRVVSPVQLPAPGSFDLSMGVQEEKGIALIRKRQSLRAVPSLGEVTESDDAGLHPQKMHKTVSVAKLLGGIDNVLGDKFSVPGQKERVVVPSSSVTPPSLLTGSLPVDLGFGSVLGVHRAHPEVLPSLRGLPQLGD >cds-PLY76589.1 pep primary_assembly:Lsat_Salinas_v7:5:224181509:224182325:-1 gene:gene-LSAT_5X105340 transcript:rna-gnl|WGS:NBSK|LSAT_5X105340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEREVDDDDDVEEKEKDDTQPLMKLDGNNGEIEGNGEVEDISTWVKWSEEELSRDFHQRMPIRTKSEGYLKPASGVPLDHGCDFLVSSINCL >cds-PLY91708.1 pep primary_assembly:Lsat_Salinas_v7:7:26552260:26554210:1 gene:gene-LSAT_7X19261 transcript:rna-gnl|WGS:NBSK|LSAT_7X19261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENKKRSFVAVLSLLLLLLIAFNGASIFSIMIPYPENIAGDSRNLVSVSSSLSNLMYALEEENPSVISKTHFKLQRNTVAGAGDYTSFNPVPYSMHDVEQHQQQSISLVKEETPSVISNTHLPLLRKSHSLPFESEDFPVKKKKLLEGLTFLEPSDRLRRGYFRARVKEFFSKNETSCKVRFFMTWISPLNSFGEKEVHSIETVFNTHPTGCLLILSNSMDSNRGRQILKPFLEKGFRVTAISPDLHYLFKNTMAESWFFKLTRGDVDAGFIPFGQNLSNLLRLCLLYKFGGVYMDTDVMILKSFSKLKNSIGAQTLDLGSKNWSRLNNAVMVFDKMHPLVYKFIEEFALTFNGNKWGHNGPYLVSRVVSRLEGRPGYNFTILPPSAFYPVNWNRVRNLFRGAKNETDAKWLRCKLRQIRSQSYAVHLWNKQSRNLRVEEGSIVGKILSSHCVFCNSSTNSIVSIQ >cds-PLY78363.1 pep primary_assembly:Lsat_Salinas_v7:9:10237210:10239181:-1 gene:gene-LSAT_9X7981 transcript:rna-gnl|WGS:NBSK|LSAT_9X7981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTTIPSAQPTIVPFPAMSCRKPPRTPSFLSVLTQPTFVTINEDDLNLNLKINTRNNTTATTSKSTVVYHDSLIESIAIDYISKAFQETSGLRNEKSGYDGFVAVSSAVFREFDPTQQRQIVDKTLEKAIPGFMLIMIRNLMPESKFTREFFAVFTTVFFRWLVGPSEVRESEFEGKKERNVVHIQKCRFLEQANCIGMCTNLCKIPTQEFIKKNFGTPVNMVPNFDDMSCEIIFGQDPPAQEDDPAFKRPCYKLCNVKKRHSASCSK >cds-PLY64094.1 pep primary_assembly:Lsat_Salinas_v7:MU045727.1:920332:922792:-1 gene:gene-LSAT_0X3521 transcript:rna-gnl|WGS:NBSK|LSAT_0X3521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT5G13990) UniProtKB/TrEMBL;Acc:A0A178UPG0] MEDQDLPPPDAADETAQVDEEPTSIASRDDRLSQEIDQFISVLDADDQSDSSSPPEIVGAVQIFSDLLDFRVVEYESGSKKWTQLEEDECSSFVDTVNRTAKLLKSLSRFHSVEYYAALTNRVSGIQQRAMSFMEVEFKSILDDYQTSYDRDHTNEIEEAKTKQLSSSPSNVQDASETDSPDQSESPPEKDEFLGYSDEMISKLNKLSKGLIAGGHETECTELYFFVRRNAMEQNLKLLQFEKFSIDEMQKMQWDPVEKEISEWLKMFKNFATSLLPSERRLVDAVFSENNTEISDNLFGNLARIVLFYLLTFAEAVMMTKRSAEKLFKFLDIYETLRESIPELEKLLSGDWLFQLKTAASLISNMLGEAIFNILGDLENSIQADTGKTQVPGGGVHPLTCYTMTYLKHACEYRDTLEQVFREHKKIDRPDSATGSDHDPDSDHDPGSQDQNFCVQAGPGSAFQLQLAKVMDLLDANLEVKSRLYKDPSLSLIFLMNNGRFILQQTKGTGEMRSLMGDPWVRKRSSDLRSYHTNYKRETWTKLLQCLSHEGLSVNGKVMKPVLKERFKSFNAMFDEIHRTQTTWVVSDDQLQSELRVSISAIVIPAYRSFMGRFSQVFTPGRQTEKYIKYQPEDIETCIEELFDGNANQPGKKR >cds-PLY70906.1 pep primary_assembly:Lsat_Salinas_v7:9:15102356:15103056:1 gene:gene-LSAT_9X13201 transcript:rna-gnl|WGS:NBSK|LSAT_9X13201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFEFLGNRPGKPVSLQTNVFTNGLGGREQKFSLWFDPGADFHYYKLLWNDHQVVFFVDDTPIRVYKNNIIRGVGYPNHTLQVIASFWDGSSWATDGGKTKVNFSNAPFHVNFQDFNIDGCISIPNSPNKDCGSQKYWWNTQKYWQLNPQQLKSLEDVRKKYMNYDYCIDKSRYPTPRPECSEK >cds-PLY78330.1 pep primary_assembly:Lsat_Salinas_v7:2:181947496:181955513:1 gene:gene-LSAT_2X105221 transcript:rna-gnl|WGS:NBSK|LSAT_2X105221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVASKDSNNGRGKGPSVVVVFISSTCGGNGWRLRPLQQGGGSGRLLSSSSSSQHDALVKPTIYEHNFGFGTTEISPLSIADESQFAQHFQSPTQIEVELQPEQPDQSDVPTQILPSLRTLLGLIDVFFVRQDKIESDIHSIKVDVSSIKRVVLPSTSLVPTSNPPPP >cds-PLY82873.1 pep primary_assembly:Lsat_Salinas_v7:4:17657196:17658084:1 gene:gene-LSAT_4X11921 transcript:rna-gnl|WGS:NBSK|LSAT_4X11921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPEELNNRSSSVSNLTRESTFRSLSPTQSPPIQLMERPEDFEFQDASSSSESEWSSVSNESLFSIHITNSLTHDNDIFQSGESVKSDDLTTFSGPINVESDFESLKIETTSKLDEPQKVVRWKTQVERPAEEGAPPEEKSYGGLVNVTSQSGRVGMDAGKTCRVPFVNQKKFLRLILRKEVGPRSHQLLKRGLGFVHGHVLAIAATK >cds-PLY74089.1 pep primary_assembly:Lsat_Salinas_v7:9:12887578:12887979:-1 gene:gene-LSAT_9X10721 transcript:rna-gnl|WGS:NBSK|LSAT_9X10721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIWKVLPLPEEDQVEKELDEDDEPFEEEKTLEEEESLEEANNKDPVDSSPYPNSSSSDDPADHVEAEVQVEDETIRESDLKPLQPMEVLSSTPSPSHQLFRLRITGPC >cds-PLY82666.1 pep primary_assembly:Lsat_Salinas_v7:MU041508.1:36525:38281:1 gene:gene-LSAT_0X34401 transcript:rna-gnl|WGS:NBSK|LSAT_0X34401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKESTQSKRPTVVYLHPTNANKEYLCPLLEGYASHVYIAVAIDSRYHGERAKTHTAYPDIQALVSSWERGDTMPFIYDTVWDLIMLADYLTTQRPDIDHSKIGITGNSLGARIDLGKEAIDKEVVETVRSIKIAKCVADLASGWRLTIVAVLLFDQVWNRIALGLASEFDSPYTVPLIAACPLLIINVEMTNPPSSS >cds-PLY70295.1 pep primary_assembly:Lsat_Salinas_v7:2:93069880:93070038:1 gene:gene-LSAT_2X40940 transcript:rna-gnl|WGS:NBSK|LSAT_2X40940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDFSFFRFYCEVVHLIKLKPSPTTTVEPLTTFKTITIAILEGFGGGDVCGV >cds-PLY92583.1 pep primary_assembly:Lsat_Salinas_v7:7:159831092:159832900:1 gene:gene-LSAT_7X95141 transcript:rna-gnl|WGS:NBSK|LSAT_7X95141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLDQELNQHGRDIIRTIEVVQSVTKLSTTAVDNFDSNGEELYTPPLNFSMVDNGIFRSGFPDTANFSFLKTLGLRSIVYLCPEPYPEHNMEFLKANRIQLFQFGIEGTKEPFVNIPEDTIREALKVVLDVRNHPLLIHCKRGKHRTGCLVGCLRKIQRWCLTSIFDEYQRFAAAKARVSDQRFMELFDASGFKDIPPSPCSCTKRR >cds-PLY94470.1 pep primary_assembly:Lsat_Salinas_v7:2:156190392:156192593:-1 gene:gene-LSAT_2X79920 transcript:rna-gnl|WGS:NBSK|LSAT_2X79920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVQSSALCFSAIPSNFRPSSWSNRLSSSLDSRSCSTVKASGLTSTPSTPPSFRFSSSSCSQPGKVFASIKGSAETDESSLVVCFGEMLIDFVPTINGLALAEAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGEDEFGYMLADILKENNVNNEGMRFDPGARTALAFVTLKKNGEREFMFYRNPSADMLLQESELDLDLIKKAKIFHYGSISLITEPCKSAHIAATKVAKDAGVLLSYDPNLRLPLWPSAESAREGILSIWDTADIIKISEEEIGFLTNGEDPYDDNVVRKLYHKNLKLLLVTEGPDGCRYYTKEFSGRVKGMKVDPVDTTGAGDAFVAGVLSKLAEDLSLLQDEKRLREALSFANACGAITVTERGAIPALPTREAVMDALLKAVA >cds-PLY92215.1 pep primary_assembly:Lsat_Salinas_v7:6:76112175:76112963:1 gene:gene-LSAT_6X53420 transcript:rna-gnl|WGS:NBSK|LSAT_6X53420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALYYFFLYTLFSLSLSFCGTSAVNYQAINDASDTPGGIRFTNEIGIPYTKQIMRSINNYVWTTIFEQSDPADRKHVSTMTVYIQEYKGAEAIAWGDNINVSSVYLQGYQGDVKWEYTSLLHHEITHVFQWDGEGQAPVGLVEGVADYTKLKANYAQVGFAEPGSGDTWDQGYDFTARFLEYCDGIVPGFVAKLNKMMRFSFDVKYFEDLTGKPVDQLWQEYKAHYGNVV >cds-PLY73745.1 pep primary_assembly:Lsat_Salinas_v7:8:270347450:270348286:1 gene:gene-LSAT_8X155581 transcript:rna-gnl|WGS:NBSK|LSAT_8X155581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEQQQQPEQTPLVYPVSEASPPVHRQSDGGSFGAVFIVLAVIVVVSAVACFLGRLCNKRHNKSKPPKHGHAARGPKEKEMKPNRNAFQTKDGDIEFGFDKRFSSAKVAANGEPMMGRPNSYKEPSMGRSDPSMGRPDPSMGRPNSFRKGEFRDDQMRYGGNQDHEMNFKSGQGPQHY >cds-PLY93912.1 pep primary_assembly:Lsat_Salinas_v7:7:180260571:180267725:-1 gene:gene-LSAT_7X106800 transcript:rna-gnl|WGS:NBSK|LSAT_7X106800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKETSRRGNPRIILLLVSLLALIDTRGFAQMYLMDGWKIILRVGPWNGLQFSGEPDYWNPKPNPIYNFSFVLNEREIYYHYNLINNSVFTRVMLKPNGNMDRKEWNVYLSPEIDNCDRFDLCGPYASCNIDDSPACECLKGFEPTLPNQWKVVDWDQGCRHRTPLDCGTGEGFNKFSNVKLPDTQGSRFKQTWTLEKCERVCKKDCLCSAYTHSNISGSGSGCLLWSDELMDIRMFSENGQDLFIRLPPSELGKSDSVPHQLDTISCASLYVYFHMTENSQSSSVGGQVRIINVPIASVFAYSYFTDIRGRDAHKNWSVFFFCKYLFIPLVETHEDKFGHDSENNSNKEDLELPLFSFATLLKATNNFSLNNKLGDGGFGPVYKRLEETSTQGLHEFKSEVISISKLQHRNLVKLLGCCIEGAGKMLLYEYLPNKGLDSFIFDKRQSKLLDWPARFKIINGIAHGLLYLHHDSRLRITHRDLKVSNILLDSEINPKISDFGMARNFGGNQIEANTNRVVGT >cds-PLY91735.1 pep primary_assembly:Lsat_Salinas_v7:9:17168539:17169916:-1 gene:gene-LSAT_9X16520 transcript:rna-gnl|WGS:NBSK|LSAT_9X16520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFNSHHPRLSTMEFEIPVPQHSTNIRKPKYLILAKSVLHYGGLLCSGFVRWHFSSGHFMPFSPFFVDTCCDSQSTASVVQWFCSTDRYFKLTPSYVSFIFTWKIDIDGARCQKLATVQLLHTTIIDPSSTFLSNPLLQPYIRFALPLSRFQIAQVTSLPIVLLSTVSFLNRILGFFFSCNIDGFILCHPKVTSTDSPSSIRTPVFMPIFEELSCLFNNLWRTTSDLERLEKMIYHSIRLAADVHRQGKLSDVQCGEQMELQHNM >cds-PLY75865.1 pep primary_assembly:Lsat_Salinas_v7:9:195945834:195950829:-1 gene:gene-LSAT_9X119560 transcript:rna-gnl|WGS:NBSK|LSAT_9X119560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCMCSKGISANEYSEKESKRSSKRFLGSSRRDEVAVEVDNGSNEATARLISEGNRENTASSGQTPWDEGERKSVVYEQQPVVQVQPLVQLSQKPAKTETKGNGEIHHQPEICRTFSVANGFDGAQEAAGWPSWLTAVAGEAIKGWLPRRADSFEKLDKIGQGTYSSVYRARDLETGKIVALKKVRFTKMDPESVRFMAREIIVLRRLDHPNVMKLEGLLTSRVSGNLYLVFDYMEHDLAGLAASPMIKFTESQIKCYMKQLLCGLEHCHNRGILHRDIKGSNLLIDNNGNLKIGDFGLATIFSTNQRQPLTSRVVTLWYRPPELLLGATDYGVAVDLWSAGCILAELFAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWRKSKLPHATIFKPQQPYKRCVGETFKDFPPSALMLLDSLLAVEPHNRGSSSSALHSEFFTTKPLPCHPSSLPKYPPSKEFDAKMRDDEMRRSKASGGKPRGVEPLRNGTRESRAQPAPDANAELHASIRKRLEQQNPKSSSEKFNHEEDTESAGSLQYGIYNNRSNSKQPEGLEKSDEPLQVGLDSAQHYKRGAQLSKFSNSLAWHGSSRLDHSKGNSSHWPEDRPNGKYHQLNDADVESSHQMDAPNCSYKKHEHPPGKGYAPKKNRIHYSGPLVPPGGNMDEMLKEHERQIQHAVRKARFDKTKTKKGHTDNGQRESLLQYGGNFR >cds-PLY93393.1 pep primary_assembly:Lsat_Salinas_v7:9:64503170:64505085:1 gene:gene-LSAT_9X55841 transcript:rna-gnl|WGS:NBSK|LSAT_9X55841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQVSSIFASGSRGIHRHFSETIWQPLMATSTSSVRILFFSVCLFIGLFISTHVFDTTMHSSPSSLSPFPEKLSDKIEFPLNCSLGNLTQTCPNDYYPKGFQNLKNVSESTHICPEFFRWIHEDLRPWAETGITKEMVEKGRETATFRLVIVNRRAYVEKYKQPYQTRDVFTIWGFLQLLRRYPGQLPDLDLMFDCFDWPLIHKKDYHEVAPPPLFRYCGDDDTLDIVFPDWSFWGWAEINIKPWESLLKDLDEGNKRTKWVDRDPYAYWKGNPWVAEHRKDLLKCNVSDTEDWNARIYIQDWIHEEQHGFKQSNLANQCIHRQESMFLFLVSFNFHELVFKFVLCRFKIYIEGSAWSVSEKYILACDSMTLMMKPHYYDFFTRGLTPVQHYWPVSMDDKCKSIKFAVDWGNNHTKKVQKIGRAASSFIQEDLKMDHVYDYMFHLLTGYSKLLKYKPTVPDNAVELCSETMACTSEGLAKQFMEESIMKGPTEMGPCTMQTPYDPQTLNSILERKDNLVKQVEKWEKEYFEKQTN >cds-PLY67862.1 pep primary_assembly:Lsat_Salinas_v7:9:144751601:144754697:-1 gene:gene-LSAT_9X92320 transcript:rna-gnl|WGS:NBSK|LSAT_9X92320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLCPPASAACVSPADINAEETLNTLKRFWCDRDETTKELDHTLLQDFTDKEIFCSDPAYDKSLQQLKCFLRYTLISDPNSNGYFDLMTKTNPGILKRWNNEVQEVVQSRVALVQFHALVQLHQDFRVVLDKELRLILKLRDMMKDFIASSDSLMTSY >cds-PLY68111.1 pep primary_assembly:Lsat_Salinas_v7:8:33799460:33799672:-1 gene:gene-LSAT_8X25920 transcript:rna-gnl|WGS:NBSK|LSAT_8X25920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIFVHTVRKRSNFTCLRGGGFHVVPTVEGRRYVASTALKELEGFAASGDGGGDAVGGGNGRLEAEGMAD >cds-PLY68691.1 pep primary_assembly:Lsat_Salinas_v7:7:84359747:84360742:-1 gene:gene-LSAT_7X59120 transcript:rna-gnl|WGS:NBSK|LSAT_7X59120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKSAALTEDWRNFLWNTKGDIFEIIKRAIMVAASDHPTEFRTRRHEIVRTLFSSEFMMCTGCDNRKKHRPKMSKQTEETKIIGEDLMMKDILDKSPDESESLLVVPVPPTEQLVPTCKQQRPTILKLNTETQFPIPKGPVTPENKDIGLGTRGYSQGVVEVLRMKLKIRDKSGDQSESESVCDLVTKLDSMMLSVNSNLEATEIGKSFDPLKKHASKNVDQIARTLIKEWKSTVDEWTENQSSVDCVVEPTSQQVKPTNLHMYMERKLEVSEKALKIGDGGVTDSTEKPKARKRKLQEEFQKVENMKKKRRIQVMSCMESPSMAFFPI >cds-PLY85874.1 pep primary_assembly:Lsat_Salinas_v7:8:179783054:179784997:1 gene:gene-LSAT_8X116660 transcript:rna-gnl|WGS:NBSK|LSAT_8X116660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSTTQQVKGVPSLMEMGFVKSLIYGPRGKKYKYCMSYNIGLVLIYVADVSLYAHPSIGDQHFHLFRLSNRKEVDVSAGLLIY >cds-PLY83887.1 pep primary_assembly:Lsat_Salinas_v7:3:49074184:49075406:-1 gene:gene-LSAT_3X36780 transcript:rna-gnl|WGS:NBSK|LSAT_3X36780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRYSSRSKGVRYIEFYDAMSVPMEIALSGHLLLGQPVMVNPSKAEKNFVRSTATSGAASGVAGAFGAVDRKLWLAIYVCNRYSFWSGYGYWNVVAACFAKMDSPTCNTKKIELKPGYLMEHDKDVGAVVVGFDRYFNYYKVQYGTLCVRENPGCRFIATNRHAVTHLTDAQEWAGGGSMVGALVGSTQHEPLTVGKPSTFMMDYLANK >cds-PLY63704.1 pep primary_assembly:Lsat_Salinas_v7:9:86130811:86131443:1 gene:gene-LSAT_9X67801 transcript:rna-gnl|WGS:NBSK|LSAT_9X67801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSGSSSPSFCSWESEVRDCASYFNEQVGIGTTIDMKPFGGSITNPLAEQHADIYGHHPIFGDWEYYDGQQALLDQFEPPSDHSDGDVKTTSFSLFSDSYQRCSYEQPLSVGSVRPGFGSQPSNSEQGYSYGEPPSVGAVRQIFLSQPSAGDQRYSDGQPPTLNDLGKRAKNKSQKKDRLLFLKKACKPLINILRKLFTPRKKILYSPVP >cds-PLY99828.1 pep primary_assembly:Lsat_Salinas_v7:5:114631352:114632478:-1 gene:gene-LSAT_5X50960 transcript:rna-gnl|WGS:NBSK|LSAT_5X50960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKQYIGKLNKIVGEDATENILTKSVYLISASTNDFFISYSTVPVRRVQYDVPTYNKMLVQLAVNFVKEIHKLGARKIAVLSGPPVGCLPVQRTLSGGVLRKCVDNDNNAVQLFNDMLKQQLKFLESNLLQSRVAFVDFYNPLMSIIENPHQYGLEVTDKGCCGTGAIEVLFLCNKLSPTCHDDSKFLFWDSIHLSEKGCIIFVNQVLPDLVNSLF >cds-PLY74492.1 pep primary_assembly:Lsat_Salinas_v7:7:33855077:33855523:1 gene:gene-LSAT_7X25621 transcript:rna-gnl|WGS:NBSK|LSAT_7X25621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYMPAYDWRLSFQNTEVRDEALSRFKINIELMYVTNGNKKVVVVPHSMGVIYFLHFLKWVEAPPPMGGSGGLGWCNKHIKASMNIGPAFLGVPKAVSGMLSAEGKDVAFFRTMLAPGVLDSEIIGLQTLEHMMHVGRTWDSVISQSAD >cds-PLY82058.1 pep primary_assembly:Lsat_Salinas_v7:9:158298948:158300564:-1 gene:gene-LSAT_9X99280 transcript:rna-gnl|WGS:NBSK|LSAT_9X99280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDGDDNKKRRRSFWSRVWNGLFLFRLHGDDFEKRLQYISKEEATLISKMKRRSSSWRSTARNLVVVSVFLEVVALAYAIITTRSVDLDWQMRALRVLPIFLLPAFSSALYWALFCFTRMCDSRDQKTLESLQAERQEKIDELKERTNYYTTQQLIQKYDPDPAAKAAAAAVLASKLSADSGIRMFLEESHHDLQDTGKSNDFEPVTSCGLRKRNTSDATTSKGGGHVMQQSDGDMIQHLEDEVSEVSPPHQIVVEHHSPSASGTQDGGWLAKVAALLVGEDPTQSFALICGNCLMHNGLARKEDFPFITYFCPRCHALNRPRGSTTNSPKASGPTSPMTGGNDVPTSEKPSQVNSPMGETESIEKIGATSQ >cds-PLY79866.1 pep primary_assembly:Lsat_Salinas_v7:8:15749829:15751160:1 gene:gene-LSAT_8X13321 transcript:rna-gnl|WGS:NBSK|LSAT_8X13321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKPIPSSSRFQDFARIITSDRIQQQSKRGPKLVSNKTRVVPPPEPAKPKGIRIVQSERQQQRIKIMEENSSMNRRIPLGEVVLECSRRWFQDTLKEAKAGDTGMQVLVGQMYCSGYGVVKNAQKGQAWISRASRSRSSVWKVGDKHPGYNASDSDTEEEKDEGKQQ >cds-PLY77146.1 pep primary_assembly:Lsat_Salinas_v7:7:183814933:183816849:-1 gene:gene-LSAT_7X108740 transcript:rna-gnl|WGS:NBSK|LSAT_7X108740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADNGSVNDDTTNQNSEIIKTESAPIDELSREIQETLSVSQRHKFWETQPVGQFKDLRDTSLPEGPIEPPTPLSEVKQEPYNLPNLYEWVTCDMNSEETCLEVYNLLSNNYVEDDENMFRFNYSKEFLQWALHPPGYFKTWHIGVRVKSSKKLVAFITGIPAKIRVQNEIITMAEVNFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPITSCQYWHRSLNPKKLIDVGFSRLGPRMTMSRTMKLYKLPDSPLTPGFRKMELRDVPSVTRLLKTYLLEFVVAPDFDEMDVEHWIVPKEGVVDSFVVESQETHEVTDFCSFYSLPSSILGHPNHSNLKAAYSYYNVATKTPLIQLMNDALIVAKQMDFDVFNALDVMHNEGFLKELKFGPGDGKLHYYLYNYRIKSMLRSSELGLVLL >cds-PLY71539.1 pep primary_assembly:Lsat_Salinas_v7:7:30232845:30233297:1 gene:gene-LSAT_7X22041 transcript:rna-gnl|WGS:NBSK|LSAT_7X22041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSINDESSLFNFVVKEGNGVKDLVDSELTEVPRLYIQPPYLRIDKKQTTASSENMTIDLSELDGPNHDQIVKDIVHAAENLGFFQVTNHGVPLELLESLKNAAHQFFGQPSEKKAVYLKGVSPSPMVQYGTSYFPEKEKIWQWRTLLP >cds-PLY80737.1 pep primary_assembly:Lsat_Salinas_v7:3:85190364:85191470:1 gene:gene-LSAT_3X66040 transcript:rna-gnl|WGS:NBSK|LSAT_3X66040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIFYLFILLSSNLLTLFISTTFYSPNCSLIPPPVINPSTIQPPSDHHLPPEFLAFTSPKHLPFGMNSNFDSDKIYPPVGSPCTLFHELKNYMSYPVNGSCPNDELLAQKLLLKGCEPLPRRRCRPSSPPDYTEPYPIPKSFWSTPPDSSVIWTAYTCKNYSCLINRSHNQKHFDDCKDCFDLEGREKTRWVIKGKTGTDFAIDEVLAMKKHGTIRIGLDIGGGVGTFAVRMMEKNITIITTSMNLNGPFNSFIASRGVVPMYISVSQRLPFFDNTLDIVHSMHVLSNWIPTTLLHFLFFDIYRVLRPGGLFWLDHFFCVGEELEGVYVPLLESVGFNKVKWVVGKKLDRGPELNEMYLSALLEKPLF >cds-PLY69598.1 pep primary_assembly:Lsat_Salinas_v7:5:313933974:313935638:-1 gene:gene-LSAT_5X172320 transcript:rna-gnl|WGS:NBSK|LSAT_5X172320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVYFLSTGFFWSEQEYELKLMLRVAELRKKIKMHKFHVTFVSKFQCFLKIKGTHVKEVTTSLVNADVVDSGASLVESYRVAGERAKYDCIDYKHSYGHPFSRAKKDTTQERKGLPTSSLHLTLGIGIDFCGNWNWDCSQRWIKRRSSR >cds-PLY92400.1 pep primary_assembly:Lsat_Salinas_v7:2:155200222:155201041:-1 gene:gene-LSAT_2X81120 transcript:rna-gnl|WGS:NBSK|LSAT_2X81120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLQKFKMLATQCAVAGSPTRSPSSSPVIHLRRRKTLRMLLSRGGGETTRRLPPPDEFVDRRGSDVDSSSEDGKDNGARRKLKDLFVSSSVSPPLDDGGREGSEETNRWSGDGDLIGRRGGSRRFRHLPGTLRQRLLRRAWRPVLVTIPE >cds-PLY77927.1 pep primary_assembly:Lsat_Salinas_v7:1:21161415:21164757:1 gene:gene-LSAT_1X17460 transcript:rna-gnl|WGS:NBSK|LSAT_1X17460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESNAESPLLTSTTKNAHFVGDVEDIPPINGINDFFVQFGVESKKLWYLAGPAIFTTVFQYSLGAITQTFAGHVGTLDLAAVSVENSVIAGFSFGVMVGMGSALETLCGQAFGAGQVDMLGVYMQRSWVILFVTALILLPLYIFATPLLLLIGQTHDISHAAGQMAIWMIPQLFAYAFNFPIAKFLQAQSKIMVMAYISAVALVIHTIFSWLLMLKLGWGLWGGAIVLNLSWWFMVIAQMIYIFSGTCGRAWSGFSLGAFSNLWSFVKLSLASAIMLCLETWYFMALVLFAGYLKNAEIAVDALSICTNIVGWAVMIAIGFNAAISVRVSNELGAAHPRTAKFSVVVVIFFAFIIGVLLAIILAIFRHQYPALFSDSLEVQEAVYALTPLLGACLIINNIQPALSGVAIGAGWQAVIAYINIACYYIFGVPLGLTLGFVAKWGVKGIWVGMLTGTVVQTLILIWICFRTNWEKEASMAEKRIKNWSGQKALEGEQ >cds-PLY82549.1 pep primary_assembly:Lsat_Salinas_v7:2:185124500:185127118:-1 gene:gene-LSAT_2X107260 transcript:rna-gnl|WGS:NBSK|LSAT_2X107260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKATVDYEKIVRDTCREIGFTSADVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKKPEDIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNNTCPWLRPDGKTQVTVEYHNDNGAMVPTRVHTVLISTQHDETVTNDQIAADLKEHVIKPVIPAQYLDDNTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVAEPLSVFVDTYKTGTIPDKDILVLIKENFDFRPGMMAINLDLKRGGNFRYQKTAAYGHFGREDPDFTWETVKVLKPKA >cds-PLY68532.1 pep primary_assembly:Lsat_Salinas_v7:4:21624301:21626856:-1 gene:gene-LSAT_4X14140 transcript:rna-gnl|WGS:NBSK|LSAT_4X14140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNNSFLLDCFRIPTPAITSPSAKLSSPAHNGRLSEALSSLHHRPWRGTGLSNPSPGSRFFESANSDLLKCKAKVSKPNDFEDFYCFSFRYSLTGTKYDLDYKSPNRSGQNFKSGEDMVEMLKKVSTMF >cds-PLY73555.1 pep primary_assembly:Lsat_Salinas_v7:5:58787523:58789260:1 gene:gene-LSAT_5X27781 transcript:rna-gnl|WGS:NBSK|LSAT_5X27781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAAYTVGFWIRETGQAMDRLGSRLQGNNYFKEQLSRHRSLMNVYDKAPTVDKDAFVAPSASIIGDVQVGAGSSIWYGCVLRGDVNSISIGSGTNIQDHSLVHVAKSNLPGKVLPTVIGDNVTVGHSAVLHGCTVEDEAFIGMKATLLDGVVVEKHGMVAAGALVRQGTRIPAGEVWGGNPAKFLRKLKDEEIAFISQSASNYASLAQVHAEENAKTLDKFEFLKVFQKKANVDPSVIQ >cds-PLY87718.1 pep primary_assembly:Lsat_Salinas_v7:1:5282743:5284540:-1 gene:gene-LSAT_1X4360 transcript:rna-gnl|WGS:NBSK|LSAT_1X4360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase gamma chain 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15700) UniProtKB/Swiss-Prot;Acc:Q01909] MSQLKPSSSCISSNYQIHNSSHVFLQHHWKLFQSNISHPSRLSHFPSINCNLRDIRQRIATVKNAQKITEAMKLVAAAKVRRAQEAVVNGRPFSEALIDVIYSINQQCQLEDVDIPLTDVRPVKKVALVVITGERGLCGGFNNIILRKAETRIAELKNLGLDYTVISVGKKGNSYFLRRPSVSVDRFIEGEGFPSVKDSQVIADDVFSLFVSEEVDKVELLYTKFVSLVKSNPVIQTLLPLSAKGEVVDGNGNCVDASEDEFFRLTTKEGKLAVERDKLMRKKEGFLPNMEFEQDPAQILDALMPLYLNNQILRALQESIASELASRMNAMSNATDNALELQKDLSNAYNRQRQTKITNEILEIVAGAEALL >cds-PLY64939.1 pep primary_assembly:Lsat_Salinas_v7:8:133075829:133078449:-1 gene:gene-LSAT_8X92321 transcript:rna-gnl|WGS:NBSK|LSAT_8X92321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMASIYRRVLPSPPAIDFASSEGKQLFMEATQGGTMEGFFKLISYFQTQSEPAYCGLATLAMVLNALSIDPGRKWKGPWRWFDESMLDCCEPLEKVKAKGISFGKVVCLAHCAGAKVEAFRTNQSNIDEFRKHVIACSTSDDCHTGSGHFSPIGGYHAGRDMALILDVARFKYPPHWVPLKLLWEAMDTLDDASGFRRGFMLISRLQRPPALLYTLSCKHESWVNIAKYLMEDVPVLLGSRNVKDVKDVLSIVFNSLPSKFLEFIKWVAEVRRTEEGDQSLSPEEQERLAIKGEILKQVQESELYRHVTEFLLSEKSGCNGPLCLGKESSLSDIAASVCCQGAGILEGKRESSSNGFCCGETKVHCLKSNEGEMGVTVVSGKVMNGMSEQHVDMLIPSIGMHPASNDVLTTLLLALPPHTWSGIKDEALLHQINGLVSTETLPILLQEEIMHLRGQLHVLKRCKDDEVEEDLSAPSV >cds-PLY87488.1 pep primary_assembly:Lsat_Salinas_v7:5:182792498:182797458:-1 gene:gene-LSAT_5X81821 transcript:rna-gnl|WGS:NBSK|LSAT_5X81821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKDFGNLSMERLQIEDLEMGYTLEEPLPPIGFGKVQFMVLSYSGLGWVAEAMEMMLLSFVGAAIQPEWALSSAEESFLSAVAFTGIIVGASSWDLFSDFYGTKKGFLGISLVSTIAGFLSAFAPNYISLLILRFFAGYGIGCAHMLASSFLEFVPIQNRGTWMTVFSASWTFATTIEAAIAWWIMHRFGWRHLLGVSTLPYLLTLVYYGLVKQPPRHQFHTQGRFSILVTNNIKVTAMCIEGQVSGYIWFHFGYSLPQSIRTTVFIWLLYFATSFTYYSIGFLTSHICYEESGTCGMPSIQDARFYINMFITSFAELPGLALASLILVKVGRRISMEIMIIAGLILLLPLVVHQNTITTTAYLFGARVFISATFIVSQICAPEVYLSNFRATGVGVAMTLGKIVGIVCPLIAAGIGSGCHQTLPLIMFVVTTLLLGLSVVLLPFETRGRLLQDNIDSPLKHKLLPY >cds-PLY96620.1 pep primary_assembly:Lsat_Salinas_v7:7:43928563:43930914:-1 gene:gene-LSAT_7X30101 transcript:rna-gnl|WGS:NBSK|LSAT_7X30101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEANKEQMEHICRDYWTTLAPNVEDYSTKAAKLIVAGSGQLVKGILWCGDVTVYRLIKGNEILKLKIGPASNKAVNPELLKAIHSYLYAKCFASTLWEIVCKEDPLSLETGTVIRTKLLQHGGAKDPAQLLNVLACDGITRSYQNQGHGGGPEPTHMLLLLLYLILFTCLLIHYQQDTSRRWVVVVGVTVEVVTGKTLTGKTIKLEVESSKNIDTVKSKIQDIEGIPLHQQMSSAYSFL >cds-PLY93328.1 pep primary_assembly:Lsat_Salinas_v7:9:62193105:62199227:-1 gene:gene-LSAT_9X53861 transcript:rna-gnl|WGS:NBSK|LSAT_9X53861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 28 [Source:Projected from Arabidopsis thaliana (AT4G25450) UniProtKB/Swiss-Prot;Acc:Q8LPQ6] MTSLSLLLPLHPPLHNHRRQLLFLRGQRRPTSSLPPLRQQQLRLTSTRNKSSLITAAGYITGPASDAIVAAEDPKVEESDSSPEPVQRFNAISLGLLLRLLSRHKLRVAASIVSLVCCTTCTLSMPILSGRFFEVLIGARSEPLWQLLSKVGVLYTLEPIFTVIFVVNMNTIWEKVMSSLRAQIFGSVLIHKVEFFDRYKVGELTALLTSDLGSFKNIVSENISRDRGFRALTEVVGTMCLLFVLAPQLAPILGVLMLAVSTLVAVYKRSTVKVFKAYGLTQASIADCITETFSAIRTVRSFGGEKRQMSMFGNQVLSYQSSGIKLGVFKSINESITRVAVYVSLMALYILGGNKVKAGEMSVGTVASFIGYTFTLTFAVQGLVNTIGDLRGAFAATERINSILSSSEIDQALAYGLQKDIIQEKEGINNNLNMFLLNDQNDKRGSQNTRYMSSLTSGNSVRVLAQSGDICLEDVHFSYPLRPDVEVLNGLDLTLKCGSVTALVGSSGAGKSTIVQLLARFYEPTQGRISVGGEDLRTFDKSEWARVVSIVNQEPVLFSVSVGENIAYGLPDENVSRDDVIAAAKAANAHDFIISLPEGYDTLVGERGGLLSGGQRQRVAIARALLKNAPILILDEATSALDTVSERLVQDALNQLMKGRTTLVIAHRLSTVQNADQIALCSQGKIAELGTHSELLAKKGDYASLVCSQRLAFE >cds-PLY68511.1 pep primary_assembly:Lsat_Salinas_v7:2:214681001:214683559:-1 gene:gene-LSAT_2X136261 transcript:rna-gnl|WGS:NBSK|LSAT_2X136261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPYCNVGTTNNGIKIFYRTYGGGPVKVLMIIGLAGTHDSWKPQIEGLVGTTRANDIDDNRSSEDGVCNGNGNGIEICVFDNRGMGRSSIPKHKSEYTTKIMAADAISVMEHLGWKKAHVFGHSMGGMIACKVAAVFPDRVLSLALLNVTGGGYECFPKFDRQTLSIAMRFLMAKTPEQRAAVDLDTHYTQEYLEQHIGVKTRRQVLYQGYVEGISATGMQSNHGFDGQVNACWTHRVSKKEVEMIGKNGFLISVIHGRCDVIAQISHAKRLAHKLYPLAKMVELPGGHLVSHERSKEVNEALLDLIRASETKTSPFDWTNLSPNTSTSNCWTSSSSSRLNSETESSNSGIALAEKMRIMILYILGLFLVVLEYIKRARVLGCLKPIRV >cds-PLY71917.1 pep primary_assembly:Lsat_Salinas_v7:3:26750586:26750945:1 gene:gene-LSAT_3X19481 transcript:rna-gnl|WGS:NBSK|LSAT_3X19481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVMNSNKLLLFLIWSISQGHHLRVYRDEHTHLHKSFFRDEGLNIFSGIILETRALAFSETNSEMREVLSIFHSLKIQIPKMNPTFQVLIVAIIAIGAANSKKNKHIIQLTKFIDLWQI >cds-PLY72691.1 pep primary_assembly:Lsat_Salinas_v7:6:28694407:28698654:-1 gene:gene-LSAT_6X22100 transcript:rna-gnl|WGS:NBSK|LSAT_6X22100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRVSIIEAATVLSVLLLCATVHTAAVVSDGLLWNGNFELGPKPADMKGTEVLKHDAIPGWTIFGFVEYIKSGQKQGDMLLVVPEGAYAVRLGNEATIEQTINVTKGMYYSITFSAARTCAQEETLNVSVAPDFGVLPMQTLYSSSGWDSYAWAFQAEYNQVTIKLHNPGVEEDPACGPLIDSIAIKALYPPKPSKDNILKNGGFEEGPYIFPNTTWGVLVPPNIEDDHSPLPAWMVESLKAVKYIDANHFSVPQGRRAVELVAGKESAIAQVARTIVGKTYQLFFTVGDANNACEGSMVVEAFANRETLKVPYESKGKGGFKRAVLKFVATSTRTRIMFYSTFYTMRSDDFSSLCGPVIDDVKLLSVRNPRRLA >cds-PLY93062.1 pep primary_assembly:Lsat_Salinas_v7:9:27172673:27174707:1 gene:gene-LSAT_9X23820 transcript:rna-gnl|WGS:NBSK|LSAT_9X23820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTLYSNAMNNNYINTYNHHSVQPPQNRRNVDPTTVGAGSDKPDHYDSVLKYLNQMLMEEDDLQEKPCMYNECLALQVEAAEKSLYDILVNKNPEEQPPFDTYQYCPESPPEYSTGTTSSDDSSSNFSHHNRKRSPVQEEEREAKLATISELESDQPEEDLLLYDPEPQRIKLGKKTIESSKRGRPRGKKNTNNQEIVVDLRDLLTQCAQAITNNNSNSIYGVLRKLKQHCNPNGDATERLAYYFVNAIEARLAGNGAEVYRAANLKKISAVQILRAYHSYMVACPFHRMSNIFANGSIEKLSRGKDKLHIIDFGILYGFQWPCFIKKLSMRPGGPPVLQITGIDLPQPGFRPGERVAETGRRLAKFCKRFNVPFEFHGIAKKWDEIRIEDLKIDRNVLTVVNSVNRLRNILDETVVESSPRDAVLRLIREINPDMFVLGILNGTHNAPFLLNRFREALFHFSTLFDMFDQTSDRVSVDRLCYEQEVYGREVMNVVACEGTTRVERPETYKQWQSRNVRAGFRQVGLFREKVEEVKSKVRLEYHKDFLVDEDGKWMLQGWKGRVLYACSLWKPA >cds-PLY65158.1 pep primary_assembly:Lsat_Salinas_v7:5:250058276:250060779:1 gene:gene-LSAT_5X125081 transcript:rna-gnl|WGS:NBSK|LSAT_5X125081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATALLSSPSPPLLRSVSPFPISLFQSSRRWHIRTTNINTFHKTSLTETNRRRNLVFAVNQDTEEAFKKTVEVDRLIDMLKDATDQELQKLVVENILAFNEGFWIRLAARTDTCKSEDDKKDYEELASSVMSIVDRVVHKTNEKIESATDVLKDILKPVVDTVEEICWPPRDPNALNLMEKEVNQREQEGQLDEGFLSEVNAQLRQAKEDRDKPGLEAMLQKVLQLYASRVLSKRSYAMKGNKVLKAEQCLEEIIKGATFVPSISDSHCQSQTQSQSIQLTVLTGVLMAAPESEWNNLLINHLTPFKGDISPDELYAVIKKRIERTLIRTEGGSYQQRILVEFLKGIQSRAEEMAR >cds-PLY66072.1 pep primary_assembly:Lsat_Salinas_v7:2:206755186:206759233:1 gene:gene-LSAT_2X127041 transcript:rna-gnl|WGS:NBSK|LSAT_2X127041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCRQEPRVLLSQEEQTAAEESLSVYCKPVELYNILQRRAIKNPSFLQRCLHYKVQEKHKRRVQVSISISGALGDGLQTQNLFPLYILLARPFSAPNGETQRSSVYHFKRAFKLTAFHGAPTKSKSQAKFILPEINKLSTEVKSGSLAMLLVSCADITNPKEIDLTKDHIFSPSCNIGGYCLMGKIPLNFLHLSWEKSPNLSLGERVELVKTVSMQSCFMKLSSSDEEKCLSFQFPYNAEAVSILQQVPVMISAEELGAKDISPYDLYSYNNVPTNSMPHVIRLRTGNVIFNYKYYNNLLQRSEVTEDYACPFCLVKCASYKGLRLHLVSSHDLFRFEFWVNEDYQVVIVSVKSDTCSSEIFGSIIDPRQQSLYYRHKRTRHNRGEKATEKANDMHVHPSEAMPATLTSGDSEAMEVDISNSPNNPTTDLTGPTESSQTQTAAVPGTNIAPPALLQFAKTRKLSVERSNPRNQALLQKRKFFHSHRAQPMALEQVFAEQDSEDEVDDDVADLEDRRMLDDFVDVAQDEKRMMHLWNSFVRKQRVLADAHIPWACEAFTNLHIKDLLETPQLCWCWRLFMIKLWNHGLVDPKTINVCNLILDQHQNQQIDPTTTTTKTKK >cds-PLY84940.1 pep primary_assembly:Lsat_Salinas_v7:4:329937923:329939139:1 gene:gene-LSAT_4X163840 transcript:rna-gnl|WGS:NBSK|LSAT_4X163840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSAIQEAQKDNLRNFTDYMMTVLEEFIHSLSYISSLARTNVTDSSIGANRSGPILSLTSSPHISSGPSNMELLAIADKKAATYVEVVRKLNDARQRSLEYKSATAFKNAYDNLGLDSSSGNSVTMNKIWHLIQTLTGENSSVQRNLSKKMSLIIGARRHLEWGHDKYIMEMIHSHPAQAALGGVVGNLPKIHAFLRIRWRDYGVLDFDAGDARRQPLVDTTWKQLTPNQQHHEL >cds-PLY94791.1 pep primary_assembly:Lsat_Salinas_v7:2:180772647:180773982:1 gene:gene-LSAT_2X103521 transcript:rna-gnl|WGS:NBSK|LSAT_2X103521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPAVPALAQQPTTAGGVDNGASILDPTNNIVKGKRTKRQRLQSSSSIPFAIVSHSSTSYNVFNSSPASSSDFPAGSTTTEDEDTAMCLILLSKGHNVFPRNSNDELSGYKFNSKRYIQTSTDAAHGFTGMYVYECKTCSRTFPSFQALGGHRASHKKPRINELDKRKLSPYTALSDEDEPLLQFPSRKNNSSSSSSLSIQLNHRVSSPGHSKKSSTKLHECSICGTEFNSGQALGGHMRRHRVTNGTATAVTATNTTLSLIPYSPVTTMVADDQDYQMSRNDGLCLDLDLNLPAPPETVMAAANHDQRRESSFKFTADQNKQQHTVRLSAAPTLVDCHY >cds-PLY74723.1 pep primary_assembly:Lsat_Salinas_v7:5:25746153:25748340:1 gene:gene-LSAT_5X13541 transcript:rna-gnl|WGS:NBSK|LSAT_5X13541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTAVNLEDFQSVDLMTELLRRMKCSTKPDKRLILVGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMDNGQLVSDDLVVGIIDEAIKKPSCEKGFILDGFPRTVIQAEKLDEMLESRGVKVDKVLNFAIDDAILEERITGRWIHPSSGRSYHSKFAPPKVPGVDDVTGEPLIQRKDDTAAVLKARLEAFHKQTEPVIDYYKKKNIVANLHAEKQPQDVTAEVQKVLS >cds-PLY83306.1 pep primary_assembly:Lsat_Salinas_v7:1:63370255:63372152:1 gene:gene-LSAT_1X53961 transcript:rna-gnl|WGS:NBSK|LSAT_1X53961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G37090) UniProtKB/TrEMBL;Acc:W8QNT8] MGSSERPKKKVHLWKKATVHFLLCFIVGFFTGFAPTNKSSFSPTNNLIPSNSSHEISPPPEKIHVRVPEQESGNFDRSMLDESLSMKVHERPNMGSIEEEGKKVILTKEKGETVTLTPRRLVIIVTPTSDKDELRGVFLRKMANTLALVPPPLLWVVVESQSESDEVSDILRKTNVMYRHLVFKENFTDVEVEMDHQRNVALKHIEYHRLSGIVHFASLYNVYDLSFFEEIRAIEVFGTWPMAFLSANRQRVRIEGPVCDSSEVIGWHLKSLNNSADDATRSPVHISTVGFNSSILWDPERWGRLSSAQHTSQNSIKFVKEEVLEEETKLKGVPHDGCSKVMLWNLHIPKSN >cds-PLY81074.1 pep primary_assembly:Lsat_Salinas_v7:6:132047998:132051566:1 gene:gene-LSAT_6X80301 transcript:rna-gnl|WGS:NBSK|LSAT_6X80301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSTVRLTIPAGGGIPGPPVVVGGLQGNMDRGKTDNNRHMKLMHLYLFTTRQNRKLDEMYDQLRSEYESVKRSAIQPANNFFSRGGDSDLFSSPANMMDNRDQIFSLRGQISVKAGQQVNQIGSVTECIEVVKMSKHAGGERIHLIRAGKHQVAFLVKGPIHLVCISCTEEPYESLRSQLELLYGQMVLILTESVSRYFENNPKFDMTPLLRGIDVVFSSLFHSFRNPASFLHAYTYLPLPCATRQAVAAILQDVSNSGVIYSMLMSKYKVVSLVGA >cds-PLY63705.1 pep primary_assembly:Lsat_Salinas_v7:9:85248069:85249249:-1 gene:gene-LSAT_9X66661 transcript:rna-gnl|WGS:NBSK|LSAT_9X66661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVPFPVISQEDYLLFYKNERALFTVLVVVLHREVIQSIFMMGFLLWLEREGYTSKNLVQTIVNCFTADIIDQIADEVMICLKFLEKRASRLMFESSSKQYNIQMLHCFLDRKSIHLEDLHHNIDEVSAMAKEVSSRAFDDILENFIRCGGPLVLHSTQEVTVCNIVGGFRYVGPVVPFPSYDVTKYVKFHRTPSQDAEFMLNNVIAHRFQQKVGVGEGESHGALLPAEMYLKQEINSCPNSLNASDTTSLFVSEQEEEVPPENRTIFLTFSKGYPISENEVKLYFTRIFGDFIESIYMQPVAGENQALFARIVARSPSMVRAVVERDGTDGRSKYYINGKHVWARKFVRRPSPKETAEGAVTSE >cds-PLY76681.1 pep primary_assembly:Lsat_Salinas_v7:8:216509607:216510286:1 gene:gene-LSAT_8X134460 transcript:rna-gnl|WGS:NBSK|LSAT_8X134460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCQNQEAKSLWGNQFAFLHISLPQLHQYDESLKPLKFVQEIQSIIKRKRNSAAVYLTGMLLESMRKYRGPEAAAQYVHNTIRNPSMAVTNMIGPVEKMALSNQPVKGLYFMVVNSPQSLVVTIMSYMDQLRVTIGAETGFIDPVKFRTCTEKAFSMIFDAAMKSK >cds-PLY83270.1 pep primary_assembly:Lsat_Salinas_v7:8:191466131:191469123:1 gene:gene-LSAT_8X124100 transcript:rna-gnl|WGS:NBSK|LSAT_8X124100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYTDIQMFMEKLKQLMYCNDIPVINNPSILCERPQFQLLYEELGSVIKILFNHEDQDLHNFEEVRKLKKRFKAAAEEAEGIVDMFLSAVHCRNNGYSPRSDVFQTSLHLEVVMRSIESIKIEFMTLSINKMKMDSSLKTDRLQTQSSGTSHTRNSRGSKNLLEKVVVGFDRDVEIIRDKLVEDGKHLDVVSIVGMGGIGKTTLANKVFTDRFLVYHFYVRGWVTVSQTYNRRDLLIQVLSSIDKELELEEATDSQLRKMLHRSLYCNRYLIVIDDIWSTEAWDELMLFFPDHNTRSRILLTSRLTEVAAHAKSHGLIHHLQHLTEEESWKLLCQKVFQGNECPEWLIEPGMQIAKNCHGLPLSVVVMAGVLAKEPRSRDSWVEISCSVNSYIASDEKGCLETIALSYDHLPLHLRECFLYLGGFPEDYRISSLWLLWIWMAEGFIQEDGSRSLEEIANSYLMDLVDRNLIMVEKWYISGDVEVCKVHDLVRQLCVEKEKEEKFFLKTDWPPSNRLFEVITTHKQRRVITNQKIDIVSLSRSSTPSIRSLLCYHTRSSFIYHIAEFFRSFALLRVLSLEKCELINFPLSLALLVHLRFLEIWLSSFPPSICNLWNLRTLIIRTSSSSIILPSNISDLVNLRHLGSNADLYLPSIEKPMKLEVISNVELGDGVDNFHKCFPRIKELASTLYSDEENDFEVLHYLQILMLIGSGYSRRRSVEQEFVRGEPNLGKNNIRFPATLKVLTLERCGLPWSDMSIIQSLPNLEFLIIKDNGFEGTLWETGEEQFQRLKFLRLEELNIKQWEASSINFPCLEELEVVNCVDLEEISLELGDISTLSYIDVLNCGASLLESLRQFRQEQDDMGNYELKIIVNGRKMSSCVPENDD >cds-PLY95801.1 pep primary_assembly:Lsat_Salinas_v7:7:95686545:95687106:1 gene:gene-LSAT_7X63981 transcript:rna-gnl|WGS:NBSK|LSAT_7X63981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKFHGDSSSIDVYVMMEEVVPDVSNMPASRSSLAKKGMAQMGFRSCPTKLLYSKELDHFVNNSFYYHIGQAEQHYRKPDF >cds-PLY78194.1 pep primary_assembly:Lsat_Salinas_v7:MU042366.1:14491:14682:1 gene:gene-LSAT_8X146280 transcript:rna-gnl|WGS:NBSK|LSAT_8X146280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLVCQENALFGTSIRENIMFGKIIATMEEVTAAATATNAHNFIRWLLEGYEMKVGEIGVLRG >cds-PLY82152.1 pep primary_assembly:Lsat_Salinas_v7:1:13946123:13946809:-1 gene:gene-LSAT_1X12101 transcript:rna-gnl|WGS:NBSK|LSAT_1X12101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGNDSYGTSWADQWDHNPDPMPASANYNKKTGTGSGGMTGKYGKKMGEGFGKTKEVAATGAKKVKQGTSVGIQWIKDKYQKTTQKR >cds-PLY93708.1 pep primary_assembly:Lsat_Salinas_v7:2:201750159:201752147:1 gene:gene-LSAT_2X122940 transcript:rna-gnl|WGS:NBSK|LSAT_2X122940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLAPEKRHSFFHGSQKVFEWDQTLDEVNMYITLPKGVPTKLFHCKIQSKHVEVGIKGNPPYLNHDLTLPVKTDSSFWTIEDDILHITLQKRDKGQTWSSPIEGQGQLDPYAADLEQKRLMLQRFQEENPGFDFSQAQFSGNCPDPRTFMGGIRSD >cds-PLY89341.1 pep primary_assembly:Lsat_Salinas_v7:5:113232570:113234581:-1 gene:gene-LSAT_5X50720 transcript:rna-gnl|WGS:NBSK|LSAT_5X50720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMSLIVASPVKFSQLGNNNNNNSIPMIICSSSTKSRIGFCQITKCPNVSLKVATRSISISHSHHAVSIKASEDNAISKPKDGVKLYVGLPMNSVSDCHAINHSRAISAGLRALKLLGVEGVELPIWWGVAEKEAMGKYQWSGYLTLVDMIQKAGLKLHVTLCFHGSKQENIHLPKWVSEIGQSEPDIFFADRSGKRYKDCLSFGVDDLPIFHGKTAMNVYQGFIESFKTSFSPFMGSTITGITIGMGPDGELRYPSHQDQNKNKISLGAGEFQCYDQNMMNNLKKHSENHGNPNWGLSGPHDAPSYNQHPIINTFFKEGGSWKTPYGDFFLSWYSTQLVSHADKILSMAASSFSDTPVILSGKLPLIHSWYRTRSHPAEVAAGFCNTVNRYEEIIKVFHKNSCRMILPGMDLLDEQQPNELCSSPEMLLEEIRDGCRKNGVEVCGQNLEIAGNSESFEQIRKNLVGGNGIEVFVYQRMGAEFFSPVNFPLFSAFVRRIKELELELDSDDLGGNGRDSAVFVPGKNRKMQAA >cds-PLY84455.1 pep primary_assembly:Lsat_Salinas_v7:7:71353754:71354176:-1 gene:gene-LSAT_7X53041 transcript:rna-gnl|WGS:NBSK|LSAT_7X53041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDLLDVHPARMALADPKDLHEVALQLAGDPYFPEGTPITLVDLSKEEDPSKEEEHDPKEVEESNDKGILQDAEEIDDGDPIEDKESLNGEEPAPSTPPSSPSRPYYHPYHHNCKGPFLMRTPRMSIPPMYHLDPSTHVS >cds-PLY80525.1 pep primary_assembly:Lsat_Salinas_v7:5:181294448:181296131:-1 gene:gene-LSAT_5X81740 transcript:rna-gnl|WGS:NBSK|LSAT_5X81740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLEKALIILSSLNTEKIEDGEAQMDSYRLFTVLVVLVFWLVMGVEDDASWGYSEYAQMDSTARLRERRGVRTPHAFALSPQELSQVTSRSCHFLCALLVFSRTNVVQFVANHSFMLMNEGYWRGNKNLRRTTKTLKRLRLRHQDGQSSPSSINPSPNSNGALLKRPKLEVDEVPEPVSPLK >cds-PLY63889.1 pep primary_assembly:Lsat_Salinas_v7:1:125580436:125581253:1 gene:gene-LSAT_1X94181 transcript:rna-gnl|WGS:NBSK|LSAT_1X94181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSCVRTLPRLEILAMRDCNKLKLIEHPPRTLSRLLFPVNGHLLGKVVFDPEMSPLELSIPLMLPTFLSCEIEGIVKIQPMVCVEEKVLCSLGWSYLDFLNQRHLGTNYRESETQMTIYEFGIFSTMYEAEEMPSWFRDRSVGPSISFTIPSSLSPNKLLTGLNFCFSADTSIYPGERFPVSFGQFSLSPMMTIINITKNRTWIYKRYFDRFNVCGKCWVLLSHWMFGMNEMEAGDHVTGTIT >cds-PLY86070.1 pep primary_assembly:Lsat_Salinas_v7:3:195189283:195194726:-1 gene:gene-LSAT_3X116500 transcript:rna-gnl|WGS:NBSK|LSAT_3X116500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVLSAFLTVVFEKLASEALKKIARAKGIDSELKKLKRSLDQIQDLLNDASQKEIANKAVKRWLNGLQHLAYDIDDLLDDLATEAMHRELTDESGASTSMVRKLIPTCCTNFSLSSRMHRKLDNITITLQELVEEKDNLGLSVKGESPKQTTRRLQTSLIDASSVVGREADKDALLHKLREDEPSDRNFSIVPIVGMGGVGKTTLARLLYDEMQGKAHFELKAWVCVSDKFDIVNITKIIFQSIGGGNEEYKDLNLLQLALKEKISKKQFLIVLDDVWSESYAEWEILERPFLAGAAGSKIIMTTRKMSLLTQLGYDQPYHLSVLSRDNALSLFCQHALGKSNFDSHPTLKPHGEGIIEKCDGLPLALIALGRLLRTKTDEEEWKQLLNNEVWKSGKVDEIVPALRLSYSDLSASLKLLFAYCSLFPKDYVFNKEELILLWMAEGFLHPSTTGKSMERLGLEGFEDLLSRSFFQHAPDDKSLFVMHDLMNDLAKSVAGDFFSRLDIGMKKELPKEALEKHRHMSFVCEYYMLYKRFEAFKGARNLRTFLGAYAGIKESWRTFYLSNKVLDDLLHESPLLRVLCLSDLSINEVPDSIGSLKHLRYLNFSRTEITHLPDSVCNLYNLQTLIVSSCTSLKKLPESFVKLKNLRHFDMRDTPHLKKMPLGILELKNLQTLYGIIIEGDNGFSIRDLKDLKNLQGKISIKGLEKVQGSMHAQEANLSEKKLSELELEWSIVFDNSRKETLEKDVLNVLKPCSDTLKELKIVSYGGIEFPSWVGNPSFGRLTRVSISGCKKCTSLPLLGQLPLLKKLFIEGMDEVKVVGLKFLGTTGLAFPKLEHLKFRDMKGWKAWSTNNNGVLVDTAFPCLQKLRIESCPNLFRVSLGALPSLRVLGVNGCRHEVLRSLVQAGSSITKLDISSISGLNDQVWGGVKEHLRAVEEVSIRGCDEIRYLWESEAEASKAFVNLRKLEVHNCSNFVSLGEKEKDNCGSNLTSFTTLTVRDCKGLEHCSCPNSLESLFIVLCEKEFLVGQEKTKTLISSSILMLESVFISQWPNMKSITELISFHHLKDIYISHCPNMESFPDHELPKLNVLTHLTIVFCQSLDASFSGGLWPPKLCSLNIGGLKKPISKWGPQTFPTSLVKLTLIGGQSEDAGNFSQLSHLLPSTLTTLRIEEFEKVELVSTGLQHLTSVQSLFIYKCPKMIDLPEMLFASLFLLRIIGCPKLKERSSKGGSYWPLVSRIPYTDIY >cds-PLY84333.1 pep primary_assembly:Lsat_Salinas_v7:5:190272804:190273298:1 gene:gene-LSAT_5X85161 transcript:rna-gnl|WGS:NBSK|LSAT_5X85161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQMQVMIVQNPYQPEMIGWAEAEVDPQKKIFFADILDVDATTDRPILDTGDQSGTDDYKGFLDLGFMQQDVVPLNVVYPGYCFEGKISQEVPQGTDSDINFENDQLDPRKRKASFLGGAYDVEAGSYFVSSGDLLASPRKKKHKLIFDHNELAKTWSLYINEVR >cds-PLY64670.1 pep primary_assembly:Lsat_Salinas_v7:7:137734150:137735259:-1 gene:gene-LSAT_7X82541 transcript:rna-gnl|WGS:NBSK|LSAT_7X82541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAEASSSSSSSSEIKFQNSSSIQTNSMASPSNVNMGLLERSLSAVGAAFLSAIIVNPLDVAKVNKGSIPPSFTLKDQDGKTVSLSKFKGKPLVVYFYPTDETPRCTKQACGFRDSYKKFKKAGAQVIRISGDDAESHKAFAKKYRLPFTLLSDEGNKARKEWGVPSDLFGTLPGSQKRMAI >cds-PLY96123.1 pep primary_assembly:Lsat_Salinas_v7:3:102226970:102230978:1 gene:gene-LSAT_3X75081 transcript:rna-gnl|WGS:NBSK|LSAT_3X75081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQPNTGLFVGLNKGHVVTKKELAPRPSDRKGVPFQHFAEKIGGYLLNRRS >cds-PLY70821.1 pep primary_assembly:Lsat_Salinas_v7:4:58668564:58672141:-1 gene:gene-LSAT_4X39261 transcript:rna-gnl|WGS:NBSK|LSAT_4X39261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(7)GlcNAc(2)-PP-Dol alpha-1,6-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G02145) UniProtKB/Swiss-Prot;Acc:A8MR93] MAPFTKVEESFNVQAMHDILYHWHHIENYDHLEFPGVVPRTFIGALLVSILASPVVLAINLLHLPKIYSLLAVRLALGFFILATLRFLRVQIRNKFGLQVEAFFVILLAIQFHMLFYCTRPLPNILALGLVNLSYGYWFKGSFYATLRCLIFATIVFRCDMLLLLCPLGLELLLTKSISLWKAIKCCAGAAIFSIGLTIFIDSIMWQRWLWPEFEVFWFNSVLNRSSEWGTHPFYWYFTSALPRSLLVAYPLFLFGVIFDRRLLFYILPVLSFIILYSKLPHKELRFIISSVPIFNLSAAVAASRIYNNRKKSFWNLLYIVLIGLLVISLGCSVITFMASYENYPSGYALRSLHKVGIGHMKNTTRVHVDTFSAMNGISRFCENDNQWVYSKEEGIHLEEFCQRNFTYLLNEHSSIKGFECLFKVDGFSRMRLHVGFPPISMVKEPKVYIHGNIENTDIMDRSWPGCS >cds-PLY88947.1 pep primary_assembly:Lsat_Salinas_v7:8:129309880:129310227:-1 gene:gene-LSAT_8X88821 transcript:rna-gnl|WGS:NBSK|LSAT_8X88821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDEEGGRGNKGINGKVGEGSSGKKANDVIDDKGGRGKKGSNGKVGEGSSGKKANDVIDDKGGMGKKGSNGKVGEGTNGRKTRKKSERILKKKLGTRVKGNNGEGNTIDKPMELE >cds-PLY86102.1 pep primary_assembly:Lsat_Salinas_v7:7:139661107:139661727:-1 gene:gene-LSAT_7X83040 transcript:rna-gnl|WGS:NBSK|LSAT_7X83040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRHPRPYRTFLPNQYLNTPTTVRTHQPLQQYLHKWSVDEDQVAILNSVDMYPPPSSFSTRTLPPLQFMGGGHWQQSEIVDEVVILENIDMDQSFRHEGLTKKVISKNLKLKQINCFEEEGEDQDGICVICQVGFERKEIVGVLECKHRYHVECIKEWLFHKNVCPLCKVKVFPV >cds-PLY89344.1 pep primary_assembly:Lsat_Salinas_v7:5:113481086:113481739:1 gene:gene-LSAT_5X50461 transcript:rna-gnl|WGS:NBSK|LSAT_5X50461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S3, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG00800) UniProtKB/Swiss-Prot;Acc:P56798] MGQKINPIGFRLGTTQGHHSLWFAQPSFLKKNKSENKKIRTYIQNYVQKNMKTSSGVEGIARIEIQKRIDLIQITIYMGFPKILIESRPQEIKDLQMNLQKEFHSINRKLNIAITLIEKPYGNPNILAEFIAGQLKNRVSFRKAMKKAIELTEQADTKGIQVQIAGRIDGKEIARVEWIREGRVPLQTIRAKIDYCSYTVRTIYGVGIKIWIFIDGE >cds-PLY64489.1 pep primary_assembly:Lsat_Salinas_v7:3:14247434:14249022:1 gene:gene-LSAT_3X9940 transcript:rna-gnl|WGS:NBSK|LSAT_3X9940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG >cds-PLY93905.1 pep primary_assembly:Lsat_Salinas_v7:7:181092323:181093807:1 gene:gene-LSAT_7X107301 transcript:rna-gnl|WGS:NBSK|LSAT_7X107301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHHYLRVKDFYGKDLFSQDYVKRMKLFEENDEDNNEKEDDKDEDPDNDEEDQATDHGEDFAQEMNSEPRMNKHTHFLTTSLATPSTHDVVQCGSTPPIVETAEPMIQDETSPKSVSPSPQDETGPPIPDPIKIVAFHLHNKEESTSNFQIVVLSQLS >cds-PLY73693.1 pep primary_assembly:Lsat_Salinas_v7:5:205209568:205211505:-1 gene:gene-LSAT_5X93381 transcript:rna-gnl|WGS:NBSK|LSAT_5X93381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTPSYFTTLLRRSSSKITQNPKQQFRDIGNTSYCNDSQDKPQHSSEIVKQICGTIRSKPRWENTLLSDFPGVNFSDSSFLNQVLLQQKNVFLSIRLLHWLSSTFGYSPPQSTCSLIFNSLVEDKAAKAAKSFLDFTQFVPESADLESYIRCLCESKMIEDALQVFDEMKKAGVCPSLETWNCLLLSSIQEGYTNLVWELYGEMMQSGIVADLDTASCLIQAFCLDKNVTEGYQLLRLFLNKGYVPHKSAFDKLLFEFILDQKYDRVPALLHIMIAKGIKPDLYTYQQIIHGLCLRRMQREGLFIFNNLKNRGYAPDKIMYTTMIHGLCKMKWITDARKLWFEMIQKGIKPNQYTYNTLLYGYFRIGQIEKAQNLYKEMKKEYKETLIAYNTMINGLCSHGMTMEAFQLFKQMENQNVCKDVITYNSLIKGFSKEGKLTEGLTLLRELVDQGLRPSCASYGPIIGKLYELGQIDEVKILWNEMQDKGVEPVSVCIDEHVIIGLSKKGYIETGVYWLEYLVKNRLIPDQGTFEKMIAILCERDKLDVGLSVFGHMFKIGYTPSSQLKNMMETNSFDCLVKV >cds-PLY76098.1 pep primary_assembly:Lsat_Salinas_v7:9:31441545:31441927:1 gene:gene-LSAT_9X28580 transcript:rna-gnl|WGS:NBSK|LSAT_9X28580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGIAAALNLMLGVPKSKKSCVDSVTKTWLEVFLKKRFDWDLASFNYTELRKIAILRGLCHKVGIELVPRDFDMNSPQPFKKEDIISLVPVHKVIN >cds-PLY95486.1 pep primary_assembly:Lsat_Salinas_v7:4:264901018:264901338:-1 gene:gene-LSAT_4X137361 transcript:rna-gnl|WGS:NBSK|LSAT_4X137361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVATEGDDSSGDGAVVGYGGRPPDDGVGTRGDGRPPDDGVDLKDSGRPSDDSDASRDGGGPPEGGAASRDGGSAGVLVASKDAIECIGLCGGSDGSSVVFFVMRG >cds-PLY79989.1 pep primary_assembly:Lsat_Salinas_v7:9:46575421:46579275:1 gene:gene-LSAT_9X43200 transcript:rna-gnl|WGS:NBSK|LSAT_9X43200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKAEPKPEPKTKYDRQLRIWGEQGQSALEKASICLLNCGPTGSETLKNLVLGGIGSITVVDGSKVENGDLGNNFMVSESNLGQPKAKCVCAFLQELNDAVKAKFIEEHPVTLIDTNPSFFSQFTVVIATQLVEASMVKLDRICRDANVMLIFARSYGLSGFVRISVKEHTVIESKPDHFLDDLRLNNPWPELKKFTESIDLDTSDPVAHKHTPYILILVKMANDWAKAHNGKLPSTREEKREFKELIKAKTIAPDEDNYKEAIEASFKVFAPQGISSSNLKRILDDPCSEVDSCSSDFWVMVAALKLYQAKAEADFIIVGQRVRDILKKIGRDPLSISNDLIKSFCKNARKLTVCRYKSIEEEFNSPILPEMQKYLSDEEYSVAVGFYILLRAVDRFSANYNAFPGQFDGAMDEEISRLKTTAVSLLTDLGCNGSPLPEDLINEMCRYGAAELHAVAAYIGGVASQEVIKLITRQFVPMSGTFIFNGIDHKSQLLSL >cds-PLY97799.1 pep primary_assembly:Lsat_Salinas_v7:7:120411485:120415730:-1 gene:gene-LSAT_7X73881 transcript:rna-gnl|WGS:NBSK|LSAT_7X73881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G14930) UniProtKB/Swiss-Prot;Acc:Q93ZB6] MGFSSITSGCGCCSLGWKSSSFLVPLRSNSSTPNGVLVSPTNRFNRLRVIRPYASASSEPLLVKAARGLPVSRPPAWMMRQAGRYMAVYRKLAEKHPSFRERSETTDLIVEISLQPWEAFHPDGVIIFSDILTPLPAFGVPFDIEDVRGPVIQTPIRSEEGLKALHPINLDKVSFVGESLKILKQEVGGKAAVLGFVGAPWTIATYIVEGGTTRTYTNIKSMCHTAPHILRALLSHLTEAIAEYVVYQVKAGADCVQIFDSWGGQLPPNMWELWSKPYINEAIVGIVKKRCPEIPLVLYINGNGGLLEKMKGTGVDVIGLDWTVDMADGRRRLGDDISIQGNVDPAYLFSPLSALTDEIHRVVKCAGQKGHILNLGHGVLVGTPEEAVARFFDVARSFNFESVEEKTKVVV >cds-PLY94636.1 pep primary_assembly:Lsat_Salinas_v7:1:41399823:41403807:1 gene:gene-LSAT_1X36880 transcript:rna-gnl|WGS:NBSK|LSAT_1X36880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISTYLNNTTTTHSQSLTYSADQCSPYRLLLAFPWFANQIRFAKAHDSMFISERIENVVQNSARKPEKVSACSKCFNNPVAWSGNLNAIACASESCACIPSSNANPPFWIPIHIVIPERPTESTVFNVIAVAHRISTVIDSDMVMVLSFGEMMEYDAPSKLMESDSYFSKLVAEYWSICRT >cds-PLY88160.1 pep primary_assembly:Lsat_Salinas_v7:5:221181285:221184320:-1 gene:gene-LSAT_5X102040 transcript:rna-gnl|WGS:NBSK|LSAT_5X102040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDQPSPSPRSLGEVSEEELVRLSLDLVAAARQNIGFLRDVAESHWLHHTPVLVESIRRYHELWMPMMSDLTPESGKPPMILPSLDIEWVWFCHTLNPVTYRQYCESRFSKLIGKPAIFNQENKDYALERCREIWIAKYPCEPFENESDSGDIHESKILPADHLLGEVSKQRCLFTMFSKPYMLELVYLIAAKNRYKGFVFISQKFADSNSSFVPTSDILLMWITHKSYPTAYTMDGKEMEKVVGSGESVKEEDLEVTKKLWEKVFDQPYEKAGCSAIGGAGGLNPPPLHWEVTDTDVNVKYRPLLPRFLLEVNILVKQIPMMKTLEMDVSKEFLRFQFLRCHRDLKINNPISTLPSNSWQKLVNLYCEFGTKGMVVELRRKGGVVCMNGSKLLDSKTFMWNQLLRATSITLDGVVGQRMRVVVSITPPAQAPYLLKSVPDRVTDDSGAMVSEVVLKMNQYRPQEGRWLSRTVLDHAGRECFVIRMRVGGGFWRRGSNKPTVVKWEDRCIEIREGSWLYVAGSIGRAPEKVIGTATPKKASQGWQAQWSLSTGHELFMRLESSTVMDFDLKTTTSTGSQVRLLNGRKMQYWDDENKGDEEGFVTIVRFTEENPSGRATGLLNWKLSSVEFLPEEDTVFMLLLNMAILRSVTEITREDVGSLLVRRRLKEARHGSRDWGSVIVLESLVKSVYVKPWYWNAEGVMAREGVDYVTKSYSAEECGDELYKQALFG >cds-PLY86230.1 pep primary_assembly:Lsat_Salinas_v7:8:58792392:58795352:-1 gene:gene-LSAT_8X42761 transcript:rna-gnl|WGS:NBSK|LSAT_8X42761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYLEYTPFDRINAFLDHLNLGERTLKGCIEAYSCKHTGSDKRLSLSLENEILDYLGNSPDADSPAEYLSSRSSRKMLIYLILTLYHMYPDYDFSAVKAHQFFTEETWDGFKQIFDSYMSDASKEWKEANEDGSLLETLYKALDEVINLSECEVYSYDPNSDADPFIEKGALWSYNFFFYNRKLKRVVCFHFSSLSNLVSDGFAFDGSYYEEDGEIFDDMDM >cds-PLY83028.1 pep primary_assembly:Lsat_Salinas_v7:5:51566996:51567744:-1 gene:gene-LSAT_5X24961 transcript:rna-gnl|WGS:NBSK|LSAT_5X24961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSITLRRHDSPGGKTKQISAGVLRSITALWLICAKRAIIASRKLKDNSKISSDSRMIQWPKKLIATISNKDMKFGRRKSRTGESGNTRGDGVADDGVWQKEILMGDKCQPLDFSGVIYYDRDGNRRDELPMRSPRASPFPGYVAKFDWSPPHER >cds-PLY91826.1 pep primary_assembly:Lsat_Salinas_v7:9:113579674:113582362:1 gene:gene-LSAT_9X80800 transcript:rna-gnl|WGS:NBSK|LSAT_9X80800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVCGSSTTMSPNSSTFYLSPLTVMPLKSDGSLCILEALSSTQSEGMMPNSSLPKLEDFLGVAHDYGGHERETMALSLDSLYPESRWLYPEGVQCQPNMYQIHEEEANLPKNNWVVSPTPPPPYPAETPYTSLDSHNHLQVTTTTNTNHMIQEGGGGDVQTLSLSMSPGSQSSCGTVRTDDCLTVEKKKRDSTKVAKKQSLHRKSLDTFGQRTSQFRGVTRHRWTGRYEAHLWDSSCQKEGQTRKGRQVYLGGYDMEEKAARSYDLAALKYWGSSTHINFPLEKYHEELEEMKSMSRQEFVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDVAAIKFRGVNAVTNFNVSRYDVEGIIASSTLLTGELARRNTTTTLEGGFIRNCQHAQPNNNYDNQQSVCDSITLESLHENLKKNNNDPRELINNDISPSNKMDIQFFNPCSSNTSLSCSREESPDGISASMTSKANVSSRVSSGHFPVFAAWTDA >cds-PLY79575.1 pep primary_assembly:Lsat_Salinas_v7:8:118129393:118131955:1 gene:gene-LSAT_8X82161 transcript:rna-gnl|WGS:NBSK|LSAT_8X82161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPPSSQVFFISSLIILWYSSNIGVLLLNKFLLSNYGFKFPIFLTMCHMSACAILSYISIVFLKVVPFQKIKSRSQFLRIATLSIVFCASVVGGNISLRFLPVSFNQAVGATTPFFTAMFAYLMTLKREAWVTYGALVPVVTGVVIASGGEPSFHLYGFIMCISATAARAFKSVLQGILLSSEGEKLNSMNLLLYMSPIAIVVLLPAALIMEPDVMEVTISLALKHRFMWLLLLINSTMAYAANLTNFLVTKNTSALTLQVLGNAKGAVAVVISILLFRNPVTFVGIAGYSMTVMGVVAYGEAKRRYK >cds-PLY62132.1 pep primary_assembly:Lsat_Salinas_v7:6:46815634:46817633:1 gene:gene-LSAT_6X33500 transcript:rna-gnl|WGS:NBSK|LSAT_6X33500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEENLMSTFPRITEDENTRIGDRYTSDVIARLRTPEGRDEWIYCHSHILMKNSKYFADRLSETWPTCQILDSRNCVEVHCQEHDFDHHITVLRLFYVTITCSVTDICHNVKNALGILQVAVNLGSPEIVATCVDFLEASPWEEAEEEEILKIIPGIGLLAEPVLARLQPVNPAGIVKLFLSATLFATSALPLSMADLKTSAQEQIEYMLTEDDDAPLITSDEDIKSKVKQHFKGLLTRFNNVVNSCETSDSQMNQFQCFLVDISWACQILTKLEILKDFVENWVNVSENIVKAVDQISQQGEMLETKVKVIEVTSKVLEAIAYGNVILPTIKRLHMVKIWLPFVRILKPLFDSLTTDENECLVVKMDVEIWQSLESAFSSIILALPAGQQAEILSEWLENKHIRYPDLTEVFEVWCYRSKVANRRLAHIKGNKGIIKML >cds-PLY72326.1 pep primary_assembly:Lsat_Salinas_v7:4:60661685:60663779:1 gene:gene-LSAT_4X42081 transcript:rna-gnl|WGS:NBSK|LSAT_4X42081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSLVCVFFTVVITFLFFNGATMVESSNRSLVYDQKDVSPRRELVGIKTSFKLPSPLPKWPPGGGFGGGTMDLGGLQVSQVSTLNKIWAANDGGPGNVGATFYEPVSIPQGFAILGFYSQPNNMPLFGHVLVGKDVTDDPLNPTLKPPTDYTLVWSSVSVNIKKDGDGYIWYPNPPDGYMAVGYIVTTSSEKPALERVRCVKFDLTDTLESDVWIWGSSKSLNVNGFNVYSSRPMNRGVEAMSAPIGGFVVENGIGGNTLSISCLKNLKGSLVNSMPNLDQIKTLIQTYSPMVYFHPNERYLPCSVDWLFQNGALVYHKGDESNPILVDQNGSNLPQGGSNDGTYWLDLPKDGSSKERIKQGNLQNASSYFHIKPMYGGLFTDIAIWLFYPFNGASRAKVEFINISLEKVGEHVSDWEHITLRVSNFNGGLKSVFFAQHSSGTWVSASVLEYAIGNKPVVYSSLNGHASYPKPGLVLLGPHGVDIGLRDDMEKSDKVMDTGDRATVVAAEYLGSIVVEPPWLNYFRKWGPKIQYDLDKELNKVEKVMIWKLKKAFYKFRKSVPREVLGEDGPLGPKAKHEWSGDEMG >cds-PLY97583.1 pep primary_assembly:Lsat_Salinas_v7:5:239938110:239940202:-1 gene:gene-LSAT_5X118461 transcript:rna-gnl|WGS:NBSK|LSAT_5X118461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFLQRVLASIESIFKIGSTEPTTLLFDALDHFDRRNAKEEFPHPLSRVKKPAGYRLSYQIVDSLIWLGIRDMINDVRKKKLKLRPITYLSGSQGSETDIPHGYIWSPHLFLNPKELVHWLEAGPKPIYIGFGSLPVQEPEKMTQTIVKALEMTGQRGIINKGWGGLGIYKLFVSSVTEPKDFVYSLDNIPHDWLFLQCAYVVHHGGAGTTTAGLKVVCPTTIVPFFGDQPFWGERVHSRGVGPPPIPVDQFNLTKLVDAIKFMLDPKVKERAVELAKAMENKNGVEGAVKAFLKHLAQQIPPDCKPSSTKPRTKTKPSKSKVTLPKVFTCCKT >cds-PLY98863.1 pep primary_assembly:Lsat_Salinas_v7:5:18924425:18928899:1 gene:gene-LSAT_5X10060 transcript:rna-gnl|WGS:NBSK|LSAT_5X10060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFSGGGHSQFAVGGTSSAHDTRGSNDAVDGFLKSRGYHGLFSQIELSLSASDLRDRDVLSKSDPMAIVYTKGKDGSLQELGRTEVVLNSLNPKWITKIKITYYFEMVQTLLFRVYDVDTQFHSPDIKTLKLDDQQYLGEATCALSQIVANSKRACTLELVSIAGSAESTHKKLGQLTIHAEEEVVSKTTAELILKRVQKSLADLEKLSSNGIGEKLFLPVTVGKDSQTKVLKSQLFVEKFCESVHHSFLDYLSGGSSNGNPRLPDSLHYIDHSGRPNAYQKAIQEVGDVLQYYDYDKLFPSWGFGARPIDGPVNHCFNLNGSSANPTVSGIPGIMMAYESALSNVSLAGPTLFGPVIIAAANIASQSMAANEHKYFVLLIITDGVITDIQETKDALVKASNLPLSILIVGVGGADFKEMEILDADKGEKLESSTGQFASRDIVQFVPFRDVQGGGISVVQSLLAELPSQFLTYMRNNGIQPTPPPTTTTL >cds-PLY68847.1 pep primary_assembly:Lsat_Salinas_v7:3:63507056:63507564:1 gene:gene-LSAT_3X50101 transcript:rna-gnl|WGS:NBSK|LSAT_3X50101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVSAKAWSLPSSSGGHEASKRRRRFTGQDRCEERYDGSGFRGSEPFVSHPGIGDSPDHGIEEEIIRAVIEATKQDSQMSQRDVAVPNLRQLEDPDLAQVVSLSLKPVHEKIGVVDSQWIIQQVVPSLGRQLRPTPPHVILK >cds-PLY94702.1 pep primary_assembly:Lsat_Salinas_v7:8:271334131:271336448:-1 gene:gene-LSAT_8X157081 transcript:rna-gnl|WGS:NBSK|LSAT_8X157081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKRYSYKTSLIAGVADAVQKESLHFCVSNYFIQFLFHFIVITKKYRFDRPTKDNILKFILGSVLSLCPYRGLDVVLVQETVVLILDDTERCCEVAGISMGLLMVGTTSEKAAEMLVYAHETQHEKIIRGLALQITLTVYGREEEADTLIDQMTHDQDPILRFGGMYSGTTNNKAIT >cds-PLY87161.1 pep primary_assembly:Lsat_Salinas_v7:5:265962779:265965179:-1 gene:gene-LSAT_5X137800 transcript:rna-gnl|WGS:NBSK|LSAT_5X137800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQSFKGYGKVDPVEEQAFRQKTRKRLIILIVSVVLLIAVIIGAVAGTVIHNRNDNGKDEDASSSQLSSAQSIKAVCSQTLYPESCYSSISELDKSNTTDPEDLLKLSLQVVFNSLSGLSSLPESLMNATSDDTAKEALKVCKVVLDDALDYLSDSISSMDVKSGDKLLSLNKIDDLKTWLSTALTNQDTCLDALEEMNSTFLVDVKSKMQNSTEYASNSLAIVSKISGILGKFKIPIHRKLLAERESEFPEWVSPVVRRLLQVGRPTPNVTVAIDGTGDVKTIKEAMGKVPKKSKTMFVIYIKEGVYMENVVLDKSFWNVMIYGDGKDKSIVSASLNFVDGTPTFSTATFAVAGKGFVAIDMGFKNTAGAAKHQAVALRSGSDFSVFYRCSFDAFQDTLYSHSNRQFYRECDVTGTIDFIFGNAAVVYQNCKIMPRQPLPNQFVTITAQGKKDPNQNTGISIQKCDISAFDKLTAATYLGRPWKDYSTTVIMQSTIGSFLKPVGWISWVQGVDPPASIFYGEYLNTGPGADTTQRVKWTGYKPSLTSSDASRFTVASFIEGSMWLPQTNVAFDST >cds-PLY95517.1 pep primary_assembly:Lsat_Salinas_v7:4:142570338:142580108:-1 gene:gene-LSAT_4X87381 transcript:rna-gnl|WGS:NBSK|LSAT_4X87381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSNRGKGNKTKADKKKKEEKVVPSVLDITIITPYETQVILKGISTDKILDVKKLLAVNVDTCHLTNYSLSHEVKGAKLNDRVDLVSLKPCLMKMVEEDYTEEAHAVAHVRRLLDIVASTTRFGKPKARRATTVAGGEARSKKGRSQNVQVTAGRPVSPLSDGGETVENGGSSETETTAAATSPVSGNLDMAAIHPVPKLSDFYEFFSFSNLSPPILQLKRIDGQDAQEKREGDHFEMQIKICNGKLFQVVASTKGFYALGKQFLQSHSLVDLLQQLSQAFANAYDSLMKAYLEHNKFGNLPYGFRANTWLVPPSILDSESNFQPLPSEDENWGGNGGGQGQNGQHDHRPWATEFAILASLPCKTEEERVIRDRKAFLLHSLFVDVSIFKAVSTIRKVIDSVATSNCSPGSIVREDRVGDLFITVRRDAADASSKSEVKVVGVGSPTMSSEEVAITNLLKGITADESAVVHDTTSLGTVFVRHCGYTATVKIVGDIKKAKFISQDISIDDQPEGGANSLNINSLRALLPNSRDVELSGSMSSEQGNVEASRCLVKQVIKESLKNLEKSEASGKPIRWELGSCWVQHLQKQETPSGDNSPNEDKAETVVKGLGKQFKMLKKREKKQNDADSSDETEENNLGQESSVSESDIKESNSESELTKLIPEEAFLRLKESGTGLHLKSRDELIEMAHAYYDEVALPKLVTDFASLELSPVDGRTLTDFMHLRGLRMRSLGRVVELAEKLPHIRSLCIHEMVTRAFKHILEAVVASVENFAELSATIVSALNFLFGVWGIDDKSKEFTEDQSLKLHWLQSFLAKRYDWKMKDEYQQLRKLSVLRGLCHKIGLELVSRDYDMETPNPFRSSDIISMVPVCKHVLCSSADGRTLLESSKIALDKGKLEDAVNYGTKALAKMIAVCGPYHRTTASAYSLLAVVLYHTGDFNQATIYQQRALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALFLLYFTCGLSHPNTAATYINVAMMEEGMGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGVEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASKGHLSVSDLLDFINPDQDSKGGDAQRKRRTKASPVGEKSPEVQTQTDSKNNDTVETTTIQEDEPEVVIQKPKKDENTPKNVTLVTEEFQEPISDEGWQEANPKIRSGSGHTGQKKFNRRRPDLTKLHIQEKKEAIVIPKVTPRTSSISKITPRVSPTTATMASKSVSYKAVAVAPPGTILKPLLEKQELHEDKNVKNITSEDEVKNEEQQPQPDVVDLTIPEDEVIKEVDTVHETTPESEKSTKSTEENKDSETSSNTTDNTTETNGSKLSASAQPFSPQNNVYDVIPEYPPIAARVPCGPRSPLYYRMTQSQSFRLKNGFLKYQIPGSGFGSGSGSSPRIMNPHAPEFVPKRAWQGSEGGTVGSGSGSSEDEKVKKMSSDVEKAELARQILLSFIVKSVRDNSEVKSESESESGVVKSDSAPPQSQTQTQTPAIMKIIYGDDEGVVEKNKDDNGNGDGEGFVMVTKRRRNKQQFASGVSGLYGHTQQQSVSASVR >cds-PLY83763.1 pep primary_assembly:Lsat_Salinas_v7:4:39612550:39613916:-1 gene:gene-LSAT_4X26380 transcript:rna-gnl|WGS:NBSK|LSAT_4X26380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIVEKANLSSHFRSIKKKPPHVPKNYQHAARSFDEKVILHLKTLDLPTSSSNISLSWLSAAVSFLSTVHSEAEDQISNLKSEADDYQVLYMEYSLKVLDLCNLFSSAVQKLTDRRLLLNFSLRLLNFSDQIPSSEKLNKAKDSLTKSLNNTQESVKEKSLRAKALIEELTVLLGKLPPSKSNTGRDLIRRSFSALGVLTVFVGSVLVTVLYGESDVAKLSVPVEFLWADSVNGLQTQIFDLIKPKQNPVLELDDIASQAAIVLDLLHVFVSDDGDKVDGKVRLEDGVKELGTATKKFSDGVDTLTNGVNGMFRTVLNTRNGRLDL >cds-PLY82395.1 pep primary_assembly:Lsat_Salinas_v7:4:337375924:337378456:-1 gene:gene-LSAT_4X166040 transcript:rna-gnl|WGS:NBSK|LSAT_4X166040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVAEEDSLAVENSNPPLAKNYLGSPNSAEDYNIFSQLTKTFNTQHNDPDAPSLVPLISSRCMFFNFQCLLEISYLTLDLVVFRQSKRRKSKPTRFEVSPTEVQTERPPPKINIPVSKTSTPSLSLKKVKSSSTKSSKSPTPTSPAMILATEFQSSLGTEFPSCVKLMGRSQVTQGFWMGLPTPFCRSFMPKEETTFVLEDENGEKCEVKYIAYKNGISAGWKSFVVAHDLTEGDVLVFHLIDAFKFKVYIIKASESNEVDGALSLLNLEAQNDENPPVTPSPKPKKRKHPKTLSLTMVKEKHKKSTPLLVKAIEILEHSGTNKEDIGSEVPEPSTTPFQDLKSFEHFRIKINGQCINSELSEEVKLGYYKLCIHKKQFLHDGVHKNLYHKLVAGMIGETVNIAKEIKNCKLMISKEELEAWDNTLKSFEILGMKVGFLRDKIRTLVRLVFESEFGVDLKRYVEAKKNEKLVEDEIIKVKAKLLELKIKAKGLLGCLKDKVEKYMIEFQDEVDVPW >cds-PLY88694.1 pep primary_assembly:Lsat_Salinas_v7:5:69780740:69785112:1 gene:gene-LSAT_5X32541 transcript:rna-gnl|WGS:NBSK|LSAT_5X32541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQLRSGVRTGRPSKRPIAADNTKPKPVEEEEKKTIKKGRKANRKTGQINPVVQKNGRGRKKAAVVSDEDADEKNAVRTTPEEVEKGKNEELAAAEIKKEKEEEEEVGEKEMDDYDSAGLSADKNLGAEEEGSTAPLPERVQVGSSPSYKIERKLGKGGFGQVYVGRRMNAPVPNERTGPGAIEVALKFEHRSSKGCNYGPPYEWQVYNALGGSHGVPRVHFKGRQGEYYIMVMDMLGPSLWDVWNNNSHTMSTEMVACIAIEAISILEKIHSRGYVHGDVKPENFLLGSPGTSDEKKLFLVDLGLATKWRDTSSGSHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGFQGENKGFQVCKKKMATPPETLCAFCPAPFRLFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTEGAQKLMYQVGHKRGRFTMEEGDEEQPKKKVRMGTPATQWISVYNARRPMKQRYHYNVADVRLHGHIQKGNEDGLFISSVASCQNLWALIMDAGTGFTHQVYELSPMFLNKDWIMEQWEKNYYISAIAGANNGSSLVVMSRGTQYIQQSYKVSEAFPFKWINKKWREGFHVTAMATAGSKWAIVMSRGAGFSDQVVELDFLYPSEGIHRRWDGGYRITSTAATWDQAAFVLSVPRRKPADETQETLRTSAFPSTHVKEKWGKNLYIASVCYGRTVS >cds-PLY66970.1 pep primary_assembly:Lsat_Salinas_v7:7:122804849:122807125:1 gene:gene-LSAT_7X74401 transcript:rna-gnl|WGS:NBSK|LSAT_7X74401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIALRRFRFTTRVPCIRASPLGSSSYSSDSVPEPLPSDPLDLHSSSDLIRKQHWSALKILTKSTNPNSFLQQLYDWGAPYDVVLGYFKWSHQEFQVSHSLEHYCRLFYLLANGKQFGRIRALLDNHYVKIAISKYSPSSILRMLERVCDNVCANSIIVDLLILGYAKNSKTDLCFEAFDRAGDYGLKLSVWSCNRLIKLLINQEKFGRVEFVYREMIKRRIELNLSTFNMVINGLCKVGRLHKAGDVLEDMQSRGILPSVVTYNTLIDGYCKKGGSGKMYKAEALMKEMIKKKISPNEITYNALIDGFCKDENLSTATNFFKKMEVSGLKPDVATYNSLINGLCNLGKLDEALVLHDKMVESNVKPSIITYNSLMNGFSKKKLVNKCKELFDDIHNQGLIPNVYTYNTLINAYFRSGNFEEAVKVYKSMVKGSVTPNVSTYNSLITGYCKEGNMKEANKVLDNMKEKDLKADIVTYNIRIGALCKQGNTGEAIKLLNEMSVVGLIPIQLTYNILIAGYCGEGNLKSALNMMTRMEKEGRRCNVVTYNVLIKAFCEKGKLEEANVFLNKMLEKGLVPNERTYDIVKEEMMERGFVPDIDGHLYNNLVTS >cds-PLY84029.1 pep primary_assembly:Lsat_Salinas_v7:6:191760941:191765718:-1 gene:gene-LSAT_6X117840 transcript:rna-gnl|WGS:NBSK|LSAT_6X117840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEARFGGNPHHYYGPVVPELEGVGKRSMEWDLNDWKWDGDLFTATPLNTIPSDCRSRQLFPVTSGGSSSCSDEGKRELEKRRRVVILDDDDEVGGLNLNLGGQVYPITEDDLERWEGKSGKKTKVAPASSNRAVCQVDDCQTDLTGAKDYHRRHKVCNLHSKATKALVANVLQRFCQQCSRFHALEEFDEGKRSCRRRLAGHNRRRRKTHPENTVSGVSLNDERSCSYLLVSLLRILSNLQSNTSEQNKDQDLLSHLLKNIASLAGNVNEKNSSGMDAGTSFATTPEKEESLQPVRQIESVNPSMACQITYPSNNKAQAQAPIEVEANNNNNNNNGMEGRVGKMKLNNIDLNMVYDESQDGMETAENIDKNMPLWLRGHPHKSSPPQTSGNSASTATQSPSSSSGEPQSRTDRIVFKLFGKDPNELPLVLRNQILDWLLHSPTDIEGYIRPGCIILSVYLRMDNSSWDELCYDLSTHLRKLLDASNNSFWRTGWVYTRVLDHVAFSCNGQIVLNTALPNKRHRNSSILSITPIAVSASANTQFSVKGFNISWSTSRMLCVLEGSYLVQTSCSDLLDTTDSLMKHEDIQSLSFCCSVPNISGRGFIEIEDDSLSSSFFPFIVAEEDVCSEICTLESDIEDMGEEKTRRGALEFVNEMGWLLHRSQLKLRMGDGFSFKRFRWLIEFSVDHDWCAVVNKLLTIILGGEVVVAHDGSVEAALMEVGLLHRAVRRNSNRMVQLLLNHHQQNLFKADAVGPGGLTPLHIASGKDGSESVLDALTDDPQMVGLKAWRNSRDSSGLTPYDYASMRGHYNYIHLVQRKMNKNKNKNRSEKGGHVVVDMPPPVVAEPPHKVAGFETEKAVVVVVQKSCSRCERKLSYYGGGRSSLAIYKPAMLSMVAIAAVCVCVALLFKSSPQVMYVFQPFIWERLKYGAS >cds-PLY80986.1 pep primary_assembly:Lsat_Salinas_v7:9:175375473:175377338:-1 gene:gene-LSAT_9X108021 transcript:rna-gnl|WGS:NBSK|LSAT_9X108021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFKSKENQQPTHDDSDFEDPNPVISQNKRKSPTVAIAKVVKHQEKKAREDVGNPQSIKTRVKVKKLNNRKSKKGVHLGIPIQPRRIHIRTSPKILFSTMHSLTIGQKEYLSSIGFGPLLNIRVDGSASRIGYYVVNNFDPKHMVLNVDHEHVSCDAVTIDLGRPTICYWDVETMCLREEYEIKNGGIGTGELRDPYIPQDDNADTVNSANGSVDEYLSTIESMFNKLVEDNHLFESKLGEAIERHPLICDFYEWKAKIRIFLNEASEKYVGGSSTDASNIGPLSQWWSDNAEQINRSCQTAEHYVKSFPNSPFPNWSIGLTQEFADIISNSPLKNTMKTPKDHCTSPFPLSIVLIDSADVAVRARELRPRNKTPILRSSFTVRAVDITKRITRSQKDVSDWVFST >cds-PLY69790.1 pep primary_assembly:Lsat_Salinas_v7:4:244531244:244533659:-1 gene:gene-LSAT_4X129461 transcript:rna-gnl|WGS:NBSK|LSAT_4X129461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPRVILLMAVTMAVCHRYTAANTNQERKTYIVHMDKVVMPPEYSDHRNWYATSMESVSESPEMLYMYENVIHGFSTRLTVEQAKLLEKKHGVLSVKEEVIYKLHTTRSPEFLGLAGRETMFSGLGSGGDVVIGVVDTGVWPGSKSLDDTGFGPIPAWWKGECKNGTSFGVSNCNKKLIGATFFSRAYEATYGPIDETIESRSAIDDDGHGTHTATTAAGSTVTGASLFGFAKGTARGMAPNARLAVYKACWLGGCFGSDILAAIEKAIADRVHVLSLSIGGSLADYTNDVVAYGAFKAVSRGIFVACSAGNSGPGPFGLSNVAPWIATVGAGTLDREFPAYVVLGNGKKFRGVSLYSGKPLPESVVPVVYAGNISNTTGNLCLPGTLPRGRATGKIVMCERGGNSRVQKGMVVKAAGGVGMILANSDTFGEDLVADAHLIPTAAIGYRGGEAIKSYILSNDNPTATIASGVTELHIQPSPVVAAFSSRGPNPLTPEILKPDFIAPGVNILAGWTGKTGPTGLTEDTRRVEYNIVSGTSMSCPHVSGLAALLKAAHPAWTPAEIKSALMTTAYSAYKNGEGLKDIATGNPSTPFDHGSGHVDPIRAMDPGLVYDASPNDYLGFLCALNYSSNAIKMFGGGSFTCRKKYRVEDLNYPSFAVPLLTDSGEGGGVGGGPTTVKYTRTLKNVGTPATYKVSVWSKVAAVKITVDPEELIFTKQGEKKVYTVTFTASSMQSGSTGFGHLKWSGGKYVVSSPIAFSWV >cds-PLY78038.1 pep primary_assembly:Lsat_Salinas_v7:7:193079249:193084706:-1 gene:gene-LSAT_7X114941 transcript:rna-gnl|WGS:NBSK|LSAT_7X114941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLKSKFLQVYEVLKSELIHDPSFEFDDDSRQWVERMLDYNVPGGKLNRGLSVVDSYKLLKGEELTEDEVFLACALGWCIEWLQAYFLVLDDIMDESHTRRGQPCWFRLPKVGMIAVNDGVVLRNHISRILKKHFRSKTYYVDLLDLFNEVEFQTASGQMIDLITTLVGEKDLSKYSLSIHRRIVQYKTAYYSFYIPVACALLMFGENLDDHVQVKDVLVEMGTYFQVQDDYLDCFGAPEVIGKIGTDIEDFKCSWLVVKALELSNEEQKKLLNENYGRKDPGSVAKVKELYNSLNLQGVFEEYENKTYEKLVKSIETHPSKPVQAVLKSFLAKIYKRQK >cds-PLY74461.1 pep primary_assembly:Lsat_Salinas_v7:7:41488151:41489615:-1 gene:gene-LSAT_7X31780 transcript:rna-gnl|WGS:NBSK|LSAT_7X31780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEKEVVCLIDKFIESTEFASGIQGVHEACEALGFEKGKRLGGYSTSIGEPEVPDPGHVARRAEKVDVALSSLAGTDFSGLFCLGELDYDGFRQFCCMPNPGGWAFIMFPSTVPNIGEMNFVAHALECLEFPFFSTYFGMQPWKVPPALSGASINLASHVAWDIV >cds-PLY86873.1 pep primary_assembly:Lsat_Salinas_v7:8:50393722:50393886:1 gene:gene-LSAT_8X37560 transcript:rna-gnl|WGS:NBSK|LSAT_8X37560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIENEDEGRKREEMHSPMKMEDGEYVVGASVTSSSSHHRLTSRGEFGVVDDCF >cds-PLY96622.1 pep primary_assembly:Lsat_Salinas_v7:7:44429219:44435142:1 gene:gene-LSAT_7X30600 transcript:rna-gnl|WGS:NBSK|LSAT_7X30600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVWQLLWCCMFLFIVEVKVIFADTNPGDAEVLRALKDQWQNTPPSWKRSNDPCSWEGVNCTDTRVIALGLSSMSLVGQLVGDIGGLSELTSLDLSFNGGLTGQISPRVGDLGNLDTLILSGCSFTGNIPSELGNLKKLSFLALNTNNLTGQIPPSLGYLSKLYWLDISENQLTGSLPVSTLTNPGLDMLKHCKHFHFNKNKLSGGIPSQLFSSDMVLIHVLFDGNQLTGEIPNTLGYVKTLEALRLDRNALEGEIPPNLNNLTSLGELNLAYNNLQGALPDLTGMNSLTYVDLSNNSFQASDPPRWFSTLPSLGTLVMEFGSLQGNLPQALFGLSGIQQVKFKNNLFNDTFDMGDNISDQLQLVDLQSNKIDNATLSSQYKNTLELYGNPVCDTTLENSTYCQLQTLRNSYSTSSADCGSKLCRHDSKLSPQNCECAYPYEGTIFFRAPSFRDLSNVTIWHLLETSLWTKLGLTEGSVSLQNPFFNSDDYLQVHTGFFPSKDKHFKRSEVQMMGFFLSNQTYKPPAGFGPYYFIASPYSFPDGHGGSIGVGGVIGISIGCTLLILVLIGLVFYAVQQKKRAERAITLSKPFGSWAPSAKDSGGGAPQLKGARWFSYDELKKSTKNFSDINQIGSGGYGKVYRGVIPGGQMVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMSKGTLRESLSGKSGIHLDWKRRLRIALGSAKGIAYLHELADPPIIHRDIKSTNILLDENLTAKVADFGLSKLVSDSEKGHVSTQVKGTLGYLDPEYYMTQQLTDKSDVYSFGVVMLELITAKQPIVKGKYIVREVRLAMDKTDEEEYGLREIIDPNLEDTTFLIGFGRFIQLAMQCVEESAADRPTMSNVVKALESILTSDGLCTNSDSASSLGSVGGAPKHPYNEGELKRNTSDVFDYSGGYNITTKIEPK >cds-PLY90865.1 pep primary_assembly:Lsat_Salinas_v7:9:162100377:162101147:1 gene:gene-LSAT_9X101201 transcript:rna-gnl|WGS:NBSK|LSAT_9X101201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATMDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLLSKEATVGEKQFSSQGHQGNFSKNEGAGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIEPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGVNFNGNRQGYIPKSGARFQNQYYGLLLKSISLILYKKGTADLILYKNSRTDTYLSL >cds-PLY71274.1 pep primary_assembly:Lsat_Salinas_v7:5:96260420:96263343:1 gene:gene-LSAT_5X43700 transcript:rna-gnl|WGS:NBSK|LSAT_5X43700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGLELWMRDLVASSGSQPEILPASTNFVNGGLFLETGNVPSSIASFISVQNTSSVAPISCSLSRRRSRRRHGRVGFLSVTLSVKDGGSGEGFSGESSLVLGGEVEDEQKGLEEEEVKVKVQKQGGALNTTKHLWAGAVAAMVSRTFVAPLERLKLEYMVRGEQKHLIDLIKSIAATQGIKGFWKGNFVNILRTAPFKAINFYAYDKYRSELLKLTGNQETTNFERFLAGAAAGITATILCIPMDTIRTKMVAPGGEAMGGVIGAFQHMIQTEGFFSLYKGLLPSIISMAPSGAVFYGIYDILKSTYLHSPKGRKRLENMKEGGEALNALEQMELGTMRTLIYGAVAGCCAEAATYPFEVVRRQLQLQVRATKMSALATFGKIVEQGGVPALYAGLTPSLLQVYFNQNYPYIHSKPNFTIFIRFNMRGVESKKKKSK >cds-PLY65057.1 pep primary_assembly:Lsat_Salinas_v7:1:117796213:117801943:1 gene:gene-LSAT_1X86980 transcript:rna-gnl|WGS:NBSK|LSAT_1X86980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNPSRSRTQPRPTRSHPLGGMDYVDPKKKNGVVGKILLAAGLTAICILMLKQSPSFNTPSPFSQHETGVTHVLVTGGAGYIGSHAALRLLKDNHRVTIVDNLSRGNLGAIKVLQDLFPEHGRLQFIYADLGDPKAVNKIFAENAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLGVMEAMSAHNVNTLIYSSTCATYGEPEKMPITEETPQLPINPYGKAKKMAEDIILDFHKNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGITNGLKVRGTDYKTPDGTCIRDYIDVTDLVDAHVKALNKAKPGHVGIYNVGTGRGRSVKEFVEACKKATGASIKVDYLPRRPGDYAEVFSDPSKILRELNWSAQYTDLEKSLRVAWRWQKLHRNGYNPSMASI >cds-PLY98430.1 pep primary_assembly:Lsat_Salinas_v7:7:88949997:88955724:1 gene:gene-LSAT_7X61260 transcript:rna-gnl|WGS:NBSK|LSAT_7X61260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQLHTSNAVTRKWYFCFLLISIFSKVEPSTTPDANLLCISECGTCPVICSPPPSPPAQSKSPSLPVESKPPPSPSTDDSEPPPYLTPIYHATPPPRSQPMPSSPPHTKSCPPPSYITMGTNAPPPPPPPKVVVVPSTQVPAVEPKNNPYPYYYFYASKGVTFSLDFTGFVIVSTKRFDSEKERFEHLAFLNLANVLFVYNGPSLESLSCVSLFNFFS >cds-PLY96838.1 pep primary_assembly:Lsat_Salinas_v7:2:110432514:110433177:1 gene:gene-LSAT_2X51821 transcript:rna-gnl|WGS:NBSK|LSAT_2X51821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTQAAPILHDQEKEKENVNVEEAKEHKKKAKKKQEYEKNLPRKKRKLEASKEMMGDEAKNRVQKEKLYKTMQEPMMPKKLFKSHNIPESFPIVF >cds-PLY98443.1 pep primary_assembly:Lsat_Salinas_v7:1:9953697:9970522:-1 gene:gene-LSAT_1X6760 transcript:rna-gnl|WGS:NBSK|LSAT_1X6760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATWQPQEGGFKEICGLLEQQISPSSDKSQIWQQLQHYSQFPDFNNYLAFILARAEGKPVEVRQAAGLLLKNNLKTVFKSMPPINQEYIKAELLPCLGASDRQIRSTSGTIISVLVQLGGVLGWPELLHTLVKCLDSSDLTHMEGAMDALSKICEDIPQVLDAENPGSSERPIDVFLPRLLQLFQSPHATLRKLALGSVNQYIMLMPPVLYMSMDSYLQGLFVLANDPSSEVRKLVCSAFVQLIEVRPSFLEPHLRNVIEYMLQVNNDPDDEVSLEACEFWSAYCEAPLPPENLRAFLPRLIPVLLTNMAYAEDDESLLDAEEDGSLPDRDQDLKPRFHSSRFHGSDDAEDDDDDIVNIWNLRKCSAAALDIISNVFGDEILPTLMPFVQAKLSKLDDESWKEREAAVLALGAIAEGCINGLYPHLSEIVAFLIPLLDDKFPLIRSISCWTLSRFSKFIVQGIGHPEGHEQFEKVLTGLLRRILDNNKRVQEAACSAFATLEEEAADELGPRLEIILQHLMCAFGKYQRRNLRIVYDAIGTLADAVGGELNQPKYLEILMPPLIAKWQQLSNSDKDLFPLLECFTSIAQALGSGFAQFSQPVFQRCLDIIHTQQLAKVDPATAGVQFDKEFVVCSLDLLSGLTEGLGSGIESLVSQSNLRDLLLQCCMDDGSDIRQSAFALLGDLARVCPIHLRPRLAEFLEVAAKQLNTPKLKETISVANNACWAIGELAIKVNQEISPVVMTVISCLVPILQHAEGLNKSLIENSAITLGRLASVCPELVSPHMEHFMQAWCIALAMIRDDIEKEDAFRGLCAMVKVNPSGALSSLVFLCRAIASWHEIRSEELHNDVSQVLLGYKQMLKNGAWEQSKKGPHLKSGQVLIVQVDSVVIRSAKPYLATPGATVHGHYGEILYEGDTLVTFIYEKSRSGDITQGLPKVEQVLEVRSIDSISMNLEKRIEGWNKSITRILGIPWAFLIGAELTIVQSRISLVNKVQKVYRSQGVQIHNRHIEIIVRQITSKVLVSEDEMSNVFSPGELIGLLRAERMGRALEEAICYQAVLLGITRASMNTQSFISEASFQETARVLAKAALLGRIDWLKGLKENVVLGGMIPVGSGFKTPSSEPNNIPNNIAFELQKKNLLEGEMKDILFYHRKLFDSCLSNNFHDF >cds-PLY81579.1 pep primary_assembly:Lsat_Salinas_v7:1:133928609:133929175:1 gene:gene-LSAT_1X100700 transcript:rna-gnl|WGS:NBSK|LSAT_1X100700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSFSIGAFLLGLLIILVSFPPEIYGKTCHPSGGIRGRKPPSGQCNIENDSVCCIQGKFYTTYTCSPPVSSSTNATLTLNSFQKGGDGGGPSECDKKYHSDDTPVVALSTGWYKGGDRCHNFIRINGNGRSVKAMVVDECDSSMGCDEDHDYQPPCPNNIVDASKAVWKALGVSLENWGDLDITWSDE >cds-PLY89768.1 pep primary_assembly:Lsat_Salinas_v7:1:59606302:59608165:-1 gene:gene-LSAT_1X50241 transcript:rna-gnl|WGS:NBSK|LSAT_1X50241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKEANHKGPVWDEVSRIMSVEHGYRRSGKKCCEKFENLYKYYKKIKGGKAGRQDKKHYRFFSQLEALYGETNASTNQDQDPNLLSVSNNLHLPNNPSVFDPSSCDFVNHYAPLAPFKEESDCVERTDNKKSLGKKYWKTMITDFIETKTQTLMEKQDAWMEKMMRTIDEKEKERISKEKQWRKENAARLEIEKKLRAKERAWMESRDSALMEALHKLTENKSCTIKSSSPEHSNDQNHNIAGWGENEITHLIQLRTSMETRFEQGGCMEEVLWEEIALSMACLGYNRNALICKTKWDHINFQLRTKKRKENTRCSNLYSHHNNIIHQVGERSDSQNDPQNDGGYRALMNDPALIGNYYGNKITKGEKY >cds-PLY86771.1 pep primary_assembly:Lsat_Salinas_v7:4:296447606:296453184:1 gene:gene-LSAT_4X148140 transcript:rna-gnl|WGS:NBSK|LSAT_4X148140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSSTLSIHRSFKYDVFLSFRGEDTRKNFVDHLYHALQHKSIHTYKDDVRIKKGKRISDELIGSIKDSKFYIIVFSKNYASSSWCLDELVKIMECHRTKEHTAYSIFYDVEPSDVRKQSGAVGEAFAKHEKKEAAGKWRQALKEAADLAGWVLQNTKDGHEAKFIKKIVEELSLELRSISFNVDENLVGMESRINDVLSSLGTGTDDVRMMGIKGIGGGGKTTLARALFDQISFQFEGKSFVENVREVSTVSFFGLKSLQNQLLSDVLNDKKIRIKDVSDGKHLIKKMMRHRKVLVVLDDVDHKDQLEALAGEPSWFMPGSIIIITTRDKQVLVAHHVKLIHDVNLLSDNEAICLFNRYAFGREIQFQEYENLRRQVVRYASGLPLTIRVLGSFLCGKNEPEWKDALERLKTIPLNETLKKLELSYIALEEDYKEMFLDVACIMKGWRKDDAIKALESCGFHAINGLRVLEQKSLITINDNSGDYKYVGMHDHIEEMGRNIVRRSHPDKPHKHTRLWIYEEIENILANDLGTKGTRCIRLDAQIRDRKFDIKGLGKMKELRFLYVGAVRSRHWDSNIVNPEFPNALRYLSLMYYPFRSLPITFQANNLVALKMVGSEIIQLWEGGKRKVLNKLRILDLSSSMLTTLDLGLAPNLEKLDLGECSNLVKLHMSVECLKLVYVNLRGARLITNLDLRLAPNLEELILSFCNNLEKLQMPDRCLNLRYLLLERSKLRTFDIGLNPNLSKLDLGDSHYLEELYMGHECQKLANLNISHSKLRTLKLGLTMNLETLYLRLCCHLVELHMPIEFLKLKYINLEGSSLRTLDLGLAPNLEELYLVECNNLEELHMPNRCLNLQSLRLTNSKLRTLDIGQTPNLRNLDLYKNSHLEELYMRNECQMLTNLNISHSKLRTLDLGLTPNLERLDLEKCCNLVELLPPIGGLKKLVHLYLRTLGFEHFSFEIKNYTSCSVDESLEEYTCSVDESLEEYTSCVLEDYTSCSVDESLEEYTSCNADEPLQDYTSCVFEDCNSCSVDESLEEYTSCSIDEPLEDYTSCVLVDYPSCSVDESLEVGPLAELYLTVNAVDSCLLHPENSLPKFQFSCYYVEGRPSSIGNVEMLISQGMCACTNLETFSGIICGLRCLRRLELKGNIIEVLKDLDKLECLEELSFSSTEIMYLPDSICMLKNLKSLQIISCFLHGKLPEDLGQLECLESLDLSDTNIEHLPDNIFMLKNLRYLNLKSCSDLEKLPENLGELECLENLYLSDTNIKRLPDSIFMLKNLRYLNLKSCLLLEKLPEDLGQVECLENLDLSYTNIKRLPDSIFMLKNLRYLNLKSCSHLEKLPEDLGQLECLENLDLSDTNIEGLPDSICMLKHLKYLQLNDCSLLERLPEELGCRECLKELSIEGTRISDLPQSILLLKGLHIVGSTELLDSCGFTSEMQTLHVYDPPPFTMELFCHGDIALNMEDAWDAEVEHGDIALRDEDEMEEDIGGFDDER >cds-PLY88609.1 pep primary_assembly:Lsat_Salinas_v7:4:362731784:362733547:-1 gene:gene-LSAT_4X179021 transcript:rna-gnl|WGS:NBSK|LSAT_4X179021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKMEKAELKSSDFGTLEWNDDVIENDEESDTDENEDMKLDVCASKFVDPDELKASDRIFSRIDNSSEKVFQIKRGYVIGRGMIETMQAGLWRQFIFDKNIPFNQRFVKFESNIKDAMKKDKELKKFTW >cds-PLY66555.1 pep primary_assembly:Lsat_Salinas_v7:4:341504711:341505022:-1 gene:gene-LSAT_4X168640 transcript:rna-gnl|WGS:NBSK|LSAT_4X168640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVVSPVRSPIPAPSGFPTGVQAEKGNAPIRKRKSLRVVPSSDKETESDDAGLRPRKVRRTMSMARLLSGIGGILGSKFFVPKQKKDVVVPSSSMTLFSSFSG >cds-PLY71312.1 pep primary_assembly:Lsat_Salinas_v7:4:210250296:210250824:1 gene:gene-LSAT_4X117741 transcript:rna-gnl|WGS:NBSK|LSAT_4X117741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMLGRTFLVILFFWAALTIITPILVHLSASAKPLDYNGEIKNNGSQSRKVISLLSRKALGSITYSQSGISVSVPTPAPTSISVPTPAPTRKVMPILEHETSLVNFHLVIAKTSIHDLSTFFHAKKQSIII >cds-PLY70925.1 pep primary_assembly:Lsat_Salinas_v7:9:15451571:15452060:-1 gene:gene-LSAT_9X15040 transcript:rna-gnl|WGS:NBSK|LSAT_9X15040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSFSSKRQDELQSDHPCDCDLPSRVKTSRTPDNPGRKFRVCQNSLNGKTPSCKFWQWLDEDEGRKDGRGHYRMKAEESCNLTLKICTLENEISICRMKIEEEKNRNKQELDKVNWKLFTHRLALIFLFLLYVKMLF >cds-PLY71776.1 pep primary_assembly:Lsat_Salinas_v7:3:46278026:46290681:1 gene:gene-LSAT_3X34861 transcript:rna-gnl|WGS:NBSK|LSAT_3X34861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPECLCYIFHNMANEMHGILFGNVQPINGGTYQVVAVDEEAFLREVISPIYDVLRKEAMRNKGGNASHASWRNYDDLNEYFWSNKCFKLGWPMNRKSDFFIHSDESSRHHVGPNQVVSGKKKSKTNFVEVRSFLHLYRSFDRMWIFLILVLQAMIIVAWHGDGSIFGILDEALLKNISSIFITYAILNFIQVSLDIILSFNAWRSLKPTQIHRYIFKFVVAAFWMVILSVGYLRSVPNPTGFVKFLSTLGGNWRGQLLYNYLVAIYLIPNILAAFLYLLPPIRRHMESSNWRIVTLIMWWAQPKLYVGRGMHEGIFSLFKYTLFWIVLLISKFAFSYYVEILPLVKPTKLIMKMHVSSHEWHEFFPNMTHNIGVVICIWGPTIMVYFMDTQIWYTIYATIIGGIYGVFRHLGEIRTLGMLRSRFESVPLAFVERLVPMQKDPKRDHVEDDLSVRKNIAKFSQVWNEFILSMRMEDLISNSERDLLLVPYTTSDVPVVQWPLFMLASKIPIALDMAKDFKGKEDADLFRKISSDDYMQSAVIECYQTLKEILFNLFDDERDKKILQNICHEIETSIHQGTFLNKFYMSELPLLNDKLERLLDRLLEDNVGEAKHTAQIVNVCQDIMEIITKDVMKYEHEILEKPRAHHRDNDRKERFEQINVGLTQMKSWKEKVMRLRLLLTVKESAFDVPTNLEARRRITFFVNSLYIRMPNAPIVRNMLSFSVLTPYYKEDVLYSKEELHKENEDGISVLFYLQKIYPDEWNNFEERINGIYRGDKTEAIRQWASYRGQTLSRTVRGMMYYKEALELQCFLDYAKDVEIFTGFRTFNMNKHHMDFKERATAMADLKFTYVISCQIYGAQKKSSDERDQSCYTNILKLMLTYPSLRVAYIDEREATINGRSQNVYYSVLVKGEGTLDQEIYRIKLPGHPSQIGEGRPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNVLEEFKFHKDRHGQHRPTILGLREHIFTGSVSSLAWFNSNQQTSFVTIGQRILADPLRVRFHYGHPDIFDRIFHITRGGISKASRTINLSDDIFSGYNSTLRGGYATHHEYIQVGKGRDVGMNQISLFEAKVANGNGEQTLSRDVYRLGRRFDFLRMLSFYYTTVGFYFSSMVTVLIVYAFLYGHIYMVLSGLEGQILEDESLSGNKVLEEALVIQSVFQLGLLLMLPIVMEISLERGFRTALRDFIIMQLQLASVFFTFQLGTKAHYYGRTILHGGSKYRATGRGLVVFHAKFADNYRLYSRSHFVKGLELAILLIIYQAYGNSYRSSNLYLFITFSIWFLVASWLLAPFVFNPLGIDWQKTVEDWTDWKRWIGNRGGIGISQDKSWESWWDAEQQHLKYTNIRGRILEIILACRFFIYQYGLVYRLNIAGGSTSILVYAFSWLVLISALLEFKLVSMAKRFGTNLQLMFRILKAFLFLVFLSLMTVLFIVCGLTISDIFVAFLAFVPTGWAIILIGQACRPCVKCIGFWDSIMELGRAYECLMGLVIFMPIVILSWFPFVSEFQTKLLFNQALRRGLQISMILAGKKDKDKTQPT >cds-PLY72141.1 pep primary_assembly:Lsat_Salinas_v7:7:53513794:53516012:1 gene:gene-LSAT_7X38661 transcript:rna-gnl|WGS:NBSK|LSAT_7X38661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLAKLQAHFDLQQGSRNHAPDDVSLGVQQNNCGSTPTLDALDAIKEQRAKKQIPTTSLVPTISRAGTKKNIPNQTSSKARPIEYLRDCLKTNQVVNIVADSGILEVGTYDFSVTCEEYFRLLRKQTIDASIITAWQLILHSMVRTRMNKCAFLNPYNILGEACQKNPEGVVSYLVDSIRLHHGKLFLIAPYLQKAFMRYEKNTSTPIGWIFAECNQQLGGLESGHYVMRWMFDFLTTRQHGFPSKSGSIWDDKSPFEEKMLVATVAIWSREFLNNFMNDVVL >cds-PLY80461.1 pep primary_assembly:Lsat_Salinas_v7:2:137004622:137009383:-1 gene:gene-LSAT_2X67020 transcript:rna-gnl|WGS:NBSK|LSAT_2X67020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSDHSSSTADMNSDGSKSVDLQPYRQEEDADSSHNSSSHRSIPSNTSRANSVKEVAFVDMGSKGVRYGSRKGSSGAERFSMSMSQREISDEDSRLVYVNDPAKTNEKFEFSGNSIRTSKYSVLTFLPRNLFEQFHRVAYVYFLIIAVLNQLPQLAVFGRFASILPLTMVLLVTAVKDAYEDWRRHRSDAIENNRLSTVLMNSSYEHKKWKDIQVGEIIKFSANETIPCDIVLLSTSDPTGVAYIQTINLDGESNLKTRYAKQETISIIHENKEINGVIKCEKPNRNIYGFLANMEIDQKRVSLGPSNIVLRGCVLKNTNWAVGVVVYTGMETKVMLNNSGAQSKRSHLEARMNREIIFLSIFLVVLCSVVSICAGVWLRRHRDELDIMPFYRRKDYSEGDAENYKYYGWGMEIFFTFLMSVIVFQIMIPIALYISMELVRVGQAYFMIHDDNMYDESTDSRFQCRALNMNEDLGQVKYVFSDKTGTLTENKMEFQYASISGVDYNGEKSEFYGEEEGYYAKGAKNLLYLLLILHFQFYTDQLTNLYCSFTVNGLVLRPKMKVKVDRELVRLSQNESKTQKQIYDFFLALAACNTIVPIAVDTSNPTEKLIDYQGESPDEQALVYAAAAYGFMLIERTSGHIVIDIHGDQQRFNVLGMHEFDSERKRMSVILGFPDNTVKVFVKGADTTMFNVIDKSMDSDIVKATESHLHSYSSVGLRTLVMGIRELNSHEFNQWRSSYESATNALMGRARLLKKVAINLETYLNILGASGIEDKLQEGVPEAIESLRTAGIKVWVLTGDKQETAISIGYSSKLLTNTMTQIVINNNSTESCRKSLRDALIRSRKSDTSGDDSRSIALIIDGTSLVYILDTELEEQLFELASKCSVVLCCRVAPLQKAGIVMLIKKRTDDLTLAIGDGANDVSMIQKADVGIGISGQEGRQAVMSSDFAMAQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNAIFVLVLFWYVLFTAFTLSTAINEWSSVLYSVIYTSVPTIVVGILDKDLGRTSLLKHPQLYGAGQRQESYNTTLFWLTIADTLWQSIVAFFIPLLAYWKSTIDGPSIGDLWTLAVVLLVNLHLAMDVTTWNWIIHASIWGSIVATIICVIIIDAIPILPGYWAIFYLAGDGLFWICLIGIVVASLIPRFVLKTLLQRYKPTDVQIAGEAEKFGDLVESGSGEIELYQQQR >cds-PLY93189.1 pep primary_assembly:Lsat_Salinas_v7:8:266936733:266937113:1 gene:gene-LSAT_8X154561 transcript:rna-gnl|WGS:NBSK|LSAT_8X154561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGFHSTSDYTIPPENNLILPSEHHGFHPPVYHSWPFYGSDELLSAAASVVTDAVSIDTTHQIILPKRRTNRQHDLQAKNNGDNDDDDDTSRDTIKHKIASHPSYSKLLDAYIDCHKVLKAKYRDF >cds-PLY97861.1 pep primary_assembly:Lsat_Salinas_v7:2:216321397:216322523:-1 gene:gene-LSAT_2X135020 transcript:rna-gnl|WGS:NBSK|LSAT_2X135020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSQTPPPSVHKTLPKSFSDFIFSAFSLFNLYSSTPNLSSSYNHHRRFSKLPIIPLTKNAVINQHHFSTPQSLSDWLRPRLPSDSFAAWGTRPGTKNIHNLWIELYEGETSLADSIPPVRTVEVVVVRVRDERDRILIESHQELSNGHVRNRSRPLSEKMKPAETVEEAVSRAVKEELGSIINGSCEDEIVEIIPGSYSSKVEEKLSVSYPGLPACYVLHTVDALVHGLPDCEFCTIEEENNEIFDGMEVAEGAVSCKKHYWKWVDSI >cds-PLY84106.1 pep primary_assembly:Lsat_Salinas_v7:6:186389328:186393123:-1 gene:gene-LSAT_6X113441 transcript:rna-gnl|WGS:NBSK|LSAT_6X113441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTTRYGGVSAYVATATATAIILTTVVIVLIISFSAVFSCFLSSTDSKPEFVIPIVQLQSQINLTHQHSDEYKFRAVISPDHYRSSTSFPLILNRNRTFIARRRRRRPEIELARARAAIRRAASVHNLSQVLRSGDVLSSDIYHNPSAFYQSYMEMEKRFKVYVYEEGELPMVHDGPCKNIYTTEGRFIMEMEHGGHNFRTKHAHQAHVYFMPFSVTWMVKYLYKPDTYDMTPLRHFVSDYVRLISTKHPFWNTTHAADHFMLSCHDWGPHASKAHPNLYTNSIRVLCNANSSEGFDPQKDVSLPEINLHSGNIPSKLVSPPPPTTSRSHLAFFAGGVHGPIRPILLHHWMGRDPDLQIFEYLPKHLDYYSLMLASKYCLCPSGYEVASPRIVESIYSECVPVIISENYVLPFSDVLKWEAFSVNVKVSDVSRLKEILMGVREDEYLRLKENLRQVRRHFVLNQPSQRFDVFHMILHSVWLRRLNLKLEE >cds-PLY67496.1 pep primary_assembly:Lsat_Salinas_v7:6:68596955:68600068:1 gene:gene-LSAT_6X50020 transcript:rna-gnl|WGS:NBSK|LSAT_6X50020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVSGAPTTVADGFPAMVVVGGLTMSARKENGGTKRASVKQTRDGPNRYVLLIPLLLQSTSATDDFDHRIAASNPSPVPQPTAPVHHADNHIASSVLIINDSNPRYVEQQIWIWLGLFALRVKN >cds-PLY82382.1 pep primary_assembly:Lsat_Salinas_v7:4:336917848:336918876:1 gene:gene-LSAT_4X166740 transcript:rna-gnl|WGS:NBSK|LSAT_4X166740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPWLPMVVCCSTRDELDAVCSSISNISYITVTPLYSDLTEAERALILDKFRYATTKWNQNSASIQSGDEKEEQKSHMIVATDSCLPLLASGESSISSSVLINYELPTKKETYMRRMTTCLATDGIVINMVVGGEVVALKTIEESSNLVIAEMPINIFEMF >cds-PLY86922.1 pep primary_assembly:Lsat_Salinas_v7:5:259979253:259979747:-1 gene:gene-LSAT_5X130101 transcript:rna-gnl|WGS:NBSK|LSAT_5X130101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNTHSTNNEDNVNPFSHMEPIVAHRPITMLMIPTTNKVDPPANLKSYDHYLTNGFLKSCFNCKKDLGEMVDIFMYKDESFCSDGCRNKQMDNDREKEINNRDKVINGRRSRKTSLPKGNKKDNFFIGDV >cds-PLY64771.1 pep primary_assembly:Lsat_Salinas_v7:2:102138054:102139716:-1 gene:gene-LSAT_2X46140 transcript:rna-gnl|WGS:NBSK|LSAT_2X46140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHLASVLVLLLKIHTIKSCAGVSLKTQELYALVFATRYLDIFTDFISLYNTTMKLIFLGSSFSIVWYIRRHKIVRRSYDKDQDTFRHYFLVLPCLLLALLIHEKFTFKEIMWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRGLYIFNWIYRYFTETHYVHWITWIAGLVQTLLYADFFYYYFESWKNNVKLQLPA >cds-PLY74495.1 pep primary_assembly:Lsat_Salinas_v7:7:40255398:40258665:-1 gene:gene-LSAT_7X29221 transcript:rna-gnl|WGS:NBSK|LSAT_7X29221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIITQSKRISSKVLNLKSNKNIHLYSSVTTNPPKESIISSSSSILSDQSTSSSTPPETAAAATTGGGGKGQPWSFLKFSLIAAVTGGVATAGYATYAYSLEEVYEKTKALRTMKKVSIGDDLSSFQKFQTMLKSTAITVPAKLVELYLEMRESTEEHVRGFTEPLSDKLLPDLHPQEQHVFTLVLDLNETLLYSDWKRERGWRTFKRPGVDEFLEQLAQFYEIIIYSDQQSMYVDPVVDRLDGNHCIRYRLSRAATRYQDGKHYRDLSKLNRDPSRILYVSGNAVESCLQQENCVPVKPWKCESDDTALVDLIPFLEYIARNRPGDIRHVLASYNGNDIAKEFIERSKEYQRRMQEQKQPGRLWRR >cds-PLY72710.1 pep primary_assembly:Lsat_Salinas_v7:6:29092364:29093857:-1 gene:gene-LSAT_6X21720 transcript:rna-gnl|WGS:NBSK|LSAT_6X21720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEVTEVKPLISQSSSSNLPDFKRSVKLKYVKLGYHYLITHWMYLFLSPLVVVLAPQLSTFSPKNVYILWDHLRFNLISIIICSTLLFFLSTLYFLTRPHPVYLVNFSCYKPKEDLKCTTQKFFQFLTSTGKFTEKSLEFQKKILERSGLGESTYLPKAILRIPPNTCMAEAKNEAELVMFGAIDELLAKTGVNPKDIGILVVNCSLFNPTPSLTSMIVNHYKLRGNILSFNLGGMGCSAGLIAIDLAKDLLQVNTNSYALVMSMENITLNWYGGNERSMLVSNCLFRVGGVAVLLSNKSSDKKRSKYQLIHIVRTHKGTDDKCFSCVTQLEDTVGNVGVSLSKDLMGVAGDALKTNITTLGPLVLPLSEQLLFFATLVGKKVLRMKIKPYIPDFKLAFEHFCIHAGGRAVLDEIEKNLQLSDWHMEPSRMTLNRFGNTSSSSPWYELAYSEAKGRIKKGDRAWQIAFGSGFKCNSAVWKALKTINPAEEMNRNPWS >cds-PLY64718.1 pep primary_assembly:Lsat_Salinas_v7:7:135893618:135893953:1 gene:gene-LSAT_7X82040 transcript:rna-gnl|WGS:NBSK|LSAT_7X82040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIKIVGSGNEPFNVTGEILCWCKHHKLFGGACRDRERRWWWGFYVMVALGTIGSRFFRSAMSGSAVVSGAIVMGVLKEVGMVNPIKQVTVGKGQTNGDGEILSQAMPMPS >cds-PLY82698.1 pep primary_assembly:Lsat_Salinas_v7:2:147662261:147712501:1 gene:gene-LSAT_2X73961 transcript:rna-gnl|WGS:NBSK|LSAT_2X73961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFWSLFEVASMPILEVLLVSVIGAIMATDYFNVLSGDARKSLNKIVFVAFTPSLIFASLAKTVNLEDIISWWFMPVNIGMTFIVGGTLGWIAAKLIKPKPHLEGLLIAMCSTGNLGNILLIIVPAICTEAGSPFGEHSICKSKGFAYSSFSLALGSFYIWTYTFQLIKNSSLRYLKDVEDQSQQEPNKDMNENEKTCLLSVESQEYIDLVVPLSYPTSDKKQIQLAIHEGSLSNDDKKEESSCKLVEILHKFLEELLSPPNIGSILGMIFGATPWLKKLVMGVDSPLRVIQDSVTLLGDGTLPCITLILGGNLIQGLKRASIRPNIIITIICIRYVISPIVGILVIKAADSLELLPADPLFSFLLLIQYTLPPAMNISTMTQLFNVGQEECSVLTMWSYLAAAFALTAWSTVFMWILTSSS >cds-PLY69669.1 pep primary_assembly:Lsat_Salinas_v7:5:213689787:213692108:-1 gene:gene-LSAT_5X97440 transcript:rna-gnl|WGS:NBSK|LSAT_5X97440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTAHLSDTIIRPISGHYVANRRLKPLKNSIFFINHSRHRSLVYNRTHTTRIRLSLVEQIPPKSSKVDVEQLVDFLYEDLPHLFDDQGIDRTAYDEQVKFRDPITKHDSISGYLFNISMLKLVFSPDFQLHWAKQTAPYEITTRWTMEMTFSLLPWKPLLVFTGTSVMGINPENGKFCSHVDYWDSLKNNEYFSMEGLVDLIKQLRIYKTPDLETPKYQILKRTATYEVRKYSPFVIVETQSDKLAGSTGFNDVAGYIFGKNSREEKIAMTTPVFTQAFDSKMSKMSIQIVIPSNKDLGSLPNPNKEEVGLRSVEGGFAAVLKFSGKPTDDIVREKEKLLRSSLISNGLKPKDGCLLARYNDPGRTKSFMMRNEVLIWLEEFSLD >cds-PLY63822.1 pep primary_assembly:Lsat_Salinas_v7:3:229518771:229522206:-1 gene:gene-LSAT_3X131400 transcript:rna-gnl|WGS:NBSK|LSAT_3X131400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYIEELKEGVDGDGDVEFSAEFSGEGAVVASNTKRVLVGAGARALFYPTLLYNVVRNKIQTEFRWWDRVDQFILLGAVPFPTDVLHLKELGVCGVVTLNESYETLVPTSLYHAHGIDHVVIPTRDYLFAPSNVDISKAVEFIHEKASVGKTTYVHCKAGRGRSTTVVLCYLVKYKHMTPDTAYEYVKSIRPRVRLASSQWQAVQDYYQKNKNITNESESKSKSEFEPFDDASMVLVKKSDLEGYKEGYFGNKILAELCRAQFVSHSQAAMARLSCLWVRYHEPCSLNMVSCCRL >cds-PLY65371.1 pep primary_assembly:Lsat_Salinas_v7:6:41740075:41741175:-1 gene:gene-LSAT_6X32220 transcript:rna-gnl|WGS:NBSK|LSAT_6X32220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SGR9, amyloplastic [Source:Projected from Arabidopsis thaliana (AT5G02750) UniProtKB/Swiss-Prot;Acc:Q8GXF8] MEEKTTGSTIMAALSTLTPPQISSLTTTISALFHLHHRRLSSLLSSRTLFSLSLHHLHTLSLNQKSLLIARHLLSILSHLSHFLHPKTPGSPPNNTSMVNVNHRDLDSVLLLLLLCELHQHDPESLKTLSPEKWRESLSGYVSTTMLTLSGIGFSDSETLRKYVEVVTRCRKFVEVMGGGGDGKEGREVAASVAAVVALPSVEVEQGGKECAVCKEEMREGRDVCELPCEHRFHWMCILPWLIKRNTCPCCRHQLPTDDVYGELERQWAVLVKISDGYNY >cds-PLY99716.1 pep primary_assembly:Lsat_Salinas_v7:9:56078398:56080525:-1 gene:gene-LSAT_9X47621 transcript:rna-gnl|WGS:NBSK|LSAT_9X47621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 1 [Source:Projected from Arabidopsis thaliana (AT5G16880) UniProtKB/Swiss-Prot;Acc:Q9LFL3] MSDNIMDKVNALGERLKIGGSEVSQKITAGMSSMSFKMKEFFQGPNQADNLVEEATAESLDEPDWATNLELCDMINHEKISSIDMIRAIKKRIMLKNARIQYLTLVLLETVVKNCEKAFSEVAAERVLDEMVKMIDDPQTVVNNRNKALILIEAWGESTEELRYLPVYEETYKSLKSRGIRFPGRDSESLAPIFTPPRSIPPSESYPIPHPPQQIPQEIPIQSMSAEQTKEAFDVARNSLELLSTVLSSSPQQDALQDDLTSTLVLQCRQSQLTVQRMVETGGDDEALLFEALSVNDEIQKVLSKYEEMKKPSEVQREPEPAMIAVAAEPDEPSHVGKEESLIRKPAGSRGNNSSNNNNNDDMMDDLDEMIFGKKSGTSASPRKDQKPKDDLISF >cds-PLY79773.1 pep primary_assembly:Lsat_Salinas_v7:1:179660020:179662566:-1 gene:gene-LSAT_1X117520 transcript:rna-gnl|WGS:NBSK|LSAT_1X117520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKDKSVLIASPSGTTEENSSFSNKVFLPEDEESEKQQTTNDFPLPIATRNGSYKYDFVKVKVWLGDNVDHYYVLSRYMLSRMFTVTKIPNHVALKIAFELKKLLIDNSFLDVSQSDLEANLFKLMERRGYGQEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLGQRLNLPNVLQTDMVYELLRTSTDAPLTSSPIWARDFSSSKELITKVCRECRIVRKGLADDFKIAMKDGKPIIIEVTKTIGEELDKVSDGVKSVHIVDQAADDNKPILKRGKSGVTKPVIIPIVLKMAEFDHNEKDKVINNLKTIQDYLCSFKSHGLTVANISATTFPQTLDWLHNHLLQCIEQGILDASKGSSNQTNRWKLRVQIN >cds-PLY68697.1 pep primary_assembly:Lsat_Salinas_v7:7:86002987:86003670:1 gene:gene-LSAT_7X58740 transcript:rna-gnl|WGS:NBSK|LSAT_7X58740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLTGKQIPGWVNSGLSATVYCLKTFKLQLLDIYLIMQQFLASREVQGSRLSATTAAAELFLASFELVDGRVRVGGVVGAVGWLNRATVGINLKWQHGG >cds-PLY98745.1 pep primary_assembly:Lsat_Salinas_v7:1:8385940:8387166:1 gene:gene-LSAT_1X8640 transcript:rna-gnl|WGS:NBSK|LSAT_1X8640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPGQSQPGTTGALPPHFYHMQPGASSSGARYPYSLSPRRSNPGHLYPDHERDWSTGLFQCTSNIKNCFVTTLCPCITFGEIAEILTEGHTPWYEPATLCACLGAASFVFIFMLWLTFPYTCLYRVKMRKKYKLKGSLLEDCLINAFCGWCALCQQYRELDHQGFNVSIGWHENKRRESQAVAVFRLIPPEEQEMSR >cds-PLY89631.1 pep primary_assembly:Lsat_Salinas_v7:8:221003614:221007435:-1 gene:gene-LSAT_8X133760 transcript:rna-gnl|WGS:NBSK|LSAT_8X133760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFNQYTKLLQSLINTSRSRTTTYTCSSLYSNQLRRSVTPSSPSSSDPKSFSTISSSSYHLNGGPSYMRGAVFWEPNKPMTFEDFEMPRPKVNEVLIKTKACGVCHSDLHVMKGELPFPSPCVVGHEITGEVVEHGPLTDTKTIERLPLGARVVGAFIMPCGNCFFCTKGQDDLCEAFFAYNRAKGTLYDGETRLFLRGSGKPVYMYSMGGLAEYSVVPANALAILPHTLPYAESAVIGCAVFTAYGAMAHAAQVRPGDAVAVIGVGGVGSSCLQIARAFGASEIIAVDIQDDKLEKAKIFGATHVVNAKNEDAVSRIKEITGGMGVDTCVEALGNPKTFMQCVQSVRDGGKAVMIGLTLSGAKGEIDINHLVRRQIKVMGSYGGRARHDLPKLVKLAESGIFNLDAAVSRKCKFEEAGKAYEDLNKGSIIGRAVVEIM >cds-PLY91756.1 pep primary_assembly:Lsat_Salinas_v7:9:17412503:17412861:1 gene:gene-LSAT_9X16281 transcript:rna-gnl|WGS:NBSK|LSAT_9X16281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYFPKVVLHIFLSELASELLLVPLSSMQQPPPTVSNPGKRYQNSPPIHFLTNGDEYLKLDAAVPPSPLRTLMVLVFYLHLDRCYVLVFFRNINLY >cds-PLY81112.1 pep primary_assembly:Lsat_Salinas_v7:9:66244274:66245015:1 gene:gene-LSAT_9X58381 transcript:rna-gnl|WGS:NBSK|LSAT_9X58381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITLCGEDIIFPENKFTYLELLEDENFMSNLFEEYKDILPKETSSSSEFPDFKTDDNIAIKEILYPFGTFTKSDSSDSLISVEADQMRPISMNFTEMDLKNVHGLQPQVTSDQIQETRMQKLSRYRDKKTKRNFGRKIKALADGQPRIKGRFAKAEETEVFRKE >cds-PLY80483.1 pep primary_assembly:Lsat_Salinas_v7:2:136344607:136347612:-1 gene:gene-LSAT_2X65461 transcript:rna-gnl|WGS:NBSK|LSAT_2X65461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g64930 [Source:Projected from Arabidopsis thaliana (AT5G64930) UniProtKB/TrEMBL;Acc:B4F7R3] MESPPSPPLPLPLPLPPPIEADVDSTANPNSGASNLTVEKHTEPNSATHATVIKKKMKNKKKRKDVPKSHTEVSSDPPQQSLNSISSSSTSSSFHPRSKGIRLSSNRRNPRVLSSPVSQRHEGTEADALALPLGMSIAAFVAQVLEKKEATGEKMSIDHLSQICTLAVKESLSHVFGNKFDYFVSNFERSFQSTLMTLRVINETSENSKRSYQHVEGSSTYDFHFDMKENTATSQEQATIVSEYEENTHRDPVSNELAILHDPRIGQQLTLSTPNRHHMLSTFERSLSEQVRSNDLKAFELSLTMQKMKLKEAQIAVNCDSNILERFKLSMGISKANFRAEKFKTELEDSRHAQLLKKCADCLVAGLLIMLAGLAYGTYIHSYEKLIEATESCTPIKGSNSWWIPKPMATFSSGFQTLRCQVQVIVRWLFGLLMIGAITYVLLRRSGSTNQTMPITFILLLLGGVCGVAGKFCIDTLGGSGYRWLIYWEVLCLVHFFSNICTSMLFRILHGPVAVTVTDGSISSKLFPYWFRRLVFYAVVLVYLPLACGMIPFAGPVEWFRHFGSIVMGRVVGPDE >cds-PLY75719.1 pep primary_assembly:Lsat_Salinas_v7:5:136859980:136861137:1 gene:gene-LSAT_5X60180 transcript:rna-gnl|WGS:NBSK|LSAT_5X60180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVWIADIFPLNIPISGDHNLAVNTYIGDLIITVGGKTDEELHLKETNIRWLTHDSISTATDSFSNQNNIGEGGFGLVYRGTLDGNEIAVKMLSPKSSQGIKEFEHEIKAILNAKQKNVVLVRSYCVHRKELILVYEYMHNMSLVYHLHGGGAKLGDTKEHHSSGCKRLIKYSPGFL >cds-PLY96463.1 pep primary_assembly:Lsat_Salinas_v7:8:139617029:139619665:-1 gene:gene-LSAT_8X95941 transcript:rna-gnl|WGS:NBSK|LSAT_8X95941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSIESESVSKQGSIQKGGLRTMPFIIVNEGFERVASVGLRANMILYLKNEYHLSSATGASILFLWGAISNFMPTLGAFLSDSYLGRFRVIGIGSIVSLIGMTMVWLTTLFPAARPRSCDPTVESCTKPNHLQLALLFMSFAVMSIGSGGIRPCSLAFGADQFDQPENPENPKILQRFFNWYYASVGISVMISVTVIVYIQTEKGWILGFGIPAVLMLCSTTMFFIGSPLYIKVKANKSLFTGFFQVVAASFKNKDLAFPSKSSNHWFHHNKGTKIIVPTNKIRFLNKACILKNPEKDVTPTGEAVDPWSMCTVKQVEEFKALIKVLPIWSTGIVIAVTVNQHSFPVLQANSMDRHLIGSFKIPPGSFDVFALLTLTIWVALYDQLLVRQIAKLTKRPQGFSLKQRMGIGIFLSCLAMVVSAIVERKRRDAAITQGLSRNPYGVVNMSAFWLVPQYSLIGLAEAFNAIGQIEFYYSQFPKSMTSIGVALFALGNAVGNLVGSLIVGVVNGYSKQGGRVSWVSNNLNQGHYDYYYWILAILSLANFFYFLGCSWAYGPCDDPKQWDDEVEEVDEVQEMKFVKGSSSPMHHRI >cds-PLY65281.1 pep primary_assembly:Lsat_Salinas_v7:8:104622736:104624372:-1 gene:gene-LSAT_8X70801 transcript:rna-gnl|WGS:NBSK|LSAT_8X70801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSWVHDDSYPIYQSSSCPVIDPDFNCQMYGRPDSDYLKYRWKPANCELPRFNGLEFLEKMKGKTVMFVGDSLGRNQWESLICLTWSSVPRVATQVIRAYPLSTFKFLDYGVTLSYYKAQYLVDIDSVGGRRVLKLQDISENAQAWRDVDVFVFNTGHWWSHTGATQGWDMMESGGSLYQDMDRMVAMETAVRTWARWVDSNIDTTKTRVFFQSFSPTHYDPSEWNGGSGSTTTAKSCYGETAPVSASAFLGVYPNEMKVVDAVLREMQTPVYMLDITTLSAMRKDAHPSIYSGDTSTGQKADPNGTPDCTHWCLPGLPDTWNQLFYTALFF >cds-PLY87226.1 pep primary_assembly:Lsat_Salinas_v7:4:308597465:308599084:1 gene:gene-LSAT_4X155121 transcript:rna-gnl|WGS:NBSK|LSAT_4X155121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLRVKNQINDGSPASTASTNQTTSRRVESAITMARFSIGEDDDDTSLANKRPRFAEPASQSQPRSQRSVPSPPPPPFVADEDEEFGEFIVMEEVDSDSQGSEEEEDDEDDEVEAEAVEEEDDDEEDEDVGSDEDGFTEVQPLSEGQNGALPVRSSHIGLSNTTASMKSSSTPPPVFNNAIGALQVVLTDPDVLDCPICLDPLFSPVFQCENGHIACSTCCQKVKRKCPSCCMPIGYNRCRAIEKVLESVKISCKNTPYGCKESIPYSKKNDHEQTCLHTKCCCPISSCPFIGSSKNLNLHFGIQHSASTTRFTYNTTFIVNVETNKKHIFLQEQHESVIFIINHEAKQHGRVLNVDCVGPSLLKTSFLYQLTVKNMETSLSLQSVPEIYAEWCEHGPVKNYLIAPTEFVGYNGVLSLHVCIKKAVFDL >cds-PLY62328.1 pep primary_assembly:Lsat_Salinas_v7:4:86537539:86542306:-1 gene:gene-LSAT_4X58620 transcript:rna-gnl|WGS:NBSK|LSAT_4X58620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGCKRTDGRRWRCRRPLMDGKEYCETHFLQYCHRLKHEPVPAALKLAGIRHKKLVPKVDEESSLQHGGEYEVPLRRRGRKRNRPLKDVDAVRLPRKRGRPPMKGAVVEISDQLEDGSKLTFQDGNLHLYNIKQEYEKTVVKDLMYGQMVIPRPSPMSLQFRTVQKEVSPKIKVGVPSATRFPRRTIRSKNVESDPIATVQMLPNIKENIKASAKTNIIKRCHWCKYTSYRVFVQCSTCKTQFFCKECIDKRFYCRSSIKRLCPVCEGTCECRTCSKAKSKEVKKKELVIYDCDEEVMIYNGEEEEVVVSEPENEVAVYNHEKKFDKSQQLHMIRLLLPLMEKINQEKMNELETVAINKGRAHSELLVQLVECPKKPICSFCSSWVTDIHRSCEYCSYILCIHCCQEFHEGYLHSGLGDLRNTRLVRSKSRKISWAFSIDGSIRCPPQTLGGCNQDFLQLTSFSPFGLTKDLEENAKQIVNKYKFKKSLGLLSSTCLLCDENDKLGSEKVGNLIKNKGLYFKTKQDLMDKNLEHFMKHWGKGQPLVIRNVLQSQQHLNWDFGYLLCKYLERSEGKGKSKGGFDWCDVQFGRKQIFKGGVTHENVWQELLRFKVMFSSNFFQDHFPDHYAAVMESLPVQEYITGFMNLAMNVSHESGNPNLGPRVCISYGEPNLTDADLITKLCFHAYDMVNILAYATDNLISEDNLNKVKILMNKYSSQEHIKSSKKIKTRNELEKLFESSPKLEAKKNDVDMSFDDSNTEDSDSDSDSDSDSDSDDEVSAYSQHEIGTCSHYEEEQIVETHGIEWDIFHQKDVPKLLEYLKKYSDKFSKSCGSPKKVVHPLFDEVFYLDELHKLRIKEEFNVEPWSFEQHIGEAVIIPAGCPYQMKKVKSCVNVVLDFISPESATNCIKVGDEIRRLPVNHKAKGAMPKVKNMVINGMDAAIEEIRTVLET >cds-PLY97530.1 pep primary_assembly:Lsat_Salinas_v7:5:238673167:238676658:1 gene:gene-LSAT_5X113460 transcript:rna-gnl|WGS:NBSK|LSAT_5X113460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g15820, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G15820) UniProtKB/Swiss-Prot;Acc:Q9XIL5] MNSSSKQLVGLGSALDKLIRSSSLHDHIPTVYLLHTRQGFPAQRPKEMQLSTTSRELSPFIFPLSSIPSPGKSYTTPMRTALSLLRSLSTSLPRHHRRYLLIQRTFPLFKPHVVNPPRISLFCTRSAEQSSVSNFVEQLAGEDENLDFDKDNEVFNFDASFVSNVDSKNKHLSPSLDVKELDELPEQWRRSKLAWLCKELPAHKPATLIRILNAQRKWVNQEDMTYVAVHCMRIRENETGFRVYKWMMQQHWFRFDFSLATKLADYMGKERKYLKCREIFDDIINHGLIPTEYTFHILIISYLSSSNRGCLDEAFAIYNRMIQLGGYSPRLSLHNSLFKALLTQPEDSSKHYLHQAEFIFHQMVTSGFKIHKDIYSGLIWLHSHQDKIDKERITSLRAEMELSGFDETKEVLISILRACSKEGDINEAEKTWEKLLNITPTIPSQAFVYKMEVYSRIGEHMKSFEVFRGMQEQLGSASIAGFHKIIEILCKSNSHELAESVMKEFIESGKKTLMPPFLDLMNMYLTLRMHDRLEYTFLQSLEKCPPNRTLYNIYLKSLVDSMNLQKAEKTLREMQSHEAVGVDTESCNTILRGYLDGKEYAKAEKIYTLMKEKKFHIEPDLIENLEHVLSSNEEAVKNPVILKLSKEQREALVGLLLGGVQIESDEKGKNHTLVFKFNEDSGVHKVLKRHISYEYHEWLDSSNEQFTTIPHSYFGFYADQFWPQGQPAIPKLIHRWLSPRVLAYWYMYGGYKTSSGDILLKVKGSEDGVDRIVKTLQKKSLTCKVKRKGRVFWIGLLGSNSEWFWKLVDPYIVRDLKDVLKPGNIASDLKEEAQNVEFDRSDSDYSEDDIL >cds-PLY96641.1 pep primary_assembly:Lsat_Salinas_v7:7:44414852:44417244:-1 gene:gene-LSAT_7X30581 transcript:rna-gnl|WGS:NBSK|LSAT_7X30581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWFLIFVSVAVVWLASLCKILHSSFYPDQSSFLNNNNNNAGSIQKKNVLFVIAHPDDESMFFSPTINHLTSRGHNIHILCMSTGNADGIGDVRKEELYKASVILKVPLQQVTTIDHPDFQDGFGKVWNSGKLSKIVEKKILAHAIDMIITFDNYGVSGHCNHRDVHQGVR >cds-PLY77706.1 pep primary_assembly:Lsat_Salinas_v7:9:18857669:18863592:1 gene:gene-LSAT_9X13880 transcript:rna-gnl|WGS:NBSK|LSAT_9X13880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGSTYSSDDIVVKSPNDRRLYRYIQLPNGLCALLVHDPDIYMDGPPESARPDEISDDDADEEDDEDDEDESGEEEDDGEDDDEDDDEGNEGKKSAKSNAPQTKKAAAAMCVGMGSFCDPLEAQGLAHFLEHMLFMGSAEFPDENEYDSYLSKHGGSSNAYTEVEHTCYHFEVKPEFLQGALRRFSQFFISPLVKNEAMDREVQAVDSEFNQALQSDACRLQQLQCHTAAPGHAFNQFFWGNKKSLGDAMEKGINLRDRILKCYNDFYHGGSMKLVIIGGETLDVLESWVLELFSKVKSSNALKSEVKTGLPIWSPGKIYRLEAVKDVHILDLSWTLPCLRKDYTKKAEDYLAHLIGHEGRGSLLFFLKAKGWATSISAGVGDDGMHRSSIAYVFGMSIYLTDSGLEKIYEIIGFVYQYLKLLQQNSPQEWIYKELQDIANMDFTFAEEQPQDEYAAELSENLLIYPPEHIIYGDYAYKVWDAELIKHVLSFFTPDNMRIDIVSKSFNKSQDVQSEPWFGSQYKEESIPLSMLESWKNPPEIDVALHLPAKNEFIPKDFSIRANGVMCDSADPSPPECIIDEPLMKFWYKLDTSFRFPRANTYFRVTLNGAYRGLKNVLLTELFLNLLKDKLNDIASVAKLETSISLVSDKLELKVYGFNDKLPVLLSKVLETAKTFLPTDDRFVVIKEDMERNLRNANMKPLNHSSYLRLQVLCQSFWDVDEKLGLLNNLSLSDLKEFIPELFSQLYIEGLCHGNLLEEEAKTLSNIFKKYFAVQPLPFEMRHKDSILCFPPSADLVRDVPVKNKLDTNSVVELYYQIEPEEASNLPKSKALVDLLDEIVEEPLFNQLRTKEQLGYVVDCSPRVTYRILGFCFRVQSSEYTPIYLQGRIDNFINQLQDLLSALDDESFQNFKSGLIAKLLEKDPSLTYETNRYWGQITDQRYMFDLSAKEAEAVKCLEKRDVKEWYNTYLRKSSPKCRRLAVRVWGCNTNIKEANQVSTPVQVINDPVAFKASSMFYNAFC >cds-PLY64028.1 pep primary_assembly:Lsat_Salinas_v7:5:59692011:59692831:1 gene:gene-LSAT_5X29241 transcript:rna-gnl|WGS:NBSK|LSAT_5X29241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFKNKAEMTLKNLENLNPRIMKQIIPLIEQLPPLYMELANGRDNFSPQPEETQRLVLSLEYAISSVLDMSIRSLPGDHGLPLQKALPDVPPGMVDVVNRGGELFANLTAGTLE >cds-PLY73103.1 pep primary_assembly:Lsat_Salinas_v7:9:23123016:23123789:1 gene:gene-LSAT_9X21181 transcript:rna-gnl|WGS:NBSK|LSAT_9X21181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASKSKIHRAPPKRCEIKFKEFKTIAKSLQNLATGVAGEEKKAAGNRVGSSGSLTPVELVGELKPASKHRIKQNQPRRGQIKIKIFKMIANSVQNLAGRAAGEKKKSAGNGGIGGCFSSRAIVIPVETVGGLKPASGSGIKQKRRERGKIFITVAGSVIEFSESGEKDETAAVERWFSSAAGIVQMKL >cds-PLY81697.1 pep primary_assembly:Lsat_Salinas_v7:3:30714158:30715272:-1 gene:gene-LSAT_3X22620 transcript:rna-gnl|WGS:NBSK|LSAT_3X22620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNKRTTEVYALGQHISMSAHKARRVIDQIRGRSYEETLMILELMPYRACYPIFKLVYSAAANASFNMGSNEVNLVISKAEVNEGTIVKRLKPRARGRSFAIQKPTCHITIVMKDISLDEYIDTDSITWSQKPKSKKKHTTMSYYDILGTTQGHHSLWFAQPKNYSEGLQEDKKIRTYIQNYVQKNMKTSSGVEGIARIEIQKRIDLIQIIIYMGFPKILIESRPRGIEELQMNLQKEFHSVNRKLNIAITRIEKPYGNPNILAEFIAGQLKNRVSFRKAMKKAIELTEQADTKGIQVQIAGRIDGKEIARVEWIREGRVPLQTIRAKIDYCCYTVRTIYGVLGIKIWIFIDGE >cds-PLY86403.1 pep primary_assembly:Lsat_Salinas_v7:2:148124095:148127472:-1 gene:gene-LSAT_2X73301 transcript:rna-gnl|WGS:NBSK|LSAT_2X73301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATATAWNIVLRSSGAKNRATVSTNRREVRASGLSVRKRQLVSHEGLRVLYNAERLTRARKIRNENSRKVMICECGMNLVFVGAEVGPWSKTGGLGDVLGGLPPAMAANGHRVMTVSPRYDQYKDAWDTEIELELKAGDRTEKVRFFHCYKRGVDRVFVDHPMFLEKVWGKTASKIYGPVAGIDYQDNQIRFSLLCQAALEAPRVLNLNSSKYFSGPYGEDVVFVANDWHSALIPCYLKSMYQSRGIYISAKVAFCIHNIAYQGRFGFSDFSLLNLPDEFKSSFDFIDGYERPVKGRKINWMKAGILESHKILTVSPYYAQELVSGPDKGVELDNILRKTSIHGIVNGMDVQEWNPMTDKYTGVKFDSTTVMSAKPLIKEALQAEVGLPVDKKIPVIGFIGRLEEQKGSDILAAAIPKFIDENVQIIVLGTGKKAMEKQLDDLETQYPLKARGVAKFNVKLAHMIIAGADFIIVPSRFEPCGLIQLQAMPYGCVPIVASTGGLVDTVKEGYTGFQMGAFNVECEKVDPADVSAIAKSITRALAVYGTPAFSEMIQNCMAQELSWKKPAKKWEEALLSLGVEGSEAGIEGEEIAPLAKENVATP >cds-PLY62146.1 pep primary_assembly:Lsat_Salinas_v7:1:211401877:211404933:1 gene:gene-LSAT_0X23001 transcript:rna-gnl|WGS:NBSK|LSAT_0X23001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRPGKRDLEFYTIRGTNKVVRAGDSVLMRSSENEKNPYVARVENIEADVKGNVKVKVRWYYRPEESMGGRRQFHGIKELFLSDHFDIQSAHTIEDKCIVHSFKNYTKLEDVGPEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEACKDWVNTSDKRDTLGEMVGKQ >cds-PLY61784.1 pep primary_assembly:Lsat_Salinas_v7:8:76565990:76566228:-1 gene:gene-LSAT_8X55180 transcript:rna-gnl|WGS:NBSK|LSAT_8X55180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVERKNLYLLWLLPIPMNSTGPINDTLEDPLGLPISIGKKIYESSFLNPKESTWALPITKKCSKIESN >cds-PLY94799.1 pep primary_assembly:Lsat_Salinas_v7:2:180871458:180873586:1 gene:gene-LSAT_2X103361 transcript:rna-gnl|WGS:NBSK|LSAT_2X103361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTLEEVSPVSRFRPSGEKNNSGFDPQTGIYHSLLQLGTNNKIPTTPYLDTATFVLSQFPKSDQAESRVALIDLATNQRATYGQLKRSIYSLATGLHHGLGIRKGDVVFLLSPNSILYPTICLAVFLIGGVLTTANPLNTKSEITKQVLDSGATLAVVAPGEEHKLVSTNVPILLTSRPSSGDELSVEELIDGCEPMELPETRSAQSDTAAILYSSGTTGVSKGVVLTHANFISVMTLLKWSVDNMSANNDVFLCFIPMFHIYGLAFFGLGLFCSGTTTVLMKKYEFQGMLEAIHTHKVNNIPAVPPVILSLVKHDGGGYDLSSLRRVGSGAAPLSKELVDRFRARFPWVALRPGYGLTESCGAATLFVSNEEAKTHLAGSGGLLPSFCAKVVDFETGVAMPPYKEGELWLKGPTVMKGYLGNEEATAATINSDGWLRTGDLCYFDEDGCLYVVDRIKELIKHNGYQVAPAELEAVLLSHPEILDAAVIPLEDEEAGEIPMAYVVRAAGCQLSEDQVIKFVASQVAPFKKVRKVSFINEIPKSAAGKILRKDLVAQSKPKLKSKL >cds-PLY65542.1 pep primary_assembly:Lsat_Salinas_v7:9:143152360:143153452:-1 gene:gene-LSAT_9X90800 transcript:rna-gnl|WGS:NBSK|LSAT_9X90800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWTREYNEASKLADDITSMISERSSFGSGPEAQRHSSAIRRKITILGTRLDSLQSLLTKLPAKQPLTEKEMNRRRDMLGNLRTKVTQMATTLNMSNFANRDSLLGPDIKPADAMSRIAGLDNSGVVGLQRQIMREQDEGLEKLEETVISTKHIALAVNEELDLHTRLIDDLDEHVEVTDSRLKRVQKNLAILNKRTKGGCSCLCLLLSVIGIAVLVVALYMIIKYL >cds-PLY87395.1 pep primary_assembly:Lsat_Salinas_v7:4:12319471:12320021:1 gene:gene-LSAT_4X8441 transcript:rna-gnl|WGS:NBSK|LSAT_4X8441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVVEGTSKEAGKMIDVDQSISPLKRMKMMARRGGKIKYVGRIEVVHGSLSQTVAGVDEVVLTCHEKLGREDFETFKDLQASGYDHGEIVEALNKLTKDRKEMLVESIVDEETIQETQDPLVRKRKPLERIFKIKLKKAVHDPNGGGSTTEKAITLD >cds-PLY80542.1 pep primary_assembly:Lsat_Salinas_v7:6:14198655:14204544:-1 gene:gene-LSAT_6X12401 transcript:rna-gnl|WGS:NBSK|LSAT_6X12401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPEKFIVEVEPAKEAKDGKPSMGPVYRSVFAKDGFPPPIDGLNSCWDIFRLAVEKYPDNKMLGTREFVNGKHGKYVWLTYKQVYDKVIQLGNAIRACGVEPGGKCGIYGANCTEWIISMEACNAHGLYCVPLYDTLGAGAIEFIICHAEVTIAFVEEKKINELLKTFPKAGEHLKTIVSFGQFTPEQREQFSKFGLAIHSWDEFLSLGENKKFDLSVKDKDDICTIMYTSGTTGDPKGVLISNKNIVTLTAGVRRLLESCNEALTSSDVYLSFLPLAHIFDRVIEECFIYHGASIGFWRGDVKLLIEDIGELKPTIFCAVPRVLERIYSGLQHKVSSGSYFKYKLFNLAYSYKLHNMKGGSKHEEASILSDKLVFTKVKQSLGGRVRIILSGAAPLAPHVESFLKVVACCHVLQGYGLTETCAGSFVSLPNQMSMVGTVGPPVPNLDARLESVPEMNYDAVSSTPPRGEICIRGDTLFLGYHKRQDLTKEVLIDGWFHTGDIGEWQPDGSMKVIDRKKNIFKLSQGEYVAVENLENIYGLVPAVDEIWVYGNSFESYLVAVVIPNKHAVENWASGNNLSEDFTSLCGNPKAKDYILGELNRIGKEKKLKGFEFIKAVHLEPVPFDMDRDLLTPTFKKKRPQLLKYYQSTVDDMYKSLNNK >cds-PLY82742.1 pep primary_assembly:Lsat_Salinas_v7:2:144129899:144130602:-1 gene:gene-LSAT_2X72721 transcript:rna-gnl|WGS:NBSK|LSAT_2X72721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSMFKNISDMEVLNSKALEEKELDKGILVHLYSHGYVLVAHHMKVKASVESKFSLSQQQASFPAHFIYVSLKMGWKKTRSGTKWKCWILISLQITQPPPAAVVPPNGPNANPLDLFPGYQYFSSLSA >cds-PLY96785.1 pep primary_assembly:Lsat_Salinas_v7:2:171123138:171135120:-1 gene:gene-LSAT_2X93981 transcript:rna-gnl|WGS:NBSK|LSAT_2X93981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNKAWRIIPRPIMETVLNNHAHRHRVHQPLILHGPRGVGKTTLILERHLENWNKGPHVTGNVDFAQSIEENHPYHGHSFPWASWSNCKPPSLPTLRTQLEQCLESMAEKGVQLGTISSHQICKTLGKWHNLDTSLKRIIQTKNETTTSKKVLSNKVSTLSLWDKAVCTLTARLNATEIDEMLGLKEKGKNVSLQEASYYREAIVALKLAKEVIRVQQGFRANAVKHLNTTGGFSRTLANSATDWPYLLLELLSGAAQSDYFQPKLVINNIEVLKIAALVDDSSVSGSIYYSYGAYMDFGFPDIFISREWDLIVEVLGPNPRHLFEIYALKQSNYYQSVMDDKESTFEDVIDAYLAHLQVTVVNPAMDRALSILQKFALDAQKGKIVKDKLRFGAPWKHPPRKDDPCLRSQWAKLQLMDFIQCLVSAEFGAEAPKNRGNKRMQRYYFRSTSVTIIRIWSSWLVHKTTENFDFAPEGVDKLHLDIPDETFLNDIPTFDILVLSSGHWFAKKAVYILNNEIVGGQLWWPDKSRLKKIESPEAFKISVATIMSTLVSSPGYTGLTVVRSYSPDHYEGGAWNTGGSCTGKVKPAVDLVENGFTNMMHDKQVLGFNLGVKNKTNKSRVVFMDITKAFAYRYDGHPGPYRSLDPNKVVKRSADGRPPPQDCLHWCMPGPVDTWNELLAEVIRRDFEGGD >cds-PLY94927.1 pep primary_assembly:Lsat_Salinas_v7:4:108752168:108760083:-1 gene:gene-LSAT_4X70320 transcript:rna-gnl|WGS:NBSK|LSAT_4X70320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTISDNPRLEKSRTVKSRYLSPVSAAEPPQSLPPSPNNNHPLSPNRHHSQKPKSSLKNSTGLLRGVWPSSTPRSSKSHNENISTTTTATTSTTASATSTTLADYLGDDRKKDGVGPKFLKQRSCSEFSRFENDPKKQNNLKENHKPIFGGGSMRYTGKFRFPGRSSTSSSPTSSDDGSRGKLTDIMPGRLSVDENALRRRSYSRPKSDHSFSDDSECSDMDSSFISNSLTSYMAPTLSSRKSAMKKVMKKANSLSKWGSSPGRPESPPTPTSTSFSSSKPPTSPSKTGKKNFLHMGLDLIKSKKNGPGCLSPIGSGMGMVETVHQLRIMHGSWMRWRYANARANVVNETLDDKAKKDLLHAWENITKLQQSVLQKRLRLEREKLEMKLNFILHSQMKMLEAWRDMERKHISDVSMTKDCLEGVACKVPLIEGAKMDPQISSIALRHATDSVVSVISMMSFLEPTAHETVSTFKELAKVASQEKLLLEECFEHFRVISTLEIEERSLRCNVIEVASFADQQ >cds-PLY72337.1 pep primary_assembly:Lsat_Salinas_v7:5:97281472:97282144:1 gene:gene-LSAT_5X46600 transcript:rna-gnl|WGS:NBSK|LSAT_5X46600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSNGSLRLYVSISSGDTMMHEFKKSEEGLREFVASSGFSFDSEFSIFGFSFIHQFMCPIGMWFDKWLLKEHISSEPHNLNLVFCSSFFFSS >cds-PLY99519.1 pep primary_assembly:Lsat_Salinas_v7:1:113419513:113422918:1 gene:gene-LSAT_1X89341 transcript:rna-gnl|WGS:NBSK|LSAT_1X89341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALPPCFKPLPLPSPPGIHLPISRRFSCTCSAVAYPESSSLSSSSVAQRPAVILPGLGNNTGDYEKLALTLQGYGVSTVVASVSRIDWLRNAAGLVDPNYWRGTLQPRPVLDWYLNRVDEAVNEALKQSQGGSLSIIGHSAGGWLARVYMEEFTNANISLLLTLGSPLQPPPKGLPGVIDQTRGLLDYVEKNCKKAVYTPELRYVCIAGRYIQGSRFFGNSNSPTTIPVAIEQSIPEVAIGSDPSTLTPTDATFRTRFVGQGYKQVCGQADVWGDGVVPEVSAHLEGALNISLDGVYHSPVGSDDESRPWYGSPAVVDQWVHHLLN >cds-PLY82899.1 pep primary_assembly:Lsat_Salinas_v7:4:17599740:17602085:1 gene:gene-LSAT_4X12341 transcript:rna-gnl|WGS:NBSK|LSAT_4X12341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVLALPMLILLAMAGYSRAAYCVCNTGLSDSVLQKNIDYACAQGADCGQISQNGPCFNPNTVKDHCNFAVNSYFQKKGQTADSCSFSGTATVTSSAPSGATSACFSGSASSSTPTNPTIAPPGTGTGTGTGTGMGTGNGTGSGMGTGTGTSTGTGMGTTNPAFGGMAPSGTINNDNSAAMTIHQTTTWFGVSTLLIMGLVWSRII >cds-PLY70651.1 pep primary_assembly:Lsat_Salinas_v7:5:72644327:72646351:-1 gene:gene-LSAT_5X34281 transcript:rna-gnl|WGS:NBSK|LSAT_5X34281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSSMLLTNEKAVEQQSITDHGSSFVKIININDDISENGTLLCDEILDKDAPRIDSPADDKLTWLRTQIIGGNTEIHTPFGKRKLTYADHTASSRCLQYIEDYIIKNVLPFYGNTHTSDNQTGDRTMKILQEATSYVKKCLGGTQDDALIFCGSGTTAAVKRLQEVMGIAIPSILREKVLNSCIGTEERWVVFVGPYEHHSNLLSWRQSLAEVIEINLDNEGMIDMDDLKLKLEFYQQTGRPMLGSFSACSNVTGIMSDTRSLSRLLHQFGAFACFDFASSAPYVKIDMRSSAVDGYDAITLSPHKFLGGPGSPGVLLMNKALYKLKDSPPSTCGGGTVNYVNFFDEKDTLYISDIEEREDAGTPQIIQRVKAALAFLVKEYIGSKIIEEKEHEYIGRALERLMKNDNIRVLGNTKVERQAIISFLVYTSAYSSDIEDVESKGTNGIHESSEIGYNK >cds-PLY91527.1 pep primary_assembly:Lsat_Salinas_v7:7:142017721:142019021:1 gene:gene-LSAT_7X84920 transcript:rna-gnl|WGS:NBSK|LSAT_7X84920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEVFGSECSSGCESGWTLYLEQSMYPSHSLQNHNHVVDDFLCKKGSFIHKEEEEDEEEEEDMSMVSDASSGPPHFQEQEECFNNNSHGGYCVYTTLPTLIDHPTNGKRKKIEKRSKLHRKVQDFPSFLDDTASSPFFNFSNNNLTVPNKETSMEDNDIRDYSQGYSTTYFEDKSTYQEHFGFFHPSVSGTQLQQNQWFEGKRWG >cds-PLY88212.1 pep primary_assembly:Lsat_Salinas_v7:MU040192.1:7977:8822:1 gene:gene-LSAT_0X39081 transcript:rna-gnl|WGS:NBSK|LSAT_0X39081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPDFDRIPNLERLILRRCESLKEIHPSIGNLERLIFLSIEFCSGLKIFPPIKQLKKLETLLLSNCPELFNLSGIQQKKNGLLHLHSNINGKEVASYKKYSSNFVITCWTCGDTKIRNPVEDLIDVEECCLEEPCLPRNNNTVLRFLPRGLRKLNLRYCNLRDKDIDSAVWEFPNLEELNLRGNKFSRLNFSRWRLPQLKWLDVSWCQLLVELWELPSSIAVVIADYCFSLESFGDISNCKWLWKVSLCGGNKLGPLVGDILLDSMLQVYFTFTFGISLFI >cds-PLY67989.1 pep primary_assembly:Lsat_Salinas_v7:1:79270292:79271881:-1 gene:gene-LSAT_1X67700 transcript:rna-gnl|WGS:NBSK|LSAT_1X67700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVAERIDRLLNESGSESIDLSFSEDGRSGAFIIGEDHFPASLLDLPCIVESYKTYDDNVLIKTADVGQMIMVRDDGDPPPEVVEHRHGLTPPMRDARRRSLNLLDE >cds-PLY77824.1 pep primary_assembly:Lsat_Salinas_v7:8:13501812:13503314:-1 gene:gene-LSAT_8X10020 transcript:rna-gnl|WGS:NBSK|LSAT_8X10020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKAIRVHELGGPEVMKMEDVEIGEPKDGEIRVRNKAIGVNFIDVYFRTGAYKAASIPFTPGMEAVGVVTAVGQGLTGRQVGDIVAYAGFPMGAYAEEQILPAEKVVPVPSSVDPVVAASVMLKGLTAQFLLRRCFKVESGHTVLVHAAAGGVGSLLCQWANALGATVIGTVSTSEKAAQAKEDGCHHVIVSKQESFVERVAEITSGEGVEVVYDSVGKDTLQGSLECVKIRGHLVCFGQSSGAPDPVPLSALAVKSLFLTRPSLMQYTSKRDELLEAAGEVFGNIANGVLRVRVNHKYPLSQAGQAHSDLETRKTTGSIVLIPDGIEL >cds-PLY81237.1 pep primary_assembly:Lsat_Salinas_v7:4:306890164:306890834:1 gene:gene-LSAT_4X155501 transcript:rna-gnl|WGS:NBSK|LSAT_4X155501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYNPRVTSSRRKCRKAHFSAPSSVRRVLMSAPLSTELRTKHNVRSIPVRKDDEVQVVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGQTVNVGINPSKVVVTKLKLDKDRKSLLDRKAKGRAADKSKGKFTVDDVAAGASLQEID >cds-PLY70372.1 pep primary_assembly:Lsat_Salinas_v7:4:97743241:97743883:-1 gene:gene-LSAT_4X63240 transcript:rna-gnl|WGS:NBSK|LSAT_4X63240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTIKTTVVSPPKQTSPPPASLTPTSSTTSFSPTLVGVMQEPISTLFSSQSTEPEKTIHKGEADDDDVMVSFIELQFIPEEEDIHDELIMSERHELFVKQVSETKASLELLVIELLTFIAKEVKKLDDNYNLLQ >cds-PLY80411.1 pep primary_assembly:Lsat_Salinas_v7:8:172073354:172079220:1 gene:gene-LSAT_8X112321 transcript:rna-gnl|WGS:NBSK|LSAT_8X112321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAKADPSQKLYTRMRLWEYSDQFVVEPSDGSSGSCLAISRLNGALTLLDELPNSTGHTPKVQTIFGVVGMLKLLAGSYLFVISERECVGSYLGHPIFKVSKLKVLPCDHSVKNSPEEQKKTESEFSAMLKVAEKTPGLYFSYDVNITLSAQRLNDLGDESRLLPLWRQASAEPRFLWNNYMLEILIDSKLDKYMLPVIQGSFQNFQSTIGKDTIDVTLIARRCTRRTGTRMWRRGADSDGYVANFVESEQIVKLNGFTASFVQVRGSIPFLWEQVVDLTYKPKFQIVKPEEAPRVAERHFLDLRKKYGNVIAVDLVNKSGGEGRLCEKYASSIQNILSDDLRYVHFDFHKICGHIHFERLSILYDQIEEFLVNNRYYLLNDKGEKIESQIGVVRTNCIDCLDRTNVTQSMIGRKMLELQLQRLGVFDAEKKISSYPNFDDCFKILWANHGDDISIQYSGTPALKGDFVRYGKRTSHGLVKDGISSLTRYYLNNFIDGTKQDATDLLQGHYIVSVSRDMAPKKPKKRVESFASFPLAFALIAMGFFFAMLSLKQVRNDIWQLVFSLFWGGLSLAIAAFVKANGRVFCNRPRLHIPS >cds-PLY68033.1 pep primary_assembly:Lsat_Salinas_v7:5:292655469:292656169:1 gene:gene-LSAT_5X154101 transcript:rna-gnl|WGS:NBSK|LSAT_5X154101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIKNPGSTGLTLDLVCTSNGEFGQESRSYTCAFCKRGFSNAQALGGHMNVHRKDRARLQESIQETLITTETTKGMKSMNQGEAQLSSDEKSDSVPKRPWTFTEPNSPGSSRKKDHAVHLKKAALQLSLCIESSSTSDSCLSSDKISSLASSSTEVDLELRLGMESESTPRDCFIRD >cds-PLY95044.1 pep primary_assembly:Lsat_Salinas_v7:5:227315286:227318121:-1 gene:gene-LSAT_5X107061 transcript:rna-gnl|WGS:NBSK|LSAT_5X107061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKTRCSLIKGFVYGIFKLKSKVQPFDHIFSAVLLLIFPASKVIVAVSTQSSLSHRKLPIGYNAFPLPTLSYFVYFKHLSMRWTSFELFQVNVLNIAMVHATSPTPSPNHFLFIEDFVC >cds-PLY79206.1 pep primary_assembly:Lsat_Salinas_v7:5:126118430:126119303:1 gene:gene-LSAT_5X54420 transcript:rna-gnl|WGS:NBSK|LSAT_5X54420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSDHFAAPPSVSNGALRSMEPDYPEESEYETQAAAPSQVRFVGSSQQFPVVDERTVPIIDFGLPPQQSTLRPFFVSNDKLNGKDNPSSAENGGGGAATVSGWVRPKNSENITHNPYSNTLVPASRSSFDARARSNVSMRGDKFKHANQQLDLY >cds-PLY66110.1 pep primary_assembly:Lsat_Salinas_v7:7:31780210:31780632:-1 gene:gene-LSAT_7X23960 transcript:rna-gnl|WGS:NBSK|LSAT_7X23960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLINESRKRHRDAFRRLTTSLSRKEADTDPRMVPLAPGAKIARQGNAYLQTNTPVQPAISGQAKHRRKMIRDSNQLFTSHGDEQNLFGMKNPSTGSNKNHNEASITLACTLHHRGEPSRASNDNNKTLNYHAKRKISWRA >cds-PLY97124.1 pep primary_assembly:Lsat_Salinas_v7:4:73974914:73976028:-1 gene:gene-LSAT_4X50080 transcript:rna-gnl|WGS:NBSK|LSAT_4X50080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLIAFLLVVGMWSSGVTCRMLSDVSISERHELWMLRYGRVYQDDAEKKMRFNVFKDNVEFIESFNTAENQPYKVAINKFADQTNEEFKAARNGYKFSSNKRSARTPPFRYENVTAVPPSMDWRKKGAVTPVKDQGQCGSCWAFSTIAATEGITQLTTGKLISLSEQELVDCDRSGVDQGCDGGEMEDGFEFIVKNKGINTEVAYPYQAADGTCNTKEEAVHAATITGYEKVPANSESALLQAVANQPISVSIDASGMGFQFYSGGVFTGDCGTDLDHGVTAVGYGVTDDGMKYWLVKNSWGASWGDSGYIMMQRDVSAKEGLCGIAMDSSYPTA >cds-PLY95826.1 pep primary_assembly:Lsat_Salinas_v7:7:177168611:177169353:-1 gene:gene-LSAT_7X104981 transcript:rna-gnl|WGS:NBSK|LSAT_7X104981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLFVGIFFGLLIFTTNIVYGRKLVGKEKKSLADEVTSKSGCSPGDIKCKEGHEYNRKESHNEYTAKKIWEGIGKSYGGDNRESIVQGEVCVNGDDANCNNKNKSHVRKKGCRDMENSEPIFCYGNCNFTYNSNNRNSENGSDNNLGNDSGSGNGGVDGTINQDGNHTTNGSGSSDSVAVAIGAPRQG >cds-PLY97378.1 pep primary_assembly:Lsat_Salinas_v7:3:244950532:244956279:1 gene:gene-LSAT_3X135001 transcript:rna-gnl|WGS:NBSK|LSAT_3X135001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFHKTMGDFFNRFPGTRSKITSDPYVSIAVTSAVIGRTYVISNSENPIWKQHFNVPVAHHAAEIHFLVKDSDVVGSQLIGVVAIPVEDLYSGTRIEGFFPLIGTNGRPCKNGAGLGLSIQYISMENLSFYHNGVGVNPEGVPGTYFPLRRGGRVTLYQDAHVHNGSLPDFRLDEGMHYVHGTCWNDIFEAISKARHLIYITGWSVWHEVRLVRGVGSFPEYTLGELLKLKSQEGVRVLLLVWDDPTSRNILGFKMDGFMATHDEETRHFFKHSSVQVLLVPRMAGKRHSWVKKQEVGTIYTHHQKTVIVDTDAGYGKRRIMAFVGGLDLCDGRYDTPQHPLFRSLSTVHADDFHNPTFTGNFAGCPREPWHDMHSKIDGPAAYDVMSNFEERWLKASKPHGFKKLKTSYDDALLRIERVPELLGVHAQPSFSDQDPEGWHVQIFRSIDSNSVKGFPKDARLAAEKNLVCGKNVMIDMSIHSAYVKAIRSAQHFIYIENQYFIGSSYNWSSYKDLGANNLIPMEIALKIASKIKAHERFAVYIIIPMWPEGVPTGSATQRILFWQNKTMQMMYETIYKALEEVGLEGSFSAQDYLNFFCLGNREASGPDDNLGDESPSTGNTPQGLSRKSRRFMIYVHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAMGAYQPHHTWAIKHANPLGQVYGYRMSLWAEHLGHVDDRFTQPESLECVRHVRSLSEANWKQFASDQISEMRGHLLKYPVEVDRRGKVKSLPGFENFPDVGGQIVGSFLGIQENLTI >cds-PLY79706.1 pep primary_assembly:Lsat_Salinas_v7:8:124904971:124906917:-1 gene:gene-LSAT_8X86220 transcript:rna-gnl|WGS:NBSK|LSAT_8X86220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQDDGSEPTAWFQPPITTTRRRNPSIEPVILILLPILVLLLLFFFLHPLQSHIPKTIKPTFLKSNWDSLNVFLVFFAIICGVFASTNNNTSSTANATNPVNNLVPGSYEYEEHEQVNGGLRRSSRSYPDLRRESESLREKGENGIRFYDDSEVDIQNSSSVNNRSPQRGGGKEDMYRSETISGDIRHRPRRREAGEVHAEGSTKLRRNVPVSMVQLRLLSPPAPSPAPPLSVSVKTRRRRSSRSLGRDETVDDVTSRIDKIQIVSQNPSSSTMPPPPPLLVPSEHRLHQKHDKLEGTLSESTSELATKIDSVDDQRKRKRKSRQKPTYIQPVTPSIQPPVLPPAPPVTVVPPPAPTVTVVPPPPPLRTIFNAIFKTVRKTKRLPSKISPPPSPPPPSPSSTITGFFKTGTKSKHVNSSSAISPPWRSTVPVTLKQESKRMSQIKSPSQPLQRKPEALRWRSAGTDKPPLPTKTSNFYDSDDFLLSGSHSPMISIPTPPPPAMKFELRGDSVKSKSIHESVCSSPEHGPVDFRSPSTSTSTAIDVEDSFGPSPISFPSPDVNVKADSFISRRKDEWRMENIKFL >cds-PLY94778.1 pep primary_assembly:Lsat_Salinas_v7:2:180139138:180141607:1 gene:gene-LSAT_2X102040 transcript:rna-gnl|WGS:NBSK|LSAT_2X102040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNKNAAPAKTGFFESILGGPKKRQKTKKNRKSRNAKGSDVSPEVSSGGSQHGSILQRKTGNMKEHYTLGRELGQGQFGTTFLCVEKSTGKEFACKSIAKRKLVMEEEIEDVRREIQIMHHMAGHPNVISIVGAYEDAVAVYLIMELCAGGELFDRIVERGHYTEKKAADLSRVIVGVVDACHSLGVIHRDLKPENFLFFSEEEDSLLKSIDFGLSVFFKPGEKFTDTVGSAYYMAPEVLRKKYDQGCDVWSAGVIIYILLCGVPPFWDEMEAGIYQQVLNGELDLESDPWPSISESAKDLLRGMLVRNTKKRMTASQVLNHPWIQADGVAPDKPLDTAVLSRLKQFSAMNRIKKIAIKVIAESLSEEEIAGLKEMFKMMDTDGSGQITLEELKEGLVKAGANFKDLDMNKLMEAADIDNDGTIDYGEFTAAMLHCNKIQKEDHLFAAFSYFDKDGSGYITVEELHQACEKFGLGDIPLDEIMNEVDQDNDGRIDYSEFVDMMEERDFGMSIKT >cds-PLY82283.1 pep primary_assembly:Lsat_Salinas_v7:1:66936654:66937196:-1 gene:gene-LSAT_1X58100 transcript:rna-gnl|WGS:NBSK|LSAT_1X58100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTYTDEHISTIPPARIFKASILDSHILMPKLMPGAIKSVEFIKGDGGAGSIKQINFVGGYVKHQIDEVNEKTFTYKYSLIEGMGISDKIEKVSYDIKFEGSPDNGTVAKMTTTIYTHGDFELKEEELNAGKEKVLGLYKVVEDYLLKNSDAYV >cds-PLY75551.1 pep primary_assembly:Lsat_Salinas_v7:9:34455922:34460342:1 gene:gene-LSAT_9X31980 transcript:rna-gnl|WGS:NBSK|LSAT_9X31980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGLYSSLRPGSSSSSSAQSSQIFNSKILLLLTVLPLSLAAFAFLLQWRGEIDDPTTRWGPKDRLEFPGMDASTSSEQLTRTSSSNCADLLGQSRSISFPYYRDWKFNYKSDLKPKICITTSTSAGLDQILPWIFYHKIIGVSTFFLFVEGKAASPGVSKVLESIPEVKVIYRTRELEEKQAKSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMAREANLDWILHLDTDELIHPAGTREYSLRKLLSDVPQNVDMVVFPNYESSVERDDIKDPFSEVSMFKKNYDHLPKDTYFGNYKESTRNNPNYFLTYGNGKSAARIQHHLRPNGAHRWHNYMKTPNEIKLDEAAVLHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFMLEFDRSAFIIASTATEEEMLSWYREHVVWTDKALNMKLMRKGILTRIYAPMVIMQGLRESGTFTSLIASAQTSSLPEPEVLSSVNDANISSKAIEGSDTLSKKTEMTGRKVLEASEVVLLAVPPLPPPTMDDDI >cds-PLY67797.1 pep primary_assembly:Lsat_Salinas_v7:9:166404317:166405459:1 gene:gene-LSAT_9X102501 transcript:rna-gnl|WGS:NBSK|LSAT_9X102501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGSKRSIFQLCRQLHTLSRHSPSDSFKKSIAELEKDRRRRNPKRNRLFVQTPESTAWLDTASMPAFATVVGIALFAKLLMMYDDSTSQERIEKKIQNAPPGQGTVRMLSREEWEEFREVRPRTPFESKLARPNARIRTGEPLHMEDVKDWTIDVLTDALTRAEECVKQGSK >cds-PLY95765.1 pep primary_assembly:Lsat_Salinas_v7:3:26998239:27000637:1 gene:gene-LSAT_3X20720 transcript:rna-gnl|WGS:NBSK|LSAT_3X20720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVAATANDGGDDLLWALADGVTSRFYKPGELSAAVFNIRNNCPYTVWAGAVPGGGRQLNPGQTWTLNVAAGTKGARIWPRTNCNFDGSGRGRCQTGDCNGLLRCQNYGTPPNSLAEYALNQYNNLDFFDISLVDGFNVPIEFSPNSGGCTRGIRCTTDINGQCPNQLRAPGGCNNPCTVFKTDQYCCNSGNCGPTDFSRFFKARCPDAYSYPKDDQTSTFTCPGGTNYRVVFGSFLIC >cds-PLY82427.1 pep primary_assembly:Lsat_Salinas_v7:2:188200779:188202260:1 gene:gene-LSAT_2X109940 transcript:rna-gnl|WGS:NBSK|LSAT_2X109940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALARLFKTQFPFLLRRSLSTTTTTTATVSEIQPQRQRPNSSILFPLTDDPKGCRNFQWVFLGCPGVGKGTYAGRLSSLLGVPHIATGDLVREELSSSGPLSHQLEEIVNQGKLVSDEIIFNLLSKRLEAGESKGESGFILDGFPRTIRQSEILDEATEIDLVINLKLREDVLIEKCLGRRICSECGKSFNLASINAKAENGYPEITMAPLLPPPQCSSKLITRADDTEPVVRERLRIYKEQSEPVEDYYRNQGKLMEFDLPGGIPESWPKLLEALNLDDYGDKRSAAA >cds-PLY86570.1 pep primary_assembly:Lsat_Salinas_v7:9:4977254:4978218:-1 gene:gene-LSAT_9X2061 transcript:rna-gnl|WGS:NBSK|LSAT_9X2061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHNNIGGNPAGREVLNFTYHDASTSTAASQEHHGHVIREQDLLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASDHCRKEKRKTVNGDDVSWAMMNLGFDDYAEPLKRYLHKIRELDGERANQKGASSSSNEEKDHLRHAHQETSSNYRSTGNQVPQKATVSSSPNLFKFSVIDKRNRF >cds-PLY88449.1 pep primary_assembly:Lsat_Salinas_v7:8:86534153:86534350:-1 gene:gene-LSAT_8X59341 transcript:rna-gnl|WGS:NBSK|LSAT_8X59341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSVVMQRERNRLQIGSVNSFSRAEILGVHQLVSSCLLELPTVDFFKRDPFTYRLVVDLKRLWVR >cds-PLY77509.1 pep primary_assembly:Lsat_Salinas_v7:4:49651827:49655063:1 gene:gene-LSAT_4X33560 transcript:rna-gnl|WGS:NBSK|LSAT_4X33560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANCEEAKNCKPEVTSSSPPQEQEQQQQQQTSHVYPDWGAMQAYYGRRMAIPHYFNSSVTSGHTPPPYMWGPLQHMMPPYAAMYPHAGVYAHPGVTVAASPMHVDSPAKSSGNSDRGLIKKLKGFDGLAMSIGNENGISHSGETEGSSEGSDGNTTEGAKSGQKRSRGGSSTSSEIGKTDDQLPSTNENGSLKKVKSITVAPPNVTMFQNERELKRERRKQSNRESARRSRLRKQAEAEELGTRVESLTNENLTLKSEINRLTVNSSNLKLQNAKLMEKLKKSQIEQDTEDPRLDKKGLTLSTANLLSRVDNGSGTGTDTDTDTDTDTDTVGSGATLRQLLDASPRADAVAAG >cds-PLY63468.1 pep primary_assembly:Lsat_Salinas_v7:7:152675567:152677169:-1 gene:gene-LSAT_7X91160 transcript:rna-gnl|WGS:NBSK|LSAT_7X91160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYLQYGRNILRQSKILKDTALFQNSDSVLHHPLFYATQGVRYRKLEVILTTTIDKLGKAGETVKVAPGHFRNHLMPKLLAVPNIEKFAYLISEQRKIYQPKEAEEVKVVPKTEEDTMKEYRTAARRLENAKLVLRRYIKIDTELRSPVEKDEIISEVARQIGVRIEPENLQLESPLSSLGEFEVPLRLPKSLPLPEGKVNWTLTIKIRKK >cds-PLY63676.1 pep primary_assembly:Lsat_Salinas_v7:4:128977443:128977682:-1 gene:gene-LSAT_4X80660 transcript:rna-gnl|WGS:NBSK|LSAT_4X80660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDGMKKSSSPLILLTSRSLVSEEQVEGEDNDSGLVDALTAAISHVKILEPKRSRLCVSTSPIREQCSFTTNNHLCKAC >cds-PLY63715.1 pep primary_assembly:Lsat_Salinas_v7:9:84309284:84313758:-1 gene:gene-LSAT_9X66380 transcript:rna-gnl|WGS:NBSK|LSAT_9X66380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATEASDFKYEEEYIVNSKGLQIFTCRWLPVDTEPKALIFLNHGYAMECSVSMKGAALRLVKAGFAVYGIDNQGHGKSSGLQGYIPSFDDLVNDCSDFFTSVCEKKENKRKMRILLGESMGGGMVLRLHRKNPEYWDGGVLIAPMCKIADDIKPPQFVINVLTKLTKIIPTWKIVPGKDIIDVAFRDPKIREEVRNNPLCYKGRFRLKTANELLNVSLDLENRLQEVTFPFFVGHGEADTVTDPSVSKLLYETASSYEKTFKLYPGMWHALTYGEFSENTDTVFADIIGWIDERVSSGNSRLEREQKHANDEFDSSSKKSA >cds-PLY86655.1 pep primary_assembly:Lsat_Salinas_v7:4:280578941:280579889:-1 gene:gene-LSAT_4X76100 transcript:rna-gnl|WGS:NBSK|LSAT_4X76100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLTEEIENEGGIWVLEQKIDQPMDEEAGRLKNMYREKAREVLGTKDDSEVRRYKAEMEERARREEDLFTRTPLTGKEKKKLK >cds-PLY98911.1 pep primary_assembly:Lsat_Salinas_v7:7:49750855:49753796:-1 gene:gene-LSAT_7X34600 transcript:rna-gnl|WGS:NBSK|LSAT_7X34600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene epsilon cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G57030) UniProtKB/Swiss-Prot;Acc:Q38932] MECFGARNMTATMAVFTCPRFTDCNIRHKFSLLKQRRFTNLSASSSLRQIKCSAKSDRCVVDKQGISVADEEDYVKAGGSELFFVQMQRTKSMESQSKLSEKLAQIPIGNCILDLVVIGCGPAGLALAAESAKLGLNVGLIGPDLPFTNNYGVWQDEFIGLGLEGCIEHSWKDTLVYLDDDADPIRIGRAYGRVHRDLLHEELLRRCVESGVSYLSSKVERITEAPNGYSLIECEGNITIPCRLATVASGAASGKFLEYELGGPRVCVQTAYGIEVEVENNPYDPDLMVFMDYRDFSKHKPESLEAKYPTFLYVMAMSPTKIFFEETCLASREAMPFNLLKSKLMSRLKAMGIRITRTYEEEWSYIPVGGSLPNTEQKNLAFGAAASMVHPATGYSVVRSLSEAPNYAAVIAKILRQDQSKEMISLGKYTNISKQAWETLWPLERKRQRAFFLFGLSHIVLMDLEGTRTFFRTFFRLPKWMWWGFLGSSLSSTDLIIFALYMFVIAPHSLRMELVRHLLSDPTGATMVKAYLTI >cds-PLY96644.1 pep primary_assembly:Lsat_Salinas_v7:7:44520857:44523077:-1 gene:gene-LSAT_7X32880 transcript:rna-gnl|WGS:NBSK|LSAT_7X32880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLFQRWAYIVKEALDKSFSVAKFFCAIHVTNTYLFSTAMVQGPSMLPTFNLNGEIVLLDRISTRYGKVGPGDVIIVRSPETPRKVVTKRIIGMEGDTITYIVDPTNSDRTETIVVPKGHVWVEGDNIYNTYDSRNFGPVPYGLLQGKVFWKIWPTSAFGSIGRRPQIGDPAFKVVEQ >cds-PLY81037.1 pep primary_assembly:Lsat_Salinas_v7:4:346940034:346940572:-1 gene:gene-LSAT_4X171321 transcript:rna-gnl|WGS:NBSK|LSAT_4X171321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNWRSEHSSLSLLKYILYDILSHDFLHQTQNFELIDLLFSFLEPTRSHSALLAGYFTKVCSTSITASIHVGKMEKELEEAVESEDFETVERVSDSLASTERNKELLSVALRDAKADCDAIDSKMQEAFKLQIVTEEECAALLQIFVVGTVSSF >cds-PLY87428.1 pep primary_assembly:Lsat_Salinas_v7:2:135237504:135241176:1 gene:gene-LSAT_2X64801 transcript:rna-gnl|WGS:NBSK|LSAT_2X64801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFYDESSSSYPHEISSIKISSIRDHFFLQCRIDGLGLGLILHHKSLKKVFEVRCLHIPIQDRTPFEGLLTIVEDTLRFEHDLFPNRPIYLVGDSFGGCLALAVAARNPTIDLVVIVSQLRLMFSVLEVMPSTLHVTIPYILSFVMGNPIKMAMVTTEASPTPNVRKVADNLTMLLPRLSGLSDIIPRSTLLWKLKLLKSSVAYTNSRLHDVKAEVLVLASGKDNMFPSSNEAQRLSKTLQNSKIYYFKDNGHALLLEDGINLLTILKQSLKYRRTCKHDVVKDYLPPSMSEYKNALAGHMWFQFATSPVMFSTLEDGRIVRGLSGIPDEGPVLLVGYHMLMGLELAPLIIEFLREKNVIVRGLAHPELFTSNFINEFLKFSYVDLMKVFGALPVTPTNLFKLLSTKSFVLLYPGGAREALHRKGEQYKLFWPDKQEFVRMAAKFRATIVPFGTIGEDDITELVLDNDDMMKIPILNDQIKKANEEAINVRAGVDGEVGNQNLFFPVCVPKVPGRFYYLFGKPITTKGKEKILKDRENAKELYMEIKSEVEKNMTYLIKKREEDPYRGVVNRLVNQPLLTPVHQXFEP >cds-PLY93559.1 pep primary_assembly:Lsat_Salinas_v7:2:175413380:175416472:1 gene:gene-LSAT_2X99540 transcript:rna-gnl|WGS:NBSK|LSAT_2X99540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIQKTLQNLKCIKKYGNLPTNTHRKVAEVVISYASKTTTNTETPAAVFSTLTSDTVRLVLSDRRIKTRECLSFFNVISENRSLIPFTIEIEHYVTLIHRAINSRKFEDAETLINSLANDGNLRYPFSDVVSLAESQGFDSRILSTLFNLMLKVYSDSGKFFAAFETFNYMRNNGITIDERTCGIYLTALLRCEHLGLGLVFFYKIVESGMDVSIVIDGLCQNGEIRRARQLVEAVCRSVEPNVITFNTLVNACCERKNLKELDLVLLLMEKQGVERNLETYKLIIDGFLSFGNLGGAERMIAEMHDKDFHVGIYLWNSIIHKYCKSGRMESAFQVFDEMLHRGAAPNAHTFHIMVSGICGIEKMEAAKELEKKIVRNEIELDHDIFSDLINGCCKKEKLDDIIDLLSTMEKKIDNLPSTSQVAYLKIAEVIISSATQISTATKTTAAILSTLTPNIVRLVLSNRRIKTGRCLSFFNIISENQSLIPFKIETEHYLTLICRTIKSFKFEDAETLINSLANEGNLRHPFSNVVSFAESQCLDPGILSKMFNLMLKVYTDSGKFVAAYETFSYMRNNAIAIDERTCFIYLIALLRCEHLVSGLVFFYKMVESGIDVSVYSLTVVVDRLCKNGEIKKARELIEAVCKNIEPNVITFNTLVDACCKRWNFKELDLVLLLMEKTGVELNLETHKFLVDGYLSSGKLGDAEKTIMKMHDKDLSVEIYLWNSIIFKYCKLRRMESAFQVFDKMLQRGVVPNAETFRIMVSGICGIGEMEAAKKLANKMQRKEIELGHDVFDDLINGCCKKGMLDDAVGLLSMMEKKGLFGDARLYNMVIVGLCELDRVEEASRLLSIVVKCGATPETSFIQFLANG >cds-PLY83163.1 pep primary_assembly:Lsat_Salinas_v7:3:94767688:94769338:1 gene:gene-LSAT_3X71601 transcript:rna-gnl|WGS:NBSK|LSAT_3X71601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEPINSFPSGLLPDETSPEWLNKGDNAWQLTAAAMVGLQSVPGLVILYGSMVKKKWAVNSAFMALYAFASVLLCWVMWAHQLSFGDKFTGIMGKPNVAVTQKYLLEKQYDKMIPVADYVFYQFAFAAITVILLGGSLLGRMNFYAWMLFVPLWLTFSYTVGAYTIWDKGFLSRHIIDFSGGYVIHLSSGVAGFTAAYWVGPRNPSDREHFPPNNIIHMMAGAGFLWMGWSGFNGGSPLAANEITALAVLNTHLCTATSLLVWMFFDLIFHSKSSVIGGVQGMMTGLVCITPAAGVVDTWAAMFMGVLAGSVPWYTMMILHKKSAFFQRVDDTLGVFHTHAVAGVLGGLSSGMFAKPNLIRKFYKTEHSESQQGPGLLYSIVNANEVVYAKDGFKQMGYQILGALFIAAWNVVVTSLICIFVSRIVDLKMHEDDLDIGDDAIHGEEAYALWGDGQRHPPLRSLTPKFPAFFRRV >cds-PLY74844.1 pep primary_assembly:Lsat_Salinas_v7:8:106511021:106511772:1 gene:gene-LSAT_8X72560 transcript:rna-gnl|WGS:NBSK|LSAT_8X72560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKIDHDYDYLLKIVLIGDSGVGKTNILSRFTRNEFFLESKATIGVEFATRTLQVEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKPTFDNVQRWLNELRNHADANIVVMMAGNKSDLEHLRAVDEQDGKSFAEKEGLSFLETSALESYNIEKAFQTVLTEIYHIISKKALATQEAVGGAPGKGTTINVGDSVSSSATTKSCCSS >cds-PLY94780.1 pep primary_assembly:Lsat_Salinas_v7:2:178432506:178435129:1 gene:gene-LSAT_2X97041 transcript:rna-gnl|WGS:NBSK|LSAT_2X97041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVEETCRHTEEVEMGKVGVETCKHKEVVENVMVEVETYRRMEVAGMVMVGEETYTHTEVVGMVMVGEETYIHTEVVGMVTAGEETCRHMVEVEMGKVEVETCKHKEVEETVMVEVETCRHMEVVEMVMVEAETCRRKEVVGMVKVGEETYRHMGEVEMGKVEVETCKHKEVEETVMVEVETCRHMEVVEKVMVGEETYTHMEEVEMVMVGEETYIHMEVVGMVKVGEETCRHMGEVEMGKVEVETCKHKEVEEMVMVEVETCKHMEVVEKVMVGEETYTHMEVVGMVKVGEETCRHMEEVEMGKVVVETCIHKEVEETVMVEVETYRHMEVVEKVMVGEETYTHMEVVEMVMVGEEICIHMEVGEMGKVVVETYKYMGVVGMGMVVEETYIHMVEVEMGKVVVGTYRCMEVVEMETVGEETCIHMEGVEMGKVGVETCKHKEVAETVMEEEETCKYTEVVGTVMVGEETCTRTEVVEMVMVGVETCKHMEVVVKVMVGEETYTHKEVVGMVMEEEETCTHMEVVGMVMEGEETYTHREVVVMVMVVVETCKHMEVVEMVKVGEETCKHTEVVETVRVGEETCTHMEVVEMVMVGVETCKHTVVVEMVMVVVETCRHMEVEVMVEEETYKCREAVGMVMVGVETCTCSEVVGVESYTNMEVVVMVTVGVATCRHMEEEVRVKVEVEICKGKADMTMCFSKVVAISNLHKAMFCPQQP >cds-PLY77842.1 pep primary_assembly:Lsat_Salinas_v7:1:24832338:24835020:-1 gene:gene-LSAT_1X21201 transcript:rna-gnl|WGS:NBSK|LSAT_1X21201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILLDARRQLMLSFRHLIEFVRKMTGYGLTDPVNRKEYIDYSSTIDIEKADYMNFRNVKQWTTEGNKIKGEENESSKRRKTTVICKQNVRDNVFCNSKESLRSTGITKGNEGHFGQFSLVKKAGKFDFGGLGDCRLPRGEIVLIY >cds-PLY67698.1 pep primary_assembly:Lsat_Salinas_v7:4:2946946:2947550:1 gene:gene-LSAT_4X720 transcript:rna-gnl|WGS:NBSK|LSAT_4X720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVIAVVLFVLLSPGLLLQIPGKNRVVEFGNMQTSGLSILVHTIIYFGLVTIFLIAIGVHIYTG >cds-PLY81803.1 pep primary_assembly:Lsat_Salinas_v7:3:34040382:34040996:-1 gene:gene-LSAT_3X26381 transcript:rna-gnl|WGS:NBSK|LSAT_3X26381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLCASSSISLFLSTFTFSNPQVPTPPSHSHSPTEILGFLFYMDSPSESNGDEPSQSKQTSRSETNVNRHNHRTSSGVVSSNFIGKHRLAAIISQQNQQIQIIQEELDQLETLGEASLVCEQLISSVESSTDALLPV >cds-PLY85087.1 pep primary_assembly:Lsat_Salinas_v7:7:6072995:6073693:-1 gene:gene-LSAT_7X5241 transcript:rna-gnl|WGS:NBSK|LSAT_7X5241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSRNQLQVTHVQSQEGVKVCDCVVPAKERTCWKITNPGRRFWNCQNSIVGPDSLDFDKLRKCSFFEWKDEEQADGYYKNLLYSLKQKLDAKDEMSEMNNLRRRIAEVEFLLSQEQYKVAKSEKEVHDAMKAIGRYRMIVALLVGCLALCVLKLGGQCS >cds-PLY66469.1 pep primary_assembly:Lsat_Salinas_v7:5:326958839:326959377:-1 gene:gene-LSAT_5X182541 transcript:rna-gnl|WGS:NBSK|LSAT_5X182541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPKLAKPLIPRAAPPYKPTHRAPTDNKLLAGYLAHEFLTKGTLFGQPWDPARGGSMPVSATAHSVDLRKPMRQPSFNHKGKTAEPEPKPKPGEKRKFESYAELSALLKGKNGAHIPGIVNPTQLAQFLHLQ >cds-PLY75602.1 pep primary_assembly:Lsat_Salinas_v7:9:32024983:32030339:1 gene:gene-LSAT_9X28720 transcript:rna-gnl|WGS:NBSK|LSAT_9X28720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVVSGVISRQVVPACGHLCCFCPGIRTRSRQPLKRYKKLIAETFPVYPEEEPNDRKVGKLCEYAVRNPLRIPKITYTLERRFYKEIRNQNFRGARIVMAIYRKLLISCKDQMPLFANSLLAIMHTLLDETRQDDMQIIGCQTLFDFVNSQKDGTYMFTLEKFIPKLCQLAQEVGEDERVVPLRSAGLQALSSMVWFMGRYSYMSPEFDNIVSVVLENYGAPGKDSWVREMLKNDRSVTPKDALVKVQSWRAIVNDKGEVTVSTEDAKSASFWSRVCLHNMGKLAKEATTMRRILESLFRCFDNENHWPASSGVAFPVLNDMQNIIDESGEITHFLLSVLVKHLDHKNVIKRPNMQLDILEVATSLSRETKIKASVAIVGAISDIIRHLKKCIHHSLTDGNLGTDVINFNKQFTEAIDECLVELSSKVGDASPIFDIMADMMENISSVKVIAQTTVAAVYRTAQVAFPEALFHQLLPAMVHQDNETRIGAHRIFSVVLVPSSVCPHASPSMDLDDPKRAEIVPRSLSRSVSVFSSSAALFQKMQKEKQLASNKGDQKANNVGVMNKIKSTYSRKYSMRNSSAGAAAVANNDIEAVTLRLSSHQISLLLSSIWTQAISSENTPENYEAIAHTYSLVLLFSKGKNSSREALIRSFQLAFSLLNMSLLEGGIEGSLPTSRRRSLYTLSISMIIISSKAFGVVPLVPLAKAALSNMVDPFLCLVDDCKLTTKDGSDQNQIKFGSKEDNKAAQKSLSDLKLADNMSAESLAAAVVKHLETVAGTEITSIKEELLHKFVPDDDVCPTEYGLADILQNKGRFKDDVSPLFSLDDDITKADSETAFDMDLWSVDQLLDSVMESAQQVGRLSVCNAPNMSFLEMTNHCEKQTKMSTMMSMNQRQNNGDTNNQSQAGDGLNEQSGASGASKSGNEGVTDEISPLPTSSPYDNFLRASQY >cds-PLY81368.1 pep primary_assembly:Lsat_Salinas_v7:4:35680388:35681204:-1 gene:gene-LSAT_4X23340 transcript:rna-gnl|WGS:NBSK|LSAT_4X23340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLLIPTLLSTLISSFYITSPQPLETTTTITAAIDTITDTSIPFDSDGHEVQPGLKYHITPIQSNDSSGGGLSLASRDGICPPFVVQENTQLSNGLPLRFVPLDTKQNSITLSSDLNILFNAATICVQSTVWRVINGGGFGGGKYYVRSGGVVGRPGVATVSNWFRIERVGDIGYKIVFCPSVCSSSCKVVCGDVGILEENGKKWLALGDKPLVFMFQKA >cds-PLY83107.1 pep primary_assembly:Lsat_Salinas_v7:2:8264753:8272737:1 gene:gene-LSAT_2X4720 transcript:rna-gnl|WGS:NBSK|LSAT_2X4720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVVSVILKPVVETLMEPVKKHLGYLIYSTKHVRDMSSKMRELNAARHAEEDHLDRNTRTRLEISSQVRSWLEEVEKINAKVQTVPSDAVACCSLKIRHTVGREAFKLIEKIESATRQHSLITWTDQPIPLGKVDTMKASSSTPSSDHDDFQSREKTFIQALKALEPNNTSHMIALCGMGGVGKTTMMQRLKKVAKENRMFSYIVEAVIGEKTDPIAIQQAVADYLRIELKESTKPARADKLREWFKANSGEGKNKFLVILDDVWQSVDPEDIGLSPFPNQGVDFKVLLTSRDEHICTLMGVKANSVINVGLLTEAEAQSLFQQFVETSEPELCKIGEVIVRKCCGLPIAIKTLACTLRNKRKDAWKDALSRIEHYDIRSVAPKVFETSYHNLQDEETKSIFLMCGLFPEDFNIPTEELMMYGWGLKIYDRVYTIREARNRINTCIERLLQTNLLIESDDVGCVKMHDLVRAFVLGMYSEVEHASIVNHGNMPGWTETDITDSCKTISLTCKSMSEFPENLNFPNLTILKLMHGDKSLRFPQDFYKGMEKLWVISYDKMMYPLLPSLTQCSTNLRVLHLHRCSLMMFDCSCIGNMLNLEVLSFANSSFEWLPSTLGNLKKLRLLDLRHCHGFLIEQGVLKNLVKLEELYIGNASAFKDYNCNEMTERSNRLSALEFEFFKNKAQVKNMSFENLERFKISVGRSLDGYITKSRHSYENTLQLVTNKGEISDCKLNELFVKTEVLCLSIDGMNDLEDIEVKSTHPPQSSSFCNLRVLVVSGCAELTYLFKLCVANTLSKLEHLEVYNCGNMEELIHNGARGGGKETVMFPNLKFLSLRELPKLLGLCDNVSLIKLPQLVELQLKGIPGFTSIYPQSKLETSSLLKEEVVIPKLETLRIDDMENLKEIWPCKLSKGEKVKLRGIKVRNCDKLMNLFPYNPMSLLCHLEEFEVENCGSIETLFNIDLDCADTIGEEYNNSSLRSIRVGNSAKLREVWRIKGGDKSCPLVCGFQVVERISIWRCERFRNVFTPIATNFDLGALLEILIEDCGGETGRFNESKESSQEKKTNILSVEETLQEVTGNISNVVFPSGLIPSFHNLHKLYLKKYNGVKVVFEIESPTSTELVTTPYNQHPILPYLDELYLTDMDNMSHVWKCNRKNFLNLPKQESESPFHNLTNIHIGYCKSIKYLFSPLMAELLSNLKKVNIEGCDGIEEVVSNKDYEGEEMTTFTSTSTHTSTILFPQLDSLTLFGLNNLKCIGGGGAKDWNNEISFKNTTTTTVFPDQFKLSEAAGGVSWSLCQYAREIKIEKCDALSSMIPCYAAGQMQKLQVLKIWLCDGMKEIFETQLVTSKNKIGCDEGNGRIPRLNNIIMLPNLKILEITICDRLEHIFTFSAIGSLTHLEELTIYNCESMKVIVKKEEEDASSSSSSKEVVVFPHLKSIELSYLPKLEGFFLGMNEFQFPSLDKVTIKKCPQMRVFAPGGSTAPQIKFIHTRLGKHALDESPLNFFHVQHHQIAFLSLHGATSCTAPSEAIPWYFHNLIELDVERNHDVKNIIPFSELLQLQKLEKISVSDCEMVDELFENALEAAGRNRSNGCGFDESSQTTTLVNIPNLREMRLDSLGNLRYIWKSTQWTLYEFPNLTSLYIGCCNSLEHVFTSSMVGSLLQLQELHIRDCRHMVEVIVKDADVAVEAEEESDGKTNEILVLPSLKFLKLDGLRYLKGFTLGKEDFSFPLLDTLIIYRCPGITTFTKGYSATPKLKEIVTSLGSFYAGEDINSFIKNKQEVN >cds-PLY70300.1 pep primary_assembly:Lsat_Salinas_v7:2:92136200:92137285:-1 gene:gene-LSAT_2X39721 transcript:rna-gnl|WGS:NBSK|LSAT_2X39721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAQFQIQPQAVSVGVGGAAPAGAQQFVPTSLDLSTRRSLGYGYVNYANPQDAARAMEVLNFTPLNGKAIRIMYSHRDPSVRKSGSGNIFIKILDKAIDQKALHGTFSTFGNILSCRIATDSSGQLKGYGFVQYDAEESAQKAIEKLKDMLLNDKQVYVGPFLRKQERELVVDKTKFTNVYVKNLFESTTYDDLNNTFSEFGTVTSVVVLRDAEGNSKCFGFVNFENAEDAGKVVEGLNGQKFDNKEWYVGKAQKKYERK >cds-PLY82528.1 pep primary_assembly:Lsat_Salinas_v7:2:184422869:184424504:-1 gene:gene-LSAT_2X107721 transcript:rna-gnl|WGS:NBSK|LSAT_2X107721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSLALNSPKPTPTFLHSPFLSSPLPLTSHHRPNKPFRKPISATLIPASKPPSQKLYQPFRPPTSQSPIPPQYRNLDTEARLDVLSNRLGLWFEYAPLIPPLILEGFAPSTLEEVTGISGVEQNRLVVAAQVRESLVQSGVDEETLEFYDKGGAELLYEIRLLSVNQRAAAARYMLENKFEPSDAQELARAIKDFPRRRGDRGWDYFDYTIPADCLAFMYYRQALENRDTESKISVLQKALSVAVSEPAKAWVTKELDGNDGDGEGQGRDGGVVDGVKVPVVRLNLGEIAEATVVAVLPVCGAGERDKGVKDAPWETGCKGDFGIVEAEKDWRRWVVLPAWEPVIGLKRGGVVVAFSDARALPWRVNRWYKEEAILVVADRSRKEVAADDGFYLVLSEDELKVDRGSTLKEKGIQESLGTVVIVVRPPREDNDSELAEEDWE >cds-PLY87934.1 pep primary_assembly:Lsat_Salinas_v7:4:33586669:33588619:1 gene:gene-LSAT_4X22080 transcript:rna-gnl|WGS:NBSK|LSAT_4X22080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDLHSIAYFSNQHSHRTPLSWEIYNHQLLNTDISHCMETKDTQPFYDQSLLNSDLSSGYLEDALFEFSSKRRRLMMTPDDHHITSYQNSTPPSYPISYLDSIFPQSYWDFNSTDDFDNFSGLNVSGNNSTSSSQSKTSINSQFLSEKETLSSSDHVTGGIEERKKKTIPRVVYPFALVKPGGFKGDMTLNDINERILMPPTRPVRHPVGDFACRPLVSLDGPGLSGKEVVALTRIQTHGRGTITIIRTKN >cds-PLY89642.1 pep primary_assembly:Lsat_Salinas_v7:8:220265808:220269943:1 gene:gene-LSAT_8X134041 transcript:rna-gnl|WGS:NBSK|LSAT_8X134041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMKKWAFQRNSEPKTTSETPTSSVLTILMSNLNQSDRRPIIPMAHGEPSLSPCFGTIQMTADAIAEAVHSAKFNGYSPTGGLLPARRAVAEYLSEGLTNKLLPDDVFLTMGCKHAAQNILTVLKGSKSNNILFPKPGFPYYEFLARSCHLEVRHFDLLPEKDWEVDLDSVESLADENTVAMVIINPGNPCGNVFTHQHLKKVAEIARNLGILVISDEVYDHIAFAKNPFVSMGNFGSIVPVVTLGSLSKRFIVPGWRLGWLVTHDPNGILKQHGIIESIKGYFHMSSNVPTFIQGALPDILGKREDHISSKNVNIIREAANSCYKGIIDIPGLSCPSKPEGSIFIMVKLDISAFKDIKDDLDFCVKLAKEESVLILPGISVGLKNWLRVTIAIDPSSLEDAIKRLKSFCGRHSKKSKSVECWLDHIS >cds-PLY94619.1 pep primary_assembly:Lsat_Salinas_v7:8:144748087:144751258:1 gene:gene-LSAT_8X97601 transcript:rna-gnl|WGS:NBSK|LSAT_8X97601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVWRRFLCTQTSNFSFFNQKPAAVRRPQWPIKQVTKSNFVDSLDEIKNHISESDFVAVSLKNTGSYSSPWHRILPFDTAETAYLKAKYAAERFQILQFAVCPFSIRASNKLTAHPFNFHLFPRDELKTGMPCYSFSCQPSYLASMARDDFDFNLCINDGISYLSRAQESAAKIQIENPVSNDYVTQSPSSRSVADNVFVERIKSKVGHWISAYALVNSLRKLILGGEDYGSRPSLNIDVCSERQVQLVFEMVKSQYENVVPLTIPAKGGGTQSVRVVLTSSKEDRDLFKTELQDIEEEQSKRIRGFREVIDLISASRKPVIAHNSLNDFAFIYSKFLSPLPSTMDEFRSSLHSAFPDVLDINHLMKEVGPLSKYNNLPSAISYLKRNFFAPIEIEIPQKASIEENKNHGHDAVRISELFAKLCSILKITHDNGPSSLKRHLNVFNQGSNGFLDEGDDISIWTHNPKKISIDNLVFIWGFRGGISAGKLKNLLCNSHERLSEDDLFDVRLVDKNCAVVVFWKSGLSEWFLAAIDSGEELRELAAEGFMGAGYEAYRRVCKFEVLGADLADSLAECRVLEGGEVESDEVYWNSELMINFDDL >cds-PLY84607.1 pep primary_assembly:Lsat_Salinas_v7:1:29425901:29426308:-1 gene:gene-LSAT_1X24841 transcript:rna-gnl|WGS:NBSK|LSAT_1X24841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCTAFRAAADTLNQTRFGGLKNMTIKIDQLYFTIASALKPLQANKLPGDMEIIQDVKRLCVVFEHVEKLLTLGSGSGNQWSHQQREIGIWSCKDCGKVKAGGPYTLK >cds-PLY84836.1 pep primary_assembly:Lsat_Salinas_v7:1:59943205:59943634:-1 gene:gene-LSAT_1X52640 transcript:rna-gnl|WGS:NBSK|LSAT_1X52640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLPIPYHSSLATIAKLWIFPSSSHSETGLQFDFPSPNHIRLYEVLIECEWICR >cds-PLY70460.1 pep primary_assembly:Lsat_Salinas_v7:1:76782163:76787412:1 gene:gene-LSAT_1X64060 transcript:rna-gnl|WGS:NBSK|LSAT_1X64060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSKDDEIRIMEGWLYRIRSNKFGFQYSRKRYYVLQNHLLKSFTSVPISIHNDPVRTAFVNSCSCVMESGKKSIRGKVFFIFTLCGISSNGVSVKLGARNPEEAAKWVEAFQKVSLKMTQNPLSLVSIKDHKAADVASYWTIFGCHNGLRLFKETRNEYDQNKVVHPAIAAMSVIDGAPEVVFQILMSLGSTRLEWDFYLKKGSVIESIDGQTDIIHKHLNGDWLPWGMKPRDLLLQRYWSREEDGTYVILYHSVLHKKCPPQKGYVRACLKSGGYVISPIDHQHKQSVVRHMLDIDWKLWRSYKYLQKSQSLSVHMLGRLAALREFFKTNISSYLSERKDLKNENEDLFLEMEDEESELSDEFFDFPEPFDDDQLESKEMVAREDGFLCNYKFTLPKDENGDLPNSWSVPEPSLFQIRGETYFKDHKKIHAKTTLMQTIAVDWLRSDKREDNLAARSGSIVQKFAARGCPEFFFIVNFQIPGSTTYNIACYYMTNTPLKDLPLLKKFVEGDDAFRNSRFKLLPHVTKGPWIVKQSASRASLVGQLLKVNYIRGNNYIEADIDVGSSTLARGVASTCLSYFSSLISETAFVIQANTQDELPEHLYGASRLNYLDVSKAFWANP >cds-PLY86545.1 pep primary_assembly:Lsat_Salinas_v7:4:297305304:297306942:1 gene:gene-LSAT_4X149120 transcript:rna-gnl|WGS:NBSK|LSAT_4X149120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGTDRSQSTTTNGVEELSISKRKVALITGITGQDGSYLTELLLDKGYEVHGLIRRSSNFNTQRINHIYIDPHNVHKARMKLHYADLTDASSLRRWIDTISPDEIYNLAAQSHVAVSFEIPDYTADVVATGSLRLLEALRSHITTSGRTHVKYYQAGSSEMFGSTPPPQSEDTPFHPRSPYAASKCAAHWYTVNYREAYGIFACNGILFNHESPRRGENFVTRKITRAVGRIKIGLQSKLFLGNLQASRDWGFAGDYVEAMWLMLQQDKPDDYVVATEESHTVEEFLEKAFGYVGLNWKDHVEIDKRYFRPTEVDNLKGDSSKARKALGWKPKVGFEQLVKMMVDEDVELAKREKVLVDAGFMDAQQQP >cds-PLY81070.1 pep primary_assembly:Lsat_Salinas_v7:6:130474839:130478083:1 gene:gene-LSAT_6X78660 transcript:rna-gnl|WGS:NBSK|LSAT_6X78660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSRESLAQPPSLQRHQPHHQRQLPPAMMMQPNPFNSHHHHHLPNNSNTAPTNNNSIISPDSLQQRFAFDGPDQYGDGSSPPGGFRAGGFSIEPARKKRGRPRKYSPSPDGNIALALAPAPVTTGATAAGGGGGQLESSNDGATATPNTDPSAKKHRGRPPGSGKRQLDALGAPGVGFTPHVITVKAGEDIASKITAFSQQGPRTVCILSANGAISNVTLRQPAMSGGSVTYEGRFEIISLSGSFLLADSNGNSQGNRSSGLSVSLAGSDGRVLGGGVAGSLVAATPVQVVVGSFITDAKKPKSSGGTAAAPPANMLNFGSGPGSVPGASPPSDGPSSESSEESGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGPPLVPITIPVSNNHHPCPCTPTWGGQIPP >cds-PLY85182.1 pep primary_assembly:Lsat_Salinas_v7:9:146651410:146653668:-1 gene:gene-LSAT_9X94201 transcript:rna-gnl|WGS:NBSK|LSAT_9X94201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL23 [Source:Projected from Arabidopsis thaliana (AT3G20530) UniProtKB/Swiss-Prot;Acc:F4JEQ2] MMGCFWCCFNQKRTNRKKGIRDFKESRQDSFPGFSRGNDSFRRSYIRDEIAKYGKREISSQYFTYEELSAATKNFSYNFLIGEGGFGRVYKGHIANKNTDIAVKKLDRNGYQGNREFLVEVLLLSLLHHTNLVNLLGYCSDGEQRILVYKYMSNGSLEDHLFNWRVGELKPLEWDIRIKIATGAARGLEYLHKKADPPVIYRDLKASNILLDEEWNPRLSDFGLAKLAPTGEQTHITTKVMGTYGYCAPEYALTGRLTRKSDVYSFGVVFLEIITGRRVIECSGPSEEQNLIAWAAPLFKDRRKFHLMADTSLEGRYPMKGLYQALAVTAMCLQEDASKRPVMSQVVSALEYLTSGQNEEQPMGDGDSSSEE >cds-PLY87102.1 pep primary_assembly:Lsat_Salinas_v7:5:262335195:262339340:-1 gene:gene-LSAT_5X133740 transcript:rna-gnl|WGS:NBSK|LSAT_5X133740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDLLRAMKELLNDMPQSIEKMIQRSQYKSMLKKGLEDGVVMDYTNLYNEFFVAIGPNYSTCERKLMIVHLQHVCTQCHEVILSRSTWFCSHCKKIQLCSSVLHSRCFNAEKNLSRSEMHTCHFGEKNLLFEVIVKNVTVDTKDKDDVFVNSFFETRDAFLNKFQKSHFQFDTLSHAKYSSMMILYHLIHKLPPIKPTCTTCNKDVWPVSEAKDSPNWQKVLGEELAKHLKTCGFKSNLRDKGMSWLVKTQYISPLSTDATRLSFTEQQAKELRERRGNGKLRTLRLHLRLEAHDIFGDVDELLRRRKLGLERISRYDDSGEGKERRLEDEFEPTILSNKYKTEKDEYIWEIDIPERIQISEESTDFFYNSGWTGDLRKVVKHIRLRSSGVPFFTVGKSLGANMMVKYLGADGDNILIDGEHFVVLGTSWLFCDNPLDYSPFSGGKTSSDYHQDVVGDSRKSRSSEGTIG >cds-PLY74802.1 pep primary_assembly:Lsat_Salinas_v7:6:121422363:121429621:1 gene:gene-LSAT_6X73800 transcript:rna-gnl|WGS:NBSK|LSAT_6X73800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLEDIGVSALINLIGAFAFLLAFAFLRLQPVNDRVYFPKWYINGARSSPRHRGNFVGKFVNLNFATYLTFLNWMPQAMKMKESEIIEHAGLDSAVFLRIYILGLKIFGPITIVALLILVPVNVSGGTLFFLSKELVVSNIDKLSISNIQPKSLKFFAHISMMYLFTSWICYMLYKEYDMVASMRLKFLASKSRRAEQFTVLARNVPHVSGRSVVYNANKFGRLVRRRHRLQNWLDYNQLKYERNPEKRPTMKTGFLGLWGKKVDSVEYYKQQIKEFDERLTMERQKVLKDPKCIMPAAFVSFNTRWGAAVCAQTHQSKNPTLWLTKWAPEPRDVYWKNLAIPFVSLSIRKLVISLLLFALVFFYLIPIAFVQSLANLEGLERVAPFLRPVVELSFIKSFLQGFLPGFALKFFLYILPSVLMVMSKIEGHVAFSVLERRTAAKYYYFMLVNVFLGSIVAGTAFEQLDSFLHQSPTEIPRTIGVSIPMKATFFITYIMVDGWAGIAAEILRLKPLVIYHLKNMFIVKTERDREKAMDPGSVDFPETIPSLQLYFLMGIVYAVITPILLPFILVFFAFAYFVYRHQIINVYNQQYESAAAFWPHVHTRIIASLLISQLLLLGLLGTKKAANSTPLLVALPILTLTFHKYCKNRFEPAFREYPLEEAMEKDTQDKASESEINLKAFLADAYLHPIFRSFEEVELVEVRVEKSPPDTPSQLASEPVSRSRSPSPSPPHHLDQEEQEESVTVQHYEVGPPANVYHYGYEHHENIFHYNMDQYNETQHNVENYNMDHSYYRY >cds-PLY97832.1 pep primary_assembly:Lsat_Salinas_v7:5:196982059:196983123:1 gene:gene-LSAT_5X88021 transcript:rna-gnl|WGS:NBSK|LSAT_5X88021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEYTMPEYLCEVLIVEIFTRLPPKSLLRFSSLSKSLYSIICSPNFIRIHTFRSPQKILIRHTNREIFYTLHSEEHLASCTSGISATNFPYNSYYWIVGSCNGILCLYDNSDENHVILWNPSIRRKLNLPICPLRCFQIGFGFDPITDDYKIVSIPKYYGGGRGRGITQSSFVYTMKKGCWCEIGFPSSSSSSAYGVLSFTCFVNGALHWVVVKDYLTDSNDDVGRYILTFDLSTHVFGMISLPEPNWETRHVTTIQDSLAVISLKHDQSWIWIRREASWSVVYKFVEGVMGVLQLSNNYDLLVRTYGKTLQIYNSKTGARSELVGFNDVFYRDDIVLCVESLQLLDTGIPDLS >cds-PLY69513.1 pep primary_assembly:Lsat_Salinas_v7:6:43780487:43782212:-1 gene:gene-LSAT_6X32540 transcript:rna-gnl|WGS:NBSK|LSAT_6X32540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNSHGSWWEEVISKKHELALTCLAVMALPLVILWFKRVLSSSQKGIPPLPPGPYGLPLVGYLPFLGPRLHHELTKMAHRYGPIFKLHLGSKLHIVVNSADLAKVITNEQDESFANRAPHIAGLATSYGANDIAFADNNANRRNLRKILVHEILSNVNLEASHAYRRREVRKTIKSVHDMIGMPVDINEMSFSAVMNVLTSIVWGNGIVEGTKDSNLGEEIRKVVSGLVDIAEGLNISDFFPKLARFDLQGVEQKMKRKMKQFDWIFETTIEERINLKSTHGEDALKHEGRKDFLQILLELKDKKSISMTQLKALVVDIFLGGTDATSAMVEWAMAEILKNQKVMKKVQDELAEIVGLKNMVEESHLPKLMYLNATFKETFRLHTPLPFLLPRTPSKSCIVGGYLIPRDSTVFLNVWAIQRDPQHWENPSEFNPERFLNYEGSGKWDYSGTNSKYFPFGSGRRRCPGIPLAEKMMLHILASLLHSFDWSLPKGEDHDLCEKFGIALKKKKPLVAVPSPRLIDLSLYM >cds-PLY98722.1 pep primary_assembly:Lsat_Salinas_v7:6:103676676:103678204:-1 gene:gene-LSAT_6X68501 transcript:rna-gnl|WGS:NBSK|LSAT_6X68501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >cds-PLY77977.1 pep primary_assembly:Lsat_Salinas_v7:1:21353208:21355532:1 gene:gene-LSAT_1X17680 transcript:rna-gnl|WGS:NBSK|LSAT_1X17680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIFRRRGSSHQQLEQEHPELQSDSKVNELKAAIGPLSGRNLLYCTDACLRRYLEARNWNVDKAKKMLEETLAWRSTYKPEEIRWHEVAMEGETGKLFRANFHDRVGRTVLILKPGLQNTKSMENQIKHLVYLMENAMLNLPEGQEEMAWLIDFTGWSFTTNVPVRTARDTINILQDHYPQRLAVAFLYTPPRIFEAFWKIVKYFMDPKTFQKVKFVYPKNKESVELMRSYFDMDNLPTEFGGKATMKYDHEDFSRLMAQDDVKAAKFWGFDEKTGSMSSSHAVGATVAPEPALA >cds-PLY88622.1 pep primary_assembly:Lsat_Salinas_v7:5:140133604:140135395:-1 gene:gene-LSAT_5X62040 transcript:rna-gnl|WGS:NBSK|LSAT_5X62040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMPCSSNLTSPLFDFSKSNRTHQPFCLSLPSCSTVTSIKSLLASSNSGFFQHGFALKAGISSGVSSNSRSQFGVFAAATTGKSIYDFTVKDIDRKEVPLSKYKGKVLLIVNVASQCGLTTSNYSELSQIYNKYKDQGLEILAFPCNQFGFQEPGSNDQIKQFACTRFKAEFPIFDKVDVNGPFTAPIYQFLKSSTGGLLGDLVKWNFEKFLVDKSGKVVERYLPTTSPLQIEKDIRKLVAA >cds-PLY95250.1 pep primary_assembly:Lsat_Salinas_v7:8:269078640:269079964:-1 gene:gene-LSAT_8X155961 transcript:rna-gnl|WGS:NBSK|LSAT_8X155961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLHEVRCHQIFEMGRFLFNVEGIQLGFDETEYILICGLKVGPYVNLLHDEKGQSNSNLRARLFPEISDARLWLKNLEDLIMSPNYLALQDEDVVMLIQLVFMLKGLHGRDIKTGIPAAVYKLADNIDDWNMFERGTYFWKYTSRMMRGMFKKIKEFREFKEANPESKKVHKYTVPGFMLLFKPNNEPINVEANPEELMLPFYVRYVNWTLNLVESPPRNKLYVARHIKIGKEEEDEHKGISEVSTRRCG >cds-PLY94096.1 pep primary_assembly:Lsat_Salinas_v7:8:9866052:9866730:1 gene:gene-LSAT_8X8460 transcript:rna-gnl|WGS:NBSK|LSAT_8X8460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVDDECKLKFLELKSKRNYRFITFKIEQQQVIVDKIGSPDENYEDFTNSLPRDECRYAVFDFDFITDENCQKSKIFFIAWAPDTAKVRAKMVYASSKDRFKRELDGIQVELQATDPSEMSFDIVKSRAL >cds-PLY77764.1 pep primary_assembly:Lsat_Salinas_v7:2:169452656:169455663:1 gene:gene-LSAT_2X92041 transcript:rna-gnl|WGS:NBSK|LSAT_2X92041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAELSVQTGSSHPLLAHKDLFLINPPGSPLSTQELDNNIIDLDKASPLAPDTYEQLYLDAFDVQSFNHSPPRLSSVSSYATTPEPKINKPELRCRTGGDTKPTTKKSIVNNVESKVMKTLNRSPSTKSVTSTVSSPRSPRIPKPKIRSKSFHENNLPLPLASPRKVEKSPIAPTQTPPTGKEDPAFLGPYLLKQTRELLSAGENPKKALELGIRAMRAFETNRSQKPNLEYVLCLHIVAALYCSLGQYFNAIPLLERSIEIPSMGEGQNNSLAKFAGCMQLGDTYAMLGYIENSILCYTNGLGIQRQVLGVTDPRFGETCRYVAEAHIQALEFDEAKKLCEMALDIHKANGTTASVEEAADRRLIGLVCDAKGEYEAALEHYVLASITMSAAGQDADVAAIDVCIGDAYLSLARYDEAVFAYQKALNVFKSTKGDNHPSVASVFVRLAELYNKMGKFRESKSYCGNALRIYEKPMPGSPNDEIANGFIEVSAIYESMNELGPAINLLKKALKVFGKVQGQLSTIAGVEAQMGVLYYMMRLYNDSYDYFKIAVSKLRVVAEKKSALFGSVLNQMGLACVQIELLDEAADLFEEAKGVLEVEYGPHHPETLGVYSNLAGTYDAMGRWEDAIEILEYVVGMREEKLGTASSDVDDEKRRLAQLLKDARRDRSKKSLSLEFLLEG >cds-PLY97942.1 pep primary_assembly:Lsat_Salinas_v7:3:18408556:18411777:-1 gene:gene-LSAT_3X14161 transcript:rna-gnl|WGS:NBSK|LSAT_3X14161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDVFSGGDGRRTGYGFVEGAVGKSDGGSGAIFWITGGGVGASGASGSQSNVGIAIAMTVMAGLAVAATLVYSNRGHLQSPWSKRRRKHALQPKQWKALFDEDGRLCDGGVKFLKKVRSGGIHPSLRAEVWPFLLGVYDLKSSKEERDAVKTKNKKEYENLRKQCQQTYIRRDNSTNDISDSNHDSDDVSNNNDSGEVVDTDSHQVKEEISDTTTTTTTNNNNNNDNKDGDDVSEVTTKTDTCSSDSDSSEETDNMEPSPATPQKPKTTEEVKSKTSLPYRSESFATWQRIIRLDAIRANDEWIVYSPSQASVSVTKAQELAKSVVLKDYTHLETCRVFHAARLVSILEAYALYDSEIGYCQGMSDLLSPIISVVEDDSEAFWCFVGFMKKARHNFRLDEVGIRRQLNIVSKIIKGKDSHLYRHLEELQAEDCFFVYRMVVVLFRRELNFEQTLCLWEVMWADQTAIRAGITKSGWGRMRLRAPPTDDLLLYAIAACVLQKRKMIIEKYSSMDDILRECNSMAGHLDVWKLLDDAHDLVVTLHDKI >cds-PLY89199.1 pep primary_assembly:Lsat_Salinas_v7:3:20633448:20637378:-1 gene:gene-LSAT_3X15381 transcript:rna-gnl|WGS:NBSK|LSAT_3X15381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ultraviolet hypersensitive 1 [Source:Projected from Arabidopsis thaliana (AT5G41150) UniProtKB/TrEMBL;Acc:Q2F6I1] MVSGFAKAERTLKCLFLRKLHLWPRFQVYVSEELERDPPEVVDIRVPMSAYMVGIQKAVIEVMDACLKEMRKTNKVDVEDLTVENGLFKSFDEIVRRQLDPIWHTLGKKTKQLVSDLKTLRKLLDYLVRYDAVTYLKYLDSLRASESFRSVWIFAESSYKIFEYAKRRVYHFSRSKGEDLTTSAKNVKSKKRKLDDSSNDKEDSPVTNGSSSVVLEEVLEEAPKWKVLREILEEIEAEREKQATSNDECVVEINQDNNGMVMRGEWEKYLVSKVQLQALPKRNKKKPQNPKGFGVLDGAVPLTPTKGVESSSIATQEQNALLAAATEISKRVQKVIDVVDDPQSNVVHKGRGKGNSKGKKKQPSKNVPLKKDDKSKLETSSVKECEPSIPVLKKHVEGVDVEKPDNLKKIPSVHFYAYESGQQVLDILKPSAIVVYHPDIAFVREIEIYKAENPLKKVKVYFLFYEDSTEVQKFEASIRRENGAFESLIRQKSMMMLPVDQVAHGLGQNTTTETESPSKQNMVTRKAGGQKEAVKEMQVIVDMREFMSSLPNVLHQKGMHIIPVTLEVGDYILSPLICVERKSIQDLFGSFASGRLYHQVEMMVRYYQIPVLLIEFSQDKSFSFQSTSEITDDVTPHNIISKLSLLVLHFPRLRIVWSRSLHATAEIFASLKANQDEPDEAKATRVGVPSEEGVVEDDIRAENYNTSAVEFLRRLPGVTDANYRTIMDGCSSLADLALLPMERLAELMGGQKSAKILRDFLDAKYPTLL >cds-PLY69907.1 pep primary_assembly:Lsat_Salinas_v7:4:69863006:69863348:-1 gene:gene-LSAT_4X48161 transcript:rna-gnl|WGS:NBSK|LSAT_4X48161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNLFETRILMFCISFLVLFPCHQCNGRILSNVGAGKPPKCNIECKKVDEDYCCCGFEDTPCSGRLDICIKNCAAAKYCCIYTK >cds-PLY90939.1 pep primary_assembly:Lsat_Salinas_v7:9:169533131:169533439:-1 gene:gene-LSAT_9X105720 transcript:rna-gnl|WGS:NBSK|LSAT_9X105720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRWEALLSLFYYHSNDVNPPAIYSIDCEAAIREGEIYLLTEKRGRRAREKERASDEECSGKEDAAKLVSLVFLDHGKVCTSSLDSILIIDCSRNYAGRNRL >cds-PLY96109.1 pep primary_assembly:Lsat_Salinas_v7:3:96478933:96480349:-1 gene:gene-LSAT_3X72180 transcript:rna-gnl|WGS:NBSK|LSAT_3X72180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQWSPDKSSIFGSSAEDGVLNIWDHNKVGERSGPASKFAPGLLFRHSGHRDKVVDFYWNSHDPWTIVSVSDDGESTGGGGTLQIWRMIDLIHRPQEEVLLSFIPPFSSHLWKALVLKTKQDMDIQDRELVNDWLMIIVYKERGFG >cds-PLY70863.1 pep primary_assembly:Lsat_Salinas_v7:9:14761548:14764262:-1 gene:gene-LSAT_9X12141 transcript:rna-gnl|WGS:NBSK|LSAT_9X12141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGYKVSLHVYDLSGGLARQLSMSFLGKAIEGIWHTGVVVYGTEYFFGAGIQQTPAGTAPYGTPLKVIDLGVTHVPKDVFQMYLDEISPRYTQETYSLLSHNCNNFSSEVAQFLVGVSIPDYILNLPNEVMSSPMGALIMPMIQNLETTLRAGAVPQAPQFKPSPVNTIPQVSKKVDKPSETSSHTNVNVAPPPPPPAAVPAGTSQEKPDVVDPLGDARSKVQEEIGKEFAAMMASGTLRASEAAALATKKVMQKYGHMNAAQS >cds-PLY92099.1 pep primary_assembly:Lsat_Salinas_v7:4:106287304:106289226:-1 gene:gene-LSAT_4X69180 transcript:rna-gnl|WGS:NBSK|LSAT_4X69180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANKVIGALVCLVIIALDITAGILGIRAEAAQNQEKHLRLWLFECKEPSHEAYRLGLAAIVLLIAAHVLANLLSGCAVCSHDEVQKASLGRQLSLLSLFFTWVILAVGLGMLVIGTKANSKSNASCGLSHHRFLSIGGILCFVHSLFSIAYYVTATASIH >cds-PLY94578.1 pep primary_assembly:Lsat_Salinas_v7:8:180154465:180155628:-1 gene:gene-LSAT_8X117760 transcript:rna-gnl|WGS:NBSK|LSAT_8X117760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP17-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19830) UniProtKB/Swiss-Prot;Acc:O81864] MLSYGASTSSFRLPQTVTFCTYSNSHHPTTTHGGESHPVVTRRTLSLLATATATVTAAAFSVPILFCDSSVRCSESAAAAATPQFSELPESGGVKALDLRIGDGDSPVNGDQVAIHYYGRLAAKQGWRFDSTYDHKDETGEPLPFIFTVGSGKVIAGIEAAVRSMKAGGIRRVIIPPSEGYQNMSQEPVPPDFFDRQRLFTTIFNPTRLANGEGSTLGILIFDIELVNVKHL >cds-PLY82713.1 pep primary_assembly:Lsat_Salinas_v7:2:146659282:146660798:-1 gene:gene-LSAT_2X72821 transcript:rna-gnl|WGS:NBSK|LSAT_2X72821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKCSIPVIDLQDLDSPNQLSKLISACEEWGCFRLLNHHEVLPSTLMSEMKAVVRSLFDLPVEIKRQNTDVITGSGYMAPSAKNPLYEALGLYDMSSPQDVDSFCSQLDASPHQRETIMRYAGAVHDLFMGIVGKLAEGLGAKSENIGFENWPCQFRINKYHFTPESVGSPGVQIHTDSGFLTILQDDEGVGGLEVMNSSGEFIAVDPWPGTLLVNLGDMATVWSNGRFCNVKHRVQCKEAKIRVSIASFLLGPREAVEPLPELVDDKHPRVYVATTYEEYRKLRFSTKLQAGEALALLYTSSSDK >cds-PLY71008.1 pep primary_assembly:Lsat_Salinas_v7:9:69784507:69786698:1 gene:gene-LSAT_9X60301 transcript:rna-gnl|WGS:NBSK|LSAT_9X60301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENSIKHRLLSVAIFMLLNLHITIADLSSDTEALLKFASSVPHLRKLNWNSTLPICSSWFGVKCNDAGTRVTAVHLPGVGLYGRIPPNTIGKLDALKILSLRSNFLTGNLPSDIPSIPSLQSLYLQHNNFSGNIPLPLSPKITVLDLSFNSFSGNIPAAIKNLTRLTSLNLQFNSFSGAVPELNLTRLRLLNVSYNTLTGSIPESLQKFPVSAFEGNSFLCGQPLSLCSSSSSSPISLPSPAKHKKLSTGAIIGIAIGGLFVLILLAIFFVCCLKKKNDEDSVRSLKVKAVTAGKNEKSDDFGSGVQAAEKNKLVFLDGSGYNFDLEDLLRASAEVLGKGSYGTAYKAILDEETTVVVKRIREIGVAKKEFEQHMEFVGRIGKHPNIVPVCAYYFSKDEKLLVYEYMYSGSLSSLLHGNRGIGRTPLNWDSRVKISLESAKGIAHIHSEGGAKFNHGNIKSSNILLTKHLEGCVSDLGLAPLMNFLPTKSRFVGYYAPEVIETRKFTHKSDVYSFGVLLLEILTGKAPLPLPSSSQEEVVDLPRWVRSVVREEWTAEVFDVELMKEQHVEEEMVQMLQIGLACVTRVPDMRPSMEEVVRMISDLRPSESSDNRPSSEDNRSNVATP >cds-PLY90610.1 pep primary_assembly:Lsat_Salinas_v7:6:51038981:51040140:-1 gene:gene-LSAT_6X36761 transcript:rna-gnl|WGS:NBSK|LSAT_6X36761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDICQNIPVSGGLPTNYPPEQHLICSLDDLLSGHSMEEDDVNMEWLSIFVEDCLSSSGSCMPPAAKPQSTTSTAEGTNTSAEPQPKVNETYSISTHKILVPCKARSKRKRSQTCWSQQYLNSLQPPCWLAESELMIFPKKCTSPNSRGSGQEEGSSGQGQGRKCTHCLSQRTPQWRAGPLGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVSDKHSNSHRKVLEMRMSFLPSPSTNSSSSS >cds-PLY63671.1 pep primary_assembly:Lsat_Salinas_v7:4:132746467:132747465:1 gene:gene-LSAT_4X83001 transcript:rna-gnl|WGS:NBSK|LSAT_4X83001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHSSFSKHCCGSIPISHHRDKLDADVDAMVTKYGDDIDSHPPYDHELWVKVTGGVKKDEYLDLALFLMPSQAAPSTSTSPDNVEDFVDRIHEEINDESRAKREEIEAQIQEITKIYNDILKLTQGSKLPN >cds-PLY69528.1 pep primary_assembly:Lsat_Salinas_v7:7:118235408:118238433:-1 gene:gene-LSAT_7X73321 transcript:rna-gnl|WGS:NBSK|LSAT_7X73321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRFSSMLDGLSRTFSTSKRGTSSTECSGKEAAEAMAKDAKKNEMILRSSGTVNVKGSDNFASVCSRKGEKGVNQDCCIVWEEFGCQEDMMFCGVFDGHGPWGHYVAKRVCDSMTSSLLCNWQDMLVESSADDDLDLESDKKLDRFHLWQHSITKTCADVDHDLQQYRKIDSVYSGTTALVAVRQGDHLVIANVGDSRAVLATASDDGGLIPIQLTVDFKPNLPQEAERIVECNGRVFYLEDEPGVHRVWLPNEDSPGLAMSRAFGDYCIKNFGLISVPEVIQRNITSQDQFIVLASDGVWDVVSNEEAVEIVSSTSDKAKSAKCLVDRAVREWKRKRKGIAIDDISAICVFFHNNCSSSFVATPK >cds-PLY86315.1 pep primary_assembly:Lsat_Salinas_v7:9:34862801:34863900:1 gene:gene-LSAT_9X32940 transcript:rna-gnl|WGS:NBSK|LSAT_9X32940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLGMICSCSYKPSTPTSFHGDSLPSNPHTFNFNLPKLSAHPKLIHGSTTFRNRAPAIAAVSETIATETPLESEKLGVVVKPMEKPRLVLKFIWMEKNIGLALDQVIPGYGSIPVSPYYFWPRKDAWEELKVMLESKPWISQKQVIILLNQATDIINLWQQSGGDIQ >cds-PLY76295.1 pep primary_assembly:Lsat_Salinas_v7:7:124200248:124201424:-1 gene:gene-LSAT_7X75101 transcript:rna-gnl|WGS:NBSK|LSAT_7X75101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESLQKEIVLVEKVCEIYHKISKLENLKPSKDVDSLFTELVRTCIPPSSINISSLPANIQEIRSKLIRLCGEAEGHLEAHFSTILGSFPNPLHHLKEFPYYSNYLKLSRLEFDILTEHYSAFGQAPKRLAFVGSGPLPLTSIVLASYHLKDTIFHNYDIDSSANSMASHLVSSHPDLSQRMVFHTANIMDVTDELKGYDVIFLAALVGMDVDEKVKVIDHLAKYMAPGALLMLRSAHGARVFLYPVVEAQQLQGFEVLSVFHPNDDVINSVVISRKIADPVNIDNGDNHHHDHELGIESVMPLSCKYCEFQAFKNPLGQMKMIDN >cds-PLY85777.1 pep primary_assembly:Lsat_Salinas_v7:1:48381936:48383858:1 gene:gene-LSAT_1X44961 transcript:rna-gnl|WGS:NBSK|LSAT_1X44961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHFSASKIIVNKRAAEQQCLSILQICTTLLNLNQIHAQILKLGLQNNPLVLTKFTSTSSDLNAIDYASSILFSPDAKTHLYDTFLYNTVIRAYAQTHQSKITAVDIYKTMVWNDVTPNKFTYPFVLKACAGVGRLRLGESVHSKVVKFGFDEDIHVLNTMVHMYCSCGEVDNARVVFDEMPKSDSVSWSAMIGGYARMGRSTSAVELFREMQIAGVKPDEITMVSVLSACSDLGALELGKWVENYIEREKIPKSIELSNALIDMFTKCGDMDKALRLFKTLDHKTIVSWTSVIVGMAMHGRGLEAASLFEKMNLSGVPPDDVTFIGLLSACSHSGLVNEGQKYFDSMTSNFHIKPKIEHYGCMVDLFSRAGLVKEALEFVTKMPLDPNPIIWRTLTAACRLHGQLNLGETITKKLIEYEPLDQSNYVLLSNIYGKMSDWEKKKKIRDVMGEKGIKKIPGSTMIELDNKMYEFVSGDRTHDLDKEIHEMIDEMGWKMKMAGYVATTSEVLLDINEEDKEDALNRHSEKLAIAFALLKTPPGTRIRIVKNLRVCSDCHSATKFVSKIYNREIVVRDRNRFHHFRDGICSCKDFW >cds-PLY75036.1 pep primary_assembly:Lsat_Salinas_v7:1:50313612:50315176:-1 gene:gene-LSAT_1X45560 transcript:rna-gnl|WGS:NBSK|LSAT_1X45560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIKEFAHLRVSLEAIKLATNNFDSSNYISEGGFGKVYKGELVHFGEKVMVAAKRLNKKHGQGTPEFWKEIMMLSRYRHENLVNLLGFCDEGGENILVYEYLPNQSLDKYLSSSNLSWIQRLNICIGAACGLEYLQNPDETTQRVLHRDIKSSNILLDKSWNAKISDFGLSKLGPANQEFTFVITHAVGTPGYCDPLYGDTGFLTKESDVYSFGVVLFEVLCGRLSVANYNDNRRFLPKLAQSCYEEKKLQTIVLDCLQEQIFPDCLEIFSRIAYQCLRRDRNERPLVAEIVKQLKDALKCQVEYMVEKEKKFIFKASFYAEVNLHMHDKLEQISTNVKEDPIIRPGDMWDEELKTYDGTDPKKPVLMAIKGQIYDVSSSRMFYGAGGTYGEWSGKDASRAIAKLSFEEEDLNSDLTGLGKAELEALDDWEIMFRSKYVKVGSIKDLNKNLPAWIYQIFKEKSDYYKNSHRTRHIEPRFWENQVLLY >cds-PLY90867.1 pep primary_assembly:Lsat_Salinas_v7:9:162434916:162436780:-1 gene:gene-LSAT_9X101040 transcript:rna-gnl|WGS:NBSK|LSAT_9X101040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAISKPPLEQLFVKPCKPNNNNSPSFPTIPLIDLSKSESKQLLVKACQDFGFFKVVNHGVPMKLISKLESEAVKFFSSPLSVKQKAGPPNPFGYGNKLLGQNGDFGWVEYLLLNAKLDSDNKNPTLSFFEEHPEKFQSLVNDYVTAVKKMACDILELLADELKLQQRNVFSKLLMDEESDSVFRLNYYPPCPELQEHEPKGRKLIGFGEHTDPQIISVLRSNNTSGLEISLRDGSWMSVPPDSNSFFINVGDSLQVMTNGRFKSVKHRVVANSVKSRMSMIYFGGPPLSEKIAPLPSLMEREEASLYKEFTWFEYKKSAFNSRLSDNRLGRFEKKNLVVLS >cds-PLY70034.1 pep primary_assembly:Lsat_Salinas_v7:5:201429314:201431486:-1 gene:gene-LSAT_5X90860 transcript:rna-gnl|WGS:NBSK|LSAT_5X90860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSNVAAKFAFFPPYPPTYDVFKDEDDSTNGETKLVFTGITADRNVDVHLLDTKGGNKIVATFWKHPFGRFTLLYSHGNAADLGQMKELFLELRAHLRVNIMSYDYSGYGASTGKPSEFNTYYDIEAVYNCLKNNYGIKQEDMILYGQSVGSGPTLHLASRLQKLRGVVLHSAILSGIRVLYPVKMTFWFDIFKNIDKVQKVNCPVLVIHGTKDDIVDFSHGKRLWELAKDKYDPLWVQGGGHSNLESFPEYIKHMRKFINTMEKLSSKQNKQRVSSVPNIKQVKHNRCLRFGRR >cds-PLY73668.1 pep primary_assembly:Lsat_Salinas_v7:5:203996792:203997569:1 gene:gene-LSAT_5X92740 transcript:rna-gnl|WGS:NBSK|LSAT_5X92740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMARRGWKTKVSGSGNKTPKKTPNGKKHKSNAKEDVSLTCDEDFVDLFTHAPNGKQGMIQATEDVQEQEHDDNEVKKKRKPSERIRKLKLRKIVEDVDGGGSSKTPWVLE >cds-PLY63122.1 pep primary_assembly:Lsat_Salinas_v7:4:65129100:65131016:1 gene:gene-LSAT_4X45501 transcript:rna-gnl|WGS:NBSK|LSAT_4X45501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQTHGLPILFTLSPTIPSNKHLNSLRMRSSKIRADISLEVEEHPFPFPPNAMRRKLDSRWLGGFSLGIDLGLSRTGLAISKGFSVRPLKVLELRGQKLEKGLLAIAQEQEVDEFIIGLPVSSDGKETPQSNKVRSVAGRIAIWAAERGWRVYLQDEHGTSTDAMNRMINLGLNKSDRKQSLDAYAAMMVLERYFSESGERVELVLPKQLELQEKLRKGPQSESDDDVDFF >cds-PLY74320.1 pep primary_assembly:Lsat_Salinas_v7:9:187608844:187611179:1 gene:gene-LSAT_9X114940 transcript:rna-gnl|WGS:NBSK|LSAT_9X114940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVILTYFLLLFIPQATSITFNFTNIGRQHLSNEINIMGDGFISNEGIQVTADGNGTTKFYREGRATYIQPLHLWDIASGGLASFTTYFSFVIDSDSNAYYGDGLTFFLAENNSVISAGSSMGLPINGLEDSKNPFVAVEFDTFANPEWDPRNSSNDLIGDHVGISINSLKSVASQKWLSNIVGGGVCEAWITYDSLSKNLSVSFTGFQNNTIVRQAFYYIVDLKAVLPEWVIFGFSAATGNAFQKNTVKSWVFNSSDLQMDANNVMPPTSGLNPVKGKNKTVLVVSLVVGLSVLITFLALLAYYAWRKKKRREEEQGFDVVMNNEFEMNTGPKKYSYRELAGSTNNFAEDRKLGEGGFGGVYRGFLKKSGTHVAVKRVSKGSKQGIKEYASEVKIISRLRHRNLVQLTGWCHEKGELLLVYEFMENGSLDLHLFKEKTLLKWGTRYKIAHGLASALLYLHEEWEQCVLHRDIKASNVMLDTNFNAKLGDFGLAKLVDHEKGSQTTMLAGTLGYMAPECVVTGKATRESDVFSFGVVALEIACGRKPIMYMAQENQIQLVEWVWEIYGARTLLEAVDQRLGSEYEEEEIKRLMIVGLWCAHPDPDLRPSMRHVIQVLNSEDSLPILPSKMPVASYLS >cds-PLY91827.1 pep primary_assembly:Lsat_Salinas_v7:6:32579194:32581673:1 gene:gene-LSAT_6X26521 transcript:rna-gnl|WGS:NBSK|LSAT_6X26521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTSNHLIGLLNFLTFLLSIPILAGGIWLSTRANNSDCMSFLQWPIIVIGVSIMVVSLAGFAGACYRNTFLMYLYLWAMFVIIAVLIGFIIFAYAVTDKGSGRPVMNRVYPDYYLQDYSGWLKDRVASDSYWGKIRSCIHDSKACAKTGRIIGGYPETADMYYLRKLNPIQSGCCKPPTECGYIYINETVWNPVNAATMATNLDCNRWTNDQEQLCYNCNSCKAGVLASLKKSWRKVSVINIVVLIILVIAYVIACAAFRNNKRIDNDEPYGATRMEKSRPTRIHF >cds-PLY68164.1 pep primary_assembly:Lsat_Salinas_v7:7:71032330:71033827:1 gene:gene-LSAT_7X51141 transcript:rna-gnl|WGS:NBSK|LSAT_7X51141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTTITHSNLQLSPQSTGVAFSDSTTPPSTQTAIATAAEYIISRLYIFPVDLHKCLKYVLISSCELKTEYVAMKLL >cds-PLY66028.1 pep primary_assembly:Lsat_Salinas_v7:1:123471807:123472133:1 gene:gene-LSAT_1X94800 transcript:rna-gnl|WGS:NBSK|LSAT_1X94800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKTITKGEDLTRSLFVSGKTASNTTVSRKKIAHPHQWIIPFRRKQRHQQKMNHCQKVSKSLDGLNDSTKDETNKTGKGSIRFIGGTGGEGGFIVGDFRPEEQGYEIA >cds-PLY82006.1 pep primary_assembly:Lsat_Salinas_v7:9:157805958:157808642:-1 gene:gene-LSAT_9X99580 transcript:rna-gnl|WGS:NBSK|LSAT_9X99580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYLRLSYAPAETSLFSPSALSFLVHRRKCCRRRLFSTFQCSASAKPKKEKVIVISGPTGAGKSRLALELAKKLNGEIISADSVQVYQGLDVGSAKPSTSERQEVPHHLIDILHPAEDYSAGNFYDDGRQATKDILNRGHVPIVTGGTGLYLRWFIYGKPDVPKATPEITLEVQSLLSDFVKNYDWDSAVKFVAKSGDPTAESLPSNDWYRLHRKLEIIKSTGLPPSAFPVPYDSFKGQKGSKSTNLDLDLDISTNLDEKEKEKEKDLDYEFICFFLSTSRTDLYRSIDFRCEDMISGGNGILSEAKWLLDLGLEPNSNSATRAIGYRQAMEYLLKCEEQGGRSSTREFYAFLSEFQKASRNFAKRQLTWFRNEPIYHWIDASRPLEEVLNFIYNSYHDETKKLIVPKSLAMKKDMSDRREISQLKTYRTERGVFINQEDCSDVLNWISQSQSQSQSRKTELIAN >cds-PLY88518.1 pep primary_assembly:Lsat_Salinas_v7:2:155040225:155040972:1 gene:gene-LSAT_2X79501 transcript:rna-gnl|WGS:NBSK|LSAT_2X79501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSSIVATVSRTTATQASLAAPLTGLKSNVTFPVTKKANNDFSSLPSNGGRVQCMKVWPPIGLKKYETLSYLPPLSDAALSKEIDYLLRNKWVPCLEFELEHGFVYREHHHSPGYYDGRYWTMWKLPMFGCTDSAQVMKEVEECKKEYPNAFIRVIGFDNVRQVQCISFIVSKPPGVL >cds-PLY91400.1 pep primary_assembly:Lsat_Salinas_v7:3:56481457:56483473:1 gene:gene-LSAT_3X43481 transcript:rna-gnl|WGS:NBSK|LSAT_3X43481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLTPSRVLHRRDSAANRQKRASQVVKSSGFVLFSAYSDWWNLDISVVVAMALHVDHKATIIDGKAIAQTIRSEIASEVSTLIEKYGKAPGLAVVIVGHRKDSQSYVSMKRKACCEVGIKSIDIDLPEQVPEAELIAKVHELNADPNVHGILVQLPLPKHINEEKVLTEISIDKDGCIELLKRSGISIKGKRAVVVGRSNIVGLPVSLLLLKEDATVTVVHSRTEDPESMIREADIVIAAAGQPMMIKGSWIKSGAAVIDVGTNAVDDASRKSGYRLVGDVDFDQACKVAGWVTPVPGGVGPMTVAMLLKNTLDGAKRVIGQ >cds-PLY82252.1 pep primary_assembly:Lsat_Salinas_v7:1:68443715:68444457:1 gene:gene-LSAT_1X60240 transcript:rna-gnl|WGS:NBSK|LSAT_1X60240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLHKQKYTILTLFLFLFLLHGESQKFSRNLSSKTLGFKKQKLSHLHFYFHDIVSGDQATAFRVAQSAITNTSSTGFGFMAMMDNPLTVSPERTSKMVGRAQGFYASADLRESALLMVMNYVFSEGKYNGSTLSILGRNEVFTTVREMPIVGGSGIFRFACGYVLAKTQFFNITNGDTVVEYDVYVQHY >cds-PLY62308.1 pep primary_assembly:Lsat_Salinas_v7:5:165915038:165916138:1 gene:gene-LSAT_5X73280 transcript:rna-gnl|WGS:NBSK|LSAT_5X73280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTMNLVQASQDLNVLVVMESKLFLPFSCILFLASFMLPVLSHVQDTHLRPNGSSGNNTNPSPFGFLKAMQGCRKGDTVKGIRDLKLYLARFGYLNYQKNPNVTDLEKDHFDEELEVAIKSYQVYYHLNATGTLDGPTVSQMVMPRCGCPDKVIHKHTDHNSLPKVSLYKFFPGTPKWNRGHLTYAFGPRFPTQFMSAVDCAFGKWATASSGYFTFSRAGSYEGADLKISFQRGDHGDGSPFTSQVLAHAFSPSDGRFHYNADHNWAIGAVQGAFDVESLALHEIGHLLGLGHSEFQTSIMWTSFAPGVTKGLTTDDIKGLRTLYGI >cds-PLY98173.1 pep primary_assembly:Lsat_Salinas_v7:1:139075457:139075843:-1 gene:gene-LSAT_1X99220 transcript:rna-gnl|WGS:NBSK|LSAT_1X99220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQAVLADATQKQIKERAVQLWVNDLQHLAYDIDDVLDDLVTEALRRKLNQEAHPNTSTSKVLKLVPKCCTNFTPRNIMYGQQMSSKLEEITIKLHDLVDQKNDLGLNVNVESSNITERRLEQTFTGR >cds-PLY79017.1 pep primary_assembly:Lsat_Salinas_v7:3:6963029:6965479:1 gene:gene-LSAT_3X5101 transcript:rna-gnl|WGS:NBSK|LSAT_3X5101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLNKLKDFKEMIDFLFKIYSCLKLTIDDWINLAQNQFPRNQEILKYVKRRNAEFNKVHKNLVLFSGPQVNQHVKVSKELSNVGKQNEDVQVSMELRDVQLDQRLMTQILWFKNSWREKVYESANGFVVIRSTMESLIPGSRIHKDVLDLWSSFLNDLEKYKGHTTPLRFFVSCSLMKTMFKQYLTITDHPRVNSFKIMKMDKFEMEWQTKNNDVDSGLLMRRMEVYHSGGVEKIDVDILPECTSQKM >cds-PLY87250.1 pep primary_assembly:Lsat_Salinas_v7:1:51355318:51355896:1 gene:gene-LSAT_1X42401 transcript:rna-gnl|WGS:NBSK|LSAT_1X42401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVITSEFEIASSLPASKFFNAYRDFNNIAPKVDPETYKTLVDIEGDGGAGTIRDISFGDGVPFTNGKLKLDVVDSNNFSIIYTIFEGDILMGQLDSMTHHVKFIPSPDGGCVYKPTVVYNCKGETQLPEEALNMVKEGFKKTFNAIEGFIHANPQTY >cds-PLY84939.1 pep primary_assembly:Lsat_Salinas_v7:6:14997209:14998047:1 gene:gene-LSAT_6X11060 transcript:rna-gnl|WGS:NBSK|LSAT_6X11060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGASNLTKLIKPTKRLQATDIQAAAGWGVVALTGAIWVVQPFDWVRKTFFEKPEPEN >cds-PLY66248.1 pep primary_assembly:Lsat_Salinas_v7:5:142052471:142055684:-1 gene:gene-LSAT_5X62961 transcript:rna-gnl|WGS:NBSK|LSAT_5X62961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLVNYSRNLISWKVDEDCSFLEESSKSNISKVPLKKEKGQISMVPLFQSQESIAGVVFIDGFISVDAMQGKKVEHNVIKIELLGQIDKLSAFLTRPTILGIVVDPCAAVLVFIITGLLCTGIKESSLAQGIITTINVVALLFIIVVGGYIGFKTQWVDTKFQAGNMYFPYGANGVLAGSATVFFSYDGFDAVTSTAEEVTLVIVIVLSNGYNCNFHIVYITREES >cds-PLY75855.1 pep primary_assembly:Lsat_Salinas_v7:9:195398578:195401341:1 gene:gene-LSAT_9X120321 transcript:rna-gnl|WGS:NBSK|LSAT_9X120321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRAYLSAKNKTPVVKKTLQNTVALVAESHVMNNESEEPDDATPLCMVTVNPSTSTTIKRGRQADSEIGKSGYGEMLSHPNALSQIIAGVIKEITKDAGGLTNLSRSLVGNSISNGQTKQPHADMDHEENQSQHHQNPGYKQEELNAALKVIKRTMKLNAAEPFNKPVDPISLGIPDYFDIIKTPMDFGTICNNLENGVKYMNSGDVFKDVEYIWSNCVEYNKKGDVILELMKRVKTYFMKYWKAAKLQIEQTAPVVESWVLRHKKEEKDNPQTSVANNSAHLQQKEAGQTGQPQQSSNLPQSSSSTEQDEPNPDSVITPKKHRGPTRCLALFNTMERIKITTNELGQPVGPGAAQLTTFVGVIARDVNFAPLTYNWKKIPPENKENMWQKVLVGYNPKKPFHIFLNSFGNFK >cds-PLY86706.1 pep primary_assembly:Lsat_Salinas_v7:4:8193186:8197484:-1 gene:gene-LSAT_4X5181 transcript:rna-gnl|WGS:NBSK|LSAT_4X5181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMNLKKLVPISDQFPYFTFPRKKVVSRFGLGVVSFSIVICVLFFNVSFKNPISNNFVFQGLNANNKTSLIPWSFSFSRTPALNVTVSLESNPGKLIIDSGDALPSNVTRDTKIESAGKVLDLKGTQLDLKNGSFNGNPENNFELEGTHFNNFTNVVKNGSSEKVLDLKGIQSGNFTEGVKNGSLDGNSEKKFNFEGTLSGNFTKDAKNGSFDAITVKPFEGKVSIGGKCDIFNGRWVRDDTKPLYPAGSCPFIDRDFDCHLNKRPDDEYVKWKWQPFECEIPSLNATDFLERLKGKKLVFVGDSLNRNMWESLVCILRESVMDKNRVYEISGKTEFKKKGFYAFRFEASDYNCTIDFVSSPFLVQESIFNGKNGSIETLRLDLMDKTTSMYHDADVLVFNTGHWWTHEKTSQGEDYYQEGDHIYPRLKVLDAYRRALATWARWVDTNIDNNKTQVIFRGYSVTHFKGGQWNSGGKCHKETQPIFNTSHLTKYPSKMRAFDNVLKEMKTPIVYLNISRLTDYRKDGHPSIYRMSYNSIEEQIAAEHSQDCSHWCLPGVPDTWNELLYASLLKAGRGSWKF >cds-PLY72541.1 pep primary_assembly:Lsat_Salinas_v7:2:142669738:142671776:1 gene:gene-LSAT_2X70320 transcript:rna-gnl|WGS:NBSK|LSAT_2X70320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NIC1 [Source:Projected from Arabidopsis thaliana (AT2G22570) UniProtKB/TrEMBL;Acc:A0A178VQ19] MASRKAIDLVKNELPVDEESVVFSGDLRTGLVLVDIVNGFCTVGAGNLAPRVPDKQISGMVDESIQLAKVFCEKKWPVFAFLDSHHPDVLEPPYPPHCIIGTDESNLVPGLQWLENESNVTLRRKDCIDGFLGSFENDGSNVFVDWVKTHQIEVIVVVGICTDVCVLDFVCSTLSARNRGYLKPLKDVIVYSGGCATFDLPLHVAKDIPGALAHPQELMHHVGLYMAKSRGAIVVSEVSFTPSKK >cds-PLY77266.1 pep primary_assembly:Lsat_Salinas_v7:3:57185721:57193977:-1 gene:gene-LSAT_3X44840 transcript:rna-gnl|WGS:NBSK|LSAT_3X44840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVYRFPKILCGKLGMSSYHSTSPAIDIPKGCINVYVGEGTNKRFIIPITYLKHPSFQTLLKLSEEEFGYDHPMGGLTLPCKEETFIELTHDIYRIPTYHSTSTAIDVPKGCITVYVGECTKTNKRFIVPLAYLKHPSFQTLLKLSEEEFGYVHPMGGLTLPCKEETFIKVTHDIAEFGFQKTCNPSMECLIHPQPSLMYQKAISRKKRFIVPLDYLRHPSFQTLLKLSEEEFGYDHPMGGLTLPCKEETFIELTHNILLTL >cds-PLY92515.1 pep primary_assembly:Lsat_Salinas_v7:4:322865602:322867399:1 gene:gene-LSAT_4X160500 transcript:rna-gnl|WGS:NBSK|LSAT_4X160500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDRLSDVKLQPTKDKVVKANEVLAAGHQPRPIMETIIVTLLYLALHSEKIELEGDLGYIYLDLNCRQGKYPGCAHFAIRGGRTISKTEYQLPVIVVVCNFSKPHNSSIVRLNHSDVDTLFHEFGHALHSLLS >cds-PLY73573.1 pep primary_assembly:Lsat_Salinas_v7:4:321151217:321152139:1 gene:gene-LSAT_4X160260 transcript:rna-gnl|WGS:NBSK|LSAT_4X160260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDFPTKLPCSVVELKLCSPALMFQLEGKMIGVLPTAAHDTLFLGNLNKGFSLFPLILLCPHGSETASKKFKNHSFAFVKFSSHVGWMKAKFCTTGELPNIQFLKTLPPNRVLRSV >cds-PLY85336.1 pep primary_assembly:Lsat_Salinas_v7:MU040991.1:661484:663705:1 gene:gene-LSAT_0X26720 transcript:rna-gnl|WGS:NBSK|LSAT_0X26720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAIVEQVRDGSSLLVYLLPEFQFVQAPSMGRSTTQEPTIPIEVPSGKTNGENNNSDSRGPLRSAQRISASSSFNEVSPDPFGREAKHFTEIHVLNRDVKLMEERNMKLLDLNLAALSARCSKDLGLNLAKSLLSEMGQCTIAYPYNQLFGALVLKNYERQDATLVSWNLIYIVH >cds-PLY79986.1 pep primary_assembly:Lsat_Salinas_v7:9:45625995:45627890:-1 gene:gene-LSAT_9X42081 transcript:rna-gnl|WGS:NBSK|LSAT_9X42081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEKIASNYQQGFEVSGDFASEDPSKFLDDDGRPKRTGTLVSASAHIITAVIGSGVLALAWATAQLGWVAGPTVLFLFSFVTYYCSCLLASCYRTGDPVTGKRNYTYSEAVRANLGGFKFKICGFIQYFNLTGTTIGYTIAASISMMAIKRSSCFHEKGHDSSCGINGTPFMVLFGAVEILLSQIPNFHEISWLSMVAAVMSFTYSAIGLGLGIAKFADNGKIKGSLGGISVGEVTQTQKIWRSFQAFGAIAFAYSYSTVLIEIQDTIKSPPAEQKTMKRAALISVITTTIFYMLCGCFGYAAFGDHAPGNLLTGFGFYDPFWLVDIANVAIIVHLVGAYQVFAQPIFAFVEKTAREYFPESEFITKDIHIPIPGSKPYKLNLFRLIWRSMFVCMTTMIAMLMPFFEDVVGILGACGFWPLTVYFPIEMYITQKKIPKWSSKWIALQTLSVVCLTISVCAAAGSIVGVINDLKVYKPFKTMH >cds-PLY90550.1 pep primary_assembly:Lsat_Salinas_v7:6:52210830:52212245:-1 gene:gene-LSAT_6X38381 transcript:rna-gnl|WGS:NBSK|LSAT_6X38381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLVKVAVGDGVLTFMWVFCASCLSAGTSIIAKAIGVQGIAKLLITISLVFILLFIFGIIGTALGGASFNPTGTAAFYAVGHGGDTLISAAVRFPAQAVGGVGGALAIVRCMPLEYKHMLGGPYLKVDIHTGAIVEGMLTFMSSILVLYIILRGPKSWLLKNWLLSASIVILAAFGRSYTGPSLNPANAFGWAYVNNHYNTRKHFIVYWVSPFIGAISAGWIFRYIFPPPSKQKVA >cds-PLY80713.1 pep primary_assembly:Lsat_Salinas_v7:3:167056182:167061664:1 gene:gene-LSAT_3X104360 transcript:rna-gnl|WGS:NBSK|LSAT_3X104360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein POLLEN DEFECTIVE IN GUIDANCE 1 [Source:Projected from Arabidopsis thaliana (AT1G67960) UniProtKB/Swiss-Prot;Acc:F4HVJ3] MSDLRSGGRKLSFDVLTTSDSFFDDETFLLRSVSAPPINQGEGVAITKSNRRKRKHKGSKKKKKKDGDELDLNNDGRSNGFLINSNCHSGETMTTVVYEEAFLPAEEKSVYPVTSSVRETPELRQRSSVCGVGVGTSGEEAMSMYRIEENVKEVDDSSRTGSLSGKEFDTELMMKQTAEMNVNGHHVGRKLEKDQSLDWKRLMAEKDPNHKFLLERSPVKYLLEEMNGGNSLRMTTTLANEKDRERVYDTIFRMPWRCELLIDVGFFVCLDSFLSLLTIMPIRLLTSSWRLLKTRQLKSLSAADLSDFGCFLMLASGIILLQQTDISLIYHMIRGQGTIKLYVVYNVLEIFDKLCQNFGGDVLQTLFNTADGFANCSPENMRYWLWRFISDEALAVMSSIVHSFILLAQAITLSTCIVAHNNALFALLVSNNFSEIKSNVFKRFNKDNIQSLVYFDSVERFHIASFLIFVLAQNILEAEGPWFENFLYNAFVVYICELMIDIIKHCFIAKFNEVKPIVYSEFLEDLCKQTLNIQPDNPKKTLTFVPLAPASVVIRVLSPVYAAHIPCGPMVWRVVWIFLLSVMTFVMLTSLKLIIGMGLRKHATWYIKRCQGRKLHSD >cds-PLY92047.1 pep primary_assembly:Lsat_Salinas_v7:5:323276894:323278838:-1 gene:gene-LSAT_5X177961 transcript:rna-gnl|WGS:NBSK|LSAT_5X177961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGSWVPYKFEGIGMEDSINSNSFDKFRSLLCNKKMFQKPNRTCGLEKAKKKLPRIKFFGTLLVPLNLKYLTLSNYCLQSTDYKLTKHGNEIQVYSKLRHQEREMPHGGGLWWIEMLETRGEYKQGAVFKKPLRLAVMLEQASYCCYLLAKPIILRNGQQGYFEKNFNFLHKHHMPSAIVLVNVLCMQPGTQHVYDFPRIMYNIHLKKLMNAYSDRHESDLFEK >cds-PLY62736.1 pep primary_assembly:Lsat_Salinas_v7:8:48721173:48725483:-1 gene:gene-LSAT_8X36680 transcript:rna-gnl|WGS:NBSK|LSAT_8X36680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTIGDIYVHNEKDLYSINVLQGSMDHESTEIIDVYMEPLYETFFCPLTNKIMDDPVTIETGITYERDAITEWCKKFGDPADIICPKTGVKITNQVFNRNIALMETIKKWEERNEQAIIRAAKSTLSLVSNKTMILEALHNLQTLCRKKQYNVVEIRTIGVIPLLGRILGHEDKDVIFETLELLKKLAENVDDDDDDDGKEMIVKTMDLSVIIQNLSSEVECIRHAALLLLVDLSKSGDFCDKFGSVTGGFLMLITLKYRQPIDELALEKIDEILKNLERSSSNIKCMAENGHWQPLLHHFLEGDEETKMEMASFIGEIFLGNDDDNNTYVAETASHALIEMVFQGNSLARNVAFKALKQISSHHENGKILVKSGAITNMLHEMFKRTIYNEPTNSKAEAAGILANILESGEVDLTDLQVDHTMSLDYIIYNFVKGVSNSTPDELSINFVRILLCLMKFPKTSDIIVSLVKESDLCTNLIELLNNPSAKLQISSILFSISLSPFFGHTLAERLCKTRGQPQNLLKDLPRTMPPTKKQAVSVKFLATLPHENLTLNLALLNMDIVPLILTEIDRVQKSGMRINKYGSDYFEGLVGILVRFTSTLYEQQFLILAMNFSFTRTFTELLMNTSDEVQRLSAIGLQNLSSKTVSLSKPTDIKEHKLRKFSFLQKCFPFNSRKLKTTPMYMCPIHKGACSSQETFCLLEANAIQKLLTCFDHKNVDVVEAALSAICTLLDERVDLETSVSILIHEKAIQHVLNVVKEHKDESLRQKSFWILEKMLMKGDDNSTSEISQDRFFRAMLITTLHHGHADIKLMAERILRHLNTRPNFNTNFTM >cds-PLY87433.1 pep primary_assembly:Lsat_Salinas_v7:2:134162735:134163367:1 gene:gene-LSAT_2X63781 transcript:rna-gnl|WGS:NBSK|LSAT_2X63781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEEKKAAVAEKAPAEKKPKAGKKLPKEAGAGAADKKKKRSKKNVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLASESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >cds-PLY63081.1 pep primary_assembly:Lsat_Salinas_v7:8:73607624:73610082:1 gene:gene-LSAT_8X52741 transcript:rna-gnl|WGS:NBSK|LSAT_8X52741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQFPERPLFGGAVSTTFPLRFEDVSNIREVPDHQEVFVDPARDESLIFELLELKHDVEDNGSATWFLQDLAREQGAEGNIVTEQSAVFEAPELQFRNLPAVITTANAQMAISKARQGREAQNLVKVYLANLRLKGVGTDVVITAYEPVFINPLSESASSVGAGLTVPAAQSGRTAMVEVFKQAVSSFRINDWNLFGADAV >cds-PLY69993.1 pep primary_assembly:Lsat_Salinas_v7:8:63365280:63367639:1 gene:gene-LSAT_8X45641 transcript:rna-gnl|WGS:NBSK|LSAT_8X45641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT2G01650) UniProtKB/Swiss-Prot;Acc:Q9ZU93] MGDMKDKMKGFMKKFNNSLSSSSSPGKFKGQGRVLGSSSSSNPSGQVNSNPNRPTTTIQDPKPIPPPRPSPSTSNISPKTPAISEQPTKSTDGFDPFDSLITTGKRNKNGYDLKVFECPVCGRAFGSEEEVSDHVESCLSKNEAESQSTTDNPQEKDETRSELEVCVSTYLSGKPSDASVEIVLKLLKNIVREPENVKFRRIRLGNPKIKEAIADVPGGLDLLECVGFELKEESEEMWATMEAASNEKIKLIKQTVYLLEPQKIETLTSTAAQTKVVEPEEVKTVERQIRVFFSVSESVAAKIELPDSFYKLSIEEVRKEAELRRKKLAESQLLVPKSFKEKQAKAARKRHQKTLIRIQFPDGVVLQAFFNPKEPTSSLYEFVSSSLKDPSLEFELLHPVLIKRRVIPNIGEKVITLEEEDLVPSALIKFRPKETDSVVFTGLCNELLEIMEPLVSESAVASSQ >cds-PLY88695.1 pep primary_assembly:Lsat_Salinas_v7:5:67918582:67919558:-1 gene:gene-LSAT_5X32380 transcript:rna-gnl|WGS:NBSK|LSAT_5X32380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWLGSTSDILSTNGVNTSLSYLCRREFTIECNWKVFCDNYLDGGYHVPFAHKDLASVFRYRPWMNTNLVLPLGPRRWKVTFDYFLDASLKDDEAFVTGSLKDSEQVQMEDVTLCESVQRVLESPAYGSGRYTPMVEKAMHHFHCLLHQDLIN >cds-PLY65978.1 pep primary_assembly:Lsat_Salinas_v7:4:141176983:141177519:1 gene:gene-LSAT_4X87940 transcript:rna-gnl|WGS:NBSK|LSAT_4X87940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSSPKRASSPNQISDRWGPPLISIHRGCVLTSTSVPFSIDHRNASTDISVASSSSREKNQSVNHRNGNKEWSNRQKKTCMCSPTTHPGSFRCSLHKNVSARNGKNMNSELSYQSHRFYSRRSEMTNSLVRIGMVEGDLVKRALASLIRPSSHQQRRRCDFQPTPSRLSVMSKAGD >cds-PLY65937.1 pep primary_assembly:Lsat_Salinas_v7:4:136380562:136382282:-1 gene:gene-LSAT_4X84360 transcript:rna-gnl|WGS:NBSK|LSAT_4X84360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTMVDQPRERKIYTETESVSMQNDNGSFQDEPRKILQMRALLEKHDPTSKEYDDLTIRRFLRARDLDIDKACAMFLKYVKWRKTFAPEGSICVSEVQNEIAQNKMFMQGSDKNGRPITVVFGGRHFCNKKGGLEEFKRFVVFGLDKLCSRIPQGQEKFVVIGDLQGWGYTCTDIRGYLAALSILQDYYPERLGKLFIVHVPYVFMTVWKMVYPFIDEKTKKKIVFVENKQLKSTLMKDIDENQIPEIYGGNLKLVPIQDS >cds-PLY64725.1 pep primary_assembly:Lsat_Salinas_v7:5:21495002:21496925:1 gene:gene-LSAT_5X11261 transcript:rna-gnl|WGS:NBSK|LSAT_5X11261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSDIQHPVTFTFGILGNIVSFMVYLAPAPTFYSIVKRKSTQGFLSVPYVVALFSSMIWIYYATLKTDATLLITINAVGCIIETLYTAIFIAYAPKTIKIQTIKLVVLLNFVAFWVIALSTHFLAEGPTRVEILGWICMVISVSVYAAPLSIMKKVIQTKSVEFMPFWLSFYLALSAVMWFFYGLLQKDIYVALPNIIGFVLGIIQMVLYLVYKNYNTKNINFEKNLPTSVSTLERHHNCDMPKHKEKETNTKDQTVTVKCSKEQSNIEVKVDEPLEFCTLPKIINDLIDNTMKEGTMLETSTSCTSIVQQINVLEDHNQTKSMDAPHQAYLVESAT >cds-PLY88353.1 pep primary_assembly:Lsat_Salinas_v7:9:17975832:17977793:1 gene:gene-LSAT_9X15480 transcript:rna-gnl|WGS:NBSK|LSAT_9X15480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional bis(5'-adenosyl)-triphosphatase/adenylylsulfatase FHIT [Source:Projected from Arabidopsis thaliana (AT5G58240) UniProtKB/Swiss-Prot;Acc:F4KEV7] MERLPSLLSPLSSFRSSVALRPATAARFHIARASFASSTQMEAEHYKFGPYKIDQKEVFYSTDLSYALVNLRPLLPAHVLVCPKREVKRFVDLTTEETSDLWISAQKIGRQLEKYHKASSLTFAIQDGPQAGQTVPHVHIHIVPRKGGDFENNDEIYDAIDEKEKELKKTLDLDKERKDRSMEEMAKEADEYRKLIF >cds-PLY75580.1 pep primary_assembly:Lsat_Salinas_v7:9:33323541:33324983:1 gene:gene-LSAT_9X31040 transcript:rna-gnl|WGS:NBSK|LSAT_9X31040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTFVCKSSGGKWTAKQLKGDLEGSADSTYALQRSLVQAAYSCDSSGGIQSSFSYVLPDSAVFQVIIGGGGGGGSFGGGAAAASSAPAGGAAAAEAPAAEEKKEEKEESDDDMGFSLFD >cds-PLY92581.1 pep primary_assembly:Lsat_Salinas_v7:7:163422334:163424331:-1 gene:gene-LSAT_7X96181 transcript:rna-gnl|WGS:NBSK|LSAT_7X96181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQASDYNRSAISNAFYCQSMQEFDSFCKPQAQCLNDLSRGTNYLAKSEPYCTLESSSANGSCTTMYYSPSTLSSSQNEPHSPDVSNFRYKLRQLETVMLGDSDFEDDAGNGRSFTGGVVDLPDLEIWKEMMVGFPRRDLKQVLIACAKSVSNNDFSKAQLLISELRQMVSVAGEPIQRLGAYMLEGLVARLSSSGSTIYRSDPPLNTHTLYEICPYFKFGYMSANGAIAEAMKDEKHVHIIDFHIAHGTQWVPLIQAFAKRPGGPPHIRITGFHNSTSELGPVGKRLCKLAKAYNVPFEFHTESGPENFQARPGESLAVNFAFVLHQMPDESVSIRNHRDRVLRLVKSMKPKVVTLVEQESNTNTAPFYPRFLEALDYYNAMFESIDITLPRHHKERINIEQHCLARDVVNIIACEGGERVERHELLGKWKLRFGMAGFSPSPMSPLVNQTIKRLLKNYSERYRLEERDGALYLGWMNRDLVASCAWK >cds-PLY95995.1 pep primary_assembly:Lsat_Salinas_v7:9:41944429:41944749:-1 gene:gene-LSAT_9X38040 transcript:rna-gnl|WGS:NBSK|LSAT_9X38040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSYFTDEKAAKVENIFLEFLKSFRLDANSREPLYESEIEAMNETKRVQHNVHRFLSCYAVQRCSAESHF >cds-PLY75470.1 pep primary_assembly:Lsat_Salinas_v7:7:72358786:72359142:1 gene:gene-LSAT_7X52540 transcript:rna-gnl|WGS:NBSK|LSAT_7X52540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVATVGVIFVVTGAIEMVLEAEVAITTFVAAVVAIWIVLLLAIVVGEMAEVMSAAAETLIKMTIIVRMTTVVVVTNLMESTLVEVVAVGRTELLADTWRCDIGGRILTGKHDERE >cds-PLY92828.1 pep primary_assembly:Lsat_Salinas_v7:2:2778179:2786316:-1 gene:gene-LSAT_2X1961 transcript:rna-gnl|WGS:NBSK|LSAT_2X1961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQFDALFRRADLDHDGRISGAEAVAFFQGSNLPKPVLAQIWQHADQNRTGFLGRQEFYNALKLVTVAQSKRELTPDIVKAALYGPASAKIPPPQINLSALPPSQPNPMTPPQRPPTQQPGIVNSIPPSQPNSMAAPSPPVQQPGIINSIPPMTQPQRPPPTQQPGIVNSIPPSQLNSMAPSPSVQQPGISNSIPPQAFGIRGQVPPNSSVAPPSSVQYFPSQNQSVRPNPSVGGGPSLLPGGGLSGLAGPGVPTPRPSLGSGNISDAMFGGDVFSASKSLSNPTQTATSEPQGPVKIDPLGALTAFTRQPGPRPSQPGPTQTQNNSFGSSGFPAEVKTQGPNVLSQPQWPKMTRAGVNKYMKVFMEVDSDRDGKITGDQARNLFTSWKLPREILKQVWDLSDQDNDSMLSLREFCIALYLMERYREGHNLPPTLPSNVLLDETLLSLTGPPNPSFRPPNWGPTSGPPPQQVMPGGQPMPPHTGLMRPPMTGPQPLLFNNNSNNNNNNNQQKGPNHSMDTSHANNLTNGDQHTTRSTNEPEKVVEDKKLILDSREKMTFFRNKMQDLVLYKSRCDNRLNEITERALADKREAESLAKKYEEKYKLVADVASKLTIEEASFRDIQERKMELNQALVKMEQGGSADGILQVRADRIQSDLEELLKALSERCKKHGISVKSTAIIELPQGWQPGVPEISAVWDEDWDKFEDEGFSFDVVGPTNPKSTSQPEKSSPMDNFSQDSYSNADVESEKPFETESAYEDDSAKSPAGSPTAQKIFESPTKEDSFSHFGKSFDADTDTQSGFDDQGWGTFDNNDDVDSVWGFNSEATKEPDHEKHGENYFFDSSSFTASPRRTDSPQSNTFFQKKSPFGFDDSVPGSPASRAGTSPRYSGGGAENSFFDNFSQYDSFSATDRGSPKRDTFSRFDSMSSTTQDNNNFSRFDSMSSTTQDRNFARFDSMSSSAGYDHGQAYSFDDSDPFGSSGPFKVSTDSQTGKNESDKWAF >cds-PLY94998.1 pep primary_assembly:Lsat_Salinas_v7:5:5361829:5362395:-1 gene:gene-LSAT_5X3180 transcript:rna-gnl|WGS:NBSK|LSAT_5X3180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific STIG1-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G50650) UniProtKB/Swiss-Prot;Acc:Q9C6P6] MVGATHIRINNLLVVVFLLYVGQGEGYEGNRTRVVVPWVKRVRSQVSGCRGRRWVCEEGGYSYPSRVRRRCCDNRCVEVTSDVNNCGLCGIRCPFNWQCCRGVCVDTNINPFNCGQCFNRCPFFVFCTYGLCGYAGSTPLKPPILFPPNPPKPPRGREQPEPPVIVEPPEFLMPPLYDAQPPQHDQPV >cds-PLY68606.1 pep primary_assembly:Lsat_Salinas_v7:5:93931:101961:1 gene:gene-LSAT_5X641 transcript:rna-gnl|WGS:NBSK|LSAT_5X641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGEDYEEEEEQMPLLQTSALKLTGYKNGLICGCLVYFSLFKTGVVYVITSGICMRAIRQSNCYHEEGHEGDCEYKNEYYMVAFGIVEILASQIPNIFHTKWISIIAAAMSLTYSCIGIGLGIAQVIGQGRIEGSMNGISTSNPSQKVWLVGEAIGDISFSFTYSLILLEIQSTLKSPPPQKETMKKVSTIGVLITASLYICCGASGYAAFGDSTPGNLLTGFGFYEPYWLVDFGNACIVLHLVGGYQIYSQTLFAIVEGWYAEKFQIITREMDVGIGSLRVNPFRLCFRTTYVVLTTTVAILFPYFNQVLAFSGSIIFWPLTIYFPVEMYFLHNRILPWTTTWILLRIYTIFCMLLTIFTFAASLQGLVSKRFGNVWTALAHIITGVIGSGVLSLAWSMAQLGWIAGPLSLLLFAFFTLVSASFICDIHLYANPNPNNGTTTMIMNRSYLQAVQTILGKKNGWVCGCLVYFSIFKTGVVYTITSAISMRAIRQSNCYHKEGHEAACEYEDTYYMLLFGIVEIAASQIPNIFHTKWLSVIAATMSFTYSFIGMGLGLAQVIGQGKIQGSINGISTTNPTQKVWLVAQALGDIAFSFTFSLILLEIQSTLKTPPSQKETMKKASSIAIFTTSFFYLCCGGCGYAAFGDSTPGNLLTGFGFYEPFWLIDFGNACIVLHLVGGYQIYSQTLFAIVERWYAEKFPESMLARERDVRVCLFITIKSVNPVRICFRTIYVILTMSVGMMFPYFNQVVAFAGSVIFWPLTIYFPVEMYFVHNKVVPWSLNWILLRIYTIFCLIVTLYILAGSIQGLVAKRFG >cds-PLY88760.1 pep primary_assembly:Lsat_Salinas_v7:4:167621347:167629131:-1 gene:gene-LSAT_4X99441 transcript:rna-gnl|WGS:NBSK|LSAT_4X99441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPWKPSFRKSVARSIGRSFSTAAGSWRIEDAFSAGAGGSHDGRTSRHSMEDEEALRWAALEKLPTYSRLRTTIFKSYIPADQQEMHSDQMLMDVRELDPVARQNFIDKIFSLPEEDNERFLRKFRERVHKVGISLPTVEVRFQNLSIEADCHVGDRALPTLTNAARNIVESLLAIVGINFSQKAKLQILKDVSGVIKPSRMALVLGPPSSGKTTLLLALAGRLDKNLKVDGEITYNGHKLNEFEPRRTAGYISQNDIHVGEMTVRETLDFSARCQGVGSRLEMLTELARREKEAGIIPDSEVDLFMKATAIEGDESSLITYYTLRILGLDVCRDTFVGDQMRRGISGGQKKRVTTGEMLVGPAKTLFMDEISTGLDSSTTFQIVKCLQQIVHLNESSILISLLQPAPETFDLFDDIILLSEGQIVYQGPRENVLEFFETCGFVCPERKGIADFLQEVTSKKDQQQYWANKGKPYRYVRVSEFTEKFKSFHVGEKLKSELAVPYDKSNSHKAALVFQKYLVPRMELLKASWDKEWLLMKRNSFIYVFKSIQIIFLSFIATTLYFRTTMHHRNEVDGAIYVGALLNSLLINMFNGLADLSLVIMRLPVVYKQRDLLFHPSWAFTIPAFLLRIPISMLESIIWSGILYFGVGMAPDASRFFKHLLLVFLIQNVAAGLFKLIAGVCKTMNIANTGGSIVLLLIFLLGGFLLPKTQIPNWWEWAYWISPLSYGFKAFAINEFLDPRWTNIRSSDNSTSMGYAVLKNLDIPTKESHYWIGAAALLGFTFLFNILFTFALMYLEAPGKPQAIISKEEAAAMKGQDTNQELKSLHADGNEVKPDAKAVAKKGMVLHFTPYAMSFDDMNYFVDMPKEMREQGVTEDRLHLLRDVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKNTGYIEGDIRISGFTKVQETFARISGYCEQTDIHSPTVTVHESLIYSAFLRLPKEVSKEEKMTFVLEVMELVELDKIKDAIVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFESFDELLLLKRGGKVIYAGPLGRHSQSIIDYFEEVPGVPKITEKYNPATWMLEVSSGAAELRLGIDFADYYSASPLKQRNKAMVKELSVPPPSAVDLHFETQYAQSMWGQFTSCLWKMWRSYWQNPDYNLVRFFFTLLCALLVGTVFWKVGNNKTSSNDLSTIIGAMYAAIFFVGINNSQTVQPVVATERTVFYRERAAGMYSSLPYAMAQESKFFWLFFINFFSFLYFTYYGMMTVSVTPNEQLAAIFAAGFYLLFNIFSGFYIPQPKIPGWWVWYYWICPMAWTVYGCIISQYHDVTNTIKVPGMETDPTLTWYIKDYYGFEMDFMGPVAAVLVGFCVLFAFLYASFLRTLNFQMR >cds-PLY86227.1 pep primary_assembly:Lsat_Salinas_v7:8:58039139:58041906:-1 gene:gene-LSAT_8X41680 transcript:rna-gnl|WGS:NBSK|LSAT_8X41680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLAASLIRSSLHRSSTRSPFTHSTRAARALSQSHPSPTGYFLNRVVNYATSAAAAPTKQAATTPGEGSNYGKITDEFTGAGAIGQVCQVIGAVVDVRFSEGLPPILTALEVLDNSIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDHRGDIKTDHYLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMMESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESIASFQGVLDGKYDDLSEQSFYMVGGIDEVIAKAEKIAKESAASS >cds-PLY66576.1 pep primary_assembly:Lsat_Salinas_v7:6:167183411:167186787:1 gene:gene-LSAT_6X102120 transcript:rna-gnl|WGS:NBSK|LSAT_6X102120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPLDMDLILNKIHEMSHDVESAMETANYDKFLQSGLQIAIIGRPNVGKSSLLNSWSKSERAIVTDIAGTTRDVVEANVTVHGIPVTLLDTAGIRETDDIVEKIGVERSEAVAMGADVVIMAISAVDGWTTEDAKLLDKIKNKGGSGSPMILAINKIDCGQFSCSELVNAIGQTFDKYIYTCAITGEGIKDLETAILGLVGLQNIPSGGRKWAVNQRQCEQLLRTKEALMRLKTSMEDELPFDFWTIDLRDAAIALGEITGEDISEEVLSNIFGKFCIDTYQMIDA >cds-PLY86944.1 pep primary_assembly:Lsat_Salinas_v7:5:255584666:255586696:1 gene:gene-LSAT_5X131560 transcript:rna-gnl|WGS:NBSK|LSAT_5X131560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALIAPPPAAEFFPKLIHRSKSVPCHLYTEQRRVIQTKTSIKTTHHHHLLKRHALRIVNAVVEGGGQARILLSDVVVKRPKEVYKGRKWNAKDLTTAGVVVAMHLLCGFAPFTFSWGALSVAVALYVVTGLLGITLSFHRNLSHKSFKLPKWLEYTFAYCGVHALQGNPIDWVSTHRYHHQYCDSEKDPHSPIEGFWFSHMGWLLDTDNITKRCGEPNNVGDLEKQPFYRFLRRTYVAHPVTLALVLYALGGFPFIVWGMGVRIVWVYHITWLVNSACHVWGQQAWDTGDLSRNNWWVGILAFGEGWHNNHHAFEYSAKHGLEWWQIDMTWYMIRFLEIIGVAADVKLPTQTHIQRMTFPPKT >cds-PLY83508.1 pep primary_assembly:Lsat_Salinas_v7:4:127606953:127609636:-1 gene:gene-LSAT_4X80460 transcript:rna-gnl|WGS:NBSK|LSAT_4X80460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTEEQNELVESAAEMLYGLIHVRYILTTRGMSAMLDTVRTKRHGNLIDEEIFEGSSRSGGAKNEGYQKGRKFYGQKDDNDLDDGGEACSGTGEGLAVGVGGKFDENIEYYSQGKRKKNKKLLFRDETSALDALQTLADLSLMIQSSKDSPVLKDDKLATGTNDNNAPGRPGSTSNRRHKTKVSVEKEKVGNEFPRGESSKSRREVKVDYKALSEGKQKRKNKSTSFEVFIEEEKPTDKLLHSTQCYT >cds-PLY71255.1 pep primary_assembly:Lsat_Salinas_v7:7:90760670:90763626:1 gene:gene-LSAT_7X62921 transcript:rna-gnl|WGS:NBSK|LSAT_7X62921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCSHELRVRFYCGSASRSTYCTYSSGTSFFLKFPAGLVVPEFEHPIVPIIKEHQTLAKLLNYTLGSICSLSKLSMKTQRYTLHGHWLQTSTATGRLSMEDPNLQCVEHMVEFKIDSNEKEGDDSDMELYKVNPRDFFIPTQLECGPDDARDKIQSFKRSFPGVASWLKDVVAICHKKGYVETLMGRKRFLAKVKFGNSEEKSKAQRQAVNSICQGSAADIIKAAMITIHVVIGEGVD >cds-PLY81000.1 pep primary_assembly:Lsat_Salinas_v7:9:175356290:175357518:1 gene:gene-LSAT_9X107920 transcript:rna-gnl|WGS:NBSK|LSAT_9X107920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAGIHKQQMKRKRSNEVVKEKWAKHYSSNHQILLVGEGDFSFSVSLAMAFGSASNIVASSIDTYDYLIKNYKNAKANLDILRKFGAQLLHVVNAVKMKNHTDLRKQKSDRIVYNFPHSGDRGKESDEDIIVNHQNLVQSFLWNARALLRPKGEIHVTHKTTHPYSCWNIEEVASECGLTLVECVKFKIEDYPGYNNKRGDRRNPDLSFPLGKCSTFKFMVSSNAKKSPPEFPYQKRLKKTLAEMNPIAEYLIDAGGLTYLTECLIPDLSDLQDDSETSSSDVGTSQPPHI >cds-PLY70213.1 pep primary_assembly:Lsat_Salinas_v7:9:2002202:2004020:1 gene:gene-LSAT_9X3421 transcript:rna-gnl|WGS:NBSK|LSAT_9X3421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETKAVTLRTRKFMTNRLLSRKQFVIDVLHPGRPNVSKAELKEKLARMYEVKDPNSIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLVRNGLDTKVEKSRKQLKERKNRAKKIRGVKKTKAGDAAKKKK >cds-PLY70001.1 pep primary_assembly:Lsat_Salinas_v7:8:145661443:145662771:-1 gene:gene-LSAT_8X99200 transcript:rna-gnl|WGS:NBSK|LSAT_8X99200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NdhN [Source:Projected from Arabidopsis thaliana (AT5G58260) UniProtKB/TrEMBL;Acc:A0A178UG88] MAATACSFHSALLPSSPNFRHHHRHPPVKARVSTSNKGLTRKKYQKETIKCAREFSDFVGGDLLKPDLGKWLSDVEEHKAIAIYPPHEGGYEGRYLNRLRYQGYRFLDLSARGLGDPETTLTKIHPVCPAHIGKQPIARWYFPPEVDYRLSLLPPDAKGLVVWILEAKVLSKAELQFLALLPTLRPKVRVIAECGNWRKFVWTPLKDIAGLTANEAL >cds-PLY74996.1 pep primary_assembly:Lsat_Salinas_v7:5:87677802:87678089:-1 gene:gene-LSAT_5X41881 transcript:rna-gnl|WGS:NBSK|LSAT_5X41881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIPNPPFDVAVLLYILVGWTCWILELTRGWRSLRINAEDKIVEFEMKIMDIDSEHLGIPEAGYHVIV >cds-PLY95603.1 pep primary_assembly:Lsat_Salinas_v7:6:137904421:137908227:-1 gene:gene-LSAT_6X83620 transcript:rna-gnl|WGS:NBSK|LSAT_6X83620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVKETVQRFTAETLKAASKQSTGCYVVPLRLRRAIKKYIRDQEDQHMKRKVLRLSESFNSIKDVNLQLAASTARELIEDPLKYVGSSKRWKIKSSYGDIGLKYRDDQTIAYVASRMPAVFSACHRVLTEVKRRLPGFSPTRVLDFGAGTGSAFWALREVWPNSLERVNLVEPSQSMQRAGLSLIKDLKNLPLIQSYGSIQSLSQNISKSERKHDLVIASYVLGEIPSLKDRITIVRQLWDLTEDVLVLMEPGTPHGSNIISQVRSHILWMEKRKTRKLSKASNQESKDLMTHKCGAHIVAPCPHDGACPLDNTGKYCHFVQRLQRTTSQLAYKQSNGVPLRGFEDEKFCYVVFRRGPRPSQSWPLDGMKFDTLKEQQHANKINHESLEIDSDDGFDSESEDTITIDDNNSKKMIKYESDINEPYASSENEEELGDENESTRADLGGGWGRIVFSPIKRGKRVEMDVCRAANREGSEGSFGRVIVTKSNNPTLHHQARRSLWGDLWPF >cds-PLY78364.1 pep primary_assembly:Lsat_Salinas_v7:9:10035142:10036919:-1 gene:gene-LSAT_9X7741 transcript:rna-gnl|WGS:NBSK|LSAT_9X7741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPPNSRFDNGVGGGGYDSNDSKGFNSGPPGLILCSNLIFHVPLRCRVCDCGYCFDYGQKPYSTTVVSSAYGYGNPSKKIDIQNGRVGVIIGKGGETIKYLQMQSGAKIQVTRDMDSDPHSLTRTVELTCTSKSIAKAEQLIKDVLAENISFRQIGFFKELSAGGYDYYNQQQAPQNQPPGGTVAAADGSGYGINC >cds-PLY96229.1 pep primary_assembly:Lsat_Salinas_v7:MU038486.1:46470:48070:-1 gene:gene-LSAT_0X32440 transcript:rna-gnl|WGS:NBSK|LSAT_0X32440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSHSPSKTIKQKVMSLIHDSKELHIIFQIHAFLLKTSLQSNNFIITKLLRNFSLNSSNNLRYARSLFDEMPCPDTFLWNTMIRAYLNSQNHDECLSLFHHLRRQDHLFIDSFSLSLVVQACGRSGFFQNGQTIHTQVLKLGFGNDLFVQTGLTEMYVKFGWIEFARKVFGDMKDPDLVSYNVMLAEYVRIGEISLARQLFDKMSQRDLVSWNIMIHGYASLPHGDKDLVSWSKQSHEALNLFHDMQLANFLPDKITIVSVLSACGDLCALTTGMKVHKYIIQNRIEIDIKLATSLVNMYAKCGDINTALKVFNGIKNKDVFLWSAMIMGLSNHGYGDLALDHFNNMINEGVKPNGVTFIGVLSACSHIGLVDKGWEYFNSMSDVYGLTQEMEHYGCMVDIFSRAGHLDKAKDLIMNMPFEPDVVVWRGPLGGCKIHKNVEIGEEVNRKIMALEGYDDGNYVLLSDIYCEGKRWEEAVNVRKKMEEVRIQKSSGMSSIEVDITPNQDLDLTDILYQNS >cds-PLY69314.1 pep primary_assembly:Lsat_Salinas_v7:4:105890671:105892439:-1 gene:gene-LSAT_4X68260 transcript:rna-gnl|WGS:NBSK|LSAT_4X68260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSFAVADDQFPKSNDKKVVDCSKSNAFPLFNTIFFAFFFSVISYLFVTFRQKIHTATPLYLTLSEMAAVVCFVASFVYFLGFFGMNFLQSMPFPDEEEDDELEETNAKEIIHSTNSAGCVTKISDQDFLTPLPKSTTAVELLTATTEDEEVIQAVVTGQTPSYSLESKLGDCKRAAFIRRQALERITGRSLEGLPLDGFNYESILGQCCEMPVGYVQIPVGIAGPLLLDGMEFSVPMATTEGCLVASTNRGCKAINASGGATSILLKDGMTRAPVVRFATAKRAAELKFFLEEPLNFQTLASVFNKSSRFGRLQTIRCAMAGKNLYMRFTCSTGDAMGMNMVSKGVQNVLDYLQSDFPDMDIIGISGNYCSDKKPAAVNWIEGRGKSVVCEAIIKEEVVKKVLKTDVASLVELNMLKNLTGSAMAGALGGFNAHASNIVSAVYLATGQDPAQNVESSHCITMMEAVNGGKDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGANKVSPGSNARQLAKVVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSNK >cds-PLY77943.1 pep primary_assembly:Lsat_Salinas_v7:1:22661698:22664847:1 gene:gene-LSAT_1X19200 transcript:rna-gnl|WGS:NBSK|LSAT_1X19200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDARRPHFIKGFNPNISFDKLKIPSKFGKHLEGKTCGTVSLMGPSGNTWHADLAQQSDGLFILDGWAAFVRDHFLENGDSLVFRYDGNLHFTVQIFDQSSCEKETAFSAECHQDLSIFDQHFGKKREREYASLLSNMVDGVPKKPRSSQSHNEPTNISEQQTMDGVADFLNGSEFCGSGLKNSITPALPVSAVRPNEEELGRISASEAEKIAQTFNSSFPHFSQVMKKFNISGSYTLNVPYQFAMAYLPNCKVKIVLQNLKGESWIVNSIPTTRVQTSHTFCGGWLNFVRGNNINVRDVCIFELVGNCEMRVNILRVRQEAVEYEEQQQQQGSSDVKALTHKTSGKLAKKAKGKSRKTQKLSMMEGQKVAFSIEKVKLGIAAKGSVVGSQSKTTTNGKPGKERVLQEKRGSSMTGCMSMKSAPEEKMAAESFVSSFPYFVRVMKKFNVSGSYTLKVPYQFSMEHLPSCRTEIVLQNLKGECWTVNSIPTLKVETMHTLHTFCGGWMGFVRENGIQMGDICIFELVGSCEMRVHISSLGNQLTATATGPSNQLGT >cds-PLY79248.1 pep primary_assembly:Lsat_Salinas_v7:9:181990544:181994745:1 gene:gene-LSAT_9X111920 transcript:rna-gnl|WGS:NBSK|LSAT_9X111920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDSDEEWVMVKPVLDKDLWNPSSSSSSSSSSNETEKKALKVIFNGEAKHWTDAIPIGNGRLGAMVWGGVTTETINLNDDTLWTGVPGDYTNPDAPTALSEVRKLVDDGKYPEATTAAVKLSGDPSDVYQLLGDINLEFSDDLAAYDDKTYQRELDLDTSTVKVKYSIGEVEFTREHFASYPDQVIVSKISGSKSGSLSFTVFLNSKLHHHSYVNDQNQIIMEGSCPGKRKPPTLFAKNDENPEGIKFSAILDLQINGDKSIISVLDEKKLKVEGCDSVVLLLVASSSFESPFTNPKDSKRDPVSESLNTLESLKNYSYSELYARHVDDYQKLFHRVSIELSSLNNEQNVATSERVKSFKTDEDPSLIELLFQYGRYLLISCSRPGTQPANLQGIWNNKVEPAWDGAPHLNINLQMNYWPSLSCNLHECQEPLFDYISSLSVNGSKTAKVNYGANGWVTHQVSDIWAKTSPDRGEAVWALWPMGGAWLCAHLWEHYTYTMNKEFLEKKAYPLLEGCTLFLLDWLIEGKGGYLETNPSTSPEHMFIAPDGKPASVSYSTTMDMSIIKEVFIAIVSASEVLGKEKSDLIQRVLKAQPRLNPTKIARDGSILEWAQDFEDPEVHHRHVSHLYGLFPGHTITVEKTPDLCKAADYTLVKRGEEGPGWSTTWKAALWARLHNSEHAYRMVKHLFDLVDPDHESDYEGGLYSNLFTAHPPFQIDANFGFCAAIAEMLVQSTMKDLYLLPALPRDKWSKGSVKGLKARGNVTVSISWKEGDLHQFGIWLSKSNKLEGLKNQNCTKRVHYGGSTIMAKMTFGKVYTFDKKLRCIKTTSLF >cds-PLY61930.1 pep primary_assembly:Lsat_Salinas_v7:5:159990968:159998987:1 gene:gene-LSAT_5X69760 transcript:rna-gnl|WGS:NBSK|LSAT_5X69760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSWNDDVVSLLTKRVVCMVKWQSAIGFPKLISWAGCSLVEVQLKKVDCKWYRHTHCICCKTSIFDLHRSCPSCQYDLCIQCCWELRDGSLQGNKEEVIIEFEDPAPKEKQTHEWKSLDDGRIPCPPKSMGGCGCGILELVHIKPLDSVSNLLDKAQKLLKTHKLEEDMRDMPEKWCTCSSDGGGQQLRKAASRENSNDNYLYCPRAIDIKTGVLKHFQWHWSKGEPVIVSNALETTLGLNAEPMVMSRAFHQVFSYKRMFESSTKFIEYREKYKTKSKTPKHDIYMKMASCRCLDWCEIDITLEEFFAWYMDYTFDDKGWPIYEWTEAWPLVNLLEDRLRVLGVEFISSLPFKEYTHPREGYLNLGVKLPKESLKPDMAPKIYIAYAINAQELERRDSVTKLHCEKADVVNVLVDIPTLTSNRKRINELKKKQKAQDQKELDMDNTKDSTSEKVVLKKQKETPGIYVDGSDLGEEGAVWDIFRREDTPKLQEYLKKHFREFKDDFGRPLQEVIHPIHDQTFYLTMEHKRKLKEEFGIEAWSFVQKLGDAVFIPAGCAHQVRNLKSCIKVAVNFVSPENIGECIRLTEDFRLLPKNNWAKEDTLEVKKIALHALEAAVEDLENLVPKIKNWSDLHHDVLILVMMQLGGVDFLAFSGVCKSWRSLAVSNRNKFMVSRPPMAISVSIDADEDEYYLEDFEGRKFKISLPHFAAGMSCIGVTGGYLILINQKTYDCWLVNLIKRHELHFPNANFRVFCCPGFFRSTLVFSSSISEWVFVVSNIEQEEVWFCIAGKPEWTEISIPFNIVDFCGFKGKIYALIRSHGVRLYEMELFPKLKLVLLTTENFTTPDFGSPRFLNSGENLYLIDQVSKHPFKIHELDFGEVNLVSREKTIEKYAFFRDTFMDTITLREVWADIDSQYRKYVVHDEKGKGRLFSACMWYFFNDCLTI >cds-PLY87868.1 pep primary_assembly:Lsat_Salinas_v7:3:44277602:44279267:-1 gene:gene-LSAT_0X9101 transcript:rna-gnl|WGS:NBSK|LSAT_0X9101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRRLPSWMIGASRTNKLSKTLDKDVNDKDEVNVGTKSEVPKAKGVSLKHKKEFCHSSDDKSFLVKCETKRKKRGIDEKDVIESHDFKQEVVLEKKKLRKVRRKVEEPDHSRTKEEHTAQSSDEDDEDLTIDDLLSIAKQFVENEKSDMDHQKSSEGLLKRKSSHSSSSSSSSSVIKTSLIAPQATKSPAQEETPLHYESTETTTGDPAQDMLDLLLGPLLKKSIRKEEDASIKDMILTHGVTNQQQHDAVVSNKPLNLTKKKSSLRDAVAMLLD >cds-PLY84061.1 pep primary_assembly:Lsat_Salinas_v7:6:193032632:193041394:-1 gene:gene-LSAT_6X118341 transcript:rna-gnl|WGS:NBSK|LSAT_6X118341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling factor18 [Source:Projected from Arabidopsis thaliana (AT1G48310) TAIR;Acc:AT1G48310] METDDWGFSAEELDSLEKDALNRIAQRNATTATATATTTTTTTTAASTSTRAHAPSTFYHGSHARPIPSPVKPVFESRHAHKVNSLPTASANPSAGTTRKVSGDDTSKTRSIKFFLHASGNVAAKFAYDQVLVGALRKVPKATWNAKERLWIFPLLSLPSAEKFIGELSGSNIEVETLDPLLHRAIAAASIVPDLQDRYDLMPDSIKSKLLPFQRDGVRFILQHGGRVLLADEMGLGKTLQAIAVASCVRDSWPVLVLTPSSLRLQWASMIQQWLDIASSDIHVVLSSYNGSNKGGFTITRSNTKGDISLDGMFNIVSYDVVPKIQESLMSSNFKFVIADESHFLKNAQAKRTTASLPLLQKARYLMLLSGTPALSRPIELFKQLEALYPTVYKNVHEYGNRYCLGGHFGMYQGASNHEELHNLMKATVMIRRLKKDVLSELPVKRRQQVFLDLADKDMRQINALFCELDVIKNKIKACKSKEEGESLKLNEKQIINKIYTESAEAKIPAVLDYLGTVIEAGCKFIVFAHHQSMIDSIHQLLLKKKVGCIRIDGGTPAGSRQSLVTDFQEKDAIKAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDMIQAEDRAHRIGQVSSVNVYYLLASDTVDDIIWDVVQSKLENLGQMLDGHENTLKVSNNNTNNQQQPTRMISSSSSPSKQKTLDSFVKRCSSHNAEADSNHKHPRH >cds-PLY97503.1 pep primary_assembly:Lsat_Salinas_v7:1:193940850:193945676:-1 gene:gene-LSAT_1X125001 transcript:rna-gnl|WGS:NBSK|LSAT_1X125001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFDPNPFDEGDEVNPFAGEQAGGKEAGKSNFGGGPFYTTSVPSATNSRLSPLPHEPADFYDRTASVDIPLDSTVDLKKKERELQSREADLRKREEIVKRKEEAAARAGIVLEEKNWPPFFPIIHHDIANEIPIHLQKLQYVAFTTYLGLVLCLLWNIVATTTAWIKGEDPKIWFLALIYFISGVPGAYVLWYRPLYRAFRSESAFKFTWFFLFYLIHIAFVIFAAVAPPVVFKGKSLTGILPAVDLVGDHALVGIFYFVGFGLFCLESLLSIWVIQQVFMYFRGSGQAAELRREAARTAVRAAI >cds-PLY76776.1 pep primary_assembly:Lsat_Salinas_v7:4:188723391:188725371:1 gene:gene-LSAT_4X108181 transcript:rna-gnl|WGS:NBSK|LSAT_4X108181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSGLCSDAVLPLAEGRARDDGIAHTTTSAFILGFPHSVVFIMFGIHHKDGSGNHNCPWQETYSTSKGASERS >cds-PLY95450.1 pep primary_assembly:Lsat_Salinas_v7:8:193255056:193257948:1 gene:gene-LSAT_8X124880 transcript:rna-gnl|WGS:NBSK|LSAT_8X124880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDPEARSIERDLDPKVILLWRFFAHAKVEYVKSMEEGKVLEMQKLKNLVKQNDQSINGCSRFCIDLITEEVRVVFSSQGETLTTRSPRFQLQQHSWRQRIMWMWTDFGTILKSRPIRAAHCLTSIQFSPTSEHILLAYGRHHSSPLKSIVINGETSLSIYTVLEVYRVSDMELVSALPSAEDEVNVACFHPLAGGGLVYGTKEGKIRIPQHNGGHAPKPDHFFEARAVELQDEVILSNSKRLQITQTNVNNLPSTFSLIVHGGRQSILVGLKAECPDKN >cds-PLY94512.1 pep primary_assembly:Lsat_Salinas_v7:2:155972122:155972767:1 gene:gene-LSAT_2X81320 transcript:rna-gnl|WGS:NBSK|LSAT_2X81320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGALDYLSNFCSATSTRSTSRSRRKPMQACILISHLSSLISLLLIIILLSLTRMVCGLVSQTVEIKVKMDCDGCERRVKNSVKSMKGVKSVEINRKQSRVTVNGNVDPNKVLKKIMSTGKRAEFWPYIPYNLVSYPYVTQAYDKRAPAGNVKNVVQAFSVPNTTDEQMTHLFSDDNPNACSIM >cds-PLY86942.1 pep primary_assembly:Lsat_Salinas_v7:5:256869484:256870952:-1 gene:gene-LSAT_5X131341 transcript:rna-gnl|WGS:NBSK|LSAT_5X131341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEITDGVSNINMSSDSYKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTVAEILKNNGFAVEKKIMTSTVEMKGESRGRPVQKAKIEIVLGKTENFDELMAAAQEERELGYAEEQN >cds-PLY82597.1 pep primary_assembly:Lsat_Salinas_v7:2:188937746:188939358:1 gene:gene-LSAT_2X110861 transcript:rna-gnl|WGS:NBSK|LSAT_2X110861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIISFFPSWILPTTLLLFFTSIFMYALRMRRSSIRLPPGPKRLPIIGNLHQVLGKEGVHQTLWNLSQTYGPAMLLHFGAQPFLVISSTEMATEVLKTHDEKLCTRPYSKATKLLSFNYMDVAFSPHSDHWRDMRKVVVSEFLGAKRIRLYKNMMEIEMEAVIRSISSHSLNTTVNLEDILLSLVYDVVGKVAFGNSYRGKTFNGRTLKDIVEEVQVMGGASFSFIFPTFGWILDELTGWNRRLQKCYTDFDGFLQMILDDHHDTKTSGHVNDFVDDCMSRLTTEEMKALVMNVLEGAVDTTTITMVWAMSELVKNPRVMEKLQNEIRRCVGRKSKVDESDITKMPYLKMVVKETLRLHPPAAFLMGRECVSQCRIGGYDVLPGMKVMVTAWGLGRDPRIWKENAEEFYPERFENIKADIGGKNFEMIPFGGGRRACPGNNMATSTVEFTISNLLYFFNWETPAGLKKEDLDMKENGFPFLRRTTPLYLVPTKHDWED >cds-PLY68458.1 pep primary_assembly:Lsat_Salinas_v7:2:213741284:213742655:1 gene:gene-LSAT_2X134301 transcript:rna-gnl|WGS:NBSK|LSAT_2X134301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRPIKYTQHKNVTTMVAANPLMAVKSKKFSDRQTASLPKVVRISMTDPDATDSSSDDEDELFGRRRVKKYVNEVNIQTSLKTAVAAVGGRKKTARNGEGSLQAKQKAMKAAGAPAVPAGGIRKFRGVRQRPWGKWAAEIRDPARRVRLWLGTYDTAEEAAMVYDNAAIKLRGPDALTNFVTPPAKETTPEINVPSTSGYESGEESNNLPSPTSVLRFESESNSKEGLEPVKEAEECQSDNGSGQERFDYEMIHELGAGELGDFTMDQLEMDDPFLDNLFDFPTRDQLHFDDESPFLKYSPIDEFDLPDPIHIENEAPSSEFVTDDNFATLPFEDTQIWCNDATNNSLWDEDFGICNDMMLDVTSSSILKVDDYFQDITGDFLPADVLMTGCF >cds-PLY74091.1 pep primary_assembly:Lsat_Salinas_v7:9:12862817:12864323:1 gene:gene-LSAT_9X10660 transcript:rna-gnl|WGS:NBSK|LSAT_9X10660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRYVEIGRIALVNYGKDYGRLVVIVDVIDQNRALVDAPDMVRGQMNFKRLTLTDIKIDINRVPKKKTLVAALEAADVKNKWESSSWGRKLIVQKKRASLNDFDRFKIMLAKIKKAGVVRQELAKLKKETA >cds-PLY99509.1 pep primary_assembly:Lsat_Salinas_v7:1:113424327:113425229:-1 gene:gene-LSAT_1X89320 transcript:rna-gnl|WGS:NBSK|LSAT_1X89320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGARVFNGCRSLFAAAKSATPKSTATAAATTTTAAKKKTTVKKPTDKPAKKPKPASAEKSLKPVGILKPTPISPALAQFLGVSESPRTDAVKKIWQHIKLHELQNPENKREIICDEKLKTIFDGRDRVGFLEIAKLLAPHFVKTS >cds-PLY76533.1 pep primary_assembly:Lsat_Salinas_v7:5:12696283:12697146:-1 gene:gene-LSAT_5X7021 transcript:rna-gnl|WGS:NBSK|LSAT_5X7021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEDTAQVKVAVPIKDLWKAMSSDIRHITTKILPDIVEEVELLEGDGGLGSILVFRFFPHVPKVSFQREKIVEFDESLHQIALEILEGGHLDHGFSSYTTGFKLTAVGEGETLIDVKVLYETKPDYTHIPGDTIKATFLYIKNLENYLLNAPS >cds-PLY66784.1 pep primary_assembly:Lsat_Salinas_v7:3:65632382:65633762:1 gene:gene-LSAT_3X51281 transcript:rna-gnl|WGS:NBSK|LSAT_3X51281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSTVIIFMVFLCTKALSQCEEETNNPCNNKSKALPLKIIGIAAILVTSIIGVCLPLITRSIPALSPDRSLFVIVKAFASGIILATGFMHVLPDSFDMLRSTCLSNNPWHKFPFTGFLAMLSAIFTLMVDSMATSMYTTKNNAISAEGGESVTGDHEMAVAGGGVTHLHGHHHGPKSPIGEQLLRYRVVAMVLELGIVVHSIVIGLGVGASNDVCTIKPLVAALCFHQMFEGMGLGGCILQAEYKPLKKALMVFFFSITTPFGIALGIGLAKTYKENSPSALITVGLLNASSAGLLIYMALVDLLAADFMGPKLQGSIKLQIKSFAAVLLGAGGMSLMAKWA >cds-PLY66154.1 pep primary_assembly:Lsat_Salinas_v7:8:303015044:303016453:-1 gene:gene-LSAT_8X166540 transcript:rna-gnl|WGS:NBSK|LSAT_8X166540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWMTRFLTAVAFLAIGVIFSPETFGSKSAKITSFVKLAHLLCFSTAWGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFMMVGICCAVTVGCFGYTHPWKSSSTAEKYQLGFLVSAFVFNLTNLFVFTPMTIEMMKQRHKLERESNIGEEVGWTKNKEVAKVNPKLAAMNKKFGMIHGLSSLANIMSFGSLAMHSWYLAAKIDL >cds-PLY96654.1 pep primary_assembly:Lsat_Salinas_v7:7:43149213:43150863:-1 gene:gene-LSAT_7X29680 transcript:rna-gnl|WGS:NBSK|LSAT_7X29680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAGYISTSINDGLGCLTLRCPDPSCGAVFGQDMIFSMVSHEDAKKYQRYILRSFVEDNRKTKWCHAPGCDYVVDFIVCGGTFDVTCGCSYSFCWNCTEEAHRPVDSDTVLDKLSDKQCLPKSQLKFITEAWLQMLECRRVLKWTYAYGYYLPEREHAKRQFFEYLQGLMKVNSSGVRRGKASSS >cds-PLY87295.1 pep primary_assembly:Lsat_Salinas_v7:4:331162573:331164150:1 gene:gene-LSAT_4X164320 transcript:rna-gnl|WGS:NBSK|LSAT_4X164320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDNLNENQHIQSEWLITIAEELQKARLDNEVIFARKPCIYKVPRYLRDADKKSYTPSVVSIGPYHYQNRHLHPMERHKWRAFYQTLNRHNHNFKLYLDAIKDLEAKARGSYEGEINLNSDDFVKMMVLDGCFILELFRGINDGFEELGYSKGDPVFSICGSLDSIRRDMIKLENQIPLFIIDELLALQNPDGQNTDALAKMAIPFFAPLIPTDEPMKKGGGFSSFDRQPLHCLQLFRENLVSKGLILPKRTKKLWKRRLTRNVVGKPTTQVTYCVSQLRESGVRFRKRQTDQFWDIKYKNSILEIPRILIHDGTKSIFRNLVAFEECHPECSNEITAYLVFMNNLIDSGEDVGFLHYKGIIEHWLGNDDDVADLFNGLCQEVVADLNNSYLSGLTDEINKCRDKRWNTWRADFRHKYFRNPWALISVIAAVVLLLITVLQAFYDIFSYYKPTS >cds-PLY87689.1 pep primary_assembly:Lsat_Salinas_v7:6:45509032:45510141:1 gene:gene-LSAT_6X34741 transcript:rna-gnl|WGS:NBSK|LSAT_6X34741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSTEGDKLLLRGLMFHGYHGVHQEEKKLGQKFIIDIDAWMDLRAPGQSDNMSDTVSYTDIYRIAKHIVEGPSQNLLESVAQLIATTTLNNLSRITAVRVVVKKPHVAVPGPLDYLGVEIMRYRTIDMPL >cds-PLY69408.1 pep primary_assembly:Lsat_Salinas_v7:5:301609566:301610166:-1 gene:gene-LSAT_5X161820 transcript:rna-gnl|WGS:NBSK|LSAT_5X161820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSGKLIGYVEISKKGDVFHDLFRHNPHEIVAIAPIKVHDCELHDGERGAVGSIISWHYTHAVNEENHMAVFKVIGGDLVEELYKSFTIILHVEQKGDGQVATWTFEFEKPNVSVPYPTSMMDYLCDLVKDLDAHGSTN >cds-PLY74669.1 pep primary_assembly:Lsat_Salinas_v7:5:177130265:177130649:1 gene:gene-LSAT_5X79581 transcript:rna-gnl|WGS:NBSK|LSAT_5X79581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPERIHVTCALVYEKTPSGRVHKGVCSTWMKNAMPMIESEDCSWAPIFVRTSNFRLPSDPKIPIIMIGPGTGLAHFRGFLQERLALKESRS >cds-PLY91750.1 pep primary_assembly:Lsat_Salinas_v7:9:17035271:17039089:1 gene:gene-LSAT_9X16761 transcript:rna-gnl|WGS:NBSK|LSAT_9X16761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTPGILLSPKLVKRRFLQEEDDLAAQHPSTGCDHTHHSKRFSITQLESTQTLIPFLGDIKCIIWAWARLYPFLILMLLSFQTLTRAHEHPRSYSEVQVGVILDMESWAGKVVYRCISMAISDFYTANPHYTTRIAFKTRDTKGEPLSALSAALDLLENTKVQAIIGPESTVEARFLDVFEDKANIPILSFSTSPFPNRNPYLLQISQDETTQFKAIAAMVDLFKSTEVIVICEDTTNGREMTTYMVSAFQEKNIYVMHASFISASSNNEQVWEELRKLQTMQTMVFVVHVSPSLATNVFSMAKELGMMGEGYMWIVTSKTTNYLESLDSETIESMQGAVGFRSYFPASRDLHKFVSKCRKEHYDLNPFMEFKGVDPNGIWAYDAVYALATAVERIQTTTELASKDLGTNIGTSLLDEMLRVNLHGLGGEFKLMNGRTTSKAMEVVNVIGKGGRRVGFWMMATGGGFVKEIKKPNSSSNQGLEIIIWPGGTTSINPKRRKLQTNGNKKLRILFPGASLFPNIAQISVDPRTNLSGVSGFTGDVFNAAFNALDYGVEIEVIPFSHKDGSTYNDVIQKIYLKEYDAAIGDFTITENRSLFVDFTLPFTDLGVGIVARNTKNGMWIFLDPLSADLWITSAFFFLFLGFVIWFIEHRTNNEFQGSTRHQIGTTLWFAFSTLVYAHREKLQSNLSRFVVTVWVFVVLVLTSSYTATLSSLLTVQQIGMKEMSIGLQGLSPLGIVYNKLNVVDGWSEKLYAPEDYAKALTTGRFDAIVSEILYIKSLLAMYSGADFSLIATAPTTNGFGFVSVL >cds-PLY86278.1 pep primary_assembly:Lsat_Salinas_v7:8:56590094:56598488:1 gene:gene-LSAT_8X40101 transcript:rna-gnl|WGS:NBSK|LSAT_8X40101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKHTLIFTFFLFFHQSFSLDPNYEACFPKDCGDVRNITYPFFIDGEQDPSCGYPGFEVHCNESAMLRISGNEFVIKDIRYSNSYLRLQNAAILPNQTEPCPKMIRNLTLDPNRFRFGNASTMKLVFISNCSGNLTTDLEKYSIKSCERSNKEVVMLDNDPNLKNVTDICGNGSETVETPVELSGGVGRNLVVDGGNYRDVMERGFMLHWLATDCDVCLSSGGRCGFNRTKFGFRCFCPDRPHMVSCKPGGKDYKTIIIAVTVGSAFIVTLAAAIFIIRRANNHRKAIAYSRDLEGSSVYFGVSLFSYAELQDATQNFDSSQELGDGGFGTVYYGKLRDGREVAVKRLYEHNYKRVQQFMNEVEILTRLRHKNLVSLYGCTSRRSVELLLVYEYIANGTVADHLHGDRAKQSPLTWPNRMKIAVETASALAYLHASEIIHRDVKTNNILLDNNFCVKVADFGLSRLLPNDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLIELISSMPAIDISRSRDEISLAYMAINRIQRCALDELIDPVLGSDSETERMTRSVAELAFRCLQFDSETRPTMNEVLEFLKGIQGEGDNNVGELNVNAGKAQMPPASPETEDVVLLKEVDVVMASPLTVTAKWHSTGSGVTTPTTSG >cds-PLY82423.1 pep primary_assembly:Lsat_Salinas_v7:2:184634491:184636190:1 gene:gene-LSAT_2X107900 transcript:rna-gnl|WGS:NBSK|LSAT_2X107900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQPDVDDIIHRLLEVRGKPTKQFQLTESEIKQLCVVSREIFLQQPNLLELQAPIKICGDIHGQYADLLRLFEHDGLPPEVDYLFLGDYVDRGKQSLETICLLLAYKIKYPNNIFLLRGNHECASINRIYGFYDECKRRFNVKLWKIFADCFNCLPVAALISEKILCMHGGLSPDLNNFDQIRELTRPADIPDSGLLCDLLWSDPHKDVKGWAMNDRGISYTFGADVVTEFLLKHDLDLVCRAHQVVEDGYEFFAYRQLVTVFSAPNYCGEFDNAGAFISVDETLECSFRILKPAEKKRSKSMMNLFGSSTSG >cds-PLY71249.1 pep primary_assembly:Lsat_Salinas_v7:1:154937409:154939207:1 gene:gene-LSAT_1X107121 transcript:rna-gnl|WGS:NBSK|LSAT_1X107121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLVYLSVGLVHYQLPPSTQERKEVDIKRNAALSTAKYAESCHELELQGINVKLELLETATTSLPNTFSKMLQVLKCDSVSQAIEFYSNFLKDAHTEKDKDPETVLPNLRDIIDNPPPLECLDRCNAIRDECFNESQGIKVRSRLLEIEYMSRIVDDLFEIKAFLNQRIMELTNTETSSLQHQVQAVAPFVLQMYASDVIQSMLSDVSSAISFLTNKKTRDLIMILNSKRYHIWNLMRLLYEPTIK >cds-PLY68783.1 pep primary_assembly:Lsat_Salinas_v7:8:65913501:65917099:-1 gene:gene-LSAT_8X46320 transcript:rna-gnl|WGS:NBSK|LSAT_8X46320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRVVMFTLVVGFWVLLSSEEVTSHGDHSFAKIGIHQVTFALTDAADVKASPAILGSKGQNSEWVNVHISNLNPSNDDWIGVFSPANFSDSTCLPENSRVSPPYLCTAPIKFLYANYSNPNYKDTGESTLNLQLINQRSDFSFALFTGGLSTPNLVAVSNTVTFVNPNAPNYPRLAHGKMWDEMSVTWTSGYGINEAKAFVEWGQKGEEQRLSSAGTLTFDQRSMYTYKLGHKLLNGTIIWSKMYEFKSSPYPGQDSLQRVIIFGDMGKDEVDGSNEYNNFQRGSLNTTKQLIDDLENIDIVFHIGDICYANGYLSQWDQFTSQIERIASSKPYMIASGNHERDWPNSGSFYENNDSGGECGVVAQTMFHVPSDNKDKFWYSTDYGMFRFCIADSEHDWREGSEQYNFIKNCFASVDRQKQPWLIFIAHRVLGYSSSLVYAVEGSFGEPMGRDSLQKLWQKYKVDIAIYGHAHNYERTCPIYENTCMSDEKEHYKGGLNGTIHVVAGGGGASLTPFAPFKTTWSIYRDYDYGFLKLTAYDHSTLLFEYKKSRDGKVYDSFMISRDYRDILACTIDSCPSTTLAS >cds-PLY83558.1 pep primary_assembly:Lsat_Salinas_v7:1:65395965:65396423:1 gene:gene-LSAT_1X55301 transcript:rna-gnl|WGS:NBSK|LSAT_1X55301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQSETSLTFVVRRHVPELIAPAVSTPRELKPLSDIDDKEGMRHQVSSIHMYERDPKMGHINPATIIRDALTKVLVFYYPFAGRLKEGPTRKLMVDCTGEGVLFIEAEADVTLKQFGEALHPPFPCLEELLYDVPGSSGIIDSPLLLIQVKL >cds-PLY86480.1 pep primary_assembly:Lsat_Salinas_v7:9:183955652:183957001:1 gene:gene-LSAT_9X112741 transcript:rna-gnl|WGS:NBSK|LSAT_9X112741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKIGSFSVVSEAGAQKIKSDIFEASGHKWRLDLYPNVNKEENGANHISLYLKICDTKSSPKGWTIQVYVNLFVYDHIGHKYVSFHDNDHGNVTRFHEKQKKWGFDKLISLKYFKESSGRCLSMIKPPPTMNTYSWTIEKFSSVKEEKLVSEVFKVGKVKWTLSLYPKGNKTRADTHLSIYLRVHEAELLPADWRVYGKFEIRVKKYGGYDHSVIALDGWYIFQFFLAGTDVWFSKSARSWGYADMMKLSELHDKTKGFLFKDRLIVETKISAIGIQK >cds-PLY82924.1 pep primary_assembly:Lsat_Salinas_v7:1:18722540:18723010:-1 gene:gene-LSAT_1X16341 transcript:rna-gnl|WGS:NBSK|LSAT_1X16341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHMISPPPPSPSLHNNVSFSSSLHEHLRPRYIRYIFLKAKRNAHHLLILDTSSIFRSLKFQVNMVSELQTSLKPKLWMSILAPGSQQ >cds-PLY85937.1 pep primary_assembly:Lsat_Salinas_v7:2:190018245:190019056:1 gene:gene-LSAT_2X111441 transcript:rna-gnl|WGS:NBSK|LSAT_2X111441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKPLFIFILMCTLSLLSGQALQVPVRDTDRNFLLAGTSYYILPAIRGRGGGVTLATGRDQPCPNDVAQENNEVSKGLPLNFAPANTSKDGIIRQSTDLNVKFSGKATTCIDTAVWRVEDDLDIGLRIVSSHAILGQPGRETIRNWFKIEKYENAYKLVYCPTVCNDCRPYCADIGSTIAKNGRRILVLNNVPLKVMFKKA >cds-PLY81178.1 pep primary_assembly:Lsat_Salinas_v7:9:116394220:116401961:1 gene:gene-LSAT_9X79720 transcript:rna-gnl|WGS:NBSK|LSAT_9X79720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIPLPSMINGNDVFHSQIPPDDLNISKSKLTCNIIHNHNFSNGLHSWHANCCDVFLVSPSVETFDDHTQNPCKKKHHAVITNRNQHWQGLEQDITTRVSSGSTYTVFARVGVSGMHLEKEANVIATLKLEYQQSETKTTVSKEKWEDLEGTFVLSDKPDRVVFYIEGPAPGVNLLIESVSIFGDGEIAPCVYNQEGNIILNPEFEDGVNNWSGRGCKIALHDSMGDGKVLPKSGKFFASATERTQYWNGIQQDISGKIQRKLAYQVTAFVRIFGNNVTSSSVRATLWVQGPDSHEQYIGIANSQATDSDWVQLQGKFLLNGSPSKVVIYLEGPPPGTDILLDGLMVKHAEKIPPSPRPVIENPEYGVNIIANSNLSDGTNGWFPLGNCTLNVVTGSPRILPPAARDTLGPHEPLSGHCIHTTNRTQTWMGPAQMITDKVKLFVTYQVSAWVRLGPGATGPQNVNIALGVDSQWVNGGQVELNDADRWHEVCGSFRVEKQPAKVMVYIQGPAAGISFMLAGLQIFAVDRQARFRHLKRQTDKIRKRDVTLKFSTSDSSSIHGATVIVKQTQNSFPIGSCISRSNIDNEDFVSFFIKNFNWAVFGNELKWYWTEPQQGNLNYKDADELLKLCNDNNIMVRGHCIFWDVESTVQDWVKKLNKQDLTTAIQNRLTGLLNQYKGRFKHYDVNNEMLHGSFYPDRLGPGSRADMFKTANRLDPSATMFVNDYHIEDGCDPRSSPEKYMTQILDLVQQGAPVGGIGIQGHIDSPVGPVVCSALDRLGTLGLPIWFTELDASSVNEYVRADDLEVMFREALAHPAVEGIMIWGFWELFMSRENSHLVNAEGEINEAGNRFLELKKEWLSHAHGHVDDVSEFGFRGFPGTYEVVVVTGSKKIVKKFVVEKGDLSLVVPIEF >cds-PLY72588.1 pep primary_assembly:Lsat_Salinas_v7:3:197765756:197768215:1 gene:gene-LSAT_3X117141 transcript:rna-gnl|WGS:NBSK|LSAT_3X117141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYYPCNIIVRRNSILSGEFKRLQNAQKTQYFSTIPCHRTILPLCASAKSLQQTKQCHAIALLNGYLPNSISVSASLILQYATFGDPSTSRVLFHQSLPYSRSAFLWNTITRAYSIAGVYDAFQIYNLMIRNGVRPDDHSFPFVLKVCSDYHEVWKGQEVHGLVCKLGFDSDVFVGNTLLRFYGECSSINDAEKVFDEMPERDAVSWNTLIAVFSIKFSYDKAIALFRDMRSQPLYSGVSPNVATIVSLLPVCASIEDFAITSEIHSYVIKMGFSDDIKINNAFIDAYGKCGNLNACKQVFNEMVDRNHVSFNAIITSFAHTDHHQDAILFFQYMINKSITPNSVAISSILPIIVELECLQWGIELHGFSIRTGIESDIFVANSLLDMYAKFRYPIKASNIFNNIHLKNIVSWNTMIANYAQNGSELLALQTLREMQAHGIVPGPVTLTNILPACARISHLSHGKQIHCKSIRCGFDVQIFISNALIDMYAKCSRLDLARNVFNVSYKDRISYNTLISAYSHTNTSFESLILFRELGLKDMEYDTVSFSGALSACANMAEIKKGKEIHGVCLKKGYTPLMGPTHQPVPNLMSSDSSVIDSHLLTVKQLHSLIVCNSILDFYAKCGRIDLAKKVFDRIPNKDTASWNTMIMGYGMRGEIDTAIGLFESMGDDDHVRRDSINYIAVLSVCSHGGLVELGRKYFKKMKEDKIEVTQVHYACMVDIFGRAGLLEEAVEMINGMGVEPDANVWGSLLGGCRIHGDIELGRWAGENLFRLKPGHSGYYTLVSNMYAEAGKWCEVDGIRELMKVRGVKKNPGFSCV >cds-PLY62667.1 pep primary_assembly:Lsat_Salinas_v7:1:35150619:35150774:-1 gene:gene-LSAT_1X30061 transcript:rna-gnl|WGS:NBSK|LSAT_1X30061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKSTKLGISPSASVNRDTLFVPHRQNFKLPESIDRKLNTTPAGAPVLSGL >cds-PLY76210.1 pep primary_assembly:Lsat_Salinas_v7:4:52312440:52314071:1 gene:gene-LSAT_4X35620 transcript:rna-gnl|WGS:NBSK|LSAT_4X35620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDREESNLSLAEYKPFNYRVVNGSGNIHHQTTSGWLEIRLFYVRITPCAVNTVPEHLTLRNLRREIGVSLEINGFRVPSANTALVTLRRDRVDKESSEVTYVSTDNIRVTGAIEFEVIENEGDDREMILCGSLERIEPTWSNGSLDNGNTHTHHHHNHNNNGSKTGWSMDFYAANLSKFGTSSPSIEVYIAGCCSSVPVILTKTIQVSPRRRGGSRHGMLHAIPEDEEEVDKEPLRDNGVLRQRKLPQHTSGEEADGYESEGKVGPSYYSEEMYYDEDGQLTWFNAGVRVGVGIGLGMCLGIGIGVGLLMRSYQATTRNFRRRFF >cds-PLY63866.1 pep primary_assembly:Lsat_Salinas_v7:1:124836051:124838929:-1 gene:gene-LSAT_1X93680 transcript:rna-gnl|WGS:NBSK|LSAT_1X93680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAQWICSFFFAFFLIFSSNTEFHSCSQKTNPPVLPNPYFRIPSPLMPVSGPVIYVRYPPNTLQPLPKANLSTTWTNDESSIPSINFTDGSRIRVILDKEKFACGFFCNGNCTSYLFAIVIKISYSPGYIFGTKGNPTVIWSPNRDNSVKEGAILNLTAAGELALHDVDGSIVWTTNTVGKSTAGMNLTDEGNLMLFDGDNSMVWQSFDHPTDCLVAGQKLLQGQKLIPSVSSTNWMAQKDSYYLQLTDNGLFAYVESNPPQVYYKRLINVTNIHKERIYVELLNGSLCFLINSSTKLDSIDVPQEIPLEYAKLMPDGHLKAFGGEMVADLLTEYDFGDCSYPLACGRNGICWGDVQCSCPKSSSSPVTEYFRAVDNSQPNKGCSQVTPLTCNATQDQHFIELKNIKYFTYTANMEHVDMETCKQACLNNCSCKAAIFEYFGSNSSSGYCDLPSELFTMTNFDVDANNQVHASAFIKVQNGRYKSPKTKNHVTTILGSTVGSLVLLVIVVVVITMFIGQKEEVDGEMEEEYLDKVPGMPTRFSYEELKTATENFSKKLGEGGFGSVFEGSLEVDSKIAVKCLHGLGLMQVDWNEVRKSFMAEVESIGSIHHVNLVQLRGFCAWKSERFLVYEFMSNGSLDGWIYNGDRKNVLKWECRKKIILDIAKGLAYLHEECRQKIIHLDIKPQNILLDSDFNAKVSDFGLSKLVDRNQSCQVMSTVRGTFGYMAPECFDSIITEKADVYSFGIVLLEILCGRKNLDISQPKESQHLLGIFQRCWEQGTLLHIVDRYSKDMQEHGTEVVEMMKVVSWCLQIDYTKRPSMSSVVKVIEGVMDVESNLDYNFLWTISMQKITDGYEKRSKPMSASILSGPR >cds-PLY64853.1 pep primary_assembly:Lsat_Salinas_v7:2:30944175:30944471:-1 gene:gene-LSAT_2X15181 transcript:rna-gnl|WGS:NBSK|LSAT_2X15181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEACHSMARAEKIISISLGKLVKFLNGILTLQDRYLSTQVKLPATDSMKLAGEEVLMKVGSMYAELVEQHRFVTDRVFILENQRALLEAYYERCLRE >cds-PLY84639.1 pep primary_assembly:Lsat_Salinas_v7:9:103556820:103557158:1 gene:gene-LSAT_9X75121 transcript:rna-gnl|WGS:NBSK|LSAT_9X75121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLPHLPTTITVLRQAIITDHLQQPLFTTTSIAGPCVRSTITIGTQLSNVACEIASSTTLPFSFTTHCGCQQWQLQLTTVIVGPPSSSINSTGQPPPSTTCSRRPDVTDHQH >cds-PLY63918.1 pep primary_assembly:Lsat_Salinas_v7:5:127431248:127431442:1 gene:gene-LSAT_5X57000 transcript:rna-gnl|WGS:NBSK|LSAT_5X57000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSLDLNRTPVPSQIPLPDTDVDSPSSSSEIRNTVEMGNILGFEIDGDNPILNEVLCENSEN >cds-PLY70097.1 pep primary_assembly:Lsat_Salinas_v7:4:204658181:204659948:-1 gene:gene-LSAT_4X114660 transcript:rna-gnl|WGS:NBSK|LSAT_4X114660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIYLQLLFFALLHTPPCSATGGSWSVLLPSVGISAMHMQLLPNDRVVMFDRTDFGTSRISLPNGKCRHNSTDCSAHSVEYDVASNSIRPLMLLTDVWCSSGTLMPNGRLTQTGGWGDGSQVVRIYRSCDTCDWQEIQNGLNQPRWYATNQILPDSRQIIIGGRQTFNYEFYPKMSATETSYSLPFLVQTSDLNIENNLYPFVFLIPDGNLFIFANNRAILFDYSRNKVVKNYPTMPDGQPRNYPSTGSAVLLPLQINKGTVNTIEVLVCGGAPKGAFINALNARFDRALDTCGRLKISDQNPEWLMETMPLARVLGDMLLLPNGHVLNINGASEGSAGWELGRNPVLNPVVYLPENPIGSRFQVQNPSNKPRMYHSTAVLLRDGRVLVGGSNPHDKYAFTDVLYPTELSLEAFAPSYLDPSSSILRPNIISHKRKAKMHYGEQIIIRFTITGDVNLSLISVTMIAPSFNTHSFSMNQRLLVLDGGNSTKAVFGRRSYYTVEVTAPPSGNIAPAGDYLLFVVHNEVPSPGIWVRIQ >cds-PLY66340.1 pep primary_assembly:Lsat_Salinas_v7:5:289094372:289096102:-1 gene:gene-LSAT_5X152721 transcript:rna-gnl|WGS:NBSK|LSAT_5X152721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTCSGAIMGSLQQPVWIKESTFLPKRPGFTKFPHQLNLGSLKPCKLSKIEGSLVTGKPSTTSVPITVLEGGDGNGFVDHELSNVDPEVSAIIYNEKQRQFRSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEHIDELETLCQKRALAAFHLDEQKWGVNVQPLSGSPANFEVYTAILKPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLDESTGLVDYEMLEKTATLFRPKLIIAGASAYP >cds-PLY79453.1 pep primary_assembly:Lsat_Salinas_v7:9:4075753:4081398:-1 gene:gene-LSAT_9X5880 transcript:rna-gnl|WGS:NBSK|LSAT_9X5880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSASSWITSLSCSSTSAMPAENDTSHSSSILSWLQFILLSPCPQRLLLASINTLFLFTLFVFALQKLYSRFTSHDNSINEPLIAKNTVSVKTSLWFKLSQLVSGIVAVLAIISSILGFTQDAQKTPWRVINGSCWLIQAISFVTIAVLIIHQKRFRAETHPVSLRVFWVLNFIAITLIASSSIIRLVSNTPLSKTGDVIASICLPFSAFFLIPSLTGSTGITVTTESKPELRKSSNATGWASASIFSRTFWLWLNPLLKKGYKTPLTIKDIPSLSPQHRADRMLSLFEQNWPRPEEKSKHPVRTTLLRCFWKDITFIGFLAIIKLGVVYVGPLLIQRFVDFTSGERNSPFEGFYLVLILLVAKLVEVLSSHHFNFHSQKLGMSIRSTLITSLYKKGLRLSCSARQSHGVGQIVNYMVVDAQQLSDVMLQLHAVWLLPCHVLVSLVILYGYLGLSTVITLIAVLVILLFVFWGTKRNNRYQFNIMMNRDSRMKATNEMLSDMRVIKFQAWEEHFNKRIQTFRESEYGWLCKFMYSVGANMIVLWSTPLLISTVTFGSTILLGIKFDAGTVFTATSLFKNLQEPIWNFPQSMISLSQAMISLGRLDEYMMSKELEEDWVERHQGNNMNAVEIQNGSFSWHDESSEGVMNMIKNVNFEIKKGELAAIVGTVGSGKSSLLASVLGEMPKISGKVKVSGSTAYVAQTPWIQNGTIQENILFGSPMDRHKYKKVIRTCCLEKDLEMMEFGDRTEIGERGINLSGGQKQRIQLARAVYQDSDIYLLDDVFSAVDAHTCSKIFKECMRGILRDKTILLVTHQVDFLHNVDLILVMRDGMIVQSGKYDELVDSGLDFSALVSAHETSMQLIQTESTTESETLTKSPSHNKSSVEDKKENQKASARSQSSSIVGTSKLIEDEEHKTGRVSLHAYKVYATEGCGWTGVVLFLLLSVLWQATQMGSDYWLAYETSEDRAASFNTSRFIGVYAVIACVAFVLSFARTVLYTFLGLKTAQKLFKQILHSILHAPMSFFDTTPSARMLSRASVDQTNIDILLPFMMNLSLALHMSVISVIIITCQYTWPTVFLLVPLGWLNFWYRGYYLATSREITRLDSITKALVIHHFSESIYGAMTIRCFKKQDRFVQENVERVDGNLKMDFHNNGSIGWLGFRMEFLGSLFLCFSTLFMIILPNNIIKPEDVGLSLSYGLSLNGLLFWALFGSCYVENRMVSVERIKQFTNIPSEAEWVKKDGPPPPNWPSHGSLELRDLQVRYRPNTPLVLKGITLKIEGGQKIGVVGRTGSGKSTLIQVLFRLVEPSGGSIIIDGIDISTLGLHDLRSQFGIIPQEPILFKGTVRSNIDPIGQHSDEEIWRSLKRCQLNDVVAEKPGKLDSAVVDNGDNWSVGQRQLLCLGRVLLKHSRLLFMDEATASVDSQTDAVIQKVIREDFSDCTVVSIAHRIPTVMDCDQVLVIDAGYAKEFDKPWLLIEKPSLFGALVQEYANRSSGL >cds-PLY70846.1 pep primary_assembly:Lsat_Salinas_v7:8:117321911:117326087:1 gene:gene-LSAT_8X81540 transcript:rna-gnl|WGS:NBSK|LSAT_8X81540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVIASRTGHDYNLASRKAQEAAWRRFQATEWLESFVGSLGISKQPSEKEFISCLRNGLILCNAINKIQPGSVPKVVEITRFHSQSHTWDSQPLPAYQFFENVRNFLKAVEGLNLPVFEASVFERDNMEAGSSTKIVDCVLALKDYTERKNGSSKRTPLMHSNGRIPRSGLNLDINRQLDLSANREKQSPSQNDAQKLEESIVKALADCMIDAKENLDGNLLSSIRNGNMDSIKSFSRILSNTLEEQLQFKLNPNMKDLTKEISGSFMSSEASSPLFKTRNGKMKCNHLQVFREQENKLLKLKSLYSSTKTEFANLQLQLQNDLKQMESQVEVLSMAANGYHKVVKENRTLYNMVQDLKGNIRVYCRIRPAFRTDTKNVIDYIGEDGSLVVLDPLKPHKDGKKLFQFNRVFGPTATQEEVFADTQPLIRSVMDGYNVCIFAYGQTGSGKTHTMCGPSGASRKDLGINYLALNDLFDLSNTREDVVKYELYVQMVEIYNEQVRDLLTGDPTTTSKLEIRSCTSENGLSLPDATMHQVNSTADVLNLMKSGQVNRAVSSTALNNQSSRSHSILTVHVHGKDVNGGTIRSCLHLVDLAGSERVDKSEVTGDGLKEAQYINKSLSCLGDVITALSQKNSYIPYRNSKLTLLLQNSLGGNAKTLMFAHVSPEGDSFGETVSTLKFAQRASTVELGAARLNKESREVMELKEQVENLKRQLAEKEPPRSPLQKLKPGITVERTPPPPVRPRRLSIENKCPPQKSPNAVAPPNSASKNRALKTDGKTRVSTLVLPKTPESKVSIRNEIAISTEIKAKSSSHHIKKSLRTIGKLMIGSDKRAQPKPIEGPSQSLAIVSRPSRRLSLTGIQPLEKPRRSSIGGVDPCVDESRNAKTPPPLKSSSKTKWM >cds-PLY85798.1 pep primary_assembly:Lsat_Salinas_v7:4:81314543:81315632:-1 gene:gene-LSAT_4X54160 transcript:rna-gnl|WGS:NBSK|LSAT_4X54160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRSISSTNNVYRNLLRLQKPPTAAMVTWQQPSCDVFINHRGIDTKKNVAGLLYNHLVRLRLRPFLDSKNMKPGDKLFDKIDAAITRCKVGVVVFSPRYCQSYFCLHELARIMEAKKKVIPVFCDVKPSELIVRDDGSCSKHQLDRFKTALEEAKYTVGLTFDSSNGDWPGFLMTATEAIMENLKEVEEEERQMHIEKSINHA >cds-PLY66125.1 pep primary_assembly:Lsat_Salinas_v7:7:32015942:32016430:-1 gene:gene-LSAT_7X23920 transcript:rna-gnl|WGS:NBSK|LSAT_7X23920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEDVCASDKDYVRALKEKKDADGQVFSKIENFVTSVQESLLKFDFTSKASISQDQMSSIVSSVESCFKTELSHILTLVLCLPNNAPRPVANVSQWGEWGFGLSKNSGEDKGKIVGKLISTQIPTSLPMKAIMTACTMSACCNFFDYNTSIPNVERYLSKLQ >cds-PLY64755.1 pep primary_assembly:Lsat_Salinas_v7:3:187400457:187401119:-1 gene:gene-LSAT_3X111660 transcript:rna-gnl|WGS:NBSK|LSAT_3X111660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPNVITYSTMLQGLFRVGHCKDADEVFNEMRANKLIPDECTYRIILEGLCNNNQVEKALSLFYLIGDNNLNSNIIVYNIVIDGAIKSGKFDIARNLFNELIVKGLTPDIWTYNTMIGGFCWEGVMGEAKDLFIEMEKRGCSPNDVTYRILLQGFLKNQQHDNIEMLLLEMEGKGFSLDASTVSMLLDHIKARSLDASLLKLIGKLVPKEGVDAPCCFTV >cds-PLY73019.1 pep primary_assembly:Lsat_Salinas_v7:9:37477698:37480611:1 gene:gene-LSAT_9X35121 transcript:rna-gnl|WGS:NBSK|LSAT_9X35121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLTFVLTLMSLSEGNFASATAITMSNIARPGCLTQCGNLTVQYPFGIGKGCSLDESFDLTCNLTYKPPKLFIGSGNIEIYSISDSEMRIYNRVAYKCYKASGNVTDELDAWTNLENTPFTFSQKNKFTVIGCDDFALIGGTTGAEFTSGCLGACSNANDVPDDGYCSGIGCCQTAIPKGLKFYQTTVLTIDNHTAVQSFNPCGLAFLGEEDSFQFGGARDLYNATEFYDRTIASVPVVVDWVIGGNSSCAQANTECKGNSFCSDADIGGYRCSCNKGYEGNPYLDPGCQDIDECRDKNNFPCYGHCDNTPGSYNCTCLPGHTGDARTADGCRPVAKNSKFPAMVFCLALVFGFVAMLSGITGICLGIRKRKMIKLREKFFEQNGGVFLKQKLKAPGASDNVTIFSTQQLKKATNNYSEERIVGRGGYGVVYKGILQDERVVAIKKSKLVDGTQAEQFINEVLILTQVIHRNVVKLLGFCLEEEVPILVYEFISNNTLFYHIHHGSGGVSSLSWENRLRVAAEAASALAYLHSQATMPIIHRDVKSANILLDENYTTKISDFGASRLVPLDHDQVTTLVQGTVGYLDPQYFHTSQLTDKSDVYSFGVVLAELITGKKPLSPDRINVEKNLATHFLNSVKENRFLQIVEPRVLREATVEQLQAVRELTRRCLHLVGESRPTMKEVAMMLEGFRKFTTHPWVYQETSESRSLILEVEQSDVYGVLQNRM >cds-PLY85169.1 pep primary_assembly:Lsat_Salinas_v7:9:146208800:146209216:-1 gene:gene-LSAT_9X93701 transcript:rna-gnl|WGS:NBSK|LSAT_9X93701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFQYASVMFSLSIAYSMVACLVLFPGGIETIGEIDADKVFMFAELIAICISMVVYAVALSVGPILWRKIEVHARNAMLIAAAAMAIAVHMVILRKIGGDYWLRLYANATFTVLATLACGFIFFVTSRDSVDSVGYFQ >cds-PLY95168.1 pep primary_assembly:Lsat_Salinas_v7:1:169791679:169794487:1 gene:gene-LSAT_1X113921 transcript:rna-gnl|WGS:NBSK|LSAT_1X113921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAMSTVISYFDDGRDNPEVIDPPQIEDAMDVTEHEHVHDTSQIALKPNVLATSSVRDLLECPVCLNAMYPPIHQCSNGHTLCSGCKPHVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQSYGCVGIYPYYSKLKHESQCVFRPYNCPYAGSECTVIGDIPHLVNHLKDGHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDDEAKNYSYSLEVGGNGRKMTWQGVPRSIRDSHRKVRDSFDGLIIQRNMGLFFSGGERKELKLRVTGRIWKEQ >cds-PLY79253.1 pep primary_assembly:Lsat_Salinas_v7:9:182135163:182136755:-1 gene:gene-LSAT_9X112021 transcript:rna-gnl|WGS:NBSK|LSAT_9X112021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKIFLLGLLISLLVTSFLQPSMGNPALLKAYCKSKCKGKCLKSGFLEKCMKYCEICCEECKCVPTNMFGNKHECPCYKDKKNNKGKPKCP >cds-PLY77215.1 pep primary_assembly:Lsat_Salinas_v7:3:246344760:246349627:-1 gene:gene-LSAT_3X136061 transcript:rna-gnl|WGS:NBSK|LSAT_3X136061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNDFADKITGGANACIAVDNYARMKEDVQLLKKMGVEYYRFSISWSRILPGGKVSMGKSLEGINHYNRLLDELEHHGIKPFVTLFHWDLPNALEEEYMGFLSSNIVKDFVDYADVCFWEFGDRVKHWVTLNEPYRFTYFGYVTGDAAPGRGGNDKDSNPGKEPYVVAYNLLNCHAAAYKKYQDEYKDYQKGEVGITLDCNFFKPYRGPKNDDDLKAVAYAYDFMLGWFLDPLTSGTWPKNMEKFVPALSSKCPPGRLPEFGVKEIERLKGSYDFLGINYYTANYAAPLSESRDAVPLGYRTDCHYWASGRDPNGNDIGKRAYTIDKTEDKGSFVYLYPEGLTGLLDYIIKKYDVHEKHIVITENGFPQLNNPDKTYEQIRDDTERITYIKEHIEAIKKARVSYRNIMGYFVWSFMDSFEWRSGYGDRFGMIYVDYKNNLQRYPKKSANWFRRFLTKDKPKKKTLEKVGLLKRALEVNVEYEEEDGVNDEMIEAEEPIEVIPKLKKAKA >cds-PLY66163.1 pep primary_assembly:Lsat_Salinas_v7:2:4701863:4707045:-1 gene:gene-LSAT_2X3161 transcript:rna-gnl|WGS:NBSK|LSAT_2X3161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESDYGLPRELSHLQKSRSLYQPELPPCLQGTTVRLEFGDATTVADSSGGHTISQSFPHTYGQRLAHFLRATAKVPGARIISTHPALRVGLVFCGRQSPGGHNVVWGLHEALKIHNPKSILLGFLGGSEGLFAQKTLEITDDVLATYKNQGGYDLLGRTKDQIRTTEQVNSAMAACNALKLDGLIIVGGVTSNTDAAQLAETFAEAKCSTKVVGVPVTLNGDLKNQFVEANVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNMVILAEEVAASKLTIFDLTKQICDAVQARAEEEKYHGVILLPEGLIESIPEIYALLQEIHILLRQGVSVDKISMQLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAELVEAEMNKRQKEGSYKGKKFNGVCHFFGYQARGSLPSKFDCDYAYVLGHICYHILAAGLNGYMATVTNLKNPCNKWRCGAAPITAMLTIKNDVGGSRAMTIGKPLLHPAIVDLRGKVYGLLRENARKLLLDDIYRNPGPLQFDGPGADSRVVSLYVEDLDYMGRIKELNEYLEKVRGIVKPGCSQDVLKAALSAMSSVTNVLSIMSSPTNTSA >cds-PLY70048.1 pep primary_assembly:Lsat_Salinas_v7:5:200951530:200953281:1 gene:gene-LSAT_5X91581 transcript:rna-gnl|WGS:NBSK|LSAT_5X91581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEDGNNGVLHGKYELGRQLGHGTFAKVYHARNLQTGRSMAMKVVGKEKVIKAGMMDQIKREISVMKMVRHPNIVELHEVMASKSKIYFAMELVRGGELFSKIEKGKLREDVARSYFQQLISAVDFCHSRGVYHRDLKPENLLLDEEGNLKVTDFGLSAFSDHLHQDGLLHTTCGTPAYVAPEVIGKKGYDGAKADIWSCGVILYVLLAGFLPFQEENVVAMYRKIYRGDFRCPPWFSSDARRLITKLLDPNPDCRCTISKIMDSPWFKKAAPKNPKFICEDDVGNLKGKETETLNAFHIISLSEGFDLSPLFEEKKREEKQEIRFATTKPAEVVLSKLEEMAKKVKFSVKKSGDDSSLRLQGNEIGRKGKLGIAADIWAVAPSLFVVEVKKSSGDTLEYNQFCSKELRPALKDIVWTSSTDKQTPG >cds-PLY65265.1 pep primary_assembly:Lsat_Salinas_v7:5:85094843:85096686:1 gene:gene-LSAT_5X40280 transcript:rna-gnl|WGS:NBSK|LSAT_5X40280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISSAAPTLTLPYTPSIINRTSPSTKTLSFSSSNSSFFTNTLKPLTIAHGNRSNLLNGKKKGLSCTCLFGLGVPELVVIAGVVALVFGPKKLPEVGRSIGKTVKSFQQAAKEFETELKNEPESVVESSDVSVVNKQEPEDSKVPSTKESL >cds-PLY86744.1 pep primary_assembly:Lsat_Salinas_v7:5:60225380:60227038:1 gene:gene-LSAT_5X28901 transcript:rna-gnl|WGS:NBSK|LSAT_5X28901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEETLTWRSTYKPEEIRWEEIAVESETGKLFRANFHDRFGRTILIMKPGLQNTTSMDGQIRHLVYMMENAILNLPEGQEEMVWLIDFTGLSFSVNVSIKTARDTISILQNHYPQRLAIAILYSPPRIFEAFWKIVKYFLDPKTFQKVKFVYPKNKESVELMKSYFDVDNLPTEFGGKATMKYDHQEFSKLMARDDVKASQFWGFDEKTILPPESLADPTTPKDC >cds-PLY67282.1 pep primary_assembly:Lsat_Salinas_v7:5:133133865:133138586:-1 gene:gene-LSAT_5X57840 transcript:rna-gnl|WGS:NBSK|LSAT_5X57840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLKDDDKPYRPNDKSSRVVPMGIMFIVLCGFSFYLGGIFCSEKNSFVTEEVVKSVESPKKTVSTPIQVKSVSFSQCSADLQDYTPCTDPKRWNKYGRHRLTFMERHCPPAFDRKECLVPPPDGYKEPIRWPKSKNECWYRNVPYDWINKQKSNQHWLRKEGEKFYFPGGGTMFPNGVSAYVDLMQNLIPGMKDGTVRTAIDTGCGVASWGGDLLDRGILTISLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCSRCLIPWAEFGGIYLLEVNRILRPGGFWVLSGPPVNYENRWRGWNTTVEDQKSDYDKLTELLTSMCFKLYNKKDDIAVWQKSSDNNCYKKLDTPDNYPPKCDDGTEPDSGWYTPLRPCVVVPDPKKSKLSLKSIAKWPERLHVAPERVSDVRGGSDGAFKHDDSKWKSRVKHYKKLLPALGTNKIRNVMDMNTVYGGFAAALIEDPLWVMNVVSSYAPNTLPVVFDRGLVGTFQDWCEAFSTYPRTYDLLHVDGLFTTESHRCEMKHVLLEMDRILRPNGYAIIRESSYFVDAIATIAKGMKWGCHKESTEYDIETEKVLICQKKLWYSKQSS >cds-PLY71980.1 pep primary_assembly:Lsat_Salinas_v7:5:120889920:120893329:-1 gene:gene-LSAT_5X53421 transcript:rna-gnl|WGS:NBSK|LSAT_5X53421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNHNNINTQQPLQLANDANAWYNDDRDSFLDIVSPQSLLFPHIRNERVGIDPWFWPPEDRIFTLPFSIMSFPQPRMHLEVLQNNLANHRNLRSREMDRSQTQTQPQTHNSNNQTTAIKKLRKEIYNPIPKKIIQRLSRFYSQKDGGKTTKEVYKEDDDYDNKRCVVCLEDFEAKQVVMVTPCNHTFHEQCILPWVRTHGRCPVCRFSFL >cds-PLY77627.1 pep primary_assembly:Lsat_Salinas_v7:4:275316802:275317382:1 gene:gene-LSAT_4X141301 transcript:rna-gnl|WGS:NBSK|LSAT_4X141301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMCFCGREAVVRTSWTLANPGRRFISCPQKGSRCRFLGWIDPPMCARSMLIIPGLLRNINNVNYQVARLKIKKLL >cds-PLY89192.1 pep primary_assembly:Lsat_Salinas_v7:3:22325556:22328240:-1 gene:gene-LSAT_3X16641 transcript:rna-gnl|WGS:NBSK|LSAT_3X16641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPMDSFNKKALAFMEKTKRSPSFIWRKIWKVGRDDPRRAIHSLKVGFSLTLVSLLYLMEPLFKGVGQNAIWAVMTVVVVLEFTAGATLCKGLNRGFGTLLAASLAFIFEFIAREYGKVFRAVFIGASIFLIGTSTTFLRFFPKIKKNYDYGVVIFLLTFNLITVSSYRVDDILKLAKGRTYMIGIGSGICILMSLFIFPNWSGEDLHNNTITKIEGLAKSIEACVTKYFNVEEPNLEIDETMEDPIYENYKAVLDSKSTDETLALHASWEPRHSVHCHKFPCQQYVKLGGVLRHFGYGVVALHGSLQTEIRTPISIRLLFKDPCIRLASEVTKALMELAGSIRNRRQCSPENITEQIHQALQDLDTALKSQPRLFLGPNSPYNTPKMLAMLTTTARQKFEHHLSNMNADSPSERKPKGVSETDKKVLRPTLSKLAITSLEFSEALPIAAFVALLVELVARLDLVIEEVEKLGRVACFKEFKHGGDVVVDVERRTKTEINVSSFATGE >cds-PLY72327.1 pep primary_assembly:Lsat_Salinas_v7:4:60504735:60505510:1 gene:gene-LSAT_4X40420 transcript:rna-gnl|WGS:NBSK|LSAT_4X40420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQPRIVGEEHYDTAQEVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVGLAETIRGFQLILSGELDGLPEQAFYLVVWDSEVKEIILSTNSGQIGVLPNHAPIATSVDIGILRIRLNDQWLTMALMGGFARIGNNEITVLVNDAEKSGDIDPQEAQQTLEIAEAALRKAEGKRQTIEANLALRRARTRVEAINAIS >cds-PLY83060.1 pep primary_assembly:Lsat_Salinas_v7:3:81256500:81262301:1 gene:gene-LSAT_3X63140 transcript:rna-gnl|WGS:NBSK|LSAT_3X63140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGATMMSETSNEDEEPQISEYSAQESIAALEVEGNRKRKASSCDFDSQNPFSSQSDYTHEGDKGTPITTDLLQPGGVFDWEKKMSAVSSMDEDDDLSFDHMVCDSSSKLIPNGFMRSDCTDLVMFVNAGAETMIESDSDIKFEADNFFEGGDTFQTNECMTDGGDFLFIYHTARLGNFHYRFNNLPEGYYYIDLHFVEMINTFGPKGMRVFNVLSDFDIFSVVGANKPLQLVDLRVSVKNNEAIVIRFEGIIGSPLVSGICIRRAPKLQDSDVKHEFLRCQNCATEIEVPSAQKKRMRKESVEQYEKRIQELTSQCQNKSDECYQAWMDLTATNEQLEKVRMELDNKFFESCSFDQTMEKQSEKIKDISSRYEHEKKIWSTAVKELSDKITVLKQDHAKLSREAHECVDSVPDLANMVSAVQALVSMCEDLKVKYSEEQAKRRKLHNQVEDAKGNIRVFCRCRPLNKSEASSGCSTVVDFNTASDGVLGVLTTGSTSTKKTFKFDYVFTPKDNQDDVFAQASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTSGNRGVNYRTLAELFKISNERSDTFTYNISVSVLEVYNEQIRDLLAPPSSTSKKLEIKQASEGFHHIPGLIEAKVEDVKEVWNVLQTGSSARVVGSNNVNERSSRSHCMLCIVVRAKNLLNGECTKSKLWLVDLAGSERLGKTDAQGDRLKEAQNINRSLSALGDVVSSLANKTNHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSEKDLSETLSSLNFATRVRGVELGQARKQIDTSELQKLKTMLDKTKQESQLKDESLRKLEESLQNVETKVRGKDQTYKNQLEKIKELEGKLEMKIGLQSQSEKKVLNLSEKLKAKEESNEVLQQKVVKELESKFGEREHSNSITYQHKVRDLEDKLQTQVQESKSYSNTLQEKIDELEKKLKEQKQDSDSILLHHKIKELEEKLKEQDELKNEMEERILKSSNIMNTQKMPSCKRPSLPRRNDFVGDEIKKKRLSRNSDVENIATPSTYGNTEKMGRKSEVPRPPTRMVNKPTTSTRRTLPHGFKEKETKKRMWA >cds-PLY72136.1 pep primary_assembly:Lsat_Salinas_v7:7:53707965:53712392:1 gene:gene-LSAT_7X38980 transcript:rna-gnl|WGS:NBSK|LSAT_7X38980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEQSIETPFLKAAEDCKSRNRWRTAIWFFISLTALIFICFASSLDWRVQVDDALKGHKATNKVQSSDEVESWKAVVAADDARCSEIGASVLNDGGHAVDAAVAVALCGGVVNPMASGIGGGGFMVVRSADTSETLAFDFRETAPAASTQNMYQNDITTKYSGPLSMGIPGEVAGLHKAWLKHGRLPWNSLFQPAIHLAKHGFQVAPYLANSISSNSDSIKKDPGLRSVFAPGGNLLQAGDTCYNIELGLSLEAIAEGGPDALYDGVVGEKLVDDVQSAGGILTMEDLRNYEVKVTNAVEVNTMGFTIFGMPPPSGGTLGIALVLNILNAFENTNDAKGALGLHRLIEALKHMFALRMNLGDPDFVDISKTASQMLSPSFAKKIQKRILDNTTFPSEYYLPRWSQIEDQGTSHFCIVDADRNAVSMTTTVNYPFGGGVLSPATGIVLNNEMGDFSVPTEISSDSLPPSPTNFIKPNKRPLSSMTPVIVTKGGQLVGVIGGSGGMYIIPAVLEVFLNHFVLGMEPLNAVQSPRVYHKLVPNVVYYENWTVIDGDHIELSDERKEFLKERGHVLQPKSGGAVCQLIVQNLKKKKLFYNKNDNKEVLRGMLTAVSDPRKDGRPAAC >cds-PLY64227.1 pep primary_assembly:Lsat_Salinas_v7:7:2127028:2128416:1 gene:gene-LSAT_7X920 transcript:rna-gnl|WGS:NBSK|LSAT_7X920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFHTNGFGSITMGSNARDLVALTNEALSISITQNKSIIDTNTIRSALHRQIWDLRSQVRSVQDHGILFYKIGRAVAQNVLLSNCPIDPISIYMKKKSCNEVDYYLYNWYFELGTSMKKLTILLYLLSCSAGSVTQDLWSLPGPDEKNGITPYGLVENDSGLVRGLLEVEGALVGSSRTCSQFDKDRVTLLLRPEPRNPLDMMQNGSCSILDQRFLYEKDESEFEEGDERQQIEEDLFNHIVWAPRIWRPWGFLFDCIERPNELGFPYWSRSFRGKRIVYDEEDELQENDSEFLQNGTVQYQTRDISSKEQGLFRISQFIWDPADPLFFLFKAQPFVSVFSHRELFADEEMSKGLLTPQKNRPTSLYKRWFIKKTQEKHFELLINRQRWLRTNRSLSNGSFRSNTLSESYQYLSNLFLSNGTLLDQMTKALLRKRWLFPDEMQIGFMEQDKDFPFLSQKDMWP >cds-PLY71991.1 pep primary_assembly:Lsat_Salinas_v7:8:147839964:147841252:1 gene:gene-LSAT_8X99861 transcript:rna-gnl|WGS:NBSK|LSAT_8X99861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVAEMDEPKTTTVPVSNAKVSPATIDYNHGHGALKRFPSIGGERELARDSYSNSLRDDCSETFELGFRDNGEKKEGKKPRRWRWKLWGFIHRRGGSNKDDDDDTFSRVNGVERSYSESWQDRTESKGGFNKKVLRSNSSVSWRNSSFNTTKKPNSETNGKNRKVGGDEFVLERNRSARYSPNHTDSGLLRFYLTPLRGSRRNGIGIGTGKSKPTSNSNSIARNMLRLY >cds-PLY79955.1 pep primary_assembly:Lsat_Salinas_v7:4:250035488:250036991:-1 gene:gene-LSAT_0X36681 transcript:rna-gnl|WGS:NBSK|LSAT_0X36681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNRRPNLLTRFRVREVHVTSRASKRQSSSEGVVATGESTTDQLRLFSVCRDSQVGACDHQLYTMILITFVVAIFHIFGVFYMVFGTNVN >cds-PLY70241.1 pep primary_assembly:Lsat_Salinas_v7:9:1097697:1100441:-1 gene:gene-LSAT_9X4440 transcript:rna-gnl|WGS:NBSK|LSAT_9X4440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ISA2 [Source:Projected from Arabidopsis thaliana (AT1G03310) UniProtKB/TrEMBL;Acc:A0A178WGA8] MISLSSATQPSYLTCGTIKVSKLIIADSCKYGRRVAQTPGRINVEQNLVFGLVAKNMIKDSCHARRISVLAASTFPVIQPANKLSTYLFRTEKGGHVKVITELKNDKYRVNLEVSPLHDNDDDVIMSWGIFRSDSSSLIPLESSDSGISETPFVNKSLNKLSTELEFDASLAPFHVSFLLKSHFGGEKIEIRSHRRTNFCFPVGIRSGSPAPLGLSYSADGSINFALFSRNAKGVILCLFENKSKENPALEFDLDPYVNRSGDIWHASMDSDMNFVSYGYRIRNSGQDKSYEHQVLLDPYAKVIGEKFIGEICKQPTFDWSDEIRPCLPMEKLMVYRLNVTDFTKDPSSNLSKEVGGTFLGVSEKLDHFRNLGVNAILLEPIVPYDKQVGPYFPSHFFSPRNPLTIQSLKEMVKRLHANGIEVLMEVVLTHTSQTASLTKIDRPSYYLSTKNELNCAHPVVQQLILDSLRNWVIEYHIDGFCFINASSMLRGFNGEILSRPPLIEAISFDPILSNTKLIADSFDPVQKSTKEIQFPHWKRWAEMNTEFFRDTRNYLRGETLVSNLATRICGSGDIFLNGRGPAFCFNFVSRNHGLTLVDSVSFSNTSELSWNCGEEGATKRKSVLETRLKQIRNYLFILYISLGVPVLNMGDECGQSSGGSVNRSDRKPFNWNALQTGFAIQTTEFISFLSALKIRRSDLLQRREFLKVENIDWYGTNLSLPNWEDPTSKFLAVSLKVEKEINRDDENMLDGDMFAAFNGGDEAVTATVPPPPADMAWVRLVDTSLPFPGFFSVTGDSIPEMMPGAPAYEVASHSCVLLEARRRIDG >cds-PLY94991.1 pep primary_assembly:Lsat_Salinas_v7:5:5038717:5042467:1 gene:gene-LSAT_5X2601 transcript:rna-gnl|WGS:NBSK|LSAT_5X2601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNTVVGEPMDLSEMRSETVGEKRPLENGVDRESGIKKAKGGGIDVGLASNVKKVAEIVLVLATMGKMRGGRKPTAMEVQMMAEAREKLADVCKEFAPKDIFPRDAFGSVIEDLGLNRLREQRLGIRPPKTSIAEKLQLTKQKMEKSEVFPLHSNMYTPPRLQSNISGATENRGASVRMFPSDKTNHTPVSSGSFQNPSNVVHASASNTRALPYQLPTSEVRPGVSNVIHMGRDSSLSVPRAERPHFRIDGGANGSYNTSQSQGKTPSWSMQPQAASFAKAGSDKVKADGSSSHVITSKPFITQGTPTPMNTNHVPHRMNFIQPPSVTDTHSEVSKIVQKLLHPHLPDHPTWTPPSRDYMTKALTCQMCKGTINDVDTVLVCDACERGYHLRCLHCNPKSIPRGEWQEWHCAKCLTISNGKPLPPKYGRVMRNISTPKLSSNTLTDQPCLDKKSNGISVSQTASGETNSKLTEVENEKHDKSCLVEVERSNEEKFAMLSAPQAPANLEAVTSFENHHTKTDAQSQNTNSSNVQEVSHSDSGQEPGVNGSANKEEGEEGDPVKKVETHDVVVGENEDPLLSSIAMNEVEWIGDVVKEMDGKTYYQSCCINGTSYALKDYALFSSPGNKYLPNKLQEMWEDEKTKKKCVTVTRCFFPDDLPEGVGRPCAPETNEVYESNHESTLLAGLIDGPCEVLPPSKFSEENVVRNRLRARGSDRRKPLFLCKWFYDEIKRLFRDVTC >cds-PLY94010.1 pep primary_assembly:Lsat_Salinas_v7:3:179285281:179285457:-1 gene:gene-LSAT_0X14001 transcript:rna-gnl|WGS:NBSK|LSAT_0X14001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEIKVVGISKAPCDYHCSTSTDGKKFCCCGDTGMCFPLIDTCRRVCRFSQRCCGKRL >cds-PLY73426.1 pep primary_assembly:Lsat_Salinas_v7:4:179379259:179380311:-1 gene:gene-LSAT_4X106281 transcript:rna-gnl|WGS:NBSK|LSAT_4X106281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESSHLPPPLPPKKSNKIRDIVRLQQMLKKWKKTATSKNNNNDDNGGVPIKTTTTKGLNKFLKKTLSFSDISSSSTGQEDVVRKGYLAVWVGRDEDAMKKFVIPTDYLTHQAFSLLLRDAEEEFGFQQEGILKIPCDVPLFENILKTMTDNKKNHQQQQRSTLKSSSSTSSFFLYDDDQQEQQQTEQLNCCTSSATPPPHHQRHRSSTFDSQLLKTPPTTPTHNLPLYCR >cds-PLY78693.1 pep primary_assembly:Lsat_Salinas_v7:9:47648715:47649761:-1 gene:gene-LSAT_9X44300 transcript:rna-gnl|WGS:NBSK|LSAT_9X44300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRQRPPMKRTTSMTEFSLDLNHTTTIDGGRGNSQSPHDHHSSPFNTINPPRSTDGTVDPRFFSPTVTSKIHRRNSADYTQTSHFLRACHLCNRQLIAGRDIFMYRGDSAFCSLECRQQQMNLDEKKDKCSMSTKTSVATTSSGASETVAAM >cds-PLY75436.1 pep primary_assembly:Lsat_Salinas_v7:7:75714997:75718753:1 gene:gene-LSAT_7X54620 transcript:rna-gnl|WGS:NBSK|LSAT_7X54620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTDTTNFDLRSSFGASEYTFADVDNLDHCAKYLNQTLVTFGFSASLDLFATDPVSIARTCNCLYSLLQQRQRDIEFRESTNDQRQRLSSDISRLEAKVERLESQLSAKDREIATITRTEAKAKAGLKGQIEKLQQERDEFQRMVIGNQQVRTQQIHEMKKKEKEYIKLQERLNQVMMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKADNDFYKKIVDAYEAKNQELVAENADLRALLRSMQVDMRDFLNAPNGSSKHPSPVRDADPTQSPLGGRTDVFDLPFNMGRDQIEAFLRNKVASIKERMGQLQDAQKEAEVTSEATERELELEAQLVEARSIIQEQASIMSKHLAKSEKPRRLSGLINSERDSIISSSADGMGSWEGKKQKKTAFTLGLL >cds-PLY62237.1 pep primary_assembly:Lsat_Salinas_v7:5:161810361:161810660:1 gene:gene-LSAT_5X70680 transcript:rna-gnl|WGS:NBSK|LSAT_5X70680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQISDDAKDHQYPPPRSTVAVFMESSHPPSTTTKLEAAAVVAWFFLHGSRRQIFSPLRSVLLLHPKPPVADASPSSCPSPATSLLDSMSRITVARDEI >cds-PLY82308.1 pep primary_assembly:Lsat_Salinas_v7:1:69185370:69189121:1 gene:gene-LSAT_1X60601 transcript:rna-gnl|WGS:NBSK|LSAT_1X60601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASYMKYLKFLQTIFFLYVLVTVKNVTGSTLSHDEECSALFQFKQSIIHQDDVACGAHGSQVFHSWNNSFDCCSWEGVTCSNDHDQYYGQVMGLDLSERSLCGRINSNSTLFNLVHLQRLNLSGNDFGESEIPSEIARLKQLRSLDLSYSGFSGQIPTEILQLFQLSSLDLSWNSLKLHNPSLKDLVENFTALEELHLSGVDISSSVPHFLANFSSLKSLKLRDCSLGNEFPAAILELPKLQVLNLADNTNLSGSFPEFHGKSLLKEVILGGTGFFGIIQESISHLKHLTVLSLSYCSFSGRIPRSLSNMTQLTYLGLGENHFTGSVPSLVSLLNLHGLVLDGNKFEKGRFPNWLGKLSKLSKLYLSDMNINGEIPLFLSNLTKLSEVGMDRNSLTGGIPSWLFNLTQLTYLNLQMNQLQGPIPNTFSNFKSLKYLHLGGNNFSGRVELDMFLGLNKLQTLWLGYNRISLVTTNNYTNTTLPEFEWLGLSSCSLKEFPAFLRFQNKLTALLLDHNNIDGLVPVWIWNNTHETLELINLSFNYITGFDQHPHFLPLTNLEAFFISNNQLRGQLPIPPQTTVIYSVAHNNLTGKIQPSICELKSLQLLDLSFNNMSGTLPPCLGILSNSMIFLNMRQNNFHGKMMDGFMLGSLLKSLDLSENRFTGQLPRSLTNCTNLEVLSLGDNSFHDAFPFWLGTLANLQVLVLRSNKFYGPIQGSTAVSTRFPKLQIIDLSNNSFSGQLHPNYFQTWSAMRSENLGKSSVMESVISSNFSILYTMTLIHKGVRTEYTHILTIDMAIDLSCNKFEGEIPASLQDLRGLQALNLSNNHFTGRILPSLGNLTNLEAFDLSRNDLSGEIPQQLLQLGFLSIFNVSFNHLQGRIPEGKQFDTFENSSYIGNPRLCGRPLSKECQDHPKAPSRLPPTTSVSESLFPRESIDWTIISCGFGSGLSIGIFIGNFLHTRYSDRFTKRRTDG >cds-PLY71746.1 pep primary_assembly:Lsat_Salinas_v7:3:47231428:47233739:-1 gene:gene-LSAT_3X36300 transcript:rna-gnl|WGS:NBSK|LSAT_3X36300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ C76, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23240) UniProtKB/Swiss-Prot;Acc:Q9FMX6] MHSMIFSPYTLTPSSSSIIPLTSLKSKSFITASPNFWRKSRSDSASVICNVSGTSSSSSITDFDLYDLLGVDSSSDHLAIKQAYRSLQKKCHPDIAGAAGHDMAIILNEAYAVLSDPLSRFSYDKEQAKVADFKGYTGKPIYSVWYGSESEQRAIFVDEVKCIGCLKCALFAENTFAIESVYGRARVIAQWADSENKIQEAIDACPVDCISMVERSKLAALEFLMSKQPRGNVRIGAGNTVGTRVSNIFVEVDKFQDQFHAVMEKQSSKHSKEQDLHWEARMSAIQVIRSFTNWLYWKPPIPGMPASQTSRKLLQIPENCTQSNSPNINKLKEAVAARKQSQTATKPKPNDSPEYWTPSAFILPESTKNNSDPKASPNTTTSKKPKGESYSDKFVGSKIRRRSNGYEIGVPVGMAVVAAVVVRVKLGDASGGGIETHVGGSLLLDVVNSSWLQVILAGVTWYLVGMAAVELLAVFRIKSDDTLE >cds-PLY93969.1 pep primary_assembly:Lsat_Salinas_v7:8:234526867:234527176:-1 gene:gene-LSAT_8X142481 transcript:rna-gnl|WGS:NBSK|LSAT_8X142481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDLFGSLSKSFSSSNALALATSTSSITTKVHPPANTNPYLTFDTSSTSRAFDDPFGDGPFKFVPSTDGFSA >cds-PLY92434.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:980729:983394:1 gene:gene-LSAT_0X34021 transcript:rna-gnl|WGS:NBSK|LSAT_0X34021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRKPPCAAHLIILILCSLLLSTRVTARPFVLVLSQDDLKDGPVDESPDDPSSVADWDEFGESDARSDDDLDPGSWRPIFEPDESNSNSAEEFPINPQLEEYYSSVRKMVAAVSDGGRAMEDVASEIESAAATGNPHAQSLLGFLYNTGMARERSGAKAFMYHHFAADSGNMQSKLALAYTYSRQDVHDKAVILYAELAEAAVNSFLISKDSPVIEPVRIHSGAEENKEALRKSRGEEDEDFQILEYQAQKGNAVAMYKIGIFYYFGLRGVRRDHAKALWWFSKAVDKGEPRSMELLGEIYARGAGVKRNYTKALEWLTLASKQKLYSAYNGMGYLYVKGYGVEQKNYTKAKEYFEKAAENEEAGGHYNLGVMYLKGIGVKKDVKLACKYFIVAANAGQPKAFYQLAKMFHTGIGLKKNLPMATSLYKLVAERGPWSSLSRWALEAYLKGEIGKAFLLYSRMAELGYEVAQSNAAWILDKFGEASMCMGESGFCTDAERHQRAHSLWWQASEQGNEHAALLIGDAYYYGRGTERDYDRAADAYMHAKSQSNAQAMFNLGYMHEHGQGLPLDLHLAKRYYDQALEIDPAAKLPVMLALASLWVRRNYANSFMVDLIDSLPGVYPKADEWIKNVVMEEGNATILALFVCLLTVLXXXXXXXXXXXXXXXXXALMTTVHRLSIEN >cds-PLY68417.1 pep primary_assembly:Lsat_Salinas_v7:8:23472749:23474863:-1 gene:gene-LSAT_8X17900 transcript:rna-gnl|WGS:NBSK|LSAT_8X17900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] MNKLIVPFSENLTSINGGVVSSVRSLIQNPISLRFLSTSTATPIASTSVLSSSSEEVFDMTSHIIQEKDLLRKSPNGGLRVLDLIDHGFLEPDAKLYSQMLNKCTQLGKIKEGKMVHTHFMNSKFSHYLVIQNTVVNMYAKCDCLDDAREVFDKMPVKDMVTWTAIITGYSQNDKPEEALLLFPQMLRLNLKPNHFTFSSLLKAAGARGNEREGEQIHGYCLKYGYASNVYVGSALVDMYCRYERMSEAHFVFNQLEDKNEVSWNSLIAAHSRKNEGDKALLLFQKMQMDNYNPTHFTYSSLFSVCASTGSLEQGKWIHAHMVKSGQKLIAFIGNTLVDMYAKSGSFDDAIKVFNRLTKPDVVSWNSILTAYAQHGLGVKTLQHFEKMIKTGIQPNSVTFLCVLTACSHGGLLEKGEFYFKMMKEYNIEPEVSHYVTMVDLLGRAGKLDVALSFITKMPFEPNAAVWGALLGACRMHKNMELGAYAAERVFELDPFDSGPHILLYNIYASGGKWNEASKVRKCMKEIGVKKEPACSWVEIENCVHMFVANDQTHPEKNKIYKKWEEISERIKEIGYVPDTSHVLLFVDEQEREAKLQCHSEKLALAFAIMKLPPGSTIRIKKNIRVCGDCHSAFKFVSLLVGREIVLRDTNRFHHFNGGSCSCGDYW >cds-PLY78343.1 pep primary_assembly:Lsat_Salinas_v7:5:210036918:210038777:1 gene:gene-LSAT_5X95340 transcript:rna-gnl|WGS:NBSK|LSAT_5X95340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILQSSFTSWIDHFLDYMGGCFGCCSNSPPITAVDEPSKGLKIQGQTVKKSIFSDDFWSTSTCDIDFSAFQSQRSLSSISVSNTSLSQSSGATCTGIQSEFVNHGLLQWNQTRLQWVESGKPNKRKAQEPVLSWNATYENLLGTSRRFPQSIPLSEMVEFLVDIWEHEGLYD >cds-PLY76651.1 pep primary_assembly:Lsat_Salinas_v7:4:118024612:118027537:1 gene:gene-LSAT_4X73321 transcript:rna-gnl|WGS:NBSK|LSAT_4X73321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKQKERKRKPTELKCSPAKLLKSGTVNNLTVNDPYPNHPRPSSEECRAVRDDLLAFHGFPQQFVKYREQRLKLLPNEDEYGSTTSPQAELVVSSKESVLDGLVSIILSQNTTDANSQRAFSSLKSAFPTWEDVLAADSKCIEDAIRCGGLAPTKASCIKNMLNCLHEKRGKLCLEYLHDLSIDEIKTELSQFKGIGPKTVACVLMFNLQQDDFPVDTHIFQIAKAIGWVPLDADTKRTYLHLNTRIPNELKFDLNCLLFTHGKACKSCTKKEGQTLILGCVLYFTSQTMEPLGDRKLKLFGFLIDPCVKNSEDRSINVSKKEERSKIPSNPKKYKCPFCFKKFMNSQALGGHQNAHKKERMKKKKMELQANRAKFNLYFGALLSDIHDPTLSFNGISSDFTFYSLDNYQNVNFSNTHIVNLPFLSSCSKFEQDGFTLGIGNNGTC >cds-PLY87830.1 pep primary_assembly:Lsat_Salinas_v7:2:65558523:65562622:-1 gene:gene-LSAT_2X29221 transcript:rna-gnl|WGS:NBSK|LSAT_2X29221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVRNNSSNGREPILSPRSLTSPWGLTSPRPLTVQPTQEPVRPLANFPPSIWADRFISFSLDNNELEAYANALEGPKEAVRNLITDTTFDATAKLKLIYSVHRLGLSYLYPEEIDTELNEIFKKIDLQYYEHVDLYTISVQFQVFRHHGYKLSSDVFKKFKDNNTGTFTDDVTKDVKGMLSLYESAHLRLHGEDLLDEALAFTEAQLKRIVSTLEGDLARQVNQVLKRPFHTGMPMVEARLYFSTHEEDFSSHESVVKLAKVHFNYLQLQQKEELRMVSQWWKDMEFQTSVPYIRDRVPEIYLWILGLYFEPYYSRARIIATKITLFLVVLDDTYDAYATIDEIRSITDAINRWEISAIDQLPEYIKPFYRILLNEYDDLEKEYSKDGRAFSVHASKQAFQEIARGYLEEAEWLHNGYVATFPEYMKNGLITSAYNVISKSALVGMGAIADEEALAWYETHPKILKASELISRLQDDVMTFQFERKRGQSATGVDAYIKEYNVSEEVAILELMKMIENAWKDINEGCLKPTEVSVALLTPILNLARMIDVVYKFDDGFTFPGKTLKDYITLLFVTPPPSIENC >cds-PLY92094.1 pep primary_assembly:Lsat_Salinas_v7:4:106280307:106282403:1 gene:gene-LSAT_4X69241 transcript:rna-gnl|WGS:NBSK|LSAT_4X69241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVWHDIKSGSFQFNEEMMESLFGASSVQNKNENGKAPANLQSQPKLVQIIEPKKAQNLAILLKALNVTTQEVSDAVREGNELPVEFISTLLKMAPTQDEELKLRTYNGDVALLGPSEKFLKALVDIPFAFKRLEALMFMASLPEEYSSVKESFATLEVACTVLTNSRLFLKLLEAVLKTGNRMNVGTYRGGAEAFKLDNLLKLSDVKGTDGKTTLLSFVVQEMIRSEGTKAARRARANQSSSKDTSQSQKPSKEPPENYRKFGFEVVSKLSEELEDVKKAALIDGDTLTSTVLKLGHMLRRTKDFLYNEMKNVEEDTEFQVFLMGFVERAEADIGWLLEEEKRIMAVVKSTGDYFHGTSRKDEGLRLFVIVRDFLLLLDSVCNDIKKTAAIQAKKKRSDSATASMEGSFGPKDIRDKLFPYNKDGQLGYSSSDEESDSPSF >cds-PLY67921.1 pep primary_assembly:Lsat_Salinas_v7:5:298676399:298676913:-1 gene:gene-LSAT_5X159900 transcript:rna-gnl|WGS:NBSK|LSAT_5X159900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRINGNFIDKTFSIVANILLRIIPTTSGEKEAFTYYRDGLGLKEWLGQQTSISFVFWIPV >cds-PLY74934.1 pep primary_assembly:Lsat_Salinas_v7:9:14614630:14617456:-1 gene:gene-LSAT_9X12660 transcript:rna-gnl|WGS:NBSK|LSAT_9X12660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKIRDLTEDFKDAVNMGALSLGFKEAKRAALMASFIMHKPRERSHFTKTALKTLQGIGILEQFLIKHRKDYVDSHLTTEEERDSIEHEVSVFVKACKEQIDILKTSITDEEANAKGWAGTRGDDANADTIAHKHGVAVEATKNVEMGNKELTQAIQRNKSCQVFLLLFIAALIIAFLFVDLYND >cds-PLY99178.1 pep primary_assembly:Lsat_Salinas_v7:6:129569511:129571978:1 gene:gene-LSAT_6X78800 transcript:rna-gnl|WGS:NBSK|LSAT_6X78800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKLGFTITSSRFFTAPFRRPSVGLSLSGSNRFAASKVHSIQLIRRELVSRRRLIILSPKATTDQPGQVKDDEVVDSNIMPYCSLDKQQKKTIGEMEQEFLQALQSFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQKFLEASMAYVSGNPIMTDKEYDQLKMQLKKDGSDIVAEGPRCSLRSRKVYSDLSVDYLKMFLINVPAAVVALGLFFFLDDITGFEITYLLELPEPFSFIFTWFAALPLILWLSFTLTNVIVRDFLILKGLCPNCGTENTSFFGTILSISSGGTTNTVKCTNCQTPLVFDQDTRLITLPEGSEA >cds-PLY69476.1 pep primary_assembly:Lsat_Salinas_v7:6:44251617:44253488:1 gene:gene-LSAT_6X31460 transcript:rna-gnl|WGS:NBSK|LSAT_6X31460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISSIFKLTLFLIITSTATSSGDTITTTCTAIHQQISTISKCNYIKTNPGCDPNGYINYLQIFYCNFSQFPQLGFILLLLWLVVLFYILSNTASEYFCPAVEHLSKTLNLSPAIAGTTLLPLGNGSPDVFSSIIAFTGTSDGGEIGLNSILGGGIFVSTVVVGVISILITYHRKVVILDRPNFIRDVVFLLLTLSNLLAIIIIGKITLWASLLFVSTYIIYILLVSYMHFNTMKKQKTILNAIDKDQDTRVPLLCSVDEENVLHVTETHVPCEEQAVSSMQKSYIGTFFYVINVPFDLPRRLTIPMITKERWSKPLLVISATLAPLMVALIWNTQEEILGSNSSMVIYFVAGSTGIVLGTCTFAFTSSRNPPEKCLVLWYALGFLMSVTWTYITADELVSLLECLGNIIGISPSVIGLTILAWGNSIGDLTANVAMAMYGGADGTQIAMSGCYAGPLFNVLIGLGLSFVLVTRSNYPASYLIPQDPDMCETIGFLMIGLLWALAILPKREMRLDYTLGGGLLVIYLCFLSIKIARAFGLLSV >cds-PLY92290.1 pep primary_assembly:Lsat_Salinas_v7:2:210920821:210922704:-1 gene:gene-LSAT_2X133260 transcript:rna-gnl|WGS:NBSK|LSAT_2X133260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMHMRTKAPEPTMAAAGAGGGDNEWEVRPGGMLVQKRDPNEEQTRIPPPTIRVRVKYGSIYHEIRINSQATFGELKKMLTGPTGLHHEDQKIMYKDKERSSKTFLDVVGVKDRSKMVVLEDPISQEKRYIEMRKNAKMEKAAKSISEISLEVDRLAGQVSALESVISKGGKVAEKTMLNLIELLMNQLLKLDGIMAEGDVKLQRKMQVKRVQKYVEALDVLKIKNSMPEQPPAEQKHSNGHGSAASPNHPFPKSRRSVGHFPPIPVAPKQQPPPQPPRNSGEVVVTTQWETFDSMPTAAPPSSSSNTIHHPKFSWDLL >cds-PLY82903.1 pep primary_assembly:Lsat_Salinas_v7:6:93828571:93830712:-1 gene:gene-LSAT_6X62361 transcript:rna-gnl|WGS:NBSK|LSAT_6X62361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSILQIMLKRSSFSSTHLLQILKSLNKTQIYPFSTSNGNGSCSESETDWGKLLKPFDIEQLRESLHRITPLQLQKLLHLPLDVSTSLEIFNSASTQKGYAHTFDVYYALIDKIGAVGEFKTIDRLLMQMKDEGIVFQETLFVMIMRHYQRADLPGQARRLLLVKMRDVFLCQPTSKSYNAVLDILVQGGCFKDAPKLFDEMLERGIPPDVFSFAIVMKAHCSINEVDSACTLLRRMTKYGCAPNSKVYQTLIHALSKDNRVDKALTLLEEMIVMGCTPDLETFNDAIHALCRSNRIHEAAKLMDRMLLRGFTPNALTYGVLIHGLCRNKQVEEAKTLITKVHEPNSIMYNMLVNGFIAKGQFDEAKAIVSEKMSINGCHPDIHTYTSLIHGLCKTGHLVSAHDLMKEMESKGYEPNTITYTILINGFCKNQRFDEASEVIQEMSQKGLSLNTIGYNSIIHSLCKYGDVYKAVNLFEEMRMNGCKRDIFTFNSLIFGLCEIEMIQHALRMCQKDMVLEGVVADTVTYNTLIRSFLKQGLINDAFNLVDTMLFHGCSLDEITYNGLIKALCRDGAVEKAFDVFGKMREKDFNQTTVSCNLLMNGFVKTGKLQKAFDFLQDMENSGMIPDIVSYNTLISGLCKMRNVEEGMKLFEVLELKGMSPDVITYNTLISSYCKESMFDKAYLLLSRGVVKGFVPNHVTWYILVSSLLKELQ >cds-PLY81685.1 pep primary_assembly:Lsat_Salinas_v7:3:159874438:159874778:1 gene:gene-LSAT_3X99921 transcript:rna-gnl|WGS:NBSK|LSAT_3X99921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYERLCTHAESVAFFGGGAREKAMIESRFNELEYGLSPNNYLFLAFGDILELLRKFIELSGGVNWIFELEELLDAAQLG >cds-PLY73215.1 pep primary_assembly:Lsat_Salinas_v7:8:178821300:178824409:1 gene:gene-LSAT_8X116020 transcript:rna-gnl|WGS:NBSK|LSAT_8X116020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TLP18.3 [Source:Projected from Arabidopsis thaliana (AT1G54780) UniProtKB/TrEMBL;Acc:A0A178WHT4] METILAPHSFSSLFNLKSSLQQQQNPKSNSNAFTLANAKPICCNLKKDSVFQSFSAIPNTTNWVSHVQRGLAALAISLALNFTPVLAAGSAFASEFDVLNDGPPKESYVLDDAGVLSRVTKSDLKNLLSDLEYRKKIRINFVTVRKLTSKADAFEYADQVLERWYPTLEEGNNKGIVVLVTSQKEGAVSGGPEFIKAVGDTVLDATVSQNLPVLATDEKYNEAVYSTAKRLVAAIDGLPDPGGPEAKENKRESNFKTKEETAEKRDQFTLVVGGLLAIAFVVPMAQYYAYVSKK >cds-PLY85110.1 pep primary_assembly:Lsat_Salinas_v7:1:45558307:45558945:-1 gene:gene-LSAT_1X39880 transcript:rna-gnl|WGS:NBSK|LSAT_1X39880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCCGEDDMHKSYDNGPYMANNAAGNNGGYRTTEAAPKDTQAVAIQPIAVPTVQVDELKEITDNFGTKSLIGEGSYGRVYHGVLRSGQAAAIKKLDSSKQPDQEFLAQVSMVSRLKHDHVVELLGYCVDGGLRVLAYEYASNGSLHDILHGKC >cds-PLY84731.1 pep primary_assembly:Lsat_Salinas_v7:5:230415341:230416477:-1 gene:gene-LSAT_5X110681 transcript:rna-gnl|WGS:NBSK|LSAT_5X110681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIPQFKSLSQSTGIEDSFFNFQWPVNSIDNQLSSMAAFGEKKTHDHHLHAHAPFFDETVMESSSRPTKELKNHSNNLPSSQFGNQNYYVFNQGFQGSNSGGAKMSSTSNNTRVPPYQDHILAERKRREKLRQHFIALSALLPNLKRMDKASVLGDAIEYTKILQEKVKILEEQTQKKANIKSVKFEVVVDGGEISSSEKISGVPEKLPEIEARFSGKNVLIRVHCEKKTGVVENTLAEIEKLHLSVISSNAMIFANSALHITIIAQMDRDLIITMEELVKNLRFGLKKFM >cds-PLY76037.1 pep primary_assembly:Lsat_Salinas_v7:5:318702910:318704649:-1 gene:gene-LSAT_5X175160 transcript:rna-gnl|WGS:NBSK|LSAT_5X175160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSAANSLFGKYDVGKLLGCGAFAKVYHARDIKNGHSVAIKVINKHKIAHNAHLVSNVKREIDIMRRLRHPNIVELYEVMATKTKIYFVMEFVKGGELFAKVAKGRLSESHSRKYFQQLISAIGYCHSRGVFHRDLKPENLLIDENGDLKVTDFGLSAVTGQIRADGLLHTLCGTPSYVAPEILTKRGYDGAKADIWSCGVILFVLNAAFLPFNDANLMMMYKKIYKGEYKCPKWMSHDLKRFLSRLLDTKPETRITVDEIKTDPWFRKGYKELKLEDDVQIVGRPEEDDKSTSLNAFDIISFSSGLNLSPLFDGTSSSPGKGERLVVAESPEKIIEKVEEIVKEANVRIQKRKEWGVDLVNRSGKYAIGLEVFRLTENLVVVEVQSSGGGTDFYDELWNNKIRSELLDRRHVQVPTTSGSPAGYVSEVCT >cds-PLY78656.1 pep primary_assembly:Lsat_Salinas_v7:9:51671723:51673829:-1 gene:gene-LSAT_9X46781 transcript:rna-gnl|WGS:NBSK|LSAT_9X46781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLLLRPPLPLPRSSPFIIRNPHQIPSNFNPRKHFLNFKPFLSVATNALTESSDSPKSLDPPDPQSLLQELADSFNLPPDYLSQLPRDLRLDLNDAAFDLSNGPVIEQCGQELGMTLLNISRAWESADLSTSSALVNNLPLLVNSLTSNNKSALGKRLVSAGRRFESMGQYGQGELQRISKAMISAGNLLSLTPVSESTEESKEETRMFKFGELQVELTREKAYIGAAIGFVYGIISWELSQGIQSIPESSFQYANENALLIAKSLRGALLVIFYGSTVLSGFATIGLVLLARELKSGKK >cds-PLY87649.1 pep primary_assembly:Lsat_Salinas_v7:1:122890477:122899376:1 gene:gene-LSAT_1X93060 transcript:rna-gnl|WGS:NBSK|LSAT_1X93060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKSTLLGHRLKRLLRNLRVCSNQPGGEKACFFYINSAVQAAMKDALVRILTPRRSIDILRNVHVSKEQRKPYVVVFVGVNRVGKSINLAKVAYWLQQHDINVMMVACDTFRSGAVEQLGAHARRLQMLAPNGVDTWKLDLDVELLKKGVVGVVSKRNFFC >cds-PLY97749.1 pep primary_assembly:Lsat_Salinas_v7:4:374933290:374936533:1 gene:gene-LSAT_4X185621 transcript:rna-gnl|WGS:NBSK|LSAT_4X185621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTYFPLRWESTGDQWWYASPIDWAAANGHYDLVRELLRLDGNHLIKLTSLRRIRRLEVVWDDEEQFDDVAKNRCLVARKLLYEGESKRGKSSLIGAGYGGWLLYTAASAGDLHFVQELLQKDPLLVFGEGEYGVTDVLYAAARSKNSEVFWIIYDFAMSPRLMSGSEEQIGDNEIPCGYKQEMKNRAVHALARGGNLKILKEVLGDCSGEDVLGFRDVQCSTILHTAAARGQIEVVKHLISSFDIINSTDKQGNTALHTAAYRGQLSAVEVLIQASPSSVHSRNDAGETFLHKAVTGFQTPTFRRLDRQIGLMKQLVCSTTCKIEETINAKDNGGRTALHLAINGNLHSDLIELLIIVGSLDVNIRDNNGMAPLDLLKQRPQSASSELLTRQLISAGATLSSQDYTARKLIASRLKMGGSGGGGGASPGSSFKLSDSEMFLYTGMESTSSTAFGTPVFSMRSGELSQLDSSSNNSNSNVESKKKKQKGIQRFLRWIRKRNGNGGLVLTKNVKDIPVPLRQQYSTSLLNNKRTLAARSNLPSPTVKKKLASGFVNGVMQAMPHLNRGGSRSNSFSKSSLSSSQNSLDDHKGVGIDVVGSLSSNQMFDDGVDDEEQGVVNSVRRSTVNQCFCFGSHERLVEGSGDDKQQHES >cds-PLY90134.1 pep primary_assembly:Lsat_Salinas_v7:7:14738257:14740956:-1 gene:gene-LSAT_7X12340 transcript:rna-gnl|WGS:NBSK|LSAT_7X12340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKESKQAKASESEDGVDMISRMPDHVLQLILSRLQGTEEAVRTSILSTRWRHLWIPIPCVDIDDFRGMNLLQRFDKTKFREFVFWVLANKSVDLDSFRLSYVFYYNKSTLGQWIHIAVMKNVKKLDLVLSPRNKSEVVKVPHCLVTCSSLEELRLSLSGCRLSVPNIITGFSALKVLVLKKVDLFDDFSVKYFFESCPLLEDLWLIDCFIHELYTLRISCPNLKSLTIINKAGVSYEVFKKNKGMFDTLKISCPKLVSLMLSGYVAGEFIFETSMPSLKEVVIHPEELTEDERPLVDGFVGISHVEFLCITLYCVSMCYFPPNDVPVSLPNLKTLEITTGVFAMNDLIPFLICFPHLESLRLFITQVDRLEDWKLDDIASMRILTRRLKLVEFLGFDGDDRKLAIARAILEHGDALEEMVFLWQQDAKHHEKSMEAMKEMSKFHKASSTVKLTTVLQPAHPRFKL >cds-PLY87752.1 pep primary_assembly:Lsat_Salinas_v7:5:200311777:200312653:1 gene:gene-LSAT_5X91241 transcript:rna-gnl|WGS:NBSK|LSAT_5X91241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ENODL3 [Source:Projected from Arabidopsis thaliana (AT4G28365) UniProtKB/TrEMBL;Acc:A0A178UTL1] MNVFGAEATEFLVGGNKNSWHIHTSPNELNEWAEKERFRIGDSLVFKYDSKIDSVLRVDEEDYKKCNKSNPSKSYNDGNTKIKLDEAGPFFFISGANGHCEKGEKLEVKVLSQKHAGSVGGSSPSQAPKPSPKVLPRPVLTPANAPPSPVITPATAPTASTASSNAWVIGISTMAIMATLFVVAMV >cds-PLY83214.1 pep primary_assembly:Lsat_Salinas_v7:1:49670686:49673574:1 gene:gene-LSAT_1X44200 transcript:rna-gnl|WGS:NBSK|LSAT_1X44200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSLITTTLLLLATITAAQTPTPTPPSPPPASDSCNGVYFSYTYTSGSKLPPNRTSNQPYRFESTLRVLNNADEELKSWRVFVGFQHDEYLVSASNAVIADGSATFPGPVGNGTVFAGFPSADLKTAIETAGDVNQMSVEVDFVGTQFGVDSPAVPMPSNISLVNDGFVCPRPSMQGTRVMQVCCRKDPNALTNITTQDEFLPRQSGDLTIAYDIIRTYESNYWAEVTIENHNALGRLDNWNLTWDWMRDEFINDIKGAYPYTRDSSECIFGPQGAFYQQMDFSTVLNCERSPTLIDLPLEMTNNTQRGMIPFCCRNGTILPPTMDPTKSKSAFQIHIYKMPPDLNRSELIPPQNFKISGRLNPDYKCGAPVRVSPTEFLDPSGLPGPTAVSSWQVVCNITQAKDSSPRCCVSFSAYYNESIIPCPTCACGCPNSRTCSATAPAMFLPAQALLVPFENRTALSTAWASLQRRAVPNPLPCGDNCGVSLNWHLLTDYRGGWSARITLFNWDDSSFADWFVAVEMDKSAPGFEKAYSFNGTGIELHGVNDTIFMQGLPGLNYLVGEVDGDNPKRDPRVPGKQQSVISFNKKLTPGIDVAGGDGFPVKVFFNGEECALPRVLPTSGGFRRGSGVVVSGIVAVIMVVMLM >cds-PLY88008.1 pep primary_assembly:Lsat_Salinas_v7:MU040244.1:1202119:1202663:1 gene:gene-LSAT_0X1921 transcript:rna-gnl|WGS:NBSK|LSAT_0X1921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYGFGSGLEVLSPLCPQLFLEMVGLGNFEKMVVGSFLSLIHIYSTCEEMQTAPINTLNPQRTAMII >cds-PLY78096.1 pep primary_assembly:Lsat_Salinas_v7:1:6454534:6455944:1 gene:gene-LSAT_1X5460 transcript:rna-gnl|WGS:NBSK|LSAT_1X5460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETRIRIGILGCANIARKVSRAMLLSPNTTISAIGSRSLEKAIDFASENHFPESTKKYGSYEAVLDDPDVDAVYVPLPTSLHLRWAVLAAEKKKHVLLEKPVALDVGELDRILEACESSGVQFMDATMWMHHPRTAKMKEVLSDPHRFGQLKSVHSTFSYVGEGDFLQNDIRVNPNLDSLGVLGDAGWYSIRAILWAYDYELPKTVTAIGDPEYNESGVILSCGASLNWKENGKVATFYCSFYTNLTMDITVLGTKGSLRVHDFVIPFNENVGPFYAVANSRWKELSLGCEPEPSEFKITTVLPQEALMVQEFGRLVQGINGGDAKPEKKWPTISRKTQAVIDAVVASIKNGFKPVEVVY >cds-PLY98611.1 pep primary_assembly:Lsat_Salinas_v7:1:39069386:39073218:-1 gene:gene-LSAT_1X33521 transcript:rna-gnl|WGS:NBSK|LSAT_1X33521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKERVEVSMSSFTSKGEVFAAILPDGLVKVWNTSDGSQLAEWKQSDGDSVAQFSCIACSFVGKKRRKENSTCLVALGTDDGEVFTINATSAELKWKSSGHHHSRIAALSFANKGRKLCAISTDGTTCEMNSETGELLKEMKISKKLISSSVYFSDDKILAASNTKIKVLNLEDGEELVKFSTDAGPVNHMFMLDDTNTIITSVFGDKNLHVWKNGSSGPVLSMRHPPLAIECKNGCNEDDLLVLSVSESGIAYIWNLKISSTEDVVPTKIKVEGSKSETDPNASGKKKKNKASILAARLNSINGDDRVTVLVVYGSINSPRFTLLDVTSPGEDIVIDDIQENGLIDGKDQKDVRGKKRAASDVEGSVKPDKCHGDPMDGVQIDNDINEPTMGEKLASLNIKNDDVAPKEKQETKLPSADSVHVLLKQALHADDRSLLLDCLFRQDEKIISNSVAFLNPSDVFKLLESLISMIQSRGTVVACALPWLKSLLLQHASSIMSQESSLIALNSLYQLIESRISTFDPVVQLSSSLDLLYTKTVDGVDEEERPMEPIIFEDTSDEEEENGEEAMETDDEEEDDHEELETVSNVSDLEGSDDGMLDY >cds-PLY62841.1 pep primary_assembly:Lsat_Salinas_v7:4:31255811:31256900:-1 gene:gene-LSAT_4X20841 transcript:rna-gnl|WGS:NBSK|LSAT_4X20841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSLRLITISSLLIFVIASTLFTGFRCKGVCECGNNAGFIDVSDVEVRPKSVYVVAATAAAREKTLKGRKLVVDRAIKLHPKAKINVMPTGKHTSFTRIGLSRNKNVNFVAFTEDYHQPRHHPPKNN >cds-PLY75333.1 pep primary_assembly:Lsat_Salinas_v7:5:52678424:52682095:1 gene:gene-LSAT_5X26021 transcript:rna-gnl|WGS:NBSK|LSAT_5X26021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METFLMGVLNRTRRDSQGHLKPNFDKGNEPFVVTMQPPNMTGSLHMGHAMFVTLEELELVEGQDQVIEMYMNEEVSELKKEIEELEHLLQDEEKCRAAIAKLNPNNNRSHERILEISNRVMNTSRRAGILCLSHHTNLRRVTYLVLDEAYRMLDMGFEPQMKKIVSQEVEQLARQFLYNPYKVVISSQDLKANHSIQRHVDIVTQNQKYNKLVKLLDDIMDGSRILIFMDTKKGVTKSPASSEWTGGPHYQSMEIKVKQKEIRIGRTGRVGAKGTAYTFFIATNAWFAKELIAILQEVGQKVNPDLAAIGRGAPPPPSGSFGQVFVQGSMGEGALSGMPLQLACRNKKDFGVL >cds-PLY80179.1 pep primary_assembly:Lsat_Salinas_v7:8:191233925:191236342:1 gene:gene-LSAT_8X124761 transcript:rna-gnl|WGS:NBSK|LSAT_8X124761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYAHVQMFMEKLKQLMYCNDIPVINNPSIICERPQFQLLYEELDSMIQTLFNNEDQDVHGFENVRKLKKRFKAAVEEAEDIVDIFVSAVHCRNNGYFPRSDVFQPSLHLEVVMRSINSIKMESMNISIDNMKMDSSLKTDTLKMQSAGTSRSRSSLGSKKVAEEMVVGIDRDVEIIRDKLVEDGKKLDVVSIVGMGGIGKTTLANKVFTDPFVVYHFHVRGWVTVSQTYDKRDLLIQVLSSIDDQLQLKKASYSQLHEMLHRSLYCQRYLIVIDDIWSKEAWDKLKLFFPDHNTGSRILLTTRLTEVAAHAKSHGLIHHLQHLTEEESWKLLCEKVFQGDECPKWLIDPGMQIARNCHGLPLSVVVMAGVLAKEPRSKNLWVKISCSVHLYIAGDEKGCLETIALSYDHLPLHLRDCFLYLGGFPEDYRFYSPELLWLWMAEGFIQEDGSRRLKEIANGYLMDLVDRNLLIVEGRYATGDVSDCKVHDLVRQVCVKKGKEERFFLKIDSPPSKHHLCEVITTHRQHRVFTNQDIDIMSLSYPPAPSIRSLVCYQRETTLTDNISKFFHSFALLRVLNLEKCELNDFLPGLALLVHLRHLDIWLSSFPPSICNLWNLQTLIVTTSSSSMVLPSNISDLVNLRHLVCKADLHLPSIEKPMKLEAISNVELGDGVDNFQKCFPRIRDLSTTLYSDEENDFEVLHLQLLILIGSGYSRRRSVEREFVLGKNHIIRFPTTLKQLEVIRCGLPWSDMSIIQSLPNLEALIIKDNGFEGTLWETGEEQFQRLKFLRLEELMGSLKYQLPMS >cds-PLY82812.1 pep primary_assembly:Lsat_Salinas_v7:1:85016626:85021253:-1 gene:gene-LSAT_1X73301 transcript:rna-gnl|WGS:NBSK|LSAT_1X73301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRNLAVVKPIWMKQAEEAKIKSEAEKDAAAKAAFEATFKDVEKNREPAPLSDSDGDEEEDLTNKPIGPVDPTKCTAAGTGVAGGTACAPSSFVVVTKDSDGRKVPHGGAQVKVKVSPGVGVGGSEQEGIVKDMGDGTYTVTYVVPKRGNYMLDVECDGKSIMGSPFPVFFSAGITTGGLLGFAPQMNFPNMVNQTMPNMPNYSGSVSGAFPGLLGMIPGIVPSSSGGVVLPGIGASLGEICREYLSGRCIKTDCKLGHPPHNLLMTALASTSTMGTLSQAPMAPSAAAMAAAQAIVAAQALQAHASSAQAHASRETTVAEDKAAKADSLKKTLQVSNLSPLLTAEQLKQFFSFCGTVIDCSITESKHFAYIEYSKPEEATAALALNNMDVLGRPMNVEMAKSLPSKPAVSSSSLPMVMQQAVAMQQMQFQQALLMQQTMNAQQAANRAATMKSATELAAARAAEISMKLKAEGIIGNEDESVKNPRSPSPVPTRSRSKSKSPVIYQRRRRSRSFSPPHRRIRGYRSRSPIRSRHYSTYEYDRRTFRGGNDRYRRREYNNSRHSWRNRSRSLSPRGRKSSESPKRPRKSPKKSSRDEQSKEKHRRQSRSRSKSKSRSRSASLEVKPRSNEKIVDRRRSKSRSASLEVKHQSNDKIDDVKEEKSKGRDRTRSRSASLEVKDRSNDKIDDVKDEKSKGRDGRRSRSRSASLEFKHRSDEKVERSKGRDKRRSRSRSRSASLEVKHRSNDKMDDRKEERSKGRDKRRSRSRSASLEVKTRSNNKMEERSKGRDRKRSRSASLEVKRRSNDSKEEKSKGRDRRRSRSRSASVEVKHDIKEERSKGRGRRRSRSRSASLEVKHRSNDKVNENREVRSKHRRRSRSRSASVEVKHRSNDKIDDSKEERSKRHERRRSRSASVEVKHRSNNREEKSKRHDRGRSRSLEGKHRSSGKSKHRKRSTSRDKSKSKSKSKYHGRRQSRSASPDVKRHRSDEQRSKHRRRSKSKSRSRSRSKSAEDNRLNNVDHEGGGGDWAGEGTPERYRESDVLIKDDGIGGGSGEEYNYNDDVAAEEEVRMLS >cds-PLY88848.1 pep primary_assembly:Lsat_Salinas_v7:3:194001300:194004427:-1 gene:gene-LSAT_3X115720 transcript:rna-gnl|WGS:NBSK|LSAT_3X115720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATDFWTSTHYKQLLDQEEVDVVHSLDKERGITLEDFKLIKLHMTNYIVRLAQHVKVRQRVVATAVTYMRRAYTRRSMVEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKLYSDEKYRYEIKEILEMEMKILEALNYYLVVFHPYRALSQLLQDAGMSDATQMIWGLINDTYKMDLILIYPPHLIALACIYVASMLKDKDNTSWFEQLRVDMNMVEKIATEILDFYDYHKTISEEKVNAAMLKLTMRM >cds-PLY85819.1 pep primary_assembly:Lsat_Salinas_v7:8:174349845:174355601:1 gene:gene-LSAT_8X114080 transcript:rna-gnl|WGS:NBSK|LSAT_8X114080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDYPEELRTPPVTLVSIVGHPDLHATISTHLHSEQPPINTLALPDFSKISVIAKTPKEKENQLPSSYTSSGILKREWLSKHRTRVPAVVAALFDADQLSGDPALWQQVCTDIENLKAVIKGRNIKLVVVVVVRFNSRDFITEDRMVALRKRAELDAKYVVTFIPDDASELNQSLGSIFAELAVTFYRDEGRRVKMRLEKKTYASIEFNIRYCFKVAIYAEFRRDWVEALRMYEDGYHALREMIGTSTRLPPIQRLVEIKAVAEQLHFKISTLLLHGGKVVDAIKWFRQHNSCYKKVTGPPQLAAQYLKEKKTCVEVALSMPDSIPETDDSSDSIVPSLYIGQYVRLLDLGDTSVMQLITDEDYTLYTLTEFKKSRDSTEIIGLLKKSYEAYTSSKSQRSASFCRLLMAKEYFTSNDHTTAKLHFDKLTTLYRQEGWVALLWEVLGYLRECSRNLNSAQDFIAYSLEMAALPLSPLAGIQKDYGPGGSPSLQQVERIHQEVFSIVLEDSDSNSGSSSGGGVKVNGEYPVHLEIDLVSPLRVVLLTSVAFHEQIVKPYAPTLITVSILSQLPCPVEIDQLEVQFNQSECNFIIVNARRREKSAITNVHPDRRVETVPVLQLFTNKWLRLTYDIKSVNSGKLECTYVIARIGPHFSICCGAESPANMTDLPLWKFEDRFEASPTKDPAIAFSGMKVTQVEEPDPQVDLKLDSVGPALVGENFMLPVTLTSKGHSVFSGELKINLVDTRGVGLLSPREAEVSSTDNLHVELLGVSGPELELESDSDSPRKFQNSFGLVSVPFLNVGDSWSCNLEIRWHRPKPIMLYVSLGYSPVSGSPKVHVHKSLQIEGKTPVVISHRLMLPFRRDPLLLSRIKRTPDSGQPASLPVNETSILVVSTTNCTEVPLRLISMSVEPDDNLKSGNQDSGQHPGQPTLLPGEEFKKVFTVKPEANITKLNMGSVCLRWRRDFDSSKEVLTKQNLPDLNVEFPPLIVSLECPPHGILGQPFTYYARVHNQTKLLQEIRFSLSDSQSFVLSGPHNDTTFVLPLSEHILSYKLVPLSSGSLQLPRVTITSVRYTAGFQPTVAASTIFVFPSKPQFQLELESGKNSGSGSGEEIPIPV >cds-PLY81872.1 pep primary_assembly:Lsat_Salinas_v7:8:122576114:122582277:-1 gene:gene-LSAT_8X83860 transcript:rna-gnl|WGS:NBSK|LSAT_8X83860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKTVLSDEEDEIEVEEDEREEPDGDAVEDRDEEDDEEDEEGEDEYEKDGFIVDDIDEDEQDAEEEDRADSDDERQKKKKRKKRESEKNYVLDEDDYELLQDNNITGFRRPKAESKKFKRLKKARSDADEGQSGFSDEDDYNRSGKSGRSAEQKIEHSLFGDDEGPPLEDIAEEDQPEEEEDGDIGEEEDEMADFIVDEEEVDEHGEPTRRRKVNKKKSRQAPGVSSSAIQEAHDIFGDVDELLRQRKLGLERISRYDDSGEGKERRLEDEFEPTILSNKYKTEKDEYIREIDIPERMQISEESTGPPPTDEMSIEEESTWILHQLQTGVVLFSRGGDRTTEEGHDLAIVKDDIMRFLEFMHVQKLDVPFIAMYRKEECMSLFKDPEPQDDKESENKSEKKPTLRWHKVLWAILELDRKWLMLQKRKSALQSYYNKRFEEERSVYDEARLHLNQKLFDSITKSLKVAESEREIDDVDSKFNLHFPPGDAGMDEGQFKRPKRKSQYSVCSKAGLWEVATKFGYSSEEFGLLISLEQMRMEELEDAKETPEEVASRFTCAMFENPQAVLRGARHMAAVEISCEPCVRKHVRSIFMDNAVVSTTPTSDGNKAIDSDHEFAGIKWLNNKPLTRFNDAQWLLIQKAEEEKLVQVSVKLPASVHDKLISDAHDYYLSDGVSKSAQLWNEQRKLIIKDAFDGLLLPSMGKEARGILASRAKNWLLMEYGRLLWDKVSVAPYQKKEHDVNSDDYDGAPRVMACCWGPGKPATTFVMLDSYGEVVDVLYASSISIRGQNANDQQRKKNDQQRLVKFMTDHQPYVVVLGAVNLSCRSLKDNIFEIIFKMVEENPRDLGHDMEGGVVYGDESLPHLYENSRISSEQLQPQPGIVRRAVGLGRYLQNPLAMVATLCGPAKEILSWKLSPLESFLTPDEKYGMVEQIMVDATNQVGLDINLAISHEWLFGPLQFISGLGPRKAASLQRSLVRAGSIYTRKDLLNHGLDKKVFVNAVGFLRVRRSGNAASSSQFIDLLDDTRIHPESYGLAQELAKEVFRKHSGGDDGNDDEDMLEMAIEHVREHPNQLRSLKVDNYARSKKQENKKVTLNDMRSELIQGFQDWRRPYVEPNDDQAFYMICGESEDTLCEGKIVQATVRRVQPQKAICSLDSGLTGMLNKEDYSDERRDNIDLTESLNEGDIITAKIKSIEKKRYMVFLSCKDSDLRSSVDTQKYKTMDPYYYEDRDNSEIEKEKANKAKALAKKHFKSRMIVHPRFQNITADEAMEMLSDKEPGESIVRPSSRGPSYLTLTLKIYDGVYAHKDIIEGGKENKDITSMLRLGKTLKIGEDVFEDLDEVMDRYVDPLVTYLKTMLGYRKFKDGEKAEVDESLRKEKLENPSRIVYSFGISHEHPGTFILTYIRSSNPHHEYIGLYPKGFKFRKKMFEEIDRLVAYFQRHIDDPHDAGPSIRSVAAMVPMRSPAGGGWGGGGGGSNNSSDWRGSSGDRERTSTPSSRPGRGDFRSGGGGHESGVPRPYGGGXXGHLTTVVETIGLRIGKKGGGVSQVEKLRTLQEERLLMAGGGVXXXXXXXXXXXXXXXQSDSGAGWSSGW >cds-PLY62885.1 pep primary_assembly:Lsat_Salinas_v7:9:130094485:130095804:-1 gene:gene-LSAT_9X84361 transcript:rna-gnl|WGS:NBSK|LSAT_9X84361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAQYSNNKTPILFVIILATSAIGQGTRVGFYRTTCPRAEIIVQSVVQSFVNSNTTIAPGLLRMFFHDCFVRGCDASILLDGSYGEKTAPPNNRLRGFEVIDAAKSQLETICPGVVSCADILALAARDSVVQSGGFWWEVRTGRRDGLVSRKSDATNLPGRKDSIEVQIKKFAEKGLNIQDLVTLTGKLSTKHTTTVYGHNITNGTDLVIKKSMDVFMGFHDHPGGHTIGTAACELFCYRLYNFNSTNGPDPAINQTFLPHLRSLCPEGGDDANRVDLDTGSVHKFDISYYENLKNGRGILESDSQLWNDPRTQRFVEWFLGGSREQQSKFSVEFGKSMVRLGDVGVKTGDEGEIRRVCYAIN >cds-PLY93046.1 pep primary_assembly:Lsat_Salinas_v7:9:26091147:26094073:1 gene:gene-LSAT_9X23160 transcript:rna-gnl|WGS:NBSK|LSAT_9X23160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQGLSCRVQDEHGLFTAVQFGDLEIVKTVLERDPSLIQQKTVYDRHSALHIAAANGQIEILSMLLENRSVNPDSLNRHKQTPLMLAAMHGKIACVEKLIQAGANILMFDSLNGRTCLHYAAYYGHSDCLQTILSSARTSHVASSWGFSRFVNIRGGKGETPLHLAARQRRPECVHILLDSGALVCASTGGHGLPGSTPLHLAARGGSMDCIRELLAWGADRLHRDATGRIPYAVALKHKNGACAALLNPSSAEPLVWPSPLKFISELNQDAKALLEQALMEVNKEREKAILKNTGYSMPSPSHSDTTAMDDNISEASDSQLCCICFDQLCAIEVQDCGHQMCAQCTLALCCHNKPNPTTLSLPAPICPFCRSNIARLTVVKVKVNTADQELDLYSSPKQRKSRKSMNLSEGSSSFRSLSAVPSFGKIVGRGSGRVSVDIEIDKP >cds-PLY80827.1 pep primary_assembly:Lsat_Salinas_v7:3:191777183:191778229:1 gene:gene-LSAT_3X114421 transcript:rna-gnl|WGS:NBSK|LSAT_3X114421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADQTRHITGNRGHSGATVHPYVSRPPNAAQNQPTFNSNPNASRLRATLHCFIIILVGFIITIVIFFFFSWMLWLDLNSRHPLFRVETLTLSNFSISSNSLISGKLDANFVVRNPSLRNTLYYDKIEADLFYKSDLISVAILLPFMQGTNNETAIRATFASLTGHVDDRDRDSIINGEKMIKFKVVMIVRVKASAWGVGRTTFSVYCYNLRIGISSKGNGGTLVGGSKKCPVVV >cds-PLY92407.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:281283:281621:1 gene:gene-LSAT_0X14720 transcript:rna-gnl|WGS:NBSK|LSAT_0X14720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMHKLIISNRCHQVPSPLLDVDRVVIALCRRCYYRASLCHQVCLCMVSRFLFSYLIPISTNSLSISFYITPTTRNLLSPFHSDDIVEPSTPDQIQDCDFWIKTYVVGFHLSL >cds-PLY83552.1 pep primary_assembly:Lsat_Salinas_v7:1:65371884:65373882:-1 gene:gene-LSAT_1X55320 transcript:rna-gnl|WGS:NBSK|LSAT_1X55320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKMLFGAISQTIFTVRRHAPELIRPAQPTPRELKPLSDIDDQEGLRFHIPLIYIYRQDPIMRNKNPASVIREALAKVLVFYYPFAGRLKEGPARKLMVDCSGDGVLFIEAEADVTLKQLGDALMPPFPCMNELLCDVPGSDGILDSPLLLIQVTRLLCGGFVFALRLNHTMSDAPGLVQFLTALGEMAQGASTPSTLPVWQRELLFAREPPRVTYAHREYDNIEDAKGTIIQQDDITHKSFFFGPTELSALRRLVPVELQSCTTFELLTACLWRCRTIALQPDPEDEMRIICLVNARYRFNPPAIPIGYYGNTFVMPCAISTARELCKKPLAHALELVRKVKSDVTEEYVRSNADLMVIKGRPLFTAVGSFIVSDVTRSGLNDVDFGWGKAVYGGVAKGGAGSFPGVSFFVAFTNHKGESGIVVPICLPSVAMEKFVEELNSMLVQDNNNHVLQAHKLLATSKL >cds-PLY64734.1 pep primary_assembly:Lsat_Salinas_v7:9:191354278:191355752:-1 gene:gene-LSAT_9X116680 transcript:rna-gnl|WGS:NBSK|LSAT_9X116680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEPVDQKKYFEDLCKPKCVRAWLDYQGCVKRVQADETGHKHCTGQYFDYWQCVDKCVAPRLFAKLK >cds-PLY69316.1 pep primary_assembly:Lsat_Salinas_v7:4:105167531:105179576:-1 gene:gene-LSAT_4X67020 transcript:rna-gnl|WGS:NBSK|LSAT_4X67020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKAVLKHPDDFYPLLKLKMAAKKAEKQIPAEPHWGFCYSMLHKVSRSFALVIQQLNPELRDAVCIFYLVLRALDTVEDDTSIDAEIKVPILIAFHQHIYDRDWHFACGTKEYKVLMDQFHHVSAAFLELKKGYQEAIEDITMRMGAGMAKFICKEVETIDDYDEYCHYVAGLVGLGLSKLFHASGTEKLFPDSISNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPREIWSKYVNKLEELKYEENSEKAVQCLNDMVTNALIHIEDCLKYMSELRDPAIFKFCAIPQIMAIGTLALCYNNIEVFRGVVKMRRGLTAKVIDRTKTMADVYRAFYDFSSILKAKVNMNDPNAKTTITRIEAAQKICKDSGTLSNRRSYIVDSEPSYSPALIALIFIILAILYAYLHANRTNKFNLPEVVRVIPNRILKLHTTRSWDFLDIKPRLVNGILSKGQSGVGSIIGVFDSGVWPESISYNDAGMKRIPSRWKGVCDEGEHFNKSNCNRKIIGARWYIKGYEAEFGKLDTSDGSEFLSARDAYGHGTHTSSTAAGAPVTDASFFGLAHGVARGGAPSSQIAIYKVCWATGGCSSADILAAFDDSIRDGVDVISASLGSTPPFSTYVDDPLAIGSFHAVARGITVVCSCGNTGPYPQTVANTAPWIISVAASTIDRAFPTLITLGNNQTFVGQAFVTVKVFHRFYPLVYGEDIVADDADEEDARSCEAGSLNASLAEGAIVLCFQSRTKSSAASTAGNVQDVGGVGIIFVQYPTKDVTLTFAIPCVQIDFTIGTSIVTYIESNSNPVVKISGTRTVIGKQTSPEVAFFSARGPSSLSPTVLKPDIAAPGVNILASWSPVASSSLVNGQTKASSLDFKIESGTSMACPHISGIVALLKSLYPTWTPAAIKSALVTTASIEDENGQPAVAEGAPHKQADPFDYGGGHVDPNKAVNPGLIYNMTTKDYIHFLCAMGYNDTAISSLANSHSPCPKKTNFLKNLNLPSISIPELQKPTMVSRIVTNVGPTGSRYVARIEAPAGTRVMVDPSILFFNSTNTRLRFKVTICPLLKVQGRFSFGSLLWEDGVHVVRTPLVVRVVVKKSYSQI >cds-PLY95148.1 pep primary_assembly:Lsat_Salinas_v7:1:94260483:94261293:1 gene:gene-LSAT_1X77600 transcript:rna-gnl|WGS:NBSK|LSAT_1X77600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVLSEFLEGSSSSSHTHGLKHDVFLSFRGCDTRHSFTNHLHKALIAANITVFFDDDEIKTGEDLKPELESAIKASRASIIILSKNYADSSWCLDELLLILEQRRTSNQIVIPIFYHVEPTHIRKQEGTFGIAMAKHRQQMETQTNANKKSQMAQKIGRWRRALTKVANLSGENVKGWFVGLNSNYT >cds-PLY81820.1 pep primary_assembly:Lsat_Salinas_v7:3:30733829:30734690:-1 gene:gene-LSAT_3X22520 transcript:rna-gnl|WGS:NBSK|LSAT_3X22520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDAEKANKLKQSLPPGLSVQDL >cds-PLY62289.1 pep primary_assembly:Lsat_Salinas_v7:5:163908162:163908470:1 gene:gene-LSAT_5X71860 transcript:rna-gnl|WGS:NBSK|LSAT_5X71860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESPIEVDVEALDEQNMEPPIVETISEALCGSSDSEGSGDLSDSDDSDFIVDEDNLSNDPKVDMHNLYLNINDNLELGDTPISIENVVMSDKEVEVIKTDVR >cds-PLY93098.1 pep primary_assembly:Lsat_Salinas_v7:5:176253673:176254044:-1 gene:gene-LSAT_5X77300 transcript:rna-gnl|WGS:NBSK|LSAT_5X77300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAKGRLHRWDFETEEEWATYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKITNELHKINKILDKKKMNKGGDANDDDSGGDESPHPGKKLRV >cds-PLY97349.1 pep primary_assembly:Lsat_Salinas_v7:4:286303584:286307067:-1 gene:gene-LSAT_4X145681 transcript:rna-gnl|WGS:NBSK|LSAT_4X145681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSERTETMRDDEWEAMSTNAASETVVTTTTVGSASVAAPPSAVSGFSKITVSNATQNGMPVVVSSKSKDLLEIIKELDEYFLRAADSGGKLSALLEVPTCTFPNETSSGKIHGYGLNLNPFLCSWSSSGRSMKFNCDGMTAVDAIHIPGSHRSTVERLFEWEKKLFDEVKNAESLKMEHEKKVEQLRKLELKRGDYMKTEKAKKEVEKLESRITVSGQAIESTSQEIVKLRETELYPQLVELVKGLMSMWRSLYESHQVQTHIVQQLKYITTAAPSTATTTEIHRQSTLQLELEVKQWHLSFCNLIKSQRDYIQSLTGWLRLSLFQFTKNSSSQNKQDSAIYTLCEEWQLAVDNAPDKVASEGINSLLSVVHAIVVQQGEEMKEKRRADLSFREVEKKTSELRGLESKYGSGDRVVDKRGKVEVLRAKAEEERGKYEKSRGVTRAMTLNNLQMGLPHVFQAVTGFANVWTHAFESVCNQAKVLEEVHDVKRIMN >cds-PLY80107.1 pep primary_assembly:Lsat_Salinas_v7:5:148320899:148323220:1 gene:gene-LSAT_5X65061 transcript:rna-gnl|WGS:NBSK|LSAT_5X65061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFHAPTAAAASSQLHRLLRPFLLETTRYGDSSTSEGSKDHKLSKTVIHAPTATVASAASSQEKALREAWNSSQQSTRDVAAAAKIGKLLGERLLLKDIPAVSVVYKKEQRYHGKVRAVIDSLREAGVKLL >cds-PLY71350.1 pep primary_assembly:Lsat_Salinas_v7:7:185163930:185168569:-1 gene:gene-LSAT_7X110980 transcript:rna-gnl|WGS:NBSK|LSAT_7X110980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate-semialdehyde dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79440) UniProtKB/Swiss-Prot;Acc:Q9SAK4] MFARTIHRSCSGIKFYHSSISLSHSSLCNSYSPTHLHRRQMSTETESIVDRLKSSGLWRTKGLIGGKWIDAYDGKTIEVNNPASGDVIASVACMGERETKDAISSAYDAFSHWSKLTANDRSNRLRNWYNLLHEHKEALAQLITLEQGKPLKEAIGEVGYGASFIEFFAEEAKRIYGDVIPATLSDRRLFVIKQPVGVVAAITPWNFPLAMIARKVGPALACGCTVVIKPSELTPLTALAAAELALQSGIPPGVLNVVMGDAPKIGNTLVASPQVRKITFTGSTAVGKKLMAGAAETVKKVSLELGGNAPCIVFDDADLEVAIKGSLATKFRNSGQTCVCANRILVQEGIYEKFTKAFSNAVQDLKVGNGLSEGVAQGPLINEAAVQKVDSFVQDAVSKGAKVLLGGKRHSLGMTFYEPTVIGDVKNEMLIASQEVFGPVAPVLKFKTEEEAIQIANDTNAGLAAYIFTNSIKRSWRVSEALEYGIVGVNEGLVSTEVAPFGGFKQSGLGREGSKYGMDDYLEIKYICMGNMG >cds-PLY61798.1 pep primary_assembly:Lsat_Salinas_v7:6:60831162:60832070:1 gene:gene-LSAT_6X43561 transcript:rna-gnl|WGS:NBSK|LSAT_6X43561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNHVDVLTTAYHFIHKISNGVLVDRSFTATKKILKDYIIEFRRIEFEFHYVFKTVRTHQSPNTSLEGIEAMPIGSTNDLELGYVYRLKGPSKKKFFRSTSICIMNSSFGSLGACSNMFDPLTDEA >cds-PLY98080.1 pep primary_assembly:Lsat_Salinas_v7:4:79540433:79547797:-1 gene:gene-LSAT_4X52460 transcript:rna-gnl|WGS:NBSK|LSAT_4X52460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 16 [Source:Projected from Arabidopsis thaliana (AT4G04920) UniProtKB/Swiss-Prot;Acc:F4JGZ1] MSASSGPVAYNNKDSEEESSSGGTSIAATATADTSAVHEPPEDVVVADSGAGGGDDSDMDKGGGTAGDPMDEDSVNPATVFCIRLKQPRSNLQYKMSVPELCRNFSAVAWCGKLNAIACASETCARIPSSNANPPFWIPIHIVIPERPTESTVFNVIADSPRDSVQFIEWSPSSCPRALLIANFHGRITIWTQPSHGSTSLVKDASCWQREHEWRQDIAVITKWLSGVSPYRWLSSRSGSSTKSPFEEKFLSQQPQAPAGWPNFLCVCSVFSSGSIQLHWSEWPLNQSGTSKWFCTSKGLLGAGPSGIMAADAIVTDSGALHVAGVPIVNPSTVVVWEVTPGFGNGFQATPKTSTTNGIPPSLNPPSWDGFASLASYLFSWQEYFDSESKQGKKLTEQDYSDMVALHCSPVSNFSAYVSPEASAQLAATTTWGSGVTAVAFDPTCGGSVIAIVIVEGQYMSPYDPDEGPSITGWRVQRWESSLEPVVLHPIFGNPTSTFGGQPPMQTVWVTKVNKSIPATDYFKNNPTATPGSTSEGIGMSESDIEKAKRVVFDPFDLPSDVRTLARIVYSAHGGEVAVAFLRGGVHIFSGSSFTPVDNYQINVFSAIASPAFSSTSCCSATVWHDTIKDCTMLKIIRVLPPAVPSSQVKANSSTWERAIAERFWWSLMVGVDWWDAVGCTQSAAEDGIVSLNNVIAVLDADFHSLPSTQHRQQYGPGLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALINPSALVDKPWQASGETLNNIDHEAMAVDPALVLTIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVTAGTSGNRSMVISPTTQSTGTPAPGATQGAQNGSASSTASTPIQAWVQGAIAKISNTSDGAPNSNSNSNTTPNPITGPPSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFFFRRIQLPRFIGAAAAQRNTDSSAAVHKPQPGKVEEVSSVPTKATSALGRTEELPVAIARAGQQVGSGAKGPEEGPANRSRYGSGNAGQGYTFDEVKVLFLILMDLCKRTSGLAHPLPVSQVGSANIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPAEEWHRRNTYGGTWSDPDDFGVVDDSSSRMSITTSTSSSDSSNETTATHVHVHEAQRIWPRKRRMSERDAAFGLNTSVGLGAYLGIMGSRRDVVTAVWKTGLEGVWYKCIRCLRQTSAFNSQAGNTNTSTQSERETWWISRWVHGCPMCGGTWVRVV >cds-PLY97316.1 pep primary_assembly:Lsat_Salinas_v7:7:106703512:106723246:-1 gene:gene-LSAT_7X69060 transcript:rna-gnl|WGS:NBSK|LSAT_7X69060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQPPVSPSLPLVPPPPRPGPPAFLSSAVTGGCQVRCAGCRMILTVGPGLTEFVCPTCQLPQMLPPELMRPPSQVPAHGIDPSKIQLPCANCKAILNVPHGLSRFSCPQCKMELAVDLSRIKQFYPPPPEEVNEVAIEVEREEDGGGIVGETFTDYRPPKLSIGPPHPDPIVETSSLSAVQPPEPTYDLQTKGHLETSKALSCLQIETLVYACQRHLQHLENGSRAGFFLGDGAGVGKGRTIAGLIWENWHLGRRKALWISVGSDLKFDARRDLDDVGATCVEVHALNKLPYSKLDSKTVGIKEGVVFLTYSSLIASSERGRSRLQQLVQWYGSEYDGLIVFDECHKAKNLVPEAGGQPTRTGEAVLEIQARLPEARVVYCSATGASEPRNLGYMVRLGLWGAGTSFEDFRDFLVALDSGGVGALELVAMDMKARGMYVCRTLSYKGAEFEVVEVPLEAKMTEVYKKAAEFWAELRVELLSASAFLGDEKPSSSQLWRLYWANHQRFFRYVCMSAKVPAVVKLAKQALMDNKCVVIGLQSTGEARTEDAVTRYGNELDDFISGPRELLLKFVEENYPLPEEPESLPGVEDSVKELQRKRHSATPDVSFKGRVRKAAKWKPSSDDETDEDSESDSGRESMESDDEFQICNICNSEAERKKLLQCSCCGQLVHPACVVPPEPETDAISATWSCHSCKEKTEEYLQARHLYVAELLRRYEGAIERKSKILDIIRTFELPNNPLDDIIDLLGGPDNVAEITGRRGMLVRASSGKGVTYQARNTKDVSMEMVNMHEKQLFMDGKKLVAIISEAGSAGVSLQADRRALNQRRRVHVTLELPWSADRAIQQFGRTHRSNQASAPEYRILFTNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAYNYDSSYGKKALLLMYRGIMDQDSLPVLPPNCLSENPATIREFIEKGKAALVSVGIVRDTVVGNGKDAGKFSTGRIVDSDMHDVGRFLNRLLGLPPEIQNRLFELFVRILDHLLQNARLEGHLDTGIVDMKANTIELQGSPKTVHTDHMSGASTVLFTFVMDRGITWEAACALLSEKQKDVSGSSAIGFYESKREWLGRRHYLLAIESSSGMYKIFRPAVGEAIREMHIAELKDKYRKTLSLEKAHIGWKDEYEVSSKQCMHGPNCKLGSFCTVGKRIQEVNVLGGLILPIWGTIEKALSKQARQSHRRIRVVRIETTKDAQRIVGLLVPNAVVPSVLQDLAWVQEIED >cds-PLY67747.1 pep primary_assembly:Lsat_Salinas_v7:9:167884774:167885238:1 gene:gene-LSAT_9X104121 transcript:rna-gnl|WGS:NBSK|LSAT_9X104121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEQTGYSYDYYGGAPPPNPMQSHPNPMGMGPPPPSQVNYNHGPDYGQTDPQGYRGQGYDEPRYDHNQGPVGQQSYSSYGGQGQATQPPPSTYPQNQVYGQQQQQLDHYGKPLAYNMPPQQQGPYGQPYGPPPPDQKQQQPYPMSIGPTQQPYP >cds-PLY73453.1 pep primary_assembly:Lsat_Salinas_v7:4:180956430:180957916:-1 gene:gene-LSAT_4X105120 transcript:rna-gnl|WGS:NBSK|LSAT_4X105120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKSTISGTWVSVPIEIEGFLVTMIKGLGSRLSMNAHRAMEARNHKDADCHGVHVDYGGLKNYPIFVATGKVRTSSFSKKFSAAPTSSVPGLKYGPNGTIFLSSGIPDLDSGGFHLGSLVMIMEDNEAPHHMLLLRTFMSQGLVHNQLVLYASPVKNPRAFLGTLPTTLVPKDDKSRNTDAEQKDLRIAWQYKKYLGENKQHNEERDGNIEYCNEFDLRKPIEKYLITGNRVECFSLLDCSNLVGFCDSWSKFISQFPKYDGNITSAGRIAIQSF >cds-PLY79790.1 pep primary_assembly:Lsat_Salinas_v7:8:275775361:275778062:1 gene:gene-LSAT_8X157801 transcript:rna-gnl|WGS:NBSK|LSAT_8X157801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDQTFFLFLLLSCLFAFIYTFTISHRRHSRLPPGPYPFPIIGNLLKVGNNPHRSLAILSKQYGPLMSLKLGSKTTIVLSSPDIAKEFFHTHDISFSSRSVPDTVRAADHHKFSMVWLPAGDQWRKLRKITKEYMFSVQQLDASELLRQEKVQEFLNHVNRCCTNEEAVNIGAAAFTTTLNILSNFMFSRDFAQYDSMSSQGFKDAVCGLMELGGKLNLPDFFPILKSLDLQGLRREGTVYANKLLSIFDKVINERLQSRRTSSSHNGDSTTKNDVLDLLLNLNQNDESEFSLNDIRHLFLALFIAGTDTTSITLEWVMTELIRNPEKMEIARFEVMKLMQNNKRSIQESDISQLTYLQAVIKETLRLHPPGPFLIPHQAINDIEVKGYIVPKNAQILCNIWAIGQDPNIWLDPQVFRPERFLDVEIDYKGHNFELIPFGAGRRICPGLNLANRMLHVLLSSLIHKFDWKVVGYTRPQDIDMGEKFGITLQKTIPLMAIPVKR >cds-PLY79144.1 pep primary_assembly:Lsat_Salinas_v7:9:91001584:91005353:-1 gene:gene-LSAT_9X69940 transcript:rna-gnl|WGS:NBSK|LSAT_9X69940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTPQLNSSSATSHIERTPNKHLPLDSTSMVRVIARIRPFLPHEISRSNDADIDKSIPCVSVLDSPLQSASEVTVRLKDPHSCRNENYKLDSFYGQEDNNLIRIFEREVMPLIPAVLNGFNATVFAYGATGSGKTFTMQGSDELPGLMRMATSSILSMCEKIGNTVQVSYYEIYMDRCYDLLEDTPKPKEITILDDKQGNPHLRNLSKIPIYSMSDFHDVFLRGIQRRKVAHTGLNDVSSRSHGVLVISVSRSCENGALIGKLNLIDLAGNEDNRRSGNEGVRLIESQKINQSLFALSNVIYALNNKNTRIPYRESKLTRILQDSLGGTNHALMVACLNPGEYEQSVHTVSLAARSRRVSNFLHSAQKHNNNNNNNTRGTEVDMEEKLRVWLESKGKTKNDIPFTTTPRSISSTKKHITFQSSGKPKPIATLEEQVEKGLKMTPTRKVLFPINSNIIDPITPKHDSVADETDTPLNKFKAMSCNLKSTLAQEYVNFLNTANKGELLQLKGIGEKMAEYILELRDMTPLKSLNDLEKIGISSKQVGNMFGRTAKGLFD >cds-PLY74921.1 pep primary_assembly:Lsat_Salinas_v7:3:128690177:128690492:-1 gene:gene-LSAT_3X87960 transcript:rna-gnl|WGS:NBSK|LSAT_3X87960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRHTGYVEATVVTCKAAKEAVKMILYVVDQVGGIFVVTVDHDNAEDMVKMNKKGEHVLDKVGNVQILTSHTLQQVT >cds-PLY93568.1 pep primary_assembly:Lsat_Salinas_v7:2:172891897:172892121:-1 gene:gene-LSAT_2X96180 transcript:rna-gnl|WGS:NBSK|LSAT_2X96180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSGIGGILDGQFFVSERKKVVVVPSSPEASHSPSDGSPLIIPGSVSISGGVSSSPGGSFQHENPSLIDET >cds-PLY64990.1 pep primary_assembly:Lsat_Salinas_v7:1:188760508:188769016:-1 gene:gene-LSAT_1X119360 transcript:rna-gnl|WGS:NBSK|LSAT_1X119360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRKLLVLLSNSNGGNQDNYKIPFSNVVVIGTRNYFWGRKWRNVDIQVASWFLFVHILALFAPFTFTWDSFFIAFIGYLLTGILGITLSYHRLLSHHSLKLPKWLEYTFVYFGVLAAQRDPISWVSAHRYHHQYVDTNKDAHSPINGFWFSHMGWLLDSGYMVEKYADRKNAEDLKKQTFYIIMQKTYMLHLYGCGAILYACGGFPYLVWGMVWWVALLVFGEGWHNNHHAFEYSARHGLEWWQIDITWYVIWFLEAIGIATNVKVPTDVQKQKKSNSINTQMIDKFFPK >cds-PLY96552.1 pep primary_assembly:Lsat_Salinas_v7:5:337613045:337616004:1 gene:gene-LSAT_5X189381 transcript:rna-gnl|WGS:NBSK|LSAT_5X189381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor APG3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G62910) UniProtKB/Swiss-Prot;Acc:Q8RX79] MAEPYLLKKLESAEKTWKELSVKLADPDVVSNPSEYQKLAQSMSELNEVVATYKGFKECEKQLEESKVLSKDADVDEEMAEMIASEIESLSEQLKLFEEKLKMLLIPSDPLDSRNILLEVRAGTGGEEAGLWAADLVRMYQRYSERNSLKYTPLSCSEAERGGFKTYVMEVKGARVYSKLKYESGVHRVQRVPQTEAQGRIHTSTATVAIMPEAEEVDVVIDPKEIELTTARSGGAGGQNVNKVETAVDLFHKPTGIRIFCTEERSQLKNKNRALQMLRAKLYDIKLKEQQESQRNERKSQVGSGARSEKIRTYNYKDNRVTDHRLKMNFELTSFLDGDIETAIQSCISMEQRELLEELADSVGAATT >cds-PLY71484.1 pep primary_assembly:Lsat_Salinas_v7:7:191182446:191183336:-1 gene:gene-LSAT_7X115400 transcript:rna-gnl|WGS:NBSK|LSAT_7X115400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKISISLLLLLFCISTCFSSPVSVSDGVFSSDLALIGRNLLQAKKPCPVNFEFMNYTIITSRCKGPRYPADLCCQAFKDFACPYAEDLNDLENECSSTMFSYINLYGSYPPGLFASLCRDDKVGLICPASAPGTARNGVGADTNNSHIIRNPSLLLSLTATFLILFFRWF >cds-PLY83751.1 pep primary_assembly:Lsat_Salinas_v7:4:40430271:40441195:-1 gene:gene-LSAT_4X25920 transcript:rna-gnl|WGS:NBSK|LSAT_4X25920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSDNENNIDEEGEIHEDDNDGIPDSEDAGILMMESLEHVMKSGAPILTEYFGGTVNCDAYHMTDPRSDGLGVSSCIKSCLEDAGVSAEEVNYINAHATSTLVGDLAKVNVVKKVLKSMDGIKMNSTKVPKYAMVNFVGPSILSDVTQVMECYKEEITGLVLLCMQAESLEEPIAIVNRNRAVESSGESANPAVVGRNLQLAAMFGVWYLLNIYPNIFNKQDLCVVLDQELGLILKLRDMMIGWIHEGF >cds-PLY96374.1 pep primary_assembly:Lsat_Salinas_v7:2:54068963:54070570:1 gene:gene-LSAT_2X22640 transcript:rna-gnl|WGS:NBSK|LSAT_2X22640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLQGRRWAGKITVKFNTAKGTEYKGSIYDAGTTFESYGVPHGSSDLMKAVPDDHKKFLSNLVWIYEEENVFIETENGLQSCKLIAVHGGLEKGKDVKEQIKYLKEKDTRIPKVEPLSGRKSVWDIPEELSKSATIVVSGHHGKLHVDGLRLIIDEGGGMEQNPVAAIVLPSKTIVRDTDKL >cds-PLY64372.1 pep primary_assembly:Lsat_Salinas_v7:4:25458712:25459343:1 gene:gene-LSAT_4X18140 transcript:rna-gnl|WGS:NBSK|LSAT_4X18140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLKKKRSELRGDHRLPGKKTKFKKERSNVGGTADDAELQEFFAILQRLQTGFDYFQNKGAGGCGGKSAAAPPATGSGLRDPAFELEDFKQVDDGNRPVANGREALEKDTFVGFDLNADPETH >cds-PLY67387.1 pep primary_assembly:Lsat_Salinas_v7:4:212363621:212365630:-1 gene:gene-LSAT_4X119280 transcript:rna-gnl|WGS:NBSK|LSAT_4X119280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPISTLIPSTTILTAVFPPKSPQSSSLSDPKQSQSSSSLSLVPTTRRKAAAGVILTSIVSLIHFLHQPPVATAFSLGISGPKDWLREQKKKASKYLLAPIDASRNSLQAAYLIITASGTSPEKDLEEVQRLLISASRDCIPQERNSIVTFQSNTGVEVCTFKLVLKNAASLLEDTDPTKVEAEAKLTDLERSLSSLNTVANGTSPRLVSDRQKVADALMDTISSLNKFEQGVKDCLEI >cds-PLY91307.1 pep primary_assembly:Lsat_Salinas_v7:5:251209512:251211897:-1 gene:gene-LSAT_5X126281 transcript:rna-gnl|WGS:NBSK|LSAT_5X126281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPATCHKLEFGRTAFVDSVPCGCSFSSSFDRFPSRNYARAVIRTVNRGYFGGGGGGGRKLICCRRDNNIAKCRVFSTEAPGTLLNGDVPGPPPVLNGKKESTTDLFEAVSDDLLTLNKNLQSIVGAENPVLMSAAEQIFSAGGKRMRPALVFLVSRATLALAGLDELTREHRRLAEIIEMIHTASLIHDDVLDESDMRRGKETVHQIYGTRVAVLAGDFMFAQSSWYLANLENLEVIKLISQVIKDFASGEIKQASSLFDCDVKLDEYLIKSYYKTASLIAASTKGAAIFSGVANDVSEQMYQYGKNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALEKESRLRDIIESEFCETGSLGEAIELVMNSGGIERARTLAKHKADVAIQCLQCLPQSAFRMALEGMVKFNLERID >cds-PLY63203.1 pep primary_assembly:Lsat_Salinas_v7:6:89613068:89615284:1 gene:gene-LSAT_6X60701 transcript:rna-gnl|WGS:NBSK|LSAT_6X60701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAHEEAEVKNSDSTKSTTPSRPKSFESPTHPKRVGTRSNTASPMWERNGTAAPPGGSRLRQVTLGDDSPDDGTAVPVFGDWDDSDPASAEGYSHIFNKVREEKHGGGGGGKSPRLNTDDSSYYGQRPEAKKSQVSTRDNYQLLKS >cds-PLY95969.1 pep primary_assembly:Lsat_Salinas_v7:9:40706170:40706695:1 gene:gene-LSAT_9X36420 transcript:rna-gnl|WGS:NBSK|LSAT_9X36420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGNRTPAIGIDLGTSYSCVAVWKHDRIQIIPNDQGNRTTPSCVSFVDGERLIGDGALNKAAMNPANTIFEGIVRKQPVFHEQCLAFIPIGTGNVCLQGDSVIQRGCGSMYRCKEADWKEIQ >cds-PLY90568.1 pep primary_assembly:Lsat_Salinas_v7:6:50986826:50988237:1 gene:gene-LSAT_6X36700 transcript:rna-gnl|WGS:NBSK|LSAT_6X36700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYRGTINDMHLLCDKIFTSHLSNHQQCRTITKVRLKWVKNRSLDNIIDIHTDLKAACLLKDAIIHRPSATQFLTAKSIADTQKLLGITVPTLRFIRRYPTLFEEFPHPKYRSLPCFRLTNIALNLHNIERKIYETHESDIVERLCRVLMMTRDKQIPLQSLHPLRWDLGFPYDYDKTLIQNHPDKFRIVKGSNGVSSLKLEKWIDEFAVSELQKSNEIKEAKASNNDGDHDHYRRFMRGQTSLTFPLSFPRGYGAQKKVKSWMDEFQKLPYISPYEDSTSIDPESELMEKRIVGVLHELLSLTVYKKTKRNYLRNLTDELNMPFRFTRIFTRYPGIFYLSLKCKTTSVALKEGYKRGKLVYACPIAKHRGKFHHVMRTGLIYRKKGLEMLSDLDKFVAVADDDDVIGTNEKDSDDEEIESSGEWVEDEDEDEELDDVSDQD >cds-PLY97053.1 pep primary_assembly:Lsat_Salinas_v7:4:351423084:351427295:1 gene:gene-LSAT_4X173361 transcript:rna-gnl|WGS:NBSK|LSAT_4X173361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G15730) UniProtKB/Swiss-Prot;Acc:Q9LFV3] MVHKADLVIIGICVGVAVGILIASLAFFGIRWYKRRAHLRKCANDHNASTLPIRRNGVDTSNILSESFSTNSVSVKVSTYPVKTSPLAKWNKNGKEQLASASGLPRYSYKDIQKATKNFTTILGQGSFGPVYKATMPAGEVVAVKALASDSKQGEKEFQTEVSLLGRLHHRNLVNLVGYCVDKGQRMLIYEYMSNGSLSSFLYDDKKQALNWEERLQIALDISHGIEYLHDGAVPPVIHRDLKSANILLDHFMRAKVADFGLSKEEVYDGRNSGLKGTYGYIDPMYISTNKFTMKSDIYSFGIILFELITAIHPQQNLMEYVNLAAMSSDGVDEILDKALVGECDPVDVRSMARIAHRCLHKTPRKRPSIGEVSQAITKLKQRRLVREDSTMSFAAEEFSGVVGCIELQQNELKKMTSIAES >cds-PLY94933.1 pep primary_assembly:Lsat_Salinas_v7:4:109636258:109639317:-1 gene:gene-LSAT_4X70901 transcript:rna-gnl|WGS:NBSK|LSAT_4X70901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDHTTMFGSDFDCSDLSCSTLLDFSPSYFSSTDVFMGCFDPIVSPEETDMNPLDDCHEFFDDRLIQAFDYLNEKCIMDTDLLVQLWLPVTSHGKRVLTTENKSFIFNSDNTNLSNYREVSKNYRFAAEYDSNDMIGFPSHVFMKKFPTCTPDLRFVAEGDDPRVIYAQKLNLCGCLNLPVFELDGGTCLGVVEVVTTSRKINLRDELENICKALEAVDLRSSEFLIHPKQKDFSEPYDAVLSEIQDVLRSTCNTLNLPLAQTWGRCEGRSGPISVIESASYVYDPEILGFFEATSSQQLVSGEGIAGKALGTNQPCFTDINDFCRTDYPISSYYGLNAAMAIRLRSTYTGPTDFILEFFLPRDCKRDDEQKQIGTSIITMIKQISWSLHLINAEELMKGMNTRDESWISDMVEARERGETVILSMGCQKEEPQEETNTRDESWIADMIQARERGEKVILSMGCHKEEPEEEFQVINQFYNGLENQSFLEWGTGTGLRGQSKRSSIKNRVKTERNISLQDLQQYFPGSLKDAAKSIGVCPTTLKRICRQHGIMRWPSRKIKKVSHSLKKLQLVIDSVQGAEGMIKLGSFYTNFPELNSPISPTPKTKVNNRVNHLKKSQTPSNSSSSCSRDSSSSSGNAFPVHTENVPGSQKRKLPVNQRYNNMNDLLLTPKDKLVDDQRFVEVIPPTINDEGIFRVKATYGDEKIRFRMSKNWGFGDLHREISKRFNIYDMGNIRIEYIDDDSEWVLLACDDDVEECMDLHTSINNQTIKLVVHRSTHPSFMW >cds-PLY92105.1 pep primary_assembly:Lsat_Salinas_v7:1:109015928:109019734:-1 gene:gene-LSAT_1X85520 transcript:rna-gnl|WGS:NBSK|LSAT_1X85520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVNSEMYEGSACYDPTLIDHQEDHVHNFHQNPNFSSIEASTDYQLINMDMEHQNQIIHDLNWTTHSHDQIQIGNDNNCFPNMPLITPNPTPPDLLNLFQLPRCSNSSISFSNPTHMDQNSYDPLLPLNLPPQPPFFRELLHSLPNGYNLTGGGSIFGDMDMEREGVRGDLHQLYHEGDGILKFNGEISGIVGKGRDVKDTKHFATEKHRRQQLNDKFDALKNLVPNPTKADRASVVGDAIEYINELKRTVEELTILVERKRCNRGRMKKHKAEDDSTLDVESINTRPNGGGDHDQQAYNGNSTSTLRSSWLQRKSKNTEVDVRIIDDEVTIKLVQQKKINCLLFVSKVLDELQLDLHHVAGGLIGDFYSYLFNTKICEGSSVYASAIANKLIEVVDRHYASIASTTGY >cds-PLY64668.1 pep primary_assembly:Lsat_Salinas_v7:7:138913998:138915095:-1 gene:gene-LSAT_7X83861 transcript:rna-gnl|WGS:NBSK|LSAT_7X83861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIETEAEEEPDETVDNSPTFWTSCPFCYYMYEYPKVYAECTLRCDHCQRAFQAVRIPHPPPITEGQEAYFCCWGYFPLGVSMSHLDKNNTQSNKKSWTPFSPMYNPSTNIQNQKQNHLNGKAAPKENTFVNKSSGPRIQLDAIDDITDDVFMEVSKQSSDSDSDSGSGSGSDSDSNARKKMKKDPELEFENRVQDVGISAREAKNEILKNLGNGMGVEESGNPRRESGRVGKELGKLDLNVEFNNNEGHGHGQGEDNNVEGNGFFEGLDEFLSSLPILSVVNEEKVKAA >cds-PLY63438.1 pep primary_assembly:Lsat_Salinas_v7:7:152936524:152937456:-1 gene:gene-LSAT_7X90940 transcript:rna-gnl|WGS:NBSK|LSAT_7X90940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDEARILRAYHELLENVRLNEGIVGGLEEEDDNATDDYIDFEDEHIDKISAHPLDSFLTQIRFDQQLDFKIKKSELVYNDSIVGCSL >cds-PLY83572.1 pep primary_assembly:Lsat_Salinas_v7:5:18093445:18097868:-1 gene:gene-LSAT_5X9001 transcript:rna-gnl|WGS:NBSK|LSAT_5X9001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCRLKEGYSWLFVTAFLQGPLILTQPVSSSRLSSSSSCSPPEEKIRKEPYISRDKVCEVDRKYLMVQLMKSTMVSPESQLQQRGKMASVKREIPEESTLYNEQQVPLHKRSKQEDFGMGSFPVSPAQFNPLDEPSPLGLRLRKSPSLLDLIQMRLAEGNSSSKIGTDAKKANKTTAASAAATDKLKASNFPASLLKIGTWEYKSRYEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDVMALKATYPDDGPGTLDVVLTRQPLFFRETNPQPRKHTLWQATSDFTGGQASLHRRHYLQCPQGLLGKHFEKLIQCDPRLNFLSQQVEIELECPYFEPKTSVFDEPNESNEYDLHREAPTSGIFDLGTRASPSGGGQSSSSRSELHDPVSRPAEFSREAPSPSSVMDTSAIEEIRRAGTEGLKGGIDRWDQIKVPGIHPSMSMSDLVNHIENRITEQRTSGNHPLSHEEKESLEILEDISRCLFNDAQYGSSTSDEKSVMSKVNSLCCLLQKDPTTFQDLEPKTNNQNDGKSVIHESKPVSVSGPGLVIQDEADDDDDDSKKNSGMSRRDSVGDLLLNLPRIASLPQFFYNF >cds-PLY69803.1 pep primary_assembly:Lsat_Salinas_v7:4:244193437:244195829:1 gene:gene-LSAT_4X129320 transcript:rna-gnl|WGS:NBSK|LSAT_4X129320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate reductase [Source:Projected from Arabidopsis thaliana (AT5G14800) UniProtKB/Swiss-Prot;Acc:P54904] MSAVSPIPSETYKLGFIGAGKMAESIARGVVKSGVLPASRIKTAHKGSRRAAFESFGVGVAEHNNQVVENSDVVIFSVKPQVVKDAVLQLRPLLSEKKLLVSVVAGVKLKDLQEWAGHDRFIRVMPNTPAAVGEGASVMSMGAGATQEDGEIIAKLFGAVGKIWKADEKLFDAVTGLSGSGPAYIFLVIEALADGAVAAGLPRELALNLASQTVLGAASMARETGRHPGQLKDDVTSPGGTTIAGIHELEKSGFRGILMNAVVAAAKRSRELSQN >cds-PLY87754.1 pep primary_assembly:Lsat_Salinas_v7:5:200196053:200218513:1 gene:gene-LSAT_5X91281 transcript:rna-gnl|WGS:NBSK|LSAT_5X91281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKARSQYHIQPWHFLRKSNNVMLFGLFMVVVSLEVTKSGYLVKTLPGFPGDLPFLFETGYISVGKNDDVQYFYYFFESERSPENDPLLLWVRGGPGCGVLTSILLQIGPITINYINSSLENQMLELSTHSLTKVANILFLDEPAGSGFSYAKSPEGYITNDTLTPIHIHQFIRKWLVDHSKFVKNPLYLGGDSYGGISVPMTIQEIYNGNEGGEEPHINFKGYILGNPLTDKHDSSNAKIEQVHRMALISDKIYESVKQNCHGEYQYVDPNNNRCIQDLQVVHECIERISVDNILYPFCDRSNTSKSEHLRIGLRSLDKTSIYIWSSDDVQTQWLCNYKSAYIEAWASRRDVKEALHVSEELNEIKWVSCNQSLTYADGLLAKVSYKFNIMSTVAYHQEFSHKNCRALVYSGDHDLRVPHFSTLKWIESINLLLVEYWRPWYVDEQVAGYTMKFSNHDYKLTFATVKGGSHTSPENRPEECFNMFIRWFDSVDL >cds-PLY78729.1 pep primary_assembly:Lsat_Salinas_v7:9:48038465:48039608:1 gene:gene-LSAT_9X43580 transcript:rna-gnl|WGS:NBSK|LSAT_9X43580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARVVLILALCVLLPVLISASRPMSRPFRLQGRVYCDTCRAGFETSATTYIPRAKVRVECKDKEQKLLYSIEGTTDATGTYHILVHEDHGDETCDVVLVSSPLGHCRTADPGRDRARVVLTSYNGIVSDTRFANAMGFMKDEILSGCTTLLQSLMEEED >cds-PLY89911.1 pep primary_assembly:Lsat_Salinas_v7:8:68521641:68522451:1 gene:gene-LSAT_8X49101 transcript:rna-gnl|WGS:NBSK|LSAT_8X49101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDNEWETIQASSSATQSDEDVGDAVVVATPTNFHDASGVAPSNHQGLLVFTQPPQDDYLHEPVLETPSPRSSYSSSSNPSSTEIVEGELPQPPETRNTQLKASFRILSSWVLRIAYGIRDKIGFWSIASVTAFATVMVYGMQWQRRRMLAAKESKDQLKLLINQKDEIVRLVHNGLSFNGYGRQVFDEMLRRTDYYTSLFEILPTSITFLAFMTLISACYDTLFLYSFRK >cds-PLY95407.1 pep primary_assembly:Lsat_Salinas_v7:9:188200029:188202214:1 gene:gene-LSAT_9X115921 transcript:rna-gnl|WGS:NBSK|LSAT_9X115921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSILTCLLLVLILPHTLSLNFSFPNINADSKEIITEGGGAYLSDAGIQVTPEDDRMLENRRQGRATYFRPLHLWDKTSTEIASFSTNFSFVIDSKSKKAYADGLTFFLAKNNSFINSGGAMGLPIDSENNVMIHPFVAVEFDTYSNPKIDRTTSDHVGININSLTSARFVEWWSNITSGRDCSARIDYESASKFLRVSFTDFRNNTLVWQDGLNYNIDLRDVLPEWVIFGFSAATGTLFEKNTVKSWSFKSSVLGVDESVKGKKGTPVYLVGLIVGACVVVALLAMIAIICWRKRKSKENEVVEVGSGVVMNNELEIGSTGPRSFSYHELSRSTGGFAGNEKLGEGGFGEVYKGFLEDSSTYIAVKRVSKSSKQGIKEFASEVNIISRLRHRNLVKLIGWCHDNGELMLVYEFMQKGSLDLHLFKGKSLLSWGTRYKIARGLASALLYLHEEWEQCVLHRDIKSSNVMLDANFNAKLGDFGLAKLVDHDKGSQTTMLAGTLGYMAPECAATGKASRESDVFSFGVVALEIACGRKPIEERQGKLVEWVWELYGTGSGTLLQAVDPRLGLDYEEEDIKRLMIVGLWCVHPDPYLRPTMRQAIHVLKYEASLPLLPSKMPIVSYVLSRTSSSHGVDSIIQTQSSIRASNIGLEVRNNMVDSLI >cds-PLY63553.1 pep primary_assembly:Lsat_Salinas_v7:9:150695385:150700194:1 gene:gene-LSAT_0X5341 transcript:rna-gnl|WGS:NBSK|LSAT_0X5341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 14 [Source:Projected from Arabidopsis thaliana (AT3G20630) UniProtKB/Swiss-Prot;Acc:Q8L6Y1] MEVLRMNLAKVRIPEPTNRIYKQECCISFDTPKSEGGLFVDMNTFLAFGKDYVGWNFEKTGNPVYLHIKQTKKAIPEDRPLKKPTLLAIGVDGGFDNQEPEYDETYNIIILPDYATLPFPSVQLPEKVRLAVDAILIAEGAERKEQLASWTADKKQISEHALTLKQIENGVIVPPSGWKCAKCDKTENLWLNLSDGMILCGRKLWDGSGGNNHAIDHYNETHYPLAVKLGTITSDLEGADVFSYPEDESVTDPLLAEHLAFFGIDFSSLQKTEMTTAEKELDQNTNFDWNRIQESGQEVEPIYGPGYTGLVNLGNSCYLASTMQVVFSTHSFCSRYYKNQNLKMAFDNAPADPTVDLNMQLTKLANGLLSGKYSMPAVQNNDVDSSPNSKQEGIRPRMFKAVIAASHPEFSTMRQQDALEFFLHLIEQVERIHSGNPNLDPSRSFKFGIEERLQCPSGKVAYNKRHDYILSLNIPLDKATNKKELEEFQKFKAQKEAKGEKLSSEEIVRPRVPLSDCLDSFSSPEDVQGFFSTALNTRTTAIKTAGLTSFPDYLVLHMRKFVMEAGWVPKKLDVYIDADDIIDISHMRSKGLQPGEELLPEDGPGGQEESTKVIANEDIVSQLAGMGFNHLHCQKAAINTSNVGVEEAMNWLLSHMDDPDIDAPIQKEAGNNDVDPSKIAMLVSFGFEEEVAKKALKASGGDIEKATDWIFNPPASSGPMDMDATSSSGPTTTTVDPTLPDGNGKYRLIGLVSHIGSNTQCGHYVAHVYKEGRWVIFNDDKVGVSKDPPKDMAYLYFYERIQT >cds-PLY92507.1 pep primary_assembly:Lsat_Salinas_v7:2:152427144:152428482:1 gene:gene-LSAT_2X76261 transcript:rna-gnl|WGS:NBSK|LSAT_2X76261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein AE7-like 1 [Source:Projected from Arabidopsis thaliana (AT3G50845) UniProtKB/Swiss-Prot;Acc:A8MR89] MTLGLINANPIVREKKERITRTDAHLHCDDHEAVDPLDIYDISTNFVRDIRDPEHPYSLEQLSVLSEESITVDEKLGRILISFTPTIQHCSMATVIGLCLRVKLKDCFPPHFKVDIKVAPGSHADEAAVNKQLNDKERIVAAMENPNLRHLVDECLHSSEL >cds-PLY94508.1 pep primary_assembly:Lsat_Salinas_v7:2:157021348:157022493:1 gene:gene-LSAT_2X81721 transcript:rna-gnl|WGS:NBSK|LSAT_2X81721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEPCHIMKQHQQTCPYNYTDSFSSESFKDHPNLVSVNQSIPLAINGDQDKKEDHKAAESNPFAPNYASLSSTFTISFGNPTSPQDIKPYQPYGGSKFNYPDVMTPKEETSLNEFLGSIELTRRVQTTRRNHRQAQEHVLSERKRREKLAQRFMSLSALLPGVKKMEKATVLEDASKYIIQLQTRVKELEGTISGKDIIHESNVSITRSKFYVNHGDHGASSHEMEDFPSSDTYDPEIKARISGRNILLRIYCGKNSSIVLKTLTEMERLHITNICCSILPFSNTANLVTITAQVCD >cds-PLY93449.1 pep primary_assembly:Lsat_Salinas_v7:9:58238240:58239613:-1 gene:gene-LSAT_9X51441 transcript:rna-gnl|WGS:NBSK|LSAT_9X51441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDANVESGEVDNIGNTVKFLLDYKENGEVLGKQVPPSIYMVPSVIRDLSPTCFNPKVVSIGPLHRHNEHLQGFEIQKQTYLHNWLRRLGTVPNQTLRTCVEKVIQSIEIIKECYGRSVTYNDLELAKMMVIDGCFILEFIQNESEKSSGCNMMITPLIVYDLLLIENQIPFFVLKIIFDCTIVASGRMHINSSFTQHISVLLGYYKVFESNSVVPNVSLDSTTDHLLHFVHKYYQPVEPMPSVFVEANPKGHTAVELHRAGMKFKPNEDENWAMALKLELQLPSSFFSLLPIFTAIPPFSWLQWPTLKMPIVCIHDMSELVLRNLLIYEQFSDVNKYVTSYVYAMDMLTDTPEDVAILVKSKVLTNLSSSNENAANMINGLVKFVSIPDFFYDQQWKDMDAYYNSYWPNTLAGLKGKYFNNPWNVIALIGALVLFALTVVQTFFTIKAANATNVTM >cds-PLY92054.1 pep primary_assembly:Lsat_Salinas_v7:5:324376183:324385109:1 gene:gene-LSAT_5X180401 transcript:rna-gnl|WGS:NBSK|LSAT_5X180401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGCASGSLLLVNAGVDSMGGVIDGGVEISSAPSPHQSSDLEKTQAELRETFSAAEKYRRELEFLQKGGDPLDLKVGTAASISLQSTSLTDQHPEQFVTSEVKGSFAITASPHGDSVESSGRLGALSVGEPNSADNLMLFDGNNKFREVERILTKESGESVALELPKKSYERRIRSRPNRHASRDTKGLVHNVENQEHKHLSNSNSSNPKSPNVGLTLKNLGSNSCLDIKSNGTQTQISDTQEIPLSMSPVEPGSVGNIEQEKLSGSEHPPDVDANTVDDLSLLGQTKGFDSKSSCCQTDQSLDGNSKNEVPSSIQNVTSNGTIELNIASKEAPCMEGSGVNTVKDDKILSINNDNNEIDPKVKEEEEGLKESESESALQHELKKSVCSTGIDLDGCTTSEIERNPLGTDCTLLQESTLSLRCSIDDAEQNVCSQNNLKLATKEHEDSILEEARIIEEKRKRIAGLTVGIFKSESNHTSHWHFVLEEMSWLANDFAQERLWKVTAAAQISQRAAYTSRVRFQQQNSLRMQKKVAHTLAEAVMEFWHTLQVKCHGLELEGTKKDSMVGLDQYGMRFLEYNNSNAQYNSAQAPVTPDRISDLGITDVSWEDNLTEENLFYIVPPGAVEAYRKTIESHLLQSERTGSSMQEEVDVNGYDDVADNTLEEDEGETSTYYLPGAFEGSRMSKPTQKKRKQNQPFKSYVGRPYETGADLSFPQPLERNIGTQPSVISGKRPATSINVSIPTKRVRTASRPRFTGASGYNNNNNNQAPNRTDASSGDTNSFQDEQSSLHGGYQIPNNMEAESVGDYEKQLHFDMTEGSTFDHRWQLDSNFQNNEQKEHSKRRLDTRQFDSNGNSVGSQMSNMSNPNKFMKLLVRDRGRKAKLLKTPVGQQQGSGSPWSLFEDQALVVLVHDMGANWELISDAINSTLQFKCISRNSKECKERHRILMDRNSGDGADSAEDSGSSQPYPSTLPGIPEAIFLFLFLFLYFLFMIVIEVGSARQLFQRLQGPMEEDTLKSHFEKIIVISRKLHYKKSQKDNQDPKQLQQPHGSHALALSQVIPNNLNGGPVLTPLDLCEPISSTPDFLPVNYQAPHTGAPMVPGSTPAPSLPSSNLVFSSHLSSTPSGPISPSVGVREGRYGIPRPGMDDQHRMQQYNQMLSARNMQPSTLPLPHSDRGVRGMLPGVMNGMNRGMMMTRPPPLQGVNNSNPQSMMNTSQVRSREAVHMIRNPDHQRQIMVPDAQMQVSQGGTTQGIPAYPSGIASFPQPPVQPYPHMPTTNSHPHPHHLQGPSQNPAFGMRLMKERQLQQQRLRQQHFAASNPVTPHGQPQLPVSSPQSQSSSAQSSPVTQQLQKPASAGLVRNPQTGAGGNTVKPQRRPPQQFQPPGGRPQLAAKVVKGVGGGSGRGTAGDNGFVAEKGEMHHHMVQSGPSVKRLPGQPQPQQKVKLGMGSQSQLTAAQKIVLQNRKVNPSDSPASKLQARGATLPLPLTKPNSQTSCDDSGDPNRKVEFETPETQTETTTSASAEHAVNLKQQSSSDSSRLHS >cds-PLY67012.1 pep primary_assembly:Lsat_Salinas_v7:7:174371577:174373429:-1 gene:gene-LSAT_7X103600 transcript:rna-gnl|WGS:NBSK|LSAT_7X103600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNSVEIESAEDSKVHVFSSSTELLEKLHQKWNSVEKPPYPAMYSSVYGGIILDPAMMVIPIDDHMVHRGHGVFDTAIILDGYLYELDAHIDRILVSASRAKISSPFPKSTLRTILIQLTSASNCKKGTLRYWLSAGPGDFLLSPSGCPTSAFYAVVIDEEFSQCKQGVKVITSTIPMKVPLFATSKNVNYLPNVLSKLEAEEKGAFASIWVDDEGYVAEGPNVNVAFVTHDNELILPFFEKILSGCTAKRLLELAPKLVENGRLKSVKTGNITVEEAKRAAEMMYVGSTLPVLPIIEWDETPIGNGKVGELTMALSDLVWEDMVAGPETHRLKVPYV >cds-PLY90720.1 pep primary_assembly:Lsat_Salinas_v7:1:322580:325146:1 gene:gene-LSAT_1X1120 transcript:rna-gnl|WGS:NBSK|LSAT_1X1120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEFYKCRSSSVEWKPSPIVALATSVDESQVAAAREDGSLEIWLVSPGAVGWHCQLTIHGDPNLRVSSLVWCSSGSCGLAAGRLLSSSIDGSISEWDLDNLTQKVVVDPIGVSIWQMATHPMCNNTKQDLGYLENGHAAIGDGDGDVTSDSEVEGDSVELYEEPITGNACIAIACDDGCVRLYDISDSDEVTYHKSLPRVSGRVLSVTWSPDARRIYSGSSDGFIRCWDAKSSHEVYRITVGLGGLGGGSELCIWSLLALRCGTLVSGDSSGSVQFWESSHGTLLQAHSCHKGDVNALAASPSHSRVFSAGSDGQVILYKASGDKSCEAIKKKCKWVYVGYVRAHTHDVRALVVAVPISREDLLVSGEEKVKRGHGTGVDLSMSYNKWAHMGIPMLISAGDDTKLFAYSANEFTKFGPHDICPAPQRPAIQLVCSSNMLLVQASKWLDIFTVDVKRGDAVSTTDMVARVKSKSKRKIICSSMSSSGRFFAYSDHVRPCLFELMRKEEGGWSISKRKLPPCIPFAHSMIFTCDSSRLMISGHDRMIYVSNPSVSLNC >cds-PLY66362.1 pep primary_assembly:Lsat_Salinas_v7:5:289329583:289331046:-1 gene:gene-LSAT_5X157760 transcript:rna-gnl|WGS:NBSK|LSAT_5X157760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PPD6 [Source:Projected from Arabidopsis thaliana (AT3G56650) UniProtKB/TrEMBL;Acc:A0A384KSV2] MASASVSSLSSIFSSAKPISSNKPTLIDSPSFHQYPARRQFLKGLSLLVPLTTIIPLHSPSPSQAKTKEIEVGTYLPQSTSDPNFVLFQASSKDTPALRAGNVQPYQFVLPPTWKQLRIANILSGNYCQPKCAEPWIEVKFENEKQGKVQVVASPLVRLTNKPNATIEDIGSPERVIASLGQFVTGNSYDSDELLETSIEKIGDQTYYRYVLETPFALTGSHNIAKATAKGNTVILFVASASDKQWPTSQKTLKAIVDSFHV >cds-PLY96607.1 pep primary_assembly:Lsat_Salinas_v7:7:46434813:46436596:1 gene:gene-LSAT_7X33780 transcript:rna-gnl|WGS:NBSK|LSAT_7X33780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPLTIVSLAVASFLLFAFWALSPKTSKNLPPGPPKLPIIGNIHQLKSPTPHRVLRNLAKKYGPIMHLQLGQVSTVVVSTPRLAREIMKTNDISFADRPTTTTSQIFFYKAQDIGWAPYGEYWRQMKKICTLELLSAKKVRSFSSIREEELRRISKVLESKAGTPVNFTEMTVEMVNNVICKATLGDSCKDQATLIEVLYDVLKTLSAFNLASYYPGLQFLNVILGKKAKWLKMQKQLDDILEDVLKEHRSKGRNKSDQEDLVDVLLRVKETGGLDFTVTDEHVKAVVLDMLTAGTDTSSATLEWAMTELMRNPDMMKRAQEEVRSVVKGDTITETDLQSLHYLKLIVKETLRLHAPTPLLVPRECRQACNVDGYDIPAKTKILVNAWACGTDPDSWKDAESFIPERFENCPINYMGADFEFIPFGAGRRICPGLTFGLSMVEYPLANFLYHFDWKLPNGLKPHELDITEITGISTSLKHQLKIVPVPRSLAH >cds-PLY86876.1 pep primary_assembly:Lsat_Salinas_v7:8:49994621:49996317:-1 gene:gene-LSAT_8X37901 transcript:rna-gnl|WGS:NBSK|LSAT_8X37901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMFLLFKLPSKVFRKAKEYVKRKFGIRRKVARCVAAFVGRQQPWPPHRDAAEGFRWEELNGCMEEVEEALEEMCRKGEFGFGSFWRGDEEHDLEDNFRICFVNQQLGYHDDSGKYHFVDIPPNLAQIFYVFQNFHSIFPLLYKIRDAVSSHKSHVRCLFFRDISQKEDPKTYDVCFSDFQSTGMELVFAE >cds-PLY82790.1 pep primary_assembly:Lsat_Salinas_v7:2:145988797:145989797:1 gene:gene-LSAT_2X72140 transcript:rna-gnl|WGS:NBSK|LSAT_2X72140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFQRGDRVEISSNEEGFEGSYYTANIIARLSKKEYIIQYRTLLKEDGFGPLREVVSADQIQPLPPQIPVTGFSLGDAVDAYEKDGWWVGKISGKIGSNYFVHFENSKEEIVFPLGFLRVHQNWINGAWVSSKR >cds-PLY82386.1 pep primary_assembly:Lsat_Salinas_v7:4:336685297:336685512:-1 gene:gene-LSAT_4X166481 transcript:rna-gnl|WGS:NBSK|LSAT_4X166481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKNGDIGLRLMTGAPPQLASPVNKSQRPTMSSSSSGSNHSGMAAVVRSSSLTTEMTHPRRHPSFDTMERY >cds-PLY94981.1 pep primary_assembly:Lsat_Salinas_v7:4:108019020:108021287:-1 gene:gene-LSAT_4X68641 transcript:rna-gnl|WGS:NBSK|LSAT_4X68641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADKEGEKHVEEGKIPLLTPYKMGKFELSHRVVLAPLTRQRSFGNVPQPHAILYYSQRTTKEGLLIAEATGVSDTAQGYPETPGIWTKEQVEAWKPIVDAVHEKGGIFFCQIWHVGRVSNTGFQPNGQAPISSTEKEIFPQLRSNGIDVAQFSPPRKLTTEEIPLVVNDFRVAARNAIEAGFDGIEIHGAHGYLIEQFMKDQVNDRTDQYGGSLQNRCRFALEIVEAVVNEIGPDRVGIRLSPFTEFMGAGDSNPKALGLYMVESLNKYQIIYCHMVEPRWKVEGENVESPHSLEPMRKAFNGTFISAGGYEMEDGNTAVAENRTDLVAYGRLFLANPDLPKRFEIRAPLNKYIRETFYTHHPVTGYTDYPFHETKV >cds-PLY80060.1 pep primary_assembly:Lsat_Salinas_v7:4:349601709:349608642:1 gene:gene-LSAT_4X172320 transcript:rna-gnl|WGS:NBSK|LSAT_4X172320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDACFTNLFAFITKVHLLPVILISRCVRRLHGGFSIWTVAVPPKLLATLPSPFTATTPSFWLSHPSDFSLKEKMLNGRNQIRFITIILSLTIILMVTMIGGCDGSFIAACNGSTVAECGQQVIEDEEQEFLMDTEEHRRILYGKIDRHLVGAAQKPHNPACKNNCSGDKKYNVNGRPCKTYDRCRS >cds-PLY88658.1 pep primary_assembly:Lsat_Salinas_v7:7:47637909:47642123:1 gene:gene-LSAT_7X34321 transcript:rna-gnl|WGS:NBSK|LSAT_7X34321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVSGPLTPGQVSFLLAIIPIFVAWIYSEWLEYKKLSSASKVHSDNNLVELETNTKEEDDRAALLEGGVTRSSSSLKQPVTAIKSNLIRFLTMEDCFLLENRATLRAMSEFGGILFYFYICDRTNLIAESTKSYNRDLFLFLYILLIIVSAMTSLKKHSDKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSIARFAQMMWRLNFFVAFCCIVLDNDYMLYYICPMHTLFTLMVYGALAIGSKYNEIKSVMITKIFSCFLVVILVWEIPGIFEFIWSPLTFLLGYNDPAKPNLPRLHEWHFRSGLDRYIWIIGMIYAYLHPNVEKWMEKLEESDSKRRRSVKATIVSVSVLAGYMWYEYIYKLDKVTYNKYHPYTSWIPITVYICLRNFTQHLRNFSLTLFAWLGKITLETYISQFHIWLRSDIPNGQPKWLLSFIPNYPMLNYMLTTAVYVLISCRLFELTNTLKSVFIPTKNDRQLLSNFLAGAAISTCLYLIASLVVQIPQ >cds-PLY84957.1 pep primary_assembly:Lsat_Salinas_v7:2:124081807:124083692:-1 gene:gene-LSAT_2X57540 transcript:rna-gnl|WGS:NBSK|LSAT_2X57540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTALWDPASGSGGVPQLKGAKAFTFEELKKYTRNFSETNNIGAGGYGMVYKGTLPNGQLIAIKRAQQGSTQGGLEFKTEIELLSRVHHKNVVALIGFCFDQGEQMLVYEYIVNGTLKDSLSGRSGIRLDWMRRLKIALGAARGLQYLHDLADPPIIHRDVKTNNILLDERLVAKVADFGLSKPLSDANRTHITTQVKGTMGYMDPEYYMTQQLTEKSDVYSFGVVMLELITARNPIEKGKYIVREVKQSMNKSKELYGLHEVLDPTIGLSSQLKGLERFVDVSLRCVEETGNQRPPMSQVVKELERIMELVGLNPGTESSSSTSASYEVSGTDYNHPYSNDSLYAYSGGFLPAKLLQPK >cds-PLY70674.1 pep primary_assembly:Lsat_Salinas_v7:5:71075601:71076046:1 gene:gene-LSAT_5X33441 transcript:rna-gnl|WGS:NBSK|LSAT_5X33441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASTSRAVISRLCSRFTSKIFNGKHPTTPLKSATSPPSNPSTKRISATSRLLIIPFLSFIGLSESACRLPVQLSSLLSMMPLHSAIASCRLTSALSVESQSWGLIPLGNFNVY >cds-PLY64788.1 pep primary_assembly:Lsat_Salinas_v7:2:102132830:102135023:1 gene:gene-LSAT_2X46161 transcript:rna-gnl|WGS:NBSK|LSAT_2X46161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKKKPLEETNNNKEEKSKIIPWEDFQQELARLSSLSSALDEANQKKSIIREKLNSFLQLEAESIKWSNELDEMREKLEARKLLMGNMSMRSKAVREKTKKQEEQLNSEIRSLLMVGSALSVATSRLQEANKSLRGEKGYAHHLQNLQKLLRMQQQFMVSQISLLYPVKVVIGHTRKHDVQKSLDATSMTILGHLSVHPFTKMNFLTDKKEALSSATALGYIAHAVSLLALYIKVPLRYPIRLGASRTYICDYTPPLVESIPEFTSTKPIEFPLFLEGKETTRSAYAVFLLNKDLEQLLNFIGVESLGPRHVLANFRELLNNILSHEYINS >cds-PLY81982.1 pep primary_assembly:Lsat_Salinas_v7:8:263942764:263946165:-1 gene:gene-LSAT_0X32260 transcript:rna-gnl|WGS:NBSK|LSAT_0X32260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGVKLELRCPQRVHGIIADPQVDWSFDELLSELNAVDHKLQASSLVPLPFSKTHSSDLSVVVHAEKNRKPFVMHVSDDDDDDDDVVNSGHFACDEIYLSDDVDSDSDSSAEAQCSLMDKGGVIEGALIELSHEHQLSVAEEIRSRISAFGSDFTNEKEKLTNAISRAEKSKEARRELDRKRDLQYQRIIAEALDNHLTDVQRHHEYKSQIEEKKIRDDAAIEESKRKQKALQEEKVRQEKMKSEEAKRQAEKKREEEMKAKAKALEDEKRAAKEAAMLSMAESQRKAAEALTASNEPNKPSSGNVVKGAESGLKMEEKRVQMYKQVIGKDVVSEMDSNKEYRSHGMQMARRIKTITGTKENVRTKADELVKLMNSTFPQSVNIGIFADKIVSQSTNASSNSVLYGYGHVIVMVTSKIPHAMEILLAKLNKVCIFTVPKYLSYSEGAFESREAYYKAIGYEEEDGKLESTDTYVGRLTQHMKLYAALIQTEVDGFRNLHGIEEGWKWLARFLNALPANIYTGVALQAFIELAGFGMYKRYKNQFKKLLNIISQDFLKALKEREDPKVTKVVISLENYIQSNEFLKEPEGWRLQDSLLSHGFVPNESDDQQQYYNNNNNNNNSSGGYGYKGYQQQYNYNSPNRYVYQR >cds-PLY71702.1 pep primary_assembly:Lsat_Salinas_v7:3:45975988:45980832:-1 gene:gene-LSAT_3X35160 transcript:rna-gnl|WGS:NBSK|LSAT_3X35160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNGASSPRDGDVVNATEASLDKIKRQLASGSGRNLLQGPLLKRSETLRKWNERWIILDPTTGKMEYKIRRNDPTVKGTIVFDSNSTIMTSPYNFHGLPKYDNCIIYIATPQKKEYFLCAETPGAARAWVATLHATQLVLRAHKEAVNSLAGNSSAKLGTVSAVVTAANSTALESSKEIEAAMQIARRNALGSVLNKAPDAPLDDLTIMKETLKVKDEELQNLSRDIRARDSTIKEIAEKLTETADAAQSAASAAHTMDEQRRLAASEVDRLRKELEKQAISYTSKLRDSEEKIMVLSKEREQLIKQRDSSHQEALLWRSELGKARERVVILEGAVVRAEEKVRVKDAEAEAVIKEATEKESVLRKENQELLACIHVLQLQLKRQQENTKQVLEERVESCSGDTQPLTKHVHPTVKNVDKASLSDSRNIPVSERSLVYPDQSGEWNDIEATEARIADVREISADTQGNGSGNDIPVFVQGNESQQQQQEGSAYYPDVPAFRQSDDTQPPEQTTDSYHHQP >cds-PLY66590.1 pep primary_assembly:Lsat_Salinas_v7:6:167103604:167105873:-1 gene:gene-LSAT_6X101981 transcript:rna-gnl|WGS:NBSK|LSAT_6X101981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNLRGICRPHHAVYSSITCCYSRARCRPRSIVKVSNSIRNPNNSSNPRLSSSRSTVFSPGIDSSDVRQIEPLWGGFSNHQRRTLVRAANWTNEKSPYDTLELEGDADDDEIKLAYRRLAKFYHPDVYDGRGSLEEGETAEARFIKIQAAYELLIDSDQRRKYDMENRVNPMKASQAWMEWLMKKRKAFDQRGDMAIVAWAEQQQQELNIRVRRLSRSKIDPDEERRILAKEKKASMENFNNTLKRHTLVLRKRDLMRKKADEEKKKLIISKLLAAEGLELASDEDDASS >cds-PLY63477.1 pep primary_assembly:Lsat_Salinas_v7:4:81946585:81956220:1 gene:gene-LSAT_4X54501 transcript:rna-gnl|WGS:NBSK|LSAT_4X54501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAGSRETIQPQIWLQDERELKRQRRKQSNRESARRSRLHKQSYIFGTSRGIFVFGTLDLLFGGIARILRTFFSIIKKFNQFLVRLRALIVGSIGEFLSAALLPPEPLAVQNVVDFSKMIGALDVNENLTHLDAQLLETKSGISNRLSHNQSSVSAIICSGLFPGIASVVSDSLESRKSQFSGRQQPDPLKCPRCESTNTKFCYYNNYNKMQPRYFCKACKRHWTEGGILRSVPIGGGRKNKRLRRPSITATATLAIRNSPSKDEDSLLRIISDPKDTSDVAKTSIVKQIGCEMRYDVESYTDIEELKGLVSWDFNGSFIGCTLQQSADQDDHPKLEFSKTLNCFETNASSYIPRTCNELLENDDDSTITTASMIMPCSQQCQLPSTSNFLELNNWNWNDLDSMIPDDLDKP >cds-PLY87057.1 pep primary_assembly:Lsat_Salinas_v7:5:259854183:259857949:-1 gene:gene-LSAT_5X129941 transcript:rna-gnl|WGS:NBSK|LSAT_5X129941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGKKARKFAKKNLPSVLKQRRKNKIVFKKRPSKKDKQDVAEKVVKTAEVSNGRDTESEVTMTTSLDALFAENDSFMVSDDSDSDGYLSEDPSSENITRNDNDVALEDDRDKHTLSIQNQKIYEELTEQKKSLDKLKKKDPKFVKFLESYNKNKISKTQDMYSDEDEIDEDLTGLDDDDSATTKHKLLTCSLINSWSKSVKEDHSESALTSLVNAYRAACHLGHEETEFIIQDNETFCSIYTFMLSEADNIFRGLLKIPSANRKKDSILELKNTPRWKKVKPMVKSYLRSTLFLLKQVTDLDILAFSITRLRSSIIFFAAFPSLLNRVIQIIVPLWATGGGTLSSSSFLVIQDVISVFGSNYFDTCFIKTYNAYIARCRSMETVDAKHIEFLRDSFVNLCSLDVEKSCNKALVSIQQLAYILRHAKQKENKEAIKKIYSWQYAQCIDIWVLFISTNANESDIQPLLQMIIELINGISNLFPGSRYIPLRTRCIQWLNKLSNSCGIFIPVASLILDMLEYKVSKEGSKSRKSVKLASNLKLPKFWLKSQNFLEQCVYTAVELLSAHFLQWSFHISFPELATIPLIRLKKFYERTTVESLRRVVKRLMDQVEQNVEFVQKKRDDVAFSPKDHESVDLFLQFEKALNTPFTQYYKSVVEKAASKMSTSHVEPKRVNVKRKKTANGGESNTAVSLKEADANVDSKGKKRRVVVQVEG >cds-PLY76405.1 pep primary_assembly:Lsat_Salinas_v7:8:84256453:84256644:1 gene:gene-LSAT_8X62140 transcript:rna-gnl|WGS:NBSK|LSAT_8X62140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSDAETGMVDCGVGTIIWVRRQNGSWWSGKILGPNELSTSPLMSPRSGTPVKLLGREDASV >cds-PLY66661.1 pep primary_assembly:Lsat_Salinas_v7:1:52684220:52688635:1 gene:gene-LSAT_1X45940 transcript:rna-gnl|WGS:NBSK|LSAT_1X45940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRERNAQEICFMFLFVFFLCFTCDGFTYANEHEILLSIKASVDDPSSFLSNWKNSSLFCTWNGVSCNSDSTYVIGIDLSRKNLSGRISESLFKFLHVETIDLSNNQLSGGIPENVFSCSSLVHLNLSNNNLTGWIPGGSLPMLEKLDLSNNFLAGEIPSEIGSFSGLLYLDLGGNALVGQIPKSISNLTRLKDLTLASNQLVGGVPSELGYMKSLTFIYLGYNNLSGGIPKEIGGLILLNHLNLAFNNLTGEIPPSFGNLTNLNYLFLYFNKLTGPIPKTIFSLKKLVSLDVSDNFLSGEIPELVSEFQDLEVLHLFSNNFSGKIPKSLASLSHLQVLQLWSNKFSGDIPEDLGKYNNLTVLDLSTNNLTGNIPESLCYSRHLQKLILFSNSLEGGIPKSLSHCRSLQRVRLQNNRLSGHLSPEFTTLPLVYFLDLSSNYLSGEINQWKWKMPKLQMLSLARNRFSGELPDSFGSIKLENLDLSENDFSGQIPPSFGKFSELMELKISQNKLSGEIPKELSSCKKLVSVNFSYNQLTGEIPETLSRLPVLGNLDLSMNKLSGEIPENLGNVESLVQVNISHNHFRGKLPSTGVFLAINSTAVLGNNLCGGASVTHLPPCKEIRSSNWWFFGTALAAVTFAAMSIVVFIYIKRRNEADEKVIKRMESASDGGGEWELLFFDQKASTFLAIDDILLSLRGHKSVVATKNMQYFVHEVDLNNDPILKINEISKLRHPNVIKIISICKSEKGRFIVVHEYVEGKKLNEVMEVLGWESRVKIAIGIGKALRYLHGCCSPAGILVGNVSPENVMVVNVKDEACLRLNPPGMIFSDNTKCLVSSAYIAPETKDTKEITKSSDMYGYGLILIELLTGKTPIDAEMGLHENLVEWARYCYSDCHLDTWVDPSLKVQVLKNPNQTVEIMNLALQCTDSDPAARPCARDVVKTLESIIRKSSALCF >cds-PLY88094.1 pep primary_assembly:Lsat_Salinas_v7:6:183523658:183532832:1 gene:gene-LSAT_6X111961 transcript:rna-gnl|WGS:NBSK|LSAT_6X111961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLELITDTEMFTSVAGTAVPSVQLSGAVLTSQNLSIGTPVISASPLLGEFTSPDENGVVASIGSEKSSAAEQPLERLLKVVLSIADFRNRFLPCDRGDVDIGLVIKHSQLQNSGHLLRSSDIPSQ >cds-PLY75321.1 pep primary_assembly:Lsat_Salinas_v7:5:54037114:54037317:-1 gene:gene-LSAT_5X26361 transcript:rna-gnl|WGS:NBSK|LSAT_5X26361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGSRRSHPSSSYSVSPPPLLRSSTTVRHHNATAVAIEEEPSTLYLPTAIILSPPPYSRDWVWRPPE >cds-PLY70292.1 pep primary_assembly:Lsat_Salinas_v7:2:91410916:91412424:1 gene:gene-LSAT_2X39381 transcript:rna-gnl|WGS:NBSK|LSAT_2X39381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLENSRVSPPPATVGDRTLPLTFFDMIWIPFFPIHQVFFYEFRHSREDFLEKVVPNLKHSLSITLQHFFPFAGNLILFPEPNSERKPEIRHVEGDSVSVTFVECDLDFNDLTGYHPRNCDMFYPLVPLLGRATKVSDYLTVPLFSVQVTLFPKSGISIGLTNHHSLCDASTRYNFLKAWSSIAKHGTDEFFLANKSLPFYERVIKYPSSLDELCLNIPGIPAITMEYQPSHLVSPTDKVRTTIVLTQEHINRLKKWVSTQLPTLEYVSSFSVACAYMWRCMAKSHVLIGERNGDDDLERFVCAVDWRSRLDPPVPQTYFGNCVGASITPTVKSTILAGDNGFFTAAELFGKALSETLKKKNGVIVDGETVIKTAFLPIPGLSVSGTPRTKIYDVDFGWGKPKKHETISIDYNSSISVNASKESHADIEIGVSLPAKQLDAFLSIFSEELESLLLKEL >cds-PLY72270.1 pep primary_assembly:Lsat_Salinas_v7:7:87297105:87297817:-1 gene:gene-LSAT_7X60220 transcript:rna-gnl|WGS:NBSK|LSAT_7X60220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKGSGLYPDIGKKAEESLAVATTAAMADKYGIQVRESFKTRPPTPTPYRFYETAFQPTMTRR >cds-PLY69450.1 pep primary_assembly:Lsat_Salinas_v7:6:121854843:121855706:1 gene:gene-LSAT_6X73281 transcript:rna-gnl|WGS:NBSK|LSAT_6X73281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKFLDEDLVLKQQLDLYVERVQDGDQGLQKVALESMRQEIRTSTSSMTMSTEETEAYCRSVEFDYDVEKENTKESGTTILDDFV >cds-PLY80890.1 pep primary_assembly:Lsat_Salinas_v7:8:126201599:126207941:1 gene:gene-LSAT_8X88100 transcript:rna-gnl|WGS:NBSK|LSAT_8X88100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPAEASSEIVKKHRFRSLKMVDLNYEEVLSDVPYGADYGKLDNGLRYYVRLNSKPKMRAALALAVRVGSVLEEEEERGVAHIVEHLAFSATKKYTNHDIVKFLESIGAEFGACQNAVTSSDETVYELFVPIDKPELLSQAISVLAEFSSEIRVSAEDLEKERGAVMEEYRANRNANGRMQDAHWLLMMEGSKYAERLPIGLEKVIRTVSPETVKRFYTKWYHLQNMAVIAVGDFSDTKSVVELIKTHFGGKVLATDYMEIPKFVVPSHEEPRISCFVESEAAGSAVMISCKSQVDELKTVKDYRNLLVEAMFYHAMNQRFFKMSRKKDPPYFSCSVAADVVVQPIKAYIMTSSCKEKGTLYALESMLTEIARVRIHGFSERETSVARASMMSEIESAYLERDQVQSASLREEFLHHFLRGEPVVGIEYEAQLQKTILPHISASEVSKYSENFRTTHSCVIKTIEPRAAATVDDLKNIVLKINSLEKDGNISPWDEEHIPEEIVTLKPNPGSVVQESEYSNIGVTELILSNGMRVCYKHTDFLEDQVLFTGYAYGGLSELPESEYFSCSMSSTIAGEIGIFGYRPSVLLDMLAGKRAEIGTKLGAYMRTFFGDCSPTDLETAFQLVYQLFATGVVPGEEDIKIVMQMSEESVNAQERDPYTVFANRVREINYGNSYFFRPTRISDLRKVDPFKACEYFNKCFKDPSTFTVVIVGNIDPDIARPLILQYLGGIPRPSEPILKYNRDDLKGLPFTFPTTITREVVRSPMVEAQCSVQLCFPVELKNEKMMEDVHFIGFLSKLLEAKIIQVLRFKHGQIYSAGVSVFLGGNKPSRVGNARGDISVNFSCDPDVALALVDLALDEILRLQEEGPSDADISTVQDESRIDVRKILTPVTAQQSLQKTLPFPCKKQYTVVILMPQASRFERLKSFITDAKVQVGFGGMVVLGFCIWRYARRSSES >cds-PLY74047.1 pep primary_assembly:Lsat_Salinas_v7:3:179107952:179108847:-1 gene:gene-LSAT_3X108481 transcript:rna-gnl|WGS:NBSK|LSAT_3X108481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANNTQQVPRVLVNRVSEQAVFPCGGIISSAKTITLQGWNRTLVPTDLRFIIPAGVCLHIAIIPDLSQQSLSVNQGYMCGPCSDALVWVDMYNRSGSPFKLKAGDHIAWLDVLHNKITPKLIDVTPLFNNQPTSYN >cds-PLY64821.1 pep primary_assembly:Lsat_Salinas_v7:8:265375056:265375499:1 gene:gene-LSAT_8X154901 transcript:rna-gnl|WGS:NBSK|LSAT_8X154901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRSRRNHQELIHGGYLGLVFLSFPRGRSYGTALLSKGGVKVRSRMLRPPTPTDMDFIENEDPCEDEEPNEDNPYDDQVGGDPGDIFPYPNSSSHCDEHPETHEKDNRELDPSSESPASDQPSSVYASDPEWCTPPENPFLFHPK >cds-PLY77668.1 pep primary_assembly:Lsat_Salinas_v7:9:19178847:19181449:-1 gene:gene-LSAT_9X14241 transcript:rna-gnl|WGS:NBSK|LSAT_9X14241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIKGSILMEKYELGRLLGQGTFAKVYYGRNMKTGQSVAIKVIDKEKVLRVGLINQIKREISIMRQVRHPNILELYEVMATKTKIYFALEYAKGGELFDKVSKGRLKEHIARRYFQQLITAVDFCHSRGVYHRDLKPENLLLDENDNLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVINRKGYDGDKVDVWSCGVILYVLLTGYLPFHDSNLMEMYRKIGKAEFKCPNWFPPDVRRLLTRMLEPNPSYRITIAKVKENPWFKKGNIVRKPNKESVLSASSDGASASCSSEEKQEVMRLQNLNAFDIIALSPGFDLTALFEEPRQKKEARFTSWRPASVIISKLEEIAKLLKMKISKREAGLLKLEAMTEGRKGILSIDAEIFELTHSFHMVEVKKCNGDTLEYHKVVNEGLRPGLQDIVWTWQPEEQPPPQPPEQPQQPEKVGPLPPQQDQLP >cds-PLY77526.1 pep primary_assembly:Lsat_Salinas_v7:2:163416352:163417172:1 gene:gene-LSAT_2X84220 transcript:rna-gnl|WGS:NBSK|LSAT_2X84220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSFFNFKYGVSRKSSPKTPPPPPSKQPLQPSKPSSPAVFQPNAGEMRRVFNKFDKNNDGKISKEEYSSAVGALGGKNAKSEVTKAFQAIDTDGDGFVDFDEFMEAQKSEGGVKTGDIKSAFKVFDLDGNGKITAEELLQVLRQLGERCSLEGCRKMVKGVDADGDGMIDVDEFMGLMTRTMKLA >cds-PLY73723.1 pep primary_assembly:Lsat_Salinas_v7:8:170828774:170833316:-1 gene:gene-LSAT_8X112140 transcript:rna-gnl|WGS:NBSK|LSAT_8X112140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIITDHIQEEEEEEAEEEEEEATTTYDVFLSFRGKDTRLGFTDHLYQALVNENISTFPDEEEVETGEELKPELARAIKSSRASIIVLSKNYASSTWCLDELVMILEQRRVSDHIVLPVFYNVEPTHIRKQESTFGEALFEHKQRIESEKDAKKKIQGACKLVMWTKGLTEIADLKGKNATGRRETVVIEELVKEISSKLELHMRSKIPHLIGMDISVHFISSWLKGGSSETVEILTIWGMAGIGKTTLAEYIYRLHRHEFERSSFLEDIERRCAGQKRALLDLRRQLLGDILKKRMNEEHNVDAHTSKIEKALLNKKTFLVLDGVDNSEQVDELIGAKGFHPGSKIIITTRDVSLTEKCALFCMRFPPKHRKHALHGLSDSGSLRLLCWHAFRGYEPKEGYKEEAIRASKYCGGNPLALKVLGSSLINEDVAIWNDIFEPLETRELHTEVHKVLKISFDSLPSENCKELFKHIACFFVGRDREVTERILKGCGIRTSYGITKLINRGLLTIGERNELKMHQLVQEMGRDLVFKESPHKPWKRSRVWNHEESLNLLLQEKGTTKIQGLVLDMKMLEKDTLHGLSSVMEHEFEENDTNAIFGTGSSTHTVLKISSSHCKKIEIRTNAFSKMDKLHLLLLNHVRLKGSYKYFPKGLRGLCMHGFQLNHIPSNLPMQNLVALDLSYSNLTQLWKRPQLLRSLKILNVSYCKVVRVGGFSGLPALERLILAGCARLTQVCESIGGCESLVLLDMSYCEKLKNLPNSISKLTSVRIISLNRCRASIRINIHAFLLVTLSLRNSNLITESFPMDFSCMSALRELELDENPIDSLPVCVRSLSRLEVLSMVGCQLLKSVLCPHTIKILFAQLCLSLIEITFPQEMSALPAVCYWYSESLTEVQGIIKIEAMAQIDDPIFCSLGWTNLQHLKDEKMQIFDIDLWFSAKKLPVHMYYEFGIFSTCFSGKVVPDWLPHKSNGSSISFIMPLSSMNKRIQGLNICFVHAFLGTGKASSLGIKVQNMTKNRMWVYFGHIVVVQEGDEDIVWLSHWMFGNNELENGDEVSVTILDEEEDSGVMVRECAVSPVYNDGDNEEDPLSYYKSWKHIIGGDLSAFQLTSGDYFLYRNRFFYPPHSFKDFFEHKRIQNFVGYIPQYIDEGLY >cds-PLY72305.1 pep primary_assembly:Lsat_Salinas_v7:4:60546582:60548310:1 gene:gene-LSAT_4X42301 transcript:rna-gnl|WGS:NBSK|LSAT_4X42301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQILEKLQGKQWREKQIRKISDKVFDRFKNDDGRATMSFEDLYIAVLLVFNDLNKNLPGPHFDPPKKDEIKTLMQECDMDLDGGLNREEFEEFVKRLTADTFVYVTQGLLITLAIAPTVAIATKRSTEGVPGIGKVVQKLPTSIYASLITLGVMMIQKANE >cds-PLY67100.1 pep primary_assembly:Lsat_Salinas_v7:4:241883644:241885389:-1 gene:gene-LSAT_4X129720 transcript:rna-gnl|WGS:NBSK|LSAT_4X129720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNLSKLLYSTGFHITFVNSEYNQQRLIKSQRSRSLPSFQFQTIPDGLPPSENLDVTQDIPSLLRSTSETCLTPFKELLLKLNNTVGAPPVSCIVSDGVMSFTLEAAEELGIPNVLFWTTSASGFLAYTHYITLLEKGLIPLKNSVDLTNGYLETAVDCIQSMKGIRLRDIPSFIRTTDPEDFVINFVIRETNRAKKASAIILNTFYELEQEVLDELSLIYPPVYTIGPLNNMAKVFDSEDLQLLSSSLWKEEPECLEWLDSQALKSVVYVNFGSITVMTQEQLVEFSWGLANSKHKFLWVIRPDLVRGDSKVLQDEFLEETKNRGLLASWCPQEKVLNHPSVGGFLTHSGWNSTLESISSGVPMICWPFFAEQQTNCWCCCNRWGIGMEIDSDVDRKEVEKLVNVLMVEEKGKEMKRMAMGWKEKADSVASRRTLESLINQVLLPGVRQFI >cds-PLY94596.1 pep primary_assembly:Lsat_Salinas_v7:8:180249060:180251853:1 gene:gene-LSAT_8X117641 transcript:rna-gnl|WGS:NBSK|LSAT_8X117641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMKRVVEEVSPHCNVIQLHDVCEDPTGVHLVLELCSGGELFDRIVSQERYSEAEAAKVVQQIAGGLAALHHANVIHRDLKPENCLFLDKSKNSPLKIMDFGLSSVEEFTDPVVGLFGSIDYVSPEALAQQKITSKSDMWALGVILYILLSGYPPFSAQSHLQKQQMIMNGNFSFYDKTWNKISSSAKDLISSLLNVDPQKRPTATQILKHPWVIGDSATARQIDPEIVSRLQSFNARRKFRAAAIASMYTSTIFLRTKKLKNLVGSYDLNKEELQNLRNHFKTLCADGENATLSEFQEVLKAMKMTSLIPLAGRIFDLFDNNRDGTVDMREILCGFSSLKNLKGDDALRLCFQMYDMDGSGCISKEEVASMLRALPDDCLTIDITEPGKLDEIFDHMDANSDGKVTFDEFKAAMQKDSSLQDVVLSSLRAN >cds-PLY68359.1 pep primary_assembly:Lsat_Salinas_v7:4:192089756:192090464:-1 gene:gene-LSAT_4X109821 transcript:rna-gnl|WGS:NBSK|LSAT_4X109821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKEQQASSSSSSSSSSFFLFPNPYPLLRSFDIPAPVIHPPGIDPNSLLKPNPHGNDGLEPMTSLDVDPCAEIITKSTDLTASEPEPMNEDGKVVIMERCKRKINRVSEEDADTKRRR >cds-PLY79805.1 pep primary_assembly:Lsat_Salinas_v7:8:15341444:15342208:-1 gene:gene-LSAT_8X11461 transcript:rna-gnl|WGS:NBSK|LSAT_8X11461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACFGIRYGGAGDCLLRQPAGGFLDDRSVRVSRFNNLHSLSIPNLAVKSTFSRISTAATGNVTAVGVSDRLDSRSPSSLFETSFDLLPAEAIVNGEEYDRSCDSPGVSEKFDEWMRNSVTEIVKNIRQAPLLVQIYADGVVKTEKAVQAEDWPNVVKERPSSPDGIILVEELQDKIDPADSGDGFEEENGTRAFGVLIQGRFKGRDRCKSACYLLKTSSVNGGMGPFCTHFCLMKVHSFSKSASSQFNDCWLLQ >cds-PLY95479.1 pep primary_assembly:Lsat_Salinas_v7:4:264720873:264728027:-1 gene:gene-LSAT_0X36021 transcript:rna-gnl|WGS:NBSK|LSAT_0X36021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTACNHHLLSSSFILQIPNKFTKHHSVTVFTSPTTNGNILNPILGCSKKSSMDGPKKTTRKNPRRSSYGSSRKSVLRKTFKQEQVTFTAPISEDPVVAIIGGGMSGLLCALQLEKRGVRSTVFDTGIHGLGGRMGTRTVDPSMIFDHAAQFFTVSNPLFSELVDDWSHKGYVRESLGVIGELEAGGDFLPFPSSPPRYIAINGMRTLADSLLSQSSMVNVVRPCWISSIEPFNGMWHLSENGKHRGEFDAIVIAHNGKCANRLLSTSGLPLIARQMKRLDLSSVWALLAAFEDPLPLPPKAAGIPFEGAFVKGIDSISWIGNNTQKLFNSQNEGPHCWTIFSTSSFGKRHKVPQENIPNATAEKVKGLMLAGVENALGVPIGSLKKPIYTRVQLWGAALPTNSPGIPCIFDPLGRAGICGDWLLGSSLEAAALSGISLANHTADYLKSGGSRPDEFAVGLHDEFQPLDGHDIGQFPGLESNNKDIHEAQLQLGV >cds-PLY78519.1 pep primary_assembly:Lsat_Salinas_v7:1:189169196:189171193:-1 gene:gene-LSAT_1X125361 transcript:rna-gnl|WGS:NBSK|LSAT_1X125361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRFIVSRLCTSASRPAPSSLNHHHHHHLQNHHHHQNRDFSYVFCRNPITTSDRGAQSQGNGSTLRWLFHMQKIRCFMSSRESPRNTISSYDESESESEERDPIRIEVISEEHIKPSSPTPQNLRYFKLSMFDQIQISIYYPFTFFYPNNKNKNNKNVEDIINQRSNHLKQSLSETLTRFYPFAGKVASELHIDCNDEGVYFIETRVDDRLENVLKKPDNKFLQRLIPVVNSVANQQLLGSYVSMVQVNFFSCGGVAITVQHNHKLVDGASYMTFLKAWAASARRDPNLVNPSFVSSSMFPQNPQLPYAPYVPIWCLTISPAYLKHGQCATKRFVFDALALRKLKAKASTQEPVSRVVAVLALLWKCITEETKSKPSVLHLPVNIRPRSSPPMPENSVGNSLLGTCAEFDPHTSDLELPSMAAQLQAAVVNVNSEAIEELKGENGHVKFVESVRMSMERFSDFKSEYYLTTSMCNSGTKEADFGFGKPVWACTGNLNEDIPIFMNRMIMVDSCSDDGIEVWVTLEKQVMDALRCNSELLSFASVDPSPLSIA >cds-PLY85262.1 pep primary_assembly:Lsat_Salinas_v7:3:72587836:72588042:1 gene:gene-LSAT_3X56940 transcript:rna-gnl|WGS:NBSK|LSAT_3X56940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSREREESTSNKRHGWNWKEEKLNSILSKPPIPHITYRSSFPSSPNSYTDINSLRFQKHKRITAITYN >cds-PLY73527.1 pep primary_assembly:Lsat_Salinas_v7:3:107915273:107916744:-1 gene:gene-LSAT_3X78841 transcript:rna-gnl|WGS:NBSK|LSAT_3X78841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDRRIRSLDHFDLARIIYRRCLLKKNLCSRDSELSKMTLEEVLKLARAFSHILSLMGIAETHHRSRKAKNVAQDDIFNQLIQGGFSPDVMYDTVCKQAVEIVLTTHPHILTIVAGMLPFQIELTDAH >cds-PLY84305.1 pep primary_assembly:Lsat_Salinas_v7:5:191194804:191198645:1 gene:gene-LSAT_5X85441 transcript:rna-gnl|WGS:NBSK|LSAT_5X85441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIVVPKREKEPEVDDGKKKVTIFFGTQTGTAEGFAKALLEEAKVRYEKASFKAVDLDDYAADDEEYEERFKKESIAFFFLATYGDGEPTDNAARFYKWFTEGDAKGEWLNKLQYGVFGLGNRQYEHFNKIAKVVDDTLVEQGAKRLVPVGLGDDDQCIEDDFTAWKELVWPELDQLLRDEDDSTVATPYTAAVLEYRVIYHEKINSYTEDLTHTNGHSIHDAQHPCRSNVAFKKELHTPESDRSCTHLEFDISDTGLSYETGDHVGVYCENLNEVVEEAIKLLGLPEDTYFSIHTDKEDGTPIGGASLPPPFPPCTLRNALARYADVLSSPKKSALIALAAHASDPNEAERLKFLASPAGKDEYAQWIVSSQRSLLEVMEAFPSAKPSLGVFFAAVAPRLQPRYYSISSSPKIAQNRIHVTCALVHEKTPAGRIHKGVCSTWMKNAVPLTESQNCSWAPIFVRTSNFRLPSDSKVPIIMIGPGTGLAPFRGFLQERSSLKESGVDLGSSVLFFGCRNRKVDFIYEDELNNFVETGALSELIVAFSREGPTKEYVQHKMSQKASDIWNLLCEGAYLYVCGDAKGMARDVHRTLHTIVQEQGSLDSSKAELYVKNLQTSGRYLRDVW >cds-PLY71598.1 pep primary_assembly:Lsat_Salinas_v7:MU043919.1:13059:14032:1 gene:gene-LSAT_0X36540 transcript:rna-gnl|WGS:NBSK|LSAT_0X36540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAADTEDFEMISHIPFLTKYKHDTTKDCIMLKIIRVVPPAVPSSQVKANSSTWEASGETLNNIDHEAMAVDPALVLTIQVMLTDFGVAKQFDESTRLNSMCGTLEYMTPEIVQGRGHDKAADWWSVGILMYEMLSGKPSFRGGNRQKIQEKIVKDKIKLPGFLSSEAHSLLKGVML >cds-PLY66697.1 pep primary_assembly:Lsat_Salinas_v7:6:79086017:79088860:1 gene:gene-LSAT_6X54740 transcript:rna-gnl|WGS:NBSK|LSAT_6X54740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCDNITAAVMGMSSEQKMGFGLILQVNITSYPGQLSYYLLDVYDADSKRLVLQNSVIEITEQTMHDMMGLPIGGEDISQLPLCDKGNEILEEWRGQYICDKFNGEEYLRMIQATTKDSLMFRLNFLTFFVNNFIESMLMGTNQIKVLVYVYNMKYSIKLDKSLGGFGRQFQDDHDDVDMADETRGEEQQMLSFKRDFGDDEAYTAVIEHSYMVILTKKKYYGGCVEGWGDIWDIRTSHLLHQGFAYQFNHGMFLHSKIIDCWAAFLNKMENYKNESSLSRFLFDTTIVTEDILNELKSEDMKFRLFATLLRIYTKKFDVKRSFRDVALVFFPIVDDGKYYLLIFDLRSSLYYIVDHVKRTGTLERKYGMIPNLVKNLFCNYSTSQHHLMAKALTFKAGRVMNISWQVEKAGTECGGIYLMRHMESYMGENEGRWECGLTGKMSADVSATIKLQTKYMARLLTIDFNKFKNMIVKDFEVFDKLDILEQDMLL >cds-PLY82519.1 pep primary_assembly:Lsat_Salinas_v7:2:184319961:184320380:-1 gene:gene-LSAT_2X107521 transcript:rna-gnl|WGS:NBSK|LSAT_2X107521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKNSSGRASDKTPTTPETDMKSIKVKYISSPVMVEAKDASQFKEIVQHFTGQTPIQTSYGVYSNPTTAATTTTGEATRAHFSYEPSNKKPQALIDSFSWEDIAEWNSYR >cds-PLY67682.1 pep primary_assembly:Lsat_Salinas_v7:4:4142782:4145019:-1 gene:gene-LSAT_4X3060 transcript:rna-gnl|WGS:NBSK|LSAT_4X3060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLCGSDVPTIRRVSDTEHGRLPIVRDDRKHGEEVEGTCCLGIKSVLRIDAHYLCEAFKNSAQLGTSLNATKALALPAACDINAPSGFYHNHMEEVTRFLKTYHKVVHCKAGMARIYLEVLT >cds-PLY74416.1 pep primary_assembly:Lsat_Salinas_v7:2:13764426:13765953:1 gene:gene-LSAT_2X6900 transcript:rna-gnl|WGS:NBSK|LSAT_2X6900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLASSATIVSSSPKSLKILSPRSKHPIGKRLFRLPISAKSNGNESDLRSDSNDASIVPISTNRTFSKDDAMGLVLSAANVRGWTTGSGMEGPSVPAGGDGDCEKISTFPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNVFHKLVDNLNLFHEMKCYVNPSFQYQDPNGNVGSLGFKYLDMGDEDDNDDIIPLL >cds-PLY90990.1 pep primary_assembly:Lsat_Salinas_v7:8:254100851:254101658:1 gene:gene-LSAT_8X148521 transcript:rna-gnl|WGS:NBSK|LSAT_8X148521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSDYKRVFNHFDRDGNGMISPSELQHCVGLIWNEEILLEEVEVVVESSNGNNGNLGFEDFVGLMESTKEDEKLEDLRKAFRMYEMDGIDYITPKSLNRMLNRLGESRSVDECAGMISRFDLNGDGVLNFDEFKQMML >cds-PLY90964.1 pep primary_assembly:Lsat_Salinas_v7:9:107271271:107272728:1 gene:gene-LSAT_9X76100 transcript:rna-gnl|WGS:NBSK|LSAT_9X76100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSTIFAFFFIALLSTTRAFDPCPSLKPKPDGSDLSIIHIYGKCSPFNSPKPASSWTTTVLNMASEDQQRLTYLSSLVATKPKTSVPIAPGQVINIGNYVARVKIGTPGQLMFMVLDTSADTAWVPCSGCTGCSSTMFSQNSSATYETVDCATSECVQARGLSCPMAEPSNCLFNQSYGGDSSFLASLSRDFLQLANDTIPSYLFGCIGVVSGKSIPPQGLLGLGRGAMSVLSQSGSIYSGVFSYCLPSFRSYYFSGSLRLGPNGQPKTIKFTPLLKNPHRPSLYYVNLTGVTIGRVKVPIAPELLGFDPSTGAGTIIDSGTVITRFVPAAYDVIKEAFRNQTQGPFTSLGAFDTCFSVTHENVAPKMTFHFTGLDIVLPMENTLIHSSAGTLACLAMASAPNNVNSVLNVIANLQQQNLRILFDVVNSRVGITREICN >cds-PLY62118.1 pep primary_assembly:Lsat_Salinas_v7:5:38728788:38731109:-1 gene:gene-LSAT_5X19040 transcript:rna-gnl|WGS:NBSK|LSAT_5X19040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVKGRSSVPGNNGGSAKLLRYPLRSGTKSKDDKPPLSATSTPSSSRRGKASSVSQSVSVLDLSAKDKSAKPPRRYSIPTKSTTTPATKLASNTTPTSDARTNRSRNNTPVSDASRSLTRRKFSVLSSASYWLSQIKLSEASGKHQVSLGFFKLAQVAACENLQLLRDELKSYTLRHNILELGESAKEVLQLYDISESIEGVQVSETCCSQISEDAISLSSINGVHKPKPKSSSNNGGISVKENNQKKPVQHTKASTNKKMTNQQKNMQKQATNKPKEIVKSKGKKSVTEGVVVSSPEEVITQENKENMDAPPVEEISMES >cds-PLY87289.1 pep primary_assembly:Lsat_Salinas_v7:4:331641306:331644300:1 gene:gene-LSAT_4X164560 transcript:rna-gnl|WGS:NBSK|LSAT_4X164560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLTEERKAWRKNHPHGFVAKPESLPDGTVNLMVWQCTIPGKVGTDWEGGYYPLALHFTEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDSPNPADPAQTDGYHLFIQDAVEYKKRVRQQAKLYPPLV >cds-PLY86436.1 pep primary_assembly:Lsat_Salinas_v7:1:10393384:10394208:1 gene:gene-LSAT_1X7541 transcript:rna-gnl|WGS:NBSK|LSAT_1X7541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIELRHLGGEDISSLNYEELIAYEDALENGLTSIREKKDEIPKIMRKHELVLQEENKHLMFLVQQGEMAHGRISS >cds-PLY77325.1 pep primary_assembly:Lsat_Salinas_v7:5:143115872:143122784:-1 gene:gene-LSAT_5X63740 transcript:rna-gnl|WGS:NBSK|LSAT_5X63740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHIREFEHLKITLEAIKSATNNFCLENWIGRGGFGNVYKGEIVHSKGQSMVAFKRLDRAFGQGNPEFWKEITMLSLYKHENLVHLLGFCDESDEKILVYDYASNRSLDFHLNSNALTWTQRLKICIGMARGLAYLHNSVVDTHVRVLHRDIKSSNILLDENWNAKISDFGLSKFAKASKFTFLFTSAVGTLGYCDPLYAETGFLTKESDVYSFGVVLFEVLCGRLCICKNDDRPLTGLARECYEQKKIHTIIYGYIRDEINHNSLRAFTTIAYRCLKRDREERPSMTDIVRMLETALEYQINKQSWELPDIVDSFHLKALRLPDSMDASKVMRLTYTNSGLSLLALASNGVHKLWKWQQSELNPSGKSTASIVPQLWQPTNGALMSNDVNESKSAEESAACIALSKNDSYVMSASGGKVSLFNMMTFKVMATFMRPPPTATYLAFHPQDNDIVAIGREDSIIQIYNARLDEVIIELRGHQKQITGLAFSRTLLVSSGADAQLFIWDLIGWEKKKSRSIQLPPGHSSSLVGKTTVQFHNDQLHLLVVHESQIAIYDHQLERLRLWSPRESLSAAISSAIYSCDGMLLYTGFSDGAVGVFDAETLRLRSRIAPSAFVSSSISRLEQESTFFFNMKYFEEQVQAGEWDEVEKYLCGFTKVEDNRYSLKIFFEIRKQKYLEALDRNDRAKAVEILVKDLKVFSTFNEELFKEITQLLTLDNFRQNEKLSDYGDTKSARSIMLVELKKLIEENPLLTEKLTLPVSIASQLLTLINQSYRRAYPAVIASHPSDPNQFALVMSDGSVHVIEPADADPKWGGSTSQDDNAALPLPLPSSNPSNSALNSQPLDKQGT >cds-PLY75832.1 pep primary_assembly:Lsat_Salinas_v7:3:67694055:67694978:-1 gene:gene-LSAT_3X52440 transcript:rna-gnl|WGS:NBSK|LSAT_3X52440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVTTCHRRINCLSPAESQPEWEDFIDAEDYLNDEHKFNITHILVLRFPRIDVNPSDGFVTEHELTEWNLEQSQREVLHRNQREMELHDKNHDGLVSFAEYQPPTWVRDSYNNSFGYDMGWWKKVHFNAYDVDGDGFLNITEFNE >cds-PLY69028.1 pep primary_assembly:Lsat_Salinas_v7:9:142226101:142240703:1 gene:gene-LSAT_9X91081 transcript:rna-gnl|WGS:NBSK|LSAT_9X91081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAERIGEFSHYMHNPWLVILQVGLALVILYKNLGLSSLAAFVATIIVVSTNIPLGRFQKKFQEKLMMYKDKRMKTTFEILKNMRILKLHGWEMKFLSRIHDIRRDEERWLYKNNFTLAMITFAFWVAPTFVAISTFGTCILAGIQLDSGKVLSALATFKILQESAFNLPHTVSMIAQTKVSLDRIASFLHLQDLDSDLVEKNPRGSSDTAVDIINGNFSWDVTSANPTLIDINFRAVHGMRVAVCGTVGSGKSSLLSCILGEVPKLSGNVKLGGSRAYVGQTPWIQSGKIEENILFGKEMERERYDKVLEACALMEDLAVLSFGDQTVIGERGINLSGGQKQRIQIARALYHDADIYLFDDPFSALDAHTGSHIFKKCMLDFLESKTVIYVTHQVEFLPDADLILVMKDGRITQAGKYDDIVNTGSNFIELVGAHKEALLEMGKKLASDLKDANENKRTQGTIKDHIQEENVNSQKGKEVYPNGKKRQLVEEEEREKGKVGLSSYFKYLTIAYGGALALLVFLAQITFEVLQISGSYWMTWASSASQSIEARVGSSKHIIVYVSFGIGCAFCVLTRAMLVMKAGYETANKLFYKMHFCIFRAPMSFFDSNPSGRILNRASTDQSAVDVKLPDTMILFVAVVVQLFGIVIVMSLAAWPVFLFFIPIIGICICLQRYYIPSARELARLVGVSKAPVIQHFSETITGSTTIRSFDQNGRFENTCLNLVDNYSRPNLHVVGALDWLGLRLDMLSSVIFSFTLIFLVSIPEGTINPSTAGLAVTYGLYLNKIQGWAIQKLCNVEIRFISVERIFQYCSIPSEPPLEIESNRPDSFWPSHGKVDIINLQVRYAPHMPLVLRGITCSFHGGRKTGIVGRTGSGKSTLIQTVFRIVEPIGGEIVIDGINISSIGLHDLRSRLSIIPQDPTMFNGSVRSNMDPLEEYTDDQIWEALDKCQIGDEVRKKEGQLDSTVTENGENWSMGQRQLVCLGRVLLKKSKILFLDEATASVDTATDNMIQKTLRQHFSDSTVITIAHRITSVVNSDMVLVLHDGLIEEYDSPTKLLEEKLSAFSKLVAEYSMRSNSSHTISNCS >cds-PLY81927.1 pep primary_assembly:Lsat_Salinas_v7:8:122769734:122773546:-1 gene:gene-LSAT_8X85400 transcript:rna-gnl|WGS:NBSK|LSAT_8X85400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETHRPEIQLKVNSRRGLGVGNVIHPVEVESSPTPLLAAQRAGYQSQTPSPFREIKHFKKWFPWLIPSFVIADVVMFIITMYVNNCPKNSVTCIVTFLGRFSFQPFKENPLLGPSSSALEKMGALDVNKVVDDHQGWRLITCIWLHGGLLHLLANMLSLLVIGIRLEQEFGFIRIGLLYIISGFGGSLLSALFLQSNISVGASGAVFGLLGAMLSELITNWTIYANKLAALVTLVIIIAINLAVGILPHVDNFAHLGGFSSGFLLGFVFLMRPQFGWVSQRYAPPYSRAGANKPKYKTYQRVLWVLSLILVVTGYPW >cds-PLY90329.1 pep primary_assembly:Lsat_Salinas_v7:2:197548582:197550507:-1 gene:gene-LSAT_2X118301 transcript:rna-gnl|WGS:NBSK|LSAT_2X118301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G55520) UniProtKB/TrEMBL;Acc:A0A178VDC9] MAETIDLTGDGGVLKTIVKRAKPEAIGPCDNLPLVDVHYEGTLAETGEVFDTTHEDNTIFSFEIGKGSVIKAWDVALRTMKVGEVAKITCKSDYAYGTAGSPPEIPPNATLIFEVELVACRPRKGSSVASVSDERARLEELKRQREMAAAQKEEEKKKREEAKAAAAARIQAKMDAKKGGGKGKAKGGK >cds-PLY77610.1 pep primary_assembly:Lsat_Salinas_v7:2:164416576:164418573:-1 gene:gene-LSAT_2X87181 transcript:rna-gnl|WGS:NBSK|LSAT_2X87181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTSIKHLTVVSRHSKSLSLFSSSPTTTTTTTSLANNFVSPAHQTLHHLLEKCQSMRKLKLIHAQIILHGLHQETLTVSKLISFCAVSVTTDLQYAQLVFDHLPQPNRYMYNSLIRGYVNSDNPIKALHLYHQMIRVGISPNEFTYPFVLKACAVLSRLLDGVLVHVRIIKLGFQSHVYVQNGLISVYCSCDSIRDSRKVFDDMVDKSLVSWNTMIGGYSKMGYCKEAFLLFRKMRELDVKPDDFTFVSLLSVCSQSSHGVLGRSLHSYIVTTKSHIDIYVQNAIVDMYSKCGDLHSAQTFFDRMCDKNVVSWTSMVTAYTKHSLLDSAKNLFYQMPVKNVVSWNSMISCYLQKGCYNEVLGLFDDILNVGLTPDESTLVSVLSACSQLGDLEKGKNTHTYILSNHVTPSVTLFNSLIDMYAKCGMLETSLSIFLKIPEKNLVSWNVMIGALALHGHGFEAVELFESMKVCENGINPDEITFMGLLSACCHSGLTETGKYYFERMIPMYNVQHEIEHYACMIDLFGRLGLFPDAIKLIKSMPMKPDVVIWGALLGACRIHGNLQIGKQVLKQVLELEPYTSGLYVLVSNMFCEARRWKDVKNIRKLMKDHGIKKSKGVSFIEVNGVSYEFMVDDHRNELSNDVHELLDQLTDHLKSSGIEQICLI >cds-PLY88394.1 pep primary_assembly:Lsat_Salinas_v7:5:62972967:62978041:1 gene:gene-LSAT_5X30240 transcript:rna-gnl|WGS:NBSK|LSAT_5X30240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVDISMRFQESIVAAVAVVIWFTVPEKHITKCKLKSLCFVRLDRFNRRSFHCKKKSDSCSWIIKSVINNKSINGDEAIEPARILLERLFVQTQKLEEKINKNSNPPQDIELEHYLGKLESDLQTALTVLRKKEEDLEAAENKISLEYRDLNNAKNELNKREENISDAFLRQEKLENELNLANLDLASRATEIEDLKLQIEKRDHEVMLARSMLELKEDEIKVMVDELRVKSEESANFELEIMEKSRVLIETNEILKKQEVEIEELKETIREKNEELEISTMLLESENEKLKVVEENLEKQTMDWLVANEEMTELPSTVEYFDDLTRVRMLLSDVRSELVSSRESLILSRKKMEDQQAVLEHEILELEEHRKSLSDYTRSVKDAETEVEKERVMFRLAEGRNQEFQRDLLIEKELIDELQNQLNSEKDSLRKANEEILEIKDELNRRNLEFSEIQNRLESKEAELVDAKIEIQSLKSERVCLEVMLNEKESELSDAREILDEVNREIMNLKMLLGRKIETGIDYSEAKNVMERIFELTNKVDDSIVKPESKWEKKRVETELDVIRGTLRLREFEVLRSRREIMIKEDKVKSVLEKLDERENEMAEMKWELSQDVDELRRLYAMAQERIGERTMGELAIEKMELEAAEIEIEAAVSALEKIMEMSRELLRATSVIVDADSDVDVSMGIERCGFEDPGFEELRMEAARLSDFTEKLVREAGIGKDLAVVDK >cds-PLY81910.1 pep primary_assembly:Lsat_Salinas_v7:8:122530750:122532887:-1 gene:gene-LSAT_8X83920 transcript:rna-gnl|WGS:NBSK|LSAT_8X83920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVDHAHGFRPLARLPRCRLQSYSHFDPTMLEFTHNITHPSNLTPNFHRSYSTPCLSLATKAKEEFESPNPRIEIISGRKAPMVHALVAEVAIALASGVKPKPVSSGLGGAYYLQSRKGNTIAVVKPADEEPLAFNNPKGFAGRMLGQPGMKRSIRVGETGLRELAAYLLDHDGFAGVPPSALVKICHVTFNINNSTSTSTSSPPFKITSIQRYVEHETDAGDLGPSGFSISSVHRIGILDIRLLNLDRHAGNMLVKNFHGSYAAGMAELIPIDHGFCLPESLDDPYFEWLHWPQSSMPFSETEAEYISNLDPFKDAELLRTELPLIRECSVRVFILCTIFLKRATCAGLSLADIGQMMTREFIGGEENWSILENICMNAKAKLEAELTTNTSNEVKDDEMFAFDENLNTNDDVSMHPASVKPQKTMKYSAIDARLARLVQECDIKEGGCGPNPMILRSVSFAGHNNNHENGVCVSFEEIKEDEWLLFLECFEELLPEAFEEKKSTSLFKQRLGSSCEF >cds-PLY95147.1 pep primary_assembly:Lsat_Salinas_v7:1:95697607:95703095:-1 gene:gene-LSAT_1X80260 transcript:rna-gnl|WGS:NBSK|LSAT_1X80260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYHHYCGSNVIVSGGCFVLLSHWMFGMNEMEGGDHVKITISVTKQHQLAKELGVKLVYDDGNNDEEEDALGYYKSWNHIIGGDLSAFQTTTGVYLLNIEGFTRHGIEVDPCYHGLIERSTRYKEEQVYFRALSQRKFGKICQTHKILPSPSTGSFSFHAFNWQNLSSNIQNHEQSIKKEQKSFTDFSFQQPSNHQTEQLWNQQKPREQDEKTFVQSEHPSQFQNFSEEISTIQTDSNSQMQSSQLGYAGSNINFENQSSQIKTDDGYRWRKYGQRKGNNNRSYYKCVSSNCKVKKTIETNFDGQITKIAYTGSHNHPKFQSTRISSASNHSQMAQASSNHEFDKLYYDYHGSGQFDSVASLENSSIYYDLDRSSSGRLKYDGMPDSKNGFISDGSSGGDEPISIY >cds-PLY92056.1 pep primary_assembly:Lsat_Salinas_v7:5:323644356:323649980:1 gene:gene-LSAT_5X177501 transcript:rna-gnl|WGS:NBSK|LSAT_5X177501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAATPQQPVSAQVVGNAFVQQYYHILHQSPGLVYRFYQDISKLGRPEEDGSMSITTTMDAINTKILSLNYDEIRAEIKSIDAQESLDGGVNVLVTGYLTGKDNIVRNFTQSFFLAPQDKGYFVLNDMFRYMENAINNEVNNALTEDVEAPTNPEQVPKSVPVVEDPVPEEAVVLAEESEGEVVFHPPEAVEVAAAAVEVEEEEEPVAEVVDEAQEVSQLVVESNTKIEEVPKKSYASIVMDLKQSDVPFSSPPPSAPRKPQPRIQEHQVNNAQPIASVTESAASNVDAVENGIHEEEADGYSIYIKGLPMSATPAMLDEEFKKFGPIKTNGIQVRSNRQQGFCFGFVEFEMPEAVQKAIEGSPVAIGGRNAVVEEKRSTNSKGGARGRFPIGRGAGGGGFRNDGMRGGRGNFNGGGVGGGRGYNRSGEFGGGRNDYGNRGGGRGGAPANRGGGGGGDGYQRERMNRGNGMAVNGTAKNMAPRVPATA >cds-PLY68861.1 pep primary_assembly:Lsat_Salinas_v7:3:61898601:61900203:-1 gene:gene-LSAT_3X48160 transcript:rna-gnl|WGS:NBSK|LSAT_3X48160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEPQKPKLTPNELFPLWGSSKNQLNPLQSHRIVVERQWQETIDPQKLLLGKVIGRGSFGVVHKGSYDGQTVAVKVLDFGDTKITEASMAFLKEDFIKEVNVWQTLDHPNITKMIGATMSMTKNCRQKNKKTKTESNFCIVCEYVKGGSLRSYLLKNRNKKLPFKTFIRFALDIAKGLSYLHSKKVIHRDVKPENMLIDKQHMTIKHTDFGESIFEPSELLYTTGERGTRGFMAPEVVSRKPYGRKCDVYSFGICLWEIYNCDIAYTYNLDNLTPDIYQEMRPSIPMDCPRSLAHLMERCWDKDPGKRPEMKEVVVQLEAIERYEGCQMTSEELQLGGCFGFGLFRLGRNVYRTPNRCTVRRNSERS >cds-PLY92854.1 pep primary_assembly:Lsat_Salinas_v7:5:329688909:329690590:1 gene:gene-LSAT_5X183021 transcript:rna-gnl|WGS:NBSK|LSAT_5X183021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEASLLFCFLLPPLLFIFFKLFHTNADEKSPPKSYPFIGNYVSFYTYDQGLTQWTSEAIHNSPNFTFLLRRPFVQKRVLTGNPANVQHILKTKFSTYQKGDIFRSTLFDLLGDGIFNVDADEWKFQRQLSSHEFNTKSLRHFVENVVDDELNKRLIPILTAAAANDTVLDLQDILQRFALDNICRIAFGYDPAYLTPSLPKAKFAVAFEDAVRISSERFRMITPLFWKFKRFFNIGSEKRLKEAVAEIRQFTTNILNEKKQALTTEPVDLLSRFLNSGHLDEKRLTDIVISFILAGRDTTSAALTWFFWLLYKNPAIESEVVNEVKDKEKSDSSIYDEVKDMVYTHASLCESMRLYPPVPVDTKQASADDVLPDGTVVKKGMMVSYHPYAMGRMESIWGENWMDFRPERWLEKDDTTEKMKFRAKDSYIYPVFQAGPRICLGKDMAFLQMKRVVAGVLRQFKVVPAVDDGVEPVFVAALTSKMKGGFPVKIKERK >cds-PLY79869.1 pep primary_assembly:Lsat_Salinas_v7:8:16505151:16511476:-1 gene:gene-LSAT_8X11621 transcript:rna-gnl|WGS:NBSK|LSAT_8X11621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGREPNSKFGPVGPLKRGININHGRLVRLRSHLSSIFSSLASASRCSSIFSSSIPVTLSVSASCYCPLRSFCTHWLTLASQISQTGLQDFLIPQQHTEKNKKIRRQWVREEDLAKDLKLHLKQLRRTLRFFEEEKLVTRDHRKETAKGAKAYSAAVAATADSLTGREGEEKIKLHTHSYCCLDYAQIHDVVRYRLHRMKKKLKDELDNKNTVQEYVCPKCGKRYNALDAIRLISFEDDSFHCESCDTELVAESDKLASQQDIGDGDDNARRRRREKLRDLLQKLEVELKPLTDQLGRVKDLEAPDYGTLQAWEVRASAVARASNNDPGGNDASRSGQGGTPMPFLGETKVEVAFSGVEEKGDIKPENAPMKVLPPWMIKEGMNLTNEQRGGVKQEESKMEGGTSSASGAAAMDFKDDKKLTNQEDDVKNLQDEYFKAYYAALLQRQKEQEEGTKKEQDLPAADVEASNSRKRQRDDDDDVEWEETPTAAGETFKVDLNVEAAEPSGDDEDDDDDGIDWEEG >cds-PLY72234.1 pep primary_assembly:Lsat_Salinas_v7:7:59346670:59349171:-1 gene:gene-LSAT_7X42660 transcript:rna-gnl|WGS:NBSK|LSAT_7X42660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVDDENSVYVGNLPYDATEDSIRSVFDIYGQIIAVKIINDRGVGGKCYGFVTFTNPRSAVDAINDMDGRTVDGRVVKVNEVKSRGGRSNFGRERDNFRRNSNDRDVEVDRGRDRERNYGRVRDRSRDLDQDKERGYERARDLDRTRNRFIDRDTDTDRDRDRNRDRGHEGDQAWENDRDQEKEIRRNDIHHRSGNKYKDQTTKLQNGSDFNDRHSREHPSGSSHGDHDHHAAKQLDVSRQKIEELQKEVYRMEELVEEKGDHVSKLQEKSQKLEDALASAKKLTSNRKKQLIKLHKCFVNMRDYSEKLKSCEEELQALVGSTLKELESHNVVDTDGLMA >cds-PLY64758.1 pep primary_assembly:Lsat_Salinas_v7:3:187401321:187402370:-1 gene:gene-LSAT_3X111640 transcript:rna-gnl|WGS:NBSK|LSAT_3X111640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLRGKPKNLASFIVSGSFDKFKDILATHSPILSFRNPSSFLQLSNGIFTPKTISARSHSTASSNRQTMVKHPRSMSEKITNLDDALQLFDEMTQRQPLPSVVKFNQSLQAVAKMKHYSCSIELFKQMNVVRVPVDAYTINIVIKCCCQMHHTSEGFAVLGYGFKRDISPDVCTFSALLNGLILEDSVLKAERLFKKLIKEELCEPDTIMYTTMIKGLCKFGNNDTAIALLKLMDRSGCKRNVVTYNTIIDSLCKDQMVDDAFNLFKEMVFHKGILPDVITYNSLIHGLCNLCRWDEVSKLLKEMEDDRISPSVNTFNILVDALCKEGKVEDANCVINIMIQRGKDS >cds-PLY81232.1 pep primary_assembly:Lsat_Salinas_v7:4:307788829:307789303:-1 gene:gene-LSAT_4X156260 transcript:rna-gnl|WGS:NBSK|LSAT_4X156260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNINFVYFVVYLLFYYKFLPTTDDYSTSLGAAATVSRIVIGVMAVAQYFSSVYFSACIVLFMGNGMLGSARAVNRRYIHDCVPLKIRIQASADFVSASANF >cds-PLY67978.1 pep primary_assembly:Lsat_Salinas_v7:2:13672188:13672756:1 gene:gene-LSAT_2X6680 transcript:rna-gnl|WGS:NBSK|LSAT_2X6680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEDVKLIETLLELHVSGKYGGADNGFKPDYLKAVQQLLDVSLPNSGLKAEPHIKLRMKTWKNHFNIVHDMVYGTNTSEFGWDTDKCCVTVDVEVWDEYIKSHKGVACFHDKPFPQFDNLCKIFAKDRATNLSEDVTEETQRNPPIDVEGLEEIVEET >cds-PLY66349.1 pep primary_assembly:Lsat_Salinas_v7:5:288749843:288752036:1 gene:gene-LSAT_5X153261 transcript:rna-gnl|WGS:NBSK|LSAT_5X153261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g20090 [Source:Projected from Arabidopsis thaliana (AT4G20090) UniProtKB/Swiss-Prot;Acc:O49436] MPSSVTIVTLIRKRLSSKGFSQIRYFSVINPRKAPENEQESAIEPPIHEDIFKSGPKLGSFRVGDSTFYTLIENFAKTGDFISLEKVFSQMKRERRVFIERNFILVFKAYGKAKKPMKALDLFDKMWVEYQCRPSVRSFNSVINVVIQEGLFNKALEFYSSIVLHEKQVSPNVLTYNLILKVLCRLDLIDRAIQIFREMPLKKCTPDVFTYCTLMDGLCKEDRINEAVCLLDEMQVEGCFPNAATFNVLINGLCKKGDLSRASKVVDNMFLKGCVPNEVTYNTLIHGLCLKGKLDKAVTLLDRMVKAKCVPNDITYSTIINGLVKQGRASDAASMSISLEERGLPANHYVYSTLISGLFKEGKPEKAMNLWKQMINKGFKPNTVVYSTLIDGLCREMKPDEAMDVLEEMKKIGCEANAFIYSSLMKGFFKKGDTDKALEIWKEAESQNCGQNEVCYSVIIHGLCNNGKVKEATIFWENMLSKGYKLDVVLYTSLIHGLCNNGCLEESLKLFNQMICEGSGIQPDVVTYNILFDCLCKNGFVSRAIDLLNCMIDQGCDPDLVTCNIFLKMLKDGMDNVEDGSEFLEQLVVRLHKRKRVVGATKIIEVMIGKYFMPKESTWEIVIQDVCKPKRIRAAIDRCWNDLYVQ >cds-PLY94919.1 pep primary_assembly:Lsat_Salinas_v7:4:111703212:111704816:1 gene:gene-LSAT_4X70040 transcript:rna-gnl|WGS:NBSK|LSAT_4X70040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGGGVELVHPPGFHHRSKTTSWTEQPTRLPICAALSKQGHRFLTSVATTDDPATTARLIRKFVASSSKSVALDAISHLVTPVNTSFSRLSPLAFPLYYEINKASWFNWNAKLIADVIASLHNHQRFNEAQTLISEVETKLGSKERDICNFYCNLMDSHSKHQSKQGILDSYERIKQLPSHSSSIYLKKRSYESIIGCLSVINLPNQAESLMVEMKNKGLKASIFEYRSISYAYGRLGLFEDMKRVIALMENEGFELDLIMSNMVLSSLGLHNELLEMASWLKRMKRSKTLFSVRTYNSVLNHCPTIMSIVEHPKEMKPISLEELLKNLKDDESSVIQELVDPLVLGESMELTSLELKLDLHGMHLGSAYLILLQWFDDLRIRYGTKNDGIPNKVTVVCGVGKHSVVRGESPVKELVKEMMRQMKSPLKIDRKNVGCFVAHGKVFMNWLCHSFPT >cds-PLY64911.1 pep primary_assembly:Lsat_Salinas_v7:8:133008708:133010004:1 gene:gene-LSAT_8X92140 transcript:rna-gnl|WGS:NBSK|LSAT_8X92140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKRLFSSSSSIGRASPLPGFSRPVRSTVSLSHSLRVFAPGAVRLNTIRCRVTRAGGAYSPLNSGSNFSDRPPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYVETLSKVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELYVNGEIVQRSPERQRRVEPVPQRVQDRPRYNDRTRYVRRRENSR >cds-PLY67217.1 pep primary_assembly:Lsat_Salinas_v7:6:137423220:137423534:1 gene:gene-LSAT_6X82580 transcript:rna-gnl|WGS:NBSK|LSAT_6X82580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAWTPTMELFEEGIERDFNSDVSFNEEGHEKNQDLEKETGYVEYEEVEIKEDYVKNTPVPKLPSQNIDRVGRSCDDSSEEGIELGNVNNNRWFDDIEDDGPVL >cds-PLY96351.1 pep primary_assembly:Lsat_Salinas_v7:4:237906393:237907382:-1 gene:gene-LSAT_4X127661 transcript:rna-gnl|WGS:NBSK|LSAT_4X127661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVTSGGDGGGDEDVHTSSALTNWTHTVSQRYQHLLDKSTPYVRHRWIGFGVVLFIYVLRVSFVQGYYIVTYGLGIYILQLFITFLSPQVDPEFEEDPFDGLALPTHGSEEFRPFVRRLPEFKFWYSITKAACLAFVLTFFRVFDVPVFWPILLFYWIVLFVATMRRQIRHMIKHRYVPYTFGKREEQKRWLMMHPLLQVESSLVTFVAYYRLFAILKHDHLGKIV >cds-PLY72395.1 pep primary_assembly:Lsat_Salinas_v7:3:187796411:187798654:1 gene:gene-LSAT_3X111920 transcript:rna-gnl|WGS:NBSK|LSAT_3X111920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKSLTSTITTHLTTRLTAFSGRNRSKFVMTTASEEVGFSAPRLVMKKVLAKSQSEGDGAVVRRSIGRPELKSLDPFLMLDEFSVSAPAGFPDHPHRGFETVTYMLEGAFTHQDFSGHKGTIRAGDVQWMTAGRGIIHSEMPAASGVQTGLQLWINLSSKDKMVEPNYQELLREEIKTVERGGVKVTIIAGESMGVKSPVYTRTPTMFLDFTLEPGAQIHQQIPESWNSFVYVLEGQGVFGSMDSKPVSAHHVLVLSQGDGLSVWNHGSNPLRFVLIGGQPINEPVVQYGPFVMNTQDEIEKTLQDYQYCQNGFEMAKYWRSQ >cds-PLY66708.1 pep primary_assembly:Lsat_Salinas_v7:6:79266436:79269892:-1 gene:gene-LSAT_6X54841 transcript:rna-gnl|WGS:NBSK|LSAT_6X54841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQSVSSLAFKGSIIEAITEAKRQKKLFLVYISGDNEDSISMDKSTWSMSSVAESLSKYCILLHILEGSTDAAQFSALYPQKPAPCITAIGYNGIQLWQNEGFVSGEVLASTLEKEWLSLHVQETTASFLSAALVSRQSEQIPSAIPPSTSLETGSSSTLSVNTNDNASVDKKDADHDKKSDIDNSVTTSSKSVNQVITTAIESEIDKKPNLKAPQVVKDVKKVDIDNSDLDSNTNKSTDIHLNIRLPGGINVQEKFQPTSTLKMVKNYIDEKQESSIGPYDLAIPYPRKVFTDQDLTKTLTELSLLDRQALIIVPHLKSTGHYKPRSVIPNRDTSSVSTTATSVPDGEGYFSLWRKVLSYVNPLAYLGGNNTVQDNTQTTNQESGSGSNPGSFTGSRRSYLVTDNQINNPSSSRFGSGSNIHTLKHDEDDKKFNGKNAFWNGNSTEYGGDGENK >cds-PLY88541.1 pep primary_assembly:Lsat_Salinas_v7:7:134400084:134402716:-1 gene:gene-LSAT_7X79840 transcript:rna-gnl|WGS:NBSK|LSAT_7X79840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAFGMASATNTNPNKSTEVVSPPTDAVSSLCFSPKANYLVATSWDNQVRCWEITKNGTTLGSVAKASIAHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQPVTVAMHDAPVKEVAWIPEMNLLVSGSWDKTLRYWDLRQPNPVHTQQLPERCYALTVRHPLMVVGTADRNLIVFNLQNPQAEFKRIASPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDEQQQSKNFTFKCHREGNDIYSVNSLNFHPVHQTFATSGSDGAFNFWDKDSKQRLKAMSRCSAPIPCSSFNNDGSIFAYGVCYDWGKGAENHNPATAKTYIYLHMPQESEVKGKPRIGTSGRK >cds-PLY97104.1 pep primary_assembly:Lsat_Salinas_v7:4:74943698:74947928:-1 gene:gene-LSAT_4X50401 transcript:rna-gnl|WGS:NBSK|LSAT_4X50401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSESQKKATPSAKSKEKGSLLDIDIGDDFLGSWKSMSMAGDGMDFDFGTTTKGKKMDFKFDKMDMDFSLDADFDKLPSFKTDMSDLDISSPIKKSGKSKEKSKEASSGGERAKRDDFAFSFDFNEFPDLDFGSTKKKTDEKCEKSEDKEGSVDVLDKDADALKDDDISSKHPISKADTQMENIKAPDPRNEDEHSKSVTYESSNAEEHEIQTTLSSEKMISSSHEEPTQESRSSEERSYSETQAQKVMQDLSGQSLDNVSEEESGTSVRMVNPSTGSELNSDVRPVNEVVTNRSLLLENPSAHMNSESHKREMCKERDHNVLIGHVDDDNKSKDDSHLESSMKTVKGNLTLGEMVDKRNADSVSKLHMGLLDGGTTTDELVSEKERGNIPTRSKYFKKQNGNEYEKQQASVSSTKLISVGNKRMGTLPNNPPPGKREFGSKSVEFGSKFSGISRPLPNVVSRNIPLQTKNIETGHANVSDNTRECLNADNIKPRNEPMSTTMTHNIGPTKEEHASRERDQNPVHINALRSDVHPSNSVEQSTKDLPQKSLNPGLQATSMKSTCNIGKTVVEKKQISPAKAEMRASQASTLRVSRATEQKLKPLSSMLAKGPPLMGNKEQGLELQEKMVLKRNPSVDTRSQTTSTPTLKRKTFEASVGTPTFTPLKRLSASPYSNNMTPSSEKAVEKQIGNNASMANEKSPRVDVSTLEMEIYSASENDALFQQAEACSKELDVICNMLKKKHEEAKDILVRAIVNNNNLLMLNHPIYQQKISMVQKFAASLSLN >cds-PLY80276.1 pep primary_assembly:Lsat_Salinas_v7:3:215442143:215443079:1 gene:gene-LSAT_3X124661 transcript:rna-gnl|WGS:NBSK|LSAT_3X124661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAGVSSAEEGILRFVFAVVYLSLNKGGNDNDVSSTSRLLSLGTHFSKKMICRYGSLQHIGRHTNVVVVFGVPLNSLPHDKLNKVSPVKITREAGK >cds-PLY66810.1 pep primary_assembly:Lsat_Salinas_v7:3:202640493:202641901:-1 gene:gene-LSAT_3X118880 transcript:rna-gnl|WGS:NBSK|LSAT_3X118880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANTVAELVFIPVPGVGHIMSSIEMAKVLVNHDQRLSITVLLINPPYSAFALTTYIKSLPKKAIERISFIELPKDETPPMFDPKALVNSFYEYINSHSKHVRNVVAGMISRPDSNPVVGFVLDLLSTGMIDVANEFNVPSYVFFTSNAAFLGFNLYIESLVVQNQDVFELSNSDGEMLIPTFVKPVPTKVFPELYQTQEGLDFLMHSVRKLREAKAIIVNTFLELETHAIKSLSSDNTFPPPPSSVVFLCFGSMGCFEEVQVKEIAYALEQSGHRFVWSLRRPPPSFNVLPGDYEDPGVVLPDGFLERTKGTGKVIGWAPQVSLLAHEAVGGFVSHCGWNSMLESLWFGVPTATWPIYGEQQMNAFEMVVELGLAVEIKLDYKNNVFNPGGDVAIVKAKEVESGIRRVIMEDNELREKVKEMSKMSRAAVTEGGFVVFFG >cds-PLY65563.1 pep primary_assembly:Lsat_Salinas_v7:5:312067438:312070076:-1 gene:gene-LSAT_5X169081 transcript:rna-gnl|WGS:NBSK|LSAT_5X169081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQGEIVLSCLSAAMRKACILAHMKEKDEELDAVTLCAQSLLYHLNQMYGSTKDEGDIFVGKLQIASFLALFVSDHFGGSDRSTMVDRAWKSVSGSNSADDTALLCEKSLRLIKSRRNSIVVPIGTLQYGVCRHRSFLVKYLCDRMEPQVPCELVRGYLDFAPHAWNVIVVKRGDSDIRMVVDACRPHDIREETDPEDFYRMQKLANELGVAIPVSFFEEPNNAHYNSVAIIDVDGTDLGIYRKSHSPDGPGLIYSYNLLNWQKYLIFYVALAKQRVDENICTQMMQETGGQQSMIDESEYL >cds-PLY66921.1 pep primary_assembly:Lsat_Salinas_v7:7:19137071:19137778:-1 gene:gene-LSAT_7X15281 transcript:rna-gnl|WGS:NBSK|LSAT_7X15281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNSRQWVSPAAPNRVEAYLSLESDKNIAGDFGTFESAVLGVANANKLVELRRSRPKRARPTIPGPLPPKGSTIEHQKQIGLWAIKLPSTDATVVRRTLSILTENPNGLEGGSKDPKYAEKRSSFWSTIKHAHFGVKIATKNLLGMIGIIATGISIGHLGSFSFERWLLLKFPSFFQFWRV >cds-PLY76669.1 pep primary_assembly:Lsat_Salinas_v7:4:117236541:117239007:1 gene:gene-LSAT_4X74461 transcript:rna-gnl|WGS:NBSK|LSAT_4X74461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKNLPLLCVLLLSVLSLNSIVEARIRHYRWEIKYEFKSQDCFRKLVITINGRTPGPSIRAQQGDIIIVDLKNSLLTENVAIHWHGIRQIGTPWADGTEGVTQCPILPGDTFRYMFVVDRAGTYMYHAHYGMQREAGLYGSLRVALPDGEVEPFSYDHDRSIILNDWYHKSTYEQAAGLSSIPFVWVGDPQSLLIQGRGRFNCSTPGIEAAKCNATNPECSPYVLTVVPGKTYRLRIGSLTALAALSFEIEGHNMTVVEADGHYVEPFIVKNLFIYSGETYSVLITANQDPSRNYWAMSKMVSRNNSVPTGLAIFNYYPNHPKRSPPTVPPSGPFWGAIEPRVNQSLAIKSHPKYIHPVPQTSDRVIILLNTQNRINGSVKWSINNVSFSLPHTPYLISLKHDLLHTFEQTPPPDVINGYQSYDINNVAPNVNATSSNSLYRLKFNTTVDIILQNANTLNVNNSETHPWHLHGHDFWVVGYGSGKFNVSEDPKRYNLVDPIMKNTVPVHPYGWTALRFVADNPGVWAFHCHIESHFFMGMGAVFEEGIEKVTKLPSSIMGCGDTKRFIRP >cds-PLY71733.1 pep primary_assembly:Lsat_Salinas_v7:3:46610349:46612395:1 gene:gene-LSAT_3X34561 transcript:rna-gnl|WGS:NBSK|LSAT_3X34561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWSCSNYLCLSSSTSSSVVNSNELTRFTRCKLSCEYEEQRRKHHDLKKPINIFAYNGLKSPSYKLDKLQKRKDHVLKKPKNISAYYSLKPPSYKLDALEPYMSKRTLEVHWGKHHRGYVEILNKYLSKNDVLYGYTMDELIRVTYNSGNPLPEFNVAAQVWNHDFFWESMQPGGGNLPILGLMEQIEKDFGSFADFRQEFIESALTLFGSGWVWLVLKRNEQRLAIATTTNAVTPLVWDDIPLLSLDMWEHAYYLDYKNDRARYANVFMDHLVSWNAVLGRMARAQAFVNLGEPKIPVA >cds-PLY63473.1 pep primary_assembly:Lsat_Salinas_v7:7:149363234:149364652:1 gene:gene-LSAT_7X88460 transcript:rna-gnl|WGS:NBSK|LSAT_7X88460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPENLPLRDIPGDYGLPFFGPIKDRYDYFYNQGEDEFFRTRIAKYKSTVFRTNMPPGPFISSNSKVVAVLDSKSFPILFDTSKVEKKNLLDGTYMPSTAFFGGYRVCAFLDPSEPTHHALKSFFLSFLASSHKKFIPYLRNSLSDLFLNLETEISDEKTADFNTNSDNMAFDFVFRLLTGVHPSETKLKSKGSGYTDTWLALQLAPLGTLGLKYLPNFIDDIIHTIPLPFFIAKPGYKKLYKAVYESATSLLDEAESSGIKREEACHNLVFLAGFNAFGGMKVLFPSLIKWIGTAGESLHQRLAEEIRTVVKEEGDVTFSALERMPLMKSAVYEALRIQPPVPYQYASAKEDLVVESHDGAFEIKKGEIIFGFQPLATKDPEVFSNPEEFIADRFIGDGEKLLKYVYWSNGRETENPTADNKQCPAKDLVVLCSRIMLVEFFLRYDTFTVEIGKVALGASVKITSFTKAT >cds-PLY95588.1 pep primary_assembly:Lsat_Salinas_v7:6:138245617:138249879:-1 gene:gene-LSAT_6X84121 transcript:rna-gnl|WGS:NBSK|LSAT_6X84121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENRGSTFLSSEDLLYKELWKACTGPLVDVPRDGERVFYFPQGHMEQLEASTNQELNQRIPLFNLKSKILCRVVHTQLLAEQETDEVYAQITLLPEQNQSDSDPTSPDESLEEPQRPNVHSFCKVLTASDTSTHGGFSVLRKHANECLPPLDMTQPTPTQELVAKDLHGTEWRFKHIFRGQPRRHLLTTGWSTFVTCKRLVAGDSFVFLRGENGELRVGVRRFTRQQSSMPSSVISSQSMHLGVLATASHAVSTQTRFVVYYKPSTSQFIIGLNKYIEAINNKFSVGMRFKMPFEGEDSPERRFTGTIVGVEDISPQWELSKWRSLKVEWDEAASITRPERVSPWEIEPFATPPPPSIPPTTSLQPPKSKRPRPPEGQMSNFTPPQMDTGWLSSVPSHQETMKNTNNNNDSVELKKVAESISSCRIFGFDLMIPTNIIPETQPQPQPASNVYYTLAPSPLSVGTSDLTKELQVSPKEVQSKQTTCTRSRTKVQMQGMAVGRAVDLTVLNGYDELINELEEMFEIKGQLYPRNQWEIVFTDDEGDMMLMGDDPWQEFCGMVKRILVCSSQDVKKMRVGSSSKLPISYTDNEVSGVSLEAAEN >cds-PLY96458.1 pep primary_assembly:Lsat_Salinas_v7:MU038438.1:483438:483932:1 gene:gene-LSAT_0X14400 transcript:rna-gnl|WGS:NBSK|LSAT_0X14400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVITSHPEMTRRSEAKADSLEMDPSADNMDDDSAVDQPIPDDVLDAGDQSETDDYEGFMDHGFMQQAVISTVPLNIIYPSSCFEGEFTQKVPQGTYCDIDYDDGAQLNRRKRKASFSGGAVNSEVGSSSIASDTSTPPQKKYKLTFDLSELAEHGAYLSMKLNR >cds-PLY97253.1 pep primary_assembly:Lsat_Salinas_v7:1:44338194:44339765:-1 gene:gene-LSAT_1X38561 transcript:rna-gnl|WGS:NBSK|LSAT_1X38561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDCIAPTTNRSPRLTAELLWNTHLLTSPSNRYSKRFPSHATDLDDDFEADFQGFQDELEIEVDISKSFPVSSSKHSAPASGLSSIKQDDEAEKSGSRKRKNQYRGIRQRPWGKWAAEIRDPSKGVRVWLGTFNTAEEAAHAYDAEARRIRGSKAKVNFPDVTSSLRQAVKPKSQKRLPKVNSTLNQDMNNRNYGSLESVKKKPQVTPVDTFEDMFEVSYVGSDHGSNSDFGYMSPAKKLKSDSSNVVAEGDMSLSDDLLFQMPCFEESWGSCSIDAFVNGGSGVDLWTCDDLAAMMDGSF >cds-PLY72651.1 pep primary_assembly:Lsat_Salinas_v7:3:182709292:182710943:1 gene:gene-LSAT_3X109740 transcript:rna-gnl|WGS:NBSK|LSAT_3X109740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHFLQSLPSSFLTQLNCGRCSKATLSRGRHEWSVDIDDGVFGDGWRRFVRENGVQEFDFIVFKHKGGMNFDFLVFDQSTCERQYPDISDEMEVEEPPTISDTIRTHMKEPKNLKKHKRNDYASQDQQKFQVKKETGSTIKKATSSTLKDHPYFISTLKPTCFKKFFLQLPLHFAKRSRLKTGEMIIVDDKDRSWKVQLNKKDERCFLLGCGLRAFLVANELKVGDALKFELIEKEKNKPPVVSFSCLTPIEEDGRRYLIGKLKSYNVRKSYLYLPQEFVTFNGLMNKKIMILKNAEDERLWTVELRNNKRGYYIAWKKFPVANGLKEGDCFKIEVVDNGKKPIVNFNLLAK >cds-PLY64348.1 pep primary_assembly:Lsat_Salinas_v7:4:24992136:24993150:-1 gene:gene-LSAT_4X17801 transcript:rna-gnl|WGS:NBSK|LSAT_4X17801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKDDNEKEQATTSVTIVFGYNDNPNDPEETETILSTNQSKSTTKRYKGVWRKNGRWTARIRNPITNSRVNLGKFHSSDAALCAYFSKKREFESQIRATNVSNSAMIDNHGFLLGEFRYLDQDLQLK >cds-PLY75850.1 pep primary_assembly:Lsat_Salinas_v7:9:195459891:195462106:1 gene:gene-LSAT_9X120221 transcript:rna-gnl|WGS:NBSK|LSAT_9X120221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLEETQHLKIQLEEIKSATNNFDDNKVIGKGGFGKVYKGVLSQSKVAFKRLDRKHGQGNPEFLKEILTLSRYTHENLISLLGFCDEDDEKILVYEHAFHGSLDRHLSSASLTWWKRLKICLTAAKGLCYLHEPNGEEPQRVIHRDIKSSNILLDESWNAKISDMGLSRIAPTNQKHSFLATNVVGTYGYIDPLYMETYFLTKESDVYSFGMVLFEVLCGRLCFEYNNGHFLSLWPMWKKSYEEKKLDQIIFEDMKVQMNLSSLEKFSDIAYRCLQKSREERPKMSTVVEELEVALHIQKTEGPVDFEEMIKTSVAPQVYESKEELRMLLYRGMLVNDGKTWFSLNKNGEHCEMISAAECLIPILPPSPSYSSSRIFPANYSAPSVWRFKMHVKPRLLSPHVTYAVNLVFDIIDHKSTDLLLFLNYKLAEEIYYSTSYLAYKREGGRLMTTLYQFTSVKRSADLNITFDCQQHELRVEGIEFQPIERVVEKKEDEEVDMPDTYWEQKLPSDYKDIIRLAKDSHVQWTTKKELYSILCKGILINNGDEVHFLSFLIYT >cds-PLY82224.1 pep primary_assembly:Lsat_Salinas_v7:1:65764612:65765487:-1 gene:gene-LSAT_1X56241 transcript:rna-gnl|WGS:NBSK|LSAT_1X56241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSKVGGTQLQKLLSYDEFEPMCTWQREDGQDVLVLHLPEFKKDQLRIQISNTGILKITGENVVDGKRRNRFLKEIKVTKDYDSNNIHAKFSQGRLRVTMPKKVPTPTTMESPSAAAMALPQDNQNDTIANTNGKTSIIPNIRARVGKVVKSKEFSAAMVNVGFVVVAAFSIYVAYNYWTSYVRVDED >cds-PLY71587.1 pep primary_assembly:Lsat_Salinas_v7:1:115961508:115961690:1 gene:gene-LSAT_1X87880 transcript:rna-gnl|WGS:NBSK|LSAT_1X87880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGWPALSIHGDKSQAERDWVLSKFKAGKTPIMTATDVAARGLGTFNFSTNNYIFNDSYC >cds-PLY96038.1 pep primary_assembly:Lsat_Salinas_v7:MU038517.1:1186116:1189404:1 gene:gene-LSAT_0X47540 transcript:rna-gnl|WGS:NBSK|LSAT_0X47540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGQWSVENRAPLKNEALVSEYEPETGCLSIIVLGASGDLAKKKTFPALFHLYRQGFIQSHDVHIFGYARTKISDNDLRDRIRGYLTPCKGFESTHEEDVGQFLQLIKYVAGAYDTEEGFRSLDKAISEYELSKNSTEGSSRRLFYLALPPSVYPSVCKMIKSYCMNKSDLGGWTRIVVEKPFGKDLESAEELSAQIGELFEEPQIYRIDHYLGKELVQNLMVLRFANRFFLPLWNRDNISNIQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHVRDEKVKVLQSVLPIEDNEVVLGQYEGYRDDPTVPDNSNTPTFATMVLRIHNERWEGVPFIMKAGKALNSRKAEIRVQFKDVPGDIYKCQKQGRNEFVIRLQPSEAMYMKLTVKQPGLEMHTAQSELDLSYRQRYQQTVIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHKIDRGEVKSVPYKPGSRGPEGADKLAEKAGFVQTHGYIWIPPTL >cds-PLY92771.1 pep primary_assembly:Lsat_Salinas_v7:2:149304785:149305386:1 gene:gene-LSAT_2X75400 transcript:rna-gnl|WGS:NBSK|LSAT_2X75400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIYTCNECGTNLSLHSANLFPPDFYFEAGNKGTLSFAAIDSSKFRFVKEDKIRPFFETINYWGIQRKRTKLLCNSCGRLVGYLYDDGPPMMTGTGQFGFGPSQAIPRAPRYRFKTKALRITSET >cds-PLY99844.1 pep primary_assembly:Lsat_Salinas_v7:4:46286599:46287761:1 gene:gene-LSAT_4X31560 transcript:rna-gnl|WGS:NBSK|LSAT_4X31560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTKRQEEGQQAHNISPSTAYSQPWWHGIGNGVMSSFGETNGVIKFGDKLQTTSDDVVEDTDSPSGINISGLNINNCQEQEQVKQVETSSLMEIVLTSNLYQDPHYAGMMNYGTQTHPNLHRMPLPLQMEEEPVYVNAKQYHGILRRRQSRAKAEMEKKVIKSKKPYLHESRHQHAMRRARGCGGRFLNTKKLSDDMDTNSVQQKEPKSGVSNFHGSSSIFEDMLKRNSSSNDNNDDHHGLSSTFNFHLMGREEAVHYFKKEKGNLVNQIPKRDPYYK >cds-PLY72425.1 pep primary_assembly:Lsat_Salinas_v7:3:190694271:190694987:-1 gene:gene-LSAT_3X113181 transcript:rna-gnl|WGS:NBSK|LSAT_3X113181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADQPTPTPVTGNPTLSTTSNPNTGAANPPNGPQDEGMNWTNLFFLFIALAIFIASICLFIYMIWVSLFLHLQDPQFGINKVTITNLSISSYSQISGDWEVEFIFRNPNTKVNLYYDQTEVDVSYISDSIAQTIIPPFMQNTKNETTIRATFTSVMMYVHDGDSIKDERSHGSISFNLRMMVRVQARVRWAGTWVLTVDCSKLKIAVSSGRNGGSGTLVGGSKKCTAFNAIGNEIDGI >cds-PLY84119.1 pep primary_assembly:Lsat_Salinas_v7:6:188228922:188233019:-1 gene:gene-LSAT_6X115300 transcript:rna-gnl|WGS:NBSK|LSAT_6X115300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNKREENLLKKRQVQPSSQPLSTGAPIQTATIEKKLESLPSMVAGVYSNDGNMQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLMREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLASPSDDVREQAVWALGNIAGDSPKCRDLVLGQGALMPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFELTKPALPALERLVHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCQRLVELLLHPSPSVIIPALRTVGNIVTGDDHQTQCIIEHGALPYLLSLLTHNHKKSIKKEACWTISNITAGNKEQIQAVIEAGLIGPLVNLLQTAEFDIKKEAAWAISNATSGGNNEQIKYLVSQGCIKPLCDLLACPDPRIVTVCLEGLENILKVGEVEKNLGQSGDVNFYAQLIDEAEGLEKIENLQSHDNNEIYEKAVKILETYWLEEEDEHEGVGGDVALPQPGFNFGGNNNIQLPSGGFNFNN >cds-PLY73172.1 pep primary_assembly:Lsat_Salinas_v7:1:178950885:178961673:-1 gene:gene-LSAT_1X117821 transcript:rna-gnl|WGS:NBSK|LSAT_1X117821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSTVSPVDTILDQENFTLEELLEDDEIIQECRALNSRLINFLRERTQIEQLLRYIVEEAPEDAAKGRAFKYPFIACEIFTCEVDIILKTLVEDEELMNLLFSFLEPEHPHCTLLAGYFSKVVACLLLRKSAPLINYIQAHPDIIQKLVDLVGITSIMEVLIRLIGADENLYTSFADSTKWLEDIDVLKMIVDKFSSSDSPQVHANAAETLCAISRYASPVLGAKISSPSFIARLFHHALGESRPKSVLVNALCVCICLLDPNRQTSATSYFYNRQLIHNSSVTTNPEIVEGMLESLGNLSKLLEVSTDENILLTTYGKLQPPLGKHRLKIVEFISVLTSVRSEAAENELVRLGVLRRILELFFQYPYNNFIHHHAEQIIVCCLESKNASLVEHILEECNLVRSIIDAEGNSASNTDKKTDMPTVLAEGRVPPRIGNVGHMTRIANKLIQLGTDNTYIQTHLQNNSEWVEWHANVLEGRNALENVLQWACGRPTPLHDPSRDSDDDDYHDKDYDVATLTNNLSQAFGYGFYENADSNEVLKMNKGKEIMPTYVSQEGSNVLTSNEKSKKMERGEMSEMPHKAHGAMEGDDEDVYFDDESAEVVISSLQLGDDQERGSLFTNSNWFTADEEKVVSEPSTNADSPPPPPTTEAAPGGFTPENNDDLSDTATSSTNDSRPSETDKPPEQVDRKDSDEPGGTTTTTTAATDPTGTSLLSSEPEPPKVETSDRGDEREASSSTAXXGWRAATF >cds-PLY85940.1 pep primary_assembly:Lsat_Salinas_v7:9:196185522:196186917:1 gene:gene-LSAT_9X121101 transcript:rna-gnl|WGS:NBSK|LSAT_9X121101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSSTAAAATSVNGFYNFLTQGLDDLFRSFHSHNFMSIHFLQHVLSSLQSFHSQLIAVVQKLHLPVGEKWLDEYMDESARLWEVCHVLKSGITNMENYYSAAVTIATTLETHRFLNPQNSRQVLRAINGCQRERVGLEEENRGLIRNRIQPLMIKFDKNVLIESKFNGFNGFRGVLFALRNTNSLLLMILLSGLVYCSPVTSFSSSCHGGNTTMNYTEGKGVYGSGFMVSATRLHEKVKENEEGQNGVLLYEFWNARSAIDELKTELERIRGTGMELDVSERIEKLKSCSVGLQCGVENMSVQLDDFFDEIVEARKKLLDLCTHS >cds-PLY90654.1 pep primary_assembly:Lsat_Salinas_v7:6:51641300:51643265:1 gene:gene-LSAT_6X37700 transcript:rna-gnl|WGS:NBSK|LSAT_6X37700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR4 [Source:Projected from Arabidopsis thaliana (AT5G06620) UniProtKB/Swiss-Prot;Acc:Q9FG08] MLSLLRSRRFAQRCPPKSKQLLTSYFFSTTSATDHRHGSKCSQPSPPPIQVLLTESAGRGVFATRNIESGELIHTARPMISHPSLSSIDRVCYFCLKNTPKGSDFEAQKVLFCSEECREQAKGFYEVEKEADWSAFVAHCQTRALKYPFLAKRLACMILSGITSANSLDILQPALLSPQMISQMEEELGLLKSALEASGITSKQLKFLTIEWYSGVLARIRINAFRIELAGGCSYEDLLASAALSVESEAAVGNAVYILPSFYNHDCDPNSHIVWVENVDARLKALRDIEAGEELRICYIDASMDRDARQNILSNGFGFECRCPRCLLND >cds-PLY74262.1 pep primary_assembly:Lsat_Salinas_v7:1:79166418:79167068:1 gene:gene-LSAT_1X67041 transcript:rna-gnl|WGS:NBSK|LSAT_1X67041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAFSVSXRVAPLDLQQGGNSRIPYVHVPAARMSILVYIATAINTFLFLLTKHPLFLRSFGTGTEMGAFSTLFTLVTGGFRGRPMWGTFWVWDARLTSVLISFLIYMGALRFQKLPIEPAPISIRAGPIDIPIIKSSVNWWNTSHQPGSISRYGTSIHVPMPIPIVSNFANSPLSNRILFVLETRLLIPSFLESPLTEEIEAQEGIPKPSSLVESL >cds-PLY85399.1 pep primary_assembly:Lsat_Salinas_v7:9:79515923:79521492:1 gene:gene-LSAT_9X63441 transcript:rna-gnl|WGS:NBSK|LSAT_9X63441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQDGECGSNEASSVSAYDEAMDALSSLITQKSRADTSNSGHRFELLFDYVKILDLEESISKMKIIHVAGTKGKGSTCTFTEAILRNCGFRTGLFTSPHLIDVRERFRLDGVDISEEKFLAYFWWCWDRLKEKCNDDMDIPMPNLFRFLALLGFKIFAAEQVDVAIMEVGLGGKFDATNVVQNPIVCGISSLGYDHTEILGDTLALIAGEKAGIFKKGVPAFTVPQPDEAMEVLIEKASQLDVPLEVANPLDAKLLNGLDLGLVGDHQYLNAGLAIKLCSTWLQRTGHLEFDSIDQTSSLPEQFIKGLTTAALQGRAQIMRDPSLDIENPGSIVFYLDGAHSPESMEACGNWFSLAIKEPNLLKNNNAEIVPINPSENSAQILLFNCMSVRDPHLLLPRLLKTCVGHGINFNKALFVPNMSLYTKVGSTTSSFPQTESLVDVSWQLTLQRVWENIVSSERGNSSVTDNAEYSEMSVVKSCENSVVFASLPLAIKWLRDTAKHNKSVRLKVLVTGSLHLVGDVIKLLKK >cds-PLY80034.1 pep primary_assembly:Lsat_Salinas_v7:9:45638430:45640304:-1 gene:gene-LSAT_9X42141 transcript:rna-gnl|WGS:NBSK|LSAT_9X42141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSLATSLDEVDRTMYSTFCSAANSLSQLYSQALSHQKLSFLSGERHGLEKLYQWISKQHGEGLRVTSDDILAYIQAELESYVEEPSMTPRTLQTQQQQHTQPQAAASNPFTNSNSGSSSEQQCQQQQQQPRSYIFSNALSSPVRRSLQNYHISQEGYAKNTNNEHHNPSSSMDMHPDSSTQ >cds-PLY63488.1 pep primary_assembly:Lsat_Salinas_v7:9:168496474:168498340:1 gene:gene-LSAT_9X104560 transcript:rna-gnl|WGS:NBSK|LSAT_9X104560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKERPPEPLDFFIWTVEDVGLWLEEINLGGYRQTFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPSCLSVVFVRVAKRNRQSRVVSMKLEP >cds-PLY89455.1 pep primary_assembly:Lsat_Salinas_v7:8:31058264:31060057:-1 gene:gene-LSAT_8X22501 transcript:rna-gnl|WGS:NBSK|LSAT_8X22501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKAVAIALSKLSKCLHFRCAFYSSRPESPRNLEGCSKKYSSHSGDLALGGALSVFDKMLQRRPLPSIENFTRLMSTVVKFERYSTAMSMIHRLGLLGLNPLVKPDIYTFHIAINCFCHSNQVRFGFSVLGNVMKLGYEPNCPIFNTLIRGLCNDDKLYQAVSFFDQIVKNGFEPNVVTYNTLINGLCKLGNTSSAMILLKKMERSRVCKPDIVAYSTVIDSLCKDEQVTDALKLFYKMIERGITPNVITYNCLIQGVCNSELWDKAKRLLEDMFAQNIQRDVQTFNILIDALCKEGKATEAHNLFASIIKEGESPDTVTYNSLMDGYCLVDRVDDARNLVDHMMIHNCAPCVISYNTLINGYCKSKRLDEALSLFKEMSRNGIHPNVVTYSTLISGLCHDGRLKDAMILFDEMKTCGLKANIVTYSTLVDSLCKHKRVKDALEMFAKIDDVGLMPNIVTCNCLIDGLCKDGKLDAANKLFSELSARGLKPNVRTYNIMMNGLCKRGKLDEADELLLKMIDSGCFPDGFTYNIIVQGFIMHKEMVKAISMLERMRACGFAANAYTSTLMVELLTTHRLELDDSCKKALEIFFKKNRV >cds-PLY84535.1 pep primary_assembly:Lsat_Salinas_v7:1:30479808:30484087:1 gene:gene-LSAT_1X26320 transcript:rna-gnl|WGS:NBSK|LSAT_1X26320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPEINAVWWAICSVLSIKVGARLHEQISRINTFRKHTHTLSLNNLKMAVVNQQLEDDINHGSGGSTTSLDDIARVNASKEAEKERLIRLYLKIMWPTIHVNSEDNNHGAGARTNLVDELARVTASTQAEMEQVVNLCKGTLWPIISADINTMQQKFLSVIKEKSSIDLWRGMTRNSGNTASTSGSSVFQFQFSNGISTPVSTGKNIEGKDNKPFVVTLVDQNSGQIVKTGAESATEIEIIALEGDYDDSETENWTSCEFNNKIIHEWNGKKVLQGNTSLKLKEGIVYVNKISFTHNSTWKGKRKCRLGARSKDAVFGTWVKEAKTESFLLKDKRNFKYNKHEHPSSSDEVYRLHGINHRSDCFKHLIKANIKTVMDLRTLNAINPEKLKDILKVRPNDWKIIMDHAHKCKDDNGIYLYLNSRDDQNKHGVAFNVYGHLVGLVSDSLFLPFYKLSNEKKADGEKLVASASEQWREVVFFEDEAALINHLQPPTSTTLNSLPNGLLNLVILQKNNHTGLITTIENHNHWKNNTIVGLTGQSSQSPKRPASEFEHVISNYSPKKPRDDMDTENEDYMQYLNFSHAQKWKTVWCVVGWISIFSKVRSLSIATNGHCSPIQHMQI >cds-PLY95738.1 pep primary_assembly:Lsat_Salinas_v7:2:113770575:113771825:-1 gene:gene-LSAT_2X52800 transcript:rna-gnl|WGS:NBSK|LSAT_2X52800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSPGSKFVKSTTMEKLHVWKLELTKTLEITETEIDSLEHELKSLVSDAGSFPTEYQNKTCGGPLPVEKIDSSPEEVNGAEDSDDKSSDTTSKFVESISTNYSSCLNRTRQNMVLCLTLIPMPMGQKDVPKGSHDGWWIRGKDPSRVVHYEYWEAIDSTFGLQGGFIWDWVDQGLLKENANGSKYWAYGGDFGDTPNDLNFCLNGLIWPDRTPHPALNGDYYNFFA >cds-PLY90087.1 pep primary_assembly:Lsat_Salinas_v7:6:17701193:17702866:-1 gene:gene-LSAT_6X13341 transcript:rna-gnl|WGS:NBSK|LSAT_6X13341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLSLKPLTPSPVQSQSPPLLLLSPLTSSSLFPLTIKPCKSNRLRQTRFSYSSRDPPPPETGCPVPPEQQPINEYQSLCTSSPFSWASGDFVEYCSRLLATGAGFAMFVGLPVSWYGSVGVGWEPTQRILGAVCSGILVVTLAVVRMYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTAEVLARDRLLGSFTVKPVLNRLKNTLVALALSLFMFIVLLINTESSSQSQKLASITAGVEPGGRAVAGVYSDESARSFEPEAFCGSPMAD >cds-PLY73762.1 pep primary_assembly:Lsat_Salinas_v7:2:95040950:95041189:-1 gene:gene-LSAT_2X41680 transcript:rna-gnl|WGS:NBSK|LSAT_2X41680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSRPIIELGVTALGKIQAMVQGVDHVLRILDDDIVPPLRQVESDPVPKHAFLRRERPMCTPEASRWDLKPGFDYHHLS >cds-PLY79701.1 pep primary_assembly:Lsat_Salinas_v7:8:124598388:124600996:1 gene:gene-LSAT_8X86481 transcript:rna-gnl|WGS:NBSK|LSAT_8X86481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTDRYAASNLASPDDNYLFLDASNEAPSYGDRKCTSIAGSILYCILLSGYAILGVGAPWVFQSEKYLTLQILCTCDVTLLIITGIFQQYMVYQVQKIRLQGYYIFSQKLKHVVRMPFASFSYGTCAMLLIMVWEPYLRILSIPLMLRIIMLVEAIFAGSFMGVYIGHVHQYNTLESQPDVVKSLHSPLQPSNSLEGLRFHDGGGYLSDQQMALLQYQRENLNFLSEEILRLQECLSKYEKSGDGMTPQVDLAHLLAARDQELRTLSAEMNQLQSELRLARSLVAEKEAEIQSARNTNNQYMEENERLRGILAEWSTRAAKLERALELERMSKLELQKKMTSFKTLQNMGHPLR >cds-PLY77708.1 pep primary_assembly:Lsat_Salinas_v7:9:18903626:18906604:1 gene:gene-LSAT_9X13980 transcript:rna-gnl|WGS:NBSK|LSAT_9X13980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEGQRFQLGTVGALSLSVISSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWLKFFEHKPFDSRAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFSKQFSKKVRFSLTILLLGVGIATVTDLQLNLLGSILSLLAIITTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQALILFIIGPFLDALLTNQNVFAFKYTNQVLAFIILSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYFLLHDPFSWRNILGILIAVVGMVLYSYFCTRDTQPKPIEPSTQFSEKNEGENDPLISVENGGSVTNEGSPPKPPVWNSDKDLHA >cds-PLY94332.1 pep primary_assembly:Lsat_Salinas_v7:7:165892665:165893117:1 gene:gene-LSAT_7X98341 transcript:rna-gnl|WGS:NBSK|LSAT_7X98341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDHIHYGTVVGKLEGEREITLGFVDLLRDDFIEKDRSRGIYFTQDWVSLPGVLPVASGGIHVWLMLTLTEIFGDDSVLQFGGGTLGHPWGNAQGVVANRVALEACVQDRNGGHDLSTEGNEIIREATKWSPEVAAACEVWKEIKFEF >cds-PLY84247.1 pep primary_assembly:Lsat_Salinas_v7:7:79340675:79345076:-1 gene:gene-LSAT_7X55620 transcript:rna-gnl|WGS:NBSK|LSAT_7X55620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALREKVLKKKAEKFELKELERIIPNRGKLLVLEKLLNEAYLIRRPKPSEYEHRKQLIRVFNEIARELYGNSSCCPVVEEFGSFSMDLFTTGSDLDLSVNFKNNSQSVFPRDQKIKALRKFARKFYALQTGGHVRGVQPVLSAKVPILKVIDAGSGVECDLSVENRDGISKSAIIRLITSIDERFKKLSFLMKAWAKAHDINSSKDRTLNSLSIILLVAFHLQNRDPPILPPFSEILKDGEDVASVQKSVRKFRNYGSKNTETLGELLVSFLLKLASVEKLWPKGLCASTYLGQWTSKTWATKIASMSVEDFTDRTQNVARAVGKSEVEKIYSRIHFSIQRLSLFMNGEIQESVLNESLFGITNTLHHHPPLTTTTTPMQPRAAQSWLPRPQPVGGQWGGMGTQHQAMGSGQEWADDHPPKRMRVAADGAPGTQGWGTWGPELGGHNQPAKRMRAAEGAPTPPPPPPPGTQGWGNWGPPGWGAEDYRGRRVQQPHGPTYRLG >cds-PLY85131.1 pep primary_assembly:Lsat_Salinas_v7:9:145824065:145828470:-1 gene:gene-LSAT_9X93381 transcript:rna-gnl|WGS:NBSK|LSAT_9X93381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASWKNRGGPESNSRNLVSRKLSIFLCISCFCAGMLFTDRMWKEPEAKGISRSTRNEAENLKFLAEDCNTKSNDVRRGSKYNIGEVSKTHNAVQTLDKTISKLEMELAAARAMQDSIVTGSPISDDLTLLNPIKKRKYFMVIGINTAFSSRKRRDSVRATWMPQGDKLKKLEEEKGIIMRFVIGHSATSGGILDRAIEAEEKKHGDFLRLEHIEGYLELSAKTKKYITTAVALWDADFYVKVDDDVHVNIGTLGATLSRYQSKPRVYVGCMKSGPVLAHKGVRYHEPEHWKFGEVGNKYFRHATGQLYAISRDLATYISINQHVLHKYANEDVSIGSWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNVCVASFDWSCSGICRSADRIKDVHKRCGEDESALWNASF >cds-PLY78419.1 pep primary_assembly:Lsat_Salinas_v7:3:236157692:236161853:1 gene:gene-LSAT_3X132101 transcript:rna-gnl|WGS:NBSK|LSAT_3X132101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTFSLLPSISTILLVLLFAHTLQTLAKNEEKPTSELSILIKIKSILDPNGETLTSWSPDAASYCDGTFEGVACDELGQVMNISLQGKGLFGQIPPEIGQLKSLSGLYLHFNGLNGEIPKEIAELTQLTDLYLNVNNLSGEIPPEFEKMENLRVLQLCYNQLSGSLPTQLGSLKKLNVLAVQYNQLTGAIPAALGNLGTLERLDLSFNRLFGSIPMKLADAPLLMVLDVRNNTLSGNVPLVLKKLDQGFQYSNNTELCGSGFPDLKLCNSSYGPENPNKPEPFGPQSKGVEPKAIPQSANITTSRSKSTNSGLAALLGAILSTMLLIAGIFSFIWYRRRKQRIGTAFETSDSRISTDHYQIKESGNRKSGSPLISLEYSTGWDPMSKSQTGSGVLSEVLESYVFNVDDVESATRFFSDSNLLGKSSFSATYRGILRDGSVVAIKRIAKTSCKNDESEFLKGLRILTSLKHENLLRLRGFCCSKGRGECFLIYDYVAKGNLLRYLDVKGNSGNGNVLDWSTRFSIIKGIAKGIEYLHAIKGKKPALVHQNISAEKILIDQHHAPLLAGSGLHKLLADDIVFSTLKASAAMGYLAPEYTTTGKFTEKSDVYAFGILIFQIISGKSKIGSLIRQGAELEKLEDFMDVNLDGKFPEVEAVLVGKIALICTHECPESRPVIGAVVQELSKMSVLPLDL >cds-PLY91019.1 pep primary_assembly:Lsat_Salinas_v7:7:177795611:177797203:-1 gene:gene-LSAT_7X105400 transcript:rna-gnl|WGS:NBSK|LSAT_7X105400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQATSFSVHKTNASFKDSTLFGVSLSDHRQLSFTSSASRTRSKEFGRKVLRIKTQAVATTTQAPAVSSAAPERKKTLRKGSVIITGASSGLGLATAKALAESGKWHVIMACRDFLKAERAAKSVGMSKENYTVMHLDLASLDSVRQFVNNFKQSGRPLDVLVCNAAVYQPTAREPSFTADGIELSVGINHLGHFLLSRMLLDDMKQSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDMRGLAGGLSGLNSSTMIDGGDFDGAKAYKDSKICNMLTMQEFHRRYHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKFITKGYVSEEESGKRLAQVVSDPSLTKSGVYWSWNKDSASFENQLSEEASDVSKARKVWEISEKLVGLA >cds-PLY88384.1 pep primary_assembly:Lsat_Salinas_v7:5:62639768:62641539:-1 gene:gene-LSAT_5X29901 transcript:rna-gnl|WGS:NBSK|LSAT_5X29901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATCGEIGNKSSPKPHVGFLASPGMGHITPLFELALRLVTQHNFQVTFLVITTDSTAAQYNYLHATPHPDLHIVDLPPADMSGLLFEDMKVLTRLCVIVQESIRSLRSVLLSGLNNRPKLQAFIIDIFCTGVFDTCKDLSIPVYSFFTASTILLAFSLYLPTMDREVEGEFVDLPEPINVPGCNRIRTQDLLNQVRNRKIDEYKWYLMHVSRLSMATGIFVNTWHDLEPEWLKGIKNEQFFVDIPTPPVYPIGPLTKRIESASDENADVKEVMTWLDRQPKDSVLFVALGSGGTLTNLQLTELALGLELSQQRFILVTRTPSDSAFAAFFTVGVDSDDPRAYLPDGFVERTKEVGLVVSSWAPQVVVLNHPSTGAFLSHCGWNSTLESIKHGVPMIGWPLYAEQRMNATMLSDEIGVAVKMPVVGEGGETVVVGRKEIEQVVRAVMEGEEGRKLRRRVKELEASARQTLSCGGPSYETLAGVAESWKRQGCAMTTRSCMEPG >cds-PLY70649.1 pep primary_assembly:Lsat_Salinas_v7:5:72111430:72114054:1 gene:gene-LSAT_5X33860 transcript:rna-gnl|WGS:NBSK|LSAT_5X33860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALEEEEHEVYGGDIPDVGEMEGDVDPHNADVEMATADDDAVKELDEMKKRLKEMEEEAAALREMQAKVEKEMGAVQDPAGAAASQASKEEVDTRSIFVGNVDYACTPEEVQQHFQTCGTVNRVTILTDKFGQPKGFAYVEFLEVEAVQEALSLNESELHGRQLKVMAKRTNVPGMKQFRGRRFNGYMGYRGFRRPYVPPYFYSPYGYGKVPRFRRPSRYMPYY >cds-PLY90842.1 pep primary_assembly:Lsat_Salinas_v7:6:155348018:155348470:1 gene:gene-LSAT_6X93820 transcript:rna-gnl|WGS:NBSK|LSAT_6X93820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEKDAHVATLNDAQLLSAHKVATFQEKSEQGVEELQWIVKEGIPIFVRALLNSSAFGAVNATLQTCVIQHGLNQACVDMKAKYPNKLKDKGVLYSYPDAQCQIIDRFSEMTSYKYSLLSALGDEGMDVVGLKKLLEVVDCSKEDEVGSC >cds-PLY99628.1 pep primary_assembly:Lsat_Salinas_v7:6:82799422:82801939:1 gene:gene-LSAT_6X58800 transcript:rna-gnl|WGS:NBSK|LSAT_6X58800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGVNLENYLIPLEEIKRATENFSQQRCIGGGGFGAVYKGQLSERWQNRTAAIKQLGQDSHQGEREFRNELEMISKFHHENIISFIGYCDEGNEMIIVYEYAMNGSLDHHLQDPHKIRCITWTERLMICIGAAKGLSYLHSGLGEHNRVIHRDVKSANILLDNNLVAKVCDFGLSKNGPRNQPDTQLYTRVAGTQFYMDPTYHESRILRKESDVYSFGVVLFEILCGMLVYHEKRFGGDGKQFLMTSVRRYYKKEPHKVIDPHIMEQIDSGAFDAFKEIAYQCISFNSIERPTMEKVVERIEEALTIQTNKRSACTPEVRSWIESAIHKLKSSSSYEQRMGAYEMNLLAKRNNDNRVAIAYAGVIPLLKNLLKSPDSQEQAVTTLLILSSHDNNKFIIVSSGAVPGIIHVLREGNMAAREDAAATLFSLSLIDENKVTIGSAEAIPPLVLLLREGTQKGKRDATTALFNLCIYQGNTIRAVRAGVVPVLIELVREPQGVLKDELLGVLFVLSTHPEGILAIGKAEAVPVLVEVIGSGSLKLKETAATVLGKLCFGDQKYLVEAQELGVVEKLKDLMYHGTDRGKRIVGQLLEKMTI >cds-PLY93670.1 pep primary_assembly:Lsat_Salinas_v7:2:202720029:202721051:-1 gene:gene-LSAT_2X124540 transcript:rna-gnl|WGS:NBSK|LSAT_2X124540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYSARSQTEFQTFFREWLIQLERYLNQLLNLLQSPDDHQQDEPNHKQLIRRVMAHYHDYFLAKARVSSRNVFLVLSPPWFSPYERIFLWVAGFKPGLSICIVKTCGLELTSDQAERIERLTVETKDDESAITERLVRLEQQVLAPTILALTRMGGREVNGMIDDADAAVDSLAKGMEFLVGLADFLREKTVAKVVGILTTAQTVMFLAAMIQFQLRIRRWGQLRETEIHRDANNPLSIGFGGGGS >cds-PLY95428.1 pep primary_assembly:Lsat_Salinas_v7:9:190316534:190321553:1 gene:gene-LSAT_9X117520 transcript:rna-gnl|WGS:NBSK|LSAT_9X117520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQTIPVILYWFLLVFYNLLRVYGNAEADVLRVLKAQLSDPTNVLQSWDPISVSPCSWYHVTCDSWNRSVTRVDLQNAGLSGQLVKQLGQLTYLRYLELYANNISGKIPEELGNLTNLMSLDLYMNQLEGGIPDTLGTLKQLRFLRLNNNSLTGTIPVSLTGIDSLQVLELSNNNLSGVVPFYGSFMRFIPNSFANNPDLTLVVVPQAQAPSQSPSVGNNHTGAIVGAIAAVVVLFVALALWRDRKQQLQVDSFTFLAEEDPKVHLRQLKTFSLRELQAATHDFSNRNILGEGAFGKVYKGLLADGSLVAVKRLTDHVHGGELHFQKEVEIISMAVHRNLLRLQGFCMTSTEPLLVYPYMANGSVASFLRDRPDRQPALDWPTRKQIALGSARGLAYLHDHCDPKIIHRDVKASNILLDEEFEAVVADFGLAKLMNYNDTHVTTAVCGTIGHIAPEYLSTGRCSEKSDVYGYGVMLLQLITRQQAYDPTRLANNHNDVMLLDWVKRLLEDKKLEMLVDADLEGNYLKDGVEELIKIALLCTQVTPSERPKMSEVVKMLEGFGLAERWEEWQKGEIFLQDFSQEHNLNIDCVIVDSTYNLSNDQLSGPR >cds-PLY81245.1 pep primary_assembly:Lsat_Salinas_v7:4:307999539:308009893:-1 gene:gene-LSAT_4X156100 transcript:rna-gnl|WGS:NBSK|LSAT_4X156100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQILEGLQWKRSSKASLQHVGDIEMVNGVTENQGQTGNFVTESQEDSDVNLSHPPLSCNAIVCSGFEASRDEVMQVGKSSPNDECGFPNYPPANGLGSPNGESNFEEAVEFHMPLIGASMKSARTLGPTMAANNYKGIWIYLTVPILGALAGAGLKYVNFLLICLFLMKKLKFPRYLPGPDDMSVLDIAYTIYTKFEECRSVMSSVRYPIWILSDTNT >cds-PLY79876.1 pep primary_assembly:Lsat_Salinas_v7:8:17286582:17288647:-1 gene:gene-LSAT_8X12081 transcript:rna-gnl|WGS:NBSK|LSAT_8X12081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYQQDEDKRDRRRKNGIGNYNYYKMFGCFNRKFKISDLGPPQDVIEVFRLYSTDGSEMLPDKFLLFLTEFQGEEGITIEDAQQIMDRVLDLSTSHLTRYAFTVNDFFHYLLDEINGPLKTQVHQDMTAPLQHYFIYTGHNSYLTGNQLSSDSSEVPIIRALQRGVRGIELDLWPNNARDGIHVLHGRTLTTPVTLLKCLKSIKEHAFVQSPYPVIITLEDHLTPSLQAKVAEMVTSTFGDMLYFPHPSDKDEFPSPDELKYHIILSTKPPKEYLPSKNAHKRRSSVDKDSSDDEWEDLRSNSDDDDDDTYSISDRSYFCPSSDRCSASSSTHRMSDILGVDYEDDDNEDEKSGHQTALEYKHLIAIHASKPKKGTRKALMDEPGKAKRLSVSEQALQRAASLYGADIVRFTQKYILRVFPKGTRVTSTNFRPLTAWMHGAQMVAFNMQGYGRSLWMMHGMFRANGGCGYVRKPDFLMQKGPNGEVFDPKATLPVKTTLKVE >cds-PLY63065.1 pep primary_assembly:Lsat_Salinas_v7:8:75470642:75472755:1 gene:gene-LSAT_8X53580 transcript:rna-gnl|WGS:NBSK|LSAT_8X53580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAACNTNILKLVSIIFFLTSFFSTGTLAQCKEETNNSCNNKTKALPLKLIGIASILITSIIGVSLPLVTRSVPALSPDRSLFIIIKAFASGIILATGFMHVLPDSFGMLRSSCLAENPWHKFPFTGFVAMLSAVFTLMVDSMATSMYTKTNNSVSAEADKVVVAGDHEMPVVESGGGHFHGHHQHGQRGSIGTQLLRYRVVAMVLELGIVVHSIIIGLGVGASNDICTIKPLVVALCFHQLFEGMGLGGCILQAEYKTMKKLVMVFFFSMTTPFGIALGIGLSNTYKENSPSSLIVVGLLNASSAGLLIYMALVDLLASDFMGPKLQGSIKLQIKSYVAVFLGAGGMSVMAKWA >cds-PLY86885.1 pep primary_assembly:Lsat_Salinas_v7:5:260537805:260539165:1 gene:gene-LSAT_5X130560 transcript:rna-gnl|WGS:NBSK|LSAT_5X130560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVQFENKLFTMQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDSLNNWREEFLIQASPSDPDNFPFVLLGNKIDIDGANGRVVSKKKAKAWCASKGNIPYFETSAKEGINVEAAFQCIATNAVKNEPEEETYFPETIDVTGEGRQHRSNGCEC >cds-PLY89637.1 pep primary_assembly:Lsat_Salinas_v7:8:221010709:221012306:-1 gene:gene-LSAT_8X133740 transcript:rna-gnl|WGS:NBSK|LSAT_8X133740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAARTFFRSTSVRNAAARISSEAKCKSAPSPSAFRFPTTKPLSHRIFRCPVEMSACLETMQPFHSVTASALMTSMLTLSRGGYGWLPEGVDDTS >cds-PLY99764.1 pep primary_assembly:Lsat_Salinas_v7:9:53047370:53048414:1 gene:gene-LSAT_0X7921 transcript:rna-gnl|WGS:NBSK|LSAT_0X7921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSETLIPDSTPTTTNDHDHEHDHESNSNSNIDVLNSYSTADDHPEYNDDENSQIHDEFDHEDEENEIALDTEPDAGGGYDNNPPDSFWVSNNKVTDWLDNHTYIERKASVKLVQAARKFDQTKTRSNQRSVSFNQKPQATIIGFRQKPPSHNADGKAKQNDRQDNPRFSKNRSLPGKSFFQQVAEPRSPRVSCIGRVGSMRGRARRTGFWKTVKTAFLSKVRSNKLSRKDL >cds-PLY94287.1 pep primary_assembly:Lsat_Salinas_v7:7:165011391:165017890:1 gene:gene-LSAT_7X97980 transcript:rna-gnl|WGS:NBSK|LSAT_7X97980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFDGLDFRSKKDDAWYACGVVLDGKKLRVKFKDFVESFHDEVFSIADFSTHREIEQFLLRFRPTSMPIEENECSKVIEGMMVSATYTRDGLVRFFDAIVDAVNYKEHTPGKCICTYLLFWQHGPAEGNITAASIDDICLIMSGAIDPRVTEFAKLEFSGDGDSRNGGSSEGREKFKIQLNDQDRDMGGVKDTGFHHYIILENLEKNLSPVLMTEFIHEHTSITAQAYVFLSLSAETYARGALVLNSKSKLKRIYEFINNPNHFIVSSSGRPWVIAEDMLRNGTFNINLQSLQLKCKKLLNAKMMRFPTVLTAAVVFSFLITETVGIRFVIDREECLSHNVEYEGDTVHVSFVVIKAESTWHFAEEGVDLVIKGPGGEEVHSMYDRTSEKYEFMAQKKGLYHFCFTNKSPYHETVDFDVQVGHFAYYDQHAKDEHFKPLFDQIARLEEALYNIQFEQHWLQAENDRQAVVNEKMGRRAVHKALIESAALIGASVLQVYLLQRLFERKLGTSRV >cds-PLY68470.1 pep primary_assembly:Lsat_Salinas_v7:2:212664201:212664443:1 gene:gene-LSAT_2X133720 transcript:rna-gnl|WGS:NBSK|LSAT_2X133720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIQIPALLMIMILFATIVHKSSCRSIVDHSVGEERIRANFISTFKRHFNVNPKLTNGENQLPYVVSHRLVPCGPNPLHN >cds-PLY62955.1 pep primary_assembly:Lsat_Salinas_v7:2:212459362:212461842:1 gene:gene-LSAT_2X132081 transcript:rna-gnl|WGS:NBSK|LSAT_2X132081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGNDFHGIRIPDFIGSMRQLRFLDLSSAGFSGVVPPVIGNLSSLRVLHLSYNPELVVDDFAWFSNLLSLQHLDLSGLSLGIGKAWNLDKVLLSMIPSLIELRLSECKLSNSHFNHHLNLTLSTIQTLDLHLNSIGGNFPHFLQNLTSLRVLDLSNNKLNSSIPVMNSIVELDLSENKFPRIHDVGVWSFSRLKSLDLSFNDMEGELVGPGPSSNVSRFALETLRLSYNRFCGEIPTSLQRLTSLTSLYLDNNKLTGKIPEALGYITSLQELYLTQNQLTGSIPASLGNLMMLQKLDLSSNLLINGTIPDTIGKLSKLEFLDISNASLSGVITKAHFANTSMLKRLYATSNHRLSFKISHDWNPPFQIIGINLRSCRIESEFPPWIRTQKSLVLLNLSNTSIYGPLPDWLHDLPAIINFDLSHNFLDGPLTNLPFTQRTDMLVLKNNLFNGSIPKSLCNATKLNILDLSRNMLSGILPECIGDLRILKAMILSSNRLSGVIPSSLGNLGSSLEWLQLNNNSFHGQLPDTLANCTSLTVLDLGENQLFGNIPKWIHEKFEFLRVLRLHTNGFKGQIPIALCGCTNLQIIDLGANNLTGPIPVCFQNMLGMTRVDSNLYFSGGFDQSLIQVVGGVPLEYTTTLGMVVNMDLSSNKLIGEIPEELVLLTGLLGLNLSNNHLMGHIPYRIGDMHSLISLDLSQNNLSGMIPQSMSRLTFLSHLNLSHNNLSGRIPTGDQLQTLIDPSIYAGNSQLCGGPLPHKCKSYRVPQIGRNTEEEDDDVWKMWIYGVTSGFITGFMVIVGILALVDRWRNALFYYVGGWIGKEL >cds-PLY70126.1 pep primary_assembly:Lsat_Salinas_v7:3:10887313:10890929:1 gene:gene-LSAT_3X6221 transcript:rna-gnl|WGS:NBSK|LSAT_3X6221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEPELFSSRVFSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEPPTGHEEDDEYEFDISSSGSDVNEFPTATEFSKLNKPRVRYTRPWGPSPSVKSANRNGCKETQTNMHACYPKVNFSKLGTNTLWRYYSTFNLGNMHSNPTKEQLVNAVHKHFASQKVSEMEAIMEFINAAKRRKSGRSQKDQN >cds-PLY75337.1 pep primary_assembly:Lsat_Salinas_v7:5:51887609:51888278:-1 gene:gene-LSAT_5X25161 transcript:rna-gnl|WGS:NBSK|LSAT_5X25161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRSMAWGYIRIMAGTIVGGGAGFYVMHRLEISYKEKWDERLRNYEEQLNKKKQNPKELEEAL >cds-PLY61935.1 pep primary_assembly:Lsat_Salinas_v7:5:159654539:159658406:1 gene:gene-LSAT_5X69460 transcript:rna-gnl|WGS:NBSK|LSAT_5X69460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFWYEDCKSLLEQVNLQEKELRNKRRWLIGLPTSGNEAGKLKESKSQPERPIPEYLLREDDVFYETIRSFVKKLVEEPESKNKYQILHDDMQLIHSPTDITTLLFLIDDMTNQGLFQFAKLLTSGSIEFEKTRWKMKQIIKQHLLENNTPKIKLSNDHLSLLKNPQNFRWNHEFRFIPDSGSSHSAVYKILSILEDLPLQTLSAMHRKLRGVKDYIPKLIPKRSGWNRDVLIKRLRVKCLRFLSKLNEGDSLQEPLAKAMEVAGLTLKLIQGYHYATNFIQFSPEIISLQNEIAMCIKLVDHIELKFLKKIQIIIDPDVKLSDSPLRVKNLLMEYLLECSDIENIPRSLFKVLAIIKKSPKGKKKRMVEMKVDEEVECILNVSASMKQVLWDVIPEDDFDLKFAGVYTEDHGESDGDDICEDNEQHMENNVSNFSDFNEEVGSTEEIEIKLANSDSMAGISLMESDSLNSLKVTNSMPYHLVSFGNMETNRVCDKDLSLERNLVFDDDRGICGNRYLGVQVASDEVSMVAYCVIGRMLGDFARIEGCELNSSDLCYLESGSNDLNKKFSKAARKEKTTHEEDDCSIMIKVVEELIPSFPKSEADRLKELMGCK >cds-PLY99584.1 pep primary_assembly:Lsat_Salinas_v7:MU037950.1:32797:33147:1 gene:gene-LSAT_0X41520 transcript:rna-gnl|WGS:NBSK|LSAT_0X41520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSYLYIFAIALFSITTNEMCFASRNLLQATTFPTLPQPQIPTIPTMPQPQIPTIPTMPQPQMPTIPTLPLPQIPSIPNMPKVSLPPLPSMPTIPNFPTTLPNIPFFAPPPSKK >cds-PLY76331.1 pep primary_assembly:Lsat_Salinas_v7:5:296880496:296884483:-1 gene:gene-LSAT_5X159560 transcript:rna-gnl|WGS:NBSK|LSAT_5X159560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSLLKLAESKFNFTSPRFPLPCSARTISSSSVPSHLERVGFIGLGNMGSRMANNLIKAGYNVAVHDINLSVIKMFSDKGISVKGSPMEVAEISDVVITMLPSPTHVLEVYTGSNGLLNGGNPVRPWLFIDSSTIDPQTTRKLSSAVSNSALIEHKDSWNTPVMLDAPVSGGVSAAETGTLTFMIGGLEEAYKAAEPLFSSMGKNTIYCGSSGTGSAAKICNNLAMAVSMLGVSEAFVLGQSLGIKAATLTKIFNSSSARCWSSDTYNPVPGVMGGVPSSRNYDGGFASALMAKDLNLASASAKEIGLNCPLTSEAKHMFQNNMLQKG >cds-PLY81887.1 pep primary_assembly:Lsat_Salinas_v7:8:122122151:122125519:1 gene:gene-LSAT_8X84061 transcript:rna-gnl|WGS:NBSK|LSAT_8X84061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYMKAEMSQELNHKHLHSIKSTWPVNQFEIGNLLLTPDLDHDLTNLYYQHWWQQQGMILLWDVDAGLAQIDKYFHSNDNHVIAGALLEVGVVKCGIKNDCDPALALLADYLDTEDASSETWSKHALGQIVSRIRLSHSKKCLIGVLSSLDVFLLFPLLTAPSEHPVYRRNARTKGGSSGSPVVDWQGRAIALNAGSKSSSALAFFLPLERVATTTFDWIIITSPESEIEKEMDIEKMDDETESLEHKEEHRLGLHTADIVTEENAHVMM >cds-PLY92896.1 pep primary_assembly:Lsat_Salinas_v7:3:181830908:181844978:1 gene:gene-LSAT_3X109661 transcript:rna-gnl|WGS:NBSK|LSAT_3X109661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNYNFHKNLEEVQQKHDSGAALHRWGNNIREIVIKEPKRRVRFATNLPKLNDDAAAMPRTKQQLIDLKIPFHVIKDCTQDFSERNFIRKGGYGKVYIGILTWASHVNQLVAVKRLDVTGFQGNKEFRTEVTMLSEYQHKNIITLLGFCDDNNEMILVYEYAFNGSLDKYLSDSTMSYTLPWNLILKICVGVASALDYLHNQVAEKHRIIHRDVKSANILLDANWNAKLSDFGLARIGLANQQNTFVITNPAGTYGYIDPQYERTGFLTKESDIYSFGVVLFEVMCGRLACVFNYHDERRFLHHLAQIRYKNGELDKIINHNIKIHSNTRSLSKFSAIAYQCLHKTREKRPTSAEIVIQLKDALKIEINDL >cds-PLY90397.1 pep primary_assembly:Lsat_Salinas_v7:9:173260768:173262277:1 gene:gene-LSAT_9X107281 transcript:rna-gnl|WGS:NBSK|LSAT_9X107281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQNEEQSTNLLHAQAHIWNNIFSYINSMSLKCAIELQIPDIINRHGAPMLLSELVEALPINKERTNYVYRLMRILIHSGFFMKQSISNGEEEREGYLLAPVSRFLLKQEPLSLRPFLLSMLDPVLMESWQPMSKWFQNDDVNSFYTAHGRTFWDFAGQDSRHNQLFNEAMASDARLVTNIMLRDFGDAFTNLNSIVDVGGGTGTVSKLIAEAFPHISCICFDLQHVVNGLEGSNNFSYVCGDMFEAIPKADAVLLKWILHDWNDEDCIKILKRCKEAIPSNEYGGKLIIIDMVLKNDEVGEKSLETQLFFDVLMMVDQTGRERTEKEWAKLFLDAGFSDYKIIPCLGLRSMIEVYP >cds-PLY88756.1 pep primary_assembly:Lsat_Salinas_v7:4:169004155:169004529:-1 gene:gene-LSAT_4X100440 transcript:rna-gnl|WGS:NBSK|LSAT_4X100440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDSLEGEEMEAEKEKSDSDEYNGTTKDSEPMEAEVVPPPRPSPPSAYISILRRAGKTCQIARKTTLNHRGIQKHSHGLSESMSWSLRDPNWIVAFAEDRISSRRITTQYEDGQSSGVYPPPGD >cds-PLY96389.1 pep primary_assembly:Lsat_Salinas_v7:2:89034360:89037667:-1 gene:gene-LSAT_2X37301 transcript:rna-gnl|WGS:NBSK|LSAT_2X37301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNRSIDQERRQHQGHCYCRSQQNNSYNGRWKMESKQSVQTELISGDQNGNIRVWDLTANSCSCELVDTSMRSLTVMWDGSLVVAVNNKGTCYVWRLLRGTQFLRICMSSVDQYMWIHHLLHTFPSGGDFDEDEDDSDTEEEIEMKNEDEEATGKMETTTALPVSNGVVSFLASGILKQNEVNSKNLARNMFPGSSNFNSSNGLADVVLGSALETPVSNILEPAFFLSLPTVHSMIIFVCIKGQSPTEVGTRGEEVGQGQQQQEQL >cds-PLY83246.1 pep primary_assembly:Lsat_Salinas_v7:4:146710159:146713545:1 gene:gene-LSAT_4X90821 transcript:rna-gnl|WGS:NBSK|LSAT_4X90821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTSGKPRVVESVGEGVTELQPGDHVLPVFTGECKECAHCKSEESNMCDLLRINTDRGVMINDQNSRFSINEKPLFHFVGTSTFSEYTVVHVGCLAKINPLAPLDKVCILSCGISTGNRAYKLGHESTFATKPKKTSLPSSFFPAYYKLFIKMMMMMIMIAGFVDSITTPIKTWLDKVLNTHDHQNTTSSFGVKELGVGSSRVDCIVCLSEVVLGESFAMLERCRHGFHVECVEAWLKDHSNCPLCRTPITSGVHDQDTQKHIVYLKKLYEMMSSYGFLALEIMAEWLTNSFSQDLHSNLSESCSYL >cds-PLY79032.1 pep primary_assembly:Lsat_Salinas_v7:3:9578825:9583602:1 gene:gene-LSAT_3X7681 transcript:rna-gnl|WGS:NBSK|LSAT_3X7681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADKHSDEGGSTGNFGGESSESTVEIRIKTLDSQSYQFNVDKNMLVSAFKEKIATDVGLPVGQQRLIFRGKVLKDELRLSEYHVGSGDTLHLVARQPSESQPQSGTSTTTANGSNTGQNANGGGARPRVSHISHSVVLGTFADRNEDGNPDLSRVIGAVLNSFGIGGQGQGQGQVSMPNMQQFNVPVQVAQGNEAGVNANSQGQQGNPSQPGSQGFAIPGMALPTLVTPIPDSLHTLSEFMNRMEQALSHNGYQQNQAPNGAEAPPAVVLPSGPRGVPTPAALAVVMRHALQLLNGPVTDSLSHTARRLEEEESCTDLTVRTQIQSEAMQSGLAMQHLGALLLELGRTMLTLRIGQSPAESSVNAGPAVYISPTGPNPIMAQPFPLQTNSMFGGPPTPLGPLGVPRHINVHIHAGIGPRGTNADSNQGEQPNETSNIPSAAAAAAGVNVQTQSRGVTVDDSTRSENPASQGQPDGSVSESETRTDTEDAGNTIAITSSSATKSASEVQNDASASSSTPANAPTVPLGLGLGGLQPKRRSRQTKPEVTTTTSSNAPVPPVNAPPTSSPAGGGGQLDPATIMSQMVANPALDGLLSGVSSQTGIGSPDVLRNMLGQLTQNPAMMNTVNQIAQQIDGNQDLSNMFSGMGGGGGGGGFDLSGMKQQMMPIVAQTFGGGGSGLNMLQQPPSNSMDGGLQPRSMNDSSSAPQLNLRDLAEKIQQQESPDVVFSSVVEAAARVNNNNNGDELSELLIQEGLVDEFMEMFKTDVSRLLEEEE >cds-PLY97164.1 pep primary_assembly:Lsat_Salinas_v7:2:206029945:206031590:-1 gene:gene-LSAT_2X126560 transcript:rna-gnl|WGS:NBSK|LSAT_2X126560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGDESKAQSQGQDSQITSQNVQGFEQCVKEILNQIEDDENLSKVIAEFYDSKKSNMTKLVTELSRVHGALVEENIHLIKEVKKNMTKSHSQGQDSQNMPENSSFLFENVEGKILTEHENLQHVFLTKQTIMLIELEFSWKLGFQQCVKEILNVIEQDENLPKIGDIKKSKLTAMVTELSRMHMALADEHVHLIKEVSKNTHKSTTPIKTEHVDSSTSTSAQMSKTPEFKTPVGYEFTLDTSGGGFRFSTREGSESSFALSSNPDQLESTKKHLNPPVKFKNDESKVKETKLHDPEPEVSVLRKKISTLEKKQLTLKKKIQDLTDENAILEAEKANAAELKLTVAETNRRYEKMFGVLEASRQQVIKSDEENKNLKNELTNKILGITGRLQTEYDKVEAQNLKLHEEVALGLTEIAKRDEQIIELSTQIDQLKNSHATEEDKWNTDIERLEMELKEKCELVDDLNKKHDALKICTDELKLKLKGVELDSEREVTSKDAV >cds-PLY70624.1 pep primary_assembly:Lsat_Salinas_v7:4:226862393:226865824:-1 gene:gene-LSAT_4X124200 transcript:rna-gnl|WGS:NBSK|LSAT_4X124200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLLSLSTILSPLPPPPQLLHNHRRSIFFKSFKVRASSSPANSFSNHRVVVTRERGKNDKLIAALAKHGINSLELPLIQHTQLPDLEKLVSLLSATSFDWIIITSPEAALVFLQAWKAAGTPNVKVAAVGTATATIFHEATPPSKQFIEVAFTPSKATGKVLASELPKQGNERCNVLYPASAKASHDIEEGLSKRGFHITRLNTYTTEPVQHVDQTILQQALSASVVAVASPSAVGAWVDLLPEPQTWEGSVACIGETTASAARKLGLTNVYHPSTPGLHGWVDTIFDALRVHNRLSNV >cds-PLY98321.1 pep primary_assembly:Lsat_Salinas_v7:7:172578203:172578550:1 gene:gene-LSAT_7X102880 transcript:rna-gnl|WGS:NBSK|LSAT_7X102880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENDFNEQSEETSSASTMKRKRKFDPFIEGISKATALLGKDLREALTTMSQSLNVEVELQKKTSMVTSEILKIPSMDQRDKLKASRKIMCEPEEVLTFWNFEGEERETFVKLMLE >cds-PLY68877.1 pep primary_assembly:Lsat_Salinas_v7:3:62964918:62967417:1 gene:gene-LSAT_3X49441 transcript:rna-gnl|WGS:NBSK|LSAT_3X49441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIRFLPLLSFFFLISSSFIPQSYSQHTPQNIQVFYPFPLPPPSPPPPPPPPPPPAPPPPPPPSDPPPXXXXXXXXXXXXXXXVAATAASTLVLSALLFLLLVKYKRYRKDKDKEKENEIPGGNDNSNPQNPVVSLMNHFTRLEGVKGVIVDEEGLDVLYWKNLEDAEPKRTIFKKELPRINEKEEEKKMMTVDHSRRKSNIQIHEIPLLRGKSSASHVWPQEDEIQIKNSLPPANQQIMKTQLSSRSPPPTPPTPPPPPPLVLPPPPPPPKPKTGGLSLTSSSTPPPPPPQVKLKPLHWDKVNTNVEHPTAWDKLGNGSFRFNGDLMEALFGTVAVNKKSPRGDTTNSPTPKSEKKSGPPSQVFLLDTRKSQNIAIILRSLTVSRKEIIDCLLEGKGIDINTLEKLTRITPTKEEEQLILNYDHDITRLADAESFLYHILRAVPSAFTRFNAMFFKLNYDSEVSHIKNTLQTLEKACNELRNRGLFVKLLEAILKAGNRMNAGTSRGNAQAFNLNSLLKLSDVKSSDGKTSLLHFVVEEVVRLEGKRCMINRNHSLRNSTVSLNCDTSRGKDYIMLGLPIVGGVSSEFCNVKKAAGIDYDALSKSCSGLNDRLTEIMKAVEECGGGGDGGRGFVREMEKFVERAEREIHELGEEEERVIRVVKKTNEYYQVGASKDKGRKQFQLFGIVKAFLEMVDKACVDIAVKLQKRRSGGGEAAATVVVPPMPTSPNRPSVKFPVLPVNFISSSSSSDSDEDL >cds-PLY89848.1 pep primary_assembly:Lsat_Salinas_v7:4:323691699:323692889:1 gene:gene-LSAT_4X161420 transcript:rna-gnl|WGS:NBSK|LSAT_4X161420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVLATPFTALLLLFFFISAPPTSTTAEMESPTTQPDYLAPAPSPYAHSPFHKTCSLYSFFNGNCFPQLSSVYFAFSIVYLCFLVYWISACFKVKPTFRRIHLLMAGLVVVKALSLIDEAVNVTGTHGSDLFFYISKFISVVRLSIVIQLIASGCYFMEPIMQNVDRMVLLFLIPLQVLANVVKTVIGDSSDSINDWMNLIDLITCSLMIYPIICSMVSMCTRGMSAKNFEKLNGFRYFYMIVVLYLLFVNAVVEPVRKNGAIGLNAIDAEVYLLKANVLFEFNSLNFYMIICSMFKKQFALLVDHDESKGGCVESGI >cds-PLY94046.1 pep primary_assembly:Lsat_Salinas_v7:7:100647949:100650161:-1 gene:gene-LSAT_7X66020 transcript:rna-gnl|WGS:NBSK|LSAT_7X66020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFQKTVAKSPEGLQTPQQEPPVNALKDGLLSRSFSAVHPSAVTINLADSGLISYSVDKQNPLLPRLFAVVDDIFCLFQGHVENVAPLKQQYGLNKTANEVTIVIEAYRTLRDRGPYPADQVVRDLQGKFAFILYDSTSKSTFIAADADGSVPFFWGTDSEGNVVLSDDVETVKKGCGKSFAPFPKGCFFTSSGGLRSFEHPFNELKAVPRVDSSGEMCGANFKVDSNSKKETGMPRVGSAANWSQHY >cds-PLY84276.1 pep primary_assembly:Lsat_Salinas_v7:1:45194690:45194863:1 gene:gene-LSAT_1X39681 transcript:rna-gnl|WGS:NBSK|LSAT_1X39681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKRVASGVPDGHSISPEGNNYKGGGSGTRERQQWRLNEGEGGSGTAMSSTVAAA >cds-PLY66882.1 pep primary_assembly:Lsat_Salinas_v7:7:21455817:21464642:-1 gene:gene-LSAT_7X17160 transcript:rna-gnl|WGS:NBSK|LSAT_7X17160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQPNMFENHHHHHLLDMSHRAPENNLVMLRDDDQDSKSGNDIMEAVSGDDQDPSQHANKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRRLSLEPLQVKFWFQNKRTQMKAQHERFDNTQLRNENEKLQAENIRYKEALANATCPNCGGPAAIGEMSFDEQQLRMENARLREEIDRISGIAAKYVGKPMVSYPDASSQGPSRSLDLPVASFSPQQGMVGEMFGANDLLRSLAGGPTEAEKPIIIELAVTAMEELVRMAQVCEPLWVPTSNNSHEILSEDEYLRSFPRGIGPKLMGWKSEASRESMVVIMNHMTLVEILMDVNQWSNVFCGIVSRAMTLEVLSTGVAGNYNGALQVMTAEYQIPSPLVPTRENYFVRYCKQHGDGTWAVVDVSLDNMRSSSSSRCRRRPSGCLIQELPNGYSKVTWIEHVEVDNGVVHDIYKVLVNSGLAFGAKRWIATLDRQSERLASAMASNIPAGDIGGKFITSPEGRKSMLKLAERMVLSFCSGVGASTAHTWTTLSGSGGDDVRVMTRKSVDDPGRPSGIVLSAATSFWLPVHPKQVFNFLRDENSRSEWDILSNCGHVEEIAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESSTDSTGSYVIYAPVDINAMNVALNGGDPDYVALLPSGFAILPDGPVHYEGGVLVGGTGGSLLTIAFQILVDSVPTSKLSLGSVATVNNLIKCTVERIKAAVTSNMSKAMGIL >cds-PLY61859.1 pep primary_assembly:Lsat_Salinas_v7:6:59374699:59375169:1 gene:gene-LSAT_6X44421 transcript:rna-gnl|WGS:NBSK|LSAT_6X44421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARRLKLPMWSVTPWAGIFLPTDLLLTSPGHQLRPSESVMFFSLTSSPDSITLLKYRRRRTTYAPPPTPSPSPLPPARVTLNAPGTHYYHYKKYILYQRDQKRRLKVLPXLPPLHQQQHPPLPHRFLHPHIAPVYNSHHPVLLYLSRPWHPSVSWR >cds-PLY94522.1 pep primary_assembly:Lsat_Salinas_v7:2:157254352:157255553:1 gene:gene-LSAT_2X81581 transcript:rna-gnl|WGS:NBSK|LSAT_2X81581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAIVRSTLRTALRGGARVNPASTRSFSAGASVEEEAREAAKWEKITYAGIAACSILAFVNLSKGHPHFEEPPAYPYMHIRNKEFPWGPDGLFEVKEHH >cds-PLY86484.1 pep primary_assembly:Lsat_Salinas_v7:9:183888424:183891448:-1 gene:gene-LSAT_9X112760 transcript:rna-gnl|WGS:NBSK|LSAT_9X112760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQYSPGIVKKISGLDTMMIQTRVPRIVAHATPYDYSFTTECLENPKKPQYDGGIVTNPELKDGLRGWVSFGNPKLEVRRSKTGNEFIVAYQRNRSVDSVSQKFFMDKEKLYTFSAWVQVSHEDDAIVVATFKTPSGFYNAGSTIAKSGCWSMLKGGLTVNESGSAQLYFQSENAFIDIWVDSVSLQPFTKAEWRSHQDESIEKVRKSKVKIQVVDSEGKPLANRTLTIAQKFARFPFGCAINSNILNNQDYKNWFTSRFKYTTFENEMKWYSNERIQNQEDYSKSDALLYFAKSNGISVRGHNVFWNNPNDQPYWVPNLAPWQLAAAATKRIKSIMRRYSGQVIAWDVLGVTASSKFYTTARALDRSAALFLNEYNTIEQPGEGSASPDAYLRKIRQIRAGGYKGPLSIGLEGHFTQVNIPYMRSAIDKMASARLPIWITELDTQPGYDQAAFLEQVLREAHAHPAVNGIVMWSAWSPNGCFRMCLTDNNFRNLPTGDVVDKIIGEFFGAVVMATTDGEGVIETSLIHGDYEVRFADAYLSEKDTQSSRMSWGFKVEAMGSSQDVLNINIMG >cds-PLY84181.1 pep primary_assembly:Lsat_Salinas_v7:3:146203072:146204058:-1 gene:gene-LSAT_3X95641 transcript:rna-gnl|WGS:NBSK|LSAT_3X95641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGVGAPIDALTISIVIKCLCQMHHTKEGFAVLGYGYKCAVVPDVFTFRALLHGLILEDKVLEAEAFFKKLIKDKVCQPNGVMTYTVMINGFCIQGVGLLEEANQLFVEMKEKGFLPKTQSYNLLIQGFLKNEQRDIAETLIQEMKERGFKLEEDTKSLLHAQDGYWFRLLDQFKPGTKDWLPGRHESHCF >cds-PLY85465.1 pep primary_assembly:Lsat_Salinas_v7:3:42413904:42418413:-1 gene:gene-LSAT_3X32540 transcript:rna-gnl|WGS:NBSK|LSAT_3X32540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:no exine formation 1 [Source:Projected from Arabidopsis thaliana (AT5G13390) TAIR;Acc:AT5G13390] MLPPELQPPSYRPYISPSMSAPSFSTTFNNGHSPERNPSPIYTNGSSSTRRSLKNSRFAPSSFVHNARIAIALVPCAAFLLDLGGTPVVAALTLGLMIAYILDSLNFKSGSFFSVWFSLIAAQITFFFSSSLFVTFNSIPLGLLACFVCALTNFLIGVWASLQFKWIQIEYPTIVLALERLLFACIPFIASVLFSWATVSAVGMVNASYYHMVFNCIFYWLYSIPRVSSFKLKQEVSYHGGVVPDDNLILGQLESCLHTLNLLFFPLMFHIASHYSIVFSSASTVCDLFLLFFIPFLFQLYASTRGALWWVTKSEHQLRSIRVVNGAVALVIVVICLEVRVVFHSFARYIQVPWPLNYLLVTATMLGGAAGAGAYEMGMIADASSSLAFTGLAVFVSAAGAIVVGFPVLFLPLPAVAGFYLARFFTKKSLSSYFAFVILGSLMVTWFVLHNFWDLNIWLAGMSLKSFCKLIVADVILAMVVPGLALLPQKLHYLTEVGLISHALLLCYIENRFYTYSGIYYYSFDDEVMYPSYMVFLTTFLGLALVRRLSVDHHIGSKAVWVLTCLYSSKLSMLFMTSKTALWASTVLLLAVTPPLLLYKDRSRTASKMKPWQGYTHAAVFGLSVWFCRETIFEALQWWNGRSPSGGLLLGFCILLTGLACLPIVALHFSHVMSAKRSLVLVIATGLLFIIMQPPLPTSWTYHSELIKAARQSTDDISIYGFITSKPTWPSWLLMSAILLSLAAVTSIIPIKYIVELRMIFSIAMGIALGVFISAEYFLQATILHLLIVVTMVCTCVFVVFTHLPSASSTKVLPWVFALLVALFPVTYLLEGQVRIKTLLAETGVGDVGEEDSKLTALLAVEGARTSLLGLYAAIFMLIALEIKFELASLMREKFNDQGAGSLRHSQSGQSVSFPPKMRFMNQRRVLTVPAFTIKRIAVEGAWMPAVGNVATVMCFAICLILNVNLTGGSNRAIFFLAPILLLLNQDSDFVAGFGDKQRYFPVTVVISGYLMLTSVYSIWEEIWQGDVGWGMQIGGPDWIFAVKNLALLILTIPSHVLFNRFVWSYTKRNDSMPLLTIPLNLPPSIITDVVKIRILGLLGIIYSLAQYLISRQQYVSGLKYI >cds-PLY87491.1 pep primary_assembly:Lsat_Salinas_v7:9:160450929:160451692:1 gene:gene-LSAT_9X100861 transcript:rna-gnl|WGS:NBSK|LSAT_9X100861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMSCSLALASDPSPLQDFCVAAPNTPVMVNGLACKDAKLVQADDFLFRGIHLMGNTSTDVGSKVTPVSVAELPGLNTLGISLARVDFAPWGLNPPHTHPRATEILTVLEGNIQVGFVTSNPENRLITKVIGKGDVFVFPIGLIHFQRNVGNGYAVALASLSSQNPGVITIGNAVFGSNPDVDGDLLAKAFHVDKNVIYEIQSKF >cds-PLY68107.1 pep primary_assembly:Lsat_Salinas_v7:8:34410526:34412022:-1 gene:gene-LSAT_8X27461 transcript:rna-gnl|WGS:NBSK|LSAT_8X27461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLYILPLLLHLICPITTVSSVGINYGTLGNNLPSPKTVAQLLQSTLIDKVKIYDSNPQILQAFSNSGIDLIVAVENNHVANISSTKSAAQDWFSARIAPFIPATSIVAIAVGNEYLATDDDSLDPNNLIQAMQNLHEVLLSRGLDRKIKITTPHSMAVLATSFPPSASTFATTLMPTMTSIVTFLADTGSPFMVNAYPYFAYRDNPTTVPLEYALLGNSTGVHDPSGYVYTNMLDAQIDAIRSAIASLGFGNRSIHITVSESGWPSKGEPDETAASPDNAKTYNTRLITKAQSNKGTPMKPNDKIDIFVFALFNENKKEGGASERNFGLFNGDGSKVYDVDLSCEFCSGTKMEFGFGPGSKMVQRGPSVWCVAKPHADERVVQGVLDFCCGAGGVDCREVYENGGCFMPNKVHAHASYAMNAYYQMHGRNYWNCDFKGSGLVTFSDPSKFFPILFILIFHYHSYFKKKHLHDLI >cds-PLY71091.1 pep primary_assembly:Lsat_Salinas_v7:1:145377323:145379292:1 gene:gene-LSAT_1X103441 transcript:rna-gnl|WGS:NBSK|LSAT_1X103441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPLVSISGGGPFPILLSTKSTSHFRRFSTSFASLVPPQSPPPPPQPKSKSIPSSPKTPTVVDPSSKLSDNSFNYTIANPNGSNPVLQSVRSTESNIERVIFDFRFLALLAVAGSLAGSLLCFLDGCVYVADAYKIYWTSCLKGSLSGKMVMRLVEAIDVYLAGTVMLIFAVGLYGLFISNAPDSIAPEDDRAIKGSSLFGMFALRERPKWMKISSLDELKTKVGHVIVMILLVKMFEKSKMVTISTGLDLLSYSVCIFLSSASLYILHNLHKDEHK >cds-PLY73757.1 pep primary_assembly:Lsat_Salinas_v7:2:95580708:95589978:-1 gene:gene-LSAT_2X41221 transcript:rna-gnl|WGS:NBSK|LSAT_2X41221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24320) UniProtKB/Swiss-Prot;Acc:Q84LK0] MAGTTSLLSHRIPWKSLNLKRIPSPPPVHNNMCWVAAKSVAFSVPRWCSIGLHTRFLLSRRHFAFSTPCLKEGTLNSEVLKFKSRFPREVLLCRVGEFYEAVGFDACVLVEYAGLNPMGGLRSDNIPKAGCPVVNLRQTLDDLTRNGFSVCIVEEVQGPTQARSRKSRFISGHAHPGSPYVFGLVEDDHDLEFPEPMPVVGISRSAKGYFMVQVLETMKTFSSEDGLTEEAIVTKLRTCHYHHLYLHNSLKNNSSGTSNWREFGEGGLLWSECNGRHFEWLEGDMINEILFRVKELYGLDEKVTFRNVTVASENRPRSLHLGTASQIGAIQTEGIPFLLKILLPSNCTGLPAMYVRDLLLNPPAYAIASTIQEICKLMSNVSCSIPQFTCISPSKLVKLLELREANHVEFCKIKSVLDEILQLYEDSELNEILRLLMDPTWVSTGLKIDFQTLVIECESISHRIGELISLDGENDQKTSSYPNIPNDFFEEMESSWKNRVKKIHLKESYEEVDKAAEALSLAVTEDFLPIISRIKATTAPFGGVRGEITYAREHKSVWFKGKRFAPSVWANTPGEEQIKQLKPSIDSKGRKVGEEWFTTVKVENALARYHEAGANAKAMVLELLRGLSTELQDKINVLIFASMLLVIAKALFAHVSEGKRRRWVFPTLIQSHGSKEKGEIDGMKITGLSPYWFDAAEGSAVVNTIEMKSIFLLTGPNGGGKSSLLRSICAAALFGICGFMVPAESAIIPQFDSIMLHMKSYDSPSDGKSSFQIEMSELRSIITGATSNSLVLVDEICRGTETAKGTCIAGSFVETLDSIGCLGIISTHLHDIFKLPLNTNNTVFKAMGSEFVNGQTKPTWKLTNGICRESLAFETAQREGVPETIIQRAQQLYASVYTHNKTYNDTIQESSQESTSFVSSIVNESTDQMEKVLKDLEKAVSLICKKSKSEVLIRCVVIAPRKQPPPSVIGASSVYVIIRPDNRLYVGETDDLEGRVRAHRGKPGMENATFLYFLVSGKSVACQLETLLINRLPKHGFQLTNVADGKHRNFGTCDVSVQGISLHR >cds-PLY73134.1 pep primary_assembly:Lsat_Salinas_v7:2:191104778:191108522:1 gene:gene-LSAT_2X112881 transcript:rna-gnl|WGS:NBSK|LSAT_2X112881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEGMMKALTGNSDNSLESELELILSQRDSRVVVGDQQRDLNMNRSGSAPPTVEGSLSAVGSLFRDSDLVQIDNRNSNINNSTNWNGGVLTEEELRSHPMYLAYYYAHGNFNPRLPPPLLSKEDWRVAQRFQVGGSSSEGTRDWWKKNVSSDHTDHIGSSSSGSGSGSGSSSLFSMQPGFQGIHKDAESEFMEMRKNQLDLPHKNSAEWIERGDGLIGLTAPGMGGRRKSFADILQEGLEQPASSSNRISRPASQNAISDVMNMRGVSNSHSSSLCNEKETSQDPSRFKNMNSSVPQSFAPAVGSSLSRSRTPETQLVRKAPTPGMPPLSKRCVVGGNTVNEVADVASSLSGLNLSKTRLPDGDNNHSQSSYLDPINDQRLSFQHQVADNSRKDNLGMHSKYDFHRDNANLPDLSVGKSAIDGPVNFHRRAASSADLNSHRNMYEFANLESSRICNQVDNFMDLSSQIPARYSAPINHLASASALNTAGKGQFLRRCNYPPKFSGWSFWILESGRDMSLDRRYVSSLLDELKNNKNKSFELSDVVDHVIEFSTDQYGSRFIQQKLESATVEEKNIIFPEIVPHARSLMTDVFGNYVIQKFFEHGTKSQRRELAGQLIGHVLPLSLQMYGCRVIQKSLKVVELIMKCVRDQNGNHVIQKCIECVPQDRVQFIVSSFFGQVVSLSSHPYGCRVIQRVLEHCDDRNTQAVMDEIMNSVCTLAQDQYGNYVIQHVLQHGKPYERSAIINKIAGETVKMSLQKFASNVVEKCLTYGSPDERQLLVNEMLGSTDENEPLQAMMKDPFGNYVVQKVLETCDDQTRELILSRIKVHLAALKRYTFGKHIVSRVEKLVTSGEKHIASSSSRS >cds-PLY68008.1 pep primary_assembly:Lsat_Salinas_v7:5:182454825:182461917:-1 gene:gene-LSAT_5X80020 transcript:rna-gnl|WGS:NBSK|LSAT_5X80020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFMHHLIRFLPLISLLLLLIAGRSYGENRKTGRSSVFSLFNLKERSKFWSEAVIRSDFDDLETTVEESSVLNYTKAGNIANYLQILEVEHMYLPVPVNFFFIGFEGSGNQVFKLHTDELERWFTKIDHIFEHTRIPQDVDVLAPFYKNRVDRDHHHHHLPLVSHLNYNFSVHAIQMGEKVNSIFEHAIAVLSRKDDVNHTRDERLWQVDVDMMDVLFTSLVEYLDLENAYNIFIMNPKRDAKRGKYGYRRGLSESEINFLKQDKSLQDKILRAGSTTDNTLAMDKIKRPLYEKHPMAKFSWTITEETDTIEWYNSCLNALNNVERQYQEKDVADIIQSKVIQLLNGKNEDMKLQWAKDLKSGDFSGLHAECLTDTWIGKDRWAFIDLSAGPFSWGPAVGGEGVRTELSLPNVEKTIGAVAEITEEEAEDHLQDAIQEKFAAFGDKDHQAIDILLAEIDIYELFAFKHCWGRRVKLALCEELDERMRDLKSELQSFENDESVEIHKQKAIDALKRMESWNLFTDDTYQDLHNYTVARDTFLAHMGATLWGSMRHIISPSIADGAFHHYEKISFQLFFITQEKVRHIKYLPVDIKAIMDGLSSLLLPSQKPIFSQQMLPLSEDPALAMAFSVARRAAAVPMLLVNGTFRRNIRSYLDSSLLQHQLQRLSDHASLKGSHAQSRSTLEVPIFWFIHGEPLLVDKHYQAKALSDMVIVVQSESSSWESHLQCNGKSLLWDLRRPIKAAMAAVSEHIAGLLPLQLVYSQAHENAIEDWIWSVGCNPFSVTSQGWHISQFHSDTIARSYMLTTLEESIHQVNSAIHRLLMERTSEQSFKAFQVHERQLVDKYNYVVSRWKRISTVAGELRYVDALRLLTSLEEASKGFVEYVNSTISSMHQIHCTKQRKVKVELDLTTIPAFSVVLFVLWFVLKPRRPKAKVN >cds-PLY94751.1 pep primary_assembly:Lsat_Salinas_v7:2:180459615:180462194:-1 gene:gene-LSAT_2X103921 transcript:rna-gnl|WGS:NBSK|LSAT_2X103921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFLCVFTILAICSWATATIAESDIKYKDPKQPLGVRIRDLMNRMTLEEKIGQMTQIDSSLATLEVMRNFSIGSLLTTASTPSTQDPPEAWINMVNNFQRESLSSRLGIPLMDVELVKKIGAATALEIRATGINYAFAPCVAVCRDPRWGRCFESFGEDPNIVRAMTEFIPGLQGSIPSDGRLGVPFVSGQEKVASCAKHYVGDGGTTKGINANNTVIDFHGLLSIHMPPYFDAVRKGVTTIMISYSSLNGVKMHRNTPLITGFLKNTLKFRGFVISDFMGIDQLTNPPHANYTSSIQQSIMAGIDMAMIGSNYTEFIDGMTFLVKNNSIPMSRIDDAVRRILRVKFVMGLFENPFTDLSMAKYLGEQDHRDLAREAVRKSLVLLKNGKSVNQPMLPLPKKLTKILVAGTHANDIGYQCGGWTIEWAGKSGNTTSGTTILSAVKNIVDPTTQVLYVENPTPDFIKSNNFSYAIVVTGEFPYSESAGDSQNLTIPEPGPTTIMNVCVSVKCVVVLISGRPVVIEPYVPIMDALVAAWLPGTEGQGVADVLFGDYGFTGKLANTWFKTVDQLPMNVGDSHYDPLYPLGYGLTIEPTNQD >cds-PLY97813.1 pep primary_assembly:Lsat_Salinas_v7:9:2268506:2275186:1 gene:gene-LSAT_9X2560 transcript:rna-gnl|WGS:NBSK|LSAT_9X2560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTNKRIPLLLSALFLFAFSISSIFKATNNHHSIPLFTQNTPQHLHLHKRIQTQTVHTHCDGSLYYDLCLETLTTILPDLRSKSLPEIISATINQTVNDVQSTDFNVTAIRRKLRNLNALEIRALDDCHSLFVETVTELKSAISVLNRTPFTKHNDLQTLLSASMTNQATCLDGFAFSKSRNKIRRYFHKSLRDISHKVSNSLALLKKMNGTSKGKVEAFPEYGEMSGGYPRWVKKKDRELLQAAVNETVYDLVVAKDGSGNFTTIGDALNAAPNGSTTRFVIYIKAGAYYEYLDIDNRKTMVMLVGDGIGNTLIKGNRSVADGWTTFRSATVVAEGNNFIAKGITFENYAGIAKHQAVALRCDSDFSVFYQCSFVAYQDTLYVHSFRQFFRECNIYGTVDFIFGNAAVVFQKSNLYARQPDPKQKNIFTAQGRDDPNQNTGISILDCKIAAGSELIPNQTLFKSYLGRPWRQYSRTVILRSYIGDLIDPAGWSEWSSDIALTTLYYGEYMNRGPGSNTSARVSWPGYKVITNSTEADQFTVGNFIQGGEWLNATGVPYYLGLN >cds-PLY70507.1 pep primary_assembly:Lsat_Salinas_v7:1:71437374:71438774:1 gene:gene-LSAT_1X62060 transcript:rna-gnl|WGS:NBSK|LSAT_1X62060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTTQTDKTTEKPFSVLFVCLGNICRSPAAEGVFTDLVKKRDLSSKFIIDSAGTINYHEGGPADSRMRAASKRRGIEITSISRPIRPSDFKEFDLILAMDKQNKEDILAAVERWSFKEALPADAYKKVKLMCSYCKKHDETEVPDPYYGGAQGFEKVLDLLEDACESLLDTILAENRS >cds-PLY78056.1 pep primary_assembly:Lsat_Salinas_v7:4:353746293:353748625:1 gene:gene-LSAT_4X174121 transcript:rna-gnl|WGS:NBSK|LSAT_4X174121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAMTSSVAAKFAFFPPDPPSYRLVVDESSDSGKLKMTDVSERANVDVLKLKTKRGTEIVAAYVKNPVASLTVLYSHGNAADLGQMYDLFCELSVHLRVNLMGYDYTGYGQSSGKPTEQNTYADIEAAYRCLEETYGVKEEDVILYGQSVGSGPTLDLAARLSRLRAVVLHSPIMSGIRVMYPVKRTYWFDIYKNIDKIPLVKCPVLVIHGTKDDVVDFSHGKQLWEHCVVKYEPLWIKGGNHCDLELFPEYIRHLKKFILAVEKSTRLKNSSGSLTDNDIDCREKSRPSTSTEKSRPSVDQPEKGRNSIDRFGGMMKAAVLCNIDCFKPTGAMGVEGS >cds-PLY80499.1 pep primary_assembly:Lsat_Salinas_v7:2:136341153:136341707:1 gene:gene-LSAT_2X65440 transcript:rna-gnl|WGS:NBSK|LSAT_2X65440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGKRTQISPAGSPSSSNVSDGSSKDQERLLPIANVGRIMKKSLPANAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFENYVGSLKSYLNKYTRTSESPTDTDIGTGTSHDQVSNSTPTRLISQGFDDPHHGQIVGYGAELVNMVKLHDAKMVVSAHGQGFEW >cds-PLY64153.1 pep primary_assembly:Lsat_Salinas_v7:1:2800768:2801678:1 gene:gene-LSAT_1X2280 transcript:rna-gnl|WGS:NBSK|LSAT_1X2280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIASKGGGGGVVVANIRQPISSECCMCGDYGISQELFRCKICKFRSQHKYCSNQYPKAESYKACNWCLSQKHDSGNSSNSSSSCRNNSSDNRLDHALKNKRNPNDTTIGHGGLRERRRASDIQLPSPAPIKKLQGSSAEEESPVSTGRKRVGGVVAEEKHHVVLRKSKSANHISIGGGGGGGGGIKTRQVFRNKVRRYKLLDEVSSQ >cds-PLY76156.1 pep primary_assembly:Lsat_Salinas_v7:4:53153454:53155790:-1 gene:gene-LSAT_4X36401 transcript:rna-gnl|WGS:NBSK|LSAT_4X36401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKLGVEVASAHDLMPKDGQGSASPYVELHFDHQKFRTTIKEKDLNPYWNETFYFNISDPNNLSNLTLDAYVYNNIKGNNSISSSNSKSFMGKVHINGTSFVPYSDSVVFHYPLEKRSFLSRVKGELGLKVFIVDNPSIRSSNPIPQMEISSHGRKERTFHHLPNPNFQQQQQQPQFPSQQQPYMASMNQPQGQQYEYEDMRNINQSQSQNQIQSQPKVVRMYSGSSSQPLEYSIKETNPVLGGGQVIGGRVVNSDNRRASTYDLVEPMQFLFVRVVKAQDLPSKDVTGSLDPYVEVRIGNYKGITQHFSKTSNPEWNVVFAFSKERMQASVLDVVVKDKDMLKDDFVGIVRVNLHDIPTRVPPDSPLAPEWYRLEDKKGEKKKGELMLAVWIGTQADEAFPDAFLLDAAMSNVDSSVSSMFTRSKVYHSPRLWYVRVNILEVQDLVWGEKNRFPDVYVKAQINNQVLRTRPVQVRTVNALWDEEMMFVAAEPFEDHLVVSIEDRVGPGKDECLGKVYIPLNSVERRADDRIVHSKWFNLRDPGDYESDEKKMRKDKFATRLHLRVCLDGGYHVLDESTQYSSDLRPTAKQLWKPSIGILELGILNASALQPMKTREGKGTVDAYCVAKYGHKWVRTRTVVDNLAPRFNEQYTWEVYDPSTVLTVGVFDNAQLENGNSKDVRVGKIRIRISTLETGRVYTHSYPLLVLHPTGVKKMGEIHLAIRFSSTSMMNMMLMYSKPLLPKMHYVRPLTVVQLEMLRHQAVGIVXXXXXRAAT >cds-PLY93499.1 pep primary_assembly:Lsat_Salinas_v7:9:87072270:87075027:-1 gene:gene-LSAT_9X68561 transcript:rna-gnl|WGS:NBSK|LSAT_9X68561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECIISGLLSVDGLKLLHMDRNDYYGGESTSLNLNQFMMANGALVRVLIHTNVTKYLNFKAVDGSYVYNKGKVHKVPATDVEALKSPLMGLFEKHRARKFFIYVQDYDDNDPKSKEGLDLNKVPAKDVISKKYGLDDNTVDFIGHALALYRDDSYLDQSAIDFIKRVKLYAESLARFAGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFEDGKAIGVTSEGETAKCKKVVCDPSYLPDKVKAVGKVARAICIMSHPIPHTNDAHSTQVILPQKQLGRKSDICENARWYKFSKECLGRTGGL >cds-PLY63884.1 pep primary_assembly:Lsat_Salinas_v7:1:124708992:124710789:1 gene:gene-LSAT_1X93800 transcript:rna-gnl|WGS:NBSK|LSAT_1X93800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPVDHHPPPQSSAIPRPINKNWWLRMATHILCVLFGQTAATLLGRLYYNKGGKSVWLTSLVETVGFPVLIPFYFHFKPLTYHQSTQTNRRNPPSILIVSAIYISLGALQSLTSILYSVGLFYLPLSTFSLISTTQLAFNAFFAFFLNAQKLTPLIVNSLVLLTISTVLLVLQSDSEETQVGISRKRYLTLGFVCTVAASALYSLGLSLTQLSFQKVLKGETFTMVLNMIIYQSLVASIVTLLALFISGEWNDLQGEMVNFELGKMAYLVIMVCIAVAWQIATIGGVGLIFEVSSLFSNVISTLALPIIPILAVVIFREKMNGMKVIATVLAIWGFASYVYQHYLDESRPENGGEVADEASET >cds-PLY79303.1 pep primary_assembly:Lsat_Salinas_v7:4:370313953:370315116:1 gene:gene-LSAT_4X182040 transcript:rna-gnl|WGS:NBSK|LSAT_4X182040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSACLSLPRKFIYIDLYTSTCCSIYQCDSNIYVMIYTPQLVVCSIYQCDSNIYVIKLKNHRPTIWRIFKNKTVEEFSPDPYIACVMNCLLWIFYGLPINHPDSTLVITINATGLILELIYLSSFIIYGKSAHRKKIFSWLAAELVVLGAIAGFDLGFFHTHDKRSTFVGIFCVVFGILMYTSPLTIMWKVITTKSVEYMPFYLSLAAFLNGCCWTTYALLKWDWFILIANGTGALSGFAQLILYACFYRTTPKKNSKRPESEVQMA >cds-PLY94159.1 pep primary_assembly:Lsat_Salinas_v7:5:33646452:33649920:1 gene:gene-LSAT_5X14721 transcript:rna-gnl|WGS:NBSK|LSAT_5X14721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METFNTSETLIEGLVSSKQDGNVNLMEETAKSFDIGEENRDEPVSTSVAENGSGVDLSSHADVPMKGISLFVELTGDISHHLEEHRSSKRNQGEVTAAYKQCGVSVGDLVWAMIKKQSWWPGIVCEASSVQVRENGLLIRCFGNGNYIWCRPNELKPFIEHFQRFSNQRNSKNFFGAMDKALAEVGQRVKTEFTCSCFSNVVMKRRGNVDDLSLTRFEPVKFLEYIEDLSRNVCMPNKVDFSINMNFLSAFNHSLGHCQIPVHQLKPSWSPSKIKTEDENGFLIMEDDTEGSGGKSEKGYETRERRKSRFLSYPGEQRKEELKEDDNGGMELNKTNELPSKKKPRKKYTKKLVMKEDPLPVNICPSDVLSVLQSAAQDCGFPSESDKFDSVKRFVAGFRKWVFSDSTNGITTGIKTPESEKPKKVRKKKKQSGSLILDFQNANPVLVPQSMANQGVQNNTIYNFKDPQMTKPPLRKLLPKRSLNNMDNNTPIQAWNSNPTPLPNVNGHMNMNMNTNMNMNPYVFPSMEESNQAMYGFGSTQPWLINHGQPQVSKVNHEPKKRGRKRKHVEFQANDGLIVTPDLTKNGGEKKKGKRGKKKEETGVPCIDLSYNKVNQENTQVTGTAFLLKFSSNYPLPSTQVLNLVFSKYGELNESETFISTENLSGQVVFSDSSSAGGAFWGLQNDQPFGPALVNYRIQHLNNTDPIEFKTPIKSPVLSQGGQEGKFDTPGVIGPYMIPDLNGYANETKTKKMEEIRLPSMDLSYGNEASSGTALLLKFSPHHPLPSQQDLNLVFCKYGLNGSETRVMGQDLTGQVVFVNPLIVGEAVQKLEKERPFGESLVSYRVQHLYSVKPAIPLGIKKPVHVQQEGNNGNDLGVIRKNLEMMRVMLEKAGGGLSPEMRGKLESEIRGLMNKIKVSGMDGCSSSSL >cds-PLY62622.1 pep primary_assembly:Lsat_Salinas_v7:8:108578304:108578474:1 gene:gene-LSAT_8X75860 transcript:rna-gnl|WGS:NBSK|LSAT_8X75860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQHSKDQMHLTKTEWATEWGGAKSKELRTPFKCLPFYCCTYSRSSSFSFSSNF >cds-PLY80767.1 pep primary_assembly:Lsat_Salinas_v7:8:138862311:138864110:-1 gene:gene-LSAT_8X105080 transcript:rna-gnl|WGS:NBSK|LSAT_8X105080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSPSSEDHKQTPQSESENETTFKKSLQELKDLCSQLHHAADSYQSTFLNSNQKQLVVKNTKEYIQSALVTVVDHLGSVSANLDHHLSKTCSINQTEVKINFLNQKLLTCQDYSHKIALAKVSSRENLLRYNSRYIKPPVSNVLKLNQSFRESESRISAKDIKGNDEFKVDEEVPLFLYTCNSYKPLCSSSSIRVRDSLSVQPKSQSFQLQDKSKNRRGVLFMKSRPNNEILSVCGSRRI >cds-PLY75063.1 pep primary_assembly:Lsat_Salinas_v7:9:21866927:21867427:1 gene:gene-LSAT_9X19201 transcript:rna-gnl|WGS:NBSK|LSAT_9X19201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHNKEIGTLCWVSWSQLQSTSLDNVIQDGLPSAAERRWRYVAGGCSDSFLMLNFCLCSSEFHKKGWGEATTGGWRLCGWFIERTTMEWWRFCGSGWQPYCWIFLLLVVLNTEGKGKAVTGFQGCLSTCLIEKESKEESVAVYGGGAWSTKTVVSAGGVWAVGSGKV >cds-PLY78394.1 pep primary_assembly:Lsat_Salinas_v7:9:10158626:10162757:1 gene:gene-LSAT_9X7920 transcript:rna-gnl|WGS:NBSK|LSAT_9X7920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbamoyl-phosphate synthase large chain, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G29900) UniProtKB/Swiss-Prot;Acc:Q42601] MTVCFNPCENMLISSTNTAAAATATTSTSAFISSKPKSFPFSLFSLKKSKITHNLSAPSTRTQNACSIVCSSTKSTQPTETQKPNVGKRTDIKKIMILGAGPIVIGQACEFDYSGTQACKALRENGYEVVLINSNPATIMTDPDMADRTYIEPMTPELVEQVIAKERPDAILPTMGGQTALNLAVALAESGVLDKYNVELIGAKLEAIKKAEDRELFKEAMKNIGLKTPPSGIGTTLQECLQIANTIGEFPLIIRPAFTLGGSGGGIAYNKQEFETICKSGLAASVTSQVLVEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSIAIIREIGVECGGSNVQFAVNPEDGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYSLDQIPNDITMKTPASFEPSIDYIPRFAFEKFPGSQPVLTTQMKSVGESMAVGRTFQESFQKAVRSLETGYSGWGCAPIKELDWDWDKLKYSLRVPNPDRIHAVYAAMKRGMKVDAIHELSFIDKWFLTQLKELVDVEQYIMSKTLSQLDKDEFYEIKKRGFSDKQISFATKSTEKEVRVTRLSLGVAPAYKRVDTCAAEFEADTPYMYSSYDYECESSPTKRKKVLILGGGPNRIGQGIEFDYCCCHASFALQEAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVLNVIDMERPDGIIVQFGGQTPLKLALPIQNYLDEHKLPSSSNSGFVQIWGTSPDSIDAAEDRERFNLILNELKIEQPKGGIAKSEADALAIASEIGYPVVVRPSYVLGGRAMEIVYSDEKLIKYLETAVEVDPDRPVLVDRYLSDAIEIDIDSLADLHGNVVIGGIMEHIEQAGVHSGDSACMIPTKTVSAASLETIRNWTTKLAKRLNVCGLMNCQYAITNSGDVFLLEANPRASRTVPFVSKAIGRPLAKYASLVMSGISLPDLGFTKEVIPKHVSVKEAVLPFEKFQGCDVFLGPEMRSTGEVMGIDTDFSIAFAKSQIAAGQKLPVSGAVFLSLNDMTKPHLGKIAKAFLGIGFTIVSTSGTAHVLEMDGIPVERVLKLHEGRPHAGDMVANGQIQLMVITSSNDDLDQIDGRQLRRMALAYKIPIITTVSGALATCEAIKSMKTKKFKMVALQDYFAKQEEQPIGKSAAAISREL >cds-PLY86567.1 pep primary_assembly:Lsat_Salinas_v7:9:5268453:5269092:1 gene:gene-LSAT_9X2380 transcript:rna-gnl|WGS:NBSK|LSAT_9X2380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKIQTLTSENGVVIFSKSTCCLCYAVTILFQELGVEPLVHEMDHEPDGWEIEQALLAQGRNSPHVPAVFVGGKLIGSTNEVMSLHLSGALIPMLRPYQTLA >cds-PLY66656.1 pep primary_assembly:Lsat_Salinas_v7:1:53100830:53103349:1 gene:gene-LSAT_1X46240 transcript:rna-gnl|WGS:NBSK|LSAT_1X46240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQWKSPADAMPWVGLYVCVASLVCTLAMAADAFQGFRQWKLWFPCRFFTINAASITLIAIAMKLPVDLDTDMSDTVYMIAKSASIIFLVTMVANFLPSLGLMDDKELLMNMLAFGILIITISVNVWIQFSTTGPFTTPIQLLILILPLPWPFSVAMIVPASRRSLQHHYEKFHGLASDPQEINFSGKRLIRYVKKYWMMAETGNPQFVIACSPVSSAFGVICSLLACVSCLILVTLFNDLSNFQYGNSDYKWSINVIVTLQLIGAIIGSIAPIFRCLTAISHFNLSIKWSKNHINVFRVEKQWIERLQLWKHSHVNSHIAGRHCTKVFRNIRNIILNFCIALQISVVVICNTICLIPRSLLILFSLFCYFCKSLLKRFKEEPNVSNSNVILDVEEYSAYVIQIEADTKLSKRILRRTLKSIIRLLHEAEEKEPRNLTKLLKKSTGFYGVVEFNNDQVPPLHQEEMQNCWSLVTVTLTAIALALPKSTNCHVKGLLDCMREGLQFVRHIEESLNENGDLVKARKAARRVWTDVEVYCRWLQIDLQKKARKGKTSKEILKWLGDEAVKIVIHFKKRKNVSLDHSLRKFIAASSMYTISQTILLHCNKQENWPTDEELFEWISTIIADLLFACFTNLPHVITMKCHEDAIEKREESIRIAAQLLGRSRKILKMLKGRQLPNLDMESMGYIDKWHTLPKSQIPNGSVRFQPASSSSNESLVLTII >cds-PLY95143.1 pep primary_assembly:Lsat_Salinas_v7:1:93479193:93482814:1 gene:gene-LSAT_1X77120 transcript:rna-gnl|WGS:NBSK|LSAT_1X77120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPRPTHIITEVNVNAIERLPQPDALNMEIIPNLKDTEGVGNTIAITSSSATKSASEVQNDASTSSSTPANDNSTNAPTSLQYAREVASVRMPVLVGITAAVRKVQGNPYLDPCCEEHLQLQILTDTNNVNSMVDQVLYWKFHIYLQKLFNMDFVASRFAKHANYLNSY >cds-PLY77446.1 pep primary_assembly:Lsat_Salinas_v7:4:309827801:309828223:-1 gene:gene-LSAT_4X157680 transcript:rna-gnl|WGS:NBSK|LSAT_4X157680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVGARLKAPQNREKITEKEKELICRQGIKDPSLKVPRRKDVYFSKPVTISEPITQPKLHQTDPKDKGKQKIIFKSKKELAKEAQMEINEELARQLSAKELKSKQEMLLKRKSSDILTEERSTWHDEQFRMSSHKKNHLL >cds-PLY91957.1 pep primary_assembly:Lsat_Salinas_v7:2:20229576:20230952:-1 gene:gene-LSAT_2X11181 transcript:rna-gnl|WGS:NBSK|LSAT_2X11181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDQIPFHIQEEIVKRLPVKSLVQFRSVCNEWKSFIDSSKFIAAHSVIQPQHLLLRYEDPVKKTENYVCFVDDDTFPQQRFVHTLPLSVKLLIGSTIVGSSYGLLCFRGHCGKRKDLWTKLETETVVLWNPSIRKTITIPMPNMFNKDHETDLGFGVCPVTIDPKIVEITQFHKISYNCEAKVYTVNSGKWRSLSSNVPSKQFRVF >cds-PLY82804.1 pep primary_assembly:Lsat_Salinas_v7:1:85439586:85445159:1 gene:gene-LSAT_1X72861 transcript:rna-gnl|WGS:NBSK|LSAT_1X72861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione reductase, cytosolic [Source:Projected from Arabidopsis thaliana (AT3G24170) UniProtKB/Swiss-Prot;Acc:P48641] MSRKMLIDGDLDNLSGENDYDFDLFVIGAGSGGVRASRFSAQYGAKVGICELPFHPVSSETIGGVGGTCVIRGCVPKKILVYGASFGPEIQDAKEYGWKVNDNIDFDWKKLLHKKTEEIVRLNGIYKRLLSNAGVKLFEGEGRIVGPNEVEVIQLDGTKLSYSAKHILIATGSRAQRPNIPGQELAITSDEALSLEELPKRVVILGGGYIAVEFASIWRGMGSTVNLIFRKELPLRGFDDEMRALVARNLEGRGIILHPQTNITQLVKTEDGIKVTTDHGEELMADVVLFATGRVPNTKRLNLQSVGVEVDKTGAVKVDEFSRTNVPSIWAIGDVTNRMNLTPVALMEGTLFAKTVFGNEPSKPDYSNIPCAVFCIPPLSVVGLSEEQAIEKGEGDILIFTSTFNPMKNSISGRQEKTLMKLIVSAETDKVIGASMCGPDAAEIMQGIAVAMKCGATKAQFDSTVGIHPSSAEEFVTMRSVTRRIAASGKPKTNL >cds-PLY66782.1 pep primary_assembly:Lsat_Salinas_v7:3:65846427:65849822:-1 gene:gene-LSAT_3X51160 transcript:rna-gnl|WGS:NBSK|LSAT_3X51160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTMMEDCRWCWQRRNTEAVSIATRMRIFVAQKRSDLAQDRWRWATGSSKINSKISSSSGLSSITVSTFSGGSSIDGVYTPKSEGEILSSPNVKPFSFMELKNATRNFKVDSLLGEGGFGYVFKGWIDEFTHIASKPGSGTVIAVKKLKPESFQGHKEWLTEVTYLGQLHHPNLVKLIGYCSEGENRLLVYEYMPKGSLENHLFRRGPQPLPWATRLKVAIGAAKGLAFLHDAKDQVIYRDFKASNILLDAEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTHGYAAPEYIATGRLTTKSDVYSFGVVLLELLTGRRAVDKSKIGVEQDLIEWTKPYLGDKRRLFRIMDTGLEGQYPQKSVYTAAMIALQCLSIEPKTRPRMSEVVVNLEELQSAKNVSKDNHRKSSSPVALKSPAGHRKRRSTPSGSPLPTPRGSNNRV >cds-PLY66355.1 pep primary_assembly:Lsat_Salinas_v7:5:289096640:289097480:-1 gene:gene-LSAT_5X152741 transcript:rna-gnl|WGS:NBSK|LSAT_5X152741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLCKPYIALASHTQSRSIAYISGSIFRCTTLESSLASAASSKPSLLRLYSFATNFTICLSKSNFDRITYNTSAAFAYF >cds-PLY62626.1 pep primary_assembly:Lsat_Salinas_v7:8:108074721:108076338:1 gene:gene-LSAT_8X73140 transcript:rna-gnl|WGS:NBSK|LSAT_8X73140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSQLISHFTPIVLLYLLLHSTFISAVNITHLLSPYPDLSDFSDLLSSTTVAADLTQRTSLTLLAVPNSFLRSSDLIRRSPSSTNIADVIRYHVLLQYLSVHDLSRFPPSGKLITTLFQTTGRASNNFGSVNITYDDKTDTTTVRSPAAYSQAPNNATVISLIKNLPYNVSIFSVNSLIVPYGFDLLASESRPPLGLNISKALIDGHNFNVAASMLAASGVVQEFESDEGGAGITLFVPTDDAFSDLPASANFQSLPADKKADVLRFHVLHSYYPLGSLQSIVNPVQPTLATEDKGAGSFTLNISRVNGSVAINTGIIQASVTQTVFDQNPVAIFGISRVLLPKEIFGKNSVLEKSPPISGAPAPVMPINLSPELFGPSSPPGEMHSSATVRTVNFAVLCIGLLYVFV >cds-PLY86375.1 pep primary_assembly:Lsat_Salinas_v7:8:28513704:28516351:1 gene:gene-LSAT_8X23160 transcript:rna-gnl|WGS:NBSK|LSAT_8X23160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTTYSGLFHGRRAPSFKSPSSNILPPSKTIGGLSTLFSHDLSPEQKKCEIKKLSVQASSGTISTAVESAPSMARPKVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTEKVLQQVMKQLLKLVNVLKVEDISKEPQVERELMLIKINADPSYRLEVKWLVDIFRARIVDISQDSLTIEVTGDPGKMVAVQRNLSKFGIREVARTGKIALRREKMGESAPFWRFSAASYPDLETPLQTKTKTEYLDTDTSVGGDVYPVESNDDYAFNQVLDAHWGVLNEEDTTGLRSHTLSMIVNDSPGVLNIVTGVFARRGYNIQSLAVGHAEVEGRSRITTVVPGTDESISKLVQQLYKLIEVHDVIDLTSMPFAERELMLIKVAVNSTARRNVLDIASIFRAKPVDVSDHTITLEVTGDINKMVALQRLLEPCGICEVARTGRVALTRESGVDSSYLRGYSYPV >cds-PLY69875.1 pep primary_assembly:Lsat_Salinas_v7:6:3531357:3534531:-1 gene:gene-LSAT_6X1661 transcript:rna-gnl|WGS:NBSK|LSAT_6X1661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCVISHLSAKFAFFPPSPPTYQIKKRDDGKLTAIYTSSSMPLTSAVGAVDDGGGGGGGGGCSFDVLSLRTRRGNKIVAFYLKNPYAKLTLLYSHGNAADLGQLFDLFVQLKANLRVNLMGYDYSGYGASTGKASELNTYADIEAVYECLQTEYGVSQEDLILYGQSVGSGPTLHLASRLPRLRGVVLHSAILSGLRVVCHVNCMFCVDIYKNVNKIRKVKSPTLVIHGTEDDVVNWLHGNRLWKMAKDPYEPLWIKGGGHCNLELYPDYIRHLYKFIYEMEIMTTKTRLSKIKLSKKTSSACGCCSSCIKVRQPSCPKCPKPRCPECCGLPTCCLNWNSCCCGWVIPKCPDVKLRKCPSCLGCGCFTCLGKCVRCSCW >cds-PLY92329.1 pep primary_assembly:Lsat_Salinas_v7:9:179423808:179426258:1 gene:gene-LSAT_9X111061 transcript:rna-gnl|WGS:NBSK|LSAT_9X111061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSFINSKNCSPTANSPLIKQSSIYALTFDELQNTLGGSGKDFGSMNMDELLKNIGTAEEIQSMTSNFNLDINMALQKQESLTLSRTISQKTVDEVWRDLVKENDECKDADPVKEPSFHPQEKQPTFGEMTLEVFLKRAGAVSENNQTQGYKNMIQNQGFHQEEFFPENRNEVDILQDATSSKLSKPQKVLPKPASFSSTSSINLVNNAQMGSRENGVSINGMTDLPIKTGYPLDLYQNGNLDTSPSPPPCYGGGHKRKKSDTFERKLERRQKRMINNRESAARSRARKQAYTMELEAEIAHLKEELQKKQEEIIVLQNFQISENMKLCGGGKLCLRRTKTGPW >cds-PLY83793.1 pep primary_assembly:Lsat_Salinas_v7:3:51305329:51306970:-1 gene:gene-LSAT_3X40680 transcript:rna-gnl|WGS:NBSK|LSAT_3X40680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGQIPLLTPYKMANFQLAHRIVLAPLTRFRSYNSIPQSHAILYYSQRTTKGGFLIAEATIISSAAQGFKNVPGIWSKEHIDAWKPIVDAVHAKGGIFFCQLWHPGRVSDQSFEPNAGLSPVSSTDKKCSPLIESNGQVLAEFLPPRRLNTSEISIIANDFRIAARNAMEAGFDGVEIHGAHGYLIDQFLKDEINDRSDEYGGSIENRCRFALEVVENIVNEIGANRVGIRLSPFSNYMDSGDSNPEALGLYMAKSLNKYGILYCHMVEPRMEAMEERSECTVSLEPMRDAFNGVFIAAGGYEKEDGNDAIAQNRADLVAYGRLFLANPDLPKRFELDAPLNKHNRDTFYTEDPILGYTDYPFLETIV >cds-PLY89469.1 pep primary_assembly:Lsat_Salinas_v7:8:91947191:91948684:1 gene:gene-LSAT_8X64781 transcript:rna-gnl|WGS:NBSK|LSAT_8X64781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKTNFDNYPKGTKFMSLLEDFLGRGIFNSDGEAWRAQRKTASYEFNTRSLRNFVMETAAVELHTRFVPVLERAADVNKVIDLQDLLERYSFDNICKVAFNVDPGCLAGDGTSGSEFMKAFEEAATLSSGRFMYILPGLYKIKKLLNFGSEFKLQKSIATVHKFADDIIKSRMEERKEETDEDLLSRFMGNSEYSPEFLRDIVISFILAGRDTTSSALTWLFWILSSHPKVEQKILDELKAIRLSSGESRQDFYSFDDLRQMHYLHATISEGLRLFPPVPVDTKSSLKNDIMPDGTFVAEGWFVTYNTYAMGRMKSVWGEDCCEFRPERWLEEEENGGGIMVYRPENPFKFPVFHAGPRICLGKEMAYTQMKLVAATIIERFQVEVVKVVEEKNPPEHVLSLTMRMKDGLKVRIKKR >cds-PLY93148.1 pep primary_assembly:Lsat_Salinas_v7:9:3368686:3371324:-1 gene:gene-LSAT_9X4720 transcript:rna-gnl|WGS:NBSK|LSAT_9X4720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLGLIPLIVYLYFFSNSLVDTVAQPAFVGPPPLALNCGSKDGGSDANNRKWESDAKYLVAADKSVSSTALTQEASLSSDVPYMTARIFNGETSYKFNVNSTSRYIIRLHFYPSNYPDYNISNSYFVVTIGAITLLRNFSAFITAEALSQAYLIREYSLAAFNSDSITLTFKPGVDKSFAFVNGIELITEPELFDDQAGLVGVADTAGTIDGVSSNMQNMFRLNVGGQFIPPTNDSGGLMRSWYDDTPYMFGAGTISHVGNITIDYKDLPEATAPADVYRTARSQGPDPNINKQSNVTWVFQVDANFTYLVRFHFCEYHLDKINQRVFEIKLNNETAFNTADIIAWTGGKGIPTRKDYAVYIGNKPGIDAELWVTMHTNIDLKPEFYDILLNGLEVFKLSDSQSNLAGRNPVPSKMMQKQLIENRAAHDPNRKSRKQVIVGGAVGGAATVGVAAAVLFMIRKRRRRVSGSDPGATSWLPVYGNSASKSTTSGKSHGSSSLSTDAVCNCRYFSLMEIKRATNNFDESKVVGVGGFGKVYKGVVDGNIMVAVKRSNPSSEQGVNEFVTEIEMLSKLRHRHLVSLIGFCEEGNEMVLVYDYMGKGTLREHLYKGNKITLSWKQRLDILIGAAKGLHYLHTGAKYTIIHRDVKTTNILCDDKWVAKVSDFGLSKTGPNMTQNHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPSLPKEQVSLADYALQSARKGTLEEMVDPQLKGKIKPEAFKKFTDCSLKCLSDHGLERPSMGDVLWTLESVHRIETKAEETRNSPKSPSSSIGMVEVPIDNTDMIAMHLNTLNLDNDDDDDYDDPEDDAAIFSQIINPKGR >cds-PLY84679.1 pep primary_assembly:Lsat_Salinas_v7:2:150703694:150705830:-1 gene:gene-LSAT_2X78720 transcript:rna-gnl|WGS:NBSK|LSAT_2X78720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTMDHQSSCVPPGFRFHPTDEELVGYYLRKKVVSQKIDLDVIRDVDLYRIEPWDLIEKCRIGHEEQNEWYFFSYKDKKYPTGTRTNRATVAGFWKATGRDKAVYEKLRLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESEENGPPKEEGWVVCRAFKKRATGQSRTTKGWEPNYFYDESSCITSTMDNCITNQPSNFLLTQSFMCKQELEAAENLNFGHCDQFMELPQLQIPSILSMKRPISLISEHNQEDDQNIGRNTNNNDNNDTNEVRDWRDLDKFVASQLSQEENIRCVGEGFSASLEGNNGSDLNFLFLQGDTEDGGGGGSGGGIRRKLNGLLGSTSSDHFDIGICIFDKL >cds-PLY79567.1 pep primary_assembly:Lsat_Salinas_v7:8:118534644:118534862:-1 gene:gene-LSAT_8X81780 transcript:rna-gnl|WGS:NBSK|LSAT_8X81780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSHQGPPIATTELAAKEFRQPDTDSEATGKPPGTTGTSPLPPPIYPPTIADQLTTLSFSFTLVKLVGKGK >cds-PLY87563.1 pep primary_assembly:Lsat_Salinas_v7:3:165798822:165800986:-1 gene:gene-LSAT_3X103200 transcript:rna-gnl|WGS:NBSK|LSAT_3X103200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPKGVGLGASLLVPSVQELAKEPVTKVPARYVRPDQDPPVVSSLLSSLPKVPIIDMEKLSCEDSADDELEKLHLACKEWGFFQIINHGVSLPLVERMKKETQEFFKLSMDEKKKFWQTSEDLEGFGQAFVVSNEQKLDWADIFYLVTLPENIRKPHLFPNLPLPFRDTLHAYSKELKNVALKTLCFIANALKMESTDMITLFKEGMQAMRMNYYPPCPQPEQVIGLTPHSDAVGITILLQLNEVEGLQIKKDGIWIPIKPLPNAFIVNIGDILEIVTNGQYKSIEHRAIVNSEKERLSIATFLNPNLDGDLGPAPSLITPDTPPKFKRVSVVDFFKDLFSKELNRKTFIERYYI >cds-PLY88586.1 pep primary_assembly:Lsat_Salinas_v7:7:8171135:8171597:-1 gene:gene-LSAT_7X7741 transcript:rna-gnl|WGS:NBSK|LSAT_7X7741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELCPTCGMLLKYELPNLHLPTRFFYTTCPYVSQIEQKVKIKRRQRLVKKEIDPIIIHDDMKNAPKTHQGSLPRLWPQQGCIHPVSNKVS >cds-PLY66185.1 pep primary_assembly:Lsat_Salinas_v7:1:204620893:204622321:1 gene:gene-LSAT_1X128240 transcript:rna-gnl|WGS:NBSK|LSAT_1X128240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTISRRTLKICCAVTTVLLIIIIVTAVTLYYTLFKPKQPIITTQEVTLNSFTINVFDVTDTNITLGVTVTVKNPNYGGFKYEKSTTYMSYRGDVMAEAPLLEDSIPARGQRNISTTVLVVGKKLITNSNFSKDMESLASLISNILNLKFEVGSTFALVATSGGGNMFENRKKEFDNGLSGEEKAVGVVRQDTF >cds-PLY72024.1 pep primary_assembly:Lsat_Salinas_v7:8:114982389:114984583:1 gene:gene-LSAT_8X79241 transcript:rna-gnl|WGS:NBSK|LSAT_8X79241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAIKIGMLHYVLDHVYGAFMHRTKLSTPFFSRGWGGTKLDLLERMIRQIFPVVEGQNWPPRLIQPVWKTVWETKSACLKEGVFRTPCDEQLINALPPESHIARVAFLAPKFVPTHKMACVVHLAGTGDHSFERRLRLGGPLLKENIATMVLESPFYGQRRPFLQSGSKLLCVSDLLLLGRATIEEARSLLHWLDSEAGFGKMGVCGLSMGGVHAAMVGSLHPTPIATFPFLSPHSAVVAFCEGVLKHATAWEALRDDLSVHNAGMTLDEVRERMRNVLSLTDVTRFPIPKNPDAVILVAATDDGYIPKHSVLEVQRAWPGSEVRWVTGGHVSSFILHNGEFRRAIRDGLNRLQWKESPL >cds-PLY75781.1 pep primary_assembly:Lsat_Salinas_v7:3:69162662:69164107:-1 gene:gene-LSAT_3X55960 transcript:rna-gnl|WGS:NBSK|LSAT_3X55960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGGVNKKSGGGKEEEQDGLSVHSPCKAPNSSASSLRKEQSQVDLELKLLEALEIYPPVKLRGIHRHFVLYGLTEYMRRSFNRQFSASDVLQMLDRFYNLEMLKADDEEIGILNQVEEFSLPPSYFTKEES >cds-PLY98294.1 pep primary_assembly:Lsat_Salinas_v7:7:173589970:173591449:-1 gene:gene-LSAT_7X103220 transcript:rna-gnl|WGS:NBSK|LSAT_7X103220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRHVMHALALTVTHECLDQVALRYHPVEEDNPDFPGATTTIDRPPSGKIGFYLYYFEAGWYEPSLDSYGCNSCILPFLLSSFVEGRISTWDLGSDDFEPASIDESLNNDDEDEDSNVDEKEEGEEEYESSLRFCDSYDTDNVVTERTPNMSTPRVVVNDDNEDGDGEVTKEGLVLRKRDRGSVASAAFAVALSGREGSSGSRVVKRSSSLDPGAFQSFVDSLGVTRPASYFEKQNMDSPPRDVDVPHPFGRVYVPQWEYRRDQSLLDPKAAMSFFENFASPCTKLSLGKRNREYTWDLFKMKLVDNMVFGHEVMSWIEEK >cds-PLY71875.1 pep primary_assembly:Lsat_Salinas_v7:7:120903294:120904541:1 gene:gene-LSAT_7X74040 transcript:rna-gnl|WGS:NBSK|LSAT_7X74040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRLNMLPEDCVSTIVSLTSAADACRLMLASSSLQSAAESDMVWSRFLSFDHSAILSRAHSQINFSSKKELYFKLCDSVLIDGGIRIFSLNKISGKKCFVLSARALSISLSDEPNHWTWTTRSSSRFSEVIELKSISNIEIEGRINTQDLSPNTTYGTYLIIQVSDRAFGLDSVASEISVSMNECSVSNTAYLCPLDERKKQLESLLFLNRRRMMEKLVVEGEGRRPNKREDGWMEIELGEFFVGEKSEEVKMNLMEVKGHQLKGGLIIEGIEVRPKC >cds-PLY63467.1 pep primary_assembly:Lsat_Salinas_v7:7:147440658:147445118:1 gene:gene-LSAT_7X87300 transcript:rna-gnl|WGS:NBSK|LSAT_7X87300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELKSGLSAFVTGGASGIGRALCVALAKKGIFVTVVDTSVEKGEEVASLSQKENLKFHSGSLKFPSAIFVRCDVTNQGEVGAAFEKHVEVYGGLDICINCADIETSVPFYEDQTDGSKSWRHTFDVNLLAVIDCTQKAIKIMEAAKKPGVVINLGSAYPMISDPIYSSSKGGVVMFTRSLSSYKEKGIRVNVLCPEINRAEKAKHVGFQFLNLNRSSTSMDTIIQGAFQLITDESKAGSCLLITNNGGLEYMPTSSQEAKNLAVIPSRLKNTVSSVVNSTVEIPHSFEQLIIHTLSRNFRNATRTVRAPLKLPIKADHVLLKIIYAGVNAGDVEYSNNGYLSGTKEEISSKLPFYPGLEAVGIIALVGDEVKNLKVGTPAAILNIGAYSEFTMVPSELIIPVESPYPEVVAMLVSGLTASVALEAAHMESGETVLVTAAAGGTGQFAVQLAKLAGNKVVATCGSKDKAIFLRDLGVDRVINYKDESVKDVLKKEFPKGVDIVYESVGGDMFDSCFDALATFGRMLVIGTTSQYKEGIGHEPRNYPGICDILHQQSKTVIGFNLGHYQHVWKRHLDNMVHLFSMGKLKVGIDPKSFVGVQSVVDAVEYIHSGKSIGKVVVCMDPSFNQKVAN >cds-PLY79473.1 pep primary_assembly:Lsat_Salinas_v7:3:248183112:248183561:1 gene:gene-LSAT_3X136860 transcript:rna-gnl|WGS:NBSK|LSAT_3X136860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQTTILFLVLFIILISNAQSRDKIVTSKQHVKKRSLLVSGNITQAQMTISSFEKGGDSGRPSDCDGKYHSNSELIVALSSRWYNKGEGCFFVIKIYYHDKSVKAKVIDECRSKNCPDNIVVASTAVWEALQVPQSEWGEAVVTFSVPV >cds-PLY97329.1 pep primary_assembly:Lsat_Salinas_v7:4:287062297:287068032:-1 gene:gene-LSAT_4X144881 transcript:rna-gnl|WGS:NBSK|LSAT_4X144881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSSSKISGSNSNAPSTTATTTTTTTSNAVVNQHPHRRTATTAANKHDGGGSNWNPHKTKENSRNQQQGSNNKNKMKNKATSRRQNGVISCGKRTDFGYLKDFDQRYSIGKLLGHGQFGYTYVATDKANGDRVAVKKIDKNKMILPIAVEDVKREVRILQALSGHENVVQFYNAFEDDSYVYIAMELCEGGELLDRILSKKDSRYTEKDAAIVVRQMLKVAAQCHLHGLVHRDMKPENFLFKSPKEDSHLKATDFGLSDFIRPGKKFTDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRRKPWPTISTSARDFVKKLLVKDPRARLTAAQALSHPWVREGGNASGIPLDISVLSNMRQFVRYSRLKQFALRALASTLDEEELSDLKDQFHAIDIDKSGAISLEEMRQALAKDLPWKMKESRVLEILEAIDSNTDGLVDFTEFVAATLHVHQLEEHNSEKWQQLSQAAFEKFDVDKDGYITPEELKMYTGLRGSIDPILEEADIDKDGKISLSEFRRLLRTASLSSNNIPSPAPHRGLRKI >cds-PLY91963.1 pep primary_assembly:Lsat_Salinas_v7:7:125339250:125340090:-1 gene:gene-LSAT_7X76181 transcript:rna-gnl|WGS:NBSK|LSAT_7X76181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNLPQPVVWEQWWVLNRHDRDREENSEPRYWWLLDRPWSISPVSVSDATFTMGYASVSDYNTQAFQPKQFVSAQRVIASIMSLPDSLTAERLLLNLN >cds-PLY94121.1 pep primary_assembly:Lsat_Salinas_v7:8:29748253:29749949:-1 gene:gene-LSAT_8X24101 transcript:rna-gnl|WGS:NBSK|LSAT_8X24101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVGLSILIGLKAAILFTMFVYLRTLGFTLLSVPFLYASLVSLLVSLASHPLINLPMLLGKNTDGSFPIWSLLMFSPYLYFVRGFSALRRLKSREAPYSEVSKGLYVGGWPSSPEKMPPGNPAVIDCTCELPRVSGLSKNGYLCVPTWDTRSPDPGAMESAIRWALRKRTQNTPIFIHCAYGHGRSVALMCALLVGLGVVDDWKNGEKLIREKRPYIRMNALHRKALEEWSMNRLSPPKNGSNARY >cds-PLY63871.1 pep primary_assembly:Lsat_Salinas_v7:1:124685932:124687233:-1 gene:gene-LSAT_1X93761 transcript:rna-gnl|WGS:NBSK|LSAT_1X93761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKSRKVSGRGEATGGAAHYAFGALDDDIIIKHRLLTRTTTTRGEPPLKKLQKKFTSFALETEKDSENYAECERLAKAFLQELKTFELPLVKTKAVIDANVREKENFNELNDKINRKIVEAHDDIEDLKKQLEESKVERKHKEECETIRKLIAAQPPRSQTQKLINDLEKEIASLEAENTASSRTLELRKKQFALLLHVVDELQNTIEEEQKSMMEEMRMAIYENKNIVEDATVSVTGGSSETPMAID >cds-PLY71456.1 pep primary_assembly:Lsat_Salinas_v7:7:191580585:191581912:-1 gene:gene-LSAT_7X116481 transcript:rna-gnl|WGS:NBSK|LSAT_7X116481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSSFRLFFPKFPTSSPIFKSLIPPTFLLSPPKNLVPIKTITIQSIPTRLSPPNSTSPSSSDQNTLQPIEELPKKLQEIVNLFQSVQDPRAKYEQLLFYGKKLQPLEDQFKTNENKVIGCVSQVWLRAYFDSSNKNVIFEADSDSVLTKGLAALLVQGLSGHPVQEILRVSPDFVVHLGLQQSLTPSRNNGFLNMLKLMQKKALTLYVEAEKGIESSTQIQTPIKELESDSKIEKPVENSNIDESKSDGDSKSENPNGVLGSRGQRISEILKRELKPIELEVKDVSYQHAGHAGVRGSNGETHFNLKVVSKEFEGKSMVKRHRLIYSLLEEELQSGLHALSIEAKTPN >cds-PLY81728.1 pep primary_assembly:Lsat_Salinas_v7:3:33990362:33992468:-1 gene:gene-LSAT_3X26341 transcript:rna-gnl|WGS:NBSK|LSAT_3X26341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTKQMSLIVGALGVLSFIFGVIAENKKPASGTPITGKDVVICKYPADPTVALGYLSFGFLVASTLAGGLSLFYPYKGKSIPWPALFQSTSFFVFFLIALGSTGLAATMLLWPTITEHKHLVSNVHYNLETSCPTAKTGLLGGGAFLALDSALFWLVSLMLADNAREDYFDDVKGADGVTNDYDDADAVIKGSA >cds-PLY61750.1 pep primary_assembly:Lsat_Salinas_v7:5:218705036:218705815:1 gene:gene-LSAT_5X100601 transcript:rna-gnl|WGS:NBSK|LSAT_5X100601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTGKLDVEVKVKSESEKFWKSIKDDVTILPKVCSKIYEKIEVVEGDGWSLGSVREIHYGEGMPFGKSRKEKIEEIDESKKKVVYSVIGGDIMEHYKTFKFSIEVIPEGEGSLVKWQCEYEKTSDEVPDPITGRDTAAENIKDIDAYLLKA >cds-PLY70901.1 pep primary_assembly:Lsat_Salinas_v7:9:16071459:16072434:1 gene:gene-LSAT_9X17520 transcript:rna-gnl|WGS:NBSK|LSAT_9X17520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMFYHSIMKINSKERRHLSFLMLMLVCFEVHDTKAQEDPLYKEIPGGVSVTANQMHRRMPLQLVNKKNLRIGVPPEFESNRVFQVKHDAETNSIVISGFCADVFQTAFSALDLNVSLQFIPFMDAYRNYNNLIHQVYTGEFDAAVGDITITANRSLYVDFTLPYTDLGLGTLSRNTDASMWIFMKPLSSDLWLVSACFIILLGFVIWILEHRTNEEFQGSPSEQIGTTLWFAFSTLVYAHSKLPRLILV >cds-PLY83134.1 pep primary_assembly:Lsat_Salinas_v7:3:94591239:94593148:-1 gene:gene-LSAT_3X70760 transcript:rna-gnl|WGS:NBSK|LSAT_3X70760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIIFITAEKKTELRKRKAISSKSKDQQLDENEEDSDSETKEIKKRKKKIIKKHFDTIRNRKSKHQPIELHNKQDENRKHRLVFLSGASNFNGPSAYLVIRVKIIKKKNGNDHVQNDNTDDDDDENRDDNDQSKKKNAGGKNIEGAYMILKK >cds-PLY88981.1 pep primary_assembly:Lsat_Salinas_v7:8:130285680:130293304:-1 gene:gene-LSAT_8X90261 transcript:rna-gnl|WGS:NBSK|LSAT_8X90261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEALEMARAKDTKERMAGVERLHELLEASRKSLSSSEVTSLVDCCLDLLKDNNFRVSQGGLQALDSAAVLSGEHLKLHFNALVPATVERLGDAKQPVRDAARRLLLTLMQVSSPTLIVERAGSNAWTHKSWRVREEFARTVTSAIGLFASTELPLQRAILPPILQMLYDPNPGVREAAILCIEEMYAQIGPQFREELHRHQLPSSMVKDINARLERIEPKRPSEIRVNTYPPTGAKSSNHNPKRSSPKAKASSREVSLFGGDSDVTEKPVDPIKVYSEKELIREFEKAASILVPEKDWSVRIGAMQRLEGLVIGGAIDYPCFRGLLKQLVGPLSTQLADRRSSIVKQACHLLNFLSKELLGDFESCAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVARILPRVADTAKHDRSAILRARCCEYALLILEYWADAPEIQRSADLYEDLIKCCVGDAMSEVRATARACYRMFSKTWPDRSRRLFSLFDPVIQRIINDEDGGMHRRHASPVVRERTPQTSSHNNSTSTLSGYGTSAIVAMDRNASLPSAPSPSLSSSLFSSQPKSMSKAPERSLESVLHASKQKVTAIESMLRGLDSGRVRSSSLDLGVDPPSSRDPPYPLAAPASNSLATSLSLDSTSGISKGNNRNGGLGLSDIITQIQASRDSSKHPYRSGNNTTNTHENFSSLSSYTTRRGSERSSFEDNNDIREARRSVNSHHTDTRHYSEYSHNTSHVPNFQRPLSRKNIPGRMASNRRRSFDESQFSPGEMSSYTDGPSSLNDALSSGLNSSSDWNARVAAFNYLHSLLQQGPKGVQEVTQSFEKVMKLFFQHLDDPHHKVAQAALTTLADIIPACRKPFESYMERILPHVFSRLIDPKELVRQPCSTTLEIVGKSYGVDSLLPALLRSLDEQRSPKAKLAVIEFAIIAFNKHAGNSEGAGNSGILKLWLAKLAPLVYDKNTKLKEAATTCIISVYSHFDSTSVLNYILSLSVEEQNSLRRALKQHTPRIEVDLMNYLQQKKDRSQRAKSYDPYDAVGTSSEEGYVQVTSTKKTPLFARYSGSSIDSSDGGKKWGSGSGLEASTQIVRHDGSDDNLYQSLDSNNGNALSSKDAKYVASSMMSESLDMEAASTPRFNGRQVDIEGPTDLELNVTKLAALKINSSPDTRPSIPQILHLISNGTDECSTTTKRTALQQLVDASVANDSSVWTKYFNQILMVILEVLEDTDPSIRELALLLISEMLKNQKDGMEDSIEIVAEKLLHLTKDTIPKVSNEAEHCLSVVFSQYDPFRCLSVIVPLLVTEDEKTLVACINCLTKLVGRLSQEELMGQLDSFLPALFDAFGNQSADVRKTVVFCLVDIYIMLGKGFLPYLEGLNSTQLRLVTIYANRISQARTGAPIQD >cds-PLY92479.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:1488934:1493370:-1 gene:gene-LSAT_6X23441 transcript:rna-gnl|WGS:NBSK|LSAT_6X23441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASMNNWLGFSLSPQDQQQMVDSQTSIVDNFNSDDISGTGSECFDLGSTAVHSTIPSLNLHPPYGILQPYHNHHDWNMKGSSELSVLMAGGGSCNGENSEIQPPKFENFLSVGNGGDYMYSTMQLPPQAAVTEVTTTTHDTTRGSNNMNNNSSIGLSMIKNWLRNNPTPSNQNTTTTRSPLNQENDSGTGTAVTGGFTGCGRSNELSLSMSTGDTGGFVVSGGGDSSSSDNKRQQMEVATTIGSESGGVTTEAVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQVRKGRQVYLGGYDKEDKAARAYDLAALKYWGTTTTTNFPISNYEKEIEEMKHMTRQEYVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDIAAIKFRGLNAVTNFEINRYDVKCILESTTLPVGGAAKRLKDAEQAAATELLRAAKDDHLTTQLTHEGLNSYGTMTWPSSINYHHQALAQAQAQPFSMHYPQHYNVSNQQRLWCKQEQQEPDGQSFQDLQQIHQFFQPAPNSSVLHNLMNLDSSSMEHSYSSGGGGDHQVVGYGGGGYMNQIMATATTADSSHDGDGNLYYQHQGSGFGGWVPTAIPTLPARTANLVVANGGAPTFTVWNDT >cds-PLY65999.1 pep primary_assembly:Lsat_Salinas_v7:4:141489472:141490804:1 gene:gene-LSAT_4X88261 transcript:rna-gnl|WGS:NBSK|LSAT_4X88261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPALKEGSRPPWVGLAAAVWVQVASGNSYAFPLYSPSLKSVLGLSQQQLTVLGVANDFGENFGIIAGIASNRYQAWVVLLIGVLACFFGYGVIWLAVTETLHNLPYWFACVIHNDVAEDVV >cds-PLY99280.1 pep primary_assembly:Lsat_Salinas_v7:3:93610179:93613342:1 gene:gene-LSAT_3X70280 transcript:rna-gnl|WGS:NBSK|LSAT_3X70280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYKILNKSKPWLLRRPTPATSIQFQTNPTHLHRHRSRQYSQLSQLSEPTDDLQQESWRSFEGLVRCSANYVPLTPISFLERSADVYRDRTSVIYGSLRYTWEETHRRCVKLASALTQLGISRGDVVSTLAPNVPAMQELHFAVPMAGAILCTLNTRHDSTMLATLLTHSETKILVVDHQLLNIALPAINLLKNPPLLVIISDDHLPPPSDLTTTAYEYESLVEGGVTGFSVVRPTDEWDPISVNYTSGTTSRPKGVVYHHRGAYLNSIATVFMHGMGAMPTYLWSVPMFHCNGWCLAWGLAAVGGTNICLRRCDPKDIFDNIDLHKVTHMGGAPTVLNMIINCPEADRKPLPHKVDIMTGGAPPPPLILSKMEELGFRVSHLYGLTETFGPGTSCSWKPEWDLLPVEERLKLKTRQGVRHLGLEGVDVKDPVTMESVKSDGKSMGEIMFQGNTIMSGYLKDKKATEEAFSGGWFRSGDLAVKHPDGYIEVKDRSKDIIISGGENISTVEVETVIYSHPAVLEVAVVARPDDHWGQTPCAFVKLKDGFNVAGEDIIKYCRDRMPRYMAPKTVIFEELPRTSTGKVQKFLLKEKAKALGSIS >cds-PLY73654.1 pep primary_assembly:Lsat_Salinas_v7:5:202737358:202743571:1 gene:gene-LSAT_5X94361 transcript:rna-gnl|WGS:NBSK|LSAT_5X94361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLYDEFGNYIGPEIESDQESDREDEDEDLQEKPEDERADSDEENGTAGPNGWMTTGDDVDMENQIVLAEDKKYYPTAEEVYGEDVETLVMDEDEQPLELPIIKPVRDIKFEVGVKDSSTYVSTQFLLGLSSNPTLVRNVALVGHLQHGKTVFMDMLVEQTHHISTFDQNSEKHMRYTDTRVDEQERRISIKAVPMSLVLEDSNSKSYMCNIMDTPGHVNFSDEMTAALRLADGAVLIVDAAEGVMVNTERAIRHAIQERLPIVVVINKVDRLITELKLPPRDAYHKLRHTIEVINNHITAVSSTAGNVQVIDPTAGNLCFASGSAGWCFTLQSFAKLYVKLHGIPFDANKFASRLWGDYYYDPATRAFKKKQAASAGAERSFVQFILEPLYKIYSQVIGEHKKSVETTLAELGVTLSNAAYKSNVRPLLRLACSSVFGSATGFTDMLVNHIPSAKQAAPRKVDHIYTGVKDSRIYKAMESCDPSGPLMVNITKLYPKSDCSVFDAFGRVYSGELHTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARYRIPINKAPPGSWVLIEGVDASIMKTATLCNVEYDEDVCIFRALQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLERGLAEDIENGIVSVDWPRKKLGDFFQTKYDWDLLAARSIWAFGPDKQGPNILLDDTLSSEVDKSLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKIVDARIAPEPLHRGTGQIIPTARRVAYSAFLMATPRLMEPVYYVEIQTPIDCVPAIYTVLSRRRGHITADVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFALSAFDHWAIVPGDPLDKSIVLRPLEPAPIQYLAREFMVKTRRRKGMSEDVSINKFFDEAMVVELAQQAADLHLQMI >cds-PLY78677.1 pep primary_assembly:Lsat_Salinas_v7:9:49010917:49011185:1 gene:gene-LSAT_9X41480 transcript:rna-gnl|WGS:NBSK|LSAT_9X41480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFKLMSVFFCILLISSLFSIETEDLKTSSTTQVYVASWCRRILIDPNTYQGPGSGAPDLGQ >cds-PLY73619.1 pep primary_assembly:Lsat_Salinas_v7:5:204086159:204087057:1 gene:gene-LSAT_5X93241 transcript:rna-gnl|WGS:NBSK|LSAT_5X93241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSMVILLTICFAFLISHGLSQKSPFVSPRNSNFVDNKEFVLECWSSLFDLGWCYGDLVRAAERGKVDAGIAPTCCKAARSMDSGCWSNIFPYNPFFPQVLQAYCLRFPSAPPPPSNAGSRTPELQGPSMASPPTPVNTDVQPPKAEGPSMGSPTPVNAEVPTPNADEPTSMESPTPMNADVPTPDVLTPSAEGPIFLAPFALPPFAPSPITEGLFMDMPRGEGPV >cds-PLY74068.1 pep primary_assembly:Lsat_Salinas_v7:9:13169410:13172245:-1 gene:gene-LSAT_9X11321 transcript:rna-gnl|WGS:NBSK|LSAT_9X11321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METQHALSIQRSSPTQLSNHGTTRALSSSFPVHHTTLEEKHPKLLDSQLSSTNGTVGHIFSSSSGMSSDLHFSSISPNETCSKKAPFITQSSGVLRSTAFRQYMKENNTSSWSTDSLSDFLEYPESSPIEPTNLQPTPTENGSCDLPPEDFAKPNDWQDWADQLITEDDAATPNWNDILVDTEPKLELPVGGSSTKSMEVKKMVPASPGELCSPMTPSSCGGGSHSQSQNKPRMRWTPELHEAFVEAVNKLGGSERATPKGVLKQMKVEGLTIYHVKSHLQKYRTARYKPEPSSEGPSEKKPTSMQDLPSLDLKASLEMTEALRLQVEVQKRLHEQLEIQRNLQMRIEEQGKYLQMIFEKQCKFGIDNLKSSSSTHTPEKSETELLTNEISSSPVLTEPETERDPVKVGSPSSKVEVDPLESQPAKRAKLNDSSS >cds-PLY93027.1 pep primary_assembly:Lsat_Salinas_v7:5:2743862:2744452:-1 gene:gene-LSAT_5X1420 transcript:rna-gnl|WGS:NBSK|LSAT_5X1420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFLAQFSALDTDGFVIPSLGIEDLNQSTTNAPLVEDSKHTIKDKKEEHIYLGPHGAPPSQAKQDVNYSGRKQRFKQKLKDADERIGGGGGGSGRENKLENLRDLVGGGKMPVIAPKSSNRDWLDPHCHESQFEKRYTS >cds-PLY72608.1 pep primary_assembly:Lsat_Salinas_v7:5:44809076:44814842:1 gene:gene-LSAT_5X21981 transcript:rna-gnl|WGS:NBSK|LSAT_5X21981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGFKSKFRLATIVILSIGIGLLAVYDLLKPITNGCNMTYMYPTYIPISATESSSSSKYGLYLYHEGWKKIDFKEHLKQLNGVPVLFIPGNGGSYKQVRSIAAESDRAYQGGPPDSMLYQEASLMLEEGVDMDEKDIPKVTQYTRRLDWFAVDLEGEHSAMDGQILEEHTEYVVYAINRILDQYKESRDAREKEGASESGSLPNNVILVGHSMGGFVARAAVVHPNLRKSSVETVVTLSTPHQSPPIALQPSLGHYYEYINQEWKKGYEAQTLSRVIVVSISGGANDYQVRSKLESVDGIVPSTHGFMISSMGMKNVWLSMEHQVILWCNQLVVQVSHTLLSLVDPETGHPISGSRKRLSILTKMLHSGSFPRQKSGLLQQHSSHLPLHKERKYAGCPSKLQWSDEGLERDLYIKTPTVTILAMDGRRRWLDIKELGSNGRNKFVLVTNLLPCHGVRLHLWPEKGTSASDLPLSKRVVEVTSKIVQIPSGPAPRQIEPGSQTEQPPPSGVFWLESKDMHGFRFLTISVAPSPTVSGRPPPAASMAVGQFFNPEEGRKQFSPHSLLLSMYSRKDIFIKEDHPIAMNITFSISLGLFPATVSLETTGCGIKNSGLPVEEAGDMDTGSLCKLRCFPPVALAWDPTAGIHVFPNLYSRIIEVDSSPALWTSAHGSEKTNVLLLVDPHCSYKTSAAVSLTAGARRFMLLYASQIIGFAFAVVFFGLMRQANAWELDLPVPSLLSAVELNLGMPIPFLVLAISPILIALFYSCLSTSPFPAVSSFFFVSIICYLIANGIIIVLILTTQILSHVVARVHVFFKTRWKLWIGDNSNSTNFFPFKVARVINVNSSLATSLVAIALVCFVHPALGLLILLFSHALCCHHALCSFFTASSKARSEDLFGFGNNKKSFKFKNDEFEEGLPVDENSSSTPDSTRSYGDTQLEIFHHRHGLLILHLLSLLMFLPSLVAWFERLSMGHNFPWLLDSILCMGVVLHGICDSKPEFNVFFQIPGMRGYEIRQGFVYLVAGFGCYLSGLDLAPYKAFYGMAVIGVISFVFRIIEKRNRRGGEPYYSNRKHSHRH >cds-PLY69540.1 pep primary_assembly:Lsat_Salinas_v7:8:83964243:83967420:1 gene:gene-LSAT_8X58441 transcript:rna-gnl|WGS:NBSK|LSAT_8X58441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESAKPSSSAPPYSGGGAGAGGKYRKPPISRKRPSTPFDRPSLTTGNNKSAEPQDVGGSWLSKLVVNPARRLIVGGATTILTSLFSKSDSSSADEYEDDPPGDRDDQDIDATENAKSDDKHTLEASFLILFIPRHLGVSSNEMDKLKGSSEHNILQQDESKNSPSNHGLAKIENILKGKQFSRDERNRLMEILNSRLVDVSNAEEVKKTPEVISLSHGKAKVDVVETPMPHLQSNMQDEVVASPIDIARAYMGNRTSEKLGFNTYTIKSKDERESQTHDLIPSKPHNITPSSKSPTCWPGVMVQEQRGYLTPQIQRTRYGLHGFPRTPYSRTIYSSTKPKMNQLQGDNQPSNVSVTPFQHLRTPIFSQMKSSGDVYGSVGPIRRVRNKFPFEPQSERRNASRSFVPVFQKNPEASGSSGTSGASSETVRKIMEQLDRHKPTPKEKADELKLATEWKRSPSENNSNLIIPNSKESSILGSFVKKNEGGVDPVKTLNKDAEPSFVFKNTNAAADDEKEKEKSQPSSFDKQINGQDVSKKRQSQPILKPISFKRPDPQQVISSSDNSRGFTFPFSATASSASEPPTPSIMSYFPATGVASPVIPSYSFGTKKSERIVFSFPSTSSGVIPIGDDGESDLKFNFGSDKKRVSFSSLGSDAIAIN >cds-PLY62895.1 pep primary_assembly:Lsat_Salinas_v7:4:327415843:327418389:-1 gene:gene-LSAT_4X162541 transcript:rna-gnl|WGS:NBSK|LSAT_4X162541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIFPLPLFSFLLFSITTTTTTAQPYKPTDYFLLNCGGATATTDRKWDTDERSEFMPSTTTSFKSTPNNHDPSVPEIPYSTARIFITSFTYTFPVANGPKFLRLYFYPATYSHYKADQSFFAVSSNGYSLLSNFSAFQTSSYLRTTRTHSNKFPHFVKEFVIYVNHTQTINITFTPSPESYAFINGIEIVSQPKYLYYKSKNVKYVGQYSDPVVSEDTCLENIYRLNVGGELISPIDDTGMYRSWDQDDPYLFPVRTVTPVNKTPIVYTADTPNYTAPELVYATQRSMGASSVKRNLTWQLPVDSGFYYNLRLHFCNIIPDYTRKYAVIFKIFISNQTAEEYADLFYWTKGTGFPVFKDYGVFVYDFDGSGRKRDLWLAMGPNGYSQEYYDAFLNGLEVFKLSMNGSLTDRDSELRPMGRPPSSPVKNKTPLYAAIIGGIGGAVVLMLSTLGFIVFQRVKHSGNKSSGEQKSKDNRLPSGRCRRFTIKEVKDATREFDKNCIIGRGGFGMVYKGYIDNATTTVAIKRLNALSKQGFHEFQMGIGLLSKLRHVQLVSLIGYCDDEGEMVLVYDYMSHGTLQDHLYKGNNPNLPWKRRLEICIGAAKGLDYLHTGANRAIIHRDVKSTNILLDENWVAKVADFGLSKLGPKDKGVDHVSTAVKGTFGYMDPEYYKMQQLTEKSDVYSFGVVLLEVLCSRPVIINQGVSDEEVSLAEWGRLNYGKGTLKEIVDKRISDEIAPNCLMKFGEVANSCLRMKGSKRPKMDEVVWGLEFALRLQEAAEKRGGMVGEMTGSMSENQEYMFPVKGEDVSVNEEYELEGSTGVGIQHGLVSTDSSSREGLVSETVLPK >cds-PLY66252.1 pep primary_assembly:Lsat_Salinas_v7:1:134606164:134606542:-1 gene:gene-LSAT_1X98500 transcript:rna-gnl|WGS:NBSK|LSAT_1X98500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSRSSFNGGTRMRNKKVIRCDCGDVCGVSVSRTPDNPGRKFWGCPNYQVEGGNCGFFKWADEELGQNMEMCHTEEIKPLLEVIIGW >cds-PLY69015.1 pep primary_assembly:Lsat_Salinas_v7:9:138613656:138615771:-1 gene:gene-LSAT_9X89660 transcript:rna-gnl|WGS:NBSK|LSAT_9X89660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLLFLFSDFVSLFLGSGGGFQPAPIPTGFERAPCIRSLIWNQFSDFTLRGEKRSASMHLMLMEMHPAETHNPRLIQTTCMMWNFYPSSGSFTLQIITCVISIRKPTYLLLHLLPYLLLIPLILLFRDLERLVKVDLQNSQNELPTSEQQKSDDKMLKLFNLFSNGTSDTDKKRSLSVYCCTFSRGCLVKATYIIQTENENSTGKR >cds-PLY87748.1 pep primary_assembly:Lsat_Salinas_v7:5:200635045:200638112:-1 gene:gene-LSAT_5X91701 transcript:rna-gnl|WGS:NBSK|LSAT_5X91701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SFD4 [Source:Projected from Arabidopsis thaliana (AT4G30950) UniProtKB/TrEMBL;Acc:A0A178V0M7] MACRLVDSSFLLKGPQQKRIHGHRFVSLYPTGTSLLKWEALPQHKPKTQKYVIPLRKGKIVKSVASPITPPLPAADSAERRQELCENYGFRQIGESLPDNITLKNIIDTLPKSVFEIDNMKAWKSVLISTTSYALGLFMIAKSPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSKNKLLEDIVGTLAFLPLIYPYEPWRFKHDRHHAKTNMLNEDTAWHPVMKKHFDESPFFKKAIVFGYGPIRPIMSISHWLIWHFNLSKFRSNEIGRVKISLACVFAFMAIGWPLIVYKVGIVGWIKFWLMPWLGYHFWMSTFTMVHHTAPHIPFKSSEEWNPAQAQLSGTVHCDYPKWIEILCHDINVHIPHHVSSKIPSYNLRMAHKALQENWGKYMNEASWNWRLMKTILTVCHVYNEERNYISFEEVAPEISEPIRFLRRVMPDYA >cds-PLY64667.1 pep primary_assembly:Lsat_Salinas_v7:7:139132970:139135146:-1 gene:gene-LSAT_7X82700 transcript:rna-gnl|WGS:NBSK|LSAT_7X82700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRLCFSKEDKVVDFHWNSHDPWTIVSVSDDGESTGGGGTLQIWRMIDLIHRPQEEVLLSFIPRFSSHLWKALVLKTKQDMDIQDRELVNDWLMIIVYKERGFG >cds-PLY88338.1 pep primary_assembly:Lsat_Salinas_v7:2:10278491:10290490:-1 gene:gene-LSAT_2X3981 transcript:rna-gnl|WGS:NBSK|LSAT_2X3981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECLTGIFSNPFAQCLIAPVKEHLCLLIFYTQYVGDMLTAMTELNAAKDIVEERKNQNVEKCFEAPNHVNRWLEDVQTINRKVECVLNDNCNWFNLCNRYTLAVKALEITQEIDHAMKQLSQIEWTDDSVPLGRNDSTKASTSTPSSDYNDFESREHTFRKALEALGSNHTSHMVALWGMGGVGKTTMMKRLKKIIKEKRTFHYIVLVVIKENMDLISIQDAVADYLDMKLIESNESERADKLREGFQAKSDGGKNRFLIILDDVWQSVDMEDIGLSPFPNQGVDFKVLLTSENKDVCAKMGVEANLIFDVKFLTEEEAQSLFYQFVKVSDPHLDKIGKAIVRKCGGLPIAIKTIANTLKSRNKDVWKDALSRIEHHDIETIAHVVFQMSYDNLQNEEAQSIFLLCGLFPEDFDIPTEELVRYGWGLRVFNGVYTIGEARHRLNAYIELLKDSNLLIESDDVHCVKMHDLVRAFVLDTFNRFEHSLIVNHGNGGMLGWPENDMSASSCKRISLICKGMSDFPRDVKFPNLLILKLMHGDKSLKFPQDFYGEMKKLQVISYDHMKYPLLPTSPQCSTNLRVLHLHQCSLMFDCSSIGNLLNLEVLSFANSGIEWLPSTIGNLKELRVLDLTNCDGLRIDNGVLKKLVKLEELYMRVGGRYQKAISFTDENCNEMAERSKNLSALEFEFFKNNAQPKNMSFENLERFKISVGCYFKGDFGKIFHSFENTLRLVTNRTEVLESRLNELFEKTDVLYLSVGDMNDLEDVEVKLAHLPKSSSFHNLRVLIISECIELRYLFTLDVANTLSKLEHLQVYECDNMEEIIHTEGRGEVTITFPKLKFLSLCGLPNLLGLCGNVHIINLPQLTELKLNGIPGFTSIYPEKDVETSSLLNKEVVIPNLEKLDISYMKDLKEIWPCELGMSQEVDVSTLRVIKVSSCDNLVNLFPCNPMPLIHHLEELQVIFCGSIEVLFNIELDSIGQIGEGINNSSLRIIQLQNLGKLSEVWRIKGADNSSLLISGFQGVESIIINKCKRFRNVFTPTSTNFDMGALMEIRIQDCGEKRRENELVESSQEQEQIDIAIPFTLTHSFQNLRKLALEKYEGVEVVFEIESPTSRELVTIHHNQQPLLPNLELLDISFMDSMSHVWKCNWNKFFILQKQQSESPFCNLTTIHVQYCQSIKYLFSTLMAKLLSNLKKVEVRECHGIEEVVSNRDDEDEEKTTFTSTSSEKSTNLFPRLESLALYQLPNLKCIGGGGSANSGNNEISLDNSTTTSFVDQSKFYQAGGIFWTLCQYSREINIRECYALSSVIPCYAAGQMQKVQVLNIYRCNSMKELFETQGMNNNIGDSGCDEGNGCIPAISRLNNVIMLPNLKILKIEDCGNLEHVFTFSALESLKQLEELTIEKCKAMKVIVKEEDEYGEQTTKASSKEVVVFPRLKSIELENLQELMGFYLGKNEIQWPSLDKVMIKNCPEMMVFAPGESTAPKRKYINTSFGIYGMEEVLETQGMHNNNDDNCCDDGNGGIPRLNNVIMFPNIKILQISNCGSLEHIFTFSALESLIQLKELTIADCKAMKVIVKEEYDVEQTRASKAVVFSCLKSITLCHLPELVGFFLGKNEFWWPSLDKVTIIDCPQMMVFTPGGSTTPHLKYIHSSLGKHTLECGLNFQVTTTAYHQTPFLSLCPATSEGMPWSFHNLIEVSLMFNDVEKIIPSNELLNLQKLEKVHVRHCNGVEEVFEALEEGTNSSIGFDELSQTTTLVKLPNLTQVELEYLDCLRYIWKTNQWTAFEFPNLTTVTIRECHGLEHVFTSSMVGSLLQLQELHIYNCKYMEEVIARDADVVEEEEEDDDHDKRKDITLPFLKTVTLASLPRLKGFWLGKEDFSFPLLDTLSIEECPAIMTFTKGNSATPKLKEIEKGKISTPL >cds-PLY86628.1 pep primary_assembly:Lsat_Salinas_v7:1:3979240:3981580:-1 gene:gene-LSAT_1X3221 transcript:rna-gnl|WGS:NBSK|LSAT_1X3221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTIFFQAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds-PLY90712.1 pep primary_assembly:Lsat_Salinas_v7:8:143270604:143275216:1 gene:gene-LSAT_8X96840 transcript:rna-gnl|WGS:NBSK|LSAT_8X96840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHWLKAAEDLFEVVDRRAKLVVGDELPNSSQSPAPLASNGQGSRAKSKKSKAKPKKEKPSDETSIVDTSIKKTSSRASLSKASSDIDLSTDNDEINHVHSSSTTEDNEQHKVKNDVPVSLYNEDTSNVEVVSSIVNGDIANGSSSKSNEEISSASVTLEENESVKNHPSDDGQDVLLKDQGNEIVINKEGSQSLKDHNLKIEPQIDEKKNQEYKKVQDQLDSPKKIQDEPTSPKKGQDQSGSSKKIQDQLEEAQGLLESSKTTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVAERDLSKSYETRIKELQKELSLSKEEVNRVESSMLEALATKNAEIEALVNSMEMVKKQAALSEGNLASLQANMESIMRSRELTETRMMQALKEELASAERRAEEEHAAHNATKMAAMEREVELEHRALDASTALAKIQRTADERTAKAVELEQKVALLEVECSSLTQELQDTEARARRGHKKSPEDANQLIQMQAWQEEVERARQGQRDAERKLSSMEAS >cds-PLY94503.1 pep primary_assembly:Lsat_Salinas_v7:2:156107849:156109892:1 gene:gene-LSAT_2X80021 transcript:rna-gnl|WGS:NBSK|LSAT_2X80021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHECSSFTFSMKTVSGKIVSTKAVSLSKAANILSKFVTSDNGASQSVSAYLRRASVAFNELVYFKKHNKLKKKANEDASTISDISQRNLEEDDVRVPKNDNVEDKSEDKKKNKKKKKKRKNVEVDGGEIGNLEGPERKKRRRIEADE >cds-PLY91364.1 pep primary_assembly:Lsat_Salinas_v7:8:45478259:45479449:-1 gene:gene-LSAT_8X34821 transcript:rna-gnl|WGS:NBSK|LSAT_8X34821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3119 [Source:Projected from Arabidopsis thaliana (AT3G04790) UniProtKB/TrEMBL;Acc:A0A178V804] MASLSLLSRPPLSSSLHRHHNNAAIATSTRLVTFNSPRYLSIKAFSAPSPVQPLTQDDLKKLAADKAVEYVSSGMVLGLGTGSTAAFVVSKIGELLASGELKDIVGVPTSKRTQEQAASLGIPLSILDDHPKLDLAIDGADEVDPDLNLVKGRGGALLREKMVEAASDKFVVVVDDSKLVSGLGGSGLAMPVEVVQFCWKYNLVRLQDLFKSEGCDAKLRLDGDGKPYVTDNMNYIVDLYFKTPIKDAWAAGKEISAFEGVVEHGLFLDMTTAVIIAGKDGVSVKSK >cds-PLY98083.1 pep primary_assembly:Lsat_Salinas_v7:4:79477861:79479287:-1 gene:gene-LSAT_4X52500 transcript:rna-gnl|WGS:NBSK|LSAT_4X52500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHRFLASEVSDLCVGKPPLSSLPATATVSDAIFALKRSGDINVGIWSCNHYDSIVAVNDVVPCRCIGKLCMVDVILYLCKEENLSRPVEALQTSVLDLLPKVKGQIRHLEPNSSLLEAIDCILEGAQNLIIPIQATTRTNQRKNCLNKSSPSTINHNGNEFCWLTREDVIRFILNSIGVFSPIPTFTIESLNIINTETLTVHYDDPAISTLPLINRSHLNQTSIAVINQDKTLIGEISPFALSCCDETIAAAISALSAGDLMAYIDYSGPPEDLVHLVKMRLQERNLTAMLDMVESYYDPSFSSTSSSDEEFGGGRNGGMGRSYPGRRSEAIVCNPWNTLMAVIVQMIAHRVSYAWVVREDYGLIGIVTFTEILTQFRSAIGSLNRCEEESTKLQ >cds-PLY77592.1 pep primary_assembly:Lsat_Salinas_v7:2:164436014:164439506:-1 gene:gene-LSAT_2X87261 transcript:rna-gnl|WGS:NBSK|LSAT_2X87261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILHLLLFSVILVIASSSSSAASVGANCNRVCKGSSRDIPVRFPFGFSDGCEVPLTCADTGELRIGNYTVLNLTRDHILINLPAKCDRRFEEIRLLRNQNYALTKRNELLLGNCSVGLNKCAVSMSYYNSSSCRLPNSSLNCYSDDDLGRVEFMANEFMDLTKLDMAGCRVLYSAITVVLDGNSTQRSSLSMGFQSAELGWWVHGDCHCHHQAVCENISIYNAMAGYRCHCNKGYDGDGFVNGDGCRRVSNCNASKYMSGECGGTTRVVVLIGGIVVGASLMSIIALICYCIRKRAITRNQMSTKRLLSEATGSFNVPFYPYKEIQKATNGFSEKQWLGIGAYGTVYAGKLNNNEWVAIKKIRHIENYGIDQVMNEIKLLSSVSHPNLVRLLGFCIEKGEQILVYEFMPNGTLCQHLQKERGNGLPWRRRLTIVTETAHAIAYLHSSMNPPIYHRDIKSSNILLDYKFSSKVADFGLSRVGIMDESHISTAPQGTPGYVDPQYHQNYHLSDKSDVYSFGVVLVEIITALKVVDLSRRSTEVNLAALAVNKIGQGRVDEIIDPFLDPNRDAWTLSSIHKVAELAFRCLAFHGDMRPSMTEVADELEMIRMSGDDDVSMTPLSVTSSSSVAGVGSRKVVVPQMILNRLTKSKEKDTSPVSVRDPWLSEQSSPSTNSLLGNIVQ >cds-PLY69953.1 pep primary_assembly:Lsat_Salinas_v7:4:67735838:67737707:1 gene:gene-LSAT_4X46341 transcript:rna-gnl|WGS:NBSK|LSAT_4X46341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMESYESSSSKGCDECKTNDFKYKCPGCSIRSCSLPCVKAHKQRTGCTGKRQQQTEFVPLSKFDDNLLLSDYSMLEDVKRIADSAKRMRMQICGKYYSGLSFPLKHLLKAAKNRRIRLFFLPTGMSKREANKTYYNNMKKSIFWTIELHFHSTNVKLIDHDVHEDSNLYSVIENHLKPGPINHPLKPFCVEPLESLRIFISKHPKQPRSPFRELDKNAPISEQLANLVIVEYPVIHVLLPSHIPDFKVVKDFVPQRVQPKSDPVNESQPGPKGVFFKEEEIKDVDSSDPHVVDLMNQSNSKTATLVDDVKNDDKDKDKDNKVGAVDLMSFDFDPELMDVYSHLISETNPDDFLDLDGLLYNEMQLQDKDKEGKFGGVKEELEEGEIPDSD >cds-PLY99812.1 pep primary_assembly:Lsat_Salinas_v7:MU037944.1:495413:500479:1 gene:gene-LSAT_3X53241 transcript:rna-gnl|WGS:NBSK|LSAT_3X53241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDGDELHEEERFDVLTKTGLKTGISKPRSAVHRDGDYHRAVHVWIFAESTQQLLLQKRADHKDSWPGLWDISSAGHVSAGDASLITARRELLEELGVNLPNDAFELLFVFLQECVTNGGKFIDNEFDDVYLVTTLAPIPLEAFTLQESEVSAVKYISIEEYKSLLVKGDPEYVPYNLEGQYGQLFDIICKRYQNNMEARGLLLQKKLNRYAPISLDAELIGLSKEDKEALVLLIQAARIMDDIFYEQVWSSNSSLREWLKGHAQVSEFDMLKWKYYSINKSPWSCLDENEAFLTTADSAVKLLPEATRKVAGWKGLEYKAAFPLVKPPGASFYPPDMDKMEFELWKKGLSDNEKQDVTGFFNVIRRHSDSHSKNIAVISNPNPTSDLYIIPFSQEYSAFLSKAAELLHKAGDLTTSPSLKRLLHSKADAFLSNDYYDSDIAWMELDSKLDVTIGPYETYEDVLFGYKATFETFIGIRDDKATAQLKLFGDNLQVLEQNLPMDNMYKSQDVISAPIRVIQLVYNSGDVKGPQTVAFNLPNDERIVKDRGSSMVMLKNISEAKFKLILQPIADLCIVKEQRELVDFDSFFTHTICHECCHGIGPHTITLPSGQTSTVRLELQELHSALEEAKADIVGLWALNFLISKNLLPKTLVKSIYVSFLAGCFRSVRFGLEEAHGKGQALQFNWLFEKGAFVLHPGETFSVDFDKIEGAVEGLSREILTIQAKGDKDAARKLLEEYGEMTQPLNTALGKLARVEVPVDIAPDFPVVTNLLHKK >cds-PLY61937.1 pep primary_assembly:Lsat_Salinas_v7:5:161130047:161131676:-1 gene:gene-LSAT_5X70220 transcript:rna-gnl|WGS:NBSK|LSAT_5X70220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTRLQAQAAGVPYQNLHSKCRFEPNTVKGRAVTISGNDRRLYNSLQGSLEGKQTQSPESFDILQGHSKATILLIVNNAAQGILSKLHQLMVHAAEALEIEAPDLYSHIH >cds-PLY95844.1 pep primary_assembly:Lsat_Salinas_v7:5:63708922:63709275:-1 gene:gene-LSAT_5X29401 transcript:rna-gnl|WGS:NBSK|LSAT_5X29401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARLDGAFSGNDIKVVEMSRFLLKNDGLFVGTSSAMNCTGAVRVAKLLGLGHTIVMSLCHSGMRHLSKFCNLEYLSQHGLTPSAKGLQFLD >cds-PLY65392.1 pep primary_assembly:Lsat_Salinas_v7:1:61417900:61421300:1 gene:gene-LSAT_1X52021 transcript:rna-gnl|WGS:NBSK|LSAT_1X52021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNQAESSDAKGTKRDFSTAILERKKAANRLVVDEAINDDNSVVALHPETMEKLQLFRGDTILIKGKKRKDTICIALADDTCEEPKIRMNKVVRANLRVRLGDVVSVHQCADVKYGKRVHILPIDDTIEGVTGNLFDAYLKPYFMEAYRPVRKGDFFLVRGGMRSVEFKVIETDPPEYCVVAPDTEIFCEGEPIRREDEDRLDEIGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKMAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEIGRLEVLRIHTKNMKLAEDVDLEKISKETHGYVGADLAALCTEAALQCIREKMDVIDLEDESIDAEILNSMAVTNEHFATALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSQGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRYSIFKSALRKSPVAKDVDLHALAKYTQGFSGADITEICQRACKYAIRENIEKDIEREKKRSENPEAMEEDVEEDEVAEIKAAHFEESMKFARRSVSDGDIRKYQAFAQTLQQSRGFGSEFRFAEASGGAAAAGGGADPFGAAAGGADDDDLYN >cds-PLY80475.1 pep primary_assembly:Lsat_Salinas_v7:2:137360303:137363464:1 gene:gene-LSAT_2X66801 transcript:rna-gnl|WGS:NBSK|LSAT_2X66801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIDLESISEATSGAIGAVLSTTILYPLDTCKAKYQAEVRSHGRQKYKKLSDVLLEAVSSGRVLSLYQGLGTKNLQSFIAQFVYFYGYSYFKRLYQIKYNTKSIGTKANLILAAAAGACTAIITQPLDTASSRMQTSAFGRSKGLWAMLTEGSWIEAFDGLGISLLLTSNPAIQYTVFDQLKQRLLDGKLKKSGNGSSPESLSAFSAFLLGAISKSIATVLTYPAIRCKVMIQAADSSDEETKEKEKKPKKTILSVLDAIWKREGVPGFFKGLQAQILKTVLSSALLLMIKEKITATTWVLILAITRYMVVTQGRVKGK >cds-PLY83767.1 pep primary_assembly:Lsat_Salinas_v7:4:41494347:41500683:-1 gene:gene-LSAT_4X27700 transcript:rna-gnl|WGS:NBSK|LSAT_4X27700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTDKAPRFKSIKSLPVDYRFMGSAEKSTSSTSSMISVSIPENGNTDQIVDDDSPYGHRSTFFMNDRPSMDDEDVNPSISPQGSVLSSWGETKWGDTASYIAKKKLQSWYQSSDGNWELAKILSISGNESLISFSEGKVLKVNSDSLLPANPEILDGVDDLMQLSYLNEPSVLYNLQYRYDRDMIYSKAGPVLVAINPFKKIPLYGSDYIEGYKSKSRDSPHVYAIADTAIREMIRDEVNQSIVISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTSRNNNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCTEGERSYHSFYQLCAGAPPSLREKLNLKSVHEYKYLQQSTCYSINGVDDAEEFRIVVEALDAVHVSKENQENAFSMLAAVLWLGDVTFSIVDNENHVEPVIDEALLNVAKLIGCEADDLKLALSTRKMKVGNDNIVQKLTLAQAIDTRDALAKSIYSCLFDWLVEQINKSLAVGKRRTGRSISILDIYGFESFDVNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVEFEDNQDCLNLFEKKPLGLLTLLDEESTFPNGTDMTFAAKLKQHLKSNSCFRGERGKAFTVHHYAGEVMYDTTGFLEKNRDLLHLDSIQLLSSCTCELPQAFASNMLSLSEKPVLGPLHKSGGADSQKLSVVTKFKGQLFQLMQRLESTTPHFIRCIKPNNSQSPGIYHQGLVLQQLRCCGVLEVVRISRSGFPTRMTHQKFARRYGFLLLEHVASQDPLSVSVAILHQFDILPEMYQIGYTKLFFRTGQIGKLEDTRNRTLNGILRVQSCFRGHKARQYLKELKRGIFTLQSYVRGEKTRKEFVVLLQRHRAAVVIQKQIKSKITRKRFEDIHGATVVLQAVIRGWLVRRCSGDIALLQFGSGKGNESDEVVVKSSYLAELQRRILKAEAGLREKEEENDILHQRLQQYESRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLSLDDNNTTSERNSDASINITNDDTWDGNGSANGNARRNGHDNMRPMSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQTDAANLNPDHELRRLKQMFEGWKKDYSVRLRETKVILNKLGHEDGDGHGDKGKKKWWGRLNSTSRVN >cds-PLY88831.1 pep primary_assembly:Lsat_Salinas_v7:2:39494754:39505778:1 gene:gene-LSAT_2X17820 transcript:rna-gnl|WGS:NBSK|LSAT_2X17820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHLHLLLVFHLTPRRGLEIWRIENFQPVPLPKSDCGRFYMGDSYVVMRVATTTFDWIIITSPEAEIEKEKDIEKMDYETESPEDKEEHGLGLHTVDIVMEENAHEDEDSKPKTKSWIDNKIEEELEDLKDDLDDNRFLEEYRRKRLAEMKQTVKVAKFGSDIPISRSDFVRECVEELTTMYSATKFFKIISTDCFPNYPDYPILNDGLNREASREAVLEGVRKRFIEKVVTLHENNDDGSSSD >cds-PLY89024.1 pep primary_assembly:Lsat_Salinas_v7:3:250340264:250347518:-1 gene:gene-LSAT_3X138261 transcript:rna-gnl|WGS:NBSK|LSAT_3X138261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMAEKLIKEGKAYIDDTPKEQVKYEREKKIESKCRNQSVDENLKLWNEMIMGTEMGVQCCLRGKLDITDNNGSMRDPTYYRCNPIPHHRVGSKYKIYPTYDFACPFVDSIEGITHMLRSNEYHDRNPQYSRIQEDMGLRKVHIYEFSRVNMVYTVLSKRKLLWFVENNKVDGWDDARFPTVQGIVRRGLQIQALIHFILDQGNGPHKPFVRVIPKHKKYAAAGDKTTTFTNKIWIEQADAKAISPNEEITLMDWGNAIVREINKDKKGNVTELIGVLHLDGSFKTTKLKLTWLPHTNELVPLTLVEFGYLITKKKMKKEEDFIPVLNRDTKKEIGGVRDSNMRRLKRGDILQLERKGYFRCDVPFITPLKPIVIFVVPNGRQTATK >cds-PLY65068.1 pep primary_assembly:Lsat_Salinas_v7:1:116999625:117001612:1 gene:gene-LSAT_1X86540 transcript:rna-gnl|WGS:NBSK|LSAT_1X86540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPHNQKYSEFTYEFPPISNCNTFGRENQTVVSDLDGTLLRGRSSFPYFALVAFDVGGVLRLLILLLASPIAGILYYFISESAGVRVMIFATFAGVKVSDIESAAHAVLPKFYADDLHPEAWGLFSACGKRCVLTATPTVMVEPFLKEVLGVDLVMGTEIHSWKGRATGLVNKPGVLVGSNKADALLKAFKDASPPELALGDRKTDYPFMKLCKEGYIVPPATAEVQAVTANKLPKRVVFHDGRLVNKLTPFMALVTILWIPVGFLLACLRIAAGMFLPMSIMYYAFWALGMRVIIKGSPPPAAKKSTGQTGVLFVSSHRTLLDPVFLSAALGRPIPAVTYSLSRLSEIMSPIKTIRLTRDRTTDANIIKKLLQEEDLVICPEGTTSREPILFRFSALFAELTDDLVPVAMSTKMSMFHATTVRGWKSMDTFYFAMNPNPTYEVTFLNKLPYESTCRNGKLSHDVANNIQRMIASSLSFECVNFTRKDKYRMLAGYDGTCRKIV >cds-PLY65141.1 pep primary_assembly:Lsat_Salinas_v7:9:159040143:159044077:1 gene:gene-LSAT_9X100181 transcript:rna-gnl|WGS:NBSK|LSAT_9X100181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT5G44635) TAIR;Acc:AT5G44635] MDSFGGAYFTDEKAAKVENIFLEFLKSFRLDANSREPFYESEIEAMRPNESNTMFIDFSHVMRFNDVLQKAISDEYLRFESYLKNACKRFVMGQKPTFITDDNPNKDINIAFYNLPVIKRLRELSTSEIGRLVSVTGVVTRTSEVRPELLQGTFKCLDCGTIIKNVEQQYKYTEPMICINAMCSKKGKWALLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVILRHDIVEQARAGDTVIFTGTVVVIPDIFALAAPGERAEVRRDGGSKRDTTGTVQEGVKGLRALGVRDLSYRLAFIANSVQICDGRRDADIRNRKRDAEDEDNLQFTPEEVAEVKQMRNTPDFFNKLVDSMAPTVFGHQDIKRAILLMLLGGVHKFTHEGINLRGDINVCIVGDPSCAKSQFLKYTTTLVSRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGVCCIDEFDKMDIRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYVMIDDPDDTTDFHIASHIVRVHQKRENAVAPAFTTAQLKRYIQLSVEARKILVDSYVALRRGDTAPGSRVAYRMTVRQLEALIRLSEAIARCHLDDEVHPRHVKLATRLLKTSVISVESTEIDLTEFQEDGDVDGDVDGNGEPETADAAANNEQPENGEGQGGKKLVITDEYFQRVTQALVMRLRQHEETVMQDGSGLAGMRQRDLIQWYVGQQNEKNNYSSMEEAKAEVTKIKAIIESLVRREGHLIVVDEEAQGDDGVRSSRNNRILAVAPNYVID >cds-PLY84972.1 pep primary_assembly:Lsat_Salinas_v7:1:153158767:153159189:-1 gene:gene-LSAT_1X106701 transcript:rna-gnl|WGS:NBSK|LSAT_1X106701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVLGDQFFVPRQKERVVVPSSLMTPLSQFNSSVSVDLGSSFMFGGTLRSPGGSFQPEKPSTVDEIGTSSPSLSFKAYALGRAITRKSLLSEDITAQEWSSCAHPPSTMNLLADQSSSRMAGDLRYATTQASSLMVAAAK >cds-PLY64382.1 pep primary_assembly:Lsat_Salinas_v7:4:24093645:24094783:-1 gene:gene-LSAT_4X15981 transcript:rna-gnl|WGS:NBSK|LSAT_4X15981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESPSTIAAAVSPISPSTRRRKRKIVAIMGPTGAGKSRLSIDLATRFFDNSEIINSDKMQVYSGLDITTNKITMQERRGVPHHLLGAIDPRKSVFTPSDFRNVAAEYINQIRTRRGLPLVVGGSNSLIYSLVTKRYDPKSDVFNGPEPQPVSSDFRYECCFIWVDVSLPVLNQYLSKRVDEMLDSGMFEELAEFFRSDEHKRVNRCGLGQAIGIPEFETYFTNFPGLRRTEGSVFEEDVMGQEAYDEAVRRIKDNTCQLAKKQVSKILRLRDAGWELKRIDATEAFRAVMTADRGGARVAEIWEKQVVEPSMKIVKHFLEE >cds-PLY93297.1 pep primary_assembly:Lsat_Salinas_v7:4:301797390:301799963:-1 gene:gene-LSAT_4X153461 transcript:rna-gnl|WGS:NBSK|LSAT_4X153461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWGGQHPMMPPYGTPVPYPTMYPPPGIYSHPSMPRTPGQTNLELEVKASNGKDRAPNKKPKGNSGNSNAGGGRAASSSGNDGATQSAESGNDVSSDGTDEDDQQEYSGSKKGSFHQMLADANARNNNSGSNIQTPVPGNPVASMPPTDLNMGIDLWNPSTGTGSMKLQKNHSGVHQTPAPPPMMSDHWVQDERELKRQKRKQSNRESARRSRLRKQAECEELQARVEALSNENHSLRDELRRLSEECGNLTSENNSIKDELTRFLGPEAVSKLDTQLQSQTRKGDS >cds-PLY95345.1 pep primary_assembly:Lsat_Salinas_v7:5:267161766:267165286:1 gene:gene-LSAT_5X139341 transcript:rna-gnl|WGS:NBSK|LSAT_5X139341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVASASASVSSLVCTWLVATCMSAPCQNHQQHSSIFSSKQGFNGRCARRRRLLSKCNANRNQNPSFPSLRVSNITNNNSITTEGFMSSSFSTCHRCDYSNGFSLSSSHSFGTDSVPGSRIAPLCRRQRRRRLSPPLRSGETMAIALQPSMETTTKKKLPTQQRRVVVTGMGVETSIGHTPDEFYNNLLEGVSGISEIEAFDCSNYPTRIAGEIKTFSTDGWVAPKLAKRMDRFMLYMLTSGKKALADGGITEALMKEIDKTRCGVLIGSAMGGMKVFNDGIEALRVSYRKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADMMLCGGSDAVIIPIGLGGFVACRALSERNNDPAKASRPWDMGRDGFVMGEGAGVLLLEELEHAKKRGATIYAEFLGGSFTCDAYHMTEPHPKGTGLILCMEKALSQSGVTREDVNYINAHATSTPAGDLKEYDALLHCFGNNQELRVNSTKSMIGHLLGAAGAVEAVATVQAIRTGWVHPNMNLENPEKGVDTKVLVGPKKERMDIKVALSNSFGFGGHNSSILFAPFK >cds-PLY89592.1 pep primary_assembly:Lsat_Salinas_v7:9:39812622:39814170:-1 gene:gene-LSAT_9X37380 transcript:rna-gnl|WGS:NBSK|LSAT_9X37380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQSELLIQPSWPNQSYFHSVLDQDVLNHEHSSLISSLYMNEFLDYDHMEGLDDVCSWLCDDDQKMESEIPTDKSTENSYIWSPTISMTSSESHDSEAMEMESETGIQNLLTAYAEAIGLQQRDLAEVIQKCISEKVNPNGQTLERLALNLFPCSENEKEYLKQESIRNFKTAFRGFYEIFPYGRFAHFTANSAILEAVPNHVDSVQIVDFDMGEGSQWPVVIQVMAQRRKSLTITSVKLEDDNSGLFEETKMHLLNYAGSFGLNLKVEEKELGQIVNRNEFMAFNCMLGLPHMGRTRRRTQVMDFLKVAKQLLVKTQGIITFGDGEYSERMENSPNYPSFFDGNLSHYKALYESMEWGFPSYLNEGRIAMETLFIAPFISSTSWLQKWKEGRENMFSQNDLGLKGRKMSRESWSEVRELVKEGESPYGIRVEGGNENEMVLEWKGIPLVRVSAWM >cds-PLY87832.1 pep primary_assembly:Lsat_Salinas_v7:2:65587447:65598927:-1 gene:gene-LSAT_0X37340 transcript:rna-gnl|WGS:NBSK|LSAT_0X37340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFRDCFDQYKYKLDIINTATNNFADNNYIAEGGFGKVYRGEFLDSKRLTIGAVKRLDRSKEQADISFWREIMLLTSHKHENIISLLGFCNEGEERIIVYEYASNKSLDFHLDKSNLTWIKRLKICLGAARGLACLHDPKGTQQRVLHRDIKSANILLDENWNAKIADFGLSKHGPANQQYTFVFSNPVGTLGYCDPNYIEKNELTKESDVYSFGVVLFEVLCSRPCVDLSCKDVRKNLAELVKSYHENNIDEIIDFKLKQQMEQNSRDTFVKLAYQCLEKDSSRRPPMALVVKMLASALKHQEDFEAKGQETKRRNIVLRNIILKELHNPLLDFQKVCPPGGSDSLILYTTRDNTNQQSFKDCLRIRFLLKDFNILYQERDVSIHWDYKEELWRMLGRQVALPILFIKGRYIGGAEEVLQLHEQGKFQPLLAEIPSSS >cds-PLY66332.1 pep primary_assembly:Lsat_Salinas_v7:5:289239424:289242274:-1 gene:gene-LSAT_5X157960 transcript:rna-gnl|WGS:NBSK|LSAT_5X157960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAWEATIRKTQQAKKQATNIFGSAHVAHVDDEDTDQLENHAAGEIYHAERFLSNGDYYTGNWSENFPHGFGKYWWTDGCMYVGDWCRGKTMGRGTFSWPSGASYDGEFKSGYMDGEGTYTGPNGDTYRGHWVMNLKHGHGVKEYTNGDTYEGEWCRGLQEANGKYNWKGGSHYDGEWKNGTMSGKGKLIWPDGSMYEGEWEECLPKGNGTFQWADGSSYVGNWSTDSGEQDLTFNPSSDDVKHDPQEVFDVYLKDCFISPQDKVPILPSQKKLAIWRSSKGTEPSVRPRRMSVDGRLDASMDKDLARMRMLDDTERTMKARDDASLISGNALSEGSPIRLPKVVKKQGETISKGHKNYELMLNLQLGIRHSVGRPGPVPSLDLKSSAFNPKEKVWTRFPPEGSKYTPPHQSCEFRWKDYCPLVFRTLRMLFKVDAADYMLSICGNDALRELSSPGKSGSFFYLTHDDRYMIKTIKKAEVKAILRMLSAYYNHFRSFEHTLLTKFYGLHCVKLTGPAQKKVRFIIMGNLFCTDHVIHRRFDLKGSSLGRITDKPESEIQTTTILKDLDLNFMFRLEKTWIEEFRRQIDRDCDFLEQERIMDYSLLVGLHFKDTNSDLDDSAYHVSDPGGSKLGISMHTRVEKMERKNEMELIGEPTGVCYDVVMFFGIIDILQDYDITKKLEHAYKSFQYDPTSISAVDPRNYSRRFRDFILKIFIEDE >cds-PLY62215.1 pep primary_assembly:Lsat_Salinas_v7:4:274763134:274764424:1 gene:gene-LSAT_4X141020 transcript:rna-gnl|WGS:NBSK|LSAT_4X141020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSINSLAAFQSSKKAIACCILYHDRGTEPWLRKTSTDAWILTGVSEAIEGPDGTPQDQGGKDAGGAQLKRVELLETELGHLYARIENQGHQIQQNAESIASLQLKMDQKFEEILGAIAKCRPTINNEKKTVEGDPSNTPVLSMEEAPRVYSGMSHTDTGDGSADRGGDYLTAGEYRGGTNQRSHKHEMILLD >cds-PLY82388.1 pep primary_assembly:Lsat_Salinas_v7:4:336837204:336838321:1 gene:gene-LSAT_4X166640 transcript:rna-gnl|WGS:NBSK|LSAT_4X166640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVWRPLAAEEEHSIILSALKMVISGHITTNHHPSSSSSLATTNETDHNNHHEIPMMSLCLHPMEVCQKTELADHHQLGDGVMNVWRPLAAEEEHSIILSALKMVISGHITTNHHPSSSSSLATTNETDHNNHHEIPMMSLCLHPMEVCQVCRINGCLGCNYFRQNAPCDGGAQMNNGGGGRVETKRKRKRQYRGVRQRQWGTWAAEIRDPFKKVRVWLGTFGSAEQAARAYDRAAVHFRGDKAKTNFPASDYKKPQRPVKLEREHEKCNTSSMSPKKTDQ >cds-PLY70721.1 pep primary_assembly:Lsat_Salinas_v7:8:166467750:166473943:-1 gene:gene-LSAT_8X108381 transcript:rna-gnl|WGS:NBSK|LSAT_8X108381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTTGEPSEPPHSLIHPMGSLEATNTPEAPSSSGPPPIPEVIPSSSDPPLSFEAAPTSGCPPPHLVATLIMEDPVDWTTIPWAKKDQVVPHLPEPEDSPLLEVPVPLSPLTLDEEPYVEDDPSNQAGDSDEHVDVETDPIKEETEFVDVVIESVDEEIDPMEEEVDYFDWEDEEPEEESETTKEPKPATKQQAPYPYQPSRYTDILIMVLL >cds-PLY78796.1 pep primary_assembly:Lsat_Salinas_v7:3:168202174:168202881:-1 gene:gene-LSAT_3X103741 transcript:rna-gnl|WGS:NBSK|LSAT_3X103741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPYSAILGKAVSINRKEDHQNHREILDIRIRVSFVHQRVFGPKTPHLEIKQEMMVMRSSRSSFCGEDALFIWLFISKS >cds-PLY94820.1 pep primary_assembly:Lsat_Salinas_v7:2:177985417:177988891:-1 gene:gene-LSAT_2X97760 transcript:rna-gnl|WGS:NBSK|LSAT_2X97760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGSASTTHRPEGLIERLRSAAADGGEAKLKVLRELKNQIIGNRTKKLSYIKLGAVPSVVSILSSASSSVDCLLIQCAATIGSFACGVDAGVKAVLDAGAFPHLINLLSHSNEKVVDAGARALKMIYQSKAAPKYDFFQHKNIEFLISLLDKNNENVTGLGASIITHSCETNNEQKILADAGVLKKLINLLQGTTSQRESSLESFATIIKQNPEVITKFVGPENSTTFGSLLELTKDRYPRTRLLACICLTLIKNATSSSSSSYLQSVGIRTKLILVLLELVDDFGQVGDEALFTLSSFIEHEEDLQKLAFEANTVDKLCHQMQKESLQAKRLEGIFTTLGSLCSNLEPCRSIVLQSPKALIIITDALTHTTTDVRVAACICLKNVSRSVKYLSAGHFMTEAVIMPVIQLLYDASTSVQVAALCTVSNLVVDFRTHKSLFIQSGGVKQLVELSKSMDSTVKLNAVWALRNLMFLVDSRYSNACVQEQALGLVCNLVNGPVDSIMYLFTEGLLLNTVGRELRRASKPQVLVQAMYVMCNVASGKEFEKEAVMQQLVNEDDNNQSIIVTLLQSDVASLRTAVVWTVVNLTIPTGAGALARVVKLRNAGVVSQLKNMVNDPCLDVKLRVRTALGQSMTFGDFST >cds-PLY81752.1 pep primary_assembly:Lsat_Salinas_v7:3:29361133:29369835:1 gene:gene-LSAT_3X20121 transcript:rna-gnl|WGS:NBSK|LSAT_3X20121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHEKQIKEGRVNVEVGTDAITLVFGKEKGRFLKGVGTGVTYNRHFNVHCSKGSSKEEIKDLKIALQNGKSELETKDVELKALSTKVNEQDQTLKLDLAHLNANGAEFPNLSHTIVIAKPTKKAVQTKSATATPDTQLLSMKSATLANKKPTRKVVVCKTTTINPEIPTIAPNKHLHQMEHEKQIKEGRVNVEVGTDAMTLVFGKEMGRFLKGVGTGVTYNRHFNVHCSKESSKEEIKDLKIALKNGKSELETKDVELKALSTKVNEQDQTLKLDLAHLNANGAEFPNLSHTIVIAKPTKKAVQTKSLTATPDTQLLSMKSATLANKKPTRKVVVSKTTTINPEIPTVAPNKHLHQVPNMKTINFKTQKRKPA >cds-PLY96159.1 pep primary_assembly:Lsat_Salinas_v7:3:100098533:100099624:1 gene:gene-LSAT_3X73901 transcript:rna-gnl|WGS:NBSK|LSAT_3X73901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHWIDEKRPWDRKFVPLDRLIWVDVEGLPLRAWSKQALIKILDKWGKIIHLDDDLGEDVYKKRICILSLVQEIISETMTVSIDDQSFLVRIKEAPGWTPSFAWEKSKSSSQQSSENGNELEDLEDNLDCEKEEGSVDPFGIYETLQNMNVDERNGKEVNSGARYEYTMGEDNRNNHFHEEGQFRNSSEKIKEQKFVPHTSSLDQMQEADHCRAASRHQHMSAGPPPKQHPMLSDSGPTDAPTRKRNSAAAPVPSAASGMNLCRSNSNPERNSNHTNAPIGFLGGVERVSYLVDSEDALTHPPGFSNCISFGVCSDNMYNKSSFLSEIHKTMEMGMAMRYDLEGCYDRVNDIVEGNEEKMVLR >cds-PLY73449.1 pep primary_assembly:Lsat_Salinas_v7:4:180799855:180801989:-1 gene:gene-LSAT_4X105200 transcript:rna-gnl|WGS:NBSK|LSAT_4X105200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENSKLIATVASIWIQCTGGASYTFGIYSAALKSSQGYDQSTLDTVSVFKDIGANIGVLAGLLYHAVTNNHRNSTPSSSRFKCGLPIVYLFGVAQTSVLLRLHPYVVVHHRTHSQTERSAHVHLHVHGVTRSDFLQYRKYRRRRQEFSRLRWNNHRHYEGNSYNYQSGSAFLLTGMFTGFLGLSGAILIQIYLTFFDGKPTKFILMLAVFPSLVSLILMFLIHENPSNTTHDKHHLNKFSLGAITIAGYLTIILYLQNKFVFSSRAHVITTIILGILILLHLLIACTAQTNEQQEATEALIVASESQVVASNSGPPAVELNLLQAMTTVNFWLLFASMVCAMGSGLATINNVTQIGQSLEYSTTKINTILSLWSIWNFLGRFRGGFLSDFSLHKWGWGRPMFISITLLAMVFGHLWIAFDGNLYFGTAIIGICYGSQWSLMPTITSEIFGVKHMGTIFNTIAAANPVGSYILSVRVLGSIYDKEAKGGGSCNGIHCFRDSFFVFAVVCVFGMFVSLVLFIRTKGFYVVVVNKRAEAEAVEVEVEEERERRVGLYFVVVERREKVERLIEN >cds-PLY75913.1 pep primary_assembly:Lsat_Salinas_v7:9:199427119:199429622:1 gene:gene-LSAT_9X123140 transcript:rna-gnl|WGS:NBSK|LSAT_9X123140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKLTPEEGLSILNEGISKAFMILDGYPTSALFTCEEYMKLYDCVYDMCVQHPPYEYCAKLYDIFKKALEDGITSRVIPAVKDKSHIFLLYELWNMWSKYKIMAKCLGGFFLYLDRYFVEDRKAASLSNLSISCFHDLVCIELYPKLLEAAICLINEDRDGKSVCRDLLKHVSTFFVEIGRGDMCYYENFEGAVLSSTENYYNFLVPQWLQHYSSADYVLKAEYRLSQEKERASQFLHQTSVEKLLRDVLSRCAGLNLGEGSSPA >cds-PLY76262.1 pep primary_assembly:Lsat_Salinas_v7:8:32689162:32690202:1 gene:gene-LSAT_8X26961 transcript:rna-gnl|WGS:NBSK|LSAT_8X26961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGWTEVQRKKTQNHNRLIHRDEELNNYYVTGFPDGTKKEELHKPFSAFGKVVDVYFGGKKDYYMKNFAFIRYVEDEKAFEETLQGIKSRNKVLVVNISKHPRKIFLMKGREAQYNRPIATIGDTSNQPRPWGNRDSRTFAQALAGNREWVLPSKKPPVVLNTRTYMSEWIKKKVLLGEAHNFDHIGTMHFTNVVNEEIKYLGGLRVAIKFSSSVSVMEFLEDNTRWKNWFKWLIHADQQELQYERTTWLKILGVPLTLWDEDNFSNIARRYGRVVSPFDNISNRMDYSMGKVGVITSERKWINDEITIIANGVKYRVGIVDYTDDWSPFKPLPFDKVEESNDE >cds-PLY89369.1 pep primary_assembly:Lsat_Salinas_v7:9:117266829:117271898:-1 gene:gene-LSAT_9X80360 transcript:rna-gnl|WGS:NBSK|LSAT_9X80360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA helicase SDE3 [Source:Projected from Arabidopsis thaliana (AT1G05460) UniProtKB/Swiss-Prot;Acc:Q8GYD9] MGSVNFNDETRSVISDVGDIGNIDFANDGSFYNYDQETKGPIIISAPFPLVEGKPQFVAAVNERIFNPVTIRNTTTEAVILWSVEIYDSKPKDCFTLSVMEPPSPDSDDQSFVESFSLEDRTLLPGKTLTIWLSCKPVSKGLHTTAVHFNVDDDRIERVGFIMAEDKISRSLTSNKPYNRPRRNKPLLPKLYTPGGDNAGVKVIRTSRPAKATGRSYRYKLPDYVIPKNIRDMIESKTTPDALMEGLSRKNYVAFFKTLIIMEEIKLEDDMRMYDMQNVTLKRKYRFLALEVPGLAERRPSLVQGDFIIAKPSSLNEDNAYPLYRGHILRVEAEEVHLSFHDDLHSYHSEGDRYDVQFEYNRLGMRRLYQAIEAAGKLDSDLLFPEFALNQRSIETRRLTPISCNLNEEQTRSVEMILGCRGGPPYIIHGPPGTGKSVTLTEAILQLYKNRRNTQILVCAPSNNAADHILDRIVEENGAEIRKGDLLRLNAQARSLDDIKPDYLQFCSYDDEEMIFSCPTFENLVRYRIIISTYTSASLLYAEGLQMGHFSHIFLDEAGQASEPESMIPISHFYRRDTVIVLAGDPVQLGPVIFSKDAENYGLGRSYMERLCESKFYKNGNRNYVTKLVRNYRCHPEILSLPSELFYQSELISCKEADTLYPLNWKDFLPKPEFPVVFKGVQGVDEREGNNPSWFNRIEASEVVEVIMDLIERGVRGHDIGVITPYRQQVIKISKALETFVGSEIKVGTVESFQGQEREVIIISTVRSTIKHNETDKRHCLGFLSNPRR >cds-PLY67396.1 pep primary_assembly:Lsat_Salinas_v7:4:211050972:211051394:1 gene:gene-LSAT_4X118101 transcript:rna-gnl|WGS:NBSK|LSAT_4X118101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit I, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG01090) UniProtKB/Swiss-Prot;Acc:P56755] MITLSHANRLPVTIQYPYEKLITSELCVRVCPIDLPVVDWKLETDIRKKRLLNYSIDFGICIFCGNCVEYCPTNCLSMTEEYELSTYDRHELNYNQIALGRLPMSVIDDYTIRTIFNLPEIKT >cds-PLY62218.1 pep primary_assembly:Lsat_Salinas_v7:4:274715131:274715577:-1 gene:gene-LSAT_4X140981 transcript:rna-gnl|WGS:NBSK|LSAT_4X140981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVSLAQRISFSSMFLICIFFLSNVSPTTSILNEPWTKIKNLSDPIVKDIETFSIEEAKKEQKISQDFLGKTVNGYYRKYDRGLVYGMLYKLVIKKTSTTNPEKLVIKKTSTTNPEEMYIAIVFVYQDSGRKALRCFSGPTSVTKCA >cds-PLY96861.1 pep primary_assembly:Lsat_Salinas_v7:2:110286358:110289347:1 gene:gene-LSAT_2X51881 transcript:rna-gnl|WGS:NBSK|LSAT_2X51881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAVSSVVNQPGVKAMNPTVNSLRHSPSSTTHPSITGLTTTSLQWFHHRLHLPIPKPHLHRPTTFTTFCSLRRPHPRRHFRRRRNPDSNIYFPTTDADTSSPHLDSKLHLVIDLEHYIPDRASLSLKKLLKITKQNFNQFVDSGIDAVDDLRSMITVDSNKKVVVSCRKTTVYFIGQLIALSFITVFAFRVLLKLGLGFRNLFRHRSNDGGGVVTRMDRSLGGREVVVSTQKDSKKEFNVSVNPLSSVEDSILSYSNSMTKNWEKSKKKLPDWWPDSRPAPLEGIDKEENQRKANWLIRAIMDYRTSGKDFQEDDIILLRRICRMSGVKVSIDTPNSRDSIYRASVDFTLNTCSRVGSHSTFVQIDGEDAREFIGGLADNIGLESFRAARMVAAAVAARTRSWLLQACFHFVFQALEMQGKHIEAVEELSKLCLIHRIFPPEEGSPEMEMVANGLEKHLKLEQREYLLKMLLGVSSEENRRSLIEALGLEISGGSVGDQQENMLS >cds-PLY88319.1 pep primary_assembly:Lsat_Salinas_v7:3:86544612:86545236:-1 gene:gene-LSAT_3X66921 transcript:rna-gnl|WGS:NBSK|LSAT_3X66921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSISTTSKSTNHTETHATPGSLPRVFPCNYCQRKFFSSQALGGHQNAHKSERMLAKRAMRMGIFSEKYASQVAKFPPHGASLRSLEIKAHSSQHQTFVPPFTRMPTEINSISPRYINGIIEFPIYVDDDGYDHMLWPGSFRQVDATVSVLDLLPKTVSVVDATVTPDLTLRL >cds-PLY77495.1 pep primary_assembly:Lsat_Salinas_v7:4:49689669:49692485:1 gene:gene-LSAT_4X33580 transcript:rna-gnl|WGS:NBSK|LSAT_4X33580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHSAAIWDSKLAIERVKDWNGIDQIVLRNPQGASARVNVKAPKAMRGGIPICFPQFGNCGSLEQHGFARNKIWVVDEDPPPLPTNDSNGKSFVDLLLKPSEEDLKSWPHSFELRLRVCLGMDGNLSLISRVRNMNGKPFSFSFAYHSYLSVSDISEVRVEGLETMDYFDNLCERERFTEQGDAITFESEFERVYLSSPNCIAVLDHERKRTYVLRKEGLPDVVVWNPWEKKSKAMADLGDEEYRQMVCVDGAVIEKPITLKAGEEWMGRLEILVVPSTFCSDFSNFN >cds-PLY88645.1 pep primary_assembly:Lsat_Salinas_v7:5:139441264:139443489:-1 gene:gene-LSAT_5X61200 transcript:rna-gnl|WGS:NBSK|LSAT_5X61200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQFVLLISRQGKVRLTKWYSPYSQKERTKVIRELSGMILTRGPKLCNFVEWKGFKVVYKRYASLYFCMCVNQEDNELEILEIIHHFVEILDRYFGSVCELDLIFNFHKAYYILDEVLIAGELQESSKKTVSRLVSAQDSLVEAVKEETNSISNIIAQATK >cds-PLY92821.1 pep primary_assembly:Lsat_Salinas_v7:2:2716617:2720912:-1 gene:gene-LSAT_2X1901 transcript:rna-gnl|WGS:NBSK|LSAT_2X1901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLECKMKSEQQQGESSHQELKTSNNYGSVMEDEDDTTGVSLRCLSLYTRGGGGCKVGAATTDDFAQLGKVPKPVCGTHDTSIDCFSYGVERFWKRNYTKKNHFEAQNNAMHVFLPDDILEMCLMRLPLTSLMNTRLVCKKWRSLTTTPRFLQMRKDGFYPSPWLFLFGTVKNGYCSREIYAFDVSFKKWHKIETEVLNGRFLFSVATVHDTVYIIGGCSSRKTHRGVLVFNPLMKSFHKVASMRHARSKPVLGVYEVNSDRLTIKSQTRTRTRTLTQTQTRFLIIAVGGVGSFDEPLDSCEIYDSSSNKWTEIQRVPVDFGVICSAVVCNGVFYVYSESDKLAAYDIKHGFWVRVPTNPVPPRVHEYSPKLVSCGGERLFMVAVSWCEGDGEIGRRNKAVRKLWELDLVCLSWNQVLMHPDAPMDWNAVFVGDKNLIFGVEMFKIFGQVLEFVTICDVSDPNMDWVHVSKNEVARDMDVSSCVMKSMAAVHL >cds-PLY81459.1 pep primary_assembly:Lsat_Salinas_v7:5:334662734:334664351:-1 gene:gene-LSAT_5X187781 transcript:rna-gnl|WGS:NBSK|LSAT_5X187781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDILKQELLKKRQSLSEETGGRKVFKRSEIEQRRIQKLREEEKRDAEAKRLRQDQNQNNSNSSDPNSSSNPNFKLDSSKSKPESGVGSSSKTPISDEQKIDALNLPKQEVIRRLRFLKQPVTLFGESDDDRLDRLKYVLKAGLFELDDSDMTEGQTNDFLRDIVELKKRQKSGLSSDRKRKTTDESTEDKDGGGGEDDVSGDGGSSGMDQDKDFKRMKANFADLCDEDKILVFFKKLLIEWNQELDEMTETEKRTAKGKSTFATFKQCARYLNPLFKFCRKKVLPDDIRQALMLVVKCCMEREYLAAMDHYIRMAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHVMNDETTRKYLQSVKRLMTFCQRRYPTMPSKAVEFNSLANGSDLHALLAQEQRRTAAAAAQIQEEEDQDRLLLMPPPPRESD >cds-PLY66670.1 pep primary_assembly:Lsat_Salinas_v7:1:51998723:51999142:-1 gene:gene-LSAT_1X42260 transcript:rna-gnl|WGS:NBSK|LSAT_1X42260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIFSSIDSQSVMASGVRLRPKKKKGKEAVTLLWGLRKGVRSEGNGGLGHLPVAKENEGRIVRSSLMGARQAAIAQVGTVWQQGSVEGRKGSKIDCLMLGVQRRRNKEIRR >cds-PLY86495.1 pep primary_assembly:Lsat_Salinas_v7:8:135178251:135178529:-1 gene:gene-LSAT_8X91941 transcript:rna-gnl|WGS:NBSK|LSAT_8X91941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVCRWFSVKIGLFVAWFYRWLVLEIAGGTKVVDRKFVGDGGAWMVLRKGRGSINGILDGKWWRWQMV >cds-PLY94942.1 pep primary_assembly:Lsat_Salinas_v7:4:111536226:111536871:1 gene:gene-LSAT_4X69900 transcript:rna-gnl|WGS:NBSK|LSAT_4X69900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTATSYEKGGRVIYGGKVGERSNILIKYFESINGITLMPSEYNTANWMLEMTTPAVEERLGQDFSVIYKNSKQYRDIEALIQQTSTPQLGSKPLHFSSTYSQTGFSQFRTCLWKQDLVYWRSP >cds-PLY93851.1 pep primary_assembly:Lsat_Salinas_v7:6:144146206:144148188:-1 gene:gene-LSAT_6X87140 transcript:rna-gnl|WGS:NBSK|LSAT_6X87140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGTLEKCKVCEKTVYFLEMISADGINYHKTCFTCSQCNGKLTISTYSSLEGVLFCKPHFEQKFKERGGLPKVTPANKPSDTGKAPNKFSALFSGTQDKCAACKKTVYPLEKVTVEGEFYHKACFRCTRGGCFLTPSNYAALDGNLFCKPHFSQLFKEKGSYSHLKEAVKKATTSKEGGEEATEEKEEKEGAPEEEQE >cds-PLY81625.1 pep primary_assembly:Lsat_Salinas_v7:2:1701245:1705959:-1 gene:gene-LSAT_2X880 transcript:rna-gnl|WGS:NBSK|LSAT_2X880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFASAIIGPIVESLIAPVKKQLGYLFSSMKHVRNMNTKMKQLDGTSSDVKKHMDANNRSYLEIPTRVPGWLEEVEKIKKDAQSISSTGNGCFNMKMRYRAGRNAFKIKEEMESLMDENSNIIWTDAPKPLGKVNSKIASSSAPSDGDAQNHFKSREKTFKDTLGFLQQNHKSQMIAICGMGGVGKTTMMEQLKKTAGDTKMFDYVLKVVIGQQINMFSVQQALAEYISLSISEMNTTTRAERLRISFTNLAERKQKVLVILDDVWEMIKLNDIGLNPLPNGFKLLLTSRYEKICTEIAAGYLDLKIVRVEVMEEPEAQNFFWQITGALNQHDQELNKIGTEIVRRCGFLPLAINLVAIRLKFEEKVAWRDTLRRLENKNLDYVQESVKISYDYIKEEEEKEIFLLCGLFPDDFNIPIEELTRYAWGLRLLNGVSTLGEARDRTETCVQSLKKANLLMDSDYIGCVKMHDLVLDFVLGRVSKGDHPWIINHGDISKWSSAGMSESCNRISITCRGMFEFPRDFKYPNLALLRLMHGDKSLTFPEDFYKQMEDLEVVAYEKMQYPLLPRSLECSTKLRTLILHQCLLMFDCSAIGELLNLEVLSFAHCGITHLPSTIGNLKKLKLLDLTGCVNLRIDNGVLINLVELQELYMRVNNRKAIRFTNGNCAELAELSKHLSALEVEFFDNNDTPKNMLLTELKRFRISMGCGLGDNTHKNMHSFENTLRLVTNKDELLESSINELFEKTEVLYLEVDGMNDIEEVLVEYVHLPQQSFNNLRVLDVNNCENLKYLFTVPIANGLVKLECLTVSDCPVLEVLAHSENGGDGAIKFQGLKVLRLDSLPKLIGLCNTANVIELPXWWRELDGLPNFSSIYPEKTSATSSMSSNVSAIQPFFNKQMLIPKLEKLGIWRMDKLKEIWPYQFSSSDEVNACMLREIRVSSCDNLVNLFPTNPMSLLGRLEVLDVRECGSIEVLFNIDMSCVGETEEYSSSLREIKVSKLEKLRELWRMKGESSSDILIRTFQAVESIEIGKCERFVNVFTPTVTNFDVKTVMKVSIDGRRTWEETRGNIELVQNNQEINVISKAEIISEVDGNIPDVESSIHPKPFHVNHLQILDVRGCKDVEVVFEIESSSNSSSSTDFTTTLHKYNHQPPPLLLPHLKELYLYNMERMSDVWKCNWKKLVIPQNQSQSYSFHNLTTIYMRNCHSIKYLFSPVMGKLLPNLKEVWIVECHGIDEVVSNRDINDENEEIISSTHTNTFSSFPLLEQLYLEDLPSLKSIDGGTRITTTSIHNQFQLLYITP >cds-PLY83142.1 pep primary_assembly:Lsat_Salinas_v7:3:95107564:95110249:1 gene:gene-LSAT_3X71480 transcript:rna-gnl|WGS:NBSK|LSAT_3X71480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHHRFSEPVRKWSESINKLSTTDFPSKGSVEFYSQLADHDRVFRGRGLSDSGEQQLTFVDGNSSLRIKSLGYLHYTTVSLGTPGQKFLVALDTGSDLFWVPCECSRCASIDNTPYSSKFNMSIYNPKESSTSKKVTCNHRMCTASCPGSGTLSTCPYSSSYISSQTSTSGILMEDFLHLETDDIHGQIVNAFITFGCGQVQSGSFLHIAAPNGLFGLGMEKISVPSLLAREGYIANSFSMCFAHDGSGRISFGDKGSIHQQETPFNFDASNPTYTITATQLRVGESLINSSFTALFDSGTSFTYLVDPHYTRLTKMFHAQTKDSRRLTDKKIPFEYCYAMSPNANTSLLPDVTLTMKGEGQFFIYNPVIVLPTHLEVIYCLAIIKNEEMSIIGQNFMTGYRIVFDREKLVLGWKKSSCYDIDNSNAYSSKPLNSEIIPHAVAAGFESEGNNRTRARSSNASFLHANFCFLTFDSVRLKKV >cds-PLY67309.1 pep primary_assembly:Lsat_Salinas_v7:8:236387536:236387811:1 gene:gene-LSAT_8X142981 transcript:rna-gnl|WGS:NBSK|LSAT_8X142981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAENGGGVREVGGSRRDFTAAASEDGDGSPAVLAFLFQRFYERERGKKGGLWVVIGDDGRADGWWQRLCCGGPWWFSGGTALSPEEENRWW >cds-PLY91053.1 pep primary_assembly:Lsat_Salinas_v7:2:54940744:54963311:-1 gene:gene-LSAT_2X24780 transcript:rna-gnl|WGS:NBSK|LSAT_2X24780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLVSLGRNLLHQFGHSAGICRVHHLFFQKGFFKKLRFLFIMGFLESVSKAFSPPPPKICGSPDGPPITSPRIKLHDGRHLSYKEHGVSKDSAKYKIIFIHGYNSCKEYNPLAITTSPDLIESLRVYIVSFDRPGYGESDPNPNRTLKSFASDVEELADQLGLGSKFYLVGFSMGGQLVWPCLKYIPQRLEGAALVSPGINNWWPSFPSNLSNEVYNKMMKRDQWARRVTHYLPLLTYWWNTQTLFPRPSLFSRVGEMFSPQDMEVLSKLSDLQMQTTPQGEFESLHRDLIIAYGKWEFDPMELEDPFPNIEGSVQIWMGDNDDFVPVALQRYIAQKLPWIKYHEIPGAGHMFFLADGMSDTILKTLLNVKD >cds-PLY96892.1 pep primary_assembly:Lsat_Salinas_v7:2:109153322:109153621:1 gene:gene-LSAT_2X48621 transcript:rna-gnl|WGS:NBSK|LSAT_2X48621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILFPRIIQVKQILRRSLSNGSGTTKSTDIPKGYLAIYVGEQEKKRYVVPVWLLSEPAFQELLDQAEQEFGYVHQMGGLTIPCSEYTFSDVASQLGAL >cds-PLY77508.1 pep primary_assembly:Lsat_Salinas_v7:4:49389016:49389318:-1 gene:gene-LSAT_4X33361 transcript:rna-gnl|WGS:NBSK|LSAT_4X33361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSILPDLRFRSEHNFSQVSTTKSLSTSSTMWNNNNASTTVDHILSTTIPSAAAHPTRHLFLCYNLRSLVLFPMTTPPPPSLSGSAIVVTSQEERTNTSSK >cds-PLY65578.1 pep primary_assembly:Lsat_Salinas_v7:5:338973011:338978525:1 gene:gene-LSAT_5X190860 transcript:rna-gnl|WGS:NBSK|LSAT_5X190860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAKTQLVIGDDGVAIITLNNPPLNLLSFEVMLSLKSSIEEALLCNEVKAIVVNGSGGKFSGGADVKVFGKSEMVKKRNEVGFISIELITNLLEAARKPLVAAIDGPAFGGGLEIALACHARISTPTSQLGLTELQILPSEPGTQRLPRLVGLPKALEMILMSKRVNGKDAFSMSLVDAVAPADELIRSASRWALDISEARKPWIHSLYRTDRLEPLKEARLILNTARDEAQKQNPNVTHPLICIDVIEEGIVSGARIGLWKEAEALNELRQSETCKSLVHIFFARYNTSKLQISGITDMGLQPRKVNRVGVIGPGSIAIATAFILANFPVIFKEDDENSLEATIGEIKANLHSHLMAGKMTKEKLERTVSLLKGVLSYDSFKDVDLVVEAVEGSIQLKQQVFADLEHYCPQHCILASSSPTLDLNLIGERTKSQFRIAGAHFCSSSMLEIVNTECTSPQVIVDLLDVAKKMKKTPILVRNGSGLVVNRIRAMYSQAAMFVAAEQGEEGKHRVEQVMDKFGMAISPFRMMDIVVESMSTLSQNKQDTGSSNSTWKEAKDSPNDFKVAKLSEEDIMKMILFPVVNEACCIMEEGSVVKASDIDVASVVAMGFPSYRGGVVYWADHTLGSKYICSTLETWSNAYGPFFKPCAYLRKYSSIVRKRNFSKI >cds-PLY82811.1 pep primary_assembly:Lsat_Salinas_v7:1:87838513:87840118:-1 gene:gene-LSAT_0X4520 transcript:rna-gnl|WGS:NBSK|LSAT_0X4520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILSFLDAHSVASSLAVSCRWRRVACSDTIWSEKIKELWADKAHLPRFAQVEGLSKLSAYTLSIQDGKRNLDPYWRGTGPPMHRYFHEDGSQTVDVDDKVWGGHECCYSIVTSFLADGRIREHYVRINSWPQLSISRRHDWGWEMSNGLYLYSSVPDTYKPGGTGPIFLFIN >cds-PLY65739.1 pep primary_assembly:Lsat_Salinas_v7:5:275338664:275339438:1 gene:gene-LSAT_5X146160 transcript:rna-gnl|WGS:NBSK|LSAT_5X146160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKVAKQDKKKQPRGRAHKRIQYNRRFVTAVVGFGKKRGPNSSEK >cds-PLY68640.1 pep primary_assembly:Lsat_Salinas_v7:8:97757259:97759134:-1 gene:gene-LSAT_8X68960 transcript:rna-gnl|WGS:NBSK|LSAT_8X68960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHHTWGVFFIIGGLVLFLILLIIIFAFRKSIRTAQLGKCVKREDSCKAAKDYFSGKLQTISYFSFRTLKEASKNFDECNLLGEGGFGPVYLGKLQDGRLVAIKKLSLEKSQQGEEEFLAEVRMITSIQHKNLLRLLGCCSEGPQRVLVYEYMKNRSLDLIIYGKSNQYLNWNMRFQIILGIARGLQYLHEESHLRIVHRDIKASNILLDGNFQPRIGDFGLARFFPENQAYLSATLAGTLGYTAPEYAIRGELSEKADIYSFGVLVLEIISCRKNTDLTLPSEMQYLPEYAWKLYERSKLIDLIDSRMQKDGFSVKDVMKTVHMALLCLQSHPNIRPAMSEIVAMLTWKVEMVKSPLKPTFLHHRQQPKGEVSRETISDSFPSTLETESPSLTQPPNSRDFDVGESIV >cds-PLY88083.1 pep primary_assembly:Lsat_Salinas_v7:6:181809847:181810395:1 gene:gene-LSAT_6X111360 transcript:rna-gnl|WGS:NBSK|LSAT_6X111360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDMSPFSTNQWIQEYPTAEMTQSGGEPSDDTSIAVHRDSSQGTIDQTGPKPIRRKSRASRRAPSIVLNASPTDFRALVQRFTGCDSGVNVGSGFVLDPVVNLPKGPLNIDFAKKDISTESSSRYSYFDNQVSSTLQGEQMQSVGGFDMQVGYGMENASLVYDESTLMATRRDGASHDQYHL >cds-PLY98175.1 pep primary_assembly:Lsat_Salinas_v7:1:142466757:142468687:-1 gene:gene-LSAT_1X104560 transcript:rna-gnl|WGS:NBSK|LSAT_1X104560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRECSRAAASNYLKEAGDAHGTVKIQISIGDGPGTGLAWGCDLSYDYVKINYATSLGPGSLDKCWSSKCSHGYQDVIDCSYALSNPILVYMSKVDGRFNFSPISVNFLTEVAKVIFALVMLLIHARNQKIGEKPLLSVSSFVQAARNNVLLEIYYKNSTGGSELSTN >cds-PLY73363.1 pep primary_assembly:Lsat_Salinas_v7:7:63910233:63910797:-1 gene:gene-LSAT_7X46340 transcript:rna-gnl|WGS:NBSK|LSAT_7X46340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHRSAPTSPFHFLSSCLRQPATSPLPSPPIAPPPLSPRVAPPSFVKIVDFPIAPHRLSSSDDRRRKVSHPGACQNTSLGLVCGLVVG >cds-PLY91941.1 pep primary_assembly:Lsat_Salinas_v7:8:196123866:196124304:-1 gene:gene-LSAT_8X126800 transcript:rna-gnl|WGS:NBSK|LSAT_8X126800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRLPSLVSNVKCFGKSISLRDRSYQSDVPKGHLAVYVGETQKRRFVVPISYLDQPLFQVLLRQSEEEFGFDHPMGGLTLSCKEDDFVKLTTLLKAS >cds-PLY99045.1 pep primary_assembly:Lsat_Salinas_v7:6:150138805:150142971:1 gene:gene-LSAT_6X90700 transcript:rna-gnl|WGS:NBSK|LSAT_6X90700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G62750) UniProtKB/Swiss-Prot;Acc:Q9SI75] MAAESVAAASLCNFSGNNGSSSSRPLRRFFLSPATSLRRRSLTSSFFGTQRLTTNISTSHFQKRNLSVFAMAAGPEDGKRGVPLKDYRNIGIMAHIDAGKTTTTERVLYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWNNHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIISNLGAKPLVLQLPIGAEDVFKGVIDLVRMKAIVWGGEELGAKFSYEDIPSDLQELSEEYRALLVENIVELDDVVMEAYLEGVEPDEDTIKKLIRKGTIGGSFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDVPPMKGTDPENPEIEIERIANDNEPFAGLAFKIMNDPFVGSLTFVRVYAGKLAAGSYVMNANKGKKERIGRLLEMHANSREDVKVALTGDIVALAGLKDTITGETLCDPEKPIVLERMDFPDPVIKVAIEPKTKADVDKMANGLIKLAQEDPSFHFSRDEEINQTVIEGMGELHLEIIVDRLKREFKVEANVGAPQVNYRESISRVSEVKYVHKKQSGGQGQFADITVRFEPLEAGTGYEFKSEIKGGAVPREYIPGVIKGLEESMSNGVVAGYPVVDLRAVLTDGSYHDVDSSVLAFQLAARGAFREGVRKAAPKILEPIMRVEVVTPEEHLGDVIGDLNSRRGQINSFGDKPGGLKVVDSLVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNQLSAAKEEAVAA >cds-PLY73972.1 pep primary_assembly:Lsat_Salinas_v7:5:105483422:105487194:1 gene:gene-LSAT_5X48061 transcript:rna-gnl|WGS:NBSK|LSAT_5X48061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKLLSSFLALCFLIIFQETGHTKVAGRNLKDEILLLNQQIEQPYRTGYHFQPPSNWMNGPMLYKGVYHFFYQYNPYAATFGDIIIWAHAVSYDLVNWIHLDPAIYPTQEADIKSCWSGSATILPGNIPAMLYTGSDSKSRQVQDLAWPKNLSDPFLREWVKHPKNPLITPPEGVKDDCFRDPSTAWLGDDGVWRIVVGGDRDNNGMAFLYQSTDFVNWKRYEQPLSSAVATGTWECPDFYPVPLNSTNGLDTSVNTGSFKHVMKAGFEGHDWYTIGTYSSDHENFLPQNGLSLTGSTLDLRYDYGQFYASKSFFDDAKKRRVLWAWVPETDSQEDDIEKGWAGLQSFPRALWIDRSGKQLIQWPVEEIEALRQNEVKLEDTNLKPGSVLEIHGITAAQADVTISFKLENLKEAEVLDTSSVDPQALCTERGASSEGAFGPFGLLAMASKDLEEQSAIFFRVFQNQNGRYSVLMCSDLSRSTVRSNIDTTSYGAFVDIDPRSEEISLRNLIDHSIIESFGAGGKTCITSRIYPKFVKNEDAHLFAFNNGTQSVKISQMSAWSMKNAEFVVDQTVKSTM >cds-PLY77868.1 pep primary_assembly:Lsat_Salinas_v7:1:22485060:22486719:1 gene:gene-LSAT_1X19640 transcript:rna-gnl|WGS:NBSK|LSAT_1X19640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEDERRIKMSSLREKTASLPPKISSNAVHNSDPNLSANFNFPANDDDDGYNNRRSDASANEPNRISCDASPITMSPWNQTTPFQSSPWLSGAADSSGHQKNDFVGSIVREEGHIYSLAATGDLLYTGSDSKNIRVWRNMKEYTAFKSNSGLVKAIILSGDKIFTGHQDGRVRVWKKNRKNPRNHKRIGTFPTFFDVLKTSMRPKNYVEVKRKCTTLWIKHCDAISCLSIEEGEGLLYSASWDRTFKVWQTSKSKCLESVKAHDDAVNSVISTKSGLVFTGSADGTVKVWKREGKGKTMKHHHVETLLNQECAVTALAVSKNGSIVYCGLSDGLVNFWEWEKQLSHGGFLKGHKLAVLCLASSGNLLLSGSADKTICVWKREGNVHNCLSVLTGHTGPVKCLAVEREDGSSSGDGKLVVYSGSLDKSVKVWNVSEKSPETQQMVTMPSLKEFAVL >cds-PLY82328.1 pep primary_assembly:Lsat_Salinas_v7:1:66787603:66788994:-1 gene:gene-LSAT_1X58280 transcript:rna-gnl|WGS:NBSK|LSAT_1X58280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLEQDVQVPRFFICPISLEIMKDPVTLSTGITYDRDCIEKWLFSQKNEVCPVTKQVVVDIELTPNHTLLRLIQSWSTLNPSSGIGTFPTPRLPISKTEIVKLLKDSSSKSPHLQMKCLKKLKTIVMENEKNKKLMESVGAADYLAWILTNMDNKMTSSPPAGDVSGVDEFISTGTDEALSILHHLHLSPMSLKSLFGKTGDFVETLTRVMERATSYESRAYAVMLLKAMLEVAEPLKVTSLNPRLFIVLVQILVDEISQKATKATLKLLINVCPWGRNRMKAAEAGAVQVLIDILLDCTEKRVSEMVIVVLDQLCQCAEGRSELLKHGGGLAVVSKKIFRVSSLASEKAVSILHSVAKSSGNRSVLQEMLHLGVVGKLCLALQVDCGRKTKESASEILKMHSRVWKNSFCIPYNLIVSYPS >cds-PLY83591.1 pep primary_assembly:Lsat_Salinas_v7:5:17930408:17931368:1 gene:gene-LSAT_5X8180 transcript:rna-gnl|WGS:NBSK|LSAT_5X8180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEAVVVPVEAPAAPAAALGEPMDIMTALQLVLRKSLAHGGLVRGLHEAAKVIEKHAALLCVLAEDCNQPDYQKLVKALCADHNVSLVTVPAAKTLGEWAGLCKIDSEGKARKVVGCSCLVVRDYGEESEGLHIVQEYVKSH >cds-PLY63284.1 pep primary_assembly:Lsat_Salinas_v7:3:90873065:90874362:1 gene:gene-LSAT_3X68641 transcript:rna-gnl|WGS:NBSK|LSAT_3X68641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHPFIADAHEIVCLLQHLRSAKLLILSLGILQRLFEEREHLSSSMELIPHQTCVFANARILKFIPKLPVKVYLEVQAEEKVTEIKNYDTSPGAIFPMVSHKMIMETLQEIKVMGDMASAQIFVKNLRLFLKECKANTNNKAQMDEHGKPQVEKPWAWEFQLNLGEMMALIKQSKSVALETCLIMAKFRPSSSERLQIIEWLQEMRSLFQCIEGLMTPKKDVMQPIFSRLCEDATILTNSILGWMKTRQETQDTNVLSI >cds-PLY75902.1 pep primary_assembly:Lsat_Salinas_v7:9:199776575:199780965:1 gene:gene-LSAT_9X123300 transcript:rna-gnl|WGS:NBSK|LSAT_9X123300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDGKKMITGRVVLQKKNVLDFNDLGASVLDRLHEVFGGNISFQLISAIHPEHESSEGRLKGKLGNPAILEDWITTITPLTVEDTEYEVSFEWDDKIGVPGAFLVKNRHHSEFYLKTLTLHDVPGHGHVHFVCNSWVYPSKYYLKDRIFFANKAYLPGETPELLGPYREEELVILRGNGSGELKEWDRVYDYAFYNDLGDPDHDLDDARPVLGGSTEYPYPRRGKTGRPATESDPETESRIPLINSLSIYVPADERFRHVKLSDFLGFGLKSTGQFLVSELEALIDSTPNEFDSFEDVLKVYEGGIKLPVLEKARKKIHVELLRIISQTDGEGLLKFPMPQAIKGDKSAWRTDEEFAREMLAGVNPVSIRLLKEFPPTSKLDFKVYGNQNSSIKRYHIESKLDGLEIEEAIKTNKLYILDHHDSLMPYVRRINATSNKIYATRTLLFLQKDGTLKPLAIELSLPHEGGDHLGAISKVYTPSEHGVESSIWQLAKAYVAVNDSGVHQLISHWLNTHCAIEPFVIATNRQLSVLHPIHKLLQPHFKDTMNINAFARQILINGGGLLEATVFPGRYAMEMSSVLYKDWVFPDQALPVDLVKRGMAIEDSNSPHGLRLLIEDYPYGVDGLEIWFAIKTWVEDYCSFYYKNDDMVQNDTELQSWWKELREEGHGDKKQETWWSKMQTCDDLRDTCTTIIWIASALHAAVNFGQYPYAGYLPNRPTISRRLMPEPDTPEYDELIEDPDNVFLKTVTAQLQSLIGIALIEILSSHPAEEVYLGQSGCPNWTMDSEALKAFEKFGMKLREIEGRIVERNNDGSLKNRVGPVQVPYTLLYPSSKEGLTGMGIPNSVSI >cds-PLY89846.1 pep primary_assembly:Lsat_Salinas_v7:4:323851246:323855214:-1 gene:gene-LSAT_4X161581 transcript:rna-gnl|WGS:NBSK|LSAT_4X161581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g67570, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67570) UniProtKB/Swiss-Prot;Acc:Q9FJW6] MESLRLRLPPRPPIPSQQPDTELIKRNLLKNGVTPTPKIIHTLRKKQLQKSNRSRPPPPDQTPEQEQEQQEVANFQTLKAEFKTFNREIVKATKSKQDTDIKHAGVSSSLVGKPWERLERTELMECAITNKEFVGGENLKYEHLDELSEFIEKERDKFKWIMEDDIELEDGMLQNKNDNWVPPKRKVSDGEAIRFLVDRLSGTEPSMKNWKFSKMMKQSELQFTEDQLLKIVGGLGDKGQWKHALSVVKYVYNSKEHKHFKSRFVYTKLLSVLGKSRRPHEALQIFNLMLADYHLYPDMAAYHCIAIALGQSGLLKELVNVIDSMKQNPSKFIKKTKRKNWDPVLQPDLVIYNAVLNACTLSGEWKGVSWVFEELRKSGLKPSGATYGLAMEVMMASGKYDLVHEYFEKMKKSGNAPKALTYKVLVKAFSKEGKVNEAIEAVRDMEQKGVVGEASVYCELAFSLCYNGLWQEAILEIKRMKRLRLTRPLVVTFTGMILSSMDGGHIGNCIRIFETSKEHCSPDIGIINAMLKVYGRNDMFFEAKELFEDTKRSNGHNISLGRDGPFVSPDAYTYGEMLRVSASAHQWEYFDYIYKEMVFSGYQIDQNKHTFLLVEASRAGKTHLLEHAFDVMLESGEIPPSSFFVEIICRSMIQDDFDRALTILNTMAHAPFHVREKEWRGLFEEYKGKITRSHLRKLFEKIGTHDITMEASAYKLSRLLQSLCGPKSKSKPKSNEGGEIERELPGKLDSIMEHFADELTIDESVDCFGDEKSKLTDYDEELDDDDDGYDSEGFLVGELGEGGVDESKKSKLPSADGILENWKESMIKDGVFLPFKIGMK >cds-PLY78862.1 pep primary_assembly:Lsat_Salinas_v7:5:306359850:306367959:1 gene:gene-LSAT_5X166280 transcript:rna-gnl|WGS:NBSK|LSAT_5X166280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RPT1 [Source:Projected from Arabidopsis thaliana (AT3G45780) UniProtKB/TrEMBL;Acc:A0A178VFT4] MESKKHAQDKSSSPLFLPAQRDVRGSLEVFNPSANDTTAPFRPQPTWRSWAESPPQNNPETPPSEAVNVNVASLSTSHSGRLPADEIKSWMALKDPNPYEVPPVTLAPKSPAFAQSSKAAVMAPQSPGGIVGAAEQRAAEWGLVLQTDTETGKPQGVKVRTSGEDPNAKPGSNRRDSGNSLQSSSDISDDEGLGKERGFPRVSEDLKDALSTFQQTFVVSDATKPDYPILYASAGFFKMTGYTSKEVIGRNCRFLQGADTDPEDVAKIREALQKGTTFCGRLLNYKKDGSTFWNLLTVSPIKDEAGNILKFIGMQVEVSKHTEGTKEKTLRPNGLPESLIRYDARQKEMASSSVTELVEAVKRPRSLSESTNRHPFMTESGKKEKQDKADASGLGRRKSAETTYTPPPPPPRRNSHAGVRTIKTMQPIDELPEKKQKKPRRLSFMGIKKKNRKSSEEYDDGFEGDINIQDTDGEVDDEDDGFELKKADSRPESLDDKVRKKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPTTVKKIRDAIDNQREVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSQHVEPLHNCIPEATAKDGAVVVKETAANVDEAVRELPDANMTPDDLWAKHSNEVRPKPHRRDSSAWNAILKVIESGEKLGLKHFKPIKPLGSGDTGSVHLVELCGTGEFFAMKAMDKSVMLNRNKVHRACAEREILDILDHPFLPALYASFQTPSHVCLITDYCSGGELFMLLDRQPMKVLKEEAVRFYAAEVLVALEYLHCQGIIYRDLKPENVLIQSSGHVALTDFDLSCLTSCKPQLLIPEVNEKKKRRHHKGQQTPIFMAEPVRASNSFVGTEEYIAPEIISGAGHSSAVDWWALGILLYEMFYGYTPFRGKTRQRTFANVLHKDLKFPGSIPVSLQAKQLIYRLLHRDPKNRLGSHEGASEVKRHPFFRGINWALVRCQSPPKLETPVFGADEDEKVKEADPGLKDLENNVF >cds-PLY82412.1 pep primary_assembly:Lsat_Salinas_v7:2:188426803:188427387:-1 gene:gene-LSAT_2X110301 transcript:rna-gnl|WGS:NBSK|LSAT_2X110301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSKGRKKIEMKRINNISSLLVAFSKRHSGVFKKASEMCTLCGVEMAIILFSPTKKVFSFGHPSVQTIIDRYLKQNLLPSARTSQLVQHYRNTKTQELNVQLTNLRGQLEAEKKTSDELNTIRKARQESFWWDSPIENLGVEQLEKLKVMMLDLKNNSINQAEKIVMAEAANLTEVLPMCGSTWLGGIGPSV >cds-PLY84688.1 pep primary_assembly:Lsat_Salinas_v7:2:151508209:151510938:-1 gene:gene-LSAT_2X78040 transcript:rna-gnl|WGS:NBSK|LSAT_2X78040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLQISFFFSLFTAFLYQTGIVGSVVVPATSCYALDNSSHIHDFSSWFGHPIEYDGVDTDYAIRFCKDVETRSQPGYVAFGRFDDFNYFVAGSGRYDFVQEFYNGDLQHCEISLDKRGRTAQVNIICGDCPNGRCKGGLECVCNVTLESDCRIIVELAIPCEKPGLRVFEGFTVGLHPRSWEIVYNGMTQIGYEKAYKDYRFDTDQSDVSLYMTAIASLSHLVKKPSIKVSPETGLEVTLSGSGATGTPPTTLSPTLLNIDWRCEKTSENPYEVEITIPVEGYDPIQFTLTKMCESRQNKGGDSTKGWALFGIFSCVFFVISILFCCGGFVYKTRVQKQHGIDALPGMTILSACLETVSGVGGGSGSGSGYLRVDDPNDVFTSQASWTREPTEVASGSSRTSERKYGT >cds-PLY81827.1 pep primary_assembly:Lsat_Salinas_v7:3:33182860:33186105:1 gene:gene-LSAT_3X23940 transcript:rna-gnl|WGS:NBSK|LSAT_3X23940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSSSTPESLIAAVLEQPYRTGFHFQPPQNWMNDPGQMWYNGVYHFFYQHNPFGPLFDKRMHWGHSVSYDLINWIHLDHAFAPTEPFDINGCWSGSATILPGNKPIMLYTGRDTQERQVQNLAVPKDLSDPYLKEWVKHDGNPVINLPEGIQPADFRDPTTAWIEEDGKWRLLVGSKKDRTGIAFLYHSDDLVNWVKYDSPLHQAPETGIWECPDFFPVWVDSTMGVDTSVVNPSKEVKHVLKVSFIERFHDYYMIGNYSPEKEHYVPENDDLTQISGLRLDYGRFYASKSFFDPVKVRRITMAWVHETDSKADFIARGWAGVQSFPRSIWLDKNQKQLLQWPIEEIETLYGNQVSFKNKKLEGGSLHEIQGITASQADVKISFKLENLEEAEELDPSWVDPQIICTKNDASKKGEFGPFGLLALASNDLTEQTAIYFRIFQKNGRYVVVMCSDQSRSSKKEGSDKTFYGAFLDIDPQQDEISLRTLIDHSIVESFGGGGKSCITAKVYPTLAIGKDAKLFAFNYGTKSVVISEMNAWSVKTAQMSIEESNV >cds-PLY74138.1 pep primary_assembly:Lsat_Salinas_v7:9:11677573:11690706:1 gene:gene-LSAT_9X8641 transcript:rna-gnl|WGS:NBSK|LSAT_9X8641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLVSTWSRTAQILPENYVFPIGERPGSVEVPICQNIPLIDLAEGQSQSQTIDTILAACEEFGFFQVINHGVSEEMMADIRVLYDEFFNMPIEDKLSVYSENFGKGCTLYTSGLNYAKEDVHFWKDTLKHGCHPLEEHSPSWPDKPARYREEVGRYVVEVRKMGFKILDMIGEGLGLTEGYFAGVSQEQSMAINHYPPCPDPSLAMGIGGHTDPNLITFLQQDQYGLQIQKDGKWMGIDPIPNAFVVNLGYLLKIISNEKLKSVEHRGVTNSIASRTSIVTFFGPNPGLPIIIEPAKKIVTSSSPQIFKSIQYNDFIANYLALLRKPGPRNGTPLDPYRL >cds-PLY74532.1 pep primary_assembly:Lsat_Salinas_v7:7:35939046:35939638:1 gene:gene-LSAT_7X26360 transcript:rna-gnl|WGS:NBSK|LSAT_7X26360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEINEEFDESDVVFTGNNVDDGDRCLKCFTDSDPEESRRVKRKKVEKKRTKTKAMDIPESFALFHYMEPSLFEYEDEDEEEMVPPHVMVRRRVVAKMAFSVCSGVGRTLKGRDLSEMRNSVLRMTGFLET >cds-PLY88485.1 pep primary_assembly:Lsat_Salinas_v7:4:292758429:292759824:1 gene:gene-LSAT_4X148101 transcript:rna-gnl|WGS:NBSK|LSAT_4X148101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDFHNPRVQAWARLCKCLGQDFLPYMNVVMPPLLHSVQLKPNVTISSVDDSDDDIDKSDDEKCDF >cds-PLY73707.1 pep primary_assembly:Lsat_Salinas_v7:8:168648241:168648429:1 gene:gene-LSAT_8X110241 transcript:rna-gnl|WGS:NBSK|LSAT_8X110241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRLQRFTERVWVVLDRKEGRKEVVTSLFLPEINTPQMRFLAAIWRMKVSSSNLSDGVSGCC >cds-PLY68057.1 pep primary_assembly:Lsat_Salinas_v7:5:293179784:293181742:-1 gene:gene-LSAT_7X61681 transcript:rna-gnl|WGS:NBSK|LSAT_7X61681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRTAPIIQFRSSTASCITLLFHFVANGVGSFIELPTADVATITSSILLWSFSIRSQKYNFFYLCSDQHSPTTSPLTTCSNAVFTDTSVTCTPFIDDAYNKNNLFWCLIWASTFSGPTSVISSAAIVVAQESPNINFLEMKEAKEDDPAPKNTWAKWRLGAVIRVVVLTGDSSKST >cds-PLY92604.1 pep primary_assembly:Lsat_Salinas_v7:7:161997277:161998280:-1 gene:gene-LSAT_7X94121 transcript:rna-gnl|WGS:NBSK|LSAT_7X94121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCWFCTNRSGGIITRRPSGSKPVETDGAPLVDQEDLKAMIWLHRVVQVTVCYTCSSFMIGRALTSLFWGVVADRYGRKPIILIGTFSVFIFNTMFGLSSSFWMAISSRFLKHCTNIAMHQK >cds-PLY64187.1 pep primary_assembly:Lsat_Salinas_v7:7:4292768:4295925:-1 gene:gene-LSAT_7X3500 transcript:rna-gnl|WGS:NBSK|LSAT_7X3500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIYDKAFMNSELSKHTSIFGLRLWVVVGIFVGVIIVLVLFLISVCITSRRRHSHSTKKNEQTLTPAVSKEIQEIVPHESSAAVDHRMSVPEIQIDIGKSEHRVVFSDRQSSGESRATSNDTASYGGGNGGSSLPQVSHLGWGRWYTLRELEAATNGLADENVIGEGGYGIVYSGILGDNTRVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGDVSPLTWSVRMNIVQGTAKGLAYLHEGLEPKVVHRDIKSSNILLDRQWNPKVSDFGLAKLLGSDTSYVTTRVMGTFGYVAPEYACTGMLTEKSDVYSFGILIMELITGRNPVDYGRPQGEVNLVEWLKTMVGNRKSDEVVDPKLTEMPSSKALKRILLVALRCVDPDAQKRPKMGHVLHMLEADDLLFPTERRESSRSNRDYRVENSSRLNDKKSGDRDSDTSEGDSRRR >cds-PLY73810.1 pep primary_assembly:Lsat_Salinas_v7:7:69494697:69496352:1 gene:gene-LSAT_7X50020 transcript:rna-gnl|WGS:NBSK|LSAT_7X50020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRVTFDSSSHASYEDARARIKHQTLLQDFIELQQETEARRSNLMALKQKKLTLQAEVRFLRQRYKFLTNNKSSSTPKEQLFSKSHPTRVKKSKKEKVNHEKQSPLHNIKKKAPEFDLNLGNSLHVKEQSVQRAISNQRVRINGPKINPFLDSNPQNNLFGYQEPMGQKKPVIDLNQISREEEELQDQCQPFDHHHTNVRLSIFRNVPGGSGLDLGPGPGMGLGSGSRVKPVKRKISWQDPVALSVWGV >cds-PLY84993.1 pep primary_assembly:Lsat_Salinas_v7:3:122158131:122160800:1 gene:gene-LSAT_3X84940 transcript:rna-gnl|WGS:NBSK|LSAT_3X84940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNEGEYMLVPHKHKINFYRTTKVRVSTDFVDTVDPYYFISFPDLLARNFDTRVAFDFLGEVVSTDPMRVIVEYGREKRLMNLVAQDLSGTKIAVALWGNFALKLNTYISQHNETAPVIILLCLAKLKIWGGQPQVGNCLFRSRLHINDDMPQILEFKSNLNALDTNVESSSRTSQLNLDIVVANPEDYYLLCVQDESGSSSFVLFEHHDQGRQQISDEFKILLNKKFVFKVKIYMFNLQNNYYAYTIHKLTDDERVIAELFKRSPNHQHHNINDNVTPINKPNKENTNYVHDDNLDVVDLEAVTPSSCTGKCPIEIEVASQTDWAEYLLQKGSSSFKEYIHSKSV >cds-PLY74686.1 pep primary_assembly:Lsat_Salinas_v7:5:177980118:177982179:1 gene:gene-LSAT_5X79280 transcript:rna-gnl|WGS:NBSK|LSAT_5X79280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPTGEQDLLSTEIVNRGVESSGPDAGSITFSVRVRRRLPDFVQSVNLKYVKLGYHYLINHAIYLATVPVLILVFSAEIGSLSREELWRKLSDSTAGYDLATLLAFFAVFVFTLSVYFMSRPRSIYLIDFACFKPTDDLKVTKEEFIDLARRSGKFDEASLEFQKKILESSGIGDETYVPRAIMSPDNISTMKEGRAEASLVIFGALDELFKKTRIRPKDVGVLVVNCSIFNPTPSLSAMIINHYKMRGNILSFNLGGMGCSAGIIAVDLARDMLQANPNNVAVVVSTEMVGYNWYPGKDRSMLIPNCYIRMGCSALLLSNRSRDYRRAKYRLEHIVRTHKGADDRYFRSVYQEEDEQRFKGLKISKDLVEIGGDALKTNITTLGPLVLPLSEQLLFFATLVKRYLSGTKPKGSTTATSVTLDGSQKSPPTSSGTKPYIPDYKLAFEHFCVHAASKTVVNELQRNLGLSNANVEPSRATLHRFGNTSSSSIWYELAYLEAKGRVKRGDRVWQLSFGSGFKCNSAVWKAVRRIKKPTSNNPWLDCLDRYPLEELS >cds-PLY96606.1 pep primary_assembly:Lsat_Salinas_v7:7:44650024:44651629:1 gene:gene-LSAT_7X32781 transcript:rna-gnl|WGS:NBSK|LSAT_7X32781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYFSWKREIRSKTKYEKVPIVNNLKNKGIKEFVKDEKEDKVRKTSKEYPPGLRNLPTRMKDDNITAAVKGMTPKQKQEILRMGFGSILQLVYVYNMKYAIKLDKRLPFIVHITGANLLENQRLEISLVGFGRQFRDDHDDVDMKDETRGEEEQLVNFKKDEVYTTVLGHSYGLIVTEKHMMEVTLEDDLEKFPDSVVLKESMEKMNELLIEVHEGENNESMHEPEGYNELNLNDIDDGDEGNSSPVIGLIVYAHEDVNYSTPVDTNSLTIS >cds-PLY68620.1 pep primary_assembly:Lsat_Salinas_v7:2:72074654:72077863:1 gene:gene-LSAT_2X31480 transcript:rna-gnl|WGS:NBSK|LSAT_2X31480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESQRTTICSASSLVKDHGSATTTDSPMDTDRIGSVKRVTNETNVAVKLNLDGVGSPDSSTGIPFLDHMLDQLASHGLFDVHVKAVGDTHIDDHHTNEDVALAIGTALLNALGDRKGINRFGDFTAPLDEALVHVSLDLSGRPHLSYDLQIPTERVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEYDPRRRGTVPSSKGVLSRS >cds-PLY70289.1 pep primary_assembly:Lsat_Salinas_v7:2:92266203:92275386:-1 gene:gene-LSAT_2X39781 transcript:rna-gnl|WGS:NBSK|LSAT_2X39781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCDESEGECHPLLRGGRTEEYQTKSFSSSEMETLSSLCDVILQPLPLNSLDDNGNKVEESIRSFSTASGSQYSVPTKVAHHLMKRGFLEAVMVVRLVVALLSTRLGTFLLSGSLCLSHKWPYVKKFSEIPLHKREKVLQKWFNNRFLTPIRLGFVFLKTLCLLVFFSQVGEKADNPAWKAIGYHIDITEEHPKEDHNERPLQKGMVEIMKENDQTLVHSLLQKGLDVTEEFKENICKIKCDVVIVGSGCGGGVAAAILAKSGKKVVVLEKGNYFTQKDYSKLEGPSLDQLYESGGILPTLDGKIMIQAGATVGGGSAVNWSACIRTPQPVLQEWAEEENMKLYESHEYMSAMNKVCERIGVTKKCTREGFQNQVLRKGCQNLGLKVEDVPQNTSKNHYCGSCCYGCRSGDKKGTDSTWLVDAVDHGAVIITGCNAKKFILTSNKGKKRRKKCVGVIAQVLNQEISKRLHIEAKVTVSACGSLLTPPLMISSGLKNPNIGRNLHMHPVAMAWGYFPESDDSDLTGKNQEGGILTSVHNPGSDETYILEVPALGPGSFAALCPWISGKDLKERMLKFSRTAHVFSLVRDRGSGKVTSAGRIGYKFSKFDNASIKKGLRQALRVLIAAGAVEVGTQRSDGQRLKCKGTSEKEIEEFLETVDAVGGPMSMVKDWNIYCSAHQMGSCRMGKSEKEGAVDENGESWEAEGLYVCDGSILPSAVGVNPMITIQSTAYCLAERIADTFG >cds-PLY95873.1 pep primary_assembly:Lsat_Salinas_v7:5:317858107:317858402:-1 gene:gene-LSAT_5X174040 transcript:rna-gnl|WGS:NBSK|LSAT_5X174040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQLLNLEWKFFLPISLDNLLLTTCSQLISLYSRYVPYGNRVHELWPKNSTSRNVYLSRFHDYLITPESFT >cds-PLY81403.1 pep primary_assembly:Lsat_Salinas_v7:8:31933570:31934888:-1 gene:gene-LSAT_8X24760 transcript:rna-gnl|WGS:NBSK|LSAT_8X24760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGGGFGSRGRGGGGFRGGRDGGGRFGGGRGGRGGGGGRFGGDRFNEGPPEEVVEVSSFVHACEGDAVTKLTNEKIPYFNAPIYLQNKTQIGKVDEIFGPINESFFSVKMMEGIVATSYGAGDKFYIDPMKLLPLARFLPQPKGAQSGGRGGGRGGGRGGGRGGGGGFRGRGAPRGGRGGGFRGGGGRGGGFSRGRGRF >cds-PLY95159.1 pep primary_assembly:Lsat_Salinas_v7:1:93169151:93170480:1 gene:gene-LSAT_1X76820 transcript:rna-gnl|WGS:NBSK|LSAT_1X76820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRVEYNIIITSAFIVAALSLISSKTIAATVPGLINIVNLGAIADGKTDSTLPFQSAWASACSSSKTVTIYVPSGKFFLQSAYFHGEHCSNKAINFRIDGTLLAPTDYRKSSSDVSWITFEKATGVSISGGTLDGRGTGLWACKASSSSCPAGVATLGFYNSRDIIINGLSSINSQKFHIVMKGCQNVKLQGITISAPGNSPNTDGIHIEFSTGVTVLSSRIATGDDCVSIGPGSSNLWIQSITCGPGHGVSIGSLGWSAQEAGVQNVTLKTATFKSTQNGVRIKTWARESNGFVKGVVFQDLTMVQVQNPVIIDQNYCPGEHNCPHQLSGVAISDVIYEDIRGTSATDVGVKLDCSKEHPCSGIKMEDVNLSYDNRPAQVSCTNALGTTGGGGGAAAVIHDTTSSSCLM >cds-PLY65077.1 pep primary_assembly:Lsat_Salinas_v7:1:116902452:116903739:-1 gene:gene-LSAT_1X85820 transcript:rna-gnl|WGS:NBSK|LSAT_1X85820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIADIHEDIIKTHILTRLDGQTLAAACCTSSQLQTLCSDHKLWSDICSSNWPSIADPLVSQAISNFPSGYRSFYSDSFPSPSHHLATATSSPPTTNIISSVDIKYHDELVFSKAESTNTTPSDWFQSSPFRIDLLGPKEIVPSAVKFAGEDQTLLLNLEKNMTLSWIMIDPSQNRGVNLSSVNPVSVHRNWLTGDVEVTFAVVIVPDFLLHNKDYVNCNIQITCGVKEVCGVLNVSRVSLTVLDMDSKCLSGKESMVILQGLTVAQRRRRRYSGGGEEQKERYDEFIRKRRERKENMERKERRVDMACVASGVAVFMAFWSFALSW >cds-PLY62268.1 pep primary_assembly:Lsat_Salinas_v7:5:165235832:165236809:1 gene:gene-LSAT_5X72701 transcript:rna-gnl|WGS:NBSK|LSAT_5X72701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEVDVAAAGVPKKRTFKKFSFRGVDLDALLDMSTDELVKLFTARARRRFQRGLKRKPMALIKKLRKAKREAPAGEKPELVKTHLRNMIIVPEMIGSVVGVYNGKTFNQIEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >cds-PLY80765.1 pep primary_assembly:Lsat_Salinas_v7:8:137799871:137803803:-1 gene:gene-LSAT_8X95460 transcript:rna-gnl|WGS:NBSK|LSAT_8X95460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDGLLGRSGFSSKCKSLIKPTRTRIEALRRRAEAKQRFLKEDLAKLLANGLDVNAYGRTEDFIAGLNLLSCYNFIDQSCEYILKQLSVMQKLGQCPEECRETVASLMFAAARFSDLPELRDLRDVFQERYGNGLECYVNQMFVEKLASKPPVVEKRIKLLQDIAAEFSIQWDSKGFKQRMDSPSTLAQDQVRKSVASITTDENNDFRKNDHRSKERIEQIPHNDGSVNVRRKEVFVRTEEKHAQDQAMKFRASNVTDDKYKVPNGNVKTDERSKERIEHSYVGPTLGRQEKLHNLNLNLKHQVYLSKPRPESDSGNNGIGNNHISATSYLDKRPERHTRMPQVNREEQEEEYVEKSTTRKVQEKEEEPVRLLKPCYNNTPPLPPPYIIKSNIPPPYTKPNHHQQPPSDLLPPPISERRNKEQNQNDMPLPKPRSVRRKHSKSSSSHDDVGSSEDSRIVKRVSSSRRKERKGLQILFDDEHYHHKAKAKDEEEKMMDKLLLHYSKKPSAYDHGKVRRKKSTKSPPVNDNDNDNDDEVEVETNVSVPPMRSISLPHESDANNNKKKKVYARANSFQPDNQAPHVHPKLPDYDDLAARFAALRGR >cds-PLY71688.1 pep primary_assembly:Lsat_Salinas_v7:3:44724083:44726664:-1 gene:gene-LSAT_3X32861 transcript:rna-gnl|WGS:NBSK|LSAT_3X32861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIKSSTNNDPSRISHTRIVVRSAIVSRLGVLTLMVIWRSMLSPYDTSASINPSCLSSTTTDHEEELVLFPRIAAAIENGIVWDSVYFVRIAQCGYEYEQTFAFLPLLPLCISLLSRTVLLPLTPLVGHRAVLALSGYLLNNISFVFAALFLYRLSVIILKDSEASLRASILFCFNPASIFYSSIYSESLYALLSIGGIYFLMSGANLLALLSLAFSGLSRSNGVLNAGYIGFQTIHRAYDAVFLQKSAYLAIKVLITGILRCLFVFVPFVGFQTYGYYSFCDGNNPDKLRPWCKARIPLVYNFIQSHYWGVGFLKYFQLKQLPNFLLASPILSIAVCSIIHYVKLQPEVFFSLGFQVAPKSYGVDKNNTHTSTVIQESEEDQTLKRRKHSTKEEEGPTPTVLSKKDEKLGKFSIIIIPFILHLGFMVATAFFVMHVQVATRFLSASPPVYWFGAYVLASHGKGWGYLIWGYCVAYILIGSLLFSNFYPFT >cds-PLY82894.1 pep primary_assembly:Lsat_Salinas_v7:4:18064387:18068226:1 gene:gene-LSAT_4X12580 transcript:rna-gnl|WGS:NBSK|LSAT_4X12580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESNILLETCMGIYGTHVASTIAGSQVNKASYYGVAQGTARGGVPSARLAVYKACDVDCNMRDILTAFDDAISDGVDIISISVGSNDPIEFTSDPIAIGAFHAMQRDILTVNAAGNAGPGLYTVTGDAPWIFAVAASNTDRRIVTKVLLGDGTILMGNSINAFPSSNEELPLLYGKQVTSECSENEASYCHARCLDGSLVEQKVVLCDVDSHMNSLKAAGAIGCIVPNHGNNVSEVGPLPIAALNTNDINLVKTYQNSRKKPKVQIFKSEAINHQVAPLVASFSSRGPSKFIFDIIKPDITAPGVEILAAYSPMASPFHTYTDKSSVKYNILSGTSMACPHVAAAAAFVKSFHPNWSPSAIKSALMTTAWEMNLNRSLDAEFAYGSGHIDPHKAKDPGLVYDTSKEDYHKIWCSISQRILNTTCDANLTLRQLNYPSMAVQVDVNSAFVVSFPRTVINVGKANSTYVASIKGSTKFDIIVDPNILHFTTLNQRISFVVTVRGKGIKSPLTVESVSLLWTDEDDIYKLQKSIQICPRPSSQTTQQSNPISSPLFLGRLELQPIVGTIDHEGG >cds-PLY82246.1 pep primary_assembly:Lsat_Salinas_v7:1:66597865:66599243:-1 gene:gene-LSAT_1X58500 transcript:rna-gnl|WGS:NBSK|LSAT_1X58500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGIRIRLFIVLLYFLSITVPSIYATDFNYCNKKKEYAVEVSGVVISPDPITKGKVTSFTISAITDKALSSGKVVIDVAYFGFHVYGQTGDLCAETSCPIPAGDFTISYSQLLPTYAPPGSYTLTLKIQDGDKNELTCIKFDFTIGFFFDSKNGLANSLSS >cds-PLY71030.1 pep primary_assembly:Lsat_Salinas_v7:5:43248500:43254414:1 gene:gene-LSAT_5X21081 transcript:rna-gnl|WGS:NBSK|LSAT_5X21081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRCVPVFLDEDLVNLYYNGYCNNILWPLFHYLGLPQEDHLATTRSFQSQFDAYKKANQLFADVVSEHYIEGGVIWCHDYHLMFLPKYLKERNNKMKVGWFLHTPFPSSEIQRTLPSRSELLRAVLVADLVGLSGLGKKSALSRVLSWTDGQTVCLSRPGVYLGWDTRLFWTGQTVYGQIVSPMPNGDSIDANMCYIYVSFHTYDYARHFVSACTRILGLEGTPDGVEDQGKLTRVAAFPIGIDPGRFIRAIQLPQIKEHIKELEERFAGRKFAGAAQSLGAGAILVNPWNITEVASSIGYALNMPAEEREKRHHHNFVHVTSHTSQEWAETFVSELNDTIVEAQLRIRQIPPLLETNEAVDRYLDSNNRLLILGFNSTLTEPMDTGRQFDQFKEMEPRLHPEAKEALKRLCDDPKTTVVILSGSHHSVLDKNFGELNIWLAAEHGVFLRTPNKKWTRNMPQVHMDWVDSVKHVFEYFTERTPRSQFELRETSLVWNYKYADRVGK >cds-PLY62843.1 pep primary_assembly:Lsat_Salinas_v7:4:31577013:31577582:1 gene:gene-LSAT_4X20620 transcript:rna-gnl|WGS:NBSK|LSAT_4X20620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLIDVLPCKKPVEERKRFKCTPRVEKNKKVEIVKNHITQELEKFITNKLKGTEVKVVIQKTLYKSDLEENQNRLSMPMKQVIKPDEFLRKNEKEDLENGKEFEGKLWGPRLEMHKKPMMLKMWHMNSTSNYVLKTEWNRFVKANEKDLEINKKIQVWSFRREEKLCFAIACLDRDVDGQNDAAAAPII >cds-PLY81858.1 pep primary_assembly:Lsat_Salinas_v7:9:181312567:181315885:-1 gene:gene-LSAT_9X111301 transcript:rna-gnl|WGS:NBSK|LSAT_9X111301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSHLRRALLGSPAIIPASRRFRTVAAPYHAVQTKNYSTAFTKPEDQKTENRKGKWLTLPPFNITVNGASLGKDIAGRRQLTSEEDASTTTALKWVTRCCPQLPRSLVQKLFRLRQVRRETSEDSNSDQDVQTPGSRIKRVSAKDSMKPGDTIYLPITVQSLPTEKPEYHCNEEEIKFLHDLELYKDSAIIVINKPPGMPVQGGIGIKRSLDELAGTYLRHDFSEPPRLVHRLDRDSSGILVLGRTQLSATVLHSVFREKTFVASNMDLKSEKRILQRKYWALVLGTPRRPQGLITVPLGKVVMDDGRSERITVVENVTTMQSQHAITEYRVLESSTHGLTWLELSPLTGRKHQLRVHCAEVLGTPIVGDYKYGWQAHKKWKQVFSCNHEEKIKVCNKNLLPFGLDLENGSISDKQPNLHLHCKKMVLPDVSSALKRAHGSCSDHDFGDIKSLKFGAPLPPHMQTSWDILCK >cds-PLY72704.1 pep primary_assembly:Lsat_Salinas_v7:6:28584604:28587445:1 gene:gene-LSAT_6X22161 transcript:rna-gnl|WGS:NBSK|LSAT_6X22161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSRFPPPLISTFLVRPRSIKGPLSSFSSISDDNPSLEHEIPISKSQSFDKPNHPQSLNLKTEKPFSQDQVLTQTHVTEVLLSHRNDPNLALTHYKWATRKMIIRDNEISDPFLALVHILICSEKHYGTAKKLISKCVTERSFPGAGELVSQFVSTAERFQFDYNSNSRVINYLLCSLVRASRLEDAVICFRKMIEKNIIPCVPYVHVLLNAMVRNNMFSEARVTFNDIVRMQIPYDCATIRVMIRGSCHEGKVEEAAEYFWDAKANGIELDAITYSTAIHAICKKPDAMSACALLNEMKEKGWIPSEGTYTNVIVACVKQKYMINALRLKDEMVDNGVPMNIVAATSLMKGYCVNGDLHSALNLLEKSVENGLCPNRITYSILIEGCFNNGDYEKGFELYNRMKNEGIKPNVYNVNSLIIRYFEAGLIKEAINLFDEAIENGIANVFTYNNLISWFCKVDKSNEAYNVFEKMMKNGVSPSIVTYNNIILGYCKSGDMDVALDVFKKMQENGLKPNVVTYSILIDGYFRKGKKEEALTVFDQILELGFLPSDYTFNILIKGLSKLGQTSEACLMLEKVKKMGFNPHCMTYNSIIDGFIKEGSLNSALAIYTSMHEFGVTPNVFTYTSLIYGFCKFKNMDLALKMRNEMKSKGLELDIVTYGVLIDGFSKNRDMGSASEIFNEIHQVGLSPNTTVYNSMISGFRNLDNIEQALVLHKKMINEGILCDLATYTTLIDGLLKSGRIICASELYLEMLGKNIEPDVIMYTVLVNGLCNKGQLEDARKILEEMGREGRVPNVVIYNSLIGGYFREGNLQEAFKLHDEMLDRGLIPDDKTYDILVMGKVKEGESTSFKST >cds-PLY83165.1 pep primary_assembly:Lsat_Salinas_v7:3:94315888:94320148:-1 gene:gene-LSAT_3X70920 transcript:rna-gnl|WGS:NBSK|LSAT_3X70920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14760) UniProtKB/Swiss-Prot;Acc:Q94AY1] MKNESTKYFDFAVIGSGVAGLRYALEVAKHGSVAVITKAEPHESNTNYAQGGVSAVLCPSDSVESHMQDTIVAGAYLCDEETVRVVCTEGPERIRELIAMGASFDHGEDGNLHLAREGGHSHHRIVHAADMTGKEIERALLKAIENDPNISVFKHHFAIDLLTSQDGLDMICHGVDTINTETQKVVRFISKVTLLASGGAGHIYPSTTNPPVATGDGIAMAHRAQAVISNMEFVQFHPTALADEGLPIPPTKSRDNSFLITEAVRGDGGILYNLDMERFMPMYDERAELAPRDVVARSIDDQLKKRNDKYVLLDISHRSRDQILSHFPNIAAECLKYGLDITRHPIPVVPAAHYMCGGVKAGLQGETNVLGLYVAGEVACTGLHGANRLASNSLLEALVFAQRAVNPSIQHMKESYTDHQTVSRWWDPPVVPMQLGSTILTKILKRTKQVRNELQSIMWAYVGIVRSTTWLKTAERRIGELELEWEAYLFQQGWEPTMVGLEACEMRNLFCCAKLVVSSALTRHESRGLHYTIDFPHVDEESRLPTIIFPCSMNTTWSSRQLHKQQIC >cds-PLY83599.1 pep primary_assembly:Lsat_Salinas_v7:5:17957679:17959211:1 gene:gene-LSAT_5X8280 transcript:rna-gnl|WGS:NBSK|LSAT_5X8280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSQANHGGFRAKMEHYLYSGEKKHVAAGMAIIGVLFGVPWYLMNRGTKHQSHQDYLEKADRARNARLHSAPSK >cds-PLY96699.1 pep primary_assembly:Lsat_Salinas_v7:6:77704496:77705857:-1 gene:gene-LSAT_6X55560 transcript:rna-gnl|WGS:NBSK|LSAT_6X55560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSIFMRVLFCKIHCPSFICFCKPSTATHLYNSGPLKLENSPHVPPSSVVIDPCDQNHVEEVIEVNKEISEDEKQEPEIETIVLKSCMKNKCDPESRSPIERKKVQWMDSLGKQLVDIKEFESSETGDTDNEDDNGGCFCTIL >cds-PLY81063.1 pep primary_assembly:Lsat_Salinas_v7:6:130579325:130581529:1 gene:gene-LSAT_6X78720 transcript:rna-gnl|WGS:NBSK|LSAT_6X78720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKALFYYWITSNLFSLVYGLSKLIEVFWHDSTGSVEQLKSTVDQPLTNSPLSKMLCNVYPGLHYFFFTEFGVAENPPLLGYLQSLLQLSSTILPSQATKTVFDVFEQWNDGMESGILSSEDIGYLKKSIHKKKTTILPTVQDKWISLHESFGLLCRCDDEHLKKEFKNLNNVDFLCFGDLNLEEKQILWDKIFVLLQNFGIPLLSKVCYFILGYLWEKQREDEIQGLELRLRFHEAAVKRLEGVDKVREVEGNPDFSNKDVG >cds-PLY83960.1 pep primary_assembly:Lsat_Salinas_v7:8:35933016:35942095:-1 gene:gene-LSAT_8X29040 transcript:rna-gnl|WGS:NBSK|LSAT_8X29040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alpha-amylase 2 [Source:Projected from Arabidopsis thaliana (AT1G76130) UniProtKB/Swiss-Prot;Acc:Q8LFG1] MGRLFFVGGNWKCNGTSEEVKKIVSTLNAAQVPSKDVVEVVVSPPFVFIPSVKSELKPEFHIAAQNCWVKKGGAFTGEISAEMLLNLGIPWVIIGHSERRALMNESNEFVGDKVAYALSQGLKVIACVGETLQEREAGTTMKVVAAQTKAIADKIKNWDNVVLAYEPVWAIGTGKVATPAQAQEVHAELRKWLETNIKAEVAAKTRIIYGGSVNGSNCKELAGQNDLDGFLVGGASLKPEFIDIIKAAEDNDGVQETQIVIRNGREILLQAFNWESRKHDWWRNLEKKVPDMAKSGITSAWLPPPTNSFSPEGYLPQNLYSLNSSYGSEALLKDLLKKMKQYNIRPMADIVINHRVGTTQGHGGKYNRYDWIPLSWDERAVTSCTGGLGNRSTGDNFNGVPNIDHTQDFVRKDLIGWLKWLRYTVGFQDFRFDFARGYSAKYVKEYIEGAKPIFTVGEYWDSCNYKGTYLDYNQDSHRQRIINWIDGTGQLSTAFDFTTKGILQEAVKGQLWRLRDAQGKPPGVMGWWPSRAVTFLDNHDTGSTQGHWPFPSNHVMEGYAYILTHPGIPSIFYDHFYDWGSAMHDQIVKLINVRKEQDIQSRSSIRILEAKANLYAAIIGEKLCMKIGGDSWCPTGSEWKLVAHGHQYAVWHK >cds-PLY69327.1 pep primary_assembly:Lsat_Salinas_v7:7:79504617:79511293:1 gene:gene-LSAT_7X55380 transcript:rna-gnl|WGS:NBSK|LSAT_7X55380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVILNQIQGSLSPSPTKYDVFLSFRGVDTRNSFIDHLHKALLDANINTFLDEEEIQTGEDLKPELESAIKASKASIIVLSKNYASSTWCLDELVLILELRRNYKHIVIPIFYHVEPTHVRKQQSSFGDAMAKHKHRMEAEIDVQKRNQQAYKMELWKEALVEVVDLKGMDANGCRETMFIQEIVMNISSKLDQCIRDIQKGNLQKLHDVNWCTNKIENVLLHKRTLLVLDGIDNFEQLDVLIGTKRFHPRSKIIITTIDGSLTEKSGLFGKNISLKHMKHLLEGLDETESLQLLCLHAFKCNDPKERYQELSRKVVKHCGGHPLALKVIGSSLRNDDVVAWEDAIEFLEKEPNPDIQKFLQISYDSLRSENEKELFKHIACFFVGKDREFTEMILKECGIKTTSGFSKLIDTCLVTVGPRNELMMHQLLQGMGRDLVRHESPKKPWKRSRLWHHDESYDVLKQDKGTNKIQGLVLSKKVVEDDTLGGTNTSTKELPRIHWLCNFFSKIWCLFVWVFLLCFSHHDKVDLRTIALSKMDKLRLLQLNYVQLHGSYKHFPKGLRWLSMHGFPLSYIPFDLQMEKMVALDMSYSNLQQLWKKPKLLPSLKILNLSFSKIVRIGDFSWLPALERLILTRCVSLVKVCESIELCNKLVLLDVSYCIKLKRLPRSTRRLKNIRILLVGGCTNLCEYPRHMKDMKSLEVLNAREFIINSQSSPYAIVDVIQKGLKGFSLFNSLVRISLKNNNLSNELFPMDFSSLTKLKVLNLSWNPLTSLNVRNLSRLEVLCLEGCHYLKYVSCISMIAYMNIHNCSSLEKLEYEPVTKPNISSLSSVSVVEIESMRKVQPLAQVNENIIRNLGWKDLVNVKNQNVHIIDGIRWDTPQKLQVQMLYELGIFSILFKQRAIPEWFSQRSKGSSITFIVPSSPYNLRGLNVGYVYTYSQYGEWVDLIRNKTKNLHQKYCPNLQVVRVDDDEESIVCIRHWVISNNEIEEGDEVTVDIALTMGNG >cds-PLY78128.1 pep primary_assembly:Lsat_Salinas_v7:2:61916659:61920020:-1 gene:gene-LSAT_2X27301 transcript:rna-gnl|WGS:NBSK|LSAT_2X27301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLATIAAEGILKKVLSIAAGELAIAWGYEEKLASLHRTLDLIRAKLHDADRQKGTDAVMVWLKQLKDVVGEADDVLDEVHYEMLRRQIKKRDRLSRMVPSLSSLKRLSFRNEIGHKIENINKKLLEIDTRANSLGLQVEHSTGHAPDRLYWRETVPNPEEFKIVGRDDDKLHIIELLTESKKEEKLTILPIVGMGGMGKTTLAKLVYNDKKIEKHFNVKAWLCVSVKVDIHTLLAKIYEAFSGSKPTSNLKVNLIKSLEEKLASKRYFLVLDDVWDEEGQYWEEFRKDMVYVNSQNGSAILVTTRKHDIGTPGMQMDSCPLKGLSDNHCWDIFRARAMPSPELEEIGREIAKKCGGLPLLLNLIGGMLTNNNNRGKWLAIKNSKLWDLQDKTQRVQKSLELSFDNLPNSMVKQCFAYCSIFEKDTLIEREELIQLWMALGLVQVDEEREVEMEDVGNDIFQILVNNSLFQDVESDKYGYITGCKMHDLVHDLLLSLSKLESKCVVGVTNDDHISPEVKHLAFYQKRNKDYKFEANVFMSIEKDMVASTLNTLFFNGEVGNNVSFQRFKSLRILKLERCIIEEIDDSIGELVHLRYLDLSYTNISVLPESIGKLYHLQTLKLQNCHGLKKFPESMRNLISLRYCRSEKSIPNNIVRQLTSLRTLVPNYVGVLRNDLGRLKHLSGKLCISNIENFSSKADAVMADLSGKRNLNEIEFNWGGNEGANRNDKEVFEGLQPPGSVKILTIRRFPGDNFPEWVMKMAVNIDGNETPLDKLVSIKLDGCRSCLSLPKLEHLPHLRSLFLWNMDSLRCLRSSNVITRSRKPLSPSLRSLQLYNMERLEKWIDGEPNSSKTISPVLEKLEINDCPNIILLDECHPHPLVSLEISDCRGLVSITSLQGLTSLESLSIWRCPSLLEIANLPNECHSLKTLEITHCHKLTSLPCEMFDSFAFLNDLSLGPFSKELDSFPSLQGIEKLRNHLHSLTMYGEDHWESVPEEIQHLTSLTKLTLIRFGMRELPIWLTNMSSLQRLRFYGCKGLNKETVTRGAPQEATVVFSLSPPL >cds-PLY93984.1 pep primary_assembly:Lsat_Salinas_v7:8:233637662:233639313:-1 gene:gene-LSAT_8X141361 transcript:rna-gnl|WGS:NBSK|LSAT_8X141361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKQEGEDEDSDSKSNSSYLVTTLTPNSELALKVYHPYFINKGLCLTTDSRGGLIVPAISLPSIYNSVYLDKPRCKMKLLFSTEIGTWVFVSEVKSTSDISTQGVNDPSKGLIGGLTNEALYSFEVRLEKQIELVHSSYRGLYALGELIPPKPPDHNVILVPCSTVELYCLVVDVYWCYILVQSTSWTKMPILLVKNTCIHELITSSEHQKLSSIIAGEMTLSDAKPHIMKFNEHQMTLFLWWRKPICMGRHTFGDQYKPTDAMIKEHGKPKLVFILGGEEKMATYLSTKNTILKKRDERFKDIFQEVYERNMKSKFEVAHVWYEHNLIDDVVAYAPKSDERYVWECKNCDGDLQSDFLAQGFRSHELITSVWMCLNGNTIEVETTYPPVTRHYRVHQKRGKTSKISIALICVWTCVLTHMTTLDNNSKLLDFNEKFEAACMDTFKFGKMIKDLAILMIWTVLQTLGLRTSLYFKMVVLIENVIKDGSSLKTREKWRDRIVILCAFCFALM >cds-PLY68065.1 pep primary_assembly:Lsat_Salinas_v7:5:293528248:293531851:-1 gene:gene-LSAT_7X62181 transcript:rna-gnl|WGS:NBSK|LSAT_7X62181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKVSPEVDNEMQMQAVLSVSADASFTSNKFPKYKLGPDNQILDEATMDSKGPPLKEIVAHETDQLSEQHERLSVRDLASKFDKNLSAAAKLSDEAKLREVASLEGHVLLKKLRDALEYLRGRLAGRNKEDVEKAISMVEALAVKLTQNEGELIQEKFEVKKLANFLKQASEDAKKLVNQERSFACAEIESAKAVVRRIGEALVEQERVSQTSGKQEMEEMVEEIQQARRIRLMHQPCKVMDMEHELRALRLQIQEKSAFSVKLQQELLLKKLTEENKLSLYSLGDSGNLGSILRLQPSSEEAMELSKCSIQWFRLSSQCSRRELISGANKSVYAPEPYDVGRILQVDIISNGQKSTVTTTSPIQPAVGLESYVESLSRKSNSEFSVVISQMNGRNYSSHSAHLLRVGKMRMKLSKGWITKARDAYSSTSMQLCGFRGGGNLAGKSLFWQSRKGQSFVLVFESERERNAAIVLARRHALDCNVRNSNLLSFYIIVLLLLLLLLLLLLLLLLLLLLFKLL >cds-PLY82718.1 pep primary_assembly:Lsat_Salinas_v7:2:146717472:146719262:1 gene:gene-LSAT_2X72940 transcript:rna-gnl|WGS:NBSK|LSAT_2X72940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEETNGLRRPPLSSSAEPTPAEYTGKRGPPFLEFIAIMMWLGTLHFLAFLVVASFVLLPLSNFLMVIAMLLVLTFIPINDNCKWGLALARFIFKHVGGYFPATIYVEDIKAFDPDRAYVFGFEPHSVWPMGAGILANLTSFMPIKKVKILASSAVFRTPLIRHLWTWLKVSSVTKKNFISLLKNGCSCIVIPGGVQETFFMKHDYEVAFLKTRKGFIRLAMENDAPVVPVFAFGQSYVYKWAKPQGKFFLKLSRAIKFTPMIFWGAFWSFVPFRRPMLMVIGKPIHFKKNTTPSMEEVSVVHGQFLEALQSLFDRHKERAGYPNLELQII >cds-PLY84422.1 pep primary_assembly:Lsat_Salinas_v7:4:289856111:289857082:-1 gene:gene-LSAT_4X150000 transcript:rna-gnl|WGS:NBSK|LSAT_4X150000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGSGAVRTKKIPGDASNCNGNAVGGEQVQRLATDEDVQMISKETAKKVSPFIGNGRNSRFGATRYAHDAPRKVARFYSRIEDISHVRPLPFKVGKHYENQEFGRDPNRLDYFYSRPFDVFENDHLPGVGSYGGGGGGRNHGGVVAGQTTASDSAVASECIRLRVRVGISANNDTGTVLQRLNKGKGNDGDGDGGCGGGGGGSRGVVGLRLEKERGSSETAGAGGGDRNREVPFWVFDNNGGDSGEAVVERLLRFKQDGATVTMRVAVIVVMLLMTVIMMVMVMVVLVLVMVKMEVKL >cds-PLY78627.1 pep primary_assembly:Lsat_Salinas_v7:4:151964320:151964821:1 gene:gene-LSAT_4X93440 transcript:rna-gnl|WGS:NBSK|LSAT_4X93440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDQSYGSSSSFSTSSYKNVLCKCGEHPKIWTSTTKKNPGRHFIRCPNSLDSSKDCKFFVWVDEDLGLHRYKSKVNELNRENMDLFKDNMIISKKNMELEKENICLQKKLMKLEVIEKKEIDMFMVKVLFGIVLVSIFICFIIT >cds-PLY98387.1 pep primary_assembly:Lsat_Salinas_v7:5:315369485:315370339:1 gene:gene-LSAT_5X173060 transcript:rna-gnl|WGS:NBSK|LSAT_5X173060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVFPGLVCLPQHFPDNRDTATQYSIFANKYGGAGIALIKSFYVRVKQDHLEIHLFWAGQETCCIPEQGEQGPLIYALKVTPGFRLKKFYFLIRLLKSNYSQILKKNYGDWKLTSPMKTIYQEKFWLANGEKFIRSSRVEVDLDGVLEIFKDIIQKKWDLVNILVKNSKVDATNETPICIIYNYVSMDDLKAHQFLPLSGRVCFGLQSHQGRITTSAAIMTSRIPLMAAKTSQIDLISVGRGAYLPHMNENVDSAQCACNKPLDDESYLSHLLTGIVDLRVVIN >cds-PLY65034.1 pep primary_assembly:Lsat_Salinas_v7:1:119120132:119122175:1 gene:gene-LSAT_1X92401 transcript:rna-gnl|WGS:NBSK|LSAT_1X92401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTLYLTKAYRIAKVLYTVLKSVSLLDSVKVPEEILKAHEFVVAKAGIYTRDIFRLEPETSDQKAQRHPEIFITSSNDAKKAELHTDNILPCEPGSSNQDQLRYPEVGSGNHMKQLNHCRIPLEAIKDSTQDFNSTNFIGKGGYGSVYKGILTWEDHVNELVAVKRLDVTGFQGKKEFLTEVSILSQYQHENIITLIGFCDDNGEMILVYEYATHGSLDQYLRDTTISNRLSWPQLLKICIGVASALDYLHNHVAEKHRIIHRDIKSSNVLLDENGKAKLSDFGLARIALANQQNTLVITNIAGTYGYNDPQYERTGYLSKESDVYSFGVVLFEVLCGRLAYVLSYHDEHRFLHHLARTHYEKGELDKIIDQRIRNDIKPITLKKFSAIAYRCLQETREQRPAIADVVFQLKVAMRFQELEDEEDEFYLSGYMKEALSLHTETIR >cds-PLY98841.1 pep primary_assembly:Lsat_Salinas_v7:7:25191291:25192162:-1 gene:gene-LSAT_7X19541 transcript:rna-gnl|WGS:NBSK|LSAT_7X19541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHVEVEEIEIVSDISEGYSNSREPIRGVRNGSLPPFVTKLYDMVSNKTTNSTISWVGATSFVILNEQNFINNLLPKMSKSNKFDSFISQLNIYGFKKISWDRREYAHDWFQKGKRHLLRNIKRRKKGNTSMVDKMTLEIKNLQHKSNELRLELSKFKEYIDNTMSGQKRIIQEMENMIKSTFGECPHVCCAHTSNEPDNNNKSLFTMFENECLGQRSFTQSLVIEVENEHPKNT >cds-PLY77529.1 pep primary_assembly:Lsat_Salinas_v7:2:164634870:164639988:1 gene:gene-LSAT_2X86661 transcript:rna-gnl|WGS:NBSK|LSAT_2X86661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CROWDED NUCLEI 1 [Source:Projected from Arabidopsis thaliana (AT1G67230) UniProtKB/Swiss-Prot;Acc:F4HRT5] MMVTPQKKPWSGWLSPRADKNGIVLANSGPNSSPRDKGFALGESTPEGLVSNVGIMDQDVVIDKISSLEKELYEYQYNMGLLLIEKKESVSKNEQYEQLLSETKELLQREKTAHLIAISEVEKREERLRKALGVEKQCVHELEKALREMRSEYAEIKFTADSKLEEANALATSVEGRSLEVEAKLRAADAKLAEVSRKTSELQRRSLEIESKEASLMRERMSFNSERDAHERNLSHQREDLRDWERKLQEGEEKLAEVRRLLNQREERANDSDRLFKQKQLELEEAQKKIDLADSALKTKEDEISTRMENLEAASMRKKLEVREKGLLELEEKLKAREKVELQKLLDEHKVLLDAKTHEFELEMEQKRKSLDEDFKNRVVEVEKKEVEVNHLEGKIAKREQALEKKQEKIKEKEKEFDVKSKALKEKEKSLKSEEKNLENERKELSSEMENLLNLKVELEKLSSEIEEQKLKISEERERLRVTEEERCEHARLQSELKQEIEKIRQEREAVLNERENLKQEKEKFEKEWEDLDEKRVEIKKELESFTAQKKKIEKTNRLEEERLNNEKLETQAYVERELEALRLAKESFAATMEHEKSVLEEQYESKKSQMLHEFEVLKQELETEMQRKEAEIENRMREAKKSFEEERDRELGNVKYLKDVASREMEEMKLERVQLEKEKQDVFANQKHLEGQQLEMKKDIDELVSLSIKLKDQREQFLKEKKRFVAFVEENKGCKECGERVSEFVLSDLQSLSEMKNADSFPLPKFDGYLKEAGQGTSERPNVGTSMAMGNNNSGSPASGGTLTWLRKCTSKISIFSTGKKNVHEGGVEDGSTEGATQAQEKLVDVGEIPEYILSSEEEQEVSARVVLDSFDVQRVQSVDDAGDDDHGNTNNQTHDIEEVSQQQSPSDMRNESQSQSQSKRTRRATTRGRGRPKRATSENNGVEHSSEVGVGVGVEGTSKTSKGRRKRAIEEDPDYSEYSDSVTTGSRRQKVEAQPQPQPQVRRYNLRRPKAGAPALSNQTKEDDVSVSHNSKGSGHVGRKRRAKPQPGASASLANGDHTQDSQPHDHDHHHHHDGFLEKKVVDGENEVAGNNCSDDVGVALSEEVNGTPQQHKQHQTGTPAAVQDQHDNDDDDDDDDESEDEEEEVEHPGEVSIGRKLWTFIST >cds-PLY88059.1 pep primary_assembly:Lsat_Salinas_v7:6:181560758:181562161:1 gene:gene-LSAT_6X109840 transcript:rna-gnl|WGS:NBSK|LSAT_6X109840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSADESSSPSGDPEGCSSCWNWRRALSAEVKLFRGFAFAFTLVFTLLLFLSFYLFYLRLRRLRRRSPHWSEPSSVNNAVSTEQADLGLKKELREMLPIIVFKESFSVTDTLCSVCLGDYQADDRLQQIPVCKHVFHVECIDHWLSTHTTCPLCRLSLLASSPPVAETTNDTSSTTAENSSDADASLQIDNCGQSSADVELITTQ >cds-PLY96284.1 pep primary_assembly:Lsat_Salinas_v7:6:158051322:158053090:1 gene:gene-LSAT_6X95940 transcript:rna-gnl|WGS:NBSK|LSAT_6X95940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGTLPPCFGALSNSLLSLDLRQNNFHGMMMDLFVHGSQLKNIDLSENPFMGQIPRSLTNCTNLEVLSLGDNTFDDVFPFWLGTLAKLQVLILRSNKFYGPIQASTTICSQFPKLRIIDLSHNGFCGQLHGNYFQNCNAMTSVYDGESSVMKSDMSFKYYNSSMPYTMTIIHKGVKTEYKKILTIYMDIDLSCNHFEGEIPLSLKDLIGLEALNLSNNHFTGRVLASFGYLKNLESLDLSRNELSGEIPQLLAQLNFLSIFNVSFNHLDGHIPQGQQFDTFENNSYEGNPGLCGKPLSKECEGLKLSRFPPTSNASESLSSRAKELIGSLFFVELEVGWLLELLLGTFCIQGIVICSQRGKTDG >cds-PLY92526.1 pep primary_assembly:Lsat_Salinas_v7:3:253342003:253343495:1 gene:gene-LSAT_3X139520 transcript:rna-gnl|WGS:NBSK|LSAT_3X139520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAAYYIRRHHRHNPNDEQCISVVVKHIRAPVDIVWSLVRRFDQPQKYKPFVSRCTMHGDLNIGSVRQVNVKSGLPATTSTERLELLDDNEHILGIKIVGGDHRLKNYSSILTVHPEIIEGRSGTLVIESFVVDIPDGNTKDDTCYFVKALINCNLNSLSEVSERMAVQEDQRG >cds-PLY79585.1 pep primary_assembly:Lsat_Salinas_v7:2:166246144:166247703:1 gene:gene-LSAT_2X88041 transcript:rna-gnl|WGS:NBSK|LSAT_2X88041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAYDIVIFGASGFTGKHVVREALKFLSPNSPLKSLALAGRNLSKLSETLKWASKSPPTIPLLVADTSDTSSLRRMASQAKLVLNCVGPFRLHGDPVVDACVEAGCDYLDICGEPEFMERIEAVYHEKAVETGSLVISACAFVSIAAEFGFLFNSRQWVSPAAPNRVQAYLQLKRIVANFATYESAVLGFANADNLIKLRRSGSRRARPSIPGYAPTKGSIIEYQKQLGLWALNLASADATVVRRTLSILAENPTGLHGVNEDPKVADKRIAFWSIVKPAHFGLVKIGSKKLLGLLPWIAIGLSLMRLSGSSLGRWFLLTFPSVFSLGVFTNKGPTKEELESASFKMWFVGHGFSDANLASQRNATPDTEIITRVTGPDVGYLTTSIILVQCALIILERRGDLPKGGVLTPGIVFGPTNLQDRLQENGVSFDMISKTQLF >cds-PLY98483.1 pep primary_assembly:Lsat_Salinas_v7:5:209275234:209275887:1 gene:gene-LSAT_5X94701 transcript:rna-gnl|WGS:NBSK|LSAT_5X94701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVNKEQHEDMPEYDMEYKQDDGIQVYDSDTQDGEERDIDYISQSDSEEEIQNTIPTRSNRKRGVTRLPKLKSEYVNSAGRKKCVKFHEFRKFTWKNNALFVSYLADLVCEKVGLTALCWKKVKSEVNDKLWEEIRRFFEVDETGKKIVMNRLGSLLWNFRRKLYADYIKPHLGNPKRLATIPIHY >cds-PLY91000.1 pep primary_assembly:Lsat_Salinas_v7:7:129609742:129614610:-1 gene:gene-LSAT_7X78760 transcript:rna-gnl|WGS:NBSK|LSAT_7X78760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEILEDEMVKYLPYVVPLAFSSCNLDDGFADKIDDSDEDEDPNGFSAVSSDDESQDEPRVQRINIRTGVLDEKAATTQALDTLTAAHVCLQCHDEIDRKRGSRLPPPIDDATALSSAPTGASTSGSPSSQGHSKAASSKTVPLLFLELCAELFDGNGASGNLSYATSQTPSGHGSSSFHVAPLHLMDTNSINIDKDDFFSNHTTQPPPSAASPSGNPNKRVKPSTPRPRAASASPKPLFSASPKASITADDFALEMQKALRHLTQGPTIPQCLEKLELLELGPVDPLRFAAYHIFGGTMNMRERCG >cds-PLY65601.1 pep primary_assembly:Lsat_Salinas_v7:7:163754948:163763769:-1 gene:gene-LSAT_7X95341 transcript:rna-gnl|WGS:NBSK|LSAT_7X95341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFPVVPLQFSKLFLTICKWVLFIMYLNRKFSGIKGRLLTNSKMRECNGGWKWKKLLLFVWIFVFATGFVWFLFGGVSSEKRLKISGKCEDNNSSVLIQQFNVSKDHLYELASSFFESNQITSLKCTKQLEHETVITCALEVPKLKNHEHEEHHSPNVEYEESSDEYIEENIVQTMVPQNFLRENFNGICLCAIFIMALSYQNRGFRTILWKIRNRNRKVHEKKDKVEGSSRGAGKWMKKLLVLYIIAGIAASIWLFWYLNSGISFRRKETLANMCDERARMLQDQFNVSMNHVHALAILVSTFYHGKEPPAIDQKTFGEYTERTSFERPLTSGVAYALRVRDFERESFEKKHGWTIKKMETEDQTLSQDCDPEKLDPSPIQDEYAPVILSQETVAHIVSIDMMSGKEDRENILRARASGKGVLTSPFKLLKSNHLGVVLTFAVYNTHIPQDATPEQRINATVGYLGASYDVPSLVEKLLHQLASKQTIVVNVYDITNVSSAINMYGPKQIDTGLLHISGLDFGDPARKHEMRCRFKHRPSPPWTAIAASIGALIIVFLLGHIFYAAINRIDTVERDYNDMLELKFRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTNLNAKQLDFAQTAHESGKDLIKLINEVLDQAKIESGRLELESVPFDLRTILDNILSLFSTKSQEKGIELAVYVSDQLPEVVVGDPGRFRQIITNLVSNSLKFTHDRGHIFVSVHLADEANHPHDTKDSLLKKTLTFVDSSNHNVHNTLSGLPVVDRRKSWELFENLSEKHPINQSEKIKILVTVEDTGVGIPKDAQSRIFMPFMQADSSTSRTYGGTGIGLSISKRLVGLMEGEIGFVSEPGIGSAFSFTTVFMKKETSSLDTVLQQYYPTISEFKTLKALVIDQKSIRAEVTRYHLQRMGIFVEIASSLDSSQSYISSDSPHLDMILIDEEVCDKNTSLEEVKVKPIGMKPKVFLLVKSINPIRHNDLKLANLVCDVLVKPLRFSVLISCFQETLISKDNTQVARRKPKTLGTLLRDKKILVVDDNVVNRRVAEGALKKYGAIVTCVESGKAALEMLRPPHCFDACFMDLQMPEMDGFEATRQIRGLENKVNEKIESGEVPIDMFSNVAHWHTPILAMTADVIQATDEECKKCGMDGYVSKPFEEEQLYTAAACFFESG >cds-PLY89075.1 pep primary_assembly:Lsat_Salinas_v7:9:28277053:28278720:1 gene:gene-LSAT_9X24040 transcript:rna-gnl|WGS:NBSK|LSAT_9X24040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCDAFVSPPSLLILRKLQISSSPYVSTSSFKPSVRSKYRSVHASLSQSHSNRWSLQGMTALVTGGTRGIGHAIVEELAEHGATVHTCARNESELNSCLKGWVDKGFEITGSVCDVSLQHDREKLMKDVSCLFDGKLYILVNNVGTNIRKPMVELTSKEFTTIMSTNFESVFHICQLAYPLLKASKTGSVVFTSSVSAFVSLKSMTLQGATKGAINQLTRNLACEWAKDGIRSNAVAPWYIKTSMVEQVLSKKEYVEEVLDCTPLGRLGDPNEVSSLVAFLCMPASSYITGQIICVDGGMSVNGFYPKHV >cds-PLY98463.1 pep primary_assembly:Lsat_Salinas_v7:4:248700301:248702167:-1 gene:gene-LSAT_4X132160 transcript:rna-gnl|WGS:NBSK|LSAT_4X132160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRHIWWFTAVVVVACWWKGVMGAAQAPAIWIMGDSLVDNGNNNFLRSMAKANYYPYGIDYYRGPSGRFCNARTFSDILGDWLGIPAPPPFLDPTTAGNRILGGVNYASAAGGILDESGSHYGDRYTLSQQVVNFETTLGQLRSMMSPGNLTQLLSNSIVVMVFGSNDYINNYLMPNIYASRRTYTPEAFANILLNRYALQIHALYSLGLRKFFIPGIGPLGCIPNQLATGQAPAGRCVDSVNQMLGPFNEGLKKLIDRFNDGSHPGAIFVYGNTYGVFGDILNNPARYGFTVRDTACCGVGRNQGQITCLPLQTPCFNRDQYVFWDAFHPTQAANAVLAQRAYSGSSTDCYPINVQQLAQFRL >cds-PLY64680.1 pep primary_assembly:Lsat_Salinas_v7:7:138951028:138953577:-1 gene:gene-LSAT_7X83921 transcript:rna-gnl|WGS:NBSK|LSAT_7X83921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPHSFIARAEAQRWLGIAEKLLMGHDLVGSKTFAIRARESDPRLEAADQILAIADTLLAAEKRVAGSHGTQQPDYYAILQLVRFVQDTEHIADQYRRLAVTLNPHQNRFPYSDQAFQLVNDAWAVLSNPLRKSMYDSELDFPQQQEMNHIGFNLEHHHHQQQQQQHQHQQQHQHNFFSINRMGSGNEQELVEQQRTFLQTRVQRSHQQHNFMSQSNPVRPSPVREQEQLFQQREEEELFQPQVQPFQITSTPQQQLRPPSQPPQPQLPTRASTPPPPPAASTQPPVSWPQPPQHSQPHPPMQSTTPSPPPPPAASPQPPVSWPQPPPHSQPQPPMQSTTPSPPPPPPPAESPQPPMPWPQPVPHSQPQPHIRSRSPPPPPPEAPLQPPVSWPQPPPHSQTPLQTQPQSQPQQEQHHQQQDSLEQNATPVQPPTHSDTNNSVSEEEKETVTESDSENIDSSSPTFWTACPYCLYMYEYPRVYAECTLRCRNCERAFQAVPVPSPPPIIEGQEAYFCCWGSFPLGISISNLENNNGKGTNKYWTSISPLYDVSPQVHHNLNDSSPKKRRNSEPRIYIDDVTDDIFTGISEPSDDSDLDWKGTDNKKVKKMKKRGRKRKAKGVHVKKTRKRRKKILQNSVESSKKEVVSNSNPRRQSGRVAKEMGKLDLNVEFNNNEGEESGSKMIGGNRGQGQGEEDNIEGNGFFEGLDEFLSSLPILSVVNEEKDKAS >cds-PLY86967.1 pep primary_assembly:Lsat_Salinas_v7:5:266596793:266598353:1 gene:gene-LSAT_5X137201 transcript:rna-gnl|WGS:NBSK|LSAT_5X137201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDLNSNGGGGASENDEGLGVTRRKRAGSRKELGAAAVIGRGKPLIVGMCGTLVYYHCAYRDSSIISLISDVFIVLLCSLAILGLLFRQMNIQVPVDPLEWQISQDTANGLFACLANTVGAAESVLRVAATGHDKRLFLKVVVILYMLSALGRIVSGVTVAYAGLCLFCLYILAENSSLFGSCSSKFCWRRDSPNDELDST >cds-PLY78961.1 pep primary_assembly:Lsat_Salinas_v7:3:70412909:70415523:-1 gene:gene-LSAT_3X55560 transcript:rna-gnl|WGS:NBSK|LSAT_3X55560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANKFATMVHMNTNNITLILIYAVLEWTLILLLLLNSLFSFLIIKFSQFFALKPPCLWCSTLHRFLEPENSNSHRDLLCELHSKEVSILGFCPNHKKLAEIKELCEDCFSSHLDFQCQVKQSDGEDEDEQNLKCSCCGLKFNKKSFDSFVVTRNSCDVLEHAKSEGLIKSSNDQIGSDLMEETLQIQSRKETQMNNDGKDIWDSNTFEIETELNQLEKKEDSTSDFLPQDLEFFFDHSGNQLVPIELVDSTTEESQNVSEADEDHASGDYEKALVIQDHHTTELESTELKETDNSLVLHEKNSEVIYEKSAIVQETQTLFVEELQENAVETEKSDPVHEEAEVTIGTEITVLDLCVVEPLSISHDIHVIENGLESNKLNGNCNQIEEEKIPNTPHSTESLNQPNNSLLMIEAKESGAEESFDTVEKLKSALQALYAELEEERGASAVAASETMAMINQLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNDLMVKREKELEFYRKKVVEYEAKERRLSQGSEQSGTGSDSCSHSEDGNGIPIDRNQESWNPDAHDDGFLDLESSLADFEGERLLIFEQIKVLEEKLFALSDEENQQFVDVRPIQDLDEENGKVYENGFETIGLPENGFHSDVIGVESEGKVITLDGFHSRELENGNIGKRRSDFEEEVDQVYGRLQALEADREFLKHCIGSLKKGDKGMELLQEILQHLRDLRTMDLQ >cds-PLY74687.1 pep primary_assembly:Lsat_Salinas_v7:5:176482379:176484890:-1 gene:gene-LSAT_5X78481 transcript:rna-gnl|WGS:NBSK|LSAT_5X78481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRLNGNLLLRSFLRRRRTFCTAENIDTNLQSSKVQIFDRHLKRKQRDRAAWLINRKDSLVNTVADNLLDRLEDVKKTFPTTLCLGGSLEAIRRSVHGRGGIEKLIMMDSSHDMVKLCKDSEKDLSSDNIETSFLVGDEEFLPIQASSVDLVISCLGLHWTNDLPGAMIQSRLALKPDGLFLAAIFGGETLKELRIACTIAHMEREGGVSPRISPLAQVRDAGNLLTRAGFTLPGVDVDEYQVRYNSALELIEHLRAMGETNALVNRIKLLKRETAVATAAVYESMFAAEDGTIPATFQVIYMTGWKEHESQQKAKRRGSATVSFKDIQKEFGHTNN >cds-PLY67693.1 pep primary_assembly:Lsat_Salinas_v7:4:2157957:2159495:-1 gene:gene-LSAT_4X2141 transcript:rna-gnl|WGS:NBSK|LSAT_4X2141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPILFLLLVVVSLSASPVSAAVQPTTSHITVTGSVFCDVCYSNLFTRYSYFLPGADVHVQCKFQGNAPSTKEQISFSVNRTTNRYGVYRLDIPSVEGVDCVEGPAIQSLCEATLIKSSVPGCNVPGVSTTTKEITVKSKSTNVCVYSVSALSYRPFQINSTLCALNYQQKLIMSSDSFNSSKFFLPYFPWPLPQFPPFPQLPPLPQLPPFPQLPPLPQLPPFPQLPPFPSFPPTQFPPQPPSLPYPPPNFPPVFNLGDPRTWIPNIPSPNPPSPQPPFNPSDPRTWIPRNPFLIPPPPPPPPGFDLRDPRTWIPSFPPSPNSPQNQQP >cds-PLY76612.1 pep primary_assembly:Lsat_Salinas_v7:5:222967006:222967752:1 gene:gene-LSAT_5X104500 transcript:rna-gnl|WGS:NBSK|LSAT_5X104500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVARYGDDSDSHPPYDHELSVEASGGIKKGIVLGFGSVSDLERFLMPYLPSPSTSSDNLEVIMDRIREEMKEELKSEREEMKQELLA >cds-PLY63412.1 pep primary_assembly:Lsat_Salinas_v7:7:148302739:148308242:1 gene:gene-LSAT_7X87621 transcript:rna-gnl|WGS:NBSK|LSAT_7X87621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELKSGLSALVTGGASGIGKALCLALAKKGIFVTVVDTSVEKGEEVASLSQKENLKFHSGSLKFPSAIFARCDVSNQGEVASAFEKHVEVYGGLDICINSADIETSVPFYEDETNGSKSWRHAVNVNLVAVIDCTHKAIKIMEGAKKPGVVINLGSTSGLYPMISDPIYSSSKGGVVMFTRSLSSYKEKGIRVNVLCPEINQAKHVGFQFLNLNGSSTSMDTLIQGAFQLITDESKAGSCLLITNNGGLEYLPTSSQEEKNLAVIPSRTVSSVVNSTIEIPHSFEQLIIHTLSRNFRNATRTVRAPLKLPIKADHVLLKVIYAGVNAGDVEYSNNGYLSGTKEEISSKLPFYPGLEAVGIIALVGDEVKNLKVGTPAAILNIGAYSEFIMVPSEIILPVESPYPEVVAMLASGLTASIALKKAARMESGETVLVTAAAGGTGQFAVQLAKLAGNKVVATCGSKDKATFLRDLGADRVINYKDESVTDVLKKEFPKGADIIYESVGGDMFDSCFNALATFGRMLVIGTTSQYKEGIGHEPRNYPGICDILHQQSKTVIGFNLAHYHDVWKSHLDSMVHLFSIGKLKVGIDPKSFVGVQSVVDAVEHIHSGKSIGKVCTYNTINLIEILHAHC >cds-PLY79560.1 pep primary_assembly:Lsat_Salinas_v7:8:118423341:118424359:-1 gene:gene-LSAT_8X81900 transcript:rna-gnl|WGS:NBSK|LSAT_8X81900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEVKLYGAMGSPFVCRVKIALNMKGIKYENLEEDIFNKSADLLKYNPIHKKVPVLLHNGNPISESLVIIEYIDDVWKGIPILPQDSYERSIARFWAKFLDDKCIPALRVVGTNGDEKVVTEACEQLQILENELKVKGTKFFGGDNIGLVDIAAVFIAYWLGIREEAAGIKFFTEDKFPKLTKWADDFVNSQLVNNALPPRERMLAFYNKMFGNVN >cds-PLY65303.1 pep primary_assembly:Lsat_Salinas_v7:8:104752909:104755584:1 gene:gene-LSAT_8X70840 transcript:rna-gnl|WGS:NBSK|LSAT_8X70840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVISGNGGKLQEGAVVNGGEGDDVYFDPSAPPPFRVAEIRSAIPKHCWVKNPWRSMAYVIRDILVISSLVTMAVYLKHITLVFWPLYWVAQGTMFWAIFVLGHDCGHGSFSDSATLNSIVGHILHSAILVPYHGWRISHRTHHQNHGHVENDESWVPIPEKTYKSLDASTKFFRFKIPFPMFAYPLYLLKRSPGKSGSHFNPYSEIFSPNERHYIVTSTICWGVMVCLLFYLANEIGSMLMFNLYGIPYLIFVAWLDIVTYLHHHGYEKKLPWYRGKEWSYLRGGLTTVDRDYGLFNNIHHDIGTHVIHHLFPQIPHYHLIEATKAAKPVLGEYYREPKKSGLIPIHLIQNLMRSIQQDHFVSDVGDIVYYQTDDELTGKRK >cds-PLY63263.1 pep primary_assembly:Lsat_Salinas_v7:4:200319965:200321545:1 gene:gene-LSAT_4X112241 transcript:rna-gnl|WGS:NBSK|LSAT_4X112241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKPPYPWITITKPPQENYVRTSSVLSCHWRYYNPLFEDSMLIKSAFRDSKKNWFLSVNASHLEQCDGIQHKPGDQLALPWVKDSCSIGTHDSQTQAEGPSKLSLIHGSTSKHPKIFVPFVNQKPPSADHFTSDGSCKKASKNIEEDRSSNLEPSLEQQLDPKLIKELYTIDKEIKLKKRIREVHNEDASVKNKRKTQRKKGDAKSLEENIGVTNDADKVKNMGTSINDENSIEDKSKGKDAALDGVVNKSMYDEHTRTTSVSQKKPKRTKKR >cds-PLY81763.1 pep primary_assembly:Lsat_Salinas_v7:3:34089306:34096507:-1 gene:gene-LSAT_3X25480 transcript:rna-gnl|WGS:NBSK|LSAT_3X25480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRVTKFMSYAISSSSSYVAAATLEAFMPPFSLLTVIHFVLLISRQGKVRLTKWYSPYTQKERSKVIRELSGMILTRGPKLCNFVEWRGFKVVYKRYASLYFCMCINQEDNELEVLEIIHHYVEILDRYFGSVCELDLIFNFHKAYYILDEVLIAGELQESSKKTVARLVAAQDSLVEAAKEEANSISNIIAQATK >cds-PLY89925.1 pep primary_assembly:Lsat_Salinas_v7:8:68792751:68802788:1 gene:gene-LSAT_8X48581 transcript:rna-gnl|WGS:NBSK|LSAT_8X48581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSLGPVISFQSWKYHVFLSFRGEDTRNTFVGHLYSALEQQGIYTYKDDKTLLRGESIGPSLMTAIEKSQVAVIVFSKNYADSSWCLDELVHIIKCKDTRGQIVMPIFYDVDPSEVRKQKQKYKEAFAKHASENNNKVESWRKALVDASNISGWKRQHNENESKFIKKVVDTISHRLHPLTSSLDNNLIGVEARMKHLISKLEIGFGGKRMIGIWGVGGGGKTTLASSVYDDISSNFDGCCFIKNVRKESCNKDGLERLQEKILCGVLQQKQVEVGRVEEGRCMIKDRLRHRKVLIVLDDVDNLEQLEELAGSRDWFGEGSRIIITTRDEHVLTAHRVDVVHNISLLNNDEAMELFFKHAPRGYKRIEDYERLSKDVVSYAGGLPLALRVLGRFLCDKEMNECRSALARLKEIPDANILEVLKISFDRLTPLEKELFLDIACFFRGYCRYTYEERMMILNACSYYPVIGIKVLVQKALITISEDGEFDMHDLVQEMAHYIVRREHPKNPEKHSRVWKVEDVLKICSVDARMNLDTIEAIGVSCFWSVESQHVLQVATNMKKLRWIDLNQKPISKKLLAVTPLSLVIMPEGFPPRDLCCLTLKTINANQLWDGYKFLPNLRMIKLDYLSKLMKTPDFDGLPNLEGFIVNGSRLLQEIHPSFGHLQKLVCVDIRNCENLRMVPPITRSKKLETLVLSWCTSAFNLSNIQEGSLENILPHKMNHIGLSCFSGCLRKLVLSNCSLTDGDINSAAGWELPNLLELDLQGNGFVRLDFSLLLLPQLKRLNVSWCKQLVELSELPSSIAVVIAGWCYSLESFGDISNCKWLWQVSAWYTKLGALCGDILLNSMLQGNAKDYFISINLPGVDIWRGESVRWVDWVKTCNMPLPPDWYSRFSGILMYVEPDVRFEGIPDITIKLGVRNIFQSELGQEYNETLETHCDQIFVGYVSFSSLRRTGCLNSTYNIILFSCNVGIFPKFLGEEYDNFMILAEKLRSDYDFGHITNSELIPHGESSITKPSLRLLKPFDELFVDSKEFKVDAMEKFIEAASTPLVTLFDQSPEYIVFLSKYFEREEAKVMFLVDYTHDEIGNFKKICHEVAGLYKGKGLYFLIGNVPDSQGVFQYFGVKEDQSPVLILQDTKDVNYVKLNVEVSQIVPWLMDYTVCLY >cds-PLY95902.1 pep primary_assembly:Lsat_Salinas_v7:3:185864926:185866478:1 gene:gene-LSAT_3X110541 transcript:rna-gnl|WGS:NBSK|LSAT_3X110541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTLIQLMRNLDKMPKEPIYYEPPIFRGCTEEEAHHPWNKSTLKMEVGNFNSKHFVLSLKVISVLDPCEDENMSVGGDSMKKDEDCQDDSEFEKNTCNIAFSGDWIKLVDDMGFEKQVNTSVKNVVGSTQKRPGRPGGFGKLNCVQYVDGVIVPKRSRDFVWRARVEMCKPASQLALYVVTI >cds-PLY71481.1 pep primary_assembly:Lsat_Salinas_v7:7:190136122:190138176:-1 gene:gene-LSAT_7X116060 transcript:rna-gnl|WGS:NBSK|LSAT_7X116060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSLARLSSLSTVFASRTLNRSIAFKPISCSSSVSVPHAPTSLNPNLPLFLRPPTFTATLSDLQKWQNWAKNLASSIGSSFTDSDNGPDSEILHRELNWLIEDALQNPKPLLTRNDSDHDIVLQLRADLGDLYTLWKQRIEKRRPFQYIVGCEHWRDLVLSVEEGVLIPRPETELIIDLVDDTIKQNEELKEGLWVDLGTGSGAIAIGIGKILGDSGRVIAIDLSPIAVQVASFNVNRYNLQDKISVKQGSWFEPLIEFEGRVAGFVSNPPYIPSGHIKGLQAEVGRHEPILALDGGEDGMSDLLHLCKGAALMLKPGGFFAFETNGEDQCLFLVDHMETIHHDSFHNLKIIPDFAGIQRFVTGIRI >cds-PLY75179.1 pep primary_assembly:Lsat_Salinas_v7:2:204028596:204033307:-1 gene:gene-LSAT_2X125761 transcript:rna-gnl|WGS:NBSK|LSAT_2X125761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKQNKKKILEKEMKESSTFSNYSVPARNLRKDDLGSVIFGCKYNTINECLTNHLFGLPATHFSYIKNIKEGLVLFLFNYSDRKLHGVFEAASPGSMNINKYAWVADTEDCGYTHYPAQVRVRVRQQCHPLSENQFKPVIADNYYEDKHFYFELDHHQTKKLMSLFTPSPVNPSASSSSSSSSSQIATRNSFPLRQPNNFKNMNQTSGSSYASVVGQHATPIITSSTWSALFKAEASIENENESDNVVARNHDEWPKDEDKSNVMHANTWDDDVGCVQTQESDWGYEGNAIKDEWQNEEDEVLSTNSWEETKLTHTAIDEAQCVRTTFVSELNPPDTNTNKDELPSSSSQLLDDNPDHQLPLFSKESETNVEENDVKENSLVPVTDLENSSSNLESLVAKDKSKQETQQLKNRIDVLESGSVEESKNDSNILNSVLIVGGFDGCLWLPCLDSYYPSYDIRIPLAPMNVVKKYGSAATMNGELYHFGGINPIVESYSPTSNQWVLRPPLYWSNIHVAGASVNNKLFVAGGNKESHFSSEVEYLDLNYGKWLPVRSMNSKVECYKEGSGWEVCDVKAIGKRSHFSAIVM >cds-PLY72533.1 pep primary_assembly:Lsat_Salinas_v7:2:143056305:143056523:1 gene:gene-LSAT_2X70860 transcript:rna-gnl|WGS:NBSK|LSAT_2X70860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRVGHIIEEDLEVGMVEDMDVEIVDYTVEGSVDIEGNKVVVWVNHLNRVPYACRSQGNPHVGCVLVDYL >cds-PLY90153.1 pep primary_assembly:Lsat_Salinas_v7:7:14920110:14921431:-1 gene:gene-LSAT_7X12240 transcript:rna-gnl|WGS:NBSK|LSAT_7X12240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPSVFAVQHIVGDSSGWTNFGDYTTWADSKTFNVGDTLLFNYGGSHGVDIVSKSDYDNCVTSNSISSYSGGTTTINLTQPGPMYFACPSFGHCSSGMKLAINVVSKSTTTPTTPTSGDNSSPPSSTTPSTPSTTSNPTHVAGPPSNANMVVVGISLILGPLFVFMC >cds-PLY70036.1 pep primary_assembly:Lsat_Salinas_v7:5:201202634:201204515:1 gene:gene-LSAT_5X91381 transcript:rna-gnl|WGS:NBSK|LSAT_5X91381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSGSCLRCCLVIFAVASALCVSGPALYSRLIKGFNLKGGSSISCAPCVCDCPAPLSLLNLAPGLANLSVTDCGKDDPDLKEEMEKQFVDLLSEELKLQEIVSEEHMRHMNITFGEARRVAAQYQKEAEKCNTATETCEQAREQAEAKMRQEKKITSLWERRARQLGWEGE >cds-PLY63470.1 pep primary_assembly:Lsat_Salinas_v7:7:151783735:151784357:1 gene:gene-LSAT_7X89060 transcript:rna-gnl|WGS:NBSK|LSAT_7X89060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFKQITFTVYARPNPEEYQQDMHVRGGSLVSPDATKRSDCHQRKSSNEDLEPRPDILIYDNSVGLKSKKTFVEDFEPIPNLSVYENGSSVKGKVFDKEFEPRPNASVYVDGASIKGKRTFDEEFEPRPSVTAYQG >cds-PLY78496.1 pep primary_assembly:Lsat_Salinas_v7:4:124202354:124204399:-1 gene:gene-LSAT_4X78760 transcript:rna-gnl|WGS:NBSK|LSAT_4X78760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NDPK2 [Source:Projected from Arabidopsis thaliana (AT5G63310) UniProtKB/TrEMBL;Acc:A0A384KNJ7] MESVIMASLSSIRLSSSSSDTRINLSLPTTAVSATSHRHLAAFQSPSHLFSKSLSTRIHATKKKNPNIFLPHLVASMEVEESYIMIKPDGVQRGLVGEIISRFEKKGFKLKGLKLFDCPKDLAEEHYKDLSSKPFFPKLISYITSGPVVCMAWEGVGVVASARKLIGATNPLQAEPGTIRGDLAIQTGRNVVHGSDSPENGKREIALWFKEGELTEWTQVQAPWLIE >cds-PLY78521.1 pep primary_assembly:Lsat_Salinas_v7:1:103922015:103922651:-1 gene:gene-LSAT_1X83700 transcript:rna-gnl|WGS:NBSK|LSAT_1X83700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQSVANDINKILRGAESMSNKLEHLSSRVQHMEEEFQKVQKNQPEFPLISDEEPQDICLPNYQNFYLPNFPENFKDVKVFRKWKKCVDSCFEGREIPYEIQGQLVAETFPKNFPWWEQIQKLSQRIDNNDKITWREIKKMFIVQFFSSDCLVSNKDTVVSSS >cds-PLY81838.1 pep primary_assembly:Lsat_Salinas_v7:3:31478589:31479201:-1 gene:gene-LSAT_3X21901 transcript:rna-gnl|WGS:NBSK|LSAT_3X21901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYYSKAKTDLKKIGAEGFSLLDDHCPRGQNSKRSSASAAAAATAPPYRTQPQMFPCQYKPQQTYFVQQAPPETEMVIDSYQAANMYGGTLLVDYPKTNRKPIHNGWFFY >cds-PLY78129.1 pep primary_assembly:Lsat_Salinas_v7:2:62021338:62024499:-1 gene:gene-LSAT_2X27381 transcript:rna-gnl|WGS:NBSK|LSAT_2X27381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVTIAAEGILKKVLSIAAGELAIAWGYKEILSSLHSKLEMVRAKLLDAEGKKETRAVMVWLKQLKDVVGEADDVLDEIDYEMLRRKIKKQDRMTRKVVCLPSLKKFSFRYKIGHKIQNINEKLLKINTEANSLGLQNEQPAGPVLDRLYWRETVPNQEEFKIVGRDNDKLHIIEIITQSRKEEKLSVVPIVGMGGIGKTTLAKSVYNDKNIDPHFDVKAWLCVSVNIDINTLLAKIYESFAKKKPTSDLRTNLIESLKVQLASKRYLLVLDDIWVEERPYWEEFRSCMLNVSSQNGSGILVTTRKLEIGTHDMHMDSCLLKGLSDDYCWDIFRERVFAAGASASPELVKIGRDIVEKCGGLPLLLNVIGGMLAHYNDTEMWLSIKNSNVWDLEEERDRVQKSLELSFDNLPNSIAKQCFIYCSIFKKNTVMEREELVQLWMALGLVQADEERNKEMEDVGNDIFQILVSNSLFQDVERDELYGHITHCSMHDLVHDLSLSLSKHESLRLVDVTNVDIAHVPQVKHLAFYQEQNQEDGLKAKVSTFIERNKVARTLHTLFFKGEVEKKFSFQRLKYIRILKFEGCKIEKLDDSVGGLVHLRYLDLSYTGIHVLPDSIGKLYHLQTLKLPEDIEQFPETMRNLICLRYFMSDTEIPANIVGQLISLRKLSSIKVLRRKGYGIEELRHLNNLTGSLSISYLENVSSKEDAVKADLSRKKNLYEIGFSWSEDDQGSNRGDKDVLEGLQPPRDVKILTFSNFSGDNFAEWVMKMAINIDGEETPLDKLVEIRLYRCRICLSLPTLEHLPHLRNLFLWNMGSLKCLRSSDVNGSTKPLSPSLRSLVLFGMERLEKWIDGAPNSSKMISPVLHSLVIRECPKIIVLDECHPHPLVYLEISDCNGLLSITSIQGLTSLESLVIGNCPSLSVIANLPKQCHSLKTLSISHCDNLTSLPHDMFDCFAFLNDLTLGPFSKELDSFPSLQGIQKLRNHLHYLDLKGWDHWESIPEEIQHLTLLTALIISGFGMRELPMWLTNMSSIRHLIFHDCKGINKET >cds-PLY84950.1 pep primary_assembly:Lsat_Salinas_v7:2:123706953:123709674:1 gene:gene-LSAT_2X57301 transcript:rna-gnl|WGS:NBSK|LSAT_2X57301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYFNSFHYGEEVRVIGGEYEGAEGIFLKPMFEIWTEERKIIIVEPHFVDGYDNTPMTPPISETTTLTRSPPLASSMSTTGENIVNVESHFLAGNNTTIEASPAMSTPRAIYKSRRRHKYGRCGKYVHLEKTFKNLAPQGSDLSKTSTSKRGCRKNRKSRGTRAVLGSM >cds-PLY91326.1 pep primary_assembly:Lsat_Salinas_v7:4:246284388:246286104:1 gene:gene-LSAT_4X130341 transcript:rna-gnl|WGS:NBSK|LSAT_4X130341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTVATTEKRPHVIFIPLPSQSHVKAMLKLAQLLHHKGLQITFVNTEFIHERLVNSGGAHTLDGSDGFRFATIPDSIPRRSEEKPAMDLLLHHIETSFLAPFVELARKLPTPPTLIISDGVVSVFTIDAAKKLGIPIMLYWTVAACGFMVSYQTKSLIEKGFIPLKDESYLTNGYLETIIDWIPGMKGIQLKHLPSHIRTTNPDDKILKFITESTQNAHNVEYNIIHTFDALEANIVNALSSMFPHVYTVGPVQLLLNQIPAEEKQATMSNFDGYSLWKEEPECLQWLESKEPKSVIYVNFGSSTVMSLQDLTEFGWGLANSNHYFLWIIRSGLVVGESAVLPPEFEEYIKEKGFIASWCPQEKVLERPSIGGFLTHGGWGSTIESLSAGVPMICWPYGWDQPTNCRYIYKEWEVGLEMVKDVKREDVSKLVHELMLGEEGHRMMNKAMEWKEKAYAATGPSGSSSLNVHKLVEEIVMLSRN >cds-PLY96730.1 pep primary_assembly:Lsat_Salinas_v7:6:77195295:77197565:1 gene:gene-LSAT_6X55861 transcript:rna-gnl|WGS:NBSK|LSAT_6X55861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADQALEGSQPVDLIRHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVREETYTAFENIYPVLTEFRKNQQ >cds-PLY88516.1 pep primary_assembly:Lsat_Salinas_v7:2:154804827:154807215:-1 gene:gene-LSAT_2X79660 transcript:rna-gnl|WGS:NBSK|LSAT_2X79660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVDTTAVIAWGSGEDGQLGLGDNEEKEWVSSVTSLNSATVRSVVAGSRNSLAICDDGKLFTWGWNQRGTLGHQPSTKTENIPSQVKALDNVKIIQAAIGGWHCLAVDDEGRAYAWGGNEYGQCGEELEKKGESGRTLRRDIVIPQRCASKLSVRQVAAGGTHSVVLTNEGHVWTWGQPWPPGDIKQISTPVRVQGLEKVKLIAVGAFHNLALLEDGNLWVWGNNEYGQLGTGDTQPRSQPVPVQGLSGLKLIDVAAGGWHSTALTDEGEVYGWGRGEHGRLGFGDDKSSKMVPQQVQLLAGEDIIQVSCGGTHSVALTRDGRMFSFGRGDHGRLGYGRKVTTGHPCEVPIDLKSPKDGELGRWCATYVACGGRHTLAIVKWKDVEVNEMI >cds-PLY82258.1 pep primary_assembly:Lsat_Salinas_v7:1:66743255:66745778:1 gene:gene-LSAT_1X58361 transcript:rna-gnl|WGS:NBSK|LSAT_1X58361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein CLAVATA2 [Source:Projected from Arabidopsis thaliana (AT1G65380) UniProtKB/Swiss-Prot;Acc:O80809] MEARWDPDFCFKTYRIFNSRFTPLFLLFCLSFSTLSSSLPNVFDEITLNIQDRASLLLFKSQLHDPNQRLSWVGSSCTNWTGVSCSRWNGRVLALNLTGFNLSGQLHSSLCKLSFLETLYLSHNNLDGQIPDCFSSFWNIKILDLSHNMFSGVIPDTLMKLSRLTQLDFSHNLFDQMLPYWIGNFSMKLEKLEMGFNSFRGGIPEGLLHLKSLKYLGLSHNNFSGDLPDFRQALGHLSLESNSFSGTLPCLSSSVESLSFLDLAENLLEGGVPTCISSLRALEHLNLSFNHLTYEISPRFLFSNRLVVLDLSYNQLSGYLPKKILDSPEKSGLIVLDLSHNQFSGEIPPDFTELKSLQALFLSHNLLTGEIPSRIGNLTYLQVIDLSHNSLSGSIPLNIVGCFQLLALILNNNNLSGEIQPELDALDSLKILDISNNKISGEIPLTLAGCKSLEVVDLSYNNLSGPLNDALTKWSNLRYLSLSHNKFTGALAIWIFMFESIQSIDLSGNKFSGDIPDGIFNLSLHFNNGEAKENPKAPLLDSKFSLVMGDDLHLNYNLSSTVTIDLSDNLLHGQIPEGLFGLHGLEYLNLSYNFLDGQIPTNLESMWSLKILDLSHNSLSGEVPENLSGLGNLTHLNLSFNYFSGIVNKTKGYWRFSGAFAGNPNLCVESSGGDGGGGGGCLTGKLPEEPERVYEEGKEDGLISGWLFSVSACVSFYSVGVALFCSSRSRNFMFQTKV >cds-PLY76936.1 pep primary_assembly:Lsat_Salinas_v7:8:264033383:264035153:1 gene:gene-LSAT_8X153661 transcript:rna-gnl|WGS:NBSK|LSAT_8X153661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKILDRRWTLKNPDTKVHQINVSRLQTQPTSKLLGNVSFSNNSHPSLGENLTEWHNDQSSFYIVRDDLLHPLVNGNKARKLDALLPLIEDHLGTDVVTCGGCQSAHTAAVAVSCAERGLKAHLLLRGEQPQILTGYNLISMLYGNVTYVPRSVYAKREEMLTSHADSIPGTIIRLDDLLKSSFTNHKSTKSNLDQPSNSKKIVIINEGAGDAIALPGLIRLVKYLSQDHILGKSQPIKIVVDSGTGTTAVGLGIGALCLGLPWEITSVMLADTIEGYRKHEEHLISELCGSSGFPIIMHSGLVHWVEREHPRKFGNVLKGEIEACQQIAKETGVLVDPIYTLAAWELASQLSQQEKKGGAKVVMLHTGGTLGMFGLAQRYKSYF >cds-PLY84961.1 pep primary_assembly:Lsat_Salinas_v7:2:123416366:123417371:-1 gene:gene-LSAT_2X57520 transcript:rna-gnl|WGS:NBSK|LSAT_2X57520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMSSKTLTHFMHPSHQLTEHIADTIYRCDGCKMYGTGTRFTCPPCNFDLHDYCAKCPPSLPLTVNHPHPMSLVDYKPQLNHTEPCKICRSPIQGLAYMCKNCDYWIHPPCVLHYLGLRYFIHPSHNLTEFTANTDYVCNGCYFIGTGKRFTCSPCNYDLHEYCANSPTWLHSTQIHHHPLSLFIDKHQLDKTKFCQICRTAIQGFAYECKGCNFWVHSLCALHNIVHGHGGQSSIFNQGQSYTQQGSFQVSQPMRPQTVPTNWISNGASSYSPHTTGGEVGDGNSLYELLNIIQCFFESIFLS >cds-PLY92742.1 pep primary_assembly:Lsat_Salinas_v7:8:66699459:66701578:1 gene:gene-LSAT_8X47020 transcript:rna-gnl|WGS:NBSK|LSAT_8X47020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQFPCDNDCFFTLQQHPISKIIKIMALGTDEALGHAGSVLSGNKQLSESSAKETEPLTEEDAIVDIMGRHDQFVSSMQSRLAKLQMIHRCCDRNDIKAAIRAMERMADHSDAEKGYNIEGVEAVHLNYSS >cds-PLY89372.1 pep primary_assembly:Lsat_Salinas_v7:4:122072696:122073190:-1 gene:gene-LSAT_4X77001 transcript:rna-gnl|WGS:NBSK|LSAT_4X77001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEHQQQHYGYQQHQQHHPTDTYKGRFQQNGSQSTSKVLAVLTLFPIGGICLLLAGLTLTGTLIGLGVATPLFVIFSPILVPAALTIGLAVTGFLASGAFGITALSSLTYIVNYFRRMSGGGVGGGASMQDPLDYAKRRAQDTAGYVGQKMKDVGQRTQEAARS >cds-PLY94427.1 pep primary_assembly:Lsat_Salinas_v7:6:11224692:11225366:1 gene:gene-LSAT_6X12701 transcript:rna-gnl|WGS:NBSK|LSAT_6X12701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSGSSSENQTVLVTRNHDKNMCTCRHPNLSVERILMSDKNPARRFRKCVDSLVEMAAEKCKYFRWIDDELTPHYMNAFNNLKYELQMMKNTSYAARLERRVALLENLNAEATAAKEIVDDELSIDVAEYKQLRGELKFMRMKFRIDMIFLVLLVVVLMTQKAKVVG >cds-PLY77355.1 pep primary_assembly:Lsat_Salinas_v7:2:96509975:96514573:1 gene:gene-LSAT_2X40481 transcript:rna-gnl|WGS:NBSK|LSAT_2X40481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSTPHVTLEVGEENPYPHPSNVYTPSFVTLKLSGRDEYEIWKTQMLCLLESHGMLGFIDGTHVSPQTSHNVSEKENVGEDHQTHHKLWRRSDALVKGWILGALSKQTLTYVVDRLKAKLSQERNVADFCGKEVWDELETMYAPAVVPPELLVVEDTLRDQERAEDQKQLYKATLGGEWTGVEIVLREREVTVLNKITNNGNTALHVAVGTSKKPWFLQQLLDVIPENTQLLDVRNSDGSTLLHVAAIVGNTEAVDILVQGNPDLLLAKDNEGHTPLAIALSNMHTETSKQLLKYINTDIEKDALFSGTSGDEHLVNVISSKDFGFAKDLMQHYKTLHTDAVLMVIAQNFPCELSILEEYIGTDMVEQRVFSCQTCGDCIFYILERCSPCVSLLCILPCCIVTEYCLMLLLRIGRILVFPFIKERVQIHEDAMELLEDACRLTIKTKHPSSFPQYYTNPILEAARQNSYEVVQRIVSFFPSAIMSANEDGHNVIQYAVINRSEKVYNLLYEMSEDRNIYRTIKDSSGNNLLHLAARLAPSNKLNLISGAALQIQRELLWFKEVEGFVCPLSIIQKNSSGETPKMVFTREHKDLVIEGEKWMKSTAESYTITAALIITIVFAAAITVPGGSNQDTGIPIFTYKTAFTIFAISDAISLFAAVTSLLMFLSILTARFAEQDFLFKLPTKLIIGLATLFISTTAMIVAFATTLYLVFGRSHSRVLIPIAVLTCLPITSFVTLQFPLVLDLMSATYGRSIFGKKRDYSYWDREILI >cds-PLY67327.1 pep primary_assembly:Lsat_Salinas_v7:4:19924234:19924935:-1 gene:gene-LSAT_4X13781 transcript:rna-gnl|WGS:NBSK|LSAT_4X13781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKGILRLTVNKGTNLAVRDRASGTSDPYVVATLDHQKTKTKVVKDDCNPIWNDVLTLAIKDPKEPIKLTVYDKDTFSEDDNLGTSNVDVNPYLECLEMRTDLNDLAIGTKLETVEPDEHNHLAEESFIVWNKDSITQDMILRLRNVETGEIEVQIEITLVKDPHLIV >cds-PLY63522.1 pep primary_assembly:Lsat_Salinas_v7:9:25042569:25046209:-1 gene:gene-LSAT_9X22380 transcript:rna-gnl|WGS:NBSK|LSAT_9X22380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKLHRHRSIRPLPHPNPIISTTLPPSYPTKHEINGHLDNDENLISTITNIVHGNQSWSVSFNNTISSNLKQQHVEKVLLRTLDDPRLALRFFNFLGLHRNFNHSTTSFCILIHALVQSNLLWPASSLIQTLLLRTSSPEIVFKYYYDTYVNYNFSRTIGFDLLINAYVQGRRVLDSFSVVNMMKNRNLMPEIRTVSDVFNGLIRIRRYDLVLKFFDEMTEIGIRGNAYIHTAIIRCLCELKNFDRANELIQWLGSNNFELNIVMYNVFIHGLCKSQKMEEAMELKQALPSKGLKADVVTYCSLIIGFCRLQQFKTAGTLISEMVDMGFVPSEAVVSGVVEGLRRNGDAISAYNLIQDLDSIKALPNLFVYNALINSLCKEGNVDEANILFKNMDTNGLTPNDITYSIIIDSFCKRGQLEDARLFLDKMNDSGIKASVYPYNSLINGYCKSGKPRIAETLLKEMVFQDVNPTVVTYTCLIDGYCKHQDVHKALRLYHEMTGKRIFPNTYTFTSLISGFFHANMGTEASALFLEMMERNVIPNERTYNVMIEGHCQEGNMVKAFDLFDQMVEKGLKPDTYTFRSLITGLCSINKVSEAKEFVNNLNRQNHKLNEMCYSALLQGFCQAGRLDDAISASNEMIEKGIDMDIVCYSMLINGSVKEGDDVKLIHFLKQMHDKGMKPDNVLYTILIDAFGKRRDLGKAIGYWDIMISEKCNPNVVTYTVIINCLCKLGFIEKAEVLFKEMLVNSIFPNHVTYGCFLDCLTNQGHMEKALHLHNIMLKGSLANTITYNILIRGFCKLGRIQEGVKILDEMFDNGILPDHVSYSTIIYEYCRNGDVHEAIKLWDLMIDSGLKPDTLAYSFIIHGCCVAGELTKAVDFRDEMVKRGLKLNRSLELL >cds-PLY76366.1 pep primary_assembly:Lsat_Salinas_v7:6:170444196:170446680:-1 gene:gene-LSAT_6X102981 transcript:rna-gnl|WGS:NBSK|LSAT_6X102981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRAGPPDITDTYSLLVLNITFRTTADDLFPLFDKYGKVVDVFIPRDRRTGDSRGFAFVRYKYADEAQKAVEKLDGRVVDGREMMVQFAKYGPNAEKIHKGRILEPVEKLKGRSRSRSPRPRYRDEYSHRGYRRRSRSRSRERYYGRDRDRYRSRSRSPDYHRRHRRNRYDDDYDDERSPSRSPVRSRSPPPRRTPSRSRSPVRGRNEKASSPGADSRSPLQRSDSDE >cds-PLY72514.1 pep primary_assembly:Lsat_Salinas_v7:2:143627581:143629289:-1 gene:gene-LSAT_0X22321 transcript:rna-gnl|WGS:NBSK|LSAT_0X22321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CURVATURE THYLAKOID 1D, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38100) UniProtKB/Swiss-Prot;Acc:Q8LDD3] MELCTSTRCIPNRLPNLTSFTPYRTCLQWKFSVPLKPNSISRNNQGSRHYNYSSIKASASDETSSGANQYVKEEPKVLNYSPVEESPNPDESSNGANQYVNEEPDSGVTEAQSDEKSGFGGFSLFKDDDDATSDDQFPQFDFLNKLNMELDFEDTFSISLLGVGGVTALWLTASIVGAIDNIPLFPKLMEVVGLGYTIWFSTRYLLFKKNRDELASKIEEIKQQVLGSKN >cds-PLY78787.1 pep primary_assembly:Lsat_Salinas_v7:8:61407898:61408317:-1 gene:gene-LSAT_8X44720 transcript:rna-gnl|WGS:NBSK|LSAT_8X44720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNASATLLQSKKHNPKHSPSIVVAAIALIPFGIVVIDDEKETTTRLDGEQPRNLLRLFIASSPFCTFPYRSCCRNLPVSLGSSFFPSPSQINAYAALVASDLILPSSVRWSTYDAPFDRLLQLPSPMCDLMPDREKEQ >cds-PLY81851.1 pep primary_assembly:Lsat_Salinas_v7:3:33161838:33164534:1 gene:gene-LSAT_3X23920 transcript:rna-gnl|WGS:NBSK|LSAT_3X23920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRSAIFFAIFLVLHFDHVRSSSSTSEYRLASSSEQPYRTAFHFQPPQNWMNDPNGPMYYNGVYHMFYQQNPFGPLFSNQMYWGHSISHDLINWIPLDLAISPTEPFDINSCWSGSATILPGNIPAMLYTGIDSENRQVQNLAFPKNLSDPYLQEWVKYTGNPVINLPEGIQHDDFRDPTTAWLADDGKWRILVGSQKDKTGIAFLYQSEDFVNWSKYDSPLLTVPGTGIWECPDFFPVWVDSTKGVDTSVMNSRVKHVLKVGLFDYATDYYMIGNYSPAKENYVPQNELTLNTLRYDYGKYYASKSFFDPVKDRRILMAWVNESDSEADDIAKGWSGLHSFPRSAWLDQNQKQLVQWPIDEIEMLHEDEVSYQNTKVVLEGGSLHKVLGITASQADVKITFESTNLEETEEMDPSWVDPQLICSEQDASKKGKIGPFGLLALASNDLSELTAIFFRVFQKKGRYVVLMCSDQSRSSTRNGIDKTSYGAFVDIDPQQDEISLRTLIDHSIVESFGGGGKTCITARVYPTLAIGEEAHLFAFNNGTETVVISELNAWSVRKARINVEETISYAEK >cds-PLY96700.1 pep primary_assembly:Lsat_Salinas_v7:6:76850597:76852649:1 gene:gene-LSAT_6X56101 transcript:rna-gnl|WGS:NBSK|LSAT_6X56101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSESTRYWCHVCNRVVETFREAETIKCSSCNGGFVEEHDSVRADDHNHQHQLAGDSESDRAMSLWAPILLGMMSNPRRRRRLRQIENNEENDENEDHNDSEERYRRYHREGGESELDRELESIMRRRRRSSAAIVQLLQGIRAGMITQSENNADREGGESNRDRDRVILINPFSNTIIVQGGGSGANSFDSSGVGGPQNHPIGSLGDYFVGPGLELLLQHLAENDPNRYGTPPAKKEAVEAMPIVKIQEDSVQCSVCLEDFQIGDEAKEMPCKHRFHGDCILPWLDLHSSCPVCRYQLPSDESKLERDREASRAITVNTNVIAGESEIAGEDGSGSSRRLSVTLPWPFNSLFSSTSASGTQITNPRLIMDTGSSDSGSGPPESGGGRRDDDNQ >cds-PLY92595.1 pep primary_assembly:Lsat_Salinas_v7:7:159347463:159349695:-1 gene:gene-LSAT_7X95260 transcript:rna-gnl|WGS:NBSK|LSAT_7X95260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPENRRVQRNNSSISNSSLNHNIEEAIRRLRIHSDGKEDETDSTVYPDRPGEPDCIYYLRTGACGYGDNCRFNHPTYNAQMNHHGGDLPERIGEPDCVYFLKTGTCKYGSTCKYNHPRDRRGLGPVVLNMVGLPMRQDQKSCSHYLRTGSCKFGVTCKFHHPQPPLEPTSHGGGPPPWPYPTPQTYFPVMLPPSTATHGWSPVGLPLRPGQPVCSYYSLYGICKYGPGCKYDHPVMVYSYNYPMGMNMMTSSVLPYATSNGKLSTNDSSQSHSD >cds-PLY61897.1 pep primary_assembly:Lsat_Salinas_v7:6:57613120:57613539:-1 gene:gene-LSAT_6X41840 transcript:rna-gnl|WGS:NBSK|LSAT_6X41840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKYIQRNSKTIRKVSIVDIPSHSGVRTRSRTLALQKSSFVASPSDSSYIQLRSRPLVKQTPSNTQNENRVPPKPNKHHCKGVGSLTLKVNSWNSSRSVKKLVHRKDKIRQEIEIKDVEDVEIDDEDSFCENMLEIEGR >cds-PLY98976.1 pep primary_assembly:Lsat_Salinas_v7:7:50420207:50425200:1 gene:gene-LSAT_7X36800 transcript:rna-gnl|WGS:NBSK|LSAT_7X36800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSQTKKEPSLKKLEYLSLVSKVCTELESHLGFGDKVLAEFITEIGRKCETVDEFDAKLKENGAEMPDYFVHTLLKIIHAILPPKLKSKSDSKKDDNVPKSSFPALNIGDTKDRVKELEREIEMEARERTQQDGGRDSRDRDIDRGRGRDRDKDKDRRDRHRGRENDDRYDRRRRGGEEDDRGSHRGREKHREDDRGRERHREDEEEEEEEENRRERKSYGRQSDEPELYHVYKGRVSRVMDSGCFVQLHEIKGKEGLVHVSQMATRRIPNAKDVVKRDQEVFVKVISISGQKLSLSMRDVDQNTGKDLLPLKKTGDDESSRTNPSNVSNTFIPTTRTGLSGIRITEEDVGVPSRRPLKRMSSPERWEAKQLIASGVLSVKEYPMYDEETDGMLYQEEGAEEELEVELNEDEPAFLQGQSRYSMDMSPVKIFKNPEGSLSRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDMPEWKKDAFGKALTFGQRSKLSLQEQRQSLPIYKLKKELVQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPETVIKYMTDGMLLREILIDENLSQYSVIMLDEAHERTIHTDVLFGLLKQLIRRRPDLRLIVTSATLDAEKFSGYFFSCNIFTIPGRTFPVEILYTKQPESDYLDAALITVMQIHLTEPEGDILVFLTGQEEIDHACQCLYERMKGLGKNVPELIILPVYSALPSEMQSRIFDPAPPGKRKVVVATNIAEASLTIDGIFYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAFNNEMSPTSIPEIQRINLGLTTLTLKAMGINDLLSFDFMDPPSPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLEPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLSIMDKYKLDVVTAGKNFTKIRKAITAGFFFHAARKDPQEGYRTIVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVVDPKWLVELAPRFFKVSDPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >cds-PLY62694.1 pep primary_assembly:Lsat_Salinas_v7:6:55502893:55506615:-1 gene:gene-LSAT_6X39480 transcript:rna-gnl|WGS:NBSK|LSAT_6X39480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase E, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G22510) UniProtKB/Swiss-Prot;Acc:Q9FK88] MAATSETVLQVLCNAGPSKSHTRLSSNKSNFLGLSKHGFNSVRAKGSSCTKIESSRHKNHRRICAFHIVDPVHHGSNHNDRFRSLSCKCQKSDSVTDVVNEELNRKINGKIDPLLDGNLGTNGNGAFSSVLPNADIDSKEEEAWELLRASMVYYCGNPVGTIAANDPSDSSILNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTVDCHSPGQGLMPASFKVRTVPLDGDDSATEDILDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCTGDLSVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLSAREMLTREDASADLMTALSNRLVALSFHVREYYWVDMKKLNEIYRYKTEEYSYDAVNKFNIYPDQIPPWLVEWMPNKGGYMIGNLQPAHMDFRFFSLGNLWSIVSSLATSEQSHAILDLYEAKWGQLVGDMPLKICYPALEEQEWRIITGSDPKNTPWSYHNGGSWPTLLWQLTVASIKMNRPEIAENAVKVAERRIAKDKWPEYYDTKRGRFIGKQARLFQTWSIAGYIVAKQLLANPDAAKMLVNIEDMELVNAFSCMLSANPRRKRSRKGLNQSFII >cds-PLY72689.1 pep primary_assembly:Lsat_Salinas_v7:6:28139966:28140887:1 gene:gene-LSAT_6X21080 transcript:rna-gnl|WGS:NBSK|LSAT_6X21080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQRNDAGLAAAEQALTVEKHVLESGSIDTVGKLGWLDLTMIGTLGCLKSCLIIRIYIYAFWTRNEVLKVSQLN >cds-PLY67446.1 pep primary_assembly:Lsat_Salinas_v7:6:73129457:73132831:-1 gene:gene-LSAT_6X52400 transcript:rna-gnl|WGS:NBSK|LSAT_6X52400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTKVQPISMEDTIQTSITTTSSVSSTVSPKVSLFSKKSGFVIPKNKLSGSLVPIFRGNKKPGGADVANEESTKQVLRKTKWGPDLTQDSFVKKGRASAYQTRLDQITQQLNSGIMESSDDHNSTNNQTLEKLELLELERREVIGEILKLNPSYKAPADYKPLLKEAKVVIPIKEYPERNFIGEIFSGDTLKRMEKETGAKLRVYGMKSDTKKESEITSYDENKADYEELSVFVTADSYEKVDAAVSMIEILITQVSANTEPEPKEVQVSEDNPTMVSLTQDPNPPSSVNQTPPLPQFPQYPNQWLPNLSVSTPHFSNLSVSTPSNSAPIQSHPLHRPPNIGYRGPPRNPMPPVQGAPRQHNFSSPNMQLMGSPIPRPLTSGGWSQPPAAPQMMIRPPPPPPPPVRSMPPPSIRQQPPMTALRPQPPSSNDFTFQTHRPPPLSSQPLPRPGSQFMPPPQPSFRPGPPPPPMMQQAFHRPQNSHQMGGPSDRRPVFSGHGPPPPAARQFGPPSNFLPPRPGNFGQVPQNHHPAMGFRPQNFLPPNQQLRGNAPYPLGRPGHQSVPRQQIYDPFSPSAGPHKPGNPQNLRKQESDPEYDDLMASVGVK >cds-PLY87329.1 pep primary_assembly:Lsat_Salinas_v7:3:112329001:112332105:1 gene:gene-LSAT_3X80521 transcript:rna-gnl|WGS:NBSK|LSAT_3X80521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSIAAKFAFFPPTPPSYTVEADESCGGQLFIPEVPRKEGVDVLKLRTKKGNEIVTVHIKHPKASATLLYSHGNAADLGQMFELFVELSLRLRVNLVGYDYSGYGQSTGKPSECNTYADIDAVYKCLKEKYEVKDDQLILYGQSVGSGPTIDLGSRIPDLRGLVLHSPILSGLRVLYPVKRTYWFDIYKNIEKISLVSCPVLVIHGTSDEVVDHSHGKQLWELCKNKYEPLWLTGGGHCNLEFYPEFIRHLKKFVLALGKSKTSINGTKPSIIPDVENQKKVSESGSTVSGDTFELRANLPEVSRNSLDSRLEKSKKPTNKPEKSRMSVDMFRRRKGLVW >cds-PLY79943.1 pep primary_assembly:Lsat_Salinas_v7:3:109111553:109113535:1 gene:gene-LSAT_3X79640 transcript:rna-gnl|WGS:NBSK|LSAT_3X79640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPDWELQNCCDKDQKLFLATVGVFTLVILALWRTFLLTPFKLITVFLHEASHAIACKLTCGEVMGMEVHANEGGVTQTRGGVYWLILPAGYLGSSFWGMLLILASTGLLTSRIAAGCLGVALLIVLFVAKNWTLRGLCIGFIIFLAVIWILQEKTTVRILRYVILFIGVMNSLFSVYDIYDDLISRRVNSSDAEKFAEICPCPCNGVAWGVIWGMISFIFLSASVYIGLVILST >cds-PLY69873.1 pep primary_assembly:Lsat_Salinas_v7:6:3859816:3862649:1 gene:gene-LSAT_6X3561 transcript:rna-gnl|WGS:NBSK|LSAT_6X3561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVATKSEASLRRRNSFSTISDASSTIVKRRRRCPSMLALNDHKTNSEGNQIQTSTTPLVDQSSNTITTTTTTTPTTVKRSSKYRGVSRHRWTGRYEAHLWDKGSWNATQKKKGKQGAYDEEESAARAYDLAAIKYWGTTTFTNFQVTDYEKELEIMENTTKEEYLATLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAAHAYDIAAIEYRGINAVTNFDLSTYIRWLRTSSNSGGGSSQTQDQPPPPTTSSHHVNPLMEEQEPQFAFGSSSGSLPLAMTPQNHQLLDTKMMLHDSDSNNHNDSSPAPSALSLLLRSSMFKELVEKNLNAGNEEEHHHETKMKTEGNEFRGMFFNGMCPSKVGMELDAKDELPLFRNPNQSLWNGSLNMNKSSRH >cds-PLY66513.1 pep primary_assembly:Lsat_Salinas_v7:4:340435519:340436241:1 gene:gene-LSAT_4X167161 transcript:rna-gnl|WGS:NBSK|LSAT_4X167161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANQSNSTLTDFAMKNEIYAFGVVLLEILTGMMVYDEERPLGKKNLVEWAMPLLADEVNLRMIMDPQLQHNGCPPKGAFKLAQLVLNCLHGKKDERPSMEEILLVLNQCYQEEIKRV >cds-PLY96139.1 pep primary_assembly:Lsat_Salinas_v7:3:96443341:96444725:-1 gene:gene-LSAT_0X42461 transcript:rna-gnl|WGS:NBSK|LSAT_0X42461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGASDVGEAQTDIEGSLRLKGNLALEQVEGKARLRVPDKNIEECNSSQRLKASYITFFDQPELSFQTGFSSFLDTIMDEPKLVMILPFLSAEALTEKKRLPEKELNLLMEEIDVGWKEMEMYYHDLFNSIHLYLCFFLWRIQSCKSGSSLVITNL >cds-PLY71641.1 pep primary_assembly:Lsat_Salinas_v7:9:135928848:135930245:-1 gene:gene-LSAT_9X87701 transcript:rna-gnl|WGS:NBSK|LSAT_9X87701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELGFYIPSSRLHSQYAAAEEALTSPSKSRSRDTIVTITLLDIVYLLFAKMQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINVGHLDESGRYTGQFSTFALCGFVRAQGDADSALDRLWQKKKVEAKQ >cds-PLY68251.1 pep primary_assembly:Lsat_Salinas_v7:4:236684350:236693360:1 gene:gene-LSAT_4X127620 transcript:rna-gnl|WGS:NBSK|LSAT_4X127620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNGQGEPLKGNLGKCGLDALMPLTPAHKPYGLLCSECQGTHNPQGSRNEIYFHCCFNVMETAASATTMEDCCVKVAVHIRPLIGDEKLQACKDCVAVVPGKPQVQLGTHSFTFDHVYGSTGTPSSAMFEECVSPLVDGLFQGYNATVLAYGQTGSGKTYTMGSGCKDGAQTGLIPQAMSALFNKIESLKHQIEFQLHVSFIEILKEEVRDLLDPNSSNKSESPNGQNGKVNIPGKPPIQIRETSNGVITLAGSTECGVQTLKEMADCLEHGSLSRATGSTNMNNQSSRSHAIFTITVEQIRKGDSNSNDSMGDEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSRTVMIACVSPADINAEETLNTLKYANRARNIQNKPVVNRDPMSSEMLKMRQQLECLQAELCARGGGSSVELQVLRERIAWLEATNQDLCRELHVYRSRGIAIDHSEMDTKGDDSFCLENEGIKRSLQSSVDSSDYQMSESGDSTGIDEEAAKEWEHTLLQDSMDKELHELNKRLEQKESEMKLFGGFDTMTLKQHFGKKIMELEDEKRAVQKERDRLQTEIENLSATSDGQTQKLQDLHSHKLKSLESQILDLKKKKDSQVQIMKQKQKSDEAAKKLQDEIQFIKAQKLKKEGRRNEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSTRDNSVTSNGNGTNVQNNEKSLQRWLDHELEVMVNVHEVRHEYEKQSQVRAALAEELAVLRQVEEFAAKGVSPPKGKNAFSRASSLSPEARMSRISSLESMLNISSNSLVFMASQLSEAEERERAFANRGRWNQLRSMGDAKNLLQYMFNSLADARCQSWEKDIDMKEMDEQLQELVGLLRQSELRRKEAEKELKLREQQTVATALPSSASGNSHNSLKHLADDMSGPLSPNSVPVPKQLKYTAGIANGSVRESAAFIDQKRKMVPIGQLSLKKLALVGHSSGKLWRWKRSHHQWLLQFKWKWQKPWRLSELIKHSDETIMRTKSRAHAISDVIYHHRH >cds-PLY99033.1 pep primary_assembly:Lsat_Salinas_v7:6:151869886:151871097:-1 gene:gene-LSAT_6X90760 transcript:rna-gnl|WGS:NBSK|LSAT_6X90760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKSFTLIQTVATAGLFSAVSFWYGFMFGRESSRKELGDLIADLRRGNPDSSSSTPPHS >cds-PLY90547.1 pep primary_assembly:Lsat_Salinas_v7:6:52185830:52186163:1 gene:gene-LSAT_6X38300 transcript:rna-gnl|WGS:NBSK|LSAT_6X38300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHYPLNQHNRREGGCNQNRRGWVSLIRSKIPDLSRSSNGSAMDEIVLLKKSFLVFYSSKNQSFAQVIVSDLLMNGG >cds-PLY64312.1 pep primary_assembly:Lsat_Salinas_v7:4:25985121:25985901:1 gene:gene-LSAT_4X18640 transcript:rna-gnl|WGS:NBSK|LSAT_4X18640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFCAGSVAADLNLVAIVFRSCSAAYFPANLVRQQLHQESRYLEQDYVMQEMVPNHHPLRYKDLPFSKSPIEDWQQLFAIISQSIRPSAVIWNTIKVLEHEALTQIQKYYQVPVFSVGPLHKITPTDLPTSFLEEDTSCIAWLDKQATKSVIYISFGSLMTLDKKVLIEMACGIAKSNQRFIWVVRPGSVCDSEWTEFLPEGFIEETRERGLIVK >cds-PLY75381.1 pep primary_assembly:Lsat_Salinas_v7:6:177927276:177936055:-1 gene:gene-LSAT_6X108800 transcript:rna-gnl|WGS:NBSK|LSAT_6X108800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTNCGQSCCLALAIHVGRPSLASLLSTQPSCNRPSAAMQPSSATAKPIHQQLRGPTPSSCVAQLSAATIPSLRQILGRLTFPYSYNCSSADHMLKQSASRNQRAKGFKVKHAVQISVLLLVCVWLLYQLKQTYMNNNPAIQVSVIGRKGLIDSQVKERNDEEQDVEKVDEEEPDQLEDLIDEDDKDERIGKVI >cds-PLY87303.1 pep primary_assembly:Lsat_Salinas_v7:3:146599130:146603407:1 gene:gene-LSAT_3X95261 transcript:rna-gnl|WGS:NBSK|LSAT_3X95261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTDMGPPPPRNPTPTTNSDQPPSKEKPTKTVDDVKKTPMGPPPSIQPIPPNIEPEESVQPTEPPLPEDDAASAPANSATGEEDNLPETKKKEQKPSSNVGGGVAVPYTIPPWSEPPCHNFFLEVLKDGSIIDQFDVYEKGAYMFGRVDLCDFILEHPTISRFHAVLQFNKSGGAFIYDLSSTHGTFINKNQVKQKVYVELHVGDVLRFGHSTRLYIFQGPTDLMPPEKDLQSVKHLKIRQEKRDMEASLLRAKREAALADGISWGMDEDAIEENEDDLEEITWQTFKGQLTEKQEKTREKVLKRLEKAKIDAIRAKDIAQGGLTQGQQTQIARNEQRMSQVAEELENLEETLNESIRESMGARVGRVHGKKKGAPEDDEDEYMSDEDDFYDRTRKKPSKQKGAEGQAIETADSLLDKKDAIDKQIEEKKKSILDEKNRLILENDEVAETGDELDAFMSGLSSQLVHDKTNSLQKELDTLQSELERIVYLLKIADPTGEASRRRQSVGHEEEKQNISKPQVATKVEVKTQKQNSSSADVTTKPKQETADVTTKDPSQVKEEVKASVYTVHKPQWLGAVEKKETKKVADVAVVVESESDDFVDYKDRKEVLGQKEEDTGLENAAPGLIIRKRKQVAVEKAECDSNSDSNSNSGSGVDIAAEDAVALLLKHTRGIQAADDEQEAVKKKGKKKKVIGPEKPSFLNSEGDYESWVPPEGQSGDGRTSLNDRLGY >cds-PLY70695.1 pep primary_assembly:Lsat_Salinas_v7:3:106336254:106338574:-1 gene:gene-LSAT_3X77940 transcript:rna-gnl|WGS:NBSK|LSAT_3X77940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYRTLVNKFNPMFSMVPRITLDQLFEQKDEVAKTVLQELEKVIEEYGYNIEHIVMMDIIPDPSIHGNKKIYVDFLVNLQELPEMNDSIADKLLTGCTTIDQGVILGEVCGT >cds-PLY72344.1 pep primary_assembly:Lsat_Salinas_v7:8:80732584:80732859:1 gene:gene-LSAT_8X57660 transcript:rna-gnl|WGS:NBSK|LSAT_8X57660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQNHEEFQDEMTQEDEVQTQATREDIGPPTRPPAGPPANPPTIPSLRPPVRPNSRPYYRKRKKYERILLMKLGKNFGGAGSASEKPLDLE >cds-PLY91036.1 pep primary_assembly:Lsat_Salinas_v7:1:203094397:203094969:-1 gene:gene-LSAT_1X123960 transcript:rna-gnl|WGS:NBSK|LSAT_1X123960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQIKSAISNFKTPPSAITLGEVWRPLCGSMGEIVYIWVGRTSGEDDDNLWQIVGDDFIVRKGLETSSIVQAVTGGSDGIANNLNVLVPDMAFDRIHL >cds-PLY66816.1 pep primary_assembly:Lsat_Salinas_v7:5:45997809:46000569:-1 gene:gene-LSAT_5X23241 transcript:rna-gnl|WGS:NBSK|LSAT_5X23241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFAVPSPKTSALFAVQPNHKQSPSPKHRNNSVALFQSDLKSSRALVAGSSSLGFQTSKKNQKDGMRVSAQLNKETNSSPSSEKATKTSPSETTIPDDATITAFMNQVAELVQLVDSRDIVELELKQQGCEVVIRKKEALAPPPASPMVMMQSPQPQAMYQSLPPPPPAPSASPPPPPPPSAAPAKPKSSHPPLKSPMAGTFYRSPAPGAPAFVKVGDKVKKGQVICIIEAMKLMNEIEADQDGTVADIVAEDGKPVSLDTPLIVIEP >cds-PLY85245.1 pep primary_assembly:Lsat_Salinas_v7:1:164689822:164697332:1 gene:gene-LSAT_1X109280 transcript:rna-gnl|WGS:NBSK|LSAT_1X109280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESVITCPHYVPGLHPIFAFSKSNLGRLGFSLKEFADGHLFAHPSTLQFPISNVRADGNRRVRFAQRNHDSGRSINGDDNKTPQSSEGKTYNKDEILALFRRIQSSISGESNASKGRTNNASAESVLEVLRQSRKQVKGKSSNREGSMLSGEWKKEEIVDFKSTDAKISRPPSNFTKRSPIPSIERVQQDEVKSESERLETMKLADLKELAKSRGIKGYSKLKKAHLIDLLRTV >cds-PLY84314.1 pep primary_assembly:Lsat_Salinas_v7:5:190736978:190740444:1 gene:gene-LSAT_5X84180 transcript:rna-gnl|WGS:NBSK|LSAT_5X84180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHSMFSQADQIRQMFENLQTYEMDPTVKIVVLKAYGKVFSVGGDLVAAWKIIPLAHWSVVATYYRKVFTLDYLLATYKKPMVAIIDGAVMGGGVGISIHSTFRIVTENTIFAMPEASIGLFPDVGASYFLSRLPGFFGEYMGLTGTRLDAAEMLAVKLGTHFVPSQDLAFSYE >cds-PLY66059.1 pep primary_assembly:Lsat_Salinas_v7:2:206637593:206640790:-1 gene:gene-LSAT_2X127200 transcript:rna-gnl|WGS:NBSK|LSAT_2X127200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIGFSFPHFSSLLVVVALFPLCTLSSNDVLCKDDERQALLEFKHGLIDEADRLASWVGEKTDCCTWTGIVCDNSTGHVQKIHLSNNHCYTDEYSTAKEYEECFNQRLRGNLSSSLLHLKQLKHLDLSSNNFGGIQIPSFMGSFKNMRYLNLSRSRFGGSIPPQLGNLSELRILSLGSFHNAIFERELTSMSNNMHWLSSLHRLHHLDMSGVDLSKATDWLQVINTLPSLVELHLSHSSLSHTHIDIYPNVASLNLTSLAHLDLSDNYFINSSLPRWIFSITTLVSLDLSWCGFHHFYNPSSIDIFSNLTSVESLHVPDNPFMNYWLVLKGLSSHVGRNLISLDIRFCAVSSSVLDDALHNLTSLLSLDLSENELTKTIPKSLANLCNLRHVNLGGNHFSNTSLTSVLESFFECKLPRLESLSVGSSGLSGQLPYEIGRLTYLVDLQLGGNRFVGMIPDSIGRLSSLRSLNLQNNLISGPIPFSIGRLSSLEMLDLSDNQLNGSLPNGLGQLSKLVLLAFSNNRLTGSVTKSHFAKLARLKILLGAGNNLALRSHLANWIPSFRLRMLSLSCWDLGPQFPSWLQVYMDLVYVDISNTRISSTIPDSFWRSLPDLFYLDMSQNHIQGIFPARMIPASIQVLDVSSNEFGGLLPQLSNGNASSALILDLSNNSFSGSLRHLLCPNSEKVVAVLNLANNRLSGIIPDCWHKWPSLSFLNLENNNLSGRIPISLGSLSSLGSLNMCNNKLSGRLHMKNLTNLQILQLAGNELVGTIPAWFGRELPNLRILDVRSNNFYGDITHQLCNLTSIQILDLGDNNLSGNIPRCFKIFGFLTGKEANSKDQFNFSPFQDMDALGSASLVIKGREDTYSTILGLVMVLDLSSNNFSGQIPSELMDLKALRSLNLSRNQLTGRIPDKIGDLKLLESFDVSLNSLSGELPLNLSSLSFLSNFNVSFNNLTGRVPSSTQLQGFSESSFLGNKLCGHPLTKTCAVVAVARDQEEDKNGSHGADWGLIISIVSGLIAGFWAVLTPLILSTTWRIAYFGFLNKLRYMSCDVIRIYCCTMFRK >cds-PLY99400.1 pep primary_assembly:Lsat_Salinas_v7:4:102781879:102785539:1 gene:gene-LSAT_4X66541 transcript:rna-gnl|WGS:NBSK|LSAT_4X66541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGICGKPAAIDDGRGSSSSRERVPSKASSESRGSRSKRVESFRVKNRGEVRTGSIDKRLNSSRRVRDDHYEKKRDVSEVFIANIPGIGTIPKAIEGEQVVAGWPSWLAAVAGEAINGWLPRRADTFEKLEKIGQGTYSSVYKARDLTNNKVVALKRVRFDNMDPESVKFMAREILILRRLDHPNIIKLEGMVTSRTSCSLYLVFEYMEHDLTGLASLPGAKFTEPQVKCYMQQLLSGLEHCHSRGVLHRDIKGSNLLIDNHGILKIADFGLASFFDHRENVPLTSRVVTLWYRPPELLLGATHYGVAVDLWSTGCILGELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLRHSAVFKPTQPYRCRIEETFKDVPPVAISLMEMLLAIDPSQRGTALFALKSEFFTTKPHACDPSSLPKYPPSKEIDAKLREEEARRQRAEYKGQKVEKESRGPKDPRAVPAPDANAELAASMQRRQDRANSKSRSEYFSRNKDDTASGFPIENPRLSHYSKDSISDSKPHPPMRESYSGPLVADSWTKSGKKYDDVSITSRADLSSLSGLVSSRILSAEDREKRVSNSNSNCNSSQLDPTNQMGRLSEFTQEFGHSGKHDRKDLSRRYMESGRSSTKEPAQNGHGYKGNNKIHFSGPLGSSNANVDQMLKDHDRHIQEAARRARLDRTRLSKDKADATQMMANPIYMSSRRPR >cds-PLY93338.1 pep primary_assembly:Lsat_Salinas_v7:9:57927607:57928095:1 gene:gene-LSAT_9X51020 transcript:rna-gnl|WGS:NBSK|LSAT_9X51020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFYYRPTVTDAFASVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLGVLTASFGVTGYSLPRDQIGYWAVKIVTGVPEAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFPMIRKQGISGPL >cds-PLY96369.1 pep primary_assembly:Lsat_Salinas_v7:2:53542923:53543213:-1 gene:gene-LSAT_2X22921 transcript:rna-gnl|WGS:NBSK|LSAT_2X22921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSRSAGSTSGVNKSNNGPSRTCYCGVISPLKISTSEKNHGRRYFGCRYWPDEVEDYGYFEWYDGEVSPWYKELLFEVMAKKKKAEKGTLIMVK >cds-PLY84906.1 pep primary_assembly:Lsat_Salinas_v7:6:15867657:15868016:-1 gene:gene-LSAT_6X10340 transcript:rna-gnl|WGS:NBSK|LSAT_6X10340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRRITNIWCSWLVMELLWSTEGTTVAARISVTSGMVLVVVIYAVILSNQGDYFSDRYFKQGKLRFVYLQRKGKKRMLDYQAEVLGGEVRERMGDDEWIMVLSKMRHMANGSKEGRLER >cds-PLY94191.1 pep primary_assembly:Lsat_Salinas_v7:5:322374522:322378078:-1 gene:gene-LSAT_5X178821 transcript:rna-gnl|WGS:NBSK|LSAT_5X178821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAATSVATSSIPASILCCTAQSTSTRTIKRPHNNCRVVAGNFGHFVQVVKKDVDFLKKNIDTGIKWTSEALGLPEISKKVDEFVWLRNLEDPHYSELSTTNLIMADLKAMEKYIIYYYHLSKMWTKPLPEAYNAQEADDYFKCRPHIVALRLIEVFGSFASAAIRIRISGIIKSKTSNADSETKEYNSQHKFGMVLKETMLNLGPTFIKVGQSLSTRPNIIGFQITKALSELHDQIPPFPRTLAMKIIEEELGSPVDTFFSYISEEAIDATSFRQVYRATTVDGVDVAVKIQRPNLKHVFHDVYIMRVGFLDILQQVTNIKSDLRLYADELGKGLVGELDYTLEAANAKEFMEAHSPFSYIRVPKVFDHLTKKRVLTMEWMSGENPKELLSMCNTNFEQELQYSEKQRIDAKRHLFDLVNKGVEACLVQLLETGLLHADPHLGNMLYLPSGQIGFLDFGLICRMEKKHKFAMLGAIIHIVNADWASLVGSLADMDIVRPGTNVSRITMWEPCHAVVATPLLIAFELLLCIYLENSHGASLAVVVDAVRKGAAA >cds-PLY98640.1 pep primary_assembly:Lsat_Salinas_v7:1:36685354:36688076:1 gene:gene-LSAT_1X31020 transcript:rna-gnl|WGS:NBSK|LSAT_1X31020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDNCHTTIYIGGQEERFVRLNVLDSSLSYPSNIMKRCGFNIEGAPPSGHPRTTASKSIKYVRRRSEGLINFGQTLKTGVSRAVFSEDLKVSENKIIDPQDKTLLLWNKFLVLSCILAVYVDPLFFYIPVYHNTESCLKIHRSLAHSITTVRTIVDIFYLVRIGLQFRTAYIAPSSRVFGRGELVIDPAQIARRYMQRYFFVDILSVLPLPQIVVWRFLQNDHGSGVLGTKKILLWIVIVQYIPRSLRILPLFSELKKTVGVITETAWAGAAYYLVWFVLAGHIFGAFWYLLAVERKSACWAQACRDESKCEIEYLYCGHDETEGYKAWLQVAKATLDEHCVESESYGEFDYGIYLQAVQSQVDSSEHFPSKYCYCLCTLGQGLETSTYAKEIIFSIIIAISGLILFALLIGNMQTYLQSLSVRLEEMRIKRRDSEQWMHHRLLPQELKERVRRYDQYKWVETRGVDEESLVQSLPKDLRRDIKRHLCLNLVKRVPLFANFDERLLDAICERLKPSLYTESTFVLREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRGFLKEGDFCGEELLTWALDPKSAGNLPPSTRTVMALTEVEAFALIADEVKFITSQFRRLHSRQVQHTFRFYSQQWRTWAASFIQAAWRRYSRRKILELHRLEEEESDEDYMDDYEEEDEEEVEEDVNEETALIRGRVSSSSFGATMYASKFAANAMKRVQRKRGVSTGFISLQKPSEPDFGDL >cds-PLY85981.1 pep primary_assembly:Lsat_Salinas_v7:3:133630642:133631400:-1 gene:gene-LSAT_3X89100 transcript:rna-gnl|WGS:NBSK|LSAT_3X89100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVHGDYSEDSDSRTYAADTRNAVKMWNEEFLGDLKFPLGIGSISKMIRCFD >cds-PLY90743.1 pep primary_assembly:Lsat_Salinas_v7:3:36090915:36092367:-1 gene:gene-LSAT_3X26720 transcript:rna-gnl|WGS:NBSK|LSAT_3X26720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATADTVDSTQSLLKQIRSHEVSIAELSVLSSSRSVYQKNGNIFFRTTVQQATASEQKQLDTAKAKLQKVNIVRGN >cds-PLY92928.1 pep primary_assembly:Lsat_Salinas_v7:3:115256892:115257698:1 gene:gene-LSAT_3X81881 transcript:rna-gnl|WGS:NBSK|LSAT_3X81881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAYRSSFNIFILWVPFTMIFFCHNLKNFVYFSNSRACFSYGAAEIFSLMQHMGFEPCSAFWTFIFQRSLNRQWLEKTNYIVFGLGDSGYPKYNFVAKKLDKRLVDLGGTTILERGLGNDQHSSRYERSLDPSMSSL >cds-PLY98627.1 pep primary_assembly:Lsat_Salinas_v7:1:37367360:37370402:-1 gene:gene-LSAT_1X31740 transcript:rna-gnl|WGS:NBSK|LSAT_1X31740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMNLVFIIPILVLCSFTLPVDAFTTDPPLSSMADPVLPSEKKPPFSQISTENEARSPGVSEIRVVHHEDLNKKILVALIVASTLLAAILLFISCFWIYRLKVSKTSNTQKAQQSLDASKGLSLGPILDKFNPLRMAGKKGSATVIEYEWLVSATNNFHEDNIIGHGAFGSVYKACFNDHFLAAVKRIHGGGPDSQRAFENEINCLSRIQHQNIVNLLGYCIHDETRFLVYEMMHNGSLESHLHGPSHGSGLSWQHRMKIALDIARGLEYLHERCTPPVIHRDLKSSNILLGSNYNAKLSDFGLAITGGVQGKNNIKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVVLLELLIGRKPVEKMSPSQCQSIVTWAMPQLTDRSKLPNIVDPVIRDTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSFIPLVPVELGGSLRVTES >cds-PLY69853.1 pep primary_assembly:Lsat_Salinas_v7:6:3193263:3193787:-1 gene:gene-LSAT_6X4040 transcript:rna-gnl|WGS:NBSK|LSAT_6X4040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKPSNWKTRICNKWEQTGYCPFGSKCHFAHGAAELHRYGGGLVDIEAKNSLSSMDPNNNNNNNNNSNNKQGVISSKTPVESVVAAAGPLDGYHVGVPSQRLPGVIPRTGQRPFQKWKGPDKISRIYGDWIDDIE >cds-PLY71088.1 pep primary_assembly:Lsat_Salinas_v7:1:145373339:145375161:1 gene:gene-LSAT_1X103461 transcript:rna-gnl|WGS:NBSK|LSAT_1X103461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPLVSISGSGRLPIVLTTPTIATTSFRRFSTSFASLASPQSPSPPPQPKSQSNISSSKTPFAVDSSSKASDNNLNYALANPNGSNPALQSVRSTESNIEKVIFDFRFLALFAVAGSLAGSLLCFLNGCVYIVDAYKVYWTSCSKGVHTGKMVLRLVEAIDVYLAGTVMLIFAMGLYGLFISNAPGNVAPADDRALKGSTLFGMFALRERPKWMKISSLDELKTKVGHVIVMILLVKMFERSKMVTIATGLDLLSYSVCIFLSSASLYILHNLHKDEPI >cds-PLY87737.1 pep primary_assembly:Lsat_Salinas_v7:1:5505850:5507570:1 gene:gene-LSAT_1X4081 transcript:rna-gnl|WGS:NBSK|LSAT_1X4081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSQELIEVKFRLADGSDIGPSKYSPSTTVGSLKEMILSQLPQDEVNGPKTINDVKLINAGKILENDKTLAESRSPVSEVPGGIITMLVVVRPHIPHKNNDKLQDGFPKQGSCPCAIL >cds-PLY63460.1 pep primary_assembly:Lsat_Salinas_v7:7:152682690:152683833:-1 gene:gene-LSAT_7X91140 transcript:rna-gnl|WGS:NBSK|LSAT_7X91140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQISTLSLHPSLNSIKMTTEKAPAMDSCRKKKSDDATFLQDVKDHIDEFVHASMDEHKTCFKKTISKMFGMSKVVAERNAAENKGIESSLPLRTVVSD >cds-PLY98256.1 pep primary_assembly:Lsat_Salinas_v7:7:169740580:169742614:-1 gene:gene-LSAT_7X100781 transcript:rna-gnl|WGS:NBSK|LSAT_7X100781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFQLVNPFASTTRKLPDSTSSRRLKTHPQKNHRFKVSCNVAQDGNEKLLLVPDSKNLILPKPSLDTLNVDRRNLLLGLGGLYSTVNFTSLPAAIAAPITTPDISTCIPSEQGFNVQDSVRSNQCCPPMMTTTPKDFVFPKDKTIRVRPAAHRATPEYIAKYKAAIQAMKDLPDDHPHSFVQQAKIHCAYCNGGYTQVASGYADKQLQIHNSWLFFPFHRWYLYFYERILGKLIDDPTFALPYWNWDNPAGMSFPAFFETDGKRNPVFDAFRNVNHVSPETVVDLDYNGSDSGAPCLQQISTNLAAMYKQMISNATDPLSFFGGEFRAGDDPFGNSDPSVGSIEAGCHTAMHRWTGNPRMPNNEDMGNFYSAGYDPAFYVHHANVDRMWKVWKDLGIKGHTEPTDPDWLNASYVFYDENEELVRVYNKDCVQTENLKYDFELSPLPWLKNRPVAHTKPETTTKPVEKVKVPDVKFPIKLDKIQKVLVKRPAKNRSQSEKEKATEQLLIKGIKFNVSKFVKFDVFVNDQDDVPTSSASESEFAGSFAQLPHHHGGHKKLMTSAARFGLTELLEDIGAEDDEYILVTLVPKVGAEDLTVDEIKVELVPIV >cds-PLY76575.1 pep primary_assembly:Lsat_Salinas_v7:5:223159270:223162311:1 gene:gene-LSAT_5X104700 transcript:rna-gnl|WGS:NBSK|LSAT_5X104700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKLIHVAGILLLSACFVLQFGSLNAVANDSFLVASSHNESRIEVCPSGWVKGPSNRICYKHIENIQPWNESENSCRSYHGHLAALTSSAELSFAQHLCNECWVGGRSTDTIIGHQWTWSDKSYNWNQTLINVLTPDLKSICTNSSCFYNHNNDSASMCTILTNKTTSLVANTCNISHSSLCMIVTDNRCQHMHCHKEYLIILAIVSGLILFTTFAVVIWLLVYRRSKRRRKSRKLSNPAELALVPPSWKIFTREELRSITKNFSEANRLIGDAKTGGTYSGVLPDGSRVAVKRLKRSTFQRKKEFFSEVGRVARLCHPNLVPVKGCCYDHGDRYIVYEFVVHGPLDRWLHHIPMGGRSLDWTMRMKVATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDDDFNAHLMGVGLSKFVPWEVMHGRTVMAGGTHGYLAPEFVYRNELTTKSDVYSFGVLLLEIISGRRPASQAVDSVGWQSIFEWATPLVQSHRYLELLDPVISASSSTSPSKIPEAGVVQKVVDLVYSCTQHVPSMRPRMSHVVHQLQQLAA >cds-PLY62366.1 pep primary_assembly:Lsat_Salinas_v7:8:113372167:113374928:1 gene:gene-LSAT_8X77021 transcript:rna-gnl|WGS:NBSK|LSAT_8X77021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIEDVTIDQHLVCSTLCACGPLNAYNIGRSLHSFVTKLGFEQHISVSNALMDMYCKVGDMVSGSQLLNINSKGTNIISYISLIDGFVESDQIEKAFTIFIELKRQRVEPNEFTFSSLIKACANHATLEQGVQLHALVLKYNLDQDPFVSSIIVDMYGKCGLLDHSLQAFDKISKPNEYTWNSLIGVFAHHGFGNKAIDVFVKMLLHDIKPNSITFINLLNACSHSGLLTEGLSYFNSMESIYGVKPKSEHYSCVIDLLGRSGKLKEAENFIKGMPFEANAYTWCSFLTSCRKYGDKERGELASKSLRENYTNGPYGFGAHVLLSNIYAKEQQWEDVKSVRKMMKDKNVKKLKGWVDVDKRVHVFGVEDLCHGDKKEINLKLHELLRKIIEVGYVPDVEYVPFDLVFDMKVKVLNHHSERIAIAYALIRMPIRKPIIVKKNLRVCVDCHSAIKLMSKVEGREIIFRENSRFHHFVDGSCSCKDFW >cds-PLY77801.1 pep primary_assembly:Lsat_Salinas_v7:2:170197951:170198511:1 gene:gene-LSAT_2X91201 transcript:rna-gnl|WGS:NBSK|LSAT_2X91201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMIPITTIVSGLKLSFILCREVPVLFQKCSVRKPVGIIFPSSITWNLSKSSIGFSYWKTQRPKHHY >cds-PLY99930.1 pep primary_assembly:Lsat_Salinas_v7:7:15875467:15876924:-1 gene:gene-LSAT_7X12981 transcript:rna-gnl|WGS:NBSK|LSAT_7X12981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein C2-DOMAIN ABA-RELATED 11 [Source:Projected from Arabidopsis thaliana (AT5G47710) UniProtKB/Swiss-Prot;Acc:Q9FIK8] MGEPIGILKVIVVRGKKLVIRDFKTSDPYVIVKVGNQTAKTKVVNSCLNPVWNEEMTFSLVEPIEALNLEVFDKDVFKADDKMGHAHVNIQPLVTAARLREILGVSKEGTVLRKVIPETDNCVVTESSIDWVKGEVVQDVWLRLCEVESGEIELKLKFMKPPAPFLPPKSQVV >cds-PLY68510.1 pep primary_assembly:Lsat_Salinas_v7:2:213316535:213319356:1 gene:gene-LSAT_2X133560 transcript:rna-gnl|WGS:NBSK|LSAT_2X133560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHSKKISGESKQDSPEMLLPSLIRSADANQILDFDFNQNASNSHFMEPNGNEIDSPDNISNQQRKQSVSVNIPPSPVDAKRVLFKEEHEAIGIHSPKNPDIMSNAHELKFNPQTGCGIDETGRGKSLDHLILPIKNTRMDGLKDKRYNSFKTWSGRLERQLSTLRGKSCNALEINPPQNLQGESLSVDRYFDALEGPELETLRASEEIMLPEDKKWPFLLRYPISSFGICLGVSSQAIMWKHLASTASTHFLHISLRVNYTLWCISVPLFAVVASTYLLKVIFYFEAVRREYYHPIRVNFFFAPWIALLFLAIGVPDSITTKLPHSLWYMLMTPILCLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPIFFFAVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWAKINGSFDYGSRIAYFIALFLYFSLAVRVNFFRGFRFSLAWWAYTFPMTGAAIATIRYSSEVSNIVTKLLSVTLSTAATVTVTGLLITTIVHAFVLRDLFPNDIGIAISGRKPKTQRKWFHRGTCGSVKDVEDPFKSVSSSDEKVEEDPVNVNGYQ >cds-PLY74471.1 pep primary_assembly:Lsat_Salinas_v7:7:32434058:32434868:-1 gene:gene-LSAT_7X25200 transcript:rna-gnl|WGS:NBSK|LSAT_7X25200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DOG1-like 4 [Source:Projected from Arabidopsis thaliana (AT4G18650) UniProtKB/Swiss-Prot;Acc:Q84JC2] MKTSIEEKFCEFYEKWVCQLEEYLRFLVQDYTHESDYENLVAKMTTHHKNYYKFKWAAAHEDVCAFFSPVWLTPFENAYLWVTGWKPSAVFRFVDSLRLTGTGLVDLTEEQVKRIEGLRMKIKMEEEKVEREMERQQVGMADRRMVEMVRYMRYGGGVGGGDAVAVVAVKGLLGGLERVMKMGDCVRLKTLKGLLDLMNPKQCVELLAAQSMFHVELRKWGKKL >cds-PLY87761.1 pep primary_assembly:Lsat_Salinas_v7:1:213030066:213032528:-1 gene:gene-LSAT_1X129840 transcript:rna-gnl|WGS:NBSK|LSAT_1X129840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIRLVLPPRPSSSSILGLVAGNRSFLRLRPNLNLPLPWEQGKSKRHLNLRSMSGSGSSWSPSPVLSEKLQVDQVLDNKVLSGKEDEHGGVIVEMSTDPIDPLVFTSLLKASMLHWKQQGKRGIWIKLPIGLVNLIEPVVKEGFYYHHAEPKHLMLVHWIPETTNTLPANASHRVGIGAFVMNQNGQVLVVKEKSGKFRGSGIWKFPTGVVDEGEDICDAAVREVKEETGIDTKFLEVLAFRQSHKSFFDKSDLFFMCMLQPFSFNIQIQESEIESAQWMGFEEYADQDFVQKHDLLKYMVNICIAKRDGKYNGFSHVSTVTSFSKKQSNLYFNTKDFNSS >cds-PLY94906.1 pep primary_assembly:Lsat_Salinas_v7:4:113622629:113623051:1 gene:gene-LSAT_4X71821 transcript:rna-gnl|WGS:NBSK|LSAT_4X71821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRFRELLKKYGKVALGVHFSVSAASISGLYVAIKNNVDVESALEKVRFKQLESILEKVGMGGPKEETEGEVVTMSQSNQEVKPRNRTAELAASSGGALALAVLCNKALFPVRVPITIALTPSIAKFLARRQIIKSSV >cds-PLY69625.1 pep primary_assembly:Lsat_Salinas_v7:5:112327193:112329786:1 gene:gene-LSAT_5X49720 transcript:rna-gnl|WGS:NBSK|LSAT_5X49720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSINTAGEPIPTSAVLMSASKHIATRCRGENVAFLKCKKDDPNPEICLEKGRQVTRCVLSLLKDLHQKCTKEMDAYAGCMYYNTDEFELCRKEQKDFEKACPLS >cds-PLY81525.1 pep primary_assembly:Lsat_Salinas_v7:2:127757826:127758188:-1 gene:gene-LSAT_2X59720 transcript:rna-gnl|WGS:NBSK|LSAT_2X59720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSDAPPLSSPASPSANAADVARVVKHRHLLCIRRGETRRPTTLWSYGGSGLDCYLPSPCIAANAPFRDDEAAPIAADAYPNAVIAGCCATAAAAYFRWVVGFVLLVEDECVCVCITVK >cds-PLY64191.1 pep primary_assembly:Lsat_Salinas_v7:7:3730934:3732724:-1 gene:gene-LSAT_7X2441 transcript:rna-gnl|WGS:NBSK|LSAT_7X2441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQMESKNRSRVCVTGGGGYIGSALVHSLLQSGYTVHATLRNLGDESKVGLLRGFPYAEDELHLFEADMYKPEEFEEAIQGCVFVFHVATPLLHTTGYKYNDTVEATISAVKIADICIRSRTVKRLIYTASVVAASPLKDDGSGYKITIDESCWTPLHLNIPYSNHLLKEYTEAKTKAEQEMLKIGEEKASELEVVTLSCGLVGGRGHLPYAPDSLLVLISQIINPSTHYQSLKYLEELLGKIPILHIEDTCRAHIFCAETPLVNGRFLCSSSYISTAEIAKYFQENYPQLHLKHEYFEEHKTETKWGSKKLEDYGFGYKHNMKTILDDSLKCASVLNTLKSMSN >cds-PLY71797.1 pep primary_assembly:Lsat_Salinas_v7:3:222878721:222881382:1 gene:gene-LSAT_3X128400 transcript:rna-gnl|WGS:NBSK|LSAT_3X128400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDESTEDKANVSPPPQPPYPADIDVLEKVKLFGGDSPREWVDNAVEQTLIAPRIILGTLESAISATTFRLRQIKSTSIAHLITTFDSLQDLKSKFNIYEDIMLQKMKESFCFAVAHPFATSGVVFGSGFLSAKRTRRGLYYNTLRLFLSEEAMLSRATAKAQKLRDSVRQITVEGQKLEQFTLRAESELKRGRKKLRQTGKQIQGVISSTYKIERQSGGLKDILKELPKMDASQFRSEVSELAFEAMRERRVLNKEVRKISNYGIPI >cds-PLY77090.1 pep primary_assembly:Lsat_Salinas_v7:MU042616.1:613584:615185:-1 gene:gene-LSAT_4X76961 transcript:rna-gnl|WGS:NBSK|LSAT_4X76961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSKEPENPTKSCKARGSDLRCHFKNTRETAHAIRKMPLLKAKRYLEDVLVHKQAIPFTRFCRGVGRTAQAKNRHSNGQGRWPAKSAKFILDLLKNAESNAEVKGLDVDALHISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELTLSEKEEPVKKEPETQLAPRTKKNQA >cds-PLY71663.1 pep primary_assembly:Lsat_Salinas_v7:5:128335406:128337381:-1 gene:gene-LSAT_5X56481 transcript:rna-gnl|WGS:NBSK|LSAT_5X56481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVNSLTAGVGSCGGAGGGNASANGGSNHNVFVYGSLLADDVVRVLLRRIPQNSPAILHGYHRFSIKGRVYPAILPVENKKVTGRVLLGITLPELEILDKFEDFEYEKRVVDVSLKDTPDVLQAYTYVWAKSDDSNLYGEWDFEVWKESKMKDFVNMTMGFVEEESKPRVITYESYYKPE >cds-PLY78065.1 pep primary_assembly:Lsat_Salinas_v7:4:353962677:353964823:1 gene:gene-LSAT_4X173921 transcript:rna-gnl|WGS:NBSK|LSAT_4X173921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLMGVRSSTGSMRSRHVSRDSGDYLIDTPNSEIDGLHPPGGTHGVNAKELHSALQGHQQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYDVENNDGENKQQVVSLIKYVQSKRGSMMWENEDPTLVKIELPSAALLSALVQSMVDAIFFQGDLRETWGAEALKWAMECTSRHLSCRSHQIYRALRPAVTNDACVSLLRCLHRCLANPVPSVLGFVMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYCQVLELFARVIDRLSFRDRTTENVLLSSMPRDELDHTVSDSDFRTESQSGNGNGNTKVKVPAFEGVQPLVLKGLMSTVSHSVSIEVLSRITVHSCDSIFGDPETRLLMHIIGLLPWLSLQLTHDTLTEKSRNVSTNLAIWCRAKSLDGLATVFIAYSHGEIKTIDNLLACVSPLLCNEWLPKYSALAFGHLLKLLERGPVEYQRVILLMMKALLQHTPMDAAQSPHMYAIVSQLVESTLCWEALSVLEALLQSCSSLTGSHSHDSGVYENGLGGGGPGVDEKVLFPQSSFKARSGPLQQAMGLGLGFGAGVSVGVSESGIPARELALQNTKLILGRVLDNCALGRRRDYKRLVPFVTTTGNP >cds-PLY77739.1 pep primary_assembly:Lsat_Salinas_v7:9:19789569:19790304:-1 gene:gene-LSAT_9X18261 transcript:rna-gnl|WGS:NBSK|LSAT_9X18261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSITYDELNWDHLIEWDEFSINEVDQDQAVCDGEKSANREGSMVNLIGNIKKEIWGYWEEEEVDDDEDQEHNEKKESLYLSLNYQDVMDAWSNRGPCWTDDFAQSTSDNGYIGEVPVMEEARTKREASVLKYKKKRESRLFSRKIRYHVRKLNADKRPRLKVTSTC >cds-PLY68764.1 pep primary_assembly:Lsat_Salinas_v7:2:211514112:211517221:-1 gene:gene-LSAT_2X131360 transcript:rna-gnl|WGS:NBSK|LSAT_2X131360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEVQMADAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIRLVPDKVNKTLSIIDSGVGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYIWESQAGGSFTVTRDADGEPLGRGTKITLFLKEDQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDEESSKKEEEGDIEEVDEEKEKEKGKKKKIKEVSHEWELINKQKPIWLRKPEEITKEEYASFYKSITNDWEDHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEWLGFVKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIEMFNEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRPKLADLLRYHSTKSGDELTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLERLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLEDETEEEKQKKEEKKKSFENLCKTIKDILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMGSYMSSKKTMEINPDNPIMEELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLEDPNMFGGRIHRMLKLGLSIDEEEAGDDAEMPVLEEEAAEESKMEEVD >cds-PLY93094.1 pep primary_assembly:Lsat_Salinas_v7:4:106827196:106828786:-1 gene:gene-LSAT_4X69561 transcript:rna-gnl|WGS:NBSK|LSAT_4X69561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASERKLANPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQQIGA >cds-PLY91243.1 pep primary_assembly:Lsat_Salinas_v7:3:76012696:76013993:1 gene:gene-LSAT_3X59180 transcript:rna-gnl|WGS:NBSK|LSAT_3X59180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKGSSLVHLLVIILCLVAFGFAIAAERRRSTGTIRTDNATNSTYCVYDSDVATGYGVGAFLFLLSGESLLMGVTKCMCFGRPLAPGGNRAWTIIYFTFSLLSFVVAEACLIAGAKKNAYHTKYKGMTESFSCDTLRKGVFVAGAVFVVVTMVLNVYYYMYFTKATTQPAHKSNRSSSTVGMASYA >cds-PLY68019.1 pep primary_assembly:Lsat_Salinas_v7:8:248961954:248969589:-1 gene:gene-LSAT_8X147341 transcript:rna-gnl|WGS:NBSK|LSAT_8X147341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGLQHRRSSGGEDRFYMPAKVRRIRQHQENLRRAQSNVTPTQSTTSSVREEPENQLMQPSNPEPLESSVVAVPATSSLCNLERFLETVTPSVPVQHLSKQRTMRGWRTSDVEYQPYFVLGDLWESFKEWSAYGAGVPLILNEANCVIQYYVPYLSGIQIYVDPLKSSINSRQLTEDIDDNSFTDSSSDGSSDYEQEKGSLHYLREKSNNHHPKNDILHRMDHLSMNDENNVVQEDFSSDDSDSATTQSCLIFEYMEHSQPLGREPLCDKILDLAQRFPELKSLRSCDLLPSSWLSVAWYPIYRIPMGPTLKDLDACFLTFHSLHTPTTGNECEHPPVVRHFSGTGVVSLPAFGLASYKFKAPLWVPNELKRLECPDGLFTILYVSRSPPRRSRSPSRSRSPEGGGNEKASTSSPYSHGRADSRSPLQRSDSNGLPGPMGLMSTSHLEQEAAVLALSTLMTIAPAEVYAEFEKIARSQMDTAEHQVVVATQERRYILDQFEEFILYVISRFRR >cds-PLY63805.1 pep primary_assembly:Lsat_Salinas_v7:6:26141925:26142586:-1 gene:gene-LSAT_6X18580 transcript:rna-gnl|WGS:NBSK|LSAT_6X18580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTQRYTLHGHWLQTSTATGRLSMEDPNLQWVKHMVEFKIDSNEKEGDDSDMELYKVNPRDFFIPTQENWLLVTADYSQIELRLTTHFSKDQSLIDLLTKPLGDVFNMITAKWSGKEESLVGPKERDQTKRLIYGILYGMGANSLAEQLEWSSDDARDKI >cds-PLY77382.1 pep primary_assembly:Lsat_Salinas_v7:7:9416759:9420903:-1 gene:gene-LSAT_7X9600 transcript:rna-gnl|WGS:NBSK|LSAT_7X9600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSLTLSQAALSRSAVPRHGSSTTATQQSTSLATLSIPTFSGLKSTSTSSSRAPTRRQVSSTRRRVIANAAVETLEKTDTALVDKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVLEEDLKQFRQWGSRTPGHPENFETPGIEVTTGPLGQGIANAVGLALAEKHLAARYNKPDAEIVDHYTYCILGDGCQMEGIANEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTESVDTRFEALGWHIIWVKNGNTGYDEIRAAIKEAKAVTDKPTLIKVTTTIGFGSPNKANSYSVHGAALGAKEVDATRQNLGWPYEPFHVPEEVKKHWSRHTPEGAALEAEWNTKFAEYEKKYAEDAAELKSIAEGVFPAGWEKALPIYTPETPGDATRNLSQTMLNALAPVLPGLIGGSADLASSNMTLMKMFGDFQKSTPEERNVRFGVREHGMGAICNGIALHSPGFVPYCATFFVFTDYMRGAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNILMFRPADGNETAGAYKVAVESRKRPSILALSRQKLPNLTGTSIEGVAKGGYTITDNSTGNKPDVILIGTGSELEIAAKAADELRKEGKAVRVVSFVSWELFEEQSEEYKESVLPSGVTARVSIEAASTFGWAKIVGSKGKAIGIDKFGASAPAPKIYKEYGITAEAVIAAAKEVC >cds-PLY69365.1 pep primary_assembly:Lsat_Salinas_v7:4:260558408:260570043:1 gene:gene-LSAT_4X133620 transcript:rna-gnl|WGS:NBSK|LSAT_4X133620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQHRQSGGIFFDKLRNDQVSVGIRAAAAPNYKQSRTSHHRRFRSRRISVSAFVLVTLTLIFLISASAFFFLSKDDKDKDEYIVEGDEFGSDLDFLANVTRTERSKIVKFGHGNGFVGHGGDSRYWDRDDRRRDDDYGEDLVGNGSTSTSIASKRYVSEDNNKRRSSDHKVAGLYNEAGRNELKMYEAEYEASLKGVSVSKKEAKTMNQLADSEEDDVIVDDEYIDEIDMHDIRLEDYNDHHSVGGSSGKDVHVPIHGLPVDALDPDKLRDTTSMQSSRRAISERRIASKKRSKRRKYSGACEMKILNSTMKAVEPPQSLKFARFSLQYTEIESKINRLESWEPKFGGHQSLEEREQSFVANDQKLNCGFVKGPKGYPSTGFDLAEDDATYIATCHIAVISCIFGNSDRLRSPMGKTVSRISRKNVCFVMFVDDITLQTLSSEGHMLDRMSYIGIWRIVVVKNLPYTDMRRVGKIPKLLAHRLFHNARYSIWLDSKLRLQLDPLLVLEYFLWRKGHEYAISNHYDRHCVWEEVAQNKKLNKYNHTIIDQQFAFYQADGLTRFNPFDSQKLLPSNVPEGSFIVREHTPMSNLFSCLWFNEVERFTPRDQLSFAYTYHKLRRINPTTPFYFTMFKDCERRKIAKLFRHRSEESRRYMLIGQEAEAEAE >cds-PLY93816.1 pep primary_assembly:Lsat_Salinas_v7:6:147788606:147790675:1 gene:gene-LSAT_6X88720 transcript:rna-gnl|WGS:NBSK|LSAT_6X88720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVYSNLHHHNRPYVFLPPKCSSLSQRNTCLEFPVRLRSISLCDWSSRLVVRRSVSNQFVNPIDRNESQEFAPILPQNHEKKATSIIDTLKNANSILPQVVLISTILAFIHPSSFTWFTSRYYAPSLGFLMFAVGLNLSEKDFTEAFKRPIPIFTGYVCQFVLKPLLGYLFGTLAITLFRLPTSLSDGIMLTSCVNGAQLSNYATFLTDPTMAPLSIVMTSLSTASSVFFTPFLSLLLLGKRLNVDVCGMVSNILQIVVSPVAATLLLNRFYPEISCAIRPFLPPISLLVNFFCVGAPLAINMQSIMSPFGISITFLMIMFHLSGFILGHSFTGILFHNHPDVQPLQRTLSYETGMQSGLLAVALANKFFHDPLVGIPPAISISLVVVMSLMSFSLVKIWGKKENI >cds-PLY91984.1 pep primary_assembly:Lsat_Salinas_v7:7:90929593:90930690:1 gene:gene-LSAT_7X62860 transcript:rna-gnl|WGS:NBSK|LSAT_7X62860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSATGDLNETQLSTSFSSTESSKSCRHFEFPEILLATNNFDESLVIGHGGFGKVYKGNIINGSIVSVAAFKRLDSMSSQGATEFWAEVEMLSNLRHCHLVSLFGYCSHENEMILVYEYMPNGTLEDHLHSLGTPLSWLQRLKICISAARGLDYLHTGTGIDVGVIHRDVKSSNILLHESWAAKISDFGLSKIGPTNQPLTHVHTLVRGTFGYLDPDYSFTGRLTRKSDVYAFGVVLLEVLCRKRAVDESLGEEQWNLARWVQESIKEGNLKHIIDNGIRDEISPKCLKEFVRIAERCLLSNPKQRPMMAEVVVRLNYVLTLQQKLNISLQATDKTIFGKLLDMFPFASNGENSGISISYMNCAY >cds-PLY66769.1 pep primary_assembly:Lsat_Salinas_v7:3:242591413:242591853:1 gene:gene-LSAT_3X134641 transcript:rna-gnl|WGS:NBSK|LSAT_3X134641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKKIKKKKKKKKKKKKKKKKKKRRKRKDPLDEVVQETDFEDDGNQSLIHNEDIGFASPQRDSPVKSTLEATGSLGGSLKLCNKNTTTKLGDISNISIPKQTIVILPEVLMAESILEEVRTSDIPINISNMDTTVNKGDGMSTFEA >cds-PLY69003.1 pep primary_assembly:Lsat_Salinas_v7:9:140446143:140451123:-1 gene:gene-LSAT_9X90080 transcript:rna-gnl|WGS:NBSK|LSAT_9X90080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDSATEVAETVANGTVSQDTAVKDVSEKKEENVENEKREEKEEKEEKEGVEKMDEDVKDDEKGKTKEADADKKDTEVTKDEKKEEEEVKDNKKEEEDNDKDKEKGNEEPKSEPMEVDKKETPDEKPENKNTEVADTKDVEEKKEEITEEKVSKTFSRKKGGGEKNNSETKESGGEKKGKEKKKEPTPTTPVALISERPVRERKSVERLVAVIDKDTTREFHIAKGRGVALKEIPNVAYKLSKRKAGDDTLKVLHTILFGRRGKAIQVKMNILRFSGFVWQESDEKQKLKVKEKLDKYNKEKLLEFCDLFDMPIGKTSAKKEDVVIKLIDFMLKPHVTNSELLSEKEQSSKGKKRPTSSKKSASPLKSSSKKQKTKSTSEDDEKKNSDTDESEEDEDNEEHENTNGDPDKSEDEKSEDNTASEEEEEEEEEDVSEPDSEEENKKRKRSSKKSSSSKKEAKSSSKKTTPTQNKTPAKSSTSASKAKEKEQTDSGPKTFSRKKKKDVVEEKAVAPKKAATKEKTAKVKKTTKEKEKPKAEKKITDDELRTAICEILKEVDFNTATFTDILKQLAKRFSTDLTSRKASIKFMIQEELTKLADEADEEDKEEPEKTTTGKQESVEKGVKA >cds-PLY82196.1 pep primary_assembly:Lsat_Salinas_v7:1:14162391:14164251:1 gene:gene-LSAT_1X12380 transcript:rna-gnl|WGS:NBSK|LSAT_1X12380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKGQNDLTMKELDIQMMLSAEVHLGTKNCDFQMERYVFKRRNDGIYIINLGKTWEKLQMAARVIVGIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGVINQGHKWDVMVDLFFYREPEEAKEKEDDEVALTTDYPDYGGGASGALGGFGDQWSAQIPDAQWAPDMPPPIAAAPVAGNGWTADAPVAGGEGWESVAAPVVAPVESVAASGWE >cds-PLY74907.1 pep primary_assembly:Lsat_Salinas_v7:3:129940928:129941451:1 gene:gene-LSAT_3X87840 transcript:rna-gnl|WGS:NBSK|LSAT_3X87840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTHSPLRQTSTDTNSSANQDPPSIITTSSKVPEPPTRNILLRHSHFNPIKTDSQQHQKNKTPPPPPRVSTTPKSRTSPPVSPDRNGGERSQWLFQIPGEMLLWESQRDVYGCCLRLLCDSNILFLFDDRNRS >cds-PLY79787.1 pep primary_assembly:Lsat_Salinas_v7:8:275837976:275841014:-1 gene:gene-LSAT_8X157700 transcript:rna-gnl|WGS:NBSK|LSAT_8X157700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNPRGLGLHLVFVCGFLFAGATYTCLGDGNTSLTVCSEKERLALLKFKDSVEDRSRMLSSWIGNDCCLWEGIHCDTVTGDVESLHLMGNDEGYLVGYEMMSSLAELRHLKSLDLSGNDFQGSRIPKFIGSLKQLTHLNLSQAGFQGIIPPHIGNLSNLKVLDLHSDSYEPMVDDMTWISGLLSLEHLDLSLVYLGEAQNRDMLFYMIPSLKVLNLWGCRLFDVDLGPFLNLSRILPNIKHLDLGFNSFNGPLPGFLQNMSSTLTFLDLSNFNLSRTWNFANLLSKIPSLLELHLSSCGLDKTHLSSPRYNFSTLSNIRHLVLSQNSIEGPFPSVFTNMSSLRVLVLSGNMLNSSVPIIPNLIDLDLSFNQLTGPIPAYLGNLSKLDLSSNQLNGSIPESIGNLATLTYLDLSSNWLTGPIPTSLGRHISLQFIGMSSNLLNGTIPISIGQLAKLQYLDFSNNSLEGVVSEAHFANLSILNYLDTSSNTKLRYNISRDWIPPFQLVSLLLRSCNITNRFPHWLRNQRNLHVLDLSNTTISGHLPTWLRKMPIIGYLDLSHNKLNGPLINLPNGETYGRNYKFPILFLENNFFNESIPRSLCRRTDLEYLDLSRNRLIGQVPKCLENLQHLRTMILSSNQLSGVIPSFMAPNSLERLKLNDN >cds-PLY94739.1 pep primary_assembly:Lsat_Salinas_v7:5:121740329:121740781:-1 gene:gene-LSAT_5X52921 transcript:rna-gnl|WGS:NBSK|LSAT_5X52921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRMFMLALVALFILCLDTNTKFVQGDGWVDRCCEHGYVGVCRPGTEDDQKCADACKMHCTTHETSGKCVEANVCRCIECIN >cds-PLY91456.1 pep primary_assembly:Lsat_Salinas_v7:MU039439.1:362782:364235:-1 gene:gene-LSAT_0X16701 transcript:rna-gnl|WGS:NBSK|LSAT_0X16701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKLILVSLTLVWCLWGIVEGFTYDDKEVETEEGKSAMFERWRSHHNMQAMRDKDKKKQYEAFKANLEIVHSTNKAKKSYKLQMNKFAGMTNAEFKSKHTGLKAAPKIRPGKKFVSEAEWPSNFKYRNFTDIPPSVDWTAQGAVTPVKDQGQCGSCFAFATADSIESLHWIKTKQLVELSPKEILDCSSVSPYTNIGCDGGRMHDSYQYVIENKGLTTEKNYPYTPEFGTCNVKKENDMVVEIHGYEQVPFNHEQSLMAAVANQPIQVSIECDEPLVLYKEGIMSAPYGTNIGHAVLLTGYGTDPDGTNYWIIKNSWGVEWGEKGYLRIPRGVPEKEGYAGVNMTPHYPTIEDRGSDHQAGGDPIVQGSKDL >cds-PLY98317.1 pep primary_assembly:Lsat_Salinas_v7:7:166884109:166888484:1 gene:gene-LSAT_7X98980 transcript:rna-gnl|WGS:NBSK|LSAT_7X98980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGALKPPHCFSSSSGIAAERRDLRSSSSSFRSLSFASSHLSGDKVSSVSSVRPRRHGGRASVVVSPKAVSDSKNSQTCLDPDASQSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEYLILAGDHLYRMDYERFVQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGEQLKAMKVDTTILGLDEERAKEMPYIASMGIYVVSKDVMLDLLRDKFPGANDFGSEVIPGATSIGLRVQAYLYDGYWEDIGTIEAFYNANLGITKKPIPDFSFYDRSSPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCIAEGAVIEDSLLMGADYYETDADRELLAANGSVPIGIGKNTHIKRAIIDKNARIGDNVKIINSDNVQEAARETDGYFIKSGIVTVIKDALIPSGSII >cds-PLY98037.1 pep primary_assembly:Lsat_Salinas_v7:3:117169313:117170854:1 gene:gene-LSAT_3X81620 transcript:rna-gnl|WGS:NBSK|LSAT_3X81620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPGNNTGIGLRKGAWTADEDMLLKKCIEKYGEGKWHLVPLRTGLNRCRKSCRLRWLNYLRPNIKRGDFGEDEVDLMLRLHKLLGNRQWSLIAGRIPGRTANDVKNYWNTHLRSNSKQKKESKDNKSLQDTMVTTIKPQPHTFSKTLKQYMADNPQIVAHNGSNILRSSKDGVNNNLNMSLGSILSPNVLDNTINEYLDDLLFDDRENEMNNQIGWSFGVSMNGETSHVMAQEDDYKGLFDFPVDEAMWDLTD >cds-PLY90446.1 pep primary_assembly:Lsat_Salinas_v7:9:93904277:93904938:-1 gene:gene-LSAT_9X71381 transcript:rna-gnl|WGS:NBSK|LSAT_9X71381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAAEKKPAVAAEKKPRAEKKLPKDASAAGADKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASKLARYNKKNTLSSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >cds-PLY96862.1 pep primary_assembly:Lsat_Salinas_v7:2:108406216:108407851:-1 gene:gene-LSAT_2X49361 transcript:rna-gnl|WGS:NBSK|LSAT_2X49361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRRVKLGSQGLEVSAQGLGCMGMSAFYGAPKPEPDMIKLIHHAINAGVTFLDTSDIYGPKTNEILLGKALNGGIREKVELATKFGIREVNGAREYCGDPTYVRAACEASLERLGVDCIDLYYQHRIDTRLPIEITMGALKELVEEGKIKYVGLSEASASTIRRAHAVHPLTAIQLEWSLWTRDVEEDIIPTCRELGIGIVAYSPLGRGFFSSGPKMLEKLEDSDFRKYLPRFQAENLEHNTKMFERVNEMATKKGCTPSQLSLAWVHHQGNDVVPIPGTTKIENLEQNIGALSVKLTPEDMAELEAIASADSVKGERYGAGIATYKDSETPPLSSWKA >cds-PLY79331.1 pep primary_assembly:Lsat_Salinas_v7:9:54062632:54065536:1 gene:gene-LSAT_9X50120 transcript:rna-gnl|WGS:NBSK|LSAT_9X50120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVENAHSINVVASDVDQSTKSSYTTNNNNRNPVSTMSYRSNDYHHHHHQQQQQLQKVTPLQPPSNTNGNGYVGDDDGGDGFKKEMSDLEEMLSKLNPMAEEFVPHQRLQLLPSSPAQFGYAAVNNFLVHTNTAAFANGTPTTTRRKGNFSGKRRINSRTSMAQRDDVIKRTVYVSDIDQQVTEEQLAALFVNCGQVVDCRVCGDPNSVLHFAFIEFTDEEGARNALSLGGTMLGYYPVRVLPSKTAIAPVNPTFLPQSEDEREMCARTIYCTNIDKKVTQADVKLFFETLCGEVYRLRLLGDYNHSTRIAFVEFVMAESAIAALNCSGAVLGSLPIRWVSPSKTPVRPRAPRSTMH >cds-PLY91797.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1296535:1298004:1 gene:gene-LSAT_0X761 transcript:rna-gnl|WGS:NBSK|LSAT_0X761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIVRPPVKNSLVLRISLLAFVMVCGVFMCSIGLKQISNFTKAGFIDIKVVDKPCEVPNVEKWERPYVHFPKPTTFNRAECACNPVRYFVISSTQRSGSGWFETLLNSHMNVSSNGEIFSVKPRRTNMSTIVDTLDKIYNLDWLTSASKNECTAAVGLKWMLNQGLMQNHEAIVEYFKAKGVSMIFLFRRNLLRRRISILANAYDQNAKPLNGKHKSHVHSHDEAKILASYKPTINTTLLIPELKQADNMVKQALEYFNTTRHIILYYEDIIKNHTVLEDVQRFLRIPQMELKSRQVKIHKGPLREQVENWDDIKKVLNGTLYESFLHEDYKVS >cds-PLY83799.1 pep primary_assembly:Lsat_Salinas_v7:3:48347112:48348066:-1 gene:gene-LSAT_3X37520 transcript:rna-gnl|WGS:NBSK|LSAT_3X37520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVRSRHHDDRHRHHVDYPNNSPQPFSKFQKRCIQAEDEIGINPIKYTMRALRKRLLEEEGSYPLLLQLSQNILLLRFYLPILQDPRISI >cds-PLY84615.1 pep primary_assembly:Lsat_Salinas_v7:1:31085074:31087942:1 gene:gene-LSAT_1X26880 transcript:rna-gnl|WGS:NBSK|LSAT_1X26880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGVHFKWYDGFFLIMLAFSIVMVLLSWRRYYLCRFPLHLWIVVDYSAVFVFRLLMFVDNGLAAGMGLDFGRRQRDVCFYGRVVVLSILYVVLYPFLWAWSVTGSIWFNYAHACLPEKNQKWGFLVWLIFSYCGLVCLAVYSVNKWLTRRKAHLERAQQGIPISGISEYGVLVDMIRLPDWVFESAAQEMRVMEQDATPHHPGIYLSDAQRQAVEILIQELPLFSLKAVPTDCSDCPICLEEFHVGDEVRGLPCAHNFHVACIDKWLRLNIKCPRCRCSVFPNLELHGLPNIPPDPNRSSTTYHSQSQPISQSYLSRMQSFLMPIRSGNATSSSTTTNSGPRISNDSDVALEIHCGNEASDTNSDPGISNDSDVALEIAENGGQSSERHDVDASKERVQ >cds-PLY71926.1 pep primary_assembly:Lsat_Salinas_v7:3:26670585:26674729:1 gene:gene-LSAT_3X19641 transcript:rna-gnl|WGS:NBSK|LSAT_3X19641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFSHNPSLLFENSATTTTATSSMLHYSPPISLSFSIRQRRIKSAFIRFSSNNPPTTPEWNATDQTVNDDEESDGSQLPTVTDEWGEKSEPENEPPTRLSTSDPPQNEDEWGTGATQQPEEEIVVAGNGSQTVEVTAAEDNGKIEELKRCLVDSVYGTGLGFRASTEERAEIMELVTQLEAINPTPAPTDAVELLDGNWNLLYTAFSELLPLLAVGSTPLLKVDKICQQISTTTLTIDNSITFSTPFATFTSTASANFEIRSPSRIQVEFKEGSFQPPKIKSNVSLPESVDIFGQNINLSAVQQSLNPLQEAVASLAGAISGQAPLKIQIPDTTEMSSDKEAVKLDDEQLGELREIFRSFDRNNDGSLTQLELGSLLRSLGLTPSPDQLDALIQKADTNSNGLVEFSEFVALVAPELLPAKSPYTDDQMKQLFKMFDRDGNGYITAAELAHSMAKLGHALTAEELTGMIKEADTDGDGRINFQEFSRAITSAAFDNSFS >cds-PLY61794.1 pep primary_assembly:Lsat_Salinas_v7:5:81583933:81621256:1 gene:gene-LSAT_5X37240 transcript:rna-gnl|WGS:NBSK|LSAT_5X37240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPTNSYIEKQSNHQKIPFSNVVITRTRNCFWGREWNTVDIQIALSYAFLHLLALCAPFAFTWDAFWIAFIGNLLTGMLGITLSYHRLLSHRSLKLPKLLEYTFVYFGLLAAQGDPIHWVSIHRFHHKYVDSDNDTHSPINGFWFSHMGWIFDSGYLLEKYPIHKNVEDLTNQMFYMFIKKTYIWHLLGCGALLYTWGGFTYLVWGMGVRTVWFSHITFFVNSVCHIWGNQAWNTGDLSKNNWWVGVLAFGEGWHNNHHAFEYSARHGLEWWQIDFTWYIIQFFETVGLATNIKVPTDAQKRKKSFAISNNTYK >cds-PLY96462.1 pep primary_assembly:Lsat_Salinas_v7:5:109091056:109091358:-1 gene:gene-LSAT_5X47120 transcript:rna-gnl|WGS:NBSK|LSAT_5X47120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRDLDNYNSRGGQFESLSTVAAPAEQDCDLADLHTTSDGSLAGLAIPTMARRRFDRSSPSHRFIGTRRGSISGDSSGVTVARRWRPRGWWLEARGTSGG >cds-PLY78401.1 pep primary_assembly:Lsat_Salinas_v7:3:237152717:237159875:1 gene:gene-LSAT_3X132801 transcript:rna-gnl|WGS:NBSK|LSAT_3X132801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRDEYEDDVDEDQDDPVDDDDDEDYGTSRGGGGSDRKRRRSDFIDDVAEEDEDEEEEYDEDDEDYGGGGGGGGSRRRGGGKASKKASGAGFFELEADVDTDAEEEEEEDGEDDFIVNERVDPHEEEGARRMHHRPLLSREDDQEDVEALERIIQERFARNRTEYDDDDATEVEQQALLPSVRDPKLWMVKCAIGHEREVAVCLMQKCIDRGSELQIRSAIALDHLKNYVYIEADKEAHVKEACKGMRNIFTGSKILLVPIKEMTDVLSVESKAIDLSRDTWVRMKIGTYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALANKLEGREVPKKKTFTPPPRFMNVDEAREMHIRVERRRDPMTGDYFENINGMMFKDGFLYKTVSMKSISTQNIQPSFDELEKFRQPNENGDGDIASLSSLFANRKKGHFMKGDRVIVIKGDLKNLKGSVEKVEEGTVHIKPHATGLPKTLAVSERELCKYFETGNHVKVVSGAQEGVTGMVLTAKGHLVNMVSDTTKEVLQVFSDNVVESSEVTSGITKIGDYELHDLVQLDNSNFGVIIRVDSEAFQVLKGVADRAEVELVRLRDIKYKIDKKLNAPDYYKNTVSVKDVVKGRQGPVEHIYRGILFIYDRHHLEHAGFICAKSQACVLVGGSLGNGDRNGNPLASRVAQLRTPNRIPNSSGRPTRGAPPLPGGRNRGGGRGGDSYIGARIIIRQGPFKGYRGRVKDVSGTSVRVELESQMRVVTVDRTHVSDNVNKTAPYRETHRYGSGSETPMHPSRTPLHPYMTPMRDSAATPIHDGMRTPMRDRAWNPYAPMSPARESWEDGNPGSWGSSPQYQPGSPRSRAYEAPTPGSGWANTPSGGSYSEAGTPRDNRDRDTTPASYGNAPSPYLPSTPGGQPPMTPSSTYLPGTPGGQPMTPGGGGLDMMSPVVGGDNDGPWFLPDILVNVRRSGEDAVVGVIREVLLDGACRVAVGGDVITASPNEMEVVVPRKSDKIKIMGGPQRGATGKLIGVDGTDGIVKVDDTLDVKILDMVILAKLAPPH >cds-PLY89691.1 pep primary_assembly:Lsat_Salinas_v7:8:42048844:42050837:-1 gene:gene-LSAT_8X32181 transcript:rna-gnl|WGS:NBSK|LSAT_8X32181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSNESMCEIDVWPYLQTLSNDAISRTAFVSSFEEGRKIFELQHEMLELIIKYVQTAYIPGSSFLPTKLNKRLNEINQKVKASIKGIIDRRLVTIKARESSNDDLLEILLKSNNEEIEQEGKRNSGLSIEEIIEECKLFYVAGQETTRNLLVWTMALLGQHINWQERARDEILHIFKDKKPDFEGLSRLKVVNMIFNEVLRLYPPVTSLGRMVHKETKLGDITVPSGTLLHVSTILLHHDRDIWGDDVKEFNPERFSEGVLKVTKGQTCYLPFGGGPRICVGQNFAMLEAKMVLAMILQRFFFEISPSYSHAPHLVGTLQPQFGAHLILRKL >cds-PLY65365.1 pep primary_assembly:Lsat_Salinas_v7:6:40895437:40896583:-1 gene:gene-LSAT_6X30080 transcript:rna-gnl|WGS:NBSK|LSAT_6X30080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNQLKAITLTHVRYFKGDQLGCFLAWISLVPVFISLGGFVSHFLFRRELQGMFFALGLTISQFINEVIKTNVQQARPETCVLLEMCDSHGWPSSHSQYMFFFATYLTLLTYKKFGILFRKQMLLVALVIWPLALLTMYSRVYLGYHTVAQVFAGAGLGIFLGGLWFWVVNSILRGFFPVIEESFFGRWFYVKDTSHIPNLLKFEYENAIAARRHSSYKRAD >cds-PLY99499.1 pep primary_assembly:Lsat_Salinas_v7:4:57678281:57680079:-1 gene:gene-LSAT_4X38661 transcript:rna-gnl|WGS:NBSK|LSAT_4X38661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPALATSLHLFPNNPILRTKPYFPNFSSTIPFGFSASSSSYPINSNSSLSFVAPLEAILFDIDGTLCDSDPLHFYAFRDMLQEIGFNDGSPIDEDFFINNISGKHNEELCRVLLPDWEFEKAMNFMVDKEALFRRLAAEQLEPISGLENLCKWVEDLGLKRAAVTNAPRSNAELLISMLNLQDFFEVIVLAEECARPKPFPDPYLKALNALKVAPNHTFVFEDSVSGIKAANGAGMAAVGMATRNPEKMLLDAGATLVIKDYNEPKLWENLERVVKEKLPL >cds-PLY94253.1 pep primary_assembly:Lsat_Salinas_v7:8:70012019:70013646:-1 gene:gene-LSAT_8X50180 transcript:rna-gnl|WGS:NBSK|LSAT_8X50180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQSQPRSNAVNKIALASLSAMVAETTTFPIDITKTRLQLHGISLSTTRRVSAFQVAADIVRNEGVTGLYKGLSPALIRHIFYTPIRTVGYEQLRHAFLSDDSQPLSLPSKALIGGFSGVIAQVVASPADLVKVRMQADGQKVNPRYTTPLNALHKIINTEGFFGLWRGVFPNIQRAFLVNMGELACYDHAKTFIIHNKIANDNIYAHTLASMMSGLTATTISCPADVVKTRMMNQGISEEGKLKYRNSYDCVVKTVRFEGIRALWKGFFPTWARLGPWQFVFWVSYEKFRLIVGLPSF >cds-PLY64101.1 pep primary_assembly:Lsat_Salinas_v7:5:100847173:100850018:1 gene:gene-LSAT_5X45060 transcript:rna-gnl|WGS:NBSK|LSAT_5X45060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSIFFLGKTIPLKLGYVGVVNRCQEVNVRTHTTTVIPELKHHEFIKELKQLHKVQDDKELFGMLVLDYEAVQADALTVDQLASLEKYNETTIATCTSVLATEVERINSIKANLLASKDAARNTVAAKVAELLMRGLVFYFFALLFPF >cds-PLY64948.1 pep primary_assembly:Lsat_Salinas_v7:8:162131513:162134174:-1 gene:gene-LSAT_8X107941 transcript:rna-gnl|WGS:NBSK|LSAT_8X107941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIARIRSRLSTGGPAQPPSPMPNSRPTRNDPSFNNYVDKLKNIPEFDTTDDSNRPPIAEVEYKLIKAKDKNSIQQMMESAAKFGMFRVSGHGISPEELNAAFTEADFIFGLLAERWSRDGDREEFAWSRSAMAVAERRRDVKNDENFLKFRSKMDNIANKLEAIAKDMAQAIGTNGGKQPRKKIKENETRMVLFKHNNSSLQPHTPRSSQTPRSLDGNRRDNATFALSIHIPTEQGEFRLISEEGPVSFRTIPNTIVITVGEQMEEWSYGEFRSAFGEINIEPDIQDEKGAFSIELKCSPSCLNDVVDKHDSISITDQIIFVVIVAIVYKLFSYMLS >cds-PLY99027.1 pep primary_assembly:Lsat_Salinas_v7:6:151103252:151103892:1 gene:gene-LSAT_6X91441 transcript:rna-gnl|WGS:NBSK|LSAT_6X91441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVSNQALIYVAHLTVPTSQEIVINANLGCACCRERLHRIMMRITGLREYKVDVRNSQVIMRGNLNIYETLKNKTQKTHSLRRNLVRWIIQNFN >cds-PLY83659.1 pep primary_assembly:Lsat_Salinas_v7:4:40904900:40905142:-1 gene:gene-LSAT_4X28220 transcript:rna-gnl|WGS:NBSK|LSAT_4X28220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSASMGTRDCAHAVEQVLINNEKSLICEIDFIDDGIVGSNITQVEEAVKKASSVSLKRNNDRCGRREPEALAVTTPNL >cds-PLY64810.1 pep primary_assembly:Lsat_Salinas_v7:2:101281067:101282107:1 gene:gene-LSAT_2X44180 transcript:rna-gnl|WGS:NBSK|LSAT_2X44180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHKLYYEEEIEEENFEEEEEEDSGPIYDTDGEGEFCERIYFLFEEDHEEIEEEINFVAGNEIVEMFVKEIDFVVTNKIVEEMVEESEEVEQVLDHEDVVVVKKDDTYLITNLLSKSLEEGMNHQVPSSKRLQGMEFIILIFLILWCTFNWETMLLEHVGHKPTNTNHDPLFHMTIVNPLSYYKDYLQGPNLVHRSAQKWFLIHKWKIWLGLNSANSLSYLKKNMYIGKRDTTRLFGMNAKHVVAAPMLIWKKFFYLFKFKNTDRRMILLCNTLSNEGTFFHDLHFSLVLKYKIGGFKHGSCPGSKEELVSANHLKISTHGWNSKPTAMWCINQDQCWKRTVITNL >cds-PLY83463.1 pep primary_assembly:Lsat_Salinas_v7:3:206023822:206024781:-1 gene:gene-LSAT_3X121740 transcript:rna-gnl|WGS:NBSK|LSAT_3X121740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQILTIHIFVSLGFICRDILSEYSRQVIELGSCLLKLTSEALGLDPNHLLDMGCGAGLAVLGHYYPPCPQPELTIGAPDHTDYDFITILLQDHVGGFKILYQNQWIDVHPKQGALIVNAGDLLQACISLYLKLNIVAILLVTNDKFVSSRHKVVANKVDPRVSVASFFMTETLQVIEPIKELLSKDNPAKYRGTTAKEYVDYFRAKGIDGTSALLHFKIQIN >cds-PLY68037.1 pep primary_assembly:Lsat_Salinas_v7:5:292757188:292760059:1 gene:gene-LSAT_5X153800 transcript:rna-gnl|WGS:NBSK|LSAT_5X153800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGAVIRPLLNLNGSGGRTLPPTSAFFGGDIKKLVFKPKTKASSGKFKVVAAEVDEDKQTNKDRWRGLAFDESDDQQDITRGKGMVDTLFQAPMDSGTHYAVLSSYEYLSTGLRQYNLDNTMDGFYIAPAFMDKLVVHITKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIISKGKMCVLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKQENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCTGIFRSDNIPKDDVVKLVDTFPGQSIDFFGALRARVYDDEVRKWISGIGIEGIGKRLVNSREGPPTFEQPKMTIEKLLEYGNMLVKEQENVKRVQLADKYLKEAALGDANEDAIKSGAFYGKAAQQVNVPVREGCTDPLAENFDPTARSDDGSCVYKL >cds-PLY88764.1 pep primary_assembly:Lsat_Salinas_v7:4:165562201:165566159:-1 gene:gene-LSAT_4X98620 transcript:rna-gnl|WGS:NBSK|LSAT_4X98620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKFIPLFLFLLQLQTLCSAYPTPLNCTETSRLCTSFLAFSPSPNQTLDVIMSMFDVFPHDVTVEGYGRNYVFVRKNCSCANSPVHSYLTNTTYTVRETGGSVHDIVIDAYGGLAYLSNFSRPARTGAVVSLQLFCGCSSGLWNYLMSYVVKEGDSIELLASRFGVSMDSIESINGIDNPDNITIGALYYIPLNSVPGDPYPVETTSPPSPSPSPSLSGNVTVERTDHKSDVKHWWIIGSLGVALALIIATVLFVCLRSSICNHLNDPEDERVSHKFHILRRSSIWCGSGRLCCKSDDWRQQTIDASSDRHTNIPKVIGTDVFDVEKPIVFIYEEILSCTDGFSESNLVGHGTYGSVYYGLLREQEVAVKKMTATKTKEFIAEMKVLCKVHHTNLVELIGYAASDDELFLVYEYAQKGSLGSHLHDPQNKGHPALSWIMRVQIALDTARGLEYIHEHTKPHYVHRDIKTSNILLDSAFKAKISDFGLAKLVGITNDGEASATRVVGTFGYLAPEYLRDGRATTKSDVYAFGVVLFELISGKEAITRTEAVTKNSERRSLASIMLGALKNSPDSMRMSGLKDHIDPNLLDLFPHDCVFKMAMVAKQCVEEDPILRPDIKQVVISLSQILLSSVEWEATLAGNSQVFSGLVQGR >cds-PLY63286.1 pep primary_assembly:Lsat_Salinas_v7:3:91302008:91303490:1 gene:gene-LSAT_3X68481 transcript:rna-gnl|WGS:NBSK|LSAT_3X68481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHNESRYFVYEKRGYELKGVEEEEIARLAEDGDIYNKLSRSLAPDIFGHEDIKKALLLLLVGAPHRKLKDGMMIRGDLHICLMGDPGVAKSQLLKHIITVAPRGVYTTGKGSSGVGLTAAGQKDLVTNEFVLEGEALVLADMGICAIDEFDKMDESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDLRRTPAENINLPPALLSRFDLLWLILDKADMDNDLEMARHVVYVHQNRESPALGFAPLEASVLRAYISAARKLSPSIPRELEEYIATAYSSIRQEEAKSNSPHSYMIYIQQIYFSVCILYDNNDNRFQH >cds-PLY76814.1 pep primary_assembly:Lsat_Salinas_v7:MU042712.1:1610050:1613459:1 gene:gene-LSAT_0X2980 transcript:rna-gnl|WGS:NBSK|LSAT_0X2980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEALITIAAEGLLKKVLSIAANEIVIAWGYEEKLTTLHELLDLIRAKLRDAERQKGTEAVMVWLKQLKDVVSEADDILDEVHYEMLRREVKKRDWIARKVPHLPSLKKLSFRREMSHKIENVSKKLFEINKRANDLRLENEQSIPVSNSLCRETDPYLDEFKIIGRGNDELHIIQLLTHSRKDENLKIVPIVGMGGIGKTTLAKSIYNNPKIEQHFDVRAWLCVSVKIEVNTLLANIYEALAGKECESRTRVNIIKDLQKELGSKTYLLVLDDVWDEERAHWDDFRTCMLKVNSQNGSGILVTTRNLEIGTLAMSEEFRALQGLSDDQCWSIFKEIAFAADQPSLHELEEIGRDIVKKCRGLPLLVNVIGGMLRNYNDKEKWLSIRDSKVWDLEGEGDRVQNSLKLSFDNLPNSIVKQCFAYCSIFQKGSIMKGEELVQLWMALGFVQGDEARNKDMEDVGNDIVEILVSNLLLQDVTRDEYGYVAACSMHDLLHDLSSSLVRHESLRLVHPTHDDIVRIPQVKHLSVYRHWKDDVRINISLKKMSSVVFKDEMKARSLHTLFFEGEVENNISFQHFKYLRILKLDRCRLCILDESIGELTHLKYLDLSNTEVGSLPKSIGKLYHLQTLKLCGCRSFKVNHLRRLPIEFRNLISLRHFEFPETVPINNVGQLTSLRTLPSFHVLGKKGHQIEELGPLKHLGRKLRILNLEKVKSKKEATKADLFGKKNLSKIEFCWNNKDEVANRNDKDVLEGLQPPENLKSLTIYNFSGDSFPQWVSTMTISIEGKWTPLHKLVEIKLIRCHNCPLLPMLKNLPLLRDLVLRNMDNLTCLSSFSVKEGEKLTGSRSIKPLSPSLRSLQLIGMERLEKWMDAATNSSTLLSPVLEKLYIYNCPKIVLLDECHPHPLVSLKIKNCKNLESIKSIEGLTSLESLSIDGCHSLMGLPDFHNQGHSLKRLSVTECNKLTSLPPKMFECFSILSRLKLGPFSKELHSFPSLQGIKKLRNHLHSLELNGWDHLESIPEKIEHLTSLARLTIQGFGMRELPIWLTNMSSIRYIAFNDCKRLDKETIKRGAPRDADNVLIDGCRSV >cds-PLY79591.1 pep primary_assembly:Lsat_Salinas_v7:2:166095491:166096201:1 gene:gene-LSAT_2X89340 transcript:rna-gnl|WGS:NBSK|LSAT_2X89340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCNLNRVLIDASHLPRSFSSTHHQRQRPVDSSSNLVNCHSGDMNSNSQIHAPTESSQEVGGSCYNQVNIDYVDQRGGM >cds-PLY96220.1 pep primary_assembly:Lsat_Salinas_v7:3:197339753:197343448:1 gene:gene-LSAT_3X117240 transcript:rna-gnl|WGS:NBSK|LSAT_3X117240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVSTTGNDAAVFSEVDMSSSDSSSTVRATVIQASTIFYDTPATLDKAERLLSEAASYGSQLVVFPEAFIGGYPRGSNFGVTIGNRTLKGKEDFRKYHASAINVPGPEVDRLGAMAGKYKVFLVMGVIEKDGYTLYCTVLFFDNQGGYLGKHRKLMPTALERIIWGFGDGSTVPVFDTQIGKIGAAICWENKMPLLRTAMYAKGIEIYCAPTADSREIWQASMTHIALEGGCFVLSANQFCRRKDYPPPPEYVFTGTEEDLTPESVVCAGGSVIISPSGTVLAGPNYDGEALITADLDLGEIVRAKFDFDVVGHYARPEVLSLIVKDDPATPVSFTSEKTEGSQK >cds-PLY71457.1 pep primary_assembly:Lsat_Salinas_v7:7:191436930:191438248:1 gene:gene-LSAT_7X116300 transcript:rna-gnl|WGS:NBSK|LSAT_7X116300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWWRSGVVGAARKKLDESNPSRKYQKFGLIIGATGIVGNSLAEILPLHDTPGGPWKVYGVARRPRPQWNADYPMEYIQCDITDPEETQSKLSKLQDLTHIFYVTWANCTTEAENCEINGKMFTNVLNAVIPNAPNLQHICLQTGRKHYMGPFEEWGKGIGSHNAPFYEDLPRLNDQPNFYYTLEDILFKEVAKKEGLTWSVHRPGLVLGFSPYSMMNILASLCVYAAICKHEGEPFKFPGTKEAWNSYNDVSDADLIAEHHIWAAVDPIAKNEAFNICNGDVFKWKHFWKILAEQFDVENGGLQEDESRKSLGEMMKDKGPVWDAIVQEKELLTTKLEEVGGWWFVDFVLSIEGTLDIMNKSKEHGFLGFRNSKSSLVSWIDKLKCSKIVP >cds-PLY95715.1 pep primary_assembly:Lsat_Salinas_v7:2:114571694:114571987:-1 gene:gene-LSAT_2X53480 transcript:rna-gnl|WGS:NBSK|LSAT_2X53480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMMEELPGRKKHTTEDTGDGTGDEQTSAKTKVGEGETMGFIVHDRAFKVSGQNGVIFQSVSFLLQTESDYYPQPNQRIGPVQARFILLLNGLTGPS >cds-PLY70535.1 pep primary_assembly:Lsat_Salinas_v7:1:76869913:76874732:-1 gene:gene-LSAT_1X64141 transcript:rna-gnl|WGS:NBSK|LSAT_1X64141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFWHLTALSASSPIESILDKETFTLEELLDEEDVIQECKALNSRLINFLRDRVQVEQLLRYITEEPQADADSKRIFKFPFIACEIFTCEIDVIFKTLVEEEELMDLLFSFLEPTRSHSALLAGYFSKVVICLMLRKTVPLMNYVQAHQNVFQELVDLIGITSIMEVLVRLVGADDHMYPDSVDVMQWLTDSNLLEMIVDKLSPSSSPEVHSNAAETLCAITRNTSSPLATKLSTSSFVSRIFGHALELDDSHSKSGLVSSLSVCISLLDPKRSFPSPPFYSFRGHHVYESPIQVNQETVGAMLPQLSDLLKLLNVSLDEKVLPTTYGQLKPPLGKHRLKIVEFIAVLLKTGNEVAEKELISSGTIQRVLDLFFEYPFNNALHHHIESIIYSCLESTNNTVIDHLFQGCGLFAKILQTENNPFLSVELNQPTSLASGRNPLRVGNIGHITRIANKIMQLGNTDTRIQMHIQESSEWNEWQATALQDRNMVENVYRWACGRPTSIHDRNRDSDEDDIHHDRDYDVTALANNLSQAFRYNAYDNEEGEEGHGTLGRDDEEGYFDNESAEVVISSLRLGDDQGSNLFTNSNWFAFQDDKMGGDTTATSPEAMDEINLNGAATTNEDHDDVVVGADEDFLNTKNSNNAPTRSPNPFSEDYAENEEDLFADRPMPEWVGWEEPSNVEIPVPVPGSGVNPFEEDYNNTPDVDIPTLVETPTPTPTPTPVPSLFEEDVEFVGVELELDGSEKAMDKALKEGIVGEAGALKRKVVPGGPEKENVSDTKEFNDSNYWRVDQEIAVSE >cds-PLY68696.1 pep primary_assembly:Lsat_Salinas_v7:7:86294020:86299532:-1 gene:gene-LSAT_7X58981 transcript:rna-gnl|WGS:NBSK|LSAT_7X58981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPTAPPEVTILGSKSVAATTTIDQCQTDLVQEGNEQKCMDQLRNAPELLENWGFNLLQSFEVFMEIGSCIKVQNGSCPTSYLTHASYFHEQSYSIQNRTSAPSSYVTLPRKNCTCKATLKEANSKIESVEKLKVKPSEFSSEIELSSLTAISPLDGRYRNKVKDLSHYLSEYGLIYYRTLVEVKWLIKLSQIPQVSEVPSFSNESQKKLQELIDGFSNGDAMQVKNIEKITNHDVKAVEYFLKTKCQEDPQIAKVLEFFHFGCTSEDINNLAHGLMLKESLNSVILPVMDDLINAIYTMAKANAHVPMLSRTHGQPASPTTLGKEMVIFAERLSRERHDISKVEILGKFAGAVGNYNAHVVAYNDVNWPKIAEEFVNSLGLSFNPHVTQIESHDYMAKLFHSFIRFNNILLDFDKDIWGYISVGYFKQVTKAGEIGSSTMPHKVNPIDFENSEGNLGIANAVLDHLSMKLPISRWQRDLTDSTVLRNIGVGLGYSLLAYKSALVGIGKLQVNEAALNKDLDNSWEVLAEPIQTVMRRYGVEEPYEKLKELTRGRVVDKERITEFIKGLEIPVEAKTELLKLTPHNYVGVASQLVEEACFRANNK >cds-PLY86623.1 pep primary_assembly:Lsat_Salinas_v7:1:3893796:3894485:1 gene:gene-LSAT_1X3180 transcript:rna-gnl|WGS:NBSK|LSAT_1X3180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEARRRSSLAGSCNKHPKHRQSPGICSLCLRERLSKISAPSSGAVINVSSSSSSSSISSVSSAYSSHASSYTASPMQSYRNRRQIGNLEGNGYLHFLRNNTNGFLKKSRSVAFVSDQTNVMQDHEKKVGFWSKLMGTKRSSSRMMHSRTLRETFHSRD >cds-PLY89460.1 pep primary_assembly:Lsat_Salinas_v7:8:30756924:30758429:-1 gene:gene-LSAT_8X22081 transcript:rna-gnl|WGS:NBSK|LSAT_8X22081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPQLPATMEKLPGDDLSNIFIRLLAKQLGQMRCISKSWNALLSQSSFIKSHLHRSIHNNDEILMVFNAKFPSDSTAQPKRFTAHPSRSPDIELADFIKLPVYSMRKGSWKPITQRPPSHITWFFEQDDVCVDGHDGHLHWLGYIGENKRQQRIVTFDLGAKKFSEMLLTSVIQNFNVYRGWNKLGVLAGKLCVMSCLIDGGLEVWVMDEYGVADSWIKHNVLSQFSADIIIPYGFTSHGEFLFQIRDAENGSTSQNEFFYDYLYDGPAGLLALYDPIASKTKVFKICAANDDTKYVEYVDSLVWVAPIERDLSISRLQI >cds-PLY77244.1 pep primary_assembly:Lsat_Salinas_v7:4:177345137:177347789:-1 gene:gene-LSAT_4X104040 transcript:rna-gnl|WGS:NBSK|LSAT_4X104040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g15510, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15510) UniProtKB/Swiss-Prot;Acc:Q9M9E2] MAVSAKTPTVSSCHSDLTNSLHHNQISQTINFLVSSRSCKISLQKKHKIFVVSTDSIDTRDPNSVICDLCLRGNLEQALIQLTAMKKLRLSVEEETYITVIDLCERKRAEPQGRQVYILIKNSTTQLSIRLGNSLLSMFVRLGNLVEAWYVFGKMSERNVFSWNVLIGGYAKAGYFDEALNLYHRMLWAGLLPDVYTFPSILRTCGAIPDLLRGREVHLHVLRFGFESDTDVNNSLITMYVKCGDVYSARLVFDKMPVKDTISWNAMISGYFENDKYLEGLNLFLDMLHHSVAPDLMTMTSVISACEFIGDERLGKTLQGYATKHECSKDVSIDNSLIQLYSSLGSWEEAEKIFTRITSKDVISWTSMISGYENNSQPEKALEVYKNMRKDHVAPDEITLASVISACASLQLLDMGIELHEFAKKTGLISYTIVANSLIDLYSKCKLIDKGIEVFQHIPNKNVISWTSIILGLKINNRSLEALTFFRKMKLTSTPNSVTLISILSACGKIGALMAGKEIHAYTLRAGLACSGSKYLPNALLDMYTKCGKMDTAWKQFSSQHKDITSWNILLNGYAQRGKGKEAIELFNKMVKDLVKPDGVTFISLLCACGRSGMVEKGLEYFNIMTENYNLTPNLKHYACVVDLLGRNGKLEEAYSFIKKMDIKPDIAIFGALLNSCRIYSWVDLGEIAARRIYEMNEKDQSVGYYTLLCNFYSDIGRFDEVGRLKRVMREKGVTVDPGCSWVEVKGSVHAFLSGDKNYPQEKEIKGVLNGFYEKMGEKEYGVDDDCKDEVLCGHSERLAIGFGLINTAPGMPIRVTKNLYMCEKCHDLVKFVSKVVRREIGVRDIECFHVFKDGLCSCGDVGFKPKGLK >cds-PLY71613.1 pep primary_assembly:Lsat_Salinas_v7:2:103115892:103116279:1 gene:gene-LSAT_2X45200 transcript:rna-gnl|WGS:NBSK|LSAT_2X45200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVRWAKREGDSRLHAIYCSKKHIFRPSFFLIPTRFSSISKFWSSPPSNLHRLHHWLRRYPRQLLHSCLRIRQLMQVDSRVFRSRIWWGCSLLLFSWFDQK >cds-PLY86456.1 pep primary_assembly:Lsat_Salinas_v7:8:3765234:3767365:-1 gene:gene-LSAT_8X2600 transcript:rna-gnl|WGS:NBSK|LSAT_8X2600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPGDPGRGFYYHHQQPPQPPPPQHHPHPHPHQQPPSQPPAATGNGILPNNSTDTRSSQTLYPHNSVPSAVSSPLQTGVRRKRGRPRKYSTPEQAAAAKRLSSLSSPTSTVPRLSSPSKKDTSQTVGGSSTTTSSSKKPSLGNAGQGFTPYMITVTAGEDVSYKIMSFMQQSKQEICVISASGVISNATLHQPATSGGNITYEGRFDIISLCGSYVRADFESRSGGLSICLCSNDGQIIGGGVDGPLIAAGPVQVIVGAFVISSKNTAAAAAAAVTKEDSSAFPLATTDSSAMIGNNNNHQHQNSDKYPFIIQNATTPLPSPRLSDWRGNNDSRNTSGFNLSGRVNHGENPSPNEDIYQFRD >cds-PLY71679.1 pep primary_assembly:Lsat_Salinas_v7:3:47543251:47546900:-1 gene:gene-LSAT_3X35920 transcript:rna-gnl|WGS:NBSK|LSAT_3X35920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVWAYVPDPWLHSIGIFYYPSKYWALALPAYAMVIIATIFIFYIGLNFMATPSPSSFNSIFGELISHKASYLCNKVVKMTEPSEISKSNKHPDKNPIIRRKQKLCLNKSVNHMMYVSLPFSISLEIMLVVVDDVGIQANDSDYSSNARLLGLMYSQVHNQ >cds-PLY87346.1 pep primary_assembly:Lsat_Salinas_v7:1:98470080:98470256:-1 gene:gene-LSAT_1X80660 transcript:rna-gnl|WGS:NBSK|LSAT_1X80660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVVFVVLLLLVLCQFLAETLQSYPTTSYKAHNHILQEPCFSKLWFLSKLPPTKSPEK >cds-PLY96745.1 pep primary_assembly:Lsat_Salinas_v7:2:170908669:170913274:1 gene:gene-LSAT_2X93720 transcript:rna-gnl|WGS:NBSK|LSAT_2X93720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTQGRVEGDNSGNGKVDNRTAREKAIDEWLPITSSRNAKWWYSAFHNVTAMVGAGVLGLPYAMAQLGWGPGVAVLAISWIVTLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLYIVVPQQLIVEVGVNIVYMVTGGTSLKKFHDLVCSECKEIKLTYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWSASVKKGVQPDVQYGYKSKSAIGTMFSFFNALGTIAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVVVAYIVVALCYFPVALIGYWMYGNAVSDNILISLNKPTWLIAMANLFVVVHVIGSYQIYAIPVFDMIETVLVKKLDFNPTFMLRFITRNIYVALTMFVGICFPFFGGLLGFFGGFAFAPTTYFLPCIMWLTIYKPKKWSLSWITNWVAIVFGVALMIVSPIGGLRQIIIDAKTYEFFS >cds-PLY89816.1 pep primary_assembly:Lsat_Salinas_v7:8:215937073:215940322:1 gene:gene-LSAT_8X136141 transcript:rna-gnl|WGS:NBSK|LSAT_8X136141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQFKENFWGDWRKILGKNHTIQNLENCDFKPIYEWHQAEKEKKKLMTIETRLQAQGAGVAYSHPMTNLTSRMAVFGPNMMFADLRCSPSCTHAGVHGTVSICPPDCFEYKGTLDVFYKIIRQEGFGKMWRGTNAGLAMAIPTVGIYLPCYDIFRNWFEEFAAENAPSMTLYAPLLVGSLSRSVGTMAGGAADCEFWHRNLGIKLLSSIATRGRHSCGLVACSRSPFKIVDGPASSAVGNPGLMKANSSRVRSGKESSSRKRSDAENASAVFFISIVSMKPRVD >cds-PLY95261.1 pep primary_assembly:Lsat_Salinas_v7:8:137353901:137355690:1 gene:gene-LSAT_8X94581 transcript:rna-gnl|WGS:NBSK|LSAT_8X94581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGNRKDGPVAINSTNVFAALGSLKKKKKSDKGDGSSKNAGSSKTKHDGEGNGVEEQVFWAPAPLTMKSWADVDDEDDDDYYATTAPPPSVWVAGEDDQKGNETPVEESESESEDEDEDLDETDEEENDHEEEEPFIENTVPILPPKETDRQLSKKELKKKELAELEAVLAEFGLNNNPGKENSIDGGKEKVENQNEGVAEKKEKTMGGGGESKSAKKKKKEKASKEAKEEEKEKDQETESSAAEEKELSGVNMKEKIKKMASMKKKKSGKEMDGAARAAASEAAARSARLAAAKKKEKNHYNQQPVR >cds-PLY68537.1 pep primary_assembly:Lsat_Salinas_v7:4:21018403:21019559:1 gene:gene-LSAT_4X14601 transcript:rna-gnl|WGS:NBSK|LSAT_4X14601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSIFFRVLNANVLLSTYWIPPSINLCCNFADDLHRRNEQDDDFDREGKQNKNLLDLRMKIIKVANRGKLFLFLDFTLLFFSAKNFKMNAVLGQIASTTQELAHFIHFGKLFHIARKRSCKSINKAWVSTCWQN >cds-PLY71231.1 pep primary_assembly:Lsat_Salinas_v7:6:19148258:19152038:1 gene:gene-LSAT_6X14921 transcript:rna-gnl|WGS:NBSK|LSAT_6X14921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQPCDLVTLEPTRVVETLKNLTTRFRDYRVVEVVNLLSTANDGTMMHLYRQKKVVPNYLVPPAYRLLKHSDNIGKILKELSPLLCDYQRFITRHHVYIKPLAAESETKYEFENMIIGQTIPSNFIPHIEKCFMEAANLWCFSCCGYDIANFSEGGYFGEWTLLGECVDSLHIVALGDVVCVVLTKEKFELVIGPVS >cds-PLY80823.1 pep primary_assembly:Lsat_Salinas_v7:3:192189177:192190175:-1 gene:gene-LSAT_3X114521 transcript:rna-gnl|WGS:NBSK|LSAT_3X114521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYKCKLYELCQKNGWQKPAYSCKKDGKDHNPLFKASVVVNGVTFSSRSFLKSSKSAGNDAAEVAFTHFTTSHSFCSGSGDVSKTGEAGLPVSPEENTTSVTAATTATPLDQSHSSIEEGDENKSMESYLLCNEVRVYTSIPVTVLPKGNVVLPIGEDKWTVVKLESA >cds-PLY67462.1 pep primary_assembly:Lsat_Salinas_v7:6:73832621:73832987:-1 gene:gene-LSAT_6X51920 transcript:rna-gnl|WGS:NBSK|LSAT_6X51920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRKLDSRWLGGFSIGIDLGLSRTGLAISKGFSVRSLKVLELRGQKLEKGLLEIAQEQVCSCLLKFYPLDSKN >cds-PLY82031.1 pep primary_assembly:Lsat_Salinas_v7:9:158239899:158245811:1 gene:gene-LSAT_9X99361 transcript:rna-gnl|WGS:NBSK|LSAT_9X99361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPDPLLESIFSLLKNVTREAQTTIQFKAELEQLTATLQMIGPIVNDIVKSKRGLDHSEEECNMFTNEIKKAEKLVCESSKVKWNPFKRNLYSRQLKRLNRKLGNFCPAGVVAIQIRDSTQALALENDTNVKVGHILNRMTNKRIASVGEERENYGWRVPRLPSGIVAFEEPLQKLKAAVLASTDIDDGGSSMGCDDRSVLVVAAPGGCGKTTLVKMLCHDAEIRERFGENILFVIVSETPNLMVMVSDLFNPNSSSPLLVFQSNEDARTKLENFLSRKASDPMLLVLDDVWSASFIEEIFPSKIGGHKILVTSRTAFPKYNVFWFEPLHQEDAKTLFRGSAFTRGGSRPSPTINDDLVNQMVSWCKNHPLTLTVVGLSLNGKDELAWKSVLNKLSRGRSLLDLHEDIFIALERSFEALDDEYKQCFLDFGLFPEDQRIPVSSLLNMWVHLYDYDDDGVDTMATIKEFSNRNLVHLMTTGNDSGARVNHCDQQFVTQHDLLRELAIHLNSKLPLPQRSRLIINAQGDELPAYIEQVQEPMQARILSISTGESFSSKWCNLEVPNLEVLILNLRSKTYTLPHFLAGSQKLKILNITNHGLYPIEFDNFHFLGSLRNLTTIRLERVAISPSILSLENLQKVSLIMCKTGNTLKKPMNNPPNISISPSILSEKVSSILCKIGNTFKKPIINCPDIWPQLVEIDIDNCQDLVEFPWTLCHSVHLKTISITNCNEMCGFSEEFGSLTNLEMLSLRSCMKLEKLPELIWRLEKLSVLDISFCSSLSGLPVKMGGIPTIYMKGCELPESEEEFSCTCTIQ >cds-PLY81312.1 pep primary_assembly:Lsat_Salinas_v7:4:37293456:37297499:1 gene:gene-LSAT_4X24780 transcript:rna-gnl|WGS:NBSK|LSAT_4X24780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKDQEVKLAQMKKIVQELGSSTENYGDPTLERFLIARSMDPNKAAKMFVSWQKWRTSFVPLGFIPDSEVLQQLESRKIFLQGLSKDGHPIMILHASKHYPAKDKHEFKKFVVHLLDKGIASGIRGQETGNEKIVAIIDMQQLTFKNVDAHGLISGFQFLQAYYPERLARLYILNMPRFFVSVWKMISHFLEKATLDKIMIVRNEDERKRFVIEVGKEALPEELGGEAKLVALQDVEAPRLEC >cds-PLY66614.1 pep primary_assembly:Lsat_Salinas_v7:4:282260700:282264066:-1 gene:gene-LSAT_4X144000 transcript:rna-gnl|WGS:NBSK|LSAT_4X144000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRLQLCARTFVSSGAGDFVDHQKLFSTQLRCSSPSISYSTPTVKHYRRRRSKSVVISCNSSRGRRSKDYDYQDGDDYLEASVLMTETLMHYQMHIQGYQEAINWKPTTRLFPISGQGKGLRNNINTFGPEFLSQYRNPTIFLKISCDCDGDYLLPIIVGEYAVEKLISSTLEDEGFPNHFQLVKNIVGKLGYEVKMVQITERVDSIYFANICFHKPGTEDIRVDARPSDAINVAKRCRAPIYVSKQIVLTDAVKIVYGVNKLRDKKPTYDVFLDSAIDGPDVLAEELDLVTKMNLAVKDERYSDAAMWRDKLLKLRLPKNDP >cds-PLY77097.1 pep primary_assembly:Lsat_Salinas_v7:MU042616.1:487256:487459:1 gene:gene-LSAT_4X76700 transcript:rna-gnl|WGS:NBSK|LSAT_4X76700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATGVGMMMTVAAWEGQVLGGYHSTSDGYCGYAALELPIVDPAQPNAALAGPNALLEGYGSPEIQK >cds-PLY78027.1 pep primary_assembly:Lsat_Salinas_v7:9:43843582:43843902:1 gene:gene-LSAT_9X40401 transcript:rna-gnl|WGS:NBSK|LSAT_9X40401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLHLLPTEAPTENPSSGEIGSCSQIAALLSLSSRSANPSFLLSQIHKPCKPTFLMRHRSANTHLGGDDEGSGGDKEARNAAGVKKFVDAGEDTGDGRYGEQPSKI >cds-PLY67559.1 pep primary_assembly:Lsat_Salinas_v7:3:55116598:55118584:1 gene:gene-LSAT_3X42421 transcript:rna-gnl|WGS:NBSK|LSAT_3X42421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYQFESAYLPAAEISQYKLVFSPVWLSFLVAAARAGKSKSTCWALTKSWLDVQADLELSGESERSEDINSESLLEPENWPLQVLNQQPRDLFALIQKLHSSESVHKAVTRGCKEQHRQIEMSLMLGDIPRLLDLIWSWMSPSGGDEDIFRPHGDPQMIRFGAHLVLVLRHLLANEMKDAFKEKTKNLGDLILRHLLYFF >cds-PLY99868.1 pep primary_assembly:Lsat_Salinas_v7:4:44882587:44884743:1 gene:gene-LSAT_6X37041 transcript:rna-gnl|WGS:NBSK|LSAT_6X37041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGKVVCVTGASGYIASWIVKLLLQRRYTVHATVRSLDDPKKTEHLRALDGAKERLSLFEANLTEEGSFESAVSGCDCVFHTASPVLLSVSEPQAQLLEPAVKGTLNVLKSAAKVPSLKRVVLTSSMAAVMFPAKPLEFGDVMDETWFSDPETCEQKKLWYALSKTLAEDAAVKFCKEKGLELVAINPGYVIGPILQPTLNLTSEGIMGMIESGKEVFPDGIYRLVDVRDVANAHILAFENPQANGRYCLVGEVIRSSEIMKILNKNYPVLGHSEKYKDGIGGEPVPYTVSRAKAESLGVEFTPLEVSIKDTVESLKEKNFLSF >cds-PLY65849.1 pep primary_assembly:Lsat_Salinas_v7:4:86201119:86201691:1 gene:gene-LSAT_4X56621 transcript:rna-gnl|WGS:NBSK|LSAT_4X56621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNFSDYNANLAHELRSGIPVSSSFLLKKELKLDNTMAKNASLFVKMAAVLSLFLMLIVLSESRFTLIDGVQKAKSALVCSQVVGVEAGDDCTIISKEFGMSLASFLAINPNINCESVFVGQWVCINGSA >cds-PLY87088.1 pep primary_assembly:Lsat_Salinas_v7:5:255411488:255415758:-1 gene:gene-LSAT_5X131441 transcript:rna-gnl|WGS:NBSK|LSAT_5X131441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASFSLIPSSSLNFIRDPLPSPSPSASSSSSFFGGGTLSFTRISNNRSFVSFSSPSQFNRKKYGKRGGGFCVFAAADYYSTLGVPKSATGKDIKAAYRKLARQYHPDVNKQPGATEKFKEISAAYEVLSDDKKRAMYDRYGEDGLKSTVGGGQAGAYATNPFDLFETFFGTSMGGFAGGDTTGFGGRRATTVIKGEDIRYDMMLEFSAAIFGTEKEFELSHLETCDVCTGTGAKVGSKMRICSTCGGRGQVMRTEQTPFGMFSQVSVCPNCNGKGEMISEYCRKCSGEGRIRVKKDIKVKIPPGVSKGSILRVAGEGDAGPKGGPPGDLYVYLGVEEIPEIQRDGINLMSSISISYLDAILGTVAKVKTVEGMTELQIPPGTQPGDTLVLAKKGAPKLNRPSIRGDHLFTIKVSIPRRISGQERELLEELASLKNPTSTRPRSRSQQPAKVTETQADPVAEESDSSADEDDPLKKITNFAGSVVKGALNWFKENF >cds-PLY96464.1 pep primary_assembly:Lsat_Salinas_v7:8:139850213:139855586:-1 gene:gene-LSAT_8X95961 transcript:rna-gnl|WGS:NBSK|LSAT_8X95961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRGNMLQSSPVQQMLAGGPNNWWSMMATTRPSPPPAPPQAPFFAASPQPHFFHHQYAPPPPVSLSPWHDNHHDFPDSLSQLLMSGLVGEDDKSTLSHMQQVKKLENWEEQLLHDHHTHHHHQQQQQQINPMVESGVKQENSLNYGSSYGHGNVDFHGVKPNTWSNPMIPVSSPNSCISSLSNNMLDFSTTMSNKTDGRHPPPDRSSECNSTATGGVSKKAKIQPSSNQSTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSLPYLGSGSGSVRNHQHSVHQGERNCLFPEDPGQLLNDSCMKMKGSALDQDPHDEPKKDLRSRGLCLVPVSCTMQVGSDNGADYWAPALNGGFR >cds-PLY69519.1 pep primary_assembly:Lsat_Salinas_v7:6:43051628:43052397:-1 gene:gene-LSAT_6X32740 transcript:rna-gnl|WGS:NBSK|LSAT_6X32740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPIAIVSGVPRGRRYGGKRGGSEASSSRPTAGCEGGKETGPTGAMRVAAATSLDFWEGGAPLEPSAPSEWWRGKFDRGCFLIRERQQLSTQISMEETKWVFGFVCDRGRGNIEWERLSVGMWRWRQPHVWV >cds-PLY80224.1 pep primary_assembly:Lsat_Salinas_v7:9:191960041:191967880:1 gene:gene-LSAT_9X118960 transcript:rna-gnl|WGS:NBSK|LSAT_9X118960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGGEGYRRRQQEAELKLLEEETERRVEEAIRKKVEERLSSEYIKMEIKIRLEEGRKKVVRDVVEQLEREKEAAVIEATRKEEQARKEKEELERLVEENRKRIEEGQRREAMEQQRREEERYRELEELQRQKEEELHRKKQQEEEERAKQQKLLGKNKSRPKLSFAIGSK >cds-PLY80406.1 pep primary_assembly:Lsat_Salinas_v7:8:171922883:171924473:-1 gene:gene-LSAT_8X112420 transcript:rna-gnl|WGS:NBSK|LSAT_8X112420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEANHLDSNVLLPPRKRLLACMKSRNGNGNSNSNPPSTSNPMNEFGTRLNNLLSVHPNDNNLSQLKIVEASRSAAEVASKIAIAARALAEKKAVMAAIAMAAAKKALELVAAINEQETSSSTSKKNKRKKHVDVQMLYDNKNSNVENGKTSDEELARQLHHVINRSPRIPKKLKIPLPLENGRINEDENLIKVDEFEEDKGIIGRKRGRMKQKKLPLSICHNRGQLNPNEDSRWKSSESVENIERNSLSKCQVFKETTRLKQNKTIQS >cds-PLY80590.1 pep primary_assembly:Lsat_Salinas_v7:6:14418805:14420729:1 gene:gene-LSAT_6X8360 transcript:rna-gnl|WGS:NBSK|LSAT_6X8360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQLNQVSRFSPLQPPIKSPVNSISPAPPPQSTVPPSSLSPYLPSDSQPATASPPHPSPSNPPPPSPSPPYSPPPPPPPPPPSPSPPSTPPASPVRALFVLGDSSVDCGTNNFLGTFARADRLPYGRDFDTHQPTGRFCNGRIPVDFLALRLGLPFVPSYLGQAGSIEDMIHGVNYASAGAGIIFSSGSELGQHVSFGQQIQQTIDTFQLFITQMGEQTAANFISNSIIYISIGTNDYIHYYLPNVSDVQSKYVSWKFNQFLAYSMKEEIKNLYNSNVRKVVVMGLAPIGCAPYYLWQYGSEDGDCIKVINNMIMEFNFVMRFTISELRKELTDANIIFCDAYQGSMDIIKNFDRYGFSVTNEACCGVGPYNGWMMCMGPEMACENASSHLWWDQFHPTAAVNEILADNVWSGLHTPMCYPMNMEDMVK >cds-PLY76789.1 pep primary_assembly:Lsat_Salinas_v7:4:188467781:188469734:1 gene:gene-LSAT_4X108561 transcript:rna-gnl|WGS:NBSK|LSAT_4X108561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRRATEIELDTMEGFASREKLHHHLNDQEPTLMMFKLDEKLKLIESRLESKVPMAPKQPNTGLFVGLNKGHVVTKKELAPRPSDRKGKTSIRSHFVRNLIMYI >cds-PLY77181.1 pep primary_assembly:Lsat_Salinas_v7:8:26394969:26407595:1 gene:gene-LSAT_8X21501 transcript:rna-gnl|WGS:NBSK|LSAT_8X21501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKYWLIDNDSPMLKLPGMKHCVLMIFQESTEKKVTDDPKINKCVEDNNKPVWTTLYSLESTLSKRKEELSAPQQGKPSWRKPYCMMASYCAKRLVCPSGSRNIWESTNFDGHTELTEPWYKVVKARSGVGHTVVLIEDGLSFSFGWNKHGQLGTESTKNGGMYEIRIIVVIDEVVMEDGGLTGGGGGGVLRFTNGSLRMDSNILEVGMADEDGEDTF >cds-PLY75766.1 pep primary_assembly:Lsat_Salinas_v7:3:69792284:69792598:1 gene:gene-LSAT_3X53621 transcript:rna-gnl|WGS:NBSK|LSAT_3X53621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGCDDLGLSQRLLGFPFASSNSDVAAPSDDRVAVAKGGWELEVAVDIRHLEQQQRDAIANHVGKVFSSMEPGSSSNREMQRRRSSAKWRATKCLNSTDSLEQ >cds-PLY76715.1 pep primary_assembly:Lsat_Salinas_v7:3:140911520:140915087:1 gene:gene-LSAT_3X93861 transcript:rna-gnl|WGS:NBSK|LSAT_3X93861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDEENSEGTEEEEDGEEDAKEMEYGCGIGLVIGCLLFLPLVMGPMAPSSLPVRIHHIHFDKFGEITNLYMPKRIIEQAGVVLTLEPKPIEGDWNGARCHTNYSTLNKKAILNLSLRHSDHISAYGEGNERRLIGKHETASINTFSWVIWKTGVQHQTWIQTQ >cds-PLY67584.1 pep primary_assembly:Lsat_Salinas_v7:4:352349846:352350178:-1 gene:gene-LSAT_4X173740 transcript:rna-gnl|WGS:NBSK|LSAT_4X173740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKGRNPIRFTITILSISVLLMMTMIIQDCDGSMVTCKGNTAAECLVIEDEEQELLMDTEEHRRILQTTNSNSITYSSLQRGNSACGNNCAGEKYNVSGRKCKAYEQCKS >cds-PLY98415.1 pep primary_assembly:Lsat_Salinas_v7:7:89167825:89168367:1 gene:gene-LSAT_7X61360 transcript:rna-gnl|WGS:NBSK|LSAT_7X61360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALGDDDYDDLIIDDTPPNSPNDIPPPPPPPSNPHPPSSSSLPPPSTNLPPPSGSPPQSDAAKKGENNQESHDQQMQMMVIAPTPSQPEIHQTGRVEVDLQKEIVVADIPDTGTKIDDQPITFSGDQPVTDDYEGFLKLGFMPPIVVHVVPLNFIYPDSYFEGEIPQETNNDIDADQHNP >cds-PLY85431.1 pep primary_assembly:Lsat_Salinas_v7:2:70454186:70457459:-1 gene:gene-LSAT_2X31920 transcript:rna-gnl|WGS:NBSK|LSAT_2X31920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLYLFFSSCPAEDPIPSNNWRSNMLNYTRTILRRTLLSNLNTISTPIYFNHQNTISISTSSSSSSPSLSIWRRKKELGKEGLIIAKELKRLQSSPLRLDHFIKSHVSRLLKSDLVSVLFEFQRQDLVFLSMKLYEVVRKEIWYRPDMFFYRDMLMMLARNKKVEEVKKVWGDLKKEEVHFDQHTFGDIIRAFLDSRLPLEAMFIYEEMRKAADPPLSLPYRVILKGLLPYPELREKVKDDFLELFPGMIIYDPPEGYATTLANARQNVFVSSSNGEPLRVYLAGLQVGMLTD >cds-PLY63548.1 pep primary_assembly:Lsat_Salinas_v7:9:151338796:151344208:1 gene:gene-LSAT_9X95600 transcript:rna-gnl|WGS:NBSK|LSAT_9X95600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair and meiosis protein (Mre11) [Source:Projected from Arabidopsis thaliana (AT5G54260) TAIR;Acc:AT5G54260] MGDLSREDNSNTVRVLVATDCHLGYMEKDEVRRHDSFQAFEEICSIAEQKKVDFLLLGGDLFHENKPSRTTLVKAIEILRRYCLNDKPVQFQVVSDQTINFANVFGHVNYEDPHFNVGLPVFSIHGNHDDPAGVDNLSAVDILSACNLVNYFGKMVLGGSGVGQITLYPILIKKGSTSVALYGLGNIRDERLNRMFQTPHAVQWMRPEAQESCQVSDWFNILVLHQNRVKTNPKNAINEHFLPRFLDFIVWGHEHECLVDPQEVPGMGFHITQPGSSVATSLIDGESKPKHVLLVEIKGNQYRPTKIPLHSVRPFEYKEVVLKDESDIDPNDQNSILEHLDNVVNSLIEKCGRRDARNSEVMLPLIRVKVDYSGFMTINPQKFGQKYVGKVANPQDILIFSKASKRAQGEAKIKDTDRLRPEELNQQNIEALVAESQLKMEILPVNDLDEALHNFVNKDDKMAFYSCLQYNLEETRTRVKERSKSKEDQPFSSSAQFDENMINKAPGIGSAVSFSDDDDESTQFIGSKSTARGKKGTLQGFKSARDVPEVSKTSRGRGRGRGGGRGSNSLKQTTLDASNMFRRSERSASVAASASVQSIAVDEENLDSDSSDEAVKFGLNELHDTSGDDDTSIQGKGRKRGAAPRGRGRGSTTAKRGRKSDNTSSSIQHMMMSKDDDDDDDDDDDDVPKKTNKSQPRATRNYGALRR >cds-PLY63531.1 pep primary_assembly:Lsat_Salinas_v7:9:25138208:25141496:-1 gene:gene-LSAT_9X22640 transcript:rna-gnl|WGS:NBSK|LSAT_9X22640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGREGGGLYIEEKRLEAYSMVKVQPLEEDVEMMVEALEDAGKVTQDMDLGCCESSVDSFSLDLLAKDINKCVRCIGPMANKFEDLGKRIQLMEKKLKKYQQYQEYKFEFPKEEKPSSNNPKVHIPAFPEKFDRAVFCKWVKDVELYFESGCVLEHEKVKHVVCTLPRDGETFKWWQGIEELSKTVDKIHVIKWNEMKRLVVYKFLCPKIV >cds-PLY69268.1 pep primary_assembly:Lsat_Salinas_v7:MU044430.1:440:1222:1 gene:gene-LSAT_0X46421 transcript:rna-gnl|WGS:NBSK|LSAT_0X46421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLLYVLVISSTEYIYLTSVLYKFSQGGYMPFLFAIMLMFIMCTWNSVYRKKYNYEINHKVSQEVIRDMIMGTNINRMQRLAIFYSDLAHGIPPIFKHYVDIVPSLHSVIVFVSIKSLPISKVPPEERFLFSRVNPSELYVFRATQAGVVHLVGEHEIISRRGSSIGKRLLIDYAYNFMNKNVRQGYNLFEIPHKRMLKVGMTYEV >cds-PLY70049.1 pep primary_assembly:Lsat_Salinas_v7:5:201300629:201303543:-1 gene:gene-LSAT_5X90980 transcript:rna-gnl|WGS:NBSK|LSAT_5X90980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVEEIRGSNEIDRFPIGMRVLAVDDDPTCLKLLDGLLRKCQYQVTTTSQAITALKMLRENRNRFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSGNSDPKLVMKGITHGACDYLVKPVRLEELRNIWQHVIRRKVESKSQSKSDNQDRQNHGGEGGQEAGSGDLNGKLNRKRKDEDDDGEDNGNEDDDPSSQKKPRVVWSIDLHRKFVAAVNQLGIEKAVPKRILDLMNVEGLTRENVASHLQKYRLYLKRISQQANMVVAFGGSKDASSYMRMSSLEGLGDFRTLSASGRLPGPTLSSYSPSGMLGRLNSATGMTLHSLTSPSIIQPTHTQNLTHSINSLNKFQPVVLPTPQTQTHQNTNLFQSPFDLTHHKPNNNTQIPDFTPIDESRIFTGSLKMGSMSSESFNLVSSSSTFLDVPIPPPIGDTFHDQLPPNGGLKYGYTAGFGSSNITTTASVPLEVQSQRQGQYGKQNYGQYSSNGFSTLSASAPPLSGGCLGGNGALTTAPAMQHNRGSERSSTELKMGPGQEYLLEHSKILNGFVANNGYDSLDDLMNGMMKREQDPTIIMDGEYGFDPYSFGSCI >cds-PLY73567.1 pep primary_assembly:Lsat_Salinas_v7:5:118938479:118940903:-1 gene:gene-LSAT_5X52900 transcript:rna-gnl|WGS:NBSK|LSAT_5X52900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLLVSSIVLPIITIFFLHHFLSQKSTIPGNYGWPLIGESIDYFKKLRSGTNEKFVMQRRKLFGDVFKTSILGEKMAFLCGPEGNKFLFSNENKLVEVWWPSSVESIIKKSNNKSVTAESAKVRQLLPPFLRAHAVKNYISDMDSELRQHLEDYWTGRDEVEVCPFVAKYTFALAVKLLLGVHDATELEKLATPFVEAAGGIIAVPINIPGTRFNRGVKASNRIREVIMGIIAQRRKDLADGTATPMQDLLSHMIVEVDKRNQDSGNAPTTDGDMSSDLLGLLIGGYDTINTTVVFIMMTLVDHPDVYQGVLKEQMDIAREKSPGQLLDWDDLRKMKYSWNVACEVLRMRPPTVGAFRVAKTDFTYAGFKIPKGWKLHYLPHYTQKNHEFFPNPEKFDPSRFEGVGPAPYTFVPFGGGARMCPGNEYARAEILVFMYNIITRYNWVRLIPDEKVVIDPLPRPVHGLPIKLIPHGTIY >cds-PLY73798.1 pep primary_assembly:Lsat_Salinas_v7:7:68318096:68318275:1 gene:gene-LSAT_7X48721 transcript:rna-gnl|WGS:NBSK|LSAT_7X48721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTGRQMVIARDLIDEAKKRIVFLSMCVIGLSYLMSCKFPLLGFFSRLCLFSLPNFLQN >cds-PLY95085.1 pep primary_assembly:Lsat_Salinas_v7:1:96247495:96247752:-1 gene:gene-LSAT_1X79940 transcript:rna-gnl|WGS:NBSK|LSAT_1X79940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPEEVSTAKEEFWQEFNEPCWCEYFERKEAEEAMLKESDESEKGKQQAQEGGSGDDGEPSAKEATVEGCDSEKRKSRKLLLFYK >cds-PLY80018.1 pep primary_assembly:Lsat_Salinas_v7:9:46158256:46160334:1 gene:gene-LSAT_9X42620 transcript:rna-gnl|WGS:NBSK|LSAT_9X42620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLMRRMPPKHTETALTALLSLLPGHSSDLLSQVDQPLKVLRDGENEKEFILCEYNRDADSYRSPWSNKYHPSLEDGMLPSSELRKLEIEANDIFTIYRDQYYEGGISSVYLWEEDDKQGFVACFLIKKDGSKDAHGKRGYLNEGGWEAIHVIQVGPEQEGNANYCLTSTIMLSLTTNSDESGTFNLSGSIRRQMKADLPVEDGHLCNMGKMIEELEGKLRNQLDQVYFGKTKEMVCTLRPSPDLPSMALPENIKP >cds-PLY92576.1 pep primary_assembly:Lsat_Salinas_v7:7:162935365:162936421:-1 gene:gene-LSAT_7X95841 transcript:rna-gnl|WGS:NBSK|LSAT_7X95841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTENSIRQAICCLFLIAYIARSHAAVVNIKTKGAKGDAKTDDGKLAANSGPASSYPKKTSTISTTLSSTSAS >cds-PLY87498.1 pep primary_assembly:Lsat_Salinas_v7:8:96953523:96953927:-1 gene:gene-LSAT_8X67941 transcript:rna-gnl|WGS:NBSK|LSAT_8X67941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVRLGDSQQWFPIYVWGKYEKKELNDLMVVYAIEEKDHEAVERTLKVAFCCVQYRPEIRPTMRIAVKMLEGVLPVPESLNLFSHLFSSVDEADHSLARLASNGGGSDLSSSDKSSVVAETPLMRRYEITMASV >cds-PLY72744.1 pep primary_assembly:Lsat_Salinas_v7:4:374216603:374221470:1 gene:gene-LSAT_4X184700 transcript:rna-gnl|WGS:NBSK|LSAT_4X184700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPPTGFWKSLGQFILFLPYFIGLLLLGFIKGIIFAPLICAILTIGNSTIVIGLYPVHVVWSYFSILSAKRLGPVMKVVACILFTPVLVFWPVAMIVGSILGGLAFGFLGPMFGTFKAVGEGKTDQFLHCIIDGTWDTVERSFTLVRDLLDVCFYSYFSMMDDLRKQGLPDSKIEIRIVYCPVSILLGVVGVLVDFPVITVIAALKSPYMLLKGWHRLFQDCVGREGPFLETICVPFAGLAILLWPLAVAGAVLASMISAIFLGLYAAVVCYQESSFYLGLCYIVSALSIFDEYSNDILDLPEGSCFPKPKYRRNPGLSGSSSFSRTGSSKHPPSRSGSLRAPMIELKPLELLDGFFSECRRQGEFLVLEGLITVKEIVDAKSNKESGTVITVGVPAYCLYQSLLRSAKANTAGLLLADNTEITTLNRPKDTIYDWFLNPLLVIKDQIRAQNLTEAEEDYLGKLVLLSGDAQKLKNSNIGPPPESELRRAELEAVARRLQGITKSISRYPTYRRRFENTMKAISEELEGRDGSNRGPQTVPRSKSTFARIFSRQRSSINKTSNHGVRHEDDRDVNIV >cds-PLY97066.1 pep primary_assembly:Lsat_Salinas_v7:4:74141841:74144951:-1 gene:gene-LSAT_4X50020 transcript:rna-gnl|WGS:NBSK|LSAT_4X50020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMISTSSFLTIKFPSSSLQTTSKYPPRYLPQFTVFASSTPPISMATAGAGESTSRTSTTIQSAVPNSSITLLFVEMGVGYDQHGQDVTKAAMKACRDAISSNSIPAFRRGSIPGVSFDRMKLQIKLGVPQSLQSSLDIEKVKSVFPYGKIENVEVVDGGLICSSGVHVEEMGDKDDNCYIVNAAVYVGY >cds-PLY93755.1 pep primary_assembly:Lsat_Salinas_v7:6:143969915:143970589:1 gene:gene-LSAT_6X87341 transcript:rna-gnl|WGS:NBSK|LSAT_6X87341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDRLSLFSGDSSSSPPPPPPVVIGAKKSGSKRKMIIYEDEDEEVNQVSLQEITDKNDNDDEEGVRQDTKPIGNVVRVSGEGESRRNHFKGFEADGVSYELINILQLFPVMTLMNQKSPSPEIDC >cds-PLY90059.1 pep primary_assembly:Lsat_Salinas_v7:6:17504521:17506735:1 gene:gene-LSAT_6X13140 transcript:rna-gnl|WGS:NBSK|LSAT_6X13140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACLHDHSCEDHDCSSDWSLYKHIDIPKVSALNEAVPGSVKSVFKAWEQRLNSSEGFLESNEGDPELIVFIPFTSDVKIKSISIVGGADGTSPSKMRAFINREGIDFSDAQSMQAIQEWDLAENLQGVLEYQTRYSRFQGIGNLTLHFPDNHGGDTSRIQYIGLKGEATQLKRDVVATIVYELMPNPSDHKTKSETGGGMSHVE >cds-PLY82022.1 pep primary_assembly:Lsat_Salinas_v7:9:157473409:157475035:1 gene:gene-LSAT_9X98580 transcript:rna-gnl|WGS:NBSK|LSAT_9X98580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSIMWCKRCESKGVFKDEDVKLMADKLGISSDKIVKSSETTPVSLKTKQPSEPVTPVIAKPTKIQVQTNSATTTPDTQLISMKFATVPEIISHQEHQHQNSKKMKLTYISSDALLKKTRSNTNKKNA >cds-PLY67942.1 pep primary_assembly:Lsat_Salinas_v7:5:299043910:299044827:1 gene:gene-LSAT_5X161020 transcript:rna-gnl|WGS:NBSK|LSAT_5X161020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSTASSPSSTIPWQALDLVANYLDPENLAIASCVCKSWCSAMSSDHLWEDPCTTQFPSLSHLRFTVAPSLSYHRLFSLGLTSAKRRHKPPSKPRISLQNLLFIITVNNSKSPPVTLIKPGTALPLDPKALFRFDFDVRDHEKWLQFEVLEDTKVTWNVVLRGFEGVFTMMDCKCKGSFVSGTDGWFSTELPAPGCCCASSCGGTSGMVADMRLVTREEGRKKVVEKVCVGVLSIVSWRYVGVDDGLRYLQHFLEPCDV >cds-PLY91570.1 pep primary_assembly:Lsat_Salinas_v7:1:11171685:11174176:1 gene:gene-LSAT_1X9360 transcript:rna-gnl|WGS:NBSK|LSAT_1X9360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTDELNMYFNNMMVLGSVGRVITEWKDIPMELLMRIVSLLDDRTVIVASGVCSGWRDAICWGLTQFSLSWCKNNMNNMVLSLAPKFTKLRVLTLRQNKPQLDDHAVETIAANCHELEDLDLSKSFKLSDWSLYALARGCPNLIKLNISGCSAFSDAGLVYLSGYCRKLKILNLCGCVKAASDNALKAIGYKCQQLQSINLGWCEGVSDVGVMSLAYGCPDLRAIDLCGCVLITDESVIALANNCLHLRSLGLYYCQNITDRAIYALAHSRVKNKHEVWESVKSRYYEKKNEEEEGLINLNISQCTALTPPAVQALCDSFPALHTCAGRHSLIISGCLNLTSVHCACAFQSHRALASLSH >cds-PLY67198.1 pep primary_assembly:Lsat_Salinas_v7:6:134684845:134687017:1 gene:gene-LSAT_6X83181 transcript:rna-gnl|WGS:NBSK|LSAT_6X83181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDSKLALLFTGFLLPVLVASVGINYGQIANNLPSPEHVVPLVKAIGATRVKLYDADPKVLKAFANTGVEFIVGLGNEYLSKMTDPANAQAWVKANVQCYLPATKITSIAVGNEVLTFNDTSLSGCLLPAMQSVHTALVNLKLDSQVTVTTAHSVAILQSSYPPSTGAFRQDLKGCIAPILDFLSKTCSPFLINAYPFFAYKADPKQVSLDFVLFQPNAGIVDPGTKLHYDNMLFAQIDAVYAALSSLGYKKLPVQISETGWPSKGDEDEIGASPENAKKYNGNLLKLINQKKGTPARPNSDLNIFVFAMFNENMKPGPTSERNYGLFKPDGTPSYGLGFSGIAVSSGNSTSTGSGSSSSSSSSGTNGPSIYLSPPANSSSGYLAISSSTERFAWSTWAMLGMGFMSMAAY >cds-PLY90599.1 pep primary_assembly:Lsat_Salinas_v7:6:52393508:52394751:-1 gene:gene-LSAT_6X38481 transcript:rna-gnl|WGS:NBSK|LSAT_6X38481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILYALVARGMTVLSEFSAVTGNTGAIVRRILEKLPAEAESRLCFSQDHYIFHILRSDSLTFLCMANDTLGRRIPFSYLEDIEMRFMKNYGKVASHATAYAMNDEFSRVLHQQMEFFSSNPSADTLNSVHTIMVNSIEKILERGNRLELLVDKAATMQDSAFQFKKQSQRLHRALWMKNVKLLALLTFLAFVFLYMIVASFCGGITLSSCKS >cds-PLY69217.1 pep primary_assembly:Lsat_Salinas_v7:1:80546244:80549998:-1 gene:gene-LSAT_1X66781 transcript:rna-gnl|WGS:NBSK|LSAT_1X66781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDSPCFAFGHFSSSYADLPPPATSDLPHYKSTNDKLILITESFHSQLGVRLLHRTDDYECDSSTTPVIASHLTSLAATLIGGAVSSIVSYPMLSDINSLAWDRMFLDIPAIWNDVMEDMSDVKELVKLLMAAVDEHGIVYVVRGSDHIPGINNSVEKCLPRFQQLRYYTLVGWEVGGADISHQRLHNKLFPAFVFVQSHVRAHVELMWLLSATTPICWLNGMI >cds-PLY94442.1 pep primary_assembly:Lsat_Salinas_v7:6:10829551:10832192:1 gene:gene-LSAT_6X12881 transcript:rna-gnl|WGS:NBSK|LSAT_6X12881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSYYDYIIVGGGTAGCPLAATLSQTYSVLVLERGGSPFRNPNITHLSRFGAALSDLSPKSPSQRFVSEDGVINARARVLGGGTSLNAGFYTRAGSPYIAKAGWDAKLWQSAVRNSLLEIGIRPYNGYTLDHLYGTKVGGTIFDGYGRRHTSAHLLRYANPSGITVFLHAPVQKILFTTEGKPRPTADGVIFTDASGLNHWAYLRRGPNSEVIVCSGALGSPQLLMLSGLGPKRHLQAHNISVVLDQPLVGQGMSDNPMNAVFVPSPRPVEVSLIQVVGITHNGSYIEGASGENFGTDQTQSTRDFGMFSPKIGQFSTLPPKQRTQKALDRAIQAMRFLPRSAFVGGFILEKIMGPLSRGHLQLRTKNPNDNPAVTFNYFKDRGDLERCVQGIKMIEKVIESRSFSRFRYNYLPISSLLNMTANAPVNMLPKHANASRSLEQFCRDTVMTIWHYHGGCHVGKVVDRDYKVIGVDALRVIDGSTFDYSPGTNPQATVMMLGRYMGVKMLRERYAKNETYS >cds-PLY70204.1 pep primary_assembly:Lsat_Salinas_v7:9:41424:44431:1 gene:gene-LSAT_9X4921 transcript:rna-gnl|WGS:NBSK|LSAT_9X4921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTPHTPLDTSMADDDTPLQNNPQDFGKSLHGCNHYRRRCKIRAPCCNQIFFCRHCHNEATSALTNPKERHEIVRHDVKQVICAICNTEQQVAHVCTNCGVKMGEYFCGICKFYDDDISKEQFHCHDCGICRVGGGAKFFHCQKCGSCYDVTLRDNHACVENSMKNHCPICYEFLFDSIKGATIMNCGHTMHMDCYKEMISQNQYRCPICSKAVCDMSRTWRRLDQEIEATAMPEEYRYEIPILCNDCNNTSKTSFHILGHKCSNCKSYNTRRIGTPNNSLEPQI >cds-PLY94983.1 pep primary_assembly:Lsat_Salinas_v7:4:112739349:112740092:-1 gene:gene-LSAT_4X72040 transcript:rna-gnl|WGS:NBSK|LSAT_4X72040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESQLINLNSHTKGKVNNKLVFIGNRECFSFRVIEMVPIDSLTSYTSLKDLLSSATPSPVDSPTKFKRIDSWREIPIKDPLLQHAAWAYLQPMSSEPDSDRRCCFGRLKDCFSGIFARR >cds-PLY82320.1 pep primary_assembly:Lsat_Salinas_v7:1:67082582:67082905:-1 gene:gene-LSAT_1X57101 transcript:rna-gnl|WGS:NBSK|LSAT_1X57101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYAGYQSDMEDTWAWMSYPLPEEDWVVPHTPETESEYEHTMSLPSTLVSIKEDDETFEDEEDPVKEEEQCDEEFGGEPANNSPYSDSSSHDDLVERTETHEEDTAE >cds-PLY86012.1 pep primary_assembly:Lsat_Salinas_v7:1:49171602:49173571:1 gene:gene-LSAT_1X43140 transcript:rna-gnl|WGS:NBSK|LSAT_1X43140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTLSKAYEVGTTFSHNIIPLDFESIDGVPESHLWSQSDESHQKIQTNNPRDSLIPVIDLSDPCAIDLIGQACKTWGMFQVINHGVPLELVKKVEFEARRLFSLTTHEKRKVLRSPTGATGYGLPRISPFFAKCMWHEGFTIMGSCVDDAKVLWPHDYQRFCDTMDDYQNQMKLLAHKLLLLSLQTLDVTQEEINWATSTHGSNGALQLNSYPSCPNPSRTLGLAPHTDSLLLTILNQYGITGLEIFVEGLGWSPVCPIEGAFVVNVGDLLHIFSNAKFPVVYHRAVVNQSKHRISVAYFYGPPIESTLAPLSNYQDPCFRSLLVKEYIRLKATHNDKALSLIRI >cds-PLY96202.1 pep primary_assembly:Lsat_Salinas_v7:3:92950317:92954377:1 gene:gene-LSAT_3X68921 transcript:rna-gnl|WGS:NBSK|LSAT_3X68921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGAVYVAIAAAIGNMLQGWDNATIAGAVLYIKKEFHLETQPTIEGLIVAMSLIGATVITTFSGPVSDNIGRRPMLIISSMFYFTSGLVMFWSPNVYVLLIARLLDGFGIGLAVTLVPLYISETAPSDIRGLLNTLPQFTGSIGMCLAYTMVFIMSLKSNASWRMMLGVLSLPSVSYFLLTLFFLPESPRWLVSKGKMDEAKVVLQWLRGKEDVSGEMALLVEGLEVGGTETSVEEYVIGADNELSEDHVEEKDQIKLYGAEEGQSWVAKPVRGQSSLVLASRQGSVTAHMMDPMVTLFGSVHEKQNENGSMKSMLLPNFGSMFAQEHHKTDNWDVESNHDKGNLSDDDDDNNLKSPLLSREATERDVVASASRGSMLLNNANETTTAMGIGGGWQLAYKKSDDGKKAGGLKRIYLHQEGGAAVESRRGSIAALGVGGGGDGEAVRASALVSRSVLCLDNVTGENPIQTGTIKPPPSAKKGTSWSELSEPGIKQALIVGVGIQILQQFSGINGVLYYTPQILEQAGVGILLSSMGIGSESASFLISGVTTLLMLPSIGIAMRLIDVAGRRMLLLATLPILLTSLVVLVLSNMIHMDSVTHAVISTISVVVYFCTFVMGFGPIPNTLCSEIFPTRVRGLCIAICALTFWVGDIIVTYTLPVLLTTIGLQGAFGIYAVVCTISWFFVYFKVPETKGMPLEVITEFFAMGANKKSN >cds-PLY93683.1 pep primary_assembly:Lsat_Salinas_v7:2:201607246:201610314:1 gene:gene-LSAT_2X122720 transcript:rna-gnl|WGS:NBSK|LSAT_2X122720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSSITHDPQQALQTWKWNTSTSLHFCQWEGVTCGRRHPRVTKLDLESRAIVGTLSPHIGNLSFLRVIRLSNNTFTGVIPPQVGALFRLQKLILINNSFTGEIPATLSNCTRLTVLGLSRNKLTGNLPKQLASLIDLTIITLHNNGFTGGIPSFLGNFTFLEAISAANNRLDGIIPSCLGRLRNLKKIGFSNNQLYGIIPPSIYNLSSLSIVDFSENQISGELPSDIGLMLPDLENFQIWGNKFTGSIPVSFSNCSNLEDINLAENGFTGKVGVSFRGIPNLRFLGLFSNSLGSWEGDEMKFIDSMINCSKLERLLVHENQLRGVLPDSVGNLSSQLKSLSFGRNLIYGSLPAGIGNLVKLESLDMQINQLTGVIPSEIGNLENLKLLYLHDNNFIGKFPDFIGNLSLLYELSLSINRLEGKIPQNLGNCRSLAWLDLSGNNLTGPIPVEVFQLSSLSKALNLAQNHLVGSLPQEIGNLKSLTKLDLSQNDLIGSLPDAIGSCTSLEYLDLKANSFQGPIPTSMKDLRGIKTLDLSSNNFSGQIPRFLEQLNLSSLNLSFNNLDGEVPITGIFKNASAIAIDGNDSLCGGIPELGLPKCDIKETSKKGLTKSRVILIVVPVCSFLVVAMALFLLFRWQRRKRQPEPTGVSIVQPFSRVSYGSILKATDEFSEQNLIGTGSFSAVYKGFLEPVGAMVAIKVLQLENQGALKSFMAECEALKNIRHRNLVKIITSCSSIDFQGNDFKALIYEFMPNGNLERWLHPSPEQEILNLTPPRLNLRERLTIAIDVANAIHYLHQDCEVPIIHCDLKPSNVLLDSDMVAHIGDFGLAKFLPLKPHQSSSIGIRGTVGYAAPEYGVGSEMTKEGDIYSFGILLLEMMTGKRPTDQNFEEGLNLHCYVMMALPDRLMEIIEPALLPVHEEEIEVATVRRDYSHDEERNQKLEQGLVSLARIGLACSVESPRERINTSKIIFELRRINVFLTDN >cds-PLY66091.1 pep primary_assembly:Lsat_Salinas_v7:1:182463600:182465992:1 gene:gene-LSAT_1X119241 transcript:rna-gnl|WGS:NBSK|LSAT_1X119241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVIRTHFHATVIRSLPIQERENTLNLSAHALPPSYQSLMTTDDSRILDFYVHVGGRLIASTLVQESSHLSNGSMDDHNRGSGQMMDGTQINIDVTTLGAIISLGLMYLKDSSIRRLDSGSDTQSSLEWHQRIHPSEDCEYISSRD >cds-PLY96233.1 pep primary_assembly:Lsat_Salinas_v7:MU038486.1:50964:54509:1 gene:gene-LSAT_0X32421 transcript:rna-gnl|WGS:NBSK|LSAT_0X32421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRQQPKSGVLHTSLTLVPGEACGSPNIQERGSNSDQIRDSPTESASSRETWPTPDPLTISKPLEAEHSVIRHITDKMSLRDISRERVDIIADRMHHLPNEYLDKLKNELRGLIEGMGGHQHREEYIFLQKMVLSRGDLTEKTLVLANRGQLEILVAIKTGIQAFLHPTVSLSQSSLIEIFLYKRCRNIACGNQIPADSCTCEICVKRNGFCNLCMCVICSKFDFEVNTCRWIGCDVCSHWTHTDCAIHNAQIGNGGSLGEMVFRCRACMRTSELFGWVKDVFHHCAPLWDREALVRELEYVSRIFRGSEEVRGRKLYWKCGELVEKLKTGVAEPVASKAILSFFQDPRKHEESGGVMAPQEAFNRIADVVQEAIKKMEMVEEEKVRMTKKARLALEACDQELKDKAREVAALKMERQKKKQQVEELESIVRLKQAEAEMFDLKAAEARREADRLQRIALAKVEKSEDDYASRYLKQRLHEAEAEKQYLFEKMKLAETSSRGGGSSGSGGCGGGSGGGGGGEPSQMMMYNKIQDLLKNMYTVPTKGDGQASDIRSRGSV >cds-PLY61692.1 pep primary_assembly:Lsat_Salinas_v7:5:216263889:216265976:-1 gene:gene-LSAT_5X99661 transcript:rna-gnl|WGS:NBSK|LSAT_5X99661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLMITTASILMNSSTYPGMISGKFGGTPGAMHKHPNIKLKRTSSWGLQFKAPAKVNTTKVGAMDWSMVDPGPNMVSDLGVERMIQDGLIFQEKICIRVYEAGPDRKASVETLMNHLLVDSQETSVNHMKKTGVIHDGLVSEEMSKHNLMWVLKKIQMVVDRYPKWGDIVQIDTWKTTHGKNGVCCNLTFRDCKTGEILVRASSFWLIMNKKTRKLSKFPNEVRAKLEKFFVNKPPLVEQATRTWSRSEDNINEHICKGLKSVPKTIIERYEIDSMTLDYYQEFTKENILQSFTYILTNDNAKMSNFDIIDCQHLLQFEIDGDNSNIMKGMTRWRLKPGKK >cds-PLY66408.1 pep primary_assembly:Lsat_Salinas_v7:9:38514766:38528551:1 gene:gene-LSAT_9X33681 transcript:rna-gnl|WGS:NBSK|LSAT_9X33681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDREDGYIRIKLVDVGFNVEEIKNLSGVSKVSTHQGSNFASISLTIGKSELEQMLKQMIFTRSETLYLVALLHSRTIEESLDNFLVATAIPRIASPTELADSNKGSTMGTSSKCFFHVYLFSYKIRLTTTFTCFLPPENHHFPFSNKREPYIDINGVMKARFAT >cds-PLY95340.1 pep primary_assembly:Lsat_Salinas_v7:1:42512410:42514632:-1 gene:gene-LSAT_1X37321 transcript:rna-gnl|WGS:NBSK|LSAT_1X37321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLCFQLLWVILIGLIFALVIQSLAANLGVCTGKHLSEVCKAEYPIFVKYCLWLLAEIAVIAADIPEEEGKGEDPLWDKYEKQCVRNMAPSLFNIRWVIRSGHRCVGDTL >cds-PLY83001.1 pep primary_assembly:Lsat_Salinas_v7:1:18243389:18243856:-1 gene:gene-LSAT_1X15881 transcript:rna-gnl|WGS:NBSK|LSAT_1X15881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKLGNDGGSSSDVKSKRISAGVLQSITSLLLLCAKQTIIASKKLKNNTKISSGTPKKLISSISNKAIKLRHRMKRTGEEGGEHGSDGLWRKEILMGDKCQPLDFSGVIYYDKDGNLLSELPIRSPRASPLPGYVCTPARSSWTSTPRRELSSQ >cds-PLY72214.1 pep primary_assembly:Lsat_Salinas_v7:7:57292296:57294912:-1 gene:gene-LSAT_7X41641 transcript:rna-gnl|WGS:NBSK|LSAT_7X41641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSPPAINQYLSKASRQEIRPQGASENGYLWQRVNSQVSSSSQSCSLREALAQAQDFRTGASTQALRESPHPILRQKLLRMKKTECWNWNLLKATTGGCMQLEKGTWHDLETTKMVHKTHTNVQKIEYEDQSTNTIFPSSFTFWSESEFNSIPVLHLLPTKIPDPEKSWKTSKQVACGPISMGLN >cds-PLY79536.1 pep primary_assembly:Lsat_Salinas_v7:1:33572531:33573628:1 gene:gene-LSAT_1X29001 transcript:rna-gnl|WGS:NBSK|LSAT_1X29001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNKQLWSICEKYDRVSNVYIARKLSKFGKRFAFVRFLRVQDERQLELKLRDLWIGSYHVFFSLAKFGRENNYVYGESQVHVHDVSGGSNTTQKATYANVVKGDVVKPNKAEKSIILEGKDIINNLVVKPSVFCQVRSDRLIPKLLVLLKEEGFHDLQIKYLGGDWVYVEFDSDLVSSKFKSSSVHAYFYNFRLVVNGFKVVEMVIWIEILGLPCCAWNDNAVNKVAGIWGEVCFIDDDNQTPLAIQRVCIKTAQPSLIQDKFSLVVQEIKYDVVVREMSNWELDILCNEDDLSCDIPSLANDSDKDVNDFDDGVAEEEGEMTPKGNLKSVDMENDNSFGIEQEYGNDREQVLLIEKVDFFKHII >cds-PLY68208.1 pep primary_assembly:Lsat_Salinas_v7:8:119192549:119196069:1 gene:gene-LSAT_8X83000 transcript:rna-gnl|WGS:NBSK|LSAT_8X83000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHISNEANVDAFSIGPSTTLGRTIAFKVLFFKSISHLRHHLSHLLLHYFRCIRAYVSSTVIPVISWFHPRNPQGILLMVTLMAFLLKRCTNVKTRAEIAYRRKFWRNMMRAAVTYEEWAHAAKMLDKETPRTNECNLYDEELVRNKLQELKHRREEGCLRDIVFYMRADLVRNLGNMCNPELHKCRHQVPKLIKEYIDEVSTQLRMVCDSDCEEILLEEKLAFMHETRHAFGRTALLLSGGASLGAFHVGVVKTLVEHKLLPRIIAGSSVGSLICAVVATRSWPELQSFFEDSLHSLQFLDQMGGIFNIFKRVMTMGAVHDIRRLQVLLRNLTNNLTFQEAYDMTGRVLGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHPPFHWGPEEANASTTRRWRDGSLEMDLPMIQLKELFNVNHFIVSQANPHISPLLRLKECVRAYGGNFAAKLAHLVEMEVKYRCNQVLELGFPLGGLAKLFAQEWEGDVTIVMPATLAQYSKIIQNLSHLELQKAANQGRRCTWEKLSAIKANCGIELVLDECVAILNHMRRLKRSAERAASASHPRFNASKRIPSWSCMARENSTGSLEDLADIVSPLPHGGRNWRHHDGSDSESETAELNTWTRSGGPLMRTASADQFVDFVQNLDFDSRVKNLRVIPPELESDQRDMNSRVHRSIMVAEGDLLQPEMMCNGIVFNVVKKGELTPSNRSHQDSENNNLLSDSVAECVQMQPDSPEKEMMDDSSASECGDTESDICNDAIKDCQHV >cds-PLY71840.1 pep primary_assembly:Lsat_Salinas_v7:3:58623312:58623764:1 gene:gene-LSAT_3X45960 transcript:rna-gnl|WGS:NBSK|LSAT_3X45960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPVTVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFEHIWHGARTLFRDVFVGIDPDLDAQVEFGAFQKLGDPTTRRQIG >cds-PLY73065.1 pep primary_assembly:Lsat_Salinas_v7:2:29914918:29916455:1 gene:gene-LSAT_2X12201 transcript:rna-gnl|WGS:NBSK|LSAT_2X12201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ASPARTIC PROTEASE IN GUARD CELL 1 [Source:Projected from Arabidopsis thaliana (AT3G18490) UniProtKB/Swiss-Prot;Acc:Q9LS40] MASHFFLFLSLLTLYAAGTATATATSATLDVASSVQKTLDLLNPTLLQQQLEKTTPESTSSSLSFTLHPRSSIHHTHHHHHDYESLTLSRLARDTHRVTSLTTKLNFALAGTNKSELKPVVQTDSMLQPEDFSTPVSSGISQGSGEYFARVGLGTPVQSYYMVIDTGSDINWLQCQPCSDCYQQTDPIYNPSASTSYGTLACGSRECSSLEITACRNDVCLYQVSYGDGSFTVGDFVTETVTFGKSGSVPKVAMGCGHDNEGLFVGAGGLLALGGGPLSLPSQIKATSFSYCLVDRDSKTSSTLEFNSAPPANSVTAPLLRNPRVKTYLYVGMTGITVGGRPLSIPPYIFAVDATGRGGVIVDSGTAVTRLQSQAYNSLRDAFTRQARNLKPTEGFSLFDTCFDFSELTRVAVPTVGLTFTGGKTLPLKPENYLIPVDSRGKFCLAFAPTDSSLSIIGNVQQQGTRVGYDLTKSTVSFSPNNC >cds-PLY66944.1 pep primary_assembly:Lsat_Salinas_v7:7:19699506:19705351:-1 gene:gene-LSAT_7X15781 transcript:rna-gnl|WGS:NBSK|LSAT_7X15781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKEMSIKAEEKNINDGEEAEGFVKTSISMRSFPPVSASSEDVPAVAYNMDGIGNKTEQPWSFRMFSNEDVTIGAWMLAMNVNHEENHQLCQTECTPTSIAVWDLPKCLDDNSDNDEDYNGDDNDEEKKKNVGRENIEGGMGEENDNVKGGEERQGKNKDEGRAYIERAGK >cds-PLY67142.1 pep primary_assembly:Lsat_Salinas_v7:5:291261610:291263266:1 gene:gene-LSAT_5X155661 transcript:rna-gnl|WGS:NBSK|LSAT_5X155661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFSPDVALEVAKAVITGGISVGILDEDSDDLLYIPSVMTPTEVYPVSSLGGVGYIATLKKPFPHIPLVASQGITTGRL >cds-PLY63945.1 pep primary_assembly:Lsat_Salinas_v7:4:76193513:76196227:-1 gene:gene-LSAT_4X51620 transcript:rna-gnl|WGS:NBSK|LSAT_4X51620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFIHPLPVRLQVFPSKFTTLTAKSFVFRPIIRLKPSRISLTVRARSVPTPSRPPLPETQQYILDILEGEDVKSIPCVRTYENDLGRLTVVGDVNLEQALTAAAADGGEAADEHIDHGLDVMVAETVFPGQSDEHSTISTRLFLPSRKVKERAKSLRDYLTEDMLSSTTSTNILAMTFRQVTLRQLWSFELVLFTPGTTRNMKDLANPREVPASFTFGSSDVQAISKLAEVISVSALENTKRDFLDNSLGKSSFNIFHLFHKHEQIVSQDSSVILYKVHEDEVVESAKTLLEKFNSMKESYKPKETALKYNWWPWSVFSKLEKIGGHEFSSWVSEYIPAYRLQIKSDKLQNLKFEGWKESAKNRWEVLLTHSQMVCLANILDMYYEDLFTLPDKKLPYNAASMTTNMHMKKKGNSFVKMVSTFIISGCLIVAITVMGRIFLPRFQNGQNRFRGNQQPQSSHTDSIRLWSMDPTMLEEVCISILKKVEAVYGWSGEIRKESGGGVSTGQLPDYLTRLIQVDDNNNATSASSTLTPTDKTDHDLLLASGHKVASYQIVISSEGKIVGFQPTSLLAVNNWASNPLTQELYGRKKLSPGFFEPSLKIKQPKEVVLLELVMSEISGSHFVLVRPVNVEI >cds-PLY88630.1 pep primary_assembly:Lsat_Salinas_v7:5:140066578:140067870:-1 gene:gene-LSAT_5X62060 transcript:rna-gnl|WGS:NBSK|LSAT_5X62060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDETFLGIDLNVSPYYKTDDTPMNDDMPEELNQMRLKNKKLREMITVVLENSNSLQNHVNKLMQEHDLVASNTNKRKFSECDDRNSFESRKSLHQESLKSRNDGVTKVYRRTDPSDKSMIIKDGYQWRKYGQKVTRDNPCPRAYYKCSFAPSCPVKKKVQRSVDDEGLLVAIYDGEHNHENVESEHKLASYERFKSPKEVGSFDAIFVEQMANSLRKNSDFIEELAVAISSKILQHDVF >cds-PLY76707.1 pep primary_assembly:Lsat_Salinas_v7:3:141513873:141514559:1 gene:gene-LSAT_3X93020 transcript:rna-gnl|WGS:NBSK|LSAT_3X93020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLCCSCHSTSVAKSMLILPDGSLQEFSYAIKVSDALHKNPDTFICNSDDMEFDDIVSAIKDDDELQPGQLYFALPLKRLKYPLQPEEMAALAVKAAAALAKGGCRRRQENIRFTFSGEKERARSSSKVADAETLGLSYRSYAGGGGKGQNFKAMLSAIPE >cds-PLY78131.1 pep primary_assembly:Lsat_Salinas_v7:2:61502216:61505672:1 gene:gene-LSAT_2X27540 transcript:rna-gnl|WGS:NBSK|LSAT_2X27540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADALVIIAADVILKKVASIAANEIALAWGYKTKLQTLEQTLKMIRAKLQDAENQKGQKHGVMEWLKQLKHVVGEADDVVDEVHYEMLRREVKKRDRVGTKVPSLPSMKKLLFGSEMGHKIKNINEKLSQINKAANELGLQNEQPGPVVQYRPYPETNPNLGEIKIFGREEDEERIIHLLTESRKEEKLTIVPIVGMGGIGKTTLAKSVFNNSKIQQHFDVKAWLCVSVKVDINTLLAKIYESVVGEKPKSETMANLVQDLEKKLGAKRYLLVLDDVWNEERLYWEDFSSVMINVKSQIGSSILVTTRKLDIGTKAMAMDSCPLKCLSNNHCWYIFKERAFLAGQSPQPELEEIGRDIIKKCRGLPLLLNVIGGMLQNYSDPEKWLAIKNSKVWDLEDERERVQKSLELSFDNLPNSMAKQCFTYCSIFEKDTLMEREELVQLWMALGLVQADEERNKEMEDVGNDIFQILVNNSLFEDVKKDEYGHIINYGSMHDLVHDLSLSLSKHDSLCLVDATNDDIACIPQAKHLAFYQEENEDDEFNTKVSMFIEKNTMARSLHTLFIKGEVEKKFSFQRLKCIRILKLNGYGIKKLDDSIGGLVHLRYLKLSSTPIRVLPESIGKLYHLQTLKLQNCYHLNKFPESMKNLISLRFCKSEESIPNNIVGQLTSLRTLMPNSLGMLRNDGHGIKELSRLKHLSGKLCISNLENISSKQDAVMADLSGKKNLNEIDFNWSTNYGVDHRNDKEVLGGLQPPGGVKILRIRRFSGDNFPEWVMKMAINIHGKETPLDKLVDITLSGCWRCLSLPMLEHLPHLRDLKLEKMDSLTCLRSSNVTGSTKPLSPSLRSLRLYNMKRLEKWIDGAPNSSKMISPVLQSFVIRHCPKIILLDECHPHPLVSLEILGCNGLVSINSIQGLTSLESLEISYCQSLLEISNLPNQCHSLKTLQITDCYKLTSLPHEMFDCFAFLNDLTLGPFSKELDSFPSLQGIQKLRNHLHSLELRGWDHWDSMPEEIQHLTSLTSLTVFTISGFGMRELPMWSSIRHLRLQRAK >cds-PLY88820.1 pep primary_assembly:Lsat_Salinas_v7:4:92843613:92846305:1 gene:gene-LSAT_4X59381 transcript:rna-gnl|WGS:NBSK|LSAT_4X59381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALAFTSSTSIAFSSSSSSSRTEQGIHVSSTKFQPSDVSHLVSPAVFNLSRKRSAAVKALNAEPRRNHPVVPSAATTFAPDVVEKAVEVEDFEKLAKDLENASPLEIMDKALEKYGNDIAIAFSGAEDVALIEYAHLTGRPYRVFSLDTGRLNPETYKFFDTVEKHYNIRIEYMFPDSVEVQALVRTKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEVPVVQVDPVFEGMDGGSGSLVKWNPVANVGGNDVWTFLRTMDVPVNSLHGQGYVSIGCEPCTRAVLPGQHEREGRWWWEDAKAKECGLHKGNIKEEIVSGNVNSNDGASDIFNGNDIVNLSRHGMENLLKMEDRKDAWIVVLYAPWCQFCQAMEASYVELGEQLAGSGVKVGKFRADGDEKAFAQQELQLGSFPTILFFPKHSSRPIKYPSEKRDVDSLTSFVNALR >cds-PLY84253.1 pep primary_assembly:Lsat_Salinas_v7:7:77127051:77129122:1 gene:gene-LSAT_7X54900 transcript:rna-gnl|WGS:NBSK|LSAT_7X54900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADIKHNDNDHRLEVAETPAPSSENPHDNNNKGKTKKKRVASVDVFRGLTVALMILVDDAGGEWPMIGHAPWNGCNLADFVMPFFLFIVGIAIALALKRIPDRCNATKKVILRTLKLIFWGLLLQGGFSHAPDKLSYGVDMKLIRWCGILQRIALAYFIVGLLEIATQGAQPKELPPGRLSIYKLYFWHWMLGACVLIVYMAVLYGTYVPSWEFKVQNTESADYGKILTVACDVRGKLDPPCNAVGYVDRQVLGINHMYLHPAWKRSKACTEKSPYEGPFKKDAPSWCRAPFEPEGILRSVFTCII >cds-PLY73030.1 pep primary_assembly:Lsat_Salinas_v7:9:37584026:37584349:-1 gene:gene-LSAT_9X34980 transcript:rna-gnl|WGS:NBSK|LSAT_9X34980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQAQVVQEDAQSPIVEPSQVQDNVQSPVFGKGFNFLAEETFASGSSSAPSLLEHYVSSGKLAMILAFQDSISQSKGKGISIGSRQGSDEDSHQIIFEVKQEMVSLR >cds-PLY86999.1 pep primary_assembly:Lsat_Salinas_v7:5:260693522:260693821:1 gene:gene-LSAT_5X130700 transcript:rna-gnl|WGS:NBSK|LSAT_5X130700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNNETSKHQVIIVDGDFCCVIFILRNDNLIVVYLHNVHIIKVQWKVRQEDFVSISIFKIRNDLSKEHLKSSFTISSFSLFHEFINSISLTYKDGDTSI >cds-PLY89283.1 pep primary_assembly:Lsat_Salinas_v7:2:49937619:49939967:-1 gene:gene-LSAT_2X23581 transcript:rna-gnl|WGS:NBSK|LSAT_2X23581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQAPSLXPPPIVSTGEFSMGCPLQDSRLCVHYKGMLLDEKKIVFYDTKVDNDGEPLEFSLGEGLVPEGFEMCVRLMLPEEVSHVTCLPDYAYDKFTMPDNVPEGAYVEWVIELLGFEMKKDWTGMDFRAIMNDFENTKSTGNRLFKEGKFALAKAKYDKVLREFNHVNPQDDEEGKEFANTRNLLNLNVAACYLKMGDCRKSIETCNKVLDANHVHVKALYRRGMAYMETGDFEEARRDFKRVSFC >cds-PLY73514.1 pep primary_assembly:Lsat_Salinas_v7:4:21837575:21839274:-1 gene:gene-LSAT_4X15021 transcript:rna-gnl|WGS:NBSK|LSAT_4X15021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYLVPLIICRVSLICSCRNFVTCVPFDFAITINGMGDDMSAVASEVDYCILEQYTREYLLSLVLGFQVLSSSLSVLDAPPGGCPLYVLFEEELDEAYWFIACDEKIISAVLGRDLKFFDGLLTLPDWFLAAEVNLCVVEDIKLASEEILEKSREMYSDILIHPRDVVQASIKERLCGLCESVLLCVCRKT >cds-PLY89351.1 pep primary_assembly:Lsat_Salinas_v7:5:124597822:124612460:1 gene:gene-LSAT_5X54940 transcript:rna-gnl|WGS:NBSK|LSAT_5X54940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFKTPAKFCRLGTMKLLSLFVIIMAALVVACSAHNGSRSPHRILLDTDVETDDLFAILYLLKLNRSEFDLQAITINTNAWSDAGHAVNQIYDILYMMGRDDIAVGMGGEGGILDDGKIQPNVGGYIPIIDQGSGTAGPCRYRQAIPVGKRLYKDTNYGYRKSFLPQGSRRYSPLQQPSAQKVLIDKISEGPISLFVIGAHTNIAIFLMTNPHLKKNVEHIYIMGGAVRSKNPTGYPGNVFTSFKSNPYVEFNFFGDPFAAYQVIHSGIPVTLVPLDATNTIPVSKNFFEAFERNQHTYEAQYVFKSLKMVRDIWSDNRFYESCFMWDSFMSGVSTSIMRNLHKQHGENEFSEMHYMDITVVTSNKPYGISDGSNKFFDGRKIPKFNLKRNGVHSGHVQTGIQDPFCLQKNGTGRCKDGLTERVTGRDSAGVLIATRAKPNRNKTSSLEREFFVSFLDVLNRQKNKGIFNFTSQFPNYKEVLYKPDFEGKKLGKMIVFDMDMSAGDFLALFYLLKVPIETIYLKAILVTPTGWANAATIDVIYDLLHMMGRDDILVGLGDSFGLNQSYPNDPNTGNCKYSKAIPNGSGGLLDSDTLFGLARDLPRSPRRYTAENSVEFGAPRTTDFPQLRQPLALEVWNSIAKSADLESKITILTNGPLTTLAKIILADTNASSIIQDVFIVGGHINYQNKDNGNVINVPSNKYTELNMFLDPLAAKVVFDSSLDVTLIPLSMQRKVCSFPHIIKKLDMKKKTPEAHFSEHLLTRLYHLQQRNHRYRHMDTFLGEILGAVILAGDQQTLNATFHVRHLKVHATGQISKDGEITEDAEKRKSFKVLERFDHVSFYEVFAGRLSDYTQSSVVGSFSEQKRIWSTPD >cds-PLY84774.1 pep primary_assembly:Lsat_Salinas_v7:3:186509531:186510608:-1 gene:gene-LSAT_3X111780 transcript:rna-gnl|WGS:NBSK|LSAT_3X111780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTHEVEPAIQSKYDRESELKAFDDSKSGVKGLIDAGLSKIPRIFINDQPIIEDSNSSTTQNQFNIPIIDLKDSKNIENVRDACEKWGFFQIINHGIPKRVLDEMIDGVRGFHEMETEEKIKYYSRDFQKRFFYVSNFHLFTGDSAVWSDSFLSVMAPDSPRSEEIPSICRDILTEYSNHIMKLGFTLLKLFSEALGLESNHLEKLGCAEGLFVLGHYYPTCPQPELTLGTNCHTDAGFFTIILQDLLGGLQVLHQNEWVNVSPISGALVVNVADLLQASFKLP >cds-PLY78029.1 pep primary_assembly:Lsat_Salinas_v7:9:44265685:44267919:-1 gene:gene-LSAT_9X39880 transcript:rna-gnl|WGS:NBSK|LSAT_9X39880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGRSAVQPLEPPGICGLCHRILPLENDAIDLDSISICGDCKFLFLEDGDTPPQHIQRRTPRVRRTRYNNSSESIENIFSHQFSNMINQANTRHSHNPPLVPVSDLDNQSIDSVHTSSRGSRRWRRVVSDTESDGFDSVYGESDAISYGVYGGDSDASVDVHSFLGDSDTDIDPMHAGLNQWSSDDEWEEIEDDNNNNNNNNNNTLGSLIARVHLQRSLESDNENAVHVRISERRQRQVNIVEQEGHFGDYLDARSFEELVERLAEADNSRRGAPPAAVSFVENLEKVVVVEKGEYDGVVCAVCKESFCVGGVVNRLPCFHVYHGGCIKPWLSSRNSCPLCRFELPTDDMEYENRKVRVVEMQREEEEEEEEEEEEGGRAESSFELVNDESGSERGRGRWFFVASLVGIGLALWLGNPGAIRDSGSGQRGNRNRRWWSLF >cds-PLY62905.1 pep primary_assembly:Lsat_Salinas_v7:4:328300120:328301587:-1 gene:gene-LSAT_4X164061 transcript:rna-gnl|WGS:NBSK|LSAT_4X164061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKVTGWAARDSSAILSPYSFHLRKTGPEDVLFKVLYCGVDHSDLHQLKNEIHFTTYPLVAGHEVVGEVMEVGSEVKKFNIGDIVGVGCIVGSCGECWCCKTNKEQYCSKMVFTYNAINNDGSFTQGGFSSAMVVHQNYVVNIPENLAPEQAAPLLCAGVTAFSPLKQFIKSDEVIRAGILGLGGVGHLGVLIAKAMGHHVTVISSSDKKKHEALTDLGADCFLVSSNHDEMEKSLNSLDYILDTIPVSHQLQTYLPLLKVEGKIFIVGAAPEPLQFGASGLILGKKTISGSFIGSIEETQEILDFWVEKGLKTMIEVVKMDYVNKAFERMVKNDVRYRFVLDVAGSDLE >cds-PLY65894.1 pep primary_assembly:Lsat_Salinas_v7:5:38386523:38393151:1 gene:gene-LSAT_5X19280 transcript:rna-gnl|WGS:NBSK|LSAT_5X19280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFCLVQVDQSTVAIKETFGKFDDVLEPGLHCVPWIFGSQVAGQLTLRLQQLDVKCETKTKYKIMGNLFCLVQVDQSTVAIKETFGKFDDVLEPGLHCVPWIFGSQVAGQLTLRLQQLDVKCETKTKDNVFVNVVASIQYRALADKASDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNEIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARFRMAATEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGASSKSSAVFIPHGPGAVRDVAAQIRDGLLQGNATH >cds-PLY97806.1 pep primary_assembly:Lsat_Salinas_v7:6:18423681:18424396:-1 gene:gene-LSAT_6X13980 transcript:rna-gnl|WGS:NBSK|LSAT_6X13980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVCIFFGDHTISWRNVRFIDFVKFGSLLERGGNEAMQATCSIALQCVSMPKLPEPTEARNMSRRALASLALYRLLTAVSSKGIELEGELPICSHLNVTIDSFLY >cds-PLY98974.1 pep primary_assembly:Lsat_Salinas_v7:7:51388200:51389483:1 gene:gene-LSAT_7X37280 transcript:rna-gnl|WGS:NBSK|LSAT_7X37280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVAENALARATHVFVKKQPEIHLFVARFREHNGNIEGAITACSFSSVCFLLPMLCQITMDIDGCRLIVSSGAYKAITNTNFFQTTEDREFNWVIEGDGSKLDSGTLSLPTLEFNWVIEGDFGS >cds-PLY95214.1 pep primary_assembly:Lsat_Salinas_v7:1:212343872:212344496:-1 gene:gene-LSAT_1X129381 transcript:rna-gnl|WGS:NBSK|LSAT_1X129381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY79371.1 pep primary_assembly:Lsat_Salinas_v7:9:54080816:54084986:-1 gene:gene-LSAT_9X50181 transcript:rna-gnl|WGS:NBSK|LSAT_9X50181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASVAVAARGVSLTMPSSQSQSSRKEWRVVSDHPVQNSGNDDLERSKLGQGDETLLYDGRESVNGGPDHELRLDDIVKQREQLQHLEVNLKARLIARSEVMGMQERFDSQIKDHISATVKLQEQLHEREKAIMELQRTLDDKDKELHAIRLDHEAAWAKEDLLREQNKELATFRRERDNSEAERAQHLQKIHDLQEHLQEKERQFLELQEQHRVAQETIIFKDEQIREAQAWIARVQEMDALQSNTNHSLQAELRERTEQYNQLWVGCQRQFAEMERIHLHTLQQLEIELSEARERNGTISDGTRTSHVKDVSHFVHNNGSQLEGRGGNSPAGDSRGVQNGDAEIVSSQNENVPAVQVAPQSMHGMPAFFSPGQLAPLHPYILHHQGLPQNVNSHLVQSHVGQFHSVPTWQNQQAISESLQIPIHEQYSSQNDQNSLRQYEASVNEQSQQSLQQISSQFHETLRLNDSDNGNLHQGKNASFLSSHGTEAQSPATEQQQLGSAGSIASEMIQPLKVSEATSAPTAASETKMGLFDEETLLACVVRTIPPAPGGRIQISSTLLNRLTKMLAPLRWSDYENRHGKLVAFLGSHPELFVIEGDYIQVREGAQEIIAAMVAHAKVRAAAVADSATSSNLSLLSSVAVTPMARTRLKKTPLPAVTSNYGNANGVGVRLVTKQNDQMRQNGRPTATTTTTPNYNGKQQQQQQGGRSRGSFQM >cds-PLY74088.1 pep primary_assembly:Lsat_Salinas_v7:9:13095841:13097866:-1 gene:gene-LSAT_9X10121 transcript:rna-gnl|WGS:NBSK|LSAT_9X10121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYEKGLEHNFTQDGTIDLKGRPVSRSNTGRWRACYYMLGYEVCERMAYYGIATNLVLYLTRELHEGTVKSSNNVTNWIGTVWITPLLGAYIADTYLGRYWTFMIASVIYFLGMCLLTLVVSLPSLKPPTCGKDVSYLNCDKRASSFQVGIFYCALYIIAIGTGGTKPNISTMGADQFDDFDPKEKAHKLTFFNWWMFSIFFGTLFSNTFLVYIQDTVGWALGYGIPTLALLVAIIAFIFGTPTYRHKPKVESPFTKMAKVLVASFRKRNVVVPVDPKELHELTLDKYSSPGKYRLDHSSSLRFLDKAAVKIEEPDSEWKLCPVSQVEQTKQMVKMLPILFATFIPSTLFAQTHTLFIKQGTTLVRSMGPHFEIPPACLAVFLTISMLISIAIYDRLFVPWVRKFTQNPRGITLLQRMIIGITLHIITMIIASLVERRRLSVAKDHGITEKGQIVPLSIFILLPQFALMGVADCFLEVAKLEFFYDQAPEGMKSLGTAYFTTSLGVGYFLSSFILSTVANVTKMNGHKGWILNNLNQSHLDYYYAFYAILSFVNFMGFLVIAKNFDYNVEVDRSEQELEQDIGKFTEQSYVAG >cds-PLY61835.1 pep primary_assembly:Lsat_Salinas_v7:6:59297177:59297740:1 gene:gene-LSAT_6X44501 transcript:rna-gnl|WGS:NBSK|LSAT_6X44501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGLNINFVVIMALMLASVQLHGTAAQTTHVVGNALGWNIPPNGPSAYTTWASTQTFRVGDVLLFNFITGFHNVVEVPKAAYGPCTTANTISIATTGPARVTLNAPGTHYYICTVGTHCQIGQKLTINVSAISTTPSPTATPASPAPVSPPTDNTILQPPSPSFDPSFTSVAFIFLLVIGLFIFCMI >cds-PLY86131.1 pep primary_assembly:Lsat_Salinas_v7:4:231382309:231385554:1 gene:gene-LSAT_4X126520 transcript:rna-gnl|WGS:NBSK|LSAT_4X126520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQELRFQDHKISITSSQSRSSYGGGGAGAGGGSPDSVIFSNFSLFSSSASASVERCSSASDALDRDSIVSEMSLHLSGRGFRDSSSSARGPDPDPNNNSTVVRSGGQHPVSKKAEKAKAIIDYTDVETHGEKHQILDSARSSFSQALKDCQTRKSRSENLSRKSDRRRPTSLDLNNQVINVTTSSPRLAMKTSVSSRKTSLFPSPVTPNYRPPNMKGWSSERVPLPTNTNRRQVSSGLMSYNSGRTLPSKWEDAERWICSPVGGEANLKQSIQQPQRRQKSKSGPLGPHGSSFYSMYSPAVHTFDGGNIGSLSLLNGSPFSSRINSNNNVDRNVNSGNFPSLTEPCMARSVSVHGCSESLSQSLLRITQDGKTGDVSRRDMATQMSPEGSPYSSPRMRNSISVSNSLTVDELQQIRTSKADVRDVQVDDQVSLSRWSKKTRTRIPGRRAGSDIVDDWKRKALEVRSADWEVSEMTKSLSKVKREEAKITAWENLQKAKAEASIRKLEMKLEKKRSSSMDKIMSKLRSAQKKAQEMRGSVLSNQVPRSSTSSSSHKAISLIRTPQIGSLSGCFTCHAF >cds-PLY91979.1 pep primary_assembly:Lsat_Salinas_v7:2:38070511:38071594:-1 gene:gene-LSAT_2X17760 transcript:rna-gnl|WGS:NBSK|LSAT_2X17760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATMDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLLSKEATVGEKQFSSQGHQGNFSKNEGAGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIEPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGVNFNGMFSSPIEIDITSAPSATAASSPVKMSLSGQSRPKHTL >cds-PLY70884.1 pep primary_assembly:Lsat_Salinas_v7:9:16104083:16107176:1 gene:gene-LSAT_9X17580 transcript:rna-gnl|WGS:NBSK|LSAT_9X17580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLSFQSLLTAHEDPSYKDIQVGVILDMDSWVGKVVCSCITMAVSDFYRINPHYTTRITFTTRDTKGEPLLVLSTALDLLENTEVQAIIGPESTAEARFLEVLGDKANIPILSFSTTPFSNQNHNLLRMADDETSQFKGVAAMVELFKAKNVIVICEDTENGREMATYMASEFQEKNIHVTYTSLISTSASKEQVSEELQKLQTMQAMVFVMHTPPSLATGIFSKAKELGMMDEGYMWIITSKTTNLLDSMDAEAIKSMQGAVGFRSYFPASRKLHNFVSKWRKEHYALEPFMEYKEVDSNGIWAYDAVYALAMAIEKVHTTLIGMSSSIHASLLDEMLRVKFHGLGGEFNLMNGRSISKAMEVVNVIGKGGRRVGFWMMMSANGEFVKEIGKQNSTSNHGLESIIWPGGSSATFPKRRILETNGNKKLRILVPDFGTFPNLLQLTVDPKTNISGVSGFCGDVFNAAFSALDYGVGVEIIPYPYKDGMNYNDLIDKIYLKEYDAVIGDITITANRSVYVDFTLPFSDLGTGTIARNAKKNMWIFLDPLSADLWITSGCFFLFLGFVIWFIEHRINEEFQGSTCQQIGTTLWFAFSTLVYAHREKLQSNLSRFVVTVWVFVVLVLTSSYTATLSSVLTVQQIGMKETSTGFLGVSFGGAAFNNMNFGGAKIENLYTPEAYVKALTSGGVDAIIDEILYVKSVLAMYPTSDFSLISTASTTNGFGFLSVQAFQKGSPLAGEMSTEIAKMREDGTLKALEDKWLKRQSALMSKDFSSPSPKILNLYGFRGLFLISGVTMAFALLVSMVRIVREKLHVKIKMQVWRHILRRSSEIHAQDSDAESTV >cds-PLY64843.1 pep primary_assembly:Lsat_Salinas_v7:2:31021221:31023948:-1 gene:gene-LSAT_2X15261 transcript:rna-gnl|WGS:NBSK|LSAT_2X15261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase 5 [Source:Projected from Arabidopsis thaliana (AT3G17760) UniProtKB/Swiss-Prot;Acc:Q9LSH2] MVISTTIADSDQNLHSTFASRYVRTSLPRFKLPDQSIPKDAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMSSLNKNYVDMDEYPVTTELQNRCVNIIAHLFHAPIGEDDAAVGVGTVGSSEAIMLAGLAFKRKWQAKRKSQGKSCENPNIVTGSNVQVCWEKFARYFEVELKEVKLKEGYYVMDPEKAVEMVDENTICVATILGSTMNGEFEDVKLLNNLLMKKNEETKWETPIHVDAASGGFVAPFLYPNLEWDFRLPLVKSINVSGHKYGLVYAGVGWVVWRTKDDLPDELVFHINYLGSDQPTFTLNFSKGSSQIIAQYYQFIRLGFEGYKDIMTNCHENTMVLKEGLEKMGKFNIISKDIGVPLLAFSLKDSSKYTVFNISESLRRFGWIVPAYTMPPDAQHISVLRVVIREDFSHSLADRLIADIIKVVHELEGARFTADTSGARDGGTVEHVEHGLRPMERGIAKYLRRFIHGKKTSSVC >cds-PLY74174.1 pep primary_assembly:Lsat_Salinas_v7:9:14200901:14201254:1 gene:gene-LSAT_9X10961 transcript:rna-gnl|WGS:NBSK|LSAT_9X10961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQLNDYNDTIEQVLPMSMEEEYPPQTETQDGAEEIIPETQPESEEEEEGINDTHELPVHLRIVKRRRPSERIVKTKLKKMGCVGTSSNSALELD >cds-PLY91286.1 pep primary_assembly:Lsat_Salinas_v7:7:188777826:188781755:1 gene:gene-LSAT_7X112421 transcript:rna-gnl|WGS:NBSK|LSAT_7X112421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDAALKAFKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVMVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENYFDPKTKKWTTKSTGTATCKRGFVQFCYEPIKQIINTCMNDQKDILWPMLAKLGVTMKGEEKELMGKALMKRVMQTWLPAATALLEMMIFHLPSPHTAQRYRVENLYEGPLDDQYANAIRNCDPDGPLMLYVSKMIPASDKGRFFAFGRVFAGRVSTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKKQETVEDVPCGNTVALVGLDQFITKNATLTNEKETEAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIVVSDPVVSFRETVLEKSSRTVMSKSPNKHNRLYMEARPMEEGLAEAIDEGRIGPRDDPKVRGKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQRRGHVFEEMQRQGTPLYNIKAYLPVVESFGFSGALRASTSGQAFPQCVFDHWDMMGSDPLEAGSQASTLVGQIRKRKGLKEQMTPLSDFEDKL >cds-PLY67635.1 pep primary_assembly:Lsat_Salinas_v7:2:207464685:207467508:-1 gene:gene-LSAT_2X128261 transcript:rna-gnl|WGS:NBSK|LSAT_2X128261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVDLIVATPGRLVDLLERAKVSLQMVRYLALDEADHMLDMGFEPQIRKIVEQTDMPPPPGRQTMLFSATFPREIQERELALRSFKSRKTPILVATDVAACGLEVIDVGSRAVWSLPQTELFGGSVAKSFQQYRSFITFAAEGNAFKDNVEKLPLHRELYELNPSSFFVPSFINAFMANDDVSRNQSIRNIMSELVPRVFTFDMLHPDFCAKMLAEVENYEKWILETKSSTIRLNTMNK >cds-PLY87575.1 pep primary_assembly:Lsat_Salinas_v7:8:111334512:111336912:1 gene:gene-LSAT_8X77921 transcript:rna-gnl|WGS:NBSK|LSAT_8X77921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPLAYTQRSSAYFSALTQEIDKKLQRALASPTQRRDLLQQLFADVALQVDDRARDIILEDALQIKGSLCFYDVLADHFANELERGKPIIDLIVQLWSQPFASHIFALLFHKWLFEVEVDSPDLLLRYSSALVQGAMNVFWIDIQTNTTHFQSLFRYLLEDVALVPEKLKKIPLQAQRDLFLLLSRFIFLYNLDEKLESLFHHFPEFPNAFLIGGPADVFVIELTNQLQKLKVEPVLLHYLSRIKVLQGLELRMTTSTRLKTCLYSFTSPGGPMYPTRAVRHAAWDALDFLFPVGQYPRHVISLFFRLLYPWYWPSSCWNFVLACIHAILYSIERLIFPTHKLREPHM >cds-PLY73677.1 pep primary_assembly:Lsat_Salinas_v7:5:203751721:203752195:-1 gene:gene-LSAT_5X92240 transcript:rna-gnl|WGS:NBSK|LSAT_5X92240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFSNFILISSLVSLFTDLPIIKDVLEIANVLNSKWKRQVELMYIITYDILFGQDSSLTGDPEKYLILRKSQLQSALAKILLK >cds-PLY86777.1 pep primary_assembly:Lsat_Salinas_v7:4:296667259:296667963:1 gene:gene-LSAT_4X147500 transcript:rna-gnl|WGS:NBSK|LSAT_4X147500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRKAFVLKRTMRKSKKNYASSSWCLDELVKIMECHRKTEQTAYPVFYDVEPSEVRKQSGIVGEAFAKYEMEEAAGKWRVALKEAADLAGWELKKTADGHEAKFIQKIV >cds-PLY82631.1 pep primary_assembly:Lsat_Salinas_v7:3:104120629:104127102:1 gene:gene-LSAT_3X76100 transcript:rna-gnl|WGS:NBSK|LSAT_3X76100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLTEEIENNGGIWALEQKIDQPMDEEAGSTDEDPDLEEDEQLAMAIQESLNINMNSPPWKHVSSFAKFLSKCIQKKIRRDRRIRQTH >cds-PLY87696.1 pep primary_assembly:Lsat_Salinas_v7:6:46500185:46501011:-1 gene:gene-LSAT_6X34441 transcript:rna-gnl|WGS:NBSK|LSAT_6X34441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRLPDDMFPYHVPVTTTKSQKNPLLTAHTECKVNIDQPDPNHEKACTIPDKEIKEHGEKEKDTSEDTKQRENDQDDKYCDVTHHFSQNKPPHVHAQMLCLREKVKERLSNSDDYQSFLKCIAEYCTKSITRPQLQSRVNSLLGVYPDVVEEVNEFIDHSEKTSNCTH >cds-PLY80489.1 pep primary_assembly:Lsat_Salinas_v7:2:137992396:137992933:1 gene:gene-LSAT_2X68040 transcript:rna-gnl|WGS:NBSK|LSAT_2X68040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRFGTLEFRGDGEVDLIDVNDDEDKLVEVETIEMLYCRICDDKKNVEEAINKGLENDANDEELKEWSWKVRQLFYATTVEPERVDYPKESEKINVVGGITNGDNSSPTNEATMKTPFKVAVNSNQSNLVKGSSPICNVPLEGIKGN >cds-PLY71502.1 pep primary_assembly:Lsat_Salinas_v7:2:122674028:122674315:1 gene:gene-LSAT_2X56241 transcript:rna-gnl|WGS:NBSK|LSAT_2X56241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPLRSHAPLPLTFSFKTKETLDDSSSTGGPTSESLETEIIEDRISQLRLHNPSGKGKKVDPWKSMKAGKKYGGSSVSRENMYLPLVPSKDPISV >cds-PLY80245.1 pep primary_assembly:Lsat_Salinas_v7:6:124776050:124777265:1 gene:gene-LSAT_6X74221 transcript:rna-gnl|WGS:NBSK|LSAT_6X74221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQPQLSPPLPLPPHDYPPSPLIVGFHRRFPLLSFSGGSFVDHYVLRYQAVQGTIIMCKKWKGPLSSSFPVDASLRFTNTMPSFNIRKSKPYTHFFISIMFP >cds-PLY83296.1 pep primary_assembly:Lsat_Salinas_v7:4:127222111:127224375:1 gene:gene-LSAT_4X80320 transcript:rna-gnl|WGS:NBSK|LSAT_4X80320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTIEGQDRVLATAQQIVKSLNINTKATEDMILILSSFDNRLSNITDLMEGGTGDRFMSAEKIILRHDSGLGEPSSRSSSPWEESSTEAAEYLEAVDTIIQLTEDLNIQSDADSEIMDRAENALQLAMSRLEDEFLHILIRNTVPLDIDRLYGSIRKGSFSFPSNGIEIGDDFESYREDEDGGSGSGYHHERGPSLGGDVFVDLIHPEAIKELKAIADRMIRSGYEKECCQVYSNVRRDVLNECLSILGVERLSIEEVQKIEWKILDEKMKKWIQAIKIVVKVLLFGEKRLCEQVFSESELIKEISFVETTKGCVMQLLNFGEAVAIGQRSSEKLFRILDMYDAVADVFPDFEFLFTYESGELVCNEVKVVLTGLGEAAIGTFIEFENAVKGENSKRALLGGEIHPVTRYVMNYIKLLVDYSDSLNTLLPNTQEHDPISKELDDIDSGDTLSPISCRLLSLITTLEANLDEKSKLYDDIALGYIFLMNNILYIVQKVKNSELRNLLGDRWIRKHRGQIRQWHTSYLRAAWGKALLCLKDEGGIGGGSSSASKLILKEKFKNFNACFEDIYRVQSLWKVPDDQLREELRISISEKVLPAYRAFVGRHGSQLESGRHAGKYVKYSPDDLENHLLDLFEGRPTVLNNIKRKGT >cds-PLY97417.1 pep primary_assembly:Lsat_Salinas_v7:4:16483438:16486162:1 gene:gene-LSAT_4X10681 transcript:rna-gnl|WGS:NBSK|LSAT_4X10681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATIATFLLLFLFLSTSYSATTYTGSISSSSNFTASNFHYVDQNGDFLRSVNRTYTAAMFNSQPKSPSFYLLIYHSDSRNVVWVANRNKPISNSGQLLLSFTGITIYDDSGIPVWSTPTFNSTVASLQLLDSGNLLLIDRFNKTLWQSFDYPTDTIVSGQRFPVGGSLIASNDDADFSEGGYTFTVTTGDGLLRWQNLTYYRLLMDSKSVINSNQPISYLMVNGSGFYLFGGQGSEVVVQVLITTAAVNSDYRILKLTNAGYLNVMRYTNRNWVTDFTTPEESCRAPFSCGKLGLCSPGGCSCPPGFRGDRNANPGCSPSDNSLLLPESCGGNGTRLRSNSSEKYVYIPLGDGMKYYPIDFTNPTRKGVNLSTCENLCSSNCSCLAIFHGNPSGSCYLLQNHLGSFLSSSANGNDDTLGFIKAISLSQNHNSNSSSDFPVVALILLPGSGVLLISIFAIWMRIRSRNNATNSHSKKLNDNFGSEDLELFSIAGLPIRFDHEDLVEATKDFSTQIGSGGFGTVYKGVLRDKTVVAVKKITSLGAQGKKEFGTEIAIIGNIHHVNLVKLKGFCAHGRERFLVYEYMSRGSLDRTIFGTGPPLEWQERFEIAVGTARGLAYLHNGCEHKIIHCDVKPENILLSDGMQVKISDFGLSKLLSPEQSGLFTTMRGTRGYLAPEWLTNAAISDKTDVYSYGMVLLELIQGRKNCVQAPSHSSRNPTSSTDGQSSRSSGSRNHSQAQGRARARAFYFPLHALEMHEEGRYLDLVDPRLAGRVTRAEAEKLVKVALCCLHEDPSVRLTMANVVGMLEGVLPVGEPRLDSLNFLRFYGQRFTEPSMAGTGEEVEVGGGFMVTTNYSSSPSMSLNSFSYMSSQQVSGPR >cds-PLY90982.1 pep primary_assembly:Lsat_Salinas_v7:8:255003103:255003420:-1 gene:gene-LSAT_8X149441 transcript:rna-gnl|WGS:NBSK|LSAT_8X149441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGCQSDIVDMGLWMMVPPVEEYKIVIDTTKSKDTLSPVVRKIPTPMASEEESMEDDYKGEANSAMDSDSSEPTKDDSTSEELEHISSDYEPEEEEGTATLVETS >cds-PLY70010.1 pep primary_assembly:Lsat_Salinas_v7:8:145391219:145395876:1 gene:gene-LSAT_8X98681 transcript:rna-gnl|WGS:NBSK|LSAT_8X98681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRYSQAGPSLWSFVLSAPNTNSYVAMGFSPNGGMIGSSAIVGWVSGDSSAAMRRYYLGGKTPSQIYQARGWCSPSRNNQAPTLSSFRLTAHRNQIAVGFNYASGEGSEVSYPYSDLKRIHGILNAVGWGVLLPIGVMIARYLRHVDRLWLYAHSSIQLSGFVIGFSGIITGLILNDRIDINVAKHKAIGLIIITLGCLQVIAILIRPSKDSKVRKYWNWYHHNVGRLLILFAIFNVFYGIYLAHAGSEWNVTYGVFLGIIVTIALSLELRLLTED >cds-PLY92392.1 pep primary_assembly:Lsat_Salinas_v7:2:155545947:155546264:-1 gene:gene-LSAT_2X80700 transcript:rna-gnl|WGS:NBSK|LSAT_2X80700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFRFGINKSTQTPNLKPTLDDKTLDHYTDKHLEDFILKKLKLLYNEAISKLVSLGYHDNVILDDALGDYHWHGNMYPLTNFLDITKLYLATLCLSTTEVGAMIN >cds-PLY98558.1 pep primary_assembly:Lsat_Salinas_v7:1:39384743:39386805:1 gene:gene-LSAT_1X33920 transcript:rna-gnl|WGS:NBSK|LSAT_1X33920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGCLGIVDKDGIGIGCDSRICLYIPLSFSIKQRSIILGTISSLKEGNSSFFPLTFEKLVRPSELYDQYTESHPYYTYSKIDLAGTILEKHEPFSFQTVIKKSFLTFPKVEDADSYLVGLSLLSEDLTLHHPAVPDSGPNRFSRTDVQFEILSLGPLFGHYWSLQNDSITEEDTPYHAKTTYTERQLLLNVSGQLSLFGGQYGNVSDILVEGLYYPVVGKMYLVGCRDVRASWNVLYESMDLENGLDCLIEVVISYPPTATRWMVNPTARISISSQRNEFDPLFFKPVNLQTVPIMYRAQREDILSRRGVEGILQLLTLSVAIGFILTELFYIKENANAVPFVSLVMLSVQAVGYGIPLVTGAEALFKKTESYEKSSVLEKSQMVRVIDYTVKILVLVSFSLTLRLYQKVWRARIRLQTRAPHEPARVPSDRRVLIVTGLVHVLGFVCVLVVHNLQQWLAELEEYVGLVQDFFLLPQVIGNLIWQINCKPLRKSYFIGLTVIRLLPHVYDYIRTPIPNPYFSEDYEFVNPHLDFYSKFGDIGIPVIAILLAVVVHVQQKFSYERLVEVLDFGKFRVLPRQTVAYERLPPVVAEAEMTSGGNGDVGTRKEVEVE >cds-PLY66756.1 pep primary_assembly:Lsat_Salinas_v7:8:72267286:72268500:1 gene:gene-LSAT_8X52401 transcript:rna-gnl|WGS:NBSK|LSAT_8X52401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIKNPTSAAAATDSPDTDGETPLHSQLIKPFSSFPNGKKHHHQPPPTVVAYKECLKNHAATIGSHALDGCGEFMPAPTLNPQEPTSLKCAACGCHRNFHRRERINEVYATADNKTHFIEFHHPSSPPQPTNYAFAPHVLLSLGTADQTHAVVTPGTPAAIRIENPNGRKRFRTKFSQDQKEKMSLFAEKVGWKMQRCDDKMVADFCNEIGIRRGIFKVWMHNNKNTIGRKDKEPTSPTTTAPPITTLPSTAGVINVYNNGRLVVTSESHDHEEDINVDGRDNSNSSDQENDDGGGGAGSSGGAVHLQASTNGSSSSC >cds-PLY94685.1 pep primary_assembly:Lsat_Salinas_v7:6:20188489:20190257:1 gene:gene-LSAT_6X15240 transcript:rna-gnl|WGS:NBSK|LSAT_6X15240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGRFIVTMVKHNNVIPNGHFKKHWQNYVKTWFNQPARKTRRRNARQAKAVKDFPRPAGKLRPQVHGQTLKYNMKLREGRGFSLEELKAAGIPKKLAPTIGIAVDHRRRNRSLEGLQANVQRLKTFKAKLVIFPRRARKIKAGDSTPEELASATQVQGSVLPIVREKAAAEFVKVTEEMKSFSAYSKLRVERTNKRHLGARLKRAAEAEKEEKK >cds-PLY97200.1 pep primary_assembly:Lsat_Salinas_v7:5:99623693:99624091:-1 gene:gene-LSAT_5X48720 transcript:rna-gnl|WGS:NBSK|LSAT_5X48720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDTDVIEEIKVLDVNSYFYDAKFWCETEDDVDMVALTNLESEEEVVEEVEEVKEEVKTIDLVVVEEALEENKNFVDEDKIVEVVVEDINFVKNEVNLVEQQIKFQEFQSPKVECSTYGEQSYETEEVEQVH >cds-PLY77027.1 pep primary_assembly:Lsat_Salinas_v7:6:176625804:176626110:1 gene:gene-LSAT_6X106861 transcript:rna-gnl|WGS:NBSK|LSAT_6X106861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLLRSASTYEGGGRTSVLCLCLIQMRKQVREWGCIRQLLQISGMNLCLVLIGKIDGCCRGVWVCCLHRKWEKRKESGLLSL >cds-PLY68452.1 pep primary_assembly:Lsat_Salinas_v7:2:214946416:214949382:-1 gene:gene-LSAT_2X135200 transcript:rna-gnl|WGS:NBSK|LSAT_2X135200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYMVFGGLGLIVAALELSKNNKDRISTSQSFTSFKNNYLLVYSLMMAGDWLQGPYVYYLYTTYGYGKGDIGQLFIAGFGSSMLFGTIVGSLADKQGRKRACVTYCITYILSCMTKHSPQYKVLLLGRILGGVATSLLFSSFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLVAIISGLFGNFLVDSVSLGPVAPFDAAACFLAIGMAIILSSWGENYGDPSDNKDLLSQFKAAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSFAARLMARSSPRVESYMQIVFLVSAASLLLPILTNFFVIAPPPSEVKGGGISLGCSIQLLGFCVFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVNAFPITIMFGMCSIFLFVASVLQRRLLVITDKPKSEDWTSLKERDVETEPLNDD >cds-PLY90719.1 pep primary_assembly:Lsat_Salinas_v7:1:79441:93015:1 gene:gene-LSAT_1X1441 transcript:rna-gnl|WGS:NBSK|LSAT_1X1441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLNMKTLTQAFAKTAAVIEKTVQDVTGPKALQDYELLDQIGSAGPGLAWKLYSAKARGGSTLVSHQYPTVCVWVLDKRALSEARVRVGLSRAAEDSFFEIIRADASRLVRLRHPGVVHVIQALDENKNAMAMVTEPLFASVANALGILDNISKVPKELQGMEMGLLEVKHGLLQVAECLDFLHNNACLIHRAISPETVLINSSGAWKLGGFSFSISADLSSTDSSTVQPFHYAEYDVEDSVLPLQPSLNYTAPELVRPKAPSFGCSSDIFSFGCLAYHLIAKKPLFDCHNNVKMYMNNLTYLSSEAFSSIPHELVTDLKRMLSANEALRPSALDFTGSPFFRDDTRLRALRFLDHMLARDNVQKSEFLKALLDMWKDFDARVLRYKVLPPLCAELRNMVMQPMILPMVLMIAESQDKVDFETSTLPALVPVLTTAAGETLLLLVKHAELIFNKASQEHLISHVLPMLVRAYDDTDARMQEEVLKKTVSLATKLDPQLVKQSILPRVHGLALKTTVAAVRVNALLCLGDMVVLLDKPAILEILQTIQRCTAVDRSAPTLMCTLGVANSILKQHGVDFVVEHVLPLVVPLVLAQQLNVQQFAKYMSFVKGVLRKVEEKKGVTMNDSGVDLKSLPVIPTTTSSTGDGGLKKNIPTASSSSSLSNASSRWDEDWVTTTRGSSMEPKPLSQPPKHDVQFPMIMSTQQQKQTQTQTSCPPAVEFGWPHQEKSNTSSSASFDDLDPFANWLTQPTTNHSLPPPATNGVGGLFLTGTENQVNNNYNTNWGNNMDSSPPNGGFPRYNQQGDTSSSSTTSGPKASDLGSIFASSKTPQALRLAPPPLNAVGRGRGGGAQGQTRPSRAKPSSEQPPLLDLL >cds-PLY80722.1 pep primary_assembly:Lsat_Salinas_v7:3:85258548:85261861:1 gene:gene-LSAT_3X66240 transcript:rna-gnl|WGS:NBSK|LSAT_3X66240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQIMLSSNLLFFSLTLLIVTLSRIPNFASASLEEANALLRWKESLEIPKISLLSSWIPLPLNSSASLPCTSWFGVVCNADGSIQKLNLTSSGLKELANLKSLAHLELAYNQLSGSIPSSLGDLTSLNVLYLYQNQLSGPIPNELGNLKSLTYLDVSENQLSGSIPSSLGDLASLNVLYLWKNQLSGPIPIELGNLKSLTNLDVSDNKLSGSIPSSLGNLTSLNFLYFAQNKLSGPIPIELGNLTSLINLDASENQLSGSIPSSLGDLTSLNVLYLFINQLSGPIPIELGNLKFLTDLEVVDNQLSGSIPSSLGNLSNLQWLTLGGNKLSGSIPSELGKLKSLTLLSVGVNQLSGFIPSSFGDLTSLNLLYMHYNELAGPIPRELGKVKSITDFKVNNNQISGSIPLDIGNSNQLQRLDLSSNHLVGEIPREFGKMKSMLELSLAGNQLSGVIPLELGFCELLEVLDLSKNRLNGSIPTTIGQWAQIHYLNLSNNKLSEKIPSEIGKLVHLTELDLSQNFLMKEIPSEVQSLQSLTKLDLSHNRLSGSIPDAFTRLPLGIDINLSYNELSGPVPNWANFVNASIESNPDLCGNVTRVKLCPSHKMKKKNDSFHHKLILVIMLPLIGAILLGVFTYGVIAYQQHKKKSPQKPLDEESGDYFSITSFDGKVVYADILKATNDFDEAYCIGTGGYGIVYKAELQPNNVVAVKKLHSSSENVDHNGFLNEVRALTNIRHRNIVKLYGFCSHVRHSFLIYEYLENGSLGSILRSDVLAKELDWLKRVNIVKGVANGLAYMHHDCSPPIIHRDISIANILLDYDYEAHISDFGTSKLLKLDSSNWTAIAGTYGYIAPELAYTMVATEKCDVYSFGVVAVEVIMGKHPGELIASLPTVSDDYPVPSNVGDSRIPPPSSQGEKQVKMILSLSRACLNSNPHERPTMQQVSNRLMKDLL >cds-PLY77561.1 pep primary_assembly:Lsat_Salinas_v7:2:164795093:164795855:1 gene:gene-LSAT_2X86441 transcript:rna-gnl|WGS:NBSK|LSAT_2X86441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFIPPELDPNTSSPIFAGIPHRNSSLRHSLLPCEGDHRCDQNPRWWEGDDGGNGADALDEICGLRVSSNRITYSLTIESHDAIFKVKEGNMLFGYQPFATKDPKVCDRAEELVLNQFVGDGEKLLNYVSWSNALNELEE >cds-PLY81174.1 pep primary_assembly:Lsat_Salinas_v7:9:20739617:20741005:1 gene:gene-LSAT_9X19800 transcript:rna-gnl|WGS:NBSK|LSAT_9X19800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDSQENPTRRHLCCRHAPFPHPVDDVYPHPTRVCTRTTLLLFLLVIFNACAVISRFTRIAGETFGMLISGLVNEFKAPKSVEPNSPKDQFQWLYTNGLLGIIFSFGLLYTALRSRRARSWLYGTCISSLLQPGGLAIFYIILTCRCLRSFIADYGVPLMVVDMGKVPPIYILVAFIPTVMIVGLYFFDHSVASMNKESATFYN >cds-PLY98025.1 pep primary_assembly:Lsat_Salinas_v7:8:44297073:44349015:-1 gene:gene-LSAT_8X33620 transcript:rna-gnl|WGS:NBSK|LSAT_8X33620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQLQKYPFLILLLIYLGIQVESDASDHSYSEGDTVPFYANKIAPFHNSRETYAYYELPFCSQDVVKEKKLNLGEMLNGDRLVSTPYKLEFLVDKDLEVLCNKTLSKTDVSKFKRAIAKDYYMQLYYDDLPMWAFIGVLRNKGYGRIKTEYSLYKHFDFQVFYNKDHVIEVNLRMPRDSFTDITEDKEVDVEFTYSVQWKMTQQSFDRRMEKYIGSSILPHHMSIHHHSITYSSVILLILIISLLTFYLLVLRKDISKNLGDVEEDILSYNKQEEIGWKNVHGDVFRFPQHKSLFAAALGAGTQLLVVIVAFLALGLLGVFQPYMRGVLWKTLIILYALTSLVSGYTSVSFYFKLEGTNWMKNLILTGGLYFGPLFVTFTFLDIVATFYGSTTALPLRAIVMLSLLWIFIASPLHLLGGIIGKTRMSEFQAPCKTAKTPRDIPKLRWYRGVLPQMALAGILPFSVVYIQLYYILASVWGLRFYTVYSILSIVFFLLLIMTALVSVALTYFQLAAEDHQWWWRSFLCGGSTGLYIYVYCIYYYFERSNMNGFMQTSFYFGYMACACLGIFLILGSVGFNASLLFVRYLYAAIKCD >cds-PLY90695.1 pep primary_assembly:Lsat_Salinas_v7:8:143238960:143239874:1 gene:gene-LSAT_8X96780 transcript:rna-gnl|WGS:NBSK|LSAT_8X96780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKVRCEHCILRVNIACYQKQHDSIGAKTNTNYTAAPTKTHKGASQATRDSGTFTEVARGTSSRPLTSGANPIIIKPATISDGWADCVMVGETINIQHTVDLPTLLPIEGNPAGMVYYIGGLNVITKFINQKSVKAFYDNEHNWNHWFKWLKMGFNDDLIQERITWVKINGLPIHFRSNVNLELIENACVKTLEIIAIDWNAYDLSRGNVCIINKHNTIINEVVNVSCENNIFHVGVVEYDRDWSPFDRSILKENVPHDNNNDDDEEDDVGDENYVQDEDEDPDSDEDAISATWMGTVQQNDGA >cds-PLY80041.1 pep primary_assembly:Lsat_Salinas_v7:9:45165841:45166091:1 gene:gene-LSAT_9X40880 transcript:rna-gnl|WGS:NBSK|LSAT_9X40880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHNPMVMDANDLSDVNANHFETTVGCKKSSGCESLMDANSATWVRTITGCEHLMDADTNIFEWLIAWTI >cds-PLY73796.1 pep primary_assembly:Lsat_Salinas_v7:7:67946552:67972317:-1 gene:gene-LSAT_7X48800 transcript:rna-gnl|WGS:NBSK|LSAT_7X48800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEKLLKDAKKLPWEARLLHKNWKVRNDANIDLAALCDSITDPKDNRIRELGRYFKKTIADSNAPVQDKALDALIAYLKAADADAGRYAKEVCDAIAAKCLTGRPKTVEKAQMVFMLWVELEAVDAFLDVMEKAIKNKVAKAVVPAIDVMFQALSEFGSKVVPPKRILKMLPELFDHQDQNVRASSKGLTLELCRWIGRDNVKSILFEKMRDTMKKELEAELVNATGAAKPTRKIRSEQDKELEQEVVGSGPAGEESAAEIPEEIDEYELVDPVDILTPLEKSKFWKDVKSSKWLERKNAVEGLNKLASAKRIAPGDFIEVCRALKKLVMDVNLAVSVEAVQAIGNLASGLRTHFSASSRFLLPILLEKLKEKKPTMAEALSHTLKAMHKAKCLTLADIVEDVKTAVKNKVPHVRSLTLNWVANCVETSNKATILKVHKDYVPICMECLNDGTPEVRDAAFSVLASIGKIVGMRPLEKSLEKLDDIRRKKLSEMIGISGSGASTVGPSGGTVSSAQASDGTVVKKSAASMLSGKNPAPAAPTGKKVASGKTGASKKADGPSQSQTKTSGQPEDVEPGEMSLEEIETRLESLIQAQTIADLKSNAWQKRSEALNSFKQEVEALQQLDKSVEILIRLLCVVPGWSDKHIKVQQLVIEVVNHIASTASKFSKKCVVLCIGGLYERLADIKTRTQAMKCLTTFSEAVGPGFIFERMFKIMKEQKNPKISEGLLLWMVSAVEDFGVAHLKLKDVIDFCKNIGLQSSASATRNATIKLIGALHKFVGPDIKAFFSDVKPSLLTAVEAECQKNPFEGAGTKPKKTVKASDAASSSVSGGGLDGLPREDISSKIPPAFLKEFESSNWKVRLQSIEAVNKIVEEANKRIQPNGTVELFGALRGRLYDSNKNITMATLTCISGLASAMGPAVDKSSKGILSDVLKCLGDNKKQMRECTLATLDSWVAVTHLDKMIPYIATCLTDAKLGVEGRKDLFDWLCRKLTGLAEFLDAISLLRPVAIAMTDKSVDVRKAAETFFGELVRVCGAEMVMKNVQDIQGPALTIVLERLKSYEIHDATKTGSSWQAVKKKVGKSNGHGSKHGSKVVSSKVDSTKDSKPEPQALLNVKDSNKDKRERSVALRFKFEELRLEHIQDLENDLMKYLREDLHTQLLSTDFKKQVDGIEMLQKELPSITKEIIEVLDILLKWFVLRICESNTSCLLKVLEFLPELFDTLRNENYTMNESEASILLPCLIEKSGHENEKVLEKMRELMKQMIYCYSASKTFPYIMEGLRSRNNRTRTVCGDLVGFLLDNHYVEITEQLKSLNTALDIVTYCSPDEAVEGMKILCQELETATNDPEGNLMDDLAKDADRIVCCLAYKVAITFDFSLMGESSRPCKYVLNTLMQIFQNKRLAHVVSERTLENLITKLLLWLLDERVPRMDNGSQLLKALNVLVLKILDTAERTLSFVILISLLKPQGDPSNESFATRSINFSELVVKCLIKLTKALENTIDDVNIDRILQSIHVYLEELGVEEIRRRAGTEERPFRMVKTLLYELVKLRGPAIKDNLSMVPIDMEPQPIILAYIDLNLQVLFFKTSSIILNHRINSTIYY >cds-PLY98876.1 pep primary_assembly:Lsat_Salinas_v7:5:19131497:19131904:1 gene:gene-LSAT_5X9701 transcript:rna-gnl|WGS:NBSK|LSAT_5X9701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCYRWRGYPTATSKDVDIVVKEDRRALKRNGGKEWATTSGAHCAKYLHAIAKKITEKKSELAKLEAIDNGKSYNEASWDIICSEYIIILLFLTNF >cds-PLY72306.1 pep primary_assembly:Lsat_Salinas_v7:4:60598109:60601197:-1 gene:gene-LSAT_4X42220 transcript:rna-gnl|WGS:NBSK|LSAT_4X42220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTIAAVFVAVLLVVSELPLGLTFSPTSPAFLWSNIKEESPTSKVKEGVSYQTLSPKDLAKSVMSEGGWSNLLCSSPKPEQSIDLAIVFVGKEPLDISGRKQEDKPLFNLLRASFMSSTFSLAYPYISASEEDEAVQDKLVSEFSETCGNDAGLNIEKLSDINSVHKYVASSMEKKPEGQTPVVVFTNEGDDSEGEVLSKLMSSVEETGAKYSVLYVSDPVNVLRYPSYRQVDRFLAESSGNASSNNSSTCDGVCQIKSSLLEGLFVGLVLLIILISGLCCMAGIDTPTRFEAPQD >cds-PLY69144.1 pep primary_assembly:Lsat_Salinas_v7:5:283901659:283907442:-1 gene:gene-LSAT_5X149661 transcript:rna-gnl|WGS:NBSK|LSAT_5X149661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQKLLSNFEQICKNQTKISLKSFSIARTLLVNPSTSDQTISSILQNLETLSTAVSASNPQFYHLHVITLLCEISIVRRHFSPRITTILHSLSLHCPNITPRAAGLALSTSVSIYPASASNLVSAFSEGTEGLFLSLCFGSCVPVRQRLLSDVEIFNVRPSLLLTVLTGFTKDPYPYVRKAALDGLIGLCKCIVVEDRGMIEGCYLRAVELLFDTEECVRCSAVHMVSEWGKFLVANSEGKSKRDWSDALYVQLCSMVRDMSMNVKIEAFNALGKLGMTSEYILMQTLSKKVLPITKEKTLHGQLSRKHSNLPASSAAGAFIHGLEDEFHEVRSCACYSMRMPAILSAGFATGALGLLMDVLNDDSVVVRLQALETMHHMAVYGHLKVQEMHMHMFLGALIDMNSSIRLTARKVLRLTKLHDLILFKLVVDSLIQSLEKYPQDEMDALSLIFDIGRNHGSFAISIIKEFFPEVDPSSECNWDFNNSKTAAHLVLAISIPLSHGKQQQLDSIPSIIYSYAVTILGRISRSLTGVMNQDTLLAYLSHCSRSSGPHLIEFMKGEDKMVEDDVATKIDTQITCPVSVRLDVVHNNNDGDFEVHDNADNYIKFILANIVQVWPLVKFGCIHEVLTTLRSWKEELATFITDPSCQSNSHLTFTSQYLDVVKLLSKAWWHVMCPMDLICKESGNLGYILQKLENKLRELQHRFIGLSKEEELHIQELTHVASTLKSSIFDESAIKKLQFFSLKEIIFHENIKYMDAEVDVGDKYKYKYKYNDWLNPIPFVAGLPVGIPLKIRLHNVPIETKLWVKMTMYEKLREYVYVDLKQFEGCEKVREFTFMPPFHRTPKVNCFVLRVCVGMECLCEEEVDGFRGHGGPTHELVYLCKEKEVFLSMVGKKG >cds-PLY71822.1 pep primary_assembly:Lsat_Salinas_v7:3:60519274:60519594:1 gene:gene-LSAT_3X47780 transcript:rna-gnl|WGS:NBSK|LSAT_3X47780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPKKMMKMARRWQKEALKSGSHDLETNKGHFVAYTTDNSRFVMPLHYLENNVFLELLRMSEDEFGLPRNGPITLACDSILMKYLVAVFERGFNEDLEKALIVSVV >cds-PLY83477.1 pep primary_assembly:Lsat_Salinas_v7:2:182691180:182691410:-1 gene:gene-LSAT_2X104941 transcript:rna-gnl|WGS:NBSK|LSAT_2X104941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRHAINGGSRQTVESAPPRLIRVERRPSMEKKLATIKEDECSSGDQLQNFHGNRAVAFASSSSKTLNTTKHYACN >cds-PLY67315.1 pep primary_assembly:Lsat_Salinas_v7:8:235728194:235728526:-1 gene:gene-LSAT_8X141721 transcript:rna-gnl|WGS:NBSK|LSAT_8X141721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKKNKKSNEEEHGFYVEIGTGPRKFSYRELARSTGVFAESKKLGEGGFGGVYMGFLKSTHVAVNRVSKSSKQGIKEFASEVMIINKLRNMNLVQLTGWWETGKGKKL >cds-PLY77091.1 pep primary_assembly:Lsat_Salinas_v7:MU042616.1:17819:19633:-1 gene:gene-LSAT_4X76441 transcript:rna-gnl|WGS:NBSK|LSAT_4X76441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPSGTGSSSSLEAPLVDASDDADDAQLELALQKLESFLGFFGFCQYSPLSTAVSWIVFFVVGVAAPVLLIVYSFCTDCYKYQIRRFELEILVSQAIVAAISLLCVSHNLRKYGVRKLLFVDHYDGYAVLYRQLYIQKIYGFFRGLALWLSVCFLLKTAREITCVVYLHHDSWWLSAAYLLVSLVTWSYSAVVFLSGTALFNLVGNLQVIRIENYSNILERDLDVSVYIEEHMRLLHYLSKISHRFRAFLLLEFLVVTGSQISALLQTTENQGIINFINTGDFAVLSILQLVGIVLCLSAAAKISHRAQALGSVACKWHALVTCDSNDSSQSEILIDNNNLEANRSSQNELESGDFVPFAVHNQFASSTSSYHKRQSFVAYVQSNMGGFTVFGWIIDRMLINTIFFIELSLIFFVLGKTITITLR >cds-PLY80777.1 pep primary_assembly:Lsat_Salinas_v7:5:103100139:103100646:1 gene:gene-LSAT_5X48220 transcript:rna-gnl|WGS:NBSK|LSAT_5X48220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFKPFAVVFFGILICTVDIIYATNLESKLFDIDVATAQCVQGQIKAARIQLQDSESKLSQISGSLKMKVDNILGKLTAARMQIQDSENQLGQISGSMEVDEEIPREKVVGMFSDDIIDNQDGQLNNRAGGEGGGGGGGIRRDGIGGGA >cds-PLY90158.1 pep primary_assembly:Lsat_Salinas_v7:7:13921501:13923162:-1 gene:gene-LSAT_7X11721 transcript:rna-gnl|WGS:NBSK|LSAT_7X11721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g17670 [Source:Projected from Arabidopsis thaliana (AT3G17668) UniProtKB/TrEMBL;Acc:Q9LUN0] MIVFTGTVSNLFTRTAVQATNSSNGAPPPDSRNLPAPSPLPPPPRTPLLSSPKPSWIVRTESNVRLEKRPKPDPSCVVCKGSGRVDCFDCCGKGRTNNVDLAMLPNGEWPKWCKTCGGSGLGYCSRCLGTGEYRYLMGFQFMKKDNDGNGGNYKVRDMLRNRKSAADLLPNDETA >cds-PLY78059.1 pep primary_assembly:Lsat_Salinas_v7:4:353750783:353751025:1 gene:gene-LSAT_4X174101 transcript:rna-gnl|WGS:NBSK|LSAT_4X174101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEGYYKDQLRKMRFELKRKEDFSQVLKVQKKLLKLQQAMEANKHVSETQLTELMKQNSLLKCGIFVMVIVVISMWVKCP >cds-PLY65926.1 pep primary_assembly:Lsat_Salinas_v7:4:137055139:137059858:1 gene:gene-LSAT_4X85220 transcript:rna-gnl|WGS:NBSK|LSAT_4X85220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTIGKGAQNMHTFYIKPALRKAYHRKSGGADHTTKLNHDEAKNKNLCSGSLSDANIVWVPDGRTGIYYPKGQEKVIEDVPTNAAKDYTVNWFSMP >cds-PLY98244.1 pep primary_assembly:Lsat_Salinas_v7:7:167391091:167391537:-1 gene:gene-LSAT_7X99641 transcript:rna-gnl|WGS:NBSK|LSAT_7X99641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANNKVILDIKYKEDDEDIQIVSATDVSPDESLKAKKLKSKKRKLERKLQDEDEVIADEPQLQPESFEHNIVQTFKEIVDVMREEKKSRDYIGEEIEKELELMELDVDEFTDAFIYLSRNQADARTIFSSSMKMWKIFLRKMMDEAKK >cds-PLY99244.1 pep primary_assembly:Lsat_Salinas_v7:6:185852319:185857449:-1 gene:gene-LSAT_6X114160 transcript:rna-gnl|WGS:NBSK|LSAT_6X114160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVENGHGHGHGHGHHHPPSAAPPPSIIHPPLGQTVLLAFQHYIVMLGSVVMIATMLVPQMGGNHGDKARVIQATLFMSGLNTLLQTLIGTRLPTVMGPSFAYLLPVLSIINDFADEDFPSEHQRFLHTMRAIQGSLIVSSFINIILGFSRAWGDFTRLFSPVIIVAYICVVGLGLSGRGFPQLGNCVEIGLPMLIFLVVFQQYMKHLHNRAHPILERFALLFCVALVWAFAALLTVAGAYNNVGNKTKLSCRTDRSYLMESAPWIKMSYPFQWGTPIFRASHVFGMMGAVLVTTVESTGTFIAASRFSGATPPPAHVLSRSIGFQGIGQLLDGAFGSIVGTTASVENVGLLGLTHVGSRRVVQVSAAFMFFFSIFGKFGAFFASIPLPIFAAIYCVLYGLVAAVGVTFIQFTNNNSMRNIYILGLSLFLGISIPQYFVMHTDLVTGRGPVNSDGVWFNDILNTIFSSPPVVAMIVGTILDNTLDAHDSREDRGVPWWVPFQHRKGDSRNEEFYSFPLRIHEYMPNRYL >cds-PLY94613.1 pep primary_assembly:Lsat_Salinas_v7:8:181709817:181711521:1 gene:gene-LSAT_8X118060 transcript:rna-gnl|WGS:NBSK|LSAT_8X118060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSIVKQTVATVLLIIVFYAFFNTSIYPYTYNNVHFHTHENSIGKIPQKSVKVYMYDLPRKFTYGVIKNYLIVRGQLENEDEASLKYPGNQHAAEWYLFSDLNNPKRSGAYVTRVLDPDSADLFYVPFFSSLSLVANPVRDGPVVVEHYNDVEMQEDLMEWLGKQPYWKRNHGRDHVFICQDPNALHNIVNRVKDAMLLVSDFGRLGHNQASFVKDVILPYSHRITTYKGDIGVENRKYLMFFMGNRYRKEGGKIRDFLFKILENEEDVVIKHGTQSRESRRMATQGMHSSKFCLHPAGDTPSACRLFDAIVSLCIPVIISDYIELPFEDVIDYRKIAVFVDTDSAVTPGYLVKLLRGVKTGTILEFQQELTKVRHYFEYDDPKGSVNEIWRQVSLKVPLIKLMINRDKRLVKRELTEPDCSCLCTNQTGIHESFHDAAS >cds-PLY92226.1 pep primary_assembly:Lsat_Salinas_v7:6:74928153:74929622:-1 gene:gene-LSAT_6X53681 transcript:rna-gnl|WGS:NBSK|LSAT_6X53681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLYFNRRFCTAIQSPRPWLFVGLGNPGDKFKGTRHNVGFNMIDTFAESQGIDMDTVFCKAIFGKGIVDGVPVLLAKPQTYMNLSGESSGPLAAYYKLPLNRVLVFHDDMDLPCGVLRLQPKGGHGSHNGMKSVIHHFRGNREFARLRIGIGKPPGQMDPKAFLLQKFNATAQERIDVGLKEGVVALKELVSKGVEESARSFNTDQKYKHIKISVN >cds-PLY93130.1 pep primary_assembly:Lsat_Salinas_v7:3:55500629:55507755:-1 gene:gene-LSAT_3X43301 transcript:rna-gnl|WGS:NBSK|LSAT_3X43301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDPLKIQQPVIGKLRWSSRLRGGAADLRRPSVVLFSSSTTTNQRGKEATGSKGQGVVEKMEGMVTGGFVDQSRKRERWKPVFLTNHTIFILIVVAFKSREDHRKQMELEEARKAGLAPWDVDEDGKEINPYIPQYMPSAPWYLNAERPRLKHQRKWKSDPNYTKSWYDRGAKIYQADKYRKGACENCGAMTHTTKTRMERPRKLGAKWTSKNLAPDEKIETFELDYDGKRDRWNGYDATSYAHVIERYEACDEARNKFLKDQQLKKLEEKDSNTDIIALKNYMDAQYYGEIGIGTPSQKFIVIFDTGSSNLWVPSSKCYFSVSFDFSNSRFLSIYSFNHVLIILDMDIGTSAAIQYGTGAISGIFSQDFVQLGDLVVKEHDFIEATKEPSITFLAAKFHGDVLIGDTTTGFKQLNIHAWEAFEKGNDVHMQSAPSQAELLYKNYKVNKEKLKSQVKEKLNMIVLEESSKTRYFYILYFH >cds-PLY75456.1 pep primary_assembly:Lsat_Salinas_v7:7:72889801:72893055:1 gene:gene-LSAT_7X52980 transcript:rna-gnl|WGS:NBSK|LSAT_7X52980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSSFNLLNLDDYSCVSDRPRIPRIMTVHGILSEFEEDDNGGIDNRNINETDRFPDAVSSPTAGRRIVVANQLPVKARFETETNKWVFQYNPDSLVLQLKSGLEPDTECVYVGSLPVDVHPSEQEEVAQILLEKFRCVPTFLSLEIQNKFYHGFCKHYLWPLFHYMLPVTRTQGVRFDRVAWRAYVSANKVFADKVMEVINPDEDYVWIHDYHLMVMPTFLRKRFHRIRVGFFLHSPFPSSEIYRTLPVRDEILRALLNCDLIGFHTFDYARHFLSCCSRMLGLDYKSKRGYIGLEYYGRTISIKILPVGIHMGEIESVKSSPETAAKVLELKQKYNGKVVVVGVDDMDMFKGISLKFLAFGKLLEDYPTLRGSVVLIQILNPARSRGQDIQEVETEMRTVAREVNRKFTKDGFEPIVLVNGSGSTHEKVAYFAIAECVVVNAVRDGMNLIPYKYTVSRQSNPDLDRVLEPDEPESNPKPKKSVIIVSEFIGCSPSLSGAIRVNPWDIDSVTEAMYLAIKMPDREKEMRHEKHYKYVSSHDVAYWALSFDQDLVRACKEHFHKRCWGVGFGLQFRVVALGPTFRKLSVEHIVSAYKKTNSRLILVDYDGTLMPQGLIDKTPSKEVISILNALSSDPKNVVFIVSGRGKDSLSKWFDSCKKLGLSAEHGYLTRWSGDSEWESCELTVDVGWKKVALPVMEHYTEATDGSFIEQKESALVWHHQEADPDFGAWQAKELLDHLESVLANEPVVVKRGQQIVEVNPQGVSKGVVLDRLVTTMQKNGRPLDFVLCIGDDQSDEDMFEKLGSSVANGIAEVFACTVGQKPSMAKYYLDDTVDVIKMLHGLESVSTHVAESTRFHVETRT >cds-PLY71007.1 pep primary_assembly:Lsat_Salinas_v7:9:71342450:71343180:1 gene:gene-LSAT_9X59221 transcript:rna-gnl|WGS:NBSK|LSAT_9X59221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSCDNYTYCDCNYNHALVVEQKNSLQKWASHYYWLNVDRVAMMHHRTKFISDQSFTLYSEMEKMVSVLQCLQVVAYDFLKYILDELKRVRLADLWQNEEAFIAWASTIQDAERHCATVQPSQLAYIDPARVESYRGKGIESEVVEDIKEGVLVTPSSKQKVAQQGVSDFDVRNLALVR >cds-PLY66724.1 pep primary_assembly:Lsat_Salinas_v7:6:79829645:79838932:-1 gene:gene-LSAT_6X57320 transcript:rna-gnl|WGS:NBSK|LSAT_6X57320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGCISVANTSYLRKSSLVQPITRQETKTPKFVRPARVLKDSAGGDIFKHYKFGKELGRGEFGVTYQCQNIETGEKVACKKMSKSRLIAEIDVEDVRREVTIMRHMPVHPNIVSYKDVYEDKDAIYLLMELCEGGELFDRIVTKGHYTERAAAVVIKTILEVVQVCHTHGVMHRDLKPENFLYAHKGENASLKAIDFGLSVSFEHGQRFREVVGSPYYMAPEVLKRNYGEEIDVWSAGVILYILLCGVPPFWAGSVDAQQVVLEPVARVRFSQRAISKAEGRGGSWGSEMASTKTSHDGLEYSNRMSGPGGLNVLYGKFLVTETEEGIAQAIIKGDINFERDPWPRVSEDAINIIRGMLDQNPYDRLTVEEVLENKWIQNAHKVPNIPLGEHVRARIQQFSLMNKFKRKVIRVVAENLPDEQVHGLKQMFDDMDKDKNGSLTFEELKDGLCTIGEQPLADPDVQMLMEAADLDENGVLNCEEFMIVAVHLKRISNDDHLRQAFHHFDKDNNGYIEYDELRESLFEEHQNSYNEKLVHDIIHDADLDKDGRISYPEFAAMMTTGMDWKMASRQYSRVMLNAISVKMFKGETIEEEEDIEIS >cds-PLY77111.1 pep primary_assembly:Lsat_Salinas_v7:7:183342181:183346935:1 gene:gene-LSAT_7X109541 transcript:rna-gnl|WGS:NBSK|LSAT_7X109541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLVQNGLIDLSSTTIPYSKLASHGANEHSETHNDKMEDMFVAILSMLLIVALIPLYLWKRRQVPQSHDEQEEPQIQQRETVVRPTGARSRMRRRNTSAASTSSAPPQAEEETEDGSDEEAVEGEYYNAKTSKKKGRKREEGQAQRQAEEAARESRNTKQDRYAEIRRRKDEEHEARERMLEEEAEARKAKEEEAAALEFEKWKGEFSVDAEGTTENEVQDGTQGLLFDFVEYIKKQKCVPLEDLAAEFKLRTQDCINRITSLEDMGRLSGVMDDRGKYIYISHEEMKAVADYIKREGKVSIAHLANKSNQFIDLEPKTPLFEDLAIQDEITVA >cds-PLY98355.1 pep primary_assembly:Lsat_Salinas_v7:5:316146646:316151291:-1 gene:gene-LSAT_5X173301 transcript:rna-gnl|WGS:NBSK|LSAT_5X173301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERFWKYNRFKLRLAILFVVALVIDHSSSLCWSLNTEGLALLKFRDGIERDPLGVLSGWNNDDEAADLGPCSWFGVECSDGKVVILNLRDLCLEGTLAPELGKLTNVKSIILRNNSFYGTIPQEIGDLKELEVLDLGYNNFTGPFTSDLVNNLSLSILLLDNNNFLDNLSPEVNNLKTLSEFQVDENQLTNAHNNDFICNKRSSSWNAIESGYVTERKLLQASPSSSVVPVSSISLPPSATSPSMSPVSAPSPSTSPVSSPSPSTSPVSSPSPLFPIPAETPSLLPENKPALAPSPRSFISYKSKHHRVLIWSGVLGGFMFIFLSVFGFVFFKRSRVVTVNPWATGLSGQLQKAFTTGVPSLQRPELVTACEDFSNIIGSMLDGTVYKGTLSSGVEIAVTSTVITSVKDWTKSLETQFRNKIEMLSKVNHKNFVNLIGYCEEQKPFTRMMVFEYAPNGTLFEHLHIKESEHLDWSMRLRIAMGMAYCLEYLHQFNPPITHNNLQSSSIYLTEDYAAKISDFSFWDSQKTGPTKPNFLHTDDTLSSSSSPQTNVYSFGLILYELITGKVPNPNSNTPTPSWVSDFSVDPTLTWVKQDSVDELFQVVKSCVLLEPNERPSMKEVTSRLKEITDMTPESTIPRSSPLWWAELEILSSTESI >cds-PLY84921.1 pep primary_assembly:Lsat_Salinas_v7:6:14978334:14979843:-1 gene:gene-LSAT_6X11021 transcript:rna-gnl|WGS:NBSK|LSAT_6X11021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGVRVNGLSSAKRLYQTILYQSSRTIASNILIQEPVSRIKTNLDSEMVGKSGIENLDLSWDSLLTSIYSSSPHKAQLVLEWGLQKLQKENEKNHDIYLELIHLCGKIQNTQTAMRVFTLMENQGLKPTSIILNALISAHLSSGNVITALSIFQLMQNSEDYKQPTSHTYNLFISGFANMGNSKAMVAWYEAKMASGFSADLDTYETIILGCIKLKRFEDADRFYRDMLVAEFKPNTSILHNMLVGLCERKDLVKIRGFMQSILDHKWEINMCMADKLVGFYCELELVKELEWVVEKIRNTNLDFDVVSQFHNGLIRVYAKLDRLDDLEYCIGRMLKEGVSFRSHKDVEMVVCCYFRGDAYDRLEVFLEFIKDSHKLARSTYELLVAGYRRGGLYEKVDFLIKDLDNVC >cds-PLY63228.1 pep primary_assembly:Lsat_Salinas_v7:6:86773758:86776799:-1 gene:gene-LSAT_6X60180 transcript:rna-gnl|WGS:NBSK|LSAT_6X60180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 13 [Source:Projected from Arabidopsis thaliana (AT2G32770) UniProtKB/Swiss-Prot;Acc:O48840] MVFKREGGSVILIGFYCYVLILLGFVFGGEIVKGDGGIPTTLDGPFTPVTVPLDESFRGNAVDLPSDDPRVRRTVNGFQPEQISISLSTTHDSVWISWVTGDYQIGDNIKPLNPESVASVVEYGKVKSMKKQTQKTEGYSLIYNQLYPFKGLQNYTSGIIHHVQLTGLSPDTVYYYRCGDPSIKSMSGVFHFKTMPVSSPKNYPKIGIVGDLGLTYNTTSTVSHLIQNQPDLVLLVGDATYANLYLTNGTGSDCYSCSFSNTPIHETYQPRWDFWGRFMQRLTSKVPIMAIEGNHEIEEQVDNTTFAAYSARFAFPSKESGSKSTMYYSFNAGGIHFIMLGGYIAYDKSSDQYKWLQRDLANVNREVTPWLVGVWHPPWYNTYVSHYKEVECMRVAMEELLYNAGVDIIFNGHVHAYERTNRVYNYTLDPCGPVHITVGDGGNREKMAVKHADEPGNCPEPSTTPDSFMGGFCATNFTSGPASGNFCWDRQPEYSAYRESSFGHGILEVKNETHALWTWHRNQDIYNESGDQIFIVREPGRCPVVKAKPTLKLWRSAK >cds-PLY91661.1 pep primary_assembly:Lsat_Salinas_v7:8:13248810:13250931:-1 gene:gene-LSAT_8X10601 transcript:rna-gnl|WGS:NBSK|LSAT_8X10601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEANPESKKIHMYTIPGFMLPFKIWILEMFPEATKFYVRIPTELPRMRCWRSKSALSWENSCRIINVSVPNNQPIQVVANETELMLPFYVQYVNWTLNHEESPPPQQSPPPHHSPPPQHCSVRNSPPAVQSPPRRSMCKSDTCSTESATNDSSSQQPEIETGVVKKKKSSTKALVKRLLGVVAELSSKVDRVLEEKDEPNNRFVEDEEEEEEMINEEGEEAYCHDMQFDYAGLEEKAAPTPMEPSPDLGEHDTKIVTPTGRPQRKRAPAWYQLTPFTVHIAIWRSLLMERRPTNARWTLYPQGINLEPEKSYLFRNVADGFGDCPKWKDVDTVLFVINVVHAHWFLAVLHLDIWKVEIFDSARVAGYFSTHNTNGEFKSFGDSIISELDAIDYWSHFPIGHRDKAKVEFVDVVDAPQQEYSLERGDCGVFVCMFMEMIVSGVPVAISWTCREVAFLYRSKMANVIWDTV >cds-PLY77985.1 pep primary_assembly:Lsat_Salinas_v7:MU042443.1:683956:685572:-1 gene:gene-LSAT_0X13921 transcript:rna-gnl|WGS:NBSK|LSAT_0X13921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVGLTGVFLSFAITTLFSSSFAQTCSTYAFASNKVFSACNDLPVLNSFLHYTYNPSSKTLRIAYRHTNIGSSRWIAWAINPASQGMVGSQALVAFQQSDGKMRAYTAPVTGYTTQLQEGDLSFPVSDLSATYSNNEMIIFATLSLQNASTILNQVWQDGQLSGSSPVAHATSGDNVKSMASLNVLSGESGSAPAARSSSKNKKRNIHGVLNAISWGIMMPLGAILARYVRVFESADPAWFYLHVTCQTAGYIIGVAGWATGIRLGSQSPGIQFTSHRVIGIILFCLATLQVIALLVRPKKDHKYRIYWNLYHHSLGYSIIVLGIINIFKGFDILNPEKKWKRGYTGIIIGVAIVALVLEAYTWFVVWRRKKAEKAQKMSNGRNGHNGYGGVTNGRV >cds-PLY85282.1 pep primary_assembly:Lsat_Salinas_v7:3:71620038:71621338:-1 gene:gene-LSAT_3X54560 transcript:rna-gnl|WGS:NBSK|LSAT_3X54560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPTMILPVVLLVAILSFTNALPSETISNAAETLSDSGYIAMSLTLNLVSSSLLSQTSSATIFTPPDSIFTELGQPPQSLLELHISPLGFSFPGLRSLDPGTKIPTMSSDNYLTITSPSSSDQVSINNVKILGSPIFDDGSLIIFGIEKFFDSNFTVSDSPAQIPSINDCETPYSGGNSFSFHEASNVLISRGYSVMASFLNLQLLGFLSQPSLTLFAPADEVMVDYSGRFPDYPSLFLRHVLPCKISLKDLVNIENGTNMNTYLNGFRINVTRSGATLKVNELPIAFPDMYYSDWLVIHGVPAVLSLSEPADDEDNDDSDGDTFDTIPFRDSGDEVTVPDASTRSTEF >cds-PLY63030.1 pep primary_assembly:Lsat_Salinas_v7:8:76370355:76370871:1 gene:gene-LSAT_8X54261 transcript:rna-gnl|WGS:NBSK|LSAT_8X54261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKVKDLASQKAAVIFTKSSCCMCHSIKTLFYDLGASPAIHEVDHDADMEWALRRLGCNPTIPAVFVGGKYIGSARDVISLHVDGSLKQKLIEARAIWF >cds-PLY94376.1 pep primary_assembly:Lsat_Salinas_v7:6:10877211:10878705:-1 gene:gene-LSAT_6X12820 transcript:rna-gnl|WGS:NBSK|LSAT_6X12820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSNGSSAKPLKFLIYGKTGWIGGLLGKLCQSQGIDYSYGSGRLESRDTLIADVNTVNPTHVFNAAGVTGRPNVDWCESHKVETIRANVVGTLTLADVCREKGLILINYATGCIFEYDSKHPLGSGIGFKEEDSANFVGSFYSKTKAMVEELLKNYENVCTLRVRMPITSDLTNPRNFITKITRYEKVVDIPNSMTVLDELLPISIEMAKRNLTGIYNFTNPGCISHNQILEMYRDYIDPKFTWKNFNLEEQAKVIIAPRSNNELDATKLKTEFPELLSIKDSLIKYVFEPNRKTPVKA >cds-PLY88634.1 pep primary_assembly:Lsat_Salinas_v7:5:140875291:140877162:-1 gene:gene-LSAT_5X61700 transcript:rna-gnl|WGS:NBSK|LSAT_5X61700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKPSIILVLDEPTNYLDIPSTEMLEIDFLNFDNEVDNDVEEPDEDLSDTEENRLVDDSGWSSHTKIFKNYIPYLLQGKKIKDDFLNTSVQDLVGRSRRFGHLGLAVNFITFEDRFNLYRIEHELGTEIKQIPPLIDRAICCC >cds-PLY87049.1 pep primary_assembly:Lsat_Salinas_v7:5:267030001:267031651:1 gene:gene-LSAT_5X138141 transcript:rna-gnl|WGS:NBSK|LSAT_5X138141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLELVFIPIPGYGHLKSAIELAKRLVDRDERLSITVLVISNPAQTDIDSYTKSSAASNARIKYTTIHPTDPLPQGPQPSSSSPISLEKLATLFIESHKSQVEQAVRELVSDDSTHLLGFVLDMFCSCMIDVANKFKVPSYIFFTSNTAFLGFLLHLPIRHNQVGFSFNPSDPDSLIPSYQNHVPVNVLPGAVFDKTGGGYETFLYLGTKFQECKGFIVNSYVELEPYAVNSMVAQPSVYPVGPLLDHNKSTGSIEIKNWLDKQPSKSVLFLCFGSMGSFKQPQIEQIAIALERSGHRFLWSIRQPSPAMHGAPSDYTSYEKVMPDGFFGRVKERGMVCGWAPQIEVLAHGAVKGFVSHCGWNSILESMWCGVPIATWPLNAEQQLNAFLVVRELGLAVELSLTYRSSGTELVMADQIERAIDCLMDDTNPVRERVEKISQKSRKALINGGSSFVTLGKLVDDMLQNIGAE >cds-PLY95550.1 pep primary_assembly:Lsat_Salinas_v7:6:173786778:173789581:1 gene:gene-LSAT_6X104940 transcript:rna-gnl|WGS:NBSK|LSAT_6X104940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDEMMSEKRGGSISEEDLSAVAQRYKTTTVLNLLQEVGQVQDVMIDWYAMVKHTKTGITNPREYQMLWRHLAYREPLIEEFEDDFKPLDDDSDLEYELEAFPTVSKDASAEAAAYVKVLIASDSSSESCIEKGLVMEAPLMINIPKDKSTPPPSSDESTQLASSTGGVNITVPVFVPTQVVPPVLSGETLDTTNTCQNSNFPSRRKRKPWSAQEDRSLFDAVQICGEGNWANISKGDFKGERTASQLSQRWSIIKKRHTNSNMKTGPQLSEVQLAARHALNMALDKPGGATFSNKPVQPTMAEPPSAATLRQNQSQADPKRLFPRPLASGPDAVKAAAVAAGARIATQSAAAVILKAQLKSAIHINKMGNGTSRPPVARMGGPRERYSSISPHGPRPNLGSGHGNSNVGRTTGPHGGLQVKPSGPPSPVESVKEEQVVVSESLQKMNLESDLKDQEEKVVPTCLS >cds-PLY64762.1 pep primary_assembly:Lsat_Salinas_v7:7:192533166:192536605:-1 gene:gene-LSAT_7X114541 transcript:rna-gnl|WGS:NBSK|LSAT_7X114541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDVQNPLLGETTCGSLLHQLQKIWDEVGESDDERDKMLVQIDQECLDVYKRKVDQATKSRSHLLQTLADAKLELSTLVASLGENTFVGFSEKTGGTIKEQLAAIAPALEQLWKQKKERIEEFSDVQTQIQKICGEIAGSSEGAAGLGNQGVDESDLSLKKLDEFHDQLQQLQKEKSDRLHKVLELVSSVHDLCAVLGIDFYSTVTEVHPSLNDATGVQSKSISNDTLTRLAKTLISLKEDKKQRLHKLQELATQLTDLWNLMDTSEEEQGLFDHVTCNMSASVDEVNVPGALALDLIQQAELEVERLDDLKASKMKEIAFKRQGELEEIFARAHIEIDREGAREKILGLIDSGNVEPSELLVDMDNQIIRAKEEALSRKDILDKVEKWMSACEEESWLEDYNRDDNRYNASRGAHLNLKRAEKARILVNKIPGVVESLVGKTRAWEEEHGMSFMYDGVPLLAMLDEYAMLRHDREEEKRRVKKDQKKMVTEQQQVNPNTEVETAFGARSSPGRPLSSNTKKVGVRATANPNGSPNRRLSLNQNRSVNRDGKVKPNNSPSPLKDAASRVSGTEPAPTTP >cds-PLY87820.1 pep primary_assembly:Lsat_Salinas_v7:5:129042900:129043664:-1 gene:gene-LSAT_5X56700 transcript:rna-gnl|WGS:NBSK|LSAT_5X56700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHCEGAISSYNPIDKQHKVSYVDGDDEVLNLCFEKWSIQDKSSPQEEKVSELPSPITTSSKHLKQKVKRKLEFSPKQEDNSNSPKRFSSETKPTKGDNIKDTIETSEQLKQAEGVTIKFEDELVVTGGDDSDTNTFLLSEYFRSKRLKNRVNWVLLEDGIGYLNKSLVSKSGRHVEGNPKGWRLFADFYGKCYFWYENLDSSLSLLCYPHLCCCCRRAISSCTYAGYRELFMGLLGFTEEEVCSTRWFSRNQTL >cds-PLY76206.1 pep primary_assembly:Lsat_Salinas_v7:4:54742073:54742489:1 gene:gene-LSAT_4X37240 transcript:rna-gnl|WGS:NBSK|LSAT_4X37240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGRQLKEDFGDVCVDDEMMDEDEMFEGLKQDYEDEEAYATVIEHCCGIILSEKNTMEVVLKDGLENFQIVYY >cds-PLY66428.1 pep primary_assembly:Lsat_Salinas_v7:6:152679823:152682065:1 gene:gene-LSAT_6X92160 transcript:rna-gnl|WGS:NBSK|LSAT_6X92160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAYGFSVILLVIGKLPSAHHDLTIELVHKVLMGAKYNGAGIFFDLSAAITRLVFHPLLIIRIFCLVLLLIGLRKLIHTHISLVHPGPVGFVERSDTWLAFALHESSIIFKDVCCIDIVMIIKPLWQIFIYNGFQICWIPIRRFKVLHITRMLIRDQALLWHIFRVIGL >cds-PLY69634.1 pep primary_assembly:Lsat_Salinas_v7:5:111062030:111062440:1 gene:gene-LSAT_5X49341 transcript:rna-gnl|WGS:NBSK|LSAT_5X49341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGKPFIVLTLLCFICTIHFVHGNNLAGVENISLRDVKLGRAIVKRYRNGTAEGILESLALRAISSKFSKISTILLKDAIRGFYDSSHALDVLNTKFGGNHRTGHITNVQEKVDVAFKKGGIGTSTNNDHIPGAGI >cds-PLY87386.1 pep primary_assembly:Lsat_Salinas_v7:4:12489071:12490356:1 gene:gene-LSAT_4X8141 transcript:rna-gnl|WGS:NBSK|LSAT_4X8141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAVSPTTCEWKRRILGKIISAVLLLFFVFTAPSTADIISAKIRSHNRDMIVFSEFEYSHTGYVSVALSSVAISSIPVTSNVSQLDPSRIGFLLLSDEFLYRYYQEFKQNPDMCPLDIKNISVLFTFQDLSPPLQSSFNKSYHVTYPRIHLLMGVLLVITLVHYLCAMADQQDLKVTGSHHGWHTLLYIFQFMRNVLLFTVIVLLNVEWCLRKPFLVEGEIFILTIVILLQVWANVSSVAAVESGPLNYQELQYLIDKFSLIDFSCCLVVGFHCFNLADQTTDSSKGTSATAMPPNMFQAWVVVYIIYSRGMNFPLKVTAAREAGDLVFYMVMFYMHKPILLMEQNLNCLG >cds-PLY96749.1 pep primary_assembly:Lsat_Salinas_v7:2:170867332:170874814:-1 gene:gene-LSAT_2X93681 transcript:rna-gnl|WGS:NBSK|LSAT_2X93681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFKTHDYKAEDKVYSLTRISVSSHPLWSSPSSSLSHTQDHGSTEFFDPLRGLSTETLEPVENTAVAEKPPATQPTSHLSSKKEFVELLWQLSLHALREVHRRTYAADVVSNPLPASLTDVAFSHAATLLPVTKMGEVMLGMPGLWADDNSEAADHYTTKIGGVPDWPFLHSIIKPDLLKCDSCGEKLCLVTQIYAPISSTTMTTEERVIYIFSCIATACETKRWRALRVQRSSTDKDLNPSSHDSVASPSTTKLQDDPWAFNDEEEDDDDEIDLDAICKALNEATTLASASKTKKQNTLSDSIENPSPISLSRSIDDKTPVLPCFYIYPKEENYLEDAAALNKSESKHKIEEKKDDDNDEKESWIGESYEYDRTLHADKTYLKFKKRLDVYPEHCFRYSYGGKPLLATSEAGDPGRCLLCGEIRHYEMQLMPPLLYFLQEASKNQSLENWDWTSVIVYTCSKGCAPSLKQECNGWIVAEEVVVIQTE >cds-PLY74114.1 pep primary_assembly:Lsat_Salinas_v7:9:14019824:14024456:1 gene:gene-LSAT_9X11161 transcript:rna-gnl|WGS:NBSK|LSAT_9X11161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHCVPDFEVDEDYLLPASSSLKRHKKSAMGDEDIMELLWQNGQVVMQSQSQRSVVGNKKSETMPSAATREIRSGVIEEEPTPCNLFMQEDEMVSWLHYPNDDNSLDLYLHNNEIMYPVLPSSAPVTTASTSLPPPPPVVTIPSSRPPIPPLKRVEEEPSQPKFANFLHFSRPSKGNLTGSAPSSSNKISQATVVESNNRSAAEALQSRASHVTESAAFVSGANVECRSFGGAAGTSIAGQEPETCDLSESSSPVTGGSGASASVEPSSRKPPPATDNRKRKGRDTDDTECYSEDAEFEYHDTKKQSRGSNMSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQMQVQMMSMGYNMVPMMFPGVQRYMPTMAPMGMGMGMGMGIGMGMDQAQVGMNRPMIPCPTMQPNHQGAAAAAGVHVGGPRFPVPPRGFQMPQQVPVPVMGPAAARSSQMANVNPIMNSFPPNQPRFPFPVDPYQQYIGLPQTQLPQPPQLPTLKLGQNIDFATHSSIVFLIGSAKI >cds-PLY86024.1 pep primary_assembly:Lsat_Salinas_v7:3:74178600:74179872:-1 gene:gene-LSAT_3X61100 transcript:rna-gnl|WGS:NBSK|LSAT_3X61100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSGPRIHRLQRWSQNKAVVFREAELQKETEKLKAEFLSKASVEYETKAAEAILYEKEKQAEAQKAMAKATLYSHQQVADGELYAKQKKQMKI >cds-PLY95129.1 pep primary_assembly:Lsat_Salinas_v7:1:94141370:94143126:-1 gene:gene-LSAT_1X77501 transcript:rna-gnl|WGS:NBSK|LSAT_1X77501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANRSFGGFRRRCFASLFKSTFTSYTPSTTRPIPYQEPSLSSFISSNTWWAFRNSTICRHYGSSSSSSSSSSYQSTVRCVISEGKPKFEAYEIDPPKKFKWKTKKKLKLQRKKEKHKRNLANKKDPRRLTIKGSKRKARFANAEERIKYKLEKAKIKESLLIERLKRYEVSKLQGPMVKPVDMTGEERFYMKKMAQKGSNYVPVGRRGVFGGVILNMHMHWKKHETVKVICKPCKPGQIQDYANEIARLSGGIPIQVIGDDTIIFYRGKDYVMPEVMSPIDTLSKKKALEKSKYEQSLESVRHFIAISEKELELYHRHIALYGDSSADHKCNTVIGSHQVLEENLDGLSNQELSASEVDDDDDDDDLSLSDTSCTDESTSELDSCDEET >cds-PLY88892.1 pep primary_assembly:Lsat_Salinas_v7:3:223121586:223121801:1 gene:gene-LSAT_3X129221 transcript:rna-gnl|WGS:NBSK|LSAT_3X129221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDLDYDELDQEPDEEKGVEEACSEPHQLEAPSNFRMFQGVDTYYLRALEEEVISMKLQLIMAKARATRAE >cds-PLY64573.1 pep primary_assembly:Lsat_Salinas_v7:6:35892216:35894853:-1 gene:gene-LSAT_6X28501 transcript:rna-gnl|WGS:NBSK|LSAT_6X28501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILMDEEILLDTFLNMGVWIPMNKINQNIKRSSFLSNYFDVSRLVSQNGQQLSSSGIKMLASKQGTEHDDFLKNHLFSNIDDLFEPLEEKTIIIVGTVKGKHQDIRWYYLACSNCKKLVREKRSPVDKLRISLDLFPMELKVVVDKKLTIKINITSYNTAANLTPCARDNSTATIL >cds-PLY95117.1 pep primary_assembly:Lsat_Salinas_v7:1:95275753:95280663:-1 gene:gene-LSAT_1X78021 transcript:rna-gnl|WGS:NBSK|LSAT_1X78021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSELVEPSCSSSIDRHRYDVFLSFRGADTRYGFTNHLYKALEYANITTFLDDTEIETGEYLKPDLESVIQASRASIIVLSRNYASSTWCLDELVLILDQRRASNQIVIPVLYHVEPTHVRNQQSTFGYAMNEHNRKMEAETDANKRSEIAQKIERWKKALTEVADLERKDVNGRLETEFIEEIVKDIYSRLRISSRSHLPQLFGMYPSIKFITSWLKDASLHTRDILTILGISGIGKTSLAKYVYDLHSYEFDKSCFIEDISRRCDEKLNRSNGFNGLLYLQKQLYDDISEPSSGQVHDGLISTPMIENAVARKKVFLVLDDIDHLNQLDALLGSKGFHPGSKIIITTTNSCLGESCALFKMNVKRRHIKHLLRGLHETESRKLLCSHAFMSKDPKAGYEEVSKKLVKYCEGHPLALEVLGKSLHNRDLAYWEECLEGLKQGNDSPINNILRKSFNTLPSPNDKDLFKHIACFFVGMDRDVSETILKSCGIETISGIKILTDRCLLSIGRNNKLMMHGLLQEMGRFVVREESPDKPWKQSLLWCPEESFEVLKQKKGTTKILGLSLDMSMLESEKSHKTFELNTDAFRKMDKLMLLQLINVQISGSSYENFPQGLRWLCIHGFCLKSIPSDLPMANLVALDMSRSKIKSFDICYSKKPKRQKLTGSCSKNKRLLGSLKILNLSFCKKLGSLGGFDQIPALERLVVTNCSALCKVCESIEECVELVLINFSYCKKLEKLPRTIGMLNKVKILLLDGFYNLNESQVKGRDVDSPDKFKANTMDMNTKPSSPDIPMGIPSELQFVMFAFPRSLVRLSLKTTNLLKESFPIDFNHLSNLEELYLDGYNLGESRIEISDMTPLEMFKASNRKPSSSAMVLKATPSERKLFMISLPRSLVRLSLNDNNMSTESFPMDLSFISMLKELYLDGNPIVSMPDCVRSLPRLEILSMRNCKFLTSVEHPPKTLRELILLFDSKPLLRKVVFHPHMSPLKFSVDMQLFLQLFFEIKGLVEIQPMISVEEEVLRSLGWTNILSRGYDESEIQMYYEFGIFSTIYGDKKEMPNWISHSRKGSSISFTIPSSRNNIKGLNLCVQTFLSLNEFFDLPVITIRNITKNQTWLYKHCIGGFTAGEESLIMLSHWMFGKNEMDTGDWVTVTVAMNFGLANECGVSFVFDDGKTDEEEEEEDALGYYKSWNHIIGGDLSAYQTTTGEYLLETSLFALPGNEPKTYYRRQR >cds-PLY62114.1 pep primary_assembly:Lsat_Salinas_v7:5:38873267:38873791:1 gene:gene-LSAT_5X18941 transcript:rna-gnl|WGS:NBSK|LSAT_5X18941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPSQRTVGVVSPVRLPVSVPFGSPVGVQAGSGSVPTRKRQSSRVVPSLDEEIESDDAGLRPRKARTTVSVARLLGGIGGILGGQFSASGQKDVVVVPSSLKASPSPSTGSPLVNPGSDSMFGRASSSPGGSFRHDKPSLVDETGTSSHSLSFEAYAPGWAITRDSLLSENATA >cds-PLY78214.1 pep primary_assembly:Lsat_Salinas_v7:8:66237484:66241453:1 gene:gene-LSAT_8X48041 transcript:rna-gnl|WGS:NBSK|LSAT_8X48041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLKIPTINASTPSMGILPFSSRKASRFFGASADVFPPFLLKEMEKIEDPFARKLVSRIEMLPVELTSSKNCIMSSCVKPMVQDTASPLVLLHGFDSSCLEWRYTLPLLEQAGLEAWAIDILGWGFNNLEWRYTLPLLEQAGLEAWAIDILGWGFNNLENLPICNVDSKRDHLFQFWKSYIKRPMLLVGPSLGAAVAIDFAANHPEAVDKLILINANVYAEGTGNLSKLPKSVAFAGVSLLKSLPLRFYATNKAFSALPFDTCLEWTQITRLHCLLPWWKEATVDFMSSGGYNVTAQINQVLKKTLIIWGENDGIVDSKLSVRLHCELQNAIIRQIPNCGHIPHVEKPDAVADLITEFVPRQLPDTLSSLPVVPEQEQNLLVRQISHK >cds-PLY98207.1 pep primary_assembly:Lsat_Salinas_v7:2:83173951:83177924:-1 gene:gene-LSAT_2X36081 transcript:rna-gnl|WGS:NBSK|LSAT_2X36081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGATNSKVEEDKALQLCRERKKFVRKALDGRCSLAATHFTYIESLTIIGKALSRFVEPEVPMESSLYTSTNATPEPQTFIGKSLSQFSFSSTMSQHINPPGNLSPSPSPPSSSRYYTNHMKIRGSFSRKVEEKPVVAVIGSVTSSGTPRNIIDEHELEPEPEPEHEPETPFGGPESQPWDYFGLSHDGFSPHEVGELNQGVENYKDEKISEMGQESEDEFDEPSTDGLVRSFENVNRVADNVSGAGNGLHSMSSAESVASETEILNGDKFNSPQISPLKRKTSGFSNVGISQDEKIEVVKGHETKPVPKDFISSMREIENLFVKASECGREVPRMLEANKLHFRPIFPGKERGSFSSKFIKACLACGDDPSQVQEEPAQNDTKYLTWPRTTSSRGSSFRHDPSPNVNTSQSQNQNQEDINSNLFDNFYMNAGSHASTLDRLYAWEKKLYDEVKANEMIRKVYDQKRKLLRELESNEESNRRIDKTRAIVKDLHWRIGVAIHRIDSISRRIEDLRDKELHPQLEELIEGLRKMWETMHECHNSQLLIISSLQINSHNKISLQSDSHRQISIYLESELRTLSSSFTKWIGSQKAYVQSLNGWLHKCVPPQQSTKKKRRQQPLLRDYGPPIYVTLGIWLEKLETLPTKEVADSIKDLAAEIDHFIPQEKAQGKFASASLPWQKNVVKSDHHHHDPAGVNLLNDEFLEDRFDRLRLRLEGFLGQLSNFSGLSMEMFTGLQKAIQDRKAMYARVHSLS >cds-PLY82925.1 pep primary_assembly:Lsat_Salinas_v7:1:19051497:19052033:1 gene:gene-LSAT_1X16560 transcript:rna-gnl|WGS:NBSK|LSAT_1X16560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNWVSVGSVYLLVGLILGALRSCNGGISSSYARSNDISADMPLNSDVFAVPSGYNSPQQIHTCTHNHIMLDDISLCIFVFIYHAFNIIV >cds-PLY81768.1 pep primary_assembly:Lsat_Salinas_v7:3:29998166:30003782:-1 gene:gene-LSAT_3X23420 transcript:rna-gnl|WGS:NBSK|LSAT_3X23420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSRFFYRRPPDGLLELVDRIYVFDSCFSTEVLPEGLYQLYLHEISNELHEEFPESSFLAFNFREGERRSQFSEILCEYDITVMDYPKQYEGCPLLPLSLVHHFLRLCESWLTLGNNQNVILLHCERGGWPLLAFLLASILIYKKLQTGERKTLEIVYREAPKGLSQLLSPLNPYPSQLRYLQYISRRNLSEEWPPPERALSLDCLILRAIPNFDNKNGCRPVIRIYGRNLLSKDGLLTQMLYSTPRRGRNLRHYRQKQSDVIKIDIQCLVEGDVVLECLHLDIDSEREVMMFRIMFNTAFIRSNILMLNCDNLDTLWDSKARFPKGFRAEVLFGDVENICSPKTPTTMLNGEEKGGLPIEAFNKVQELFNGIEWGDGGDDTALWLVKQLSVLNDAKELSMLRNKLSTYSSPFDSEDENNASSVADSLDFLESERSNDMTCTPASTVSFYDEASYRDSISDGTPDRKSLEDPLQLQHKISDAGDSTSTPTSADLSNVNGSLASPKHVPVDSLDDAIAPPPTLPPISTKTLPPLPPPPPPPPPPPPPVSSRGAPPPPPPPPPPPPVSSRGAPPPPPPPPPPPPVSSRGAPPPPPPPPPPPPVSSRGAPPPPPPPPPPPPVSSRGAPPPPPPPIASTSSFSKSSPPPPPPPPPFGVATKASATPGPPPPPLPPPPPGAPRQGTVSSVTKPPGAPPPPPPPPGRNGAGPPGPPPPPPPGRTGPPGPPPPPPPGRSGGPPPPPPPGRSGGPGPPPPPPPGTKGGNGLQQAAPSTGRGRLTGSLSSGRSKAGSGSSIPPKKTSLKPLHWVKVTRAMQGSLWADNQKQEDQSRAPEIDISELESLFSAASVSDSTSKGGARRGSKINKPEKVQLVDLRRAYNCEIMLTKIKIPLPDMINAILALDASALDIDQVENLIKFCPTKEEMETLKNYTGNKEMLGKCELFFMELMKVPRVESKLRVFAFTITFTSQVSDLRRNLSAINDATIEVKESAKLRQIMQTILTLGNALNQGTARGSAIGFKLDSLLKLSDTRARNNKMTLMHYLCKLLAEKMPELLDFDKDLVHLETASKIQLKNLAEEMQAVSKGLEKVEQELTASENDGAISAGFQKALKAFLDTAEAEVRALISLYTEVGRNADSLSQYFGEDPVRCPFEQVTQILALFAKMFKKARDENAQQAETEKKRLEKEAHALRKESINIDVDHKKDLRNMIRDTSLSMKKQNL >cds-PLY67737.1 pep primary_assembly:Lsat_Salinas_v7:9:166341522:166344991:-1 gene:gene-LSAT_9X102540 transcript:rna-gnl|WGS:NBSK|LSAT_9X102540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSGVRVSIPNNVRKTIQNIKEITGNHSEDEIYAMLKECSMDPNETTQKLLLQDPFHEVRRKRDRKKENPIKESTEPRWKPGMQGRGNRGGRGSGAYSSRHNSHDAGSNRNAVSGKENEIIQGANDGVIIPATHDKKNKEKSMVSSSSNIAPDIPVSEKTTSVPDAHLSASDPILMPSQDSRIPVGTIKREWKPKPPTTNPIPVHVQVQVQDPETSDSIPVPVIPVEPVSLLTSESTIPDPKETKLEEPEPEPELESESHIPDTQHVIIPNHLHVPEAEKLGFRFGSFDFNSISLNDPVSDKCPVSNPSEGITEHIDEQDTVVTVTAADVDDHDHDPDRPSENLSTEGDMSSSVAHEPKQEPSPPGHQYPVVSFGFMPPIIGTHIAPFENTESQPSRVPSFVVQQPFDPSSYYPHFYRSAPDNDGRVSPFHPPGAPPKYNGNVAVLSSQEVGNSLMSTQVMQSSIAVTQQPLPVFRQPTGLHLPHYPPNYIPYGPYFSPFYIPPPAIHQFLSNGGFPQQGQSGNMYPGPPVATGKYPGQQYKPGGNSGNPGQGYGHFGSTQGGNSASNEDLGGPQFKESNVYVTGQQTENQGVWIATAPGRDISGSFYNLPQGGQVAYTPTQPTFASIYHHPAPPVTTTSLHPLLHQPQTMAGGVDMVGPTSNMYQQQQPPPQPTQINWPNNY >cds-PLY95682.1 pep primary_assembly:Lsat_Salinas_v7:2:111990241:111993963:1 gene:gene-LSAT_2X50361 transcript:rna-gnl|WGS:NBSK|LSAT_2X50361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTFAGVSSVGSLACSSNRVIDKNLSIPSNKLSSFASISSTSLVRRQNLSLRKAPRTPQIKAAAKELYFNKDGSAIKKLQIGVNKLADLVGVTLGPKGRNVVLESKYGTPKIVNDGVTVAKEVELDDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTTKALVAELKLISKEVEDSELADVAAVSAGNNYEVGNMIAEAMSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMVVEYENCKLLLVDKKVTNARDLISVLEDAIKNGYPILIIAEDIEQEALATLVVNRLRGALKIAALKAPGFGERKSQYLDDIAILTGGTVIRDEVGLTLDKADNSVLGHAAKVVLGKDYTTIVGDGSSQAAVEKRVAQIRNLIEAAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGVVVGGGCTLLRLASKVDAIKETLDNDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLANDNARYGYNAATDKYEDLMSAGIIDPTKVVRCCLEHASSVAKTFLMSDCVVVEIKEPEPVVAGNPMDNSGYGY >cds-PLY64248.1 pep primary_assembly:Lsat_Salinas_v7:7:3812644:3816279:1 gene:gene-LSAT_7X2520 transcript:rna-gnl|WGS:NBSK|LSAT_7X2520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSGAVVEVLDSTTDGKKYITSEELQKHNKPSDLWISIQGKVYNVTEWSKIHPGGDIPLLNLAGQDVTDAFIAFHPGSAWQHLQKLFTGYHLKDYQVSDISKDYRKLASEFSKAGMFDKKGHGVIYSLCFVSLLLSACVYGVLYSTSVTIHMLSGALLGLAWMQIAYLGHDAGHYQMMSTRGWNKFAGIFIGNCITGISIAWWKWTHNAHHIACNSLDYDPDLQHLPMLAVSSKLFQSITSVFYGRKLTFDSLARFFISYQHYTYYPIMCVARVNLYLQTILLLCSKRRIPDRAYNIIGTLVFWTWFPVLVSCLPNWPERVAFVLVSFCVTGIQHVQFTLNHFAADVYVGPPKGNDWFEKQTSGTIDISCSSYMDWFFGGLQFQLEHHLFPRLPRCHLRSISPIVRELCKKHNLPYTSLSFYDANVTTLKTLRTAALQARDLANGAPQNLVWEAFNTHG >cds-PLY99731.1 pep primary_assembly:Lsat_Salinas_v7:9:55354161:55355783:-1 gene:gene-LSAT_0X9700 transcript:rna-gnl|WGS:NBSK|LSAT_0X9700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHLLLFFFTFLHIFYENWVPNPSCNVVHSGNNQEIDQGDLTKVEDDLKVMMVADLLLTGHETASGSFDLHLKDFFFSRFFRKSFEFLKPDMLIVLGDVSAQGAKLSTSKWSFVLQEFHSLLGPFLDLPYHVVPGDRDIGECNGLKEKSVNKITRNFPGLDSGGCGAFEIGNVNFVSLNSVALLCGNSDLRFSVEKALERERIEMETESTIDESSGIKIPKYDINWRENTMSSGSGPVLLLHFPLHQTENNSRPEPSKTSQHIGVGPYELSQRLPPNATEYIFHALRPRMVFSAHAQSFSDRIHPDGTREIVVPAMSWDTGKNPAFVAVTFRKNKNATSAIVSHCKLAGRSHVLLFYMSLFFLLILTVQTALRS >cds-PLY75387.1 pep primary_assembly:Lsat_Salinas_v7:6:179876416:179877836:1 gene:gene-LSAT_6X110060 transcript:rna-gnl|WGS:NBSK|LSAT_6X110060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIPVIDFSKLNGEERAKTMAQIANGCEEWGFFQLVNHGIPVDLLERVKMVSSECYKQEREDNFFKNSAPVKLLKELIDKKSGDKLENIDWEDVFLLSDDNEWPLKTPGFKEAMVEYRAEVKKLSEKLMETMDENLGIPKGYIKKAFNGGEEDNAFFGTKVSHYPPCPHTEMVSGLRAHTDAGGVVLLFQDDVVDGLQILKDGEWIDVQPIPNSIVINTGDQIEVLSNGRYKSVWHRVNAKANKTRRSIASFYNPSYNATIEPATQLVKKLENEEVKFGYPKFLFGDYMSVYTEQKFLPKEPRFQAVRTASADKYDATS >cds-PLY96393.1 pep primary_assembly:Lsat_Salinas_v7:2:87993274:87996430:-1 gene:gene-LSAT_2X36940 transcript:rna-gnl|WGS:NBSK|LSAT_2X36940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFHNNTCGSKLLLALPDDIFAVVTRSLTPRDVFNLSICCRSLYALASSDKVWLTQCELLGVIPHRDLIEWRKGVNSYKSLCRFLINVQPIIGIWVHQNPELGNVVYVMPGFISVVGCRIIPQELGPLGIEEGPILWSPVFEILGNVDGSSVFLLHGRERENNYIYPGSMKTVDRNCNVLLLEVEPRLQKDGGKLFHSKSFAGNTVDKEFSRKICPSERGVSKSQRFHGQKPPVPFSKLAFGDRRKLIEIVTSQIRVKVPQSAEGFSFPCSESEDFSRLTERRSRVMQMYSDNGLDPDPTQSDLGEVRKFLDRSTGSTRAQFHRDIYRTQSSKKKSLVGYFRDSFKHIIGKSSSINGSHEHSKSSENNKQVQFQEFLRSGETIGLTLHASTARLSSYRAWPNMHDSRFALYKLPQHEPVAGQEHAGLWGGTFGWPPGRPSENKPGKALFFLLLSYEESQGTRSLIGTKILEGTHYVLHPNGSPMFIVNIDEPSVEPFPWESDGESNFLDLKEAFSGEGIANGYGFRYPGSKPGSLFVFEDGMLVFMWKESRAVLTLQRVNLQDLLKKGERVPPLPPIANFSYLTKSYSNVYAGFSNAPNHASSPRGFFEEGAQEDGVEERREVVFTRNSVSGIRIRRG >cds-PLY63351.1 pep primary_assembly:Lsat_Salinas_v7:7:152231323:152233075:-1 gene:gene-LSAT_7X89281 transcript:rna-gnl|WGS:NBSK|LSAT_7X89281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNGDLSGALYRKSDSDDDSLQSLDWITRLKIALGAAEGLSYLHHECTPPLVHRDVQASSILLDDKYEVRLGSLSEVCPQEGESHSNRFTRMLRLPQTSEQGASGVGTATCAYDVYCFGKVLLELVTGKMGISATNDPTTNTKDLLETILPYINIYDKELVTNIVDPSLIIDEDLLEEVWAMAVVARSCLNPKPARRPLMRYILKALENPLKVVREESGSSARLRTPSSRGSWNAALFSSWRNSSTEMAAAVVAGPSSLKQSGTTGSQSQGSGGGYNGGGGGGGDSKRSKDVFPEPLDVQDEERSNGGY >cds-PLY83215.1 pep primary_assembly:Lsat_Salinas_v7:1:49494878:49499027:1 gene:gene-LSAT_1X44040 transcript:rna-gnl|WGS:NBSK|LSAT_1X44040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:associated molecule with the SH3 domain of STAM 3 [Source:Projected from Arabidopsis thaliana (AT4G16144) TAIR;Acc:AT4G16144] MRPPLAKRIDVNEMARKKEVDDRIPLRNYYRIADNLLKQARIYREEKNIIDLYVILLRYSSLVLDTIRFHRDYQVLCLKERAYCKKQVLGVLDELESLKPEVDRQVGGLNKAHSRTEDFQQNDIGKNQNGSRGSFQLPDLNNNVPFRSDSNQSLKKPILPSTHENLHLQSSPIDMQFQKLSLLPPPKQETLSRHSLLGPNGLRGQWSTPNTEIKVQYPAYSDLNTNENLSLIQSVQSDTTSNKDANSGMETTSTMESVLSLDDGSWTRPPQQPRVHFDSSDFFSENIIRQPSPPPVLARVQPQQTPISPTRVADPRPGPAKSFQDNTPSANGYQHLHIPLKMMQDFLRLAQENTRKNLETCGVLAGFLKNRVFNITTLIIPKQESTSDSCQTLNEEDIFEIQDKRSLFQLGWIHTHPTQSCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDESCPHGIFHLSDPGGVNLIRNCDQRGFHPHEEPDDGSPIYEHCSHVYINETLRFDVLDLR >cds-PLY86526.1 pep primary_assembly:Lsat_Salinas_v7:2:5016681:5017563:1 gene:gene-LSAT_2X2021 transcript:rna-gnl|WGS:NBSK|LSAT_2X2021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGACLSSAGDSVHNSSSALVISVDGDLCSYPTPIFVSEVLRFEKSSTFFLCNSDDLYYDQYINALDLEDQLDAAQIYFILPKTMLGRRLSASDMAALAVKASLALDSNSNTDSHKRNNNKARISPLVLMETTGANENKNVGSLRMSSSRSIRKLSKRSSKKARLGVGSFRLVLSTIYENDGCDELQMI >cds-PLY98869.1 pep primary_assembly:Lsat_Salinas_v7:5:18990609:18991949:-1 gene:gene-LSAT_5X10141 transcript:rna-gnl|WGS:NBSK|LSAT_5X10141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MHF1 homolog [Source:Projected from Arabidopsis thaliana (AT5G50930) UniProtKB/Swiss-Prot;Acc:Q9FI55] MEGGYNGSDEEKEDVEETTSHLRDRFRLSTISIAESEAKQDNMEISQPVIVCISDLAFKYAVVFSVTAEQLAEDLEMFAHHAGRKSVNMNDVIISAHRNTHLADLLRSFSYNLKAKEPQSEKKRKKSSSKESKGLPSVLNLDP >cds-PLY62372.1 pep primary_assembly:Lsat_Salinas_v7:8:113245539:113246753:1 gene:gene-LSAT_8X77281 transcript:rna-gnl|WGS:NBSK|LSAT_8X77281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGGSIRQQLANLDLRIIIDYSLVEWKELEEEEPTGNEWEDRKVGRRKDFLLRRMELAKHFIRTNIEPKWMLLCLLPVLPPELIPIYHTNEDKLVTSDINEIYRRIIYRNNTLTDLLTTSIATPEELIISQEKLLQEAVDALLDNGICGQPMRDDHNRVYKSLLDVIEGKEGRVRETMLGKWVDYSGRSMIVVGPSLSLHQCGLPCEIVIELFQAFVIRDLIRKHLASNIGVAKSQIRKKKPIVWEILQEILDDHPVLLNRAPTLHRLGIQAFLPVLVEGRAICLHPLVCKGFNADFDGDQMVVHVPLSLEGQAEARLLMFSHMNLLSPTIGDPISAPTQDMLSGLYVLTSGNRRGICVNRYNPCNHRNYQNEDTNYKYTKKEPFFVIPMMQLELISKNESI >cds-PLY76509.1 pep primary_assembly:Lsat_Salinas_v7:5:12471441:12473162:-1 gene:gene-LSAT_5X6681 transcript:rna-gnl|WGS:NBSK|LSAT_5X6681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGFKLYQHKGSGLKARFPRVNLQRFVVYWMAEMEEKMVEKVVISSSGIGYTREHKSEYLEKIGRNVVEIFVLEKSREGGGRRSMVDVLNQ >cds-PLY93702.1 pep primary_assembly:Lsat_Salinas_v7:2:200232941:200236708:1 gene:gene-LSAT_2X121020 transcript:rna-gnl|WGS:NBSK|LSAT_2X121020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRAGTSLKAQVEEKKPKVTVKHETSEEEESEGEEETQVVEEVEEEEEEVEEVEVEEEEEEEDEEEEEEEEEEEEEEDEEEDDESSKKETLKKLLEPLSKAKIIDFIKEAALSDTSIMATLTQTAEVDPAHRKIFVYGLGWDATSDQVLSVFKPFGDIEECKVLMDKVTGKAKGYGFVLFKTRRSAQKALKQTQKKIGSRMASCQLASAGPGGPTNNQTGVTATSDPAGRKIFVANVGSHVNPNALKSYFTKFGEIEDGPLGMDNASGKFKGFAMFVYKTVEGCKKALEEPNKVFDGCQLHCRQAVDNQRGGNKSNKKAQMGSMNNMSNNQQQSEIGNVGYGYAPPQLMNMNPMLVSALNQNSVAPAQQSFGLNGGYGMNTNMIASYGSQLGLAYQNNNVSQVGRSSGGTTPALPARQQQSGGGNFPSYLGR >cds-PLY77640.1 pep primary_assembly:Lsat_Salinas_v7:5:173487727:173490451:1 gene:gene-LSAT_5X76560 transcript:rna-gnl|WGS:NBSK|LSAT_5X76560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEFVKIQTTSLKVNIHCDGCKRKVKKILQKIEGVYTIKIDPEQGKVTVSGDVDPDTLIKRLAKSGKLAEVWGAPTTKPNPQINNQFKNMQIDGGKGGGGGGGAGNNKNQGQKGGGNNNNNNNQQQQGKGGGHQQPPQQGGGPTPQQLQQFQQLQQMKGFQDLKMHPQFKDMKMPPFGNNPGGGGGGGGGKNAQKNVKVIEDDGSEDDYDDEDDEFDDDYDDDDEFDDDLDDVPVKKPVMMGGGGPQGQMANMLPQLMKSGQIPPQFMNMKNGQIPPHLMKGGGPMPQQQMKGGQMPPQQQMKGAPAPQMGNNGGGGKKGGGGGGGGGGGGGGNVPVQMNGGGKKGGAGNNGGNQNQSGGGGKNGGKNGGPPQDGQNKNGGGGQNKNNGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNMNVNGGKKGGMNDGIHGMPNMMAMSGGGGNVGLMGNMPMNSQMGPRMGQQQMGNQMGQMGQMNASMGHMGNMQAVQGLPAGAGMHGGSGGGGAAGAGGYFQGGAPESMANNPYYQQQMAAMMNQQNPNGNERFHPMMYARPPPAVNYMQPPPYQPYPPYPYPHPPQGDPITHYFSDENTSSCSIM >cds-PLY87415.1 pep primary_assembly:Lsat_Salinas_v7:5:42117878:42118162:1 gene:gene-LSAT_5X19601 transcript:rna-gnl|WGS:NBSK|LSAT_5X19601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGITITTYKVMTILVCIMFLSTQSESITPLKSIDLALKWGEERLFSRNSRVLKVEEQMDNTKLNIAPTPAMMFDPNQSNKRRIRRGSDPIHNTR >cds-PLY91589.1 pep primary_assembly:Lsat_Salinas_v7:4:317355879:317357301:1 gene:gene-LSAT_4X159341 transcript:rna-gnl|WGS:NBSK|LSAT_4X159341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTYSSRISRKTIVNLFLIGFVTLLFVTNAKPTTFLQDFRVTWSDSHVKQLNGGMGIQLLLDQNSGCGFASKSKYLFGRVSMKIKLIPGDSAGTVTAFYMNSDTDNVRDELDFEFLGNRTGQPYSVQTNVYAHGKGDREQRVNLWFDPAADFHVYSILWNHHHVVFSVDEVPIRVYKNNEAKGVPFPKFQPMGVFSTLWEADDWATRGGLEKIDWSKAPFYAYYKDFDIEGCPSPGPSSCASNSANWWEGTSYQQLDALAARRYKWVRMNHMVYDYCTDKHRYPVTPPECMDGI >cds-PLY98752.1 pep primary_assembly:Lsat_Salinas_v7:1:8502927:8505318:-1 gene:gene-LSAT_1X8741 transcript:rna-gnl|WGS:NBSK|LSAT_1X8741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPYFHLLLVSSIFTFASSSSSSPPQPSPTKNLTLLGSAHFKNNSIILTQELTTCNSRSNSPVGRVLHSFPVRFLRSSSNSTVSFSTRFTFTIVPPSPPCLAGDGIAFLIASDPSSLPHTDGYLGLPDSPASHPFFAIEFDTTFNPGLGDINDNHVGVDVDSIISVASIDLMSEGIDLKSGKRITVWIEYRDPEKIIRVWVGYTDTKPEYSVLAVPIDLSKTLKEFMYVGFSASNGRGSSIHSIQKLQIHTFESLTPNTQMETVSSRNCLMCYPDDPSIEEAEISLYNDHHKDNRVLELAFGLLSITFLLIMVATISVLYCMCLTKRQAIKQRCNENAQMYRFQEAGVPKKLKLSEIRSATKEFDQNRIIGEGASSKVYEANLPSCGNVAVKRFSKVNQPSTLGNQFATELATMVGCLRHKNLVQLQGWCCEANELVLVYEYMPNGSLDKLLHRRTNATRTLTFEKRLNILLGVSSALVYLHEECERQIIHRDVKTCNIMLDADFNAKLGDFGLAEVYEHSERGRDATLPAGTMGYLAPEYVYSGIPTVKTDVYSFGVVVLEVASGQRPVNEDGVVVTEWVWDLWEKRILFAAADPNLMGRFDKVDMERMLMAGLICVHPNSQRRPTMKEAGRMLRGGLVPDLPVKKPTVMIQSVMPERTTEMVARMCGEDADTPWSTPRTHFSSKAG >cds-PLY78001.1 pep primary_assembly:Lsat_Salinas_v7:9:44425889:44426182:-1 gene:gene-LSAT_9X39680 transcript:rna-gnl|WGS:NBSK|LSAT_9X39680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIPSLRSSARLKNFNLELKAVNYDLKLWREKTRTRPDISILDLDFRRGWDLVTKLISERGSLHRGRLSAFDALRHPYFLLGSDQVASVLSKLSLSK >cds-PLY82458.1 pep primary_assembly:Lsat_Salinas_v7:2:186946625:186948180:1 gene:gene-LSAT_2X109081 transcript:rna-gnl|WGS:NBSK|LSAT_2X109081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRPAVHPVEPPPLIDGRNDAAPPRRVRMKDVQGMPGTSTGLALRLCQFVFTVISLAVMASTSDFPSVTAFRYLVAAVGLQSLWSFTLALIDIYALCVKRSLRNPAVITAYTIGDGVTSTITFAAACACAGITVLISSDLNRCDINHCKRFMSATAMAFISWFAVSPSFFLNFWSLASS >cds-PLY99193.1 pep primary_assembly:Lsat_Salinas_v7:8:90147810:90149373:1 gene:gene-LSAT_8X59680 transcript:rna-gnl|WGS:NBSK|LSAT_8X59680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRGQRKPSKSDTDTYGFTPPGNRNSESVSTSNVVVNHASRGGAPPVANSIGQQQQTPSGTSIPPPPTGSIESLPMFRDVPVSERQHLFLRKCQVCRFQFDFTDTLKMVREKEIKRLNLVELVDYVQSGSGKVTESNQDEMIQMISVNIFRCLPPSSHEHTGTENIETEEEEPYLDPSWSHLQLVYELLLRYVVSSDTDAKVAKRYINHSFVLKLLDMFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIYETQRHNGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKSIAMYHQQLSYCITQFVEKDNKLADTVIRGLLKYWPITNCQKETLFLGELEEVLEATQAAEFQRCMIPLFRQIARCLNSPHFQTAERALFLWNNEHIVSLIAQNRNIILPIVFEALENNIQSHWNQAVHGLTVNVRKMFQEMDFKLFEECQKQFAEKQANSQQAEEQRQLTWQKIVDAAAGGTHQIH >cds-PLY66549.1 pep primary_assembly:Lsat_Salinas_v7:4:341973721:341974062:-1 gene:gene-LSAT_4X168260 transcript:rna-gnl|WGS:NBSK|LSAT_4X168260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFRLPQSKIAKLLEVFLRRLGRFFFGFLGHYTTHLFLKPPLLFLETSNSFGVSYVSRHLFLAPPETGLRCEAKNDLPGVHFPSSNPHKCQPKFLPKLALFVQAIVSVTPRFK >cds-PLY71399.1 pep primary_assembly:Lsat_Salinas_v7:3:218263114:218263335:1 gene:gene-LSAT_3X126560 transcript:rna-gnl|WGS:NBSK|LSAT_3X126560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDPGESSVVMELTQAMHASMKAFMKIDFASCLLLVDFDLGGLRQLCSDLDAEDVRQKQDSSKVVASSDSFGK >cds-PLY92429.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:846521:848610:1 gene:gene-LSAT_0X10441 transcript:rna-gnl|WGS:NBSK|LSAT_0X10441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTTIKLTTMASSPVIFGSHVKPTFHRVNLARVKEPDSLGNPCNRSFSPSTFQCNLRKKFSTELGFFGKGSRISGHNRFRVESTSSGGGSGGSDSGSSGGGGGDGGGDGGKKWSFVSWYLTLLEAHPVWTKAVTSALLTFVGDLICQVVIDQVPSLDLKRVSLFTLLGLVLVGPTLHFWYLYLSKLVTMTGASGAFVRLIIDQFIFAPAFIGVFLSTLVTLEGRPSQVMPKLQQEWVSSVIANWQLWIPFQFLNFRFVPQQFQVLAANFIALVWNVILSYKAHKEVIAK >cds-PLY85041.1 pep primary_assembly:Lsat_Salinas_v7:7:7051925:7053603:1 gene:gene-LSAT_7X8261 transcript:rna-gnl|WGS:NBSK|LSAT_7X8261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANSCNSATYMESQCVIQPRRLKDFLQDQNLPFPRSRSCSRKSTISVFFHAAVSSVKSSSILRRSISRRFSKSTRINRNKSSSGLPEPTMARTTSVTVKDILRWRSFRDLADPEENNVPLPSEVIVESDSPSRCTTTTATTTSAGTPRSSWCDSDFTVGDSPVWCRGFSGDIEKNFHINVAGGERLRQNSRDPKNQIVHEEEEEEEEEEEQFSPISVLDFSQEHEETFSSFHQVLANMERRNTMLKQRIQDFENLIEVEDGCLVNRNIELDDVESFRVEEKAIQLMEHVKTTSSIEECDESVDDLLLDFFRDELITNKGVKNNSEFESKVIRMAKCWVRGEDDGSLEWEMEGRREVCIQEMNKRGEWKDFEEEQKEIGIEIAKILFDNLLNELSMDLLNV >cds-PLY97403.1 pep primary_assembly:Lsat_Salinas_v7:4:13950449:13950805:1 gene:gene-LSAT_4X9700 transcript:rna-gnl|WGS:NBSK|LSAT_4X9700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGMHKWIDSYYECARFPYVNQYVLYQDEELEDIFSVSDQHENEDLLTEGEKNDVDSGSKYNSDDMEMVPVDQGREIPGNVPNYNETKSSPLNMYIRFESRPSLEEEEEEEHVPKTHP >cds-PLY68101.1 pep primary_assembly:Lsat_Salinas_v7:8:34101461:34108802:-1 gene:gene-LSAT_8X27081 transcript:rna-gnl|WGS:NBSK|LSAT_8X27081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYFSTYLIILLIVLGIVFYYLRKRQEENDGEQKNSFLSSPLDQQSPHEEEHDQRTDSSSSSSLDKPCRLFSLAEIKLATHDFDDAFVIGKGGFGKVYKGKIEFGEEGIDVAIKRFNLDSNQGATEFRSEIEMLSKFRHGHIVSLLGYHEGSDKREMILVYEYMPKGSLEDHLHKRRANGSKCSLLTWVQRLNICIGAARGLDYLHSGTGVESRVIHRDIKSSNILLDENLAAKISDFGLSTIGPANQVGTTNVYTNQIRGTFGYIDSEYYTTRRLTRKSDVYAFGVVLLEVLCGRPALDFTLGEEQHSLAVWAKDCIKEGKIDRIIDPCLRGKTTANCLKEFGRIASECILTRSKDRPTMTKVVARLEFVLTLASQKHGGATIAEKVWSLFPLKIPAVNGKNYRLRKKWSDYNKSKRIILDKEMTEGSNEGKDNSVIVVDPTKPAAEGSNATINKCVITEEPIMTAEERSSNCIKESANINKLATTAVECHDTKAQPSSSQMGTHSLMIFTFDEVKRATRNFLPSELLGVSDGASVYKGWVDSASYAPSVLGVGIAVAIKISNTDSARSRKEWQAELKWGRVSHPNLVKLLGYSSEGRMRVLIHGYIPNRNFLDIFHRRRVAWDKTMKIAIGVAKGLAFLHTDKRTPLYRTFNASNILLNEDDEAQLYFGWASLSRVRGSFSTTRYNPPEYMATGCWYVKSDVYAFGVTMLDMIAGLGFLDNKQCSSPESLMERATPILSDNYILHEIFEKRLGQGNTPKGAIKVAELILNCLKIAPKNRPSMKEIVATLEGINAIEIR >cds-PLY71110.1 pep primary_assembly:Lsat_Salinas_v7:5:196011330:196011740:-1 gene:gene-LSAT_5X87380 transcript:rna-gnl|WGS:NBSK|LSAT_5X87380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAAIAAADDSSTPPIPILRRRNSSGTPTVVTKPCHSSSSSSIATTTTATTSSSSSSSVDFELIPIKPTCYTSLRDILPSPTAHVQPPKVACSAVHSGYEMSIRNHLVKHAAWAYLQPMSTSPESDGTTVLHRLWI >cds-PLY90483.1 pep primary_assembly:Lsat_Salinas_v7:2:7376449:7381154:1 gene:gene-LSAT_2X3480 transcript:rna-gnl|WGS:NBSK|LSAT_2X3480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGGGGGGGGATTAKKKKKGRPSLLDLQKRAIEQQKLQNQEQLQQRRSNRRNPNSTASDVGEEEYYDDDDDERKEKKVKLVVRLPQSDQQQQQQHFSSDLIRSSSLNSASCGSDSNADVDIRKIKSGSGGVLTDHQGEKVLKAMDTLHGSPLESGPTTPLPDKKLLLFILDRLQKKDTHAVFSDPVDPNELPDYHEIIKQPMDFGTVRRKLDEGLYSNLEELEADVYLICSNAMQYNSSDTIYFRQARSILELAKRDFENLRQEGEDGELQPKVVKRGRPPGKHVKKTPGRPPLDRVGPESTSGATLATPEDNTNESTFYNLRKAPPMLYRYQPDGLFGSNRSRNGEHFSELLSDWNEEFPERIRKADMKYGNKHFIIDETRRETYKQYHPSAYGFESSLLSNFGGEKKQLLAVGLHAEHGYARSLARFAANLGPVVWKIASKKIEKALPPGTKFAPGVVGENDTAPPPSLFTPPVNPRQLPALLTENPPNRPQIPLNQPKVEPIDPDPKTGSGRNGFNFGTNLPGNSSGQVTDCEKVGEVGGGSGKSTSWQQSDDGKGEDM >cds-PLY83783.1 pep primary_assembly:Lsat_Salinas_v7:4:40835543:40837232:1 gene:gene-LSAT_4X28421 transcript:rna-gnl|WGS:NBSK|LSAT_4X28421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLKLAEERAKLKKKLEEGKQKQQLDSVPYLPKDCVSNILVRLPLESLQRSRFVCKPWYSIINSRTFVHDNLQRAEKVLIFLSPFNLVSRWSDKDSVFRENTNTFSVEAKIFDLKSVHVLHRPLIDSSSKYAIRYMMLADGKIKIGELNATCLGKIRATCDGLIVIDNKLKKGELVIMNPVTRELGLLPLGTIYPSHEESLALVNCTQGYKLIHLFRDESQFIGCEVLRIGERSWRVIDGPSFGLLKWFGYEPVFAIGALHWVPEIDHSEYIVSMTIDDEKFYKIELPKVSRFNDRIMEVSGCLCFVAHEEMNEISIWILESLCGEWKKIYTIGVGCIRDLIPLYFPKFKWEIYFMDKDGSVFAFDFEKEQMRKVVVKKEIFPIHPSAYTIHVNSLVSWSITENGHDDIDP >cds-PLY90312.1 pep primary_assembly:Lsat_Salinas_v7:2:198146485:198154882:-1 gene:gene-LSAT_2X119280 transcript:rna-gnl|WGS:NBSK|LSAT_2X119280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVNLVDARGLSNSDFLNKIDPYVLIQYKSQEHKSTIAKGQGSKPSWNETFKFRVEFPGSDEQPKLVLKIMDHDTFSSDDYIGQTTIYLKEVLELGVENGRSELRAQKYSIVDSSQSYRGDLRVGVSFTPRVDQLEAELEDELKLSKTLQYALDGPIHPCFSTHLPFKIKELLTELATVEEEIMWLERKVNKLNLSLYLEKKETREWEFRQLRDLHPQSHNHHHRPRKHTLRRQQNRAKFMSYELQDYDSKKSFPLVKQRRSFHGSSIDIKHAKLQLSVLRTDDITKNPNKLSEDLIKCLIGIFLELNQPLIDSEEGSDIVPKHISCMNSKSFISKTAFNCTCTPPTFFFNNNASNLDPYATLLDSDYTIRDIGPYKTFTQITRNTLDHTRISECSKEVGKLRILMQKLCNVELNLLTYKQKLAFWINIYNACIMHAFLQHGLPSTQEKLLVLMNKAVINVGGIVVNALGIEHFILRYPSGSGHGPANEREMLLRQTYGLGFPEPNVSFGLCRGSWSSPALRVYTAEDIVNELARARVEYLEASVGVVSKKKMIMIPKLLQWHIKDFADDMESLLEWIYSELPQSGTGSLKRLIMECLNGDTKSSPAKMIEIQPYVYEFRYLLPRHEIGSDEKGLTSC >cds-PLY93430.1 pep primary_assembly:Lsat_Salinas_v7:9:64599934:64600670:-1 gene:gene-LSAT_5X111301 transcript:rna-gnl|WGS:NBSK|LSAT_5X111301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVHDECKLKFLELKAKRTFRYITYKIEEQQVMIDKIGGSDESYEDFTNSLPADECRYAVFDFDFTTDENCQKSKIFFIAWSPDTSKVRMKMVYASSKDRFKRELDGIQVELQATDPSEMSMDIIKSRAL >cds-PLY78488.1 pep primary_assembly:Lsat_Salinas_v7:7:175174654:175176298:1 gene:gene-LSAT_7X104661 transcript:rna-gnl|WGS:NBSK|LSAT_7X104661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMLLSSFRSHFFKQLACTSFSSIRGTATLASGSSSILFGSTKDYPSKKLTDFLNSSSVPAAFSTSSSNSESPTTGSYLSVDIQCRQDVADMLSEALLCFGATSTTMVEPDTCNSNDEVSIGSIFLVSQDVHQSITFAANSIGLKETPVYKVTTGYQSDWIENSRESFHPIEVTEGVWIIPEWITPPDLQATMITLNPGLAFGTGDHPTTKLCLWLLHDLIKGGETVLDYGTGSGILAIAALKFGAAKSVGFDIDPQAITAAHYNAVLNNIGPKKLELRLVPSNPNDTGSSREEWNWAMKSEEDIDGNGIIYEKEKYDVVIGNILLNPLLDLADEIVSYAKPGGVVGLSGIILEQVSTVVDRYSNLLEGVTVSKIDDWACIRGTKRNA >cds-PLY94478.1 pep primary_assembly:Lsat_Salinas_v7:2:159157643:159161461:1 gene:gene-LSAT_2X83060 transcript:rna-gnl|WGS:NBSK|LSAT_2X83060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDLFQSSSENTRYVAAELATDIIVNVCNVKFYLHKFPLLSKSGRFQKMMTISHDENAIEIDLHEIPGGPGGFEICAKFCYGMTVTLNAYNVVVARCAAEYLEMHEIVDKGNLVYKTEVFLDSSIFRTWKDSIIVFQTTKSLLLTSSSDELKIVNRCLDSIASKASMDPCKIEWSYTYNRTKSENGNETPLYNGVRKQVMVPKDWWVEDLCELPVDLYKKVITTIRGKGKVSLDVLGESLKAYTQRRLKNGGDDVKIRSLIETIMFLLPKEKTSVSCDFLIQLLHESVRLDCGETRRRELGQRIGQQLQNALVSDLVNLDVDLVQELVKIFMMQDQIADNGDEHGFLEVKFVDSASKVKVAKLIDCYLAEIAKNPDLPLLKFTDLAEIVSTLPRISHDGIYRAIDTFLKEHPGMSKIEKKRVCRLMDCRKLSSEACMHAIQNERLPLRIVVQILFFEQIRAGSHGSSRSGTTNTEEEWDSVPTSEELKSLKGEFGSLRIKEGGNGNRKAKGMVMSTRILSKLFSSKDKDSDNESSETSGSPSPCSTNVREMKSNTGSRSRRRSTS >cds-PLY65065.1 pep primary_assembly:Lsat_Salinas_v7:1:120041327:120041746:-1 gene:gene-LSAT_1X91641 transcript:rna-gnl|WGS:NBSK|LSAT_1X91641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLNISSSLLLPSSDNIHHFYRPYHQQLCSGDLPPSSPMSTAPPPPPTPLSISITAINHHILLQPSIYIRSPVKHPWLTIWPSIRDSDVTTEPLTCTTSICVIAIVS >cds-PLY76758.1 pep primary_assembly:Lsat_Salinas_v7:7:61562657:61565438:-1 gene:gene-LSAT_7X43761 transcript:rna-gnl|WGS:NBSK|LSAT_7X43761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLKPFRLDIDDLINEFAKGEMTTLTDMKKIWLSKKFSCIFEAKPNSKLGFFMQSLYSHSIGYMVSNSSISHRIGGLYCLFCLHETQPFKPPFRIYLCAREIKRLKELVVDAKKEKIEIVSILVKSMLERDLFLYGATDLKQGSVEERVNELTNLQDARVQHANKKLFKDTEIERYINMDMDKEFDVDSLKKMSRDYYSAKELAIKEAEKVVDTRDIKHIAENQILIGDVIGKTSEDWNVEKEMFYKKTGHVAEIQSKEQQNGNGEDFEAIEFNENSGEEDYVKDDFCDELEQQLLALT >cds-PLY92339.1 pep primary_assembly:Lsat_Salinas_v7:9:178422779:178427338:-1 gene:gene-LSAT_9X109960 transcript:rna-gnl|WGS:NBSK|LSAT_9X109960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRETRSMQKRKNAKDKKETKVPKKMKHQSRKSPSKRKTPEKQQLQDSDSDFESSHPSKKAKKRSHQSKKTKKKPMVKEFYSMKNRCSPEALLSIILGMSKEQKESVRSMGFGALLKMKITDIPLKLGFYVLQKFDSERMVIDIEGKELKVTAESVHDMLGIPIGGTKLTQLDQWPKDDTSYDEWKQQFKKDSIIRLSAIKNVIVSTTQADFNFKLNFLVLFVNTFCESTSMGRCNLFPLSYISRKTDISNIDWCSYVLDCLVRTKNSYIPYSDNNFFVGPSAFLVLFYADNIHSEALTVTRKRPTICYWSSEKIRYRETFEQEKGRFGVGELNEEFVNEQNEGDTDLEDSDSDKDEDHSVEAYESKISKMINSFERMKEKLNSKLNDAMTKFPEKESFRIFKEKMKNMIVEEKTESTTLFNFPINEIGVEGINLTPIMGQKTNDQTENEDKEGNGEEDSDNGASQPEVDYLLDSNEADNEGIKNDADKNKKEGEIRVKEKDAKRNENPNDEEEKDDHAEEKNNYEETIQQTENQNLLDKVVNNIVDNVLGIGVSSLNSQEDEIWNHPEMKTIVDNIDIGSPMSTCKTNTLVEKEKSEGVHEQGTKVEKTKGDDTGKENSEDRNEGGTEAKNTKDGCEEKQTEIEKGNAEDRVLYLSGKKKSENQNKKGEKADKTKGNKGDTHPSFSLGLSQDSDQTSSKKSNESSPKKALTKKQIKDDHQKVVIRLAKKNVLNPNPISVSIPTEVGPSKHDLDQPREKKLADAFKSPFKCRITDTKPKLTHQESIVCEWLFNLQGNTSDVVVQTKYGQIAERAVMESLYANTEIFGEVLDTWSDLLNHQELERDFGNSPYRLFLKVGVSTAYLTSTLSDERKYEKFKENFHDSTNGYKKILNIKDIDMVFFPVVKSAHIFVIVFNLKKPSIEILDNSAVEGDYEGKYGVIMKPLKNLFVRYFKEINHPRANAISKESIKPQRLEMSWRTVKNKVDCGVFAMRHMETYMGQPLSKWKPGLHKESAVQQTTLEKLRQRYAHIMLTSEINMLKAKVLDLAEKYQKVEFKVRTDHAYKAMQTIQKRLKEY >cds-PLY92230.1 pep primary_assembly:Lsat_Salinas_v7:6:75697627:75705804:1 gene:gene-LSAT_6X53100 transcript:rna-gnl|WGS:NBSK|LSAT_6X53100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT1G55325) TAIR;Acc:AT1G55325] MWTNVFKIGGLHQVSWFQYLPNESDPNISDRSAKLNQRDFAAQLVLSSHLQLQKDGFLSTWGNSFVGPWDPSQGLHNPDEKIKLWLFIPGRHSSPNDKAKAIVSKLRVLASGVWLAPGDSEEVAAALSQALRNCIERALVNLSYMRFGDVFSRYHPSSQGESFRRGRPMLEFIFTATEEAVFVHVIISAKHVRALSNGDMEAILKRTSSHSSDRLPVIASPHGMRGRLTGFCPGDLVKQLYFSSGKFKASNGIVGLPNQMSQTSGCQLRGQKCYLEVTLGCESVKNEKPLPSNSTKNFSKHHSTENEKGPTYDKTFIYPTEAVLVPVVQTSSARSSLKRFWLQNWMGPSLSGSSFSMQCDALSSMDGSWHDASIRCQQGYNSSGNSNSSSISTSSSSDSDYRMTAETGDLEADADSLSCIKSGLTSADQMHIDASKMGSKRSRPGMTESYGQAGAVINDFGSMEVNNEQGGSQWDWDDDDRGMGMDIQALLSEFGDFGDFFENDALPFGEPPGTEESQTQTPMFSATDGGDASSSPFTGMMDASDQIIIPLGFSSFESFNPPSTVVEESISKNQELTKETVSSGSMNYNPPANNTEFDYVIKAEAMMTFAPEYSAVETPGNDLLLTVIKTPYVPKSRTTESANSSTNNYIYSSIPPKHDLSDDKSGANLNDKNYYTHVESGKLKDKKDVKDEKLSFRDSFGFGEDVANANASGFSSLSTASRSKILEGGLGMENFVLSSKTGVANELECVMFQAFMCRIRHTLLASNRLSGNNNNNNNNNNNNNNNNNNTILYQMHSESNVLGDNMSSKYDIKKKETIPVRIAGGDMDTGLLDGPLNSPVGVWRTVGVAKGSKAMSMTQNMESFGNIPHNSFTEEGMLLSYGQRQPLLELLDGMSLLFQQALTFVDVTLDSDCGDGPYGWLAMQEQWRRGFSCGPALVHAGCGGVLAASHSLDIAGVELADPLSADVPASYAITLLQSDIKLALKSAFGNFDGPLSVMDWCKGRNGQIDGFSAESSASINDCRDSSSTPTSPSQSAGGSTSCLSKDGNRIDDVSERRSNQDESEQQLGLRPALLVLPIPAILVGYQDDWLKTSASSLQHWEKAPFEPYAMQKHMNYCVVCPDIDPLTTAAADFFQQLDTVYETCKLGTHSPQNLGNQTSKDSVKWSTSGFVLLDCPQSMKIEGDSASLVGSISDYFLSLSNGWDLTSFLKSLSKVLKTLKLNSIFAPNSKEGNTTPCTVIYVVCPFPEPVSILKTVVESCVAIGSAMCPPSDKERRSALQNQVGKALSCTTAVDEASISNIVTISGFSVPKLVLQIITVDSIFRVTTPSLNEPVILKEIAFTVYNKARRISRGNGIADSIPSVRSQSQSHSGMMQMNSNSPIPGMWKDSIAPRMVGPREGEWDNNSWQMSNRVTGEYFLQDELKYMFEPLFILADSPERGIPPESLKVLSDDGTSSDTGDGLDDGYGSTHKPPPSLHCCYGWTEDWKWLVCIWTDSRGELLDSYTFPFGGISSRQDTKGLQFLFVQILQQGCQILQACSPETTTARPRDFVITRIGCFFELECQEWQKALYSIGGSEVKKWSLQLRRSVPDGIPASSNGGSEMGMIQDRNLAAHGKGGGFMKGGGMGQAASRKQILSGGGGGGHMGMDNSKGLLQWVQSITFVSVSVDHSLQLVYQADSSPGTTQGSGIMGQSVYTEGFTPVKSLGSTSASYIFIPSPTMRFLPPNPLQLPTCLTSESPPLAHLLHSKGSAIPISTGFVVSKAVPSMRKDSRTNSKEEWPSVLSVALFDYYGGNNNNNSNNNNNNNNNNNNNNNEKTSKNMGKSMENHVILDRVAAELHALSWMTVSPGYLERRTSLPFHCDMVLRLRRLLHFADKQLSQISVKSEV >cds-PLY61899.1 pep primary_assembly:Lsat_Salinas_v7:6:58452093:58459044:1 gene:gene-LSAT_6X43041 transcript:rna-gnl|WGS:NBSK|LSAT_6X43041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNQIQHAAFVLVAGGLGERLGYNGIKVALTMETTTGTCFLQHYIDSILCLSKASCTQTKGIEMVINVEYNELDTLLRATSHPDGDVNCDTGFSPYPGNINRLILEVGPYMDELSKTGGSNKGAGVKIDDPVLKVFNGQEVEVWSRVVWKPKWAVTFSDVKRKVKGNNNSVS >cds-PLY89172.1 pep primary_assembly:Lsat_Salinas_v7:3:21702234:21703895:-1 gene:gene-LSAT_3X16101 transcript:rna-gnl|WGS:NBSK|LSAT_3X16101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLTLILLTLLMTSSQNGTMHQRIKLHVGKKGVWVQKIQTKESPPPTKPLIPNASLAPPVLSPKRRGTEAEIHAVASPIIAITKTKKFSLEHVRESLIAISYCEPESFSHVTKSEIPKVEVVATQTKVDTVSNELRLKLISIASYSPSNCNGYGEV >cds-PLY89746.1 pep primary_assembly:Lsat_Salinas_v7:1:59082825:59089854:-1 gene:gene-LSAT_1X50460 transcript:rna-gnl|WGS:NBSK|LSAT_1X50460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKESKINNIVVASEDSRRSKEEDDENETRIDLGISLDKIILRPKKKLLVLPLRGFIFHRAHLGSRTIPNNRLPDFSYEDYLVYKRPYCEDFLKFCFERFEVGLWSSSIEDNLENILTNIVGELKSKFLFTWDQNQCTKTEFMCLENKKQLVLLKELKQLWNNRDSSLPWYEGEYSSSNTLMITDPVKALANPPNTAIFPEKYDAENMDDDFLGPDGELRVYLDGVAEAKDVQSYVEDHPFGEPAISPSHSDWDYYSEIRYCYEYEDTFVVDKNWDYYSKDTLEDFDRVSKGKYTIGLGQDCMAFCSEVEDVISMGPWNEAPYANAAENNALERLSLDGFLSQVYYLS >cds-PLY94009.1 pep primary_assembly:Lsat_Salinas_v7:3:179623069:179623284:1 gene:gene-LSAT_3X107701 transcript:rna-gnl|WGS:NBSK|LSAT_3X107701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCPLEAAATATVCRRWRCSSQKVETKEKGVAVVGGCCEDLQAETGLARTADDFSIAGKDRRGRVQIGGGL >cds-PLY71427.1 pep primary_assembly:Lsat_Salinas_v7:5:226143304:226144732:1 gene:gene-LSAT_5X106180 transcript:rna-gnl|WGS:NBSK|LSAT_5X106180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDSNNVNLPPGFRFCPTDEELVVHFLQRKASLLPYHPDIIPDLDLYPYDPWDLDGKAMVEGKKWYYYSRRTQNRITTNGYWKAWDCDEQIISSSSSKRVGVKKYYVFHIGEAPEGVKTNWIMQEFRLSDASNSSGSSSSSSGRSKRKGHSKVDSSKWVICRVFDHSYDSDDDNENGTELSCLDEVFLSLDDFDDISYPN >cds-PLY96041.1 pep primary_assembly:Lsat_Salinas_v7:9:115017420:115027335:-1 gene:gene-LSAT_9X77480 transcript:rna-gnl|WGS:NBSK|LSAT_9X77480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTASSLQINNDLAQKNLDDDGREKRTGTWVTASAHIITAVIGSGVLSLAWAIAQLGWIAGPAVLMTFSCITYFTSTLLADAYRAPDPVSGKRNYTYMDVVRASLGGRKVQLCGIAQYVNLVGITIGYTITASISIVAVKKSNCFHDHGHGADCKPSNYPYMILFAVIEILLSQIPNFHKLSWLSILAAVMSFAYSLIGLGLSIAKAVGDGHDAKTALTGAEVGPLLSSSEKVWKTFQAVGDIAFAYAYSTVLIEIQDTLKSYPPENKMMKRASLIGVSTTTLFYMLCGLIGYAAFGNDAPGNFLTGFGFYEPFWLIDFANICIAIHLIGAYQVFCQPIFGFVEKKCREKWPESKFIMTDHTINVPFCGEYYFNMFRLVWRTTYVTITTLIAMIFPFFNSFLGLIGAGSFYPLTVYFPIEMYIARAKIPKFSFTWVSLKILSWTCLVVSLVAAIGSVQGLVTDLKKYQPFKTE >cds-PLY65527.1 pep primary_assembly:Lsat_Salinas_v7:9:143518540:143519202:1 gene:gene-LSAT_9X91720 transcript:rna-gnl|WGS:NBSK|LSAT_9X91720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METRVKDAVSSLEIGTDDVRVIGIKGMGGAGKTTLARAVFDQISFRFEAKSFVENVRENSNTSLSGLKSLQNQVLKDVLFDQGINVSSVHDGKIMMKKKMCNRKVLVVLDDVDHIDQLEALAGDLNWLKPGSRIIITTRDEQVLVAHRVKLILDVNLLSEEEAIGLFSRYAFGGEIPIQGYEKLSGEVICYASGLPLTIKVVGSFLCGKNKSEWIDALER >cds-PLY87126.1 pep primary_assembly:Lsat_Salinas_v7:5:260596907:260597772:1 gene:gene-LSAT_5X130620 transcript:rna-gnl|WGS:NBSK|LSAT_5X130620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLMFVVRMSVLQKKRDEFVKILQQAQSEAAAFRNDGVYLEKYIQNPRHIEFQRRNQKLLEEAPPPAFTPELRKAMGDAAATSIGYIGVGIVDFLFDERGQFYFMEINTRIQVMRLRWGHPRKMLIIFLRLLKGLSIKNRGFCI >cds-PLY89028.1 pep primary_assembly:Lsat_Salinas_v7:6:98742335:98746008:1 gene:gene-LSAT_6X64460 transcript:rna-gnl|WGS:NBSK|LSAT_6X64460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVASAVKETLGDLNKDSFVTLLTKLIGESKYVQNNPPDLIPEEDRIVHHVREALLPHSTATGGGPLILNHVTYKPNRGNLIVEYPGAEPGKIVSFVGMHMDVVTANPSEWDFDPFSMSIDGDKLRGRGTTDCLGHVALVTELMKKLGQTKPKLKSSVVAVFIASEENSSIPGVGVDALVKDGLLDKLKNGPLFWIDTADKQPCIGTGGMIPWKLHVTGKLFHSGLAHKAINPFELAMEALKEIQTRFYKDFPPHSKEQVYGFATPSTMKPTQWTYPGGGINQIPADCTISGDVRLTPFYNVPDVIKKLKEYVEDLNKNIEKIATRGPVSKYVLPDENLRGRIEISFDEASSGVACNLESRGFHVLCEATKDAVGHVKPYSITGTLPLIRGLQDEGFDVQTAGYGLMSTYHAKNEYCLLSDMCQGYKVFTGIIAQLEE >cds-PLY95181.1 pep primary_assembly:Lsat_Salinas_v7:1:169676037:169677856:1 gene:gene-LSAT_1X114041 transcript:rna-gnl|WGS:NBSK|LSAT_1X114041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTERFLLWKVFPVCCLRWILQFSVLQSPPETTVKGKTGNHHNLVDATQRVLAVWSKREFVQSAPVEQQAFLGATKGAGVSDERQGSDSKSVVELTNGSSEDTKEQILQRIFFVLFCRGYSSYFRKLPLPLQCFFLSVYFVHQVKLMEERNMKPLDSNLAALSARCSKDMEFNLAKSFLSEMG >cds-PLY91533.1 pep primary_assembly:Lsat_Salinas_v7:1:11753881:11755592:1 gene:gene-LSAT_5X101420 transcript:rna-gnl|WGS:NBSK|LSAT_5X101420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamylcyclotransferase 2-3 [Source:Projected from Arabidopsis thaliana (AT1G44790) UniProtKB/Swiss-Prot;Acc:Q84QC1] MVMWVFGYGSLIWKAGFHYDDRLVGFIKDYRRVFYQGSTDHRGTPQFPGRTVTLEPAQGEVCWGVAYKVSRKEDEEVALTYLEVREKQYDKKAYVDFFTEPSASSPAVTGVMVYIASPDKSNKNYLGPATVEEIAKQIVLAEGPSGPNRDYLFNLEKTLLQMGCKDEHVMEIADNARKLISGVEE >cds-PLY96618.1 pep primary_assembly:Lsat_Salinas_v7:7:44516395:44519883:1 gene:gene-LSAT_7X32901 transcript:rna-gnl|WGS:NBSK|LSAT_7X32901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSACLRSEMMIRELTEPGCYSKPLVAVNLALAFLDVAIALLAFYQLMRIHSRNPQRGWTRQKVFHLMIGFSNIGYGVYFILTLIAACKKWICWSNSCGFVVMALPKILFLAAFLLLLSFWVDLCHQANDEDEDESEGSFHEALVEKVNKPNLKVINYTRRRCCNIRVFPVGIRQQIVILVTLLILVLMLTSAVLIWIGLGKNPIDSSVVARVYVDTFAVVMLVLGGALAYYGYVLVSKMSKVRSERASSEIWKVAGLAIVSAVCFTSSSAVAIFTNIPVLYHCDWGGIGGLYASLLLIVYYFIGSSIPSGFVLWVMRELPPLVVVNVPEESRTLAYVSDYSVTTTQTQHWTSITTAQNQCKDQGQVRYDCS >cds-PLY96922.1 pep primary_assembly:Lsat_Salinas_v7:4:9482199:9484409:1 gene:gene-LSAT_4X6541 transcript:rna-gnl|WGS:NBSK|LSAT_4X6541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQLESLLGNLQQFENPKIELEQYPTGAHIASRMLYTAENSYGDVSNKVVADFGCGCGTLGLAATLLDAEHVIGIDVDDESLEIASINADDLEVEMGLIQCEIKNLNWRGQIVDTVVMNPPFGTRKKGVDMEFLSVALKVASEAVYSLHKTTTREHIKRAALRDYNASSAEVICELRYDLPKLYKFHKKKEVDIAVDLWRFVPKSKQESSS >cds-PLY70724.1 pep primary_assembly:Lsat_Salinas_v7:8:167978555:167981261:-1 gene:gene-LSAT_8X110421 transcript:rna-gnl|WGS:NBSK|LSAT_8X110421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQGPCIPTSDWELRNFHRLQSAVEDVNTGRRGRCEYWWRTPVNNKAEGTNLLVVRVFVELFILDTQEKILAGIHWARHNTYHLRAYFSRVLVLGFMMDMVLAANDAPQQAITHPGNNNKPWVISGVITAAVLLLAIFNLLVCYLCSRRRTLHKSVQPSNQEYKGGPAYTSYSFSEIQIATKNFDEARVIGEGGFGKVYIGTLNDGTNVAIKRGSTLHDQGEKEYRAEIAMLLRLRHYNLISFLGCCSENSEMILLYEYMENGTLRQRLYDSKSPLPNNDPLTLNQRLKIAIHSANGLHYLHTGFESPIIHRDVKTSNILLDKNLNAKVSDFGLSRERPVVDKALSSLVKGSFGYLDPEYYLRHRLTEKSDVYSFGVVLFEMLFGRPALDETRPTEQWVLSEWALHCYRNNILEQNIDPYLMGEMLPQCLEVYAKTAASCLADKGEDRPSMWEVLVSLQRALAVQERREVFLQMPPNGFDGVVGGN >cds-PLY69951.1 pep primary_assembly:Lsat_Salinas_v7:4:67554036:67554925:-1 gene:gene-LSAT_4X46440 transcript:rna-gnl|WGS:NBSK|LSAT_4X46440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTGYKHFSHAHNLVIHQAHEAAKMSCTGCNSSVMGTIYVCWPCNFMLHEQCFRATRSLIHPSHPSHPLTLVPYPTYPSNSFYCDTCKLTGNGLSYSCSDCEFDLHVLCAHSITDTSRTHQPSMVQPNQNHGQEMVPQSGQNAFQAQNVYPPTSVHNPITNFQYSSVAPIMPVEESASDREHIKKNEQRLEIAREEYRLAALGRQYALDSI >cds-PLY79100.1 pep primary_assembly:Lsat_Salinas_v7:3:7747674:7749637:-1 gene:gene-LSAT_3X4280 transcript:rna-gnl|WGS:NBSK|LSAT_3X4280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSYQTSSPPPTHTSSTLAPTTVAFFLIEAEERSCRSPSSPIESGTCGWATEVENGGVVDTSSGDEGGVTTKPNTRTFIGFLNLGDPLPHPDSSVGIARLIGGFLHRSLLLNHLSPPPQKPHDTFILCNPKTYLHRVLLCNPHFEGKTKTPEILQFLKQIKEKLIDVDENVLFFKEEPGIIEQLKLSTWILH >cds-PLY61891.1 pep primary_assembly:Lsat_Salinas_v7:6:61974608:61978502:1 gene:gene-LSAT_6X45780 transcript:rna-gnl|WGS:NBSK|LSAT_6X45780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKHAGWGVMASHQSGETEDTFIADLSVRLATFTRYGNEHKLAGFSATLQAIISFVEDGGDRIHLIRAGKHKVAFLVKGPIYLVCISCTEEPYESLRSQLELLYGQMVLILTESVSRYFEKNPKFDMTPLLGGTDVVFSSLFHSFRNPASFLHAYTYLPLPCETRQAVAAILQDVSDSGVIYSMLMSKYKVVSLVGAQKAQLHPDDMLLLANFVMTSESFSPICLPRHNAMPFLHAYVHYFDVDLE >cds-PLY94196.1 pep primary_assembly:Lsat_Salinas_v7:5:321452199:321454453:-1 gene:gene-LSAT_5X178161 transcript:rna-gnl|WGS:NBSK|LSAT_5X178161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTPYPLFGAKHPLLLVTLPSQSKFQIPSANTSSKENPNVNVTGNPIASVASQFSSTSLQLRTRLLKETFASRFNNLQIMQKSNLGGYLPGRIIWTSTLQRTILTANSFPLQVHRKQLISWKHMKGLLRMIILQKTNISLLEECGLLEKAIKELRKIEFKIVDRLSYKEAEHIRPLLTKGVPSLLSGLSPLYDHPGKVDTLGRSEKEPPSTLMWALFYLAQMLEIQRRARL >cds-PLY66856.1 pep primary_assembly:Lsat_Salinas_v7:7:19078705:19081616:-1 gene:gene-LSAT_7X15241 transcript:rna-gnl|WGS:NBSK|LSAT_7X15241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADNMTSKNYRRINADLYKALSEGDDANVCDICRDLPDGPLHKLTIHDDTVLHIASYYKRNKLIRQLLSLLPEDQPDKLTLKNDVGNTILHATATNNKTVEAAAEMLRRAPSLLTMTDMMGETPFFRASRFGKSKSFHFLEAEVRKRFPEDADVRAFLVRDDKATILHVAIHSENFNLAHDIAKTYPMLIGEKDGNGMTGLQLLACKPSAFNHGFEDNFFKRFIRKFIDLNHKEQTSRVPFLKELQKQILKSKSAKTLATLFIESDASWEATAPMSNQNRIKLIQGIMSSGTRILIIVNDPTRDSPLFLATKSGCTEIVREILQVYPQAVEHIDENGRDILHVAIQYRRMEIYKVVINMKYPLMRLKGEIDNLGNSILHMVGQKVEDQKAEVDIRSPALILRDDLLLFESVKNICTTEAISQVNDDGVTAEQLFIKNNAQLHIDAKEWMKSTAGNCSGFAVPIATVAFASSFTSPGGSKPDTGRPVMEDNYFFIVFSLANGLSLTFSLTSIIVFLSILTSSFRLKEFQNSLHNKLLLGVTLLILSVSMMMISFAATLILGNSSKGQDWTKIILYTVTFFPVIVFVLSYVRLYKLLIKVFEESLKKVIYSILPARDVELPHPSQAPTTIFTKATTSSFV >cds-PLY96924.1 pep primary_assembly:Lsat_Salinas_v7:4:9488567:9491354:-1 gene:gene-LSAT_4X6500 transcript:rna-gnl|WGS:NBSK|LSAT_4X6500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAHKSKSEKNDSEKQSLRDPYDVLGVSRNSTDQEIKSAYRKLALKYHPDKNANDPKTTDMFNEITFSYNILSDPDKRHQYDTAGFEAVDSKNQDLELDLSSLGAVNTMFAAIFSKLGVPIKTTVSATLLEEALHGTVTIEPIELGQPIVRKVEKQCAHFYSVTITEKQAQAGLVCRVQSADKSKFKLLYFDQEENGGLNLALQEDSAKTGKVTSAGMYFLGFPVYRLDPPSNSMGSVKDPDAVFFKKLDGFQPCEIHEIKAGMHTFAVYGDNFFKSVSYTIEVLCTEAFVEEKESLRTVEAQILSKRLELSKFESEYKQVLAQFTEMTGRYAQEMQAIDELLMQRNEIHASYTMGSPMKRSSSSSSGRRRSKSRGGGGGGGSKESKEDGQQKTTSRERIKKKKWFNIHVKVDKKKPCGSW >cds-PLY91500.1 pep primary_assembly:Lsat_Salinas_v7:7:142501078:142504579:1 gene:gene-LSAT_7X85200 transcript:rna-gnl|WGS:NBSK|LSAT_7X85200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHRESMMIKLLLGFMLLSCIHHESAIGRFVVEKNSLTVTSPESIKGNHDSAIGNFGIPQYGGSMAGTVDYPKENKKGCRKFDEFGISFKANPGALPKFVLLDRGDCFFALKVWNAQNAGASAVLVADDIDEPLITMDRPEEDGSSSKYIENITIPSALISNNFGETLKKAISNGDMVNLNLDWRESVPHPDDRVEYELWTNSNDECGVKCDMLMEFVKSFKGAAQILEKGGYSLFTPHYITWYCPQAFTISKQCKSQCINHGRYCAPDPEQDFSTGYEGKDVVIENLRQLCVFKVANESRKAWIWWDYVTDFQIRCPMKEKKYNKECADEVIKSLGLDLGKIEKCMGDPNADSDNIVLKEEQDAQIGKGSRGDVTILPTLVVNNRQYRGKLEKGAVLKAICSGFEETTEPAVCLSDGVETNECLENNGGCWHDKSANVTACKDTFRGRVCECPLVDGVQFKGDGYTSCVASGPGHCKINNGGCWHESRNGDSFSACLDNENGKCACPSGFKGDGVKSCEDVDECKEKKACQCPECSCKNTWGSYECSCSGDFLYYKDHDTCISKTAGKGKSSWAAMWIIFLSLAMAAGGAYLVYKYRWRSYMDSEIRAIMAQYMPLDNQSGVVNHVNENHA >cds-PLY75398.1 pep primary_assembly:Lsat_Salinas_v7:6:178549085:178553489:-1 gene:gene-LSAT_6X108441 transcript:rna-gnl|WGS:NBSK|LSAT_6X108441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKICVAVRVRPPVKSDEDSNSNCSHWKVDDNRISLHRSLSGTPLPPALSYAFDHVFDQNCSNSSVYDLLTKDIIHAVVDGFNGTAFAYGQTSSGKTFTMNGSENDPGIIHRAVKDIFAKIDMSTDREFLIRVSYMEIYNEEINDLFAVENQKLQIHESLERGIFVAGLREEIVNNAEQVLKLIEMGEVNRHFGETNMNVRSSRSHTIFRMVIESKGKDSTNLDDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKHINKSLMILGNVINKLSEGAKQRGHIPYRDSKLTRILQPALGGNAKTSIICTVAPEEVHVEETKGTLQFASRAKRITNCVQVNEILTDAALLKRQKMEIEELRMKLEGSHAGVLEQEILKLRNDMLKYELEREKLAMELEEERKSHREREQCSNGISSQNGESLRKNLKEEASSTQQGDAFSTPTFKPIPNSFVAKRCYNSTTPVYSPLPDAFGDVVDEDTWFKMNNGFIADLDSIRMTPPKQLSSIPSFDKIQDSSIDNYKEVENLRKQLQQAIDERNEFEKQHKEQVALNNQLMEEISKLQEIPQKLSESLGNCKAVNEEIFSTFKGFAEDEKSATANLFSKTSEFVMSLMSSFEAQIYMAMNGFRKADDEKESSYSEESKKKYKDCTLETQITCWKKEMSDEIKLIKERYENLEEELDMNNQLLEASKARIHGLQKESQVLSEERDDLLVTVSDSWKRSASLNEQNEKILQDLTCEIQKRKDLEDDIKQFSVGFVSRYKSYKLFSSEIKSKVEHLKAQKPFALIS >cds-PLY92349.1 pep primary_assembly:Lsat_Salinas_v7:9:180293947:180297628:1 gene:gene-LSAT_9X111380 transcript:rna-gnl|WGS:NBSK|LSAT_9X111380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSESANPSPPVKDSNPQPTAAVESRNDSAQDSPSKLSASGITTWAKSLKLPQPLGGTQDESSSSENTGRFARLTSGLGLRLSPKTPQQDDTHTPSPDGAPTTPQPGIFGTITKGFVDTSKNAVKAVQVKARHVVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIRFFETYHKDKYKVYNLCSERLYDASLFEGKVASFPFDDHNCPPIQLIISFCHSAYAWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESILYYNQKRCVDGKGLVLPSQIRYVKYFERVMTYFNGEDQPGRRCMLRGFRLHRCPYWIRPSITISDHNGVLFSTKKHPRTKDLSPEDFWFSAPRKGIMVFALPGEPGLTELSGDFKVTFHDRQGDFYCWLNTTMIENRKILTTNDLDGFDKRKLPSPGFQVEVVVVDYNTTTTTQPKPETESTTKPAEGTPSDPPPAATTTTTTKDDEVFSDTESDPKVAKLSNDTKNLSIGNVIPTPTPDRKPESDSGVPEKMTGEVSDFKAMAADASVFTFGDDDEDYESD >cds-PLY74502.1 pep primary_assembly:Lsat_Salinas_v7:7:34077459:34077776:1 gene:gene-LSAT_7X25481 transcript:rna-gnl|WGS:NBSK|LSAT_7X25481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTTFWRNAPRYAGRAAIWEALEAAAECDLTYAQRILDSNSIRYPNGDMTLCIDDEGIFTSGHDITYMT >cds-PLY96408.1 pep primary_assembly:Lsat_Salinas_v7:2:88920459:88923530:-1 gene:gene-LSAT_2X37221 transcript:rna-gnl|WGS:NBSK|LSAT_2X37221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNETTSISVLPEVSQSEILSLTSPRNAFRAAAVSKEFKSAADSDTVWKRFLPLDYDEIISQAVSPVAFDSQKKLYLHLSDSHILLDRGYLSFQLDKESGKKCYMLGARELSIAWQDDNRYWEWGHIPESRFPEVCILREVWWLEIQGRIAAVKLSPKSTYVAYLVFQTTGYAWGLVVPAKTKVSYGGTEMETENVYLQRPGAIGRTGHVPVFPWRRKDGWMEIKLGEFECNEGDDGVVEMAFDEHEAWKNGLIVEGIELRPK >cds-PLY93140.1 pep primary_assembly:Lsat_Salinas_v7:4:199631856:199632658:-1 gene:gene-LSAT_4X113140 transcript:rna-gnl|WGS:NBSK|LSAT_4X113140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSSKIYGDGTEECQSSESGWTMYIGSSMDDDDGGDGGAVYDARGTCGGRKKGTVKIEDEDTDDSMTSDASSGPSHLHLQQPWDAQEDEGDENHKQENYKGSSKKNKKSAGKKKGGYEETRKKDENEKRGVITTVQSGNRAWFMSKRK >cds-PLY89511.1 pep primary_assembly:Lsat_Salinas_v7:4:149797956:149799927:-1 gene:gene-LSAT_4X91981 transcript:rna-gnl|WGS:NBSK|LSAT_4X91981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFSPSASFLHWSSLSSRSPIKTAATTASVDNLSPIYSRFRSGWIVTTLIRMTIMRNVGWKRIENSKCYMG >cds-PLY98357.1 pep primary_assembly:Lsat_Salinas_v7:5:316876840:316878980:-1 gene:gene-LSAT_5X174481 transcript:rna-gnl|WGS:NBSK|LSAT_5X174481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERYVMSAIRNEPIKIKIHRLIPFTKKPTSPHHLPPIFSSLLFDTYVDRQLHAIRLEHEVLRVWLTFAILGYFCDFAQVYRLRLPQRFYTLLLGPFQYLDLQFPTRVFAELALGSITAKDEMVIFNGRSLAEFFRNESYHGANNELRVLTAVEVGLPLNYYLKLALNTFFMQAGFFFCFVMWKVLELQLLTNSFD >cds-PLY83264.1 pep primary_assembly:Lsat_Salinas_v7:4:146488563:146489012:-1 gene:gene-LSAT_4X89481 transcript:rna-gnl|WGS:NBSK|LSAT_4X89481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNNFHQVAEIVTYACTNPICKNVKISVIPRFKISLRVEDNTGTLILTLFDQEAKKLFKYTAKELYDKTKELGISTDLYPMELKVVVDKISN >cds-PLY96955.1 pep primary_assembly:Lsat_Salinas_v7:4:173831615:173834599:1 gene:gene-LSAT_4X102181 transcript:rna-gnl|WGS:NBSK|LSAT_4X102181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNALGRHGQRSGSSAAAQHQRQYTDNFVDVSSSSNGQWLQSAGLQHLQQQSSNTSSSMDFGYYNGNGAQDSRMYNNSRNISTQMSVTGGTNLFTEPFSPPARHKKFGGVGGDDPSDFSPGLLDLHSHDTELISDVPMSGASLYADPYYENSKQNSKKDKGSTVAKIKVVVRKRPLNKKELAKNEEDIVTTESHSNCLAVHETKLKVDLTEYLEKHEFVFDAVLNEEVSNDEVYHETVEPIVPIIFQRTKATCFAYGQTGSGKTFTMKPLPLKASRDILRLMHHTYRGQGFQLHFSFFEIYGGKLFDLLNDRKKLCMREDGSKQVCIVGLQEYRVSDVETIKELIDRGNSTRSTGTTGANEESSRSHAILQLCVKRSVDGSELKPAKVVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGNSRTVMVSCISPNAGSCEHTLNTLRYADRVKSLSKGNKKETINLKESTTQPEEDDYDVDTTEEFYEPKLESRNWNPDDDLNALLKEEEELVDAHRKQVEDTMNIVRVEMNLLVEADKPGNQLDDYVAKLNTVLAQKAAAILQLQNRLAHFQKRLRDHNVLVSSSGL >cds-PLY75020.1 pep primary_assembly:Lsat_Salinas_v7:1:112811759:112815368:1 gene:gene-LSAT_1X87521 transcript:rna-gnl|WGS:NBSK|LSAT_1X87521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCLISSISTVGCSDILDFDPYEVNLGVGPFSEPEAQLTRKLLTSFEPHIWVNVHSGMKTLFMPYDHKNTTPSRVESQKMSRKSFGIVIGKEKDDRIKIFEEGTERMVVVAIEARLLKKADFDKKFNALDKHKKIIYMNNTVRVLEGPLEDKPGIVKQIYKGVVFVYDETLQENYGYFFAKAQICEKMSSSMDSYKGKGGKPGGSGFYIGNLNHILS >cds-PLY99692.1 pep primary_assembly:Lsat_Salinas_v7:9:55320224:55322797:-1 gene:gene-LSAT_0X9740 transcript:rna-gnl|WGS:NBSK|LSAT_0X9740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNRGMSKRRSSRIDSYPCISSSIADPSCFSCTTFNILAPIYKRLIHEDRNCRESEIKAYWLDRNERILDLLLRERSSIICLQEFWVNNEELVNMYDTRLGDAGYTIFKLPRTNNRGDGLFTAVHNDYFHVINHKEFLFNDFGDRVAQLLHVEVKNVGREILIVNTHLLFPHDSSMCLERLRQVYKILQYVDAYLKENNLNPLPIILCGDWNGSKRGHVYKFLRSQGFVSSYDIAHHYTDADAHKWVSHRNHRGNICGVDFIWLLNPNKYREILKTSWSDAVFGLFKYQVSRASLTREDAFEFLKAVSNGDSITYSGFCEALRQLNLIGHMYGLSEEETKDLWVQADIDGNGVLDHKEFQERIWNPMWSYHVENTIKDQDEILNGTQLSLGLGVTDAALFPSETENGLWPEDYSLSDHARLTVVFSPIRLIS >cds-PLY75934.1 pep primary_assembly:Lsat_Salinas_v7:9:199069018:199071294:1 gene:gene-LSAT_9X123020 transcript:rna-gnl|WGS:NBSK|LSAT_9X123020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHLNATAISRFKHLTQLHAQIIQHSLHNHNYWVSLLISHCTRLRAPPIYTRRIFNSTDQPNVYAFTNMLKFYSHIGAHTDVITLFGCLQISGVTPDAFVFPIVIKSFGKDAIVLHGHVLKTGHQSDRYVRNAIMGMYAKYGPICFARKLFDEMSERMAADWNSMISGYWRWGKRVEAHKLFTLMPERNVITWTAMITGYSNIQDLATARMYFDEMQEKNVVSWNAMLSGYSQNGFAEEAIELFNKMISSNVQPDETTWVSVISSCSERADPNLANSLVKMLNEKNVRLNSFVHTALLDMYAKCGNLEAARKIFNELGAFRNAVTWNAMISAYTRVGDLNSARQLFDKMPGKNVVSWNSMIAGYAQNGQSTMAIDIFKEMIKCSTNIKPDEVTMVSVISACGHLGALELGNWALKFINENQINLSISGYNSLIFMYSKCGNMKEAQRIFNKMKQHDVISFNTLITGFAAHGDGFSAIDLIKKMKKDGFQPDRITYIGVLTACSHSGLIKEGEKLFESIPTPDVDHYACMVDLLGRVGKLDEAKKLIEKMPMAPHAGVYGSLLNASRIRKRIDLGEFAANELFKIEPQNSGNYVLLSNMYASMGKWGNVERVRKEMKVIGVKKTTGWSFVEYNGKLHKFIVGDKSHERSDDIYKLLMELRKKMRVVGYNVDKESVLRDVEDEEKEEMVGTHSEKLAVCFAILVSEKGSSIRIVKNLRVCWDCHEAMKILSKLEGREIIVRDNNRFHLFRDGLCSCNDYW >cds-PLY83650.1 pep primary_assembly:Lsat_Salinas_v7:4:38853440:38858041:-1 gene:gene-LSAT_4X27120 transcript:rna-gnl|WGS:NBSK|LSAT_4X27120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIDEPVVKANTRVLPSWGSSSVSSNTGSRGSTKKPPPKKESALNTQKPQSSKVYKNSRWYTIRHDNHKKALLNHGSDSVDQLPQKDAKRMLPSYLQNPSSSSSRSSLQRHESYPVPASSSQTKFDFHPQDHYSWTHNDLAMKNNHGSRLLPPSMKPPVSVPSTAHYAGQSGHSRPVIVEETVGDERLIYQVALRDLYQPTIEATLPYGLLSIPLFKHQKIALAWMLDKENSVACSGGILADDQGLGKTISVIALIQVQRFSSKPKLEDPCGSEAEYLDLDDDNDKRIMDEDSDEKKLITKVKSSRSEEEFHNRKPQGGSLVVCPASVMRQWAHELDEKVADEAKLEVLIYHGLNRTHDPDELAKYDVVLTTYSIVAREVPTKAFDDDDDDFDDDDEFGDKKRKQSHVSKKGKKKGKKGKKGKGALAKVNWFRVILDEAQTIKNFSTQVSNSCCGLRAKKRWCLSGTPIQNSIDELFSYFRFLKCEPYSNYKSFCNLIKTPMSKNTNQGNKKLQAVLKTIMLRRTKDTLINGKPIINLPPKTIKLKAVDFSKEERAFYLKLEAESRSQYKAYQAAGTVRQNYANILLMLLRLRQACDHPLLVKGFSSESVSQDSKKVTKNLPKDTQVKLLKLLETLNICLLCSDPPEDAVVTICGHVFCQLCLSEYLTGDEFDNTCPSFNCKTQIGDDVVFSKATLRSCVHDDDDDDRIDDESCLVFENDYASSKVKATLEIIQTHCSRSNIKAIVFSQWTRMLDLVEASLSQHCIEYRRLDGSMSLVSRDMAVKEFNTDPEVIVMLMSLKAGNVGLNMVAASHVILLDLWWNPATEDQAIDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEEKRKMISSAFGEDQSGSSGPRLTAQDLKYLFMGSH >cds-PLY87772.1 pep primary_assembly:Lsat_Salinas_v7:1:46410962:46414011:-1 gene:gene-LSAT_1X40820 transcript:rna-gnl|WGS:NBSK|LSAT_1X40820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFFFLLPRNLLLAYQSFGVVYGDLSTSPLYVYRSVFAVSLKHHQNPEVIFGAFSLIFWALTLIPLLKYTCIVLSADDSGEGGTFALYSLICRHARFNLLPNQQAADEDLSSYKYGCTGQPPASSSLKRFLEKHKKSRTALILVVLLGASMVIGDGVITPAISVLSAVSALQVKGEGVALTHGQVIALACLILVGLFALQHFGTHRVAIVFAPVIILWLFSIFAIGVYNVIHWNPKIVHAFSPHYIIKFFSQTRKEGWISLGGVLLSITGTEAMFADLGHFTASSIRLAFGFVVYPCLVVQYMGQAAYLSKNISSIPDSFYKSIPERIFWPVFAIANLASIVGSQAIITATFSIVKQCHALGFFPRVKIVHTSKHMFGQIYIPEINWILMILTLLITIGFQDTTLVGNAYGKLNILRKYKHDIHNKIQLKWLLNLGPSLGIVRVPGIGLVYSELATGIPSIFSHFVTNLPAFHNVVVFICVKYVPIPFVLPTERYLIGRVCPRPYRMYRCIVRYGYKDIQKDDRNFENQLIQSVAEFIQMEAAEPPSPPTSDTGSFDGRMAVISTRSNSGLIISESDPGESIIRPSTLRILKSSYDAENPQMSRRRVRFQLPPSSPAMDPAVKDELLQLVEAKEAGVAYITGFSYIKARKASSIMKKFVIDVAYSFLRKNCRRPAVTLHIPHISLIEVGMIYYV >cds-PLY74040.1 pep primary_assembly:Lsat_Salinas_v7:7:10331383:10336754:1 gene:gene-LSAT_7X8940 transcript:rna-gnl|WGS:NBSK|LSAT_7X8940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSLKVAVIGAGVSGLIAARELQRESHQVVAFEKSHRLGGTWVYDPRFESDLLGLDLNREIIHGSLYKSLRTNLPRIEKWSKKQMHSHNYRVPEPFRDQIVVVIGNGPSALDLSREIATVAKEVHMSSRSPHVKVSKSEKFSNIWQHAKTNGIVRVQDKRIGPLYKHVFPPQLAPRLSFVGLPERSLTFLIVECQSKWIAKTLSMKISLPSKDQMLRDIKEHHGDIEDDGFPKAYTHFFEIEVFLKLLFLYTSLT >cds-PLY81883.1 pep primary_assembly:Lsat_Salinas_v7:8:122033739:122034307:-1 gene:gene-LSAT_8X84120 transcript:rna-gnl|WGS:NBSK|LSAT_8X84120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLLLFLILTHTFLTLASPSAEIEPSVVGPPQTAEAPRSRKLGNHHVSEAEPPTTATSRDHEGNGGSAKEESGMYLEKQHKHHHGSGSVDKSIAGGGVILGGLVMAFVVSIVCYIRATRRRSMVEPPTPTTVRGV >cds-PLY95619.1 pep primary_assembly:Lsat_Salinas_v7:4:124325968:124326861:1 gene:gene-LSAT_4X78060 transcript:rna-gnl|WGS:NBSK|LSAT_4X78060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIIMEASTSSKIQSRNQTKSLSDMPFEVMEKIIVDLANISTVEAFRMKSVCKFFNEAGKTDEVYKHMELDGLRFHVCSDQKLTFVNKCIEMRNPNILFRNGLMKLFFLEAEHEGKTMLEEASALGHLDSTFVPGMMLMAKGRHRKHEDFDMLNNAYRRAKGMWNFRATCSKVHLHLNREERKHVHFHGFHRSCALHKSVGSVSDAFVNGYKWVFMCDICLWDACFVRFSREFGIIYE >cds-PLY66183.1 pep primary_assembly:Lsat_Salinas_v7:8:294908223:294910081:-1 gene:gene-LSAT_8X161421 transcript:rna-gnl|WGS:NBSK|LSAT_8X161421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNDVENPKKDPLPPPPIIESKNWYDIFLQQVSVYGIAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSASGVFLFGSLNFLQHDRLDPQTMWRFLPAAIIFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLATIFAGSVVYVITDYQFTLMAYTWALAYLISMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLIMGELKKIRHEIKEETDWYSFEVILPVGLSCLFGLAISFFGFSCRRAISATGFTVLGIVNKLLTVVINLMIWDKHSSFIGTIGLLICMFGGVMYQQSTSKNPNSKPVDVKQVVVQEDEQQKLLEMQNVMSSEEAK >cds-PLY82999.1 pep primary_assembly:Lsat_Salinas_v7:1:17239888:17243350:-1 gene:gene-LSAT_1X15060 transcript:rna-gnl|WGS:NBSK|LSAT_1X15060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSVEDRDKRKRFGIGIGIGIGVKIRAMGLKTLGESRVEKLKANARSPKMKLWIIRATTMVLLWTCLVQLTALGDTWGPRVLRGWPSSVTQDSHSLDVKFLPSGPARVLPPKRVYQNNGYLMVSCNGGLNQMRSAICDMVAISRFLNVTLIVPELDKTSFWADPSEFEDIFDVDHFITSLRDEVRILKQLPPRLKKRMELGYVHTMPPVSWSDISYYHNQILPLIKKFKVVHLNRTDARLANNGQPLELQKLRCRVNFNALRFTPQIEYLGRRVVQLLRKNGPFLVLHLRYEMDMLAFSGCTQGCNPDEVDELTRMRYAYPWWKEKIINSELKRKDGLCPLTPEETALTLRALDIASDIQIYVAAGEIYGGQRRMASLAAAFPKLVRKETLLGADDLQFFQNHSSQMAALDYLVSLESDIFIPTYDGNMAKVVEGHRRYLGFKKTILLDRKLLVELIDGYTSGLLSWEEFSSAVKDAHMARMGSPKKRKVVPERPKEEDYFYANPEECLQPLVDIDPVTLSILR >cds-PLY88589.1 pep primary_assembly:Lsat_Salinas_v7:7:8294983:8296748:-1 gene:gene-LSAT_7X7821 transcript:rna-gnl|WGS:NBSK|LSAT_7X7821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTMQRLDASEIVRQEKDLFVAGTDTTSTTLEWAMAELIRNPHKMEKAQSELTKFMQNNNKNIHEHDISKLPYLQAIIKETLRLHPPAPLLLPHRAMLDLEIQGFIVPKNAQILCNVWAMGRDPSIWSDPETFIPERFFEVKIDYKGHDFMLIPFGAGRRICPGLNSAHRMLHIVLGSLIQKFDWKLEGNIRARDMDMGDKFGISLSKKVPLMAIPIKF >cds-PLY88178.1 pep primary_assembly:Lsat_Salinas_v7:5:221227385:221230716:-1 gene:gene-LSAT_5X101860 transcript:rna-gnl|WGS:NBSK|LSAT_5X101860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPIYYILVALPCTIGAIALVLLHIYRHLLNYTEPTYQRYIVRIIFMVPVYALMSFLSLVFNKSSIYFNSIREVYEAWVIYNFLSLCLAWVGGPGAVVLSLTGRSLKSNWCLMTCCFPPIPLDGRFIRRCKQGCLQFVILKPILVAVTFLLYSQGKYNDGNFSVAQSYLYLTIIYTISYSLALYALALFYMACRDLLQPFNPVPKFIIIKSVVFMTYWQVRYSIYTCTSKYVIFYLTLLVLFGFQGVLVFLVEIG >cds-PLY99314.1 pep primary_assembly:Lsat_Salinas_v7:7:179294082:179294696:-1 gene:gene-LSAT_7X106700 transcript:rna-gnl|WGS:NBSK|LSAT_7X106700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKVMEGLEKFTKVTLSMDQVLLLLPLNDEVVEERIADDDVILIKGTKTTGDVVAGGGAVEAALFVYLEYLATTLGVPQTAGDYRIC >cds-PLY93424.1 pep primary_assembly:Lsat_Salinas_v7:9:62717377:62718039:1 gene:gene-LSAT_9X54560 transcript:rna-gnl|WGS:NBSK|LSAT_9X54560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNSVAHVPVTMEPVDPVHWYGCWLIYQVIIIGYILFSWQYYRLYLSKGGSRKIRGVQNLETVRPHQLLEQMLCTAFRAAAESLNQTRFGGLKNMTIKINQLYFTTASALKPLQVGINGVTNRGRLESGVARTVGK >cds-PLY69301.1 pep primary_assembly:Lsat_Salinas_v7:4:105140825:105142320:-1 gene:gene-LSAT_4X67060 transcript:rna-gnl|WGS:NBSK|LSAT_4X67060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLTEEQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADQNGTIDFPEFLNLMARKMKDTDSEEELKEAFKVFDKDQNGYISAAELRHVMTNLGEKLTDEEVDEMIREADMDGDGQVNYEEFVRMMLAK >cds-PLY63923.1 pep primary_assembly:Lsat_Salinas_v7:5:339152509:339152673:1 gene:gene-LSAT_5X190281 transcript:rna-gnl|WGS:NBSK|LSAT_5X190281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVEIAAVLKKKPSAVPKEYPKDLEKLKLGKIYKEGWYMVFQPRERNDSDYHKA >cds-PLY80930.1 pep primary_assembly:Lsat_Salinas_v7:8:255774984:255778221:-1 gene:gene-LSAT_8X149761 transcript:rna-gnl|WGS:NBSK|LSAT_8X149761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSVDDKGCVNPVSSHELSGAGGGGGHRHHTALGKPTPSKWDDAQKWLVKLSRGGDKAHSNHAPPRNSNADDRRLIAPVPKKEYPSSEEEGVDGGIETKKMDHDDSLWRSNENPGTIVRSICVRDMGTEMTPMASQEPSRTATPVRAMSPVASGSSTPVRPNGADVGGPTRFGRERVDPNIAENKTIHQDAKLNPLENRAMAWDEAELAKYMARYKREEVKIQAWENHEKRKAEMEMKRMEAKAERLKSRAQEKYTNKLASTRRIAEEKRAKAEVNLNEQAVKTSERADYIRRTGHLPSSFSIKLPSSCFLHKFQCI >cds-PLY97251.1 pep primary_assembly:Lsat_Salinas_v7:1:44930590:44931555:-1 gene:gene-LSAT_1X39281 transcript:rna-gnl|WGS:NBSK|LSAT_1X39281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFISSNKKTTVFLLLFASLLLTSHVHGSGTHLPDSDIIKSSCATTLYPDICYSTLSTTKNPATNKDVIQHAINKTKEIIQGNFNSIKKLTATANLTNRGKLALHDCLEMVAGTLEDLDRVIRELEEYPTKKSLRQHADDLKTLMSTAITNKEACLDGLSYDADCRRLRESIIEGQDLGGKMCSNVLGMITNMTDTDMANYKADSNARKLKEKKVVKWPEWLSRRDRKLLQLLPIGPTPNVIVSKNGKGNYTTVAAAVAAAPAKSKNRYVIKILAGVYRENVEIPKNKTNIMFIGEDKNTTIITGNKSVTGGSTTWLSATVG >cds-PLY67653.1 pep primary_assembly:Lsat_Salinas_v7:4:260291513:260299168:1 gene:gene-LSAT_4X134960 transcript:rna-gnl|WGS:NBSK|LSAT_4X134960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 54 [Source:Projected from Arabidopsis thaliana (AT1G71870) UniProtKB/Swiss-Prot;Acc:Q9LE20] MELMKSSDSQYYNNKAFTPSEMMEEVKQLLSMVIPITAMNCLVYLRAVVSVLFLGRLGSLELAGGSLSLGFTNITGYSVLVGLASGLEPVCSQAYGSNGSDKWDLLSVSLVRMIFILLMAIIPISLLWVNLEPIMLWMGQDAAITSMAATYCIYSLPDLLTNCLLQPLRVYLRSQGVTKPMMWCSLIAVAFHVPLNYVLVVEMGLGVSGVAMASVVTNLNMMGLMAGYVWIYGRWRWTWRWTDGIGITGVGPLLRLAVPSCMGICLEWWWYEIVTVLAGYLANPQLTVAATGILIQTTSLMYTVGNELGAGRPNKAKLAAMVALSCAFVVGLMNVIWTIIFRDKWSALFSNDHMLTSLVSSVMPIMGLCELGNCPQTTGCGILRGTARPAVGARINLGSFYFVGTPVAVGLAFFFGVGFTGLWIGLLSAQFACAVSVLYSVVVRTDWEGEALRAHRLTNTTSLEMVIN >cds-PLY61781.1 pep primary_assembly:Lsat_Salinas_v7:8:76624145:76626900:-1 gene:gene-LSAT_8X55060 transcript:rna-gnl|WGS:NBSK|LSAT_8X55060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGMVMLTRGAGCGGTGGVDGNEATKGGGATEEEQNQLSLVALLVAALRKSMVACRVDHGDDQSSGTAALHQMEIGWPTNVQHLTHVTFDRFHGFLGLPVEFEVEIPCRVPSASVSVFGVSAESMQCCYDSRGNSVPTILLLMQERLYAQGGLKAEGIFRINAENSKEEEVRDQLNRGMVPEDIEVHCLAGLIKAWFRELPCGVLDGLSPEQVLQCNTEDECCELLKHLKPTETALLNWAVDLMSDVVEQEEFNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLITKTLRERERECEESSSSSTTTTDGEYSPMSCRSHSRTDEMETSCEMRRQPSSEDGEDEDDVESLSEIEESFLKRLMENENAKDCFKNELGNLVKGRRTSPTSGFEFQEESSSSVMSMTDGYVSGVSEAEVMNKVEEK >cds-PLY94436.1 pep primary_assembly:Lsat_Salinas_v7:6:10789394:10792264:1 gene:gene-LSAT_6X12921 transcript:rna-gnl|WGS:NBSK|LSAT_6X12921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKDRQIEEWQGYYIDYKLMKKKVKQYARQIETGGIERRYVLKDFSRMLDIQIEKVVLFLLEQQGILSSRIAKFGEQQETLQIELDLVKIAALREGYRDIGRDLLKLLFFVEINAIGLRKILKKFDKRLGYGFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAISRNLAELEDRQGSYLSIYDQPTRPLQDPVIDAIRSAVDRLSYSTNFLTFLGQHALIMHEELPMPTEENPDDQRYNFMSLFMNLVNTFLYMVNTYIIVPTADDYSMSLGAAATVCGVVIGAMAVAQIFSSIYFSAWSNKSYFKPLVFSSILLFIGNVMYALAYDFNSISVLLLGRLFCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQLKFKIYMIHINQNTLPGWVMAVAWLLYLIWLSISFREPDRVSHKDLVHDYDTRQVGSDALEKGATQPLLSSEAHQDGEDDDQECDVSEELSEDSHAPVSSLKAAYKLLTPSVKVQLLIYFMLKYAMEILLAESSVITSYYFHWTTGKVSVFLVCLGLTVLPVNIIIGSNISNIFEDRQILLASEIMVFLGILASFNVIIPYTVTQYVLSGLVMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITLGGYAGESRLLNVTLLPSLAICILTIICTCLTYNSQY >cds-PLY72584.1 pep primary_assembly:Lsat_Salinas_v7:3:198292734:198297486:-1 gene:gene-LSAT_3X116920 transcript:rna-gnl|WGS:NBSK|LSAT_3X116920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVLSAFLTVLFEKLASETLKKLARSKGIDSQLKKLKRSLIQIKALLNDASKKEISDEAVKEWLNGLQHLAYDIDDLLDDLATEAMRREFSTSKVRKLIPIRCTNFLLRTRIHGKLDYITTQLEGLVEEKNSLGLSVIGESPKHVNRRLETSLIDTSSIVGREGDKDALLHQLLGDEPCDKNFSIVPIVGMGGIGKTTLARLLYDEMQGNDHFELKAWVCVSDEFDIFSISRTIFQSIDGGNREFKDLNLLQVALKEKISRKRFLLVLDDVWSESYADWEILERPFLAGAPGSKIIMTTRKLSLLTQLGYNQPYHVSVLSHDNALSLFCQHALGKSNFESHPTLKPYGDGIIEKCGGLPLALIALGRLLRTKTDKEEWKEVLNSEIWGLEKVDEIAPALRLSYNDLSGSLKRLFAYCSLFPKDHVFDKEELILLWMAEGFLRQSSTSKSVERLGLEGFDELLSRSFFQHAPEDKSLFVMHDLMNDLATSVAGDFFSRFDIEMKKELHQEALEKHRHMSFVCENYMVQKRFEALKGAKSLRTFLALSFGVIENWTTFYLSNKVLDDLLQEFPLLRVLSLRYLSISEVPESIGSLKHLRYLNLSRTQITHLPDSVCNLYNLQTLIVSGCKSLEKLPGNFSKLKNLRHFDMRDTPELKKMPLGVLELKSLQTLYGIVIEGDDGFSISDPKDSKDLQGRISIKGLEKVKGSMHAQKANLSEKRLSELELEWSDVFNGSRKETLEKEVLNALKPCSDNLKSLEIVSYGGIEFPSWVGGPSFGRLTCVSIIGCKKCTYLPPLGQLPLLKELYIAGMDDVQAVGPELLGTGFAFPSLENLTFRDMVGWEAWSTSGGVVDTTFPCLQKLCIESCPNLVRVSVESLPSLRVLKIVGCGHEVLRSLVCVALSITILNITSIKGLNDQVWGGVIEYLGAVEEVCITSCNEIRYLWESEAEVSKYLVNLRKLDVWNCSNLVSLGEKEEEDNCGSNLTSLTSLSVMDCDSLEHCSCPKSLKSLTIENCNKLLEKELVGGREEPLINSNILMLESVFITDWPNLKSITELSSFNHLTELEISNCPNLESFPDHELPKLNVLISLAIVYCENMDASFSGGLWPPKLCGLTIGKLKKPISKWGPQAFPASLDDLTLIGGQSEDVSNISQLSHLLPSSLTELRVIEFEKVESVSKGLQHLTSLQHLSIIECPKAIDLPEMLLPSLLSLEIRGCPNLKEMSSKRGSYWPRVSRIPCIDIS >cds-PLY98307.1 pep primary_assembly:Lsat_Salinas_v7:7:170854293:170857569:-1 gene:gene-LSAT_7X100380 transcript:rna-gnl|WGS:NBSK|LSAT_7X100380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVLQSPKDIEFFTEYGESNRYKILEIIGKGSYGVVCAAVDTQTGEKVAIKKITNIFEHSSDAIRILREIKLLRLLRHPDIVEIKRIMLPPSRREFKDIYVVFELMESDLHQVIKANDDLTHEHHRFFLYQMLRALKYMHTANVYHRDLKPKNILANANCKLKICDFGLARAAFTDTPTTIFWTDYVATRWYRAPELCGSFYSKYTPAVDIWGIGCIFAEVLTGKPLFPGKSVVHQLELITDLLGTPSPDTISRVRNDKARKYLTDMRRKKPVTFSEKFLDVDPLALRLLQRLLAFDPKDRPTAEQALADPYFKGLSKVEREPSCQSFSKLEFEFERRRVTKEDIRELIFREILEYHPQLLKDYMAGNDRTNFIYPSAIGQFRRQFAYFEENVGKSGPVIPPERKHVSLPRSTVSSSMTAPKLQQCLAAFDNKPVVDNNMSNGGRVAVDASGNIFSAAARPPPRMPKGRVVGPVLPLEGRGEMKDVYDPRVMLRNGPVGVSPHYASYRGNNGNQGKIHGGQEGDRDSLSLMKQQQGVNSNPYYNHGQQSKGMESKFMSQSQSQSQYGVAVATHREVGVVQMGLS >cds-PLY98506.1 pep primary_assembly:Lsat_Salinas_v7:7:126962027:126965066:1 gene:gene-LSAT_7X77240 transcript:rna-gnl|WGS:NBSK|LSAT_7X77240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLAWGSKARHFKWEVDYMYAAPDCLENVVMGINGQFPGPTIKARAGDTVVVHLTNKLHTEGVVIHWHGIRQLGTPWADGTASISQCAINPGETFVYRFKVDKAGTYFYHGHYGMQRSAGLYGLLIVDIEEGKKESFHYDGEFNLLLSDWWHKGAHEQEVDLSTNPMRWIGEPQSLLINGRGQYNCTLAGAHNSNGSSLPVCKFRGNEQCAPNILHVEPNKTYRLRVASATALASLNLAIGNHKMVMVEADGNYLQPFSVNDFDIYSGETYSVIFRTDQNLSNNYWISVGVRGREPKTPQGLAILHYKSTTTSKLPNLPPPKTPQWNDYTRSKSFTNKILAFSGSPRPPTNYSRRIFLLNTQNRINGYTKWAINNVSLNLPSTPYLGSIKHGLTSAFDQKSPPETFVNTYDITMPPSNPNATYGNGVYKLEFGKTIDVILQNANALNANVSEIHPWHLHGHDFWVLGYGEGKFSKKDEKKLNLQNPPLRNTVVIFPYGWTALRFVTDNPGVWAFHCHIEPHLHMGMGVVFASGVHLVGKIPDEALSCGLTGKLLRSNNHN >cds-PLY98618.1 pep primary_assembly:Lsat_Salinas_v7:1:37596543:37607514:1 gene:gene-LSAT_1X31481 transcript:rna-gnl|WGS:NBSK|LSAT_1X31481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGRCVLCRRGESKTGNGRMFTIMENFRPCHVISNSIDHVIGPATGFKRVSIFRKLKILNLAYNDFNNSLIASLSALPSLKALDLQGNRRFRSFRAQELANLTNLEELHLSDTGLHGTPNIQEFRNLPDLEVLLLRKNGFNGTIPMEAFSTFNHLEVLDLSYNHFVGSIPSTIHTLSSLKAVSFAYNDLNGSLEDHGFCGLKNLMELDLSYNKPNGILPRCFNSVSSLKMLDVSSNQFTGILVPSLIANLTSLEYIDFSHNKFDGSFSFSSFSNHPKLEVVRFGSDNDTFEVETEEPIGWIPMFQLKFLELSNCNINRRKGHVVPMFLLHQYNLQVVDMSHNSLRGVFPNWLIKNNTNLEALNLRNNSFGGIISISSYRNANMQELDVSGNHMIGTIPNDIQKFAPYIVRLNLSMNALSGAIPSSVGDLNELSLLDLSDNELSGEVPKGLFTNMSSLMILKLSNNKLYGEVLSGNLSLLNINRVHLDNNHFTGKIGKEIPEYLTALDISNNFFTGMIPGWISNMSDLSELLMRNNSFEGRFPCGTAQFSFLDISQNSFSGPIPSCLNLQQMEHLHLGSNRFTGWIPDHFRNLTKVLTLDIGNNNLSGMIPEFLGELSTLRILLLRKNNFSGFIPKQLCQLSNVSLIDLSSNSLSGSIPSCLQNITGPSDLAFIETSVSLYHMFSSYDYVSAIRRSYNIYFHIQELETQDEVEFTTKKLFLHYKGDILDYMTGLDLSSNKLTGEIPQELGLLSQIRALNLSHNQLTGPIPVNFSNLAKIESLDLSSNGLTGKVPSELIKLTSLSTFNVSHNNLSGRLPEMKAQFGTFTEASYEGNPLLCGLPLEKKCSTDSQVTDSSAEEDNEKWYAIDMTWFYVSSGSTCGVLLLGFVALLYINPYWRRRWLHWVEDCMFTFYYFLYDLVRKPTL >cds-PLY80435.1 pep primary_assembly:Lsat_Salinas_v7:8:299015270:299020838:-1 gene:gene-LSAT_8X164940 transcript:rna-gnl|WGS:NBSK|LSAT_8X164940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14I [Source:Projected from Arabidopsis thaliana (AT2G47500) UniProtKB/Swiss-Prot;Acc:F4IL57] MAAEGPLSFSVASVMEDVLQQHDNRPRDLDLDSRRAEEAATRRYEAAAWLRKMIGVVGARELPAQPSEEEFRLALRSGLLLCLVINKVDPGAVPKVVESPCDSVAVPDGAALSIYQCFENVRNFLVAVGQMGLPTFEPSDLEQGGKSSKIVNCILALKSYNEWKQTGGNGSWKFGGNVKTITSGKNFIRKNSNSEPFKNYSRNMSMPETSTNVQSVETENSKMPNSSLNMLVRAVLLDKKPDEVPMLVESVLSKVMEEFENRITSQVELKLAAAKDTTNGNMTLLKTTSGDMKTEDKKLVKDQNLHKKSISDEETKRKQLKLQSAFDVQERDIKELKQTLTITKAGMQFMQMKFHEEIQNLGLHIHGLASAASGYHRVLEENRKLYNQVQDLKGNIRVYCRVRPSLGGRSDFKSVVDSIEEGTITINTPAKYGKGSRSFNFNKVFGPSSTQAEVFADTRPLIRSVLDGYNVCIFAYGQTGSGKTHTMTGPKDLTETSQGVNYRALSDLFFIAEQRKDTLQYDVSVQMIEIYNEQVRDLLVTEEIRNNSQNGFNVPDASLVHVASMYDVIDLMKLGHKNRAVGATALNDRSSRSHSCLTVHVQGRDVTSGAVLRGCMHLVDLAGSERVDKSEVAGDRLKEAQHINKSLSALGDVISSLAQKNVHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPELNAVGETLSTLKFAERVATVELGAAQVHKDTSSDVKDLKEQIANLKAALAKKEGDQEQKVSGSPGGKQLSSRSPPYLNGDPYTEPKPRRKPQTDVVVPNFEMDKKSRSRQKTQSFDLDELLANSPPWPPVISSSPCETTYGEEDDRDMMGSADWVDKVMVNKQDAAAAAARCWEAENNGGVYQSYNIGFQGVNGFEIATTDGADEHELDAATSDSSEPDLLWQFNHSKLPILPNSTVSRLNNSNGKPTKSPDLRSMIPRLGPSPSRKPTGQAPAPQRGGRQGAVAGAEMKRKAGTRK >cds-PLY74435.1 pep primary_assembly:Lsat_Salinas_v7:6:11401511:11404230:1 gene:gene-LSAT_6X9040 transcript:rna-gnl|WGS:NBSK|LSAT_6X9040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCPRKNFCPRRHHKNLSRVYHSPQFPVSNFLSAKGNRKLHRRLHYCIASLASTITSTSSPSCRPTPSPPLLATGRRPLIATATSSHPTSASALMADSIITSETPTSSSQLNPPSRIICRVCQKQFSQYTCPRCNTRYCSLPCYKSHSIRCTESFMRDNVMEEMKQMEPADETKQKMLDILRRFHSEEEDDSMEDDGLTDASLSEETIEKIMSGVEITFDDLSTEEKKHFQRAVASGQLSKMIEPWDPWWLKPSAKTISLSPQGTQLVQPVTPNEPDPAVPSVPPGPTTALPPVNTLIGSTQPSPLLPVHLVDILYSYCFTLRVYNGEWESDAVGSTVTVMSVSNVLGQGGQPETVSEAVAHCLEQACGPAFGHMGGAQFGLAVLDDVASVLDLGSDGLVCALCDLHRMIRNGMKEMKGCDMEMKRKLKGGERKVYFLMCWVHEQGGEVWSSLVGLVMAEKNVAMEYVGNGKKGDWRKEERKGKVVIEEV >cds-PLY75778.1 pep primary_assembly:Lsat_Salinas_v7:3:68878110:68882414:-1 gene:gene-LSAT_3X56180 transcript:rna-gnl|WGS:NBSK|LSAT_3X56180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVELQLLLKNNYDIVLAVLLSCVVLSFLTNKKRKSVDTIPGRLGLPLIGETLSLLSAANSSKGCYEFVRIRRLWHGNWFKTRLFGKVHVFVPSVVGAKTILTNEFKLFNKCLVKSMADAVGKKSLLCVPVESHKRIRRLLSGPFSMESLSQFVQKFDKLLSLRFKKLAEDGKSFVALDFSMKIAFDAMCDMLMSITDESLLKNIEQDCTTISNAMLSIPIMIPGTRYYKGMKARERLMNLFKEMIASRRSSKEPYEDFLQSMLQRDSFPADEKLDDSELMDNMLTLILAGQTTTAAAMMWSVKFLDENKEVQNKLREEQLLIQRNKSGGALLCLEDLNKMSYGSKVVKETLRMANVLLWFPRVVIDDCKIQDFEIKKGWHVDIDATHIHYDPTLYKDPLQFNPSRFDVSG >cds-PLY99625.1 pep primary_assembly:Lsat_Salinas_v7:8:287787670:287788039:-1 gene:gene-LSAT_8X161081 transcript:rna-gnl|WGS:NBSK|LSAT_8X161081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPPSPDSINSGEFVNLSDLHSIFYDCGDKIVANQIEMQTLKHQVGKDFIVCSVDHISLHHKLEDHERKLKALALVMGGVMVAMLGMMMVGVKVLMKLG >cds-PLY90563.1 pep primary_assembly:Lsat_Salinas_v7:6:51179175:51181292:-1 gene:gene-LSAT_6X36781 transcript:rna-gnl|WGS:NBSK|LSAT_6X36781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEFTALRRLKPIFIVDEMSVGFEPKDIIGAPLYGGRSCRGLRLSDKRKITALTNYTQNRTTRDTRVFASASGSKSKSMKGNEKDAIVDQQAIYDELLGDPDYESDNLSCFRGLVLDISYRPINVVCWKRAICLEFMEKADVLEYYDQTVNSPNGSFYIPAVLRVPHLLQVVKRRRFVSLLSRKNVLARDNFTCQYCSSTMNLTIDHVIPMSRGGEWKWENLATACLSCNSKKGHKTPEEANMKLHKAPKAPKDYDIVAIPLTNMAIKMLKMRKGTPEEWLQYLGGPSP >cds-PLY80712.1 pep primary_assembly:Lsat_Salinas_v7:3:166893681:166911059:1 gene:gene-LSAT_3X102381 transcript:rna-gnl|WGS:NBSK|LSAT_3X102381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G24706) UniProtKB/Swiss-Prot;Acc:F4IAT2] MSLPALDCKYVTEDCIREWKNGSTSFKPPAPAPVIRYLYELCWNIVRGELPVHRCKPALELVEFSDRISDEEVSSNLADIVSQMAQDAKWMVEHSVVPLRLFHERCEEEFLWDSEMIKIKATDLKSKEVGLKVTFISEFSILDLQILRSAVSAPCVQVFINIVPSSSWVAKNLLMVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQGSEVCSENTSAATVGIIKSLIGHFNLDPNRVFDIVLECFELQPDNNVFLNLIPIFPKSNASQILGFKFQYYQRLEVNSTVPFGLYQLTAILVKKEFLDLDSIYAHLLPNDDEAFEHYNVFSAKRLDEANKIGKINLAATGKDLMDDEKQGDVTVDLFAALDMESEAVTERSSELEKSQTLGLLIGFLFVDDWYHANILFRRLLPLNPVEHIRICDGLFRLIEKTISPAYELVRQRQLQIPEGVTDLVETESNSSKRSFIDIPKELFEMLTCVGPYLHRDTLLLQKICRVLRGYYLCALKSVNSGDLEGGVSGNRTPRLHLKEARLNLEEALGACLLPSLQLIPANPAVGQEIWAVLSLLPYEARYRLYGEWEKDDEASPMVLSAKQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMIAPVVDAFKYLTQLEYDILEYVVIERLVQGGREKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGSGIELVLLQELIQQMANVQYTENMTEEQLDAMAGSETLRYQATSFGATRNNKALIKSTNRLRDSLLPKDEPKLAIPLLLLIAQHRAVVVIEADSPHIKMVCEQLDRCHGSLLQYVEFLCGAMTPITSYAQLVPDLHDLIHSYHLEPEVAFLIYRPVMRLFRCQSTSDVLWPLDCDEMTSNLAAGKENEVTDISGKLILDLGPPRKTIMWSDLLDTVRTVLPCKAWNSLSPDLYATFWGLTLYDLYVPRSRYESEIAKQHAALKALEELSDNSNSAITKRKKDKERIQESLDRLTSELQKHEENVASVRRRLGREKDKWLSCCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVNTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEVGRLGRFLFETLKTAYYWKSDESIYERECGNMPGFAVYYRYPNSQRVTYSQFIKVHWKWSQRITRLLIQCLESVEYMEIRNALILLTKVSNVFPVTRKSGINLEKRVAKLKSDEREDLKVLATGVAAALAARKPSWVTEEEFGMGYLDLKPVASKSVYAAAPVTSTTGLNMAPIEAATGSRSQHHDSSIRETTSTSRPKPWESKHESVTHNNKYDKVKGGSLSNGSDASKTDDSGNNRVLEENSSKGPAKTAVDSEGRSAAKRSVGGTSVSKQPKQDVVKNDSKPKGIGRTSGVSERDEKGCNTELPELRNSLIKEESTEAIMDAQKRSSSRPSHSPRTDTVFASSKSNDKPTKRTSPSGEQDRSAAIKRRKEVHDLEPDRALEKEKHHPLPPLDYDKVTDKPLAKDERFVDREYHSRERERERERERFDRSEDAIEKSRDRSMERYSRERSVDRSNKDERSKLRYNDIQVHADDRVRGTSLPPPPPFPPQHHVLLQSVTTTNKKDEDGDRRFGTTPRHSHSHSQRLSPKHDDRERRRSEENVFVSQDDKRRREEDIRDKKRDEREKIEDGDREKGNVMKEEVDPNASKRRKLKRDHQSEGSEYSPVSAAPPLNMNMAPAPYDRDRDRERDGRGGDRKATAALPRQVYMEEPSQSQSQSRINTMHGKDVPPKTTSSRPYPSFYLFIYLFLSSKTSLLHCI >cds-PLY83749.1 pep primary_assembly:Lsat_Salinas_v7:4:39283602:39285866:-1 gene:gene-LSAT_4X26780 transcript:rna-gnl|WGS:NBSK|LSAT_4X26780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-crystallin domain 32.1 [Source:Projected from Arabidopsis thaliana (AT1G06460) UniProtKB/TrEMBL;Acc:Q208N7] METETAIRRVEMIAAHFSAADNISSTGVATHVFPLNCSSGLTSINRRLDNRMNFARQDSRSQANYMRQASKQQGNSELVPPMFSQPSSINSNVPKVREIQYVDEDYMLPSSQPPKFARTTVESDLPMKFIPYNSTHATKSLGVERKQSPRMDVAESGGKYVLVIELPGVGIDDIRVEVNNTTLTVQTTKRKTIACSSDRKKSAFHKREILEGPFEIMWPLPFDVNPDSVSAEFLNGLLRITIHKLRVPVW >cds-PLY84738.1 pep primary_assembly:Lsat_Salinas_v7:5:229049538:229052079:-1 gene:gene-LSAT_5X108821 transcript:rna-gnl|WGS:NBSK|LSAT_5X108821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWIFLVMMMIVNLGGTKCVIKEPRQISITSSGEGSEGELDPKLCMLHRRKRAMASVDATGGSGSRENTQPALLATEEVVPSNSRQFILLFIILQILEKQPDARITLTRDTYTKKYIGDSTISFATEVGIVMRSFCQMEFYTWEKVAKENKEEMINRLCTNKETQMAPSPIELYHKLHFDPIKKWINDESRIQYENILQLKEEECAKLVSAGTSITQEIEYDREKSH >cds-PLY82253.1 pep primary_assembly:Lsat_Salinas_v7:1:65888333:65889469:-1 gene:gene-LSAT_1X56381 transcript:rna-gnl|WGS:NBSK|LSAT_1X56381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METTNGTEETAVEKEMKTVDAGEETATMKIWIAVDESAGSFYALEWALRHLFLHHDYDATDADKLSSFTVTVVHVQPPFQPSYTALPVGPILFATSGMKESVMKAEEESAAKVLARASELCDKHKIKAEYVVLKGKPKEILVEAVEQMDVDLLVVGSRGLGQIKRAVLGSISDYCAHHAKCPVLIVRPQKDKASQN >cds-PLY78015.1 pep primary_assembly:Lsat_Salinas_v7:9:43844835:43846241:1 gene:gene-LSAT_9X40381 transcript:rna-gnl|WGS:NBSK|LSAT_9X40381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVREKMNDLYLSVPSFFKCPISMDVMRSPVSLCTGVTYDRTSIQKWLESGHNTCPATMQVLNSTDVVPNLTLRRLIRFWSDSYLFCPQSQASFNNHLAFESIRKLISSEETDEVLLSSLSKIVELAKFSEEGRESLATSEGFLPMLARILKSSNEVEVVELVVTTLDLILFTKEVKERLKKINLDDAFFSPFILVLQKGHLDARISAARVLESLALFDYESRRLIAEQKGLLNELCHLTNTLTNQTAIDAGLAAIIAISTSRPAKKELLRLGIVRTAGRILSGSENTVTVMEKAMKVLEMVSTSTEGRVAISDDENCISGFVQRLMKVSTAATENGIVVIWNVCYLSRDRSAQEATIGSNGLTKVLLVMQSNCSAIVRQMCKDLVKVFRVNSKSCLASYETRTTHITPY >cds-PLY62679.1 pep primary_assembly:Lsat_Salinas_v7:7:100735146:100739031:-1 gene:gene-LSAT_7X66620 transcript:rna-gnl|WGS:NBSK|LSAT_7X66620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPISGLREKHKQELENLTLIAQPFKTLKLFIVAVVQYITRSLVYLLTHVVWLMLFVTLTVAGGLLFLSVDGPHGKHVEELLEYARFGLWWVALGVASSIGLGSGLHTFVLYLGPHIAFFTIKAMQCGRVDLKSAVYDTIQLNRSPTWLQKDCSEFGPPLFSSSQGVQVPLSSILPQVQVEAILWGLGTALGELPPYFISRAASISGDKMDVTEELDASSSESNGVASNLNHMKRWFLSHAQYLNFFTILVLASVPNPLFDLAGIMCGQFGIPFWKFFLATLIGKAIVKTHIQVIFSFSLENFEIIYYHQCQETITCHKLIYIYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIVAKLHAMKEKYMAAPLPVTSNIKVKSWDLSFASVWNSIVWLMLLNFFVKIVNSTAQRYLKKQQEIEIAALKKLEQSED >cds-PLY95592.1 pep primary_assembly:Lsat_Salinas_v7:6:139050853:139055556:1 gene:gene-LSAT_6X84040 transcript:rna-gnl|WGS:NBSK|LSAT_6X84040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKKFIVEVEPAIEAKDGKPSMGPVYRSVFAKDGFPPPIDGLDSCWDVFRLSVEKYPNNPMLGTREFVDGKHGKYVWLTYKQVYDKVIRVGNAIRACGVEPGGRCGIYGANCAEWVMSMEACNAHGLYCVPLYDTLGHGAVEFIICHAEVTLAFVEAKKIPEVLKTFPKAGEYLKTIVSFGKVTPEQKEQCEGFGVAIHSWEEFLSLGDDKQFELPLKKKSDICTIMYTSGTTGDPKGVLISNNSIVTLIAGVHRLLGSVDASLDSNDVYLSFLPLAHIFDRVIEECFINHGASIGFWRGDVKLLIEDIGELKPTIFCAVPRVLDRIYSGLQQKISSGGFLKSKLFSLAYAYKLRNMKGGKKHPEASPLSDKIVFSKIKQGLGGNVRIILSGAAPLAPHVEAFLKVVTCSHVLQGYGLTETCAGSFVSLPNEMEMVGTVGPPVPNLDARLESVPEMNYDALSSKPQGEICIRGDVLFAGYYKREDLTAEVLVDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENVFGLVSDLDSIWIYGNSFESCLVAVANPNKQAIEHYAEAHNISGDFEALCENPKIKEYVLGELTKVGKEKKLKGFEFVKAIHLDPVPFDMERDLLTPTFKKKRPQLLKYYQSVIDNMYQKINNR >cds-PLY64495.1 pep primary_assembly:Lsat_Salinas_v7:3:14399055:14401019:1 gene:gene-LSAT_3X10000 transcript:rna-gnl|WGS:NBSK|LSAT_3X10000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METPAVFSSVKFTEHKTHITMLSKSLLTTKEKASSRRDISEANGAVAGPRVVRISMIDEYATDSSGDEEEVFFGRRRVKKFVKEVTIKPLSGDDVNIHCNRIGNGDRSVGKTKVSRRKNPDAKCDAMKKRLKVSSGKKFRGVRQRPWGKWAAEIRDPTRRVRLWLGTYDTAEEAAMVYDHAAIQLRGPDALTNFTVPPPVSIQLTDKKLSSVDSDYNSGEESQTNIKALSPKSVLRFSSSSTDECVAESTQHSPLHDTINEPSVLTDTTASVSENFSDFRPFDDPFCTTDLFDFPDFVQDIYDPTSFSGTMLHGSGPSDVFFGCANDFGFGSSSWPADDFFTDIGDIFGSDPLVAL >cds-PLY78804.1 pep primary_assembly:Lsat_Salinas_v7:9:172725740:172728088:1 gene:gene-LSAT_9X106561 transcript:rna-gnl|WGS:NBSK|LSAT_9X106561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILNKLPRKPSSKSSQSDGGKNESAFPSMNSSVSGANSNPKSNSSSGKGSNSSSSRSMNGIHTPNMKSNQVKKPNQAAYESLPSFRDVPTSEKHNLFIKKLNMCCVVFDFTDPSKNLKEKDIKRQTLLELVDYVSSVPSKFNEPTMQEITKMISTNLFRSLPTNHDSKLPDIYDPEDDEPNMEPSWPHLQIVYEFLLRFIASTETDAKIAKRYIDHSFVLKLLDLFDSEDQREREYLKTILHRIYGKFMVHRPFIRKAINNIFFQFIFETEKHNGIAEMLEILGSIINGFALPLKEEHKLFLMRALIPLHKPKCLSMYHQQLSYCVTQFVEKDFKLADIVIRGLVKYWPVTNSSKEVMFLSELEEVLESTQAAEFQKCMVPLFRQIGRCLNSSHFQVAERALFLWNNDHIRNLITQNRKVILPIIFPALEKNTRPRGHWNQAVQSLTLNVRKIFSDIDQTLFDECLNRYQEDEVKQKENNQKRESTWMRMETVAASNGNESRFASSVGVSS >cds-PLY79676.1 pep primary_assembly:Lsat_Salinas_v7:5:253711171:253712607:-1 gene:gene-LSAT_5X127421 transcript:rna-gnl|WGS:NBSK|LSAT_5X127421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHSAPHLLLSSSSTVLLPTFSLLPNSRFLRVSKKPYSLSQQYSTRPLAPLLAQLPDSALPEDGPVELPFSIPSFISTDDDPTTLQVATSVLLTGAVSIFLFRSLRRRAKRAKELKFRSTGTETKKTLKEEALESLKAMTPVEANAPPSPLQALLGGLSAGIIAIILYKFTSTIEASLNRQTISDNFSVRQITITIRTIINGICYLATFVFGINAVGLILYSGQLGLNSIMGDSPSEKIQNKEETQSNSIQTETNKNDEDQSRQQ >cds-PLY77443.1 pep primary_assembly:Lsat_Salinas_v7:4:310704954:310713210:-1 gene:gene-LSAT_4X156741 transcript:rna-gnl|WGS:NBSK|LSAT_4X156741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPDNGIHEDESSILERKNVFGSNIYPRRKGNNFWRFLYDGCGDTILIILMVAAVALLALGIITEVPADGIFIYGYSLSIDESSMTGESHTVDKDHKSPFLMAGCKVADGCGTMMVTSVNTEWGLLMASTSNNDNDEETPLQNKLGRKLVEIVIGHEVTLLERLHHKRLASSALVTKEVTIPNSPSQSESSMNFIMNMDGQDTNFPIVPSPSKNVMKTKSNSMKLHSSSVRVALRSKRKVVGEKVVSLEGVMNRSDKVIDLSSPKCKRSTRGSE >cds-PLY70029.1 pep primary_assembly:Lsat_Salinas_v7:4:266557612:266559535:-1 gene:gene-LSAT_4X137860 transcript:rna-gnl|WGS:NBSK|LSAT_4X137860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLPFGYFELILAALCYYCLTGFRQRRRIMTDWPFLAMLPALVRNISTIHDRCAQVLNHTGGTFLFKGPRFFQMNMLATVDPADIHHIMSSNFLNFPKGEEFKEIFEILGDGIFNSDYELWRSHRKLTSALINNMKFLRFVARMNTEKVAKSLIPVLDYVASKAVVVDMQDVFQRLTFDTTCMFVTGYDPGCLSVDFKDVPFSRAMDDAEEAIFARHIIPKSVWKLQRWLGIGKEKKLKEASETLDDVIGGLISRKRKDLSQGVVSKGEANGVDMLTSLITEPQSFGDEFKYDDKFLRDTILNLMIAGRDTTSSSLTWFLWLVITHPDVEKKILEEINVIIPTSEAGSFKLFDTEETNKLVYLHAAFCEALRLYPPVPFQHKAPVQPDVLPSGHRVYPNMKILFSLYALGRMKRIWGNDSREFKPERWITDKHMIRHEPSYKFLSFNAGPRTCIGKQVAFAQIKAVGATILHNYKFEMEEGHVVAPNVSVILYMKHGLKVRVARRRP >cds-PLY75953.1 pep primary_assembly:Lsat_Salinas_v7:5:247305495:247308044:1 gene:gene-LSAT_5X124380 transcript:rna-gnl|WGS:NBSK|LSAT_5X124380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKCSTARHFFRLTSLQIGDLQSYLSDLSLFLAPDSKTFYVLVDNRPWLQDLVSRPAHLWQLMVTKSRMSPFAIRRARNDRKDTTQNFNELKFSSETSTSTPSENLRRWFLVIDAAILSRKRALLPVEKLRTSLLASSKLHRTLYGFIVFEVAWKDVRGINYLNELQTDTCLAIEAKHMRRWEFDSISQAAKGITSWFPGTPSECSLLKQQLNSMNVETDSQSNSCEEVDEATEYMDALLIFRFNDHDLPFELQDIIMPDLRLLTLLEAGLPSWVIFFQSYPVFCHIYRPWMCPLARFLYVAISIVTVVIGFYDLYKNIPLLKATASRLCGPLFGWIETWEMVSRIKYLGTMLFLHNSQKAVKWFLMMTCTLQSHVSFLTRPLMVVLEALLPIWNIFIQTGDYFFSFVWILMTTFWNTFENLIDIILLPVYFIASIIWIIATAILYPVFWFVWGIVCAPVHLVVGVSNFVGFMFNHMYNLSGVIWIFVSGIFKVASNAETAASTYEVSMWRALWNDLFSQIFRAVRSILNGFVAFFTACNRHRLSIYNHVQELIRRLDQPITGRSRLLNSIQRVKKSGSKTLVESMDRRRGLVAKNIKQC >cds-PLY81816.1 pep primary_assembly:Lsat_Salinas_v7:3:33693303:33695058:-1 gene:gene-LSAT_3X24880 transcript:rna-gnl|WGS:NBSK|LSAT_3X24880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMQYKEGINGEVGIRPVGKGKVKRSDLFVRFLALVLTLAAAVVLGANNQSTTVSVKIVPSLPPVNLPVTAKWLYMSAFVYLVIANAIACFYATMSLVLTLAARGGKKNVTLIVTILDIVMVALLFSAMGAAGAVGLIGLKGNSHLQWGKVCNVFDKFCHQTAAAMILSFNGSICYLLLVVLATINVYKKF >cds-PLY75026.1 pep primary_assembly:Lsat_Salinas_v7:9:193851392:193864015:1 gene:gene-LSAT_9X119261 transcript:rna-gnl|WGS:NBSK|LSAT_9X119261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGRITWSSGASYEGNFYGGYLHGFGTLTNPDGSTYIGSWRLNIQHGFGRKQYSNSDVYDGSWREGVHEGSGKYTWCNGDMYMGSWKGGLMCGRGVMKWLNGDLFDGNWSKGYRHGTGVYRFVDGNYYFGTWTNGLKDGLGMFYPAISIRSSSSGRLGKKKASKPHSIVRSISIKKPIKGLFRDMGRISNKKISVGVSSMESSAFNSTELLSHNLDEGESVDDYNSSNVVWEREYIQGVLVKERTKNNAKVLSKKEQQRIFLMEEMKRKSTLDIFESRKSNYMMLNLQLGIRYTVGKITPLTMREVRYSDFGEQARIRIYFPRKGSQSTPPHNSVDFYWKDYSFMVFRNLRELFKLDAADYMMSICGDDGLIELSSPGKSGSLFYRSHDDRFVIKTLRKSELKVLLKMLPSYYNHVQAHDNTMITKFYGIHEITPRGGKKVQFVVMGNMFYTDLRIHRHYDLKGSYYGRNTNTYNIIEATTLKDLDLTYKFHMDKSLRDALFKAPEHLKSMLEPPDAYAFNRPQNTTDDGAIFRVDRTIQPTGLLLVTHEPDSVNTAPGPHSRGSTLKAFSVGDKEVDVLLPGTGRYSIYFLFDF >cds-PLY88726.1 pep primary_assembly:Lsat_Salinas_v7:7:193828342:193830272:-1 gene:gene-LSAT_7X114400 transcript:rna-gnl|WGS:NBSK|LSAT_7X114400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAVESAAVTALRSVLHRVRHAAERSGRHADDVRVVAVSKTKPISLIRQVYDAGHRCFGENYVQEFIEKAPQLPKDIDWHFIGHLQSNKAKSLLAAVPNLSMVQGVDNEKIANHLDRAVSSIGRKPLAVMVQVNTSGEASKSGIEPSSCVDLVKHVKLSCPNLVFSGLMTIGMPDYTSTPENFKTLSRCRSEICKELGMVEKQCELSMGMSGDFELAIEMGSTNVRIGSTIFGPREYAKKQ >cds-PLY97556.1 pep primary_assembly:Lsat_Salinas_v7:5:237319718:237320460:-1 gene:gene-LSAT_5X112140 transcript:rna-gnl|WGS:NBSK|LSAT_5X112140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPNSVQCFGRKKTAVAVTHCKAGRGLIKINGVPIELVQPEILRYKAFEPILLLGRHKFAGVDMRIRVKGGGHTSQIYAIRQSISKALVAYYQKFVDEEQKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >cds-PLY82548.1 pep primary_assembly:Lsat_Salinas_v7:2:186984537:186985482:-1 gene:gene-LSAT_2X109040 transcript:rna-gnl|WGS:NBSK|LSAT_2X109040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRKFHHHHHDQRPKFLYLGGGGGDRRINGVDAEGFEMNESDLWSTGVEDHANEPQNLISRRSKFPLKTHHQRKVPMEAAKSLPVNVPDWSKILRDEYKHDDHGRRENDYDHDHVGFDVDDDDDEDDERLPPHEYLARTRIASFSVHEGLGRTLKGRDLSRVRNAIWKQTGFEQD >cds-PLY96196.1 pep primary_assembly:Lsat_Salinas_v7:3:92517973:92518427:1 gene:gene-LSAT_3X69161 transcript:rna-gnl|WGS:NBSK|LSAT_3X69161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSYNRVGRRYYTTRGFRLNVKRFYVQRLRPKFFNFFKNLVRSWRSSSSALYEKCTTRNGGQRNLVAKENAYRLRSFGRSNSFYSEAIEDCLEFIKRSSVSSDDKPEL >cds-PLY74873.1 pep primary_assembly:Lsat_Salinas_v7:8:106621409:106621714:-1 gene:gene-LSAT_8X72721 transcript:rna-gnl|WGS:NBSK|LSAT_8X72721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTSATTDHVYDIDKQIRQLKKKILLMEAQQQKAGCGHDPKQEQVEKVAKFEDWRKELRLLLDASLGSL >cds-PLY75554.1 pep primary_assembly:Lsat_Salinas_v7:9:32080221:32086809:1 gene:gene-LSAT_9X28860 transcript:rna-gnl|WGS:NBSK|LSAT_9X28860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVGRKNVEVVEITKPRTDTRDYKRIVLPNSLQVLLISDPDTDKCAASMNVGVGSFSDPEGLEGLAHFLEHMLFYASEKYPLEDSYSTYISEHGGRTNAYTSSEHTNYYFDVNADCFEEALDRFAQFFIKPLMSSDATTREIKAVDSENQKNLLSDAWRINQLQKHLSEEGHPYHKFSTGNWDTLEVKPKARGVDTRDELLKFYKENYSANLMNLVIYSKESLDKIENRVLSKFQEIRNIDRIHPSFPGQPCTPEHLQVIVKTVPVKRGHKLRITWPMTPGIHHYMEGPSRYLGHLIGHEGEGSLFYVLKKLGWATSLSAGESDWTMEFSFFKVVIELTDAGHENAELIIGLLFKYITLLQQSGVCKWIFDELSAICEMTFHYQDKIPPIDYVVKISSNMQLYPSKDWLVGSSLPSTFSPEVIQSALNELTPNNVRIFWESTNFDGHTELTEPWYGTAFSVEKITASTIQEWMKKAPEEDLHLPCPNVFIPTDLSIKNVKEKVNIPVLLRKSQYSRLWYKPDTTFSTPKAYVKLDFYCPFAGSSPEANVLTDISTRLLMDYLNEYAYDAQVAGLYYAISHTDDGFQVTLTGYSHKLEILLDTVIAKITTFEVKSDRFYVIKELVMKEYENYKFQQPYQQAMYHCSLLVKDRSWPWTDELEVLSVLEPEHLSRFYPQILSRIFIECYAAGNIESIEAELMIQHVEDVLFMGTKPLSQALFPSQHLTNRVVNLEKGVTYCYSKEGLNPSDENSALLHYIQVHQDDFKLNIKLQLVALIAKQPAFHQLRSVEQLGYITVLMQRNDSGIRGVQFIIQSTVKGPKHIESRVQAFLKMFETKLYEMPDDEFKSNVNALIEVKLEKHKNLREESSYFWREIQDGTLKFDRKDHEVAALKQLSKAEMIQFFDEHIKAGAPQKKALSVQVFSTTHATEDTGDAGEGKIVNIEDAFSFRRSRPLYGSFKGGIGHMKL >cds-PLY76968.1 pep primary_assembly:Lsat_Salinas_v7:6:65773230:65778869:1 gene:gene-LSAT_6X47461 transcript:rna-gnl|WGS:NBSK|LSAT_6X47461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSNLESDLKELRETFHSGKTKESSWRISQLQGLLHILEDRESDLSMALKQDLGKHHVEAYRDEIGLVVKSINYALGNLKQWMAAKKAKLPLAAFPSRAELLPEPLGVVLIISSWNFPIGLSLEPMIGALAAGNVVILKPSELAPSCSSILAETIYDYLDCTAVKVIEGGSDIGEKLLQHRFDKIFFTGSTRVGKTVMYAATQHLTPVTLELGGKCPVVVDSFSSSWDAKIATKRIVWGKFGACAGQACIGVDYILIEKKNLSNLVELLKKYTKQCFGDDPNESRSMSKIINKKQFSRLKSLIDEPMVKSSIVYGGSFDEESLFFGPTILVDPPLDAAIMTEEIFGPLLPIITLERIEESIEFITSRPKPLALYAFTKDEILHKRLVSETSSGSIMFNDIILQYAIDTLPFGGVGGSGFGRYHGKYSFENFSHEKVVMTRSFLIDMWFRYPPWDDKKLQIIKAGFRYDYLGAVLIALGLKRKA >cds-PLY86499.1 pep primary_assembly:Lsat_Salinas_v7:8:134564989:134565423:1 gene:gene-LSAT_8X91180 transcript:rna-gnl|WGS:NBSK|LSAT_8X91180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGFAISYGHRHPSGRGYWQELIESIVWPHNKLKVSLATQQRALGIVQGRVVGVTHYLLGGIATTWAIFLARIIIRYYMFSLVFVVFDVETKL >cds-PLY82897.1 pep primary_assembly:Lsat_Salinas_v7:4:17923783:17926030:1 gene:gene-LSAT_4X11541 transcript:rna-gnl|WGS:NBSK|LSAT_4X11541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETPTTASEINTEISTAPLLTQQSTHESLFGGARTLTTLSIFFGLLSGRRGDSLKVRESAAQQLENWRDDWGYSLPVVAIDTTWNLAVVVVSIAMLFWTAREQPNMPVRAWICVYALHCVMHVVLVWLEYRRRNRRAATASSGSSDRDRISFGNSSGSDVTSAEKRWETFNKIFQYLWWLVAFCWLIPNFEMHSAPHLFWLTLTFMVIDVFLAATGFLLKGVITGLAVSFCLPCVLSFLDFMGCQGGASEAEISVLPKYRFAVHTDNGGRMVPFETNDPDFSRVHVLPIEEEDCCICLYPYEDGTKLHLLPCNHHFHVKCILKWLKITTTCPLCNHTICQRVQH >cds-PLY65341.1 pep primary_assembly:Lsat_Salinas_v7:6:41769073:41770557:-1 gene:gene-LSAT_6X32180 transcript:rna-gnl|WGS:NBSK|LSAT_6X32180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVKIQIPPSTAQTVHHHHHIPNGLNYAAYDRLIQHCTNHRLIRQGKLLHAKLILSSVTLDNFLASKLIAFYSKTNNLFEAQQVFEQLPNKNTFSWNALFRGYSMNKHHTDTLMLFRSFLSASSMSVKPDYYIVTCVLKAFSSLGYHKSNFTKTIHCFIIRNRLDSNIFFVNALIKLYCRCKDMVKARSLFDHAPKKDLVSWNFMMAGYSQRELYNECKELYFKMLSLEDIRPNEFTVISILEACAQSNDLDLGMKVLRFVIDNEIKTDLPVCNAFIKMYAKCSDIIRYNTHQLFVEMTKKDEISYGSLISGYILHGFVMEAMNLFREMKKPCLSIWNVVISGHFQNNQYEKVIDLLHEMQENIFKPNSVTLSIIFPTLSHLSNLKGSKETHAYAIRNSYDTNIDVASGIIDTYAKLGFLDRARIVFDQSEKKSVIIWRSIISAYSSHGDVNTTLDLFNQMTKEGIYPDPMIVTFVLSACADHYGYVNEALSH >cds-PLY97496.1 pep primary_assembly:Lsat_Salinas_v7:1:193775743:193778716:1 gene:gene-LSAT_1X124800 transcript:rna-gnl|WGS:NBSK|LSAT_1X124800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGFKLWWIEGAFAILLLLVPGNLGWGKEGHYATCKIAESFLTEEALKAVKELLPETAEGDLASVCSWPDEIKHRYNWRWTSELHYVDTPDFRCNYDYCRDCHDSSGVKDNCVTGAIYNYTEQLITGYNASNSAVNYNLTEALMFLSHYIGDVHQPLHVSFTSDEGGNTITVRWYKRKTNLHHVWDSDIIESAMKTFYDKDLDVMISAIEKNISDTWSNDISSWTNCTSGEEVCPDPWASESIKFACNYAYRNATPGTTLGDDYFLSRLPVVEMRLAQGGVRLAATLNRIFDSRPSIAHKLASFGNIQPTCQISSS >cds-PLY77298.1 pep primary_assembly:Lsat_Salinas_v7:3:213745755:213746626:-1 gene:gene-LSAT_3X125520 transcript:rna-gnl|WGS:NBSK|LSAT_3X125520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRFLFDIEGIRLDFGVIEYILICGLKVGPYVDLLHYEKGQSNSQLRARLFPDISDSRFRLKDLEDLIMSPNYLALQDEDVVMLIQLVFMLKGLHGRDVKTGIPAAVYKLADNIDDWNRFAWGTYFWRSVTKAQELPARCGQRVNPISCITSTSKTTLGTSDSQSLGNSMVYLLYPLMLIAVVYA >cds-PLY96069.1 pep primary_assembly:Lsat_Salinas_v7:8:21512080:21512670:-1 gene:gene-LSAT_8X16500 transcript:rna-gnl|WGS:NBSK|LSAT_8X16500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIILENYLYFYAAAADQQIKEISNYIATDTGPCGNNRDCIFLLEKAMFDIGHEDEMVIELENEVRKVLGNMGLGIPKESHNNNSSNKRLTGPSHKPKSPHKLLHLLEAITINT >cds-PLY86475.1 pep primary_assembly:Lsat_Salinas_v7:7:113702544:113703429:-1 gene:gene-LSAT_7X70740 transcript:rna-gnl|WGS:NBSK|LSAT_7X70740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCPIGLIGFGSKLRLLPLKLNMRWFDEQWLKDHISSKPHNLSLFGEERSYLGHEDTITFDGTT >cds-PLY93356.1 pep primary_assembly:Lsat_Salinas_v7:9:58196008:58197249:-1 gene:gene-LSAT_9X51301 transcript:rna-gnl|WGS:NBSK|LSAT_9X51301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNTRAKHQKIHNTRKLCFSEVAMADSDHLATQSGAFIGSNDDLLTEILLRLPVTSVLQFRSVSKHWQWLLSHPRFTLMYKNASISPGLFVCNSYIPFDVENQSPPPFRNLDFYPDLCGIGIVQSCNGLLLCCSKKGHERARKYYVFNPITKQFALIPSVPGGMEVRKNIRFMGLAFHQTGCVHYKVVCIHVMNPDALFKIQIYSSETGKWKTSDQSFSAPYYTPLRYGVFWNQAIHWAPSFVNPSYFKLDTQELKSLPLPETVASFGGYGDRAMPLYFGESRGHLHLVDRVDRSESTLQLNVFEMLKDHSGWFLKYQVELDELLNAYPKMINSYQDPSSLDYYEFELFDVVRGEEEDDEAFFVIKVSGKIIRYNVVDKSFKQIFDLNHLTDLSYGRIGDLKVHRYIENLASF >cds-PLY69617.1 pep primary_assembly:Lsat_Salinas_v7:5:314009561:314009806:-1 gene:gene-LSAT_5X172180 transcript:rna-gnl|WGS:NBSK|LSAT_5X172180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLGDRWWRVDRFGGFQAATTGMTMVEAGGGQRCRWVSLTEGRGGAGGSEENIDSLKERWKEKGYEWQDASNPTNRFKTGM >cds-PLY96405.1 pep primary_assembly:Lsat_Salinas_v7:2:88889096:88891747:1 gene:gene-LSAT_2X37960 transcript:rna-gnl|WGS:NBSK|LSAT_2X37960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATWIYSQRSFLILGRVVGGGWLIIPHEYNSVIPTQLVMRFQNVEKDVKSESKSKLPLLELSTTLVVSFAIYKIGSLITKYLAYQEISYYM >cds-PLY70965.1 pep primary_assembly:Lsat_Salinas_v7:2:44285863:44295517:-1 gene:gene-LSAT_2X19441 transcript:rna-gnl|WGS:NBSK|LSAT_2X19441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNLLKKLHIKSSQSSDSSSRRSVSIETHSESQSDELNKPLSGITGWLNSVTNKRSSSPRSSSNLETIQGFDSVSSSQLEAAMDEVRVWDSGSRNSRDPEVEEEYQLQLALELSAREDPEAAQIEAVKQISLGSCPPENTPAEVLAFRYWNYSALSYDDKILDGFYDLYGILMGPTSSKMPSLVDLQKTPVSDNLTWEAIFVNKAADSKLLQLEQKALDMAVKSTSGQTLVQRLAVLVSDHMGGPVVDPDKMLIAWSDLSSTLKSTLGSMVLPLGSLKIGMACHRALLFKVLADSVGIPCRLVKGKQYMGSNNVAMNFVKLDDGREYIVDLMADPGTLIPSDTIGGGGGGLTVDYDESFSSSPWSPDDLASSRSGVTSSSGESSSMVVVAATTNSLPVAATTTKETKLGEPPAMTRTPHHIHARSTSWTEGISSPSVLKMKVKDVSQYMMDAAKENPQLAQKLHDVLRESGVVAPPDLFYEEEESSESQGQSQGQGHFGHLNNIPHPRFLPPLPKVSGKPENHQNCENESLNSLESPRRDGDGESQENERRSDRSTGNESTMSEVSLDDVADCEIAWEDITLGERIGLGSYGEVYRGDWHGTDVAVKKFLDQEITTESLEEFRSEVRIMKRVRHPNVVLFMGAVTRAPHLSIVTEFLPRGSLYKLLHRPNNQLDVRRRLRMALDTARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVYSFGVVLWELCTMQQPWSGMNPMQVVGAVGFQHRRLDIPDDMDPVIADIITRCWHTDPRQRPTFANIMAALKPLQKPNTSSKTPKD >cds-PLY84200.1 pep primary_assembly:Lsat_Salinas_v7:7:79375215:79379404:1 gene:gene-LSAT_7X55601 transcript:rna-gnl|WGS:NBSK|LSAT_7X55601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFCKLPKSKRFSTFTRSPSRSSSSSSSRGNHQSILSLVTLLKSSVNPSLKHYNNFLLQLFKTKKFRFITHFISQLNSNQIEGDHITHSIFTRALLKQHHYEKAVEFINTQIGKTPTILQNRILDSLVQGFCVNAKDPERGFSVLQDYLKIDGIIPSSFTFCALISSFSRQGKMDRAIEVLEVMTDEKFKYPFDNFVFSAVISGFINVGKPELAVGFYEIAAKSTALQMNIVTYTCILSAYCRLKRFEQVSELLNRVEKDGLASDVVFYGNLVYELFRAGTVMEALQKHNEMVERKIEMDAISYTILIDGFSKEGLVEKAIGFLHVMEKEGIRPNLITFTTIISGFCKKGKLEEALRVFNLVNNLGMEVDEFAYATLIDGFCRIHDFDFVFHMLDEMNEKAVHPSVVTYNIIINGLCKVGRTNEAYDLLKGIHGDIVTYTTVLHGYIQEKDSMGLLMTKKTLEEAQVCMDVVMCNTLIKALFLIGSFEDAYTLYKGMPEMNLVANHVTFCTLIDGYCKYGRIEEALEVFDEFRRTSMNSVTCYNSIINGLCKTNMIDMAIQVFIELNERGMPLNPHIHQMLLHSIIKTKGQNGILDFVSRIKNLGLESFHIICNDALCCLCDGGFFESASDLYMFMRNNGSFFTINSYNSFLELLVKDQELGLEKICVSDFVKEFGIFDSRVSKIILHYLCMKDINIAIKFLKSRNNLKFPIPIFKKLIKNGRVIDAFNLMIGSKERLPFMDVVDYTILVDALFKEGYIRKALEICCLAMKHGVTLNVITYNSIINGLCHQGCFLEAFRLFDSLEKQKIDITPSEITYATLIDVLSKERYLLDAKELFERMILKGFKPNIRVYNSLINGYSKIGKLPEVLKIVADLDEKHVKPDEFTISAVINSFCRNGNMEGALEYYFDSRTNDLFPDLLGFFYLIRGLCSKGRMEESRSVLRDMLEIEKIVDLLKKVDTGDENESLDHFLDSLCDQGNIREAILLLDEIVRMFFPVGKKFDEGRIGLGGSQLLISDQDDGVCDDFEAYYNLLASLCSRGELKKANKVAKLLSGFDGG >cds-PLY75139.1 pep primary_assembly:Lsat_Salinas_v7:4:61650705:61654424:1 gene:gene-LSAT_4X41860 transcript:rna-gnl|WGS:NBSK|LSAT_4X41860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRKGSRPPVKSSLFERVLRRRVQETYGNATPSVGELVDRLRANFPEYGRHKIQPFTRMVTQTLDSNDSKGKQKSTRKNDDYNSKFNYDDEDDSTSPSLRSPVSKKAKKIDSREQRLQMLETKHVAQRRVKLQSESSSSESESDDGEEDRSAVSSSEDDVYSLQFDPEFDITKSMLRNKYSGSKLDGKVDGKPQNIELEVVTNSNNKETRKVDLMKEDRGGKLKAKARKPNNSSNEDADANGKEDGPRFKDLGGMDVVLDELKMEVIVPLFHPELPRRLGVRPMAGILLHGPPGCGKTKLAHAIANETGVPFYKISATELVSGISGASEENIRELFSKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDESHRVTKPDDTSKNLEPSNNKPGYVLVIGATNRPDAVDPALRRPGRFDREISLGVPDENARIKILEVLTRDLKREDAFDLVKIARATPGFVGADLAALVNKAGNLAMKRIIDGRKSELSKENEEENEDWWRKEWTDEEMEKLCITMLDFEVAAKQVQPSSRREGFSSIPNVKWEDVGGLDILRREFDRYIVRRIKYPDEYEEYGVDLETGFLLYGPPGCGKTLIAKAVANEAGANFIHIKGPELLNKYVGESELAVRTIFSRARTCSPCILFFDEIDALTTKRGKEGGWVVERLLNQLLIELDGADQRKGVYVIGATNRPEVMDRAVLRPGRFGKLMYVPLPSADERGLILKAVSRRKPLDADVDLIAIGRSEACANLSGADLSSLMNEAAMAAVEEKFKKIEAAKASDEPQSSLGGLPHTIKAIHFEQALGKISPSVSDKVYDLACKSCIESCTLLLEACNIKRDLLLIFVFFVAAKTVLPSTITELQRILIVDCLWFCCIFFFSELLPTKGGIQFCSFKYRIFIYYLFYSIEFR >cds-PLY98336.1 pep primary_assembly:Lsat_Salinas_v7:7:173522128:173523659:1 gene:gene-LSAT_7X103361 transcript:rna-gnl|WGS:NBSK|LSAT_7X103361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEKEVKEVVGEKTDDKNKSKSKKKTGILSRIWNTVFRSRSDDFEKRLQYISKEEATLLSRMKRRALRWRRTARNIIIFSVILEFVAIGYAIMTTRTVNMDWRTRSLRVLPMFLLPAISFALHYGLLSFTRFGDRRDQKALERLRAERQAKIDELKERTNYYITQQLIQKYDPDPAAKAAAASVLASKLGADSGLKMFLGDESQPNPYATKKNNEVEVHQTTGLRKRNQSNLKSQGSNTVNQQSETEIGDGVSERSSHGDLVVDHHDPATLGGQDGGWIARIAAMLVGEDPTQSYALICGNCHMHNGLVRKEEFPFITYYCPHCHALNKPKNSGVSSETTMSPVLGPSRTVSADVKLIEENNEKVVPGEIISHVAGDVEEKEGSEKIVGDE >cds-PLY89359.1 pep primary_assembly:Lsat_Salinas_v7:5:69970233:69970478:-1 gene:gene-LSAT_5X33800 transcript:rna-gnl|WGS:NBSK|LSAT_5X33800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVLVSWARKEVARLRSPKPKALLIPPKSRSPVRLSLPSFHTLANSSKLDWQSCFKLIVVEMSFSCLYIMFYLSQTINCVR >cds-PLY65031.1 pep primary_assembly:Lsat_Salinas_v7:1:117448920:117451475:1 gene:gene-LSAT_1X86800 transcript:rna-gnl|WGS:NBSK|LSAT_1X86800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSWGNHVNQLVAIKRLHVTEVGQGTKEFNSEVTMLSKYPHKNVITLIGFCDDNKEMILVYEYASRGSLDSYLTDTTRPDRPSWTQLLKICIDVASALDYLHNQVSQNYRIIHRDIKSANVLLDESWNAKLADFGFAKIGLANQDTSFVITNVAGTAGYCDPQYLKTGFLTKESDVYSFGVFLFEVLCGRMECEQRYNDERRFLHHLARTCYKNGEIDKIIDNRIRKDIKPRTLLKFSSIAYQCLEKSREKRPPIIEVVIQLNQIQLEDETQQGNTTLRCSHRHCNHQSPRGSPERSIRNYEDPPRTIQHQVKVESSQVEYALTSKHPPRGPPGSMNW >cds-PLY77881.1 pep primary_assembly:Lsat_Salinas_v7:1:21480118:21480494:1 gene:gene-LSAT_1X17940 transcript:rna-gnl|WGS:NBSK|LSAT_1X17940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVTHTLSVSLNKIGDLKYYEGDLKAARDHYFRALDVRRNAIKDSSKAPSQVLDVVVSLAKVDDVDRNLDAEDT >cds-PLY98471.1 pep primary_assembly:Lsat_Salinas_v7:4:248311424:248320901:-1 gene:gene-LSAT_4X132401 transcript:rna-gnl|WGS:NBSK|LSAT_4X132401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRNTVYYCCVWNGGKVLYSYNCEGDHEIENLAALCLEKAPSHHKWYFQTMFKKTFGFLMEDGYVYFAIIDSTLGNPGNLDFLEHLRDEFKKVAKKGSNLSFTNLNNSVVLQEQLVPIIRRLIASLEHVTETTSPYNEGLSPSPISNDNNGNHDNGISTKAPLLGKSSKQEKRKMRDHVITVRENGVGEDHRKSADKGGTKPDLASLDSSNEVGSMTDGKIIIVGVVEYTDDWSPFRPLPFDKVEEESDEDEIDVDEDDEEVERVLNTWMGEYHNSEKEDGEFWPEDNSVDPPVMNYVAEEPPMVETKRDRSRTPNRTPMKVHLVDSKNEGAINDPIAIGGTYIIVETIEIPDNRPGMSVQEEDTGGTMSINHWDEVKKTQAQPTESGSVVNPLRPIQNLVPLRCFGSFPNSSKTPFLATTKGHELETENKSNNARIRKRKQIKSATKSPPPQPLAPQ >cds-PLY81629.1 pep primary_assembly:Lsat_Salinas_v7:2:1630226:1643701:-1 gene:gene-LSAT_2X940 transcript:rna-gnl|WGS:NBSK|LSAT_2X940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFASAIIGPIVESLIAPVKKQLGYLFSSMKHVRNMNTKMKQLDGTSSDVKKQMDTNYRKNLEIPTRVPGWLEEVEKTKEKAQSISSTGNGCFNMKMRYQAGRNAFKITQEMESLMDESSKITWSDTQRPLGKVNSKITSSYVPLDGDAQNHFNSREKSFKSALESLQQDHKSKVIALCGMGGVGKTTMMEQLKKAAEDKKMFDYVVKMVIGQQINMSKIQQDVAVYMMGQSLTEPSKEARADRLRITFGNLPEGRRKVLLILDDVWETIELKDIGLSPLPNGFKLLLTSRNENICKQIAVEANSDSTLVRVDVMEEPEAQNFFWKITGVSQQHDMELNQIGSEIVRRCGFLPLAIKLIAKTLRFQEVFVWRDTFQRLKKKNLDENVQEVIKISYDYIKTEEEKLIFLLCGLFPDDFNIPIEELTRYAWGLRLLSEVSTLGEARDRTKTCVQNLRNANLLMDSDYIGCVKMHDLVLAFVLSRVSKGDHPWMINHGDISKWSRAEVKDSCKRVSITCTGMSEFPGDFKYPNLSLLRLMDGDKSLKFSEDFYKRMENLEVVAYEKMHYPLLPISLECSTKLRTLILHQCLLIKLPSTIGKLKKLKLLDLTGCLNLRIDDGVLINLVELEELYMRVDDRKAIRFTNGNYAELAELSKHLSALEVEFFDNNSTPENMLFTKLNRFRISMGCGLVDNTNKNMHSFENTLRLVTNKDELLESSINELFKKTEVLYLEVVGMNDLEEVLMESVHVPQQAFNNIRVLDVFKCENLRYLFTVPIANGLVKLEHLTVSECSVLEILAHSENGGYCAIQFQGLKFLRLDRLPKLIGLCNTANVIELPQLVELELDGLPNFSSIYPEKTSATSSMSSNASAIQPFFNKQMLIPKLEKLGIWRMDKLKEIWPYQFSSSDEVNACMLRTIEVEKCDNLVNLFPTNPMSLLGRLEELDVSDCGSIEVLFNIDMSCVGEIEEYSSNLRLIKVHNLGKIRELWRMKGESSSDILIRSFQAVERIEIRSCERFVNVFTPTVTNSDVRTVMKVSIDGRRTWEETRGNIELVQNNQEINVISKAEIISEVDGNIPDVESSIHPKPFHVNHLQRLEIFGGCKDVEVVFEIESSGSSSINNTYLTKTLHKYNHQPPPLLLPHLKELYLWDMERMSDVWKCNWKKLVIPQNQSQSYSFHNLTEISMSYCKSIKYLFSPVMGKLLPNLKVVRIWRCDGIEEVVSNRDINDENEEIISSTHTNTISSFPFLQGLSLNSLPSLKSIDGGTTITTTSIHDQFQCSQVGVASWLLCQYSKKIYIENCPALSRVFPSNVVGQLNKLEELRIEECKSMVEIFESKEIKKDGVDSTTNVGDGSDDTCTAITIPRSANMTLLQLPNLTILEIERCEVLEYIITSSTLESLKQLKKLTVKQCKAVKVIVKEDGDLPELKGFFPRLKSLTLADLPDLKGFFLGKNEFKWKALEKVKIYGCPQMMNFTSGHSMTPKLNYIHTELGKHSLDQYGLNFHLTNATHETQLPMCSTPDLIKLLQFPWSLSNLVEVDAQWIDKLLESRIIFPCKELLNLKNLEKLSITRKYGESKIEEVFEVAEGTNEDVDIGMQSVVVFEKLKEVTLGGINTLKHVWKSNRWIVLNFPNLTKVSIVSCKLLGHVFSSCMVGSLFQLQELKISDCESMDVIVKQVEDSETRLTTTEVVFPCLKSITLEKLPNLKGFCLGKEAFEWPSLDTLEIKYCPKITVFTNGQSTTPELKLIDTTFGFCHVTEEPNSFIKTYQQEGWQF >cds-PLY77833.1 pep primary_assembly:Lsat_Salinas_v7:1:22880117:22880284:-1 gene:gene-LSAT_1X19301 transcript:rna-gnl|WGS:NBSK|LSAT_1X19301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSLKYFQLKEETTCQDMIGMSSVNCIFKLPIIVQELNGDNKITIFTTPLFSYF >cds-PLY82396.1 pep primary_assembly:Lsat_Salinas_v7:2:185042767:185046006:-1 gene:gene-LSAT_2X108461 transcript:rna-gnl|WGS:NBSK|LSAT_2X108461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIWQSLFLGVTFQFILVFGAYDLKNLNIWPMPESVEYGNTSLFLSKDFHLKTNGSKYADASGILKDGFTRLLDVITSNNVIEFNSKVDDSLLLQGMNVVIHSESDELQYDIDESYTLLIHANGNQNYAEIEAATVYGALHALQTFSQLCHFNFKSRKLVVTQIPWTITDRPRFSYRGLLIDTSRHYQPLPMIKKVIDSMAYAKLNVLHWHIVDTQAFPLEIPSFPKLWDGAYSSSERYTMAAAAEIVSYAKKRGINVLAELDVPGHAVSWGVGYPELWPSKNCTEPLDVSNEFTFKLINGVLSDFSKIFTYKFVHLGGDEVDTSCWSFTPRIKKWLEKQGFNESQAYEYFVLRAQKIAVSHGYEVINWEETFNSFGSKLDRKTVVHNWLGSGVAERVVKAGLRCIVSNQDSWYLDHLDATWQQFYTNEPLTNITEPKLQKLVLGGEVCMWGEHIDGSDIEQTIWPRAAAAAERLWTRFDKLAKKPEDVMTRLMHFRCLLNQRGVAAAPLDGPGRVAPIEPGSCYVQ >cds-PLY84467.1 pep primary_assembly:Lsat_Salinas_v7:7:127690309:127691337:1 gene:gene-LSAT_7X76681 transcript:rna-gnl|WGS:NBSK|LSAT_7X76681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLNLDVVVAVMVLSTVVPSFLLVASCYVVVIAAGLRCHQAPPSLAAVGMEETHRFQSMLSHSVKDLTPSTLRSVVAAHKTLIPNFGQHPPPPRVAVVHVFQPCVARMGLFSVFHLVLVTTVRGL >cds-PLY71493.1 pep primary_assembly:Lsat_Salinas_v7:7:191502041:191502636:1 gene:gene-LSAT_7X116420 transcript:rna-gnl|WGS:NBSK|LSAT_7X116420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGTLTTPIGGIPLRLPPPNSTRSTSNVFLCGGRTCKASMHAVLNSKPVAQLKLRRPESLYEVLRVERDATPTEIKTAYRSLAKLYHPDASDFKQQGDRDFIEIHNAYATLSDPEARATYDLKWSTGVRRKSGLYTAVGKRQGFYTCRRWETDQCW >cds-PLY63246.1 pep primary_assembly:Lsat_Salinas_v7:4:200544816:200547636:-1 gene:gene-LSAT_4X112020 transcript:rna-gnl|WGS:NBSK|LSAT_4X112020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSFKSKRIKIESRIMIKIHFDIASFSVARVKIKQLMVRNDSILVASQPSFDDNFSIMWPENHFKTTEEVILTKQTYFLSLDNDI >cds-PLY69856.1 pep primary_assembly:Lsat_Salinas_v7:6:3316174:3316653:1 gene:gene-LSAT_6X3800 transcript:rna-gnl|WGS:NBSK|LSAT_6X3800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSLVFPAMQNMNAALQFFNLGRIPVSTAVGIYALASSSPPSTGDFSSDVKPAIQQITGFLSNNSFPLLITAYPYFTYIYEPSSIQLPYVLFTSPDVVVRDGSLGYKNMFDAMVDAVYSALEKISAGDVEVVICESGWPWQGNGNSRRLNWLRPIIRIL >cds-PLY65122.1 pep primary_assembly:Lsat_Salinas_v7:4:5032573:5034620:1 gene:gene-LSAT_4X2440 transcript:rna-gnl|WGS:NBSK|LSAT_4X2440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMMYYPLGRCSYEESMKVLEADIQHANALAAAIPRANDGARFQMKLVYNELAPLVMFLLQWIDSSCTCLLPRYLNLVHVLVYKVYTDGRPKISRRGRKATINDFYAVILPSLRRLHCDLVDDGDSAGVCLRMETSDHKKTKNEVGFSNLDLKREDECGICLEPCTKIVLPNCCHAMCINCYRDWNTRSASCPFCRGNLKRVKSRDLWVLTCDDDVVDADLVVGEDLVRLYLYINNLPKDNHEAIFFMYYEYLI >cds-PLY89078.1 pep primary_assembly:Lsat_Salinas_v7:9:28784049:28784819:1 gene:gene-LSAT_9X25300 transcript:rna-gnl|WGS:NBSK|LSAT_9X25300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVLFLVFTLFLQGAFGEIICEELPVGMCSFSISSSGKRCVLENYESNNGGIDFQCKTSEIVVKAMWELIESDDCINACGVDRKTIGISSDSLLDLKLTTKICSTECHQNCPNIVDLYYNLALGEGVYLPELCKVQKTMARRVMMSQVLSSGEASSPSTYSAANGPTSSESSGVDHAEAPM >cds-PLY89243.1 pep primary_assembly:Lsat_Salinas_v7:5:310876543:310878215:1 gene:gene-LSAT_5X169901 transcript:rna-gnl|WGS:NBSK|LSAT_5X169901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSGFRGFCSILVLLSVLSTISLLYRASFYSHEQSLELGLGLGLGLRQNQNRQIDLLTFPSAWNHLSFPPNPPQKLLKIALFVKKWPDRRHAGGLERHALTLHLALAKRGHELHIFTASSPNFSFPNYPFENLHFHLSKPTDAGYLNQALIWKQFQDQNSSGKPFDVVHTESVSLMHTRSKYVSNLAVSWHGIAYETLHSNIIQELLRAPNENQTNHLTERAGKVVNEIKFFQNYAHHVATSDHVGDVLKRIYMIPEDRVHIILNGVDEDVFKPDYNTGIEFWSHFGIPETKTLILGMAGRLVKDKGHPLMFEALKQIFTENSTFRETVVVLVAGDGPWGVRYKELGDNLLALGPLQPVQMARFYNAIDIFVNPTLRAQGLDHTLLEAVLSGKPLMATKLASITGSVIIGKEYGYTFSPTVDSLKKCLYEVWEDGRGVLEEKGKVGRERGIKLFTATKMAAAYERLFLCISNGKNNQEYCKY >cds-PLY98778.1 pep primary_assembly:Lsat_Salinas_v7:7:23931842:23933249:-1 gene:gene-LSAT_7X20101 transcript:rna-gnl|WGS:NBSK|LSAT_7X20101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKASRSFYTASLKYPQLIVSASFKPPSTAMHVYSSRIGRHRGTVEAPDALLPVFTTFVLLEIYLIVDCLKSNGGRIPSLKSSSNRFYVIYWRIIMVLRNSRGCTLITIDEGCCFYGFRTSKIYVQLPQIMLISPPIEPHHIHRTTDANFALALRSGKSFQLEIR >cds-PLY90614.1 pep primary_assembly:Lsat_Salinas_v7:6:54920163:54922516:1 gene:gene-LSAT_6X40041 transcript:rna-gnl|WGS:NBSK|LSAT_6X40041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNRGVVCKPNRQGSKGSQQQHSGGKQTSHRADRRYAPYESTPSHGDARAQSHESTPTSSGGSRRTLHESPNTSHGGTRLALHDSPTSHEGSIPIPRHSTKHSTVTPLADVDPVHVEPVGGYLGLLQQMNPDGGLYSDEGIDEGDGHTTPHGSNNTDIPAYGTFYGTSRRRFISCIGKKFGIFDVIRAIRRLLDQYIEGSWISFKEIPKEVIEHIWIKLKTLYDWDSNEDEQIRENFENVIQDRYKDIMGTFRNRSADMARAAGHDIPKDKKNSDIMQNFVPNGMQSERWKDLCREWNTDAWLKRSPRRKSNRNTADSGGKIARHTGDSRKGRPPTFLELFLITHLDKTSKMKYFDGDVEGKQFCTERAREAYEAYSRALLEKYGDDLVDHPIDDAELWAKTHREISGVSRSSYIYGVGSSDINSLLNGKSSVGAGCSSSSCGSQQEVKELRIQLENVERERVLMQQKQEIMEQQLAQLMRRFGNPPKDRC >cds-PLY76214.1 pep primary_assembly:Lsat_Salinas_v7:4:55837309:55841550:-1 gene:gene-LSAT_4X37821 transcript:rna-gnl|WGS:NBSK|LSAT_4X37821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSFNQKSFKYHVFLSFRGEDTRKTFVDHLYTSLKQKGIHTFRDNEELEKGKRIDKLFKAIEKSKFFIIVFSKNYASSSWYLEELAKIIKCQDENDQTAYPLVYDVEPSDIRKLSGPVGRAITKHKTNEQIKKWVKALESAGNLVGWDLKNIANGHEVEAIKRIVKEISLKLRSIHLSNDENVIGMDRGMQDMESALGIGLNDKARMIGIKGMGGIGETTLARAILDNGTEASTCIGLQLNTVDLPPEIIMKGLGKLNKLRYLFLHGELDDCFPSDWKFDQTIQYLPNTLQFLYWRGYPGFSLPQTFRASNLVGLELPGSRIMQLWESGERKALKKLRFLVLMYSDLRTLDFGMTPDLERLDLQGCYDLTEVHVPGCLERLVYLDLGGCSRFRSFSFIKQFESLELFRLPNLSMHVKCLEEFPRDSSNNLPMPQFQFHYFKEQPSSTGVYLDLQPCTKLESVSGSICGLQHLRELTFHGCIPEVPNDLDQLKCLEHLTLYSTHIKCLPDSLCIRSLPDSICMLKHLQYLNLESCQLLEKLPEDIGQLECLEELNLKKCASLLDIPNSICKMKFLSYFYLPFCSQVQKLPEELGSLQCLEELDINCTGISHLPQSISSLEGLCIVGSEALLKSCAFATEIETSETKTFCYIQVVDNTGART >cds-PLY79411.1 pep primary_assembly:Lsat_Salinas_v7:3:78673669:78676895:-1 gene:gene-LSAT_3X60800 transcript:rna-gnl|WGS:NBSK|LSAT_3X60800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQIMSSSNFLFFSLALLIITPSPVQNLASATLEEANGLLKWKARLEIRNNSLLSSWLPLPINSNASIPCTSWFGVVCNADESIQRLNLGSSGLKGTLHQFPFSLLHDLTHFDLYKNNFFGPIPPEIRLLSKLVYLEFSVNWFSGVIPPEIGMLASLEILWLPFNNLTGSIPQEIGRLTSLYQVGLPYNLLQGELPPTLGNLTNLAYLYLHNNNLCGPIPPNLGNLKSLTDLVMTNNHFSGSIPSSLANLSNLQNMYLIENRFSGQIPTNIGDLKSLVDLQMSQNQLGGFIPSSLANLSNLQNMYLNENKFSGHIPSDFGNLKSLLGIEATHNQLSGCIPPSLANLSNLHYVYLGDNILSGSIPQGLGSLELFHLQMFDNQLSGHLPQDLCHGGKLQIFTVDGNQLAGPISRGLRNCSSLIRARFDRNHFIGDISNSFGIYPHLKYLDISHNNFHGQLSQNWSKCKNLTALVMAYNNISGSIPPAFGNSTRLQRLDLSSNHLVGEIPKEFGKMKSMLNLSLANNHLSGIIPTELGYCELLEVLDLSTNRLNGSIPRSISQWKHIHHLNLCNNELSEKIPSEIGKLVQLTEVDLSQNLLTEEIPSEVQSLKNLQKLNLSHNRLSGSIPNAFTSLPSGIPIDLSDNELTGPVPLCSNFLNASLQGNPGLCGNFTGLKSCASQISKKKNDLFHHQIILVIVLPLIGAISLGFFICGLIAYRKQKRNSPQKPFDKEGGDYFSITSFDGGVAYDAILKATENFDEAYAIGTGRYGTVYKAQLQPNNVVAVKKLHPSSSSENVDHNGFLNEVRALTNIRHLNIVKLYGYCSHSRHSFLIYEYLEKGSLGSILRIDDLAKELDWLKRVNIVKAVANGLAYMHHDCSPPIIHRDISIANILLDSDYEAHISDFGTSKLLKVDSSNWTTIEGTHGYIAPELAYTMVVTEKCDVYSFGIVALEVIMGKHPGELPTLSVDYLVLANVGDSRIPLPSPQIEKKVNMVLNLSRACLNSNPKERPTMHQVSNLLMKA >cds-PLY62937.1 pep primary_assembly:Lsat_Salinas_v7:2:212288591:212289324:1 gene:gene-LSAT_2X132281 transcript:rna-gnl|WGS:NBSK|LSAT_2X132281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVDDQCKLKFLELKAKRNYRFITYKIEDQQVIVDKIGGPDKNYEDFTNSLPGDECRYAVFDFDFTTDENCQKSKIIFIAWSPDTSKVRMKMVYASSKDRFKRELDGIQVELQATDPSEMSFDILKSRANI >cds-PLY95328.1 pep primary_assembly:Lsat_Salinas_v7:8:243944714:243946890:-1 gene:gene-LSAT_8X146980 transcript:rna-gnl|WGS:NBSK|LSAT_8X146980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNRCAYQQQNNNNINNNALVRSEETMNGVVCPKPRRLYLLNPSMKESISTSSPRWQQHYSIHQTETHESKSRMELLDMILTKECYGGAEKSNNVVSSSPPFFCGSPPSRAANPVVQDAQFGNENPNPPSPTIEASPSSRKSGGSCARGKFGQKCPAVRVEGFNCRGISAVA >cds-PLY71632.1 pep primary_assembly:Lsat_Salinas_v7:9:136145839:136147054:1 gene:gene-LSAT_9X87820 transcript:rna-gnl|WGS:NBSK|LSAT_9X87820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAENAVPVTTQPEVQIEQERLKYLEFVQVAVFHAVVYASRVYGYAKDNSGPLKPGVETIEGTLKTVVGPAYVKFHDVPVEVLKFVDRKVDESVTIIDSRVPPLLKEVKTAGVVETASGLAKTAYTKLEPTAKGLYVKYEPVAEQYAASAWHSLNQLPLFFKVANVVVPKAAYYSEKYNQTVQQTAEKGYKVSSYLPLVPTERIAKVFNSTAA >cds-PLY68011.1 pep primary_assembly:Lsat_Salinas_v7:4:314741586:314741951:1 gene:gene-LSAT_4X158581 transcript:rna-gnl|WGS:NBSK|LSAT_4X158581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARYGRVYKDADEKEQRSKIFQENVRYIESSNSVMNKAYKLAVNEFADLTNQEFTSTRNRFKAHECSPSTSAFRYENVTAVPSSMDWRKKGAVTPVKDQGQCGKQKLLPGFSNLRRSPSSN >cds-PLY63409.1 pep primary_assembly:Lsat_Salinas_v7:7:152228830:152230364:1 gene:gene-LSAT_7X89260 transcript:rna-gnl|WGS:NBSK|LSAT_7X89260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRAVIIMNDEGKPRLVKFYDHQPMEKQQEIIRSIYGVLCSRAENVSNFVQADSTFGKDTRLVYKTFATLYFIVIFDDSENELAILDLMQVFVETLDKCFSNVCELDIVFNFNKVHTILDEIILGGQVVEMNSSEIVKAVNDISGSSLFLFLSL >cds-PLY82712.1 pep primary_assembly:Lsat_Salinas_v7:2:146259631:146261539:-1 gene:gene-LSAT_2X72361 transcript:rna-gnl|WGS:NBSK|LSAT_2X72361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEMKQILSNKVHKSQIKPGDHIYTWRTAWLYAHHGIYIGDEKVIHFTRGGGQEIGTGTVLDRVLLSSSPSNSDGDPCPKCGDQSKAEGVIASCLDCFLSGDDLYLFKYSVSPAIFLAKARGGTCTLAHSDPPDDVIHRAQFLLENGFGGYNIFKNNCEDFAIYCKTGLLVFTTISVGRSGQAASFLAATSAIFSSPLRYLTTSFPGLAVVGCGIYCISRVVADIGMRRDVIKIPVERLVRGSILAEALEPEACVKKLQVAQVPVEEPKVAQDIVATAKGD >cds-PLY84344.1 pep primary_assembly:Lsat_Salinas_v7:3:150408383:150411076:1 gene:gene-LSAT_3X97401 transcript:rna-gnl|WGS:NBSK|LSAT_3X97401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGICDPLMNTPEEENLIAAARLILGELESNKKFSNEATRILEDLATKLSSIVKITKPKDENEEEEEELSDIKIRLDSIQDKIMTWEVGQSMILDSGSDENQTNEYLNAVNEVKTLVERLESLNPNKDTSEHEVLLKANDVLQTSMARLEEEFKHILIHNQQNFEPERLSFRSIEDDCVDSTSIVSFEDDSFDDSIQRDSITISRGSEVHIMDLINPQVIPNLKSISTLMFDSNYIKECCNAFISVRKDALDDCLFILEVEKSSIEDVLKMEWATLNSKIRKWTKVMKIFVRIYLASEKSLCEQIFGKNEVVNSCFAESSKASILQLLNFAEAISIGPHKPEKLIRILDMYEVLADLKPDIESFYQNDPGSYLRTEFQDVLTRIGDCATTTFLEFKNAVGANPSNAAFPGGGIHHLTRWVMNYVTTLIDYSNSLNTLLKTEIQFQDPSSSPDVNLEDDNANQKNSSFSISPMTSHFQSLMSLLESNLEEKSRLYREEALGHLFLMNNINYMAEKVKGSELRTVLGDNWIRKRNWKFQQYAMSYERSTLSSILNLLKEEGLHNNGSNSGSISKTLLKERLQGFYIAFEEIYKSQTGWSIPNSQLSEDLRISLSLKLIQAYRTFVGRYGNSISEKYIKYSADDLESYLLDLFEGSPRSLHSFHRK >cds-PLY73185.1 pep primary_assembly:Lsat_Salinas_v7:4:268250094:268250832:1 gene:gene-LSAT_4X138540 transcript:rna-gnl|WGS:NBSK|LSAT_4X138540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHESMCMVNHIMVDDDDNSRLTLEEMLGPMFGGYGGSREEEMSAMVSALSHVVAGGGCSGRAGKRGREEDVHVMTQDSIIAHDQHGVADGVEAATKTPREDEQQPKRKYRGVRRRPWGKWAAEIRDPNKASRVWLGTFNTAIAAARAYDDAALNFRGSKAKLNFPEDVHLVEREEHRNSCGSSGCDGAQHP >cds-PLY79974.1 pep primary_assembly:Lsat_Salinas_v7:9:46319102:46323071:-1 gene:gene-LSAT_9X42821 transcript:rna-gnl|WGS:NBSK|LSAT_9X42821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVPQERWCVVTGGRGFAARHLVDMLIRYEIYSVRIADLGPDIKLETHEERGNLGQALRLGRAQYVSMDLRDKSQVYKACEGAEVVFHMAAPDSSINNYQLHHSVNVQGTKNIIDACTKLNVKRLIYTSSPSVVFDGIHGIHNGEESLPYPSKHNDSYSETKAEGEALVIKANGVNGLLTCCIRPSSIFGPGDKLLVPSLVAAARAGKSKFIIGDGTNMYDFTYVENVAHAHVCAERALASDGSASKRAAGEAYFITNMEPIKFWEFMSLILVGLGYERPRIKIPASVMMPIAKMVERTYNIFAPFGMKVPQLTPSRIRLLTCNRTFSSAKANDRLGYVPIVPLQEGLKRTIESYSDLRAELLPRKEGPSKAAVFLGNGMVADILLWREKKMTYTAMVGLFVFYLSFVLPGSTMMTAICKVLMWGSIFLFLHRKLPQRFMGYSIEKIPESKFQFSEDMTRRTALSLVSTWNYAVNNLKSISSGNDWMLFFKMALSLFMISWMGSMSLQSFFVKVVPFVFVVFYIYDRYEDEVDSIVRTIFPVERLSNPEFLRLLNPFKPLDKHD >cds-PLY97177.1 pep primary_assembly:Lsat_Salinas_v7:2:206102537:206103830:-1 gene:gene-LSAT_2X126520 transcript:rna-gnl|WGS:NBSK|LSAT_2X126520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVESKSQSPGQGSRNMPENSAFLFENVEGFQQRVKEILNVIEQDENSPKIGDIKKSKLTAMVTELSRMHMALADEHVHLIKEVSKNTHKFTTPIKTQHVDSSTSSSAPMSKTDNIMTPVGYEFTLDTSGGGFHFSTREGSESSFTLSSSSDSESFMSISKNLNSPVKDDASKSKETKVHDPKVLLKKISTLEKEQSSLKKKIQNLTDENALLEAEKSNVAELKRMISESNRKIETMGNVIEASRKQVSTAHDENSKLIKEMSNKVFGKVFNSIEKQAAIGKKDEQITELNNELNRLKIAHAAEMDALNTEIEMLKTKLGEK >cds-PLY94628.1 pep primary_assembly:Lsat_Salinas_v7:8:144711768:144713260:1 gene:gene-LSAT_8X97621 transcript:rna-gnl|WGS:NBSK|LSAT_8X97621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNLQPLLSPIVFLLTIATVFCISTSEETKTIYEVLKSNGLPMGLLPKGVTNFTIDDSGRFEVHLDQACNAKFEDELHYDQNVSGTLTYGQIDGLSGISAQDLFLWFPVKEIRVDIPSSGLIYFDVGVVSKQFSLSSFETPRECLASSNQLIVQTVSKTLSRKLGIQKSKHQEQLMTLL >cds-PLY81147.1 pep primary_assembly:Lsat_Salinas_v7:9:67472213:67486620:-1 gene:gene-LSAT_9X57180 transcript:rna-gnl|WGS:NBSK|LSAT_9X57180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTYSFGEEGPAIVQLQKWESSRLQANLTQFREAFLSPTRELILLLSYHHEGILLPLVKGEAVSSIVSYPMLSDINSLAWGICEDSNSQHEGALFRELLFVVGDHGLTAHAFCQSIECSESLEPMPDVNSGEWVEWGPSNDAMHINKPENDDEQRRWLRTFLTKAKTVKSEGKLCSRFPDKSQFPSSTTVVSFNIFENDSTLLRDLFHGDDLNNISYKCCSVFVNNSHDLIGFSLIFSPVNTNDESERKQRKKVVVVGRIVSWGIQWVCSVKLDEDVYKVPVDQWVDFKFSDRYLICLNSAGVIFFFGDINGEYIGSVNLLEIYNGGESESRSCTVSTGQSQTSSNFIGLLVASHTSLMAAVDEHGIVYVVRGSDHIPGINNSVEKSLPQFQQLRHQTLVGWEVGGADISHQRSFSKDKMGSKELLPTLRSVFLPTDKYSKDDIICLSPFGITRLSKKYSTVVHFNYHVDSAMIMNDGGQEEEVTVGDAVGCVFHGCFYLVTSEGISVILPSISLELASGCIPVEAIGYRQNNICSMDQTVNLIEIKGLKQPWPTWKTEVLDRVLLYEGYEEADHLCLENGWDLKVSRIRRLQLALDYLNFQEIEISLEMLAGVSLAEEGVLRLVFAAVYLSLNKGGNDNDVSSASRLLSLGTHFSTKMIRRYGSLQHIQNGNGSFTDNMESSRPLLLADKEHNETENSKRLEEMARLLEIIRNMQRRLATKFNKSVTRMGESLKPLEFMDSELSHDDSTHVSSMEPTNEQETTNGLMSVDSVDSRFYSELERSVSQVETRAIPFENPKDMIARWEHLDQKNVVKDALLSGRLPLAVLKLHLHNQEGMDGNNEPHNTFEKVRDIGRAIAYDLFLKGETEVGVATLQKLGEDVESCLRQLVFGTVRRSLRNQITEVMRSQGYFGPYESKILEGLSFVERVYSCSSFHATFLSRRKDLIVNSPEDIKLPVLHILSNNLTIECGEVDGAVLGLWRDVSKYSEIPVVDDDDTHACYWASAAVWSDAWDQRTIDRIVLDRLSLVDFDVSWESQFEYHISHSNWEEASRLIDIIPSYSSQHGNLRISLDGLPSASTEAKTDFNDYGNYIYSIQDLDAVCMDVQDIKILRFPSINTSSMWLKSLVESHLAKKFIFLKEFWDGTEEIVCLLAKSNFVTNSSDAASVDQSTGGDSTDLYFPNIDKDMSGGCFQGLHKLFLHHCIQHNLPHLLDLYLDHHKLGTDRELLSALLEAAGDCQWAKWLVLSRVKGNEYEASFSNARSIASRPGNLEIEEDIIHTVDDIAEGAGEMAAIATLIYAPLPIQDCLSTGSVNRNRSSSAQCTLENLRPAMQRFPTLWRTNSALSDYLNWRDNIFYSAGHDTSLEQMLPGWFSKAVRRLVQLYVQGPLGGQSVPGLQVEESYLLRDIDYEDNGNEQSKISTLSWEAAIHRHVEELYGPSAEGSRLGHEHHLHRGRPLAAFIHLLSLRLKKLKLEFETRRMQSDVNGQSNIQSDVHTLLSPITQEEESLLSSVMPLAVMHFEDSVLVASCAFLLELCGISPTMLQVDISALRRISSFFKSIDQNLEGDISGSLARALAEYYLKNYNTGSGIVKEKDNNSKQKQATRALVIVLQNLEKASLPSMDTTGDTCGSWLMTGNGDGTELRSQQKAASQHWSLVTEFCRMHQISISTKYLTVLAKDNDWVGFLSEAQIEGQPFDTVFQVASKEFSDPCLKVHISTVLKGMQSKKNAPSSSNFNNPNKKNESSSFLDDNINNYIPVELFGIIADCEKQKNPGETLLLKAKDLCWSVLAMVASCFPDVSPLCCLTVWLEITAARETTSIKVNDIASQISTNVAAAVEANNFLPVTARAQTLHYNRRNPKRRRLMDSIPVDTLTSKDSDVSTASSSIFLDQGIIFGGENKEMADENKVSTESDKVLDSLSKMVAVLCEQRLFLPLLRAFEMFLPSCALLPFIRALQAFSQMRLSEASVHLGSFSARIKEEHLNMQRDGCMNTSWVSSIAEKASESMLMTCPSPYEKRCLLQLLSATDFGDGGSAMAHYRRLYWKINLAEPLLRKDDVLHLGNETLDDASLLTALEENGHWEQARNWTKQLEATGGPWKSAVHHVTETQAESMVAEWKEFLWDVPEERVALWGHCQMLFLRYSFPPLQAGLFFLKHAEAVDKDLPPKELHELLLLSLQWLSGTITQTPPVYPLHLLRELETRVWLLAVESEAEVKTEGELTLNSQSRETGYGNGKGSSIVDHTASIVSKMDNHINTIKTRNDLSHHRGGPQVIDKSKRRAKGIVTSRKAFVDVEKAAEVSLDTEENLSFSRWEESIGPAELERAVLSLLEFGQITAARQLQHKLSPSHFPSEFVLVDAALKLAEISTPGNKVAISMLDDDVRSVIQSHNLPTHHQFIDPIQVLESLTGFFVDGRGRGLCKRLVSVVKAANVLGVTFSEAFDKHPIELLQLLSLKAQDSFEEASLLIQTHSMPAASIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSESEIGHALMRLVITGQEIPHACEVELLILSHHFYKSSACLDGVDVLVALAATRVDAYVSEGDFACLARLITGVGNFHALNFILGILIENGQLELLLQKYSAAADTHTGTAQAVRGFRMAVLTSLKQFNPKDLDSFAMVYNHFDMKHETASLLESRAEQSYQMWFLRYNKDHTEDLLESMRYFIEAAQVHSSIDAGNKTRAACASASLVSLQIRMPDFQWLTLSATKARRALVDQSRFQEALIVAEAYNLNQPGEWALVLWNQMLKPELTEQFVAEFVAVLPLHPTMLAEIARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLERSFRCLLKRTRDLRLRLQLATVATGFSDVIDGCLKALDRVPDNGGPLVLRKGHGGAYLPLM >cds-PLY83482.1 pep primary_assembly:Lsat_Salinas_v7:2:182671191:182672939:-1 gene:gene-LSAT_2X104741 transcript:rna-gnl|WGS:NBSK|LSAT_2X104741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQTVVLKVAMSCGGCAGAVKRVLTNMEGVETFDIDLEHKKVTVKGNVEPDLVFQTVSKTGKKTEFWPTEEASSCCGGKKSEEAVVAAPSSEAGETVDPVPVAATPSCVAGKPVSEAAKPVEPVIAPSAEVEKPVEPVEKPVEPVVV >cds-PLY98093.1 pep primary_assembly:Lsat_Salinas_v7:MU038094.1:142194:146469:-1 gene:gene-LSAT_0X22580 transcript:rna-gnl|WGS:NBSK|LSAT_0X22580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSILPSQNLGSSSSSSNLHAQNPNPNHGFNLPQPDSPSQPTHTLQSLRISGPVDMPDKLTAEPAAPTPKTSGGSSKKVKEDHKVNGKKILDHQKSGQSSVGSSQHKGSPGSQQRSRYNHQGGTQTSSGRKTQAVNGNHLLNFHYDPITRPQARVNPPRKLPKRKPYNKDLFLQANYKFVLLDSGNHAPESMDPDKMLQWEDIICVMYFTPHPTNCPICLEELLCPQMTSCGHIFCFPCILRYFLMGEDDHKRESWKKCPLCFMMISSKDLYTIYIENVKQHCVGDTIEFMLLTRDKDSLTLNAKQKEGVNSFDSFSKFTFTVDVELSVRKAMSELDSWLARAESGLVDDMEKLPYVCAAMEQLEERKKYWKEHRDLDGVNSRNNESFHSGLDFSVGVSGSVMSEELSVSRLKLVDVNEPVLNQTADVDEHEPYDGQDEALSSSYEDSKGIQLPSNGFTDKKIKGSYDFYQAADGQHLILHPLNMKCLLHHYGTYDNLPQRISGKIFQLESVTQSEAMRRRYRYLSHFSLTTTFQLCEIDLTGILPPSSLSPFEDELKNREKQRKRVARKEQEEKMKAEAAATATHYVAIPFDAHSCFDHSPAFSLDDFEALGSSSVSVTTPTPSSSSPPAAVDRPLFSNVARLGFAAAHDSPNLLRTAEETASSTGLPMGGASSFANVISRAKPVESNKGNEMGKKGKKASRVLLSTSGARRY >cds-PLY95316.1 pep primary_assembly:Lsat_Salinas_v7:MU038748.1:123:732:-1 gene:gene-LSAT_0X46500 transcript:rna-gnl|WGS:NBSK|LSAT_0X46500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIQNFTHRALLIGNILSLFLSGYMSPEYALDGLFSVKSDVFSFGVVMLEIVSGTKNTGFYQSQRSLSLLGHAWNLWREDKPFELMDKVLIESCNSSEVLKCINIGLLCVQGDPDDRPTMTKVVLMLGGDIVTLPTPKEPAFIARKDNATSSSSSSYKTDTQSKNMLTITKLDGR >cds-PLY83352.1 pep primary_assembly:Lsat_Salinas_v7:1:63881967:63882641:1 gene:gene-LSAT_1X53360 transcript:rna-gnl|WGS:NBSK|LSAT_1X53360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVILLILTYLYLGIERGECDCDDVINVQKAGRIRDITGKCLNATVIENLARFAVKEHSEHVNCIIKFDRLVKARKQVMTSKMYYLTLEAQDGRVYEAKVLVKPWMNFKELQDFKAIDA >cds-PLY90413.1 pep primary_assembly:Lsat_Salinas_v7:8:213208667:213211741:1 gene:gene-LSAT_8X132701 transcript:rna-gnl|WGS:NBSK|LSAT_8X132701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVDRRSQKFGILQGVVMGLQIILMNLFLIWHWIESISDLTSPLQRLKNPSLRFDPSQLPVTHLPIRFISLLGAGLASWRTLVSWIHDTKKMGFRNIHIFESMPYRPDESTAKRGFPEALKDLISDNNTMVVENAVAALAEFQDNNTKLIFDITTSTVTKLLTALNECSKSV >cds-PLY86378.1 pep primary_assembly:Lsat_Salinas_v7:2:5573724:5574794:-1 gene:gene-LSAT_2X2780 transcript:rna-gnl|WGS:NBSK|LSAT_2X2780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMARNSPPQTKSLSINFPTSPDQSLFGEQMHHFTHSHHPISLVNLSELFTCSGCKERGAGKRFSCQECDFQLHDFCALSPPLLKAHPLHVQHQLVFHSKPKTGGIRWPTCNVCGKATRGFTFRCNFCHFQMHPCCAMLSDQINYPSLHNHPLNLLPLLASDDQLNSFCRECKRKRSGRLYGCRVCNYLLHAVCAKDMINGLKVNGIKNSEKPSVLGPAVRFASQAVAEFIGGLIDGIGEGVGEALVQNVTRSGRRNRTRGI >cds-PLY66487.1 pep primary_assembly:Lsat_Salinas_v7:5:39506403:39508582:-1 gene:gene-LSAT_5X20001 transcript:rna-gnl|WGS:NBSK|LSAT_5X20001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVADKGKKPKIGEKIAEEGAEEIDLELVKSIEKLQEAQDELEKINEEASDKVLEVEQKYNEVRKPVYGKRNDIIKSIPDFWLTAFLSHPALSDLLNEEDQKIFKHLTSLEVEDNKDVKSGYSITFNFSANPYFEDTKLTKTITFLDEGTTKITATPIKWKEGMGLSNGVTHEKNGNKRPHEDDSSFFSWFSGTQREDDMDEIQDGVAEMIKEDLWVNPLTYFNNDADEEDFGDEDDEEDIGTDDSEEDEE >cds-PLY92553.1 pep primary_assembly:Lsat_Salinas_v7:7:162887247:162889240:-1 gene:gene-LSAT_7X95821 transcript:rna-gnl|WGS:NBSK|LSAT_7X95821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNSRISSGADAGNGEGWQAIMSAWKEACGMAPPSSMLIPPGTYMVFPPIDLIGPCKGPIEIKATGAIIKAPPELKKFTTAYWISIRNVNKLTMIGGTYNGQGEQTWKQNLCPDGGPGPCPLPVNLQFNNVTNSMLQHITSTDSKFFHMYITSCDNTRLDNITILSPANSVNTDGIQIGRLSGVNITNSVMRTNDDCISIGQGSKNIHINNIMCGPGDGIGIGSLGRREKEEPVQGIWIKNVTMKGTENGLRIKTWPTSFPGSVSDLHYEDIIMDNVSFPIFIDQQFCPMNNCKNGTPSKVQISNVSYRNIRGTSATKVALKFVCSAGVPCKDVNVADINLTLKGPKGGPATSECANIKPKVVGKVVPSACPGLKII >cds-PLY83056.1 pep primary_assembly:Lsat_Salinas_v7:3:81421222:81424610:-1 gene:gene-LSAT_3X62981 transcript:rna-gnl|WGS:NBSK|LSAT_3X62981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLGDDGRGFELARKLESQGVWRSWIGDDSLHATFSPFLSSPSSWESFMRIDETKSRAQIQLQLRVRALLFDKACVSLFPLSNRSSPSSNSKLNPNYLQLHGDDIFYTLENPTQNVAPSSKVQQKPNFGIGSRYGTSEDENISQRCPESWYTQFFEKYRATKPYRLPSGDRESEKRTPEQMSNYLKIAQKHKRNRAVFMEEQTLTSGSPMFDSGQGQTPDDELFFPETMFSANSVPDSALPLSNQLENVHMVKCNGVLDNLPLPPIMTKSPIMLERLGIRPEYLNMEQQGGLDRGKNGTFGMKNSLSSQQASEMSRQMVSRLLIDVGFESASGGSLDILAQLVGCHICKLGRILKVLSDSYRKDCSAIGLLKMFLQTIGQGNLAAFTEVVKDSSKNNIQQTQMQVQAMQTQMQLQSQTRVGQPHQFARQMQNQMVHPLQQQQQWDRMRRRQPANPNPRGVGVGVGVMNMNLDNESQRSMVEVKLENPSDFQIDNNNNNNSINNGGFATMNYRNPQMQFRQQQFAAMSAYQAQNNQFRPMASVQIPQLHPQAHSPNMGMMVRAPPVKVEAFQELMGGDSSMKHDSDESKLTSPN >cds-PLY89774.1 pep primary_assembly:Lsat_Salinas_v7:1:7382584:7382979:1 gene:gene-LSAT_1X6241 transcript:rna-gnl|WGS:NBSK|LSAT_1X6241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTIKVLLISLLLLSFLVTTEVGSTALKMHVIKPGEGLVARLQGEKDGGLMDCWNALLELKSCTNEIILFFLNGDSYLTMECCRAIRVITYGCWPSMLTSLGFTSEEGDILKGYCGGSPLPQPVMLEGLHV >cds-PLY88470.1 pep primary_assembly:Lsat_Salinas_v7:1:150472259:150476971:1 gene:gene-LSAT_1X106241 transcript:rna-gnl|WGS:NBSK|LSAT_1X106241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSCNYKKIRFKFTSAFEVTFAANRSLRRGLNSTTDLLHVHERGMMKSAGHALLSSFTPPYIFPKNNYRRFPPNLLCGTSSSKSRKLYAYQQNHHSHPKSSMVVEQYLPPWFSVAPMMEWTDNHYRTMARLVTKHAWLYTEMLAAETIVYQEKNLDRFLAFSPEQHPIVLQIGGNNLENLAKATRLANRYGYDEINLNCGCPSPKVAGHGCFGVRLMLDPKFVAEAMCVIAANTDAPVSVKCRIGVDDHDSYEQLCDFIYKVSSQSPTRHFIIHSRKALLNGISPADNRKIPPLKYEYFYALLRDFPDLRFTINGGINSIDEVRAAQQEGAHGVMVGRAAYHNSWSMLGNVDTEIYGAPPSGVTRRQVLEKYQVYADSVIGMYGNSKPSLRDLVKTFKSLMEETIMAIPDSVLDSPIVASSSTTRVDKFLDSKNFLPLPYTPKEQEQEQELLYA >cds-PLY92754.1 pep primary_assembly:Lsat_Salinas_v7:8:66665131:66666017:1 gene:gene-LSAT_8X46960 transcript:rna-gnl|WGS:NBSK|LSAT_8X46960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGRNKHTWTTKEDARFIETLFELHVSEKYSGADNGFKPGYLKAMQQLQNRHKETHLLMGEGLEDIVEETQQTARVNSKRKRPPTDDTESSYKEAAKEMKETFKEVGEKLIETIYNVGRQENKEACDMIDNVIKDIQHMANINAKQRIKAIDIFSKDQFHT >cds-PLY73685.1 pep primary_assembly:Lsat_Salinas_v7:5:206300130:206305531:-1 gene:gene-LSAT_5X91841 transcript:rna-gnl|WGS:NBSK|LSAT_5X91841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSLTPANNSRVDASLVSDADLISVTQTLAKEAYVLFQLGKYVECLKVLNQILEKKADDPKVLHNIAIVENFQDGFSNPKRFLEALDSLKKRNERLAHSSGENTEALNNKIKALGNKGNNVVGQGISADDFDVSVIMFNIAVILYHLHEYEKSFSILERLYQNIEPIDERVARHVCLLLLDVSLVCHHASRAADVINYLERVGGNNLGNQGDSGTLIQQQQSTNLVTKSTSAPANVIISSDPVNPDNTNGPESPLSRTLSEETLYESLISTLDVTNTPTRPSNNPTQPDDSLITAPDLRLKVHLYKVWLLILTRNLKAAKREVKLAMNIARGKDYSLALFLKSQLEYARSNHRKAIKLLMASVGQTESTSSLYYNNLGCIYYQLGKYQTSAVFFSKALTNTNARKEKLKLFSFSQDKSVLFAYNCGLVYLASGKPVLAVRCFQQAGAVFVKRPLLWLRIAECCIMFSEKKNEIDVNVVGRGKWRQLVVQCWNLKNTVQVDPNLSLTFAKSCLLNALHLLEVSDTNTDTDTNMNTNRDPKTVSSNGEAKEAKVGSTLLQSSINEYEGLCGKEKQMILQAVLADLAFVYLELGNAVKALATARSLLRLPECSRVYVFLGNMYAAEAYCLLNQPKQASEHLSVYISGKDNNTEFPYSEQDCDMWQVRKAVDLDEPNSNNALSQDQWLPQGGLCLKPEVARGVLLANIAAIAAAEGDMERAEEAVAMALSVIPDNVEVVLTAIYIDLVRGNTREAVGKLKQCSRVRFLPGK >cds-PLY76708.1 pep primary_assembly:Lsat_Salinas_v7:3:140545637:140546397:1 gene:gene-LSAT_3X94221 transcript:rna-gnl|WGS:NBSK|LSAT_3X94221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGRDRPPNVSIFNQDPEFFEIFLPNRISHQLRIPPDFIKHFDQKIPEKIVLKDVSGRVWHVDVNREKTGVFLKNGWNRFVKEKSLELGQFMVFRYNRRSSSFTVRLFGKNACMNEDQESRKPLINSVKDEQESDLESTPMPKIKRKYCRKSTNFA >cds-PLY69492.1 pep primary_assembly:Lsat_Salinas_v7:6:44235633:44240771:-1 gene:gene-LSAT_6X31421 transcript:rna-gnl|WGS:NBSK|LSAT_6X31421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSQKSHRSRQSGPSAKKKSDTDKKKRGLTDDKKRDPSTDKKKRDLTDDKKRNPKAFAFNSTVKAKRLQSRASEKEQRRLHIPTIDRNTGEPAPYVIVVHGPPQVGKSLLIKSLIKHYTKHNIPDVRGPITIVSGKQRRLQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNIMQVHGFPKVMGVLTHLDKFKDVKKLKKTKQRLKHRFWTEIYDGAKLFYLSGLIHGKYVKREVHNLARFISVMKFHPLSWRTNHPYILVDRFEDVTPPDKVSTNKKCDRNVTLYGYLRGCNMKKGTKVHIAGVGDYNVAGVTGLPDPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQFSKKDDEDDGSGARDVGADLVKSLQNTKYSVDEKLNNSFINLFSQKSGKITNGDENNSIEPMEEANTVGSDEESEDEEDVDDSDEDNNPHEKSTDSQKVKDNIQEEVEIHEGRRRRKAVFGNESELDDQEATDEDDDEEEDNDSDDDQNSSEEEEEEEEEDLEENAGNASKWKESLIERTISRKSINLMQLVYGKSETKPDASSHEEIDANGSDDDEFFKPKGEGNKKSSEGVEGNMVNTEDSSKFTNFANVKDWKDGETIESIRDRFVTGDWSKAARRVEGADVDGENDDDAPVFGEFEDLETGEKNEDDDDNNKKIKSNNNKANEVSYIDKLKEEAELQRQRNIAELEDLDEATRLEIEGHRTGTYLRLEIHNVPYEMIDHFDPCHPLLIGGIGLTEENVGYMQARFKRHRWHKKVLKTKDPIIVSIGWRRYQTLPIYAIEDINGRHRMLKYTPEHMHCLAMFWGPLAPPNTGIVAVQNLSNSQASFRITATAVVLEFNHSAKIVKKLKLVGEPCKIYKKTALIKKMFTSDLEVARFEGASIRTVSGIRGQVKKVGKIELGNKPKKMGGKVEEGIARCTFEDKILMSDIVFLRAWTQVDVPCFYNPLTTALQPRGDTWRGVRTVAELRREYNVPIPDNKDSHYKRIERPLKKFNPVVVPKSLQSSLPFRSKPKDVKTKKDGRAVVPEPHERDVHKLVQHLQLIRHNKMKQQKVKKMEKKKKYDVEKAKEEVVTKKRQREERRERFRSQDKLQKKMRRD >cds-PLY75546.1 pep primary_assembly:Lsat_Salinas_v7:9:32692928:32693194:-1 gene:gene-LSAT_9X30701 transcript:rna-gnl|WGS:NBSK|LSAT_9X30701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLESCTPPFVVTGTMVVFVQDSHLVIVLRKDCFLTNHLGDGPLESLLIVIMRIKVGDSRVGKNKIIEPLRIEQCETTSTPSMDVMHVC >cds-PLY81044.1 pep primary_assembly:Lsat_Salinas_v7:6:131185341:131185876:-1 gene:gene-LSAT_6X79740 transcript:rna-gnl|WGS:NBSK|LSAT_6X79740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALKVESHGGVVVSSSSEGKTPKSVLETEVSPSDVVCAINPETTPNSAALNSNLIPNPWSAPSGGFQPTRAPNVSALGCLGLPGADRTGGMPDIS >cds-PLY76594.1 pep primary_assembly:Lsat_Salinas_v7:5:223627984:223629049:1 gene:gene-LSAT_5X105761 transcript:rna-gnl|WGS:NBSK|LSAT_5X105761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRINGNFIDKTFSIVANILLRIIPTTSGEKEAFTYYRDASDAMFQFSILVLSERLGIKRMVGTTNIHLVCILDTPQSEGNYAEALQNYYEAMRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYVRLSPL >cds-PLY96797.1 pep primary_assembly:Lsat_Salinas_v7:2:172539785:172543835:1 gene:gene-LSAT_2X96360 transcript:rna-gnl|WGS:NBSK|LSAT_2X96360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDPLVIGRVVGDVVDNFSPCVEMSVTYNSSKQVYNGHELFPSSVTAKPKVDVRGSDMRSFFTLIMTDPDVPGPSDPYLREHLHWIVTDIPGTTDSSFGKELVSYEVPRPNIGIHRFVFLLFKQRGRQTVVGPPSRHNFNTRSFAHENQLGLPVAGVFFNCQRETAARRR >cds-PLY75088.1 pep primary_assembly:Lsat_Salinas_v7:2:94384909:94385157:-1 gene:gene-LSAT_2X41101 transcript:rna-gnl|WGS:NBSK|LSAT_2X41101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHASLLGLGNLDMEGIWKLCTLDEVDEIPDGMLVEGVGGDGSDDGGDGDGNNGGGSNGDDGVAVGGVVVGGDGGGGDPVDS >cds-PLY85025.1 pep primary_assembly:Lsat_Salinas_v7:4:222799870:222802121:-1 gene:gene-LSAT_4X123820 transcript:rna-gnl|WGS:NBSK|LSAT_4X123820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELSLLLSTTFTAILFSILLTFLLQILNSKRCDKAKNREPPQAKGSWPVIGHLHLLGGSELPQKVLGAMAETYGPIFTIKLGVHRALVVSSGEIAKECYTTNDKVFASRPKSMAVELMGYNYAMFGLAPYGDYWRQVRKIIMLEVLSQRRVEMLGHVRASEVRESVKDVYEAWVKNKESESSDMVKVDMQQWFGNLILNVIVRIVSGKRFSPNDEEGIRFQNVARRFFELLGAFVVSDFIPYLKCFDLGGYEKDMKKTAKEMDDIFEGWLQENKREKESKKQHESNQVFMDVLISVLEGASEEDFAGFDHNTIIKASCLAILIAGYDTTSVTLTWALSLLLNNPRALKIAQDEIDEHVGRERPVEESDMKNLVYLDAIIKETLRLYPAGPLSVPHESMEDCIVSGYNIPKGTRLLHNLWKMHRDPNIWSDPDEFKPERFLTSHKDIDVKGKHFELLPFGSGRRMCPGVFFALHALHFTLATLIQHFVLKKPSNEPIDMRECSGLTTSKATPLEVLLGPRLPLDMYPVSA >cds-PLY82588.1 pep primary_assembly:Lsat_Salinas_v7:2:183461148:183462991:-1 gene:gene-LSAT_2X105821 transcript:rna-gnl|WGS:NBSK|LSAT_2X105821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPFFSGGGYCSEAWSYILALNRYSKTSNFRLSIAPHGDSDNFQFWEGLPVEMQKLASELYQTQCRPEESIAICHSEPGAWSPPLFQTTPCPPPNSRFTIGRTMFETDRVNPEHVKRCNSMDMVWVPTEFHVSSFVNSGVDPSKVVKVVQAIDTEFFDPIKYTPLDLSALGNLVLGSSSEQLNPRNPFVFLSVFKWEYRKGWDVLLQSYLKEFDSSDGVNLYLLTNPYHSDRNFGNKILEFIHDSKLEKPINGWAPVYVIDSHIAQVEFPRLYKAANAFILPSRGEGWGRPIVEAMAMSLPVIATNWSGPTEYLTKVNSYPLSIDRNRMSEVTNGPFKGHLWAEPSVDNLMFLMRHVMSNPEEAKSKGEKARQDMINKFSPEIVANIVFDQIQGILEKLD >cds-PLY62766.1 pep primary_assembly:Lsat_Salinas_v7:4:198679303:198680719:1 gene:gene-LSAT_4X113081 transcript:rna-gnl|WGS:NBSK|LSAT_4X113081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVISGDNVVGRNIEEAVDNAAGYDDNDKREKRITKKAKIFHSPYIERIVKVGEKLTKDETRICNLVFPAKRDDGDEIWDIGTGHLLHHGFAYQFNHGMFLHSKIIDCWAAFLNKMENYKDESSLSRFFFDTNIVVFFPIVDDGKYYLLIFDLRSSLYYIVDHVKRIGTLERKYGMLANLVKKQFCNYLTSQHHPMAKTLTFKAGRVMNISWLVEKAGIECGIYLIRNMESYMGENEGRWECGLTGKMSADVSATIKLRTKYMARLLTIEFNKFKTMIVKDFEAFRKLDILEQNMLLRELAENRKKKRKTKGRR >cds-PLY86395.1 pep primary_assembly:Lsat_Salinas_v7:4:233280539:233281919:-1 gene:gene-LSAT_4X126860 transcript:rna-gnl|WGS:NBSK|LSAT_4X126860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor ALC [Source:Projected from Arabidopsis thaliana (AT5G67110) UniProtKB/Swiss-Prot;Acc:Q9FHA2] MADLYGTNNDRSFSSSSSLESEDMSSFLQTFLNNPSTKGKYEQLLPSPPSIPTDFLDSDNRISDLSSFYSTEANQVNFPPTIDVAGFDIGCQVQKQSEGRQNSNPPRSSKRTRAAEIHNLSEKRRRSRINEKLKALQTLVPNSNKTDKASMLDEAIEYLKQLQLKVQTLTMRNGFGLPPIYSQEQEMQRGMIYNEGFKLPNTSEVGITFTQNQDFSIRREFDIPNHIGMPTLTNIMKPEIVFGSELANENHYGFTNMKVN >cds-PLY98086.1 pep primary_assembly:Lsat_Salinas_v7:4:79450683:79453189:1 gene:gene-LSAT_4X52541 transcript:rna-gnl|WGS:NBSK|LSAT_4X52541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTLISNAISSPQFIISDSKFSSLPRRHRSFTNFPNTKPLPRYKITTLCSHPADYGGWADLSSVGDSGESNQLRRLLSSLGITEKRYAFVYLLGFVCALAVSRVRISSIVVFPACFLVFAVGFSFGIVNGGDSNDVMSLFGSMRSNKNKKKRIKDDDFMASAENLRSLVDLLSGFDINIMNLKNSMRKDVDSNRISKGDLLNYIEGVESIEQALLQAKTMIEGCTDSMVVDTIDASKATNQKPSDRKKEVEGKGFNISQFINGVREKSFGSKPAKVKDTVKNSQPNILDPVVEDKTMKSVASDDKITYNDGDFRVKETYRRKSMGRMTLVEMETTDKQVFEREDYMNKDNDMRSSMKNRNFLDSEEFFQQEHGRFKNINGNNILYDNLDEKEAYNSSPSSSSEISNDMLFNDYVTEANALVKQARELLTHRGIEEDAESMLYKSTELLCKAIEMKPMSLLAVGQLGNTYLLHGELKLKVSRKLRALLSQSDYNNEVSNREEFVDYLVNVCEECEELLVKAGRKYRLALSIDGNDMRALYNWGLALSFRAQLIADIGPEAALDADKIYMAAIDKFDAMMSKSNSHTPDALFRWGVALQQRSHLKTRNFREKVKLLSQAKRLYEDALLMDSNNLQVKEALSNCVSELRYKKYY >cds-PLY84643.1 pep primary_assembly:Lsat_Salinas_v7:9:103299895:103303042:1 gene:gene-LSAT_9X74001 transcript:rna-gnl|WGS:NBSK|LSAT_9X74001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQESQMSEESKKQKKGTISEEDVSIILQRYTATTVLALLQEVAQLEESKIDWNAMVKRTMTGITNPREYQMLWRHLAYRDAMLENLEDEAKPLDDESDLEYELEVSPPVNNEASMEAAACVKVLIASGSTCDSGLEKGLTIEAPLTINIPSGKSTGDLSENSQVGSNMRGTNITVPVSVQKQPVAVVGSTEGVETNCSGSGNLPPRRKRKPWSAAEDMELFAAVQKCGEGNWANILKGDFKGDRTASQLSQRWNIIKKRKENSNVKTGSQLSEVHLAARRALNMALDQPGVDSLKSSSSLGRTKSSITTNTSIRPINIIPPDTPSTSTREDSSTKTFPKISPKTPPKSLLNGPDPVKAAAVAAGARIATQSAAAAILKQQLKGAIHIKTNATNFRDLHSPNTSRPLDHTSNLGPVGPVNPARETNGVTVSTLANLPKTGQGENGNLVKVEGLVLKNDSNKNVEDTSEKKEVKENEDVRESIIGGDSEPMVTETCNKNESTKQEN >cds-PLY84927.1 pep primary_assembly:Lsat_Salinas_v7:6:15034012:15035394:-1 gene:gene-LSAT_6X11121 transcript:rna-gnl|WGS:NBSK|LSAT_6X11121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAYPAARVRKYNWSVKAIRRKTTGTGRMRYLRNVPRRFKSNFREGTQATPRSKGAVASA >cds-PLY83672.1 pep primary_assembly:Lsat_Salinas_v7:4:40379113:40380804:1 gene:gene-LSAT_4X25961 transcript:rna-gnl|WGS:NBSK|LSAT_4X25961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILPFAKLGTLALKTICKPIAKRIKKEAGRHPQFRHAIINFAQANHRFRTKLQRHIYGHAIDAAIRPLNEEKAVQAAADLLGELFVFTVAGTAVILEVQRSSKSEARKEALRKQELETLRQRDEDLAKQIEMLKYKLDEIEQVAKQQGLVLKFRKPHSNEAGKASESGVLI >cds-PLY92543.1 pep primary_assembly:Lsat_Salinas_v7:5:78774072:78774557:-1 gene:gene-LSAT_5X36201 transcript:rna-gnl|WGS:NBSK|LSAT_5X36201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGHARSRYLNRKEGDAEGRASDWSEVKGATSELNLEMEVFFRFSTVKKDQAHELIISGRNKLIGSPFLRPHAPCVATWGRKKERERWGFSRFWHSGPPVGGSHDGLLAQWVEHAPDNCVVVPGL >cds-PLY68675.1 pep primary_assembly:Lsat_Salinas_v7:7:84110979:84111431:-1 gene:gene-LSAT_7X59360 transcript:rna-gnl|WGS:NBSK|LSAT_7X59360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDREFIENTCKGTPSYNLCLSILLANPKSQDANLTGLALIVVDAVKNEGVKTLQQIHALKKSLPELTATLMQCGDVYNTIMHVNVPLTINALNLGNPKFGEDGMADTTIESQTCERSFKEHGQTSPLTNMNKDMEDVANVARAIIRMLL >cds-PLY69664.1 pep primary_assembly:Lsat_Salinas_v7:5:212727178:212731672:-1 gene:gene-LSAT_5X98900 transcript:rna-gnl|WGS:NBSK|LSAT_5X98900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPTCGISSSQVFFSVAAVTGCISVIFNMTSIDPVLDSSGGITPRRAFKFGPTYVVEPKGKHQATIVWLHGLGDDGLSWSQILETLPLPNIKWICPTSPVQPLTFLGGLSTTAWFDVIDISEDAIQDVEGMDASAAHVLSLLSNEPPNIKLGVGGFSMGAATAIYSASCFARGKLGNGTRYSAHLAAVVGLSGWLPCANHPSNEVEGPAASLPILLCHGRGDEVVWFRYGEKSAEKLTSAGFENLTFKSFHSYACDFSFNFNHFQLPLSKNLKNRLGHYIIPEEMDEVSSWLTSKLELEGPQPKIV >cds-PLY78713.1 pep primary_assembly:Lsat_Salinas_v7:9:48040408:48042749:-1 gene:gene-LSAT_9X43601 transcript:rna-gnl|WGS:NBSK|LSAT_9X43601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKNRRDGETDENCKKYGVPFFGASFVPHSALRLTDTETNHEDEDSDNGSSYVIFSGGGGEGRNGIPNALVISLFDPASNSLSDQPVEKLDSGDDLPYRMAVHPGGDGVICSLPKSCRWYQWDPIKNEDIIKLKLKPSEKVLDELEDIGQQLAVTFSHDGSLLAVGEQDGKLKVLKWPTMELILEAPNAHTSVKNLDFSIDGKFLVSVGSGPARVWDISSSIAKASLPQENDEIFGSCKFSLNSKNDQLLYVTTMRDRGGSIVKWNTTTWKRISSKHVVRDPISAFSVSNDGKLLAIGTNKGDVRILNSSNMKVHTVVKKAHLGPVTSLAFSNDSRALASVSMDSSARVTMINETTKNGVSIWVVLLFLLLAAALYYAKTEGFLL >cds-PLY98859.1 pep primary_assembly:Lsat_Salinas_v7:1:159074860:159077168:1 gene:gene-LSAT_1X109441 transcript:rna-gnl|WGS:NBSK|LSAT_1X109441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AGL26 [Source:Projected from Arabidopsis thaliana (AT5G26880) UniProtKB/TrEMBL;Acc:A0A178UI04] METTAAENSTGDSLVSGIGVAGSKVSEGKLLQVVLVSPQIPGNTGCIARSCAASGVGLHLVEPLGFEVDDTKLKRAGLDYWPYVVVKVHSSWADFRDYFKQQKGEKRLLAFTKRGTNIHSDFSYRRGDWLVFGSETTGLPPEALVDCKSEALGGGLIKIPMIETYVRCLNLSVSVGIGLYEASRQLNYEQLQPHSHNQPCHDTRVPFTEDVFA >cds-PLY84529.1 pep primary_assembly:Lsat_Salinas_v7:1:29948598:29950271:-1 gene:gene-LSAT_1X25721 transcript:rna-gnl|WGS:NBSK|LSAT_1X25721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSRIAQKPHHVVFIPFPAQSHIKCMLKLARLLHHKGLHITFVNTHSNHKRLLKYGGFHDIDGVPGFQFKTVPDGLPSTSDDDDHDPTQTIVELAKYLTTNFLSPFLEIVAGLETPVTCIISDAFMTFAQTPYAAEKLGVPIILFWTMAACGFMGFYQAKILSEKGVVPLPDESCLTNGYLDTLIDIPGMKEIRLRDLPEHIWGVGPKSSALKFIVQIAHDADKISHMIIHTFDELERTLIKEFKSMFPHVYTIGPLQLLLNQITEKETKSSTFNGYSLWKEEPECFQWLHLKEPNSVVYVNFGSLAVMSVKDLLELGWGLVNSNHNFLWIIRDDLVDGECAVLPAELEEVVKERGFIGSWCSQEEVLNHPSVGGFLTHGGWGSVIESLSAGVPMICCPFTGDQRTNCRQVCKEWEVGMEMGRDVKRDEVEKLVRELMGGGGGRMRNKAMEWRKIAEMATDLDGSSFLDVEKLVAEISVLSKN >cds-PLY62814.1 pep primary_assembly:Lsat_Salinas_v7:4:31816217:31817134:-1 gene:gene-LSAT_4X21281 transcript:rna-gnl|WGS:NBSK|LSAT_4X21281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYEASAPKAARNSKSYAESIPSERNKKRKKKGVELQLQERGINIKRRKPAADYSTSQPPPLEGASAQVKKFGNYSQMRLIAAEVGGSVEAAPVDAHVELFDVLLDGCREAVKGGTMDPKGPLLDFFGVPIKADDVLKERASQLLQMELVAVGGKAKRGQKGTKKQINFQLLTLEPNSGNQEKQNS >cds-PLY99896.1 pep primary_assembly:Lsat_Salinas_v7:7:191827136:191827716:-1 gene:gene-LSAT_7X113461 transcript:rna-gnl|WGS:NBSK|LSAT_7X113461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKTNHVLLGCVLIIAVLIASVSSSIAPASSTIVCNVEITDLLECLPAITGAHPPAPTRPCCKVMRRVNLPCLCRYKPQLAKFGANPVAAMAVPKKCGIKKTPRC >cds-PLY97462.1 pep primary_assembly:Lsat_Salinas_v7:6:90904189:90905236:1 gene:gene-LSAT_6X63081 transcript:rna-gnl|WGS:NBSK|LSAT_6X63081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKQSLSLVFMIIGILSVSAVDPAPAAAAAGGGGGGGEHVFELYMHDILGGSNPTARPVTGLLGNIYSGQVPFARRIGFRAPQGGVAIPNANGAIPTVNGNGIPLGTGLVGTQFAGNLNQNNVQNQIAAQLGPDGLGLGFGTITVIDDVLTIDPKLGSQSLGKAQGVYVASSADGSRQMMTFTAMMEGGEYGDSINFFGVYHIGSAMSRLSVTGGTGKFLHACGFAEVRSLIPAGQIVADGVESLMRLTVHLSY >cds-PLY98619.1 pep primary_assembly:Lsat_Salinas_v7:1:39989351:39998871:1 gene:gene-LSAT_1X35300 transcript:rna-gnl|WGS:NBSK|LSAT_1X35300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWFSGKVSLGNFPDLAGAVNKLSESVKNIEKNFDSALGFEDKAGGGPSSTSSTEASGLWPSDLMAFMGQKGEDGAAESMEVLQTSSPQHSSSSKEKEGTEADNSADSINKHYQSIREENAESLKPEEHEDHHEGTNIEALKEANITAEKSMETNIDMHEHADVSDKPLETNIAISEEAENIGEKSAATNVTISDDPQPGSIQNPVLSRDSSEAGPLEKSESIQDESTSFSDQVVIASELNEVHSAPDLQKDDDGPKTESADTSQEVSAVEVVKPLYDGQTGSETLETTEVAEEGQLHSLQSSDDVAAVLVPEVVSKEDNTNADVHIKEQHPISESNSSNNADSKVELEKVTREMKMMETALLGAARQAQAKADEIARLLNENEQLKSIIEDQKRKPNEADIESLREEYHQRVSTLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIITQIMAEGEGLSKKQAAQESQIRKLRAQIREFEEEKKGLMAKLQVEENKVESIKRDKAATEKLLQETIEKSQAELATQKEYYTNALNAAKEAEALAEAQANTEARTELETRLREAQEREVMLVQTLEELRQTLSRSEQQAVFREDMLRRDIEDLQKRYQESERRCEELVMQVPDSTRPLLRQIEAIQEATARRAEAWTAVERSLNSRLQEAEAKSAAAEERERSVNERLSQTLSRVNVLEAQISCLRSEQTQLTRSLEKERQKAAESRQVYLALKEEADTHEDHVNQLEEEIRELKRKHKQELQEALTHHELLQQDIEREKAARLELETTARLQSSIVPEQSPIARTKSSYENGLSRRLSNASSLGSMEESFYLQASLDSSDSLSERRNPIEPTMSSYYLKSVTPNAFEAALRHKEGELASYMSRLASMESIRDSLAEELVKLTEECEKLRSEVSVLPGLKAELEALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNMLVNKIAAS >cds-PLY88236.1 pep primary_assembly:Lsat_Salinas_v7:8:150387368:150389153:-1 gene:gene-LSAT_8X101621 transcript:rna-gnl|WGS:NBSK|LSAT_8X101621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SCO1 homolog 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G08950) UniProtKB/Swiss-Prot;Acc:Q8VYP0] MAAVLSKTAHLRRAYRLFSSHTTSRLTLQLSNEIPKSPFRRPLHQSDVGSFTKLPRFLSTNANTQADLELKGTTSDSHSDQGKQSGDSHQSSEQGKSVRGGPISWLSFLLLVATGAGVIFYYDQEKKRHIEDINTSSTAVKQGPSAGKAAIGGPFNLINHDGKSVTENDFKGKWTLIYFGFTHCPDICPDELQKLAAAIDKIKAKSGFEIVPVFISVDPERDTVEQVSEYVKEFHPKLIGLTGDPDEIKKAARAYRVYYMKTEEEGSDYLVDHSIIMYLMDPNMEFIKFFGKNNDVDALTEGIINEIKQYKKAKA >cds-PLY73795.1 pep primary_assembly:Lsat_Salinas_v7:7:68641612:68642273:-1 gene:gene-LSAT_7X48600 transcript:rna-gnl|WGS:NBSK|LSAT_7X48600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYPEQYVQQNGPTYDMQGGLTMEGDPHLMTQEEKDRGLGSVFKRDDTRLQQLREKDTHEKDPNFISESYSECYPGYQEYNREVVDSDDEADLSKMDMGGRAKGQLHRWDFETEEEWATYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKITNELHKINKILAKKKMNKGGDANDDDSGGDESPHPGKKLRV >cds-PLY76021.1 pep primary_assembly:Lsat_Salinas_v7:5:320584052:320586903:-1 gene:gene-LSAT_5X175620 transcript:rna-gnl|WGS:NBSK|LSAT_5X175620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATANPAVSKNGSTRNANGVATTNGGRAAVRENSAVFSSERGLLHNVVISTDWTRKEQSLLEELLVKYASDRRELRYGKISKELHDKTFRDVAYRCQWMTKKEIGKRRKAESLSRKRNTKREKFTEQQVKPSSHAANHANGLPSSSSSINNDDGINYNVIGGEIGRLLEQNDKALDQISANLSALKLHENINLLCQTRNNLDKLLTELKYMPEVMNQMPPLNVKLNEELANSILCGCNHEFFGRKN >cds-PLY89150.1 pep primary_assembly:Lsat_Salinas_v7:3:23422466:23431196:-1 gene:gene-LSAT_3X17020 transcript:rna-gnl|WGS:NBSK|LSAT_3X17020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKVGFDFARDLLLGNDFKEALHCRYHELYDLAIELHGLTEDNSNGPIHDETEKIDLSFSEIAIGLPRNPLILGAAGVAATIEVVEVVTRRSRWRSADVQKDLKVAQQWVVDFFREKGCYIGKDIAEKFGLLMMRYNDDGHITWVRRLLI >cds-PLY92101.1 pep primary_assembly:Lsat_Salinas_v7:1:137004227:137005601:1 gene:gene-LSAT_1X100981 transcript:rna-gnl|WGS:NBSK|LSAT_1X100981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLESVKNLHAPSNHVILHVCITNIEGLSISSDIWVSKFGVFMGFEVMEMQGALKVLDEMPDYVNLPIIKDVLEIANVLNSKWKRQVELMYIITYDILFGQDSSLTGDPEKYLILRKSQLQSALAKILLKKGAKRIEDLMSQYKIPADVKKPRYVRVNTLKLDVETAVSELSKDNMVEKDDMIPDLLVLPPATDLHNHPLVTNGSVFMQGKASSMVAVALGPKPGWETL >cds-PLY77581.1 pep primary_assembly:Lsat_Salinas_v7:2:164796567:164798583:-1 gene:gene-LSAT_2X86420 transcript:rna-gnl|WGS:NBSK|LSAT_2X86420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 2 [Source:Projected from Arabidopsis thaliana (AT1G67170) UniProtKB/Swiss-Prot;Acc:Q84TD8] MGSKGRLPPPHHLRRPLPGPGIGHHDSIPPEIHPQHGRFPPYDMLPHPEIMEQKLAAQHMEMQKLATENQRLAATHGTLRHDVAAIQHELQMLHNHIGGVKSEREHQIMGILDKIGKMEADLQGAEPLKLELHQARTEAQSLVSAREELVTRVQKLTEDHQRVHMDLQQVPALMSELDMLRQEYQHCRATYEYEKKVYNDHLESLQVMEKNYMTMASEVEKLRAELKKHAENDRRAGGPYAGYNEKEASGHNPVGQHTFESAYGVAGAAPGYGPGPVVPGYGLHKGPGPGAPPYEPQRGPGYEPQRYDPHRVGPGYDLYRGPGYDMQRGSGYDARRGSNSDAQDAANVSYGSVAVTGHGGSGFQGIQPPPPPVVDGNHPARR >cds-PLY69889.1 pep primary_assembly:Lsat_Salinas_v7:4:70458555:70460799:-1 gene:gene-LSAT_4X49340 transcript:rna-gnl|WGS:NBSK|LSAT_4X49340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQENTKSTINNNIEDADTQFCEESLFVDSWDNFESDNPLFIAKSSSISDLNNINVASPIQSKETPSSSTSADLNHTQLDDSQQSLKEISSFYSFNKPDSLGDLNSHDSATSPRNDCKISSSTKDNEKSKTKSKSTRFRSSSSSHLKSLNEDTTSGYSAITSVSDDDQRIDDESITANSPVSGSNFLYSLAGLVIKMIGFQLNLLVTSVTFPISLIYYSYLFVLDPFRITSRAKYYILGNISKIFSLCCNSFKSTIITWIKKHESTWKLCGRFGWGFFWSVYVGFILISLLVFAFLVSGITLKCIMEEPIQITQELHFDYTKDSPMAFVPLMSCPDSSFLECTDLIEPGCSDESRVIPLYHKVHATLSLTLPESYYNRDLGIFQVRIDFLSKNGNRLASTRQPCMLQFKSQPIRLILTFLNLAPLITGYSSESQTLKIKFRGYTEGNDPTSCLRVILEQRAEFTRGAGVPEIYEASLKLESQPPFFKRILWYWKGTIYVWVSIVMFVVELFILLCCTPVIAPWTRGVSVNNYSSRNTRVSPK >cds-PLY62618.1 pep primary_assembly:Lsat_Salinas_v7:8:108317229:108320643:1 gene:gene-LSAT_8X75720 transcript:rna-gnl|WGS:NBSK|LSAT_8X75720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNGVGKLTICFTGTDIVQRRKDLAFVISDPLDDLGHSFCYVRPDQSCISSSKVHSAEETTTFRSISGASVSANTSTPLSTSFLDVYSYNTSDKASAFESSTSFASIPLQPLPRNSINSGPLPFGSGAYSGPMDRGFVSGPIERGFQSGPLFSGPLEKGNLDQFQRSYSHGGFGFKRRSRKGNLIRAIQKAISMTFTTRGQNSTVAPITKNLGHLKDHDWNVGSAEKNNELTISSINLSSEGSILDDDDCLNQSQNLQWAQGKAGEDRVHVVVSEEHGWVFVGIYDGFNGPDAPDFLLSNMYAAVHKELKGLLWDDQTDASNSTSSGQSLDAVDSHINSQPQKNSSSRYVHQQESYPCATEDLETNSRKKRSKIRNRGAAKKWEENQRRWKCEFDRERLELDRRLREHMNSNGSNSINHSDVLKALSQGLKKTEEAYLDIADQMLDENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVLAQKSEPDIWRQDLEKINEETWYDLEVFDADIATTNPSLTACQLSMDHSTSIEEEVKRIKSEHPEDACAVMNDRVKGSLKVTRAFGAGFLKQPKWNNALLEMFRIDYVGTTPYINCLPYLHHHKLGPRDRFLILSSDGLYQYFTNEEAVSEVELFIQWSPEGDPAQHLVEEVLLRAAKKAGLDFHELLEIPQGDRRRYHDDVSIIVISLEGRIWRSCV >cds-PLY92152.1 pep primary_assembly:Lsat_Salinas_v7:8:5676728:5677978:-1 gene:gene-LSAT_8X4661 transcript:rna-gnl|WGS:NBSK|LSAT_8X4661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDPKHFVFDDVIKHNKTKDCWLVIDGKVYDVTPFMEDHPGGDEVLLAATGKDATDDFEDVGHSDDARGMMHKYYIGEVDKATVPKKRAYVKPADSNYNHDKTSEFVIKILQFIVPLVILGLAFVVRSYTKEKSA >cds-PLY90694.1 pep primary_assembly:Lsat_Salinas_v7:8:143085545:143085811:-1 gene:gene-LSAT_8X96621 transcript:rna-gnl|WGS:NBSK|LSAT_8X96621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPLKFPTASIVDSERKLGFRKRKSANGNSTKIPRCIDCRLRKKIRVPTKKSKKNLDHFSICACHPCAGVMLIFPVLFQFYRMSPKG >cds-PLY88524.1 pep primary_assembly:Lsat_Salinas_v7:8:295599266:295604082:1 gene:gene-LSAT_8X163500 transcript:rna-gnl|WGS:NBSK|LSAT_8X163500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSTSSAFESSSSSSSSSMENFSNLPIMSLRSKIVEKIMENRVTLIVGETGCGKSSQVPQFLLEANIEPIICTQPRRFAVVAVAKMVAKARNCTTGGEVGYHIGHSKVISSSSKIIFKTAGVLLEEMREKGMNALKYKVIILDEVHERSVESDLVLVCVKQFLIKNSSLRVVLMSATADISRYKDYFKDLGRGERVEVLGIPSSSQHTLYHRRVSYLEQVVELLEMDSETPLSLKYCSGSSPSSEATMNEEVHKLIHNLVLHIHKTESDMEKSILIFLPTYYSLEQQWLSLSRFSSAFKIHILHSSIDTEQALNAMRISKSHRKVILATNIAESSVTIPKVAYVIDSCRSLQVYWDANRKTESSEIVWVSESQAEQRRGRTGRTCDGEVYRLVTEPFFGQLEKYETPAILKLSLRQQVLLTACAESRTINDPKLLLQKVMDPPKPGVVEDALDLLVHIRALETTSPRGRYEPTFYGRLLSSFSLSFDASMLILIFGEAGMLHEGIIMGILMDTQPLPIIRPFGQEALFSKFTDDYYNQNGKISGLMGRKEVIYMANFCAFHFWQRTFKDNFRLERLKNVLKFKETTDIQIPSLKIEEEWCLFHNLVQSSLQHVANIYDSVLNSMHRFRPKFLATSSGLPPYTPYEHKHTCLLTVESNEDSDNFAIEDDISTTIHETKKCIALPFVDAHGFNKKGVSFTLANSIKEIRSRYLEDISDNQEISNSYNGSEVSQCRFFLNGTCNKGSLCLYAHSLEAKKPVCKFFLSLQGCRNGNTCYFSHTSDQPRSLPSNEKGSCVSEDLSTDASMLLSLFPTPDEGCVLLFDDFDIQFSANFAQFYNASSIICTTSAVETSVDPYLKDIRIHWGLSHPHETIIPKSSKNMGIPWKQVKCMVWIPKFGNDVENMEEQKNLVKTFFDYLSIRMLGDALHQVQLILVMNNLRFAQLQVENVGRESFFYLRESFGFDEYSFGKLCDGVTTKKPMLTSKAVVYAFDLCPPPNDIQFGDFASLFHKQLHNLS >cds-PLY63360.1 pep primary_assembly:Lsat_Salinas_v7:7:152872037:152873835:1 gene:gene-LSAT_7X91021 transcript:rna-gnl|WGS:NBSK|LSAT_7X91021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRVSPDMLLGHNTTVTGGTDVSVFERQQARMKWQQLQQHQHSFYNENDHQVPNPFLGLIGNIKPDPGLENGWPDFSDGCDELFSPLMADQRIMQLQKNSSSVLLSPKKRKTHENQKNFQVVSEVNGIKEKKLKGCSEENSSETSSKEKSKPTEAQKQDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQKQVEFLSMKLSTVHPRLDLDVDNLIMKEMFEVSTAGYTSEMANSPYFQLNSLLEMGINPIDMVLRRSISGGAPVSIPETFVVSSCFNQIHPNVAWDSDLQNLYRMEFQQGSSTIPYQSQKITGKEKRLFI >cds-PLY99804.1 pep primary_assembly:Lsat_Salinas_v7:MU037944.1:294150:296436:-1 gene:gene-LSAT_0X21121 transcript:rna-gnl|WGS:NBSK|LSAT_0X21121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRVFGAKKDKEPPPSLNEASDRINKRGESVDEKIKRLDGELARYKEQIKRTRPGPAQEAVKARAMRILKQKRMYEGQRDMLYNQTFNLDQVAFASEGIKDAQQTMSALKSANKELKGMMKTVKIQDIDNLQDDMMELMDVSNEIHESLGRSYSVPDDIDEEDLMGELDALEEDMGLETAGEGVPSYLQPDNESDFNEELNLPSAPSGHAIPSRIVKAEDEFGLPQVPRASLRG >cds-PLY96253.1 pep primary_assembly:Lsat_Salinas_v7:6:157257009:157258307:-1 gene:gene-LSAT_6X96361 transcript:rna-gnl|WGS:NBSK|LSAT_6X96361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEEGKRSPATGTFPAKPPYTADDRKTPASSLSTSSSSSRKVVIKSADMKEEMQNEAVNIAISAFENCSVEKDVAEQIKKEFDKNHGPTWHCIVGKNFGSYVTHETNHFVYFYLESKAVLLFKSG >cds-PLY69802.1 pep primary_assembly:Lsat_Salinas_v7:4:245089567:245092466:-1 gene:gene-LSAT_4X131040 transcript:rna-gnl|WGS:NBSK|LSAT_4X131040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLHQIFITVIAAFVFFVLIAKIASSATNGDEYDHRRSRIRDFSKVLAEELNPKMEKSISKRKSLKKVRFAVDHEGTLVNKVVAGPSETKQVVFESQHDAEMIRFNDVGSVVKSPEEEFGGVEKAEFNDFKPMAESVSPSVIEHDETKEGNTDSIDQKSSQASMIPDENAAIGAKLLCDDGMEKNDDESLSAVESVVKSPEEEFGGVEKADYDDYKPKAESVIHCVVDDDQTEEGNTELIDQKLKGVMIPEQSAAIDNKHQMCMKLKLFCDDGIDESEKENEGLISEEDDSDSDDDWEGIERSDLEKMFAMAADYGKQDDHLQSLGSDIQMQLYGLHKVATEGPCHEAQPIALKLSARSKWNAWQKLGNMDPDVAMEQYITLLSEKVPEWSQGIHSLGADLSSSSTTSTLVTSQK >cds-PLY69270.1 pep primary_assembly:Lsat_Salinas_v7:9:115789241:115791437:1 gene:gene-LSAT_9X81181 transcript:rna-gnl|WGS:NBSK|LSAT_9X81181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFKSNNISEDNNNNQGWQRVTYAKKNRKNQPKQQVPQPKALPNGSVVAGNDNVFTAIEKKSEERRKVIEAQRLSIYDPAPPPVKSSRKKNYSCTVNLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSYLPQDSPLRQDPAYYILKEDTSPCIFGPIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWMGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFVYIKDAIEAVLLMIENPARANGHIFNVGNPNNEVTVRQLAEMMTKVSEKGILGK >cds-PLY95379.1 pep primary_assembly:Lsat_Salinas_v7:9:190486504:190489602:-1 gene:gene-LSAT_9X117581 transcript:rna-gnl|WGS:NBSK|LSAT_9X117581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRTFPLILYWFIFGFFNPLRVYGNAEVDALNALKTQLQDPNNVLQSWNITQVNPCGWSYITCNTEKRVTQIDLGNACLSGQLVPQLGQLSNLQYLHLYSNNFSGRIPDEIGNLTNLLGLKLYRNRLEGAIPDTLGNLQKLRFLHLGNNSLTGTIPYSLTTIVSLQLIDLSNNFLIGTVPSNGSFSRFTAISFYNNPQLRWPLEASAQYPYSLPSNSQSPSVGYNYNVIKAIAEGVAVGVALVFLYQAIALVWQGCRYQQDHFTDVPAEEDPGVHLKQLIKRFSLHELQVATNNFNEKNILGRGAFGKVYKGRLADGALVAVKRLIDGVKGGELQFQMEVEMISIAVHRNLLRLQGFCMTPTERLLVYPFMVNGSVASCLRDRPLDWPTRKRIALGSARGLAYLHEHCDPKIIHHDVKAANILLDEEFEAVVGDFGLAKLMDYNDTHVTTGVRGTIGHIAPEYISTRRSSEKSDVFGYGVMLLELVTGQRAYDLGRLANDDEVMLLDWVKGLLGENKMEILVDVEGNYLQDVVEELIQIALLCTQVIPLERPKMSEVVKMLEGDGLEERWEEWQKEEIYRQEFRHMHVRNKQDSSYNPSNDRLSGPR >cds-PLY69770.1 pep primary_assembly:Lsat_Salinas_v7:5:233043802:233044029:1 gene:gene-LSAT_5X114420 transcript:rna-gnl|WGS:NBSK|LSAT_5X114420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNNLENNKACLPAKSGGCVVELSNVEPHSIHSSFRFNLCSQVELESMSINSSSVTILMVNLDNGLMEPKSKELK >cds-PLY83355.1 pep primary_assembly:Lsat_Salinas_v7:1:61619517:61621084:1 gene:gene-LSAT_1X52900 transcript:rna-gnl|WGS:NBSK|LSAT_1X52900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAALTFILIVSIFAAAAAICHPDDEQGLLSFKSGITADPSGILSSWKPATDCCNWSGISCQAPNNRVNSISLYGQLDKPNSYLSGTISSSLSKLRFLDGIYFQNTRNISGPLPGVLFNLPNLQYVYIENNKLSGRLPENLGNLTRLYALSLEGNGFSGSIPSSISKLTELSQLKLGGNQLTGTVPDGIRQLKSLSLLALDRNKLTGSIPDFFTSFSNLRVLRLSYNRFSGNIPASISSLAPVLAYLELGHNLLTGRIPDFLGNFRALDTLDLSSNGFSGTVPKSFGNLTKIFNLDLSRNKLTDPFPVMNVKGIESLDLSYNGFHLKQIPKWVSSSPIIYSLKLAKCGIQMKLDDWNPSETYFYDYIDLSENNITGSPVKLLNRTNYLVGFGASGNQLKFNLESLKFPTTLKTLDLSRNLMIGKVPKAVTGLQSLNVSHNGLCGSLPPTKFPSTSFVGNACLCGPPLAACKV >cds-PLY97634.1 pep primary_assembly:Lsat_Salinas_v7:5:235718232:235719487:1 gene:gene-LSAT_5X114761 transcript:rna-gnl|WGS:NBSK|LSAT_5X114761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGSTQKDDQSTTTTVKKQIFILSGQSNMAGRGGVKNKRWDGIVPDDCKPDPSTIHRLNANLIWETAQEPLHADIDTKKTCGVGPGMSFANAVKDYIPGVIDLVPCAVGGTAIKEWAKGQKLYEDMVRRAKSAASSGGEIKAMLWYQGESDAPSQNAAGSYKTNMETLIHNVRSDLGLPSLPIIQVALASGDGYIEVIREAQKAIDLPNVVCVDAKGLELKEDNLHLTTAAQVQLGHMLADAYLAHFG >cds-PLY64118.1 pep primary_assembly:Lsat_Salinas_v7:1:2925005:2925157:1 gene:gene-LSAT_1X2340 transcript:rna-gnl|WGS:NBSK|LSAT_1X2340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEERGCSGSGIAKGRREKLLVPSWFCDVQSKKKKTKSTTLRVGWRLATTG >cds-PLY79646.1 pep primary_assembly:Lsat_Salinas_v7:5:253579359:253580569:-1 gene:gene-LSAT_5X128621 transcript:rna-gnl|WGS:NBSK|LSAT_5X128621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLWFLLQASVITANFSIAKHLIDEDNVKAILVGHTWEEASAIAEVISEAGHGILLFLSLASTTRQLTQMNAVAAILQSWGIPQVTLIYETSHLASTTSIISDLSQAFRQTGSELIHILPLATGSSFFDEELDVLKKQQRQVFVIHTSLQLGIRLFQAAKKMEMTEDGYLWIATNRLTDLFHSINSTMISSLKGIVGVKSYFPEKTPDFLDFRKRFRQKFRSDYPEEEQDEPGVFALQGYNAVKLLEKVSLKNFDHKRPFKQIPFEIVNVIGKGYHSVYLTEESGFSENVDGDINGATAYSNSMDSVGQVLWPVQPWYA >cds-PLY62757.1 pep primary_assembly:Lsat_Salinas_v7:9:105954149:105955285:-1 gene:gene-LSAT_9X75921 transcript:rna-gnl|WGS:NBSK|LSAT_9X75921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMSNDPFDGKKILERVPVDPPFSLSDLKKAIPAHCFKRSVIRSSYYVVHDLIVAYVFYFLANTYIPFLPAPLAYLAWPVYWFCQASILTGLWVIGHECGHHAFSEYQWIDDTVGFILHSALMTPYFSWKYSHRNHHANTNSLDNDEVYIPKRKSKVRWYSKLLNNPPGRVFTLVFRFTLGFPLYLLTNISGKKYGRFANHFDPMSPIFTERERIQVLLSDLGLLAVFYAIKIAVTTKGAAWVACIYGVPVVGVHVFFVIITYLHHTHLSLPHYDSSEWNWIRGALSTIDRDFGFLNRVFHDVTHTHVLHHLISYIPHYHAKEARDAIIPVLGEYYKIDRTPIFKAMWREAKECIYIEPDEDNKHTGVFWYHKM >cds-PLY87785.1 pep primary_assembly:Lsat_Salinas_v7:8:223655890:223660827:-1 gene:gene-LSAT_8X137561 transcript:rna-gnl|WGS:NBSK|LSAT_8X137561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGPLVRALWSTTRKSISSSAYHSPSSYLKPFIHARAAGISRSFSVATAAAAATATAPGGALDPNRLRNVAVIAHVDHGKTTLMDRLLRQCGSDIPHERALDSNQLEKERGITIASKITCIPWKENELNMVDTPGHADFGGEVERVVGMVEGAILVVDAGEGPLAQTKFVLAKALRYGLRPILLLNKVDRPSVSEERCDEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASLTYTKNPPDDAKNMSSLLDAIVKYVPPPTASLDEPFQMLVSMMEKDDYVGRILTGRITSGVIRINDRVHGLRDTDSGVIKIEEGKVVKLRKNKGMISFDVECAGAGDIISMAGLKSPSIGHTIANVEVMTALPTVELDPPTISMTFGVNDSPLAGLDGSQLTGGKIGDRLLAEAETNLAINVIPGLAESYEVQGRGELQLGILLENMRRENFELSVSPPRVMYKIEKGVKLEPIEEVTIEVNEEHVGMVMEALSHRRAEVTDMGPVAGNFGRTRMTLTCPSRGLVGYRSVFSSDTRGTGFMHRAFMAYEKYRGPLGNVRKGVLVSCARGTVTSYALMSLEPRGTLFVSPGVEAYDGMIVGEHSRDTDLDVNPVRNKELTNIRSAGKDENVKLSPPRRMTLEEAIGYVASDELIEVTPHHIRLRKRYLDSNKRKTMSKRPKE >cds-PLY76527.1 pep primary_assembly:Lsat_Salinas_v7:5:12588513:12589532:-1 gene:gene-LSAT_5X6961 transcript:rna-gnl|WGS:NBSK|LSAT_5X6961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIVFVANKALFNSPIEFAKHGSRLSNVSNWKTKIWIANCDGQKIKIAKTCLLKYYKGDVYKRPHNEDVHRDQFLNCSVCKKVRRFELRSRETSRFYHDAVARENRTCSDMIPGRWTCEDLEERVSSRIRTGCRKNIRCKGCLRCVCFGCNMCRFEDCGCRTCIDFYENATN >cds-PLY85338.1 pep primary_assembly:Lsat_Salinas_v7:9:111784419:111789607:-1 gene:gene-LSAT_9X78801 transcript:rna-gnl|WGS:NBSK|LSAT_9X78801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDVVHKLQLCLLEGIKSETQLFVAGSLLSKSDYHDVVTERSIAQMCGYPLCPNSLPSPGEAAVKGRYHISLKEHKVYDLLEMRMYCSTKCVVDSRAYAESLQDERSLVIDTAKLNRVVRLFDGLSVKAEEGLGDSGDFGLRNLSIKEKEDGGVGGGIVSLEEWIGPSNAIEGYIPQHERSSKNKPGRPKREEESIFGEMDFMSTIITQDDGYSISKGKGAETKTSSNSRKKMSHNNNKDKMKTSSSSSSSSSCNVQKKKVTTSNDEESENGNKAKIGSSEPSCSVGGLKSSLKSSSRTNRSVSWADDQTLCEYSGNDPDPEHTFRVASAEACAAALSQAAEAVASGESDAPDAVSEAGIVILPPPGDGAEAEAEPTRLKWPKKTGITESDVFDSFEDSWFDAPPEEFVLDLSPFARMFMSLFAWVSSSTLAYVYGGDDSCYAWVNGREYPRIVVLADGRSAEIKTTLAGCLSRALPGLVRHLRLPTPVSTIESMMGCLLDTMSFIDALPPLRMKQWQVFVLLFVDALSVSRIPAMTPHLANKRRFFQKVFDDAQISSEEYELLKDLIIPLGRAPQLATQSGG >cds-PLY97306.1 pep primary_assembly:Lsat_Salinas_v7:1:177713946:177714101:-1 gene:gene-LSAT_1X116641 transcript:rna-gnl|WGS:NBSK|LSAT_1X116641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHQLISIFWSVFLQLTNNETRKMEISEAREAKQHRRGKVTPEIQNITAAKA >cds-PLY94380.1 pep primary_assembly:Lsat_Salinas_v7:6:9922505:9924352:1 gene:gene-LSAT_6X7120 transcript:rna-gnl|WGS:NBSK|LSAT_6X7120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCSSSTFSNFPSMSTQRLDLPCNTNFPRKESTRSQPVIRPVGPISLDNNNNNNNTIEARTTNCSLKHTVVEKKNDIWDNRNKGLKRLYQDEQDESFRAKRKRGCSKVEEFGENEDNFWFSKQGFVLDHSATSLPWSFVNELADLGERGVSSGSHRQRVVNETSSGSGSGSRSSSPESHRSLDVGGTNGVGAPNPNQSLDLLAIGNTNHDEEVGFELISLLLACLEAIGVKNIAAINHFISKLGELASPRGDSSISRLAAYYTEALALRVSRIWPNIFQISIPREINQVEEENGTALRLLNQATPIPKFIHFTSNEILLRSFQGKDKVHVIDFDVKQGLQWPSFFQSLASMNNPPSHVRITGVGESKQDLIETGARLSGFAEALNLEFEFHPVVDRLEDVRLWMLHVKEGETVAVNCVLQLHKMLYDATGGALRDFFRLIRSTNPSVVVMAEQESEHNDAVLEKRVSNSLKYYSAIFDCIDSVFPLQSHSRIKIEESFGREIRNIIACEGLERFERHVGFDQWWRSMAELGGFRSVEISEREFLQSQMILKMYDHPFGPNSFKVEKQQQRRVSDGGATAGVTLSWSDQPLYTVSAWVPSDVAGSSSAFQQAAA >cds-PLY80275.1 pep primary_assembly:Lsat_Salinas_v7:3:215299937:215301080:-1 gene:gene-LSAT_3X124741 transcript:rna-gnl|WGS:NBSK|LSAT_3X124741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTTLLFAGVFLAVILLPELAASQKCNCRPHQCCSKYGFCGTTKAYCGKDCRGGACSLPAPPNNVYVPGIVTNAFFNGIVAKSARNCPGRRFYSRDAFLTVIKNYPRFGRSGSIMDSKREIAAFFAHVTYLTKHFCYIEEINGRSKSYCDTVDSQYPCNPKKHYYGRGPMQLAWNYNYGAAGKSLGFDGINNPEIVATNRVVSFKTALWFWMENVHWDFASGKGFGATIEAINGNECKGKNRAAVRSRVTYYINYCKQFGVATGRNLWC >cds-PLY68646.1 pep primary_assembly:Lsat_Salinas_v7:8:98068218:98069435:-1 gene:gene-LSAT_8X68680 transcript:rna-gnl|WGS:NBSK|LSAT_8X68680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEVETPDITMNLSDKDKNVNMCEGMNIFETTTLVRTIVETIVVPPPQPTSPPPTSITPPSSTTKFSPTFSGLMQEHIATLFSSQSTKPEKTIHEVEADDDDDVMVAFAKLQLNLEEEEDIPDDLIMLDSISAMVLNIESSIMVELAPILNLVFRLPTNAPHLAHVSQGEDRGVGSSRRYSVDTGAIVGEVMSTEIPTTIPIKPVITSLITTTTTGNLSQGNVD >cds-PLY66016.1 pep primary_assembly:Lsat_Salinas_v7:1:124203517:124204212:1 gene:gene-LSAT_1X95220 transcript:rna-gnl|WGS:NBSK|LSAT_1X95220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCCKFPSSLSDGGKKIKRKRRKNATVDEFFEVIPIPSHDFKLECVALTRRGYFPDTPNKDNQDCLCIKTSIQGNLAAGGCFFCLDWNEGRGVDGVVASIGARKSATTMQERGKSQEAVAPFDFTGNRTPSGWLFLAGNEE >cds-PLY79262.1 pep primary_assembly:Lsat_Salinas_v7:5:100050980:100054189:-1 gene:gene-LSAT_5X46400 transcript:rna-gnl|WGS:NBSK|LSAT_5X46400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGIFLDDDISNLTSASTEASLSSSSNRNEIGTMYPPPQMQQTYASVPITTTNQTQSNKKKRNLPGNPDPEAEVVALSPKSLMATNRFLCEICNKGFQRDQNLQLHRRGHNLPWKLKQKSKLEVVKKKVYVCPEPSCVHHEPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEETGRSSSSSLNNLPLHLPINFPLKAEPHHLLQHPQLNFNVGSSSPHQLPSWLDHHHQQQNPSPNSSNLHLPSPSSHMSATALLQKAAQMGVTMSNPAPPPPCTAPSAAVISMSQQQQSIILSGSHQALQRDHMCAPLLSSPPHHHHHNASALANLSASDNHHGMFEASSGFPNVSTGCMDQLLHPSSLTSTTVGSTNIQEVFNGMLSSTKKDQNGYQQELFPQGPASAETVLNKRKEGAGLNDELTRDFLGLRGFPTPTDHHFLSMAGLQHMNTSSPYHQLNTNQNHSQNQIPWQG >cds-PLY94964.1 pep primary_assembly:Lsat_Salinas_v7:4:111538073:111538565:-1 gene:gene-LSAT_4X69961 transcript:rna-gnl|WGS:NBSK|LSAT_4X69961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLETTEAHNRVFPGSICCPRGYFPLKMPRGIESASHCDILVVVCALTAETRHIINRQVIDALGPNGFLINIERGPHIDEPELVSALVERRIAGAGLDLFENEPHVLEELFDLDNIVLVPHIGSDTVETVKAMADLVVGNLEAHFSKKPLLRL >cds-PLY80380.1 pep primary_assembly:Lsat_Salinas_v7:MU041980.1:313037:313531:1 gene:gene-LSAT_0X43060 transcript:rna-gnl|WGS:NBSK|LSAT_0X43060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSWRAALPPPIPQASSSSYNTSKALELVPFSRVYGQTSQLLISESISRSTNHKNVSFYTIIVIMKRKAISSYYYLILILIMQLCPICLTNTNDMALGCGHQTCHECGETLQLCPICRNLIETRIKLH >cds-PLY67152.1 pep primary_assembly:Lsat_Salinas_v7:5:291195651:291195929:-1 gene:gene-LSAT_5X154400 transcript:rna-gnl|WGS:NBSK|LSAT_5X154400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELLAAQAGAHMADDLRYVAAQTFACMVVAADQVCCSGINETHLKTTQGVVASLRGNSVIQRQDVGGYPSNIALWPVRRLIWKIMWSLWKTK >cds-PLY68519.1 pep primary_assembly:Lsat_Salinas_v7:2:214743274:214743657:-1 gene:gene-LSAT_2X136361 transcript:rna-gnl|WGS:NBSK|LSAT_2X136361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFLMARNSSQRRAPAKAFGKQNSSYGNSAHYLGSRCTKSHRLNSHPTKGRRLLWLQCSSDQRERKATTTPEHVKRHIVPPHQAPPGEVSTSGEESMSPPNREHGLTPHKITILLVLTQKSGMRPGA >cds-PLY69240.1 pep primary_assembly:Lsat_Salinas_v7:2:128961397:128962998:1 gene:gene-LSAT_2X60621 transcript:rna-gnl|WGS:NBSK|LSAT_2X60621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRFLLLLCMWMVMLKSRADLPGTWELLVDDARIASMHTVVTRFNTVVLLDHTNYSPNSKADCQLDPEHPVFKPDCYSQSSILDLTTKKVRPLFTTLTDTWCSSGQFMPDGILLQTGGFNDGFQKIRKFTPCEGIESCDWEELDDKVLAEGRWYASNQILPNGSVIIVGGRGANSVELFPSQGGGALNFPFLAEAEDIQSDNIYPYVHLLPNGHLFVFANNISVLYDYTNNVILKRYPALIGGPRNYPSAGSSVMLPLRGDYLTATVVICGGAQYGAFHERKTNATARRSCARIEATGEDPVWELEAMPFARIMGDMVILPAGDVLIINGAEAGSQGFELATKPCLYPVLYKPDESKGLRFVTLTPTSVARMYHSTANLLPDGRVLVAGSNTHDFYNLTAEFPTELRIEAFSPDYLTPEKADVRPTIVELPERIQYGGGFDILVSGKFLEVRLMEVNIASAPFVTHSFSQGQRLVKLTVTVSAAEDPEDEVGLYRLTCTAPPDGRVAPPGYYMVFAVNMGVPSVAKWVQLL >cds-PLY68623.1 pep primary_assembly:Lsat_Salinas_v7:2:72127214:72127399:-1 gene:gene-LSAT_2X31521 transcript:rna-gnl|WGS:NBSK|LSAT_2X31521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACEMDLATHMVAHSNSNDNINGSHGGLAVDCSDSHHGRLPWWVFPTPTQQKLAHTNATAL >cds-PLY75120.1 pep primary_assembly:Lsat_Salinas_v7:5:268100545:268104504:-1 gene:gene-LSAT_5X139760 transcript:rna-gnl|WGS:NBSK|LSAT_5X139760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLTTLLLFSLLLLHQCISIANATKVSHDGRAITIDGQRRLLICGSIHYPRSTPEMWPDLIKKAKEGGLDAIETYVFWNAHEPFRRQYDFSGNLDFIRFIKTIQDHGLYVVLRIGPYVCAEWNYGGFPLWLHNMRGIEELRTANTVYMLTRLLLCIDEYQKEMQNFTEKIVDMVKKEKLFASQGGPIILSQVENEYGNVMTSYGHAGKVYIDWCAKMADSLNIGVPWIMCQQNDAPKPMINTCNGWYCDEFTPNNPNSPKMWTENWTGWFKSWGGSDPFRTTEDIAYATARFFQYGGTFNNYYMYHGGTNFGRTAGGPLITTSYDYDAPLDEYGNFNQPKFGHLKELHDALHSMEKVLTHGNVSHTDMGNSVSASIYQSNDGTGCFLSNTNTTSDARVSFQGAIYDIPAWSVSVLPDCKNEVYNTAKVNTETWVIEKKANQTEQEPVPLKWAWRHENIDDTVIKGKGDKSASKLMDQKAANDVSDYLWYMTSVNIDENDPIWSDDMSLRVNCTGQVLHAYVNGEYIGREFVTYGIFHYVFEKKIKLKPGINQITLLSVTTGFQHYGPKFDMIANGVPGPVEIIGRKGDQTVITDLSSHEWSFKAGIKSIDQYNNLVKVDSSEWKTHELPTQRKMTWYKTTFKAPMGTDPVSLDLDGLGKGLAWVNGKSIGRYWPSNIAKSHNCSEKGCDYRGKYDNNKCVRACGQPTQRWYHVPRSFLVDGDQNELVLWEEFGGNPSSVNFQTVSVGKACGYAYENKTMEISCSNGRSITGVRFASFGDVQGSCGSFQKGSCEGRKINALDVLKKECIGKEKCVVAANEAVFGSVDCKGIRKRLVAEVVC >cds-PLY99466.1 pep primary_assembly:Lsat_Salinas_v7:5:333149474:333160587:1 gene:gene-LSAT_5X186240 transcript:rna-gnl|WGS:NBSK|LSAT_5X186240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVSEWRRIGVLGSPRSGVTENAGCAPKLNLFTTGGIQDMYRSVCCLYNWYSANMEDLGIDMINPLCCSISGIFFVPESPRLLAKIGRKKEFDAALRKLGGKDADISEEADEIQDYIETLQKLPKAKIFDLFQRRYLRSVTCTKETHRLVDCETVSKWIMKKSAESENMNW >cds-PLY64722.1 pep primary_assembly:Lsat_Salinas_v7:5:21790940:21794752:1 gene:gene-LSAT_5X11161 transcript:rna-gnl|WGS:NBSK|LSAT_5X11161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILEDEGKAICRYDENKVLPNVAGVAIGNVVSFMVFLSPLPTFYKVYKKKSTEGFQSVPYVVGLFSAMLWIYYALLKANAMLLITINSVGCVIQTFYICFFLFYAPKKARVESLKLIMLMIVVGFGLIVVLTQFLANGTTRVAIVGWICLVFALCVFVAPLGVLRQVIKTKSVEYMPILLSAALTLNAITWFFYGLLLRDFNIAIPNVLGITFGVLQMILYFVYKNKKPVSDEKVSELEVKSVETAEPKISGKKDQEIIDVAKLSALISAIPVVTKINENTNDVDHDHLVVEPHVPNHTIEVAA >cds-PLY70186.1 pep primary_assembly:Lsat_Salinas_v7:9:587906:591288:1 gene:gene-LSAT_9X1660 transcript:rna-gnl|WGS:NBSK|LSAT_9X1660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDIVDGSEPLQDINQTARGDGEIRGIQAKIYHRRPSSRLTQSYDRKDLERLVKLDLQTSHNELATLEKQKSDDKMLKLVDDQKFPQSYKLASAAIFLMDLQYGFLRNMTMIFVPYINRTRKEGSKSVDYGLVQGTMPGSGMHTKLNDGQLWQLLL >cds-PLY92408.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:1253471:1254832:1 gene:gene-LSAT_0X21401 transcript:rna-gnl|WGS:NBSK|LSAT_0X21401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDWLVLLLLVSLELFLNLIEPFHRYVGEEMMTDMKYPFYQKDTIPMYAVPLYVGVLPCMVFLMYYMRRKDVYDLHHAVLGLFYSVLITAVITDSIKDATGRPRPNFFYRCFPDGRAEFGEDGDVKCHGDKMIIKEGYKSFPSGHTSWSFAGLGFLSWYLCGKLRAFDNKGHAANLCIVVSPYLFAALVGVSRVDDYWHHWTDVFTGAIIGNLHIVVGFILLFMKRILDLNCFFFGTPRNIRV >cds-PLY62993.1 pep primary_assembly:Lsat_Salinas_v7:3:119623630:119626923:-1 gene:gene-LSAT_3X83960 transcript:rna-gnl|WGS:NBSK|LSAT_3X83960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEKDQTFVKFDGPIIVGAGPSGIAVAACLKQDGIPSLVLERSDCIASLWQHKTYDRLKLHLPKQFCELPLFGYPKNFPKYPTKKQFVSYMEAYAKHFEIKPKFNHSVSKAEFDAQVGVWRVNTQDTVYESRWLVVATGENAEAVLPEIQGIEKFEGVVRHTSEYKSGCEFRKKRVLVVGCGNSGMEVSLDLCRYNASPFMVVRNSVHILPREMFGFPTFGIAMGLLKWLPLRMVDKLILFMANLTLGNTDKLGLRRPKIGPLELKNATGKTPVLDTGALSLIKSGNIKVVEQGVREITRNGAKFMDGQEIAFDSIVLATGYKSNVPFWLKGSDFFTEDGMPKMPFPNGWKGDNGLYTVGFTRRGLLGTTCDALNIAKDVSSQWWSTTCNIKQEFKSK >cds-PLY88747.1 pep primary_assembly:Lsat_Salinas_v7:4:165436485:165437913:1 gene:gene-LSAT_4X98761 transcript:rna-gnl|WGS:NBSK|LSAT_4X98761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRFFREVELPEQKSHVDGVLFPAVLSPIFSTAFSADAQLCGFVDAIRAHKPWLESLLQKRGVILFRDFPVTSPSDFNDVVEAFGFPEAFYVGGRASRTQVVGRVYTANESPPEMQIPFHHEMAYVPDFPSKLLFFCEEAPEAGGETPVLLSHIIYDKMKEKHPLFVAKLEEHGLTYTRVMTDDNQQSSFNGSGWTSAYMTNDKNVAEERAAKLGTKLEWMGSDHDHVKAITGPMPGIRFDKESQRKVWFNGLAVSYSGSLTTKNNDRNTFIELGNGEPVSDEAMEDCLRIMEEECVAIPWKKGDVMLVNNLMVLHSRRPLIKPPRSILASLCK >cds-PLY70435.1 pep primary_assembly:Lsat_Salinas_v7:1:71158910:71161882:1 gene:gene-LSAT_1X61700 transcript:rna-gnl|WGS:NBSK|LSAT_1X61700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF K(+) TRANSPORT GROWTH DEFECT 1 [Source:Projected from Arabidopsis thaliana (AT2G27600) UniProtKB/Swiss-Prot;Acc:Q9ZNT0] MYSNFKEQAIEYVKQAVQEDNAGNYAKAFPLYMNALEYFKTHLKYEKNPKIREAITQKFTEYLRRAEEIRAVLDEGGTGPAASGGDAAVAARPKTKPKDGEGGGGDGEDPEQSKLRAGLNSAIVREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARESAPSIIFIDEIDSLSGQRGEGNESEASRRIKTEILVQMQGVGHNDDKVLVLAATNTPYSLDQAIRRRFDKRIYIPLPDMKARQHMFKVHLGDTPNNLTDSDFEALGRKTEGFSGSDIAVCVKDVLFEPVRKTQDAMFFVNTNDGLWVPCGPKQPGAVQITMQELAAKGLASKISPPPIIRADFDKVLVRQRPTVSKSDLELHERFTKEFGEEG >cds-PLY95457.1 pep primary_assembly:Lsat_Salinas_v7:9:160686337:160686795:-1 gene:gene-LSAT_9X100680 transcript:rna-gnl|WGS:NBSK|LSAT_9X100680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNTSNAVGSAVTPVTVEELPGLNTLGISMARIDFAPQGINPPHTHPRATEILTVMEGRILVGFVTSNPQNRLITKELQKGDVFVFPEGLIHFQKNVGSGYAVAIAALSSQNPGVITIANAVFGSNPDIAGDILAKAFQVDINVIYQIQSKF >cds-PLY67224.1 pep primary_assembly:Lsat_Salinas_v7:6:134381447:134386919:1 gene:gene-LSAT_6X83020 transcript:rna-gnl|WGS:NBSK|LSAT_6X83020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,6-galactosyltransferase GALT31A [Source:Projected from Arabidopsis thaliana (AT1G32930) UniProtKB/Swiss-Prot;Acc:Q9MAP8] MGFKYGAKQTHNYKLGSSSGGVSARWVAVFCIVSFCFGVIFINRFGINSIHIKVDEKQKQVDHVIECDNEDSKDILSQVSHTHDVIMTLDKTISSLETELASARSAKRPESSNQHQKYFFVMGIMTAFSSRKRRESIRETWMPQGKDLLRLEKEKGIIIRFVIGHSATSGGLLDDAIDIEDKQHQDFLRLNHVEGYHELSSKTQIYFSTVAAKWDADFYIKVDDDVHINLGMIGSTLLRHRSKPRVYIGCMKSGPVLAQKGVKYHEPEYWKFGEDGNKYFRHATGQIYAISKDLATFISVNRNILHKYANEDVSLGSWFIGLDVEHVDDRSLCCQTPPDCEWKAQAGNMCAASFDWSCSGICKSVERMKDVHQVCGEGDDAIWHTSF >cds-PLY62691.1 pep primary_assembly:Lsat_Salinas_v7:4:335142380:335144151:1 gene:gene-LSAT_4X165601 transcript:rna-gnl|WGS:NBSK|LSAT_4X165601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDCEEYMLLNLDEVKIPELFLLLLDEHIGARPFIDSHDDVNLHGTFLRRFVIFITIVLIIFLKSISTFMACFGRFIEATLNLWSEYDSFCMLIVMKLRGKTRVCRESPDFSSVIGLTDTRLELDPEISHEDPRYNSSLAIMAAKTAYENEARVKKIVQDHWEMKPLGFFNCSNAFESKEMSEKRHPTQAFIFSDDTRNYELICVSFRGTSPFSADDWCTDLDLSWYLLGDIGKVHMGFLKALGLQKKHKRCASLPKDIEEDKHGRNIFAYYKIKKVLKKKLDENKNARFMVTGHSLGGALAVLFPAILAFHEEFELLEKLEGVYTFGQPRVGDEQFCKSMEELLGVKRYFRFVYANDVVPRIPFDDSDLLFKHFGSCYYFNILYKGKVVDEVPNKNYFAIKSFVPMYLNAVLELARSFVLPYAFGENYREGILLLGVRLFGLIVPGASAHCPQDYVNLTRLASPDTFHELDDYSLDE >cds-PLY86017.1 pep primary_assembly:Lsat_Salinas_v7:1:49176612:49186428:-1 gene:gene-LSAT_1X43161 transcript:rna-gnl|WGS:NBSK|LSAT_1X43161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWDSLGNLFVRTQDNDDEALKLAALQRSPTFVRARTSVFRNFGGEFTLVDVSKLDAEEKKVVLDKLLHTVNSNPGLFFDRIRQRFDNVDLKFPKVEVRFQNLEIDAFIHVGSRALPTLPNSVYNMSEAFLRKLRIFPGRRKKLSILNDVSGIIRPSRLTLLLGPPSSGKTTLLLALAGRLGNGLKLSGKITYNGHELNEFVPQRTSSYVSQKDCHMAEMTVRETLQFAESCQGFGYKQDMIMELLRREKNAGIRPDEDLDIFIKTVALGEDKTSLVVEYLIKILGLDICTDTLVGDEMRKGISGGQMKRLTSGELLVGPSRVLFMDEISTGLDSSTTYQIIKYLKHSTRALDGTAVISLLQPDPETFELFDDLILLCEGQIVYQGPRNAALGFFASMGFHCPKRKNVADFLQEVTSVKDQEQYWALDEHYSYVSVEKFVEAFQSNFLGRSLSRDLSLPFNTHRNHPSALSTSTYGVNRMKLLKISFSWQMLLLKRDSFVYVFKYFQLMLVVIIMTSVFFRTTMHHNSLEDGGVYLGALYFAIVMILFNGFMEVPMLIAKLPVIYKHRDLHFYPCWVYTLPSWILSIPSSIIESGVWVIVTYYLVGFDPQFSRCLKQFGLYFSLHQMSIGLFRMMGSLGRNMIVANTFGSFAMLVVMALGGFILSRDSIPAWWIWGYWVSPLMYAQNAVSVNEFLGDTWDKASGNNTNIPLGTLLLKVRSLFPEDYWYWIGIGALLGYTILFNILFTLFLTYLNPIGNQQVVIPRENTKSQKKRNGLVSAIIELKDYLHCSPSYPDTEVQNQRGMVLPFQALTMTFRNISYYVDIPGELKQQGLSNDKLQLLVNVTGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGHISGQVFVSGYPKNQETFARVSGYCEQNDVHSPCLTVHESLIFSAWLRLPSDINMKTRREFVEEVMELVELNPLKGGLVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLLMKHGGKLIYAGPLGTGSHKVIQFFQGVKGVSKIRAGYNPAAWILEATSTTEENRLGVDFAQVYRQSDLYLQNQELVDNLSKPDRNSRLLTFPTKYSQTFLGQFVACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTMCWKFGTKRETQQDIFNAMGSMYAAVLFIGITNASSVQPVIYIERSVSYRERAAGMYSALPFAFAQVAIEFPYVYVQSLVYSVIFYFLASFEWNMMKLFWYVYFMFFTLLYFTFFGMMTIAVTPNHNIAAIVAAPFYMLWNLFSGFMVVRMRIPIWWRWYYWANPVAWSLYGLLTSQYGDVDDLLKLADGSHSVPLKQFLEDQFGYRHEFLGVAATAVVGFCLLFALVFAFTMKSFNFQRR >cds-PLY82183.1 pep primary_assembly:Lsat_Salinas_v7:1:14330438:14333531:1 gene:gene-LSAT_1X12001 transcript:rna-gnl|WGS:NBSK|LSAT_1X12001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIFGIIDAASPAFVVSIGVLHKLLKHMFHRLYRNSRIHHLNRPPLELQSQINTPFIGLDLSALLHQFFKLFTITAIGTVVRYKHTPFIVADEEL >cds-PLY74313.1 pep primary_assembly:Lsat_Salinas_v7:9:187530782:187533070:1 gene:gene-LSAT_9X114900 transcript:rna-gnl|WGS:NBSK|LSAT_9X114900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVSRSRSHLITYILLVLIPNAASITFNLPNIRPENQNLDIRTEGDGAYISDSGIQVTPDGIGSIRSQKAGRATYIKPFHLWDNRSKELASFSTNFTFQIDSYGDSIYADGLTFFLAENNSIICRGGAMGLPVNATTIVATNPFVAVEFDTYFDDGWDPVGDHVGISISSLTSVRHHDWRSNITGGEVCQAWITYDSVSKNLSVSFTGFKDDKPLVYTVDLRNELPEWVIFGFSAATGDLFEKNNVKSWSFTSSDLQVDENKTMSTNPHPTVSLRPHPNPNMTSNPSQDPVDHNNSMVRLVIPLVVAVALFAVLAFVLWRWKKKKSLKDEDNELGFDIDMNYEFEMGTGAKRFSYQELTHSTSEFSENEKLGEGGFGGVYRGFLKDSNTYIAVKRVSKSSKQGIKEYASEVKIISRLRHRNLVQLIGWCHEKGVLLLVYEFMENGSLDSHLFKAKSLLTWGTRYKIARGLAAALMYLHEEWEQCVLHRDIKSSNVMLDSNFNAKLGDFGLAKLVDHEKGSHTTMLAGTLGYMAPECVVTGKATKESDVFSFGVVALEIACGRKPIEYLVPEKQIRLVQWVWELYGSGILLEAVDPGLGLDFEEEEVKRLMILGLWCVHPDSDFRPSMRQAIQVLNSEASLPILPSKMPVASYVSSPISSSYSDASIVKTQSS >cds-PLY79150.1 pep primary_assembly:Lsat_Salinas_v7:4:219071488:219072150:1 gene:gene-LSAT_4X121001 transcript:rna-gnl|WGS:NBSK|LSAT_4X121001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDFFKYCKRKGLLIELGGEAILVIRSERGLARKLAPLKSHYLIRICYARYADDLLLGIVGAVELLIEIKKLLAHFLQSGLNLWVGSAGSTTIAARSTVEFLGTVIREVPPRTTPIQFLRELEKRLRVKHRIHITACHLRSAIHSKFRNLGNSIPIKELTKGMSGTGSLLDAVQLADTPFSFFLQSFRSGQVGWFVSSSYLRNSLSSFVIXCLSLYFAAF >cds-PLY96044.1 pep primary_assembly:Lsat_Salinas_v7:8:21399558:21401685:1 gene:gene-LSAT_8X16721 transcript:rna-gnl|WGS:NBSK|LSAT_8X16721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMSWEDVVLIEESKNSGHPTVVTVNCPDKAGLGCDLLRIVLEFGLYVTRGDFSTDGKWCYVILWVVPRPISLKIDWESLKKRLVSCCPSFLPAFYIDQFSGSSKSPPLYLLKVFSLDRKGLIHDVTKLLCELELTIQRLKVMTTPDGKVVDLFFITDSVNLLHTKFGREETCEHLSVVLGECCISCELELAGPEYECQQGFSSIPETIAEKLFSCEFSSVEDGSQAIISDTSRIKKASVVIDNLLSPVHSLLQIQCHDQKGLIYDILRISKDCGIQIAYGRVSPSVNGTRNLDLFIQNENGKKIVDHENQVALCSRLKEEMLHPLRVIITNRGPDTQLLVANPVEISGKGRPRVVYDVTLALKTLDICIFSAEIGRHSTLDREWEVYKFLLDENQGFPLTSKQAKQNIVDKVRRTLMGW >cds-PLY89918.1 pep primary_assembly:Lsat_Salinas_v7:8:68420383:68421690:-1 gene:gene-LSAT_8X49200 transcript:rna-gnl|WGS:NBSK|LSAT_8X49200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKITQVHTVNLRTILSETTRIVKANNTDFIALYIFFLPLAFSLVITPTLHLHLSGSFFTVDLFHNSLANNQTLVTCHLLYILIVYLLTLCAIGTIGYSTYNVFIGTPVNFFTSLKSLTFIFFPIVSTAIIAHLLLLLISLTCLLFVGMIVMLGKSLGILVIDYNSIYFMWFSIATIIGITIYFHMNWCLAFMVVVAESKWGFAALMRSWYLVKGMRSVSLSLLLYYLICNGGMAWSFSHTVSFCVLRRETLSFVLLTMFGSFFLMLCLVMNTAANTVFYMYCKAFHGELELEIGYGFDHHYINLPSSDDTVVGA >cds-PLY90148.1 pep primary_assembly:Lsat_Salinas_v7:7:13451735:13455324:-1 gene:gene-LSAT_7X10701 transcript:rna-gnl|WGS:NBSK|LSAT_7X10701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPWNVIPGTKHEAAQCIIPISAIYTPLKPLPKSTPLLPYSPLRCRNCRSVLNPFSIVDFSTKIWICSFCLHRNHFPHHYQSITEDNLPAELFPQYTTIEYELQNEKPSPPITSQVFLFVVDTCIIEEEIGFLRSGLARAIGQIPGNSLVGLITFGRYVCVHELGFFQKIPKVYVFNGSKEISKDQVLEQMGFFQKKARPATGGGPGTRDGHLQDNVSRFLLPASECEFAVNSVLDELQKDSWPVPGDQRAARCTGTALTVAAHLLGACVPGCGARIMAFLGGPSSEGPGCIVSKSLSEPIRSHKDLDKDSAPFYHKAVKLYEGLSKQLVHQGHVLDVFACALDQVGVAELKIAVERTGGLVVLAESFGHSVFKDSLVRVFQSTDNDLGLSSNGMFEITCSKDVKIQGVLGPCASLEKKGPLCADTTIGQGGTTAWKMCGLEKSTSLCLIFDITKKEIPDVAGQAVNNQFYFQFLTYYQNSNGQMRLRATTLSRRWVTGQGSIQDLIAGFDQEAAVVVMARQVSFKMETEAEFDPIRWLDKSLIHLCSHFGDYQKDNPSSFVLSPRLSIIPQFIFNLRRSQFVQVFNNSPDETAYFRMVMNREDVANSVVMIQPSLITYAFNCGAEPALLDVASIAPDRILLLDSYFTVVVFHGSTIAQWRKAGYQNEPEHEAFAQLLRAPISDAEAIVKERFPVPRLVICDQHGSQARFLLAKLNPSATYHSDAPLVPGGDILFTDDVSFEVFLDHLQRLAVQ >cds-PLY77716.1 pep primary_assembly:Lsat_Salinas_v7:9:19580827:19587356:1 gene:gene-LSAT_9X14720 transcript:rna-gnl|WGS:NBSK|LSAT_9X14720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex auxiliary subunit NAA25 [Source:Projected from Arabidopsis thaliana (AT5G58450) UniProtKB/Swiss-Prot;Acc:F4KEY9] MASKFGMAGGIPERRVRPVWDAIDSRQFKNALKLSTTLLSKYPNSSYALALKALILERMGKLEEALSVCINAKELLYKNDLILIDDLTLSTLQIVFQRLDHLDMATSCYEYACGKFPNNLELMMGLFNCYVREYSFVKQQQIAIKMYKIAGEERFLLWAVCSIQLQVFCGNGGEKLLQLAEGLLKKHIASHSLHEPEALSVYISLLEQQAKYGDATEVLSGNLGSLIMIEVDKLRIQGRLLARAGDYGGAADIFQKVLTLCPDDWECFLHYLGCLLEDDCIFPSGTNTTPSNSIHVSTSIDCNLSDDVFDSRISRASEFVEKLKAEAGSDSIRNPDLANLEIERRKIIFGKGSYDKLMEDIILFFSRFGHLACFSADVAVFLQVLPGDKKNQLLEKLAKRIASSATSSKNVLGQHITLFKIRELIGDMFPLPEGDLVGFAVQMTEMYCQNLPLSKDLDVQESMFGEEFLSMTCNVLVQLFWRTKHIGYLLEAIMVLELGLTVRRYVWQYKILLLHLYSYWNALPLAYERYKSLDVKNILLETVSHHILPQMLTSPLLVDTSDLLKGYSRFMDEHFKESADLTFLAYRHRNYSKVIEFVQFKERLQRSSQYLTAKIEGSILNLKRNANSIEQAESVLKSLNFGSDFLDVSNEIQSKKLTFNEDLQLRPWWTPSYDKNYLSGPYESMSNYPRETLRNDVKEMESKVTRTIEKRSLLPRMIHLSIQCASSSVKVNSNSDINGFDSKASSELKSLLESYAKFLQLPFHDAVELVTSVASGQKPLEVFSPNLIDWMNFAVCLNAWNLNSNGITIPMNWELLCSLLQQSVSSKIKTAKPLVSFPGTDLSILVQLVMEPLTWHGLIIQSCVRSALPSGKKKKKGGGGGTSDQSNTQLSSSIKNSIVSLNNTIDEVSKSLKEQINKSVDGDIDILLSSINCVDGGPGPGKVVRILEKLVSSVDDLEVGERICHAVKPWKAADVARKMVTAQGTALTDFLNVCDSKIKVLQGLKLQI >cds-PLY73507.1 pep primary_assembly:Lsat_Salinas_v7:4:22098742:22100703:-1 gene:gene-LSAT_4X15361 transcript:rna-gnl|WGS:NBSK|LSAT_4X15361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKKWLFTLFFAAFISLLLFISSICGYSSSYAFTSHKPFSTVHRGRGYPPAFAYYISGTRGDADRIFRLLLAVYHPRNRYLLHIGTEGSDDERRKLSVLVKSVPAIRAFGNVDVIGKPDPTTYMGASNIAAILRAAAIFLKVDRDWDWFITLSALDYPLLTQDDLSHAFSTINRDANFIDHTSELGWKEDQRIRPIVVDPGLYLARRTQIFRATEKRPLPNAFKVFTGSPWVILSRSFLEYCIFAWDNLPRTLLMYVNNVALAQEVYFHTVVCNSPDYKNTTINADLRYMVWDNPPKMEPLFLNKSHYNEMVESGAAFARQFGKNDAVLDMVDRKILKRGGGRAAPGAWCTARKSWFVDPCSQWDDVNVLRPGNQVKKFQEELKNLMEDSRLQLNQCM >cds-PLY93796.1 pep primary_assembly:Lsat_Salinas_v7:6:144239204:144240216:-1 gene:gene-LSAT_6X87040 transcript:rna-gnl|WGS:NBSK|LSAT_6X87040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKVYPQAPSSSLPSSSSSSSSSSSSPSSSPYLSSKQECFTIWMKSLVYNSHGCTAYNSKGEIIYRVDNYDKKCSSQVYLMDIRGNVLFSIQRKKLRVFGCWDGYKWDFSKKQRWFRVTSKRQKIHVDLACDQGYKIVKSGGKLGFKIMNLDQDRALVAEIKPKQTATGVHLGDDVFTLTVEPNIDQSLIMAIVMVHGLIHRQL >cds-PLY70320.1 pep primary_assembly:Lsat_Salinas_v7:4:101350001:101354339:-1 gene:gene-LSAT_4X65921 transcript:rna-gnl|WGS:NBSK|LSAT_4X65921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:GBF's pro-rich region-interacting factor 1 [Source:Projected from Arabidopsis thaliana (AT2G20570) UniProtKB/TrEMBL;Acc:F4IVF9] MLAVVSPLENNTGRDGRDLGGEMVGFSVGDDFPDFSDDNLLESIDFDDLFVGMDDRDMLPDLEMDPELMAGEFSLSGGEDSSDQFNNNSMNSFSSSDQKAEDLISRKEEVVSKRDRRNEGGATNPAHKEKDADRGRKSSSDKSKNSCQGKRKVKVDWTPELHRRFVQAVEQLGVDKAVPSRILEIMGIGCLTRHNIASHLQKYRSHRKHLLAREAEAASWSQRRQMYGGTTAVGGGGGGSKRDMGPWVAPATMGFPPMTPLPHYRPLHVWGHPSMDRPLMPVWPKHIAPSPPPPAPHVWPPSPSPSPSWHHHHQRAPYFPQMLPQTRFQTPHVPVSGIPPPHAMYKIDSGIGVTTPRTGQSCPQPPLDLHPSKESIDAAIGDILMKPWQPLPLGLKPPALDSVMVELQRQGIQKVPPTTCV >cds-PLY73656.1 pep primary_assembly:Lsat_Salinas_v7:5:205164779:205165245:-1 gene:gene-LSAT_5X93341 transcript:rna-gnl|WGS:NBSK|LSAT_5X93341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLSFCNEFDDINEINEEDEINYAQTASVDDDFLSSDDEVYSIDDDNNTFGKVDIMDDHNQQDFTLLENIHQSIQVPALDYIFFLEFTVLDYTTLISHSTTCPLK >cds-PLY97857.1 pep primary_assembly:Lsat_Salinas_v7:2:217074110:217076870:-1 gene:gene-LSAT_2X136760 transcript:rna-gnl|WGS:NBSK|LSAT_2X136760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRPPLSKDNAVDTIPEEDDPARTASHTNVGGVALRKKATGVRHWLLLDSMGQAQVVEAGKHTVMRRTGLPARDLRILDPILSYPSTVLGRERAIVINLEHIKAIITAHEVLLLNSKDPSVAPLVEELQRCIVRHHHATASQEGRANYFDTEWRNLYDLGEPQSKASTSREVTADRIHSLDSRDGLKLLQFEFIALEACLEAACSSLEKEARTLDEEAHPALDKLTTKINTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTDKMEQQQQLDNASVSEQAAVEQVLRSQMEDDDDDDDERVAGMKANEGDIQQDQFVGGRDSRGTRTSNARSVINKHHDVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLSTATLIVGALVVMAGAFGMNITIELFNGDNPADKETGMRKFLFTVGGGTTGGIFLYVIAVAWYKNKWLLD >cds-PLY65242.1 pep primary_assembly:Lsat_Salinas_v7:3:218774364:218774720:1 gene:gene-LSAT_3X127301 transcript:rna-gnl|WGS:NBSK|LSAT_3X127301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMEFTARQCNEMVVSGLKDRRPPPQSLQKKRYSRTEALVYGGQENSRLIYVHEVGGCGWGRTIRRRKLLWRSIDGSHTVLNFPDLIGTLNVSPEKDTNKKLSLWSEGSPESSTNNHT >cds-PLY89547.1 pep primary_assembly:Lsat_Salinas_v7:4:159104308:159107892:1 gene:gene-LSAT_4X95740 transcript:rna-gnl|WGS:NBSK|LSAT_4X95740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGGDGDVTAAPAGPPPPLDWRFSQVFGERTAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDTKEHGGSRKDLEKTDYPSGRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANGAVFLLSTNDKTIKFWKVQEKKVKKIADMNMDVSKAVGNGNVASSSNQKSSHGVNGEYTDKSFTSLTLTKDLGIQSLRLPVVTSNETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPTNMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDRHSKLFEEQEAPGSRSFFTEIIASISDIKFGRNGRYILSRDYMTLKLWDINMDAGPVSTFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGMRVATGSYSNLFRVFGSGPTSTEATTLEASKNPMRXRQVQTPARPSRSLSSSITRVVRRGAESSGNDTNGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYASNESTP >cds-PLY74252.1 pep primary_assembly:Lsat_Salinas_v7:1:78562183:78564274:1 gene:gene-LSAT_1X66000 transcript:rna-gnl|WGS:NBSK|LSAT_1X66000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHTSNGDLNLQRKSISKKLRSSFTDSRLPSKAIASKKQKRVKSFVIVNDLGGQYEESFHDVKSQIFNLFTYKAVRTVMNQLYEMNPTQYRWFYDFVASNQPIDGKRFIRVLQKEKHELAERVMVTRLHLYGKWVKKLDHAEMYKDFSDMNLELMRERLMETVIWPSDDTNTEKIG >cds-PLY69786.1 pep primary_assembly:Lsat_Salinas_v7:4:244078085:244081098:1 gene:gene-LSAT_4X129260 transcript:rna-gnl|WGS:NBSK|LSAT_4X129260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQTPATPPPTLQHHYLSNGFPFQSLSPAALNNTQQTPVNLPPSIDVDRSLANVLSSLSISQNNYTDSRTFLPPTLDFDYGGVTSPIGGEGFISPFRSHTSYDESWRVSNAVNNPQRFYYGVGHDNPFTVDPLLRHHYHYSPLPCYNREFLPGTSSSWLDQQFDWDQRSYLLAKERLYSKQHSSHNRSSSIPSAAILEESLFHTNNFSMSSDNNLNLHHINTRSHGGVANKLQNQQFWSLLPLKEMRGMISLLAKDQNGCRILQSKFENPTKEEIELVLTHVMGSISDLMKHQFGNYLMQKLVAVCNADQKALILRELTQKPFDIILVSMSPYGTRAIQSLLENLEDPHQIMMVTRALHRGASKLANDPNGHHVIQSCLVHFDSDFNQLILEDIANNCFSVATDKSGCCVLQACLDRSHGKIRNCLVAEIMVNAIQIAEDPFGNYVLQHMVGMKIRELTQVLVRQLKGQFASLSRNKYASNVVEKCLTETDSDIRETIIFELIKTPNSLSLLLDPYGNFVIQSALNASKEGLAYECLRNLISRNESSMQSNLYGKKILESIEKKRIIHI >cds-PLY69210.1 pep primary_assembly:Lsat_Salinas_v7:1:79764534:79765127:1 gene:gene-LSAT_1X67960 transcript:rna-gnl|WGS:NBSK|LSAT_1X67960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVAEEEMAEQNDEREEGEIWPENNELDDQEPGKYRVPASEPTRTEMEAEETRCEATVQTKVSREEAEATPRNMEFQMTNGTMEQPSHGNDACQLDPSSPKSKSIHDGPGNGLPPLGCFGPFPSSTICNEAHTFIVGRSYGKRRKVLDTTPSISPVIEMGDIDPMPHTVQLPESPPDSPIENQINLNTPKTSDDLNL >cds-PLY76422.1 pep primary_assembly:Lsat_Salinas_v7:8:154435383:154436153:1 gene:gene-LSAT_8X103460 transcript:rna-gnl|WGS:NBSK|LSAT_8X103460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPPPPAILPVSNQKTAPAAEESQPPVATPAVRALINHITATVRSGLSDRRPWPELVDRSAFSKPESITDATTRIRKNYAYFRINYFTVVAAVIGVSLLTNPFSLITLLGLLAAWIFLYLFRPTEPPLVVLGRTFSERETLGLLIVLSIILVFLTSVGSILISAVLVGSGIVCAHGAFRVPEDLFLDEQEPGGPNGFLSILGGAASNAAASAAPIINPVRA >cds-PLY98998.1 pep primary_assembly:Lsat_Salinas_v7:6:169658058:169661310:-1 gene:gene-LSAT_6X103981 transcript:rna-gnl|WGS:NBSK|LSAT_6X103981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQDLTSCRAWMRLLRQGAMRPKLRQRASCAKPKVNLLRPTEDAPKSFAHEVILLQTLLNTMMLLVMRVVAHEEVVHEIIAHEDCCS >cds-PLY98616.1 pep primary_assembly:Lsat_Salinas_v7:1:37537145:37537777:-1 gene:gene-LSAT_1X31620 transcript:rna-gnl|WGS:NBSK|LSAT_1X31620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTQFFYNSFMTTPQILENSSIFDQNLETPSPETNISSEHIAVGNPIVLEGIAAVVGEQVLFGKSSVTSSGHSEGSKSKPVKNNGGVEKSYRGVRKRPWGRWSAEIRDKIGRCRHWLGTFDTAEEAARAYDAAARRMRGASARTNFEIPSVFPLPTRSSASSSSAEVKKKKSSSTCSTNKCHVVTSVAQLFSNSDREMMKMTGISNVEAT >cds-PLY68760.1 pep primary_assembly:Lsat_Salinas_v7:2:211830877:211831602:-1 gene:gene-LSAT_2X130960 transcript:rna-gnl|WGS:NBSK|LSAT_2X130960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSRFRHNTTPISFSLFVPDNLHKILVTLPLSASFLHCIHHRFVSPSRLSSVDFKRDPWPKVSDAAKDLVKKMLNLDPKLCLAFQEVLGETVKAIPKQFFVMNKLNKRALRVIVDRLSAEEVARTKQGFDLMDTSKQGKTNIVELKARLQIPDAAP >cds-PLY94568.1 pep primary_assembly:Lsat_Salinas_v7:MU038889.1:75935:76270:-1 gene:gene-LSAT_0X20321 transcript:rna-gnl|WGS:NBSK|LSAT_0X20321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKISTVSPPEATQSQPLQQQDRVAIIIGVSCGISKAISLHLASLGAKLIVNYTSNSSKADLVVSEINSKFQSESPQAVSFKVDVSNLIQVKALFYAAKSIGRKRRLERE >cds-PLY79627.1 pep primary_assembly:Lsat_Salinas_v7:2:166528137:166528472:1 gene:gene-LSAT_2X87601 transcript:rna-gnl|WGS:NBSK|LSAT_2X87601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSTPRRLKNFPARKGSTTTNYRRRSRPHHNKSTITRTSSVSDKLEALKNLIPAQENDRAASTTAATATASSADQLFQETADYILLLRTQVSILQKLVDFYGSSSSSLVQQ >cds-PLY99253.1 pep primary_assembly:Lsat_Salinas_v7:6:185255497:185256639:1 gene:gene-LSAT_6X113520 transcript:rna-gnl|WGS:NBSK|LSAT_6X113520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPWNNKTISITKAGITTILNSRTSVLAATNPPSGRYDDLKTAQDNIDLQTTILSRFNLIFIFKDINMFSQDKPFVPDPSILCVLNSIESEQTNKTFSPFTIGVTIFSIIDNCVYASSLFRLGLPPLSPLSIGGDDIVRHRHTPATTPSPPPSWVVESRLWLASQMIFWPPSAPPRSPLVCGFPRMSTNT >cds-PLY86432.1 pep primary_assembly:Lsat_Salinas_v7:1:10214095:10214827:-1 gene:gene-LSAT_1X7820 transcript:rna-gnl|WGS:NBSK|LSAT_1X7820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML44 [Source:Projected from Arabidopsis thaliana (AT1G21550) UniProtKB/Swiss-Prot;Acc:Q9LPK5] MSPINCHELHQLFKILDTNEDGLVSIEELKGLLDKTGVQISLDELKTLVGNTGLDLMDFWVFYDVFNKHNPIDGHHRSKEVEQEMMEGDILKAFKVFDMNDDGFISSEELQSTLSRLGLWNDDSGQRSCRSMIEFYDTNNDGVLDFEEFKNMLLLPKSFDPTGT >cds-PLY70073.1 pep primary_assembly:Lsat_Salinas_v7:8:114078543:114081294:-1 gene:gene-LSAT_8X76340 transcript:rna-gnl|WGS:NBSK|LSAT_8X76340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGDESKGGGGGEGAVLKGVPAYGGKYIHYNVLGNLFEVSSKYVPPIQPVGRGAYGIVCCAKDSETKEDVAIKKIGNAFDNSIDAKRTLREIKLLCHMDHENIIKIKDIIRPPNKENFNDVYIVYELMDTDLHQIIRSTQALTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSESDFMTEYVVTRWYRAPELLLNCSEYTTAIDIWSVGCILMEIALREPLFPGKDYVQQLQLITELLGSPDDSDLGFLRSENARKYVKQLPHIPSKTFQHKFPNLSPLVIDLAEKMLVFDPSKRITVEEALNHPFLQSLHEINEEPICSSPFMFDFEQATLSEEDVKELIWEETLKFNPDTESEVAE >cds-PLY75624.1 pep primary_assembly:Lsat_Salinas_v7:1:144798504:144813849:1 gene:gene-LSAT_1X104861 transcript:rna-gnl|WGS:NBSK|LSAT_1X104861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTLLCLVLLHAVGALGFPATLKLERSFPTNHGVELKQLTERDGLRHHRILHKYADPNVVVGFEVYGTYDPFDAGCSTSETGQISQTERTLDEIMGLGRQIISVISQISSQGIAPNSFGHCLAGGDGGGILAFGTPVMPDLVFTPLVKSMTKDVLLNPTHVQITNPPTISTMEMKLERYIGKTQFVIATVVEYNIWRLYIFPSRSTHGILSFVRFKSDIGLTFQTFKSNRKNALTLAPNEFFNQVKSEFLKQVRSGRSINTFLVLWFVLNQSKCLVLLQAVGALGFPATVKLERSFPTNHGVELKQLTERDGLRHHRILHKYADPNVVVGFGVYGTYDPFDAGFLLLSMIQQTRQHLLLSYARTKDVLQSPTHVQIINAPTISTMEMVVEHQISQTERTLDGIMGLGRQSISVISQISSQGIEPNSFGHCLAGDDGGGILAFGIPVMLDLVFTPLVKSM >cds-PLY90194.1 pep primary_assembly:Lsat_Salinas_v7:2:14977274:14977666:1 gene:gene-LSAT_2X7240 transcript:rna-gnl|WGS:NBSK|LSAT_2X7240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFSMIAEKFGRVLIPFECNTEVRDLSKGRICILTAIKNELINQSFDVGWNDMKFKATVQEDGEWYLSGTDLKSDCETEKDDEVDSMDENSMGYDVDDHLEIWDSSMGRTTLKFQNNRKKHPKKERLLVN >cds-PLY81686.1 pep primary_assembly:Lsat_Salinas_v7:3:159589869:159591602:1 gene:gene-LSAT_3X100001 transcript:rna-gnl|WGS:NBSK|LSAT_3X100001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTIRFCPYCGEASDIDHLAVSFLTTHHIAHGINLGKSPVLQYLYSLAQIGLAMSPLSNNSLFLDYHRNPFLILIGLGKRTTREGQIEMIFTRQMYYTSDLNSEIYGEKRSKGSFLMLNGRVLTDGRVNARVKCDLSENITMKTNALLTSEPHMSHGMINFDYKGIDYWTQFQLGNEGLLGATYIQFGKLASNFGVSNGSF >cds-PLY86203.1 pep primary_assembly:Lsat_Salinas_v7:4:7103716:7105315:-1 gene:gene-LSAT_4X4581 transcript:rna-gnl|WGS:NBSK|LSAT_4X4581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGTDQVRGTRRSTAAANGGGVTRNLNSDTVINLDHGDPTMYESFWRKMGDKCTVVIKGHECLSYFSNIKNPCWFLEPKLEESIKSLHGAVGNAVTDGYSIVVGTGSSQLLQAVLYAVSCDQPNQISVVSPAPYYSSYPEIIDLVRSGLYKWGGDAHGFDKDEPFIELVTSPNNPNGVIRGSVVNRDGGILIHDLAYYWPQYTPITSSLDFDIMLFTASKCTGHAGSRIGWALVKDKEVAKKMIKFMEVNTIGVSKESQIRVAKILQVVADGCKRFGSPDGDNFFEFSKSLMSKRWEILRETVKKTRMFTLPKYPLQHCNYTGDVTQAHPAFAWIKCKEGIEDCEKVFRGQNILTRSGRRFGSDPGYVRVSMVSKEEDFDMFIERLSAIQSFNNGDGNGITNGNVNGNLD >cds-PLY65032.1 pep primary_assembly:Lsat_Salinas_v7:1:116497984:116498307:1 gene:gene-LSAT_1X86261 transcript:rna-gnl|WGS:NBSK|LSAT_1X86261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNRHTWTTKEDAKLIEALLELHVSGKNDGADNRFKPGYLKAVQQLLDVSLPNSGLKAEPHIKSRMKTWKTHFNIVHDMVYGTNTGGFGWDTDKCCVTADAEVLG >cds-PLY98501.1 pep primary_assembly:Lsat_Salinas_v7:7:126127747:126129409:1 gene:gene-LSAT_7X75721 transcript:rna-gnl|WGS:NBSK|LSAT_7X75721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESPPIEEVIQSGVVPRFVEFLVREDFPQLQFEAAWALTNIASGTSEHTKVVIDHGVVPIFVKLLASPSDDVREQAVWALGNVAGDSPKCRDLVLAQGALIPLLSQLNEHAKLSMLRNATWTLSNFCRGKPQPSFSQTKPALPALQQLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEANVCPRLVGLLNHPSPSFLIPALRTVVNIVTGDDMQTQTVIEANIIGPLIHLLQNAEFDIKKEATWAISNATSGGSHDQIK >cds-PLY89119.1 pep primary_assembly:Lsat_Salinas_v7:4:145903158:145904565:-1 gene:gene-LSAT_4X89000 transcript:rna-gnl|WGS:NBSK|LSAT_4X89000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISDAEAKGSYPLDSILIEPTSGKTGIGLAFMAAAKGYRSIMKQLDPTFGKVQMVKVMLLFLKLALEGVIMASETAVVVTSQQGRANQHEDLNDDAGAGGKYLSADTYGWS >cds-PLY95787.1 pep primary_assembly:Lsat_Salinas_v7:7:95719166:95720068:1 gene:gene-LSAT_7X63941 transcript:rna-gnl|WGS:NBSK|LSAT_7X63941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMNKAEVAASTEAERRSKEAAYTKKRAREALDHVVYLMVKEKRIAVETNKRVVTANNSVAATAATPVAAAVVVDASVVNNKLDASNEVLEALNAVEIKEKGRKLQEQGNGVVVMDVDVVNGKGSGVSSNSVSENGSVKTDQLGKVVNGVVPSIGHEQTQEKIHSIEESTVSNPKL >cds-PLY64650.1 pep primary_assembly:Lsat_Salinas_v7:3:175910644:175912228:1 gene:gene-LSAT_3X105601 transcript:rna-gnl|WGS:NBSK|LSAT_3X105601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFWKRASGALKDRRSLLKASFTQRSTFRNPDIEAVVIKATTHDETRVDYRNAQRVFAWVGISDDYLRQVLWALTHRMWRTHNWVVVLKGLMLLHGVFCCKVPGIQEIGRLPFDMMNFKDKHTRRGKLSGLNEFIRAYYVFLDEKSSFIFFHSQEQRDRRVKEQMALLSRDGSTDEQKEKSTIQDLIWLQKLQGLLDLLLQIRPKSRQMVNLLVLEAMDCIVIEFYDICGRISNGIGSVLMTIYSVGKNDAKMVLSIMQKAKVQHEQLSLYFDFCREIGVGNASEIPKMEQMPEEGIQKLEQIINKDPEQFKLEQEQEKSIIVMEHHDSTKTPNLDNSTSLKTMISDDWEVFESKQMKSNKDPELIDLFSPPGKNNIKINHLVQENTIEFPDLISL >cds-PLY92767.1 pep primary_assembly:Lsat_Salinas_v7:8:66962309:66963313:1 gene:gene-LSAT_8X47480 transcript:rna-gnl|WGS:NBSK|LSAT_8X47480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLFKTSSKRLTNLASLTTCNPLKHLLVPSSTPPSPANRKESPSQSQTSYQKFGFLRSFMGHDGRVQATGFSVFANHAYDGDKKIGGLASRKRISLIKMSEDSIWVTRVRGSIYERFWNGVQWVIAPHELPIQAGYVVSVFFINHTVLALSEAGILYQMQLSENSQPIRIEYTLIQDSSTTMFIKYGVISHNTKWINHRKPPGVDVAAIVNAPKIRA >cds-PLY84744.1 pep primary_assembly:Lsat_Salinas_v7:5:230163112:230164109:1 gene:gene-LSAT_5X110420 transcript:rna-gnl|WGS:NBSK|LSAT_5X110420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLCSKKSGKGKRPGKGGNRFWKSVGLGFKTPREAIEGTYIDKKCPFTGDVSIRGRILSGTCHSAKMVKTIIVRRDYFHYVKKYQRQYEKRHSNTPAHISPCFRVKEGDRVTIGQCRPLSKTVRFNVLKVTPAGSSGSTGKKAFAGF >cds-PLY94710.1 pep primary_assembly:Lsat_Salinas_v7:2:85151947:85152558:-1 gene:gene-LSAT_2X38880 transcript:rna-gnl|WGS:NBSK|LSAT_2X38880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENFVMKEDRIEKSIAMPSYLPRLFIAPSCLPKFTEVVELVAEMKARLSRKTIQLKESTDKKDQECMDKLHDECSSLRLEIYFLENEAYVLRKELVQRIYLEVTSVKEMMAVNELMSVSGVGATPDMQCFGGKICVGDNFPKNVSKAITDAQRLQQTF >cds-PLY78421.1 pep primary_assembly:Lsat_Salinas_v7:3:236257305:236259681:1 gene:gene-LSAT_3X131981 transcript:rna-gnl|WGS:NBSK|LSAT_3X131981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDLIAWLFSFFILLAVIALVLYQIMCFLDLETDYINPYELATKINNIMLPEMITQGGLCFLHLVTRHWIMFLFCLPYLSYNVHLYIHGRHVVYATEVFNELSSQKKHRIFKLCYLGFLLFFSIFWMIWSIVDED >cds-PLY89153.1 pep primary_assembly:Lsat_Salinas_v7:3:21154250:21155668:1 gene:gene-LSAT_3X15640 transcript:rna-gnl|WGS:NBSK|LSAT_3X15640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYNTCNIYRTPQRGSGIVEDSSSSRMFETENKVIPLNQTQLDEAMKPARFNTTRVTSIRDHTNTNTTTLVTEPILPSSLILTKGKSISSSLSPINSLLAGVDVDVAAIDRDEIFGNGVGPETGPISVSSASGIRRAMEKMQRAFDVYPYCATALNYLANHFFFTGQHFLVEQLTKTALAATTHGSTRAHSYYNLAHSYHSKGDYEKVGLYYMASVKEVNKPQDFIQPRCYRKGSHG >cds-PLY82159.1 pep primary_assembly:Lsat_Salinas_v7:1:15946171:15947392:1 gene:gene-LSAT_1X13901 transcript:rna-gnl|WGS:NBSK|LSAT_1X13901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRTTSIKIIRTSIHTFLQSYNHFTIASLLTLPFSTSILLLSSLSPDFISLQHTIHFRLQSLFDATGIPVSSQFFSTFNLKLSQTITSAILLLPFTFSFLLITKTFIIQSFNTHNKKRSSFSGIYNSILQTQLWNTFLIMSANATSFWVLFIAFNCLEKMLSSSTLVVLFSMVGGIAYSVVIANTMIICNMALVLSGMETQGGFLSILKACVMIKRRIPTALSLALYINITLAGIEALFQFRLASAYSNSGTSNPSPLMVFEGLLIAYLYSIVITLDTITGCIFYKSCKIKASDQEACRRNEYCLEVKREEEEEVLY >cds-PLY64708.1 pep primary_assembly:Lsat_Salinas_v7:7:135447372:135448681:1 gene:gene-LSAT_7X81020 transcript:rna-gnl|WGS:NBSK|LSAT_7X81020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGETGTSQSGKFTIFNCLDRGSGTLACIVKESVKLYTYNIRTLHVEVTRNKVIEASLADAISHGMEAKAAAKQAQNRWSQSCKMVVLVGDHFQLSPVIMCKKAARVGLVGSVSDASITGSMIPETVQPILLEFLRRLTDRVVEVRISVLEHVKLSMLSNPFRP >cds-PLY93582.1 pep primary_assembly:Lsat_Salinas_v7:2:173796694:173800555:1 gene:gene-LSAT_2X95441 transcript:rna-gnl|WGS:NBSK|LSAT_2X95441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVEQAAQSRSRRSKWQFMGTSDAGAKEELHRVYCVSERTEGNPSLVNISNEEQASVSVAVPSVHETEGGSASLGPTYRDSSFTTRLIAGGWRRAQSLENILLPLNSYNFFHRKDMVKVPAVHIFTAIIPALMIASLYFFDHSVAAQMAQQKEFNLKNPSAYHYDILLLGFMTLICGLVGVPPSNGVLPQSPMHTRSLATLESQIMQKKMVKIAKEGKKMEASSLEIYGKMHVAFVQMDPHPQVL >cds-PLY83293.1 pep primary_assembly:Lsat_Salinas_v7:4:126806805:126807142:1 gene:gene-LSAT_4X79980 transcript:rna-gnl|WGS:NBSK|LSAT_4X79980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSASRRCSAETLTVQPSLSVFSRLRGTLNGCGHLEQDWRILGLEEIVGQGGEGFRLKEQQGIRVLRFGDTERTYSRVYRTHLVIK >cds-PLY76518.1 pep primary_assembly:Lsat_Salinas_v7:5:12504276:12511209:-1 gene:gene-LSAT_5X6781 transcript:rna-gnl|WGS:NBSK|LSAT_5X6781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPPPVARSSLEEMLDSLRRRDEDENRDLPPALPTRPTSKARLPKRLLPAKLDVEYVAPPDFTNIKKQDVKCITGTVCHFGRKKVTAAAAVGESPYTMAPVCEQRLAEDGGATLATPSISQETDWEDSICYFVKKKLRVWCRPRNDQWELAKIKSTVGDEASVMLLDGSVMTVSTADLLPANPEVLDGVDDLVELGYLNEPSVLHNLQYRYARDVIYSKVGPVLLAINPFKDVQIYGDDYVTAYREKILENPHVYATADTAYNNLMKYGRNQSIVVGGESGSGKTETAKFALQYLASVGGDNCEMASKITHTSCILEAFGNAKTSRNCNSSRFGKLIDIYYNTEGTVSGACIKTLLLEKFRVSQLCRGERSFHIFYQMCAGAPPAIKDRLNLKLASEYKFLNQSGCLKINGLDDAHNFEKLMEAFDVVGIRLEDLEHAFELLSAILWLGNISFTVIDEDEYVEVVADEASRSAAKLMGCKVNDLVSVLSGNDMGEPLTLQQAIDKRDALAKFVYESLFSWVVGELNRSLEGDKDKQHAQKTISILDTYGFESFQKNSFSQLCINYADERLQQHFIRHLCKLEQEEYELEGIHWKKVEFEDNHECLDLFEKKPMGLISMLDEGSNSSKATDVTFTNKTKRHLSSNSCFSVEKGAFKVRHYAGEVQYDATGFLEQNRDTLQSDIIQLLSASRKSLLNLFASVSHVQSVGVKFKEQLFKLIQQLENSKAHFIRCIRPNTKQLPGMYEKEFVLQQLRCSRVMEIVQILKSRYSIRLTHQEFANRFGCLLSENIICMDPLSTSLAILQQYRVPPHKYQVGYTKLFFQEQVDVLENNKQEVVEGTREVENSFLGGRVLLDLHELKFGVVTLQSFVRGENARREYGVLKSLNKQLATSSLDEHLTAVVHLQSFVRGWLARRYFNHLQRWKKLAVDASKSRPRSQSHTGNSESKGLSQENMPILPQNVEELQRRVVRAESSLSERERENTALRDQIRQFEMRWSEYETKMKAVEEMWQTQMASLQMSLAAAKKTLGSDISEGQQGKPEGSLSPYYYDSEDNISGIQTPVQVTPARIGINKRESNANGVVSDTIDHLSKEFEQKKQVFDTDAKAVIDVKPGRPPSSKQIEEYKSLKKRFEMWKKEYKQRLREAKARLMKGVHPESEGGGDGGGCGGGGGGGGGGGDKRGKHWWGKLSKRGKDKERIV >cds-PLY82469.1 pep primary_assembly:Lsat_Salinas_v7:2:184701557:184703910:-1 gene:gene-LSAT_2X108021 transcript:rna-gnl|WGS:NBSK|LSAT_2X108021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast J-like domain 1 [Source:Projected from Arabidopsis thaliana (AT1G08640) UniProtKB/TrEMBL;Acc:Q93WG3] MALAISNVFQIPNSRLCGKKSIFVHSSLSNFSPSFVRFSKCRLSQKIVCAASSAAGSSSSDKELNPYEASIFIIKHTPQVLGVNPIEGFDMVKAAYTRKRRDAERRGDEATAALLEKAYDKLMMAQLTNRKKGVTFGSFQVSKDIKYADKQPIIPWGPRFTKSEEKDIRINMAISAAFIAWIVVARSADWKPVQFLSFVFVYRIFEKLKAFEPPVSTTFTEEGEDEGRMMRMGKRLLRSLALSFGCIAIASLGYTGIVNLIEFISGYVPIALYNNQEVIVTAVTSLSLYFMASYYR >cds-PLY69370.1 pep primary_assembly:Lsat_Salinas_v7:4:114761294:114762935:1 gene:gene-LSAT_4X72700 transcript:rna-gnl|WGS:NBSK|LSAT_4X72700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFRAVAGSIGRRQLTPANLYLRPFVVSASNHRHRSISYPLSPTGFRFSVRAIQEATTDPITPMKGENGDQMQSPPKWKVKMLYDGDCPLCMREVNILKERNKNYNAINFVDISSDDYSPDDNQGLDYETAMGKIHAIMSDGTVVTNVEAFRKLYEAVDLGWVYAITKYEPVATIADAVYGVWAKYRLQVTGRPPLEQVLEARKKKVGIYFINGCLIYQHLVIEF >cds-PLY66740.1 pep primary_assembly:Lsat_Salinas_v7:1:167825259:167830576:-1 gene:gene-LSAT_1X112501 transcript:rna-gnl|WGS:NBSK|LSAT_1X112501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTMEGVVLKLPSFSQLISPNHLSNRTSLNFRFGFPKSSSSSSSSSTASLSVPAAAPVSLGHSTRPDFEILHQKVNNGSKLVYLDNAATSQKPSAVIDAVNNYYRSYNSNVHRGIHFLSARATDEYEMARKKVAAFINASESSEIVFTRNATEAINLVAYSWGISNLKPKDEIVLTIAEHHSAIVPWQLLSERTGAVLKFVSLTEDEVPDVKMLQELLSKKTKLVVVHHVSNMLASVLPIKDIAQWAHDVGARILVDACQSVPHMVVDVQNLGVDFLVASSHKMCGPTGVGFLYGKSELLASMPPFLGGGEMISEVFLDHSTYADPPSRFEAGTPAIGEAIGLGAAIDYLSEIGMQKIHDYEVELGNYLYENLHTVPGVRIYGPAPSQTVERAALCSFNVEGLHPTDIATFLDQQHGVAIRSGHHCAQPLHRFLGINASARASLYFYNTKQDVDDFVGALVDTIAFFSSFN >cds-PLY78249.1 pep primary_assembly:Lsat_Salinas_v7:3:87881671:87882802:-1 gene:gene-LSAT_3X67180 transcript:rna-gnl|WGS:NBSK|LSAT_3X67180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKARTIGIGMDYSATSKSALKWAIDNLIESEDIIVIILVLSPKSDPANKKLFADTGSPLIPLIELKEPGVCKKYGITPDSELFDMLDSVSRDKMAKVVAKVYWGDPREKLCEAVNLLKLDSLVLGSRGLGAIKRVLLGSVSSYVVQNASCAVTVVK >cds-PLY93754.1 pep primary_assembly:Lsat_Salinas_v7:6:143296953:143298752:1 gene:gene-LSAT_6X86360 transcript:rna-gnl|WGS:NBSK|LSAT_6X86360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCDNITAAVMGMSPEQKQAILRMGFGSILQVNITSYPGQLSYYLLDVYDADSKRLVLQNSVIEITEQTVHDMMGLPFGGEDINELPLCDKGNQILEEWRGQYSGDKFNGEEYLRRIQATTKDNLMFRLNFLTLFVNNFIESMLMGTNQIKVVRKLVLVEDFSKLNWCKYMLDCLGSRKKLWKRDDKSSYYSGPITLLITEDILNELKSEDMKCRLFATLLRIYTKKFDVKPSFRDVALVFFPIVDDGKYYLLIFDLRSSLYYIVDHVKRTGTLERKYGMIPNLVKKLFCNYLTSQHHPMAKTLTFKAARVMNISWLVEKAGTECGIYLMRHMETYMGEYEGRWECGLTGKMPADVSATIKLRTKYMARLLTSDFNKFKSMIVKDFESFRKLDILEQDMLLRKSAENRKKK >cds-PLY93305.1 pep primary_assembly:Lsat_Salinas_v7:4:302252818:302254503:1 gene:gene-LSAT_4X147220 transcript:rna-gnl|WGS:NBSK|LSAT_4X147220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLVPTLVDCEKIQEIQRAEGLANILAIGTATPSNFFYQSDYPDYYFRLTNSEHMVNLKQKFIRICDKTLIKKRHFFFTEEILKENPNICEYMAPSLNARHDLVVTELPKLGMEAATIAIEEWGLPKSKITHLIFCTTSCVDMPGADYYLTKLLELSPSVKRFMMYHQACCGGGMAIPLAKDLCENNKGSRVLVVCAEITAILFHGPNENDLDSLVGQALFSNGASAIIMGSDPDLSMEHSIFEIVTTSQTILPNTEKLLTIHLREAGLTFKLHKDIPKVISENIEDVLLHAFSPLGISDWNSLFWIVHPGGRAILDLVEQKLKLTEEKFRASRHVLSEYGNLASASVLFVIEEMRKKSIKEGKSTNGEGLDWGVLLGFGPGLTVETIVLLRSLPVSTPLSLAS >cds-PLY92522.1 pep primary_assembly:Lsat_Salinas_v7:4:321764047:321765951:-1 gene:gene-LSAT_4X160401 transcript:rna-gnl|WGS:NBSK|LSAT_4X160401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAFSSMINGAEGQVLDLDSAVKDGVLGGGGGVGFGGGFSEKLDLKKMIEVLDSPEIPSVFICPISLSPMEDPVTLCTGQTYERSNILKWFSLGHFTCPTTMQELWDDSVTPNDTLHRLIHSWFSQKYFQKKKRSEDVQGLTSEILDTLKKTKGQARIQSLKELRRVVAAHGIARKTVVEKGGVSFLSSLLGPYTSHAIGSEVISILVHLNLDSSSKSNLTQPARISLMVDMLNEGSIETRINCTQLLKLLIVEDDFNSKVEIVSSPSLLVALMRLVRDKRHSSGNLPALSLLKSICSSSSSQSHKQIQLMIITIGAVPQLIELLPGLNSESLELALFILDSVSNLKEGNLALSDCSNTIPNMVRILMRVSENCTKYALSILWAVCKLSPQEYSLIAVDVGLAAKLLLVIQSGCNPSIKQQSADLLKLCSLNYTDSIFISKCKLTRTIQ >cds-PLY80122.1 pep primary_assembly:Lsat_Salinas_v7:2:75060892:75062968:1 gene:gene-LSAT_2X33761 transcript:rna-gnl|WGS:NBSK|LSAT_2X33761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANPPVATGGAANKIVVIGGGVAGSYIAKLLQFHGNVTLIDPKEYFEIPWASLRGMVEPTFAERSLIQHKHYLTSSRLIVSNAIDISDSEILLSEGRSIPYNYLVIATGHDDQVPKTRSERLKQYQSENEKIKAAESILIVGGGPTGVELAGEIAVDFPEKKITLVHSGYRLLEFLGPKASKKTLDWLKSRHVEVKLDQTVNLEDVADFSNGTKLYTTSAGETIKADCHFLCTGKPLASSWLKGTILKDRLDELGRLIVDENLRVRGRKNIFAIGDITNIKEMKQGYLAKKQASVAAKNLQLLIRGGDESKMTTYKMSSSHNAIVSLGRHDAVAQLHLTTMIGLIPGLIKSKDLFVGKTRKELDLDSRD >cds-PLY81115.1 pep primary_assembly:Lsat_Salinas_v7:9:67847773:67851640:1 gene:gene-LSAT_9X56821 transcript:rna-gnl|WGS:NBSK|LSAT_9X56821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASEENSGLFPIFILTMIALPLVPYTIMQLCRAASKKEKSINCQCSVCSRSGKYRKSIFKKISNFSTWSNLTLVLFWIIMGVLVYYIKTINREVQVFEPFNILGLQPGASDSEIKKAYRRLSVQYHPDKNPDPDAHKYFVESIAKAYQALTDPISRENFEKYGHPDGRQGFQMGIALPQFLLNIEGASGGILLLWIVGVCILLPLVVAVAYLSRSSKYTGNYVMHQTLSAYYYFMKPSLAPSKVMDVLIKAAEYMEIPVRRPDGEPLQKLFMLVRSELNLDLKNIKQEQAKFWKQHPALIKTELLIQAHLTRQTATLTPELQNDFKRVVELAPRLLEELMKMAVIPRTAQGHGWLRPAVGVVELSQCIIQAVPLSARKPTGGSSEGIAPFLQLPHFNEAIIKKITRKKIKTLQDLRDMSPVDRVDFLSETANFSPTEIQDIESVLSMMPSITLEVKCGTEGEEGIQEGDIVTVQSWVTLKRSNGLTRALPHSPFYPFHKEENHWFLLADQTSNNVWFSQKLSFMDESAAITAASKSIEESMEGSGANPGEIGKAVREAVEKVKSGSRLVMGKFQAPSEGSYNLTCFLLCDSWIGCDKKTGVKVKVVKRTRAGTRGGLVEEVAEDGGEEEEEVEEEEYDEDYESEYSEDDEEEEDTSNKNKKENKKKENKGNGKGAASSSGSDDE >cds-PLY99887.1 pep primary_assembly:Lsat_Salinas_v7:4:46020332:46020978:-1 gene:gene-LSAT_4X31321 transcript:rna-gnl|WGS:NBSK|LSAT_4X31321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEPKTNQSMIVSLPLPPLKPTSPRPTPLWKKRRALLKQLSLSESPRNVAWERRRRLNHIKEKKKKKKDESGSAATDELSEDLKELKGCLELGFGFNDDEGGQRLTNTLPALDIYFAVNRLGSPNGGNVSPGPKLDGIGSLSSKSGTSSFGNEDPWKICSPGEDPQQVKAKLRHWAQAVACSVIQSS >cds-PLY66561.1 pep primary_assembly:Lsat_Salinas_v7:6:167187453:167188111:-1 gene:gene-LSAT_6X102141 transcript:rna-gnl|WGS:NBSK|LSAT_6X102141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKCSLPSLILLAFALVQSNATQNHPSPPSAAPAPQLSTPQLSPSCDVLLFKMIDCLPYLTKISKMAKPVESCCSGFLEVWKLDLNCVCDALNGSVELGFQLNMTRVFHVSSVCGSPHVSLDKCLPIPSVAKPPVQIIKPSPAMTSAQAMTTSDADSSSQSNFFKIVISLVVILNFLALNLPI >cds-PLY84782.1 pep primary_assembly:Lsat_Salinas_v7:8:24417345:24422204:1 gene:gene-LSAT_8X20561 transcript:rna-gnl|WGS:NBSK|LSAT_8X20561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTTGESDCILLVDSENKEIDEDKDLDRDGDKDFDGGDDDDSWNKANDDEQDCDSSNNVGDEPQSSDPSWPRSYRKSMDLLGTVASPSLSFLGSPPLSSLGGSFLSSSPKRRQNPKITTSLGNPLLPPLADNHHPPRHPSDHSLHSQWTSSARKSSQLQKSQIKQSSFAQAVVNGVNLLCGVALLSTPYAVKEGGWLGLSIMFIFCVLCFYTGLLLRDCLDTHPRLQTYPDIGEAAFGIPGRLLVSIVLYVELYASCVEFIILESDNISSLFPNTNVIIGGHQINSHSFFAIMITLVVLPTVWLRDLSVLSYISAGGVFVIIILVCSLFWIGLVDKIGFQMETTKMLNLSTLPVSIGMYGYCYAGHAVLPNIYMSMEKKSQYPLVILASFGICTLIYAGVAIMGYMMFGESTESQFTLNLPPNLLASKIAIWATSLIFPCACFLRILRGNVTHFQVSMCVLIIAIGVISLSFGTYTSISEIIQQYL >cds-PLY92401.1 pep primary_assembly:Lsat_Salinas_v7:2:155336120:155338190:1 gene:gene-LSAT_2X80941 transcript:rna-gnl|WGS:NBSK|LSAT_2X80941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNSQPATHTIRSHGLTISRIHMHDWLILALLAAMMGLLGLLHPFHRFIGKDMMADLKYPMKPSTIPFWTVPLYAVLLPIAIFLAFYLRRRDVYDLHHAVLGLLFSVFITGVITEALKGAIGRPRPDFFWRCFPDGIDVYDQWGDVKCHGDIDVVGQGHKSFPSGHSSWSFAGLGFLSLYLSGKIRAFDQQGHAAKLCIVFLPLIVASLVAISRVDNYRHHWQDVCVGGFIGITMAIFCYLQFFAPPYHAKGWGPYAFFRALEESYANANNVGEVEVQQHERNNDLAMGSSDDLESGRC >cds-PLY67296.1 pep primary_assembly:Lsat_Salinas_v7:3:256890399:256891885:-1 gene:gene-LSAT_3X140401 transcript:rna-gnl|WGS:NBSK|LSAT_3X140401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSNKIERNEIKPGDHIYTYRAVFAYSHHGIYVGGNKVVHFTSDPKKSCFASDDIIMSKTTSTTCKAYAADCGFRQPGSGVVISCLDCFLQNGDLYRFEYGVSKVAFCAKVRGGSCTTATSDDEVDTVIHRAMYLLHNGFGNYDVFRNNCEDFALYCKTGILIVGKEAEAGSGFGRSGQISSVLGVPVAAILTSPLKFVIPTPVVVTVTAGMYCITRYATDIGVRDDVVKVNVEDLGVKLDHLKQQK >cds-PLY97618.1 pep primary_assembly:Lsat_Salinas_v7:5:238542585:238545122:1 gene:gene-LSAT_5X113180 transcript:rna-gnl|WGS:NBSK|LSAT_5X113180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEAYLQSCFAQTGEVSSIKLIRNKQTGQSERYGFIEFLSHEAADKVLQSYNGTMMPTTDQAFRLNWASFSTGEKRAEIGSDLSIFIGDLAPDVTDTILYETFAGRYPSVKGAKVVVDTNTGCSKGYGFVRFSDENEKTRAMNEMNGQYCSSRPMRIGVATPKKAPIQQQYGQQQYSSQAVLLAGGNGGFGAIPQNSQSDGDSSNTTIFVGGLDSEVTDEDLRQTFSQCGEILSIKIPLGKGCGFVQFASRSSAEDAIQNMHGTVIGKQTVRISWGKTPANRQRMGGSNGNYYQKQGGYGGGGYGYGGQQTQDSGMYGGADASTYESNGYNHHQQPVS >cds-PLY81595.1 pep primary_assembly:Lsat_Salinas_v7:4:217471833:217473567:-1 gene:gene-LSAT_4X122441 transcript:rna-gnl|WGS:NBSK|LSAT_4X122441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDSSMFPPINEAAAEKSRRNLPENVIVAVKAEEKVVSKAALGWALTHVVHPGDCVILLAVYPGVKSSRKSWSWRRLSGDCRNSVDCVNLNLPDRICQISESCSRMVLQFQNQFEVMVQIKVVPAFPSGAVAAQAKYNAASWVILDK >cds-PLY67348.1 pep primary_assembly:Lsat_Salinas_v7:3:153804353:153814592:-1 gene:gene-LSAT_3X97641 transcript:rna-gnl|WGS:NBSK|LSAT_3X97641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQDRSFQLTNQLQKLALNLDSIVTPCDNFSGSVNGFDFVEYRAIIDGTVPDNMSLVGEIDAQNADRKRHRIRIQIQDAREDEIKMRRLLPFLKPPRDTATPRGSLLMSTLHLRFLPGTHIVFPVISFLTLND >cds-PLY74721.1 pep primary_assembly:Lsat_Salinas_v7:5:25880902:25884584:1 gene:gene-LSAT_5X13461 transcript:rna-gnl|WGS:NBSK|LSAT_5X13461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFFKASLDNQHHRWRSQCRKVAIFFGFLFEHGGDLNVAFNAHFTERDRNIQETSIGAPPEDFMDIDDPTLPSLRPPLSLFPSARDININPFSLLNPNFTRSIFDSESGFRGSEPFVSHPREVRQILIEVKDGPSTESNHSGHAPRIEDVTETTTKNVPETRAHVIIDDDDDDEEDFPTSLPSHAGVNTHLTATTAEQEKALCQLGSEVGPLEPLLSLSWKKWIDWQHQMEGVKSEHKQSKNEPHMEVMSPVSKGVQMYNMNRLLVFMYREFRALQKHGLTSAIRANDLFYIYGTNHQRGSWVMKRNFRIPLEEELRRMVTPENVCAFESMLAGMYRVKLLGINMTHPCGLSSAMNKLPSY >cds-PLY81328.1 pep primary_assembly:Lsat_Salinas_v7:4:37576782:37577430:1 gene:gene-LSAT_4X25000 transcript:rna-gnl|WGS:NBSK|LSAT_4X25000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADNLPEKSNNNVGNIFTQLVSAVKSKSVPDKDAEQIYEWLYAAAPYLIVAAIFWIIGVCCCRCLCGRRRSAGRMNAPGRRPTRLPRAAFERNPRGYFRDLRGRPNDFSYN >cds-PLY72121.1 pep primary_assembly:Lsat_Salinas_v7:7:54481591:54481896:1 gene:gene-LSAT_7X39660 transcript:rna-gnl|WGS:NBSK|LSAT_7X39660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEEGILPTALRKISLLQMLSNSIYIVRLICFQHIHHNDKPLLYLVFEYVDTDLKKFIDSHRKSPNPSPLPPSQIQSFLFQLCKGVAHCHGHGVLHRYPFP >cds-PLY79192.1 pep primary_assembly:Lsat_Salinas_v7:8:163764534:163772087:1 gene:gene-LSAT_8X108741 transcript:rna-gnl|WGS:NBSK|LSAT_8X108741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILKSIDCSLAASLLPSGATAVGFGGYVGSSRIDTSTPITQDTDSPFLDIDAELAQHLKRLARKDPTTKLKALTTLSTLLKQKSAKDVSPIIPQWAFEYKKLLLDYNREVRRATHETMANLVSTVGRELAPHLKSLMGPWWFSQFDAVHEVSQAAKRSFQAAFPVQEKRLDALMLCTDDVFMYLEENLKLTPQSMSDKAVALDELQEMHQQVISSSLLSLATLLDILTNEKHASENSNSELKHALKARSTAISHAEKLISVHKCFMEFLKSSSPAIRSAAYSLIRSYIKNIPHALNEANIKTLSPSILGAFQEKDPTCHSSMWEAILHFSRRFPESWNTLSVQKIVLNRFWSFLRNGCFGSRQVSYPALVLFLDCVPDKAITKDRFFLEFFQSFWGGKVHSESSNADQLVFFKSYCECFLWALQNAERYCEGLEEIHQFQHTLVDEVLLKLLWHDYLMVPVSRQLTNIDHIQEFGKCITEILSSLFSLNPNLLSSFCSTFEENCLNAFQQTENSENIEKIIRFLLLVDKHAVQKGDSWPLSYLVGPMLPKSFKLIQTIDSSNAVKFMVVAVSTFGPRKVVQEIVQEQNKDMNLKQFLQYYKDIFVPWCLQTNSSSILARLDLLLALLDDECFSEQWDSIVLHATGIHDSDHTLVLTLLMEKTREEIIKRKVDPKNWHHELLDSTALLISHSSPPFGSSNARFIRAVLGGITEEDQIILLSENTSILIFEEIFQKLQTFIQTSNFTWVRNANSLLNVEKHVTIKEHESVLEIATFSLEVLKGTFFRLKSLTQNPHLLPSILAVLFVIDWEHAMSALFYDGVDDVAYAKVVDRIGFCKSVHDYRCEMNTFFKNLSLDCRRVLGSMLVQVIRWGVVNEEKLDVDKVTSLGCLFVLEVLDSLCEGHIEEQMLLDELLKKDDSWPLWIVPDIKNRQRSGALKVDIVSSNASECHQMASFVDKLISKLGISRVIAGFELSTSSSNDVAPHHPHYPRAWLAAEMLCTWKWDSGSALSSFLPSLIQYTKNQDSCFTNNLFDPIVHILLDGALEKTIKKLSFFGIYPAPHDELESIEEVFVRSLVAILNTLFGGSIWGKEKAFGLFHLLVDRLFVGEDINLDCLKILPVVMSVLIGPLSSQDDESGNDENDPLKGNQIPDIIKGWIERILSFPPLNTWESGEDMEDWFHLVISCYPIRPTKGTQGFKPQRHITQMERNLLLTLLRNQRFHSATYNTVNKLPVVQMLLSKLTVVTIGYCWTEFNEEDWEFLLYKSRWWIESSVVSMEEIAESVNDIITGTSNSNSNSNSGVPENLRRIVSDFDSIPLKLATNALIAFSMFRGLIRKQTTENENENENDLNPLRAEKFDAIKDRILEGILRLFFSTGATEAIAGSYSSEGCLVISSSRLDDGHFWELVGSSVVDSSVLARDRAIKSFEIWGLSKGAVSSLYSILFTEKPVPCLQYAAYVILSSQPVSDSAFVMEDSSSSLDETEKDPHDLSLTVRLRDEVSRFLEKPSEILYSDLVAPERVNVFLAWSLLISHLLSSSSSSSRTREKLIQHIQDSSNSTILDCIFQHVPLELCTVNIKKKLSELPEGIAEVAAAATRAITDSSVLFAVESLWPVGPDGMASFAGAIYGLMLTTVPAYVRGWFNDIRDRTTSSAIESFTRAWCSPPLITNELSQIKKANLCDENFSVSVSKSANEVVATYTKDETGMDLVIRLPASYSLRPVDVECTRSLGISEVKQRKWLLSMMSFVRNQNGALAEAIGIWKSNFDKEFEGVEECPICYSVIHTANHSLPRLACRTCKHKFHSACLYKWFSTSHKSNCPLCQSPF >cds-PLY95786.1 pep primary_assembly:Lsat_Salinas_v7:7:95980999:95981502:1 gene:gene-LSAT_7X65441 transcript:rna-gnl|WGS:NBSK|LSAT_7X65441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRTIFLFTHSRIKTPTMSLLFIMFYLVITANAFSDISQVGNLTSKLDCYFTKEWTVRIHNQLKDPIIVHVKSKNNDLGNNTMQPDGAKSWSFCMNTSKSTLFYGKFIWKSKTTAFTVFDNGLSKRYCPKAYSTQSSGFCEWKIKEDGFYMLGDKENNNPPRIHVWT >cds-PLY63607.1 pep primary_assembly:Lsat_Salinas_v7:9:127996513:127998101:1 gene:gene-LSAT_9X82540 transcript:rna-gnl|WGS:NBSK|LSAT_9X82540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVYKAKSHEELRWEDYKFTEKGGFGPSSTAIKSTSSTLSPFTSSSFTNFSTNSWGTTSVSSTPITPNPFSKRSNSVATETPTWSWKFPGPTSTPQPLHFLSSTNNSLSSFTSSPLNSFNPFLSKTNLTSPASFTSTFAPLNQNPFTSLPTQMSSSSFSSPTFAPFIKPSSSSGFFPTPIVTSPANSMSSGLCSTFNQPTTLFPSSSSTSVSWSHEPLNQNLLKNPFTSQPTQTCSTSAAFTHGVVTMVTTPATSVSVSPFNQPTTFFKPCAPSTQNYNGENTTGIISQITSKNQNPFGTLPPISHLSFECSQSIQYGISSIPVKDKSAMVKYPLLTPRHLYRRNKLPVQKYDPKLNSLKVRILQYWNLCLRNKPS >cds-PLY99315.1 pep primary_assembly:Lsat_Salinas_v7:7:179321132:179323661:-1 gene:gene-LSAT_7X106640 transcript:rna-gnl|WGS:NBSK|LSAT_7X106640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKRLCITSTEINELIKDHGATETEGVQSNCEECQSINQQSNQQSSECEIGANEQGAPKKVRGYTQKSETWKMNSTQRIVVTFNNFGKPVGDEGNELVQYLGTLVRMTDHVSIEYSDWRKVPIQKKEDMYSLVKAINNNGVYPSRGEMYVTTRTRKDGSIVDDKAAEVVASLIAIASDSISTPRDSSDITNDDYSKVKGPEKRGYVRLAGRMPAAKKNGDSSLDSQTVHQLKSVVNVMANIIQEHIPNANLSAVLRNMNIEVPGISSVPNNSISVNQISPVTTSVIGKKEFTRGSHYFHEDFERDLRA >cds-PLY68186.1 pep primary_assembly:Lsat_Salinas_v7:8:119027327:119030447:-1 gene:gene-LSAT_8X82881 transcript:rna-gnl|WGS:NBSK|LSAT_8X82881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSFAWSTSAFDLKSGQTILLALLVMIFFFYSGTFFGRNSSLYVNQPALNSSSSKSQGNPTFSNRVTLTHRQSPLTIPETGMNICPIEFNEHIPCHDPSYINTLLPQLDLSKREDLERHCPPLQKRLFCLIPPPLDYKIPITWPTSRDYVWRSNVNHTHLAEVKGGQNWVHEKDQLWWFPGGGTHFKHGATEYIERLGNMTTNETGDLRSSGVYQVLDVGCGVASFSAYLLPLNIQTMSFAPKDGHENQIQFALERGIGAMISALATKQLPYPSHSFEMVHCSRCRVDWHENDGILLKEVNRLLRPNGYFVYSAPPAYRKDKDFPMIWEKLVNITSGMCWKLIDRKIQTAIWIKDASQSCLENNAKENLVKICDSVDDLKPSWKTPLGNCVSPVPEFQKLPPRPQRLSVYSETLNDIGITQEMFLSDTLYWHDQVRHYWRLMNADVASVRNVMDMNALHGGFAVAFSTWPVWVMNVVPATMNDTLSAIYARGLIGAFHDWCEPFSSYPRTYDLVHANYLFSHYSTQGDRCLLEDIMLEMDRLVRPQGFIIIRDVGLIISRITDLAPKFLWDVTPHVLENPQGVLEPVLICQKKFWAIV >cds-PLY84329.1 pep primary_assembly:Lsat_Salinas_v7:5:188714162:188718204:1 gene:gene-LSAT_5X84120 transcript:rna-gnl|WGS:NBSK|LSAT_5X84120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVGIYQQVLNGELDLESDPWPSISESAKDLLRGMLVRNTKKWMTASKVLISNSIFSCNVDHPWIQADGVAPDKPLDTVVLSRLKQFSAMNRIKKIAIKVIAESLSEEEIVRLKEMFKMMDTDGSGQITLEELKEGLVKACANFKDLDMNKLMEAVGGYRQRWNDRLWGIFDF >cds-PLY97569.1 pep primary_assembly:Lsat_Salinas_v7:5:237838552:237839389:1 gene:gene-LSAT_5X112660 transcript:rna-gnl|WGS:NBSK|LSAT_5X112660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPPSLQPWRRLTEDEETSIMVAALKNVITGDTATVYNQQQDYDHRQFSPFSYAPATPVTVSEPVDPVPQTCPVCGISGCLGCNLFTADMKQNDGGSGGAGGPIKKMKKNYRGVRQRPWGKWASEIRDPRKAARVWLGTFETAEAAARAYDRAAIEFRGARAKLNFPVTDYTTKPLSQLENQQTAASSSRRKQETEKKHVVEKKTNVLEKESEDWMMTMMIQDVDVDGGFPDLTNLESI >cds-PLY88419.1 pep primary_assembly:Lsat_Salinas_v7:8:88108708:88109115:-1 gene:gene-LSAT_8X62860 transcript:rna-gnl|WGS:NBSK|LSAT_8X62860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKVKSSLAVLMACMVVASMDFHGSTAKEFMVGGPFGWGVPQNHKFYDMWSNHHTFKPHDVLIFNFTGELSNNGVAEVTRDAYLKCDAENPISHQTTSLTRFNLKNTSTNNHYYICTIHQNCKLGQKLAIMVSFR >cds-PLY97937.1 pep primary_assembly:Lsat_Salinas_v7:3:19412749:19413912:1 gene:gene-LSAT_3X15160 transcript:rna-gnl|WGS:NBSK|LSAT_3X15160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVEREHMFDKVVTPSDVGKLNRLVIPKQHAERYFPLDSSTNDKGLLLNFEDRNGKPWRFRYSYWNSSQSYVMTKGWSRFVKDKKLDAGDIVSFQRGVGGSAKDRLFIDWRHRPDAQAPPYQLSSNLSFPLPHHQFSFHNRNTAGVSWNPLFFQSQPAPPRSHSNLMLQPPNSYAAPPNSYRYGSSGGSPYHNIGTGSVVNVNQGGSGSSVIYFRSGAGGIPHQQHADMMQMQQRSGVGVGVGIGIDPPALPGPAPGVVFESVPVVHGKAAAKRLRLFGVNMDCPISDDDEQDYDQLPGELISPTTNSIPMGHYNHGDYHHEHYPTHHNPPPPPSSSSSTIPYLQLRPNYGEETQFHQTTMVSSSSVDAFNKSTKSSSSHMSLDLDI >cds-PLY79829.1 pep primary_assembly:Lsat_Salinas_v7:8:16768074:16768331:-1 gene:gene-LSAT_8X11761 transcript:rna-gnl|WGS:NBSK|LSAT_8X11761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAANRLSAIAAEMGQLQNEIEERRRVLNLFFRSVRTLDPTWKEARIRAARERIEDLEGRQQALRAEQQALIVQAVTHGHRGD >cds-PLY73309.1 pep primary_assembly:Lsat_Salinas_v7:7:195212367:195213722:1 gene:gene-LSAT_7X114661 transcript:rna-gnl|WGS:NBSK|LSAT_7X114661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSAAACVGLHNLPWNFHSHRQRVFKILCSSAKDTHQSPITLELSNPNKALRASSSQIEAVQFDERSSPFEVKNEIEMCYELIRRLGRGVVYLGSARMGPGHEHYIQTQELAREVAKLLGCTSWSGAGPGLMDAATRGALEAGKPVGGFKIAKEAGEWTATNFHPYLPSHAYLTCRFFSARKHGLVDAAVRSSKGEKTGVIVLPGGIGTLDEAFEILALIQLERIGSALPVPFVLMNYDSFYSKLLQFLEVCQDWGTVSKGEVSSLFKVCNNNSEALAYLAQFYNQC >cds-PLY66735.1 pep primary_assembly:Lsat_Salinas_v7:1:167355258:167357503:-1 gene:gene-LSAT_1X112181 transcript:rna-gnl|WGS:NBSK|LSAT_1X112181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDSPNSGSNLLFTLAGLTLRAISFQITLLVGFVTFPIWLLHSSYLFLIDPFAIVKTAKGYLIRNISTLLKFCFGNVKRVLFQWITGKKSTWKLCLQIGWGLLWSAFIGFILIGLVVFSLVISGTIMKFITEVPFHKVQQLNFDYTQDTPMAFVPIMSSQQPFCLGCDENIKFGDVAQSRIIPHDHKLQATVSLTLPESYYNRNLGIFQVRVDFLSESGKFLATTTQLCMLHFKSPPIRLLTTFLKLAPLITGYSSESQTVEIKFREYTERKIPTSGVRVVLEPRAQFAKGGGLPEIYTAFLKLESQLPFFKRILWSWRITIFVWISIVVFTTLSFFAFLCCSPVFLPRLRPSAQRVVPLPADNAPRRSSK >cds-PLY93662.1 pep primary_assembly:Lsat_Salinas_v7:2:201560105:201563186:1 gene:gene-LSAT_2X122660 transcript:rna-gnl|WGS:NBSK|LSAT_2X122660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIGSCIRVQNGSCPASFLTRASYLHEQSIPTQNPTSSASSFVTLPRKKSTCKATLKEANNNIEAVEKVKVKPSEMELSNLTAISPLDGRYRNFVKDLAPYLSEYGLIYYRTLVEVKWLLKLSQIPQVSEVPSFSNEAQTKLQGLIDGFSDADAMQVKNIEKITNHDVKAVEYFLKTKCEEDPEIAKVLEFFHFGCTSEDINNLAHGLMLKESVNTVILPIMDDLINAIYTMAKTYAYIPMLSRTHGQPASPTTLGKEMVIFGERLRREREDISRVEILGKFAGAVGNYNAHVVAYPDVNWPRIAEQFVNSLGLSFNPHVTQIESHDYMAKLFQSFIRFNNILLDFDKDMWGYISVGYFKQLTKAGEIGSSTMPHKVNPIYFENSEGNLGIGNAILDHLSMKLPVSRWQRDLTDSTVLRNIGVGLGYSLLAYKSAIVGIGKLQVNEAALNKDLDNSWEVLAEPIQTVMRRYGVEEPYEKLKELTRGRAVDKERITEFINGLEIPVEAKTELLKLTPHNYVGVAAQLVEEACLRANK >cds-PLY80184.1 pep primary_assembly:Lsat_Salinas_v7:8:189861847:189864625:1 gene:gene-LSAT_8X123140 transcript:rna-gnl|WGS:NBSK|LSAT_8X123140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTSTSSSLCAIIPVNCILNCSNQLAYPCSFSLKLSRGNRVLKVSQLKASFWESIRSGLIKNNSTQVIEPPSSTPQEDEEQEPLPEEFVLVEKTLPDGTIEQIIFSSGGDVDIYDLQSLCDKVGWPRRPLSKLAAALRNSYMVATLHSIKKSAAGEELDNEKKLIGMARATSDHAFNATIWDVLVDPSYHGQGLGKALIEKIIRALLQRDIGNITLFADSKVVEFYRNLGFEADPEGIKGMFWYPRF >cds-PLY73242.1 pep primary_assembly:Lsat_Salinas_v7:8:44461987:44468163:-1 gene:gene-LSAT_0X37161 transcript:rna-gnl|WGS:NBSK|LSAT_0X37161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHLRKYPFLILLLIYLGIQVESDASDHRYSEGDIVPFYANKIGPISNSWEIYAYYELPYCSQDDVKEKKLNLGEMLNGDHLVSTPYKLEFLVDKDFEVLCNKTLSKTDVSKFRRAIEKDYYMQLYYDDLPMWAFIGIKLNKGDLNERIKKGYSLSKHFDFHVFYNKDRVIEVNLQVAMDSLADITEDKEVDVSFTYSVKWTMTQKSFDRRMEKYIGSAFLPHHMSIHHHSVTFSSVILLILIISLLTFYLLVLRKDISKITLLLPFLFFQCMKNERNSVDVEEDQVISNQEEIGWKNVHGDVFRFPQHKSLFAAALGAGTQLLILIIAILALGLLGFFKPYIRGVLWNALIIVYAVTSLVSGYTSGSFYCQLEGTNWVHTDLSLLFSPSQMKNIILTGGLYLGPLFLTFTFLDIVAIFYGSTTALPPRAIVMLSLSWIFIASPLLLLGGIIGKTRMSEFQSPCKTAKIPREVPTLRWYKDVLPQMVLAGILPYSVINIQLYYIFESVWGHRIYILYSIISIVFFLLLIMTALVSVALTYFQLAVEDHQWWWRSFLSGGSTGLYIYVYCIYYSFKRSEMNGFMQISFYFGYMACVATTTVVVATMIVSVAATLMVVVANGGIVVAMMEVKSGSGDGDGGGSNSVVVVVLEVVLVETVVVVAVVTSVVVVVVTVGVGVGVGVGDGGGYSGSGGGKEE >cds-PLY64346.1 pep primary_assembly:Lsat_Salinas_v7:4:25037228:25037810:-1 gene:gene-LSAT_4X17881 transcript:rna-gnl|WGS:NBSK|LSAT_4X17881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSLTEESCNDFRDKKRILISPAEITSHGFNDFCFSGDEYEQEDCESSVGSNHPILDVILISDSEDDEVESPSSKIDISSHEDITGDELQETQTGITLFRSPAVAENQCGEIEVTEKKQKEGEEEEEGNWWVEFSSMIVDNNGFLLGEFRRLDEELYVNITADS >cds-PLY74692.1 pep primary_assembly:Lsat_Salinas_v7:5:26106361:26110815:-1 gene:gene-LSAT_5X13240 transcript:rna-gnl|WGS:NBSK|LSAT_5X13240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2423 [Source:Projected from Arabidopsis thaliana (AT3G48470) UniProtKB/TrEMBL;Acc:F4JF17] MNGGEETEKKNGSEQNTNNKVLEKVGEVIATINEAKNVDQVICALHSLAVRLFSLESRSFAGSIDKEYRDEIIGAELPSVHERDNWWQVFYQSTAFPTMARVLLLDVALNWLTCFPISAKKHLYDVFFLSGCVSEVVQTLVPYLQHPSNGLLEATVCSNTERLLALCLLENDGVLQLARDFSHQSKDINLEQHKAAISRVAQLVTSVPDKARLGASTLLSSHLYVKRITIQLIQGAEEWNNKYSDELVNYNGSDFNGSMLFIGDAFARICRRGSADVLISEVIPRIVTQVQSLLQQKTDLSIVEVFKSKPGLQFWSKIMEAIKDSYAVERISEQLLQKLATQDINDVEGYWILWLLFHQIFELQTSIRSMFTERFILWKVFPVCCLRWILQFSVLQSPPDTTLKGKTGNHRNLLDATQRVLAVWSKREFVQSAPVEQQAYLTAAVGLSLEKLTKEDLDGTKDVMHLILQGVSCRLESPSHFVRKMASSVALVFSKVIDPSNPLYLDDTCKEETIDWEFTSTNADVATSNDKETDKDQDKVHGCITSVSEKTYKDTKNNKLMGLELIDPDEVIDPATLNNEDASDDDNDSEISETSSESSLQPYDLSDDDSDLKKNFSQLIDVVGALRKSDDADGVERALDVAESLIRAAPDELSFIASDLVRALVQVRCSDSTLEGEEESAEEKRQKALVALIVNCPLGSLDPIHKLLYSPNVDTSQRIMILDVMTDAALELSQAKTSRQKHRSTPQILTTSESQPWFLPSSIGSQGASPWREISASQSPLSLTYSYERDLPVKPGQHRRGKSRRWAHKNVVQDDDVEWSQNKFPPFAAAFMLPAMQGFDKKSHGVDFLGRDFIVLGKLIHMLGTCMKCSAMHPEASALALPLLDMLSTRDISRHVEAYVRKSVLFAASCILVAVNPAYVASALVEGSSEMSRGLEWVRTWAISVAESDTDKECYMMAMACLQLHSEMALQTSRALESSDTNTMLHARGISLPSSKGTIKISF >cds-PLY73651.1 pep primary_assembly:Lsat_Salinas_v7:5:207310541:207311614:-1 gene:gene-LSAT_5X96640 transcript:rna-gnl|WGS:NBSK|LSAT_5X96640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDRLHFPITIMDLASGRFRICNQVLFVEKLGKADYNHHEGNKRNFALATLDGIDVMGSRLADEVISVTERNPNVENISFIGHSLSGLISRYAIAGIEAINFIIVATPYLGSRGHRQVPMFCGVKSLEKIGYHSSVVVRRTGRHIYLQDKANGHTPLLVQIANDSEHLKFM >cds-PLY92204.1 pep primary_assembly:Lsat_Salinas_v7:6:76081618:76082447:1 gene:gene-LSAT_6X53380 transcript:rna-gnl|WGS:NBSK|LSAT_6X53380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARYYFFLCILLTFSSSLYGISAVNYQVINLAEKTPGGIRFTKEIGIPFTKTLMGTINNYVWNTILQQSDPADRKPVPTMTIYIMEYKGAEAIAWGDNINVSSVYLQGYQGNLKWQFTSLLYHEITHVFQWDGEGKAPLGLVEGVADYTKLKANYAQVGYAKPGTGNKWDQGYDFTARFLDYCDGITPGFVAKLNKKMRKTFDVKFFQELTGKPLDTLWKNYKAKYGNIKHGDEITEFVN >cds-PLY86241.1 pep primary_assembly:Lsat_Salinas_v7:8:56932879:56934937:-1 gene:gene-LSAT_8X42480 transcript:rna-gnl|WGS:NBSK|LSAT_8X42480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPTVAPPQRLSSATLNHLHSNDFSPRSRNTDSWGEDNSIYPSNHGSSAAAAAAVGSVKLRLMCSSGGHIVPLPHDKTLCYVGGETRMVVVDRHTTLLDLTNRLSKTLLRSSSSSTPTPMASTSFTLKYQLPSEDLDSLISVTTDEDLENMIEEYERLNSSSAGSKSSRLRLFLFPTKLESVSSITSFLENTTKSEDWFLSALNGTDSGFSDTSSVNYLLGLDDEISLHEKKDVNHKSAIATNLRGNNSGQDVHSIPDSPMMETTSSFGSASSTPSLPNLPPIQLNVDDHPKVVGGGIEEQFSQMTVQQRHHKQQNDAGFIPAATPVVVSGSPMISATAIPEQSDPFSSYQGLHRAYQKDEQQFQQKQSTGFDFASSDSVLSDQLTPILKIQSSGNTNRSTDQNETPDQNTRIQIQQQQIQNSPYLLSMPTTQQLQFIHTAAPPPQYIHHHHHPSPAVVPVAPYYQMYQSQGHHHPQNPAMGQQNFVYLMPHQGYNFPLQQQQQPSDSDSPRKNQAAPKTEFPAGVYRRTNSGTPQLMRVPSGNQYGGGGNYAYEGQQLQQHIYYGKQAMPPQTAAQYQTITSTAEP >cds-PLY63069.1 pep primary_assembly:Lsat_Salinas_v7:8:75637045:75638136:-1 gene:gene-LSAT_8X53460 transcript:rna-gnl|WGS:NBSK|LSAT_8X53460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRRKYKRSRTKVRVGLPKKNPNVFKPAFSIPPKFRSIVDLSKTKWDDQGSVLENYKSFGVVSNPNLLGVRSRTSKIIESESLQLPPPKLDGPISEFEPMDSGSELEEDDVKSALGKKRVDGKKAPLQPLTTMQRLHIGALVEKYGDDYQSMFMDTKLNKLQHSVATLEKLCQRYHICKDKNPMLVPL >cds-PLY91905.1 pep primary_assembly:Lsat_Salinas_v7:8:200263197:200263982:-1 gene:gene-LSAT_8X128060 transcript:rna-gnl|WGS:NBSK|LSAT_8X128060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGKTTKGAGGRKGASERKKSVTKSVKAGLQFPVGRISRFLKKGQYAKRTGSGAPIYLAAVLEYLAAEVLELAGNAARDNKKTRINPRHVLLAVRNDEELGKLLAGVTIANGGVLPNINPVLLPKKSAVDAEKTPKSPKSTKASKSPKKA >cds-PLY95704.1 pep primary_assembly:Lsat_Salinas_v7:2:116826899:116829895:-1 gene:gene-LSAT_2X53800 transcript:rna-gnl|WGS:NBSK|LSAT_2X53800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain protein 1 [Source:Projected from Arabidopsis thaliana (AT2G35940) UniProtKB/Swiss-Prot;Acc:Q9SJ56] MASYYSGNSEIQGGDGLQTLVLMNPGYVNYSDHHQPPPQPPAGNFMFLNNHHQQPSQTQQFVGIPLSSQPSGSIHSQHDISALHTFMPRVQYNMYSPVELAAPPHEVARFQQGLSLTLSSQQSGFGSQGTGVPTASPRGEGRVIPGGGAGGGGDGGGGGSTPSASGVSNGVNSMQSVLLNSKYLKATHELLEEVVNVGKGVFKNSDHSTKNLITTGGGGSPAQTSGDETSSKRVDGAELTTAERQEVQMKKAKLVNMLDEVEQRYRQYHNQMQIVISWFEQASGIGSAKTYTALALQTISKQFRCLKDAIMGQIKTASRNLGEEDNKIEGGSRLKFVDNQIRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKEQEQNRLKENKGSKSEQSDENENSLSRQENSKSVSPENSKRNFNQPPPPSISVSPTGINFQNQSGFSSQMDGITQFNSKKPRTNVGFMGNPTDFMGGLGGYPVGEIERFGNHQFQSPYSGNAVSLTLGLPNENISGMELAETNEFEPMNQQSSSHSTTIYETINIQNQKRFGAQPLPDFVT >cds-PLY81168.1 pep primary_assembly:Lsat_Salinas_v7:9:21049538:21052404:-1 gene:gene-LSAT_9X20081 transcript:rna-gnl|WGS:NBSK|LSAT_9X20081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SMR domain-containing protein At5g58720 [Source:Projected from Arabidopsis thaliana (AT5G58720) UniProtKB/Swiss-Prot;Acc:O65573] MKHSKKKKRPRPPVPKTKQSAECENPAPGQIDDQSRVLNDLTEGIASFSIEETIENLTETTSNSSANRDDDSAESFGNSTETSSSSGSYALSNCDGYNHRIGGKVSKGHRGNKVIAATGMVSTVLGKDYVKRRGVSKWKGFADEGASHEDAEQFLCSMLSDDCELGMDLVKDVLCQTAYDVEKALDILLELTASSSELPNSGQHHTSNTQSKDNRAPLEASYNLTNRTSDLASSSKSDLHSNISYTGCNGRNYFDVLANNCGSGPPPGPKTSSELTQDVLESLFYTRKSSKHEPGSMNWRNVVKKMESFGQMLDYPSEDTTDTTKKQQHVFAKGDDYHNYRNTASQHWDSMKSYYHKAATAYASGKREYAAYLSDQGRMCNEKARQADERAGHDIFDSRNKTFENVITIDLHGQHVKQGMKLLKLHLLFGAYVRSVRVFRVITGCGSHGLGRSKLKQSVVTLLETENIDWKEENRGTLLIKLNGQREFSFLDSGSDSE >cds-PLY99134.1 pep primary_assembly:Lsat_Salinas_v7:2:11757945:11762257:1 gene:gene-LSAT_2X6501 transcript:rna-gnl|WGS:NBSK|LSAT_2X6501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIHRRRHHHHNTHNRFRYLIPAISVISAAILILYGFISLLAPSPDHPHHLVRRKTSDDDSLLVHSLFKVPISGGMGNDRSLWNTRMSKFYFGCSNASNKFPKVETVTYPNRYLLIATSGGLNQQRTGITDAVVAARILNATLVVPKLDQKSFWKDASTFSEIFDVDWFISHLSRDVKVIKELPKKGGKIWTPYNMRVPRKCNERCYQIRVLPVLLKKHAVQLSKFDYRLSNKLETDLQKLRCRVNYHALRFTDPINKMGQKLVNQMRKMGKHYVALHLRFEPDMLAFSGCYYGGGEKERKELGKIRKRWKTLHSNNPDKERRQGRCPLTPEEVGLMLRALGYSKDVHLYVASGEVYGGDDTLAPLRALFPNIHSKDTIAPKEELEPFSSFSSRMAALDFIVCDESDVFVTNNNGNMAKILAGRRRYFGHKPTIRPNAKKLYRLFLNRENTTWEEFSSRVRTHQRGFMGEPKEVRPGRGEFHENPATCICEDPEAKSKLESLPRKFGKNNLIDPTDESLVPDQDTENESEPLDQDQDEDDDLIGPQFQHLVNDTSLDDDPLISELPELEELLSD >cds-PLY89609.1 pep primary_assembly:Lsat_Salinas_v7:9:39519420:39522545:1 gene:gene-LSAT_9X35561 transcript:rna-gnl|WGS:NBSK|LSAT_9X35561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLWFQILLIIGFYVIFFGVTSKLVSGHCQNEQQSVLIRLKKELDFDSLLSSKLVSWDPNAADCCTWIGVTCSAGGVVIGLDLSNETISDGIDNSSALFRLENLETLNLAENNFNSTPIPSGFGSLTSLRNLNLSNSGFSGQIPGELSHLTKLQVLDLSSLFSFRSLKLESPNLATLIKNLTQLKVLHLDSVNISAQKSDWCQALSSSLLDLEVLSLSTCQLSGPLDDSLGNLQSLSVIRLAQNNLSTPIPDFFGNFRNLTILHLGACNLRGTFPSKVLELQKLQSLDLSSNMNLHGSLSDFPVNGSLQSLVLSNTNLSGAIPESIGYLKSLSRIELPNNNFSGRIPKSMENLTQLTYLDLSSNKLTGQIPSFQLCKNLTHIDLSRNSLLGIIPSAHFQDLQNLVLINLRFNTFNGSIPSSLFNLQQLQKIQLSNNNFDGVLTDFLNASASLLDTLDLSSNKLKGQIPKSFFQLGRLNILLLSSNNLNGMIYTKDFQGLSNLTTLDLSFNNLSVINSPIPLPYLPKFFSLKLASCNLQHFPKLHNQSRLITLDLSDNKIDGEIPNWIWQVGTSYGGLSYLNLSRNQLNSIQEPYFVPDLAVLDLHSNRLHGAIPIPPQIATFIDYSNNRFNSSLPETIGVNLTIASFFSVSNNSLSGEIPESICNATYLKVLDLSNNNLTGSIPPCLIESGGGSIGVLNLGSNSLSGRIEGIFPSTCGLNTLDLHGNHLEGEIPRSLANCTMLEVLNLGNNRMIDTYPCSLSNNITSLRVLVLRNNRFHGSIHCGEDQQNKWSKIQILDIAHNNFNGTVPPDYFWKWDAMNMMTRNHNDGESVGKKHISFKVMPLDNLYYQDTVAVTVKGRELELVKILTIFTSIDISSNRFSGEIPDTIGKLNALYMLNISHNDFTGPIPSSFGSLRQLESLDMSSNKLTGEIPLVLTDLPFLSAFDLSHNKLEGRIPTGSQFQTFDEDSYGGNKGLCGFPLNKSCKTSVAVSLPPKSRESNDGYDWQSLFYGMAAGSGSLAVLAILYSLFKRPTTRQ >cds-PLY96783.1 pep primary_assembly:Lsat_Salinas_v7:2:171952296:171956470:1 gene:gene-LSAT_2X94360 transcript:rna-gnl|WGS:NBSK|LSAT_2X94360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKVIVCDNGTGYVKCGFAGENFPTSVFPCVVGRPMLRYEETLLEQELKDIVVGETCLNLRHQLDISYPVNNGIVQKWDDMGHVWDHAFYNELKVDPSECNILLTDPPLNPSKNREKMVETMFEKYNFAGVFIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGYSFPHLTKRMNVAGRHITTYLVDLLLRRGYAMNKSADFETVRDIKEKLCYVSYDYKREYQLGLETTILVKNYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGIADMVFHCIQEMDIDNRMMLYQHIVLSGGSTMYPGLPSRLEKEILDRYLEVVLKGNKVGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWISRQDYLEEGVHCLSKCG >cds-PLY70514.1 pep primary_assembly:Lsat_Salinas_v7:1:76945108:76945929:-1 gene:gene-LSAT_1X64221 transcript:rna-gnl|WGS:NBSK|LSAT_1X64221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSRSYLGRGNYLCFSGEREGPTATDLRFEFNEFDVWNVSSSPDFHKSVTGSRISKKSVPATEKRGGDVRGTALSLPVDVPDWSMILKDELRESRRTLGDDDDFDDDLYGDEDRIPPHEYLARGRIASLSVHEGIGRTLKGRDLSRVRNAVWKKIGFED >cds-PLY91844.1 pep primary_assembly:Lsat_Salinas_v7:6:33157861:33158094:-1 gene:gene-LSAT_6X25980 transcript:rna-gnl|WGS:NBSK|LSAT_6X25980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFQRDNNNFMQIVHHAIKLVHLGLVKMSKGIHLKTALACKCYSCRVEDFGAQLEDTFCIPLLTMDEVQQKMHIVHL >cds-PLY73648.1 pep primary_assembly:Lsat_Salinas_v7:5:203853571:203854962:1 gene:gene-LSAT_5X92680 transcript:rna-gnl|WGS:NBSK|LSAT_5X92680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSPAFSGPQMSVTIREASTGKKHTNAKSSLKLQHIKNLAMWATQDASIPSLGAFFSHHFAASSEALATPVDPSLFTCQRCESILHPGYNCTIRIEKNKKKARQKGKKSCHYPQNNIVYTCHFCMHKNMKRGTPRNTNPPKAKPNTILAPPLNDSDKGKMGILVKNDSDPAIPTLTLLESKKKRNRSGAKKKVAYDPNAEKSENASTNRKRRKSWTSLKEIAESNDNDNRHKLMNITIPFSME >cds-PLY99525.1 pep primary_assembly:Lsat_Salinas_v7:1:113333322:113335203:-1 gene:gene-LSAT_1X89400 transcript:rna-gnl|WGS:NBSK|LSAT_1X89400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDEHQNNQDKGLMSNLAGYAAGAAFNNHGGSGGYPPQQHGGYPPPQHGGGYPPQGGYPPSSGGYPPQGGYPPQGYPPSGGGYPPQQGYPPQGYPPAGYPGQSAPHSSGGHKPGMGMLAGGAAAAAAAYGAHHLTSSHGHGGHTSSHGMMGGMGMGHFSGGKHGKHGGGKFKHGKHGKGKHGKFGKHKGKFGGGFKKWK >cds-PLY77145.1 pep primary_assembly:Lsat_Salinas_v7:7:184096067:184099136:1 gene:gene-LSAT_7X109981 transcript:rna-gnl|WGS:NBSK|LSAT_7X109981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKGKGLESVQRSFQSIDLTFNSRNPNKLAKNYTPLLLSSWSPLEKRKPPALVSLCLGIIGKHLEDIIEDLDLVASFPPDIKMTITAIARRRKLLNDDVVVALAESSWEILDLSDSEVSDIGLLKVIGICSQLKAMDISRCSKITPFGVSELVKNCPCLEILRWGGCPRSEHTARNSLSILKPTLNDVEGDSWEELDTTEIIHGAQSLRWLVWPTIDKESLEILSTECPRIIVNPKPSFFGHKGIDIPKHALPGVALDEFIVEDIDPKTWAVSGSKLIRTSPPVLSSIELPIAEKFRLAFVERDARLAPKRAKNARQRQRRAEREWVTTSTSVKSIVLAGQLSKNLRS >cds-PLY64120.1 pep primary_assembly:Lsat_Salinas_v7:1:1560017:1562143:-1 gene:gene-LSAT_1X781 transcript:rna-gnl|WGS:NBSK|LSAT_1X781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESVVSIKQTHEGFCSFQCVHTKTFRNRRVRVRNSVVLATGIHGKLNDKNGFLSKKIKRVSAISKSETFTPNGKAVNGEKALKLNGINEVNTRRNFEEFENNNHLRRLIRNGELEEGFKYLENMAYRGDIPDIIPCTSLIRGFCRTGKTRKATRVMEILEESGAVPDVITYNVLISGYCRAGEIDKALNVFDKMSVAPDVVTYNTILRSLCDKGKLDQAMVVLDRQMQKQCYPDVITYTILIEAACKMSGVGQAMKLLDEMKTKGCKPDVVTYNVLINGFCKEGRLDDAIKFLNNMPSTGCQPNVVTHNIILRSMCSMGKWMDAEKFVGDMRCSPSVVTFNILINFLCRRGLLGRAIDILEKMPKHGCTPNSLSYNPLLHGFCKEKKMDRAIEYLEVMVSRGCYPDIVTYNTLLTALCKDGKVDFAVEILNHLSFEGCSPVLITYNTVIDGLSKIGKTGRAIMLIDEMKEKGLQPDIITYSSVVSGLSREGKVEEAIKFVYDLEKSGVRPNVLTYNAVMLGLCKARETDRAIDFLGYMVGKGCKPTEATYTILIEGLAHEGLAQEALELLNDLCSRGVVKKRSADQVLVKL >cds-PLY62379.1 pep primary_assembly:Lsat_Salinas_v7:8:113502482:113502796:-1 gene:gene-LSAT_8X76200 transcript:rna-gnl|WGS:NBSK|LSAT_8X76200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSEMRGHRTHVSCKSRECREAHVLSGIRNGSLGVDLSIAWQKNNEVRIYIHLIRTKPATGSSRRNCLGHRPPCRCRLFMVVDGRVLIRKERELSEIDGGARKG >cds-PLY98359.1 pep primary_assembly:Lsat_Salinas_v7:5:316322258:316326026:-1 gene:gene-LSAT_5X173381 transcript:rna-gnl|WGS:NBSK|LSAT_5X173381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLLTLNPLKPHTHLLKIPNSRTCSRIINHRCLYSTISCKLTSQSSKDTTKNKVVIPGAAPSLSEENENGAAPPPPPAADSRPKKTGGVVLKRLTKRVLSFLANLPLALGEMFAVAALMALGTAIEQGEAPEFYFQKYPEDNPVLGFFTWRWILTLGFDHMFSSPVFLGTLILLGASLMACTYTTQIPMVKVARRWSFVHSSKTIRKLEFADSLPKASIQDLGVVLSGAGYEVFMKGPSLYAFKGLAGRFAPIGVHLALLLIMSGGTLSATGSFRGSVTVPQGLNFVMGDVLGPNGFLSKPTEAFNTEVHVNRFYMDYYDSGEVSQFHTDLSLFDIDGKEVMRKTISVNDPLRYEGVTIYQTDWSISALQVLKDDEGPFNLAVAPLTVNGDKKLYGSFLPIGNTDSPNVKGVSMLLRDLQSVVLYDPEGKFAGVRRPNSKLPIEIDGTKIEIVDAIGSSGLDMKTDPGVPIVYAGFGALMLTTCISYLSHTQIWALQDGTTVVVGGKTNRAKIEFPEEMNRVLDRVPEINEPSDAICG >cds-PLY99036.1 pep primary_assembly:Lsat_Salinas_v7:6:149500380:149501713:-1 gene:gene-LSAT_6X90481 transcript:rna-gnl|WGS:NBSK|LSAT_6X90481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKKGPWTTEEDKKLINFILTNGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLNESEEQLVIDLHARLGNRWSKIAARMPGRTDNEIKNHWNTHIKKKLLKMGIDPVTHEPLQIENEAIKTSSSSTEKRLLESKDHHSSPLGSANSTHVSSEENSSSTSSENSLTINDHETETLFESLCEDQMLLSHLLSENEPSFMDTSAWELPNNGPSFNNNDHANAFASWDDCATWLLDCQDFGVHDFGLDSFNDVEIGILNTGNKQQEL >cds-PLY81094.1 pep primary_assembly:Lsat_Salinas_v7:6:131184928:131185313:-1 gene:gene-LSAT_6X79760 transcript:rna-gnl|WGS:NBSK|LSAT_6X79760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQILLSNPQYMELIVSQNPQLSTMFDFNPHLREMAQNPEVLRQLTSPQMMQQMMSSQQLLPRLNQQQPTL >cds-PLY71060.1 pep primary_assembly:Lsat_Salinas_v7:3:162150323:162159723:-1 gene:gene-LSAT_3X100801 transcript:rna-gnl|WGS:NBSK|LSAT_3X100801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRALQHRHRYTYSAIVFPQYYKQSLNDFINLSVSQDHDASTRVFYSQLNELVSLNSTYAQLSHAKKLASAFTELLKISNEIVVSQASRFYLEILFLENSVPLHRTLVSVLAKTRDFQSVIGDCFRSLCEEYADLNGNGNEKRKRFCVSRVGLSMMSSPKLGYLVEVVEECAILMSLDVVSSLKSVVLETNDWCRPSPIVMEQCQEALSCIYYLLQRCPSKFTSLIGLHDSNIMETILTTILSILKSEAFSRDCFVAAGVSFCAALQVCLCPEELGLALMEGIFHHSLTPSNSFQTVVMKIPYKGNLFSEIQSFSLLSRLCLIRGILTSIPRTLLDTPFECGSKDCETILYNGILPELCKYCENPTDSHFNFHALTVMQICLQQIKTLIQTTYIDDAKNHNPISDDIGARVLRIIWNNLEDPLSQTVKQVHLIFDIYLDIQSSLPSTNGKDKIQLFLRKIASNLLRMGGRCKGRYVPLASLTKRLGAKTILEMNPDMMFETAWAYVDDDVCCASTTFLKCFLESLRDEFWSSDGVEIGSRNYRNALLPPFLHGLASGNSKLRSNLNTYAFPVILEVDVDSIFSMLSFISIGEEETLLSFTDSNMNLNLTVEQKVAVLVSLLKVSRSLALIEGDIDRFESSETNTDYEYALVCVKGIKVKVLVNWLTLALTHVDESLRTDAVESLFLNPKTASLPSSLELSMMKEAMPLNMRCSSTSFQMKLTSLFRKFFSRVKTALERQIKQGTWKPNNGNILYKEEKDSNFKKAEELFHFMKWLSTFLFFSCYPSAPYERKIMAMELILIMNNTWPILQKYPSETITPYDAKFTSPESTLLLVGSIVDSWDRLRENSFRILLNFPTPLPGILTTEKVKDVIIWAKKLVYSPRVRESDAGSLTMRLIFRKYVLDLGWIVNTSSNVVFSSSSSSSDDNDSKTCSNSPVVEYVTCLIDWLQSAVEMGEHDLSDACKNSFVHGILLTLRYGFEELDWSCDNVSGMKCAFEKLLELIMRITSMALSVVSADAWHIPDDMDEDDLVDVDDDSYMWGEGNADVDADVDAEKETNGSKLVQDVGPSDQVVMVGCWLAMKEVSLLLGTIIRKIPLPTSDISKDSDDVVLDFNQLETIGNHFLEVLMKMKHNGAIDKTRAGFTALCNRLLCSDNPRLSKLPESWMEQLMERTIAKEQTVDDLLRRSAGIPAAFIAFFLSEPEGTPKRLLPRALRWLIDLSNKSITNQNGSGSGSGSKSDPKIRDEGVIPTVHAFNVLKAAFNDTNLATDTSGFSAEAMIICIRSFSSNYWEVRNSACLAYTALVRRMIGFLNVQKRESARRALTGLEFFHRYPSLHPFLYSELKIATELLTTGSRDLAQAVHPSLCPMLILLSRLKPSTIASESGDELDPFLFTPFIRKCSTQSNLRVRVLASRALTGLISNEKLPLILVNTVNDLPPSTTKTNPISSNTTHGLLLQLISLLDINCRNLIDSERKDQILDELVETLVTRSSIACPETHPTCPTIVTSFLRVLDVMLSIAKTCDGSKSFGKIRDLLSDLSSRSLDMEASFGLPYFDPTISECRKQAATSYFNSIRQESQIQDRLIRCFSDPSYEVRIATFKWLLLFLKTSESNSGSGVLIEWMNLHLHTEMVNLLSLERNHKCVYYILKILFAFHKSSPESRFSGITDSDSVLRFWEILVSLYKLTRHMKTRETILCCMAVCVKQFANMFMKNNHEVYDSISYYVDLVKLHSNASEPVNIRKAVSESIIASGLLQTVDFIGQHVDFDRDLNTSIINMYASRTLDLWSVSIKLLEDEDVSLRSKLATDVQKCFTKETYGIPSQVDKVIISSFEYLTSVFGQWVDYFDYLCDWVLSGSDNVVSRGDLVRRVFDKEIDNHHEEKLLICQICCLHLEKLPVSGGLDLLYGWRRRFLQRFMVVSGDLGGKQAVKWIGGLGNHKDAFLPVYSNLLGVYALSRCIFKSKSNSIGSDSDSNSNSDSGSGFSLSEMVDLGDAVKPFLGNPLIHNLFLSVVRLYEESSGESAGCLTGELTGDEAGWDRFDPYFLLR >cds-PLY91058.1 pep primary_assembly:Lsat_Salinas_v7:4:213780444:213780834:-1 gene:gene-LSAT_4X119660 transcript:rna-gnl|WGS:NBSK|LSAT_4X119660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYRNDLDSKVRVIITSHEMGIPFVAVCSNIDKDALHVKLPDESVCTSWEAPSNQSYPMYCLLLSVMDVQCCILVMVSLLRMLFLLGYVEDMSSNLLAQM >cds-PLY97240.1 pep primary_assembly:Lsat_Salinas_v7:1:43528086:43528494:-1 gene:gene-LSAT_1X38260 transcript:rna-gnl|WGS:NBSK|LSAT_1X38260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGGVCKDVIESRPKMLKYDVAITKMDKNITRVATGKHGIQSTNSVHELLECPVCTTLMYPPIHQCPNGHTLCSNCKVRVHNCCSTCRVETGNMRCLALECGHA >cds-PLY71449.1 pep primary_assembly:Lsat_Salinas_v7:7:190170419:190173135:1 gene:gene-LSAT_7X116041 transcript:rna-gnl|WGS:NBSK|LSAT_7X116041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTCYSTTGSRRRHRKDGDGNKDDENDRRWKSKSGRKINLKSTDLKFKFGGGGGSRSAAAEERALHQVPGRLFGNGSSSIASLYTQQGKKGTNQDAMIVWENFCSTNEAVFCGVFDGHGPYGHMVARKVRDSLPVLLSTHWTTGSDSENRNGNEEEEDEEEEEEEKWCEELEFGNGEKERVPEKYLPLKKSILKAFRLMDMELKTHPSIDCFCSGTTAVALIKQAQDLIIGNLGDSRAVLATKDDNNGLVAVQLTVDLKPNLPTRIQQFKGRVFALQDEPEVARVWLPNSDSPGLAMARAFGDFCLKDFGLISTPDIYYHHITQKDKFVLLASDGVWDVLSNKEAIDIVAAAPSRSTAARALVDCATRAWRLKYPTSKNDDCAVVCLFLDPKPMSIAPIEEQKEENISSSSVTQDLVNVKESSEIEPYVEPVNNKPIENGVGGSKRSLAECISSTEDEEWSALEGVTRVNSLVSIPRFLSGDKRSSNSRKSVIKI >cds-PLY74056.1 pep primary_assembly:Lsat_Salinas_v7:5:91437246:91439353:-1 gene:gene-LSAT_5X41801 transcript:rna-gnl|WGS:NBSK|LSAT_5X41801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLEESNPCISKSTRLPRKRFYRARAHSNPLSDSHFPVPISPHHVDYSLHFPQFFPSPNSDSDSDSRKIEFADIGCGFGGLLISLATLFPNTLMIGMELRDKVTEYVKERILALRISNPNQYQNVSVVRTNSMKYIPNYFSKSQLSKMFFLFPDPHFKEKNHRRRVISPFLLDEYAYVIKEGGIIYTITDVEELGEWMKSCLENHPLFEGLTDEELEADPVVKLLKCATEEGQKVERNGGQTFTAVFRRIAMK >cds-PLY63168.1 pep primary_assembly:Lsat_Salinas_v7:4:312204559:312204855:-1 gene:gene-LSAT_4X157060 transcript:rna-gnl|WGS:NBSK|LSAT_4X157060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTINLTSSTTIEGRLSNVSDCTSTSSISDQEDCTQINSGSVRSRSWRKLMKKVIEGSKKSIYGSSKPLIFQYDAVSYSLNFDEGNRDDEYYSYGSR >cds-PLY97723.1 pep primary_assembly:Lsat_Salinas_v7:8:5995081:5996606:-1 gene:gene-LSAT_8X5520 transcript:rna-gnl|WGS:NBSK|LSAT_8X5520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAAQWSEGLGVVKSMEEKKSIRPQKPQALNCPRCNSAHTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRRNKRSSSSSSSAVPSAAASISKNQHQMMMAPPAQSMITPQNPSSKTMILGQGIGSQGQGGQDLNLGYTNISLQFGSLPFNPNTTSTSSTQFSAMEFLKSGFADPREVMMNSLPNTMIMNSPAGLNFSLDGFENGGGNYHHHPQGGGSGVSNHQATMSTITTTAGTSANARVLFPFEDLKPISTSNSDLLEATRGQGESSSGYWGTGSGGLGGGSW >cds-PLY91584.1 pep primary_assembly:Lsat_Salinas_v7:8:216594237:216594515:1 gene:gene-LSAT_8X134361 transcript:rna-gnl|WGS:NBSK|LSAT_8X134361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKASQWDNYPTNTTTTSTELSTRTDDLLASQKCTAAAFLASGNDDSGVIGSATAVLTVAGTATQNIRPSGWKPLTGAMETSPPSTVAAM >cds-PLY90300.1 pep primary_assembly:Lsat_Salinas_v7:2:199326511:199329756:-1 gene:gene-LSAT_2X120501 transcript:rna-gnl|WGS:NBSK|LSAT_2X120501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWCFTWEAPQKSHCSTKDFTRPRRLVVSTCGNLSFLRFFSLWNNNFQGTIPYELGRLSRLRVLDLRFNKFNGFIPSNLSCCSNLERLGLSNNKLVGSIPKEISFLSKITHLVFSRNKLTGGIPCVSGNITSMEVFSAAENPLGGSIPDSLGHWKILTGFDCGHCNLFGIIPHSIYNLSLLTIFTLPWNQLIGTLPSSLGAMLPHLEYFQLWGNQLTGLLPPSIANCSKLYHLEMQANNFSGKLTIDFAKLKDIRVISLGGYVNGNISGLGEGDDMRFIDTLKNCSKLGLCRRNPFHHGQLQNLQSAHLYNNQFSGAIPDSIGNLSLLIDLDLSSNGLESHIPTSLENCHRLIQLQLAHNKLSGKIPKQLVQLSSLAVVLNLSQNNLIDSLLIEVGKLKMLSALDLSDNNLSGNIPSSLGDCTSLSFLSLKGNSFQGIVPSSLTSMRGVEIPDLSHNNLSGQIPQFMERFSFVYVNLSFNDFEGEVPVLGVFANASAFSVMGNIRLCGGLAELGLPECKTEKHQKEFPLSIIFFIIAFTIFSILCLVYVWYKKRKGPSSQSLRDVQFMKVSYGELLKATNGFSEANLIGEGGFGSVYKGVLDDHDGRIVADEVIHLQNRGAHKSFIAECEAWRNIRHRKLLKIITSCSSVDFKGNDFKALVYDFMLNGSLHDWLHSHATTSSLNLLQRMNILINVASALDYLHNHCLPTIVHCDRKPSNILPMMI >cds-PLY83821.1 pep primary_assembly:Lsat_Salinas_v7:3:52068995:52070381:1 gene:gene-LSAT_3X39881 transcript:rna-gnl|WGS:NBSK|LSAT_3X39881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDSQENPTRRHLCCRHAPFPHPVDDVYPHPTRVCTRTTLLLFLLAIFNACAIISRFTRIAGETFEMLISRPSSLFWINSGFVDSGLVSEFKAPKSVEPNSPKDQFQWLYTNGLLGIIFSFGLLYTALRSRRARSWLYGTCISSLLQPGGLTIFYIILTCRCLRSFIADYGVPLMVVDMGKVPPIYILVAFIPTVMIVGLYFFNHSIASMNKESATFYN >cds-PLY74096.1 pep primary_assembly:Lsat_Salinas_v7:9:13130664:13132818:1 gene:gene-LSAT_9X10200 transcript:rna-gnl|WGS:NBSK|LSAT_9X10200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLGCFGSSKDRKRRKQRYKVIPRDQYPRIQNLVQPDVSLVQSIKDKPSNSLSEASGKNEESLSISTRKKVTFDTNVTTYEHVQVYDSTESLLERNEKGETFPKSAETHSDSGSQDGSDIVNAVSYPPNYRYGNCLESDDEVEDSDEDDEDDEEEDEIICQEVWCESIPVPSAESRAESSSLDKVGSNSNARDRSAYVIPVLNPVENLSQWKALKMKETPPPTKALNFHRQKENSSLNSAPPAVDSSLSNWLVNTPNNKGIGSYNSGIEAISSGKNSSIEDRPILGALTVEELKQFSASSCTPTPKKSPSRSPDDMPIIGSVGSYWSSTPSYKGIPNSNSKYREDKKVNWHNTPFETRLERALNGGVAEA >cds-PLY68517.1 pep primary_assembly:Lsat_Salinas_v7:2:213016007:213016246:1 gene:gene-LSAT_2X134040 transcript:rna-gnl|WGS:NBSK|LSAT_2X134040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSVGGFPATHVFTTTSGGGETLLVDAAAGRAAALQRRSQWRLKKEKKNENGGGFGGRGGVWMARQRRHEARLIGSEHE >cds-PLY87823.1 pep primary_assembly:Lsat_Salinas_v7:3:85812084:85814817:1 gene:gene-LSAT_3X65641 transcript:rna-gnl|WGS:NBSK|LSAT_3X65641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILSPNPPFSCGIMELIRMLNDLFISTRKDAVDVEVDRLSSLPDELIHKILSFINIKDAISTSVLSSRWRFIWTSMPSLNFENLNNERYFFSFIYNVLSHRNNQVQLSSVKLVLGRTVRDDESVTRILSCKFSHNLEQLSVTRLPGGNIVECPYSIMAPPKWDLPALTTLHLHQVELSDYDDIGLFSKCTNLKNLSLNRCRMKETKVLNIFHPRLYDLTLVSTPPDMALEEVVNVVTPQLKNLTIIRCEGEHLISAPGLTSLVIEGSQSWYVSTPSGFHSLEKVELFMYDPFKADIHRIVSLLQQLHSVKLLTLNLGILKRLFSQRKSLSSSMKLVPHKACAFANTKILKFTTKPVVKVYLEVGAQEKVTTCTEIKNNDETSPSAIFPMVSCEEITAMENMASAQVFVKHLGILLEEVKQNRNSDDYKAERDVHSKPYVEMHWAWTLQWNLVAMMGVFKHKKTKAKLDNFLMMAQITKKYINRHDCTKSMNHPIIGWLHEMGGLFHHTEDLITQLSASKKAVMLPFFLSLCEEATILTVNILGWINTIM >cds-PLY64420.1 pep primary_assembly:Lsat_Salinas_v7:MU045654.1:77493:78349:1 gene:gene-LSAT_0X27460 transcript:rna-gnl|WGS:NBSK|LSAT_0X27460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYSLTRRVPPGRIAGPPRLNSPSLKNNSASPILILVFITHPMAHKWHFDLRHKDSNPKPQLIHKKLEQKDTLDLQGSRSMV >cds-PLY73531.1 pep primary_assembly:Lsat_Salinas_v7:9:78452401:78452835:1 gene:gene-LSAT_9X62781 transcript:rna-gnl|WGS:NBSK|LSAT_9X62781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSSTTHKLFIFYISILFTIASIAHACITPKWDLYVINTISDDIVTLIKSKDDNLGNHTLPFNGNFHWTFCERIAGSTLFYSYFWWGSRFQTLNLFDDNVEENYCYVEDMHDSNCYWFVKPDGFYASAYPNPSGNKVFFVKPWN >cds-PLY96207.1 pep primary_assembly:Lsat_Salinas_v7:3:92895949:92896844:1 gene:gene-LSAT_3X68981 transcript:rna-gnl|WGS:NBSK|LSAT_3X68981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGICLKSCQLAKRVPPPFISFSVLAGETDAWSLASPFGVHRAFGEGWLGASTPPSLRLREIACRIS >cds-PLY76652.1 pep primary_assembly:Lsat_Salinas_v7:4:117941979:117943404:-1 gene:gene-LSAT_4X73420 transcript:rna-gnl|WGS:NBSK|LSAT_4X73420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGVRRMKRKRCRRKRVKETSYSIVEELAGFGASIHMCSRNQKEINERLVGMDGNRIKELLESEFICSTSSFFFKFLFSFEFGKICFEYALHLNDFSALEFHKVYK >cds-PLY70361.1 pep primary_assembly:Lsat_Salinas_v7:4:99816162:99816503:1 gene:gene-LSAT_4X65001 transcript:rna-gnl|WGS:NBSK|LSAT_4X65001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKFIREKSGTSQYQTEEESESEEEEEEATRLPEPEKKEGKLSQDDVLNLGDGGQTVEEYANKVGLAFYNVGLANHHPSLPGGFSPDVLDDMYQQARQNFGNIAVGSANSVTG >cds-PLY91180.1 pep primary_assembly:Lsat_Salinas_v7:8:186716901:186720465:1 gene:gene-LSAT_8X120241 transcript:rna-gnl|WGS:NBSK|LSAT_8X120241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKTHHHNNAVYIGIGIFQGVILCHRRRLHLHHLLPFISAVAGCILFLFAVLSFLSPPINHDQFHSHHKSDQYIEVRSNARKPTAFLVPHSRTQELVRRNLWQSSHSQFYYGCSEKNQNFLEANAKTNPHRFLLIATSGGLNQQRTGITDAVVAAYILNATLVVPKLDQKSYWKDQSNFSEIFDVDWFISYLSKEVKIVKELPAINGRVVHPHRTRVPRKCNQGCYQSRMVPLLDKKKAVMLTKFDYRLSNRLATDLQKLRCRANYHALKFTDPIVEMGKTLVERMRKKSKHFIALHLRFESDMLAFSGCYYGGGDKERQELQQIRRRWKTLHKRNPDRERRQGRCPLTPEEVGLMLRALGYGRDVHIYVASGEVYGGEDTLAPLKALFPNIHSKDTITTKEELAPFSSYSSRMAALDFIVCDESDVFVTNNNGNMAKMLAGRRRYFGHKPTVRPNAKKLWRLFQDRDNMTWEEFVNTTRFYQIGFMGQPNEVKPGRGEFHENPVACICEDKESKSKSMILSKPDLESNDETDSSYEEDVENENKTREIQRLATEDSSVITNPENHELQEIFSD >cds-PLY86270.1 pep primary_assembly:Lsat_Salinas_v7:8:56729163:56729655:1 gene:gene-LSAT_8X41420 transcript:rna-gnl|WGS:NBSK|LSAT_8X41420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVREWKSVAEDGGGGNIYGGFADHAIIIFWAALLTFSIITTLIFSCADGASKDKLSHPDNHASACGGGCGGGCGG >cds-PLY90783.1 pep primary_assembly:Lsat_Salinas_v7:5:8655597:8656930:1 gene:gene-LSAT_5X4581 transcript:rna-gnl|WGS:NBSK|LSAT_5X4581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01440) UniProtKB/Swiss-Prot;Acc:Q9SGH4] MAPMANLNQIFSTFPAIPKLSGEGKSRPDVGAARLTGINAEETQNHPLKPTRRLALGLGSMGLFANSNIAVVLAEDNGFWLTGPIPIPRALNMIDNLETGTRSFLKTGVYIADIGTKGRQFRLKKYAFDLLALGDLIGKDAWNYVRKYLRLKSTFMYFDFDKVISAATSDEKPPLLDLANRLFDTVEKLEDAVKKQDLPQTELLYKDTTVILQEVMTKMA >cds-PLY87932.1 pep primary_assembly:Lsat_Salinas_v7:4:33831595:33831786:-1 gene:gene-LSAT_4X22221 transcript:rna-gnl|WGS:NBSK|LSAT_4X22221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRSPDRRRRYDLIVRDEVEMRLDLDMARTSGGDVTPGGDAKIGGKKERSPSMLYGWSEMAMI >cds-PLY69202.1 pep primary_assembly:Lsat_Salinas_v7:1:80674095:80683042:-1 gene:gene-LSAT_1X66961 transcript:rna-gnl|WGS:NBSK|LSAT_1X66961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKPQVNHLYRHQIPTPPILTRWKKNFIAGDVGVDGGRSLSSFVSLNTLAFPTKHRRRCGSFLSQRNTGNSVGQIKADAGESNTASSVIKTISLRAVITVQLTVGGVLSNLSFTRAFDDIGDLLGKSLLLELVSADADSKTGLQKATIQDYAHRTGQKADDLTYLAEFEVPEDFGSIGAILIENEHHKEMFVESIVLEGLPIGPVSVSCNSWLHSKFDNPIKRVFFLDKVSCLPSQTPSGLKQLREQELAILRGDGVADKPREKNDRIYDYDVYNDLGNPDKDPELARPVLGTKEYPYPRRCKTGRPRTKSDPLSESRSSDVYVPRDESFSEVKNMTFSFKTVYSVLHAVIPSIETTMIDKDLGFPYFTAIESLFNEGVNLPPLDNKGFLGNVLPRLLKAMEDAQNNILLFETPAMIERDKFGWLRDEEFCRQTIAGLNPLSISCVTEWPLKSKLDPEVYGPPESAITEEIIMREIRGFCSLKEAIESKKLFIMDYHDIFLPYVNKVRDQKKFRTTLYGSRTLMFLMPSGTLRPLAIELVRPPGNGKPQWKRVFTPCWDATGAWLWKLAKIHALVHDSGYHQLVSHWLRTHCCTEPYIIATNRQLSKMHPIHNLLHPHFRYTMEINGLAREALINSGGIIESCFSPGKYSIELSSIAYGQQWRFDHEALPADLIARGMAVEDPDSPHGLKLTIEDYPYANDGLVLWDIIKDWVTNYVNHYYPEDNNNNNKNLVESDSELQAWWTEIRTLGHADKKDEPWWPILKTPKDLIGILTTIIWVASGHHAAVNFGQYDFAGYIPNRATIARVKMPCEDPTDDEWETFKRRPEDELLSAFPSQIQASQVMAVLDVLSNHSPDEEYIGETMEGAFEANPQIKAAYEMFSGRLKELEGIIDGRNADESRKNRNGAGVVPYNLLKPYSEPGVTSMGVPNSISI >cds-PLY66996.1 pep primary_assembly:Lsat_Salinas_v7:6:140507982:140508726:1 gene:gene-LSAT_6X85680 transcript:rna-gnl|WGS:NBSK|LSAT_6X85680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKEWKLYDRLMRLETGLGGTRSLVDASPEWWEEKIKENKDYAKFRNTDLSIFDEKYATLFRDSVAIGDQTMTPLQFQNNSNPNEEIMEGKGDSDEINLDDDEPLFPSLHESSSSKRKRSKSVSNNRPSKSKNSIYEEKVDALLDAISSKSTQTYPQNNPSPTIADCMAIVIKFPELREGSNEFSQALLVFTKKQNREAFMFPTTDEAKMEFLKLLMK >cds-PLY90904.1 pep primary_assembly:Lsat_Salinas_v7:1:57500645:57505328:-1 gene:gene-LSAT_1X47980 transcript:rna-gnl|WGS:NBSK|LSAT_1X47980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELLKNLPQMDMMRSEKMTSVQLIIPVESAHRAISYLGELGLLQFRDLNADKSPFQRIFVNQVKRCAEMSRKLRFFKDQIHKAGLSSSSLPIIQPDIDLERLEIQLANYEHELLEMNANSDQLQQTYNELLEFKMVLQKAGGFLVSSKSHEVIMEERELDENVFSHDYQDSVSLLDQEMQSGSSNPSGLRFISGIIIKSKMLLFERTLFRATRGNMFFNQADADEPILDPVSTEMVEKTIFVVYFSGEHSRTKILKICEAFDANCYPVPEDLTKQRQITEEILSRLLDLETTLDAGIRHRNAALHSIGLHLLTWMTMVKREKAVFDTLNMLDFDVTKKCLVGEGWCPIFAKSQIQEALQRATYDSNSQVGIIFHVMEAVESPPTYIRTNRFTHAYQEIIDAYGVAKYQEANPTVFTVITFPFLFAVMFGDWGHGICLLFGALFLITHEKKLTSQKLGSFMEMLFGGRYVLLLMSIFSIYCGLIYNEFFSVPFHIFGASAYKCRDTSCSDAYTTGLIKYGDTYPFGVDPSWRGSRSELPFLNSLKMKMSILFGVTQMNLGILLSYFNSVFFNNSLDIRYQFIPQMIFLNSLFGYLSLLIIIKWCTGSKADLYHVMIYMFLSPFDDLGENELFWGQKLLQIMLLVSAIVAVPWMLFPKPFILQKLHFKRFHGRSYNILEASETDMEDHEPDSARRHHVEEFNFSEVFVYQMIHSIEFVLGSVSNTASYLRLWALSLAHSELSTVFYEKILLLAWGYDNVIIRMVGIVVFAFATGFILLMMETLSAFLHALRLHWVEFQNKFYSGDGFKFKPFSFAGIADADDR >cds-PLY75909.1 pep primary_assembly:Lsat_Salinas_v7:9:199081764:199083514:1 gene:gene-LSAT_9X123060 transcript:rna-gnl|WGS:NBSK|LSAT_9X123060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACLVSLFSFTPLTSHKTLIKDLQAWKTANCRMLLHSSNSITGINFVAPKSAGKNKNLLSVTWHHNPNQTHLRVCCGNVFVDDDKLVCSPNVIFGFWVGPDIDDGWGFVEAIVICKSNI >cds-PLY97452.1 pep primary_assembly:Lsat_Salinas_v7:6:92121003:92124461:-1 gene:gene-LSAT_6X62560 transcript:rna-gnl|WGS:NBSK|LSAT_6X62560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSFKTIDARGVNVHIANGACLTIQFVTNIIVHGLHIHDCRPTGNALVRSSPEHYGWRTMADGDAISIFGSSHIWIDHNSLSNCADGLVDAVMGSTAITISNNYFTHHNEVILLGHSDSYYRDKLMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAGPTINSQGNRFMAPANPFAKEVTKRVETDPRHWHGWNWRSEGDLLLNGAYFVPSGAGASASYARASSLGAKSSSMVATITSGAGALNCRRGGQC >cds-PLY79487.1 pep primary_assembly:Lsat_Salinas_v7:3:248251867:248255921:-1 gene:gene-LSAT_3X136981 transcript:rna-gnl|WGS:NBSK|LSAT_3X136981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEKIEKIGEGTYGVVYKALDKMTNKTIALKKIPLEKPDEGVPSTAIREISLLKEMNHENIVRLYDVVHTEKSLYMVFEYLDLDLMKHMESCPEFSKDLVKMFVYQILSGLAYCHSRRILHRDLKPQNLLIDLTKNVVKLADFGLARAFAVPVTTLTHEVVTLWYRAPELLLGCEQYSTPLDVWAVGCIFAEMVTRLPLFRGDSEIDQLFKIFKIMGTPTEFTWPGVASLPDFKSTFPKWQPKDLATIVPNLDKSGLDLLRKMLYMDPNRRISAKAALEHEYFKDIKSVQETPTP >cds-PLY77470.1 pep primary_assembly:Lsat_Salinas_v7:4:47866850:47867471:-1 gene:gene-LSAT_4X30761 transcript:rna-gnl|WGS:NBSK|LSAT_4X30761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEERGYQDLLPVMAEKLDIATFMEELCGGFRLLADEKIGLISPESLRKNSRFLGMEDMSKEDAEGMVMEGDLDGDGFLNETEFCILMVRLSPEMMEHAEMWLEKAIEDEIKKAPGRSSSDNENLG >cds-PLY65695.1 pep primary_assembly:Lsat_Salinas_v7:5:275332545:275335736:-1 gene:gene-LSAT_5X146141 transcript:rna-gnl|WGS:NBSK|LSAT_5X146141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDARKRGRPEAKANGGAKKFKPESKLVGSKSKPCMKFFSMDGCPFGENCHFLHFFPGGYNAVAQMMNLPPTSTSASSTTSTTQNTATKSKICNKYNTAEGCTFGDKCHFAHGQWELGKPITQSNDNPQTALPPTTRFTAPPPQQLPPPGIASSFGASATAKISIDASLAGTIIGKGGVNSKQICWKTGAKLSIRDHESDVSLKNIELEGTFDQIKEASAMVSELILSASLQTAGGGGPPPGVHHGPLSSVSNKKTKLCENFAKGSCTFGDKCHFAHGASELRKAARV >cds-PLY65605.1 pep primary_assembly:Lsat_Salinas_v7:8:39891418:39897671:-1 gene:gene-LSAT_8X31181 transcript:rna-gnl|WGS:NBSK|LSAT_8X31181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDVISGTTFGSNIEEGKRIFELQRELAVLVIDAIQSLRIPGSRINKIDREVRATIRSIIDIRIIAMKAGESSKDDLLGILLDSNYKEIKHGNKNSGLTIDEVIDECKLFYFAGQETTGILLAVWTMILLAQHTNWQERAREEVSQVFGHEKPESDGLNRLKIVNMILHEVLRLYPPAVGLGRMTHEETKLGDIKLPGGTFLRLQIMLMHHDRDIWGDDVNEFKPERFSEGVSKVTKGQTSYLPFGGGPQICVGLNFALLEAKMALVVILQHFCFDLSPSYSNAPHTIVTLQPQFGGHSVLRKL >cds-PLY65450.1 pep primary_assembly:Lsat_Salinas_v7:9:185086270:185087737:1 gene:gene-LSAT_9X112641 transcript:rna-gnl|WGS:NBSK|LSAT_9X112641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 10 [Source:Projected from Arabidopsis thaliana (AT2G14620) UniProtKB/Swiss-Prot;Acc:Q9ZVK1] MNNFFKYVFLSGIFLLHSLEISFASIVSTGDFNKDFFVTWSPSHVNTSTDGRSRSLKLDQESGSAFASNDMFLFGQFDMQIKLVPGHSAGTVLAFYLTSDQPNRDEIDFEFLGNVLDQPYILQTNIYADGFDNREERIHLWFDPTKDFHTYSILWNLHQIVFMVDFVPIRTYRNHADKGVAYPRWQPMSIKMSLWEGSNWATNGGKDKIDWSKGPFIASFANYTIDACIWKGNARFCRANSEANWWNKEELSSLTWMQRRLFKWVRKYHLIYDYCQDYKRFENNLPKECYLSKY >cds-PLY91543.1 pep primary_assembly:Lsat_Salinas_v7:1:11870877:11875772:1 gene:gene-LSAT_5X101600 transcript:rna-gnl|WGS:NBSK|LSAT_5X101600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDTHSNGDSKSSVKLPNIKFTKLFINGEFVDSISGKTFETIDPRTEEVIANIAEGDKDDIDLAVKAARAAFDHGPWPRMSGSERGRIMMKFVNLVEENIEELALLDAIDAGKVLTYGKARDIPFGASVLRYYAGAADKIHGKTLKMSNQLQGYTLHEPIGVVGHIIPWNFPAGMFFFKVSPAMAAGCTMVVKPAEQSPLSALYCANLAKLAGVPDGVINVVTGFGPTAGAAISSHMDIDCVSFTGSSEVGRLVMQAAAMSNLKSVSLELGGKSPLIVFDDVDVDSVVNLALLGGFANKGEFCVCSSRIFVQEGIYDEFVTKFVKNAKNITVGDPFDPSTRQGPQVDRAQYEKVLSYIEHGKRQGATLVTGGKPSGDKGLYIEPTIFTNVTDDMLIATDEIFGPVISLFKFKTIEEAIERANSTRYGLAAGIATNNLNIANTVSRSLRAGIVWINCYLAFDADGPYGGYKLSGFGREFGMEGLYKYLQVKSVVTPLHNSPWL >cds-PLY96526.1 pep primary_assembly:Lsat_Salinas_v7:5:336627581:336630976:-1 gene:gene-LSAT_5X189741 transcript:rna-gnl|WGS:NBSK|LSAT_5X189741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHNRYVYLGLFNNEVEAARAYDKAAIKCNGKEAVTNFEPSIYGADISLEPMDEEGSGHNLDHNLEIYPTKDSLGANLQAQAQAQAPLWPSNIYYGFTPNNEVVVAALLTPCEEATSSKLKSGKTPHPRVFKEKVIGSKEPEEDAQVQVLNIVGFLVSAVSLMLLPLFRTSERVILCSSVALDFLALGRAGFAMNHMDITPRYAGNVMGVSNTLGTLAGIVGVELTMQLLEAAKTMNLDISSPDSRMAVFLISGLL >cds-PLY71972.1 pep primary_assembly:Lsat_Salinas_v7:3:24461898:24462317:1 gene:gene-LSAT_3X18240 transcript:rna-gnl|WGS:NBSK|LSAT_3X18240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKSNSSWSGTSRRKNREIIRCSCGDICPIYVSRTPENPGRKFRGCPNYQDEDGGCGHFKWVDEEEDEFRAFKKQLNLQHKDIESVMLLKLIVGLLVSILVCLVVVVIKM >cds-PLY62820.1 pep primary_assembly:Lsat_Salinas_v7:4:32207116:32207664:-1 gene:gene-LSAT_4X21541 transcript:rna-gnl|WGS:NBSK|LSAT_4X21541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQDLLSRIHHDIYKQLLDEDKGTPFNVWNELKKQFERTDKILENSKKAALLDVEKFKMLPNETLIDASSSYNIVVNRVKKLKGERSQEDFNMKFLKNLSPKWDTVHMIILQTAINLDIMSLFDLYPEIQQHEPKVNMKAQGSPFDNHGHVIGNSATMANHSQNLIARHNQIPNHFSDQFSNE >cds-PLY85867.1 pep primary_assembly:Lsat_Salinas_v7:8:179278525:179279246:1 gene:gene-LSAT_8X117001 transcript:rna-gnl|WGS:NBSK|LSAT_8X117001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFPNRSCNLCNIRFVLGFDRYSCLMFTGTQEDAARAYDKAAIMYRGENAVTNFDISNYTNDFDDSSQTEQAPSNAEQSENNYDDGIRSEQQEQQQNEEEVAHEVKEEMFPETHFASSVDEDHPWRHFMDPEHISHLPFDESSERMDLLYDYGFEANLDSIFNDPIVNGSGCMTLPESSFMYSTSSMMNPVSGPLV >cds-PLY62271.1 pep primary_assembly:Lsat_Salinas_v7:5:162260339:162260901:-1 gene:gene-LSAT_5X71101 transcript:rna-gnl|WGS:NBSK|LSAT_5X71101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKWMDRQGVTVKKAKASQSVEGIHEGSSKYFEDEGLQDVMLVQDLKGDAHATDMLASGYSEDEVYHLLYEQDLDVEVLAEVPPVLETVHEEVPHVKALAEVDIVPETEDEDIVETPPSQRLMRTRRPSERITKIQTGMKVVGKKVAGPGRSRLDPVSLE >cds-PLY82536.1 pep primary_assembly:Lsat_Salinas_v7:2:185844167:185844558:-1 gene:gene-LSAT_2X106920 transcript:rna-gnl|WGS:NBSK|LSAT_2X106920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWVTFLLQIARTICQFYRIVCWNDALNVLTAMDIISKDKKEIHWKGMPHTTPNHIQELKSERLAIQNRFEMKSAYLQELEDQVRMIVVTLNISFTNLCQE >cds-PLY67668.1 pep primary_assembly:Lsat_Salinas_v7:4:2782581:2785618:-1 gene:gene-LSAT_4X561 transcript:rna-gnl|WGS:NBSK|LSAT_4X561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECNRDEATRAKEIAESKFSRKDITGAKKFALKAQTLYPGLDGISQLIAVLDVYVAAEHKINGELDFYGILGVSPLADDKTVRKHYRELALSLHPDKNKSTGADGAFKYISEAFSILSDKEKRSTYDHKRNGRGFGFKQTQNNGSRAPPPHAQNGFHNFTKSTPAQAQHTKSTPAQQTKVAPARTKVTPAQTKDTSRTNSSSVDDVNHTMKTFPTSVHLSSDKQMPIPKTFWTVCKRCKLQYEFVRMYLNRNLLCPTCHGPFLAQEVPPPNTKKTSSEAQGVNPETSEQVGHADSANHSTSSKWAPFLKKTGPADVAQAASMVQEAYGKVKRDREEAQAVTKREEALRRKISKWANKLSFKTKHENEMNEVGENKETDASISVEGKKDVSNKSNKTRLINKATMEIKKKLHEWSSEVIDDLENGDSKNETEMLVIDVQDSDFHDFDEERSEKCFKKGQVWAAYDDRDEMPRFYAMIREVVSLDPFKMKICWLNPEGLSPEFLEAFGEFKPGKHDIVTVANYFSHKANFKKQENGNICVFPMKGDVCALYRNRNDPKPDMEKQNYEIVQVDEYDKETGITVTPLAKVAGFKTVFQRQHINSRNNGTRVVLGNEMFRFSHQIPSYMLTGEESENAPKGCCELDPAAIPPEFLEVMGDDDKDCVLADYKEGDDVDVEDCGSK >cds-PLY90017.1 pep primary_assembly:Lsat_Salinas_v7:5:120606971:120608677:-1 gene:gene-LSAT_5X52300 transcript:rna-gnl|WGS:NBSK|LSAT_5X52300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQKILVSKYERPYYYNRPPLSPLPPPPPATANHISHGFNLNDKVSPSVLLIIIIFAMIFFVSGLLHLLVRFLMRPTNRDPDEFDNVTALQGQLQQLFHLHDSGVDQSFIDTLPVFSYKSIIGVKDPFDCAVCLCEFEGEDKLRLLPECSHAFHMYCIDTWLLSHSTCPLCRGSLLSDFTPTSCSPVVLLLESGSEISRETANSDQPNPSSIQRVNSHLSNPEFEFDKGEMVKEDENKEKVVTIKLGKFKNVDGGGGGEGGSDKQTIDGRRCFSMGSFEYVMDDNSSLQVSIRAQTKKLASKKSSLPITPSHRVAMSECGGDSRREFREIDVLGGGGGATGRSKRESFSVSKIWLRGKKEKSNPTVAAAIGTSSRGGFSFRFPVHRNDMKANSRANSELDIESWENAQEFQTCRWSDNVDSPPQEASNPPSFARRSLLWLMGRQQVNVVHSSCSTNV >cds-PLY98530.1 pep primary_assembly:Lsat_Salinas_v7:1:36099322:36100314:1 gene:gene-LSAT_1X30560 transcript:rna-gnl|WGS:NBSK|LSAT_1X30560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEYLKNNPTVNCVVIVMPFLKLNIWNGIGEAKSHFEVTKLFINSDIYEINEFKNKVFNVSLNQVPLESDDVVQPVQKDVISQTDESFTPSTVDKSTATSPSKISTDLKRNLQEIYDVDSGNDLCTTKAKRKSIGEETPLLIPKLEK >cds-PLY98801.1 pep primary_assembly:Lsat_Salinas_v7:7:23954389:23962375:-1 gene:gene-LSAT_7X20161 transcript:rna-gnl|WGS:NBSK|LSAT_7X20161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDQAGTIVWSSNQSTPVVKTVAQLLDNGNFVLRPENDENPENYIWQSFDYPTDTLLPEMKLGWDRKSGINRFLKSWKTNESPATGDYSFKLNISGFPEILATNKETIIWRTGPWNGRTFSGTPEMNGVSILRFEFQENSEEIVYSFEIPNSSVYSRVVITSSGISERLVWAETTKTWNVFWAFPGELCDHFSECGPFGVCDATTAPVCNCMTGFRPENKQAWDLRDGSDGCVRSSELDCGSDGFLLLKHMKLPESSKAIVNQTMNLSECGEICKRSCSCVAYANMNITEGGSGCVIWEVDLIDMRKYADFEGGGQDLYLRVAASDLGMFLTPECRRSQNGSSNDNKVVKPVAISIGAFALLTFLLILFYVRKKKIQLSKKAQAKTKGPRERAEDFLLNDGSVVPNKREMDELELPLFDFSTLVIATNNFSNANKLGQGGFGCVYKGKLMEGEVVAIKRLSRISDQGIEELKNEVRLIAKLQHRNLVRVLGCCIEAKEKLLIYEFMENKSLDMFIFEKEKNMTLNWKSRLEIMCGIARGLLYLHQDSRFKIIHRDLKASNILLDKDMNPKISDFGMARIFGSDQTDAKTKKVVGTYGYMSPEYAMDGHFSTKSDVFSFGVLVLEIVSGKRNTGSSYSSSQHNLLGELAAVVVSDSYRLISLSCWSGAQVASGSSGGAQWQHDTKECRNLLVNDG >cds-PLY86064.1 pep primary_assembly:Lsat_Salinas_v7:3:195041882:195045326:1 gene:gene-LSAT_3X118140 transcript:rna-gnl|WGS:NBSK|LSAT_3X118140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQLTALIENKPFKSSKNYFQFPKNPPNETSDTLELDFSDTFGPLPLPAGNSEIPSDDPVVIYSRSHSLVGPTPCLGLVIDLTNTSRYYSMNDWKKEGIKLFEKMKEQTNEGKFVKEVEVIYGSDLDTSVYGSGFPRASDERPSPLGQNGKSAGGSINVI >cds-PLY77540.1 pep primary_assembly:Lsat_Salinas_v7:2:164058370:164059626:1 gene:gene-LSAT_2X86940 transcript:rna-gnl|WGS:NBSK|LSAT_2X86940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylesterase 10 [Source:Projected from Arabidopsis thaliana (AT3G50440) UniProtKB/Swiss-Prot;Acc:Q8S9K8] MPSTTTSPKHFVLVHGLSHGAWCWYKVVSNLQSAGHRVTAVDLGGSGVHPSRLEEIATFSDYVQPLIQFMESLSGDERVVLVGHSFGGLPISVAMEKFSHIVSAAIFITAYMPNCRDPPALQMNQYFKNLKPETYMDCRFTFKDGLPVSAELGCDYLATMMYRSCQSEDLALAKMLIRPSRLFLEDMSKDSILTINKYGSIKRVYVICEKDQVMDEEFQKFVVEDSPPDEVKSFPEAGHMIMLSKPNDLALYLQEIANTYP >cds-PLY68970.1 pep primary_assembly:Lsat_Salinas_v7:9:141601896:141607484:-1 gene:gene-LSAT_9X91281 transcript:rna-gnl|WGS:NBSK|LSAT_9X91281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSVHKSFKYEVFLSFRGEDTRKNFVDHLYDALQRKSILTYKDDLEIKKGERISDELLGSIENSKFYIIVFSKDYASSSWCLDELVKIMECRKMTEHTAYPIFYDVEPTEVRKQIGAVGKAFAKHEKEVAAGKWRNALKEAADLAGWELKKTADGHESQFIQKIVEEVSLELRSINFSIDEKLVGMETRVNDVISFLETGIDDVRMIGIKGMGGGGKTTLARAVFDQISFQFEGKSFVENVREVSNDSLSGMKSLQNQLLSDVLNDKGISVSSVYDGKNMLKRRMSGRKALLVLDDVDHIDQLEALAGERNWFKPGSRIIITTRYEQVLVAHCVKLIHNVNLLSDKEAICLFSRYAFGREIPIQGYEKLSGEVVRYASGLPLTIKVLGSFLCGKDESEWIDALERLRTIPLHETLKRLELSYISLEEDYKEIFLNVACLLKGWAKADAIEALESCGLHARNGLRVLEQKSLITINNYERVDMHDHIEEMGRDIVRRSRPANKHSRLWKEDEIEDILINDLGTEATICMKIYKSKLNPEIVMKGLRKMKDLTFLEVSLENFNSNQETNKLIPNLVNALGFLCCIWKFNKVNLFFPDTLRYLHWDQYPFRTLPQTFQANNLVSLKIAGSEILQLWEWGERKVCNKLRFLDLSNSQLKTLDLGLTPNLETLTLERCCALIELSDSICMLKHLKSLKLDGCSLLEKLPEDLGLLESLVKLSLSYTEIKHLPNSICMLKHLKFLKLDRCSLLKKLPEHLGQLESLEKLNLSYTEITHLPDSICMLKHLKYLDLNECSLLEKLPEDLGLLESLQYLELSGTMIKHLPCSVCTLKHLKYIGLHRCSLFEKLPEDLGGLECLERIILRSTKIKHLPDSICMLKHLELLPLNDCLLLEKLPEDLGRLECLEMLNLSSTKIKHLPDSICMLKHLKHLVLYPCLLLEKLPEDLGRLGCLEYLNLSSTKIKLLPDSICVLKHLKYLKLDKCLLLEKLPEDLGLIECLESLDLSNTMIKHLPDSICMLKHMKTLDLYRCSFLDKLPEDLGRLECLEYLNLSSTKIKHLPCNICMLKGLKYLKLDKCSLFEKLPEDLGRLECIKSLDLSNTMLKHLPDSICMLKHLESLKLIDCSLLETLPEDLGLLECLEELHIEGTCISHLPQSIILLKGLCIYGSRGLLQSFGFTSDIQISGDGMVCYVKV >cds-PLY83406.1 pep primary_assembly:Lsat_Salinas_v7:8:529654:530273:-1 gene:gene-LSAT_8X1480 transcript:rna-gnl|WGS:NBSK|LSAT_8X1480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHKHFQRSIGPKRRKGKAVKLLTACSDNKVRCGRENRLSQENSNIGAGGVFGSGARREEGQVRWGVFGVFVCSIITGIHEKNKNALVFLVGNECRREEKGYVLIVLVY >cds-PLY83018.1 pep primary_assembly:Lsat_Salinas_v7:5:50422208:50424779:1 gene:gene-LSAT_5X24820 transcript:rna-gnl|WGS:NBSK|LSAT_5X24820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQKDQKGLPFFSDFITLITQQGPDVCSMCTCYTKKETSRSFGYDEEVSTFFGEFLVEGTGGSSVFRSIQASGGFDSNRSDNLAAKKQKFMKWPLNLDLTSEGEASDNINVVLGNLLQKQSENIKRHRRWEIGKGYKDKSGVISFIDRRVSLEHAETARESWRRRDITNFEYLMVLNTLSGRSYNDLTQYLVFPWVVADYSSDTLDFSKSSTFRDLSKPVGALDQKWFEVFEDRYRNFSDPDIPSFFYGSHYSSMGIVLYYLLRLEPFTGLHRTLQGGKFDHTDRLFQSIESTYRNCLSNTSDVKELVPEFYYMSDFLVNSNSYHFGVKQDGEPLNDVGLPPWAKVNGSMHFFVEREINEMYLSRNNGRRSLSHSEEGV >cds-PLY77880.1 pep primary_assembly:Lsat_Salinas_v7:1:22057215:22062594:1 gene:gene-LSAT_1X18561 transcript:rna-gnl|WGS:NBSK|LSAT_1X18561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFKIRCRSNGNSRCAVFSRRKCWTELLLLQILLLLVFLDIIQAKYNDKELQWREPETGAENVASHSCIHDEIIEQRRKKPGNKVYSVTPQVYQAPDTSEPLHHTGRALLEIQKVSSLPKNAKQPIRIYLNYDAVGHSPARDCRKVGDIVKLGEPPAASNLGTPSCNPQNDPPIYGDCWYNCTSDDIAGEDKRHRLRRALGQTADWFRRALAVEPVRGNLRLSGYSACGQDGGVQLPREYVEEGVADADLVLLVTTRPTSGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFTHFRDERKRRRTQVTETVTDEKLGRTVTRVVLPRVVMHSRYHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYEANYSMADHLDWGHNQGTEFLTSPCNLWNGPYHCNTTQLTGCTYNREAEGYCPIVNYSGDLPLWARYFPQPNKGGQSSLADYCTYFVAYSDGSCIDTNSAREPDRMLGEVRGSNSRCMSSSLVRNGFVRGSMTQGNGCYQRRCTNNTLEVAVDGIWRTCPEAGGPIQFPGFNGELICPAYHELCSINPILTSGQCPNSCNSNGDCIDGKCHCFIGFNGHDCSKRSCPTNCSGNGKCLKNGICECKNGYTGIDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCRNTSKVLESLSICKDVLINDAEGQHCAPSESSILQQLEEVVVMPNYHRLYPTGARKILNIFRGRNCDKAAKRLACWISIQKCEKDGDNRLRVCHSACQAYNLACGASLDCSDQTLFSDEGEGEGLCTGWGEEMESWF >cds-PLY68882.1 pep primary_assembly:Lsat_Salinas_v7:2:194274792:194278987:1 gene:gene-LSAT_2X116160 transcript:rna-gnl|WGS:NBSK|LSAT_2X116160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREGLKMFDLNVDVALSVVDTSTKCDRNGCATNGDIAVKKKEQEDRQSGIHSGTSVSSDVLNVTVDVDVDDEDSVSYTALHKQACHIVSQTGESMENSNRASAGLITRQFFPVASYLELEEELRSGLITSSPSTISFLGADWLNLKVPESAPVPVNVKALPPQKVRKTRRGPPSKSSQYRGVTFYRRTGRWESHIWDCGKQLYLGGFDTSHAAARAYDRAAIKFRGNDADINFDLSDYEEDMAQTKNLSKEEFIQILRRQSNGFSRGSSRYRGVTLHKCGRWEARMGQLLGKKYVYLGLFDNEVEAARAYDKAAIKYSGREAITNFEPSTYGADIIDLDQASGENLDLNLWISPTPTLSTWNGNQNVENADMGFTAASGKRQKVGGQYQYQYQSQSQSHYSALCTPNYEEMTKGISSASVVPSTAAWQRQMQMQMQQHHQDSLLLLQSSQTSFYSYPTHT >cds-PLY73631.1 pep primary_assembly:Lsat_Salinas_v7:5:203749638:203750906:-1 gene:gene-LSAT_5X92280 transcript:rna-gnl|WGS:NBSK|LSAT_5X92280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLENLDTLNSSGTDDKQGTENREDLDSRASGTKTNGGDSSDNEATSSVNDKGIKRSAGGDIAPTTRHYRSVSMDSFMGRMNFADESPKLPPSPGGHIGQLSPNNSIDSNSNTNTFSLEFGNGEFTGAELKKIMANEKLAEIALSDPKRAKRILANRQSAARSKERKMRYITELEHKVRRSLIRNMWNERIKGTKRNVEVWQALLVVRSLVFPPTDEDSETWLKFASLCRKSGRISQAKSTLIKLLQDLAIELSSSSGLQVSTPTGFGGVPHVSLMARVYLKLAGE >cds-PLY75779.1 pep primary_assembly:Lsat_Salinas_v7:3:68358948:68370490:-1 gene:gene-LSAT_3X52120 transcript:rna-gnl|WGS:NBSK|LSAT_3X52120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKGVGLSDDDVSLMVVTTCSDGGMGVTVVSTDTIDRTGLLGCYEVSPTVAFVILKLPLDQGGGEGMKQNLKVGQLAEFTTFERGFRCAWFRCKVVVDSSQIVPISKTDIGRTHMWLKSTVPLGCVVGRSIVSVKLELLPLTGGIITLDSLQVQKRSRNLGYDKLRLLERGDRWNYRTKMENTTSSFEKRLGLLKSLLGEIQDWRNKTVEIPSFTFGISAVAASSSSSSSATFVHALSKKGKMSQFEKQLGEFFIHQIKTDTFVAYGYGFREGNKVVFKYGRKSALEHIKLT >cds-PLY82219.1 pep primary_assembly:Lsat_Salinas_v7:1:65885106:65888083:1 gene:gene-LSAT_1X56360 transcript:rna-gnl|WGS:NBSK|LSAT_1X56360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 15 [Source:Projected from Arabidopsis thaliana (AT3G58460) UniProtKB/TrEMBL;Acc:F4J5V3] MRSNIVTEAGLPTRFKQWWEGIPFLTSMVVAVCGVIYLVCLLVGYDSFSEVCFWPSAVLSKAQVYRVFTSIFFHGSLLHLVFNMLALVPLGSELERVMGSIRLLYMIVLLATSSAIFHILITLIAAYNPIVTYYHFMDECAIGFSGVLFSMIVIETSLSGVQFRNVFGLFNVPAKLYPWLLLVMFQLLMTNISLLGHLCGILSGFAYTYGLFNFLIPGSSFYSGIESSHWLSTCVRRPKYIMCTGGDPSGYIPTHTTRNTASSETLSGNMWANLSSWMPRREVAPQSAEENSRFPGRGRTLGATQTETVSSNASPDSSLQTRLLESGNSPVHPSTQEAIARNDRRQEGINTDTTAAVSVVSDEEIQKLVAMGFDKTQVEVAIAAADGDLNVAVEILMTQQG >cds-PLY91857.1 pep primary_assembly:Lsat_Salinas_v7:8:203214204:203216633:1 gene:gene-LSAT_8X129400 transcript:rna-gnl|WGS:NBSK|LSAT_8X129400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADYSKEDFTQLIKRIGAYLSDKVSKVLNTQDIGSVWAIAGLAVAVIFTWRLLRTPSEPRRRQPKHQAPSSSNSGVNSHPDANLFPSGVTSSYEDSRAQTVIDEFFQPVKPTLGQIVRQKLSDGRKVTCRLLGVILEESNPQELQNQATVRSSVLDVLLEITKFCDLYLMETVLDDESEKKVLIALENAGIFTSGGLVKDKVLFCSTEIGRTSFVRQLEPDWHIDSSQEITTQLARFIKYQLHISTNKTERIASNVFSSSSLEQFFSG >cds-PLY78653.1 pep primary_assembly:Lsat_Salinas_v7:9:51001324:51002877:-1 gene:gene-LSAT_9X46420 transcript:rna-gnl|WGS:NBSK|LSAT_9X46420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEKEFCNYKNKRWLKGRFDVQLQFLLYCLSQDKAFVVNSNQTNGILSVFCKKQTSQDSLEPSTSIQSAMSGIDHSNGQCVFEVQDSDSALRKGFKHLH >cds-PLY84997.1 pep primary_assembly:Lsat_Salinas_v7:3:122321761:122322385:1 gene:gene-LSAT_3X85040 transcript:rna-gnl|WGS:NBSK|LSAT_3X85040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYALCADAWFHAARRKVLDADADPTSMKDEVDSVVVEYEDFAMVLVELSPSLSLAEVIKYELLRDQFQGISTNSK >cds-PLY84541.1 pep primary_assembly:Lsat_Salinas_v7:1:30003744:30006131:-1 gene:gene-LSAT_1X25861 transcript:rna-gnl|WGS:NBSK|LSAT_1X25861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSIVFVLNSIPTPSFSCPLHQKQALLHFKSTLTTIINSRLFIELNSWNPDSDCCTWDRVKCNTTTTITELNLSNVVPEFANPIPVFSDILIPLFHIQSLKLLDISRNSLNGEIPGDGFGNLTELVHLDMKLNNFQGSIPSQLFELESLRILDLSNNILRVVLSHEVGKLRNLERLYLNENFLSGNIPEEIGNLTKLRELYLGKNQFSGGIPSSVVYMKELESLDLSDNSFSMQIPSGMGMLPNMARLDLSKNQFTGPIPSSMQNLSKLETLRLQHNKLTGVIPTWLFNITTLRYLFIGGARNNLIWDNKANIIPRCSLKQISMTSCGISGQIPEWISSQKDLHFLDLSVNDLEGRFPDWLVEMDISGIVLSDNKLTGSIPPGLFESMKLEFLALSRNNFSEELPENIGQARSITTLMLSGNKFSGQIPMSMSNMNRLHVLDLSRNRFSGDSFPNFRENPLLYVDLSYNDFFGKIPLTFSTEILTLSLGGNKFSGDLPSNLTNLVNLECLDLYNNDITGYFQDVFPRIPTLQVLNLRNNSLEGIIPGTISNLTSLRILDLSGNKLTGSIPQGIANLERTIETPYTKHAPNDVFFSENFLEDIEYQDLIVNWKNSLQGLSSHNLDMYWFLDLSNNKISGEIPTSLGNLKSIKVLNISHNNLVGQIPVSFGNLRDIESLDLSHNKISGSIPQSLVKLDQLAILDVSNNRLTGKIPTGWQMNTMNELNFFANNSGLCGMQIMIKCPEDPSPPKGNVEEKEKQSWILWEGVWVGFPVGFFSSILIMGYFLNFLLLFKCW >cds-PLY69973.1 pep primary_assembly:Lsat_Salinas_v7:8:63638116:63639275:-1 gene:gene-LSAT_8X45340 transcript:rna-gnl|WGS:NBSK|LSAT_8X45340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPNEKAPPSEAQPATNPQATSNSDPSKAPATAYPQQQPNQYVVGVPPQQGQIPMWSTGLFDCFDDLPTLIITAFAPCVTFGQVAEMVDRGQNSCLVLGGLHAGLLYFTGLGCLLSAYFRIRMVQMYNLPNDPVINILVHLVCEPCALCQEYRELQAHGFDMKLGLGWKGQSPEIQQTGGAMVPPTVPGGMTR >cds-PLY68278.1 pep primary_assembly:Lsat_Salinas_v7:1:27504629:27505140:1 gene:gene-LSAT_1X23601 transcript:rna-gnl|WGS:NBSK|LSAT_1X23601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTVIGTDRFIDESDVINLPYLRCIINETQRLYPVDPLLVPHESSEDCIWHNLIVNQWANDPNIWVDPERFDPERFEGLEGTKDGFKFMPFGSGRRSCHGEGLTMRERMSEKMIDMTEGFGLNMPKAKLLVVKYKPHLQMEKLLLHV >cds-PLY74202.1 pep primary_assembly:Lsat_Salinas_v7:9:24764910:24767217:-1 gene:gene-LSAT_9X22100 transcript:rna-gnl|WGS:NBSK|LSAT_9X22100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSVATDIKQRLADTDSKPEPSTIKINKPEGGGANSQSEQKSACCG >cds-PLY71946.1 pep primary_assembly:Lsat_Salinas_v7:3:24918448:24920190:1 gene:gene-LSAT_3X18460 transcript:rna-gnl|WGS:NBSK|LSAT_3X18460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLESLKLSHFHLSSSPNAQLLFPTKLNLSISFPTTKAKIILKSPFYPLPLPISRKFQVFLSSAIEQEISVVEEEKLEQTEKEENKRKLFVLNLPWSFSVADIKNLFGECGTVADIEIIKREDGKRGFTFITMSSGEEAMAVIKKFDSHELLGRILKVEYAKKFKKPTPPRSPSLPGVGETRHKLFVSNLAWKVRASNLKQFFGDFNPVSTRVVFSPSGNSAGYGFVSFSSKEEADSARLALDGKELLGRAIILKFSEKSGDKSESNEEAPSEEQPAES >cds-PLY73864.1 pep primary_assembly:Lsat_Salinas_v7:4:206532180:206534831:1 gene:gene-LSAT_4X116980 transcript:rna-gnl|WGS:NBSK|LSAT_4X116980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADVGGSSGGATTTTQRIKGWFPERVQLHIAMLALQFGYAGFHVVSRAALNMGISKIVFPVYRNILAFVLLLPFAYFLEKKERPPINLNFLTQFFLLAIVGITANQGFYLLGLDNTSPTFASAIQNSVPAITFLMAAVLRQIEKVRLDRKDGISKVVGTLFCIAGASVITLYKGPTIYSPSPSLNKVREMSPVLQSLGDANGKSWTLGCLFLIGHCLSWSGWLVLQAPVLKNYPARLSFTSYQCFFGILQFLVLAAFMERDLNAWAIHTGSELFSVFYAGVVASGIAFAVQIWCIDKGGPVFVALYQPVQTLVVALMASVALGEEFYLGGIIGAVLIITGLYLVLWGKNEERKFMLYEKQSHGITSQTKSSIVQPLLTQSNQNV >cds-PLY94857.1 pep primary_assembly:Lsat_Salinas_v7:2:180204011:180207789:1 gene:gene-LSAT_2X102120 transcript:rna-gnl|WGS:NBSK|LSAT_2X102120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glutamate carboxypeptidase AMP1 [Source:Projected from Arabidopsis thaliana (AT3G54720) UniProtKB/Swiss-Prot;Acc:Q9M1S8] MAQSLYTSLPKSTTIFTSSTSPSCTLIFILTLCIISLYTLHYHNQQTPPPSPSTTARHPPLISTFLNSASNYTISNYLRHLTLHPHLAGTSPSSAAADYVKTNFESLHLQTHVTNYSVLLSYHLHSSLTAHFSNSSTAVPLPLTEPGLGSDSGVVKPYHAYSPSGSAYGKAVYVHHGREEDYRALASAGVDVKGCVAVAKRGGGMSRNAVVVKAAEKGVVAVVMYTENNDRARTYYGGGVERGTVLDGVGDPLTPGWGARINGDVERLGMEDDEVLKRFPTVASMPISGETAELILGSLEGARVPHWWRDGDLFGNFNRVGPGPTFLNFTYQGENKVATIQNVFAVIKGSEEADRFVVLGNHRDAWTYGAVDPNSGTAALIDIARRYSLMMRLGWNPQRTIVLCSWDAEEFGMIGSTEWVEQNLVNLGSKAVAYINVDCAVQGPGFFAAATPQLDDILVEVTKKVTDPDSLDSTLFEKWRTSTDGPLIQRLSDVFSDFAPFLHHAGVPSIDLYYGKDFPVYHTAFDSYEWMVKYGDPFFHRHVAVAGVWGLLGIHLADDPILPFNYLSYATQLQNYTHSLSKLLEGDVSLHPITSAIQELEDAAKQVEEEMKKLREEESEGHVIVLRRRMLNDRLMLAERGFLDTEGIRGRQWFKHLVYGPASEGKLGFFPGVADAMYGSSTSRGEKKEAKKKQEIIQHEIWRVARAIQRAASALKAELT >cds-PLY99840.1 pep primary_assembly:Lsat_Salinas_v7:4:46329522:46330581:-1 gene:gene-LSAT_4X31661 transcript:rna-gnl|WGS:NBSK|LSAT_4X31661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKPDQTGREKVCSDNAVSKGIMLKVRKGLWSPEEDQKLIDYMLKNGQGCWTDIARNAGLQRCGKSCRLRWINYLRPDLKRGSFSPEEQDMILHLHSILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKTNMSDASTTSTDNSIGELSLDASTGGDGMFMSIHDYEIMTMCIDSCLTSQNRSLSMHNNPPMIMEGHDYIGHLPPLIIDNNNCDTNGGSSFLNISGCGDYVEEPNMFGMESGITNPAMINRVIKGDVSATIDHHHALDKKSTHVNHHFNGGSDGNIKVEEVVGFGNHWPGESFRIGEWDLEGFFPNVSSIPHLDF >cds-PLY67321.1 pep primary_assembly:Lsat_Salinas_v7:8:235355089:235356655:1 gene:gene-LSAT_8X141460 transcript:rna-gnl|WGS:NBSK|LSAT_8X141460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKIFLMRGLDSLYAKSSSFSAHNPRYKTPSLVSFAFHNLYTSKSESSYETPNSHHPENETQDENPVDVEDVSSADLKTQIDKFYKGDVEAIPAIFESILKRKLSGKHEESDDELMNEFRHDQTNEVSNEEMDSDSYSDTDSDSDE >cds-PLY76286.1 pep primary_assembly:Lsat_Salinas_v7:7:124093878:124098054:1 gene:gene-LSAT_7X74940 transcript:rna-gnl|WGS:NBSK|LSAT_7X74940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFPTNSLVEDDASSESSVSDLSRFISKSPTPSSMSHVISIRQLLESALEAAGQVAGASVSTSPLPFSTMAGQCEALGTDSRKKLSTWLSHANTDATKPSPMPIKILGEDEPLCRGMNGLRLPPASPFEKFLKAARYG >cds-PLY90030.1 pep primary_assembly:Lsat_Salinas_v7:5:184816480:184822201:1 gene:gene-LSAT_5X82200 transcript:rna-gnl|WGS:NBSK|LSAT_5X82200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-mediated development protein DET1 [Source:Projected from Arabidopsis thaliana (AT4G10180) UniProtKB/Swiss-Prot;Acc:P48732] MFRSNNLTARIFERQIRTPSPGTTIHRARRFYENIVPGSTIYDVECPDHSFRKFTEDGKYLISFSRNHQDLIVYRPTWLTFSCKQEDCYAQDLPPKAKKFESFFTQIYSVPLASASELICKDFFLYAESNQFGLFATSTAQIYDAPATGGAIQGVPSIEKITFHLLRLEDGVVLDERVFCNDYINLAHSMGVFLYDDLLAIVSLRYQHIHILQIRDSGTLVDVRAIGDFCREDDQLFLNSSSQGMSSIEKSVSQHIPVNNVANGPHLEQVPQENPFLSGIKQRLLSFIVREIRNEEEDETMRIQSLKKKFYFHFQDYVDLIIWKVQFLDRHHLLIKFGSVDGAVSRNADNHPAFFAVYNMESTEIIAFYQMSSDKLYLLFEQFCDHFHVASKNSPYMNFISSHSNNIHALEQLRSSKNKATSFSLFVKKVMASLPFNCQSQSPSPYFDQSLFRFDEKLISAADRHRQSTDHPIKFILRRPPHTLKFKIKPGPEAGITDCRTRRISSFLFHPILPLALSVQQSLFLQPAFVNIHFRK >cds-PLY73057.1 pep primary_assembly:Lsat_Salinas_v7:2:30098086:30098521:1 gene:gene-LSAT_2X12181 transcript:rna-gnl|WGS:NBSK|LSAT_2X12181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNTIPMVKCLGLHQHISTKNPKVLIPEKPILEIVSSLRIELNKGFAAIIDIGLGKHLKKFLFVKVIFD >cds-PLY72257.1 pep primary_assembly:Lsat_Salinas_v7:7:87918981:87944109:1 gene:gene-LSAT_7X60661 transcript:rna-gnl|WGS:NBSK|LSAT_7X60661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHPHKESNKRRGKKQSQPISNLKQPGCQNPTLGQTTEESKLFSSLMKTEESVLFNSTIVEEDADAAVLTDTTTLLSDDDNWTDTSFDFSDNDASCSNSDVSYISGSMEKQRIVSDISMNSSYEVALAEVLKTLEAMPDLSEPSSELSKNRQYHYSNTTIEPVRAYFEKCGIHEPGRKSYLPLSSNNDLQTWPALTPIKPSFEFSKSRQQHNSNIGVVTDVLKESYVLLGGASYIASSSNSDLEEGNMSFKRSWREVLIGLGTSSEETQTREIKGKSPLHNPDSWVKIVKKGSHTRDSKGKSALHDPDSWVKVVKKGSHMQKLDYPSKDTAKKQHIPMDDYKKYRHNANQHWEIQKSLVEQARNAYASGKRNEWVNLSEQVIGDILGCKPEHHLSWGHCDLPFHVCQGFKSQQPQCVKVRILIWIKLLARMWKEKAEQADEKASQDIFDSRNKNNIVDLMTIDLHGQHVKEGMMRLKYHLAFGVYGRSLRRLRVITGYGSGGTGQSMLKQAVGKRAFLL >cds-PLY88177.1 pep primary_assembly:Lsat_Salinas_v7:5:220783041:220783800:1 gene:gene-LSAT_5X102941 transcript:rna-gnl|WGS:NBSK|LSAT_5X102941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPGGFRGGGGGDVIPRNNPNVRPREGDWMCTDPTCNNLNFARREYCNNCNRSRYAGPGSPPRRGYPGPPLMGRRFTGPPLDRSPGRFNGYRSPPRGFGRDGPPREFGIGGPMRHEGRFPRDHHNQRPDYFEDEMRERGNNRFDHRPMVPPPDWGRERKGYDRRPVSPGGPPPPXXSGRWVRDERERSRSPVRGGPPPPSKDYRRDAYVDRGRDDRRGMGRDPY >cds-PLY81231.1 pep primary_assembly:Lsat_Salinas_v7:4:305479474:305485690:-1 gene:gene-LSAT_4X154500 transcript:rna-gnl|WGS:NBSK|LSAT_4X154500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKSQNLLILLILISFSLSIISQKLINCTHSCPGAQINPVPYPFGFSSGCQIQLNCTSDGSILIGEFPVQQINPDGLTVSLPAMCSRPLDALSHLYGEHYAPMSTNAILMENCTEETKNCMVPMATVQTHLKTCSDTLGTYGNVSCYSGDVTSMFLEYENVTRMGCQYLFSGVASEISGDSKVVSIDAQVVKLGWWLKGSCDCSHDADCTNILSPLDGSDGYRCRCKSGIDGDGYKASSGCGEVKGLSSGIMGIMLMLLVILCRKKRLWENLVALRLGTTTNHDIEIFIRNIGHIAPKRFKYSEIKKMTNSFYEKLGQGGYGSVYKGHMPDGQLVAVKLLSKAIGNGQDFINEVASIGRTSHVNVVTLIGFCFDGKKRALVYVLMSKGSLDKFLRGDICRLEWNTLFQIAKGIARGLEYLHQHCNMRIIHFDIKPHNILLDEDFVPKISDFGLAKLCKRKESLVSVTGARGTAGYMAPEVFFKSFGGASHKSDVYSYGMMVLEMTGARKKNKSNDTSMSEAYFPDWIYKKVESGDNLGVDGVTTEEEEELARKLVMVSLWCIQSDPLDRPSISKVVEMLEGSFQSLQVPPRRFWSSPARHTQDNSPSATQCLSSQGELKMQATEEESSS >cds-PLY71226.1 pep primary_assembly:Lsat_Salinas_v7:6:19345414:19348082:-1 gene:gene-LSAT_6X14640 transcript:rna-gnl|WGS:NBSK|LSAT_6X14640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDNGGLKMRYYKKRCSSVSVETTVRDIVWSKVSANPAMAAKLLRLHYHDCFVRGCDGSILLDPVQNSTNEKTARPNKSLSGYEVIDEIKTTLESDCPGTVSCADILALAARDAVSFQFQTKMWPVFTGRKDGLVSLESEVGINLPSAGANFTTLVTQFGNKGLDIHDLVALSGAHTIGTSRCVLVARRLYNFTGIGDADPSLNVTYANELRKICPNPQIPTTTLEMDPNSSLSFDSNYYQALNQHKGLFVSDAALLTNPQSAHITQVLQNPSVFFAQFAQSMVRMGAIEVLTGHQGEVRTNCRVVNKQ >cds-PLY95438.1 pep primary_assembly:Lsat_Salinas_v7:9:190195371:190197503:-1 gene:gene-LSAT_9X117381 transcript:rna-gnl|WGS:NBSK|LSAT_9X117381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSKDQNGCRYIQSVFDEGDQEHVQMVFDEIVGHVAELMVNPFGNYLMQKLLEVCNEEQRTFILMEVTREPRELVQISLNTHGTRVVQKLIETLKTRKQIKVVISALEPGFLALIKDLNGNHVIQRCLQCLSNEDNKFIFEAAAKFCVDIATHQHGCCVLQRCINHSIGKHQESLVLEISSNGLLLAQDAFGNYVVQSILELKIPSAVSMLTSQFEGNYVHLATQKFSSHVVEKCLSVLDDRARSLIIRELLSATHFEQLLQDPHANYVVQTALRVAEGSLHNLLVKAIESHKAISRNSPYSKRIFSHKLLKK >cds-PLY66873.1 pep primary_assembly:Lsat_Salinas_v7:7:21290649:21293690:1 gene:gene-LSAT_7X17281 transcript:rna-gnl|WGS:NBSK|LSAT_7X17281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPCVRKVIPAGHEPLTLNTNLNFRSTSFTKKPNSHVVQEKTPTPGKFGSFGGKFVPEILIAPLEKLEAEFNSILEDHEFKAELAAALRDYVGRETPLYHAHNLTDHYKNINGEGPEIYLKREDLGHGGSYKMSNVIAQALLAKRMGQKSVITATSAGNHGVAAAAVCAKYSLDCTIFIGTRDIQRNPSSVQRMKLLGAQVKAVDGNFQAAVSESTRSWIENLETVYYIAGTAVGPHPIPTMVREFYSVIGKETRKQAMEKWGGVPDVLLACVGTGCNALGLFHEFIGDESVRMIGIEGGGVGSNTRDLHSASLVRGEVGVYHGAMCYLLQDGDGQIIRTESVASGLEFPGVSPELSFLKDSGRLECYTVTDQEALDGYKRLCHLEGIIPALETSHAFAYLEKLCPTLTHGAKVVVNCSGTGYNDVCSPQNKILSLSKNSLDAV >cds-PLY66634.1 pep primary_assembly:Lsat_Salinas_v7:5:150252241:150253196:-1 gene:gene-LSAT_5X66180 transcript:rna-gnl|WGS:NBSK|LSAT_5X66180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNKHTWNTEEDAKLIEALLELHVSGKYGGADKGFKPGYLKAVQQLLDIGLPNSGLKAEPHIKSRMKTWKNHFNIVHDMVYGTNTSGFGWDTNKCCVTADVEVWDEYIKSHKGAACFRDKRFPQFDNLCKIFGKDRATSHGATDLGEDMTETQRNSHVDVEGLEEIIEETQQTVHVNSKRKRPPTDDTESSYKEAAKEMKETFKEVGEKLNETIYNIGRQENKEACDLIDKVIKDIKRMPNINVKQRIKAIDMFSKDQFHARAFFKMTEEEKICYMEMIGDGSIS >cds-PLY63972.1 pep primary_assembly:Lsat_Salinas_v7:7:119051802:119058694:1 gene:gene-LSAT_7X73160 transcript:rna-gnl|WGS:NBSK|LSAT_7X73160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TOPLESS-related 3 [Source:Projected from Arabidopsis thaliana (AT5G27030) UniProtKB/TrEMBL;Acc:F4K2T3] MSSLSRELVFLILQFLEEEKFKEAVHRLEQESGFYFNVKYFEEKVHAGEWDEVEKYLSGYTKVDDNRYSMKIFFEIRKQKYLEALDRQDKPKAVEILVNDLKVFSTFNEDLFKEITQLLTLTNFRENEQLSKYGDTKTARSIMLVELKKLIEANPLFREKLVFPTLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFIDHSCTPANGSIVPTPVNLSPAAVTKPSVYTSLGAHGPFPPTAANANANALAGWMANAAASSSVQAGVVSASSLAAPPNQVSFLKRAATPLTTLGIAEYQSPDHEQLMKRLRPSQPVEEVTYQSTRQQVSWSMEDLPRTVAFSMHQGSTVTTMDFHPSHQTLLLVGSVNGDITIWEVGSREKLTSKSFKIWDMSTCSLSFQASFAKDAPMSVNRVKWSPDGNIIGVAFSKHLIHLYAYGAPNDLRQQLEIDAHVGGVNDLAFAHPNKQLCVVTCGDDKLIKVEMDQVWDLTGRKLFNFEGHEAPVYSICPHQKENIHFIFSTAIDGKIKAWLYDNMGSRVDYSAPGHGCTTMLYSADGSRLFSCGTSKEGESFLVEWNESEGALKKTYTGFRKKSSGGVAHFDTTQNHFLAVGEENQIKFWDMDSINILATTDADGGLPIFPRLRFNKEGNLLAVATADNGIKILANASGLRSVRATEAPPAFEGSKSSVEPTAIKIPTSSAIATASAVNCKVEKTSPVRPSNFLNGDSVGQSLEKARNLDDVTDKMKPWKLTEIVDAANCRLVTMPDSSDASNRVARLLYTNSGVGVVALGSNGVQRLWKWARSEQNPTGKATANVIPQHWQPNSGLLMTNDVTGVNLEEAVPCIALSKNDSYVMSASGGKISLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKSKLRGHQKRITGLAFSTHLKILVSSAADAHICFWSIDSWEKRKMVQIQLPPGKSPTGDTRVQFHSDQTQLLVSHETQLALYDAYKMEHIRQWVPQDNLSAPISYAAYSCNSRLVYASFCNGNIGVFDADNLKLRCRIAPSVYIPLPPSLSNGNQGPYPVVVAAHPQEQNQFAVGMSDGAVKVIEPLESEGKWGVSQPDE >cds-PLY87793.1 pep primary_assembly:Lsat_Salinas_v7:8:222874978:222877153:-1 gene:gene-LSAT_8X137321 transcript:rna-gnl|WGS:NBSK|LSAT_8X137321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g27110 [Source:Projected from Arabidopsis thaliana (AT5G27110) UniProtKB/Swiss-Prot;Acc:O04659] MDATKLIPLIRNVAESKTIKHAKLVHQKVITSGQQKNIAICKNLISLFFSCQLFQSARLVFQSIENPADITLWNSLISNYTKNFMFDEALQVFEKLMHFTLLKPDSYTYPSVLKACSGLGFANFGRTIHTHLVKNGFVADVVVTSSLLGMYAKCGMFGLAVQVFDEMPERDVACWNTVISCYHRDGQYEKALDLFKKMKNDHGYEPDSVSFTTAISACSKLLNLETGKEIHKEAMRNGFGSDAFVQAALIDMYGKCGSLDMALQVFNQIPFKNLVSWNSMIAGYSLKGDSKSCIQLLWNMITQQTKPNSTTLSSSLIACSKSSNLNHGKFIHGYITRNNINPDTFIHTSLVDMYFKCNNTQSAESIFKKMAKTNVVEWNSMISGYVSVGLYLEALEIYKSMNTTQVKPDVITFTSILAACTQLGSLEIGKDIHNSIISHHFESNEMVMGAVLDMYAKCGEVEIAQQVFSRLPERDLVSWTTMITAYGAHGEAFKSLKLFQDMKKLNIKPDRVVFLAVISACSHGGLIDKGCYYFNQMVNDYGIQPKVADYSCVIDLLCRGGRVHDAYAILQKTESIREDVELLSTLFSACKLHGEIELGEKIAGLLIDKDPDDPSTYTVLANMYASTKKWDKARKVRMKMKELGLRKNPGCAWIEVDKKIEAFLVEDKSIPQAGKVYECLSVLVGHMNMDELLF >cds-PLY81549.1 pep primary_assembly:Lsat_Salinas_v7:2:127620527:127622090:-1 gene:gene-LSAT_2X59820 transcript:rna-gnl|WGS:NBSK|LSAT_2X59820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVSQEEYSKEFEEKKTELQTKVIEIYEASATEIKALVKEPKDASLKKNSTGVTKFLEELSKIEFPGSKPAHEACSKFGPTLVQGPIFFVFEKVSTFIVVEEKETTSSKDKDVAVEEEKKEEVAVETEVKAAEEVATPAEPPKDC >cds-PLY64880.1 pep primary_assembly:Lsat_Salinas_v7:3:17570563:17574845:1 gene:gene-LSAT_3X12560 transcript:rna-gnl|WGS:NBSK|LSAT_3X12560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQLDSKELTGTIPPYRNKRVTITRRLRSQIRRCEQWRVLWVVQERTRHNRFVDLESSLQLLRESYQIARLCYDFVEFMVSEDDTMKLGSIVLGSVERVTPHAIIVNVDVKGYNKGMISSEHLADNHGLASMMESVLKPGYKFEKLLVLEEHSFITDLSGLISSSVKLEHGETGKCMELLKAGNEQVLVVGTSLSTGPAIMSTGEAESTKGRLIVLCLEHKQTLDSGSMAFYSKRNSPFCDSGGGGSEQLSSSSLCSSPDDIDSNNCDVNGIKLEETEAWNLRLAYATNMRGIVLALCPYLDCYFLASAGSSFYVCSFQNDSSLRVKRLAGGKTRFMIMTLTTHFTTIVVGDCHDGILFYAYYEDAKKVEQLYSDPVQRLVADCLLMNIDTTIVSDHKGSIAVFSCSHHSTENASPECNLKVCSSFYMGEVAMSIRKV >cds-PLY95578.1 pep primary_assembly:Lsat_Salinas_v7:6:138701786:138706400:1 gene:gene-LSAT_6X83760 transcript:rna-gnl|WGS:NBSK|LSAT_6X83760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATVDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLFSKEATGGEKQFSSQGHQGNFSKNEGSGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIQPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGGLISLGFELQWVLIVAPTVVHVFGGDAEGDKSSPDCCKSSGCLVNSFSRAEISGVPPLVSSCLLELPTVDFFKRDPFTYRLVVDFKRLWVR >cds-PLY98717.1 pep primary_assembly:Lsat_Salinas_v7:1:162240659:162241618:-1 gene:gene-LSAT_1X110661 transcript:rna-gnl|WGS:NBSK|LSAT_1X110661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFESWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLLISAISLIAGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPASRGEYVRWNNFLDVLPHPQGLGPLFTGQWNLYAQNPDSGSHLFGTSQGAGTAILTLLGGFHPQTQSLWLTDMAHHHLAIAFLFLIAGHMYRTNFGIGHSMKDLLDAHIPPGGXSICNK >cds-PLY65422.1 pep primary_assembly:Lsat_Salinas_v7:9:185196765:185201955:-1 gene:gene-LSAT_9X113581 transcript:rna-gnl|WGS:NBSK|LSAT_9X113581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGDWGATRWRSAENCKGGSDRRREVLWMEGCGCESQHIQMSRRPASLSRRLGDGTGIPFMGSLNPKSRPSPFLSIGLVLVGAFLIIGYVYSGSGGSNIDKVALSRLEGGVSCSAEINQALPYLKKAYGDSMHKVLHVGPDSCSVVSKLLKEEDTEAWGLEPYDLDESDANCKSLIRKGIVRVADIKFPLPYKSKSFSLVIVSDALDYLSPRYLNKTLPELARVSSDGFVILSGYPGQRRVKVAEMSKFGRPAKLRSSSWWIRFFVQTKLEENEVATKKFELAAAKKAYQSTCQIFHLKSLH >cds-PLY80711.1 pep primary_assembly:Lsat_Salinas_v7:3:166717341:166718550:1 gene:gene-LSAT_3X102421 transcript:rna-gnl|WGS:NBSK|LSAT_3X102421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIAYISMPRSEGPDVDRTVVEQDAKALFNAGEKRLGTDERTFRSIFSERSRPHMDAVSSAYKNMFGNTLKKAIKSETSGNFEYALVTILQSAENPGKYFAKVLRKAMKGLGTDDKTLTRVIVTRTEMDMQYIKAEYYKKYGKTLTDAVHSETSGNYRTFLLSLLGPNN >cds-PLY88478.1 pep primary_assembly:Lsat_Salinas_v7:1:149424186:149425430:-1 gene:gene-LSAT_1X105900 transcript:rna-gnl|WGS:NBSK|LSAT_1X105900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLARLFRSSFYLGVVSFIDPSDWSSSSSPSSERLVDYGDYGFCDSLLFGSPISNFTLKTSIELLASPITRVIATTAASVAAYGLPPEVSKAEAENAAQHSVALVDCNYGVNAF >cds-PLY87848.1 pep primary_assembly:Lsat_Salinas_v7:2:65775414:65784589:-1 gene:gene-LSAT_2X29860 transcript:rna-gnl|WGS:NBSK|LSAT_2X29860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGAMIKPDDTVPVTKHLAYLVSYKKYLKDLHSKMRELNFARIDVKQHLNRNRRSNLEVPAQVVDWLEEVDKINAQVDNVPIDAGSSLNLMNRHKLGKKAFKIIEEIESLLRQQSLMAWSDVPVPLGKVDFMKASASSDHNEFQSREQIFMDALKALGPNNTSHMIALSGMGGVGKTTMVQRLKKVVKDKKMFHYIVEVVVGANTDPIAIQDTVADYLSIELKGNTRDARAYKLRECFKALSGGGKMKFLVILDDVWSPVDLDDIGLSSLPNQGVDFKVLLTSRNSDICMMMGASLIFNLNMLTDEEAHNFFRRYAEISYDADPELIKIGEAIVEKCGGLPIAIKTMAVTLRNKRKDAWKDALSRLEHRDTHNVVADVLKLSYSNIQDEETRSIFLLCGLFPEDFDIPTEDLVRYGWGLKIFTRVYTMRHARKRLDTCIERLMHANMLIKSDNVGFVKMHDLVRAFVLGMLSEVEHASIVNHGDMPGWFETANDKNSLCKRISLTCKGMSAIPEDLTFPNLSILKLMDGDESLRFPEGFYGEMENLQVISYDNMKQPFLPQSLQCSNVRVLHLHHCSLMFDCSSIGNLLNLEVLSIANSAIKLLPSTIGDLKKLRLLDLTNCVGLCIANGVFRNLVKLEELYMRVDDRDSFFVKADDSKTISFMDDNYNEMEERLENISVLEFEFFQNSAQPKNISFDNLEQFKISVGRSLKGAFRKSKQSFENTLQLVTNKRELLGSRMNELFEKTEMLCLSVDDMNDLGDIEVKSVHPSRFSSFYNVRVLVISECVGLRYLFTLAVAKDLKNLEHLEVYSCDNMEELIHSENGGEETITFPKLKFLSLICLPNLLGLCCNANIIELPQLLELGLATIPNFTSIYPKNELATSCLLRESVVIPKLEKLHIRHMENLKEIWPCELSTNENFNESLLKAIEVTDCDKLVDLFPCNPLPLLDNLQELQVRRCGSIEVLFNINLDSAGGIGEGSSKSSLRSIEVNQLGKLRELWRIKGANSSGLLIGGFQAVEYIEITKCKSFRNVFTPTTTNFDLRALKEIWINNNGENRCNNNLMESSQQEQEIIVISNEDTSKVGDCIPNVVFPSYLVHSFPNLHQLKLWNFNEVEVVFEIEPRSRELVTTHDNQQQLLPYLKELDIRHMDNMNHVWKCNWNKFLILQEQKSKPSFHNLTTIHISSCTNIKYLFSPLMAKLLSNLKNVNIAICDAIEEVVSNRDDEDEEDTRSIHKSTAFFPYLDFLTLACLSNLKYIGGGGAKHRSNESSSNNTTTRTVISDESKVDGVSWSLCQYPRVISIVECDSLSTVIPSYALRHMQKLEALKIESCKSVKEVFEGNSGTQRLSNVIMLQLPKLKKLEIKDCDLVEHIFTFSALGSLIQLEELMIEDCKEMEVIIKEEHGEKTTASNVVVFPRLKSIKLVNLPYLQGFFLGMNEFKWPSLDNVAIRDCPEMTVFTRGRSMAPQLKSIQRSLGKDIDECDLNFHQTPLRSLGNTLEVIPLPVHDENRIHLENDVSTKKIIPWSALQKLQMLKEIHVWSCNVVEEVFEALEGTNSGSGESQTVVNLPNLTKVELVFLKNLRNPKEIPYIIDHDDANWEYCVGLQV >cds-PLY61869.1 pep primary_assembly:Lsat_Salinas_v7:6:62344841:62348786:-1 gene:gene-LSAT_6X46181 transcript:rna-gnl|WGS:NBSK|LSAT_6X46181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIKGYSIASVAEMGCCGCFGFSLRKKHKKTRPRTHIESHISQELLLNEEVEEEEEEEEHEEEEDDDNCSYGDDISDTEKDDHVEFKNPAKRSEDILLYRTQNGLICREFPVKETHKVIRSEDENGNKMVNEYVREYKIGSGSYGKVVLYRSQVDGKHYAIKAFHKSHLLKLRVAPSETAMTDVLREVLIMKMLNHPNIVNLIEVIDDPNTDHFYMVLEYIEGKWVFEGSGPPGGLGEQVSRSYLRDIVSGLMYLHSHNIVHGDIKPDNLLVTATGTVKIGDFSVSQVFEDENDELRRSPGTPVFTAPECCLGLTYHGKAADTWAVGVTLYCMILGQYPFLGDTLQDTYDKIVNDTIWLPDVMNPQLQNLLEGLLCKDPTQRLTLGDVAVHPWVVLDEGPIREFKCWCKRERGENENVDEGITGNFIAITD >cds-PLY76702.1 pep primary_assembly:Lsat_Salinas_v7:3:141160653:141161350:-1 gene:gene-LSAT_3X92901 transcript:rna-gnl|WGS:NBSK|LSAT_3X92901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPKDWATRLLLTSMHGTSIHVHDSKSLFLQVVCMVLHGLIGNKHLSIQIIGAWFGAWPMPLDWERT >cds-PLY87558.1 pep primary_assembly:Lsat_Salinas_v7:8:114759141:114759410:1 gene:gene-LSAT_8X75780 transcript:rna-gnl|WGS:NBSK|LSAT_8X75780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMVSGVLAVATMVAVMVVLVAAEEANCDVKVLLGACEMQLKEGGMPSEKCCGVLTEQLPCICGYPERHDWPGITKTCGFCDVPFPPCP >cds-PLY74842.1 pep primary_assembly:Lsat_Salinas_v7:8:106031309:106033815:-1 gene:gene-LSAT_8X72341 transcript:rna-gnl|WGS:NBSK|LSAT_8X72341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGACCSCFSFSLCGCCRFKSKLPRSSDPENRGGEDDKLTWPCFREYKLDELRAATGGFSIENVVSEHGEKAPNVVYKGKLPDGDSLIAVKRFNKSAWPDTRQFLDEAKTVGQLRSHRLANLLGCCYEGDERLLIAEFMPNETLSKHLFHWESQPLKWAMRLRVALYLAQALEYCSIKGRSLYHDLNAYRVLFDKDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMKTGRINAESVIYSFGTILLDLLSGKHIPPSHALDLIREKNFQMLMDSCLEGHFSIEDGTELVRIASRCLQYEPRDRPNPKSVVMSLNALQKQTEVSSVVLMEITNPPPVENAKEVDSLSPFGEACSRMDLTAIHEMLEKSGYKDDEGATNELSFQMWTSELQEALNGRKRADTAFKTKDFNTAIESYTTFIENGSMVSPTVYARRCLCYLMNNKAQEALGDAMQAQVASPDWSTALYLQAAALFSLGMENDACEMLKDGSILEAKTKGK >cds-PLY87279.1 pep primary_assembly:Lsat_Salinas_v7:2:170438990:170439688:-1 gene:gene-LSAT_2X94241 transcript:rna-gnl|WGS:NBSK|LSAT_2X94241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFLQKLVRKKQSSTSLITNLNRRILSPSSHLLDPKPGPTVAPISFFNGLPKTTDESNPNPPHLSAFYPCFAFESFLNPISQLGFIQHVVPEEDIVSGDDERGIWADSVKKKRKKKMNKHKLKKLRKRLRRKT >cds-PLY92567.1 pep primary_assembly:Lsat_Salinas_v7:7:159738455:159740015:-1 gene:gene-LSAT_7X95160 transcript:rna-gnl|WGS:NBSK|LSAT_7X95160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLFIITWVIVYDYELNIGWGKSVSLPSQSLPAPPPGQMAIMSKKGATVILCGPSGPPVTSVPSHNSELVLTPNVPDDKGLTGSSSDLRYDYGKFYASKTCFDSVKNRRILWGWINESDRSTNDIKKQWARIQELDGATHVTCGVLAAYLLNNLRFVFFSSSFFTVSVGLETEAIIVVLNKLSKSKLPKEMIDFIHASTSNYGKVKLVLKKNRYLVESPFPEARLFILFLICGSCS >cds-PLY76329.1 pep primary_assembly:Lsat_Salinas_v7:5:296353998:296355358:-1 gene:gene-LSAT_5X158220 transcript:rna-gnl|WGS:NBSK|LSAT_5X158220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQALSPLFSSNFAWPSEDLIPNHFQLDGNDLSIDVEANSYKSFLGFHTYEDIQHDFSNENSNSSGGLVNDDYANPLMVVKKLNHNASERHRRKRVNDLYAFIRSLLPISTDQKKKVSIPGTVSRALKYIPELQKEVETLIRKKEKLSSYSSSKAYLTEEGMKNKMGKDAKIETNSSFVSSVRVLGAKEAVIQLISSKDHMHKRKEIGFLSTVLKYLEEEEDGFVLLNSTTFKSLGEGMLFSTLHIQVQGDNNIDAGRLKEKLCSFYQQSD >cds-PLY82670.1 pep primary_assembly:Lsat_Salinas_v7:4:62811101:62812043:1 gene:gene-LSAT_4X43321 transcript:rna-gnl|WGS:NBSK|LSAT_4X43321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPEMALKAYIDTVKTCKKFQESDDAEMISAMAGGWNPRLIVEAWSSGSEITTSIGLEIAARHSGARYVCILQNECSRSEYIAALKEHGSTVPELMVGESMEVMERLPEVEFMVVDGGRKDLSELFGVARLSHRGAVLLCRGGRTGRRMGGFRWDLVVKKACLVRSVIIPVGQGLNIGYVKENLEYKKSSSKNDSRWITRIDRKTGEEHVFRG >cds-PLY66482.1 pep primary_assembly:Lsat_Salinas_v7:5:327047416:327050298:-1 gene:gene-LSAT_5X182621 transcript:rna-gnl|WGS:NBSK|LSAT_5X182621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGLYRRILPSPPAIDFASPEGKQLFIEAIQGGTMEGFFKLISYFQTQSEPAYCGLATLAMVLNALSIDPGRKWKGPWRWFDESMLDCCEPLEKVKAEGISFGKVVCLAHCSGAKVEAFRTNQSNIDDFRKHTGSGHFSPIGGYHAGRDMVLVLDVARFKYPPHWVPLTLLWEAMDTIDDSSGFRRGFMLISRLHRSPALLYTLSCKHESWIKIAEYLVEDVPKLLSCKDVKDVKDVLAIVFNSLPSKFLEFIKWVAEVRRREDAGQTLSPEEKERLGVKEEILKQVQEIRLHKHVTDFLLTGKSREKESPCLRKESCLTDIADSLCCQGAVFLEGNSNGFCCQKTCVKSNGDVQVDVLVPSSLEMHPATNDVLTVLLLALPPQTWFGIKHEKLLQDISSLVSIDSLPTLLQEEIMHLRGQLYILKRCKDEETEEDFGAPLI >cds-PLY92009.1 pep primary_assembly:Lsat_Salinas_v7:8:241459652:241462088:1 gene:gene-LSAT_8X145441 transcript:rna-gnl|WGS:NBSK|LSAT_8X145441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSFTLAILIILTGILFWQWFSAHPSRKKLPPGPMPLPVIGSLHLLGNLPHRALHKLSQKYGPIMSIRLGSIQFVIVSSPEAAKLFLGTHDAIFASRPKIEAAKYLSYGSRGMTFSEYGPYWRSVRKFCTIELLSTTKINGFAWMRREEIRLMVDEMRVASITHKVVNLTEIVGTLIEGMTCTMIFGKKNDDRFIFKKLVDESMEATGAFNLADYVPMLAPFDLQVVVTIVAIMGWLKMVVVMTVVEGGASCG >cds-PLY83876.1 pep primary_assembly:Lsat_Salinas_v7:3:52356353:52357217:-1 gene:gene-LSAT_3X39520 transcript:rna-gnl|WGS:NBSK|LSAT_3X39520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTLAARQAANLLRLSTPRSASQAASLIQRRGLAGAADHHGPAKVDFWKDPMSPSRWKEEHFVIVSLSGWGLLIYGGYKMFSGGKKDEKLVQAIN >cds-PLY77210.1 pep primary_assembly:Lsat_Salinas_v7:5:8138400:8139200:-1 gene:gene-LSAT_5X4941 transcript:rna-gnl|WGS:NBSK|LSAT_5X4941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVLVALLSLLVSIQKGDAHDLKIGSSGDWSIASAPYTTWAERARFSIGDTILFNYDGSKDSLLLVSQADYNNCNTASPIEKHNDGHTVITLERSGPHYFISGVPENCKKNEKVTIVVMADRSQKATSESPFVASSNFMIFFCLVGVFACFFI >cds-PLY76916.1 pep primary_assembly:Lsat_Salinas_v7:2:199897856:199900926:1 gene:gene-LSAT_2X122160 transcript:rna-gnl|WGS:NBSK|LSAT_2X122160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSHIGFLFSCLVISGITSSISISGGGNETDYQALLQFKSMITNEEGLSSWNTSFHFCDWSGVICGKRHRRVTALRLKSQGLEGSLSPHVGNLSFLRHISLSNNSFQGTIPHELGRLTRLRALDLSSNKFNGVIPTNLTRCYNLEELELSYNKLVGSIPKEISFLSKLTLISIRTNNLTGGIPPALGNITSMEVFSAAENPFGGSIPNTLGRWKSLRGFGCGGCNLFGTIPHSIYNLSRLANFSLAGNELIGSLPSALGAMLPHLVFLQLRHNNLIGPLPPSISNCSKLRILEVGDNNFSGKLTIDFAKLKDIYKISLYNNIFGGEADDMKFINTMENCSRLESLNLNTCQFQGVLPASIGNLSDRFRFLNLHGNHLHGRLPSSIGNLVGLTALDLSKNQFSGKIPSTIGKLQKLQDVFLSENQFSGPIPDAIGNLSLLITLYLSSNRLEWHIPSSVGNCYNLLALYLEDNNLSGRIPRQLLRLSSLALALDLSQNNLIESLPTEVGQLKMLTSLDLSDNNLSGNIPSSLGDCISLVSLSLKGNSFQGIVPSTLSSMRGVSTLDLSQNNLSGQIPRFLEGLILLEYVDLSFNDFEGEVPVLGVFANTTKFSVLGNSRLCGGLPELGLPKCKGTGKHKRRFPLFAIFILIASTLFTILCFAYVWGKKKKSQPSQSSREGRFIKVSYGQLLKATNGFSQANLIGEGGFSSVYKGILDDHDDRFVAIKVLHLQNRGAHKSFIAECQAWQSIRHRNLLKIITSCSSVDFQGNDFKALVYEFMPNGSLHDWLHSNVTTTKLNLLQRINILIDVASALDYLHNHCLPAIIHCDLKPSNILLDDDMVAHVGDFGLARFLGTNSNKNSTSGIRGTIGYAPPEYGAGSEITSSGDVYSFGILLLELMTGKRPTDNIFIEGLSLHRFADMALPDHVIDVIDDDLLNLHQEDAIPMIADVKKIEECMASTVKLGVSCSVDSPAQRLNIVNVVHELQHILDTLQNS >cds-PLY81708.1 pep primary_assembly:Lsat_Salinas_v7:3:30329550:30333188:1 gene:gene-LSAT_3X23141 transcript:rna-gnl|WGS:NBSK|LSAT_3X23141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVIKSQQLSARPIEKVVVHPLVLLSIVDNYYRVAKDTRKRVVGVLLGSSFKGTVDVTNSYAVPFEEDEKDPSIWFLDHNYHEAMFSMFKRINAKEHVVGWYSTGPKLRENDLDVHGLFNDYVPNPVLVIIDVQPKELGIPTKAYYAIEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTGKLAALKGLDARLKEIRGYLDLVIDGKLPLNHEILYHLQDVFNLLPNLNVADLIKAFAVKTNDQMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDAKPTAVPPVAGS >cds-PLY99086.1 pep primary_assembly:Lsat_Salinas_v7:8:264480250:264484098:1 gene:gene-LSAT_8X74041 transcript:rna-gnl|WGS:NBSK|LSAT_8X74041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGGGLLEKMIGDDKVVGNLQWHVAMLCLIDNFCIITAQKPNDPNLGFWFILFESYYFKPLETLSNTDRCTMNQTRGEPMNSSPAFDEYKCRYYKELTLGLFKVKVSEKVYKCPYCPQSREYSYDDLCRHATRIARESKSAGLKEKGKHMGLLEFLERDIKPSESTCKRSRDPQLGLETLLQELSKRSQELISRTDSDMAFVIQQNEIIIDNFNRDLTNLLENANKKVKKIITEHEQIKMNESETLEQQKSDDTMKKLVEDQKRELEKLHQQIMELQNKSESFEEEVKEKDKKIESLEDELQNIRQQLVSGLEDNRVRGFCSTISVKRIGELDAKPLIASAKRRCLSEEDTARFISLWEDHLRDPNWHPFKVIAIGEGESKEMIDEEDEKIDMLKAECDEDVYDAVVTALKELNEYNPSGRYPLAELWNNKEERRATLKEGVEFILKRWRTYKHKNRG >cds-PLY78256.1 pep primary_assembly:Lsat_Salinas_v7:3:88038134:88039052:1 gene:gene-LSAT_3X67041 transcript:rna-gnl|WGS:NBSK|LSAT_3X67041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKDDVKLLSMWASPFCMRVKVALAEKDIAYEEILENNLLGGKTELLLKSNPVHQQVPVLLHDNKPILESTNIITYIDEVWPSKPLLPTCAYEKARAQFWADYIDRKVHSAGRAIWTAKGEDEVEAAKASFLDILKFLDGTLAEKDYFAGDNFGFVDILLVGLTSWFPAYEKYGSFKVEDHYPKLAAWITRVHVRESVSESLANPEKILNFVVMLRQMFGVE >cds-PLY76024.1 pep primary_assembly:Lsat_Salinas_v7:5:319949984:319951331:-1 gene:gene-LSAT_5X177220 transcript:rna-gnl|WGS:NBSK|LSAT_5X177220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKIPVKIKSVVYGLSPFQQKVMPGLWKDFTGKVTHKVTDNWLNTILLVGPVVGVYTYVQSYQEREKMHHRF >cds-PLY97292.1 pep primary_assembly:Lsat_Salinas_v7:1:44410591:44410842:-1 gene:gene-LSAT_1X38741 transcript:rna-gnl|WGS:NBSK|LSAT_1X38741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTENRQGIPLITGRFDSLEQLDEFSRSF >cds-PLY80351.1 pep primary_assembly:Lsat_Salinas_v7:7:155425206:155429416:-1 gene:gene-LSAT_7X92141 transcript:rna-gnl|WGS:NBSK|LSAT_7X92141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESIIRLLLTLSISLLLNTCAYCKRPAVVNVGALFSFDSVIGKAAKTAMEIAVIDVNNDSRILSGTEMKLFMEDTNCSVFKGSIGAFQMLEQDVVAIIGPQSSAVAHMISQIANGVQVPIISYAATDPTLSSLQFPYFFRTTQSDSYQMAAMANLIDFYGWKEVIAIYIDDEYGRNGVFSLDDEVEKRKSKISYKLALPTNYNLKDISDVLNYSIPLGPRVYIIHIHPDPALKIFEVANKLNMMTTDYVWLTTDWLCTTLPSITPTNQPSLKIIEGVVGICQHTPQTSRKKNFESNVYGSYAYDTVWAVANTIDTFLNQETNMSFSFFDSKFGKLKIFDNGKDFVKILSETNFNGLTGQIQFNTDRNLVPRGYEIVNFVKLAIQNVGYWSNHSGLSIVPPESLKVDKSKLPRLNEKLGVITWPGGNTDRPRGWVIGDQERPLRIGIPKRASFVEFVTELSNHTIQGYCIDVFTEAVKLIPYQLPFRFVPFGDGEKNPNYDLLVKSVTDDVFDGAVGDIAIVTNRTKIVDYTQPYATTGLVIVVPINDSKASAWVFLRPFTIEMWCATTAAFVLIALVIWLLEHRVNDDFRGPLKRQLVTIFLFSFSTLFKRNQEDTVSPLGRMVMVVWLFLLMVITSSYTASLTSILTVEQLSSPITGIDALVASHLPIGYQVGSFAHTYLAESLYIPKSRLIPLGSPQEYDNALRLGPHHGGVAAIVDELPYIELFLLEYADFRIVGQPFTRSGWGFAFKRDSPLATTLSLAIMELSENGKLQEIHDNWFCKDGCSSAVGADSEPNQLQMSSFWGLYIICGAFSLTALVLFLLQTIRQYIYYKQQQMLDPSSPMFPASRNRGCYSVLFNFFDFIDEKEEAIKNMFKKDDLPEASRTV >cds-PLY73672.1 pep primary_assembly:Lsat_Salinas_v7:5:203553499:203555098:-1 gene:gene-LSAT_5X92460 transcript:rna-gnl|WGS:NBSK|LSAT_5X92460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESDSILSGNISEQRWVDQISKNFDDEVGNISDIPVCVFSVPKSTSHFKPEAYVPLAIALGPYHHFETHLYQMERYKVAAVKDLLNEDQLLAFETLVINRLKEKELMIRACYHKYINLDGDTLAWIIAIDGLFLLDVFRHYGDVAPLMPKKLINDGVLYRDIMVLENQIPLRLLSEIRKILRLSSTDDNNNGEQELFSMLREFCEVHSPLKLGKESNHGVGTSYLHLLDLMYHLIVNNQSTTDPLQVVVMQRKDNDDAMKWKEKDDINLTEIEEVVEMGLRLGVGKKADRPIQVIKNIPWEKISNLVGLKISQQSSEEDDNKPEVAEIEIPSVSSLTKYGQISFCHTTGGIRDIKFEESEATLYLPVITLDIYSEVVLRNLIAYEMATYNSTPELAQYVDLMSGIIDTEADAKLLRDKGIIRGNLNNKEIADLFNGINKCNGHKSGNKTVKLVNEYYNNRPRIKALRFIKKDLICSKRVATVVLTIILFLLMSLYSFCEVYGCPKLFDKK >cds-PLY91434.1 pep primary_assembly:Lsat_Salinas_v7:7:133109191:133111063:-1 gene:gene-LSAT_7X80480 transcript:rna-gnl|WGS:NBSK|LSAT_7X80480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSMGSDRFRKAIGKVKDHTRISLARVSSSTDLPELEVAIVKATRHAEYPAEDRHIREIITLTNDSQIHVASCVSLISGRLDKTRNWIVALKVLILIQRLLQDGDRAYEREIFYATRRGTRLLNMSDFRDMSRTNSWDFSAFIRTYSLYLDEYLEYRMQGGREKHDDEPEPEPEPVTLKSPVDGKTKDNLFSRLQHLMQLLERFLACRPTGPARNHRIVMVALYPIVKQSIYMYYDMTDALSILIGQFRELEISDSVKVYEFLRRVRKQFDELDNFYDYCKSIGLARTSEYPEVAKISQKRLDIMDKFIREKSGTSQYQSESEEEEEARSPEPDKKEEKLSQDDVLNLGDGGQTVEEYADKVGLAFYDGGPANHQPSLPGGFSPEVIDVCINKQDKTPGT >cds-PLY72508.1 pep primary_assembly:Lsat_Salinas_v7:2:141745465:141747516:-1 gene:gene-LSAT_2X69960 transcript:rna-gnl|WGS:NBSK|LSAT_2X69960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g77010, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G77010) UniProtKB/Swiss-Prot;Acc:O49287] MDLALQTCPRLLQTITSHLLNKQGKPLHLLFLKRGVFPSSITLANRLLQMYVRCDYLDDARKVFDEMPQRNCFTWNSMIEGYVKSRNTKESLHLFYAMPHKNTFSWNMIISGSVKAGNLNLARKLFDEMPVKNGVTLNSMIHGYAKNGQSAEAISLFKDLKSVCDVDIYVLATVIGACTDLLALRLGKIIHGFIVVNGVEIDPVLGSSIVNMYGKCGDLDTASLMLHNLPYPDDFSLSSLISAYSNTNKLSEAKRIFSMKKDKPPCVALWNSLISGYISNNMATEARVLFVEMRRNGTKEDASTIASVLSASCDIMYATQVHAHVCKFGVIHDLIVTSVLINTYAKCGRPNSACNLFNEININTYDTVLLNSMISIYFSCGRIEDAKQVFHSIKSKTLISWNSMISGLTQNGYPSEALKYFRELNTKGFKIDKFSLASVISTCGTISFLELGQQLFSRAIVVGLEYDKIVSTSLVDFYCKCGDVDNGRKLFDEMVEKESCDVDEASWNSMLMGYATNGYGIEALDLFNDMRKATGILPTDITFTAVLSACDHCGLYEEGLKWFYAMKNEYCIDRGVEHYSCMIDLLARVGRLEEAMNLVVSLPEFKDDVSMWSAILRGCVAHGDRILGKKVAEKMIEMDPKNPDGYVQLASIFAGCGEWVGSEQLRKLMTAQRIQKLPGTSWV >cds-PLY74175.1 pep primary_assembly:Lsat_Salinas_v7:9:10857953:10860586:1 gene:gene-LSAT_9X9741 transcript:rna-gnl|WGS:NBSK|LSAT_9X9741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGVIVPLDSFSQPASLIKKTAVSRSWVSVDTSGQSTVLDLDKYGIMKRVSIHARDLRILDPLLSYPSAIFGREKAIVLNLEHIKAIITTEEVLLRDPLDDNVIPIVEELQRRLPPPNLNFMGQGDDEEGTGVQSEAQTSESNEFPFEFRALEVALEGICSFLDARTRELETAAYPALDELTSMISSRNLDRVRKLKSAMTRLTNRVQKVRDELEQLLDDDDDMADLYLSRKLSFASSASSDVGDFTWSPASPTIESKISRASRATPFSTHGENDVEELEMLLEAYFMQIEATSNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLLSGTVSLSVYSLVAAIFGMNIPYPWNKNHGYAFKWVVMFAGVISGSIFMSILTYSRHKGLVGL >cds-PLY74701.1 pep primary_assembly:Lsat_Salinas_v7:5:25281376:25282071:-1 gene:gene-LSAT_5X12440 transcript:rna-gnl|WGS:NBSK|LSAT_5X12440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQHCRVSRPSKKHGKYSNGYGGQRVLDAFHFEDSPCKEDNVLGVLNVHGGPEVVKSSVDSSGSYNSNHSNKAFFVSNSPIHSTESVEDKFENEKDKAKSAYIQGVVACDIPEGVLESNSHDEAHSYSLSSSQPAMSYLLENSTGKKNVGFEKASESNVGDKQEASSFVSRHSFPSLAAASAPASTKALFLPPATLSSDILATPNVGGVAPPSFLPAATFVAPLGYWMQQK >cds-PLY84864.1 pep primary_assembly:Lsat_Salinas_v7:4:294023059:294024429:-1 gene:gene-LSAT_4X151221 transcript:rna-gnl|WGS:NBSK|LSAT_4X151221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVSRQSLNRMILHSNLSASSSKLATPIAISLQEIQHQTSQKKCIKLTLHTPPFPIDSIAQTQSLRERIYYSESDFPPTALAIFCHRSGVSRSYTARAVSNNLFCFAVHEQLDPYEEMET >cds-PLY83643.1 pep primary_assembly:Lsat_Salinas_v7:4:41927153:41931609:1 gene:gene-LSAT_4X29721 transcript:rna-gnl|WGS:NBSK|LSAT_4X29721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKRVDNDIYIYIFNINKFKFSINVHPRGVLLSGFDSCCARSHAYIGRLSSTPTVGITSRNHILRPPSAFNNLPLAACLSMGSTIKEDQCMPDEKLEQHTNHYNKEIMAGSELWTDGLLCAFEYVKGQRRSEKSKSHTKPQPAHKPNSHKIMTKSSLQELDDGYSSEPTPLTEIWNENIQHWIPIGWARISELVETVQINDDWTSQQYDFTDDEDDRTTADLAAPYWERPAGPIWWCHVDASHPHISSWLTNASWLHPAISIALRDESRLISERMKHLLYEVPVRVAGGLLFELLGQSFGDPYIKEDDVPVVLRSWQAQNFLVTALHQKGVTSFLNVLGVIEVQELLFGGGNNIPRTIHEVIALLASRLARWDDRLFRKSIFGEADEVELKFINKRNNEDLDLFIIILNQEIRRLSQEVIRVKWSLHAREEIVFELVQHLRGNTTKMLLEGIRKSTREMLEEQEAVRGRIFTVQDVMQSTKRAWLQVFFFLERRVKRSFYPSYSDPSFRPKKKQSLLDRSTRVTHNLAVFGGCGLVLSIITGLFGINVDGIPGSENTPYAFGLFSGMMFLIGIILIAVGLIYFGLKQPITEEQVQVRKLELQELVNMFQHDAETHAQGVGGGGDGSGSATVVVMTDDGGYNCVSGGDGGASDRLVVVVVMVMVAR >cds-PLY91810.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:886721:887422:-1 gene:gene-LSAT_0X1080 transcript:rna-gnl|WGS:NBSK|LSAT_0X1080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKIAGLISTTWKALKLSSAFGRLVISSDGIWDALSTESALECSRRLAPESAAA >cds-PLY64201.1 pep primary_assembly:Lsat_Salinas_v7:7:4578328:4579412:-1 gene:gene-LSAT_7X3240 transcript:rna-gnl|WGS:NBSK|LSAT_7X3240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEHIPFHIQEEIMKRLPVRSLVQFRSVSKTWKSLIDSSDFIAAHSLHCHTQPHHLLVSYEYPPIDEDYVLFSKCYIDDDSFPQPMSVPTLTQSIKQLFLPRVIGSSHGLLCLYGSDPDSKAGMAVIWNPSIRKSIVVADVPREPFFIDVLGEPSFGFGLRGMDKSIQEPACYIGLSFSAVATDGFLYWSAMSEDHKMITSFDLTNESFEVIDHPDSVAHYCLSKLGESLALLQYGEAYNFSTVWIMEHHGPQRSFTKLFTIKTPHESIVGFSKNGIPIMQVTDDDDDEHLPKLVVYEPHSEHNNVLEICPPVNFYFVDSYVETLFLLGWSDSSSY >cds-PLY68440.1 pep primary_assembly:Lsat_Salinas_v7:2:58509782:58510895:-1 gene:gene-LSAT_2X26300 transcript:rna-gnl|WGS:NBSK|LSAT_2X26300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPVGDKPSLMTFLDVETVFHEFGHALQHMLTKQDEGLVAGIRGIEWDVVELPSQDTLMSIAKHYETGETFPEEIYQKLLAARNFRVGTLSLRQLKFATVDLELHSKYVPGGSKSIYDVERRVSEKTQVLPLLEEDRFLCGFSHIFAGGYAVGYYSYKWAEVLSADAFSAFEDAGLNDDKIKNLT >cds-PLY62707.1 pep primary_assembly:Lsat_Salinas_v7:6:55359329:55360237:1 gene:gene-LSAT_6X39661 transcript:rna-gnl|WGS:NBSK|LSAT_6X39661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVETLSKMVPFFDFSAVERIYVDFVRHNFIAMKVDHMKEKVTKKSLMELAIQEQVRERQEMEKKMQKLIKTMDHFERAKREEAAPLIEASFQRCLALWLKRNSAMNTNNRECHEGDLKEKYRLARVMEHKMELEEKVVSRQKAEYDRMRAERERKDLAKSSRHKKRKGT >cds-PLY99212.1 pep primary_assembly:Lsat_Salinas_v7:4:367307827:367308142:-1 gene:gene-LSAT_4X179960 transcript:rna-gnl|WGS:NBSK|LSAT_4X179960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPFYPNSEPYSDLFVTYSSQKDASSRPSALSLCKNCFRWYKCICCLNRYDHQVASSPVSLSQYCSALIPSSLSFCWTSF >cds-PLY72217.1 pep primary_assembly:Lsat_Salinas_v7:7:54428175:54428405:-1 gene:gene-LSAT_7X39541 transcript:rna-gnl|WGS:NBSK|LSAT_7X39541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNDGKSLRSGGKYGQEARKDRKSATGINGSPKKGGHGGKFTWSGDSNFSPAELGGFDRAVNDDAIHPKSKSDDLE >cds-PLY64093.1 pep primary_assembly:Lsat_Salinas_v7:MU045727.1:300880:303303:-1 gene:gene-LSAT_0X3401 transcript:rna-gnl|WGS:NBSK|LSAT_0X3401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVTMRWPLYKSSTVRVKIGPRVPHLLLDPSSIFISYLHLRSAVCIQLFLYHPQFNIDALKFVETIKEFVAKQMPEEDLLEVKFRLFDGSDIGPFRYSPASTISLLKERIVAEWPKDKKGGPKSANDIKLINAGKILENNKTVGQCRTPFGDLPITMHALVQVSLTKTKTEKKIDEAPKINTYSTSMTTYMKRIFQIMTP >cds-PLY69080.1 pep primary_assembly:Lsat_Salinas_v7:5:277188493:277190003:-1 gene:gene-LSAT_5X144761 transcript:rna-gnl|WGS:NBSK|LSAT_5X144761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHRLINPVLHVAFPNHQILGSAQLTAVQLLWVNLIIDTLGALALATEPPNNGLMMQQPVKRTDSFITKMMWRNITGQSIYQMDVLFVLNFIGKPILNLQGPNSTAILNTFIFNNFVFCQSCKSNSCDCKIGRANWSSVKENRGLIKVLVAKSQAEGLQMHMKGMVEALLSWQSTNKIHFKAKVSERFGFIS >cds-PLY64439.1 pep primary_assembly:Lsat_Salinas_v7:8:271629674:271631950:1 gene:gene-LSAT_8X156180 transcript:rna-gnl|WGS:NBSK|LSAT_8X156180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKFKNSIPFLILIISSINVLVFAGKAEDPFTPKAYVIRYWKKQISNDLPKPSFLIEKASPLTAVQSAAFSKLAANQHSLSTNLPSFCSAANLLCFPDVGPSLEKHSGDVNFKEYSEKNFTNYGSGRLGGFDSFKNYSNDGNSALDSFRRYSRDSVGHGDKFSTYARDTNVPDQSFNTYGTKATGGTGDFTSYAQDVNVPTMRFTSYSDDVNGRVQTFKSYSENANAGDQSFTSYGKKGNGAENEFKSYGNNSNVIGSSFSNYGENGNGANDSFTSYGENGNVPENNFKSYADSGNAAVDSFTSYRDQSNVGDDNFKSYAKSSNAADLKFSNYGNSFNEGTDTFSGYGGGTVTNQKFGFKGYGVNNTFKDYADKKRASFATYATKGSAARVGAMKTKSAASGKPVNKWIEPGKFFRESMLKSGTIMPMPDIRDKMPKRSFLPRVILSKLPFSSSKLGDLKKIFHAEDNSSMAGLISEGLSECERKPSQGESKRCVGSVEDMIDFATSVLGRDVVVRTTDNTNGSGKKVKIGSIKKINGGKITKSVSCHQSLFPYLLYYCHSVPKVRVYEADLLDPNSSSKINHGVAICHIDTSAWSATHGAFLSLGSEPGKIEVCHWIFENDMTWAVAD >cds-PLY64425.1 pep primary_assembly:Lsat_Salinas_v7:5:37598146:37603865:1 gene:gene-LSAT_5X18560 transcript:rna-gnl|WGS:NBSK|LSAT_5X18560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDEQPSSPIHSDDEIKNNNNDTNGNNVIVRRERPSRACTVRSAARIYEAAVAEAAVVSTVRKQKPRRRPRREVEEEEEEEEEPPPSPPNPYSDIITPLVSEPESSQLPRWEIRSMWELASVLNFLNVFRPLLNIKVEFSVEELETALISPNNTLGEVHIPLLKAIPPVTRMALGQNTWVTVLCRKLRDWWHWVSEGELPIVASHGAEIDTYNTLDPRVRVVILKALCDIRVEQDDIRSYIDDSIKHGVPLSAFRKERTGGDSHGVSYWYEDDPIIGQRLYREIRKVEVKKGKGKNVHSIPSYQWETVSTNLDEFQDVSEKLFSSKNRTEASLGKKLKNDMLPEIEKVQKRKEKLLKKQHRQALLLDGMIVDGLGPGRSLRGRKQVSYTFDDYDRSINEAIKITKRKQPSPEHTVRREGLRHSQSHDASQNGRWGPPAAPTHNSTFTPPSPDSLNDVGSDSDHKSEQLDRSNRRRERPQRYSAHEFVEADMDTDYDEEEDDDDDDIVGEAVYDEEYLKRRKERRKVSSSSEGDEEYRWDGDNNEEDEEDEDEGDDSLNESEEEDSDDDSPKRYKKLPARGRTRRGTKLRSVNESKSGPRRSRRATRNRIDYKQYEFSDTEPEPESAKPETSESSDKHSESSEEKSGFSMGSSDDNDTEGENNDRDHEEMKIEEQEPMIQEHEKNIQQEEEEPMKLDSPVEDEFENENGARKRRFLDLNELAPGSGFEDGSIKDDDTDNF >cds-PLY95866.1 pep primary_assembly:Lsat_Salinas_v7:5:317760969:317761127:1 gene:gene-LSAT_5X174121 transcript:rna-gnl|WGS:NBSK|LSAT_5X174121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFQDDWRLQVSYNIPVSFVFQLFVLDSFVQSLELFLSARDQRLESQNLWSN >cds-PLY71722.1 pep primary_assembly:Lsat_Salinas_v7:3:46952053:46952591:1 gene:gene-LSAT_3X36581 transcript:rna-gnl|WGS:NBSK|LSAT_3X36581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTGYPLRNRRFRNRNHLPVLRGSTINGCKLHGGTGTNTLLSNRPQKPIEMHSKAIPPLPSSELSSPDPTDVDLPVTTTSNVSAITSPNPNIETLAQHRSCI >cds-PLY76343.1 pep primary_assembly:Lsat_Salinas_v7:5:224843384:224848305:-1 gene:gene-LSAT_5X109401 transcript:rna-gnl|WGS:NBSK|LSAT_5X109401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSKFLNAPPSFPLTPQHAHQAFPKFISCVKNSSSSSSSSSSSSENKNGFKILGKSLADYKTRLNDLHGNLMQDHINIWVSKTTNFLNELTSPQDKNPPIDNSYETDDVEEVFMTEHTIDSRTPSGDLSIVAIISIEQFSRMNGLTGKKMQTTFKELVRESVSSNARNLVEYCCFKFLCRDTSEIHPSLKEAAFQRLIFATMLAWEHPYRNSKDDKVPFQRKLVGEEAFVRIAPSVCGVADWPTAHNLFKALSGAQKGISFRVWSTYVNELLKVYERRKSYLLEEIPDIGDERILCLGSGSKRPVLKWENNMAWPGKLTLTDKALYFEEIGLRGKRDAIRMDLTCHGCRVEKAKVGPFGSNLFDSAVSITSGSKSKSWILEFVDFGGEMRRDVWHAFIKEVISVHQFVQDFGPKEKDQALHNVYGAKKGKTRATDYAINGIARLQALQIIKKLLDEPTKLVQFSYLQNAPYGEIVLQTLAVNLWGGQLTTRLTDSNKPGQGMMSPGEVSEVYSNHVYDIDGSVYLRRWMKHPSWNSSVSIAFWKNASVKQAIVLSKSHVVDDRNLVEKAMITCRDKYQVVESTQATIDAAMIEGIPSNIDLFKELLLPLTMAAKSFEKLRRWEEPYLTASFLAFSYTLIFRNLLCYVFPTSLMVLASCMLVVKGLKEQGRLGRYFGKVTICDQPPSNTIEKIIAVKEAMSDVEKFMQTLNVSLLKIRTILLAGQPKITTEVAVVLFFGSATLLIIPFKYILAFFTFDLFTRELEFRKETVRRFNRLLKERWDTVPATPVSVLPFMSKNAAADHAMDDEKKERNNLLSSEKSRKKQ >cds-PLY88039.1 pep primary_assembly:Lsat_Salinas_v7:6:184188564:184190783:1 gene:gene-LSAT_6X111521 transcript:rna-gnl|WGS:NBSK|LSAT_6X111521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEETLNTAAQSAAHAEVAVVSDVPEAEKVETCAKDAVLPEPVKDAVVEDEKEKVAASTSFKEESNIVGELPDPQKKALDELKHLVQEALNKHELTAPPPPVKEEKPVEEKPSSVVEEEAKPCEDPAVPTPVAEPVVECKEEEKVTPPAAEPSEPVKEVTEVIVKTESCIDEDGAKKIEAIQETIVAVTMPAEPPKTEETEQPPCAAEEIPISPEEVSIWGIPLLADERSDVILLKFLRARDFKVKEAFTMLKSVVAWRKEFGIESLLDEDLGTEQEKVVYMHGVDKDGHPVCYNAYGEYQNKELYQATFSDEEKRKNFLRWRIQFLEKSIRKLDFSPDGISTIVQIIDLKFSPSPFKKELRQVLQLLQDNYPEFVAKQVFINVPWWYLAFYKMINPFFTQRTKSKFVFAGPSKTSETLFKYIAPELVPVQYGGLSRDGEQEFTAGDSITEEIIKPSCEHTIELQAPEACTLIWEARVVGGEVSYGAEFVPSAEDGYTVIVQKSRKVTESSDGSVICGKFKCGEAGKVVLTFFNQTSKKKKVLYRFKSE >cds-PLY74240.1 pep primary_assembly:Lsat_Salinas_v7:1:78577096:78577639:1 gene:gene-LSAT_1X66060 transcript:rna-gnl|WGS:NBSK|LSAT_1X66060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDQDKPPVPRSPVNKNGAVDDVPFDKPRKIGTGRMIASTTASRGRKIRRMFL >cds-PLY95152.1 pep primary_assembly:Lsat_Salinas_v7:1:92234285:92276114:-1 gene:gene-LSAT_1X73921 transcript:rna-gnl|WGS:NBSK|LSAT_1X73921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWFSQNHISSYKDIKHRCSYRTQEQSSKQMEGVTNQQVILKDYVNGFPKESDMLLRTSSITSFKLPQGSNAVLVKNLYLSCDPYMRGRMSKNQGSYVDSFTPGSPIVGYGVSKVVDSGHSNFKKDDLTWGGTGWEEYSFIPNPDTLFKIQDTDVPLSYYTGILGMPGMTAYIGFHEICAPKKGEYVFVSAASGAVGQLVGQFAKLTGCYAVGSAGTKEKVELLKNKFGFDEAFNYKEEHDLNAALKRYFPEGIDIYFENVGGKMLEAVLLNMRVNGRISACGMISQYNLNQEEGVRNLSSIIMKRLHIKGFIVSDHFNLLPKYMEMVIPLIKERKICYIEDIVEGLESAPAALVGLFSGKNVGKQVVVVARE >cds-PLY74453.1 pep primary_assembly:Lsat_Salinas_v7:7:37223712:37224806:1 gene:gene-LSAT_7X27720 transcript:rna-gnl|WGS:NBSK|LSAT_7X27720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAGNTSQDGRGTDDRDEDDDDEYEDARDGNRLLEFMFGNIDGASDLDIDYLDELSVKTSQTSADVAEQDYDLKAENAVDYEDIDEQYELPEVQALTEDDYLLPKSDYVSTTVPFR >cds-PLY65966.1 pep primary_assembly:Lsat_Salinas_v7:4:141494035:141497967:1 gene:gene-LSAT_4X88241 transcript:rna-gnl|WGS:NBSK|LSAT_4X88241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPALKAGSRPPWVGLAAAVWVQIASGNAYAFPLYSLSLKSVLGLSQQQLTILGVANDFGENVGILPGIASNKYPPWVVLLIGVLASFFGYGVIWLAVSETVHNVPYWVLWIALAVATNSSAWLGTAVLVTNMRNFPLSRGTVAGILKGYVGLSAAVVTEVYTMVLKGSASSLLLFLTLGIPFICLSLMYYIRACTPSEADPSENAHFLFTQLASVVLAVFLLTTTILKDVVHLSNTISYTFIGIMVVLLLAPLAIPIKMTLFPNKKLTRPGSSSVLNDPLLTLTPSNSDMNLEKINEGEDISEVDALLAVGEGAVKIKKKRRPRRGEDFTFREAIVKADFWLLWLVYFFGVGSGVTVLNNLAQIGVSLGFNDTTTLLSLFSFCNFLGRLGGGVVSEYFVRWKTIPRTFLMMITQVIMVITYLLYASALKGTLYIATALLGICYGTQFGVMIPTSSELFGLKNFGLIFNFMGLGNPIGALLFSGMLAGYVFDTEEAKQGGSTCMGPNCFRLTFLVLAGVCCISTILSLILTIRIRPVYQMLYAGGSFRLPQSAGH >cds-PLY65044.1 pep primary_assembly:Lsat_Salinas_v7:1:117236204:117238209:1 gene:gene-LSAT_1X86760 transcript:rna-gnl|WGS:NBSK|LSAT_1X86760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLIKVEKEAISYNGDRGGMRCSNDGMRGMGDGSDIGGNFVLSNYKRNIQVPYYLDEATGWGLEVLSSRSNWKLLDKRVLAEENQGHILEFYKKEGLVFLVDEMTGFSPEVKEQIQKVALVNLCSNISGQILARRAKAS >cds-PLY86329.1 pep primary_assembly:Lsat_Salinas_v7:8:27481895:27486357:1 gene:gene-LSAT_8X17720 transcript:rna-gnl|WGS:NBSK|LSAT_8X17720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRFHKRNSSSASSVDFKSGEKLDFKFSSLQALQVPSGWDKLSISLISIETDKTVAKTGKASVCNGNCRWTETLSESIWLSHDDASKELQQCLYKLLILKGSTRSGILGEATVNLSSYMSSETSLPVALPLKKCDHGTILQVAIQCLTPRPNSRWSDTNSFADSSDLDNISDAPNGFDTKPIGTSTSNSIRDTSNSLGSRETSFATVRSHNSFDSMEDSFGSHSNLSDAAIDLIGKPGSTTNTMVNVYNSPSSGKNLLNRRQDSGKVSHNIPASPLRTFRSSEYALDDDVATEELRAEARTWERNARKLMVDLELSRKVTSDQTRNLENVTMELSALQTECNDLKNEIKHLKILLGESEVKDRDADNLKAQVQDKNGIQAELEEEIKFQKDLNNNLSIQLNKTQESNLELVSILQELEEQIEKQKLEINSLEASEQSAVDEDNGEEHTGVEVSRITTEDNCRLELELQKFQESQEKLESRILHLEETLEEKNREIELERDLKTQTLLDIDSEWTKKLSLKDKQILNLEEKLSEALAAKLPVLKETESQTIETPDLIEEVKALKDKILELERDCNELTDENLELLFKLKESSKDFSTNGASEVEYSVKDINGKLDNLIIELKSKVEDLNKELFTKTSEIEELKSDCLLKEEEIQSQCNRLQDFEAQLSDLQIVNSQLEKSLTDAKESTRVETEHSESQVIEIKRLENEVEDMKKRWLEAQEECENLKKENPKLQATTENLLEECSLLQKSNSELRQQKLELHNHCTNVEEKLRESEDNFLKLSKNLDDLEENLSTMLHEIMSKEKMFDSKLESLHVQFTEENSNVEVQLQKVTELQDEIVALKNSLNDVKHENERLEASLEMMSGDYEELKEEKTLLLEKSLSMQKSVIELEELKRKKFAMEEKLLRFERNLTAEDVEGGTVDDESSSRIQSLELALDEALEANKMYKIQLKSLLSEKEARESDILMELKVKDEGMKEEHKHNDSLKAELNELQERYLNMSLKYAEVEAQREDLVLKLKNIGPTRSWFS >cds-PLY91815.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:399011:401331:1 gene:gene-LSAT_0X1241 transcript:rna-gnl|WGS:NBSK|LSAT_0X1241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVFLLLHRQLSLLLLLPLLLLLQLQCSGMSNDSNQSPCDLGKRCRLNEGTHVVPAVIVFGDSVVDTGNNNNLATVFKVNYPPYGKDFYGGQPTGRFSNGKVPPDLIVEELGIKEVLPPYLGPRLPIEDLRTGVNFASGGGGYDPLTSQLASVISLPGQIELFKEYLEKVKSMAGEEAINDILSKGLFVVATGSNDVTNTYFNNPLRRYHFDFESYSRLLVDSASSFLQDLYNLGVRRIGVFSLPPIGCLPSQRTLVGGIQRECVKNYNELAELFNTMLSMEINSLSQRLPFAKMVYVDAYYLPLDMIQNPAKYGMFSHSFITK >cds-PLY98880.1 pep primary_assembly:Lsat_Salinas_v7:5:20534579:20535695:-1 gene:gene-LSAT_5X10560 transcript:rna-gnl|WGS:NBSK|LSAT_5X10560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELIENVNFVMSLSDDVYIELLAFIAEGWKSCSWFYKANIRNTPLIKYVGRDGKVDLFTINQVSGTNKLLAAYPGYISWLSDWSTEFRCATGEFFLLKATQEAIQSHWKKSMLVYWLKEEVKFKFASVFQYAELVSRSLNNDRVLVVSYAHFLYKSLKKNFMRLHDFQCLCSEMPIVDNYGNVHMATSRVLVPSKGSRWVKLIGSNPWRQHNYVELGEDYTRGACYFGMVTSGEELVSFLKKYVGASDVPYISPPDAAIPTLSSPLSKRSTFLLLEWLRNLRASGVSLPERFLPGYLPPSESFMLKSSMGNLLHNGSVLLDIPLVDEKFYGDDEMRKYKEELQTIGVRFHDMEAC >cds-PLY65261.1 pep primary_assembly:Lsat_Salinas_v7:4:353411942:353412499:-1 gene:gene-LSAT_4X174821 transcript:rna-gnl|WGS:NBSK|LSAT_4X174821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEQSFWRFDSPLIYLFGGIAVILALIVVALIILACSQRRRRLEANGSGDIESGGDDQKAAKAVYNGGEGADDTPKIVVIMAGDELPTYLATPTDVTFSDTTTHVSN >cds-PLY62098.1 pep primary_assembly:Lsat_Salinas_v7:6:96212249:96214089:-1 gene:gene-LSAT_6X66300 transcript:rna-gnl|WGS:NBSK|LSAT_6X66300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPLISGALAANTTESYRNASACDYGSLSDEMIKGKIVFCLGKGEQDHTLYDKHVAGLIVSRETHYDTPSSFVIPGTSVNLMEGKNIDSYINSTTMSVAIIQKSRAIKIANAPFIASFSSRGPQVITPNILKPDIAAPGLGILAAYSRLASMTDSFRNINGGSSCGGSSRLXXXXXAYVKSFHPTWSPAAIKSALMTTATPMKMNKEDMELAYGSGLINLKKARDPGLVYDINTSGYIRYLCTTGYNTTTLGILIGGNQQYDCKNFKPTKGADGLNYPSIHLQLETNVTTFSGVFKRIVKNVGTQESTYKAEVVSQPGLTVRVDPSTLTFQKLNEKKSFTVYVEGLFLQPKVHLVSGAIEWKDAKHSVVSPVVVYRYSPPLWMDEKKV >cds-PLY93360.1 pep primary_assembly:Lsat_Salinas_v7:9:64231310:64231501:-1 gene:gene-LSAT_9X56180 transcript:rna-gnl|WGS:NBSK|LSAT_9X56180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVENLVIIGSGPAGYTAAIYAGRANLKPVVFKGYQIGGAICDGATGATAKRLKLPREDEF >cds-PLY88474.1 pep primary_assembly:Lsat_Salinas_v7:1:150828375:150830283:1 gene:gene-LSAT_1X106501 transcript:rna-gnl|WGS:NBSK|LSAT_1X106501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCLQEENGIGNAPQCFQLFLGAGTFTLSNLGMFGVDRVDAILPPGTGAIMAVGASEPTVVATKDGRIGMKSQMQQQVIEIVISSNRLLIASTTGC >cds-PLY81254.1 pep primary_assembly:Lsat_Salinas_v7:4:304102230:304121275:1 gene:gene-LSAT_4X153700 transcript:rna-gnl|WGS:NBSK|LSAT_4X153700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MVRTYVRENVPLSRFGVLVAQLESIVASASHKLPDPLLCFDLLSDLISSIHDEPKESVLLWQRKCEDALFSLLVLGARRPVRHLASAAMGKIIYKGDNISIYSRVSSLQGFLSDGKKNEALRIAGAAQCLGELYRLFGKKITSGLLETTSSVAKLMKFSEDFVRREALQMLRNALEGSGGNGSLPAYAEAFRIIMRMGVGDKSFIVRKAAARCLTAFASIGGPGLGAGELDNSASYCVKALEDPVSSVRDAFAEALGAVLALVMNPQAQVQPKKGQANSKNPEGSLQKHLILPFTKASGPRSKDLRIGITLSWVFFLQGIRLKYMHPDSELQNYLVQIMDMIRAESVVDAQSLACVFYILRVGVIDQMTEPTQRVFLVNLGKQLQSPDASPSMKIAALRTLSYTLKTLGEVPVEFKEVLDDTAVAALSNSSPLVRSESALTLRALAEIDPTCVGGLVNYGITTLKALRENVSFGKGNNLKVELDSLSGQATVLAALASVSPKLPLGYPARLPRTMLDVARKMLTEASRNTVVATVEKEAGWLLLSSLLSSMPKEEMEDQVFDILSLWADVFSGRGQDQADSSEDLSSKISVWSAALEALNAFIRCFVSNDAVNKGILLQPVLLYLSRALNFISSLTTKTPDVKASVDIFISRILIAYQSLSDPKAYKSDHPQLLQICTSPFREASKYEESSYLRILLDSRDAWLGPWIPGRDWFEDELRAFQGGKDGVLPCVWENELPSFPQPETISKMLVNQMLLCFGVMFATQDSSGMLSLLGILEQSLKTGRRQHVLHSTSVTNVCVGLLSGLKAMLTYHSQPLETEILTAAQGIFQNILAEAGTCESQRRASSEGLGLLARLGNDMFTARLTRSLLNDVTGAPEHYAGSIALALGCIHRSAGGMALSSLVPSTVHSISSLAKSSIANLQVWALHGLLLTIEAAGLSYVSQVQATLGLAMDILLSEENGWVVLQQGVGRLINAIVAVLGPELHPGSIFFSRCKSVIAEISTQQETATLLESVRFTQQLVLFAPQAVTVHTHVKVLLSTLSSRQPTLRHLTVSTLRHLIEKDPDPVIHEQIEENLFHMLDEETDTEIGNLVKATIMRLLYTSCPSFPSRWLSICRNLVLATSSGNTRNNKELDDDPTSGHEGDKSYGNDDENMVSSSQSSPGANIARDKHLRYRTRVFAAECLSHVPDAVGQNPAHFDLSLARSQSAKDLKSGDWLVLQVQELISLAYQISTIQFENMCPIGVGLLSTILDKFGKVPDPELPGHLLLEQNQAQLVSAVRTALDTSSGPILLEAGLQLASKILTSGMISGDQLAVKRIFALISQPLEDFKDLYYPSFAEWVSCKIKIRLLTAHASLKCYTYAFLRRQNSIPEEYLALLPLFSKNSNTLGNYWLSLLKDYSYVCFRTRPNIHWKPFLDGIQSSLVAKKLKQCLEESWPFILQAVSLDAVPVDGDTNESSGTSENTSKTEKTSKSVFFSGYNMVELKQQDYQFLWSFSLLVLFQGQHATPDKTIIPLDYVKSNIASDSRYLIAMKFYEIILPVFGFLSAEKFFRMGFLTIDICRELLQVFSYYIFMEDILDSHAISVLSQIVQNCPKDFFETEDFAYQAAELCLSFIFKFLQSADVTSSSHSSWEDKISLSLTSAINLLTRVEPKKQLQLALAFLIIGYKCIEEASNDTCLSKPVDYVQSLVAMLKKHVNEKSTLDDDDAVYLRTIIGACMNMNIYLTNNCIKNIHQQEEKMNNSSKLPQKKLAFSLEQIITFAKLTHKIQLITENPDTKALSYAILCQSANSFHKVLNDQYIQVQAIALQTLTSVIRDSNSESNNTFLVFFIGELLNDIFWTIKQALKKPVTREGMSVAGDCLKVLMLLHTSSKAPESQRSLMSLLLEAIVMVLLASENDFSQEVKELKTASMRLVSQLAQSQTSAVYFKDALLSMPFTRRQKLQDIIRASVTQDQSPSTPSKATSIPPLIIKMPSQIEETKRQIPTPLASTKIQEEEEEEEEEDDDDDDWDNFQSFPASTNEPKSTLHSEEPKSTVSLEEPKATVDSEEPKSIVHLESSKSTADSDEQENTSVSVKGEDVVEESRDFEEFLNENEGGGGDEGEEDDNDEEEYEDEDDYDDEEEEEEEEEVEMDPEVMAQRIENLFAVDPESSKSSSSSSSSGSNNEEEEEKGSTHADALENNEHLQHQDLSGVEAEVVAGDDESPLPPAAAS >cds-PLY69714.1 pep primary_assembly:Lsat_Salinas_v7:2:39988577:39990009:-1 gene:gene-LSAT_2X17460 transcript:rna-gnl|WGS:NBSK|LSAT_2X17460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLQWMILTYVVAAEAVMAVLITLPSPKALKSTLVSLISLILQPSMFIVPFASFQLLDIYWKNEHRLMCSGEACTAAERDRYEKSIYKSQRNIILCCAACLLYWCVYRVCKYHKEIQTMEEVEKRYKEE >cds-PLY96009.1 pep primary_assembly:Lsat_Salinas_v7:4:20629426:20635440:-1 gene:gene-LSAT_4X13960 transcript:rna-gnl|WGS:NBSK|LSAT_4X13960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVVHRVLQGGRDYYQETPSTSSSSPSILQSLPLHASFDRGYYLLVKSIQELRSKKEGIVAVGIGGPSGSGKSRCTLNNVYSLAEKVASVIGCSVISMENYRTGADEGNDLSSIDFDLLVQNLQDLLKGKDILTPVFDFQQKRRIGTTEIKSTSFGVVRKDVIVDGTYALHARLRSLLDIRVAVVGGVHFSLLSKVKYDIGESCSLDNLIDSIFPLFRKHIEPDLHHAQIRINNSFGQSFRDSIYKLKCKSESESGQPVYSFPKKEAQIDNFIEMYLRPPSASEEARVNDWIKVRQSGIRYYLSLGDRRIVDKNFIIRPKAEFEVGRMTLGGLLALGYNVVVSYKRASKSIIYDNLSISLETIDTLSETYLVLRGRDRKMVEAEALRLGITGPWITRSYLEMILDTKGVPRLNTPPLLPTIISPSSTQKEAPLIAPKPLRIRPELVNRDDDMSQPWTRSPTKSKVEPVLAPWQFISSDGSSIDPSSFRDTHTLQLAPMPDSFDLDRGLLLAVQAIQALLEHKGMPVIVGIGGPSGSGKTSLARKMANIVGCEVISLESYYKSEQSKDFKYDDFRSLDLPLLTKNIGDMRRGRKAKVPVFDLESGARSGFKELEVSEDCGVVIIEGVYALHPDIRKSLDLWVAVVGGVHSHLISRVQRDKSKAGCFMSQNEIMTTVFPMFQLHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKQVAYQDILKLLDPTKICSSAQNFIDIYLRLSGIPPSNGQLTESDCMRVRICEGRFALLIREPIREGDFIIQPKVDFDISISTVSGLLNLGYQAVAYIEASAYIYQDGKLLMEVDHLQDVPRPYIQIKGANKEVVVAAGSALELDGSYTTKSYLEIVLEKLPASERNSSGINAQQAARLQELVENIQSQQGSSSSSESSPSREVISPIEGVIEDMQSRIKRLERWQAINTVLWTFLMSAFVGYSLYQRKHQ >cds-PLY89866.1 pep primary_assembly:Lsat_Salinas_v7:4:316034334:316036289:1 gene:gene-LSAT_4X158841 transcript:rna-gnl|WGS:NBSK|LSAT_4X158841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPVWLSEMETEDIGFMNSDEISQLYDTIIDNFSVDSFSSECNTENMTTIDQSFQKRCSVIPKQSPTPEPLIATTLPSSNTFTISFGDLKPKNEMLQINDSLGYEASGATKIPIISRNPIQAQDHVLAERKRREKLNRHFISLSSLIPKLKKMDKASVLEDASSYIKELQDRVKELEGLSGTKRKNVQESVISIRRSRLSTSDDEYYSSDETNSEDNTHLSKLSPEIEVRMSGSSVLVKIQCQKNFSSLTKALTQMQNLGLSIISSSAMPFAKTTLLINIVAQIKDDFCMTTAELVKNLQQVI >cds-PLY74861.1 pep primary_assembly:Lsat_Salinas_v7:8:107688219:107688590:1 gene:gene-LSAT_8X73901 transcript:rna-gnl|WGS:NBSK|LSAT_8X73901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCTGDVVVDYTEGQKQDIILSNISILLELAATNDLEGFRLVVEEDGFNINDSGLWYGRSIGLKKMRLEERTPIMIASMFGSKQVMKFIIGFTCVDVNKACGSDSIGQLLYTWLLLMDPSHPLK >cds-PLY86344.1 pep primary_assembly:Lsat_Salinas_v7:8:27528983:27529682:1 gene:gene-LSAT_8X17780 transcript:rna-gnl|WGS:NBSK|LSAT_8X17780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAAGKKPVAEKTPAEKVPAEKKPKAEKKLPKDASAADKKKKRHKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASKLARYNKKHTLSSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSG >cds-PLY86137.1 pep primary_assembly:Lsat_Salinas_v7:6:156923084:156925071:1 gene:gene-LSAT_6X94900 transcript:rna-gnl|WGS:NBSK|LSAT_6X94900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRQKKSGFQIGEETFYQKSNFKIQAPADINEQQPNFKQESSPTKQHLFSNRHTRSQLMNTQQQNHHRKTHQKSLVCCSSSEALLATKSVVLKVLKLSHVKVFWIKAPSRVLIILCLPSVYFFSFNHHESMYLYILFLIITLCILFLSLLNLPSIRLFIARNLPIFNLSNIGNASKTPPVVWSIGSKNQFESSTVSGFVVKVYSNGDVYEGEFYKGKCSGSGVYYYNMNGRYEGDWVDQKYDGYGVETWAKGSRYRGQYRQGQRHGYGVYRFFAGDMYAGEWIKGQCHGRGVHTCDDGSKYFGEFKGGLKHGLGHYHFRNGDMYSGEYFADMMHGFGVYHFANGHRYEGAWHEGRRQGFGMYSFRNGDTQSGHWDDGVLTVSPPLISQAKVDKSVEEARRMAEKAVGGGVAEEKVNRAVAAANRAANAGRVAAVKAVQRRSDHRSDIDDLSLLIV >cds-PLY68408.1 pep primary_assembly:Lsat_Salinas_v7:8:23133688:23134549:-1 gene:gene-LSAT_8X18320 transcript:rna-gnl|WGS:NBSK|LSAT_8X18320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLHKPPSNLTSLASFFLLLFITIHQSLSARTLGALPSPPQPPPKNHHHHHKTMSFYMPNVLNLNLQHPSTTTPPTKVNGQTIPFSKPLGLFPPVGGIPIPDSSSIPRQTSGLYGFGSGISFPILEDELEFGMVNIIDVDLLESTNMYGLKPFGKAKGMYVSSSEKGNNQMMAMTTSFLNNDFADELRFFGVHRADINQESHVAIIGGTGKYDGANGYAILKVVKLNQKAIKEDRETNDFLLVDVYLG >cds-PLY72856.1 pep primary_assembly:Lsat_Salinas_v7:5:168889177:168889698:1 gene:gene-LSAT_5X73821 transcript:rna-gnl|WGS:NBSK|LSAT_5X73821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDELIRILKYYPKVESLQLILKEKFYGPEEWELHEGEARRLMTPDVKRVELFEYNGEKPKLVSDWQEDIYMEMVFSWGTKAKI >cds-PLY83216.1 pep primary_assembly:Lsat_Salinas_v7:1:49685113:49689034:-1 gene:gene-LSAT_1X44261 transcript:rna-gnl|WGS:NBSK|LSAT_1X44261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLGGGQVVNKQSMMPSSSGASWKSTTGGGVSGGGGGGGDGGVPDQFPAGLRVLVVDDDPTCLKILEKMLRNCNYEVTKCNRAEVALSHLRENKNGFDVVISDVHMPDMDGFKLLEHIGIEMDLPVIMMSADDSKSVVMKGVTHGACDYLIKPVRIEALRNIWQHVVRKRKHEWKEFEPSASADEQKPPEEPDYSSSANEGHNWKNTKRRKDEDDEADERDDSSSLKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQTFLPHNCKNLVQKYRLYLRRLSGSQHPGGLSTGFMGSPEAGYGSISSLNGLDLQALAASGQLGQLPPQSLATLQAAALGRSNNSKSPISVPVIDQRNIFSFENPKRYTEVQSQHLTNNNNTNKQMNLLPGIPTNMEPKQFVSLHQSGQHSFGSMNNQVLIQMGGQNQNQNQNQSQSQGHGHGQSIPNAIPHHGMRQQPVLSSEMLTRGGVVDLATTTVYNPVVSQASSLVDFSMNHKTDSSGNSYPIGGSLGISNITSSSSTLQGDGSLDSKVSRRGIVPSYDIFNDLNQNGYRDWGLQDVGLTFDQSPPVLLQHSRSSGQEMGGQNCNLTTTGTHRNGSNIGQPRNAYVGENSLRVKAESFNDGSSHNNLYPEQYGQDDLLAAILKQQQQQESVGQPENEFGFDGYALDNLPV >cds-PLY71967.1 pep primary_assembly:Lsat_Salinas_v7:3:26624921:26625471:1 gene:gene-LSAT_3X19280 transcript:rna-gnl|WGS:NBSK|LSAT_3X19280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIFATVELPKKGMTSVNQVWRVGPSVSADGFQAKHAFQLANLGVKGQLDLLSGQSISGSNGGIRGGDSRTKKRNIRHGFTFIAFCQVSAYAIGIAGWATGLKLGSESKGVT >cds-PLY92882.1 pep primary_assembly:Lsat_Salinas_v7:4:197549292:197550162:-1 gene:gene-LSAT_4X114181 transcript:rna-gnl|WGS:NBSK|LSAT_4X114181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKPPTQYDVFVQTHGTAESKKKYFEGNHENIEYCSQTAKEALEGYLHGLVNKFGEDPSNRKDDVDVWEESQLRRKGKKKGAIYGIGASDIHFLVLGTPSSQSTQSTQSDSTQQEVDRLRAQVSVMEQQQQQMKEQMEMVMRMINMSGNQPHGPPDNPPEDN >cds-PLY68797.1 pep primary_assembly:Lsat_Salinas_v7:3:64302069:64303411:1 gene:gene-LSAT_3X50301 transcript:rna-gnl|WGS:NBSK|LSAT_3X50301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGTMRRIGVHTFTEITKNVKYCFNDLMKCILYCFCIGGSLRGQGWKYGSGFVDGIFPVLSPDAQQILNFMKKETDVNKGWDALSSLPPTHTT >cds-PLY88214.1 pep primary_assembly:Lsat_Salinas_v7:8:90030113:90032839:1 gene:gene-LSAT_8X62120 transcript:rna-gnl|WGS:NBSK|LSAT_8X62120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQESQMSLQDLQNDTNGFDQTVTHDDFLDQMLSGLQTTVSWPDISAANGGGGQNKSTLPWDVDHFDDQSAFLSSKLRQHQITAAGGARTPSSAAKSLQLSRGLAPSGESGLFQNDNIDDSSFKSPIGDNNSIQNLFHGFTGSLTSNQTQPFRNLPVQNFGSPGAAAAAAVMSQVQTASATPGGGAPSQPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAGGGNGGGGVAPIVAESGGAGRSSNGTTSSSNNETMAVTENQVVKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCGTRSNHPLGGGGGGEGGGPSSPNMSVLTVQSANGASVKDSP >cds-PLY81206.1 pep primary_assembly:Lsat_Salinas_v7:5:339510385:339514626:1 gene:gene-LSAT_5X190601 transcript:rna-gnl|WGS:NBSK|LSAT_5X190601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein PMS1 [Source:Projected from Arabidopsis thaliana (AT4G02460) UniProtKB/Swiss-Prot;Acc:Q941I6] MEGDGAASSVMASPSIKPINKSAIHKICAGQVILDLPSAVKELVENSLDAGSTSIEIALKEYGEESFQVIDNGCGISPDNFKVLALKHHTSKLADFSDLQSVTTFGFRGEALSALCYLGDLTVETRTKSEQVATHLTFGRSGLLTDEKKTARQVGTTVTVKKLFSNLPVRSKEFHRNIRKEYGKLISLLNAYALTAKGVRMVCTNTTGANKTSVVLKTQGSGSLKDNIITVFGMSTFNCLEPMSLVISDSCKVDGFLSKSGNGSGRNIGDRQYFFINGRPVDMPKVTKLLNELYRSANSKQYPIAIMNFIVPTKVYDVNVTPDKRKVFFSDEGSLLKSLKEALLEIYSPHLASFSVQGPEELTQGGNNSKLCSPHDKSFSQFSLKQASPDSKQEAYSEKQHVEVDTDMDEGKETLRSSPAVNPINVDDYSLSKTDFTLKFHGMKKADTFSGSYSYSRKHTAISNNTRNNSQATSYNSTMQIGTDSPRSAGAVQSLLTTFVSVNKRKHESISSNSLSEVPVLRNGPNLHQSVDQNLHLCSTFTKPSDNHTLMMDDQHDELDVSPIKVMKNSGQVEELSDNHTLMMDEQHDELDVSPIKVMKNSGQVEEVVDISSPLRCSQPGSGSDDGHGLEVIDICSDEAVASSPSKKCYTMQFSFEELKKRRHQKLWALQASKNTPGKRNKAKGCYAAATLKLSQVVKEEAKAQALSAATNEFEKLFKKQDFGRMKVIGQFNLGFIIGKLDEELFIVDQHAADEKYNYERLSRFTILNQQPLLRPVAMELSPEEEVIVSMHMDTIRKNGFSLEEDLDAPPGQRYKMKAVPFSKNITFGVADVKELISILADSQGECSMMGAYKMDTCDSVCPPRVRAMLASRACRSSVMIGDPLGRNEMKKIVERLMDLKSPWNCPHGRPTMRHLLDLTTLRKCDSAL >cds-PLY99057.1 pep primary_assembly:Lsat_Salinas_v7:6:148671724:148676042:1 gene:gene-LSAT_6X90041 transcript:rna-gnl|WGS:NBSK|LSAT_6X90041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METRNLIRFLFLTVIVALLLLFTFTNLPYTLPLTNKAEELLDCSTNSRWCTSKNRFFQSNIKQPPKPTTRLHTADVPHHPLDPLTLNELNKVRSILLSHALFLNSNTYALHSVVLEEPDKSVVLAWNHGEPLPPRKASVIARVNGQAHVFSVDLGSGEVSLVDVGEHSGYPTMTIEDMTSSTWAPLGNADFNRSILARGVDLKDLACLPISLGWFGEKEENRRLIKVQCYSMEGTANFYMRPIEGLTVVLDMDTKQVVEIIDKGKNIPIPKAAGTDYRFSAQNSKINLVNPISIEQPKGPSFTIEDDHLVKWANWEFHLKPDPRAGVVISRVKVIDPITREMRNVMYKGFTSELFVPYMDPTDAWYFKTYMDAGEYGFGLQAMPLDPLNDCPRNAYYMDGVFAAGDGTPYVRSNMVCVFESYAGDIGWRHSESPITGLEIREVRPKVTLVVRMAASVANYDYIVDWEFQTDGLIRVKVGLSGILMVKGTSYVNMNQVNQEENLYGTLLSENVIGVIHDHYITFYLDMDIDGPPNSFIKVNIKREETAPGESPRRSYLKAVRNTAKTEKDAQVKLKLYDPSEYHIVNPSKRTRVGNPVGYKLVPGGTAASLLDLDDPPQKRGAFTNNQIWVTPYNKSEEWAGGLFTYQSQGEDTLAVWSERDRSIENKDIVMWYTLGFHHVPCQEDFPIMPTVSSSFDLKPVNFYESNPILNIPPNNENDLPVCSASAESSA >cds-PLY70839.1 pep primary_assembly:Lsat_Salinas_v7:8:116863339:116865474:-1 gene:gene-LSAT_8X81380 transcript:rna-gnl|WGS:NBSK|LSAT_8X81380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALEVPEHGHPLKLIDLHREYKEEEEDDDDEGGDPITKIEGFGGATCGRCREEIHMYHRYYYTCTICDDFSLHKFCAELPSTLEHLSHPSYPLRLVSFPYSYVDSFPRICNICKRNHKSTELRYKCHNRCDFSIDVKCALEIGKNIIYHPCHPHLLICAIPKPILCECSACGKEHKGVFYQCTTCGGFTIHNDCAFLPEKLLIQERTDGAFHHTHPLSISYSFPLIDQDAKHRPRCRVCGDDFRGTQNLWIYKCDRCLYYAHLDCVRVSPPTAGKSYHLFFTFTIAFHSLLIIYQFVIKFFLYIVQNNKLLITNLLPGFGKTIKNYEDVDHPGLLHLPFPDETYSLPKHYLFFQQSSIDHHHNKIKVDDHLTHDSHQHPLILVDGETQIDIDGQTPSNINFSLSMCHNPMKKTQLLCNGCLRPITDTMWFYVCAQQSCNDFALHEWCTRLPPKIENHPSHPQHTLHLLYSNDLPRYFGVFYCDVCRLPCNGFSYRCVECVYCVDVTCGFIPREITHEAHPNHILSLAQNKNTSALCLMCLQRLSLGRFSFYCNTCSLCIHLECALLLPETIRHKYDKKHPMHLSYLPIENHKSEYFCEICEKDLNPHASFYHCKDCVQSIHTECASLILQCETETYVVHTACPGGTHSYVNIKFGGIYNTSRHEHPVLFAQGIISDGQCSTCGMRLQYNMIFKCLECKFAVNYECGLPFY >cds-PLY76861.1 pep primary_assembly:Lsat_Salinas_v7:3:4176362:4180216:-1 gene:gene-LSAT_3X3040 transcript:rna-gnl|WGS:NBSK|LSAT_3X3040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSISSTTTSPTVPQLLHHLPPPSCSDQLCYVQCTHCDTVLAVSVPCTSLFKTVTVRCGHCTNLLPLSMPGLLLPPSVNQFHISHTNFFSPSNHTLLDDQIPTGGSNFLINPNYANEFSLTACGGFRELPKPPVTNRPPEKRQRVPSAYNRFIKEEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQTTAVNKSSMRQQDHTDGGFMKDGFFASANVGVSPY >cds-PLY90993.1 pep primary_assembly:Lsat_Salinas_v7:7:129433701:129435775:1 gene:gene-LSAT_7X78460 transcript:rna-gnl|WGS:NBSK|LSAT_7X78460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHLLTASVFAILSFSSLVWSQTLTDSTSCPMDFNYVRQIQWPTADCRPSGDPSKTITNSTNCCQTLLSVFGISLAQHLKDTSQFHLPDLKTSSSCLSDFQKKLTSLSLPSNLVPSCFDPMQFVISLNTCAGIQTLQDWRQKLGNETILDSSCRDDLSELTACDACGYAALTVQKELVSIDGNASHSNNCFYFIVLYGAGILNEFGPESNSAVTCNFDINIIPKTHKNGRTSLIYGLVGGFVFMVVISCLVGLCFWWDRKKRKQKIGESGTNPFESRLPRRRPNTGSAWFKLSDLEKATNNFSINNLIGRGGFGVVYKGVLSDGSVVAVKQLIESEFEGTDDFCNEVEIINTLRHRNLVPLIGCCIRGEDEDYERRDGERFLVYEYMSNGNLDDHLFSSMSEQPRLTWSQRKNIILDVAKGLAYLHYGVKPAIYHRDIKPTNILLDANMRARVADFGLAKQSREGQSHLTTRVAGTHGYLAPEYAIYGQLTEKSDVYSFGIVILEIMCGRKALDLSCLDSHLLITDWAWSLTKEGDVGLVLDHSLGDAMSSETMNPTGDMARFVLVGILCAHATVAFRPTIMEALKMLEGDIEVPVIPDRPISTYSI >cds-PLY77130.1 pep primary_assembly:Lsat_Salinas_v7:7:183378059:183379034:-1 gene:gene-LSAT_7X109460 transcript:rna-gnl|WGS:NBSK|LSAT_7X109460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIYMNRLFRKSNSSFLLSSGKALKSEVSRFKDDMFLVDAGIGTPKMTMRDELRGVPGSHSAARFENKVGFMEATAGESLIKTQILERFFMDLVAGEPVMKERATARFNEMAGSTDAVAGEPAILLPRRFTQNQAWMELQKIWQSNKKVRGFIMEKVKGGYSVAIAGYIAFLPYRSPLIDRRKLSNDRFTIETINSKRKKITVF >cds-PLY85503.1 pep primary_assembly:Lsat_Salinas_v7:2:196461486:196462542:1 gene:gene-LSAT_2X117260 transcript:rna-gnl|WGS:NBSK|LSAT_2X117260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQSFDNVLRWLRELREHADSNIVLMLAGNKSDLNHLRAVSETDAQQLAEKEELAFLETSALDANNVEKAFQTILLDIYHIVSKKALAAQEAAASIPTKGTTIKVENGVKDSKGNCCSN >cds-PLY68977.1 pep primary_assembly:Lsat_Salinas_v7:9:140564947:140566658:-1 gene:gene-LSAT_9X90040 transcript:rna-gnl|WGS:NBSK|LSAT_9X90040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSDGETKAAPKESKSPPHVNDQIASLGRRRFRRIKSAPLANSFVSDFKTTSAPLPRRNSVFDHFYPNYWKIAIIFFAYLVAGMVCFHLVRHQISGKKTNSILDALYFTIVTMTTVGYGDLVPASTLTILLACLFVVSGTLIVGLVLSKAADLLVEKQERLLVKALHMNETIGEAEILKKIKTKKVRNKCIILVVFLLVLMAAGTGIFIYVEELDFIHALYCVIATLTGLGYIDKCFSTTGGRVFALFWILLGTLYVAQLLFTFALLHTERRQRSLVKCVLKRKTTGSDLEAADFDCNGIVVAAEFVIYKLKEMGKISEDDITPIMDEFETLDFDKTGTLSASDLGH >cds-PLY84588.1 pep primary_assembly:Lsat_Salinas_v7:1:31447798:31452493:1 gene:gene-LSAT_1X28261 transcript:rna-gnl|WGS:NBSK|LSAT_1X28261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGWDYNPGEVDAGLVKMESNSVRITDDQVEHLHTKSNAHRRIPESEGNRSRSASSTGQSGTCILDHEKSHVDDTSPCSTSSTCAAPICRQFWKAGAYNEDLTPVTKTHAGSSYLHIHPKFLHSNATSHKWAFGAIAEILDNAVDEIPKGATCVYIDKTSNPRNGSPALLIQDDGTGMDPEAMRRCLSFGFSDKKSDSAIGKYGNGFKTSSMRLGADAIVFSRNFVGSFEECLKGNGSLTQSIGLLSYTFLTQSGYDRIVVPMVHYEFNFKTHVFQPRQHSDSNLSVLLKWSPYSTEEQLLKQFDNVGPHGTKVVIYNLWLDNEGNVELDFESDPEDIRIEWDGKSKAKDDSLKAKNENHIANRLKYSLRAYLSILYVNLPETFCIVLRGKVVLYDNIATDLKHPEFIEYKPHSGEGKVLTTIGFLKEAPDVNVHGFNIYHKGRLILPFYPVVTFSRNRGRGVVGVLEANFIEPTHSKQDFEKTNVFQKLVTRLKDMTHEYWDVHCSLIGYQVPKKLRSSSLPPPTPNSAHLTQTPPPSHSRKSTITANHTGGSKSAPVITTVSSRAALYAKSPPNPNPIIEEPPAVPQAAEEGIIIISF >cds-PLY85174.1 pep primary_assembly:Lsat_Salinas_v7:9:146095425:146095823:-1 gene:gene-LSAT_9X93661 transcript:rna-gnl|WGS:NBSK|LSAT_9X93661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNRNALVMIAGCIAINSVMCLLGFPGGLANIGKTKRDWVFLNANLKALCISMTVFVVGMSAGPTFWKKIEKRARYAMLIAIAAMAIAVHEIVLRIIGDDYWLRLFANWSCSVIVHFICGVIFFLRCGYWII >cds-PLY92049.1 pep primary_assembly:Lsat_Salinas_v7:5:324682812:324684516:1 gene:gene-LSAT_5X179481 transcript:rna-gnl|WGS:NBSK|LSAT_5X179481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVYSWFRLSKKGSSSQSATTTATSNQNDEEQLYGITEELIDLIKSFTLETFKSFNLQDEQGANDGAESTSGNIQKDLSDWQEKHAVLVLSRSKELSQLRFRLCPRYLKEGQFWRIYFILVKNYVAKYELHAIRMEKLKQIASENKKKDSNTNGYEVEMLESRKPTHPDPTTSLK >cds-PLY84886.1 pep primary_assembly:Lsat_Salinas_v7:MU041095.1:59417:60872:-1 gene:gene-LSAT_0X47760 transcript:rna-gnl|WGS:NBSK|LSAT_0X47760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGTRLFTTAQPPPEKSHFGNLNDSDRIFTNLYGVHDPYLKSARKRGDWHRTKDLIHKGTDWIVEEIKKSGLRGRGGAGFPAGLKWSFMPKASDGRPSYLVINADESEPGTCKDREIMRHDPHKLLEGCLVAGVGMRACAAYIYIRGEYVNERLSLEKARIEAYSAGLLGKNACGSGYDFDVHIAFGAGAYICGEETALIESLEGKQGKPRLKPPFPANSGLYGCPTTVTNVETVAVAPTILRRGPEWFAGFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVALIPKTVCDDVLMDFDALKDVGSGLGTAAVIVMDKSTDIVDAIARLAYFYKHESCGQCTPCREGTTWLWMMMERMKVGNAKMEEVDMLYELTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIKENADRELRCAGN >cds-PLY64294.1 pep primary_assembly:Lsat_Salinas_v7:5:83797082:83798701:1 gene:gene-LSAT_5X39160 transcript:rna-gnl|WGS:NBSK|LSAT_5X39160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METQNEIMLVETETCSDEKRVCSSGKKRAPILFGWPWQNLSNFKYLLFGPLLAELIYSRIYEKKHSEYSWCLHILILSSLRGLVHQLWSSYNNMHYLNRNHRLSEKAIGFDQIDKEWHWDNFIILEASVASIILLINPSVTNLPVWKTSGIISCVIFHIGLSEPLYYWLHRLLHSEYFFERYHWLHHSSTVNNPFTAGHATFLEHLLLCVIMGIPILGSTLIGHGSIIMFYGYVLVFDFLRCMGHSNIEVVPHHIFDTMPMVKYLIYTPT >cds-PLY68731.1 pep primary_assembly:Lsat_Salinas_v7:5:280126115:280131239:1 gene:gene-LSAT_5X147900 transcript:rna-gnl|WGS:NBSK|LSAT_5X147900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDIGNHTPPKDFVCPITTRIFNDPVTLETGQTYERKAIQQWIDRGNTTCPITHQKLHNNQLPKTNYVLKRLIASWKELTPTNELQYPENKHVHVVPQPRTDGTVNELRLVITDLCTSQVLKKAELAVLRIERFWQERNMEVEIQNMLSKPPVVNGFVEILFNSVDTRVLKATVFLLSEVGIKNSGVISTLTRVDSDVECIVSLFKKGLFEAVVLIYLLRPSITIFLQMDMANSLLSVVQKREDEFVKMCVKPKAASVLLLAQIIENEDDGAVSEVIKSLVSGKAIEGIIRSLESEWKEERIASVRILLRCIHEDGKCRHVVADKAELAPVLESFLDANDRERFEIVQFLSELVKLNRRTFNDQVLNIIKDEGTFSTMHTLLIYLQTCLPDQCPMVAGLLLQLDLLAEPRKMSIFREEAIDNLISCLKNSDSPAAQIAAAETLSALQGRFSYSGKPLVRRFLLKHVGLDKTYRSLMRKEQLGGMSGDIQETKEEEKAAQEWERKMAFVLVNHESGLIFEALSEGLKSRYAETCSGCFISAAWLLHMMIFLPDTGVQETARVCLLKRFVSIFKSAKDTEDKAITMLALSSFIHDPDGLRDITIYMKDIRKGLKEFKKSSTVAVEMLKVFSQESESSPELWNHKELVQEDCSVNGVVLSIVCLKDKIFSGHSDGMIKIWACKGSVLRLTQEIREHTKAVTSLTILPSGDTLYSGSHDKTIRKWSFNQETIHCEEVYDVKDHVNNLLVANSISCFIPQGVGIKVNSWNGASKLLNPSKDVKCLALVNGRLYSGCLDNSIQEINLASGTLTSIQSGMRKLLAKGNQVNVLQVHEGLIYSASSSIEGTTFKIWNASTYGLVESLSLTSEVRSMAISSEFIYFGCKLGIMEVWHRNKLTRKETLQIGTNCKVMCMALDSNEDVLVTGTSDGRVQVKISPF >cds-PLY89065.1 pep primary_assembly:Lsat_Salinas_v7:9:28329559:28329828:1 gene:gene-LSAT_9X24100 transcript:rna-gnl|WGS:NBSK|LSAT_9X24100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAELRVAVMGGVLWQLRCLSIAFYRRELRESGARKMAGGDEGFSVVLEVWVVLGREEVKEKESFGGVVYARVGTTEMKKIRVGLWLVNK >cds-PLY82573.1 pep primary_assembly:Lsat_Salinas_v7:2:187818568:187821384:1 gene:gene-LSAT_2X109500 transcript:rna-gnl|WGS:NBSK|LSAT_2X109500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGNWLSSIKKALSPSSKEKKSQKSKRNAFADEKASEPPIAPNPVVETLNSYQIPPPEEVKPIEVEDEQTKHAYSVAVAAAAAAEAAATVAQAAAESVRLTELTRYTGKSKEEVAAIRIQTAFRGHLARRALRALRGLVRLKTLVEGAAVKRQTANTLKCMQNLSRVQSQINSRRIRMSEENQALQKQLLQKRAKEIESLQMGEEWNDSLQSKEEIEAKLLSKYEATMRRERAMAYSFSHQQPWKKASRTTNLLFMDPTNPQWGWSWLERYMAARPWETNDHASSSSVRTGINITGSEIAKSYARHQLNSTPSTPKTVGPVGSRKFKPGSSPRGFGPGPDEDSKSVFSVQSEKNRRHSIAGSSVRDDESLASSPSVPSYMAPTKSAKAKLRGQSPLGPIEINDGPTPEKGPVKKRLSYPGSPARPRRHSGPPKVGGGNGIGIGVAPNGSSGALS >cds-PLY75310.1 pep primary_assembly:Lsat_Salinas_v7:5:287622568:287623593:-1 gene:gene-LSAT_5X152620 transcript:rna-gnl|WGS:NBSK|LSAT_5X152620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRDYDPTTRYNDLLDRVLRHRDAIISHLNWACIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPVFAQWIQNTHALAPGATAPGATASTSLTWGGGDLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGSISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVCSCYPAIALSIVQGRAVGITHYLLGGIATTWSFFIARIIVVG >cds-PLY94746.1 pep primary_assembly:Lsat_Salinas_v7:2:176777177:176781208:1 gene:gene-LSAT_2X100001 transcript:rna-gnl|WGS:NBSK|LSAT_2X100001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTNISAEEAQFTTIKTTSEKEQTLKRVISTDESQPTNKATIIKKEDKVVDKKKNQKMKKKEKEQDVQDVYIHHKVIDCWSILLNDEESKKQKGSPLRFYFPTGIILFFPMKKKMHYYLLCINIKKLSVVLIDSHKGMPNVNVEYELLPQSLIALMANFMMERGFKKAFICLLKKFHYLKSNGG >cds-PLY95864.1 pep primary_assembly:Lsat_Salinas_v7:5:317858461:317859000:-1 gene:gene-LSAT_5X174020 transcript:rna-gnl|WGS:NBSK|LSAT_5X174020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGSLDLFVTFEEPYEMKISFKIWFLGMKFVASTYRLSSYQTEYSGIKFGLFFVVSYLNLLVSSLFITVLYLGGWNLFIPYIFVLEVFEITKRGRVFGTIIWYLYYIS >cds-PLY97550.1 pep primary_assembly:Lsat_Salinas_v7:5:235767722:235769168:-1 gene:gene-LSAT_5X114720 transcript:rna-gnl|WGS:NBSK|LSAT_5X114720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKTSSKKDESKHADRKFEKKLEFYAKVRDTVALGAQKTIKKKKLRPREKKLKAYNLSSLAEFLPDIEPSKQPTTSDFKVTSKSRQKLVMKEANQLKTVLNDPVFKADPLAALHQHLQSTQPVIEKPARKSENGKKGRKKMKKKKKKKGSKAQSMEE >cds-PLY64831.1 pep primary_assembly:Lsat_Salinas_v7:8:265047071:265055453:-1 gene:gene-LSAT_8X153941 transcript:rna-gnl|WGS:NBSK|LSAT_8X153941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSLLIASIAFLLLISRSNGDARTQVIKVFCDEEIHNDDTYFVPNYVQAMEIVSTEMQTSHNATVEVGTGPNTNYVLAQCYNDLSSQDCMLCYAETRTVFPSCYPRNGGRIYLDGCFMRLQNYSFYEEYTGSYDTIVCGNITRESVEFQNSTKQAVLNVVADALSNDEYFGRGEVVVVPGNKSVYVMAECWRTLSPGNCRKCLENASEVISKCLPWSEGRALNTGCFMRYSDTNFLNPIQQTGGSKNKGKMVAIIISIISSVLVLAVALMIVLYIKRYKYIQHMRRGSYDVEKLAKILTDSSLNFKYSTIEKATANWDESNKLGQGGFGTVYKGVLSDGREIAVKRLYVNNKFRAADFYNEVNIISSVEHKNLVRLLGCSCSGPESILVYEYLPNMSLDLFIFDEIKGKELNWEKRFQIIIGTTEGLVYLHENTKNRIIHRDIKASNILLDLRLRAKIADFGLARSFQDDKSHISTAIAEYLAHGQLTEKADVYSFGVLLLEIVTGMENNKSNTMEYTDSLISTTWKHFQKGTVEQIFDPNLFMDIYPNTIFKKDAIKVVHVGLLCTQEAPSLRPTMSNVLKMLAKDDEHLPFPSNPPFIDEKTMELNNITKKLLHDHEGESSSSIATVSHSEFYPR >cds-PLY63981.1 pep primary_assembly:Lsat_Salinas_v7:4:371258695:371259042:1 gene:gene-LSAT_4X182760 transcript:rna-gnl|WGS:NBSK|LSAT_4X182760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNFIMVSSFFFLVLTILVVLFFLFKLLKIFYFCLSAAQILLTADFWSYCQSKFIEINKKIIKFFDTGLVDSSLQQKSTIWIQP >cds-PLY74381.1 pep primary_assembly:Lsat_Salinas_v7:6:153687779:153688246:-1 gene:gene-LSAT_6X92981 transcript:rna-gnl|WGS:NBSK|LSAT_6X92981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSAIDNPVDQEEVVIVEIEPPAEVHHGTFIFAIAFVLKYIVSLLERFSRLWMYYYPRLFGEIKLPPPLKFIIIGLATFAEMKSQGSEFPFKTHPRLMNVVVTSLLFYGLALAAQHFISACTRLGPASVSAIVAHSGRIGSLCILVATVASLFYL >cds-PLY93592.1 pep primary_assembly:Lsat_Salinas_v7:2:174649159:174650142:1 gene:gene-LSAT_2X99060 transcript:rna-gnl|WGS:NBSK|LSAT_2X99060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLMSTIHLYGTSLSGFLALLFAQYRPRRVKSLVLSNAFLDTKYFLAAMPWAPVYTPSFLLKRYILIGIPNGPHEPFIVDFVDFIVALVETLTRGDLTSRLTLISDVASVGPLLLSDSLITIMDVEVKMLLISS >cds-PLY95205.1 pep primary_assembly:Lsat_Salinas_v7:8:61981058:61982398:-1 gene:gene-LSAT_8X44840 transcript:rna-gnl|WGS:NBSK|LSAT_8X44840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGILGLLKLRVKRGINLAVRDAKSSDPYLVATLDGQKTKTKVIKGNCNPIWDDELTLTMRDPKTPISIAVYDRDRFSNDDSMGVADIDVKPYIECLKKGLDLKNVPNGTKLERIQPKKQNYLSDESCIVWENGKIVQDMVVRLTDVECGEVVLQIELIPVTGCKLHV >cds-PLY76283.1 pep primary_assembly:Lsat_Salinas_v7:8:33055002:33057390:1 gene:gene-LSAT_8X26300 transcript:rna-gnl|WGS:NBSK|LSAT_8X26300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHIVTVELKSGEVYRGSMIECEDNWNCQLENITFTAKDGKVAQLEHVFIRGSKVRFMIIPDMLKNAPMFKRLEARIKGKGSALGVGRGRAVAMRARAQAAGRGGGPPGGGRGR >cds-PLY71786.1 pep primary_assembly:Lsat_Salinas_v7:6:90095540:90095758:1 gene:gene-LSAT_6X61600 transcript:rna-gnl|WGS:NBSK|LSAT_6X61600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKFLHRKEKELYSKLNYMYPGSILKLQQKETRTRKQQQITDQVTKSKSAKGVLFHQPRSREDQRYQKQVHD >cds-PLY83742.1 pep primary_assembly:Lsat_Salinas_v7:4:40787985:40791499:-1 gene:gene-LSAT_4X28480 transcript:rna-gnl|WGS:NBSK|LSAT_4X28480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDFLRRDLKVNCGDLLLLKLLVFLIILYRLSLDNLRIPRENLLNSVANVSPDGQYLSAIKDPDQRFAAFMAPLTTSRIPIAVVAMNATKIGLAITIRYSLTRRAFSIRPNEPEVLLLDYPSHQRRLIPLIAKTSTNAHDVVVVVVVVATTTTTTTTTIIVISSTNVLKGDNNVLMQQVSKALLVEILAGKKRNKPIKGLGLEHLKNLLQLSLLTLQALPSEPVSCRLTFFAYDLEIYCNVL >cds-PLY68450.1 pep primary_assembly:Lsat_Salinas_v7:2:214155103:214158931:-1 gene:gene-LSAT_2X135841 transcript:rna-gnl|WGS:NBSK|LSAT_2X135841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERKRDEAIRAKRLAEKKLGDKDFAASKKYTVKAQTLCPDLDGISQMSTILDVYISAENKVNGEFDWYGILGVNPCDDDETIRKQYRKLALMLHPDKNKSVGADGAFKLISEAWSLLSDKAKRSSYNQRRKVPSASPGAGVNNFAKRGAASKVQKSHTSTTTTFWTVCHGCRMQYEYLKIYLNQTLLCPNCQEPFLAKESAPPVNFKKSVAHQHQQHQDSMKQYHSSNNTDSSFCNTDPSIASKAANVIQRVNERLKREREELSMGNHSKNRKADDSDIKQQSSSFNTTYQMSSRNTFGTGIIRTNGFETDVGYKIWNSTRELTVVETRNMLMKKAQKEIRKWLSDARKETTKLPADNVNCSTNKTMSMDDMQEEEKEHEPAMSVPDPDFHNFDSDRTENSFQDYQVWSAYDDDDGMPRFYALIHKVISRKPLQMKISWLNSKTTAEFGKMEWIGSGFRKTCGEFRVGKFQINTSLNSFSQKVKFTKTPRGSVVIHPKKGDVWALYRNWSSDWNESIPDHVIHQYDMVEVVADYNQEEEEEGGTGVSVRPLVKLTGFRTVFCPDSDRSQVKKIPKEEMFRFSHQVPKYLLTGKEAFNSPKGYLELDPAATPLDLIQTTT >cds-PLY86328.1 pep primary_assembly:Lsat_Salinas_v7:8:27165568:27168728:-1 gene:gene-LSAT_8X17481 transcript:rna-gnl|WGS:NBSK|LSAT_8X17481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAELGHAYTTTDFSNSRFLTYVSSSTRLSYHRRLSYRNLPRTPTTAIRCSVTSDQIQAPVGIDSKQKPDCFGVFCLTYDLSDLKAEDQTKSWKKMINVAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSFGALEGVAMELEDSLYPLLREVSIGIDPYEVFEDAEWALLIGAKPRGPGMERADLLDINGQIYAEQGKALNAVASRNVKVMVVGNPCNTNALICMKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNVTIWGNHSTTQVPDFLNAKIHGIPVPEVITDRKWLEEDFTKMVQTRGGVLIKKWGRSSAASTAVSIVDAIRSLVTPTPEGDWFSTGVYTNGNPYGIAEDIVFSMPCRSKGDGDYELVKDVIFDDYLRKRIKKSEDELLAEKKCVAHLTGEGIAVCDLPEDTMLPGEM >cds-PLY90697.1 pep primary_assembly:Lsat_Salinas_v7:8:143202740:143208363:-1 gene:gene-LSAT_8X96701 transcript:rna-gnl|WGS:NBSK|LSAT_8X96701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASTDTTAAPSTTLRHASGNILAFFILLLIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLTKNGIYDFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWKVLQSLNIPLSVETVCVFTAPVFSAFAAWATYLLTKEVKGAGAGLTAAVLLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNSIAYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVVLGTLLAALVPVVGFNAVMTSEHFASFLVFIIIHLVALAYYIKGILSPKMFKVAATLVISVGMVVCCGVVAVLVAVVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDLNVLAFLVPAGIIACFSPLSDASSFVILYIVMSVYFSSVMVRLMLVLAPAACILSGIALSEAFDVFTRSIKFYVSDLSENLQTEAGDGASASNVSMENNDKKEELLKERGSKKNKKKEKVVVEESSKKKKTEKRPLVLPVDTSIFALFLIVLLGAFYVVHSVWAAAEAYSAPSIVLTSHSPEGLHVFDDFREAYAWLSHNTEVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGVVGYSSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDSQATPTMLNCLMYKLSYFRFVETDGGRGYDRVRRTEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPQKNRIRGKTAKKSRSKSSSTTTTPTRTLTKRNPWH >cds-PLY66675.1 pep primary_assembly:Lsat_Salinas_v7:1:52152427:52156019:-1 gene:gene-LSAT_1X45761 transcript:rna-gnl|WGS:NBSK|LSAT_1X45761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVQMEELTSGASGRIIPVFKNVRRSVFSSASIRRALIFFQSIFLWFIFHLRRCQQHHRHPSPPSSPSSKRKFSFRRREIEEDVLRRRALAEEIQMVKPSIDDDWTSQCHATTSLFFGTGRNALFCRSWLPVSGEIRGILIIIHGLNEHSGRYADFAKQLNSSNFGVYAMDWIGHGGSDGLHGYVPSLDHVVADTGSFLEKIKGDHPGTPCFLYGHSTGGAVVLKAASYPYIEEMVEGIIITSPALRVTPAHPIVGAVAPLFSLLAPKYQFKGANKRGIPVSRDPAALIAKYTDPLVYTGPMRVRTGHEILRISAHLTRNFKSVTVPFFVLHGTADKVTDPLASQDLYHEAPSKYKHLKLYDGFLHDLLFEPEREDIGRDIIDWMERKLMSSSY >cds-PLY74793.1 pep primary_assembly:Lsat_Salinas_v7:6:116933843:116936104:-1 gene:gene-LSAT_6X71400 transcript:rna-gnl|WGS:NBSK|LSAT_6X71400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKATIDYEKIVRDTCREIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCTWLRPDGKTQVTVEYFNDKGAMVPIRVHTILISTQHDETVTNDEIAADLEEHVIKPVVPTNYLDEKTIYHLNPSGRFVIGGPHGDAGLTGRKIIVDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVACGVARRAIVQVSYAIGIPEPLSVFVDTYGTGKIHDKEILKIVNENFDFRPGMISIDLDLKRGGNGRFLKTAAYGHFGRDDPDFTWEVVKDLKWVNPEA >cds-PLY73832.1 pep primary_assembly:Lsat_Salinas_v7:7:67423119:67427073:1 gene:gene-LSAT_7X49141 transcript:rna-gnl|WGS:NBSK|LSAT_7X49141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATATATELCFLPNYHRRIMSPPSSSPKAWRSSPVKLAIKSQIQTQSLQKKKRRPENVDGEFFVDHTCIDCDTCRWMAPEVFTRVDGMSAVKKQPSCQDERLKALQALLSCPTSSISTEKPAHDILEVQKTFPIPIDIERIPGVYHCGYHSDKTYGAASYFIVHPEGNILIDSPRYTERLAGNIEKLGGAQYMFLTHKDDIGDHKKWSERFSCKRILHSKEVNVSTKDVEMKLDGCGPWSLNDDIQLIHTPGHTEGSVCLFYKPLKVLFTGDHLAMGESELAVSEIYNFYSVAIQLDSVAMLLELEFEWILPGHGRRVAYKDVEEKNSSLKAFLIAKQHPHGF >cds-PLY68127.1 pep primary_assembly:Lsat_Salinas_v7:8:232083429:232086767:-1 gene:gene-LSAT_8X140561 transcript:rna-gnl|WGS:NBSK|LSAT_8X140561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNQRGLSLGLLLFLVIIFLVEATYTCLGVGNTTVLLHEQERLALLKFKHSVEDSSGMLSSWVGNDCCMWEGIQCDSITGAVESLHLRGDTEFLDFQNEKLVHEVYFSGYGTFYRVRDGCYLVGNEVGSSLAELRHLKYLDLSGNYFQGSQIPEFIGSLKQLIYLNLSNADFQGIIPPHIGNLSNLKVLDLRWNELLAYDMAWTSGLSSLEHLDLSFVDLVRAQNRDMLIYINPSLKVLSLSACGLSNADLAPFLNSSRILPNIKHLDLSFNYFEGPFPVFFQNMTSLSFLDLSGFDLSFSWNFSNLLNIIPSLSELHLSNCRLNNSHLSPHHLNFSTLSNIQHLDLSENSIEGIFPSALTNMSSLRVLDLSENRLNSSVPFMPNLLEIDLSENSFQQIEHVGIWRQCHLKELRVSFNDFNIEMLDSTTNISECSQYALERLDLHGTLNGTIPESLGRLANLRGLDLSDSRLTGPIPESLGRLRFLQVLDLSNNQLTGPLPTFLGNLTKLDLSFNQLNGSIPESFGKLAALTYLYLEFNLITGPIPASLGRLVSLQAVSLYSNLLNGTIPLSIGQLAKLRSLDISNNSLEGVVFEAHFANLSMLKHLDTSSNTKLTFNVSREWIPPFQLVILRLSSCNIINGFPQWLRNQRKLGMLVLSNTTISGPLPMWFQKLPIISFLDLSHNKLAGSLTNLPDGGNHHVSGYEFYNALFLENNLFNESIPRSLCRMTYLEYLDISRNRLTGNIPKCLENLQHLLTMRLSSNRLSGVIPTSVALNFSSLIRLKLNDNNFIGELPREFANLQKLTVLDLGENKLSGNIPEWVGEKLISLIVFRLHKNNFTGRIPLSLCKISYLQILDISYNNLTGTIPHCLGELNAMVRSSPIHSNNATLDADENVVQGMKGFDLEYTTTWDIVFNVDLSSNKLSGEIPVELTALSMLVGLNLSNNHLSGSIPDSIGNMTSLFSLDLSKNELIGMIPPSIAALNFLSHLNLSHNNLSGRIPTGNQLQTLIDPSIYVGNKDLCGPPLMTNCSNHEDSITTIKKRYDAGDESPMVWFYVDIMSGFVTGFWGVIGVLLFKKEWRWKLFRFAEETMDKSAVVIRVAKRKSEREAA >cds-PLY79368.1 pep primary_assembly:Lsat_Salinas_v7:9:53952428:53956991:-1 gene:gene-LSAT_9X50101 transcript:rna-gnl|WGS:NBSK|LSAT_9X50101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR34A [Source:Projected from Arabidopsis thaliana (AT3G49430) UniProtKB/Swiss-Prot;Acc:A2RVS6] MSGRFSRTIYVGNLPLDIREWEVEDLFYKYGRILDIELKIPPRPPCYCFVEFANARDAEDAIRGRDGYNFDGCRLRVELAHGGRGQSSSGDRRGSSNSNGRSGLSRHSEYRVIVRQLPSSASWQDLKDHMRKAGDVCFAEVSRDSKGTFGLVDYTNYDDMKYAIRKLDDTEFRNPWAKTYIRVRKYENSPSRSPSRSRSRSRSKSLERSRSVSRSPSKSRSASPDEPARSRSKSKSESPAPGQSP >cds-PLY92119.1 pep primary_assembly:Lsat_Salinas_v7:9:192442746:192445074:1 gene:gene-LSAT_9X116340 transcript:rna-gnl|WGS:NBSK|LSAT_9X116340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSARGRRRTASSHHRTTPYPHPHLTCRHVSGDLTGKPKDWEDITCSVCMEYPHNAVLLLCSSHNKGCRPYMCGTSSRYSNCLDQYKAHHHPPPPPATGGGGGGGSPPPPVEKIKEGAELACPLCRGQVKGWTVVESAREYLNLKKRSCMHDECSFVGVYKELKKHVREVHPSAKPREVDPDQEQKWRRLEQEREREDVISTVTSSMPGSVVFGDYVIERNPYGLDSDDDDGLGNVGINNNFMNMLLLFHAFGHGGDTRVLDADDDEDDDDDGGGGGDGMSLVSRLRRQGRVILGRSGRRRRRRRLGGER >cds-PLY67303.1 pep primary_assembly:Lsat_Salinas_v7:6:23008523:23010385:-1 gene:gene-LSAT_6X16901 transcript:rna-gnl|WGS:NBSK|LSAT_6X16901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPFNRHSYDVEIQEIGSFGKLPRLSQEDDTGNKSEATNRLCFPTANDQVGQQPLKAFHNLDALFLDTTPPLRSYEHQMQELANIESQYSELIKPHTNPKRTKTTSHDVVRNEVSERKLSTDAVIRLGGEKFIQSCSSSINDISIPSHPYSTSFSGFSIQETKDIELIQNLLLSAEKVSNQKFERSSKLLDWCDASSSNSGNPIQRLVHYFSKALREKIANETGRISFHGPRKNHVDDLAKRMLSANPTTVSIYQKLPFFQVGQFSGVQALVDAVVGATKVHVIDLSIKQGVQGTILMQALISQPICTIEHLKITAVGTNYKEKIEQAGDWLKSFAESINLSFSFNVAMVEDMLMFNENLLELDPEEALAIYSSYGLWGMIGQQGRLESLMKVIKNINPRVMVVSEAAVNLNSPKFVNRFIESLFYFGALFDALEDCMDREDENRAITESVYMGNGIRSIVATEGAERVIRNVHINVWRKFFARFGMKETELSMSSLYQANLVAEKICCGSSCTFDMDGNCLIIGWKGAPIQFLSAWKFS >cds-PLY91189.1 pep primary_assembly:Lsat_Salinas_v7:4:124589905:124591133:1 gene:gene-LSAT_4X78501 transcript:rna-gnl|WGS:NBSK|LSAT_4X78501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDEIKNPALDPDTDSPGKPGHELAEFGAGCFWGVELAFQRVEGVVKTEVGYSQGHVHNPNYRLVCTGTTNHTEVVRVEFDPSVCPYTNLLSLFWSRHDPTTLNRQGGDAGTQYRSGIYYYSEEQARLARESLDEKQKSLKNKIVTEILPAKTFYRAEEYHQQYLEKGELSGSKQSARKGCNDPIRCYG >cds-PLY78079.1 pep primary_assembly:Lsat_Salinas_v7:3:255911541:255912759:1 gene:gene-LSAT_3X140241 transcript:rna-gnl|WGS:NBSK|LSAT_3X140241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLVKIDFNRKIVNPVDIIAKLEKYNTGLTCDLVLISNKICERGVYQHVTSELVAEIAASMAANHPDYISDQANVREIWVERSLSLPPFVTYEVYNTITKHAKELEAKIVPERDDYYDYIGLKILERSYLLKINGRVVESPQHMLMRVAIGIHKDDIDSVIQTYQMMSEGWFTHASPTLFNAGTPNSQLSSCIMICMVNNSIGRTYDILKECAVVSKSAGGIGVSLHNVGSTGGTNATSSRIIPMLRVFNDTARFIEAHKVL >cds-PLY96264.1 pep primary_assembly:Lsat_Salinas_v7:6:157587830:157596785:-1 gene:gene-LSAT_6X95381 transcript:rna-gnl|WGS:NBSK|LSAT_6X95381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDPKTCDCGFLARILTSTTPKNPGRHFMVCNEVEGLMRLMEGEHVGPFNFGNPFEFTMLELAKVVQEPIDLNAKIEFKPNIEDDPHKRKPDISRAKELLGWMPYVSLREGLPLMVSDFRQRLFGDQKDPSINTFYFV >cds-PLY88799.1 pep primary_assembly:Lsat_Salinas_v7:4:94663545:94665711:-1 gene:gene-LSAT_4X61881 transcript:rna-gnl|WGS:NBSK|LSAT_4X61881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTEDMKINSQLYEALMQKDDDKVLDICASIPKGPLHTVTIHEDTVIHIATYHKKNDLVLQLLNMVPLSDSHKLTWQNNGGSTILHEAGTNNRTVVAAAEMLRRAPMLLSMANKQGETALFYAARHGKTKIFKFLHDQVTKTNQGPDLKTFLLRDDRSTILHLAILSRNYWMCHEIAVKHKHLIHEKDEDGMTPLQLLSCRPLEPPPDNFFVRIIYNLIDPNVEDTSWMLSPLKKLRKQKFKCEWAMKLVKLLVKKDTSWEKTASRLTKHRSKVHQYGKTSSITQEEIITYESAARLPDTPLLLATKHGCPQIVEEILTLYPQAIEHIDQDGRNILHVAIKNRNHEIFDMVVNTRYAKQRLRGKIDNDANTLLHMVAEEVEDVDSDLKGPAYVLQDNMRMFKDFERSLGRKLKAGLVMLTISVAMLMLAFASTLILTVSSGRKWTDITLYAISFFPVLIFVFTSFPFERIRFRAVYSKAKETLVNMVSCMTKPKPAVWYSGRGATSVI >cds-PLY96075.1 pep primary_assembly:Lsat_Salinas_v7:3:101069304:101073444:-1 gene:gene-LSAT_3X73520 transcript:rna-gnl|WGS:NBSK|LSAT_3X73520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGTGKVLCDVDSAKEFILCDYNRDAHSYRSPWSNKYHPPLDGGMYPSPELRTHEIEENEVLGVYRDQYYEGGTSSVYLWKDDEKEGFLACFLIKKRYMILFLLCLINPSDAEALSSSNNLESLNWIHVEFGIMD >cds-PLY84739.1 pep primary_assembly:Lsat_Salinas_v7:5:230348636:230351845:1 gene:gene-LSAT_5X110520 transcript:rna-gnl|WGS:NBSK|LSAT_5X110520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEQVLESVQEVVNGNMVEEIEMNTEEAEVDQEEVRKVEDEDEDDEEFYERIEAPKFIDFTKPYPFRTDDRYWFCSRVGMSFKFLIRKHEEEINPEEISKNFVLRVLAARSPNIKLRRALNRDQSSMKCPLSAPAKPSKPRIPRLAGVSCSISQKISDQQSNIRPCSKVGSTPVAKTRQVAAKYMTTPRNKKCLPNPNSCQSVPNPKITTVDVAKNRTLAKALVFHSPKKAISLKKSVELRTPLKKICEGMKRLEIASQKKTSKSIKSKLTEDSSRKQVKICRSDKKSKDLAPSKSTKTPTKKIPNHNESGDMDVDVKTEKDLQPKETSDNSIPHASKAEIISLPNLEDKENMGSVEHHSKEDDDEKENARNLEDKEDMGSLEHHSNEDDGKENAPNPQDNNRFKNQPLGNKILGKETLKKNKVVQTARPALPKCKKPQPTNVKPFRLRTDERGILKEAILERKLNLPACEKEVAKDISLVKKRMQVPNTTQQRPKASLRTRSPSIQPQPEGVASPRKKIRRPGQQLGVIKEKSCKLTGDEVAVNKTRAASAPRSTSQGKLSVTIAKQPNFHTSHLPKGCFKKIA >cds-PLY76964.1 pep primary_assembly:Lsat_Salinas_v7:6:66805349:66808151:-1 gene:gene-LSAT_6X48361 transcript:rna-gnl|WGS:NBSK|LSAT_6X48361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSNNWLSFPLSPTHSSLPPHLTTQSHNFSLGLVHDNIDTPFPNQEWNLIGAQGANDQVPKVADFLGVSKSENSSDLVAYNDIQGNDTDYMFTNSSLLPQVENTLSATPTSYELPENASTLQSLTLSMGSGKRSTCETSTGDNTSNDNTTNSTTSVVEANPRRTLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDMAALKYWGTSTTTNFPITNYEKEVEDMKNMTRQEFVASIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLSAVTNFDMSRYDVKSILESNTLPIGGGAAKRLKEAQAIESSRKREEMLALGSGFPFGTTAAAGGVLQAYPLLQQPFETQSPQPLLTLQNSEISHYTTQDPHFHQNYLQSHHHHPYNMHSPHHSSSQTPQYYNSYLQNNPVLLHGLMNMGVGGSSSSVMDTNNNGGSSSGSYSGGGYLGNFLGQSGSTAEELAMVKVDYDNLPTENYAGWSGDSSVQESNPSVFTMWND >cds-PLY95651.1 pep primary_assembly:Lsat_Salinas_v7:2:114618226:114618642:1 gene:gene-LSAT_2X53381 transcript:rna-gnl|WGS:NBSK|LSAT_2X53381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLILHALVILAMVPFCVASTSNAIMYRMYITNGIEDNIEVHVFGKDQDYQGQRTLSFNEEFDYKIRVKVGTISRGEFWWGSKYAIVSIYNFNIFGVCFSGNIFEVQRCYWLVRPDGIYVNGNNDTFPNRGWHKKITW >cds-PLY87605.1 pep primary_assembly:Lsat_Salinas_v7:8:111438870:111439930:1 gene:gene-LSAT_8X77801 transcript:rna-gnl|WGS:NBSK|LSAT_8X77801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METTGKAKKGAGGRKGGGPRKKSVTRSVKAGLQFPVGRIGRYLKVGRYAKRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAIRNDDELGKLLGGVTIAHGGVLPNIHAVLLPKKSATTEPKSPSKAAKSPKKAAKTPKKAE >cds-PLY73313.1 pep primary_assembly:Lsat_Salinas_v7:7:194577001:194578646:-1 gene:gene-LSAT_7X113681 transcript:rna-gnl|WGS:NBSK|LSAT_7X113681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRVENLLRNEKHRSYTLVLGELLDPTNQLHGDDKEGFYICIELPEDDPEAQRTFYGPNLWPDSDILPGWRQTMEKYHQQALEVVKNIARFISLSLDLDSYFFERPEMLGNPIAILRLLHYEGQISYPLKGIYGAGAHSDHGFITLLATDNVSGLQICKDKDAKPQVWESVEPLKGKTIMSKRKQHLTLYTIGE >cds-PLY73417.1 pep primary_assembly:Lsat_Salinas_v7:1:40271147:40278557:-1 gene:gene-LSAT_1X34781 transcript:rna-gnl|WGS:NBSK|LSAT_1X34781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLALFRVERALNFTIPYHISKSAPYFQKCYSCGGMNNKYNMIGGSSNKTSAEKKKHKSIASVWRPVSMQSCSADDRHGGAEVGSKLAEPQIGPAILSDKEEDNAFKGSVSSTDNHSISLEVGSSLFRFIKGKGGYVQSNIEEEMGVKIIFPSSRKEESIIIEGTSPDSVTRASERIQLIIDETVKSSALDYSHFISLPLAINPQLVDKLVNFQNSILGLHDNTSNEDTSEEENVDKKLGESILTLKAQEDSGNVKVDVTKIPLVSYPPKSSATSTSGSKPKTSTLSELGIDKSIFIKPKTFHLTVLMLKLWNKERVDAAVKIFEGIAGEIMDALDGRPVSIKLKGLDCMRGSLAKARVLYAPVEVIGGEDRLLRACSIHRCYSCGRMNNHKMIGGSSNKTSSAERQKQKSIASVRRPVSMKSSFANDRHGGAEVGSNLAVKSSALDYSHFISLPLAIHPQLVDKLVKFQSSILGLHDNTSIKASTSASKPKTSTLSELGIDQSIFIKPKTFHLTVLMLKLWNKERVDAAVKIFEGIAGEIMDALDGRPVSIKLKGLDCLKGSLAKARVLYAPVEVVGGEDRLLRACKVITEAFTKGGLVLEKDAKQTLKLHATVMNVRHRKRTKMTNQYDSFDARGVMEKYGSEDWGRYLIREVHLSQRFVFDEDGYYHCCASILLPHNAHKCMKWFCI >cds-PLY93412.1 pep primary_assembly:Lsat_Salinas_v7:9:62405134:62406466:-1 gene:gene-LSAT_9X53981 transcript:rna-gnl|WGS:NBSK|LSAT_9X53981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSIWLRKLQQKKRKHHNPLDQVIVETDNDSDSEDIRNDDFGLGSPRRDSPSKLIFEETRNPSVTISVSNMDTNTSLNDQTSTSIPEKTKLHDVSKERYDLFVEQVTKMKESVDQKMTELKSEMAKEVEKMEQNYTLLHRKVDVIETAIMKLVEFNTDYSTKLEEKSEKHSQLFTKMEDFLSSIKELISKIDLQISRLFLKNPSLSWFRTSRQTSKQRLIQS >cds-PLY73519.1 pep primary_assembly:Lsat_Salinas_v7:4:21897729:21904092:1 gene:gene-LSAT_4X14920 transcript:rna-gnl|WGS:NBSK|LSAT_4X14920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVADNTLLDLIGKVRSWIYWGTSDLTSISGEVKMTDGGQTMCCQCEIRVSESCLGYHCQKCSRLFCERCVQGYGYGSFVVVESKSGTQAGLHLRSCRFCGNLDVKQKIGGKFSHKIHPSDSPRQSPEPPSPNCIRSDEDETGDSSKNVFSPYSLDTSDVDSSSVNTSHEFYNFSPSRVHFTSNRLRRHSVQQEDQGNEDPANDDLSVFDDTSHNKPLDLENNGLIWFPLPPDDEEISFFSYDDDDDEIGPTGAVFSSSDTLQNGPNLEHKKPLETVVQGHFRALVSQLLQSEFMEADEWLDIITSLAWQAANFVKPDTSRGGSMDPGDYVKIKCIASGSPHESLFVKGVVCTKNIKHKRMTSQYKNPRLLILGGALEYQRSHNQLASIETLLQQEIDHLKMIVSRIEARRPNVLLVEKSVSSYAQEYLLAKEISLVSNVKRPLLERIARCTGAPITPSTDKISTTRLGQCELFRLEKFSEDHEADNQFSKKPSKTLMFFDGCPRRLGCTILLKGSCREDLKKVKHVIQYAVFAAYHLSLETSFLADEGAILPKKTVIPERSHLEITDSPRSEGDDVAVECQSQTSCQMKDGSVITQCDDPLDCSLTVSVEENEVEKGESLEVIDTSNEYYSATDNNQSILVSFSSRCVLNGNICERSRLLRIKFYGCFDKPLGRYLQDDLFDQTSNCRSCNETAEAHVVCYTHQQGNLTINVRRLPSLKLPGEKDGKIWMWHRCLRCAHVDGVPPATHRVIMSDAAWGLSFGKFLELSFSNHATANRVAGCGHSLQRDCLRFYGLGSMVVFFRYSPIDILSVRLPPSVLQFTGHVQQDWLKKEVSELLNKAKALYNEISEVLHQAKGNEASDSSELSKHVEELKDLLMKERDVYMDLLQPSGDESVAEIDVFELNRLRHSLTIGLHVWDRRLSSLKGSNSKDAVEVKHRRSYSTFAGSVDRINDSEYNQIESNEETDHSESFLSDKIDSAWTGPLRASFDVSPIDEHEHERRNKPANPHFRRLISSPARVYSFDSAMSLQEQIQKELPPSLSFQDAKHYKDPIRNIHRSYSQVFPNEDQKLEFLWRNKPMFISPPSFLPEGAHLMLPYGTQNSVITVYDNEPTSIISYALASKEYEEWFIDKPYLNRNHSVSVSKLSGWQSFGSVDLDYIQYSNYKSEDLSTGIGSLFSDSKSSHHLTIPFEDEKVKFSVTCYFAKQFDSLRKKCCPSELDYMRSLSRCKRWSAQGGKSNVYFAKSLDERFIIKQVTKTELESFEEFAPQYFKYLTDSLNSGSPTCLAKIVGIYQVTVKHLRSGNGKEMKMHVMVMENLFFKKRISRVYDLKGSARSRYNSETGANKTLLDMNLLEALRTNPIFLGSKAKRSLERAVWNDTSFLASIDVMDYSLLVGVDEESKELVLGIIDFMRQYTWDKQLETWVKASGILGGPKNASPTIISPKQYKRRFRKAMTSYFLTVPDQWSS >cds-PLY78608.1 pep primary_assembly:Lsat_Salinas_v7:4:152338802:152341199:1 gene:gene-LSAT_4X94021 transcript:rna-gnl|WGS:NBSK|LSAT_4X94021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLSFQKYGDDFIDVWTVEDFQETFNRLKSDFQIKREISITNMMRHPNIFRLHEESESKDDEIDDHNDDENDLEQEADAEKEVVYGKPPEVLPPKDTDRVSPNIEMDVHTFFVNSERVIDYLNSLERFIFTDCFNGTHPLASHSY >cds-PLY71640.1 pep primary_assembly:Lsat_Salinas_v7:9:135549968:135551858:-1 gene:gene-LSAT_9X87100 transcript:rna-gnl|WGS:NBSK|LSAT_9X87100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGKTNLLLLFGFYLLLSLITSSDSSLQYDYYRQSCPEANQIIKSTLRRIYDRNSSAAPAILRLVFHDCFVQGCDASVLLDPTEIMGSEKDTPPNQSLKGFEHLDIIKAELENACPGVVSCADLLVLAARESVILAGGPFYPVHTGRKDSSRSFPQLSYELPSPLDDLSTNIARFATRGFTDKETVTLLGAHSTGMIHCKFFEKRLYNFGGTDKPDPSMDSEFVELLRSVCNNRSHSHSHSQSPSASLSPSPSSSKTRQDQGMKMDYEGKGSGFGTLYYRSLLQGRGILFVDQQLTAGEETATWVRQYASDVSLFRKDFAQVMMKLSSTQVLTGDKGEVRLNCREVTSSLW >cds-PLY72941.1 pep primary_assembly:Lsat_Salinas_v7:1:91046308:91047046:1 gene:gene-LSAT_1X76121 transcript:rna-gnl|WGS:NBSK|LSAT_1X76121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAPKSVVIHVTGFKKFNVFATNPTKTLVSNLQSYTEKTGLPLGVSLGSCTILKTAGDGAFRARQSEMTILSLWKEIRHDNTVTWLAYWDDAINGKDFKYVFLTASSTLKGQSDKEKYEEARLLKGYIGGIRQAYTKDFTNKDLTKRQVAVATYLIDKIALRAGNEKVVFAIPIC >cds-PLY94833.1 pep primary_assembly:Lsat_Salinas_v7:2:176562189:176566984:-1 gene:gene-LSAT_2X100120 transcript:rna-gnl|WGS:NBSK|LSAT_2X100120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSNASSSSFSDEDEESAGQKPKTGSIDYSPDAIENQLPSIALNTSIDEEKVSVADDHHEPVTEIARGSSREIEPERLISSTGESNLSTETGVWRNSSKVEVDGATSSPCSSGYAGEMGTSSGTASTSGADDIQELRNNSSSVGNDGEVLHSAQWVPGKRHVDEDDTCMSWRERKKHFFVLSHSGKPIFSRYGNEHKLAGFSATLQAIISFVKDGGDRIHLIRAGKHQVVFLVKGPIYLVCISCTEEPYESLRGQLELLYGQMVLILTESVSRYFEKNPKFDMTPLLGGTDAVFSSLFHAFSWNPASFLHAYTCLPLPCATRQAAAAILQDVSDSGVIYSMLMCKYKVVSLVGAQKAPLHPDDMLLLANFVMTSESFSPICLPRYNAMAFLHAYVHYFDDDTYLVLLTSNSDAFFHLKDCRIQIENVLVKSNVLSDIQRSLLEGGMRVENLPLYPSTRYGSLFHNEPSDRSQESLQPGIGGPDGLWHFIYRSMNLDQYVSSKFSSPITTHQHQKRLYRAYQKLYASMHDKETGPHKTQFKRDGNYVLLCWVTTDFELYAAFDPLADKGSAIRICNRVCQWVKDVENEIFLLGGGSTFAW >cds-PLY63623.1 pep primary_assembly:Lsat_Salinas_v7:4:133303659:133303865:-1 gene:gene-LSAT_4X83181 transcript:rna-gnl|WGS:NBSK|LSAT_4X83181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCNCEEKVADQKLPEFRDQKIIDVVKLKILLHVDIRPVSPPNTHNTNFVDSKHQALPDVTNCTIEVGA >cds-PLY71921.1 pep primary_assembly:Lsat_Salinas_v7:3:26859103:26860204:1 gene:gene-LSAT_3X19400 transcript:rna-gnl|WGS:NBSK|LSAT_3X19400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCVQPGGVNWIFELEELLDAAQSDENAGTSSKSNEESKDVISFLEVDIITPTHNLLARKLTCEILPGKSLLLTGLMKANSSGVRRGKESSR >cds-PLY77609.1 pep primary_assembly:Lsat_Salinas_v7:2:163477968:163479407:1 gene:gene-LSAT_2X84240 transcript:rna-gnl|WGS:NBSK|LSAT_2X84240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVTQAGVRTRARAMAVVAEETEDNSGAVKRRKVGNEKSRSPSLTSEQTKTRCDIDYVKSAHEEEEDPSDSFNASANLKSGGVTGSMEKVKVADLEKSFGTETSARHKLNGSESTPKKELKAESGELESCTAKPSPEMVNPCRTVLSPEKMPPEAELEAFFAAAEEDLNKRFKDKYNYDIVNDIPLKGRYDWVELTPGK >cds-PLY80905.1 pep primary_assembly:Lsat_Salinas_v7:8:258569749:258573459:-1 gene:gene-LSAT_8X151061 transcript:rna-gnl|WGS:NBSK|LSAT_8X151061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCEKNGLRRGPWTIDEDHKLMNFILNNGIQCWRVVPKLAGLMRCGKSCRLRWINYLRPDLKRGAFTEAEEDMIIQLHSRLGNRWSKIAAQFPGRTDNEIKNHWNTRIKKKMKFLGLDHRTHTPIEQHHDTDTTIKRENGESTSSNNIFSNYKIKDEKTNIITDKTSTTIEDIGLMMMSHNHQLESLNTSFELELPQNWIDSPGFHWDVFNDPRLGFH >cds-PLY99394.1 pep primary_assembly:Lsat_Salinas_v7:4:102805897:102808581:-1 gene:gene-LSAT_4X66480 transcript:rna-gnl|WGS:NBSK|LSAT_4X66480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSSKGFDFASDDILCSYEDYTNQENSNGTHSDSAIATNSSKEFNKSRMSRPSFPVSSYSPPDDSGNQDVVVAAVERSMKKHSENLMRFLEGLSSRLSQLELYCYNVDKSIGEMRSDLARDHGESETKLKFLEKHLQEVHRSVQIIRDKQELADTQKELAKLQLAHKESESQKTEEVSTPSSDKRNDNFPEGHNQQLALALPQLPAPPPAAHQPPMSQPQTYYLSPAPTPNHYLQQDPHYQTQVTQPPPPRSQLSQPPPPQYQQQWAQQMQPPPQPAVRPPSPAQVFPPYLSSQQVNSPAPENLPNSMPMPPYSGVPQPTYGRTNPGQPQPQPQPPPQHMKPGFGGQAADGYAASGNAYMMYESEGGRVVPQQHPTHSTHFPQPVYPPSQQQQSSGGSMMARPPPQFMRSHPYNELIDKLGSMGYRGEHVMGVIQRLEESGQTVDFNTVLDRLNAHPSGASQRGWSG >cds-PLY92315.1 pep primary_assembly:Lsat_Salinas_v7:9:178733918:178734301:1 gene:gene-LSAT_9X109801 transcript:rna-gnl|WGS:NBSK|LSAT_9X109801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPRAVELTTLLESRISNFYMNFQVDEIGRVVSVGDEIARVYGLNEIQAKEMVEFSNGVKGIALNLENENVGIIVFGTDTAIKEGELVKRTGSIVDVPAGKAMLGRVVDALGVPIDGRGALSDHE >cds-PLY93741.1 pep primary_assembly:Lsat_Salinas_v7:6:144135740:144138240:-1 gene:gene-LSAT_6X87160 transcript:rna-gnl|WGS:NBSK|LSAT_6X87160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-10 [Source:Projected from Arabidopsis thaliana (AT1G63260) UniProtKB/Swiss-Prot;Acc:F4I214] MSTGVSTFVIRWINFLTMFVAVGVIGFGVWISAHHDGCRKSLTLPILGLGALIFLISVIGFFGALKNNSILLWMYLIMLCLILLGIILFTVLAFIITNNGTGHNVVGLRFKEYRLQDYNTWFLKELNNTHNWKHLQTCLVKADDCSNLSKRFKTLKQYELAKLTPIEAGCCRPPSVCGYPVVNASYYDLTFHPISSNKDCKLYKNRKPIKCYNCDSCKAGVAEYMKKEWRVVAIFNVVLFVVLTMIYFVGCCARRNAASSHDKS >cds-PLY73391.1 pep primary_assembly:Lsat_Salinas_v7:9:153833482:153848735:-1 gene:gene-LSAT_9X96380 transcript:rna-gnl|WGS:NBSK|LSAT_9X96380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAETGTYRWMAPEDVSMILSTEDHSELMQKVAAKTAAQFSLIPDVPIMTSQTVDNQKEIVFANNIKDDDPTLILYTSGTTGKPKGVVHTHSSILAQIKPRKGAESQRKQHRKKSQKKDLLRLQNLRLRCERLLLLPLLHQSEGSNLLESESLPLLLHLIVKEKIMNLKLNQTFALRMIHQFVMKKMSSLTLKSKNLFTLRRSNKFATNHLFALRLHLQIVR >cds-PLY74974.1 pep primary_assembly:Lsat_Salinas_v7:3:155154876:155155670:-1 gene:gene-LSAT_3X97900 transcript:rna-gnl|WGS:NBSK|LSAT_3X97900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLLVRLCANKLGKLLLLPGKGEVCVCCRHQTRRQNLSKLLGSIRDVVSNKSTTPMQRNKMVTPSSVMSPPLSFFAAFPIGLGSDPTLGSALDSPGSSIPSKKPIAECRIGTTPSSSCSKAYAPGCTITGHSLLSGDTSAQEWSGYAYSLATMRFLAGKSRAQVVGDLRYAAAQASALMVAAANRVFHVGVIEAQLTPLHNTIVSLKDGLQDAEAELHILSKQNYVVAYEISIQERHVLTLEDPTERLGDQVSVMTLEKNVLAK >cds-PLY64326.1 pep primary_assembly:Lsat_Salinas_v7:4:25728237:25729152:1 gene:gene-LSAT_4X18420 transcript:rna-gnl|WGS:NBSK|LSAT_4X18420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRGTTFQNVNSSNGLSKILHAPGDIIKVGETLLQLVVEDSAVPFNDSDASVVSDGSKFDEHKLELRKSHANDNLSTPVVRSLAKQHGIDLADVTGSGKHGRILKEDVLKYGVEKGIVDDKPAFNPTSIEPMSGPEEQLQEMVESLYHDKIFSQRAYQRAMVRSMTTAASVPHFHYVEEINCDGLMKLKSTFQKENTDLDIKFTFLPMLINSLSSVHLCCKLIF >cds-PLY82440.1 pep primary_assembly:Lsat_Salinas_v7:2:183141884:183143009:-1 gene:gene-LSAT_2X105481 transcript:rna-gnl|WGS:NBSK|LSAT_2X105481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENESNSGKYHVSESLAKTTWTRKEDKLFETALVDVPENIEGRWQKIADAVPGKTEEEVRVRYDELLHDLHEIESGRVELPRYADDDEVIKESFVSSDSDQYRSRKVDQRKKGTSWTEEEHRNFLMGLTRYGKGDWRSISRNCVVTRTPTQVASHAQKYFLRQSNSLTKERKRASIHDITTPDNTTMVVQPLQQQPANIYSGQGGAPPQMGYDNQNYPN >cds-PLY99438.1 pep primary_assembly:Lsat_Salinas_v7:6:20858792:20859999:-1 gene:gene-LSAT_6X16260 transcript:rna-gnl|WGS:NBSK|LSAT_6X16260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELMIVAGKVVAILQLEHGVAVVTVYAGSFMTSLDMGAFSISIIKAEQAILQCLDVAAKAPHWPIGVDEGIHMNKKFHENALSSPSVHSPGASVHERSEETLSLSTLQIVTLFLPIMPLVLVKLIDNSLLDVSQSDLEANLVKHYQQDAVKTWSRILLSHY >cds-PLY65291.1 pep primary_assembly:Lsat_Salinas_v7:8:104758256:104758621:1 gene:gene-LSAT_8X70860 transcript:rna-gnl|WGS:NBSK|LSAT_8X70860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGENFLNGSIPKGLFSLPHLSQVELQNNLLSGEFPVTDYVSANISQVILSNNRLTGPLPAIISQFSGVQKLLLNGPCKEGIANVTHQPHSKSPLSTSIQLLIVLGLLLCSIAFTIATIIKA >cds-PLY73753.1 pep primary_assembly:Lsat_Salinas_v7:2:95797563:95799041:-1 gene:gene-LSAT_2X41401 transcript:rna-gnl|WGS:NBSK|LSAT_2X41401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSQLPIIPFSLFLFLFPIIIQVVKSAPDNTNLVYKGCAKQSLQDPNGVYSSALSAIFGTLIQQSSKAMFFKTTSGSGQASITGLFQCRGDLTNLDCYNCVSRLPILMDKLCGKTVAARLQLLGCYMLYEVAGFSQISGMELLYKTCGETNAGGIGFEEKRDSALGSLESGIGSGNGGFYTTNYESIYVLGQCEGDLGSSDCGDCVKTAVQRSQVECGSSISGQVYLHKCFISYSYYPNGIPNPKKSSSSSSSSSSSSSSSSSYSSSSSSPSSSSSSSSSYSSPYSSSSSSSDTGSNTGKTVAIFLGGAAGVGFLVICLLFAKNLAKKHDGE >cds-PLY62596.1 pep primary_assembly:Lsat_Salinas_v7:9:76881898:76883052:1 gene:gene-LSAT_9X60581 transcript:rna-gnl|WGS:NBSK|LSAT_9X60581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSKAWRESKPPPKTPEEASMLVIHTLHRPQTADLQGLLSFYGFPFLDSLAEATAGETPPLSDGFKFELHTLPVDPRAVADGDGVTVYVRTSDARESTRVPPEIQMAAVERKEARAKRNYAKADALYTVIKDAGYGVLHIKNEEILARKYRIRLRGIDAPENAMPYGKEAKDELVKIIDGKCLKILIFDEDQYGRFVGDIYCNGIFVQVILMLI >cds-PLY74651.1 pep primary_assembly:Lsat_Salinas_v7:5:177327929:177330105:-1 gene:gene-LSAT_5X79360 transcript:rna-gnl|WGS:NBSK|LSAT_5X79360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:FAP2 [Source:Projected from Arabidopsis thaliana (AT2G26310) UniProtKB/TrEMBL;Acc:A0A178VYJ7] MDLDEGRPKFFPMEALSPSRYIYHISSLVDISPHHSRNWYTPGSIALQETFRNLSKFTGTVMLWCSSSSNSNSNISHKRYQFSGQNNYGFFCNYRKKALEIPEIFNKFSRFAIKQLVGKAKELQFIPALSLAGNLVPPLDNISRNYLAVSHENVNGVVGRYMNHVPCEVQQQKCGDLHGNFNCSSPAVEPKTGIEFPTLLDNIFGGSNSSLNTEVLVGTGSKTMKIIKIKSLKLYAFGFYVHPYDICKKLGSKYASLPKEEVNKHHNFYSDLLREDISMTVRLVVSYNGLKISTVRDAFEKSLRARLIKMNPDTDYDCLRSFGSLFSEDIPIRAGTTIKIGRTADGHLVTEIEGKRIGAVHSRDLCRAFFDMYIGDGPVSEQTKTEIGENVANIMRRC >cds-PLY93893.1 pep primary_assembly:Lsat_Salinas_v7:4:270149888:270152724:-1 gene:gene-LSAT_4X139020 transcript:rna-gnl|WGS:NBSK|LSAT_4X139020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLDEFRAILSNSRVDVWEIIDAAITVASSDYAGELKHRRDGIVERLFTQQCSNCDVNQIEQQRNGVIRTISKEVDDDCGREGGGGGGDSPLTPQSIPHDDEEEEDPDPYGGLFDDEQSKILRIKEQLEDPHQTDDAVVDLLQTLADMDLTFTGLKETDIGRHVNQLRKHPSNEVRRLVKQLVRKWKDLVDEWVGSKRGDHAPSTLTDGDSPLVQNIVRSSQNGYHQGPDFGYSPNPHNGSSGSERNNSEPEQRPKAVVSKKPTPSRPLPQSRPMNLASASVPPNRPRKEQNIDLDLDRLASASKRLQENYQEAQNAKKQRKIQVMDIHEIPKPKNGFIAKNKGNFQGRNHR >cds-PLY74515.1 pep primary_assembly:Lsat_Salinas_v7:7:38038346:38039067:1 gene:gene-LSAT_7X28000 transcript:rna-gnl|WGS:NBSK|LSAT_7X28000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVTHPQSSSVVMERTTGVTTVSAAASKMETVYERVHHLANGNAVVLFSVSGCCMGHVAKQLLLGLGVGPTVVELDRETAGLEIHALLYRLAAGNDQQQPIPAIFVGGKFLGGIETLIACHINGTLVPLLKDAGALWL >cds-PLY74069.1 pep primary_assembly:Lsat_Salinas_v7:9:12063988:12065935:-1 gene:gene-LSAT_9X8340 transcript:rna-gnl|WGS:NBSK|LSAT_9X8340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 2 [Source:Projected from Arabidopsis thaliana (AT1G06210) UniProtKB/Swiss-Prot;Acc:Q9LNC6] MDKLDKFKLASSSFGERLMTGGVQMSRMVGTKMKEILQAPTPESKIVDEATLETMEEPNWGLNLRICAMINSEEFNGTEIVKAIKKKITSGKSAVSQRLSLDLLETCTSNCDKVFSEVASEKVLDDMVTMVQDVRTDERNRTKAVRLIRAWGESEDLMYLPVFRQTHLSLKANGIPPASSPMYQTVESLVHQQPIPPPDRFPLPDIDLMGIEDDTSPYTYTDQSVEQKKESLLVSRNSLDLLSSILNSGIDPIPINDELTVSMLEKCKESLPVVQRIAETTTDDDILLFEALNLHDEIEQIISRCAEIKETMGSEPLEVDDTKQTETIFETKSNNTEGTGSTIDAKVKGNETSLIHKDESMEKEEAV >cds-PLY63646.1 pep primary_assembly:Lsat_Salinas_v7:4:129572153:129574998:-1 gene:gene-LSAT_4X81261 transcript:rna-gnl|WGS:NBSK|LSAT_4X81261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C6 [Source:Projected from Arabidopsis thaliana (AT3G48610) UniProtKB/Swiss-Prot;Acc:Q8H965] MERTRRPSFSSIFLLLFTVSSVLDPTRFADASGQDQQPIKRIVVLVLENRSFDHMIGWMKKSINPSINGVTGRECNPVSTNLTRKQPQEDETQESSICFTDDAKYVDPDPGHSFEDVEKQVFGSNPIPSMTGFVEQALSVSTNLSETVMKGFKPENVPIFAKLVQEFAVFDRWFSSIPGPTQPNRLFVYSATSHGSTSHVAKQLAKGYPQKTIFDSLHENGKDWGVYFQNIPTTLFYRNLRKLKYVFKFHQYSTFKQHAKDGKLPNLSVIEPRYFDIKGFPANDDHPSHDVANGQNLVKEVYETLRASPQWNESLLVITYDEHGGFYDHVKTPYVNVPNPDGNTGPAPSFFKFDRLGVRVPTIMVSPWIKKGTVISNPNGPTPNSEYEHSSIPATIKKMFNLSSNFLTHRDAWAGTFEHVIQQLTSPRTDCPEFLPEANPLRNTEPDDTRGLSQFQSEVVQLAAVLNGDHFLSSFPDEMSKKMNVKEAYTYVKGAVSRFITASKEAINLGADESAIVDMRSSLTTRSVIHNK >cds-PLY61981.1 pep primary_assembly:Lsat_Salinas_v7:5:158554095:158560243:-1 gene:gene-LSAT_5X68881 transcript:rna-gnl|WGS:NBSK|LSAT_5X68881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPNSSRKCKGLLEDHHLDIKSTHLYVPCYYWHLHMKLLVQCIMLLLLLSHCSSDYKPNVEVEILMELLEDLKDTNGNIQDWGYFVVSPCYSWSHVICDQKDGNVISLSLGSIGFSGKLSSSITKLKFLTYLDLHDNKLSGELPDLSSLVNLQNLNLTRNKFSGSIPASWGQLSNLKYLDLSGNNLSGKIPEKLFSTHVFNFTGTSLDCGATFPQPCISGSSVSGSTKNSKLKLVAFGVGCAALVLLLLGAIFLYRFKLMSKLERDLFIDVEGEDDSLVSYGQLKRFSWREVQLATNNFNESNIIGRGGFGKVYKGVIADNTKVAIKRLADYQSPGGEAAFLREIQLISVAVHRNLLKLIGFSTTSYERVLIYPFMQNLSVAYHLRDLKPGEKPLDWATRKRIAFGTARGLEYLHEHCTPKIIHRDMKAANILLDDEFEPVLGDFGLAKLVDNDLTHITTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGITLLELITGQRAVDLSRLEDEEDVLLLDHIKKLLRETRISDIVDPSLTVYDAKEVETMLQVALLCTQGSPENRPKMGDVIHMLRGQDLVERWAEWEQIEEVRVQEFFRMSHQFAWGDDSTLDQEAIQLSRAR >cds-PLY78718.1 pep primary_assembly:Lsat_Salinas_v7:9:49782335:49782622:1 gene:gene-LSAT_9X45461 transcript:rna-gnl|WGS:NBSK|LSAT_9X45461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNSNSSSPPPETLVVPPVQTPPSNENSLHETLAAMNIPDLCFKENQMKKKVDEDARFVGRFESDAREDDFNEIVVKVVPDNASTKEDGFNGEKL >cds-PLY89281.1 pep primary_assembly:Lsat_Salinas_v7:2:50170111:50172319:1 gene:gene-LSAT_2X23820 transcript:rna-gnl|WGS:NBSK|LSAT_2X23820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHVCDFTLISDLDVLKDMFTMKLRIIRLWTLEDYYNKDEIFSIQLILMDEQGNKIQGHVPNTYIYKFRNVLKEGEAYFIKNPNLAKMDEGKFQLTDQMQRLTFNRETTVTPCLHFFGSVVGFAFIDYHPIIVSIVPQNISLDRQYVNTYYDVSKFIINSDIDEIKVFKKSLNQDGLNENSSSTFSYMKFNRSSEKDDFVLKMSRKQSLIFSNRLRELIHNRLNMVPLIVNLKITYL >cds-PLY63535.1 pep primary_assembly:Lsat_Salinas_v7:9:25028274:25029097:-1 gene:gene-LSAT_9X22420 transcript:rna-gnl|WGS:NBSK|LSAT_9X22420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGKVKKGAAGRKGGPRKKSVTRSVKAGLQFPVGRIGRFLKNGRYAKRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKHRIIPRHLLLAVRNDEELGKLLAGVTIAHGGVLPNINPILLPKKTAAAAEPKSPSKAAKSPKKAAKA >cds-PLY72057.1 pep primary_assembly:Lsat_Salinas_v7:2:205041732:205044194:-1 gene:gene-LSAT_2X126181 transcript:rna-gnl|WGS:NBSK|LSAT_2X126181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQDPSNLNSKPQNAAAFPFRPSHHRRAHSEVNFRLPDDLDLASDPYDAPSESFEELGSEEDLFCTYMDIEKLGSNLNDGGALDNGRINNAGGGGGPSEDHNGGGDDGERTGTRPRHRHSNSVDSSSFLSESIEAKKAMAPDKLAELWTVDPKRAKRILANRQSAARSKERKARYMSELERKVQTLQTEATTLSAQLTLFQRDTTGLSSENTELKMRLQAMEQQAHLRDALNEALKQEVERLRMATGEIASCSDGYNLGMHQNQHHSPYNHQSNFFTNHQQHPEYHHQSHPLLAATHLQQLQDPLGRFQGLDISSRGRVPNPHLVKSEGPSISVSESESSSTF >cds-PLY83023.1 pep primary_assembly:Lsat_Salinas_v7:5:50391393:50392875:-1 gene:gene-LSAT_5X24781 transcript:rna-gnl|WGS:NBSK|LSAT_5X24781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHSTNTKHLLVYPFTGSGHIIPLLDLTDILLRRGLTITVVISPVNLPLLDPLLSSHPSSLHKLLSPDPEINPSSPHPLIGKIVSTQKQFDPIVKWFRSHPSPPVAIISDFFLGWTNELASHLGIQRVVFNPSGAFASSIIQSMWRDVGENKNNANNGVDKDASSLISFPEIPSSPEFQMWQLPPVSRNFIKGDPDYESFRKGMLANMTSWGVVYNTFEDLEKTYMDHMKKQMGHDRVWAVGPLLPRDGTARGGPSVAPPHELLTWLDNKTDESVVYICFGSRTTLNEKQMSALTGALELSNVNFILCAKGSDSAFKQRVGDRGFIVEGWAPQLAILGHRAVASFVTHCGWNSTLEGVSAGVTLLTWPMGADQYADEKLLVDELGVGKRACEGRPDNVPDSIELARLLDESLSGEILERVKVKELSQAASKAVKGGTSIRDLDMFVKLIKLICEL >cds-PLY86597.1 pep primary_assembly:Lsat_Salinas_v7:1:132557299:132558744:1 gene:gene-LSAT_1X97241 transcript:rna-gnl|WGS:NBSK|LSAT_1X97241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVSAVITVLCEKLISGDLMKLARTEGIDSQLHKLEKTLPLMKAVLADANQKHITERAVQLWLNDLQDLAYDIDDIVDDLATEALRRSLNQEALAIIPSCCTTFTPGNIMYGPKMRSELDEITAKLRDLVDRKNDLGLNVSVERSNITERRLEQTSLVDESKIIGREGDKEALLKKLLGKEECDENVSIVSIVGMGGIGKTTLAKLLYNEEKVKDHFELRAWVCASEELDVFNISKAIFEAVGGDAKRLSNLDLLHVALKEKLLKKRFLLVLDDVWNEDYNKWELLQSPLLVGAPGSRVLVTTRSTKVASVMDSEEAFDLEVLSNDDALSLFAKHALGEKNFDKHPTLKLLGEGIVKKCGRLPLALKTLGWVLKGNRNADEWEKLLNTEIWNINDGSEILPALRLSYYHLPSHLKLLFAYCSLFPKDYEFQKNDLILLWMAEGFLSQSDDNNQWRAWVVSILKSSSYGRFFNNQRMIN >cds-PLY78691.1 pep primary_assembly:Lsat_Salinas_v7:9:49537781:49539391:1 gene:gene-LSAT_0X40520 transcript:rna-gnl|WGS:NBSK|LSAT_0X40520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTALSNSLVLPMNKPNHLSSDLKSLDQSTKLLFGQTHVSKVQLHTSKRTLSIQARYSDDGKSNNGNAFVGGFVLGGLIIGTLGCVYAPQISKALSVAGTDKKELLKKLPTFIYDEEKALEKTRKKLAEKIAQLNDAIDDVSLQLKSDDEESIGNGAVVPEKTQSVA >cds-PLY77161.1 pep primary_assembly:Lsat_Salinas_v7:8:26657340:26661520:-1 gene:gene-LSAT_8X21260 transcript:rna-gnl|WGS:NBSK|LSAT_8X21260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSITVNTNVPATKGLAELNHHHRDELKQVRNGAGFDGQGLSEEDESRINEDVNSGRQNQKNLVHVHPPHDALHRQHQQHQGSMVEWERFLPLRSLKVLLVENDDSTRHVVSALLRNCSYEVTAVANGVEAWKVLIDQNKQIDIVLTEVLMPYLSGIGLLSKIMNHVTRKNVPVIMMSSDDSMGIVFNCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSGSGSESGIRTRKSSAKSITKNKHDDDNDDEEDDDEDDSHTSDDDVSMDLTAKGGSDNGSGTQSSWSKRAVEVNSSQAQSVWDKLQDPRVRKEHVTQEDKLETVEMGKDLEIGVPNSSSFEVEDAKKKSMSKFSGSNLKKVGDDLDISMKIGCENGPTKMTMTNVQNEALPKATQLLKNINIENNNTNINNNNKSVYYSKESPPTLELSLKRPRDVEDADADAGGEDRNVLRHSGVSAFSRYNTNTVSNVNQAQTGIVGSCSPLGISSEAVNADNINSNSNGNRNQPSNGSDDMGSTTNNAFPTKPDDKPVPNANGSTIQAVHLTSTTNLQPLEPCTDGDNTKAAGQQVQVRHHHHHYHHHHHHVHKLQQQKMVDPDMVSNILTVSAEGNAANFGSASGSNNNDNNNNININKSNGEMEKGGGWQQGKTAAPVVDNGVAGKCNGGGGSGXXGGDGSGSGSGSARGSGVDQDRLAQREAALNKFRQKRKERCFEKKVRYQSRKRLAEQRPRVRGQFVRHGANGVNNEDADS >cds-PLY80324.1 pep primary_assembly:Lsat_Salinas_v7:7:154328893:154329629:-1 gene:gene-LSAT_7X90420 transcript:rna-gnl|WGS:NBSK|LSAT_7X90420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTINCMTFLCFSVDEPLKFSCLSAFVNRYRMLVYLKYYFSCLACLPEHSNAPSTWGLTNLSSTEAYKNLYHLLELETKATLDVLRCAFIDSTPKDSRSQSQDLIQKTVYVLALIIKTGKAISIWPSKDDTGRIHEFISYFVACRKAKISKELLGQIFKYLTLDASIPLSIESKNNDICKKRENELQALLEVVPETD >cds-PLY75525.1 pep primary_assembly:Lsat_Salinas_v7:9:33340090:33343851:-1 gene:gene-LSAT_9X31081 transcript:rna-gnl|WGS:NBSK|LSAT_9X31081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] GPDHC1, cytosolic [Source:Projected from Arabidopsis thaliana (AT2G41540) UniProtKB/Swiss-Prot;Acc:O22216] MVGNIEVMTSSLFQNGSISNHNSLEEKLDEFRRVLGKSDGDLLKIVGVGAGAWGSVFAALLQDTYGKFRDKVQIRIWRRAGRAVDRETAKLLFEVINSREDVLRRLIRRCAYLKYVEARLGDRVLYADEILKDGFCLNMIDTPLSPMKVVTNLQEAVWDADIVVNGVPSTETREVFEEISNYWKERISPPIIVSLSKGIEAALDPVPHIITPTQMISRATGVPLENILYLGGPNIASEIYNKEYANARICGTEKWRVPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTKEPEKLAGPLLADTYVTLLKGRNAWYGQMIAKGELSLDMGDSISGKGMIQGVSAVGAFFELLSQSSLSVLHPNEKKPVAPVELCPILKTLYKILIKREQGPRAILQALRDENLNDPRERIEIAQSHAFYKPSLLGQP >cds-PLY67999.1 pep primary_assembly:Lsat_Salinas_v7:1:195828113:195829239:1 gene:gene-LSAT_1X125520 transcript:rna-gnl|WGS:NBSK|LSAT_1X125520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVIGMDSSNRPLQLQAARQPPVHQFVVTRKRLMDDKESTFEEIIDAYLAYLQVTFVNPAMDRALSILQKFTLDAQKGKIVKDKI >cds-PLY96938.1 pep primary_assembly:Lsat_Salinas_v7:1:143077604:143078131:-1 gene:gene-LSAT_1X104060 transcript:rna-gnl|WGS:NBSK|LSAT_1X104060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLQAPQHVRITGALITSTLKMGFGTFETRHLIKTNQPIGGVLGLGLQGISVISEISSQGIAPNSFTHCLAGDGGGLLVLGTSIMSDIVFTHLVKSKWHYNIN >cds-PLY74026.1 pep primary_assembly:Lsat_Salinas_v7:7:9694454:9696212:-1 gene:gene-LSAT_7X8840 transcript:rna-gnl|WGS:NBSK|LSAT_7X8840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPLVPSHLSFLVSKIRPSFLLQFHTSIPKNPTPISFPDHQTPRRSTEDQNLSIREEHIVNRTTILETLTCYSNDWKRAMEFFNWVESEYGFKHTTETYNHMIDILGKFFEYDLAWQLIDKMPERDHTTFRVMFKRYVSAHLVQEAISTYHKLGEFNLKDDTSFLNLIDALCEYKHVIEAEELCLGKDKKFKEKIASFEMGTKVYNMILRGWYKMGWWSKCREFWGQMDEDGISKNLFSYSIYMDIQCKSGKPWKAVKLYKEMKKKGIKLDVVAYNTVIRAIGVSEGVDVAVHLGREMLELGCEPNVVTYNTIVKLLCENGRVKEAYKVLDKMSKRGCAPNVITYHCIFRCLEKPNEILAMLDRMIESGVCPTMDTYVLLIRKFGRWGFLRPVFIVWEKMENHGLSPNEFAYNALIDALVEKGMIDMAKKYDEEMLAKGLSAKLRPELVNGENEDG >cds-PLY66049.1 pep primary_assembly:Lsat_Salinas_v7:6:49338392:49338820:1 gene:gene-LSAT_6X35721 transcript:rna-gnl|WGS:NBSK|LSAT_6X35721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPWIFLMFHSLLFLQSAPSSFAKFPYRYFPSSIIRPETPSLSSNNKLYKTKYFTQILDHFNYNPQSYQTFQQRYLINDTSWGGPKSKAPIFVYTGNEGNIEWFAENTGFMYDIAPKFKALLVFIEVIRFITLIHFLEKVDP >cds-PLY93384.1 pep primary_assembly:Lsat_Salinas_v7:9:62589897:62590214:1 gene:gene-LSAT_9X54120 transcript:rna-gnl|WGS:NBSK|LSAT_9X54120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDEVVIASSSIEAGIGCWDVCSGAEHLRYRSCASPLLGLVSVVGRFLASSQLRDYSSSPGSVLLWSWNKVFNIQGNCKGNMIA >cds-PLY98987.1 pep primary_assembly:Lsat_Salinas_v7:7:48846160:48846822:-1 gene:gene-LSAT_7X35440 transcript:rna-gnl|WGS:NBSK|LSAT_7X35440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGFQKAIRSGITNTTQPSATNLPSSSYNTGTSSYSASPSDPSAVLFIRPPRRAVSVLTCSKLCAVCFAAGIIVGFTLKRRVRRWAARLLRRIKDD >cds-PLY84043.1 pep primary_assembly:Lsat_Salinas_v7:6:188777646:188778818:1 gene:gene-LSAT_6X116861 transcript:rna-gnl|WGS:NBSK|LSAT_6X116861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSPVSIVEGMSRPTMDTFLPININWFSIDGNGKNQEMISAMKFMNPDPSKFKAISYLESRFGKVAKILNVSKVDMQVEVKTNFLSSNVIYAAYLVCKQVSHLFESPKLAGLKYKLNNQKKSYISYIRDYMENGWVMIELFRTNCKRRDVEIKIFLEQIYVDSYSRKDEVVVEGILFLPEKKFDGKKISKQDVMNPPTWENLLPTDYQQLLDGARRKIGENPQNHVPIKTKWHAYSVLSKGVYIKVTGSKDIDVVSL >cds-PLY70203.1 pep primary_assembly:Lsat_Salinas_v7:9:385138:385981:-1 gene:gene-LSAT_9X5320 transcript:rna-gnl|WGS:NBSK|LSAT_9X5320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTGSSETVKQSTTTTRPDLAARNPIPAMKTGPDKKPSKLYERRSSLKNFKINPLAPGFTNDKGFSGSPRNPNAPEIMSPSLLDFPSLVLSPVTPLLSDPFNRSPTNDCSPNLDVEAEENAIAEKGFYLHPSPATTPRREVMRYIAAELCQEPEEKGAV >cds-PLY67947.1 pep primary_assembly:Lsat_Salinas_v7:5:299652365:299654511:1 gene:gene-LSAT_5X160840 transcript:rna-gnl|WGS:NBSK|LSAT_5X160840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPHNTPGYGAPPSSQPYSSAPYGAPAQPYGAPAQPYGAPAPAQPYGAPAQPYGASQPPHGHPQQSPYAPVASPYGAPPPSAPYGAHPETKPPKEMKPSSSPYGAPQPGGGYPQAQGGYGSPFSALLPSTFPPGTDPNVVACFQVADQDGSGIIDDKELQRALSSYNQSFSIRTVHLLMYTFTNTNTRKIGPKEFTQVFYSLQNWRANFEKFDRDRSGKIDQNELREALMSLGFAVSPVVLDLLVSKFDKTGGKNKAIEYDNFIECCLTVKGLTEKFKEKDTAYTGNATFTYEAFMLTVLPFLIA >cds-PLY91612.1 pep primary_assembly:Lsat_Salinas_v7:5:297793583:297795656:1 gene:gene-LSAT_5X159520 transcript:rna-gnl|WGS:NBSK|LSAT_5X159520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQTIHMIPGDGGGRRAIVQVLGLEEGNQYHSIDTEELFQPLWGMYEFCDADPTDANKVTWRVIICIQAFKRINTLVVRAFEASLMDDRVACILTINRYLLWFLTVSQPLTLTRISKLNGSRQGLVAPQPPATSEPQNDGDIDPEFLAALPPDIQADVLAQQQAQGVHRAQELEGQPVEMDTVSIIATFPSKLREEVLLTSYDAVLANLTPALVAEENMLRERFTHRYNRTLFGMFPRSRRGESSKIGEGDGSSMDRSGGIITRRSSGSKPVETDGAPLVNQEDLKQVTVCYTRIHTC >cds-PLY88856.1 pep primary_assembly:Lsat_Salinas_v7:1:204863804:204864013:1 gene:gene-LSAT_1X122380 transcript:rna-gnl|WGS:NBSK|LSAT_1X122380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHVASPIRLPPPTSSFLIWGCKIRCIQKTTTTHPRLLLPLETTSESKPIVTIPRSVLILNPPIRLLLRP >cds-PLY77519.1 pep primary_assembly:Lsat_Salinas_v7:2:164463148:164466017:1 gene:gene-LSAT_2X87320 transcript:rna-gnl|WGS:NBSK|LSAT_2X87320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRGMGWLTKILKGSSSSHRISEGRYHDRYENEEVWEEPPSTEDALSDFDQEEIDRAIAFSLVEEDERSALSLVEDDKKSARSSVHEHEEEEVEEEGSVSSLEEDHNDAVSSPEPEQHNRGSNYPSEEDHKGKKVIDEDSHLEEEDEQLAKAIQESLNMNLNSPPRNNHGSLFPRLPSFFPGVYRICAGCNGEIGHGRFLSCMGGVWHPECFRCHACNIPISDYEFSMSDNRPFHKSCYKEHHHPKCDVCKNFIPTNGAGLIEYRAHPFWLQKYCPSHEHDGTPRCCSCERMEPRDTKYLLLDDGRKLCLECLDSSIMDTHECQPLYLEIQDFYEGLNMKIEQQVPLLLVERQALNEAMEGEKHGHHHMPETRGLCLSEEQTISTIIRRPRVGAGRIIDMFTEPYKLVRKCEVTAILILYSLPRLLTGSILAHEMMHAWLRLKGYSNLPPDVEEGICQVLAHMWLDSEIMAGSSGTNAAAASSSSSSSSSSVPVSSKKGKRSQFEKQLGEFFKHQIESDTSAAYGDGFREGNKAVLKYGLRSTLEHIRLTGRFPC >cds-PLY85829.1 pep primary_assembly:Lsat_Salinas_v7:8:173905709:173906916:-1 gene:gene-LSAT_8X114220 transcript:rna-gnl|WGS:NBSK|LSAT_8X114220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRQRLVKKELMLEEDDDYEDTKTDCEDDNQPQSLLMKTSFESHREEERPMDVTLNTFNVETNTKVGESHVEHSIPPPLTSAHNPTIHVDHPLPPPSTSTFITKPVEGMVPLAPPSMSTTKIAMPPLSPDQTVEDFDFGLIPFDNSAEDMTDDSIISSSMISSTSSSVTTEMLEKMFVDHETKVKKIVDDSLIVIHDGLSGNDFSFSRNLDEGHETIQTKYDFFLVAMLKMQDALVKTFEAKLAETVSQVSQEFKSYVEAQIMLKTCVDQFSLDVKTIIEDVRSFNKDYIMKMLQTDDSYLKSFQDLKLSTDMLEKKIHTVNHDQIATILTFVQKNF >cds-PLY96738.1 pep primary_assembly:Lsat_Salinas_v7:2:170949737:170951167:-1 gene:gene-LSAT_2X93821 transcript:rna-gnl|WGS:NBSK|LSAT_2X93821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGGKRRVDSRCVATAGGKDNLIIDQIMLKYRPIAPRPVTAESSGQSLRTKDGMLKRKRVKRKYVRVKKRKSNATGCSLTINDNKSSWFDLEKAVAMLEDSKGNELVVSDPLQNVSNWISFDLPGNNRKGFMNNLIDSAPSKQLSSDLHGVDLAMAMQQRKVVESWITLESVTGTCEDRRLLGYTDEEIWKNLESDSCPGFISNSFDEVLWVNPAYRRMLDLNSEGRAPATEVAVWLGVKVEKSMVVKYLPAFSCRVRIVYRLSEKKTQMVVPCDVCKMDSGGFAWRLDVKSALSLGPLN >cds-PLY85562.1 pep primary_assembly:Lsat_Salinas_v7:2:194878035:194878292:1 gene:gene-LSAT_2X116061 transcript:rna-gnl|WGS:NBSK|LSAT_2X116061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSVGAAGKEEGGNGFCLGGEWRWLRGREKGREAVDFQRASHGSMVPSLTADKKREESWKWLCGPRRSRWGSVVFARREEEQKVR >cds-PLY63471.1 pep primary_assembly:Lsat_Salinas_v7:7:146259467:146260580:-1 gene:gene-LSAT_7X86840 transcript:rna-gnl|WGS:NBSK|LSAT_7X86840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G15570) UniProtKB/TrEMBL;Acc:F4IIH6] MANVSSSSSLYSLLPQPSPPNHRHFAPRSSSFASPHQINGFSSLSLRQSKKSSPLRVLSMGRQSKATVVSGQSWNTSVLDSKTPVLVEFYASWCGPCQMVHRVIEEIAGEYAGKVKCFVLNADQDPRIAEEYDIKAVPIVLVFKNGEKCESVIGTMPKEFYVAAIERTLASSS >cds-PLY83905.1 pep primary_assembly:Lsat_Salinas_v7:5:186816585:186821877:1 gene:gene-LSAT_5X83400 transcript:rna-gnl|WGS:NBSK|LSAT_5X83400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNLFTNIPIDPVIASDILKDATKAVAKIIGKPESYVMILLNGGVPIAFGGTEEPAAYGEVISIGGLNSTVNGKLSSTIAEILETKLSINSSRFYIKFYDVEGIVIGVRSGDELSSSDDESVVVASDNAIPSVDE >cds-PLY77179.1 pep primary_assembly:Lsat_Salinas_v7:8:26014750:26016907:-1 gene:gene-LSAT_8X20041 transcript:rna-gnl|WGS:NBSK|LSAT_8X20041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFFGRNMTEESSTSQRDTLSCPFLHNINEPTNFSFSSSMAIPLPARGRGATKGPIFEDGPNFDRAFRVFHGQNGVVPLSDSEPSSHGSEPGPQRFNPLAANAATISLSGFGGAFGFDAFNEMFKSQQRKHKPSNKKKNKDSNHEAMGEEWLQNGNCPIAKSYRSVINILPIMAKALKPPSGINYRCPPVIVAARAALAKTAFAKNLRPQPLPEKVLVIGVMGMAANVPLGIWREHTKKFSPSWFAAVHAAVPFIAMLRKSVLMPKSAMAFTIAASVLGQVIGSRAERYRLKTIVGRDGRCGETIEWEPATLQVTGPAVVA >cds-PLY69074.1 pep primary_assembly:Lsat_Salinas_v7:5:277297841:277300132:1 gene:gene-LSAT_5X143861 transcript:rna-gnl|WGS:NBSK|LSAT_5X143861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDTNNDEESTLLTILDASSYQLHDLDSIEFPPTLTEIDLTANRLTKLDSRISHLSNLKKLSLRQNLFNDSGVEPISQWNTISGLEELVLRDNQLKKIPDVSIFKTLLIFDVSFNEITSMNGLSNASSTLKELYVSKNEVPKIEEIEHFHELQILELGSNRLRVIENLQNLTKLQELWLGRNRIKSIDLCGLKCIKKLSLQSNRLTSMKGLEECIALEEVYLSHNGIAKMEGLSTLSNLRVLDVSSNKLAAIEDIEKLTCLEDLWFNDNQLASLDGIAEAVSGSREKLTTIYLEHNPCAKLSDYLTTLRQIFPNIEQIDSEVFA >cds-PLY85764.1 pep primary_assembly:Lsat_Salinas_v7:1:48055543:48056025:1 gene:gene-LSAT_1X41961 transcript:rna-gnl|WGS:NBSK|LSAT_1X41961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNSSIHNSFKYDVFLNFGGKDTRNNFIDHIYYALQQKSIYTYTDDKRIKKGERISDELLGSIEDSKFYIIVFSENYASSSWCLDEQLKIIECQKITEHTTYPVFYDVEPSEVRKQSGAVREAFAKHGNKEVAGKWREALKEAANMVRWELKNIADG >cds-PLY81699.1 pep primary_assembly:Lsat_Salinas_v7:3:30725553:30728634:-1 gene:gene-LSAT_3X22540 transcript:rna-gnl|WGS:NBSK|LSAT_3X22540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 55, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24820) UniProtKB/Swiss-Prot;Acc:Q9SK50] MALLLQHPSLFHTTSFLSTYKTPLITLSQTPKSIASRKPRNWAVKCTTVAAPEIGSGSNEDVLVVEEKGRVNVVDYDWTEEWYPLYLAKNIPEDAPLGLTVFDKQVVLYRDGKGELQCYEDRCPHRLAKLSEGQLVDGRLECLYHGWQFEGDGKCVKIPQLPADAKIPRSACTKTYEVKDSQGVVWIWMSHKTPPNPTKIPWFENFARPGFRDFSTTHELPYDHSILLENLMDPAHVPISHDRTDWSAKRRDAQPLRFKVTERTDRGFAGWWGREADNSTPNFLKFEAPCNLQNNREIIDKDGVTNYFSGLFLCRPTGQGKSMLIVRFGSTKRSPLANLFPEWYFHQNAGKVFEQDMGFLSSQNEILMREKKPTKELYINLRSSDTWVAEYRKWMDKAGHGMPYHFGHSTISMPEVAAVVEHAPAGLVASIAASSPAKGGIGTKHAPNLANRYFRHVVHCKECRSVVKAFEAWKNGLSVVAAVAVTFAILVSGRQWKAALLLATAVSVAGAYACSTVVAMNTTNFIRTHRRL >cds-PLY84076.1 pep primary_assembly:Lsat_Salinas_v7:6:193090072:193093218:-1 gene:gene-LSAT_6X117760 transcript:rna-gnl|WGS:NBSK|LSAT_6X117760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPHPAWSSSKNPVKFRIPTAENLIPIRLDVDIDGQRFRDTFTWNPSDPDSDVILFAKRTVKDLKLPPAFVTQIAQSIQSQLTEFRSFEGQDMYTGEKIVPIKLDLRVNHTLIKDQFLWDLNNFDSDPEEFARIICEDLGIQDPEVGPAIAFAIREQLCEIAIQSVASARETRINKKGRRGTEHLPLSKPGGTALDTMKLFGNKSSVIRKRREWDLYEPIVDLLSNEEVDALEARES >cds-PLY65273.1 pep primary_assembly:Lsat_Salinas_v7:MU045421.1:69953:72026:1 gene:gene-LSAT_0X11880 transcript:rna-gnl|WGS:NBSK|LSAT_0X11880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDFLCDPKVYSANYLLSSLKIGDWYRMDDYTDNSFDFDGFDDYLNLNWEWKKEDVLDMLPSDPFEMNISGGCTMIRGWIQEVDDDIGYIKISKKSIADEINLDDTSTTNGLFFFDGSKESKVLHSFNKNTNRCHSDGKDDIHVGVPHDALFFALGHLGMRDLMSVERVCKSLRDGVRNDPLLWRNIHIDQQPGESFADESLLRITNRASGSLQSLSLVKCLKITDNGLKNVIQQNPGLTKLSLLGCNGLTMEGLLSNLKSLKTTNGGGIKRLRIGGLHSITMEQFEDLKKVLSIDNNNNNNNNNNNKNHLTCPKPRFYNGGQLHLSLEDDRPIDIEACPKCHQLRQVYDCPADTCQGKRYCCRACTFCIPRCINCGCCLNERNYMETFCLDFICFHCLKQILSFTNGGEDENMGVDSGQSSHYQQPSYRFCLYG >cds-PLY65965.1 pep primary_assembly:Lsat_Salinas_v7:4:141279653:141279955:1 gene:gene-LSAT_4X88081 transcript:rna-gnl|WGS:NBSK|LSAT_4X88081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSPTSATNPKAFRCSLHRSESAINRTNSNSGQLSYQQWHRLYARRSAMTNSIVRIGIVEGDLVKRALASLIRPVSQRQRRRFDFQPRPSRLSIMSKAGD >cds-PLY75764.1 pep primary_assembly:Lsat_Salinas_v7:3:69055539:69055956:1 gene:gene-LSAT_3X56041 transcript:rna-gnl|WGS:NBSK|LSAT_3X56041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRATQEVIGAIVAGQSAAMGAPAGGVGVGPDLPVVNVSRAVGLPELRRLRKTFIKLTGQSSLSGPPPPSDAESAKRMFIDYLNREFGSG >cds-PLY76573.1 pep primary_assembly:Lsat_Salinas_v7:5:223202491:223203619:-1 gene:gene-LSAT_5X104741 transcript:rna-gnl|WGS:NBSK|LSAT_5X104741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQKIRTVELDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDMESFNNVKQWLSEIDRYANESVCKLLVGNKCDLVENKVVDTQTAKAFADELGIPFLETSAKDSVNVEQAFLTMAAEIKKKMGNQTSGGKKSGSTVQIKGQPIEQKSNCCG >cds-PLY92929.1 pep primary_assembly:Lsat_Salinas_v7:3:112774436:112776285:1 gene:gene-LSAT_3X80280 transcript:rna-gnl|WGS:NBSK|LSAT_3X80280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVPHKDKTNFYKTTTIRVSTNFIDRVDSSHFASFPDLLANNFDTRIAFIVANPEHYYLRFPIKNIDDIPDYSKVAKNDDDSATKPFMCDGFGRVSSLHGKIRVIVRVQDKSGSSSFVLFEHHVKDLLHRGKQSFMEKISKDQGLQNIPDEFKILLNRKFVLKVWISVFNLENNFITYTMHKLAEDESVLAQVFKRSPAYEQQIVHDDGTYRNNFFKPLIVELLKSLLNYL >cds-PLY90073.1 pep primary_assembly:Lsat_Salinas_v7:6:17445304:17447432:-1 gene:gene-LSAT_6X13581 transcript:rna-gnl|WGS:NBSK|LSAT_6X13581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPPNVTGSLHMGHTMFVTLKIQQVEGQTYTVAPWNYAGXLQLSWLLKECWHLNELRGLHQELESVEGQDQVIEMYMNEEVSELKKEIKELEHLLQDEEKCRAAIAKLNPNNNRSHERILEISVHIIIATPGRLIDMLESHHTNLRRVTYLVLDEAYRMLDMGFEPQMKKIVSQMRPDCQTFYWSATWPKEVEQLARQFLYNPYKVVIGSQDLKANHSIQQHVDIVTQNQKYNKLVKLLDDIMDGSRILIFMDMKKGCDQITCQLRMDGWPALSIHGDKSQAERD >cds-PLY89171.1 pep primary_assembly:Lsat_Salinas_v7:3:21245960:21250573:-1 gene:gene-LSAT_3X15721 transcript:rna-gnl|WGS:NBSK|LSAT_3X15721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFSRTKLCQRTRSCYDKLYLEFNEKKHNGRPRNPSGGSSSGGGDDRIDRVGESSGKSTDGLKGSDERRDEIEVRSRKTKKGRKGGDKLGKVSKRGKNATPVCLIVESDDDDDDHVGLNEPSPANHCGFGTEETSGSGKIEKKLRSGRSSEIGKNSKRNSLIDELENDCGHGYYEDVDVVELSKSSGRNQSTSDVAGGSASVKTNQKFENGFAVSSRDFFGKNLDNKSARTNDSQDNSDDDDAFSLLGGNSKSSKSHGSGKTEKKSEKGFLCDITTSNGDCLKGKRILEDKFVTSHGCLLDESDDHERITLGDDITCLDDTDPSHSSRVGSNEIKLSPVELDSIQADVNEGDDSQELKSSEEDDSDWQDMDPPENAHVVGGKDVEVISLSSSSDDDDDDSSVLEVDKMGDTGDRFWTEVVKKGPLNEPVSKKRRTDADTSLGCGRKAKLKKEQSIHEAIEQPFWVADYSKQHCSSKDANGTNTQKAVNIISKKQLGNVIDVIKILTETIKEGEDGDKLLKQYVTPIEDSPVKDSPVHQTSVEQIVSYKFRFEDEDNMKQDESETDMEVDGLFDEMNMCFQLSEIGCPDASAVTHGDADFFMEDIDQATRCRLGKHQLTINDQFGIICRYCSFVEIEVRDILPPLGKNGRGGHSRHETDTDKTDNLKFSDLQFAEGHQECPNDSKGSEYEKGTVWDLVPGVKETMYPHQRDGFEFIWKKIAGGTYIEKLEKCLSNGGSGCIISHAPGTGKSRLTIVFLMAFMRMYPNSRPMIIAPRCMLLTWEEEFKKWEADVPFYNLNNKEYSGEEDGTASTLLKQNGNGKSARCLRLLKLYSWKRKSSVLGITYRLFESLAGKEGRKKEKSSTSKNFEDVEIRKILLQIPTLLVLDEGHTPRNEESLVWKALQNVKTKRSIILSGTPFQNNFNELFNTFCLVNPVLAFDMIRNDGFTIKRNRKNSSVKGQWDSITSEVLKSRHKLDELKAMIDPFVHVHKGTILQEKLPGLKDALVVLKPTKTQKTLLGMMSGTKSQVENDHVMSLVSIHPSLVEDSFMDNEGIRKVLKMFKNDPSAGGKTNFLMELIKLSCALNERVLVFSQYTKPLKFIMELLEKKFRWVRDREFLYMDGQQEEKNRQTSINTLNDPKSEVKTLLASIRACSEGINLVGASRVVLLDVQWNPSVERQAISRAYRLGQKKLVYVYHLVTGTMEGEKYIRQVEKSHLSELVFSSKNNVASKSKIAAMVSGDKILEEMVQHDKLQHMFEKVIYQPKESDLIKTFG >cds-PLY64593.1 pep primary_assembly:Lsat_Salinas_v7:6:37759481:37759837:-1 gene:gene-LSAT_6X27261 transcript:rna-gnl|WGS:NBSK|LSAT_6X27261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEWWDDEMNQVVSDDESGIEDEGVATNEGAHTNEGAHATESNPPTNGTPPTKGLEDKVIVHNKRPLKKTTDDEFLSNLYPSEEDNGDCNEVKNKGVEVHSFFSIPTCIRRGKYLFLG >cds-PLY66764.1 pep primary_assembly:Lsat_Salinas_v7:8:72584820:72586490:1 gene:gene-LSAT_8X52201 transcript:rna-gnl|WGS:NBSK|LSAT_8X52201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNQMGLGIHIIFICVFLFAAKYTCLGVGNVSVLCSEQERLALLNFKHSIQDPFEMLSSWVGNECCLWEGIQCDGVTGNVQRLHLKGDWPYPYNHLAGNKVSSSLAELRHLKYLDLSGNNFHGSRIPEFIGSLKHLSYLNLSDANFDGIIPPHIGNLSNLRVLDLSSNYNELKADDMAWAFGLSSLELLNLSYVDLSGAQNWDMMLHMIPSLKELSLSHCRLSNVNLGPFLNSSRILPNIKHLDLGYNSFKGPLPGLLQNMTSLTFLSLSGFNHSLAWNFPNLLSMIPSLSELHLSGCGLDKTHLSSPHLNYSTLSNIQHLDLSNNPLGGIFPSVLTNMSSLEVLDLSDTMLNSSLPIMPKLLELHLSGNKFKQIEDVGIWRQCHLKQLRVTNNVFRMEMTDPPTNASECSQYSLELLELSRSLNGRIPETLGRLANLRHLDLSQNGLTGSIPESVTGLRFLQVLDLSENQLTGPIPEFLGNLTQLALSSNQLNGSIPESLGKLASLTDLDLGSNLLDGTIPVSIGQLAKLRTLSISNNSLEGAVTXSWMLLLTLS >cds-PLY98042.1 pep primary_assembly:Lsat_Salinas_v7:1:195465271:195465850:-1 gene:gene-LSAT_1X121661 transcript:rna-gnl|WGS:NBSK|LSAT_1X121661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVCFCGREAVVRTSWTSANPGRRFLSCPQKGSRCRFLGWIDPPMCARSMLIIPGLLRNINNANYQVASLKITKSL >cds-PLY99481.1 pep primary_assembly:Lsat_Salinas_v7:4:58030649:58031299:-1 gene:gene-LSAT_4X38721 transcript:rna-gnl|WGS:NBSK|LSAT_4X38721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRRKNVRNQQFGRLSLPTHASLHDHPLTHANLAKKFSKLGFRLMSACSRFPTRTGFARPKLHLHRGLRDSLPTLAIRWAAKVDAAFPLHDQANPIDPPSNRSKSDNPVTHPLHHCDLTDPYNPQQTHFPLLCLLTTNSASTTLRVYCRLNPLHPSSSSRRPPVVVILTVVGDSPPSFFADKSLPQPPSSLISLKKHNSPPSSKISLEIAITAA >cds-PLY74049.1 pep primary_assembly:Lsat_Salinas_v7:3:179098111:179099179:-1 gene:gene-LSAT_3X108461 transcript:rna-gnl|WGS:NBSK|LSAT_3X108461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANNTQQVPRVLVNRVSEQAVFPCGGIISSAKTITLPGWNRTLVPTDLRFIIPAGVRLHIAIIPDLSQQSLSVDQGYICGPCSDELVWVDTYNSSGAPFELKAGDHIAWLDGLHNKITPKLIDVTPPFNNQPTSYK >cds-PLY85501.1 pep primary_assembly:Lsat_Salinas_v7:2:196172278:196174285:-1 gene:gene-LSAT_2X116981 transcript:rna-gnl|WGS:NBSK|LSAT_2X116981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDTLADLASWLPSDFLTDEDNFPLLLNNHNPHLFPYEFASSSSTESESDEDDIAGVTRRYTRSVSLQERINTPYPFEKRVFSGSPESTLNWTVSGPTKSYSSPTTPLVQADKHSWDLVYAAAGQVARMKMKMNNVGDNVFANRGLVGAPRPLGPPPSHHHHSNCAIWRTESEEFIRHQQFRQRVAGGGGRCGGGRPAGFRHSPWPPLPVENQRLHQLPNGVIAKPVLGGSGGRXGGTAVVKRECAGTGVFLPRRYCNDPQEMKKKPACSPAHLPARVAQSFTKNMDPIMAQAQPTIHGGFAPHYEIAIARRNAAMLAQQRWSAALVSVVPETPMGHAEVVLPQEWTY >cds-PLY81315.1 pep primary_assembly:Lsat_Salinas_v7:4:37730233:37730768:1 gene:gene-LSAT_4X25260 transcript:rna-gnl|WGS:NBSK|LSAT_4X25260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLCFCGKFVVVKCSWTPKNPGRRFYACPQKDSACRFIEWVDPPMCERSKVIIPGLLRNINRMQAWCTALKIMLLASWVFFVILFIRSV >cds-PLY95934.1 pep primary_assembly:Lsat_Salinas_v7:9:42735892:42736665:1 gene:gene-LSAT_9X39101 transcript:rna-gnl|WGS:NBSK|LSAT_9X39101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAKVLLVAVVIAMVASVFHKTESAVTCQLVVSSLTPCTSFLIKGGHVPKACCNGVKSLYKDADTVTDRQTACRCMEQAALMLPGINIDHATDLPGKCDVYIPYEISPLFNCSTVQ >cds-PLY77362.1 pep primary_assembly:Lsat_Salinas_v7:2:65195815:65197873:1 gene:gene-LSAT_2X28620 transcript:rna-gnl|WGS:NBSK|LSAT_2X28620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADALVTVVTEAILKKVASIAANEIGIVWGYKEKLHTLEGTLKMIRAKLQDAENEKGQKHGVMEWLKQLKDVVGEADDVMDEVHYEMLRREVKNRDHVRIKVPSLPSLKKLSIRRELGHKIKNINEKLSQINKQANDLGLQNEQPCPVVPYRPYPETVPNLDEFKIVGREDEEERIIHLLTKSRKEEKLTIVPITGMGGMGKTTLAKSVYNNPKTQQYFDVKAWLCVSVKVDINTLLAKIYESVAGEKPKSVEMANLIISLEEKLGSKRYLLVLDDVWDEERSHWEEFKRHMMMIKSQVGSGVIVTTRKLDIGTKAMTTDSCPLKGLSDDHCWNIFKERAFLAGQSPPPELEKIGHDIVKKCCGLPLLVKVIGGVLQNYSDPEKWLTIRNSKVWDLEDETERVQKSLELSLDNLPRRSIAKQCFASCSIFKKDKVMKRKKLVQLWMALGLIQVDEERNKEMEDVGNDIFQILVSNSLFQDVRRDEYGHITHCSMHDLVHDLS >cds-PLY73122.1 pep primary_assembly:Lsat_Salinas_v7:9:22977203:22977445:1 gene:gene-LSAT_9X21221 transcript:rna-gnl|WGS:NBSK|LSAT_9X21221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATFPTPPAKKPTTAFPPRRGRVKAQIFEGVAEAVASAASRAGDFLGFIKKDDTTVNEGSKPASPPETTKTYGEDGKAV >cds-PLY66924.1 pep primary_assembly:Lsat_Salinas_v7:7:17701417:17704182:1 gene:gene-LSAT_7X14641 transcript:rna-gnl|WGS:NBSK|LSAT_7X14641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRIPSHQLSNGLYVSGRPEQQKERQPIIGSRAIPYTGGDVKKSGELGKMFDISVSDHPPPVLKPHRASSSSQQSSGSLRSGPNSGSGPIPKRLSGSGPMVGLHPTGLLLTSGPLGSSVGRRSGQLEPPTVSSKGKTIYGSAVTTLGYDDVKLGFKVSKKLMWMLLVIAVIGLMVGTFLMVAVKKPLILVAVAGVLVLAVVVILWNCACKKRRLKSYLRKYPDAELRGAIDGQFVKVTGVVTCGSIPLESSFQKVPRCVYVSTELYEYKGWGGKSANPKHRCFSWGCRNSEKYVADFYISDFQSGLRAVVKAGYGAKVAPFVKEATVVDITKENRESSPSFVSWLADRSLSCDDRVMRLKEGYIKEGSTVSVMGVVRRHENMLMIVPPSEPISTGCRWGCGLFPTYVEGLVLTCDESQTADVIPV >cds-PLY79948.1 pep primary_assembly:Lsat_Salinas_v7:3:109504345:109505746:-1 gene:gene-LSAT_3X80000 transcript:rna-gnl|WGS:NBSK|LSAT_3X80000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNGTMIETTFLDEAANLFDKEAIETLPSPVIVAITSMKVTHCLGNLQLTATPASYIYINPTIPKAVVVAAEFFITAYIADETAQAKIVFFDAATRMLFQTDCNTLIDHHGYIDPYTLPAPLIILIGQPKIIQFRFARFCRPGAKDFVADAVFEDIVSPEKESHTETDIINQPTASAS >cds-PLY93273.1 pep primary_assembly:Lsat_Salinas_v7:4:300823122:300827526:-1 gene:gene-LSAT_4X150721 transcript:rna-gnl|WGS:NBSK|LSAT_4X150721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRCVSRGLRIPSRRSTAGGFLSQRFFASESRVGSSYTIVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTVDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEEGKIYQRAFGGQSLDFGNGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLIMGSDGSCQGVIALNMEDGTLHRFRSSSTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLEDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPMKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVNKKGDNPDAIVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIHKPGEKQAPLSTDAGEKTIAWLDKIRNSNGSLHTSQIRLNMQRIMQNNAAVFRTQETLEEGCDLIDKAWQSYHDVKLKDRSLIWNSDLLETIELENLLINACITMHSAEARKESRGAHAREDFTKRDDEKWMKHTLGYWENEKVRLDYRPVHMNTLDDDVQTFPPKARVY >cds-PLY77758.1 pep primary_assembly:Lsat_Salinas_v7:2:168950989:168955498:-1 gene:gene-LSAT_2X92620 transcript:rna-gnl|WGS:NBSK|LSAT_2X92620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKSLLISPMEHFIHPDFHQIFQTMTLVNKFLFLIIHSVDKSGIGWHRLPVFLGLFYLAIRRRLHEEYNLMNVGKTPVGSRFNPSDFAFRSANGKFNDPLLLKPDPMVVATKLLARRELKDTGKQFNMIAASWIQFMIHDWIDHLESTQQIELKAPVEVANECPLTSIKFYKTKEVDTGLPDIKKGHRNIRTPWWDGSVVYGSNSKKMRQVRTFKDGKLKIEKDGLLQHNTDGLPIAGDVRNSWIGVSTLQALFILEHNAVCDALKKEYHYLEDEDLYRHARLVTSAVIAKIHTIDWTIELLKTDTLVAGMRANWYGLLGKRFKDTFGHVGGAILGGLVGLKEPVNHGVPYSLTEEFTSVYRMHSLLPDQLFIRDVNSKPGPNKSPKLSKTIDMINLIGKSGEKELLDIGFTTQMVSMGHQACGALELWNYPVWLRNIVPQNVDGTDRPDHIDLASLDIYRDRERNVARYNDFRRSLLLIPISKWDDLTDDIEAIDTLHDVYGDDVEQLDLLVGMAAEKKIKGFAISETAFIIFLIMASRRLEADRFFTSDFNEDVYTKKGFEWVNTTESLKDVLDRHYLGMTDRWMNSTSAFSVWDAAPESHNPIPIYFRVPN >cds-PLY65095.1 pep primary_assembly:Lsat_Salinas_v7:4:203102649:203104277:-1 gene:gene-LSAT_4X115361 transcript:rna-gnl|WGS:NBSK|LSAT_4X115361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTSQLYHHLLLFFLVFLFHAQQCPAAGGSWSVLLPSIGISAMHAQLLPNDRVVMYDRTDFGVSNISLPNGACRPNTTDCSAHSVEYDVASNTVRPLMVLSNVWCSSGTLMPDGRLVQTGGFDDGYRVVRIYKSCDSCDWQEIRNGLNQQRWYATNHILPDGRQIIIGGRRAFSYEFYPKMSATENTPSLPFLVQTNDPNVENNLYPFVFLYPDGNLFIFANNRAILFDYSNNQVIKTYPTMPDGQPRSYPSTGSAVLLPLRITKGTVNAVEVLVCGGAPKGAFVNANKGIFDGALDTCGRIKISDPNPQWVMETMPLARVMGDMLLLPNAHVLIINGVSAGVAGWELGRNPVLSPVVYQPDKQVGSRFEVQNPSTIPRVYHSTAVLLRDGRVLVGGSNPHDKYEFGNVLYPTELSLEAYSPSYLDSNSSDLRPKIILPVKNTKIGYGKQLVIVFTVSGIVDPSSVSVTMMAPPFNTHSFSMNQRLLVLDGGVAAKILGKSRYQVVVTTPPSGNVAPAGNYLLYVVHKEIPSPGIWVQMQ >cds-PLY95674.1 pep primary_assembly:Lsat_Salinas_v7:2:116195413:116199663:1 gene:gene-LSAT_2X54280 transcript:rna-gnl|WGS:NBSK|LSAT_2X54280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:Projected from Arabidopsis thaliana (AT5G16530) UniProtKB/TrEMBL;Acc:A0A178UIR3] MIGLEDVYKVVAATVPLYVALLLGYGSVRWWHIFTHEQSNAINRFVCFFTLPLFTFEFTANIDPFNMNYRFIGADAISKVIIVIVLAFWARFSGKGSYSWSITSFSLCTLTNSLVVGVPLVRAMYGQEFVNLVVQGSVVQAIIWLTALLFVLEWRRSATTECSVNATNESKDLEGNPKLDVRKDEVTRASSYVSLLKVVSLKLAMNPNSYSCVIGIIWATISNRFNWPMPIMIEGSVLIMSRAGTGTAMFSMGLFMAQQEKLVACGTTMTVIAIVLKFFAGPAAMAICCIAVGLHGDVLRVAIIQAALPQSITSFIYAKEYGLHAEVLSTASNERTLNNLRTNGSTQRTAIRYQTNIQKQTTRMLAVVSISALGVMVVVLEEDEW >cds-PLY73852.1 pep primary_assembly:Lsat_Salinas_v7:4:206016624:206016929:1 gene:gene-LSAT_4X116960 transcript:rna-gnl|WGS:NBSK|LSAT_4X116960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEGGKEGLQATPFLSIDWEMEVVSQGRRGRKGEAANFCSSLSATRCEVRALQAALIAKTSLLTSVVDGNLRGRKQGESGSDGSPVGSHASQVRTSMTFA >cds-PLY82429.1 pep primary_assembly:Lsat_Salinas_v7:2:187357104:187359406:-1 gene:gene-LSAT_2X109221 transcript:rna-gnl|WGS:NBSK|LSAT_2X109221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein JACKDAW [Source:Projected from Arabidopsis thaliana (AT5G03150) UniProtKB/Swiss-Prot;Acc:Q700D2] MSGEMFPITHHHNHQPPPPPPPPLHEAPNPNPKAISNLKKKRNLPGTPDPDAEVMALSPKSLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNNKEPIKKKVYICPEKSCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAEESVRLTTVTPNSLGLKNEAMNESVMNPNFPHGFSGMTQFGSGFRSDFGDQNNKPRLSLWLDQANSQLNLSPMDQMAMNSNLYMSSSSAGLADMVNVFGSSPMTNFTNSNQIPSLSLTPEGLKEETLASLYSMNQTHQTESTGPMSATALLQKAAQMGSTRSNPSIFGSSFGLMNSSTTSNTAVSLVNNNNNNNINIPTSLPHIATQPQVSTPMNNNSSNSSPQARQQMIMSRNETMPPLKMMQRSFSGVDNGLTRDFLGMGGEGGRPLMPQDLVKFASYGSTMGSMRHFASNN >cds-PLY67169.1 pep primary_assembly:Lsat_Salinas_v7:6:164523716:164524123:-1 gene:gene-LSAT_6X100340 transcript:rna-gnl|WGS:NBSK|LSAT_6X100340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVGGGMEVIQFSGEHGNGGVGGFLPVKKGRGVVGIMWGIGWSGNASDSSKEDGNGGWLRFFGDEREGVVGGWVGWGDEGGERQCGGGLAGPIGCIGWMQWQRSSSVEAAVSGARCVEVGSDKGGVWGSNGLKEV >cds-PLY85165.1 pep primary_assembly:Lsat_Salinas_v7:9:147942706:147943236:-1 gene:gene-LSAT_9X94601 transcript:rna-gnl|WGS:NBSK|LSAT_9X94601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADANPKPLPLDGGDPPNESNKTVSDSASAIAAGGSPPSKHPNESSDSNENEIEDTATSKPKNEEIKEVEIDNIDIMNEILEYRNRKGGCPGNNPDDFRRFCYPYIFLGIGNARGWIKKMKEIKNKFNSESAPMEEVDKNEFDLWKKIWGNE >cds-PLY74365.1 pep primary_assembly:Lsat_Salinas_v7:5:56172921:56174023:-1 gene:gene-LSAT_5X26581 transcript:rna-gnl|WGS:NBSK|LSAT_5X26581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARPVSIEVWNPNGKYRVVSTKSMPGTRWISLLVQQDCRVDICTKKKTILSVEDIIALIGNRCDGVIGQLLSLDDM >cds-PLY93522.1 pep primary_assembly:Lsat_Salinas_v7:1:110302843:110316489:1 gene:gene-LSAT_1X85960 transcript:rna-gnl|WGS:NBSK|LSAT_1X85960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYKRQKLIAGNLSGPDIMPCDVVPSSVVEIAPILRAADEIESENPRVAYLCRFYAFEKAHILDPSSNGVGVCLFKTALIRRLEKQDVEATGRQRNRDANGMQAFYWHYYENYIQRLQNADNVDRTRLTKAYQTEAVLFEVLRAVCLEQSVDVEVEILKTHTQLADMYTRSILSLDPESSDQDLVKRLNVSSRIRVMSRMNQPNKPKIPLEAVKSCTQNFNDRNVIGRGGYGKVYKGTLSWADHVNQIVAVKRLDVYGLQGNKEFHTELTMLSQYQHDNIVRLIGFCDDNKEMILVYEYARHGSLDTYLCDTLMVVRLSWLQLLKICIDVASALDYLHNHIAEKHRIIHRDIKSANILLVENWNAKLADFGLSRIGLANQQNTFVISNPAGTHGYCDPQYEKTGFLTKESDVFSFGVVLFEVLCGRLACVSSYHDERRFLHHLARTCYKNGQLENIIDKRISKDIKPQALFKYSAIAYQCLQETREDRPTISEVVLQLKEAMKIQEMILVYEYARLGSFNIYLCDTSTAVTLLWLCLLKIYIDVVSALDYLHNRIAETHRLIHHYIKSANILLAENWNGKLVDFGLSRIGLANQQNRFVIPNLAGTHGYYDP >cds-PLY72271.1 pep primary_assembly:Lsat_Salinas_v7:7:88252153:88252320:1 gene:gene-LSAT_7X60341 transcript:rna-gnl|WGS:NBSK|LSAT_7X60341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVSEGQLDFNMLVWNIPSEYNNLFLLDFGNFALRKMYILINLWDIFCSIVDF >cds-PLY96914.1 pep primary_assembly:Lsat_Salinas_v7:6:95191760:95196819:1 gene:gene-LSAT_6X63641 transcript:rna-gnl|WGS:NBSK|LSAT_6X63641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSSGSSSLKEYLKRYENNEEEEKKKKKKKKKMKSKPDMNGVLVVDEDPVWQKPVQLEEEDDESQDEEKPQVDEDIEVKRMKRLEQLKSRRPFGAISEDGSGWVPVSDTAKNSDISPPRRRRARNDTPEPESESEHITELNSDMSPPRKRRARNDTPEPESDPDLSPPRKGRSRESDISPPRRGHNRPHEDLSPPRKKHSPPRKPIKESERPKTGLVSGKDIKEEIAKTKKEDWLRFQKMDPSISGRNAEGVRRDKRTGERLTKDQIKALQKEDEKPKEIKLEWGKGLAQKREAEARLQELEVEKAKPFARTRDDPDLDNMLKDRVRWGDPMAHLVKKKQSEMILPDIGDNERMRESGFIVPQEVPNHSWLKRGFEAAPNRYGIRPGRHWDGVDRSNGFEKQMFNRQNEKQATEREAYLWSVADM >cds-PLY79694.1 pep primary_assembly:Lsat_Salinas_v7:5:253650263:253653843:1 gene:gene-LSAT_5X128760 transcript:rna-gnl|WGS:NBSK|LSAT_5X128760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAILQAHKTAMPAREVLVALAEKFSNSEERKGKIEVQMKQVWNWFQNRRYAIRAKAGKSPGKLNITQMTRDDSTMVKGVPQATQPHAASSVSVRTLPQVQQHPTVPSAAVRTFPQAPQSQIAFSVQNAGRIADNSQMEYEAKSARDGAWYDVSTFLSHRSLETGDPEVLVRFAGFGAEEDEWVHVRKNVRQRSLPCEASECVAVLPADLILCFQEGKEQALYFDAHVLDAQRRRHDVRGCRCRFLVRYDHDQSEEIVALRKICRRPETDYRLQQLHAVNESLSVKNGTNNIHSVSTLRVYPPAEVQPKQLKVEPPVVVVPAEAPPKRQKVEPTPETPTALADPPGDVLQDQQKVQPEVAQDQHKEEPVLPTPSEQPSLEPQKLETVDEVQLELPKVQPVIPDDPSGEETQVAGPLVPPSAETSPPLAASEPPHGGGSEVAQPQEEPMVCSSSVEVQAELPPVHPASAIEVQAELPQVQPASALEAVETKVAKVPQELPQVQPSSAIEEASQTKDEVPPDVPQVQPSSAVEAVDMKAEVPEELPQVQPEAVEMKAEVQVELPQVQIASASETKPEEVQVELPQVQPASAIEAKAEEVQAELPQLLPASKLEAVEIKAEVPAELHEVQSTTASALEGIETKAEEAPALPQVQPSSTVEALETKAEVPSELPQVQPTTASALEAMETKAEEASELPQVQPAMETKDEVPAESHEVQPATAVKAMETEAEVQAGLPQVQPETVVEAMETEDEVPTELPQVQPTSVPMETEPVVPVCINDSNVVEEAVEMEDEIEQTKPKDTNDGNDNKVTGDMEEV >cds-PLY90333.1 pep primary_assembly:Lsat_Salinas_v7:2:199247659:199250446:1 gene:gene-LSAT_2X120300 transcript:rna-gnl|WGS:NBSK|LSAT_2X120300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVQRDDAVPSDTWKIHSDDHLAIEIPETAHKISSDSWFQAGFVLTTGINSAYVLGYSGAVMVPLGWTGGVAGLILATIISLYANVLIARLHEFGGKRHIRYRDLAGFVYGPKAYALTWVLQYVNLFMINVGYIMLAGQALKAIYIVFRDDNAIRLPYFIAIAGLTCGIFAISIPHLSALRIWLGFSTFFTLVYIVVAVALSLRDGIEAPPRDYSIPGTETGKIFTTIGASASLVFAFNTGMLPEIQATVRPPVVSNMMKALYFQFSVGVLPLYAVVFMGYWAYGNGASSYLLNNVHGPVWVKTFANLSAFFQSVIALHIFASPMYEYLDTKYGIKGSVVGLKNLCFRIIVRGGYIGITTLVSAAFPFLGDFMSLTGAISTFPLTFILANHMYLAAKTNSLNALQKSWHWFNVCFFGIMAVAAATAAFRLIAIHSNTYHLFADM >cds-PLY98884.1 pep primary_assembly:Lsat_Salinas_v7:5:18903566:18908754:1 gene:gene-LSAT_5X10020 transcript:rna-gnl|WGS:NBSK|LSAT_5X10020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTYHRSRSQNRPPSPSMAKLHSKLSRIVYRHDQLKLSFTHLKSQIKNGLLEAEDVFSSLAVPLMKLVGLKSAEMAEEGRSSTIMKISLHTQGTCEDMIRVDTQKVLSTGERENTIHRLEEDYTNSVMTAGKEIIQKQKLQLTQLLQLLKQVESCVNSSQKSMFQTIDDHKDNINTFIKKAANYISAIQQSSHDGRAFTITLKLLKAIYEHVCAVLSSIEGGVDNLVNKLTEQMGKPMIEYVKSFKAEMTTGTCHRLLVALEDMREVARDRRVELAQARKKVRTAEERTLQALSKLRESEERMKRMRQINKRHESSRHYPMHKLLAQQNEQTKDDKLLWELLKMKQKCQQPESPFGAQELHPVGINTKHHRKSTMVNNPSITSTSTQSYTKSKVLPISLELGLGGSPSVTTKQA >cds-PLY83159.1 pep primary_assembly:Lsat_Salinas_v7:3:95798140:95801046:-1 gene:gene-LSAT_3X72001 transcript:rna-gnl|WGS:NBSK|LSAT_3X72001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWDHFGDIANVAQLTGLDAVKLIGMIVQAATTARLHKKNCKQFAMHLKLIGNLLQQLKISELKKYPETREPLEQLEDALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLKIIPLITLVDNARVRERLEYIEMDQREYTLDDEDRKVQDVIMKPDPSHTDTAVLKKTLSCSYPNLPFKEVIQKENEKLHMELQRSQANLDVGQCEVIQHLIEVTQVVASNSQHQQDSPKKRIEPQYPDVNNTNKQVQDESDSNTNTNTNTKQHSTSRSMSLVPYGHQEWHSDLLGCCSEPKMCMRTFFFPCGTFSKIASVATNRHMTSGEACNELMAYSLILSCCCYTCCIRRKLRKTLNITGGWCDDFLSHVMCCCCALVQELREVEMRGIHGPEKTKTSPPPSQWMES >cds-PLY95475.1 pep primary_assembly:Lsat_Salinas_v7:7:22090902:22091204:1 gene:gene-LSAT_7X16881 transcript:rna-gnl|WGS:NBSK|LSAT_7X16881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGRRNQPGGRPEFPWYTFPRVVGPKVLAQWNGKLSWMKERKVHVPAEVNWAWKDQVSLLEAIELFWFNPLMGFKGGFLYGKEEVVSKSRSDLKGIDG >cds-PLY94556.1 pep primary_assembly:Lsat_Salinas_v7:2:156530582:156535040:-1 gene:gene-LSAT_2X82040 transcript:rna-gnl|WGS:NBSK|LSAT_2X82040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor E [Source:Projected from Arabidopsis thaliana (AT1G71440) UniProtKB/Swiss-Prot;Acc:Q8GRL7] MEKPTQQCEFKIGQRVHWAGDSQRIGTVKYVGPVEGYSGDWIGIDWDNRDGGKHDGSVNGVRYFIAQFPKSASFARPHNLSTGVSLLQALEIRYRTTSTKEEEDEMYVFSATNKRVNVQLLGKEKIQDILGRFEELPGASLSYLGVSSSGDPLQIRNTLPNLKELDLTGNLLSDWQDVDGICSGLPGLTGLNLTNNVMSHDVIAISHLKSLRILVLNNTTINWSQIEVLKDSLQVIEELHLMGNKLREITPTSSNIVEGFHSLRLLNLEDNHIADWGEILKLSQLKCLEQLQLNKNNIERIWYPSATDEPDKPFQNLHCLLIGGNKIQETSSIDALNSYPGLEDIRCSDNPVTDPSRGGIARFVLIARLAKVNILNGSEITPRERKDSEIRYVRLVMSKVHQNLEEMKALHPRFTELKAFHGIEDERPSNGPTGPQKMASGLIAITLKCVGPSIAEKPPLTKKLPATTTVGKLKTLCETFFKLKYIKPRFYLQEAGSPMPILLDDDMESLNDAGVCNQSTILIDE >cds-PLY95880.1 pep primary_assembly:Lsat_Salinas_v7:5:79816454:79816801:1 gene:gene-LSAT_5X37420 transcript:rna-gnl|WGS:NBSK|LSAT_5X37420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVASSEATSFTGQTTFTVLLNIKPNKNLPLDLDSSHYSDILMLLIECLCYSPLAQALTMSESIPLIHMYKVYLSANYNHNEGLSTLEINSIKTSITKARFSRMLEFSSTDGLIDP >cds-PLY89761.1 pep primary_assembly:Lsat_Salinas_v7:1:59177054:59179268:1 gene:gene-LSAT_1X50340 transcript:rna-gnl|WGS:NBSK|LSAT_1X50340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMITPGVDPQGQPLDPSKIQDHFEDFYEDLFEELGKYGEIESLNICDNLADHMVGNVYAQFREEEHAAAALQNLSGRFYAGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKINKDLRRQLFGRRRRSRSRSRSPPRHRNHDDRPQGGGGGGGGGRGYGRRGGGGYSDHRSNDRGRRPRSRSPGRRGGRSRSPGGKRNRSPVREGSAERRAKIEQWNREKEQAKSGTNNASNVNENNEGGNTNDDGGLQNGENYRDAPQPKQQDDGNYDY >cds-PLY89513.1 pep primary_assembly:Lsat_Salinas_v7:4:149829974:149835216:-1 gene:gene-LSAT_4X92001 transcript:rna-gnl|WGS:NBSK|LSAT_4X92001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRIKDFFTGILDSGKHNSLSNKRKKLFLAAFASILLASALIGIVVGVKSRKSSSGDTSPESLTASSAHSIVKSACSSTFYPELCYSTVTSHPDVTTKVKNIKNVIELAVNITLTAVEESYFRIKKLSARKTLTEREVTALHDCLEMVSETLEELHDVVKDLKEYKLKGSIKQHAIDLKTLMSSAITNQETCLDGFSHDGADKKVRKELEKGEKRVEKMCSNALAMICNMTNKDVADEMKMNVGRKLKEEEQNGEWPEWLSAGDRRLLQTGTVTPNVVVAADGSGNYKTVAAAVAAAPSKSSARYVIRIKAGVYRENVEVPSAKTNIMFLGDGRKNTIITGSRSVKGGSTTFDSATVAVVGNGFLAKDVTFQNTAGAANHQAVALRVGSDLSAFYQCDMLAYQDTLYVHKNRQFYINCLIAGTVDFIFGNAAVVFQDCDIHARRPGSGQKNMLTAQGRTDANQNTGIVIQKCRIGATSDLQPVIGSFPTYLGRPWKQYSRTVVMQSSITNVIQPAGWYEWDGNFALDTLTYREYQNTGAGAGTSGRVKWKGYKVITSSTEAQGYTAGTFIGGGNWLRATGFPFSLGL >cds-PLY88117.1 pep primary_assembly:Lsat_Salinas_v7:9:7812060:7812884:1 gene:gene-LSAT_9X6560 transcript:rna-gnl|WGS:NBSK|LSAT_9X6560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQVVVPLNVIYPNSYFEGEIPQRTSSDIESDNDKLNLRKRKASFSWGAHDAEALKYGGIIVNELREIMIENNVVIQESKEARKREHSTAKLTQALASVDVQSTNDQVKRIEFFNNNMDKQYKVSELNDRINKKKFGNVQTRISKLDPIIKVRCTKPMNEALKLHLFRKKTKYEYTEVVFARELVKYGYIELMKIQEIIEKHKGICAQEVKLAIHQLLNKVKKLNFVPSLVYLDHPPQEEQAPPDSP >cds-PLY91491.1 pep primary_assembly:Lsat_Salinas_v7:7:142973923:142974879:1 gene:gene-LSAT_7X85560 transcript:rna-gnl|WGS:NBSK|LSAT_7X85560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVANLTLKGSSHGTPKCANRHPKVKWAQREDKVCIIVLLADTKDAKVNLAPEGVFTFSASACHHEYYLKLDLFDKVNVDESKINIRREIDENKLGGVFGEVYKGTLTDGCAVAIKKTNAILLAKLTF >cds-PLY77264.1 pep primary_assembly:Lsat_Salinas_v7:3:57402808:57405497:1 gene:gene-LSAT_3X44581 transcript:rna-gnl|WGS:NBSK|LSAT_3X44581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEILFLRMEELGLGLVSLLRAAWIIATLPILVACLPLPGLGWFRRTLLGFAKRGKILQSNSKLTVPQRFFSHFYVVAIFWTTFLLIAVWFYAIKMLPSLIEQDLFSTITSHLTGGSHAFPLNKSLSTKEHVYNVWLSVFLLLLMEAQVLRRFYETIYVFNYSPSARMHIFGYLAGLFFYTAAPLSLCCTFAPEVFDFVKNLVAEFIVRGKDRMSRPEFNIWMFVTPFLTLRWYSWIGGAIFLWGWIHQLRCHEILGSLREKTEKLEDYVIPYGDWFEYVSSPHYSAEIVIYGGLVVASGGGDLSLWLLFTFVVANLVFAATETQRWYRHKFDDYPRNRYIIFPFVY >cds-PLY85002.1 pep primary_assembly:Lsat_Salinas_v7:4:222317960:222318460:-1 gene:gene-LSAT_4X120380 transcript:rna-gnl|WGS:NBSK|LSAT_4X120380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MXESDLKNLVYLDAIVKETLRLYPPGPLSLPHESMEDCIIGGYKIPKGTRLLTNLWKIQHDPNKWSDPEEFQPERFLTSHKHVDVRGNNYELIPFGSGRRVCPAIPFALRSLHITLATLIQQFVLKKPSNEPIDMSETPGVTISKAIPLEVLLAPRLSLDMYPVAA >cds-PLY79804.1 pep primary_assembly:Lsat_Salinas_v7:8:15954081:15955981:1 gene:gene-LSAT_8X13101 transcript:rna-gnl|WGS:NBSK|LSAT_8X13101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic pyranopterin monophosphate synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G01290) UniProtKB/Swiss-Prot;Acc:Q39056] MYLRRASLMLHKSRRPFSSSSSSHDIASTIDELNKEMEFVFGEAPPTSLTGSSESQPISQDSEITPVEKIKMEMENESKLSHISVKGEAQMVDVSDKDISTRVAIASCKVILGKKVYDLVSANQVAKGDVLSVAKIAGITGAKQTSNLIPLCHNINLTHVGVDLRLNPCDYCVEIRGEAASTGKTGVEMEALTAVTLAGLTVYDMCKAASKHIQITDVRLEHKTGGKSGDWSREKKQ >cds-PLY72536.1 pep primary_assembly:Lsat_Salinas_v7:2:141177180:141178254:-1 gene:gene-LSAT_2X69361 transcript:rna-gnl|WGS:NBSK|LSAT_2X69361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKHTQSPSLPPYSEMIFAAIDSLKVKEGASESSISDYIESTCDNLPDEHANILTDNLNKLVESGELVVLNDNYMRPEPTETPKRGRGRPPKAKDPSVSEAVTQAEPGSEVKRGRGRPKKDPNAPSSAKKVKVGSTSPPSSKTGRPRGRPRKVQPELTSVEAN >cds-PLY82166.1 pep primary_assembly:Lsat_Salinas_v7:1:14154704:14157995:1 gene:gene-LSAT_1X12360 transcript:rna-gnl|WGS:NBSK|LSAT_1X12360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAPKESGLRNVSSVCSIPEMDDFDLTKLLDRPRLTIKRERSFDERSLSEMSLSRGLENLDVAYSPGGRSGFDTPASSTRNSFEPHPMIAEAWDSLRRSLVHFRGQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLQLQGWEKRIDRFKLGEGAMPASFKVLHDPERKTDTIVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLAETPDCQKGMRLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCSLAMLKLDSEGKEFVERIMKRLHALSFHMRSYFWIDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFVGNVSPARMDFRWFALGNCVAILSSLATPEQASAIMDLFEARWEELVGEMPIKICYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARKAIELAESRLLKDGWPEYYDGKNGRYIGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEDDRQLKPIIKRSSSWTC >cds-PLY85417.1 pep primary_assembly:Lsat_Salinas_v7:4:291284731:291288392:-1 gene:gene-LSAT_4X151800 transcript:rna-gnl|WGS:NBSK|LSAT_4X151800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSTGFSTLCFQKKRWLLLLLAMLSISTAVAFIIRAAYDSSCDRQHFDAPVNDNRFQSTEKTKERAPPQIGVASPLSFMKSKLVLLVSHELSLSGGPLLLMELAFLLRGVGAEVCWITNQKPTGTDEVIYNLENKMLDRGVQVFSSKGQEAIDTALKADLVVLNTAVAGKWLDAVLNKDVPRVLPKVLWWIHEMRGHYFKLDYVKHLPFVAGSMIDSHVTAEYWENRTQERLKIKMPKTYVVHLGNSKELMDVAEDSVAKRVLREHVRESLGVRNDDILFAAINSVSRGKGQDLFLRSFYESLQLIKEKKLQVPSIHAVIVGSDMSVQTKFETEIRDFVAQKKIQHRVHFVNKTLTVAPYLAAIDVLVQNSQGRGECFGRITIEAMAFQLPVLGTAAGGTTEIVVNGSTGFLHVAGKEGVSPLATNIVKLATHVEKRLTMGKRGYERVKQMFLERHMADRIAGVLKQVLRKGKGEGE >cds-PLY94699.1 pep primary_assembly:Lsat_Salinas_v7:MU038866.1:1672:2022:-1 gene:gene-LSAT_0X45181 transcript:rna-gnl|WGS:NBSK|LSAT_0X45181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEANVLEMKKIDEKSQPLSENLVGLEHDKVALSVVVVQLKHENEGLSIQLESDEKDLVDKDQSVPKMSSLVDGLEADLGCMMKDGLFTVFDRVLEIKEFAYDVNQFCDAFMEVGKA >cds-PLY92983.1 pep primary_assembly:Lsat_Salinas_v7:3:89163134:89165243:1 gene:gene-LSAT_3X67580 transcript:rna-gnl|WGS:NBSK|LSAT_3X67580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERLPKCAANYVPLTPLTFLDRASKVYANRASVIYGGVSFTWRQTYERCCRLAYSLRSLNVVKNDVVSVLAPNVPALYEMHFAVAMAGAVLNPINTRLDATNIATILCHSEAKVFFVDYQYVPLASEALQLLDAKNVAMPLVIVIDDVDKPTGIRLGELEYELLIQHGNPLYHGEELEDEWDAISLNYTSGTTSEPKGVVYSHRGAFLSTMSLIQGWEMGTEAVYLWSLPMFHCNGWTFTWGVAARGGTNVCIRNTTAEEMYRSISQHKVTHMCCAPIVFNILLDAKPHERCEITSTVNILTGGAPPPEALLEKMEDLGFHIMHAYGLTEATGPALVCEWQTKWNQLPKDQQARLKARQGVGILTLADVDVKNKDTMESVVRDGKMMGEIVLRGSSIMKGYLKDEKETAKAFQKGWFLTGDVGVIHPDGYVEIKDRSKDVIISGGENISSVELESILFKHPAIHEAAVVAMPHPKWGESPCAFVVLKEMESTTETEILEYCRKKMSKFMVPKKVEFVKELPKTGTGKVVKVELRKLAKTLKISENIHINNKRSQKEVHLHQTRYQQNEPHDQEKVFAMSRL >cds-PLY63830.1 pep primary_assembly:Lsat_Salinas_v7:7:102225841:102226029:-1 gene:gene-LSAT_7X67841 transcript:rna-gnl|WGS:NBSK|LSAT_7X67841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTRNGQIKNFTSNFGPQHPAAHGVSRSVLEMNGEVVERAEPHIGSLQCGTKPLMPSRLLCR >cds-PLY85380.1 pep primary_assembly:Lsat_Salinas_v7:5:244108828:244111047:1 gene:gene-LSAT_5X120740 transcript:rna-gnl|WGS:NBSK|LSAT_5X120740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATASTSSSTIWANPNPRTTFSSSPKLPSSFSVSSRKKLKKISCALQSPSILQFPKQSPFQLPSSTPDSWNLLQKFSAMALDAIENGLIEQEKKHALPKTADPKVQISGNFSPVPEQSVRHCLPVVGEIPEHVQGVYLRNGANPLFEPTSGHHLFDGDGMIYAVKFENGSASFACRHTETQRLVQERALGKPVFPKAIGELHGHSGIAKLLLFYARGLCGLVDHSQGIGVANAGLVYFNNRLLAMSEDDLPYEVRVTPTGDLKTVGRYNFDEQLKSTMIAHPKLDPVSGELFSLSYDVIQKPYLKYFRFSPDGTKSKDVAIDLAKPTMVHDFAITENFVVVPDHQVVFKMSEMITGGSPVVYDKEKVSRFGVLDKYADDGSSIKWVEVPDCFCFHLWNAWEEPETDEVVVIGSCMTPADSIFNENNEELKSVLSEIRLNLKTGKSTRRAIISPENDVNLEAGMVNKNLLGRKSKYAYLAIAEPWPKVSGFAKVDLSTGETKKFIYGNQKYGGEPLFLPRDPNSETEDDGHILTFVHDEKTWKSELQIVNAITLQLEATVKLPSRVPYGFHGTFISANDLATQA >cds-PLY88517.1 pep primary_assembly:Lsat_Salinas_v7:2:154940357:154941368:1 gene:gene-LSAT_2X79581 transcript:rna-gnl|WGS:NBSK|LSAT_2X79581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASISSSAVATVNRSTPAQASLAAPFTGLKSNVAFPVTKKANNDFSSLPSNGGRVQCMKVWPPIGLKKYETLSYLPPLSDEALSKEIDYLIRNKWVPCLEFELEHGFVYREHHHSPGYYDGRYWTMWKLPMFGCTDSAQVMKEVGECKKEYPNAFIRVIGFDNVRQVQCISFIVSKPPGVL >cds-PLY96055.1 pep primary_assembly:Lsat_Salinas_v7:8:21421602:21422536:1 gene:gene-LSAT_8X16641 transcript:rna-gnl|WGS:NBSK|LSAT_8X16641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRQFPNIIADGYDSRHDDLEQKVNLILKGVVGIIIKDYFLRLTQSQRTLFEASPFGRFLGMHVSNGDPVLVHLMMLYEVRSQQVFGSGRFLFEIQRVQLDFGETEYILISGLRFGPYVDLLHDEKGRSNSNLRARLFPYFTDAYLRFKDLKEYIMSPNYLQIQDEDAVMFI >cds-PLY90081.1 pep primary_assembly:Lsat_Salinas_v7:6:17523369:17523929:-1 gene:gene-LSAT_6X13181 transcript:rna-gnl|WGS:NBSK|LSAT_6X13181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNENSYPHNSGGGTSSVYRGVRKRKWGKWVSEIREPGKNSRIWLGSFETPEMAAAAYDAAAFYLRGDVSRLNFPERATALPRPLSSTAECIRMAAHEAASLFGPANSMPEQGGSSSGHTVPVNVGLSPSQIQAINDSPLDSPKLWMELGDALIAEQKMYFSNTTTNFDHIHMAGDWDEIPDYPLWE >cds-PLY68525.1 pep primary_assembly:Lsat_Salinas_v7:2:214215881:214218861:-1 gene:gene-LSAT_2X135921 transcript:rna-gnl|WGS:NBSK|LSAT_2X135921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAGRDLEVQRSLDGRVNEPSCCLKARASPVDSELEANCHATVVSGWFSDKGGKSMYFNNPMWPGEAHSLEVEKILFKEKSEYQEVVVFESATYGKVLVLDGILQLSEKDECAYQEMIAHLPLCSIESPKKVLVVGGGDGGVLREIARHRSVDLIDICEIDKMVIDVSKKYFPELAVGFEDPRVHLHVADAVEFIRNTPEGKYDAIIVDSSDPVGPAQELVERPFFESIARALRPGGVLCNMAESMWLHTHLIQDMVTACRDIFKGSVHYAWATVPTYPSGVIGFILCSTDGPPVDFKIPVNPIEKVEGALEHWRELRFYNSQMHQAAFALPSFVKREVSCL >cds-PLY80322.1 pep primary_assembly:Lsat_Salinas_v7:7:154617528:154621139:1 gene:gene-LSAT_7X91580 transcript:rna-gnl|WGS:NBSK|LSAT_7X91580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSACCVAARDRTITDRSGSDVQVVTRNDRYSPSWSFRWDNRGRVAGEESSMNCFSDGVITNDRLDNKSHTTVETAYATEEGSPLDSSRSLTWQKSSSPSQEIGFPQSHPLNSKNLPEGKESMGTPLTSDQSPTKTSTPPHSTSSLSASPLSSTQGHLPPLSTLTPSRWPRPSPNHHHHLLRQVSDSRIRGIMSPNFSISEDGSPSPFTHPGWANKSTRGSHGGSSDASSDPTYSELMAIYNNSKRWSFDSESLSFSRDRVSRCSGRGSSSPSIDVQPCGVCSKLLTERSYWGSQKVAAAAGVGANGLPVXXXXXXXXXGFDMWACLSCGVFGKHDTRG >cds-PLY77543.1 pep primary_assembly:Lsat_Salinas_v7:2:165476881:165481864:1 gene:gene-LSAT_2X89920 transcript:rna-gnl|WGS:NBSK|LSAT_2X89920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTSNMLKMLERYQKCTYGSMEIDRSANNAEQNSYKEYMKLKAKYDSLQQQVFGEDLGPLSLKELEQLERQLDSTLRQIRSIRTQSMLDRLSELQVKERMWIEANKALQNKLEEVYAENQAGPSWAAGDHHQNCCSYEQQQQHDQHPQSQDFFQPLDCNSNLQIGLYNEVGPSHLTDSTNGQNLNALVPGWML >cds-PLY96759.1 pep primary_assembly:Lsat_Salinas_v7:2:171823586:171824990:-1 gene:gene-LSAT_2X94301 transcript:rna-gnl|WGS:NBSK|LSAT_2X94301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKVLLTVNGDAVSRNIAYHLAKRGCRLVLVGDEKVLKGVSDWITNDLKEVTIEIVGLDMESERESVFDEAVEKTWTILGKVDALVNCYTYEGKMQDPLQLSEAEFKKTVRVNFMAPWYLMKAVAKRMRDNKSGGSIVFMTSIIGGERGIYPGAAAYGACLGGIHQLVRTSAMEVGKHQIRVNAIARGLHLDDEFPVSVGKDRAEKLVKDANPLNRWLDPKNDLASTVIYLVSDDSRYMTGTTIYVDGAQSVVRPRMRAYM >cds-PLY91096.1 pep primary_assembly:Lsat_Salinas_v7:MU039484.1:914488:918107:1 gene:gene-LSAT_0X5721 transcript:rna-gnl|WGS:NBSK|LSAT_0X5721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGMTSSMAAKFAFFPPNPPSYKLVKDDLTGLLLLSPFPHRENVEVLKLTTRRGSEIAAVYVRHPMATSTVLYSHGNAADLGQMYELFIELSIRLRVNLMGYDYSGYGQSSGKPSENNTYADIEAVYKCLEESYGTKQDDIVLYGQSVGSGPTLDLAVRLPRLRAVILHSPILSGLRVMYPVKRTYWFDIYKNIDKIPIVDCPVLIIHGTADEVVDVCHGKQLWDLCKEKYEPLWIEGGNHCDLELYPDYIRHLKKFISTIEKSPTHRHSSSRRSTDHHFEPPRRSTDVFEASRKSTDRREKPRKSTEKLKAQSINVEKLEKMRMSFDQMERSRRSVDVNVIEKTRKSVDVQQLERGRKSVDRMDRIRSG >cds-PLY92211.1 pep primary_assembly:Lsat_Salinas_v7:6:74844695:74856300:-1 gene:gene-LSAT_6X53701 transcript:rna-gnl|WGS:NBSK|LSAT_6X53701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCGLVGEISHSLVNLTYLNHLNLYSNSFHGTIPTFIGSLTRLRYLDLGGNNLNGTIPRSIGSLTELIELDLSFNSLYGTIPPEFGNLTNLQYLYLSYVGMCRLENLEWLSPLSHLEVLEMDGISLAKTNHWVDVILSLPKLSRLSLSGCELSQVMYPYSSSFLNSSSSSSIEFLYLGNNSLTSSMYRWLFPLTSNKLCRLDLSGNMLDGIPKYLGNLCSLKYLYFFNTSAAVKFPDFLNNLSGCTSLSLQRLSASGSQFTGSLPDDIQKFTSLTHLSLSENQLKGTISKKLWELPNLKYLDLSENSLHGFPSSDYMSNRSHIESIRLSSCKLGPLFPKWIQNLKNLTSIDIANSSISDTIPLEFWDTWPSQLTFLNLSFNKISGKVPDLSSNFDNKSVIDLSSNRFDGPITNVSSTVALLNLSRNKFSGGISFLCKVFHGFLVILDLSHNFLSGQLPDCLWHFKELQVLNLEHNNLSGRLPASLGSMIKLEALDLYKNDFSEEFPLSVKNCTSLKSLNLGANKFSGNLPVWIGESLSGLYVLMLRSNNFSGSIPLQLCQLASLQILDLSVNHFHGRIPSCLSNLTIMVQQGFSQLQNLDPDDILIRYSYNVDHVMIQWQGTEREFIRSNMKLLRSIDLSSNNLTGEIPYQITNLDELIALNLSKNALLGKIPWKMGQMKNLLILDLSRNKFSGEIPSSMSQMTLLSYLDVSCNNLSGRIPSSTQLQTFGPSRYEGNLGLCGPSLTEQCHGNEESGIQLINGESEGDGEGVDELDVWFYIGGAIGFIVGFWIACGALLLNRRGRYAFFEMKFLLVASIVNIRQNLPWYYEACKKCGKKIIPVPKTNHSYTNPEGISETMVVECTNAQCKKSEFQSVIKYIIPINVQDCTGTIGLTLFDREARRLLNISAYELKKIHDAAGDSDALFPMQLNVLKNRKFGFVVDITEYNVNNYNNIYTVLRVTEDMSIVCELESKIELMSIQSVSLNQVALESDDVVHPIQKDVISQTDESFTPSTVDKSTATSPSKISGDLKRNLQEIYDVDSGYDLSSTKAKRKSTAEETPLLIPKIEK >cds-PLY93752.1 pep primary_assembly:Lsat_Salinas_v7:6:144674770:144680866:1 gene:gene-LSAT_6X86861 transcript:rna-gnl|WGS:NBSK|LSAT_6X86861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRSIPLKLFLITFGLFLATTDAGQPIEVHALAMFKEAIFDDPLLVLSSWNVLDSDPCNWVGVSCSGNQVTKLNISGSSIKGFIARELFQLSALQELILHGNKLIGSIPKEIGSLKNLKILDLGMNQISGPIPHEIGNLVNIVKINLQSNGLTGQLPSELGNLKYLQELRLDRNKLRGSVPGGNSSSIVSNTQGMFASNVTRLGFCRSNSLKVADFSYNFLIGSIPKCLGYLPRTSFQGNCLPIKDIKQRTSEQCGSPPQAQTRNTGEMKRHPTTYESNQQTSTSKPVWLLALEVSTGVTVGLLFLVAIFTTIHRCKNKPSIIIPWKKSRSDRDHMAMYTDPNVLKDVMRYNRHELEVACEDFSNIIGSSSDSLVYKGTMKGGPEIAVISLCIKEEHWTGYLELYYQKEVADLARLNHENTGKLLGYCIESTPFTRMLVFEYASNGTLYEHLHYEEGCQLSWTRRMKIVIGIAKGLKYLHTEIEPPFTISELNSSAVYLTEDFSPKLVDFESWKSILTRSENNSRCISNEGAICVLPSSLEGRQLDIQGNIYAFGVLLLEIISGRPPLCKDKGCLVDWAKDYLERPEEMASVVDPALKHFRDEDLKVICEVVSICIHLRPRDQVSMQDLCAILESKIDTSVSSELKASSLAWAELALSS >cds-PLY72215.1 pep primary_assembly:Lsat_Salinas_v7:7:53496197:53496545:-1 gene:gene-LSAT_7X38720 transcript:rna-gnl|WGS:NBSK|LSAT_7X38720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVRKYILIVILALILSLFVPSMNAQSPAPAPSSDGATIDQGVAYVLMMVALALTYIMH >cds-PLY64329.1 pep primary_assembly:Lsat_Salinas_v7:4:26736259:26742635:1 gene:gene-LSAT_4X20061 transcript:rna-gnl|WGS:NBSK|LSAT_4X20061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVEVSKSGMVTSSLGSQYRLQYDAKCDIFGALWRVLGDNSSAQRVFGEATGFSLLLTKLHSFQGDKGLIEPSLLTLCMKFHLFIACNNSWGDKRLIEPSLQHLKLPHHQMLMQILLLLFLNTAGEIKGLFLPDKERIYNAGALKACCAGSMAILDSLETDLALVSNIQRPEGAANKQESSKTDCSGMFWDFEKLGNLTLQLSGRKLIFAFDGTSTEAFPASGTLSMLNLVDLLSAAASPIGGQLLFNSAAILERGDEMICAASISHHRKTTVEANPEKSHCHCHITDGDHRPDSSSNTISVIESKQLSKLDDFIVKTKLKTIAEIFEPLSIGITLFGCLFG >cds-PLY67715.1 pep primary_assembly:Lsat_Salinas_v7:4:3555494:3557489:1 gene:gene-LSAT_4X3541 transcript:rna-gnl|WGS:NBSK|LSAT_4X3541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMACRALFRTVMLTEPWRTSPNRTSFTLSLANQKSSKPTWNSRCGCRIVTQVGDISAERRIHHRVNCSHDENLPSSSTESDQEPPQEAVLKAISEVSKTEGRVGQTTNMVLGGTVTDDSTDEWLTLDQKVNTYPTVRGFTAIGTGGDDFVQAMVVAVESVLQHPIPLGQVKQKMSSGGKYVSVNIGPVQVVSSEQVQAVYNAMRRDDRMKYFL >cds-PLY71227.1 pep primary_assembly:Lsat_Salinas_v7:6:19405667:19407221:-1 gene:gene-LSAT_6X14620 transcript:rna-gnl|WGS:NBSK|LSAT_6X14620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRGGNGHGRGHGNGNGGGLKMNFYGKLCRLDRLVTVESTVRQIVWSKVSADPSMAAKLLRLHYHDCFVRGCDASILLDPTQNKTTEKTAGPNRSISGYEVIDEIKTKLEAKCPGIVSCADIVALAARDAVSFQFRKEMWPVFTGRKDGTVSLASEVGGNLPSAGANFTTLLTQFGTKGLNLNDLVALSGAHTIGISRCTLVARRLYNFTGVGDADPSLDVSYAQTLRGLCPNPQNPATALEMDPKSSLTFDSDYYRALNQHKGLFVSDAALLTNRQSARVVNLLQNRGLFFTQFAKSMVRMGGVGVLTDGQGEIRKSCRVVNGQ >cds-PLY95365.1 pep primary_assembly:Lsat_Salinas_v7:8:226376123:226379233:-1 gene:gene-LSAT_8X138400 transcript:rna-gnl|WGS:NBSK|LSAT_8X138400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRGLGIHLIFVCVFLVANKYSCFGVGNVSLACSQKERLALLKFKGSVEDTSGMLSSWVGEYCCLWEGIHCDSLTGTVDSLNLRANYYYDWGDAGYLVGNEVNSSLAELRHLKYLDLSWNDLHGSRIPEFIGSFKQLRYLNLSYAGFQGIIPPHIGNLSNLKVLDLSWNGELMSDDMSWTFGLPSLEHLDLSWVDLGGAKNMDMVLYNLPSLKELSLCGCRLSNVHLGPFFNSSRILANIKHLDLGSNSFKGPLPGFFRNMTSLEFLDLSNYDIRLSWNFANLLNMIPSSLSELHLSLCGLDKTFLSSAHFNISMLSNIQHLDLSWNSIEGIFPSVFSNMSSLRVLDLSRNMLHSSVPIMANLLELDLSVNQFKNIEDVGIWRQCHLKTLAAYGNPFEIERIDPPQNVSECSQYALERLDLSGCLNGTIPEAFGRLTNLRHLDLSMSSLTGPIPESLGRLRFLEVLDLHDNQLNGSIPESFGNLAALESLYLQSNHLTGPIPTSLGRLVSLQAIRLSSNLLNGTIPVSIGQLAKLNELDISDNSLEGVVSEAHFANLSMLSYLEASSNTKLTCNFSHKWMPPFQLDGLDLSSCNLTNGLPQWLRNQFLLSELVLSNASISGPLPTWLRKMPVIAFLDLSHNKLSGPLTNLPEIGLALILANNIFNESIPRSLCRWTHLEYLDLSRNRLTGKIPKCLQNLKLLETMIFSSNLLSGVIPSYIANNHSSLYWLTLNGNNFTGEIPQGLGNLRALRVLDVGDNQLFGNIPHWIGEKLTNLMVLKLHRNNFTGEIPESLCKMSKLQILDVAHNNFTGIIPHCLRELNFLSILF >cds-PLY62281.1 pep primary_assembly:Lsat_Salinas_v7:5:166299836:166300294:1 gene:gene-LSAT_5X75881 transcript:rna-gnl|WGS:NBSK|LSAT_5X75881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METEERTLQQLKIWRRSWCRGCRQFVTSQPXXXXXXXXRSQPFYVRSCCCGPPLSFAGQLRSPPLFSLSYYRCYLVSRGSLLSRDHHLCLARFCYSGRCSIHYNYRHLGPPPLLPFHDHGIVQLPPPSDGEVAALWVYEFRRTGVTLNLCRM >cds-PLY65338.1 pep primary_assembly:Lsat_Salinas_v7:6:41205725:41213465:1 gene:gene-LSAT_6X29881 transcript:rna-gnl|WGS:NBSK|LSAT_6X29881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKNQQTNNDNNKNSGTLPLSSGETKQNSLSHTLAQIEVTPLMIGVAAVVVTAATYACASRFKARSVSPISRKFYKGGFKPQMTRREAALILGVRGSITTDKVTEAHRRVMVANHPDIGGSHYLASKINEAKYKLIRKPNNTDSVFSYDLTVDSDSD >cds-PLY66976.1 pep primary_assembly:Lsat_Salinas_v7:7:122602922:122604781:1 gene:gene-LSAT_7X74501 transcript:rna-gnl|WGS:NBSK|LSAT_7X74501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPLITLIATFFLMFILVMLVSKCSSGKLNSKLPPGPHKLPFIGNMLSMFSSELPHHVLRNLARKHGPLMHLQLGEISALVVSSREMAKEILVKHDLAFASRPELLVSKTVMYNSQDIGFSPYGNHWRQMRKICALELLSAKKVQSFSSIREEEAGALMKLILSSAGSPINLSKHFFTLMNTVTSRAAFGRIYNEQDLLIDMVQELAVLAGGFDMADLFPSYKFLHVFTSMGSRLKTLHRNLDMTLNSILDQHNKSSEHTEGSETAMDDEDFLDILFRLKNSGDLEFPFTHDHIKALVLDVFSAGTDTASTNLEWLMSELVRNPKVLKKAQTEVREVLRGKKEVHEADIQGLNYLKLVIKESMRLHPSLPLLLPRECRESCEIEGYAIPVKTKVIINAWALARDPEYWHDAESFLPERFEDSSYDFKGSNMEYLPFGAGRRICPGILFGVANVELVLASLLYHFNWELPHGMNTADLDMRETFGSSIRRKMSLQLIATPYDLDSCDT >cds-PLY72096.1 pep primary_assembly:Lsat_Salinas_v7:4:10582695:10587942:-1 gene:gene-LSAT_4X6981 transcript:rna-gnl|WGS:NBSK|LSAT_4X6981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISTNSPEKTTTEQPNPTQQHAPDNPNPPNSDLSNSSAAPPSATPATTTNAPVVTVNLNHTLNSSQPTPSQPTDIAPPPQIQSFVPPSHVSTLHPSYRPAALPLPLPTTPQFSPIPNPNFQNYGVQGQGQVQVQVQPPGVMMQAPSQASGAMSSIPQMMPPQYGQPGQQPMRMYAPMPNGYQMAVPQGTMNPPGILRYASPYPQMLRPAYPQRPLGAVGVIPSLARPPMMAMRGPVVPTIIRPPINTITPAEKPMTTVYVGKIASSVDNEFMLSLLQLCGPVKSWKRVPDPTTGALKGFGFCEFGNVEGVLRALRLLSKLSIDGQELMLNFDNATKEYLKSYVEKKKEKLKNTEGGGKEEEKKEASVEESKKEDDKNEGKKESDEYATFGLVSNEDKEADREASEKLTGMIEERIKNKPLPPPPPPPQAASDVVPNSTPEHSDVDATRNEDRNDEEMRSKSNERPETSSPDRSSRRSRERERDLKREKERELERYEREREQDRAKREREREYKIREDERRYRARLKEWENREKEKERTRKQEREREKDREQDRKYEILDQENDDGYSKKRKYRSSGEDREERKRRLREKEEDMDDRIKEEEEIAEAKRKAEEEREVQKEQQKHALELLSHNTNNGTENAMLIDGSLLGIRSKTTVVDQATNAADMPHGNEIGNRITQNGDGEDSETRQSNSNSNSNSNSVQTKKLGFGLVGSGKRTAVPSLFHEEEDEDAEKDKKMRPLVPIDYSTEELQAVRDTTPLAPSPNLAAAAEFAKRIGNTNSKDERPDSERERSRRSHHRDRNHEEKAKTPDNKKLLDAKQLIDTIPKTKDELFSYPINWGTYDKNGVHERMRPWISKKITEFLGEEETTLVDYIVSSTQEHVTANEMLDRLQSILDDEAEMFVLKMWRMLIFEIKKLDTGISLSLPGRSKASS >cds-PLY72184.1 pep primary_assembly:Lsat_Salinas_v7:7:52671512:52673216:-1 gene:gene-LSAT_7X39041 transcript:rna-gnl|WGS:NBSK|LSAT_7X39041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKLPDDIALYILSRLDDSADVARCRLAWKTFNTVSPELRSINIQCSLERYIKSRSKLSNSSSSSQSVTPFKTIFLNLVSKLRVVDSVCIGTEKPLRNVSYDDVEDENDDLYLTHGDFVKEWLPRVCGRLKSLSISDFWIQSCWRRSDLLPLVSAYCHNLLELEVKNAWLSVENMNPMPMLTTLTLEFIRLDDEDLNMLNKSFPNLQVLNLVGVGGLKLPKINLLNLKTCHWTVSNAPLSLTLITPTLITLRLECIRPTSLYIEAPMLSHLHLALDHADSFTIKKFQNLKTLWLESLYIRSLLLKFPFTKTVENLTVDSRKWERGAVGFSKFTLEKVFTVFPNVNSLCINSSLWSELEACYDVDSWETWDRRKGLKTFCAYLLLVDPLLTFSSVASLLDQCVGLVDVSLLIHHDVVANVSKSFILRCTSRWPEVNWKWGIWREGMEVSWITNW >cds-PLY75718.1 pep primary_assembly:Lsat_Salinas_v7:5:137432397:137436953:-1 gene:gene-LSAT_5X59921 transcript:rna-gnl|WGS:NBSK|LSAT_5X59921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISKLHALLFPFLFALILTQIRADDVVDGVSVGSDDSHAFRIELDKLTSKIQSLESLVHKKTEELKSKDEIIAGKEKAIAEKEHTINEKTDSITSLRSEVASLKVKGSSDAKEQIEKAHTRSQELEKQVEKLQFELDLKTKLREALETRSKELEQKMLDINPKLQELQQTIEEQKTKLIKTERALKVAEEELMKTKNEAAVKISELTKAHSSWLPHWLAAHLASSQLYAEANWNKHGKPALDTLSLKALEKKGQAEKWAEPHVETIKTKWIPAAKEQWVMVITTVEPHVQLLTKKTKEVYSQSKEVVIPHVIKIKETVHPHFQVAKKFCKPYVDQIALATKPHLDIARETMRPYTNEAVKVYANFLESATVYHNQVQGTVEESLKKHEITKSLATKEFIWFAASAILALPVIILFRFLSAMFFGKAKKPIRNTKPSHSRRKAKRGHSDK >cds-PLY63001.1 pep primary_assembly:Lsat_Salinas_v7:8:183776768:183778546:1 gene:gene-LSAT_8X119641 transcript:rna-gnl|WGS:NBSK|LSAT_8X119641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMETPPPATTPRPQLWPLRPSHTKLTSRLNSYMATTRIGKRFKLMERNTTFTTELRAGTATFLTMAYILVVNASIITDSGGTCSVKNCTSSVPICVDPASCTLMLPPDSCKFPPVDPGYTDCLLRTRKDLIVATTAASLIACLSMGLFANLPFALAPGMGTNAYFTYTVVGFHGSGSLSYQTALAAVFIEGFIFLIISTIGVRAKLAKLVPKPVQISSSVGIGLFLAFIGLQHNQGIGLIGYSPSTLVTLGGCPRTSRAALAPVFTNGTIVLMPNVTASGDILCIHNRMEDPTLWLGIVGFVIIAYCLVKNVKGAMIYGIIFVTAVSWFRHTSVTEFPDTELGNSAYEYFEKIIDVHALETTAGAISFKGMNSKAFWEALVTFLYIDILDATGTLSSMARFAGMMDSNGDFEGQYFAFMSDAASIMIGSLLGTSPVTTYMESSTGIKEGGRTGLTAITVAGYFFLAFFFTPLLASIPPWAVGPPLILVGVLMMKAVTEIQWDDMKQAIPAFLTMILIPLTYSVAYGMIGGIGAYIILNLSDWVMFLMKKYGIKDKIRSKSSIISQGSNVGGSTNTSMATEKYVNFRIEDTV >cds-PLY93860.1 pep primary_assembly:Lsat_Salinas_v7:6:144609013:144610133:-1 gene:gene-LSAT_6X86880 transcript:rna-gnl|WGS:NBSK|LSAT_6X86880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKPEVRLVWTAAARGGYELKKTCGGGAVDFEDTIDSRGSRSEAPEKRKKMHPVRLHLAASSCSSCRTACQTSLIAFQWQAQHLTIIALSHVVVDRPFPSKLTEEKYQQYVNTLTERIQTNGWTAFSHLASSYIKRTDCTF >cds-PLY67638.1 pep primary_assembly:Lsat_Salinas_v7:2:207810491:207811900:1 gene:gene-LSAT_2X128701 transcript:rna-gnl|WGS:NBSK|LSAT_2X128701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFMQRVRCWEYRQLPSIVRVTHPTRPDKARRMGYKAKQGYVIYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLKVLNSYWLNEDSTYKYFEVILVDPAHAAIRNDPRINWICNPVHKHRELRGLTSAGKKYRGLRGKGHLNHKARPSRRATWKRNNTLSLRRYR >cds-PLY87482.1 pep primary_assembly:Lsat_Salinas_v7:2:134052882:134056105:-1 gene:gene-LSAT_2X63961 transcript:rna-gnl|WGS:NBSK|LSAT_2X63961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNPESDDYKFLRIVDATTSINQKVNLIGVVTEAGIPKQSKGTDCCCTIRIVDESNPSSGISVNVFAETFDKLPNVESTGDIIQFSHVVMKLHGSEVNAVFNKRFSAFALYEGSNFSNFVPYQVSSKFHAREQDNKFIADLRKWTITHLPETESNGFFQLNSIKQGIRSNLICKVLHICEVTQGEWMLFVWNGTDAPPLDIHSKLEEELKNPLCLQLEEPPLSREVLCSFPTVGSVLRITADRCNARLALQLLKAGRWVQFRNIQFEAREGLWCGILMHSSKFSYLPDDNKVVLEFQREYKKRFKGKWTRMPLSSFPWPPNLTKTEHEHVPLVTLMDMITYPKVLYKYRCVVRVVATLPGEATNFRGPTGIYRIRFTLEDPTARIHACLYDKDAEKFFGGYPDDDEMIKLHKVLLGIDENNGKARNPPWIDCCLKSYYVDKNDMWGSRRYGIFDTKLINW >cds-PLY92682.1 pep primary_assembly:Lsat_Salinas_v7:3:233097625:233101622:1 gene:gene-LSAT_3X130781 transcript:rna-gnl|WGS:NBSK|LSAT_3X130781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAKAFNFIPLKPSSPVIKLLPPHVISFPDHITREISISIPNQQVSTSVQDEKAAAYWDYQSLFVSQRTETINPIVLRVVEGSIPSDFPLGTYYLTGPGLFKDDHGSTVHPLDGHGYLRAFTIDGAKGEVTFMARYIKTTAQVEEHDGHTGEWRFTHRGPFSVLKNGKKIGNTKVMKNVANTSVLRWGDRLFCLWEGGAPYEIESDSLDTVGKFDLINGSDGSSVSSSTSSDRDTLDHPRDVLDLAASILKPILYGVFKMPPKRLLSHYKIDAQRNRLLMMSCNAEDMLLPRSTFTFYEFDCNFKMLQRQEFKLEEHLMIHDWAFTDSHYVLFGNRIKLDILGSMTAICGFTPMISALSVNPSKSTSPIYLLPRVPMHGELRDWKVPIEAPSQMWVLHVGNAFEERDHNGNTQIKIQASGCSYKWFNFQKMFGYDWQSGKLDPSMMNADKGEDKLLPRLVEVSINLDANGNCEKCCVDPLNNEWNKATDFPVINQDFSGSNNKYVYAATCSGVRRELPHFPFDTVVKFNTLKNSTQTWSVGTRRFIGEPIFISNGSNEDDGYLLVVEYAVSEQRSYLVILDGKKIGETNAVVAKLEVPKSLNFPLGFHGFWAPTSSKA >cds-PLY85926.1 pep primary_assembly:Lsat_Salinas_v7:2:189294015:189295030:-1 gene:gene-LSAT_2X111420 transcript:rna-gnl|WGS:NBSK|LSAT_2X111420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRAMLAVVPYSFAAVKPAPEQPHRSQVSIKTQKKASRSSTAGFGAKKREPLWQCVKNCGACCKLDKGPAFPSPEEIFDDPSDIQLYKSLVGMDGWCIHFEKSTRTCSIYADRPYFCRVEPDVFETLYGIDKKKFNKEACRMLMILFAFPCSSCIDTIKAVYGSQSEELDKFKNAIRS >cds-PLY95913.1 pep primary_assembly:Lsat_Salinas_v7:6:106019147:106021692:-1 gene:gene-LSAT_6X68221 transcript:rna-gnl|WGS:NBSK|LSAT_6X68221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEMNDWKKIGLGLTGFGVFFSFLGIIFFFDKGLLAIGNILFISGVIITIGIKSSLQFFMKRNNLKGTISFGVGFLFVIIGWPVIGMALETYGFIILFSGFWPTLAVFVQKIPVIGWVFQYPSIRSFLDRYRGKRVPV >cds-PLY90807.1 pep primary_assembly:Lsat_Salinas_v7:2:105559166:105563720:1 gene:gene-LSAT_2X47300 transcript:rna-gnl|WGS:NBSK|LSAT_2X47300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFSKDESPVCDIKLSSIGPGYITGQGAAQELNGMDLAMKLHYIRNVYYFRSQVFEGLAIMDIKEPMFYWLNYGYIACGRLRRHDSGRPYIKCNDCGVRFIEARCKLTLDEWLESKDDSRHKLLVSNQVLGPDLLFSPLVLFQLTKFKCGGISVGLSWAHILGDVFSAVSYTNIWGQITKRHFPTQPLRMEHSMKENCNPKSPTKDPLAVKRVGPVGDHWTTSDNTKLETYSFYISSPEFIRLQTKLCGGKDNNQHFPPFELICTIVWQCVAKAKHESEVNMVTICKRDSKMSFEGVITNKAQSIKVVKTNISVKESSLMELGMLILNQGVDERSNIEEAMKPDNELPDFIIYGANLTFIDLSDAPLYELDIKGNTPVYVNCAIDGVGEEGVVLVFPARKDMSDGMTISITLPANHISELKSVLWNEWSLA >cds-PLY88111.1 pep primary_assembly:Lsat_Salinas_v7:9:8577402:8581142:-1 gene:gene-LSAT_9X7340 transcript:rna-gnl|WGS:NBSK|LSAT_9X7340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFQGIKNDLDGRLTCYKQDWTGGFRAGFRILAPTTYIFFASAIPVISFGEQLDRNTEGALTAVQTLASTAICGMIHSIIGGQPLLILGVAEPTVLMYTFMYNFAKQRPELGHNLFLAWSGWVCVWTAGLLFLLAILGACSIINRFTRVAGELFGLLIAMLFMQQAIRGLVDEFRIPERADLKSIEFIPSWRFANGMFALVLSFGLLLTGLKSRKARSWRYGSGWIRSLVADYGVPLMVLVWTAVSYAPSATVPKGIPRRLFSPNPWSPGAYQNWTVVKDMLDVPILFIFGAFVPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTLLCGLLGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVVSARESMRMNSSLGELYGNMQEAYQQMQTPLIHQAPSDRGLKELKESTLQLAASMGNMDAPVDETVFDVEKEIDDLLPVEVKEQRVSNLLQSCMVGGCVAAMPFLKLIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRFKVLEDYHATFVETVPFKTIATFTLFQTSYLLICFGLTWVPIAGLLFPLMIMLLVPVRQYILPKFFKGVHLQDLDAAEYEETPAIPFNYPQEGEFGARSSVPDAGEVLDEVITRSRGEIRRTSSSRVTSTTTTPTRDAKNLQSPRLSLKAYSPRINELRVLHSPRSAPREPFSPTAPSSLGKSPCK >cds-PLY84346.1 pep primary_assembly:Lsat_Salinas_v7:3:151287621:151296379:-1 gene:gene-LSAT_3X97460 transcript:rna-gnl|WGS:NBSK|LSAT_3X97460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTQSEAPSTTPSVQVVGNAFVEQYYHILHHSPDMVHKFYQESSFISRPDEDGVMITVTTMKGINEKICSLDYTAYKAEIKTADTQESYKDGVIVLVTGCLIKKEDNQRKKFIQSFFLAPQDKGYFVFNDVFRYVDEIKSLDDNNNNHLVLVEGIDDNQTLPLIQDPPSQALDKEEVENIVEETQTQTQSQSQSQSQSQDVLEIENNEIQSQIHPPPIIEESISSIIPVEDAPKMSYASILSSQMKRNNNKPYVPSNNNNNNNTLRATPTKIENKIVADVAQGHPHSPEVSTPPPPVASSSISSPRSHDEGDGYSVYVRNLPLNATVSQLEIEFNKFGPIKPGGIQVRSNKLGICFGFIEFQDFNSMQNAIQNSPVVIGDREAVVEIKRTTTRVGAGRGRFPVGRGGFRGDSFRGRGGGSFNGGGGRGYGRSDYGGGRGEFSGRGRGGRGGDGYQQGGRRGGRRGGSAQYVSTA >cds-PLY74527.1 pep primary_assembly:Lsat_Salinas_v7:7:32527152:32528519:1 gene:gene-LSAT_7X25181 transcript:rna-gnl|WGS:NBSK|LSAT_7X25181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDRKGNILMQRYELGKLLGHGNFAKVYYARNLKTNHSVAVKVIDKDKIVEAGLMDQIEREISVMRLVKHPNVVELYEVMASKTKIYFAMEYVKGGELFNKVAQGRLKEDAARKYFQQLIDAVDFCHSRGVCHRDLKPENLLIDEFGNLKVSDFGLSAVSESRKQDGLLHTMCGTPAYVAPEVINTKGYDGQKADIWSCGVILFVLLTASLPFYEENLIKMYQKISKGEFKCPIWFPLEVKNMLSAILDPNPNTRMTLAKIMENLWFQKGLNKTEIPKPIAIRLKQQQRIDLDHNENVIQETETPTGSCVDLEKLEKAATIKLPTTLRRTSSMNAFDIISLSDGLNLSGFFENNVPCEKLESRFMTRKPAATIISKLEEVAETEEFKVMKNLDGTMRIQGIKEGRKGKLAIDAEIFEVAPSLHMVEMKTLSGDTIDYQKFCDQDLKHSLDDIVWI >cds-PLY80882.1 pep primary_assembly:Lsat_Salinas_v7:8:126233618:126234635:1 gene:gene-LSAT_8X88160 transcript:rna-gnl|WGS:NBSK|LSAT_8X88160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDKTKGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRKFAQKAMGTTDVRVDVKLNKYVWSRGIRSVPRRVRVRIARKRNDDEDAKEELYSLVTVAEIPAEGLKGLGTKVIDDED >cds-PLY86875.1 pep primary_assembly:Lsat_Salinas_v7:8:50339114:50345570:-1 gene:gene-LSAT_8X38141 transcript:rna-gnl|WGS:NBSK|LSAT_8X38141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PPC4 [Source:Projected from Arabidopsis thaliana (AT1G68750) UniProtKB/TrEMBL;Acc:A0A178W7Q9] MTDIMDDIVEEISFQSYDDDLRLLFSLLNDVLQRELGPNIMEKIEHTRTLSQSACLIRAAGIEGTAEVLEKQLASELSKMTLEEALKLARAFSHFLSLMGIAETHHRSRKAKNVAQASKSCDDIFNQLIQGGFSPDVLYDTVCKQAVEIVLTAHPTQINRRTLQYKHIRISHLLEFNDRKDLTQEDQDTLIEDLVREITSIWQTDELRRHKPTPVDEARAGLNYVEQSLWKAIPHYLRRLSNALKKHTGRPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSLLSRWMAIDLYIREVDNLRFELSMNQCSDVLARWAHEIVTKENSEEDRYMSWNQTPKNQTHNVHGLPTQLPAQADVPSCTECNKDQSHYPQLDVPGSEYKPLKNQDEEAATSPNKNDEKLNTNGNHSNAAASNGHQTPTAKSGTLSPNQRKLFAESQVGRGSFRKLLEPSTLQKTGISPYRIVLGEVKEKLMNTRRRLEQLLEGLPYDQDPTDYFDTPDQLLQPLMICYDSLQSCGSGILADGRLADLIRRVSVFGMVLMKLDLRQESGRHSETLDAITKYLDMGTYSEWDENKRIEFLTKELKGKRPLVPPTIQVSSEVKEVLETFRVSAELGSDSLGAYVISMASNASDVLAVELLQKDARLAVAGEQGRPCVGGILRVVPLFETVKDLRAAGSVIRKLLSIDWYKNHILENHNGHQEVMVGYSDSGKDAGRFAAAWELYKAQEDVVAACKEYGIKVTLFHGRGGSIGRGGGPTHLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPGMAVRQLEIYTTAVLLATLCPPKPPRDDNWRNLMDEISNLSRQSYRSTVYDNPEFIAYFQEATPQAELGHLNIGSRPTRRKSSVGIGDLRAIPWIFAWTQTRFVLPAWLGVGAGLKGVCEKGHVDELQAAYREWPFFQSTIDLIEMVLGKADIPIVKHYDEVLVAESRRGLGDELRRELMSTEKYVLMVTGHEKLSENNKSLRKLIESRLPYLNPLNMLQVEILKRLRSDTENHKLRDALLITINGIAAGMRNTG >cds-PLY64151.1 pep primary_assembly:Lsat_Salinas_v7:1:2454938:2457005:1 gene:gene-LSAT_1X1541 transcript:rna-gnl|WGS:NBSK|LSAT_1X1541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPSLDFAVSSLLCAEDNDSICYDDDNGDVDDLMGFGRNIYPNEEQYQSLIRNHEIDYSVLDLPLQNDECLASLIVKESEQCVGVMDYLNKLKNQNLYLVARQEAVDWISKVHAQFNFGPLCAYLSVNYLDRFLAVYELPKHNPWMMQLLAVTCLSLAAKMEETETPPIVDFQAGESRFVFEPKTIQRMELVVLSTLKWRMQSVTPFSFIDSFIGKLDGVQSQIDPRFLILKSTQLILSLIKGIDFLEFQPSEIAAAVAISVVGIGFEKTNVSAIFEHAKKERVLKCMEVVSRGCTMSFGSGTMTSLPESPIGVLEAAILSYKNDDSPTCSKRRRLSNISP >cds-PLY93111.1 pep primary_assembly:Lsat_Salinas_v7:8:253806594:253807103:-1 gene:gene-LSAT_8X149100 transcript:rna-gnl|WGS:NBSK|LSAT_8X149100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSEYKFTSRRIYSSCRDLPHLSAQLHWTYNSSTGIAQIAYHARQGPRGWVAWAVNPNQIGMVGSEALVAFHNSNGSMTVYTTLINNYSPSMVPGNLSFQVSGLSAESSINEITIFADVGPFSGGSVVNQVWQSGNLVLNDVPQMHAISQQNLQSTGEIDFLYDEEKHR >cds-PLY62743.1 pep primary_assembly:Lsat_Salinas_v7:8:307652129:307656401:1 gene:gene-LSAT_8X166680 transcript:rna-gnl|WGS:NBSK|LSAT_8X166680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKFGFEGFGINKQSTYSFERPEAPQRLYVPPSSRGGGHDHYEDTDLDNIEYEDPSHNAANNDEGGGGADDGEIDPLDAFMEGIHEEMRAAPPPKAKEKADKYRDDEEDDPMESFLRAKKDVGLTLASEALHAGYNSDEEVYAAAKAVDAGMLEYDSDDNPIVLDKKKIEPIAALDHSSIDYEPFNKDFYEEKPSISGMSEQDVAEYQKSLAIRVSGFDVPRPIKTFAEAGFSIELMKAIAKQSYEKPTPIQCQSLPIVLSGRDIIGIAKTGSGKTAAFILPMIVHIMDQPELAKEEGPIGVVCAPTRELAHQIYLEAKKFAKANGIRVSAVYGGMSKLEQFKELKSGCEIVVATPGRLIDMLKMKAVTMSRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRRIEKLAREILSDPVRVTVGEIGMANEDITQEVQVLPADTDKLQWLLEKLPGLIDNGDVLVFASKKATVDEIESQLSGKGFKVAALHGDKDQASRMEILNKFKSGIYHVLVATDVAARGLDIKSIKSVVNFDIARDMDMHVHRIGRTGRAGDKDGTAYTLITHKEARFASDLVNSLIAAGQNVSMELMDLAMKDGRFRSKRDARKGGGGGGGGGKXGGGGGNRGVRGVDFGLGIGYSSEPKAAPPSGSAVNSLRTGVMAQFKSSFVAASNNSGNQGSKKMVLPGFVSGGSIGGTPPTPMPTYHNREQQQQQQGTSESNSSSSRSSRERRRPSGWDR >cds-PLY73213.1 pep primary_assembly:Lsat_Salinas_v7:8:178558303:178561404:-1 gene:gene-LSAT_8X115821 transcript:rna-gnl|WGS:NBSK|LSAT_8X115821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGWEDIYNVLTAMLPLYVALTLGYGSVKWWNMFNPSDCDTINRLNCYFIMPLFTFEFTTRINPYQFNHLFIAADVISKAIILVTISLWANCSSKGSYQWSVTSFSLSSLNNTLVVGVPLMRAMYGSLGENMVIQSSILQSMIWSMFQLFMLEIQRAKKQMDLAVAVDKSAMDLENESEGDKSRRPNSVLILMKTVGLKLSKNPNSYACILGLFWALVSYRWNVQMPNILEGSILIMSKAGSGVAMFCMGLFMALQEKVIDCGVRLTVFGMVMRFVVAPVTFAVGSLAVGLRGDALHVAIIQAALPQAISSFVYAKEYGLHANVLSTAVIFGTIISIPVLVSYYALLDLLHG >cds-PLY76572.1 pep primary_assembly:Lsat_Salinas_v7:5:222332208:222333288:-1 gene:gene-LSAT_5X103981 transcript:rna-gnl|WGS:NBSK|LSAT_5X103981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTKERKILVAVDEGEESTYALSWCLKNVVAENSKDTLVLLYVKPPQVVYTALDGSGYLFSPDIIATMDNYRNQVAESVMEKAKKLCKDLQDVKVETRVEQGDPRDVICSAAEKMGVDMVVLGSHGYGLIKRAFLGSVSNHCAQNAKCPVLIVKKPKTSAAATST >cds-PLY79733.1 pep primary_assembly:Lsat_Salinas_v7:5:178903238:178904613:-1 gene:gene-LSAT_5X83361 transcript:rna-gnl|WGS:NBSK|LSAT_5X83361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVTMKSSSLIKPAEPTWTGRLPLSELDQTGMNTHVLTIYFYTKPSQDWDTVLQTLKASLTTTLVHFYPLASRLSSIAGGRLELDCNAAGVQFIEAYSDVKLADLDDFVQSPIFHHLIPSVDYRHTPLEEIPLLLLQATKFMCGGWSLGFCISHVVVDGQSALHFLSEWARLCRGEPLDSPPHLDRKCLHAGEPPTTYSSIEQHVQFRPPPILLGQSSNVNERTKETTVAMLKLTDTLVEKLRNKANKSLKTETDRGFTRYEVVTAHAWRTACKARNLQPEQPTAVGICIDVRSRMKPPLPEKYFGNAIIDVIATGTSGELLSETLGYVSNKIRDSIEKVNDEYVNSVIDFLKNQEDLSKFQDLQRSEDGEGPFYGNPNLGVVSWLTLPMYGVDFGWGKELFTGPGTDDADDGDFLILRGEEGGGSLVVALCLQVRHMDDFKRVFYQSIED >cds-PLY85192.1 pep primary_assembly:Lsat_Salinas_v7:9:146869425:146870015:-1 gene:gene-LSAT_9X94401 transcript:rna-gnl|WGS:NBSK|LSAT_9X94401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPPTEASLMIWAVDLMADIVQCEHLNKLNAHKVAFIFASNMTTGRMGAQSHSLYVVQVTKFLKTLIVKTLRERKPSDDMNGNNGVCVSGTSNEIKKEDKDFSIQIATLESDSIYSGQSQTNMSMVEEESGDRVVEGACTMAEVLKSRNLGLKKRHVGAPSAIILG >cds-PLY70647.1 pep primary_assembly:Lsat_Salinas_v7:5:71213979:71218679:-1 gene:gene-LSAT_5X33260 transcript:rna-gnl|WGS:NBSK|LSAT_5X33260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESDPINTVSSSDGISQGLQKIRDIHGYRYYLQCQNTYFFCLFIIVAMRTTGPTRRSTKGQWTPEEDDILCQAVQRFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEELLQLVEQYGPKKWSTIAQQLPGRIGKQCRERWHNHLNPAINKEAWTQEEELMLIKAHQSYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKLESYLASGLLTQFQGLPCVTHTNHSTPTSSRTQQCSGDNNNSIHKDFEEISECSQPSTLVRCSSQSHHDMANEMVVDDDMAADMADTIVLYTTDDPQENLNHGNEEGQPSSNEFQIQSTMLRTESELENPDSVLREDPELPTSDSVLRQEPELRNPDLIVRVESESDFLQCIDVDQNNDVGFDMDPHPHEGSSDSLFYQQPNSGIPDSDASNPESYYSLLMGTASDQFNALSVGNQEFTGLIYNSGIPDSTDSHFINDQDNVGAQEEVNGTQIHPSVDDNSGFQENVTQTHPSNDESHVLQTEMNPGFLSYEPPQFPSSDIPFFSCDLIQSGGELHHEYSPLGIRQLMMTSSMSCFSPCRLWDSPSRDDPPEVVLKNAAKTFMCTPSIMKKRNRELLSPLSEKRCGKKVECVSILNMAKDKENLNPHDELSAQKEGNKNTNTTPKVENPSGGGGGVVEQNLDDLLFSPDKFANKLYTTPKGPRAARTTPGRMSSKRNNKGGGGSRFGASSVQLQSCPSSSEPLEVTVDDAVVENSGIFGETPFRKSLESPSAWKSPWFSFVPGPRVDTDITVEDMGYFTSPGERSYDALGLMKQLSEHTASAYANAREVLGDETPDSILKKRFSEKGEKTRQESNLPSERRVLDFSECGSPRKGGGGGGGGGVSLTSPSSYLLKSCR >cds-PLY87402.1 pep primary_assembly:Lsat_Salinas_v7:4:12872458:12874031:1 gene:gene-LSAT_4X9441 transcript:rna-gnl|WGS:NBSK|LSAT_4X9441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNILKVNRTVSDEDLKKAYKKLAMKWHPDKNIASTDDKVAESKFKQISEAYYVLSDPKKRQIYDSYGEEGLKSGQFDESSPTYRGTTKPTSRFRFDPRDADEIFAEFFYGSDAGGGGGGKKKGNPAGVLKNSNQKSVRKAETVENKLSCSLEELYKGSKRKMQISRIVLDDSGKPGTLEEILPIHIKPGWKKGTKITFPEKGNQEPGAAPGDLIFVVDEKPHDVFKRDGNDLVFTKRITLLEALTGRTIKVVTLDGRQLMIPLTEVIKPGHEEVIQNEGMPISKEPGKKGNLRIKIDIKFPSRLTGDQKSDLKRVLGGGGGSRVDD >cds-PLY82795.1 pep primary_assembly:Lsat_Salinas_v7:2:144150854:144151557:-1 gene:gene-LSAT_2X72761 transcript:rna-gnl|WGS:NBSK|LSAT_2X72761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSMFKNISDMEVLNSKALEEKELDKGILVHLYSHGYVLVAHHMKVKASVESKFSLSQQQASFPAHFIYVSLKMGWKKTRSGTKWKCWILISLQITQPPPAAVVPPNGPNANPLDLFPGYQYFSSLSA >cds-PLY67792.1 pep primary_assembly:Lsat_Salinas_v7:9:166288501:166290544:-1 gene:gene-LSAT_9X102580 transcript:rna-gnl|WGS:NBSK|LSAT_9X102580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLGRSLPSRSGSFRPENLGQNALALIGNVFFTFFVIGVLVFTIIAATYEPEDPLFHPSTKITNFLTSTSNATFVSDSTVVKTGEDFMKANETAFGTFINASDVIKAAKWDAEGETEEKEARDCSDEVDKPIDCTDPEVFHLMMSAAIDQFKDIHFYRFGKPVRGMNDSSCHMAWRFRPKEGKTASLYKDYRSFVISRSVNCSLSVVSIGDYHSGGNARKRKKHQKPGFEKTPMGEDDKNSGIQVVGETVNDSLPVVESEKSFDQGKYLLYNGGGERCKSMDHYLWSFMCALGEAQYLNRTLVMDLSICLSSVYTKSGQDEEGKDFRFYFDFEHLKESASVLDQGQFWSDWNKWHQKDGLSISLVEDFRVTPMKMAHVKDTLIMRKFGSVEPDNYWYRVCEGEAESVIQRPWHMIWKSTRLMNIVSSISSKMNWDFDSVHVVRGEKAKNTEQWPNLASDTSPEALLSSLQDKVDDGRNLYIATDEQDVSFFDPLKDKYSTHFLDEYRELWDENSEWYSEMSKLNNGVPVEFDGYMRASVDTEVFLRGKKQIETFNDLTRDCKDGINTCTISAS >cds-PLY89223.1 pep primary_assembly:Lsat_Salinas_v7:5:311095376:311096662:1 gene:gene-LSAT_5X169441 transcript:rna-gnl|WGS:NBSK|LSAT_5X169441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVTAATTSLPPLPILKYHAIGFPIKSSLFILPSPRTNCIPRLVIPPRAAVIRPISATPDGVFLLAEASSPENTDQIVSTASDNGDGVSIVISVLLSIAFVGLSVLTIGVIYIAVTDFLQKREKDKFEKEEAEKAKKGGKKKRVRARAGPKGFGQKIESDDDFDL >cds-PLY68967.1 pep primary_assembly:Lsat_Salinas_v7:9:142150327:142150590:1 gene:gene-LSAT_9X91201 transcript:rna-gnl|WGS:NBSK|LSAT_9X91201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDGGCFLSFSSKKQLYLRHFDYPLAIDGAIRLNSIFSVHSPIKSVTDQRGFGNSSGRGTKDSCDLRTQHRHTHIIFLIELQFQK >cds-PLY76653.1 pep primary_assembly:Lsat_Salinas_v7:4:116943057:116945431:1 gene:gene-LSAT_4X74160 transcript:rna-gnl|WGS:NBSK|LSAT_4X74160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAPMVVVMVGNVSKRPTHSLSFAKRPLGARIATDKTFFSGSVGMSDQDIEQRLAGLSVFALSNSSEEFVVVSGQNPVKSLELFCFKEEDDQTLLGQMKSMDPRMRPGSKSSLQLALSTRVQTEQTLQAKNQDSCICDDGYCINGGTYLLCLLNPTSASAPPCSFSRSAVNNESEAKTGLSGFVMGIIICSALLFMTPLFEFIPQCALAAIVVSAVIGLLSFLI >cds-PLY68682.1 pep primary_assembly:Lsat_Salinas_v7:7:84190963:84193518:-1 gene:gene-LSAT_7X59260 transcript:rna-gnl|WGS:NBSK|LSAT_7X59260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEREDELKQKLQDLQKQLGKKQMFEESVSSIRSLLHQFYPSASPSLRKSFFTVISRVATILKTRYTSPGFWNAGLGLFLEAEQMVSESSEKQHLKTCIVRAREQLSEIDNLPDESAQNRRHQGYLFEGHLTVDQEPPQPQWLVQSNLMTALAATMSQAETSENNTSDGPTNLPRELMDRLQELMPNISPDSNDLPQEIIDQLVAMFPEGDSAARAPPASKEVVAKLPVIVITNEILTKLGAEAECAICKENLVVNDSMQEMPCKHTFHPPCLKPWLDEHNSCPICRHELRTDDHEYESRKEREKEAEEERKGAANAVRGGEYMYV >cds-PLY62512.1 pep primary_assembly:Lsat_Salinas_v7:1:84741635:84741859:-1 gene:gene-LSAT_1X70520 transcript:rna-gnl|WGS:NBSK|LSAT_1X70520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLAMKLHYIHLLFYFKAPAFAGSTILDIKETMSQWLNHAYITCGRFMRTDSGRPSIKCNDCGVGAIESHTSLS >cds-PLY75117.1 pep primary_assembly:Lsat_Salinas_v7:5:79682702:79683625:1 gene:gene-LSAT_5X36141 transcript:rna-gnl|WGS:NBSK|LSAT_5X36141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSKLHANGKYPPMLLKSQKHRNLMMFNLNSNMGLLSSLTETIGDSFRPYFFDLQALLLKCLQDETSNNVRVAALKAIGSFIEFTPDVSKVGLWAKVVNHVRNLSAEVAGKGSAKKDLNSERNTFRDIL >cds-PLY67771.1 pep primary_assembly:Lsat_Salinas_v7:9:165787330:165790267:1 gene:gene-LSAT_9X102961 transcript:rna-gnl|WGS:NBSK|LSAT_9X102961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKSTVDQRLTNSPLSKMLCNVYPGLHYFFVTEFGVAENPPFLGYLQSLLKLSSTILPSQSAKTWNDGMESRILSTLKNVSVSSTVPDATFIEILLDKLKLCRSISYVAVAAHADQTSRRKLVVMLVEHEPLSSKQVPLLLGIGEEDTILTKATESGDIDLGYLVLFHIWLMICQECLDYFPKIPHGLDPISSMFKKHVAAEGTALVKQAEDAASNKRLQVDPTTWPIMIFRVC >cds-PLY74960.1 pep primary_assembly:Lsat_Salinas_v7:3:155305495:155307192:1 gene:gene-LSAT_3X98801 transcript:rna-gnl|WGS:NBSK|LSAT_3X98801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G29310) UniProtKB/Swiss-Prot;Acc:Q9LIB3] MASNHHHHHHQCHCQPPNPTPPTTTCYCTMCYTTTHYPSPPPPPPDPVAHCFSSHLRHHPPPQTNLHAPPQNHHNIHTQHHDYYPPPPPADIHKKHQLFQKYVQQENVQENPRSVDSTVSSLIQRIAALESSLRRRKQSPGSSSLRNAAARTIQIHFRAFLVRRSVTLRHLKDLACIKSALNNLKASVSNKTHFDFHLLSRESMNLLIKLDAIQGSDPMIRDGKRSISRELIRFMEFIDEISTESRVISVKNIRFSKNGKQSVILQREHKIRASGSRALGDDRDERKSSENLRTQIKKPNRVSSVPKAEEEKVEIFEVENPRKHGVSQSRIGCLVKNQPKVKKNVSFDEKGNVYRVINRKHSPVSSGESDSSNGDDEEVEEIGVSSKEPEIEEEDSSEMSENEMDPRKNLGTRIHNTTNKNQPDQEGDEEEEEEEEEEEEEEDSFVFSAPLPAKMEYRVDSANNKQTVKR >cds-PLY96469.1 pep primary_assembly:Lsat_Salinas_v7:2:124394155:124395099:1 gene:gene-LSAT_2X57901 transcript:rna-gnl|WGS:NBSK|LSAT_2X57901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRESQHLHVPFRDIKFATKNFTTLIGSGGFGYVYKGELSLSGKLTSVAVKRLPNNNNHSGQGLKEFLTEIQLLSRYKHPNLVSLLGYCDEDDEKILIYEYASYGSLDRYLSMSNTTFPLTWKQRISICIGAARGLDYLHNHVAENHRVIHRDIKSANILLDHNWKAMISDLGLSKIGRANENESYLITNVAGTHGYCDPVYMKTGILTKESDVYSFGVVLFEVLCGRPCFMNVDGEERFLPKLAQTCYERGNLNDIIDHDLKKQMASDSLNMVSKIAYQCLQNDRKQRPSMGLVVEKLEKALDLQVSSSFD >cds-PLY90716.1 pep primary_assembly:Lsat_Salinas_v7:8:142135167:142135358:-1 gene:gene-LSAT_8X97140 transcript:rna-gnl|WGS:NBSK|LSAT_8X97140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDQETDRGRMAIIGGPSLERVTELSTEWFRFWNSISSWGFRFEGYKMWCGFISLDQFRFKGG >cds-PLY73256.1 pep primary_assembly:Lsat_Salinas_v7:8:210939289:210941555:1 gene:gene-LSAT_8X131800 transcript:rna-gnl|WGS:NBSK|LSAT_8X131800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSIVIKVKFGKNLRRFSASINDNNLALDTVALREKIRSLFNFNPDVDFSLTNVDRDGDAVTLANDDDLCDVVGQSLNPLRFIVKLENDSHDGSSGTPNPTPTPTTFPTRTSQPQIPFGPIPNVLFEFLKSMPEPLRDQITKQPLELASKAITSTPLISELVEKMTHVYLNQISGSMATPRAHTPSGESLTVKNNQAVVNPKAVESSNLKNKEKIENTSEGVKFKDVQQPKPMDLNVPYFDYEAFPPAVEGILLKEERHNLGGISIEESHRLVYAQVLSFHALTWQIAPIYLISCMKHGVGLLEILLYKQNIEICRYMILIVWILLECITGSMVEKVMESSGYKKLMMP >cds-PLY96964.1 pep primary_assembly:Lsat_Salinas_v7:4:174549066:174549680:-1 gene:gene-LSAT_4X102661 transcript:rna-gnl|WGS:NBSK|LSAT_4X102661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKRDYKALSRKLTLLVRHSQVFSTSKFDYMQQAHMETEKALFETSTKLISDTTVKVDVAFKEVKQATAKVDVILKEVTEFVNKKLDAIINDVKEIITSLLKKNQDLRYLLQKNNVDYHTLLSNSILTLAKNYEGESKLIDVTAEKTRKVKLLKHELKIVHANLAKIDDELLMAKSRNHVKSLCFIYFFASLSCVVSLSWILQS >cds-PLY71236.1 pep primary_assembly:Lsat_Salinas_v7:6:19261375:19264334:-1 gene:gene-LSAT_6X14820 transcript:rna-gnl|WGS:NBSK|LSAT_6X14820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-5 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT2G20790) UniProtKB/Swiss-Prot;Acc:Q8W0Z6] MQRVKRIGAYTLLPSKHLQNLAGISGCVKPLHYFDIQKHQKLAMPSSCSIRALWILNNQDTVVFSRRFSVVERRWTVACKKANKRSEDNQDANLSSSSLPTDSELADAFVERKKREGSVRGYGIRVKQSIEGSDSWVDDPIMRHIISLHVKNEEDGKDDTFWPLILHTKGQYYILILPLVEPSHLKAYNTIRKRSDCGNGVGVDGNLSSLLLQLPSITGAFMVAHVLGDIITSDFQEPEVIGNAASSVGGLLDSLTGSIGISARPKPVAPPVATSTNSTTGSGGSGASDSPKLGPKNPEKDALRSFITSAMPFGTPLDINYSNISTIRNNGFSLTDMPPVDSKQPAWKPYLYKGKQRLIFTIHEVITAAMYDRDEIPDSLSISGQVNCRAELEGLPDVLFPLTGLDSKKIEVISFHPCAQVPEQTGGDKQAVMFSPPLGNFVLLRYQSPCSLGTPIKGFYQLSMVSENEGAFLFKLSLMEGYKSPLTMEFCTLNMPFPRRKIVGIEGTPSIGSVSFTDHFVEWKIIPSGRGVSGNKSIEASFPGTVRFAPWKSQRVVSSGFLQGIVGDEESDTEMESGNNNMVNVDEYLIEKMNKNLLAVDLEEPFCWHAYNYAKVSFKMVGASLSGMTIDPKSVNIFPSVKVPVEYSTQVHSGDYILWNTLGKCPVAATPKEIGKN >cds-PLY95717.1 pep primary_assembly:Lsat_Salinas_v7:2:116484978:116485793:1 gene:gene-LSAT_2X54001 transcript:rna-gnl|WGS:NBSK|LSAT_2X54001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERGWKPNVEICPPCPRCGSSSTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNIPVGGGCRKTRRAKSIRISDMVNSQSFHSHGGDGTTEYGGRGGNIDNHCNGIGGGSTMVQPTNIDLQQVYANFLNQRPQTVHHEQTHDEVLHSEVDPMLSFGFPNIPNMEMEFGGTNYGSNLESFLRDGNGMQFCGYNSVFSNNEEDQEKNYFGASGISSCGLPPLPGEEMGWSESNIGFSEHVLSSTIEPEGHVSGECPVKMFDIPLNSDTIFRP >cds-PLY93378.1 pep primary_assembly:Lsat_Salinas_v7:9:57925287:57925739:1 gene:gene-LSAT_9X51000 transcript:rna-gnl|WGS:NBSK|LSAT_9X51000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPVTVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTRRQIG >cds-PLY63303.1 pep primary_assembly:Lsat_Salinas_v7:3:90461013:90461417:1 gene:gene-LSAT_3X69740 transcript:rna-gnl|WGS:NBSK|LSAT_3X69740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGDVQMFMGVITCALAFRLLRINGYEVSSDPLAKVTKEGAHRTSCKDHFKYVYVALQVFKASQIIYQKELGFREENLMLADFHERKISIASSNLFIERF >cds-PLY74335.1 pep primary_assembly:Lsat_Salinas_v7:6:764450:768947:1 gene:gene-LSAT_6X1001 transcript:rna-gnl|WGS:NBSK|LSAT_6X1001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSDAETGMVDCGVGTIVWVRRRNGSWWPGKILGPDELSASPLMSPRSGTPVKLLGREDASVDWYNLEKSKRVKPFRCGEFDDCIERAEASQGMPPKKREKYARREDAILHALELEKQVLEKKYGKPGVQSNNTKLLGKDTTITPELSRDSGKHVDLSLDDKRKGLSFQSRSHLNVDDVPVRPILFKHKLSSLAASNGSHRHASDVLTPENTVIVGSKKLLEKKRKRSQEGNTEESVGKRRDRHRPLVQVLLSSAKLPHHDHTHPLQPKTDGGEEQRKVVHRAVGGNDPGDTREAIPDQVENSAPKFEKRDESFPVALSEENTTESNEDTETDSSGTESLMSDTYDAMAALSDEAEDVEFIPKAFGRQGVHEESMSDDESGGVPDDSVATVSKWQLKGKRNSRSLRKRKVATNSSHWMTGFNGIDTSLSLRSKASGGLLDMMSWDDDPDPYPCCLKGYSYSYSYSGEYQIYDDDDDDNDDDDDDVRRHGTRMLIDVEVGVQSSYQREHVPMISLMSKLNDKAIVGHPIPVEALEDGSSDDMFQMESLLLLGGGDTSAPLQPWRTARRTAKCRGVALARPPQFLSTLEEEEEEEEEEEEAVASFQFQEYYDEDGKASVTRSNSKGRKWAAPKKPQRRTTSSCSSQKIRTLSSIGGTQQQQQQHNMDLKAEGEQGIGMMMMMMMMMKPESLPTAVACIPVKLVFSRLHEELVAPRHQ >cds-PLY71816.1 pep primary_assembly:Lsat_Salinas_v7:3:58256239:58260986:-1 gene:gene-LSAT_3X45540 transcript:rna-gnl|WGS:NBSK|LSAT_3X45540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMFRSKIKWVALGGLVLSFLSLLVHMFLANTSVELVQYSVMTRFIEDLNIDVVGKQSVRSKKLWKKVKSLEVLQPYANPRSKYPVPVEQSNGFIYAKIFGEFEKIRSSICDLVAISRLLNATLVIPEIQESTSSKGISSEFKSFSYLYNEEQFITSLNNDVIIVKNLPTKLKEARKRKEFPTFKPKKSASPDYYIKELLPELKKSKVIGLVVMDGGCLQPILSSKFVEYQRLRCRVAFHALHFRPEILSLAHLIVQRLRASGQPYLAYHPGLVRDSLAYQGCAELFQDIHTELIQYRRAQMIKQKIFRDDVSVDSFIRKVNGSCPLMPEEVGLLLRAMGYPPTTRIYLAGSETFGGQRVMIPLRAMYTNLVDRSNLCHKHELNTLLGKETPIPSNSLNSIPKKTIKDSTQEWNKAGPRPRPLPPPPDRPIYQHEKEGWYGWIAEKDSEPDPSPIDLRDTAHRLLWDALDYVVSVESDAFFPGFHNDGSGSPDFSSLVMGHRLYEMASARTYRPDRKFLANLFNTTQDHLYFPKRSWTLAARKHLNDSLAEEGLERQLLHSKPMSFLSHPIPECSCTISKKPGKNTCPKWIEDSFTKSRLQESENEQPDDDEVDEEEMNSENESKVNDSPSLEEDVEMDPDD >cds-PLY84700.1 pep primary_assembly:Lsat_Salinas_v7:2:151304054:151307773:1 gene:gene-LSAT_2X78381 transcript:rna-gnl|WGS:NBSK|LSAT_2X78381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSTLTQTQRYAAGALFGLALNQTQIHQTFPLGSSSDELTEERGSNASSTDSVSEDPQLWVNESCGLLRPIFRFLDIDKSAWYGLEETAGSSPSRHVGAFLRLLGEEGSDVSSEVSDQELALSNAVDAMVASIEITPEDYKWRKEKQRAYANDWQEKFASSDSKSELEDKMKTEVEKDSNSHLKSELENKMKTEEEKDCNSPKIEQETKEVAIESTSVTVEQPIEEVKMLGYGRKVTVLYELLSACLADLPEDNNSKSKRQKKGYDARHRAALRLLTTWFDLKWIKVEAIEIIVALSAMAILKQEEAKEEEQAPKSMWAKWRRGGIIGAAALTGGTLMAITGGLAAPAIAAGFSAIAPTLGAIIPVIGAGGFAAVATAAGSVAGSVAVAASFGAAGAGLTGTKMARRTGSIDEFEFKTIGDNHNQGRLAVEILVSGFVFEEQDFIRPWEDQLDNMERYVLQWESKHLIAVSTAIQDWLSSSLAMELMRQGAMLTVLSSLLAALAWPATLLSLTSFIDSKWTIAVNRSDKAGKLLAEVLIKGLQGNRPVTLIGFSLGARAIFKCLQHLAETGHDGLVERVVLLGAPVSIQGENWGAVRKVVSGRLINAYSTNDWMLGVAFRASLLTQGLAGIQAVDIPGIENVDVTELIDGHSSYLWATQEILDRLELETYYPVFKINRNIT >cds-PLY62537.1 pep primary_assembly:Lsat_Salinas_v7:MU046091.1:121802:124441:-1 gene:gene-LSAT_0X24441 transcript:rna-gnl|WGS:NBSK|LSAT_0X24441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHQLLDPAPHRVLHQLATKYGPIMHLKLGFVSTIVVSSAEAARQFMKTHDNIFSNRPKLVAPKILGYNYTDIAFAQYGSYWRQLKKICLIELSTAKSMDSSRFIREEEVKSLALSISRTSEEPINLSENLFALNHNIITRTTFGDKFDDELRFRLAIREGTSLAAGFQIGDFFPSLGFVAKFTRMNERMEKCHAELSSIMDEKIQHHIEQRKIDKPEHEQLVDVLLRLKEEGGLDEPLTTDNIKSVLLDVFTGASENSSNIVEWAMTEMIRNPNVMKKAQAEVRQVINEKVKPTIQENDLPKLSYMKMVVKETLRFHTPVPLLLPRESMESCTIDGYEIPSKTRVLINYWAIARDPISWKDPNVFNPDRFKDETKDYRGHDFEYIPFGAGRRVCPGISLGMANTELSLASLLYHFDWKLPHGEKPEDVDMKETCGMTLYKTCSLRLVPTLRFPISV >cds-PLY77570.1 pep primary_assembly:Lsat_Salinas_v7:2:164435669:164435893:-1 gene:gene-LSAT_2X87241 transcript:rna-gnl|WGS:NBSK|LSAT_2X87241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCYRVECNTCGKYGWGGCGEHLKILYGSIEEGKRCMCRSWPGVVVASSEKASTSTTTTTTTTAAATTSTTGNTW >cds-PLY95134.1 pep primary_assembly:Lsat_Salinas_v7:1:93692655:93693975:-1 gene:gene-LSAT_1X77321 transcript:rna-gnl|WGS:NBSK|LSAT_1X77321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEKQIRNTHLGISPEHKQAILRMGFGSILQVNITSYSGQLSYYLLDVYDADSKRLVLQNYVIEIIEQTVHDMMGLPIGGEDINELPLCDKGNQILEEWRGQYRCDEFNGEENLRRIQATTKDSLMFRLNFLTLFVNNFIESMLMGTNQIKVLVYVYNMKYSIKLDKRLPFIGHINGANLLEIQRLEISLGGFEMQFRDEHDDVDMGDETGGEEQQMLSFKRDFGDEEAYAAVIEHSYMVILTEKSTMEVALKDGLEKFPHSVVLNEWIKKMNELFKEVHEGASNKKVHEPECFNELNKNDIGDGGEGNSSPVGGLILTEVNI >cds-PLY88737.1 pep primary_assembly:Lsat_Salinas_v7:4:169364024:169364464:1 gene:gene-LSAT_4X100221 transcript:rna-gnl|WGS:NBSK|LSAT_4X100221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKIDDVGRVVLEKKKLSEKMKDMKEVKTGQKVNITSRLGKGNVNIVTKEVSEINPYMNEKEKIKKEKRDKELDELNALRVKLDAEDVELKNGEKILASKKSLFPEWTLDWMQKEAIDDLNLFWLEPKTSFDTNNDQMFSKPPYKS >cds-PLY90230.1 pep primary_assembly:Lsat_Salinas_v7:8:14135761:14136977:1 gene:gene-LSAT_8X14021 transcript:rna-gnl|WGS:NBSK|LSAT_8X14021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWLGRLGFGTRTPADASMDQSSIAQGPDDDIPAPGQQFAQFGAGCFWGVELAFQRVPGVSKTEVGYTQGFLHNPTYNDICSGTTNHSEVVRVQYDPKACSFDSLLDCFWERHDPTTLNRQGNDVGTQYRSGIYFYTPEQEKAAIEAKERHQKKLNRTVVTEILPAKKFYRAEEYHQQYLAKGGRFGFRQSTEKGCNDPIRCYG >cds-PLY65292.1 pep primary_assembly:Lsat_Salinas_v7:8:104540336:104542023:-1 gene:gene-LSAT_8X70721 transcript:rna-gnl|WGS:NBSK|LSAT_8X70721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDISNSNSHILWFFKQKGFHEKGINEIMRKCKRLESVQKQNLSENWDYLKSIGIQERKLPSIITKCPKIMTLDLNQKLIPMIQCLTTLSTKPDEVPSAITKFPHILTHSIEEKLCPLLGFFESLGVSGTQLGKLILHNPRIISYSIHSKLSGVVDFLVNIGLTKDGMIGKILVKYPSIMGYNVEKRLRPTTEFLLSLGLTKSDLQKVVINFPEVICRDVDKILKPNLDYLKTRGFGSGQIASLVGRYPPVLIKSVKNSLEPRIRFLVEVMDRGIEEAADYPEFFHHGFKKRLERREKLLKQKNVSCSLSEMLDCNHNKFLSRFDLVAKIV >cds-PLY78936.1 pep primary_assembly:Lsat_Salinas_v7:8:3328737:3329838:-1 gene:gene-LSAT_8X2080 transcript:rna-gnl|WGS:NBSK|LSAT_8X2080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRKLSLSKLLRCRNHTLHIITSSISSSPSLSSPSYSIEPRRSSNVFDRYLATHDFHRHGDGGRFLRPYKFSLASSSYRSFSTGREKATDGPASEELSGPGFFDYNYDGGGDWGIPGEVSDAAGSDEEAILPVRCLVWFLDKVHDLTGMPWWMVIIISTLALRAAILPVLLVQLQKLKINAELAPKCWYPLPALIKNVALKIGIVHIYKN >cds-PLY93243.1 pep primary_assembly:Lsat_Salinas_v7:6:158976047:158977054:-1 gene:gene-LSAT_6X97321 transcript:rna-gnl|WGS:NBSK|LSAT_6X97321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRDQAEMQSVGFFGLFKESVKTIFSWKRIFAQITVTFILPLSFIFLAHIIIADVLNLRIERYENRDNQYYYHRRVSSEWIGYWVFKFVYLTFLFLFSLLSTAAIVYTIATVYTGGDVTFKKVMKIVPRVWKRLALTFLWTYFGFFIYNVVSGVVLLIWATTISETTFGSILFWVLFSVYAIGFIYITAIWQLASVVTVLESSYGLKAMMKGNSLIKGKRWLSWFVFFVLYCIFAGILILFYVFVWNFTFLTLIVGVVCLFLLMNMFLLGYVAQTMLYLICKSYHREPIDKVGLSTQLGGYLSQVEPVFKANKDVELGQPPRQPQV >cds-PLY83790.1 pep primary_assembly:Lsat_Salinas_v7:4:40138019:40139572:-1 gene:gene-LSAT_4X26160 transcript:rna-gnl|WGS:NBSK|LSAT_4X26160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECVFGMVGKGFALVVADTSAVNSILVHKSNEDKIMVLDSHKLMGASGEAGDRAQFTEYVQKNVSLYQFRNGIPLTTKAAANFMRGELATALRKNPYMVNIVLAGYDKETGPSLYFIDYIATLHKVDKAAFGYGSYFSLAMMDRHYHPDMTVDEAIALADQCIEEIRSRLVVAPQNFLIKIVDENGAREHSWRRTTKD >cds-PLY63505.1 pep primary_assembly:Lsat_Salinas_v7:3:225122913:225128700:1 gene:gene-LSAT_3X129500 transcript:rna-gnl|WGS:NBSK|LSAT_3X129500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSLAKRQRGILQCSRVFLDEFKRRATSLSTSTNSSLFDHSLTGDPDSVVLVEGNASSRTVVLNRPSFLNALNSAVAYKLHKLYKNWEDTPDIGFVVMKGSGNRAFSAGGDIVAIRDFVQKGNMEECKEFFRNLYNFIYVLGTYLKPNVAILDGYTMGGAGGISIPGTFRVATDKTVFAIPETLIGYHPDAGASFYISRLPGYLGEYLALTGARLSGVEMVACGLATHYSQSTNVPLIEEHLRNLITDDPSIIETSLSNFSDLHYPNNSSVIRRIEMLDKCFKHDTVEEIMDALENEAAKTNDEWCLSTLKNLKYASPLSLKVTLRSIREGRFQSLDQCLIREYRMTLQGISGQISTDFCEGVRARMVDKDHAPKWDPPSLEHVSKDMVDQYFSPISETEPELDLPTKQREAFT >cds-PLY92907.1 pep primary_assembly:Lsat_Salinas_v7:3:181534000:181535287:-1 gene:gene-LSAT_3X108821 transcript:rna-gnl|WGS:NBSK|LSAT_3X108821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDVLLTEVEVVELKSVFDLHSRMFLNLLMLTNLNRSLITSLKLLWRVAYMIRIGILRNMRFCRFLTEKSISILPETSIAESLLPSSSFTNFKVIVHLVSDSDVAAGHVDNIFQARIMPFTDDRTIVTSAADGQVFYQGRWDYF >cds-PLY91216.1 pep primary_assembly:Lsat_Salinas_v7:3:75915467:75916833:1 gene:gene-LSAT_3X59080 transcript:rna-gnl|WGS:NBSK|LSAT_3X59080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L15, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25920) UniProtKB/Swiss-Prot;Acc:P25873] MASIFSLASPSSLLTNHSSNFKGNVRNLKSSTCQFPSKTNYNNHNTVQQQRKSLIVASSSPIPSAVVSSNVRYRLDNLGPQPGSRKKAKRKGRGHAAGQGGSCGFGMRGQKSRSGPGVRRGFEGGQMPLYRRLPKLRGIAGGMHAGLSKFVPVNLKDIETAGFEEGEEVSLESLKEKGLINPSGRERRLPLKILGDGELSVKLNFKARAFSAQAKEKLEAAGCSLTVLPGRKKWVKPSVAKNIARADEYFAKKRAAAAAAAGGESPETPSSTA >cds-PLY95939.1 pep primary_assembly:Lsat_Salinas_v7:9:42826777:42831121:-1 gene:gene-LSAT_9X39040 transcript:rna-gnl|WGS:NBSK|LSAT_9X39040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIVVKKGNVDSSRKTQSSSKREKENDVSQTRSKTSKKKTVIEQPKVKLRVKVKTVSKKRELSDEDDSDFQSRPRSSKKTKRETKVLKKDKKAAVIKEFLSLKNRCSLGSLLGVIQVLSREHKDCIRAMVFGSLLWMKMIDVPLKIVYYVLDHFSFESLKVEFDNCEVSVDSKFVQKMLGLPSDGSLLSNMDYILENNEESCMFEWKKQYEIFYKLRLKQLKNELVQTSAADDNFRINFLVLFINTLCESTSIGKCNLNPLYLIKRDTDLSSIDWCDYIVDCLVRTKKVYNPEKESSFFYEPAAYLMLLYVDTFKFDHLKVTRKRPTIFYWMSENIRFLEDILQESGGFGCGHVNEAYVEEEFQESEYNEEESGGDEVESDGEEDLCDEDGEDFDVNKVSDVEKLEDLKKDRVVKIDEGVLKFPQSQNLKNWKLLFPVEYLSTESFDFHYVSQKYKEPILTRGFVQVNDKDYGNDFLNDDENVEDYDQGKCSGGQGDGTGPHEGNISKNHGEGKDDEQGNGSGCNKEEAMNLNSVVENITKSVGLIDSQEGVSFSQFICDPVVESFLKTLDQGSTDGCLNQKLVEDDVNLNLTDIDDGTVNLGEDDHKNKDGEDVEDCSNKNKDSNETRLLKNDIVPSFSLGFSQDYEGSKKSSQSQISSERMTKKKIKDIVILGKPSAGPECVIPNVDVVDASPVSFAPPLGQLLSQCSGDRDLKVLKDVDMVFFPVLRHKHIYLIVMNLKKRAFEVIDNGADDVDFNDKYGAVLNLLQKKSFLKYLKEIDHIKANEMADKNLTPVRLTMPWRTVYNKVDCGDFAMRHIESYFGEKGSKWKCSLPKKGGSQEKILERLRMKYTTTILTSEINTKLDDVLKAAYEYQKVDQKIRDIVKNVRFYDDGKHGDGKQVNMYFGGFYYY >cds-PLY75246.1 pep primary_assembly:Lsat_Salinas_v7:7:66246349:66248965:-1 gene:gene-LSAT_7X47780 transcript:rna-gnl|WGS:NBSK|LSAT_7X47780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFFDKFKIWGLLICLISQFAHGFYLPGSYPHKYVIGDPLSVKVNSLTSIDTEIPYSYYSLPFCKPPEGVKDSAENLGELLMGDRIENSPYKFKMYTNDTQIFLCQTKPLSSEEYKLLTNRIDEIYQVNLILDNLPAIRYTKRDTYYLRWTGYPIGIKVQDAYYVFNHLKFTVLVHKYEETNVASVMGTGDAAEAIPVGKPESDIPGYMVVGFEVTPCSVKHNPESLKNLKTYDNYPSTISCEENTVNMAIKENEPIAFTYDVTFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLTHYEELDKEAQAQMNEELSGWKLVVADVFRAPDNPALLCVMVGDGVQILGMAVVTIMFAALGFMSPASRGTLVTGMLIFYMILGILAGYVAIRMWRTIFCGDHKGWVSVSWKVACFFPGIAFSILFVLNFLLWGSHSTGAIPFSLFVILILLWFCISVPLTFVGGYFGAKANHIEYPVRTNQIPREIPSQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFVVLILLVVVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVALYIFLYSINYLIFDLKSLSGPVSATLYLGYSLLMVLAVMLATGTVGFLSSFWFVHYLFSSVKLD >cds-PLY70567.1 pep primary_assembly:Lsat_Salinas_v7:1:90755807:90756354:-1 gene:gene-LSAT_1X77401 transcript:rna-gnl|WGS:NBSK|LSAT_1X77401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIAKIRLSDDEHVTFEAVTIAVKKALRLQRVIQAKDVHWPVENVGPMFFTPPLIIALCISGAINTIWNEEHHKEMIRYF >cds-PLY99396.1 pep primary_assembly:Lsat_Salinas_v7:4:102498824:102507733:1 gene:gene-LSAT_4X66721 transcript:rna-gnl|WGS:NBSK|LSAT_4X66721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAALLEGESSSRWGVAGGRRAYKSRSDAIAYGSPYQKAAALVDLAEDGSGLPEEILESPNIESSAKYYFMYIRFDILWTLNYFALVALNFFEKPLWCTSVSEVSCSDREYYYLGELPYLNNAESLAYEGVTLIILVVHTLFPILYEGVQLYWKSHVNKLKIISLLILVADLIVDILYLSPVAIYSLPLRIAPYIRVVIFILNIRDLRDSLVILYGMLVTYLNILALSLLFLLFSSWIAYVIFEDTQQGTTIFVSYSATLYQMFVLFTTSNNPDVWIPAYKSSRSSSLFFILYVLMGVYFVTNLILAVVYDSFKCELVKQVTEKDRMRTRILKKAFDLIDEKAVGTLDKEQCIDLFEELNKYRTLPKISKEDFELIFDALDDSRDFKINPQEFNDLCNAIALKFQQEDTEPWLKKFPFYNSSLSERLKDFVKSPNFGYLVAFILLVNLVAVITETTLDIQNNSGQEFWQKVEFVFGWLYVVEMALKVYTYGFENYWKDSQNRFDFIVTWVIVIGETATFVSPKEFTFISNGEWIRYLLIARMLRLIRLLMHVKRYRAFIATFLTLIPSLMPYLGTVFCVMCIYCTVGIQIFGGLVNAGNPDLPSTDLADNDYLLFNFNDYPNGMVSLFNLLVLGNWQAWMQSYVILTGTAWTYVYFISYYLITILLLLNLIVAFVLEAFFAELELECPEDEEEEAGKGVIEPSQSHRQALELDDTFEHG >cds-PLY71523.1 pep primary_assembly:Lsat_Salinas_v7:7:28423744:28427636:1 gene:gene-LSAT_7X20960 transcript:rna-gnl|WGS:NBSK|LSAT_7X20960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIQKHSDDSLSGDEDDVELQRTNQNISGESEEDDVEQEGEAESDDDGSPSSSSSDKDEFVYVKLADVRKEVQCPICLGIIRKTRKVMECLHRFCRECIDKSMRLGNNECPACRAHCASRRSLRDDPNYDALIALLYPDIDKYEEEELAFHEEEKARNKQIQASIAQTSRRQLEALGKKRATAKATATAFMRRSQSNPRNLRGRKRQKSTEPQVSENDLDADNDNGDKYSSSTDEPEPSTEVKLKRYKRWSGGQSSGGNGICDAISNERDGIRKNGPEILAWGRGGMRSHTRHGGQSSGGGKIGRNGHISKLIDHLQKLPRNDDKLEIHLKLISLDEQNMPNLKTIFVCCKSTMSTTNISQFVAHENNMQANEIELLLVKGDDSEHNLSPLEEHQILLDLVKTSSQNNLTIGYRKKLVVG >cds-PLY76800.1 pep primary_assembly:Lsat_Salinas_v7:MU042712.1:311093:316150:1 gene:gene-LSAT_0X20681 transcript:rna-gnl|WGS:NBSK|LSAT_0X20681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVSHRSYVLYSLIMVVVLTKTGKSYLTENFYAKTCPMVETLVKKEVDKKVNQEFAVIADTLRLFFHDCFVEGCDASIMIESKKGDVEKNASDNLSLDDDGIDLVMKAKKAVESVCPGVVSCADILVIATRDCVARAGGPWYNVDLGRRDGLISKASRVTGNIPKPTFNLNQIKKIFAKKNLSECDMIALSGAHTVGVSRCKSFANRLHSRVDPLLNPTYAKQLMATCPKNANSTITVDMDPNTPNIFDNVYYKNLMVGKGLFTSDVVLYTDLSSRKVVKKFANNRDDFNAAFITAMRKLGRVGVKIGNQGEIRRDCTAFN >cds-PLY97591.1 pep primary_assembly:Lsat_Salinas_v7:5:237943802:237948640:1 gene:gene-LSAT_5X112800 transcript:rna-gnl|WGS:NBSK|LSAT_5X112800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 25 [Source:Projected from Arabidopsis thaliana (AT3G19210) UniProtKB/Swiss-Prot;Acc:Q0PCS3] MEEDDDEVVSVSDTSDSSQDFICDESEDDSDDLCRSGSDENCEGNTAAQPAVHNQKSQNVDALLRGNLVVKRQSLLPRVLSVTEREAVLKKPFKPPSADGYSNQNEQLNRRLWARKRFVPWGSNRPALLPITNRLNPLVSVEEEVPEEITKLPPDIEPLILWQSEKSEDGDNNSISIEVDHMLVKFLRPHQREGVQFMFECVSGLCTPDINGCILADDMGLGKTLQSITLLYTLLRQGFDGKPMVRKGIIVTPTSLVSNWEAEIKKWVGDRIKLVALCESSRDDVISSIDNFRSPRSQLQVLIVSYETFRMHSSKFNDSGSCDLLICDEAHRLKNDQTLTNRALAALSCKRRILLSGTPMQNHLEEFFAMVNFTNPGILGDAAFFRRYYETPIICGREPIASEEEKHLANERSAELSAKVNQFILRRTNALLSNHLPPKIVEVVCCKLTPLQAELYNHFIRSKNVKQAIVEDVKQTKILAYITALKKLCNHPKLIYDTMKSGKPGTSGFENCMRFFPPEMFSGRSGSWTGGDGSWVELSGKMQVLARLLAHLRKRTDDRIVLVSNYTQTLDLIVQLCRERRYPFLRLDGSTSISKRQKLVNCFNDPSKDEFAFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQSDSKIQVFRSDIHEKMICTRCEKSGEQETDSIPDVCMKEGVGSPHEDCQCDEEDIGGFAGISGCLHKLKRSEKQVGTPLEEDLASWGHHSSPNTVPDTIFQAAAGDEVTFVFTNQISGKLVPVESVVKSKPDVTTDTGKGKSYTSHVISSKPSSFISRSKLPTFVKPSQDKHFIGRSTEGTSKSNVSLKPKPSLPNYLPQKRLSSAPVDDDDDDDFA >cds-PLY74297.1 pep primary_assembly:Lsat_Salinas_v7:3:127350671:127353397:1 gene:gene-LSAT_3X87360 transcript:rna-gnl|WGS:NBSK|LSAT_3X87360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSLLRIATNGILGNWHLAYMEFMRNDKNEKRQIHASHFWDYEMMVKREKAELKSGDFGTLEWNDDVIENDEESDTDENEDMKLNRQFIYDKNIHFNQRVDKFDSNIKDAMNNDKELMTFEKVRLVFLPVPQKNHFYMICINLEEPTVDVIDNRNSVAKFSRAYRDAPNKLKILFSRYLMRVSHKSPLTLEGVEPERVNMNWCTRDNHVDCGGFCMRHLET >cds-PLY79684.1 pep primary_assembly:Lsat_Salinas_v7:5:252158314:252160560:-1 gene:gene-LSAT_5X127380 transcript:rna-gnl|WGS:NBSK|LSAT_5X127380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSSRKFFQARPQSNLLRRSIVLTLCFVIGIAGLLSFAVVVSRGRIGGGDKCNYSKPLSVSVVWDKSGGSTGGLVSDGDRKRHKVMGFVGIQTGFGSVGRRQSLRKTWMPSDHQGLQRLEEATGLAFRFIIGKTDDASKMAELRKEAAEYDDFLMLDIAEEYSKLPYKTLAFFKAAFALYDSEFYVKADDDIYLRPDRLSLLLAKERPHSQTYLGCMKKGPVFTDPKLKWYEPLGYMLGSEYFLHAYGPIYALSADVVASLVALRNNSFRMFSNEDVTIGAWMLAMNVNHEDNRQLCQTECTSTSIAVWDLPKCSGLCNPEKKMLELHQKESCANSPTLPAEEED >cds-PLY85992.1 pep primary_assembly:Lsat_Salinas_v7:3:132171791:132174628:1 gene:gene-LSAT_3X90381 transcript:rna-gnl|WGS:NBSK|LSAT_3X90381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKLEKAPKEVLVDIVKLAQKREMKGSNGGWKEFLAVYDKKIGVSLSDPARRSTEALIAFLNTFSDIDDLKFFDKVAEKHYSLEHIEKKTDKLSPEQELVYKTIGHPQYAQSYSFPSYEEGWIITKERKKAKANRSNAMIAIDCEMVLCEDGSDALVRVCVVDRDLKVKLDELVKPEKAVADYRTNITGVSAKDLEQVTCSLQDVQDSMKKLLSRGTILIGHSLNNDLQALKIDHTRVIDTSLVFKYWSGSNFRRPSLNDLCKSVLGYELRKEGEPHDCLEDARAAMKLVIAKIETGVDKNIPLNQTNVVEGSDAMKLLCHRIPVAIPGEKLLEIIPGDFTIEVKENKKGKGDKYSALAVFKNKQEADKVYEGLKGDEIKDSSGRPQKLILFKLESGLGGSLYVRKMVQPGGSNVQVAASNKRGAEEEVPAQHHKKLKTEDETMELKPQTVVCEHCDGYLKEIESLKKKLSERDQEISTLHKIIVSQARKQSGI >cds-PLY92343.1 pep primary_assembly:Lsat_Salinas_v7:9:179737245:179739710:1 gene:gene-LSAT_9X110961 transcript:rna-gnl|WGS:NBSK|LSAT_9X110961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH95 [Source:Projected from Arabidopsis thaliana (AT1G49770) UniProtKB/Swiss-Prot;Acc:Q9FXA3] MVQGESQEGFFWNTHPWVMSEKSGSGGSTESGSKEKSASAKNQLVDSSIDNNETQIKQHEMGSTGGSNGGAQETIATVIGGGSLRNGDAFKTENVDDKKVNNQDNKGNGGVDPTEHELHIWTERERRKKMRNMFHQLHALLPQLSPKADKSTIVDEAVNHIKTLQQTLQKLQRKKRERFHCLSTNTGIASIIQPQGLILNTRESFLADQASPNNHFVMVSPAPSSTSFSFPIRSPTVFQTWTSSNVTLNVCGLDAHISICSPRKPGLVTTICFVLEKHKLDIVSAHISSDQMKIFFMIHAHANTHNQFGESFPYDEVFKQAAAEIMLWVTSKSS >cds-PLY70339.1 pep primary_assembly:Lsat_Salinas_v7:4:101176707:101177630:1 gene:gene-LSAT_4X64461 transcript:rna-gnl|WGS:NBSK|LSAT_4X64461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMKNNASKPVTEAKIPGVDQVHYRGVRKRPWGRYAAEIRDPGTKTRVWLGTFDTAEEAAKAYDTAAVNFRGTKAKINFPPSIDDVDGQSPSQSSIVECSTSSLDGRPPFHRPLELDLTRRLDVSVAGYQLFHNGGQKTVAVVPHPHGHIHGQPIMVFMDRSYPYLYHQYNPKIEQEHVNRFNGVLSESDSSSVADCSAPCDTSMSRRELNLDLNLAPPAVDV >cds-PLY97235.1 pep primary_assembly:Lsat_Salinas_v7:1:43986674:43990252:1 gene:gene-LSAT_1X37801 transcript:rna-gnl|WGS:NBSK|LSAT_1X37801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFKVSKKGTRFRLKPKPESPSSALDDNHKVDAPPQLPHIQPPSTRKRSVDDTEDDDDIAGILDAEVSFILNIFPDGYTIKNPSEGNIVHQNAIQNDPKLLFPYDRTSENLFAVRDYREQGVNGLSTVVTPCITKVSLKMSLNNVVKDMDQFSDSSWTYGDLLEAESRILKALKPILCLDPTPNLNRLCKEPTSLKLNLNIPDIRKKRDEVVTVSENQIQGKLDNVNVPEKRPKTSDSLSLIMPPVHGQKGNEDGKLSSMGNVNKRASSKSNHTSVYTNKKQRISSHMDDINAPESRWKNMPVKQEPVGGTQRHHHGQVVAISGVGFSFNVGKEIDKSVFDRFSKLQMLGARVGPNRKKKNMDGYKRSTSFSIPQLFDCLLNDDDNDNSLKDETCRMPLSMSLVGGSMNVCKTRVLKFVKAGYVSQECGLVPKLRTRLMLSQKTSCGTVAVHYGELDDSDDLAEESLLHTLPNTMLREGHHVEGDHVQGGQPNTSPGLLVKTEIHPILESEQQSSLYHQQHLQISSNPVPHLNSNIHHLGDYKGLPPQLQILHQQQQAQNQKKMMMMMMGMGQLTQEQAAAIMANRMILETKTHVTGGQSGNINGFPVMGRHGIGMNSMQRNPYMNQLHLQSLALPPPSIGMPHHPHTSGRMDL >cds-PLY62249.1 pep primary_assembly:Lsat_Salinas_v7:5:162800004:162802121:1 gene:gene-LSAT_5X72601 transcript:rna-gnl|WGS:NBSK|LSAT_5X72601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLGVLKFEVGQLAETKTFEKGYRSAWFKCKIMDISLKKNKILPEYYDFPDEEIKWENIYEIPPYRRKSKNIKKQLMARPQYPQIYHKNEMPAVNSISEARVIIDGVWKSGDLVDWFEDDCYWSASIIKILSDERVKIELPKPQAGQGKVYVAFCKDLRPSLDWSPSKGWIVPTMRGRTSCNAQLIFPSPKGMDTEREEIATPLNASSTSRTSVISLRDPMEELPNSQEVKIDDDDDVEKVSSSDSISTMHVEESEKEDDTWKDVDYNIIDLNIMHEKTLEASILDLEELANRIKWLRDILDNNKSGSGSWMFADNIHQPK >cds-PLY88543.1 pep primary_assembly:Lsat_Salinas_v7:7:8067731:8069819:1 gene:gene-LSAT_7X7580 transcript:rna-gnl|WGS:NBSK|LSAT_7X7580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRSRVIARRVRSIRGFSHSKSDFPQLHSQVHNLQTLASYRHLENFRSKDLFPQSNAIRFFSSSSTDSVTDAEVSTGNNSDVIGAENENNDSFSFGEMWKDGINEANSVLEMDVSDSEIKESIEVVNEETHEVDMDELQRVLSLLKIRDGDSDVITVSLESKLDDMNLNLNKEFVVRVLETPHIHGENLIAFFKWATGVNNGEVFSVTTRSLDALVKAVCKELKKKVAYSLWDLIKDLGVKENNVLTTEMLNSLISVFSRLGKGMAGFEVFNKFEDFSCPTNANSYYFTIEALCRRSIFDRVGLVCERMLSEDKLPEAGKLGSIISYLCKAGMVKEAHSVYLSAKEKQKFPPQPSVNFLITSLCDRKKVDSDSVHLALKMLDDFNGEKQKHAIKQFSCVIQGLCRVNDFEGAKTLLSKMIDEGPPPGNAAFNTIINGLSKSGNMEEAIKTMRIMEGRGLKPDVFAYSVIMSGYAKGGEMEAAEKMLVEAKKSHCKLTPVTYHTMIRGYCKLEKFGKAVKLLNEMESYGVRANSDEYGKLIQSVCLKAANWRMGEKLMEEMERKGLHLNGITKSLVRAVKELEEEAKGTENVSVET >cds-PLY98944.1 pep primary_assembly:Lsat_Salinas_v7:7:48647141:48647302:1 gene:gene-LSAT_7X35661 transcript:rna-gnl|WGS:NBSK|LSAT_7X35661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCPGITFGTNSVESTLVNLLHHFEWQLPNGMEPQDIINMEESDGITTTLKAPL >cds-PLY67558.1 pep primary_assembly:Lsat_Salinas_v7:3:54044251:54044547:1 gene:gene-LSAT_3X42001 transcript:rna-gnl|WGS:NBSK|LSAT_3X42001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPLKTPIRCKKSAKIDGDSTTSPAVSSESMIKVEVVLENSVVGDVNKAINEGSEPDAGGDKVIEDLPLVSEDVGKGKQGEGDGIVYLENDESDESIV >cds-PLY84335.1 pep primary_assembly:Lsat_Salinas_v7:5:190410770:190411844:-1 gene:gene-LSAT_5X85080 transcript:rna-gnl|WGS:NBSK|LSAT_5X85080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVASLTPQITGTIYMGLNLNPNGSKLFQTTNSVPWIKKTVSNGSRIHCMKVWNPIDNKKFETLSYLPPLTDDSIAKEIDYMMKKGWIPCLEFDSVRWDMYFERTAEFQITMMGDIGQCGSCPCLVA >cds-PLY94228.1 pep primary_assembly:Lsat_Salinas_v7:8:230557115:230559931:-1 gene:gene-LSAT_8X139680 transcript:rna-gnl|WGS:NBSK|LSAT_8X139680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSTALRSASLASLPIHSFKPKLPSFASFSSLQPPQQHQHPLWPGLQSWRDSSLNHNRFWGPSGPEPETPIGPNYQNSQLDSATSLAEMGAMILSTSDPITKSRLSHLAYSKWRRDRLPIGASQPPDRPARPSKPQLVSPKEIPAPKNSGLPLNAYMLHNLAHVELNAIDLAWDTVVRFSPYSDLLGDKFFSDFAHVADDESRHFAWCSQRLAELGFSYGDMPAHNLLWRESEKSSDDVVARLAVIPLVQEARGLDAGPRLVQKLIGFGDVKTSKVVAKIAEEEVAHVAVGVYWFVAVCQQMGRAPCPTFRDLLKEYNVEVKGPFNYTAREEAGLPRDWYDPLVEIEEDKERLSKVHDRLAHIISMEKENSNLNKTN >cds-PLY84958.1 pep primary_assembly:Lsat_Salinas_v7:2:123722381:123727046:-1 gene:gene-LSAT_2X57220 transcript:rna-gnl|WGS:NBSK|LSAT_2X57220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTGRQMVTARDLIDEAKKRIVFLSMCIIGLSYLMSLREMLACAVIRLVINLANPRFISERIENVVQNSARKPEKVSAYSKCFNSPVAWSGKLNAIACASESCARIPSSNENPLFWIPIHIVIPERPTESTVFNVIAVVHRISTVIDSDMVMVLSFGEMMEYDAPSKLMESDSYFSKLVAEYWSICRT >cds-PLY89276.1 pep primary_assembly:Lsat_Salinas_v7:8:295954721:295958292:1 gene:gene-LSAT_8X163680 transcript:rna-gnl|WGS:NBSK|LSAT_8X163680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVANTGECCQEGATVRLWRDASGGEILKAEYKKGEAGEERAGCSGSNDRWRRSGIDLSILNTHKVAPQRIIVAYSAGACCFVIDLEFPMDRYYRLP >cds-PLY78712.1 pep primary_assembly:Lsat_Salinas_v7:9:49677182:49677667:1 gene:gene-LSAT_9X45601 transcript:rna-gnl|WGS:NBSK|LSAT_9X45601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQALHIGSYLAFGLVFCSYTINGGLPDISCNVFNSMLLLRLSLNKSSNLVLFDPQLLWGTKDEIQLIPPFKWFSFFLVPVHNSSPGSSQCLALSILDGCNINKFAHDQVTCAKYRKEPLGEVPGLGSPPFLSIIIYNIKRIESVLTMTAIYDAIRGNSARA >cds-PLY62473.1 pep primary_assembly:Lsat_Salinas_v7:1:82767609:82770923:-1 gene:gene-LSAT_1X69381 transcript:rna-gnl|WGS:NBSK|LSAT_1X69381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLEFFIFALISVSSFKSCKSVEDDNDDDVLGLIAFKADIIDSSSHLSSWNQEDNSPCSWKFITCNPATGRVTEVALDGLGLSGKIGRGLEKLQNLQVLSLAHNNLTGNLHPQLSLLNNLQTLNLSGNSFSGGFPPSLINSGRIKFLDLSDNSLSGPIPVDTFSTCSSLRVLSLSKNNLQGPIPDSISQCTILNHLNLSKNRFSGNPDFSTGLWLLTRIRTLDISHNALSGRIPNGVSGLHYLKELSLHNNHFIGSLPSDIGLCSHLKKLDLSNNLFTETIPDSFKGLSSLTYLNLANNMLTGDFPKWIGDLKGVDYLEVSGNGLTGILPASIGGLGSLTYLSLSGNSLTGNIPETLIYSSKLTVVKLRGNRFNGSIPEGLFELGLTQIDLSRNQLTGSIPPGSNQLFTALQSMDLSGNRLTGDIPAEMTLFSNLRYLNISWNNFETRMPLDVGNFPNLTVLDLRNGAFHGSIPGDICNSGSLEILQLDGNSLTGSIPDDIGRCSSLYLLTLSHNDLRGLIPRSMSLLKKLKILKLDSNELSGEIPPELGGLENLLVVNISYNRLQGRLPSRGIFPSLQESSLEGNLGICSPFVKGPCKMDVPKPLVLDPYAYGDQIGSHENGAGAKSSKHSNHRRFLSVSVIIAILAAVLISIGVLVITLLNISARKRMQFVDNALESCSSSSRSTRSVSFGKLVWFDSKIAPGWVSNPESLLTKGAEIGGGIIGTVYKASLGEEGRDLAIKNLIVSNMVKSNDDFDREVRVLSKARHPNLVSVKGYFWKPELQLLVTEYVCNGSLQSKLHDTSTSSQLSWSTRFKILLGTANGLAHLHHSFRPPIIHYNIKANNILLDENFNPKVSDFGLTRIMAKLDKQVMSKRFQSALGYVAPELACQSLRVNEKCDVYGFGVLILEVVTGRRPIEYGDDNVLILSEEVRVMLEEGNVLECVDMRMGEYLEEEVLPVLKLALVCTSQIPSSRPSMAEVVQILQVIRTPVRHRMEAY >cds-PLY67807.1 pep primary_assembly:Lsat_Salinas_v7:9:165885485:165886911:1 gene:gene-LSAT_9X102921 transcript:rna-gnl|WGS:NBSK|LSAT_9X102921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTAPQVILIFDKALATAITGGLQPNANSPLQISTESFDLSLARYGITGVRASGNITHFVDRNRVPQVSILVMQKYESPVLACAISEVLSSLAGEDKSNMPSLILPFILDSSKIKLERKNSPDESVYGIQIGPQTDMMQTLATRHEKAPSSMQIHHEQLSCVLQLVRVLKTPAFILIGQIGQHKSTTNDLEVICKIGETLASVSSLQFVKENITWNPNKVSKEHEKEPWRALYG >cds-PLY83280.1 pep primary_assembly:Lsat_Salinas_v7:8:191971839:191977775:-1 gene:gene-LSAT_8X123820 transcript:rna-gnl|WGS:NBSK|LSAT_8X123820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCEGTKARIRLLDAPVGTPDRIIRINPMIQTRLMISPGSTVDCNEKQMKFKSPMWRHFTYEDVNGTTMAVCKYRRKATTGMWVADECKNSFMEMKWKKVHRYIVFKIDEKSKLVTVDKVGGAGEGYSDLTASLPDDDCRYAVFDFDFVTVDNCRKSKIFFIAWAPSASKIRAKMLYATSKDGLRRVLDGIHYEVQATDPSEMGFDIIQDRAK >cds-PLY96121.1 pep primary_assembly:Lsat_Salinas_v7:3:100997196:100999901:1 gene:gene-LSAT_3X73561 transcript:rna-gnl|WGS:NBSK|LSAT_3X73561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVMIFVMFGSEDTFIAAMKEESVQLRSEELVAILMNRCSDCGNKMNSVRMRRKDEAESDMEFGHEDNITPQLCKTLPNLSRLDNHMADVDVCLKGGEAQCSRHQSSKPSKRNAF >cds-PLY80941.1 pep primary_assembly:Lsat_Salinas_v7:8:256003059:256011430:-1 gene:gene-LSAT_8X149841 transcript:rna-gnl|WGS:NBSK|LSAT_8X149841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) UniProtKB/TrEMBL;Acc:Q8GYJ7] MGWLVSLNSKPSVCCYAMGVLGVAGRRFNRFSLTKYANHRRHSCEYIQKRKLSSNFSKNDSILPVLIIGAGPVGLVLSVLLTKLGILSWCTCVKCAVLERSMTFSKHPQAHFINNRSMEIFRRLDGLAEEIQRCQPPVELWRKFIYCTSLTGSTIGSVDHMQTQDFDQSVSPVSVAHFSQYKLNALLLKQLETNGFSICTHGSLDDRPLREREILMGHNCVSIDATDDFINVTTSFLKEGKQTEKQIPCQFLIGADGAGSTVRNLVGIELRGEKDMQKLVSVHFVSQELGHYLMYEKPGMLFFIFNPGAIGVLVAHDLKQGEFVLQTPFYPPQQNFEDFTSETCKMLILKLVGREVEDINVVDIKPWVMHAEVADKYLACGNRIILAGDAAHRFPPAGGFGNNKSKHFRFHESHLQSRSLQLVVTVAHIIAVAHLHRTTKSLCTSSHPILESSLKHRFKNWQDQRMCKLTASTFAQAIGFWPNRRVQLWLEKIGVVEPFTGNLSTCWNNIKEEEALRRYIRITGNSVIFPDFHVSRNLNSGENQNQNRNWLAASPDGVIDKKVYGLPYRGVLEIKCPFYKGDMRKGYPWTQVPYNFIPQAQGLMGVLDCDWMDFYVWTPKGSSLIRIYRDLDYWEVLKMALSDFWWGHVQPAREIYNNCYVVRDPLIELKRLCPEPKHELCSYIVEERMNTGIQDAHNLAWKLSAVMKSIAPMSFLSTYEMERRPIALFNTALSIQNFEAAMAVPAALGLDPTIANSVHQAINNTSISTILPSTLQKTLLNGIFSIGRAQLSDLILNENNPLGSSRLSKVKRIFQEGKSLQLQFPAEDLGFRYCEGALVPEVESPGGRGPEPPGGRRREYIPSADSGSRLPHMNIRVLTQFKTKEATISTLDLISVDKLEFLLIIAPIDSSYRLAHVALTVAKEHKIVVKVCVMWPNGLVDGDARTKTSLAPWENYVDVVEVRSPLSSSSWWDICKMTHRGAILVRPDDHIAWRVKSAVVGDVRQEMKRVFKAIVGS >cds-PLY70727.1 pep primary_assembly:Lsat_Salinas_v7:8:167499323:167500556:-1 gene:gene-LSAT_0X13600 transcript:rna-gnl|WGS:NBSK|LSAT_0X13600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQPNKAPSSSPVCVIGSHFMVPQQLDLIVDRISSGILLITDINHKIIFKVKPCDSFIHERRVLLDVDDQPIVAMRDKSMTVHDGWYVFRGDSRSKSDMIFTTKKPRMIQLFKSDVNVFLANKTCSKNVCDFKVKGSWSKRNCTIYMGDTSTTIAQMSKLQSSENIVKFVNDKFKVSISPNVDVAFVITIIAIVEAMENSDTNNKGAVQVLGGVTKVVGPILLS >cds-PLY61688.1 pep primary_assembly:Lsat_Salinas_v7:5:214132369:214135777:1 gene:gene-LSAT_5X98220 transcript:rna-gnl|WGS:NBSK|LSAT_5X98220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVSFLLTTTTSTFHHSLSSRKCRLSQSQSEGGGIEAMLTWRRMMVTTTIHQQPGLKEMGMMMMEITTMPLQHNLVDEHLTLTVARGWLGRSHANIEKNDSGYYYTPAARLEGDGDDDDGDYDYAPAA >cds-PLY86712.1 pep primary_assembly:Lsat_Salinas_v7:4:8679631:8680253:-1 gene:gene-LSAT_4X5781 transcript:rna-gnl|WGS:NBSK|LSAT_4X5781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPGSLLWLVTEPRLQLYFLLGLVYSTITPILLPFIIVFFEFAYMFIHHQVRARAT >cds-PLY80854.1 pep primary_assembly:Lsat_Salinas_v7:4:325195324:325197280:-1 gene:gene-LSAT_4X161901 transcript:rna-gnl|WGS:NBSK|LSAT_4X161901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl 4-hydroxylase 13 [Source:Projected from Arabidopsis thaliana (AT2G23096) UniProtKB/Swiss-Prot;Acc:F4ILF8] MKTRGKIGGGGGLIGGCSSLGSLGLPAVFLLCIFFFFLGFFGSSLFSQQVETESSLHPKPRILSEKGYDPLPQGDSGDDFFTTIPFQILSWKPRALYFPDFATPKQCESIIKMAKVKLAPSTLALRKGETAENTKGIRTSSGMFISSSEDKTGILDLIEKKLEKATMIPRSHGESFNVLRYEIGQRYNSHYDAFNPTEYGPQKSQRIASFLLYLSDVEEGGETMFPFENGENADTNYDFRKCIGLKVKPRKGDGLLFYSLYPNGTIDPTSLHGSCAVVKGQKWVATKWLRNEEEYD >cds-PLY83921.1 pep primary_assembly:Lsat_Salinas_v7:8:255313323:255313553:1 gene:gene-LSAT_8X150060 transcript:rna-gnl|WGS:NBSK|LSAT_8X150060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEMGKVRELGFDDSGESFDMVIHSPIILEDVNLGDSIAVNGTSLTVTKFNSERLEFKVGLAPETFVNQIGKGCNC >cds-PLY92842.1 pep primary_assembly:Lsat_Salinas_v7:5:329318442:329318792:1 gene:gene-LSAT_5X181601 transcript:rna-gnl|WGS:NBSK|LSAT_5X181601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRATTQKFAVIASLVLFCMAFEPNEACRLLDGGFEETWMKAGNLFLSSLQKGSVPTPGNGCSSTGNGGGSCIGSKKVAGGHGGDGAPPPTPSPLIPSLTHAYPQHMVEFGVTGDGK >cds-PLY81356.1 pep primary_assembly:Lsat_Salinas_v7:4:36582435:36583759:1 gene:gene-LSAT_4X22701 transcript:rna-gnl|WGS:NBSK|LSAT_4X22701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIVPKESSMGTDEEILKNSKKLDSSSPLSLTTPCLTDELDWSLNLNDFELSLLHDFIRDGSSPPPDDETLAVISTSVELSTAPPQHHSKSPENSPNLPAMAATHPEVVSGGKDRRAATLDKDTFPPSLPGRRYRGVRPQKGGKFSAEIKLRNPGKKAKNMWLGTYNTVEEAAMAFDKAAVKYRGLGAVLNFPELIGTHDQSPENIPQRKARRRRRRSRRVCRWLRRRNPQPPERGDTVHAK >cds-PLY80796.1 pep primary_assembly:Lsat_Salinas_v7:1:70487894:70488907:-1 gene:gene-LSAT_1X58901 transcript:rna-gnl|WGS:NBSK|LSAT_1X58901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLLDLCLLVLSTITSVNAWSNAHATFYGGHDASGTMGGACGYGNLYSQGYGTTTAALSTSLFNNGLTCGACFEIKCFNDQKWCHPGSIIVTATNFCPPNNALPNNNGGWCNPPLHHFDLAQPIFNHIAREKAGIIPVQYRRVACRKRGGIRFTINGHSYFNLVLVTNVGGAGDVISVSVRGSKGGATWQKMSRNWGQNWQSNSYLNGQTLSFKVTTSDGKTVISNNVAPVNWSFGQTYTGRQF >cds-PLY64587.1 pep primary_assembly:Lsat_Salinas_v7:6:36983486:36985790:1 gene:gene-LSAT_6X29041 transcript:rna-gnl|WGS:NBSK|LSAT_6X29041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASHQEVRSKEQKAETAARAAADELRDVNRQRQIGGGGDVVVVERREQIQTDEGRPGIVSSIIQTVTGTLGQAKDAVTGKTHAATEKTAEVSGEAAERGKRIGEETKEKTGEYKDYTAQRAKEAADATSEKAKTGKDATMEKGRQIADKTGEYKDYAAQKAKEAADATAEKAKEGKDVTMEKAGEYKDYAAEKGRQVADKGGEYKDYAAQKTREAKDTTMDKAGEYKDYAAQKAKEAKDTTMEKTGEYKDYAAQKGREAANKGVEYKDYTAQKTKETADVTAEKAKEAKDTTMEKLGEYKDYTAQKTKEAADYTADKAIAAKDYTVDKAVAAKDYTVDKAVAAKDYTAEKAKAAKDVTVDKMGEYKDYAAQKAAEAKDYTVDTAAATKDYTVDRAAATKDYTLDKAAATRDYTAEKAKAAKDVTVNKVGEYKDYAAQKAAEAKDYTVDTAAATKDYTFDKAAATKDYTVDKAAATRDYTADKATEGKNVTVGKATELKDAAAEAARKAMDLLTGKKEETKEKFSETGGATKEMFDDQTEEDARKRMESMNLKEHGGDDTTVEVMEVEVEDISPLGTGLLAAMDVEDTPLGTRGGGTRASPVTDARR >cds-PLY75637.1 pep primary_assembly:Lsat_Salinas_v7:1:99682057:99683550:-1 gene:gene-LSAT_1X81120 transcript:rna-gnl|WGS:NBSK|LSAT_1X81120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTESNGRVVSCVGEDRISNLPEHLIDSILERIPVQDTVRTSIISKSWRYRWTKLKAVVFDKHFSNKFAKNGAFGRNGFVRIINQVLFLHKGPILKFHLNIPNMVLDSFLEVDQWMSFLSRQGVTELVLTNLNQRYELPSYLFCFLELRKLELQNCFYKPLEFEGFLNLEELFLKDIDFGASLSGAKVNLPQLKKLSLYWCINVYNFNIKATKLQYLEVLTCPDAMLIQLLDSPCLFEVVIILQNRIQDYEQAEKTNLATIFSNLPTVRTFGIDSYFVKFLAAEKIPKLLPHPICSLKCLWLLFFQLGDLDLLHAALCLLRNSPNLESLHMYMQDMQDPRVDVGPASNHLESPNCLDCTLDQLQTVEITYLEGSKPELLFIKLLLAHSPSLEKLTITASGDLDAKKILAISKDVMRFPRASPKAEVVYLNSEA >cds-PLY96017.1 pep primary_assembly:Lsat_Salinas_v7:1:12478902:12479277:-1 gene:gene-LSAT_1X11141 transcript:rna-gnl|WGS:NBSK|LSAT_1X11141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSTDGSSSFWTQANALFRKNLTFQTGMVNARECVGLNTQIWTKWLHVPFLVHLNGLHYYKYQLHSIEQ >cds-PLY64242.1 pep primary_assembly:Lsat_Salinas_v7:7:4278575:4279055:1 gene:gene-LSAT_7X3541 transcript:rna-gnl|WGS:NBSK|LSAT_7X3541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLESGYIIGEIEGDKRITMPSDDMALVELELQDEEGHLDDVEVFVDDEVDDGGVDAGEEAWSEGDDEGVVVTDNHVMDEGQVEAGIEATVEGVDEGQDEDDRVNNLPNLCIGKRKFLRGYEDKVEEDCV >cds-PLY81081.1 pep primary_assembly:Lsat_Salinas_v7:6:132117331:132207327:-1 gene:gene-LSAT_6X80160 transcript:rna-gnl|WGS:NBSK|LSAT_6X80160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAEGGNDPYLYSTNNFVGRQIWEFDSNHGNPEELAEVEQARTHYWNHRHQVKPNSDLLWRMQFLKEKQFKQTIPQVKIEDSEEISYEKATTALRRSVNFFAALQASDGHWPAENAGPMYFMQPLVMCLYITGHLDTVFPAEYRKEILRYLYCHQNEDGGWGFHIEGHSTMFGTTLSYICMRLLGEGVDGGLNGACTKARKWILDHGTVTTIPSWGKTWLSILGVCEWAGTNPMPPEFWLLPSLLPMYPAKMWCYCRLVYMPMSYLYGKRFVGPITPLILQLRDELYAQPYNEINWKSIRHLCAKEDLYYPHPLLQDLMWDSLYICTEPLLNRWPFNKLRQKALDTTMKHIHYEDENSRYITIGSVEKALCMLACWVEDPNGICFKKHLARIPDYIWVAEDGMKMQSFGSQEWDAGLAIQALLAADLTEEIGPTLMKGHEFIKASQVKDNPSGDFESMHRHISKGSWTFSDQDHGWQVSDCTADGLKCCLIFSTMPPEIVGEVMKPEQLYDAVNIILSLQSKNGGLAAWEPAGSSEWLEILNPTEFFADIVIEHEYVECTSAAIQALVLFKKLYPQHRRKEIESFLTSACGYLEKIQMQDGSWYGNWGVCFTYGTWFALGGLTAVNKTFENCHAIRKGVKFLLETQLEDGGWGESYKSCPEKKYVPLEGGRSNLVHTAWAMMGLIHSRQMERDVTPLHKAAKLLINSQLENGDFPQQEIAGVFMKNCMLHYALYRNIYPMWALADYRKLVLKGI >cds-PLY79229.1 pep primary_assembly:Lsat_Salinas_v7:5:300463495:300465009:-1 gene:gene-LSAT_5X162041 transcript:rna-gnl|WGS:NBSK|LSAT_5X162041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METKEMVVLVVPFVAQGHLNQLLHLSRLLSSYNLPIHIVGTTTHNRQAKLRIHGWDPTSATNIHFHEFETPNFESPPPDPNASDKFPSHLLPSFHLPSHLREPFAKLLADIAPTTRRVIIIHDYLMSTVVQDVVSYENAEAYVFHCASAFTTFSYLWEEKGKPCLEDDDESYKQLTKVPAFEGTIPAEFIEFIMSHQVCNTFNSGNLHDTCNVFDRKFIDFLAKEGLSGNTKQWAMGPFNPVAISDKENLGKRHMSLEWLDKQAQDSVIYVSFGTTTSLSDDEIRELANGLEKSKQKFIWVIRDADKGDIFNGEVRRVELPKGFEERLQEKGLVVREWAPQLEILAHPSTGGFMSHCGWNSSMESITMGVPMATWPMHSDQPRNAVLVTEVLKTGISVRDWELRGELVTSSTIETSIRKLMASDEGDAIRKRAAKLGNDVRRSVEKGGVTGMEIDSFIAHITR >cds-PLY87746.1 pep primary_assembly:Lsat_Salinas_v7:2:79098790:79104205:-1 gene:gene-LSAT_2X35440 transcript:rna-gnl|WGS:NBSK|LSAT_2X35440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSDKSALGRALVKHHNHMIQESKEKGQVYYKQHKKVLESITDVNDIDAVIQQADEAVRLFSADNPTAVNTLIDLESSSSTSEILTTEQRRELQLKEEALHASSLSVPRRPAWNAKMSVEELDANERRAFLVWRRSLARLEENDSLVLTPFEKNLDIWRQLWRVVERSDLLVMVVDARDPLFYRCPDLEAYAREIDEHKRTLLLINKADLLPNPVRQKWAEYMKLHDILYVFWSAKVATAELEGKKAPVPVSSSDTDTKLYSRDELLSRLQSEAEEIVEMRTGTTEHVTVGFVGYPNVGKSSTINALVGAKRTGVTSTPGKTKHFQTLIITEKLTLCDCPGLVFPSFTSSRYEMIASGVLPIHRMTEHREAVQVVADRVPRHVIQAVYNITLPKPKPYEPENRPPLAAELLRSYCASRGYVASSGLPDETKAARQILKDYIDGKLPHYQLPPGEKEEEEENVEKVDERSESGGGEGRLEEVMDDLENFDMTNGLLGSSKGVVPGERRKLLPPAASASASASHKHQHHKKPQRKKDRGWRVKDDGTDGTPVVRVYQKPVNAGPGPGPVVTT >cds-PLY97616.1 pep primary_assembly:Lsat_Salinas_v7:5:235237192:235238700:-1 gene:gene-LSAT_5X115100 transcript:rna-gnl|WGS:NBSK|LSAT_5X115100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSICIQNQHKNVLLVTLAAQGHVNPILRLGNLLVSKGLHVTLAINHYALKHRSPTTNTTTVGGGIHLEFFSDGLPIDYNRKADIDYYLDSLSKFGPVNLLAFIRSHPRKFACIINTPFVPWAADVAAAVGVPTAMLWIQPCSLYQIYYRYYNMLDEFPTESNPNVSVKLPGLPVFGAEELPSFVLPTNTFRSFYNILKELFTNTCKVKWVLGNSFMELEKDVIMSVNDDGRVFLPVGPLVPAALIGKEEEVDFDLFGSDVKSNNCLEWLNIQKPSSVVYISFGSILNLSNKEIESIAVGLKNIKRPFLWVIRPPENQELPELGCLEEIKEQGLIVKWSPQTIVLSHPSVGCFLSHCGWNSLLESLAAGVPVIACPQWTDQPTNCKLVTDVWVVGVKLKKSSEGLVNGEELGRCVEVIMSGPKSEEFKKNAAELKTAAREALADGGSSDKNIQMFVNEMISSSIFKPK >cds-PLY98536.1 pep primary_assembly:Lsat_Salinas_v7:1:38542980:38544497:1 gene:gene-LSAT_1X34420 transcript:rna-gnl|WGS:NBSK|LSAT_1X34420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNLVTVSNTVTESDYGKITLSDVMVTRKRNLFWGRKWRTVDIQIGLWILSLHLLALFAPFTFTWGAFCLAFSIWVLCGVFGVTLCYHRHLAHRSFNLPKWLEYMFAYLGVLSGQRDPIFWVSIHRYHHQFVETEKDPHSPTFGFWFSHMGWLFDSGYIFEKYKERNNVEDLKSQVFYRFIRRTYVLHTAIYGVLIYAFGGFTYLVWGLGVAATWGYHVTFLVNSACHTWGNQTWDTGDLSKNNWWVAMVTFGEGWHNNHHAFEFSARHGLEWWQIDFCWYMIRFLEALGLATNVKLPTEAHKLKKSFKSDNKFK >cds-PLY88050.1 pep primary_assembly:Lsat_Salinas_v7:6:181587476:181593317:-1 gene:gene-LSAT_6X111241 transcript:rna-gnl|WGS:NBSK|LSAT_6X111241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGQFSRQVSNQTGTSLPPIQHQQNMMQNSEGSHASLIMEPGFDKARRFIQDRIYEFLMQRQQTREIAPKNVLDIVRRLEEGLFKTATTKEEYMSLDTLETRLHNLIRRPTQRFQQQNNASGMGTMIPTPGVPQSGNMIPSSADVMVSSSAVNPGSFSMNSQMMPTPGFNNTNNNTTNNVSMLSNVDSTMVSQPQQQKQQVGGQNSRILHSLGSHMGGGIRSTLNQKTYGFQNGSLNSNNLGMMGKSQQMVNSLGTSEGFLTDYGNAAKPVPVPQYYDQHQGQMVNDGSGNFYAPATSASSMMNMNTNTNTVNLSALQRTNSSLVVNQSTDHQPANMNQSEEMDFRNTMHSQQPLHFQQQVIQPQRQQKQQNQRFQYGQNQNQVISDMGNRIKSEPGMESVQPPQVYEQFQSSISQDTRLPLPETSGQQQQPNFGGQQEAGQWQSRSQGVLSNEVKRPIDPPDTTPVSRSGNLDREVQFKNQKRWLLFLMHARKCPHPPGSCPESNCITAQKLLNHIPTCMDVNQCPYPRCHRTKKLLHHHRKCRDQTCPVCVPVKLFVRKGVQGQNSKNMNASVVQTSEDLHPSMKRMKIEQQPCQSPAGQAENPITSSGPTSTPTPTPTPTPIATSTSEVLQDVKRVPPQEVTVVKMEVPVTSVQESLKIGTDVPSVMLNDAGPAKQENDEITPGTKSGKPKIKGVSMTELFTPEQVREHITGLRQWVGQSKAKVEKNQAMELSMNENSCQLCAVEKLNFEPPPIYCSPCGARIKRNAMFYTIGSGDTRHYFCIPCYNEARGDTVTVDGTNILKARLEKKKNDEETEEPWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYMEEVENGERVPLPQSALLGAKDLPRTILSDHIENRLFKRLKQERLDRARFHGKSYDEVPGAESLVVRVVSSVDKKLEVKPRFLEIFQEENYPSEFAYKSKVVLLFQKIEGVEVCLFGMYVQEFGAECEQPNHRRVYLSYLDSVKYFRPEIRTVTGEALRTFVYHEILIGYLEYCKLRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKATKENIVVDLTNLYDHFFVSSGECKAKVTAARLPYFDGDYWPGAAEDIIYQLRQEEEGRKLNKKGAMKRTITKRALKASGQMDLSGNASKDLLLMHRLGETISPMKEDFIMVHLQHACTHCCLLMVSGTRWVCNNCKNFQLCNSCYEIEQNIEEREKHPINQRVKHPLYPVEINDVATDTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMALYHLHNPTAPAFVITCVACRLDIETGQGWRCEICPDYDLCNACYRKDRGIDHPHKLTHHPSIAERDAQNKEARQLRVVQLRKMLDLLVHAAQCRAQLCQYPNCRKVKGLFRHGMHCKVRASGGCLLCKKMWHLLQLHARACKDTPCNVPRCRDLREHLRRLNQQADSRRRAAVMEMMRQRAAEVAGGSG >cds-PLY81275.1 pep primary_assembly:Lsat_Salinas_v7:2:26477808:26478554:-1 gene:gene-LSAT_2X13360 transcript:rna-gnl|WGS:NBSK|LSAT_2X13360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGRKYPKHFGAGDGWTEVRRKHNPGDKSKAVTTFYITNLQSDVTEEMLRKSFQSFGKMMDIYIPGRKDKGGTFFAFIRYAGHKDIDSLVPTLNQVRCGHSIVKVNIARYEKKSPPKQIHPPSSYHPRRMPVPIIKRSNISTGKTFADVVTGSLGKSESVKTQEIQLKRSPMIDSCDSTCLIREVKELRLLNNIHALLNAEESIPARVHYAGGLKIILKFFQQGKAESFMADPQKWSSWIKWLKIGFC >cds-PLY86864.1 pep primary_assembly:Lsat_Salinas_v7:8:49477587:49480864:1 gene:gene-LSAT_8X37660 transcript:rna-gnl|WGS:NBSK|LSAT_8X37660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIKSTMIIIFNILSVFSLCCLTFLHASDPPLSLDYYKSTCPNAEAIVRKEMECAVQSDLRNAAFILRLHFHDCFVQGCDGSVLLDDTYTLQGEKKAPTNLNALKGFEIIDRIKNTLESECPGIVSCADALTIAARDATLLVGGPYWDVPVGRKDSKAASFSQVETNIPGANDGLLSMISKFMYQSLSVTDMVALSGAHTIGKARCTNYRARIYGDYQTTATMNTIAESNLKSLKSTCPAAGGRDNNEAPMDYISPNLFDNSYYHILLRGEGLLNSDQQLYSSILGVQTSKLVKQYAEDQIAFFAQFSESMVKLGNITNPETYVDGEVRKNCRFVNT >cds-PLY92980.1 pep primary_assembly:Lsat_Salinas_v7:4:259747202:259749698:1 gene:gene-LSAT_4X135821 transcript:rna-gnl|WGS:NBSK|LSAT_4X135821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPTGSGGGGGLSRFRSAPATWLEALLESEEEDVIIDPPKPPPHQQASIAGTPTPSRPTYVDPNIFLPSRQNSSPAEFFSEINNPDAYLSNYDDYLSSSYHNVKPSRAVDVDDQQPKFTTQLSGDQSALLDVEMDKLLGDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKQTNTADMLEEAVEYVKFLQRQIQELKEHRDKCTCVVDD >cds-PLY85452.1 pep primary_assembly:Lsat_Salinas_v7:3:41791139:41794071:-1 gene:gene-LSAT_3X31540 transcript:rna-gnl|WGS:NBSK|LSAT_3X31540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor A [Source:Projected from Arabidopsis thaliana (AT2G30410) UniProtKB/Swiss-Prot;Acc:O04350] MATIRNLKIKTAACKRIVKELHSYEKEVEREAAKTASMKEKGADPYDLKQQENVLGESRMMIPDCRKRLESSLADLKAILAELEELKQKEGPEVVEAESTVADIEKLFQTTES >cds-PLY90760.1 pep primary_assembly:Lsat_Salinas_v7:3:35807206:35807815:1 gene:gene-LSAT_3X27001 transcript:rna-gnl|WGS:NBSK|LSAT_3X27001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEVSTSAQELTLGGDISYEQFLTDSKGILESLRKRARMMTDGFNSCKSVVCNFTEVAMYSFPQIKLPPKAIEAAKSAGKVPDVFYCLKLLEATGISTVPGSGFGQKEG >cds-PLY83694.1 pep primary_assembly:Lsat_Salinas_v7:4:39498988:39502234:1 gene:gene-LSAT_4X26521 transcript:rna-gnl|WGS:NBSK|LSAT_4X26521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLEAPLVRPKRKKIWVDYFVQFRWIIVIFVVLPISFTLYFLTYLGDVRSEWKSYKQRQKEHEENVKKVVKRLAERNPSKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDQERMIAKCEPLVNMGQITRATVPLNLALAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVAYEIVLSGGRVVRATRENEYSDLFYAIPWSQGTLGLLVSAEIKLIPIKEYMKLTYKPVRGNIRDLGQAYINSFAPPFGIDNDESTPDFVETMIYNANEGVCMTGKYASKEEAKMKGNKINSVGWWFKPWFYQHAQTALTKGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQWWFRYLLGWMMPPKVSLLKATQGEAIRNYYHEMHVIQDMLVPLYKVPDALEWVDREMEVYPLWLCPHRLFNLPWKTMVYPEPGFDEEMRQGDTVYAQMYTDVGVYYAPGPVLRGEVFDGADAVRRMENWLIENHGFQPQYAVSELNEKNFWRMFEAGLYEQCRNKYGAVGTFMSVYYKCKKGRKTEKEVQEAEQAQVEVPYAEED >cds-PLY94916.1 pep primary_assembly:Lsat_Salinas_v7:4:108783367:108785826:-1 gene:gene-LSAT_4X70280 transcript:rna-gnl|WGS:NBSK|LSAT_4X70280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARAGNNVEVKNWPQFFPLIHHDIPKEIPVQQQRTQYVAFTTLLGIVACLVWNFIAVSSICFQGEDLQIWLLAVIYLITGVPGAYILWYRPLYRAMRTDNTWMFGFFFFAYTCHIGFCVYAAIAPPMIFKGRSLTGIMPALAILPGNFLDGVLYFVGFGLFALESTISIWVIQEVFRYFRGSGKAEVAKREARKTTMMVALGHA >cds-PLY93395.1 pep primary_assembly:Lsat_Salinas_v7:9:58547749:58550848:1 gene:gene-LSAT_9X51820 transcript:rna-gnl|WGS:NBSK|LSAT_9X51820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLSQKIHDAFKGTVERITNPRTVSAFKEKGVLSVNEFVIAGDNLVSKCPTWSWESGDPNKRKTYLPSDKQFLITRNVPCLRRVASIQEEYEAAGGEILLDDDENDGWLATHGKPKDTKGDEDENVPSMDTLEISKNTVHPISSHFGTEEEEEDIPDMEEFEESDNVIENDPATLQPTYLVAHEPDDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMLLEPELVLQDVSQDHARKTVTIEDHPHLPGKHASVHPCKHAAVMKKLIDPLFAGGFEPQVDKYLFLFLKFVATVIPTIEYDYTMDFDLGSSST >cds-PLY93253.1 pep primary_assembly:Lsat_Salinas_v7:6:158620566:158622606:-1 gene:gene-LSAT_6X96640 transcript:rna-gnl|WGS:NBSK|LSAT_6X96640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNRVYSASPGYFRSSVNWAHCKRSRFRSINRFNVISSCLFIVLAITCVYIYLYEAVPSPVILEKNTINDLQESNGICDLYDGTWVVDETYPLYNASECPFAETSFNCLANGRRDKGYLKWKWKPKNCDIPRFNVGVILEKLRGKRIVFVGDSLSRTQWESMICLLMNGVEDKKSVYEVNGNKISKKIRYLSVRFHSFNFTIEFYRSVFLVKIGSVPKRSPKRVRSTIKLDELDGISSKWIDSDILVFNTGHWWNRAKLFEMGCYFKVGGRLKLGMSTMSAYTTALNTWASWVENMVDTDRTRVFFRTFEGSHWSGQNGHTCEVTEKPLYRSNMEYRNNFSDIIKSVVNNMSVPVTTMYVTPMGSFRSDAHVGTWSDNPTVPDCSHWCLPGVPDAWNQILFSYL >cds-PLY73639.1 pep primary_assembly:Lsat_Salinas_v7:5:204722068:204733109:-1 gene:gene-LSAT_5X92940 transcript:rna-gnl|WGS:NBSK|LSAT_5X92940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMIEDDEEENVDLDKEKNEKNEEEFSDSEDNEDIGVERKKSCGGLQTSITMKIAAIEDCKNINRCKYLSDALSHSRNSWKPRNKGVYYDGPLPFLLWWTENNMSDSGVMLMRHMETFNGQGPNNWDSETEKDQKQQKTQLTKLRSKYVTKILVNDINIHNNKIIEEGLEFEKLTTEEQKNL >cds-PLY70056.1 pep primary_assembly:Lsat_Salinas_v7:5:201442951:201445261:-1 gene:gene-LSAT_5X90800 transcript:rna-gnl|WGS:NBSK|LSAT_5X90800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRASKRKSDAVKSSLAEPAPKKATKEVERIDNFFASYANTSIGMIDPEGVEKLCSDLSVEHTDVRILMLAWKMNAKKQGYFTQEEWRTGLKSLRADTLKKLKKELSELEREVAKPNNFEDFYRFSFRYCLTEDKQKSLDIESVCVLLDLVLGPYFRLQIDSFCDYLKIQKEYKVVNMDQWTNFFRFCQEIKFPELENYDACQAWPLILDNFVEWLREKSNHP >cds-PLY68656.1 pep primary_assembly:Lsat_Salinas_v7:5:156331101:156333938:-1 gene:gene-LSAT_5X68360 transcript:rna-gnl|WGS:NBSK|LSAT_5X68360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prefoldin subunit 4 [Source:Projected from Arabidopsis thaliana (AT1G08780) UniProtKB/Swiss-Prot;Acc:Q9M4B5] MQQAGGGSETEVTWEDQQNINKFGRLNNRYHELDDEIRIAMEANENFEDASNELILTDEEVVRFQIGEVFAHVPRDEVEIRIEQMKETTTKHLEKLKDEKESIVAQMADLKKILYAKFKESINLEED >cds-PLY63088.1 pep primary_assembly:Lsat_Salinas_v7:8:72694724:72695307:1 gene:gene-LSAT_0X39180 transcript:rna-gnl|WGS:NBSK|LSAT_0X39180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYNQTFNLDQVAFASEGIKDAQQTVCVTIIKHLLQIGSNKELKGLMKTVKIQDIDNLQDEMMDMMDISSEIQESLELDALEADMGQETEGEGVPSYTQPDNEPDLNEELNMPLAPSVHAVPVKPSIR >cds-PLY85886.1 pep primary_assembly:Lsat_Salinas_v7:5:96539102:96541551:-1 gene:gene-LSAT_5X48561 transcript:rna-gnl|WGS:NBSK|LSAT_5X48561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSSASAGEVEDVPELMQRIQSWLPVKEAARTSVLSKSWLHAWSTIPTLIFSVGRERCMNLVYVDRVLYRYLRDNIPIERFDLMIDVENQESASHAEKWMGPVANKTCLKEFSLSITLNGASFTLPDEILSGENLTKITVSGTTGGRVPMTTSQHPVIKCVSLRELHLDCVCISEEALNHILSSCSLLVKIVLFNSCNGFNTIKVKNLPRLYELRINLNAVDSTALEISDVPNLGLFSYELDVRFWLHDHPPPPFNASSISLGRSVTHLTLAGVITDNACLDIFKSQFPFLQSLTLYLTSWMLGSFHLTCPSIKILFLLSCPAMVIDVQVYAPKLLYFHLSGCILPSLLFPLSSLQHFKVSLMLRLPVDADFFLMMREAFELSRLCYLHISTDNSIPPLDIDIDDLRTRLLLPPATNMQELWFETDEDEGLWERSPFFDAFFEICHPKQVFPQPDVSFKQNNHFCRLMLREVLEKKNTRTAYWPHYLKHVQIRWDPHKKWKALTNSHRSLLDGSIPDLYPEFKLKWR >cds-PLY82211.1 pep primary_assembly:Lsat_Salinas_v7:1:69234544:69235680:1 gene:gene-LSAT_1X60461 transcript:rna-gnl|WGS:NBSK|LSAT_1X60461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGTLPSCLGILSNSPVSLNLRRNNFHGKMMNAFMPGSLLENLDLSEIRFMGQLPRSLTNCANLEILSLGDNSFDGLFPFWLGSLTKLQVLVLRSSKLYGPIQGSTTVSSQFPKLRIIDLSNNNFSSQLHQNYFQTWQAMSSENFGVSSIMESEISSKNGENSWPYTLTLTHKGVRTEYIHILTIDMSIDLSCNHFEGKIPQSLQDLRGLQALNLSNNHFTGRIFPYLGDLKNLEALDLSQNELSREIPQQLVRLGFLEIFKVSFNHLEGRIPKGKQFDTFDNNSYIGNPQLCGQPLSKECQDDLKVSRLPAISNVSESLLPSERIDWIIIFCGVGSGLVVGVIIGNLLYERYSDRLTKRKDRWVRPLRNTRGKTKVQ >cds-PLY70174.1 pep primary_assembly:Lsat_Salinas_v7:9:994398:998154:-1 gene:gene-LSAT_9X4540 transcript:rna-gnl|WGS:NBSK|LSAT_9X4540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPWGGVTCCLSAAALYLLGRSSGKDAEVLKSVTRVNQLKDLAQLLDAANKVLPFVVTISGRVGSDTPINCEYSGLRGVIVEETAEQHFLKHNDAGSWIQDSALMLSMCKEVPWFLDDGSAHVYVVGARGAAGLVLTVGSEVFEESGRSLVRGTLDYLQGLKMLGVKRIERVLPTGTPLTVVGEAIKDDIGTVRIQRPLKGPFYVSHKTIDQLIANLGKWARWYKYASMSFAAFGFYLIAKHAFQYIMERKRHWELKKRVRDAAAKRAAPDNEGSNGKAENGFSGTRKDVQMPDICVICLEQEYNSVFVPCGHMCCCVTCSSHLTSCPLCRCRIGQVVKTFRH >cds-PLY76976.1 pep primary_assembly:Lsat_Salinas_v7:6:66147886:66153287:1 gene:gene-LSAT_6X47261 transcript:rna-gnl|WGS:NBSK|LSAT_6X47261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPENKSIQFRSSSIKIPPFVPIHHHRHQHHHHHHHRRSLPLFADLHLSISSILNNSKHSLTQFISSSISKFQPSTQKPPKPSNPFHSKKPPLLCSASLALARVDEEGGEVTQSSKSHSVSRHDDERVLISEVLVRNKDGEELERKDLEAEAIAALKTCRPNSALTTREVQEDVHRIIGSGYFSSCMPVAVDTRDGIRLVFQVEPNQDFQGLVCEGANVLPTKFVEDKFRDGYGKIVNIRQLDHVINSINGWYMERGLFGLVSGIEILSGGIIRLQVSEAEVNNISVRFLRRTGEPTTGKTRPETILRQLNTKKGQVYSMLQAKRDVDTLLAMGIMEDVSIVPQPAGDSGKVDLTINAVERVSGGISAGGGISSGITSGPLAGLIGSCALYHRNLFGRNQKINLSLERGQIDSIFRINYTDPWIEGDDKRTSRTIMVQNSRTPGTLVHGNQPNNTNLTIGRITAGIEYSRPFRPKWSGTAGLIFQRAGARDEKGNPMIRDYHSSPLTASGNIHDDMVLAKLETVYTGSSDPSSSMLVVNMEQGLPVWSEWLVFNRVTGRARKGLAIGPASLNLSLSGGHVVGNFPPHEAFPIGGTNSVRGYEEGAIGSGRSYAVGCGEISFPLVGPVEGAMFADYGTDLGSGPTVPGDPAGARLKPGSGYGYGVGMRVASPLGPLRLEYAFNDQGTGRFHFGVGQRN >cds-PLY72479.1 pep primary_assembly:Lsat_Salinas_v7:2:140309296:140311214:-1 gene:gene-LSAT_2X68461 transcript:rna-gnl|WGS:NBSK|LSAT_2X68461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTFRRTQLHRALPLQIQFLRLLSSISATTVTPWYAAPPSSPNNQNTDPLLTTLSQAITNKSNPLETSVRKLLPSLKPHHIIDLINLNPHSLDPHDLLSFFKWLSTQPPFRHTIQSYFTMIKFLSAHQMFQQSESLLHFVVSRKGKHSAASVFQAYIDTKGTEHNEFVIGALVNCYVDNGFISDAIQCLRLAKKQNFRVPLQCCGRVLDYLMKSNSSSTAWGFYVEVLGHGYPPDVYTFNKLMHKFSKDGMINDAKLVFDEITKWGLRPSLVSFNTLLNGYCKSGKLEEAFRLKKAIEETNLAPDAFTYSVLINALCKENRLGNAYQLFDEMSTRGLNPNDVIFTTLINGLCSNSKTDLAMQMYSKMLVRGIKADLITFNTLINGLCKSGKIGKARNLINEMSREGLKPDKITYTCLLDGCCKEGDLQLALEVKEKMVKEGIDLDDVAFTALISGLCREGFVVDAEKLLREMTKLGLKPDDATYTMVIDGVCKKGDVKMGFQLLKEMRRDGVVPGIITYNVLMNGLCKLGQMKNASKLLQSMLDLGVFPDDITYNILLEGHCKYGDPEVLEKLRSEKGVIYDYASYISLVEGSVKSCQFQ >cds-PLY94844.1 pep primary_assembly:Lsat_Salinas_v7:2:180384459:180387468:-1 gene:gene-LSAT_2X103761 transcript:rna-gnl|WGS:NBSK|LSAT_2X103761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAYFKYKDPKQKLGVRIKDLMKRMTVEEKIGQMTQIDRTVASNEVMKKYLIGSILSGGGSVPAKHATPEQWVDMVNDFQKGSLSTRLGIPLIYGIDAVHGHNNVYKATIFPHNVGLGVTRQVRKHLLFLNLDRDPALVKKIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCFESYSEDPKIVKLMTEIIPGLQGDIPAGSRKGVPFVGGQDKIAACAKHYLGDGGTHLGTNEGNTIIDPKGFFSIHMPAYYDSIVKGVSTIMTSYSSWNGIKMHANRYLVNDFLKNKLKFKGIVISDWQGIDRITTPEHANYTYSIIAGMDAGIDMIMVPYNYTEFIDGLTYLVKNKFVSMSRIDDAVKRILRVKFTMGLFEKPLADYSMTKYLGSQEHRDLAREAVRKTLVLLKNGKSSKKPLLPLPKKASKILVAGAHADNLGYQCGGWTIEWQGLSGDITSGTTILSAIKSAVDPKTQVVYNENPNGDFVKSNKFDYAIVVVGEHPYAETFGDSKNLTIPEPGPSTIKNVCGAVKCVVVLISGRPVVVQPYVETIDALVAAWLPGTEGKGVTDVLFGDYGFTGKLARTWFKSVDQLPMNVGDSHYDPLYPFGFGLTTEPRKNL >cds-PLY64025.1 pep primary_assembly:Lsat_Salinas_v7:5:59540649:59543978:1 gene:gene-LSAT_5X29040 transcript:rna-gnl|WGS:NBSK|LSAT_5X29040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTGPRKLGFLGKARIDLVSFLRDHEDADCHGVHADYGSESSKLIYLQILFGELLAYTNERCGIDCISALGLVHNQPVLYASPVKNPSAFLRTLPITLVPKDDKSRNTDAEQKDLRIAWQYKKYLGENKQHNEERDGKIEYCNEFDLRKPIEKHLITGNRVECFSLLDCSNLAGFRDSCSKFISQFPKKNCYPIILKPVVFKGYQIGGAICDGATGATAKTLKLPREDEFWSRGISACAICDGASPIFKGEVLAVVGGGDTGTEEAIYLTKYARHVHLLVRRDQLKASRAMQDRVLTHYGHPDVFDRKFHISRGVISKASRVININQDIYAGFNSTFEAGLVKEETLG >cds-PLY66706.1 pep primary_assembly:Lsat_Salinas_v7:6:79315083:79318081:-1 gene:gene-LSAT_6X54921 transcript:rna-gnl|WGS:NBSK|LSAT_6X54921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTKNICLLVLCLLFVIASIVDADTSPVTVKKIKGKKVCDQGWECKGWSEYCCNLTISQYFDYYQFENVFSKRNSPVAQAAGFWDFKSFIIASAIYQPLGFGTTGNKTTQMKEIAAFLAHIGSQTSCGYGVATGGPTAWGLCYNREMSPNQDYCDESYKYTYPCAPGADYYGRGALPIYWNYNYGYIGDCLHVDLLHHPEYIEQNATLAFQAAMFQWLTPLKKGQPSAHDAMVGNWKPTKNDTLSHRTPGFGLTMNILYGERVCGKGDIDDMNTIVTHYLYYLDLMGVGREEAGAHDVLTCAEQKVFNPAAPKKAASS >cds-PLY71105.1 pep primary_assembly:Lsat_Salinas_v7:5:195463589:195469529:1 gene:gene-LSAT_5X86980 transcript:rna-gnl|WGS:NBSK|LSAT_5X86980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFFNLMGNSFGCSASGERLVSAARDGDVQEAKALLEYNPRLARYSTFGVRNSPLHYSAAQGHHEIVSLLIESGVDINLRNYRGQTALMQACQYGHWEVVLTLILYKANIHKADYLNGGTALHLAALNGHSRCIRILHADYVPSVPNFLELVNRKSRMEEFVSELDEGSVYKTINRAADGGVTALHMAALNGHVDSLQLLIDLGASVNEVTVEDGTTIDLIGAGSTPLHYAACGGNAQCCQILIAKGASLTAQNAKGWTPLAVAQSWHRDWLEEVLTEQPQQHQPIPHSPYLCLPLMSVVKIARECGWSHDSLSTCADPCAVCLENKCAVASEGCCHEFCTRCALYLCSTITAATTTTTTQGPPGSIPCPLCRQGIVSFKKLPATKPLLGMPRTSLPLSFFTCTSLTEDNDDMALDTTPLCKPEFPSPLRSLSCQKFPSMKLSSSLCMGGSDTSPSLVRSYTRSSFRRSNSEGRRSWLCSLNHSVETTAGF >cds-PLY81227.1 pep primary_assembly:Lsat_Salinas_v7:4:307592458:307594178:-1 gene:gene-LSAT_4X155360 transcript:rna-gnl|WGS:NBSK|LSAT_4X155360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSALETLCGQAYGAKQYERVGTYTYGAIISLIFICFPISILWIYIDKLLILLGQDPSISIEARKFSVWLIPSLFPYAILQSITRYLQSQSLIFPMLWTSVVVLVLHVPICWVLVFKLGLGTAGAALAIGTSYTLNAILLGLYLCYSKSCQKTRVTCSGDVFSSIKEFFRFAIPSAVMICLEWWSYEIVILLSGLLPNPQLETSVLSICLTISTIHYFIPYSFGAAVSTRVSNELGAGNPDAAKTALMAASGLGAVEAIIAITTLLCSRSILGYAFGTEKQLVDYVKDITFLLCFTIFTDTIQAILSGVARGSGWQHIGAYINLGSYYLAGIPMALVLGFVLNLKGEGLWSGLIVGSMVQCVLLTLVTSFTSWEKQATKARERILDEASNDEE >cds-PLY63638.1 pep primary_assembly:Lsat_Salinas_v7:4:133701230:133705257:1 gene:gene-LSAT_4X83620 transcript:rna-gnl|WGS:NBSK|LSAT_4X83620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVSNSNISEEATASFSTSVQSMPHFHGLSSCDMSGIHVNRVQGELSREENRDRHVNWQRLSSGDNISTFTRKTGRNVQTAVSRIVGFESKASSSPSFAFDGVQTDNLPSNSNDNATENSGAIVRRKLMSPLNSLIIPSQFDRNFQETNDTKCVTPFILSTSQFDRNFQQCRNPGSVSFGRSCGFFTDGPLLENTDARSFGSLSSSPGLDSNHSIESTTIRSLNSPIAIPSRKVVSSPLSLSPLGPKFSRTPKLSMNNDIDDNHPTLKDIEVSLNGTISDILDEDSRMVKKSTLEVNQSMIEMVGDWGQESQDSTFSTQCSKLCRTPSGLQVRRSWIGSFEESLLSGRLASGTISQKIDGFLAVLNITGGSFSPRPQKLPFAVTSVDGDNFLLYYSSIDLAADVASSKQKGQKMKKSLSIIDDSHMEKSRLRIPMKGRIQLVLSNPEKTPIHTFFCNYDLTDMPAGTKTFMRQKVTLDSKTGAHVNNNNNNNNNNNNNNNNNNLGSPKVNENSNSGVLRYALHLRFLCPFSKKNSKSVQRCKSDPLSTPEKNRNLGMERRFYLYNDMRVVFPQRHSDSDEGKLHAECHYPSDPKYFDISD >cds-PLY89436.1 pep primary_assembly:Lsat_Salinas_v7:8:30701455:30703380:-1 gene:gene-LSAT_8X21961 transcript:rna-gnl|WGS:NBSK|LSAT_8X21961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRVQTTVESLPREVLSDIFFRLLAKQLAKMRCVCKPWNALLSEDSFIKSHLHHSIHNNDEILLFFPCRLHYGCSSITARPTRSPDLDLTDFIKLPVNLNFVRFLVNLGYAMVIGSVNGVICVYYKSYHDDGYAVYIWNPSLSALLTLPPCSLPSCNSHDIDFRFGYDPRTDDYKVVKITGVSGTVNMLLPQAEVYSMRKGSWDSVKQRMEMFPSHVTSIFNRDRVCTDGHDGHIHWLSFTNSKRKPETIVAFDLGAETFSEIPLPDSLLHHNVSSRMNVLGVLTGKLCVMSRVRDGECEVWVMDEYGVADSWVKHHVFSQFDGGDIMPYGFTSRNEFLFRFNDDVDRYGLYDLVTAKTKTFKIHRTSYGSKIVENVDSLVWIAPSK >cds-PLY88867.1 pep primary_assembly:Lsat_Salinas_v7:5:54559236:54560183:1 gene:gene-LSAT_5X25561 transcript:rna-gnl|WGS:NBSK|LSAT_5X25561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLMVIGNNGGDGERREEKKPAAHASSRKGCMKGKGGPENAFCTYKGVRQRTWGRWVSEIREPNRGSRLWLGTFTSAREAAVAYDTAARRLFGADAHLNLPDEAPPSPSRSTATRRAAELAAAAVEAKYNSVLLEMKMKKKFEKQIKMQMYMLMLHHQQQMQMKMKMKMQMQMKMEAQGGILGNVLDSESPFKKLNSMLPEFDDSAMWEEAASTMDYHSQAICDPGIAAYTFNDAIGIELKHPLLV >cds-PLY72918.1 pep primary_assembly:Lsat_Salinas_v7:9:194448538:194449081:-1 gene:gene-LSAT_9X118540 transcript:rna-gnl|WGS:NBSK|LSAT_9X118540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAGNGVQVGPWGGKGGVNPWTFIPEGRICEIRISASGCVDSIRFTYKDRDNVKHHSETYGGDGGSPHTFTFADDENLIGISGTVGVYAGYTVITSLSFLTNKKKYGPYGTTQGTSFSLPVAKGSFGGFSGNYGDYLDSFSVILHPY >cds-PLY99747.1 pep primary_assembly:Lsat_Salinas_v7:2:131537950:131541952:1 gene:gene-LSAT_2X61280 transcript:rna-gnl|WGS:NBSK|LSAT_2X61280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLNMAKRKRLVSLMLTTPLVHLLFCDRHIEIDFSQLRTKKLSHLLQLPLMNSIIATAIAAVDDIATPADNFITTAHDELITVITVNDKFQI >cds-PLY74454.1 pep primary_assembly:Lsat_Salinas_v7:7:38867510:38875529:-1 gene:gene-LSAT_7X28440 transcript:rna-gnl|WGS:NBSK|LSAT_7X28440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin-4 [Source:Projected from Arabidopsis thaliana (AT5G46210) UniProtKB/Swiss-Prot;Acc:Q8LGH4] MSQPNTSTSNNNRKRSSTTCTSPQPPTTTTTTGGDSGGGGGAHFLAMKKAKSQAVSCSLDNKNGFQQHQFDNNPSAEPSSMIEDPTENDAGRASSAGGFTANLARKKATPPQPAKKLVIKLLKAKPTLPTNFEENTWAVLKSAISAIFLKQPDPCDLEKLYQAVNDLCLYKMGGSLYQRIERECEAHISAALNSLVGQSEDLVVFLSLVQKTWQDFCDQMLMIRGIALYLDRTYVKQTPTVRSLWDMGLQLFRKHLSLASEVEHKTVFGLLKMIESERLGEAVDRTLLNHLLKMFIALGIYSESFEKPFLECTSEFYAAEGVKYMQYSDVPDYLKHVEIRLHEEHDRCLLYLDATTRKPLGFTMLMDGNRTQDLRRMYTLFHRVNALESLRQALSSYIRKTGQGIVTDEEKDKDMVFSLLEFKLSLDKIWEDSFSKNDVFCNTIKEAFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQTVVLMLFNDAQKLSFQDIKDATSIEDKELRRTLQSLACGKVRVLQKIPKGREVDDNDSFMFNDVFTAPLYRIKVNAIQLKETVEENASTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPVDLKKRIESLIDREYLERDKSNPQIYNYLA >cds-PLY99050.1 pep primary_assembly:Lsat_Salinas_v7:6:149370828:149372713:-1 gene:gene-LSAT_6X90461 transcript:rna-gnl|WGS:NBSK|LSAT_6X90461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINYWLWWWEVDNERDNLSRNILTVSVPIILLLWHKLTSSYNRNTTLPLPPGPSGLPVVGYLPFLTSNLHQRFTDMSHRYGPIFSLWLGRKFHVVVNSVDLAKVVARDLDQTFANRSPPVTALTITYGALDIAWSNNNTHWRTMRKLLVSQVLSNANLDSCQVFRTEEVRKTVRNVYAKIGTKVDVNEVAFDTELEVVTSMLWGRSKSGKENDPGGVLHGFREVEFKIIELLGAPNVSDFIPMLSWFDLQGRKREMEKLKVHLDRIFDTIVEARIKAKMEGELEEIGRKDFLQILLEIKDQKDSPTSLNMDQIKGLLFDILTAATDTTSTMVEWVMTEILHNPGVKTKIQEELTEVFGMNIVEEHLLPKLSYLDAVVKETMRVHPPLPLLIQRSPDETCNVGGYLIPKGSIVYINVWAIHHDPKNWVNPFEFKPERFLKGKWDYNGNNLKFLPFGSGRRICPGIPLGEKMLMYILASLLHSFEWKLPEDDEEFDLSDEFGFVTKKRKPLVAIPSQRLSDESLYL >cds-PLY74727.1 pep primary_assembly:Lsat_Salinas_v7:6:121174847:121175790:1 gene:gene-LSAT_6X73540 transcript:rna-gnl|WGS:NBSK|LSAT_6X73540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGTFSIKSDIFSFGVLILEIVSGRRNSSFVHLDRTFNLIGYAWEQWQLGDALDLKDPKLGNTFVLRQFLRVVHIALLCVQESATDRPTTYDMISMLLNDATPLPMPNRPAFAIGRMESRSNFGETKATDCSVNNMTITVVEGR >cds-PLY94331.1 pep primary_assembly:Lsat_Salinas_v7:7:165384085:165386957:-1 gene:gene-LSAT_7X97380 transcript:rna-gnl|WGS:NBSK|LSAT_7X97380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEASRIFQTTLMPSLSQSLSLRKPCSLTITQRKTSPTIIRCTISTSGSDTAKATAAPQPIPWGCEIDSLENASALQKWLTDSGLPPQKMDLRKVDVGERGLVANNNIRKGEKILFVPPSLVISANSEWSFPEAGEVLKRYDVPDWPLIATYLISEASLMKSSRWSNYISALPRQPYSLLYWTRAELDRYLEASQIRERAIERINNVTGTYIDLRDRIFSKHPNLFPEEVFNMETFRWSFGILFSRLVRLPSLDGRVALVPWADMLNHSCDVETFLDYDKSTKGVVFTTDRPYQPGEQVFISYGRKSNGELLLSYGFVPRQGTNPRDSVELPLSLKKSDKCYQEKLEALKKNGLSASQCFPLQVTGWPLELMAYAYLAVSPPSMSGKFEEMAAAASNKSSSNKEIRYPELEEQAFQFILDNCESSISKYTKFLQASGSMDLDITSPKQLNRKVFLKQLAVDLCNSEQRILFRAQYILRRKLRDMKKGELKALNLFDSVTKFFK >cds-PLY77207.1 pep primary_assembly:Lsat_Salinas_v7:8:26194542:26197226:1 gene:gene-LSAT_8X19061 transcript:rna-gnl|WGS:NBSK|LSAT_8X19061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGWFSAVRKALIPSYDSKEKKEKPQKTASKKSWFGKQKNIESETPHEESVFATPALRSPFPEPEEEVTIAEPENSPKKPAEPEIQPNKPTEPKIEPNKPTNPVIEEKKPPKPEFEPPPKPPKPVNEPVKPSFAYSRAAVAAAAFRRLLGKSKEDIAAIKIQTAFRRFSARRKLRSLKGYIRLKVLIQSQSVKRQAITTLRCMQTLARVQSQVRARRIRMSEENQALQRQLMQKRERELDNMRFSMGGEWNNSRRTKEEVEASLQKKVEATALRERALAYAQTQQQMWKNQKNGNPTFMDPNNPHWGWSWLDRWMAARPWESQSPNDNEPPPPVKTITRSSSVGDMSNSVSSPRSPSVSGRRLAASSPKKGNDSVLSPRNRRHSIANTSLREESSVSSPRASSPRVSSPRVSSPRSTKTKSVIPAPVQSPSRSPSPCPSPLGSAKKKPAVNRRLSMSGAPPGRRVSGSSRVGPGSR >cds-PLY71594.1 pep primary_assembly:Lsat_Salinas_v7:1:115831973:115834627:1 gene:gene-LSAT_1X87760 transcript:rna-gnl|WGS:NBSK|LSAT_1X87760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDLLPNGDLIKSLDSVRWLKAEERTAELIACIQPNQASEEQRNAVAGYIQRLIINCFPCQVLTLVSVPLKTYLPDGDINLTVVTKSANFRESLPSEVRDMLETEEKNENAEFHVMEVQYVQAEEKIIKCLVDISVVYISFNQLGGLCNLCFLEEADNLINQNHLFKRSIILIKAWCFYESRILGVHYGLISTYALEILVLYIFHVYDNRFVGPLEVLYRFLEQFSKFDWDCYGVSLWGPLELMSLPDVIAEPFRMESEKLLLKDAFLTTCSSVSKLFPGGQDYVEEVFSRKYFNVIDPFRVTNNLGRSVTKGNFFRIRSAFAYGAETMVRLLDCPQEDLVTEVNMFFVNTWKRHGSIVREGTG >cds-PLY87440.1 pep primary_assembly:Lsat_Salinas_v7:2:134852053:134858332:1 gene:gene-LSAT_2X63321 transcript:rna-gnl|WGS:NBSK|LSAT_2X63321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G54320) TAIR;Acc:AT3G54320] MKRRRLSPTSSSSCSSSSSCINERQVPKPKRSSRTHNKNSTLNSNQNAAAENTRRSSIYRGVTRHRWTGRFEAHLWDKGTWNSIQNKKGKQRAYDSEEAAARTYDLAALKYWGQDTTLNFQIDTYKKEIEEMEKLSKEEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVLGNKYLYLGTYSTQEEAAAAYDLAAIEYRGAKAVTNFDISIYADRLKNGASQTQATPESTEVITSLKNEHNEEAHHDLNCQQQQQQQQKQEHEATIVEKEESLHEMHDLDFPPSVEEDHPWSLCMDSSYNLLPVPHIPFDKSGELLDLFHGTSFEDDIDIIFDGSFSIGNELEKVHVCITSPSSSSSLSSSSTTTSLSYPSSHC >cds-PLY67570.1 pep primary_assembly:Lsat_Salinas_v7:3:54461372:54461761:1 gene:gene-LSAT_3X42181 transcript:rna-gnl|WGS:NBSK|LSAT_3X42181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNYICCVLFQLLQDNYLQTKLAWKRWMEGRGLELIDPLIRGTCSNADQQAVKCINVGLLCIQEIMSDRPTMLEVVVMLVNETATILSPKKPAFTLHRSAHVSSRFSNNEVIVTNLEPR >cds-PLY94849.1 pep primary_assembly:Lsat_Salinas_v7:2:177897652:177900524:-1 gene:gene-LSAT_2X97900 transcript:rna-gnl|WGS:NBSK|LSAT_2X97900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRKDTSNPVTKFAVYQNPALSAALTKNSLRPSKSTFLFILTLASASAFSLVSFVLRENDIVDSSKLRYLTQDVAHIFLKVMQAIMTLVLIGSLFALLKAISLWKTKPATPSSPSNPTKEQLNLTSQQLKLMGIKPQFEQTESKSSKDPPKSKPNTSPFNTLVPLHQTRINNDKSTTSSANKMHSFSTPSKPPVSPSVYLIPSQSPNMKTPPGIEQHVSTPWSSKRSSSTREISTEQQLESFLADFDEKFSMSAGKMTTPPPTTTGFNISSPNSNSTTRSTPLRPVRMSPGSQKFSTPPKKGEGDLPPPMSMEESIDAFARLGIYPQIEEWRDHLRQWFSSVLLNPLLAKIETSHIKVMEAAAKIGITITVSKIGSDSSTGATATVSNERNNEWQPAYTLDEEGLIGQLRTTLLQQIQNTSIPVIQDCIDAISEHQKLLALMKGEWAKGLLPQTSIRADYTVQRIRELGVGTCVKNYEYITNGEKFNKKKWSEVPSDSHLLLYLFCAFLEHPKWMLHVDPTSHVGSQSSRNPLFIGVLPSKERFPEKYLGVICGVPSVLHPGGCVLAFDKKSPPIFALYWDKKPQLSFEGRTALWDSVLVLCHKIKSDYGGIVRGMHLGSSALGFLQILDDENSE >cds-PLY87284.1 pep primary_assembly:Lsat_Salinas_v7:4:331858587:331862215:1 gene:gene-LSAT_4X164620 transcript:rna-gnl|WGS:NBSK|LSAT_4X164620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigE, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G24120) UniProtKB/Swiss-Prot;Acc:Q9ZNX9] MGVVTVSSSAARTPLGLSARFSSHQSPFKRKSILAFKTDKPKNTTLVSSKESANLSVDKSKENPKRLRRSKKPSERVQALSTVEAPSCSLDLDYNEAAAELDRLYKLSPSPTTIDSDTNDHVKKTPRRKKKNFDTNEKLKNTTVDIVRGHHKKLQRLTLEKRIHLKSEKNSTLITSNLKRKQGKVNEDEKINRLVKDYTASTDLGSLDWKKMKIPPVLPSSEHTRLFKLLQTMKAIIGVREELEKTLEREPTDGELAEATNMNVSQLRKQIGIGQAARNKLIKHNLRLVLFVMNKYFQEFANGRNFQDFCQAGVKGLITAVDRFEPGRKLQLSTYALFWIRHAIIRSMTLSSFFKVPFGLESVRIEIQKAKKKLWFELMRTPTDEEIAKKAGISLQRYREVTKVSKPILSLNRRNSVTQEEFINTIEDNDGVGDDERRQTALLRLALDDVLDSLKPKESLVIRQRYGLDGKGNRTLGEIAGNLNISREMVRKHEVKALMKLKHPARVEYLRHYLL >cds-PLY94627.1 pep primary_assembly:Lsat_Salinas_v7:8:144243761:144244332:1 gene:gene-LSAT_8X97960 transcript:rna-gnl|WGS:NBSK|LSAT_8X97960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFHLKKASYTKTITNFSEDIKVSTYALLVEDVLEVVSDTVVVHEAAASCIQRLTKGFWGGQRVGYSMEDLDDYSDFEGQSKY >cds-PLY62134.1 pep primary_assembly:Lsat_Salinas_v7:6:46605349:46605773:1 gene:gene-LSAT_6X33861 transcript:rna-gnl|WGS:NBSK|LSAT_6X33861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSEYGIPMFSLKYSIRGRIEGGAEAGFDVGGQEAWATVDDSPEVVAPDVGFPEEEGDGGDDGGEWECEDFRAEGDEAVEGGWREGDGGGEEGDGGFDVGKGGGDEGRVGGEEWD >cds-PLY83105.1 pep primary_assembly:Lsat_Salinas_v7:1:156045583:156046508:1 gene:gene-LSAT_1X107960 transcript:rna-gnl|WGS:NBSK|LSAT_1X107960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKTFGRYDRIEISDIIFDKINGALNEARLRYKASVTTLCSVLSAILNSKDLDEGCNGIDDKKASLLMMNFIIKEVEFAINKLGEDAPVNELVDFIFAAQLANADDKRISVEFQKKL >cds-PLY75448.1 pep primary_assembly:Lsat_Salinas_v7:7:72116348:72117244:1 gene:gene-LSAT_7X52340 transcript:rna-gnl|WGS:NBSK|LSAT_7X52340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNRTSPHLLLFVLIVFTLGITEQDFKTTSISGFFIFASIAIFLRISDRNPLTRTSMMPCETQISSSSWDPRLGNRDSPYGKNNENEVVNFTVL >cds-PLY72312.1 pep primary_assembly:Lsat_Salinas_v7:4:60419153:60421143:-1 gene:gene-LSAT_4X40321 transcript:rna-gnl|WGS:NBSK|LSAT_4X40321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLENSDMFEASGCFDPNSVAHHQQVLIDHQGDSFPQPHVSNFHQISNFSAIEAPADQLHHQHLMNMDMDHQNHQQINWAHNPHDQVLIGNDNNCLPTPTPPDLLNLFQLPRCSSSICFSNPTHIDQTSGQVMFDPLLPHNLPPQPPFFRELLNSLPNGFNLTGCGSIFGEMDMDRGEQNQLYHDGDAVLEFGGGIINGKGRENKDTKHFATEKHRRQQLNGKFEALKGLVPNPTKADRASVVGDAIEYINELKRTVEELKILVERKRCNRGRMKKHKTEDDSTLDVESIYTKSNGGGSAGAGDHAHDQQTYNGNSTSTMRSSWLQRKSKNTEIDVRIIDDEVTIKLVQQKRINCLFLVSKVLHELQLDLHHVAGGLIGDFYSYLFNTKICEGSSVYASAIANKLIEVVDKQYATIPVTSSY >cds-PLY92624.1 pep primary_assembly:Lsat_Salinas_v7:2:161346718:161347076:-1 gene:gene-LSAT_2X85461 transcript:rna-gnl|WGS:NBSK|LSAT_2X85461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLTRTTLWALILMVMVASSVSRNTPPPPPPSSPSPPGTTTSSKVDDKVAPVQRVGKVQAYYTASSGPSDKGRGHK >cds-PLY71810.1 pep primary_assembly:Lsat_Salinas_v7:3:58328860:58329431:1 gene:gene-LSAT_3X45381 transcript:rna-gnl|WGS:NBSK|LSAT_3X45381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQGREQVGQGDICFVLDADNHILDHLHWFISIHHGDSKRTLVVKFMQTMLINELELFDERSRKFVEARTSNLNEELCQVQMILFDKTKTLTCNQMEFKKCSIEGTSYANGDLYKVVRDAYEQNGSFEDESSVEMMFEISSEANK >cds-PLY70258.1 pep primary_assembly:Lsat_Salinas_v7:4:205424628:205425444:-1 gene:gene-LSAT_4X116421 transcript:rna-gnl|WGS:NBSK|LSAT_4X116421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPKIADFDMARLFNPEETQGDTNRIVGTYVTIDLLSLYVPSNSGYMAPEYAMHGQFSVKSDVFSFGVLVLEMVTGQKNQYIRNGESMEHLLSYAWKSWQNGTVSNIIDLTLTTGSGSLGDITRIIHIALLCVQENSGDRPPMDEVVHMLNSFSLTLVIPSEPAFFIRNTIHPQVPLLIFSENIVSISEIAPR >cds-PLY98329.1 pep primary_assembly:Lsat_Salinas_v7:7:170771013:170773032:-1 gene:gene-LSAT_7X100460 transcript:rna-gnl|WGS:NBSK|LSAT_7X100460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTNTRFLGLYITICVLAFIISKITIAVLCYRRWQKKQFVIQDTFSGGKLVLFRSPKIKTLKSNVFLKKTMKLTNKDRIGSGGYGMVYKLTINDSTSFAVKKLRKETAEHDRGFERELEAMGDIKHRNIVTLYGYYSAPNFNLLIYELMPNGSLDVVLHGRTMEKMIVLDWDARYKIALGAARGISYLHHDCIPHIIHRDIKSSNILLDENMEARVSDFGLATLMDQDKTHVSTLVAGTFGYLAPEYFDTGRATTKGDVYSFGVVLLELLTGRKPTDESFIEEGTRLVTWVKTVVEDKKEEYVLDERLECYHVDEINHVFNIALMCLETEPSKRPNMSEVVKMLEKIKTDQITCS >cds-PLY78306.1 pep primary_assembly:Lsat_Salinas_v7:2:181590692:181591200:1 gene:gene-LSAT_2X102521 transcript:rna-gnl|WGS:NBSK|LSAT_2X102521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGLKSHQISSIQFMNPNRKLETDRRFVFQFLPNDFSYLQLKSRRKNSRGFKVSSSEDSNGNGDGKEDLRKEGGGGDEVPRVNLRWSELLLDPDPDNVVAVGLTGALAWAGVQVLRQLFVATMATLMAGVKYTFMGVFLIFIVVTLL >cds-PLY87018.1 pep primary_assembly:Lsat_Salinas_v7:5:263997096:264000733:1 gene:gene-LSAT_5X135760 transcript:rna-gnl|WGS:NBSK|LSAT_5X135760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQLGGGSLPEQEEDDTGATPRSKPAIKALTSQIKDMAVKASGAYKNCKPCSGSSNHNRTNDGYADSEAGSGSDRFHCGYQRTCNSTPRVWGKEMEARLKGLSSGGSTPASYSDRIESVKFIEEDELKEWVAQVEPGVLITFHSLPQGGNDLKRIRFSREMFNKRQAQRWWAENCEKVMELYNVQHFNHQGVPLPAPPKSEDEGSKMESLENRPVTPPLSKEPPPRHFYQKHESNSNGPADVSTPALSSISGAKTETSSLASARSSSTDHSGDLSISNASDLETEWVEQDEPGVYITIRALPGGNRELRRVRFSREKFGEMNARMWWEQNRGRIQEQYL >cds-PLY72259.1 pep primary_assembly:Lsat_Salinas_v7:7:87978737:87982159:-1 gene:gene-LSAT_7X60640 transcript:rna-gnl|WGS:NBSK|LSAT_7X60640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWRGRGRGRGGFGGGFVRFAKEEKYEVFPEITDLPDVNLKQKKDDYWTLVSSADNLKKFWNSSPYYLEDLSESGKKSINRRPPLSDYMMLTTDYVPAELVGKNVRPMKKKKTQWDLQSDLQRLDLFEKLDLRPQNEDEEKKDDEEEDEDIENMEEEEDDSQDDYALGRDYDDDEDDFNMNDDHADDEGCY >cds-PLY90733.1 pep primary_assembly:Lsat_Salinas_v7:3:36353584:36355246:-1 gene:gene-LSAT_3X27981 transcript:rna-gnl|WGS:NBSK|LSAT_3X27981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTCNACNKQLIDENDQKIHYKSEWHRYNLKRKLAGVPGVTEALFLARQSTLAEEKSKMSEPPMLYACGLCGKGYRSSMAHAQHLKSRVHTTRASQDENTAMIIIKPLPHRIVNDLPQIDEESDEWEEVDQNGNLIGEMTSTSDEDMDDENEEELDPTCCFMCDQKHKTIESCMIHMHKHHGFFIPDVESLKDPTGLLTYLGLKARYLQHSSVSTFKQFPCLRTFSYNFNLILQVKRDHVCLYCNINSQPFNSLEAVRKHMAAKSHCRVHYGDGDDEEEEAELEEFYDYSTSYVDENGKLQLVSVEGTGDSIELGSSGSELIITRRNDDDKISTIAIGSREYLRYYRQKPRPSNGNGTAELAARYKSMGFSKEKMVRMNVMKQMNRSGVEAMKSKIGMKSNVISNLPKNVAY >cds-PLY82756.1 pep primary_assembly:Lsat_Salinas_v7:2:146370175:146372064:-1 gene:gene-LSAT_2X72521 transcript:rna-gnl|WGS:NBSK|LSAT_2X72521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGSNIASKYLKSSRKALSLLDQPCLTSSYINQIHAHLICSGSISDPFAAGKLLFSCITSTHADLQHAYTVFQSIPHRSTYIWNTMIRAFTEQGKSQHAISLFKRMFGCGFSPTNHTFSFVLRACADLSELQLGLVCHGQAIKLGWESYDFVQNGLIHLYAVCNHLDYCRRLFDSSSDRDIITWTAVINGYAKSSQTEVARQLFDEMPMKNNVSWSVMINGYVQVGLFKEALDLFNDMLHSGFHPNRSSIVLALSACGFLGALDQGRWIHTYVNKKKMNLDVILGTSLIDMYAKCGCIELAYIVFENMTYRDVFAFTSLISGLANNGESKKAIALLTRMNTEGVKPNEITFICVLNACSRMGLVKEGLRVFEMMSEVYGIEPSVKHYGCLVDLLGGAGMLEEAKSVVTKMPMEPDSYVLGALLNACRVHGNVELGKEMVEGLVERGLDFSGVHVLLSNIYASVDEWGYVESVRKKMEDEKVKKEVGCSLIEVEGVVCEFVAGNKVVVEEIELVSLGIDKHLRSYWIDT >cds-PLY65969.1 pep primary_assembly:Lsat_Salinas_v7:4:139513037:139515354:1 gene:gene-LSAT_4X87041 transcript:rna-gnl|WGS:NBSK|LSAT_4X87041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSFFFGITIFLFVTLESDHLTSHVDAATSEGVEVSYGSVIKLMHERTEFRLHSHPVPYGSGSGQQSVTSFSKVNDANSYWVVRPTLETSVKQGESIKSGTIIRLQHLKTRKWLHSHLHASPLSGNLEVSCFGDGGRSDSGDHWKLVIEGSGKSWKQDQKIRLHHVDTSGYLHSHDKKYRGVAGGQQEVCGVKEKSGDSIWLATEGIFFTTNEKK >cds-PLY86108.1 pep primary_assembly:Lsat_Salinas_v7:7:139219993:139221666:-1 gene:gene-LSAT_7X83500 transcript:rna-gnl|WGS:NBSK|LSAT_7X83500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFRALNFAGYLCLFALIIQVSDIRALISMPDVNRHYPKALTDLKEAIVQGLGSQSDNLNLFGFDLKGALVAQSMEFDLQIDNKILPIKLLDDVNKWEYVDLPTFLVEDPIKLSGENPLVQKKQTSGNRLPSLPPFQLAGPMELWIQDAKDMRLFLPHDVDAGELKKVILAEGAVVTVKGAKSVSLRHPLDLPLPFNKSNTNFASGLQTLSDHLRTGTQLLSLRITGPTSLNSPATPSPTFNKLKLKRLAPGLVELSSIPKVNTSNSISAIDDTFFSPGHFPTFWPVTSINGSNSNLRGLEKLLSALLTSTGNNGGSFKLLRADVSAQMYVKMGFGAEKRLKGNESFLEGYPEWRSKPESVKMEYEVLAKVEGEKFVPETIVEVEPVTVEDTVALGVARGNITVSKMPILNVPFSPFDL >cds-PLY81454.1 pep primary_assembly:Lsat_Salinas_v7:5:335125155:335127486:-1 gene:gene-LSAT_5X188341 transcript:rna-gnl|WGS:NBSK|LSAT_5X188341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADMNYFKPHWKNFTFSQLQSATNNFSKENMIGKGGYAEVYKGVLKNGQLVAVKQLTRGPIDERTSSFLSELGVMAHVNHPNTAKLIGYGVEGGLHLVLDLSPNGSLASWLHALKEKLDWGIRYKVALGTAEGLLYLHEGCQRRIIHRDIKAANILLTQDFEPQICDFGLAKWLPEHWTHHTVSRFEGTFGYLAPEYLMHGIVDEKIDVFAFGVLLLELISGRRALDYSQQSLVLWAKPLLRKNNVRELVDPAIAEDCNKQELHRMVLAASLCIQQSSIRRPDMNQVLQLLKGEQGGWEFAMKNCRRGSSLKKRYEDLFSSVKYGMIESNGLIRL >cds-PLY70773.1 pep primary_assembly:Lsat_Salinas_v7:3:136112159:136113094:-1 gene:gene-LSAT_3X90821 transcript:rna-gnl|WGS:NBSK|LSAT_3X90821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQEWKEVPRRRTTDKIGKEVTTFFVTNVPKDATKKEMHDAFIRFGRLVDIFMGLRKGKNGEYYAFIRFTDVKNVKDLEIRLNGTMVRGRKLEVNMALHKRKEIPTMARNINSNSTGRHNDPYTDKQPTNGVWGRSRDHRTFAEVLGQKRHAHIAPPPPPPTPPHIVLCRNTQNWLWKTSLIGEARSLDHLGHLPKLLSFQNDIPMDTKYVGGLKVLLQFNDSVTAKGFKDNKERWQEHLKWVDWAEKIDCEFDRVAWIRIVGLPLHLWGESNFTRITEGFGTTIAPFDDLPNRVDLSCVKSESLPKRGRE >cds-PLY69857.1 pep primary_assembly:Lsat_Salinas_v7:6:2734566:2737139:-1 gene:gene-LSAT_6X341 transcript:rna-gnl|WGS:NBSK|LSAT_6X341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear poly(A) polymerase 3 [Source:Projected from Arabidopsis thaliana (AT3G06560) UniProtKB/Swiss-Prot;Acc:Q56XM9] MAYVIHHNDPTGRAALVPNYSSLNIINPNFVHNHHPQLLYPVRFALHPAVVAQIELARSITLQQLIIDEGLVPSQEEEQRRNNVIRRLREIVMKWIKNVAYLRRLPENHIRAASATILTYGSYGLGVHNAESDIDALCVGPWFASLTEDFFIVLHNMLAKRVEVSDIQCVKDAKVPLMRFKFEGISIDLPYAQLQVKTVPENVDILNSLFLNGIDETSWKSLSGVRANNSILQLVPNVKLFGFFGGVHLAVLSAFICQRNPNVRLLAALVSIFFKTFAFWPWPTPVILQGSMLPRHHPETRSLMPIQLPSSPHEYCHSNITNSTFTKIKTEFRRSYCLIQDLLKPQFDWRNLFEPYPYSKIYHRFLQITLSCSKKDELGDWVGWVKSRFPGLLVKLELQSFCDPNPSEYFDESIQDPNVVFYWGLAVDDLDLDLVQKEFQKNLGNGFKGITGKMTLSLIQTV >cds-PLY63974.1 pep primary_assembly:Lsat_Salinas_v7:7:119435608:119436635:-1 gene:gene-LSAT_7X72541 transcript:rna-gnl|WGS:NBSK|LSAT_7X72541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIADKSYYQNEEDPLVRLLGPEHGERSQTISSIIGPTKVSGRLFKNVKKSRNSIPRVDTSPPFCQSNGVSGGRLVEYPPIEVMTTCDFLLKVADTELKVASGMAWPTSEMVIHSKPVNEGCVKVQVDEIIEIYEVLPVHVVTRTDEVEFVKHLLHTIIQWLRNALKLVNKTPSKSNSGTRMGSSRSSPQIHVDDTTNSFYRPQFEENHFPYHHQMDANEPFQDIIYESTTN >cds-PLY88655.1 pep primary_assembly:Lsat_Salinas_v7:5:139439680:139439883:-1 gene:gene-LSAT_5X61220 transcript:rna-gnl|WGS:NBSK|LSAT_5X61220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNIPHFDDVVDLDEDVDDDELNTQKRRCPVSSSGLTATNKNTKRPMHAYYNQSETEGKKNGYLVGT >cds-PLY91471.1 pep primary_assembly:Lsat_Salinas_v7:7:143088231:143089657:-1 gene:gene-LSAT_7X85641 transcript:rna-gnl|WGS:NBSK|LSAT_7X85641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAGFLKNGNKAHIYINTIWRRHSISDSLGAPITRTPFQQPKASYSRFPQRKVKDIEFSVPFPTTNTQSASRLSSISKSAFIGWYLGMIKTRPIVTKSITSALIYTASDLSSQTITRSSSESYDGIRTFRMAGYGMIVLGPALHYWFNFVSRIFPNRDLMSTFKKMFLGQAIFGPVMTVVFFSVNAGIQGESGREIVARLKRDLIPTMINGVMYWPVCDFITFRFVPVHLQPLVSNSFAYIWTCYMTYMASLEKAGIK >cds-PLY98649.1 pep primary_assembly:Lsat_Salinas_v7:1:36035424:36038456:1 gene:gene-LSAT_1X30460 transcript:rna-gnl|WGS:NBSK|LSAT_1X30460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVAYRPSGNFSLRDFHHAVNNLPHDAFLPELNNCIAVSCCDVHLASVLSDKVLYSWGGDNEDVGRKVIVISSCLLENLDSVIKKTLMEAADKCISVDFILLEKVSSGVGALSESINNFIKNICDLENCSFHNHLPDAKVLCSLVKQWLQDLRDETEQLQARLKFKRNILGSLNQICCNLFPSFTHIIDDFEPCQSCRCHGYPLDDEHRNKMVKSTCCPVTGNELDTLDSTVNSLKVGEQTVLFMPSFHYYTKLQHITTPIDFNIIDRTNLKSLSEGLIVGTPFVVVPSSSNELDDIDQTELNNQVFQGLCSALHFLDQGLVCSSRCNTDTMRETPFKCYYILLPSDNGVMLLRRLAGSEEVTPIPDVSQSFDSTEAKDIKDSIKASLLEIEVRDYNPILHERGFHQKLNMLVKESLHFGSLPTKSEESPHELKSTQGESLSEPGSSKQSKGMIAFEEKKEMEISQMDLKSGQDKITARITEEWEQLIVNEIPNINSSTCVSKPKLDQLASTPIESNKPLDEKTSRILERLEIPRKLKAKVSSPIITSSSSPSDACMLTKKPLIPFGPTQTSDMGTNLSQPMRPNFQRVRRKYR >cds-PLY69666.1 pep primary_assembly:Lsat_Salinas_v7:5:211258374:211259303:-1 gene:gene-LSAT_5X96001 transcript:rna-gnl|WGS:NBSK|LSAT_5X96001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSAASFSIVSSPSSPFDLPYFNKFTRIIPRTSSTNLIKFHPITQSLSIEEDVGSPNRVLVNNSIADFMRFNKGASGELRTIIDSYLKKFPWSLLKTFLQINKSIRYEGLKEEKCGFWEWIDEDEELITKNKNKKDETHEDSIELKITILENDFSVYKVKIDKESKSFRKELDKMSRKLCTLKCFVLMHMCMLL >cds-PLY67415.1 pep primary_assembly:Lsat_Salinas_v7:4:240707777:240708961:-1 gene:gene-LSAT_4X128500 transcript:rna-gnl|WGS:NBSK|LSAT_4X128500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFADQLPSYYRFRPTDSELIVDYLNAKIESREPAKCRLHEVNIYNHMPEELAETYRSHEKKWYFLTSRDRKYPRGNRPNRGVLGKHGYWKTTQVQKPVYDATSGEMVGYKGSLAFFDQNDDKTMWLMHEYTTNEPNLPFENGDKLNEWVLCKIYQNPKEVMEEPNIPLPKRRRVLKKNEMSFSNHQPEQVDVQETNIYSDSCVDQMVTPSHDQSAHIWVNNGNTVGQIRMNSSPYPIPTQPLTTFQAIRICLLRLQATVVKFLIIVLQVMFLILDHLLPPHNRLMMVLTKRLLVSMA >cds-PLY75636.1 pep primary_assembly:Lsat_Salinas_v7:1:101216154:101219483:1 gene:gene-LSAT_1X82220 transcript:rna-gnl|WGS:NBSK|LSAT_1X82220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGRSLDKYRIPLGEIMRATKNFSSETLIGDGGFGFVHIGQLSEEWNRRTVAIKCLNQDGYQGNNEFHNELDMVSSFHHPNIVTFIGYCDESDHMIIVYEYAINKSLDRHLQDPHKMRSLTWTHRLNICLGAAKGLKYLHSGLGEDKRVIHRDVKSANILLDENLEAKICDFGLSKFGTRNQEDSQVHTKVAGTRFYMDPVYNERSSLTKESDVYSFGVVMFEMSSGTLVYNPKCFGDDDNPQYLINVVRRVYDDVKKAADPDNLIDPIIRDGINLKSFHTFNKIAHECLSLDLHKRPPLARIIRKIELALKIQLNHHESPSSITTRILDSYIIPLEQINLATNNFSRETYIRGYGRGVGDSGPLYARWQNHTNVINRLNPKSYPGENEFNKALQIVSSFHHQNISRFVGYCVEGDERIIVHEYCVNGSLSSYLNDSKKRRLLTWAQRLKICLGVARALQYLHLALGEDNEEITGNIMCNNILLDESVEAKICFFGLSSQGYSTRMGPREHISNMFSFGMIMFEILSGGERYALAGDLEPGNEIDILLEYYDNNELDQFIDKYISDQIDGRCLKIFKETAYRCVMRGRWLLRSLQPGGRGMNRVAARRDRGARITMDEVVQKLEDAADFE >cds-PLY67314.1 pep primary_assembly:Lsat_Salinas_v7:8:235473621:235477838:1 gene:gene-LSAT_8X141520 transcript:rna-gnl|WGS:NBSK|LSAT_8X141520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSDAECEAAVVAGNIPEAPPVPATPSSPAGTPVVPSLDINRRPRRNRRSPVLRSAFQETSLSPANFVYPLFIHEGEEDTPIGAMPGCYRLGWRHGLLEEVAKARDVGVNSIVLFPKVPDALKTPTRDESYNDSGLVPRTIRLLKDKYPDLIIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVSQARAGADVVSPSDMMDGRVGAIRSALDAEGFQNVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALIELRADESEGADILLVKPGLPYLDVIRLLRDNSPLPIAAYQVSGEYSMIKAGGVLKMIDEEKVMLESLMCLRRAGADIILTYFALQAARSLCGEK >cds-PLY61817.1 pep primary_assembly:Lsat_Salinas_v7:6:59393290:59393844:-1 gene:gene-LSAT_6X44380 transcript:rna-gnl|WGS:NBSK|LSAT_6X44380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGLNINLVVIMALMLASVQFHGTEAQTTHVVGNALGWNIPSNGPSAYITWASTQTFRVAMFFSLTSSPDSITLLKYRRRRTAYAPPPTPSPSPLPPARVTLNAPGTHYYICTVGTHCQIGQKLTINVSATSATPSPTATPATPAPVSPPTHSTSLQQPSPSFALSFTAVAPFSFLAIALALFF >cds-PLY76864.1 pep primary_assembly:Lsat_Salinas_v7:3:5159449:5161381:1 gene:gene-LSAT_3X2800 transcript:rna-gnl|WGS:NBSK|LSAT_3X2800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFWIHLTPELLAISIVTLGILWHLISSSSNDVPPLPPGPRGFPIVGYLPFLSHDLHKQFTEMAQTYGPIFKLRVGSKLHIMVNTLDLAREVVRDQDEIFANRKAPIAAATSTYGGQDIVWSNNNSYWRNLRKIFVHEVLSNKNLDACSSYRRDEVRKTIKNVYGKIGTAIDINEISFSTEANVLTSMIWENTSVKGANDRDLAAEFQMIVAEMVELISQHNLSDLFPSLARFDLQGINRKMKKSLKKLDRIFTSIIDDRLEFNSKKKEDAVEYEGKKDFLQILLDLKEEKKESSFNITQIKALLVDIMVAGTETTTTLIEWAMAEIMQDGNIMKKVQEELIQVVGLNNIVEESHLSKLEYLDAVIKETFRLHPVVPFLIPRIPSKTCIVGGYTIPKGCIVFLNTWAIHRDSKYWDNPLKFDPERFLKNNMDYKGNNVSFMPFGSGRRLCPGVPLAEKMLMYVLASLLHSFEWRLPKGEQHDLSENFGITLKKRKSLVAVPSQRLSNVNLYM >cds-PLY85454.1 pep primary_assembly:Lsat_Salinas_v7:3:41504673:41507394:1 gene:gene-LSAT_3X31781 transcript:rna-gnl|WGS:NBSK|LSAT_3X31781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFDSRVEEKSNPQKVGADRPEVHPSAPSNISRLPSGVDRLKSRNNVSLRRESSGSKEGPYGQIAAQTFTFRELAAATSNFSPDCFLGEGGFGHVYRGRLQGSGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDREALDWNTRMKIAAGAARGLEFLHDKANPPVIYRDFKSSNILLGEGFQPKLSDFGLAKLGPTGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSTAPQGQQNLVTWARPLFNDRRKFASLADPRLEGHYPMRGLYQALAVASMCIQEQAAARPLIGDVVTALSYLANHAYDPGAVNGQSNKHTNGRVLKNEEGGGSGRSRWDLEGSEKGESPRDTPRILNRDLDRERAVAEAKMWVEKRRQSAQGSFDGNSNGSGQ >cds-PLY93004.1 pep primary_assembly:Lsat_Salinas_v7:4:193901564:193902758:1 gene:gene-LSAT_4X110720 transcript:rna-gnl|WGS:NBSK|LSAT_4X110720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLDYLFGSGEPKPTTARTEPVDAAPVNHVHVAAATNEPPPKPTATVPQPDITKQIPAGIQGSQKNNYFRAEGQNTGNFLTDRPSTKVHAAPGGGSSLGYLFGDGSNGK >cds-PLY62567.1 pep primary_assembly:Lsat_Salinas_v7:9:75750788:75752224:-1 gene:gene-LSAT_9X61160 transcript:rna-gnl|WGS:NBSK|LSAT_9X61160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPTLVSADEEPYEDKEEPSEEEHHGNEIGGVPADRTKSDIEGICDWVLHTFLEEDQVVSCTPEIKSEYNLTMPLSPTPISANKEPFEDEEEPSKEEQPGNEIGRVPTDTSPYPNSIPTMIVRRLGRGTPPSQSHR >cds-PLY62858.1 pep primary_assembly:Lsat_Salinas_v7:9:129841906:129843263:-1 gene:gene-LSAT_9X83640 transcript:rna-gnl|WGS:NBSK|LSAT_9X83640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASAYVLLISMLMPIFLSSFVSADPDLLQDVCVADLASDLKLNGFPCKSNITADDFFFPGLAKAALTNNTFGATVTPASVTQLPGLNTLGVSMARIDYAPGGLNPPHTHPRATEIVFVLTGELDVGFITTANKLFTKTIKMGEVFVFPRGLLHFQINNGKVPAAVIAGFNSQLPGTQRAADALFGSSPTVEDHVLTKAFQVGTKQVEKIKSRFAPKK >cds-PLY92187.1 pep primary_assembly:Lsat_Salinas_v7:6:74927242:74927926:-1 gene:gene-LSAT_6X53661 transcript:rna-gnl|WGS:NBSK|LSAT_6X53661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKMVEIGMKKGPWTPEEDEILSSYIKREGGGRWRTLPKKAGLRRCGKSCRLRWMNYLRPSVKRGGISPEEEDLILRLHCLLGNRWALIAGRIPGRTDNEIKNYWNTNLSKKLISQGIDPRTHKPLSSSSSNPNYQNFVHLSCSMEQPEVGLPNSNEIISNPNSNKDENKEDEILSYSADDAFLDSLINEEM >cds-PLY69289.1 pep primary_assembly:Lsat_Salinas_v7:7:130397411:130399995:1 gene:gene-LSAT_7X77660 transcript:rna-gnl|WGS:NBSK|LSAT_7X77660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAALESTVSLKRIGGKCEGEVIEESVSNKPSKRQTKRKTKKQVSIIDEDDEVTVSDNQNDNTMMLEDTGKSKDVNIQVNNYVPTTTLIPVQAENPIPVQTNNEMDVEVLKRMKKNYIVKPQDQPKGLEELREGLIQKKHWRIAYKVLEKGKVQNEMWYLDDKQCYTSDCLNTILSGAEMCKADTKEELKWVLEVIRWWIWVRLVLMEIAKEVFKEHS >cds-PLY75150.1 pep primary_assembly:Lsat_Salinas_v7:4:62052133:62055482:-1 gene:gene-LSAT_4X41280 transcript:rna-gnl|WGS:NBSK|LSAT_4X41280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSSKFLLFSLALLIITFSSILKFASASLEEANALLKWKESLQIPNNSLVSSWLPNNSSASAPCTSWLGVICNTDGSIHTLNLTSSGINGTLHRFPFSLLRNLMHFELNVNNFFGPIPPEIRLLSNLVYLDFSENRFSGVIPPEIGMLATLETLYLNGNNLNGSIPQKIGQLTFLYELALYNNSLEGELSPSLGNLKNLAYLYLDNNKLSGPIPQEFGQLVNLVEVYITQNSLHGPIPKEIGNLKKLTILYLFQNHLNGSIPQEIGSMVSLERLSLFSNNLSGSIPSSLGELTSLNLLHLYQNQLSGSIPIEIGNLKSLTKLAVSENNLSGSIPSSLENLSSLQYLSIRDNKLSGNIPQGLGSLDLVDLEMDTNQLSGHLPKDLCHGGNLIYLSLRGNQLTGPIPRGLRNCRSLMRAFFDDNEFTGDISKSFGVYPSLVYLDLSHNKFHGQLSENWSKCKNLTALRIAYNNISGSIPPEFGNSTQLGRLDLSSNHIVGEIPKEIGKMKSMLYLSLADNQLSGIIPNELGLLRDLIALDLSTNRLIGMIPRNISNWEHIYYLNLSNNKLTEKIPSEIGKVSQLTTLDLSHNLLFGEIPSEVQSLQNLVKLDLSHNRLSGSIPDAFEKFLSGIDITFSYNELTGPVPPYAIFANASIHVFQGNPGLCGNVTGLKLCSSQNIMKKKDPFHHKLVLVIVLPIFGAFLLGLLMCSLIAYRRQKKILPHEQPSDDEGDDFFSISSFDGRVVYDEVLKATNDFDDAYCIGTGGYGVVYKAELQPNNVVAVKKLHSSSGNVDRKGFLNEVRTLTNIRHRNIVKLYGYCAHARHSFLIYEYLEKGSVGSILSSDILAKELDWLKRIKIVKGVANALAYMHHDCSPPIIHRDISIANILLDFDYEAHISDFGTSKLLKLDSSNWTAIAGTYGYISPELAYTMVATEKCDVYSFGVVALEVMIGKHPGELITSLPTLAIDYLLLANVGDRRIPPPSSAVEKQVKLVLSLSRACLNSNPHARPTMRQVSNMLMED >cds-PLY88647.1 pep primary_assembly:Lsat_Salinas_v7:5:140845224:140846324:-1 gene:gene-LSAT_5X61760 transcript:rna-gnl|WGS:NBSK|LSAT_5X61760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGDWNAAQVLIGNREYLLRCSITHNYETALHVAASGQSNPESINYVENLVRLMNREDLQLQNKNGNTALSLAAAAGNVEIARIMLRKNGDLPIIPNKENMMPLYIAALCGNEDMVKYLYKDFQGMRGPGWTTTTMSWVLVKCIEYVVLDILEDHPELPQHSQRTLALKALARKSSAFNGIEPTKCKVVRILGLIWENFEGERKAVIDDILRGHPDENGLHPNGILFIAAEMGNTNFLVELIRKYPDIIWKKNDNHQSIFHVAVSYRHVNIYKLLYEIGSLKTLIFPLKDQDGNNMLHLVGKKSMRSRLDQDVSGAAFELQRELLWFKVYVV >cds-PLY79504.1 pep primary_assembly:Lsat_Salinas_v7:1:33602404:33603998:-1 gene:gene-LSAT_1X28940 transcript:rna-gnl|WGS:NBSK|LSAT_1X28940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKQLRQRGGGAVLYGTTTTRSDLFESLPDEIVIVILCKLTSTASSPADFVAVLLTCKRLKKLGVHPLVLSNAGSGAVAVRAKNWSDEAHRFLKLCVNAGNKEAYYTLGMIRFYCLQNRGSGASLMAKAAIKSHAPALYSLALIQFNGSGGKKNDKDLRAAVALCARAAYLGHVDALRELGHCLQDGYGVRKDVEEGRRLLVQANACELASVLRAFNTTPSHRPDLIESNGSPCGYGSSLLEKKLHPANRFLIEWFGLRGGGVPGPGLRLCSDGWCGRPETRRNEFRRCSGCGMVNYCSRGCQAHDWRVHHKLECAPMEEWNHVIDGVDEEEQVTDDRTVEIYEIESDEPLDSSL >cds-PLY89411.1 pep primary_assembly:Lsat_Salinas_v7:4:122432826:122433332:-1 gene:gene-LSAT_4X77161 transcript:rna-gnl|WGS:NBSK|LSAT_4X77161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKNEALSIRVEILERKLLENDKIFINTKEFAAKLECHLKWVVNKGAVRIMDKVIELLKFIHGIGLIKNICWIVGEESGRESVKRGVVVGTFHIGATSSSLSHVGEVTNYIYAFVSCDYATLLHLGELDVDVLRELCIYEDNVDRGINGVVEITGLDDDDGKGGRFVA >cds-PLY82479.1 pep primary_assembly:Lsat_Salinas_v7:2:185913638:185915632:1 gene:gene-LSAT_2X106861 transcript:rna-gnl|WGS:NBSK|LSAT_2X106861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEKDDTKKEAGEKKPADAGGPKKSDGGPLTVVLKLDLHCDGCAKKIIKSIRHFEGVESVKADTPGNKLMVTGKVDPTRIKERVEYKTKKKVEILSPQPKKDGGGGGDKKPDEKPPEKKPADDKKPKEPQPSTVVLKIPLHCDGCIHKIKRQISKIDGVESVTPDSGKDMVTVKGTMNVKELLPHLKEKLKRKIDIVPPKKEEKGSTDAKDDKKEKGEGGGGGDKKEKEGGGGGGGDTKAKGGDGVAKAAVGGGGGEDKKKGIEVVNRMEYSGHNPYTYTMPSYNQNYYNQNYYNQDYGVLASSSHGYVSQGYNYGHGMEYSHPPPLPPPPMYLHDPRVADSGMFSDENPNACSVM >cds-PLY89807.1 pep primary_assembly:Lsat_Salinas_v7:2:138294828:138297850:-1 gene:gene-LSAT_2X67761 transcript:rna-gnl|WGS:NBSK|LSAT_2X67761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHNKSRKFKNHHAQGQSSRTNQLNREDESLPADPETEDETSMVVSKIQLAMWDFGQCDVKRCTGRKLARFGLLKELRVGNGFGGIALSPVGQQCISREDSELIKRKGLAVVDCSWARLDDVPFTKLKCAAPRLLPWLVAANPVNYGRPCELSCVEALSAGLIICGEVENGELLLSKFKWGHSFLSLNRELLKAYSKCENSAEIINVQNSWLSEQSSKIPKAVPKEEVVGDEVHSEDEGSDDGLPPLERNVNHMTLDESDTESE >cds-PLY70948.1 pep primary_assembly:Lsat_Salinas_v7:5:151783230:151785179:-1 gene:gene-LSAT_5X66800 transcript:rna-gnl|WGS:NBSK|LSAT_5X66800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKLNLPFHAYRHNKPPTKISTPNNRSWRLLKRDRSFPLTSMATTTKPMKKTSSLHQKWKGTKDQNDFSSLLEPMDPLLKSEVIRYGEMAQACYESFDNDPYSKYCGSCKVPPKNFFQDLGMNDFGYDITSYIYSSNTSNLVPKFFTKSIHSDGPWSPSVNWIGYVAVSDDETTARLGRRDIAIAWRGTVTKLEWYEDLMNFLKPVSAQKLASRDPKIKVMAGFLHIYTDKDQSCMYSEFSAREQLLAELARLTMIYGEKKEEISITITGHSLGSALAILSAYDIAESGLDILDNMHDIPISVMSFSGPRVGNTRFQNRLELLGVKVLRVFNVHDKVPNVPGFFLNEYTSSLARHIFDWTTWFYSHVGEELALDHTKSPFVKTKLDLPSKHNLELLLHLVDGYHGKGTEFCLSSGRDKALLNRDGDILKGEYLIPPKWFQVENKGLRKKPNGKWELPEQKGIEDHLRPEDVELHLRKLGLKVSY >cds-PLY78933.1 pep primary_assembly:Lsat_Salinas_v7:8:3426804:3430794:1 gene:gene-LSAT_8X2041 transcript:rna-gnl|WGS:NBSK|LSAT_8X2041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGLWLTKLMGGMVCIIPNSSHPRNNLNRMSKVHKIMCVKDEKTHLQFKIYSTYEPPPPSFAIRPFLQFIFSIVLMNIGLLAGFDFYENPILTSVHQISNKFHLRNTWPPLDSNLDEKRNVIVIREL >cds-PLY67227.1 pep primary_assembly:Lsat_Salinas_v7:6:136704710:136706415:-1 gene:gene-LSAT_6X82321 transcript:rna-gnl|WGS:NBSK|LSAT_6X82321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKFDWLHPSRIKDANGRRPNNPLYDKRTLYIPPDVLRTMSASQKQYWGVKSQYMDVLIFFKVGKFYELYELDAEIGHKELDWKITMSGVGKCRQVGITEHAIDDAIEKLLARGYKVGRVEQLETSEQAKSRGSTAVIQRKLVNVLTPSTLVNGNIGPQAVHLLAIKEGIRNLDDGSTAYGFAFVDCAAXGTPEFMAPELYEEEYNELVDIYSFGMCLLEMVTFEYPYSECKNPAQIYKKVTSISFGNGSLSKVGDSELKAFIEECLVPATERSSAGKLLEDPFLKEETPRSLNFMEIDQFVCLNTKNGGAEFKRIHQQNEFRLKGIKNDDNSVSLTLQIADRCGEKLFN >cds-PLY62219.1 pep primary_assembly:Lsat_Salinas_v7:4:274764910:274765840:1 gene:gene-LSAT_4X141040 transcript:rna-gnl|WGS:NBSK|LSAT_4X141040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKELDVEGNGTIDFPGFLNLLTRKMNTQRGAIFIEIKNNVNKIAKWTTPTIVTSANMMRMGYTTRVHPCDHFDLVILAIVGNKQKGIDGQIGTKFDDQFTPHEKFLLLPLNLKIAKLKEKLTTSREIQASLVEIEDRSASFNRLPEWIGAFKEIGYLESAILSSTL >cds-PLY94993.1 pep primary_assembly:Lsat_Salinas_v7:5:5045853:5050283:-1 gene:gene-LSAT_5X2560 transcript:rna-gnl|WGS:NBSK|LSAT_5X2560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDVQNPLLGDTTCGSLLHQLQKIWDEVGESDEERDKMLLQLEQECLDVYKRKVDQAAKSRAHLLQALADAKLELSTLLASLGEKTFVGIPEKTSGTIKEQLAAIAPALEQLWKQKEERIKDFSDVQTQIQKICGEIAGSSNQQAGSPKVDESDLSLKKLSEFHDQLKELQKEKSDRLHKVLELVSTVHDLCAVLGIDFYSTVTEVHPSLDDATGVASKSISNDTLARLAKTVIALKEDKKQRLKKLQELATQLIDLWNLMDTSEEERSLFDHVTCNISASVDEVTVPGALALDLIEQAEVEVERLDKLKFSRMKEIAFKRQGELEEIFAHAHIEIDSQAAREKILTLIDSGSVEPSELLADMDNQIIKAKEEAISRKDILDKVEKWMSACEEESWLDDYNRDDNRYNASRGAHLNLKRAEKARILVNKIPGLVETLVVKTRAWEEEHGLTFAYDGVPLLAMLDEYALLRHDREEEKRRMRDQKKFHEQLNTEQEAIFGSRPSPARPLSSTKKVVGPRVNGNGTPNSNRRLSLNQNGGGRSGKRDSARPIAPLNYVAISKEDAASHVSGTEPAPVTP >cds-PLY75812.1 pep primary_assembly:Lsat_Salinas_v7:3:69643656:69645381:1 gene:gene-LSAT_3X53741 transcript:rna-gnl|WGS:NBSK|LSAT_3X53741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINPKLARSLRNLLPLMHRDLSSRFSPTPFASHHDRIAFHLFQSRPFTLHKSNSSFSFVVFGFHHSVYRPPKISSSFNPSFASHHGYSTTTNASTEDIQCQNSQEPPHSDTNDEVEPIDLWEEEDEAEPKIGDGGDGGGVVLQNCPWGEKVLSIAQDVLRQFGDDIEIFAFKTSPRGYIYVRLDRLCNEYGCPSIEDIQSYSHEYKKRLDKAGETGDIPCDLALEVSSPGADRLLRIPDDLERFKDMAMRVKYVEDDDHRCKEKEGVFFLEAIEVEYERCVWRLADVKENRDPSSKGRPLSRKQKDWRLELPYERVEQATLYLDYQ >cds-PLY96724.1 pep primary_assembly:Lsat_Salinas_v7:6:76328393:76332625:-1 gene:gene-LSAT_6X56560 transcript:rna-gnl|WGS:NBSK|LSAT_6X56560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLILFHCSKRGVNKKVLKVPSIEQKVLDATSNEPWGPHGTHLIDIAQASRNYHEYQMIMSVVWKRLSDTGKNWRHVFKGLTVLEYLVINGSERVIEDIREHANQITALASFQYIDSTGRDQGSNIRKKSQILVALVNDKEKLQEAREKANASRDKFRNTSGDEGRFGNREEDRNGYGREREWGDDEYRGRSRSNDGDYGTRSQSSDRERDRSFEDDGHRSSRGSSARVEDASPDGRGLEPKLSGQNVGGPPSYEDAVSDGHSPVDTKRNGETATSSPQVNINHQEIPAAAAPPPPAAAATPPPVTTTPPPPAVTVNNENDGFDFFDPRGAAPAPALAVALAPQASGGGEMEDLFGSLSESFSSSNALALVTSTSSITTEVHPPANTNPYLTFDTSSTSQAFDDPFGDGPFKAVPSTDGFSAQPPTSPFTTTAEPPSFSVDPMSGSGGFGDTFDFDSTVDILADILPPPGPSQTAFPSQNGQTSSFSAEPNQTTFPSSFSTPFGQPPTFSSQGQGGQPFQPQAAQSMPHPAFPPQGVQQQQSMPQSAFQLPGGHQSMPQSASQLPGVQQQQPMPQSAFQLPGGHQSAFQSPGVQQSMPQSAPLPLQGGQQSMPQSAAFQLPGGQQSIMPQSALQVQGGQQSIPQPSFPAQGGQYASQPGFPGHGGQYAPQPGFPGQGGQSVPQSSSQNGGFSSQLGGVSVSGAGANGQSVLHPGFPAQGGQSGFPSQGGQLMLQAQAQGSQSLLQPGFVAQGGQNASLGVGGFPSNGQLAQPNVSQPQPVFTNSNGHAQSNNLFGGFQPHSSSASMAPLSQVTPTPTPTPAPQYNPSNFYQPQQPPALTTSTGALALVPQQPTDKKFETKSTVWADTLNRGLVNLNISGAKTNPLSDIGIDFEAINRKEKRMEKPSQTPVVSNVSMGKAMGSGSGMGRAGAGSVLRPTPNPMMGAPTGFNQPMGMNPMPPQNMGMNMGQGFQMQQGYPPGSAMPANYNNNPMMGRGAAGYAPQPYGGYR >cds-PLY81670.1 pep primary_assembly:Lsat_Salinas_v7:2:24662557:24662931:1 gene:gene-LSAT_2X13281 transcript:rna-gnl|WGS:NBSK|LSAT_2X13281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLNSSPSSSSSVPPKRWKNDVFLSFRGEDTRNNFVDHLYSALFKKGIHAFKDDEELDKGKPISTELLKAIEESMFFVVVISKTYADSSWCLDELFKIMECQVHMGQMVLPVCYHVDLSNVHG >cds-PLY86175.1 pep primary_assembly:Lsat_Salinas_v7:3:242208817:242229818:-1 gene:gene-LSAT_3X135480 transcript:rna-gnl|WGS:NBSK|LSAT_3X135480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGGKEKSGGDNGRVNNKAYTDTNDVDALWKQACLDREKDLDKLMNPYGVVTDDTYDLPITNGSSLAAIKANHDPNNLEEGLSPFFTEQFYFVPSSPKPKPKSVPLDMNTEILRLVDSAIMGQPGSMDSIKNMVSGVEDCGDGFDPESVAFLLVDTLLTTMGGVVSFDEDDDDNTKPSVMKNSKAAIISGELIPWLPWLTDSVGFMSPRTRLVRGLRTILRACTRNRSLCCSAGLTMVLLETAEKIFLDDVGSTKQLHWNGGPLCSCLQYLAGHSVTVTELKTWFRLVKRMIKTPWALRLMVSLEKALRGKESRGPKASFEFDGESSGLLCPGEGRWPFLHGYTFATWIFVESFSETTHKTKDAESKSKRFLFSFISGDNNQGIEVYFQAQFLEVEIGSGKGKRANVSFTHVFKARRWYFIGVEHTSNNELRLYIDGNLQETRSIDLPRITKPLSFCCIGTSPSPKKGGLLRNLRQCPLFAEMGPIYIFKEPIGPEMVGRLASRGPDSLPSFGNASGLLWLPTNPHVQNAEEESARLDTEIGGYLHLLYHPILLSGRHCPDASPSGPSGLLQRPAEVIGHVPVTTRIRPEEALWALAYGGPMSLLPFVVSKVNNDTLEPEEGNLNACLASTALAAPIFRIISLAIKHLGNSNELCRARGPEVLSKVLTHLLKTLSSVDVAKHTVADEEIVAAVVSLCQSQKSDFTLKVQLFSTLLLDLKIWSLCSYGIQKKLLSSLADMVFEESSVMRDAKAIQTLLDGCRRCYWTIHEKDSMNTFSLSDGPRPVGEVNALVDELLVVIELILVAAPPPMAMNDIRCLLGFLVDCPQPNQVSRALHLIYRLVVQPNTSRAQTFAEAFISCGGIETLLVLLQREAKSGDHDIQDHDYEAESGSGYPDNIHADDNTSFNGSEFSSFDPHRQNSVFAGSSVGSRLSASESQLIKNLGGISFSINAENARTNIYNIDKGDGIVVAIVGLFGALVSSGYLKFGSHAPTDLSGNLHSLLEGAGSMFDDKVSLLHFALQKTLQAAPNRLMTGNVYTALLSASLNSSARDEELNFYDPQHRFEHLELLLVLLRSLPYATKSFQIRALQDLLILACSHSENRISLTKMEEWPEWLLEILISNYEMGATNASMSTSIREVEDLVHNFLIIMLEHSMREKDGWKDIEATVHCAEWLSMVGGSSTGEKRARREKSLPIFKRRLLGESLDFIARDLRVQTQVIATASAGLGSFGLPPEVSRAEVENAAILSVALVENAIVMLMLVEDHLRLQSKLFSSSHFQAGLKPLEQGNSSKDFEGLSFDDLSYMADGKGEISASEMERLAAAAAAEPYDAVSCAFVSYGSCVIDLSEGWKYRSRLWYGVGQPSNPVDFGGGGSGWDSWKSCLEKDSNGNWVEIPLIKKCVNMLQALLIDECGLGGGLGMSVGTSGGMGGMSALYQLLDSDQPFLCMLRMALLSLREDDDGGENGLIMRSVNNGDYGLHGRLHGDDGSSPSSVASSPGRSMRQPHSELLWSVLSPILNMPVSETKRQRVLVASCVIYSEVWHAISQDRLPLRKQYLEAIVPPLVAVLRRWRPLLAKIYELGPPDELNPLAIDDPALDVDATPIEGALAMIAPGWAAAFASPPAAMSLAMIAAGAAGGDSVAPAPAVNVHLKRDSSTLLGRKTAKLHTFSSFQESLDASKKATVALKDKATAKAAALAAARDLERNAKIGSGRGLSAIAMATSGQRRGKSDMERVMRWNVSEAMGTAWTECLQSVDTKSVYGKDFNALSYKFVALLVGSLAFARNMQRSEVDRRARVDFVARHRLSTGIRAWRKLIHYLIETESLFGLLSKKLCNPKRVFWKVDYMETPSRMHRCLRRDYKGSDHSNVPPVSLDHDKDPKASILALESITIELANEDDEQDTVVNIEGNTDDMWLYEDIHTRSSTTAEQQFQIPMDSTESQVTNYQDFPQSPSAAGPGYIASEHYEIIILELPASMIRPLKVRQGTFQNAEARMSAYRAIVHARPPRLNNIYLATQRPEQLLKRAQLMERWSRWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYKSTHLDLSNPSSYRDLSKPIGELNDERFKKFQENYSSYNNPAIPKYHYGSHYSTAGTVLYYLMRVEPFTTLSIRLQGGKFEHADRMFSDINATWNGVLEDMNDVKELVPEMFYLPEMLTNENSIDFGTTQHGDRIDSVKLPPWAENPVDFMHKHRMALESEHVSAHLHEWIDLIFGYKQQGKEAVAANNVFFHITYEGAVDIDKIQDPVQRRAVQDQIAYVGQTPSRLLAIPHIKKMQVADVLHMQTIFRNPKEVKPYHVPSPQHCNLPASAIHATSDALVIVDTNAPSANIALHKWHPNTPDEHGLPFIFQHGKSSVSSSGGGGFLRMFKGKSRSRSRTFPQAHAYAASGIRSSSIVSITYNNEVITGGHVDNSIRLISPDGAKTLEIAKGHCAPVTCLSLSPDSKYLVSGSRDTTILIWRIHRSPRFRSGNISEPPTGTVTPTSVSATTAASSFIDKNRRRRIEGPIQIIRGHLGEVIDCCVNSDLGAVASCSDLSDVLLHSVIRGRLLRKLHGVKADIVRLSKHGVIVTWNNSLRVLSSFTLNGILIAQSRLPLSCSVHCMEISFDGRSGLIGINSCSENVESNEGSKIERLDVPSPSVCFIDLHTLKVFHTMRLEEGQDITALTMNKDNTNLLVSTSDKKLIIFTDPARINSGVFQVKFTTVVRRAGSSLIGLTRGRRRSRRSLASVSSDDE >cds-PLY96237.1 pep primary_assembly:Lsat_Salinas_v7:7:182477159:182480071:1 gene:gene-LSAT_7X108501 transcript:rna-gnl|WGS:NBSK|LSAT_7X108501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWIFLVMMTIVNLGGTKRVRKVPRQISVTSSGEGSEGELDPKLCMLRRRKHVLASVDATGGSGPRKNPQSTSNLLVGEGTVSNTTEPVVCQNTDSFLGHYRASVGIIKLYDKFHPGINHRGGGEALILIKRLHKLRVKWNSIHGIVMRSFCQMEFHTWEKVAKENKEEMINKLRENFELPHEDKVLMEYVDEQMWRQWKRTRNIFKDYWKKNGGMTGPQFARSKMKLDCRSEEDWGYLFDYWESDKANQYAEQMKHNRGKLVIPSRGGSRSIANHKFAMTNKETQMPPSPIELYHKLHFDPIKKWINDESCIQYENILQLKEEECAKLVSAGTSITQEMEYDIEKKVIKTICAKDKKLQSGWEASSGPVMRKKDIHLLSTAETSQSASKDEEDMKSKIVALEEEVRRNEQKFKQSEEKCEKMFQFIISKFPDSQNILCPPDEDEARAYDDITNLSEQA >cds-PLY88406.1 pep primary_assembly:Lsat_Salinas_v7:4:156472209:156473138:-1 gene:gene-LSAT_4X94780 transcript:rna-gnl|WGS:NBSK|LSAT_4X94780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYQPSPSNHDGNDDHRNRRLYNPYQDLKVPAQTLYKLPTSPEYLFQEESIAQRRSWSENLTYYTGIGYLGGAVLGAGKGLVEGVKASEAGDTMKLRVNRILNASGHSGRQIGNRAGVIGLLYAGLESGMVHIRDTDDIINSVVAGLGTGALYKAAAGPRSAAVAGAIGGITVGLAVTGKQVLKRYVPI >cds-PLY85348.1 pep primary_assembly:Lsat_Salinas_v7:5:243909478:243911828:-1 gene:gene-LSAT_5X119821 transcript:rna-gnl|WGS:NBSK|LSAT_5X119821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLVRKRHQVDEKYTRPQGLYQHKDVDHKKLRKLILDSKLAPCYPGDDDCNCDLEECPICFLYYPSLNRSRCCMKGICTECFLQMKMPNSTRPTQCPFCKTFNYAVEYRGVKTKEEKGLEQIEEQRVIDAQIRMRRREIQDEEERMQRRQDMSSSSRIIEGDDEIVSIGNSGITNPSGSRNDEFDLDNIMLMEAIWLSFQEDGRRHRNYGDAAQLARYAAELRVSAAVAPPVATTASSSPYGGLACTVAREEGSEIGAYGLQDESFEEQMMLAMAVSLSEARGR >cds-PLY67138.1 pep primary_assembly:Lsat_Salinas_v7:5:292128426:292132704:1 gene:gene-LSAT_5X155081 transcript:rna-gnl|WGS:NBSK|LSAT_5X155081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIEERKEDEEEGPPSQVEYEIVTKPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVMVVTTHEGVPEEFHGAKLVGSRSFPFPWYQQVPLSLALSPRIINEVKKFKPDIIHASSPGIMVFGALAIAKMLSVPIVMSYHTHVPVYIPRYTSSWLVKPMWLVIKFLHRAADLTLVPSAAIAKDLEQYKVAESNTIRLWNKGVDSDSFHPKFKSHEMRIRLSNGEPDRPLIVHVGRIGVEKNLDFLKSVMEKIPQARIAFVGDGPYREDLEKLFEGMPAVFTGMLQGEELSQSYASGDIFLMPSESETLGQVVLEAMSSGLPVVAARAGGVPDIIPPEQEGKTGFLFTPGDLDDCLKKLVPLLDDKELREKIGQAAREEMEKYDWRAATRVIRNQQYNAAIWFWRKKREELMKPLHWLARCFLPTDDPPQKITAGVDP >cds-PLY87962.1 pep primary_assembly:Lsat_Salinas_v7:3:178121604:178126805:-1 gene:gene-LSAT_3X107840 transcript:rna-gnl|WGS:NBSK|LSAT_3X107840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNNISVQMKVETGDAGYVLEDVPHLSDYIPNLPTYPNPLRSNPAYSVVKQYFVDADDTVPQKVVVHKDGPRGIHFRRAGPRQRVYFTPDEVHAAIVTCGGLCPGLNTVIREIVCALYHMYGVTKVLGIDGGYRGFYSKNTITLTPKVVDDIHKRGGTIIGTSRGGHDKPKIVDSIQDRGINQVYIIGGDGTQKGAAVIYQEIRKRGLKAVVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRYSGFIAMYATLASRDVDLCLIPESPFFLEGEGGLLEYVEKRLKDNGHMVIVVAEGAGQELLAAETLNSATAQDASGNKLLQDVGLWISDKIKKHFARQTSMPITLKYIDPTYMIRAVPSNASDNVYCTLLAQSCVHGVMAGYTGFVSGLVNGRQTYIPFNRITEKQNNVVITDRMWARLLSSTNQPSFLRPKEVEVQKQVEAQTIEGENSKPNDI >cds-PLY64866.1 pep primary_assembly:Lsat_Salinas_v7:3:16989527:16993325:1 gene:gene-LSAT_3X12220 transcript:rna-gnl|WGS:NBSK|LSAT_3X12220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSYSDNEDEFFDAREAFASMSDSGSDCSPEDCSTSGFDYDYWVGNMEGVDSRRDKFLRFMGLNSKWLVNREGEEEYDNDFIDEINEDTVLISPQNSDFQDRILCRQPSLSIWSNIAMELIPSGLNRVKIGNWDESGEDILIKRSFDFHEDGLVRNFSSSSHRSSRKEGETFNLLDRRKKVKKNWLQKLSIMARITDKHEESVTLKPNNTNSKTSSIPVHTHKKKSKELSSLYATQEFSAHNGYISIIKFSHDGRYLASAGEDCIIRIWKIFEDQDPRIYEIQETDPSSFYFSTNHLSELAPVNKEKSRKIKGFRKSSEVACVILPPKVFRILEKPVHEFHGHGGEILSLSWSRKGYLVSSSVDNTVRMWKVGHNECLKSFTHNNYVTCVEFNPVDDNYFISGSIDGKVRIWDVNSCQVIDWIDLGDIITAVCYNPDGKGSIVGTLDGKCSFYDIIDDRMQLHTQIWSMSKKKWPKRITGFQFCPIDSRKVIVSSADSQVRILCGINLVGKFKGNRSSGSQMSACFTSDGKHIISSADDSNVYIWNHITSDKLFTKPKSNSSFENFMSQNTTMAIPWCGIKSIAAALPSPRFTLNNNNRNNNSNSNSNSNSHNNVVIPRSTIDSPRMPSPSTNRGFFLESLLKGPPTWPAETLPDSTQVSVSPSMRKSEYRFLKSAYQSTFVAPHMWGLVVVAAGWDGRIRTYLNYGLPIRL >cds-PLY68161.1 pep primary_assembly:Lsat_Salinas_v7:7:71027249:71029003:-1 gene:gene-LSAT_7X51160 transcript:rna-gnl|WGS:NBSK|LSAT_7X51160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAIEPLPSTDIESKPSNSTTTGVDSNSSNRLNADGGVVSSSGDKATAAGYASDGYETASETEVGDDDTVSDNSDKSYAVEHEHQQQKSEEMSTVSKDPTYEDALNDDEFKQKLLAQMNEAKVEGNKLFGEELYEEALLKYDYAIQLAPEMSSSSEIRSICHNNRATCFFKLGKYEDTIKECSKALEINPTYMKSLLRRGEAHEKLENYEEAIADMKKILELDPSNYQCKRTIIRLEPLAIQKREKMKEEMLGKLKDMGDSILGKFGMSVDNFKAVKDPNTGSYSISFQR >cds-PLY86206.1 pep primary_assembly:Lsat_Salinas_v7:8:225349064:225350568:-1 gene:gene-LSAT_8X138001 transcript:rna-gnl|WGS:NBSK|LSAT_8X138001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPILTVLEQTEVSPPPSTKHETWLPLSFFDLPWLHLHPVHHLFFYELPQLSKTQFIDTIVPTLKTSLSKTLLHFTPFAGNLIIDPTGTRKPQIRYIEGDAISVTIAESNLDFNDLVGNHPRACDKFYPLIPLLGKAEKVIDYVTIPLFSIQVTFFPNSGFSIGTTNHHGLGDASTRFRFLEAWSWIARSGSDELFLANGSLPFYDRVINHPDLDEIYLKRAKLDTFDEKYQPRCLSGPNDNVRTTLVLTRPIINQMKIFVSTQLPTLQHVSSFTVACAYFWSCFAKLRSDELQVFGFAVDCRARLEPSIPANYIGNCIAPCGAMAKSNSLAEKEGFVTAAKLLGESLYKMLNDEDGIMKDAKTWFNFSFEGMPTFITVTGTPKHKFYDADFGWGKPKKYETVSIDYSVAISLNASKDSDEDLEIGVSLSRTEMEVFIPIFNEGLENYI >cds-PLY92579.1 pep primary_assembly:Lsat_Salinas_v7:7:160595043:160596249:1 gene:gene-LSAT_7X94541 transcript:rna-gnl|WGS:NBSK|LSAT_7X94541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGGIGSKNGFLNFHVHMPGIFKMLVLLCPRSRLLILLFSRSRQHLPDSRSISSSLVRSESALTLRALAEIDPTCVGGLVNYGITTLKALRIQRATYN >cds-PLY73381.1 pep primary_assembly:Lsat_Salinas_v7:6:112056890:112064017:-1 gene:gene-LSAT_6X69341 transcript:rna-gnl|WGS:NBSK|LSAT_6X69341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLKTWVSDRLMSLLGYSQPTLVQYLISLSKKASSPSDIFSNLKDMGVPSSNETRAFAEEIFQKVEHKSSGSNLYRQQEEEAAMLARKQRSYKLLEGDDDDDDKNDHGGSVSVATQSKKGAGILKQERRVKQKASHDNSDESESEEERLRDQIEREELEQHLREKDAARTTKLTEKTLSKREEEEAIRRANALEDDDIGALRKVSRQEYLKKREQKKLEEIKDDIEDEQYLFEGVKLTEAEQRELRYKKQIYDLVKKRSQEDDNVNEYRMPDAYDDEGGVNQERRFSVAMERYRDSKDGDKMNPFAEQEAWEDHQIGKATLKYGSKNKKQADDYNYVFEDQIEFIQGQVMGGVNVDVDEVNEEEYEKAVAKSAHEKLLADRKTLPVYPYRESLLKAVEDHQVLVIVGETGSGKTTQIPQYLHEAGYTKRGMIGCTQPRRVAAMSVAARVSQEMNVKLGHEVGYSIRFEDCTSDKTVLKYMTDGMLLREFLGEPDLQSYSVVMVDEAHERTLSTDILFGLVKDIARFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRFPVEINYTKAPEADYLDAAIVTALQIHVTQPPGDGDILVFLTGQEEIETAEEILKHRTRGLGSKIAELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFVKMKSYNPRTGMESLLITPISKASANQRAGRSGRTGPGKCFRLYTAYNYFNDLDDNTVPEIQRTNLANVVLSLKSLGIHDLLNFDFMDPPPAEALLKALELLFALSALNKHGELTKIGRKMAEFPLDPMLSKMIVASDKYKCSDEIISIAAMLSIGSSIFYRPKDKQVHADNARLNFHMGNVGDHVALLKVYSSWKETNFSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIEIVSNPGDLEAIKKSITSGYFPHSAKMQKNGSYRTVKHPQTVYIHPSSGLSQVLPRWVVYHELVLTTKEYMRQVSELKPEWLVEIAPHYYQLKDVEDLASKKMPRGEGRASKD >cds-PLY67137.1 pep primary_assembly:Lsat_Salinas_v7:5:291069971:291071009:1 gene:gene-LSAT_5X154521 transcript:rna-gnl|WGS:NBSK|LSAT_5X154521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHNIKPWPRAHRYTPTARGRTPSRVDFRFGMSLSDLFWARNRSCTPVVRHIAFNNVWSSMLKFSDKVDEVVISQEILEPICLYLTNMKNYDPFPTSESESLKSPIQEWREKSILKPAQANVNVTQE >cds-PLY77237.1 pep primary_assembly:Lsat_Salinas_v7:4:177958917:177965254:-1 gene:gene-LSAT_4X104740 transcript:rna-gnl|WGS:NBSK|LSAT_4X104740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALIQTKGLLSLPSNPKSKSLFNRPQQGLRQRFNLSNSLKPKSPNGFSLNLNTFTKFNAFVTITPQNSRTFHVCKASAAALPGGDEVESPKFMGVEVLTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAQGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSKKALFYTVILPFIAFFGAFGFVFYPLSGYFHPTALADRLLEFLGPRFLGPLAILRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTVEEAKKFYPLFGLGANVALIFSGRTVKYFSNMRKHLGPGVDGWAISLKYMMSIVVLMGFAICGLYWWVNTYVPLPTRSKKKKEKPNMTTMESLKFLASSPYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGITTFTMMLLSQWIFNKYGWGVAAKITPTVLLLTGIGFFSLILFGDPLGPGLMKFGITPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGILLLIVLAWLGAAKSLDTQFTALRREEEIEKEMERSAVKIPVVSSNDDENKSLDSSPETSFPRES >cds-PLY63328.1 pep primary_assembly:Lsat_Salinas_v7:9:133624098:133626467:1 gene:gene-LSAT_9X86761 transcript:rna-gnl|WGS:NBSK|LSAT_9X86761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein AUXIN RESPONSE 4 [Source:Projected from Arabidopsis thaliana (AT1G54990) UniProtKB/Swiss-Prot;Acc:Q9FZ33] MAIITEEQDSSNSSAKRPTKKPRLKPPSTAPISSNPNPFTFWFYLTISVSLVTVIFVTISSLSTHQDPKTWFLALPANLRHHYSNGRIIKVQATPHSDSIEVFTVRDGPKDSSHNVLIVHGLGCSSYIFSNVVKSLGKKGVHAVALDLPGSGFSDKFVTVVEHKPMGGFGRLVEMYNEIKEKGVFWGFDQLVEQGYVNYDHEENEIRMSKVEHLNAIELGPEEMGRVLRQVIDTMGLKPVDLVLHDSAFGLGANWVAKNLGFVSSVTLLDSTSNQTAFPLWVLKWPVVREVVLGFGFVFQKVIQTCCLKSGGGSDSDSHRLLLKGRNGRKSVVGMGKKMNSSFEIAEWGELEGVKDLPMQVLWSEEWVEQGRQVAGVLSQATFITHSGGRWPQEDTSEQLAESIHEFVSKIPKPIKVAEKKEPIPEHIQEILDEAISNVHHGFSSHDHGHDHDHGHGHDHGYPSGYGLGHEYS >cds-PLY66886.1 pep primary_assembly:Lsat_Salinas_v7:7:20060486:20061876:1 gene:gene-LSAT_7X16521 transcript:rna-gnl|WGS:NBSK|LSAT_7X16521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAINQFLCSSYSSSSSYSSSSSSRRDVSRSSIHIPKIGTNNLSSLIPKIHSSKGLVEEIDFSSFSHKNTSKNPRACPDPEVMEKLYVILEAVSDRLEMHKNIGEQRNNWNSLLLTSINTITLSAATMAGIAAAITTIPGAPLEALKISSTFLYLAATGMLVIMNKIQPSQLAEEQRNAARLFKQLESQIKTKIAIGNPTLSDVNESMKKVLAIDKAYPLPLLGVMLEKFPAKTEPAVWWPEKRRTTAKGRNGNNGWSVELEEEMSEIIRVLEVKDKADYLRLGDKALKLNKALAIAGPLLTSFGALGSAFLTSSPHNSWAMVLGVMGGAMASVVNTIEHGGQVGMVFEMYRSNAGFFKMMEDSIESNLKERDVESRENGEVFEMKVALQLGRSLSELREVAASSSRNGVDIEEFGSKLF >cds-PLY78197.1 pep primary_assembly:Lsat_Salinas_v7:4:252287862:252288257:1 gene:gene-LSAT_4X131900 transcript:rna-gnl|WGS:NBSK|LSAT_4X131900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDNCTFLIKVNMSGTQVPVDTTLAPASPAPLHHRFSPTMTNVITTNFLRVSSLSSLSRSFISSLINFFNRSMKSETTKFHVDGGGRADREEPNRNTEGGGKEKDDVCRRLKRSKQWKDLELKRSGGGITH >cds-PLY63080.1 pep primary_assembly:Lsat_Salinas_v7:8:75292786:75294849:1 gene:gene-LSAT_8X54180 transcript:rna-gnl|WGS:NBSK|LSAT_8X54180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKANHCGSVSPVVPLCNSMPFFFLAFVLIVPTVVAGTMKKNIGIGHLDSFIKEQVQNATKTAINAYNPDPESVVHNFNKLVHESLKGTNSTRRNLKGKKCMATNPIDRCWRCNKNWANNRQDLAKCVRGFGEHTTGGMGGRYYVVTDSSDHDVLTPKKGTLRYGVIQDKPLWIIFERPMVITLKEELMINHDKTIDGRGVIVHIAYGAGLTIQFVHNVIIHGIRIHHIVSKNGGYIRDSLAHFGLRTVSDGDGISIFGASKVWIDHVSLDHCADGLIDAIMASTALTISNCKFNYHNDVMLLGADDNFTGDEIMQVTVAFNRFGKGLVQRMPRCRWGFFHVVNNDYNKWEMYAIGGSMNPTIVSQGNRFRASDNVHAKEVTHRDHFPQKVWKHWKWRTVNDLFLNGAFFVPSGDHSEVAHKLKNFMVQAKDGSCVGRLTRYAGMLKCTAGRPC >cds-PLY86388.1 pep primary_assembly:Lsat_Salinas_v7:8:283429905:283432411:-1 gene:gene-LSAT_8X160220 transcript:rna-gnl|WGS:NBSK|LSAT_8X160220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKKITRVPTGKDFVDIVLSRTQRQTPTVVHKGYAINRIRGFYMRKVKFTQTNFHEKLSTIVDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNLIGKIAKDYVKLLKYGDSLYRCKALKVAALGRMCTVTKRIGPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMVSITALAHLRAAVLFFLDISGSCGYTIAQQAALFHSIKSLFMNKPLIIVCNKTDLQSLEGISEDDKKLVEEMKSEAMKTLVVDGQQVLLTMSTLTEEGVIAVKNAACERLLDQRVEVKMKSKKINECLNRFHVAMPKPRDQKERPVCIPEAVVEAKAMEAEKVKRKLERENENENGGAGVYSASLKKHYLLADDEWKEDNIPEILDGHNVYDFIDQDILQRLEELEKEEGLLQEQGDGEDEEMEESRKAQLKADQLFQGNSIKTKDLHQKEWGDSCHH >cds-PLY95972.1 pep primary_assembly:Lsat_Salinas_v7:9:42865212:42867263:1 gene:gene-LSAT_9X39001 transcript:rna-gnl|WGS:NBSK|LSAT_9X39001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSIK [Source:Projected from Arabidopsis thaliana (AT3G08760) UniProtKB/TrEMBL;Acc:A0A384KX76] MGSFKVGGGSGLLPPLNTSGPLPLPPSGTTHLPPMIPASLPTSGTLKNFTFEEIAAACHNFSPERCVSEGLSSVMYRASFGEDTSNLKNLQATVTSLHPSSQGLKEFVSEVNTLASLQHPYLCKLIGFHAREGSDRRMLVYERLFHGSLDRLLYGRTDGPPIDWNARMKVALCAAQGLTFLHEEGPFQAMFHEFSTANIQIDKDFSAKLSGYGCISHIPETDISNNSVAMGNLSVETLERGLLTPKSNVWSFGIILLELLTGRKNLDSRHPKEERNLIKWSRPFLADDSRLSLIMDPQLKGRFPAKAARTMADIAQRCLQKEPSERPTMRTIVEHLKIIQEMKFSCRFPLQEPGGPISRKHMAKSLSLNGIIYPAPRLSYSPSXXXXXXXPPRNCAANLAMEGMIVDRQESRRSSASGRRSSVEGF >cds-PLY68421.1 pep primary_assembly:Lsat_Salinas_v7:8:22674813:22676257:-1 gene:gene-LSAT_8X17220 transcript:rna-gnl|WGS:NBSK|LSAT_8X17220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIESGFRSVVQDRYSDIMSEYRHESANRARAAGHNILNTDNEFAIMRDFEPITFNEDVWKDLCKYWDTNTVSRHTGGSRGYDQYRLELQKELKRIPTFLELFLITHLTAEAKKKFKAKDYDTIQELEFCTPIARAICVQAQEGRGKCRRIYGVGSSYLHFVVTETSSNGNKPTSSEYQQSQQQVQELEEAHAELARQNGEMAKRQAQMENQMAEMVEFMRRYDTNNRPDNPSNSSIAP >cds-PLY81844.1 pep primary_assembly:Lsat_Salinas_v7:3:33997859:34002349:-1 gene:gene-LSAT_3X26361 transcript:rna-gnl|WGS:NBSK|LSAT_3X26361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLQQPVWIKESTFLPKRPGFTKFPHQLNLGSLNPCKSSKIEASLVTGKPSTTSVPITVVEGGDGNGFVDHELSNVDPEVSAIIYNEKQRQFRSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEHIDELESLCQKRALAAFHLDEEKWGVNVQPLSGSPANFEVYTAILKPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLDESTGLVDYEMLEKTATLFRPKLIIAGASAYPRDFDYPRMRKIADGVGAFLMMDMAHISGLVAASVVGNPFDYCDIVTTTTHKSLRGPRGGMIFFKKDPVLGIELESAINNAVFPGLQGGPHNHTIGGLAVCLKHAQSPEFKAYQNQVVSNCRALAKRLMELGYTLVSGGSDNHLVLVDLRPLGLDGARVEKVLDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGFTEKEFVNIAEFIHEGVQLTREIKQAVTSGSKVQDFIKYVASSDFGFTGQVSDLRKRVEALTTQFPIPGVSVWTGPD >cds-PLY72615.1 pep primary_assembly:Lsat_Salinas_v7:5:44508110:44509319:-1 gene:gene-LSAT_5X21340 transcript:rna-gnl|WGS:NBSK|LSAT_5X21340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPAPSSPQRHRGGGDNSNNGKEERPRFFDSMTKKLCWAKAEIVPGRHPERWRKDAAGNVVCKRFGNCQGCLCFEYDHIIPFSKGGESVVENCQILQTRVNRTKSDKDHLDKTQLRGYSCDINFTEKELDIIEMAVYGDVIRPGNQCRCRSIDELLGKYKPKDHTPVCTLP >cds-PLY84012.1 pep primary_assembly:Lsat_Salinas_v7:8:39406916:39408068:1 gene:gene-LSAT_8X30221 transcript:rna-gnl|WGS:NBSK|LSAT_8X30221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEGCSMVSAHSVHSVAIHTKSEENHQSKEKKVVKSPEKPNEDIVNEESNDVSNHLLLDNVEATSTLSFWKEWNSISSNLNTKHRLHMLTLDVEFWSRNIANGVGGHPKWKDVDMVLFPINVPHAHWFLAVLHLDIWKVHIYDSKRSINYFTTYLTGGEFKSFGDSIIEELDATDYWKDFLDGHKDNAVVEFIDIVDVPHQEYIINRGDCGVFVSMYMEMIASGVPLKSDKPCRDAGFLYRNRMANIIWDTK >cds-PLY72823.1 pep primary_assembly:Lsat_Salinas_v7:6:22056045:22061833:-1 gene:gene-LSAT_6X17200 transcript:rna-gnl|WGS:NBSK|LSAT_6X17200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSDGRLERVGIEKKKLTKKVNDGVKDVESHQENTPSTSIIAVGDEIMSGRVEVELRHLLCRKLHFIGWNVSHIPVVSSDAGKGLSLDSEAHILVFQIWIEFVFSEIAPVEYRFTNL >cds-PLY99152.1 pep primary_assembly:Lsat_Salinas_v7:4:118212245:118214705:-1 gene:gene-LSAT_4X72861 transcript:rna-gnl|WGS:NBSK|LSAT_4X72861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYVPTVFDNFSANVVVESTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWIPELQHFAPGVPVVLAGTKLDLREDKRYLADHPGLVPVTTAQGEELRKQMGAAYYIECSSKTQQNVKSVFDAAIRVVIKPQQPPKEKKKPRPGCFVLVSSTFYNLPKIMQCQNRKKKIKKLKHSVFCLYFEPHYVIIN >cds-PLY88369.1 pep primary_assembly:Lsat_Salinas_v7:9:17952141:17954925:1 gene:gene-LSAT_9X15400 transcript:rna-gnl|WGS:NBSK|LSAT_9X15400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 25 [Source:Projected from Arabidopsis thaliana (AT4G19003) UniProtKB/Swiss-Prot;Acc:Q8VZC9] MQTLGDHKLPHFFNYPPYFTLQPVRETREKQIQLWKELILDYCRTQKIFIIGLEEDFPLFSNPAIERSLNHEAREAFLSAIVLDGRAEWMDKSHRQCLILWHRIKDWADLIIRFVKENGLEDSVMTIEEIRSGIESRGTDIHGMDRTVLVRALKLLENKGKLAIFKGTSTDDEGVKFSV >cds-PLY69433.1 pep primary_assembly:Lsat_Salinas_v7:1:64226602:64227680:-1 gene:gene-LSAT_1X54820 transcript:rna-gnl|WGS:NBSK|LSAT_1X54820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEDLLDLSKMFNAVKSLVRVPSVDPKYKIALLASKQDHCLVDLLHAWQDGKLPVQISSVISNHDRVGNTHVMRFLERHEIPYHYLSKSKEKNVEDEILGLVEDTDFLVLARYMQAFEAGVKLIGATTHFVTEELDGGPIIEQMVERVSHKDNLLSFIQKSENLEKQCLMKAIKSYCELRVLPYQHNRTVVF >cds-PLY95941.1 pep primary_assembly:Lsat_Salinas_v7:9:41298464:41300574:1 gene:gene-LSAT_9X38381 transcript:rna-gnl|WGS:NBSK|LSAT_9X38381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAEVIPEKTFHGMYAHVKETTSIFSRRLEGKIAIVTGGAGGIGAATVRLFVKHGAKVVIADINDECGLALSTSLSPLATYVHCDVSLEVDVKNLIDSTVACYGRIDIMFNNAGVLGNQSKHKSIVNFDVDEFDRVMNVNVRGVALGMKHAARVMIPRGSGCIISTASVAGVMGGMGPHAYTASKHAIVGLTKNTACELGRHGIRVNCISPFGVATSMLVNAWRHEDDDDNEEEDENVHFRTPNEKEITETEKFVSSLGNLKGTTLKAKDIAEAAVYLASDESRYVSGHNLVVDGAVTTSKNCVDL >cds-PLY87625.1 pep primary_assembly:Lsat_Salinas_v7:8:246400402:246408226:1 gene:gene-LSAT_8X146681 transcript:rna-gnl|WGS:NBSK|LSAT_8X146681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEGFVKDGSFKWLLKNRSPFHEEFEEMKLSPSADRNWIHELSPVANIVVRRCSKILEIPTGQLQENFNGEAPDAIKDPSRYARNFLEYSCFRALSVSTQMNGYMEDKKFRRLTFDMMLAWELPDAASHPSMDIDEDASVGIEAFSRIAPAVPIIANVIISDYIFEFLTASTGGRLLFSTFDKYLSGLERAVRKLKSQSESSLLSSQRSGRGERVLELDGTVTTQPVLQHVGISTWPGRLTLTDHAMYFEALRVVSYDKPTVYDLADDLKQFVKPELTGPWGTRLFDKAFLYKSVSLSEAIVMELPELKGHTRRDYWLAIIREILYAHRFIRKFQITGIEKDETLLKTVFGILRVQALKDMSSSSIPLSFEAGLMFNVCDQLPGGDRILETIADMSICRDDVKSSEKGMYSISASTMASSLGFVFGTSCNVVNEAGGITVGDVCVGELTPLEKAVKESRSTYKMVANAQATVDGVKVEGLDTNLAVMKELLFPVTELGNHLVKLYYWEDPVKSLQFCLVLTYVLYRGWFCYVFAMLLLLVALFIMITRYSSRGRPVDEFKVIAPPPMNTMEQLLAVQNAISQAEELIQDGNVLLLKLRGLLLSIFPQASDRFAIALVMMAIVLAFVPMQFVILLVVLEEFTKYSPLRSSSTERLERRFREWWFSIPAAPVVLEKLKEDKKKK >cds-PLY63803.1 pep primary_assembly:Lsat_Salinas_v7:6:27090771:27092879:1 gene:gene-LSAT_6X20341 transcript:rna-gnl|WGS:NBSK|LSAT_6X20341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSGKKKLQAAAEKVTKQKKASGSDRTPTQNNDANGFENKKVEEVNRGKNMEKPKDNKVNRGKKKRIDKKVAMEDDKKIVKEEKVMKKAVKLVFGDDIRWAQLPVDCSIGFVRQIIRDRFPSLHGVLIKYKDREGDLITIITTPELRSAETSSDPQGTFKLYLVEVTPDKEPTYELFQNEQLPIVSEGKSEEGITNSKEEWIVQFSRLFKSHVGFDTDPYLDLHELGMEIYSEAMEDTITTESSQNLFDIAGSKFQEMSALALFNLGNVHMNKARKSIVITEDDNTTKESISKQVQIGFEFSQKEYEKAGERYEQSTQVKPDFYEGHLALGQQQFEEAKLSWCYALGSKTDSLNSTSSSRILELYNKAEENMERGMQMWEESEERRLNGLSLCEEHKTELEKLGLEGIVDVKDGSNDEDLEQAVNIRSQIYILWGTLLYERSVVEWKMCLGSWEECLEVSIEKFELAGASPTDITVIIKNHCSNGTALQGLSFKIDEIVQAWNEMFDTKRWQTGIPSFRLEPLFRRRVPKLHSLLENF >cds-PLY84540.1 pep primary_assembly:Lsat_Salinas_v7:1:30667863:30668577:-1 gene:gene-LSAT_1X26501 transcript:rna-gnl|WGS:NBSK|LSAT_1X26501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIREWSEIVAGPRWKTFIRRFNRNKSFGRQSPKFQYDPLDYALNFDEGALDNGDSEMENEYMVRNFSSRYASRTTVPITSKPSTDLGKEAIGPNFV >cds-PLY67602.1 pep primary_assembly:Lsat_Salinas_v7:6:123379582:123380670:-1 gene:gene-LSAT_6X75320 transcript:rna-gnl|WGS:NBSK|LSAT_6X75320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARVTNGEWTEVWRHKNNTFQKNTGITNFYVTGFPDRTKKEELREPFSRFRKVVDIYFGMKKDYQKRNFAFVRYAFINNANEMEMKLQGIRCRNKTLERGVLIGETHSLDHMANLHASGFMKEETKYLGGLKLAIQFRWHVDAKEYLGDKNRWKDWFKWLVNVEQWNVDYERVAWLKIIGVPLPLWEEDNFTRIASRYGKVINPFDGIANRRDYSTGKVGVLTPSRRWINEEIRINSNGQEFRIEVVEYTDDWSPFKPASFDKVVESEDDDEDLGAVCLRLN >cds-PLY70971.1 pep primary_assembly:Lsat_Salinas_v7:7:116915701:116915970:-1 gene:gene-LSAT_7X71500 transcript:rna-gnl|WGS:NBSK|LSAT_7X71500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLCEPHGPHEVVVFVSVHDLKWDPDVNFLGVDPLIYQRSTVIIPGLLRTMNRYEVEVCQLKM >cds-PLY79494.1 pep primary_assembly:Lsat_Salinas_v7:5:240550900:240558450:1 gene:gene-LSAT_5X118040 transcript:rna-gnl|WGS:NBSK|LSAT_5X118040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALTELCDLISHNPNQYSAKITWICKRCPAPESLLSGSPRISRFQLNALLATARFISKCPNYDDSSPRNTVLEFIRSIPSSFTQSFWPKSFPNASIASFYNEFLGYVVKATELYQDFSTEVAGFMGGIVFSALNDNGRSSDSVISRAFLSALSESFPQIMPADANKLVTSLLDSVVTSPASSSSTNGDSKGVSSFNEESMEQLEKQEIAFQLIERILDKVQIDTQLLERVRLISKDQLRLMTAFLKIRKHDWTEQGAALKAKINTKLSVYKAAAKLKVRSHVSCELDGKSSKKLLHGALAFVVEAAEACLYSVWRRLRTCEDLFISLLDGISKIAFSRGGHLLRVLLIRFKQLVLITCAQADTAASSPGVMFDSVLKTSCEIIEYGWTKDRAPVDTFIMGLATSIREHNDYEEKDAKDKKVVPTIQLNVIRLLAELNVQVNKPEVVDTILPLFIENLEEGDASTPGSLRLRILDAVSRMASLGFEKSYREVVVLMMRSYLSKLTSIGSAESDKLSEEANTERLETLPAGFELIARGLTDGQLRVDYRQRLLSLCSDVGLAAESKSGSSGADFLGPLLPAVAEICSDFDPTADVEPSVLKLFRNLWFYIALFGLAPPVIPTRPNSTTLNTTGNTTAVALQAVSGPYMWSPQWSSSVQRISQGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNEKAAANQRAALSAALGGRVEVYAMSTISGVKATYLLAVAILEIIRFSSNGGLLNCGPTSMASRSAFSCAFEYLKSPNLAPAVFQCLMAIVHRAFETSLSWLEDQVFETGYAMDVKETTLAVHACFLIKSMSQRDEHIRDVSVKLLSLLRDRFPQILWNSSCLDFLLFSVHNDPPAAIVSDPTWIASVRSLYQKIVREWIIISLSHAPCTSQGLLQEKLCKANTWQRAQPTTDVVSLLSEIRIGTGKSDCWNGTKTANIPAVMAAAAASSGGNLNLTEAFNIEVLGTAIVSATVKCNHSGEIAGMTRLYENMEKADDDFEPTPTPSASGLSRLISGAFPQPPQPKKESFGSILLNKFVRLLQKFVSGAEKGGEVDKASFRETCSQAAALLLSTLDADSKTNVESFSQLLRLLCWCPAYISTIDAMETGIFIWTWLVSAAPQLGPVVLAELVDAWLWSIDTKRGLFASDTRFSGPAAKLRPHLQPSEPESPPEKDPVEQILAHKLWIGFFIDRFEVVRHDSLVQLLLLGRVLQGTTKLPWKFSHHPAAAGTFFTVMLLGLKFCACQYEGSLQKVRLGIQLLEDGIYRAALGWFAYEPEWFEHDHGNFAHSEAQSVNSFVHYLQNDPKALGGEYGGSLLDMKDQCHPVWGPMENYAACRDKRKQLLLMLCQHEADRLEVWAQPVNYKENTCYRPKITSEKWVEYARTAFAVDPRIAFSLGARFPTNTCLKAEITQLVQTHILEIRSIPEALPYFVTPKAVDDNSPLLQQLPYWAACSITMALEFFTPAYKGHPRVMAYILRVLESYPPSKVTFFMPQLIQALRYDDEKLVEGYLLRAAQRSDVFAHILIWQLQGETCAPEGKEVITAKTQEFLSLLPIVRDHIIDGFSPEAREVFHREFDFFEKVTSISGALYPLPKEERRAGIKRELEKIQLPGDDCYLPTAPSKLVRGIQVNSGIPLQSAAKVPIMITFDVADRDGDPKDIKPQACIFKVGDDCRQDVLALQVISLLKDIFEGVGLALYLYPYGVLPTDPERGIIEARCAKFT >cds-PLY89317.1 pep primary_assembly:Lsat_Salinas_v7:2:52219434:52224065:-1 gene:gene-LSAT_2X23140 transcript:rna-gnl|WGS:NBSK|LSAT_2X23140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cactin [Source:Projected from Arabidopsis thaliana (AT1G03910) UniProtKB/Swiss-Prot;Acc:F4I2J8] MDSRRMIKREDSKRRQTRDDNSPSISDDSDSHDSSPRRRSSGRSKERSRSSKHSRRRDSDSDSDSDDHRSKKKKKGTGSSKKISEDEIAEYLTKKAQKKATKVAKKLRAQNVYGYANDSNPFGDSNLNEKFVWRKKIERDVIQGAPLDMFSVKAEKKKQKERMAEIEKVKKRREERAIEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSGIRLREGRIKPIDILSKHVNPCDEFDVELEEPYMVFKGLTVKEMEELHEDIKMHLDLDRGTPTHIQYWEALLVVCEWELGEARKKDTLDRARVRGEQPPAELLAEERGLHSSIEADVKKLLDGKSFLALEALQSQIESQMRSGTAKVVEYWEAVLKRLHIYKAKACLKEIHNKMLRKHLEHLEKPSYGDTERDKTVSPKVEEESDHDSKGISVNSPRVSPEPTPHDKTMEEEKEEEEEEEEAGSFSPELLHGDENEEAIDPEEDRAILERKRVEVVEEQQKKFQEAMASRPPPSSEDNNLEMRAVKIMGAMDNGDEVFGNSDEVNVDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKIKAPIYTIEKDGDSAETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRYRYRR >cds-PLY90098.1 pep primary_assembly:Lsat_Salinas_v7:6:17081105:17086656:-1 gene:gene-LSAT_6X7660 transcript:rna-gnl|WGS:NBSK|LSAT_6X7660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRHICSRSVMFWFLVVVSITTLFSSGLGLDDLEGYDSDLGGKFINLFHQDYSPPAPPPPPPHPPSASCEFDLGGVGSLDTTCEVVTSLNLTQNMYIAGKGNFFILPNVTVSCTVFPGCEIGINVTGDFSLGENARIIAGTFELEAGNASFAEGSLVNTTALAGNPPEQTSGTPHGIDGAGGGYGGRGAACLLNEKKLPDDVWGGDAYSWSTLQKPWSYGSKGGTTSLDVDYGGGGGGRIKLVVKSLLEMNGSLLAEGGDGGTQGGGGSGGSIYLKAYKMTGNGYISGSGGDGFGGGGGGRISTDIFSRHEEPKIIVHGGNSLGCPSNAGAAGTFYDAVTRSLTVDNFNMTTDTDTLLMEVPYQPLMTNIFIRSFAKVSVPLLWSRVQVQGQISVLDGGILSFGLAHYALSEFEVLAEELLMSDSVYGALRMTVKMFLMWNSQLLIDVEGDQNVQNSFLEGSNLIVLKESSTIRSNANLGVHGQGLLNLSGPGDCIEAQRLVLSLFYSVNVGPGSVLRGPLENVTANAVTPKPICDSQECPYELLNPPDDCNVNASLSFTLQLCRVEDILVEGLIKGSVVNFHRARTVTVESSGTISTSKMGCKGGIGRGKVLNVGIGSGGGYGGYGGYGCYNDTCIDGGRPYGDADLPCWMGSGGGNDVISGSTAGGGVLVIGSSANPLPSLYVNGVVGSDGGSYEENIFKKYDWIGGSDSGGGSGGTILVFLNDLFVDESGVVTSDGGEGSPNGSGGGGGGRIHFHWSHIPTGDVYQPVANIKGSISTRGGLSGNEVGAGGIGTVTGKSCPKGLFGTFCEECPVGTYKNVTGSDKELCLECPANELPHRAFYIYVRGGSAETPCPYKCISDKYHMPNCYTALEELMETFGGPWVFSLLLLGLLILLALVLSVARMKFMGFDDSPGHAPTQQGSQMDHSFPFLESLNEVLETNRVEESQGHVHRMYFMGGNTFREPWHLPHTPPKQVMDIVYEGAFNRFVEDINALATYPWWEGSIYRILFFLAYPLAWSWQQWRQKLKLQRLREFVRSEYDHACLRSCRSRALYEGLKVAATSDLMLAYVDFFLGGDEKRSDLPPHLHQRFPLSLLFGGDGSYMAPFSLHSDNVITSLMNQCIPPTSWYRFVAGLNAQLRLVRSGRLKVTFRAVVIWIETCVNPTFGVYGVRVDLASFKATSGDSFQYGLVVSAVQAQDQPQLDHSHIEEDEDSEMKVDDNKKQTKSYGRVLDINSLKTLEEKRDVLFPLSFIIYNTKPVGHQDVVGLVISVILLGDFSLVLLTLLQLFSYSLTNVLLVVMILPLGILLPFPAGINALFSQGPRRSAGLARIYALWNITSLVNVVVAFICGYAHYMNQSAKTPSDVHPWTMEESEWWIFPVALVLCKWIQSLFINWHIANLEIQDRSLYSADMAGFWQTS >cds-PLY83646.1 pep primary_assembly:Lsat_Salinas_v7:4:38712498:38714912:1 gene:gene-LSAT_4X27261 transcript:rna-gnl|WGS:NBSK|LSAT_4X27261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSDFRDELQNLGKLSALPRLFIKGRYIGGADDIFLLHEQGKFQPLVRDILNKSEGPCKRCAGVRSVVCRFKEANIDVEKEENI >cds-PLY95280.1 pep primary_assembly:Lsat_Salinas_v7:9:111051979:111064510:1 gene:gene-LSAT_9X80260 transcript:rna-gnl|WGS:NBSK|LSAT_9X80260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILPALLTSAGFNIVICVGFFSLYSILRKQPINLKVYFGQRLAQLKPEQKTYYFGQRLVPSAGWLVKAWEASDDDIYRCGGIDGLVFIKMIVFSIRIFSIASVLCIFIVLPVNLFGQAIQERDAEAQMDMFTIRNVQAGSKGLWAHFFALYVVSICAYVLLYIEFKSVARLRLEQVIRFPTKPSHFTVLVRGIPWCPGEAYSDTVSKHFGSYYESSYLAHQMVYRSGTIQRLMMDAEKMYNDLASGQQTSTDGTGTGEGCDTCGDRVNSVKMITAETEIDVKKHKTPKKSDGKDGKDGKDGKEECAAAFVFFRTRYAAMVASKTIQSYDPMLWVIDFAPEPHDVYWENLCLPYRMLWIRKTSVFIGTIIFSVWFLLPTTLVQGLVNLDQLEDTFPFLRGISETKYANIVSGYLPSLVLTCFVLIVPPIMLMFATLEGTVSRSSRKQSACCKCIIFFFWNIFFYNLFTGSWWDRMGKLTVTGLKDMATLLGNLIPGQLLNVYITRYNTNGSYWPIAHNAAIFSLIVMQAVASIIFGMKNFGTASTLAFVLIIFTALFNLYCRHKFLPLFNNKAVQDLIEMDRHDEHSGKIDEILPRLLSSYYQFGGFHGNHPTDLLAAEYKTFNSADAGHKM >cds-PLY69670.1 pep primary_assembly:Lsat_Salinas_v7:5:213784104:213784614:1 gene:gene-LSAT_5X97381 transcript:rna-gnl|WGS:NBSK|LSAT_5X97381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEISSANSSISGWRSWRRHVDEDYGGDCYHYEPVARLQADGDDDGNYDYVPAASEGDGDNDDGDYDYAPAA >cds-PLY77139.1 pep primary_assembly:Lsat_Salinas_v7:7:183843737:183844359:-1 gene:gene-LSAT_7X108700 transcript:rna-gnl|WGS:NBSK|LSAT_7X108700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFFKYRSYFVRILSSLIKGLGAWRNGVASLPPLGRLPAVRILFGSHGKGSVVKINTHAQSFNKKINLAATQLVSQHRGLEMVIFDIFQPLYDLIKDLASHGFVEASRGCCGIGKVERMVFFCNTKLIGTCPNATHFVF >cds-PLY72619.1 pep primary_assembly:Lsat_Salinas_v7:5:44605294:44610741:1 gene:gene-LSAT_5X21720 transcript:rna-gnl|WGS:NBSK|LSAT_5X21720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQTLAVEAKRKAPCVDVEDASWKVAKCQKLSSEKFMVRLEISSPDSFSVKPLSVDGYRFPGDAACFEKLSECLSNVVPSHYTQNHGSGEACVFKLTDYDAVLKSLKNERIDYQDIPYITRRAVSILSRPFVEGRWEPCRPEHLSDEKVDELMGKLPKSLLEVLLPFQFEGVKFGLRRGGRCLIADEMGLGKTLQAIAIASCFMNEGPVLVVCPAILRYTWAEELEHWLPSCLPSDIHLVFGHENNPINLARTPKVVVISYKMLSNLKKSILQQQWAVMIVDESHHVRCTKRVSSEAGETQALLDISTKINRIVLLSGTPSLSRPGLLGKDKLAFAKTYCSMSTSRTYQGIVYQDFSKGIRLDELNVLLKQTVMIRRLKEHVLMQLPPIRRQIINLVLKQSDIDSAIEICGMPNDNAFTVNIAEDDEMDDVDGNPSKPSNQAIGIAKLSGFKEWLLMHPIMAESDDDESTGLSQSSHKMIIFVHYHKVTDRLEEFLCEKGIQFVRIDARDASARDRQQAIHSFQHSKEASQVKIALVGILAGSSGLNLSAAQNVVFLELPERISDFQQAESRAHRRGQTKAVNVYIFCAKNTPDESHWRKLNRSLHRTSSTIDGKYDSLKEIMVDDVSLLETVEEREMDKKLFFSGECNDESSGNELVRCSQYSYSGQIQSDEVFNGATTSRSKDANEDILEKPDSSHMSREDDTCNLIEKPSEEDPVDHDEEETGCIPSHSLRFEVSKYTGRIHLYSCIQGVETRPTPLFKNFKPEELETKDPDNSADSIYKPALVKFLKEWNSLRPIEQRKLYSKPLQLPLSVELCLMESQNHDKDGLLKGGSKKRGTSMDDISHPLPANAKWRKVSIFHGKKERRYMQGYTLINEPLCKLCQKFCTKKSAMEPEFFEDLFCDLECHEEYRLRTSNRLLRKMLAQIEHGVCTNCHLDCRKLVKNIKPLSLEKRREFIMREAPKLAACKNALEKLIGDPTEGNAWHADHIVPVYQGGGECRLENMRTLCIACHADVTLAQCRERRSERAKAKKQLKRLLANITLVNNCKEKETDDDDLLVVNVPGSAYHTIQQT >cds-PLY99318.1 pep primary_assembly:Lsat_Salinas_v7:7:179621218:179623035:1 gene:gene-LSAT_7X106121 transcript:rna-gnl|WGS:NBSK|LSAT_7X106121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSNRSLFFIILATIVVLGAITEVKAYTSNYCGPWSRCPGKYIECPSECPESHSYIPRARVCRIDCEDPKCQSICVRKYTPDCGGPGSACGDPRFIGGDNIVFYFHGKVNEHFNLVSDSNLQINGRFIGHQPTGRSRPFTWIQALGLLFNSHSFSLEAIKSATWDGGIDHLKFSYDGEDVSLAHGGFSSWKSPEGEIEVERTSAVNSVIVTIPGVVEILVNVVPVTAEDDRIHGYKVPSDDCFAHLEVQFKFSRLSDGVEGVLGRTYQPDFKNPAKPGVAMAVVGGEDKYRTTSLLSSDCAECIYDSRNEKQMIKEHGTLDCSTKGLFHGNGIVCKK >cds-PLY84612.1 pep primary_assembly:Lsat_Salinas_v7:1:28583094:28584094:-1 gene:gene-LSAT_1X24181 transcript:rna-gnl|WGS:NBSK|LSAT_1X24181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVEALNSPTTATTPLFKQDSGNHLRYLDSWTKGKRSKRPRVDQPPTEEEYLAFCLMLLARGGRGGSGSGSGSDSAYVAPPQRANSPALSIIVPQETQRVVYKCNVCDKAFGSYQALGGHKASHRKNNPAAAGAEIEQSAVTTTSSTSGTHGGGSGRSHECSICHRSFPTGQALGGHKRRHYEGVIGGGRASSGITSSEGVGSTNSQRGFDLNLPALPEFLPGFVDEEVESPHPTKRSRLFPPVKLEIATHQ >cds-PLY85230.1 pep primary_assembly:Lsat_Salinas_v7:1:148797849:148799503:1 gene:gene-LSAT_1X105581 transcript:rna-gnl|WGS:NBSK|LSAT_1X105581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSESKRSLPFFLYSMPEYMNDVNDREENEASHCHHHPITHCSPPSSLYMLHLTITLELLSSFSVGLKFVIIQSDSCTTAWQQNEISISSISIVKRIRKTEDENHIVTERRQRYYEQNKEEMDYKEHFLKDELKLI >cds-PLY78341.1 pep primary_assembly:Lsat_Salinas_v7:5:210009103:210013989:-1 gene:gene-LSAT_5X95321 transcript:rna-gnl|WGS:NBSK|LSAT_5X95321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTWFRAGSGVAKLAIKRSLSQTGTYAARKHFLPSQTRHFHTTLFKSKAQSAPVPRPVPLSRLTDSFLDGTSSVYLEELQRTWENDPQSVDESWDNFFRNFVGQASTSPGISGQTIQESMRLLLLVRAYQVYGHMKAKIDPLGLEKREIPDDLDPAFYGFTEADLDREFFLGVWRMSGFLSENRPVQTLRSILTRLEQAYSGSIGYEYMHIADRERCNWLRDRIETPTPTQYNSERREVILDRLIWSTQFENFLATKWTAAKRFGLEGGETLIPGMKEMFDRSADLGVESIVIGMSHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDADRTKNMGILIHGDGSFAGQGVVYETLHLSGLPNYTTGGTIHIVVNNQVAFTTDPQAGRSSQYCTDVAKALNAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKIIRNHPSSLEIYQKKLLETGQATKEDIDRIQSKVTSILNEEFLASKDYVPQRRDWLSAYWLGFKSPEQLSRIRNTGVKPEILKNVGKAITTLPETFKPHRAVKKIFADRFKMIESGEGVDWAVAEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVIHDQETGDRYCPLDHVMMNQNEEMFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVIFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVVPEMEPTLRNQIQTCNWQVVNVTTPANYFHVLRRQLHREFRKPLIVMSPKNLLRHKECKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEEGIRRLVLCSGKVYYELDEKRKSIDGKDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYIAPRLATAMKTLNRGTIDDVKYVGRAPSAATATGFYTVHGREQSELVQNALQSEPITTNPTLC >cds-PLY75485.1 pep primary_assembly:Lsat_Salinas_v7:7:72052539:72058593:1 gene:gene-LSAT_7X52300 transcript:rna-gnl|WGS:NBSK|LSAT_7X52300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKVDMLLTFCKEMGLSSVVLVGHDDGGLLALKATQRVKASRSLIDISGDKGCGVTNNKLIKISCSRAPLCVEVIGIRDGNGNDAPYLMHLTVLTPNLISESLVVIYIILYYTYIYIWKLVPLLNPLRLIFSVDVLGMTSSTLASLSNGFAELSIDGQFLQLRSKQVWSRRITGVGDGFLQGTEALAQGFAFEVSGAVRKPVESARQNGILGLAHGLGRAFLGFVIQPMSGALEPLEVEDHIIIQLLVMSFIALTVFFRTEMHRHTTEDGGTYVGALFFGVVMIMFNGISEISMTITKLPVYYKQRDNLSYPSCAYALPSWVIKIPVSFHEAVVWVILTYYVVGFDPNIARFFKQYLLLLLVNQMSSKLFRFIGSKYDCCKHVWFIFTSFGLCFGWLCSCSKY >cds-PLY92038.1 pep primary_assembly:Lsat_Salinas_v7:5:323182549:323184345:1 gene:gene-LSAT_5X177860 transcript:rna-gnl|WGS:NBSK|LSAT_5X177860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLMKAVWYDSYGGGSAGLKHVEIPIPSPGVNEILLKIEAASINPIDWKIQKGMVRPFLPKKFPVIPAGDVAGEIVEVGSGVKKFKAGDKIVSTLGTAGGGFAEYAVAKENLTVSRPPEVSAADGASLVIAGCTALHALTVTGGINLVKTQPRLNILVTAASGGVGHYAVQLAKLGNTHVTATCGARNIEFVNSLGADEVLDYKTPEGSSLKSPSGQKYDLVIHCTTGIPWSTFEPNLSPNGKVIDLTPSGGAFWTYAVKKITFSKKQLQPLLVVPKAEEIECLLNYVKEGKLKTVIDSRYPLSRAEEAWEKSIEGHATGKVVVEP >cds-PLY94383.1 pep primary_assembly:Lsat_Salinas_v7:6:9359315:9363708:-1 gene:gene-LSAT_6X5820 transcript:rna-gnl|WGS:NBSK|LSAT_6X5820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESLSLTTTTTTTDASKFRFLIPCYKNPRIYRNLPFHHKRHRRFALCAAKDEDKFDSWDQMELKFGKMIGEDPKLTLAKIIARKSHPELSFLDIEKLYNKKGLKAFNDIEEVPFDVSDIRRKPNDSLDGPKLTRPGPKDPPDGLNLTRPVSAPKNSLDGLNLTRPIPKKGVKFESNDKPVSVVPKVKKPNQMLENAMSNNNNPTESVPNVELRKPTTFKDDDSTSMLQRPNFSFKMSKEQEKERLTDLTMVRKPEPFLQKEETEAMEDDTESLSVIQTDGTLSQKPEITVDQLRNQNEVNAEVVDIKNSSTSVDSSVIQTDVTLLQKPEITVDQIKDQNESNSEIDDINNSLANVDLAFEMENVQDKIDQESDDVTATSSMQMSLDTALRGPPKRLDQSVKDTSKIERVVTGPTNPIPYDNTLETENLPTTPFLKEREDNDWKRAENLIKTEGREEVELINSSTRGFVASFGSLIGFLPYRNLATKWKYLAFESWLRKKGLDPAMFRKNLGVIGGYDATNNALPISTIDPKKMEGEISPDMKLEDLLAIYDQEKLKYLSSFVGQKVKVNVVLADRGSNKLIFSAKPKEKDESVERKRSLMAKLSVGGIVKCFIKKITYYGIFVEVDGVPALIHQTEVSWDDTLDPISYFKIGQVIEAKVHQLDFSLERIFLSLKDVTPDPLIEALEAVVGDQANLDGQLEAAQSDEEWPEVESLVKELQQYEGIENVKKGRFFVGPGLAPTFQVYMASVLENEYKLLARAGNKVQEVIVETSMGKEEMKSAILICASRVE >cds-PLY88880.1 pep primary_assembly:Lsat_Salinas_v7:4:253894811:253896410:-1 gene:gene-LSAT_4X135501 transcript:rna-gnl|WGS:NBSK|LSAT_4X135501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRRATEIELDTMEGFVSREKLHHHLNDQEPTLMMFKLDEKLKLIESRLESKVPMAPKQPNTGLFVGLNKGHVVTKKELAPRPSDRKGVSNKS >cds-PLY97901.1 pep primary_assembly:Lsat_Salinas_v7:4:91056087:91060335:1 gene:gene-LSAT_4X59521 transcript:rna-gnl|WGS:NBSK|LSAT_4X59521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSAHEGELTHPILSNHRSSPVVQLSPGEAVSSELEEILSDTSLSFFRRHQKAMAIELYSLFRLAGPAIIVYLLNNVTSMSTQIFCGHLGNLQLAAASLGNNGVQLFAYGVMLGMGSAVETLCGQAYGAQQFEMLGIYLQRSTILLMATAIPLMFLYIFSKSLLLLIGQSKEIAAAASLFIYGLIPQIFAYAANFPIQKFLQAQSIVNPSAYIAAAMLVVHVIFTYVALYIWGWGLLGASLILSFSWWAIVVAQFVYIVKSDRTKETWTGFSAQAFSGLWAFLKLSTASAVMLCLETWYFQILILIAGLLPNPEIALDSLAVCGTILGWVLMISIGFNAAASVRVSNELGAGHPKSAAFAVVVVTVSSFVVALICAIILLATRHVISYAFTEGETVANAVSELTPLLAVSILLNGIQPVLSGGPSKK >cds-PLY73200.1 pep primary_assembly:Lsat_Salinas_v7:4:263173474:263179148:-1 gene:gene-LSAT_4X136340 transcript:rna-gnl|WGS:NBSK|LSAT_4X136340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-galactosidase 16 [Source:Projected from Arabidopsis thaliana (AT1G77410) TAIR;Acc:AT1G77410] MVWFGCLIALLVVVGGGTTVVVGGANVSYDGRSLIIDGQRTILFSGSIHYARSTPDMWPSLIAKAKQGGLDAIQTYVFWNLHEPQPGQYDFSGRNDVISFIKQVQQQGLYVSLRIGPFIEAEWTYGGLPFWLHDVPGIVFRTNNEPFKLYMQNFTTKIVNMMKEENLFASQGGPIILSQIENEYQNVEGSFHDDGRQYVNWTATMAVGQNTNEPWMMCKQDDAPDPVINTCNGMRCEETWKGPNSPNKPSLWTENWTSFLQGFGDDAYLRSAEDLAFHTTLFIIKMNGTFVNYYMYHGGTNFGHTSAAFIITGYYDQAPLDEYGIIRQPKFGHLKEMHAALKQSLQPLLYGDLAIEHLGEKQDAYVYTRTSGECAAFLLNNDNRESVNVAFRNMHYTLPPTSISILPDCRTVVFNTAMVNTQVSTRAMQPIIRFTSAEQWEEFTEVIPEFDQTSLRFNGLMEQMNTTKDSSDYLWYTLRVENNFSEDQPMLRVNSNGHVLHAYVNGDLVGAGHGTRKFTNFTLENTVPFLNGSNNVSLLSVMVGLPDSGAFMERRRAGLQEVVIQDQNVSSSLWGYQVGLLGEKLSVYTEVGSSHVSWSEYSSPSTLTWYKTTFDSPRGNDSIALNLGSMGKGEVWINGQSIGRYWVSFKTPTGSPSQTWYNVPRSFLKPTGNLLVLFEEEYGNPLNISLDTVSINKVCGHVSDAHPPRINPWGAHRRYPWRPRPRVHIRCPHNRIISRIIFASHGNPSGDCERYSIGKCHSPTSRRVVERACLGKRQCSISHTVETFGGDPCPGTPKTLLVDARCE >cds-PLY77457.1 pep primary_assembly:Lsat_Salinas_v7:4:48969465:48973037:1 gene:gene-LSAT_4X33080 transcript:rna-gnl|WGS:NBSK|LSAT_4X33080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTLINLVNKLQKACTALGDFGEESSLPTLWDALPTIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHKIEEGKEYAEFAHLPRKWFTDFAAVRKEIGDETDRVTGRTKQISSVPIYLSIYSTNVVNLTLIDLPGLTKIAVEGQSDSIVQDIENMVRSYIEKPNCIILAVSPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSHRLKFPWIGVVNRSQADINKNIDMIAARRKEREYFFNSPEYSHLANRMGSEHLGKVLSRHLESLIKSRIPGLQSLISKTILDLETELNRLGNPIAADSGGKLYMIMEICRAFDQTFKEHLDGIRPGGEKVYGVFENQLPSALKRLQFDKQLSMENVRKLITEADGYQPHLIAPEQGYRRLIESTLVTIKHPTEAVVDAVHDILRDLVRKSIKETKELKNYPPLKVEVMNAAYESLERMRNESKRAALQLVDMECSYLTVDFFRNLPQDVEKGGNPTHSIYDRYNDAYIRRVGSNVLAYVHMVVGTLRHSIPKSVVYCQVREAKRSLLDRFFTELGAKEGRQLGKLLDEDPEITQRRQNTVKRLELYRAAQCEIDTVVWAK >cds-PLY74046.1 pep primary_assembly:Lsat_Salinas_v7:8:246945395:246954005:-1 gene:gene-LSAT_8X147101 transcript:rna-gnl|WGS:NBSK|LSAT_8X147101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CASP [Source:Projected from Arabidopsis thaliana (AT3G18480) UniProtKB/Swiss-Prot;Acc:Q9LS42] MEASQSGLERDKSKPSSTSAPIPSVANFWREFDLEKERSTLDEQGLRIAENQENSQKNRRKLAESTRDFKKAPAEEKSNLFTSLLRGYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPVVASVAEKDLKILEVESENRKMKVELEEFRTEATHLKNQQATIRRLEERTRQLEQQMEEKVKEIVEMKQRSLAEENQKTLEVLKEREQLLQDQLRHAQDSVSNMQKLHEIAQSKLFEYRAQSEEDTAAKQTEVNLLMDEVERAQTRLLSLEREKGVLRSQLQSANEDNDTKRSDDGDSNSILEKSLSAKEKMISELNTELHNIESSLSSERQQHINEIKKLNAMLNEKEVALQEIKKQLQERPTEKLVDDLRKKVKILQAVGYNSIEAEDWEVATSGEEMSKLESLLLDKNRNMEHQLTQLKVQLSEKTSMVETCEREISELTSKINEQQKLIQKLEDDILKGYSSKDRKGNIFEDWDLSESGENAAAAADKKKHVSSDQDQNSMLKVICNQRDRFRARLRETEEEIRQLKERIGMVTSELEKTKADNVKLYGKMRYVQDYNLEKVVSRASRKSAEDLESGFSSDVESKYKKIYEDDINPFAAFSKKEKDQRYKELGLRDKITLTSGRFLLGNKYARTFAFFYTIGLHVLVFSCLYRMSALSNLSHGPEEFPGNDKFVNLPHAI >cds-PLY78458.1 pep primary_assembly:Lsat_Salinas_v7:4:261882481:261882693:1 gene:gene-LSAT_4X136061 transcript:rna-gnl|WGS:NBSK|LSAT_4X136061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKPQNAAPMGSPVVEQIARNGELISGRLPLVHYLRLRQPPIMERKGTKAAVLPSSGNEGCSFFYSTTIT >cds-PLY91559.1 pep primary_assembly:Lsat_Salinas_v7:1:10524800:10528950:-1 gene:gene-LSAT_1X10400 transcript:rna-gnl|WGS:NBSK|LSAT_1X10400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLGHMTTIKRALQDDNEPNSLQIIYKLLSRKSKKKLEELLHQWVLMKILFGNNCFVFCEQLTDFGLSKVGLINSTYDVSGPAVSGTSLLGDNGTQSSLSSPSMSATETQQERHKNHSAVGQLHTIWHPRFFWEMDMVKQHPYFRDISWDTLAKQKAAFVPSSESAMDTSRYTWNNSEQSGLCSIWEKYYEEAYAVIFVVDASCPSRFEDSKSTLGN >cds-PLY85411.1 pep primary_assembly:Lsat_Salinas_v7:9:79249000:79250423:-1 gene:gene-LSAT_9X63620 transcript:rna-gnl|WGS:NBSK|LSAT_9X63620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAPFSGPVGNSRWSLAGMTAVVTGGTLGIGYAVVNELAELGAEVHTCSLNESELNSRLQEWSDKGFKVTGSFCDLSSRPQREQFVEEVTSLFGGKLNILINNVGTNIFKTTLEFTAEDYSKIMATNLESCYHMCQLTHPLLKASGAGNIVLISSVGGLIHVSGGSIYSATKGAMNQLAKNLACEWAKDNIRTNSVAPGFTKTPLIQHFVESEEFLEAMASRIPLKRVAEPNEVSSLVAFLCMPASSYITGQTIAVDGGLTVNGFP >cds-PLY90051.1 pep primary_assembly:Lsat_Salinas_v7:8:82054680:82057706:-1 gene:gene-LSAT_8X57261 transcript:rna-gnl|WGS:NBSK|LSAT_8X57261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSTANGAAAERRTAASNGRSPVDKEVDFANYFSTYAFLHHQKEMLSDRVRMDAYYNSVFHNKHHFIGKTVLDVGTGSGILAIWCAQAGAKKVYAVEATKMAVHARELVKSNHLQDVIEVIEGSIEDITLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPNGIMYPSHARMWLAPIRSGLADHKMSDYEGSMDDWHGFLKETEAYYGVNMSVLTKPFSKEQKKYYLETSVWNSLHPNQVVGTPAILKEIDCLKVTVEEILKVEANISSLVTKEDTRLCGFGGWFDVHFKGSEENPAESDVELTTAPSQDDGTHWGQQVFLLHPHARVNEGEEIFVNFSMTRAEDNHRLMKVDLGYSIKQSSGKMLPRVDNKFFIE >cds-PLY79582.1 pep primary_assembly:Lsat_Salinas_v7:2:166636600:166638349:-1 gene:gene-LSAT_2X90241 transcript:rna-gnl|WGS:NBSK|LSAT_2X90241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQSTNTAGKKLIKIDVTSDSVCPWCYVGKKNLDKALALSKDQYDFEINWHPFLLNPSAPKEGVNKIDYYRSKFGSRADQMSVRMSQVFKEIGMEYSMSGLTGCSLESHRLILFAGKQGLDKQHNLVEEIFKGYFTQGKFIGDRNFLVESARKAGIEGAAEFLDDPNMGLEEVNKELEKHSANISGVPHYVINGKHQLSGGQPAEVFLRAFQVAAN >cds-PLY82121.1 pep primary_assembly:Lsat_Salinas_v7:1:14811296:14812542:-1 gene:gene-LSAT_1X13241 transcript:rna-gnl|WGS:NBSK|LSAT_1X13241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLNSYLVVLTKDVRGELVQFRVQSKHDYISMKRGTFLGNYLSFRGYGHIWHQG >cds-PLY79554.1 pep primary_assembly:Lsat_Salinas_v7:8:118358707:118360008:-1 gene:gene-LSAT_8X81960 transcript:rna-gnl|WGS:NBSK|LSAT_8X81960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDEVKLYGAGGSPFVCRVKFALKMKGIKYENFEEDISNMSADVLKYNPVHKKVPVLLHNGNPIAESLVIVEYIDDVWKEVPILPQDPYERSVARFWAKFIDDKCNPAAFKVFGSNGDEQVIAEACEQLQMLENELKVKGTKFFGGDNINLVDIAADFIAYWLGTIEEVTEITFFTKDKFPKLTEWADEFVNCQVVKEILPPRELLLEFFKKRYGKA >cds-PLY96786.1 pep primary_assembly:Lsat_Salinas_v7:2:172036381:172038812:1 gene:gene-LSAT_2X94641 transcript:rna-gnl|WGS:NBSK|LSAT_2X94641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26900) UniProtKB/Swiss-Prot;Acc:Q9LW20] MEIIQAPAGCFDLLHQKPFHSPSISIRNGISSLSLASIKTSPLSLNNSRKLLTASCSLLDDAVSGSAVQTFEVDPMLDIKKKAMVVSPGLKGTSIYLVGINSTMKTRLGNLLAEALRYYYFDSDNLVMEAAGGEAAVKQLLDMDKVGLRESETEVLRQLSSMGRLVVSAGDGAVQSSTNLSLLRHGISIWVDVPLDLVAKEIVEGGVRLFGSDISPTASHSEVLSQVAMLYKQMQEGYAIADVTISLQKVAGGLGYEDVESVSAEDMGMEVLSEIEKLIRVKKMMEDAARPF >cds-PLY64398.1 pep primary_assembly:Lsat_Salinas_v7:4:25600165:25600999:-1 gene:gene-LSAT_4X18321 transcript:rna-gnl|WGS:NBSK|LSAT_4X18321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATMDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLLSKEATVGEKQFSSQGHQGNFSKNEGAGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIEPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGVNFNGLSTTPSSPLP >cds-PLY66749.1 pep primary_assembly:Lsat_Salinas_v7:1:167151277:167151646:1 gene:gene-LSAT_1X112120 transcript:rna-gnl|WGS:NBSK|LSAT_1X112120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLMWTSDPSGIAYIQTMNLDGESNLKTRYARQETSLMPLGSISGVKNTKWVIGVFVYVGQETKAMLNSAISPSKRSILEQAMNS >cds-PLY88359.1 pep primary_assembly:Lsat_Salinas_v7:9:17991403:17992947:-1 gene:gene-LSAT_9X15521 transcript:rna-gnl|WGS:NBSK|LSAT_9X15521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGSNHTSQGLSIIADYPGLSTEIRWLISAFGGIIMCKVAYEFTGIFTPFVFGGFIKLNNAQKLEWKNRGFSTFHALFVAIGSVYLLLVSDLYDEELFIKRRSTLSDTILGMSCGYFLSDVAMIIWAYPTLGGLEYVLHHGLSLYSIMQSVLTGEAEFYIFMVLFTEITTPFVNLRW >cds-PLY87623.1 pep primary_assembly:Lsat_Salinas_v7:8:112768799:112769020:-1 gene:gene-LSAT_8X79081 transcript:rna-gnl|WGS:NBSK|LSAT_8X79081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRCVFFYWFSFLENGSGGRVGVRTVAVVGGSGGVDDGDGGGGGSGSRVAAMVVAEPIVAVVMMAAVVVLVMR >cds-PLY98268.1 pep primary_assembly:Lsat_Salinas_v7:7:173106640:173107930:1 gene:gene-LSAT_7X103481 transcript:rna-gnl|WGS:NBSK|LSAT_7X103481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPSQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASGA >cds-PLY77606.1 pep primary_assembly:Lsat_Salinas_v7:2:163279872:163281463:-1 gene:gene-LSAT_2X84081 transcript:rna-gnl|WGS:NBSK|LSAT_2X84081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKDSTSFRNSGAYTSPGTPDYGDNHGRGFQKGWCSERIPLPNTCNRRRISANILMPFNNGRTLPSKWDDAERWITSPVSGSGSGVFKTQAPPPPPQRRPKSKSGPLGPTPGTITYSSNYSPGFQFLEGGNTNNVFMGSPFSTGVLVPNGYSFHHTGEKIDENNVTCVVSRRDMATQMSPEDCPESCPDGRLFLSTSPPPIPSPLEDLRQQVRDVQVDKRVTMTKQTKRNTRRVEKNQSTEINDLALTWNNPTEGEMELSKLQKEEARILAWENLQNAKAEASIRKLEMKLEKKKSASMEKIMKKLTIAQMKAQEMRKKMSANEAPRTSRKLISLHRYPKISLTCCFFVH >cds-PLY86691.1 pep primary_assembly:Lsat_Salinas_v7:4:317947990:317951401:1 gene:gene-LSAT_4X159441 transcript:rna-gnl|WGS:NBSK|LSAT_4X159441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPHSGRFAVTPTTPNNSSRVLSFKSPLSDETIWKRLREAGFDEESIKRRDKGSLIAHIAKLEAEIYNLQNQMGILIIEKKEWITSYEQMKSTAESAEMTLKSNQAAHLSALAEAKKREEKLKKALGIEKECVSNIEKALHEMRAECAEAKVAAEIKLAEARTMMEDALKKMTEANSKMQAAESLDAEASRYRRVSERKLHEVEAREDDLRRQMNSFKSECEAKEKEILLERQSLCERQKSVQQSQERLLEGQALLNQREEYISSRIEEISSHEKQLQASKLSLEEECKSLKEEKHNLDTKAASLDSKEEDITNKENELKKKYEELRIQQEEISNKESERIKKALADHEAVLKEKSSQFEAELERKLKAMEETIENKRRASELREVDFSQREDLLAEKEHELEVKSRAIGEKEKELSEKTNAVEEKDKTVLEVERELKLQKSILEKEKEEVNQMKIDLQKSLKLLDERSKEILNAEEKVEAMRNETNELMILERRLKEEIDMIRAQKHEFESEAEKLKKEKAKFETEWELIDEKREELRLESEKIAEERVTILKFLKEERDVLKLEKDMIREQYKKDVNTLSNDREKFMREIEKERSDWFSKIQKERTDFVMDIEMQKKELENCIEKKREEVESYLKEKEKSFEEEKKKELEYISSLKETARKEAEQVNIEMKRLEKERKEIILDHERRDKEWAELNDSIQQLKDQRVKLEKQRELLHSDRQEILEKIEELKKLEDVKGVGFQITENEIQECDPNLQKRNEIVEINSFKNELNNNGSTPPLSAPFGWLKRCASTLLEQTQSNKKRKKQPDDITPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYIYIYIYIYIYIFYFLYALLLNWFIIIKI >cds-PLY88417.1 pep primary_assembly:Lsat_Salinas_v7:8:86256113:86257091:1 gene:gene-LSAT_8X61241 transcript:rna-gnl|WGS:NBSK|LSAT_8X61241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKKAMEVVKGLDLQRYMGRWYEIASFPSRFQPKDGINTRATYTLKDDGTVNVLNETWSGGKRGFIEGTAYKADPKSDEAKLKVKFYVPPFLPIIPVTGDYWVLYLDDDYQYALIGQPSRSYLWILCRQTHLDDEIYNQLVQKATEEGYDVSKLKKTTQTEPPPESEDAPADTKGIWWFKSLFGK >cds-PLY92361.1 pep primary_assembly:Lsat_Salinas_v7:3:124109579:124112618:1 gene:gene-LSAT_3X86380 transcript:rna-gnl|WGS:NBSK|LSAT_3X86380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKATEATSDLYTIRDTYFPSEPIEKFSKLQTQSQITLNLLDFVPPGADNQEEIVVESIKHAKEAIALDVKDGNSWYNLGNACLICFFVTGACDHSKLHQSLKAYQNVEKDESMKSNPDLYFNFATVNTYLENYERALSGFEATASKDPCLNATEEVQKMVDLDLGNYERFLDVKLTRDNNITTGKSYQSVIDKERKGDYLGKTVQVNGVKLKNVTV >cds-PLY93372.1 pep primary_assembly:Lsat_Salinas_v7:9:64542680:64545098:1 gene:gene-LSAT_9X55741 transcript:rna-gnl|WGS:NBSK|LSAT_9X55741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRASLPLLPFVESPSIKSSSRHVLCFSRCETRIQHTIRTHITYKCDPSEKWLVLIGIAPGSPEKPQLGKGNMHLFSVYQQRSQALEAHAASFASFKAVFTKKQADLFFPPDFADDFPVAMQISHKYGLIYVITKLGLLFVYDLETATAVYGNRISPYPIFLTSEASSVGGFYAVNRRGRVLLATLNEATIVPFVSGQLNNLELAVNLAKRGNLPGVENLFVVAAKEHVVGRYNTGPKLGGNDLDVHGLFNE >cds-PLY90113.1 pep primary_assembly:Lsat_Salinas_v7:7:14777365:14778714:1 gene:gene-LSAT_7X12281 transcript:rna-gnl|WGS:NBSK|LSAT_7X12281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISTSLVMNLFIFLSNFQIVGAAAASGLPLSDVAAEARHACGVVGTMGVALSVCTLPGQTKSNRLGPGKMELGLRIHGEPGAVEADIQPVDLVVSYVLNRILSLAMTAIPWFLQQTE >cds-PLY91585.1 pep primary_assembly:Lsat_Salinas_v7:8:216826444:216827556:-1 gene:gene-LSAT_8X134300 transcript:rna-gnl|WGS:NBSK|LSAT_8X134300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METCWEQNVQRIVESTINDVVCGMIFLGIRLYMEETSEETVSTRSTALVVLNTRSISGYKSVDEMLQNQEAKSLWGNQCLFLHIPLPELHQNGNPLNPLKFVEETQNVVKRMRNSFAVYLNGMLLESIRKFRGLEATSRYVHRTLKNSSILVTNVIGPLEKITLSNQTVKGMYFMGVNFPQSLTVTIISYTDQLRVAVGAEKDFIDHVKFRTCTEKAFNMIYDAAVKPN >cds-PLY72703.1 pep primary_assembly:Lsat_Salinas_v7:6:28151310:28153193:1 gene:gene-LSAT_6X22561 transcript:rna-gnl|WGS:NBSK|LSAT_6X22561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANARIFDVTSYGAIGDGDTDDSQAFLRTWEDLCGDDSPDPTMIIPKKTFLIGPVSFSGPCNYHVVHVQLLGNITAPKTFDGWKGCVEKRNWIDFASVHRLSIHGPGQIDGQGSLWWGNEALHFHKCDGLRLSGTTHINSPNHHIGINGCNNVNVGNLQIYAPERSPNTDGIDISSSSHVNIHDSTIQTGDDCVAINGGTININITRVICGPGHGISIGSLGKNGDYHTVEDVRVENCNLTGTTNGLRIKTVPNGRGYAKGILFQDIHLVDVKNPIIIDQHYCSQSLDAFCPAPPSMQAVKVSDVTYINVHGTSTNKQAITFNCSGRFKCTKITTNEVRISGENVFAYCNNAKGKFLATDPMVNCE >cds-PLY94907.1 pep primary_assembly:Lsat_Salinas_v7:4:109233887:109235055:1 gene:gene-LSAT_4X71400 transcript:rna-gnl|WGS:NBSK|LSAT_4X71400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSILPFTLTLFSLVFLASSVLPASVVNPTLPHDAFTASKRFEGSSELVNLRYHMGPVLSSPINIYLIWYGKWLPAQKLLIKDFLLSISTTKRRAASAPSVSDWWKTVTLYTDQTNANISSEILIAGEYSDRKYTHGTHLTRLTMQDVIATSVQSAPFTVDHKNGVYLILTSDDVTVQDFCRAVCGFHYFTFPSKVGYTLPYAWVGNSGKQCPEVCAYPFAVPGYMGNGGPRSLAPPNGDVGVDGMISVIGHELAELASNPLVNAWYAGEDPTAPTEIGDLCEGLYGSGGGGGYIGQVMKDGSGRTFNMNGRRSRKFLVQWIWSPVLKACAGPNALD >cds-PLY81865.1 pep primary_assembly:Lsat_Salinas_v7:9:181763807:181764606:-1 gene:gene-LSAT_9X112100 transcript:rna-gnl|WGS:NBSK|LSAT_9X112100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKISFFLSSLAILAALQLSSAVDYSVTNRAAATPGGVKFNNVIGAAYTTQTLRSATSFIWTTFQQNTAADRKNVARVNVFIDDMDGVAYTSGNEIHVSARYIQGYSGDVKKEITGVLYHEMTHVWQWNGNGQAPGGLIEGIADYVRLKAGYAPSHWVRPGQGNKWDQGYDVTARFLDYCNGRRSGFVAELNKKMRNGYNDGYFVDLLGKTVAQLWAEYKA >cds-PLY99103.1 pep primary_assembly:Lsat_Salinas_v7:5:138337846:138339510:1 gene:gene-LSAT_5X59100 transcript:rna-gnl|WGS:NBSK|LSAT_5X59100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylulose 5-phosphate/phosphate translocator, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G17630) UniProtKB/Swiss-Prot;Acc:Q9LF61] MMLLNLLPSSSVTFPKSHHKYSINNPSFSHPNLVSRISIPPQSKFKVSAFNKIHSNPTSQIYEATKFLKTPCRFGDFGRKPTSQIAKSASGTSQDSTPDEELEKKPNKTLQLGIVFGFWYFQNIVFNIYNKKCLNIFPYPWLLASFQLFVGSLWMLFLWASKLQPCPKIDKSFIIALLGPALFHTIGHISACVSFSKVAVSFTHVIKSAEPVFSVVFSSALGDTYPLSVWLSILPIVMGCSLAAVTEVSFNLGGLWGAMISNVGFVLRNIYSKKSLQNFKHVNGLNLYGWITILSFFYLFPVAIFVEGSQWVPGYHKAIASIGTPSTFYLWVMISGVFYHLYNQSSYQALDDISPLTFSVGNTMKRVVVIVSTVLVFRNPVRPLNALGSAIAIFGTFLYSQASSKKKAVVEKKE >cds-PLY98495.1 pep primary_assembly:Lsat_Salinas_v7:7:126148866:126151339:-1 gene:gene-LSAT_7X75700 transcript:rna-gnl|WGS:NBSK|LSAT_7X75700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLEKALIILSSLNTEKIEDGEEVAVEEVEDEQSKMEGMASIALLLDGSISGHFVQLPESVYYQMGQSRLFVSTSYLSVKQATNSYQKWRWQPKDYNLPNHKGRILEPVEKLKGGLRSRSPRPRSRSPPRRSRSPSRSRSPEGGGNEKASTSSPYSHGRADSRSPLQRSDSNGLPGPMGLMSTSHLEQEATVLALSTLMTIAPAEVYAEFEKVAPTKNVSAFLASVGK >cds-PLY77438.1 pep primary_assembly:Lsat_Salinas_v7:9:108160869:108168795:-1 gene:gene-LSAT_9X77820 transcript:rna-gnl|WGS:NBSK|LSAT_9X77820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS45 [Source:Projected from Arabidopsis thaliana (AT1G77140) UniProtKB/TrEMBL;Acc:A0A178WPE4] MVLVSSVRDYINRMLQDISGMKVLILDSQTVSVVSVVYSQSELLQKEVFLVELVDAISMSKESMSHLKAVYFLRPTSENIQHLKRQLAKPRFGEYNLFFSNMLNTTQLHILADSDEHEVVQQVQEFFADFVAIDTYHFTLNTPSNYMYMLPAVIDPPNLQNYCDRIVDGLAAIFLAFKRRPVIRYSRTSDIAKRIAQEASKLMYQQESGLFDFRRTEISPLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIKDNKVDLGNAGKFSKDQQEVVLSSEQDAFFKSNMYENFGDIGMSIKRMVDDFQQVAKSNQNIQTIEDMAKFVDNYPEYRKMQGNVSKHVTLVTEMSKIVEERKLMLVSQTEQELACNGGQGAAFEAVTNLLNNESVSDIDRLRLVMLYALRYEKESPVQLMQLFNKLASRSPKYRPGLVQFLLKQAGVDRRTGDLYGNRDILNIARNMARGLKGVENVYTQHQPLLFQTMESITKGRLRDMDYPFVGNHFQQGRFMSGVGGGNDDNVGVGGVGGSDRCRGGSGGGGGGGSGARGGEGESEGGGGDRGGGSGDRSSSSDNKNMYSGLWFNDSLINSSCNYHYM >cds-PLY93685.1 pep primary_assembly:Lsat_Salinas_v7:2:202724388:202726348:1 gene:gene-LSAT_2X124521 transcript:rna-gnl|WGS:NBSK|LSAT_2X124521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNGRKDSMYQNWRWQPKDCSLPKFRAKLLLEKLRNKRLMFVGDSLNRNQWESMVCLAQSIIPPGRKSLNKTGSLSIFRIEDYNATVEFYWAPFLVESNSDDPNMHSILNRIIMPGSIKKHGKNWKNVDYLVFNTYIWWMNTFSMKVLRGSFDKGATEYDEVERPVAYARVLKTWAKWVDKNIDPNRTTVFFSSMSPLHIKSLDWNNPNGIKCAKETSPILNMSMPVEVGTDRRLFRVATDVIRSMKLPVHFLNITSLSEYRKDAHTSVYTIRQGKMLTDEQKADPNTYADCIHWCLPGLPDTWNEFLYTRIISRS >cds-PLY87755.1 pep primary_assembly:Lsat_Salinas_v7:5:200693385:200695257:1 gene:gene-LSAT_5X91740 transcript:rna-gnl|WGS:NBSK|LSAT_5X91740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVARLILRSLRRPASLRTTTTTSITAAINPQSLPLQSQTTNLNILIPLRTFVLSSAVEQLDPIKPDSLTDLDHGPRLSLHNRVQSLIRAGDLDNASVVARHSLLFSIRPTVFTCNEIIASMYRAKRYLDAIALFNYFFKQSNIVPNVVSYNFLIVSHCENGEVDKALQVYQHIKDNAPFTPSQVTFRYLTKGLIDAGRIDEAVSLLWTMIWDGKGADSHVFNNIISGYLNLDNLEKANEFFDELKFSCRVYDGIINATFMEWFFSKGKPKEAMESYKSLLDKKFKMVPATCNKLLEVLLKWEKKPEAEALFNSMLDTHNPPVFPAVNSDTFNIMVNECFKMGKGSEAYSVFKKVGKSPKSKKPLIDMDRERYTDNGIIDSVFKKIVNAPMAKSFSMDTMGYSNIIMRYCENDMIDDAEKMYVEMRMKYLSPDVNIYKTLIDAYFNAGRIDKAIEKYTMMVDDGLRVIPTNANKWFSNMIENGKILECEPILSKMGKRDPKPDATTYDIVIRACCGALCYERALFLLQQMVDYDVGIAPILGEYVLEVFGKMGRQNEIYLLLNAKCSGYKENMSPFNAQEYMVVKPE >cds-PLY62971.1 pep primary_assembly:Lsat_Salinas_v7:1:210731754:210749904:1 gene:gene-LSAT_1X128760 transcript:rna-gnl|WGS:NBSK|LSAT_1X128760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SS3 [Source:Projected from Arabidopsis thaliana (AT1G11720) UniProtKB/TrEMBL;Acc:A0A178W4M9] MAVSLQLQTPPYFGNTVVRDKTLVRIKPFIGSHPPRRSNLFSQFTQSCSWYKYSVIAGFSHQIMASSDFSRKRRYKVSSPRANDSAPKGFKPKPTNPRKDQRTIEGNEGLNPSISTEFVNPTKRESKIHTIQQLAVPEFNQRAESVKNDIINKKEKVEAIKNSDDEIEDDEEASRKLKLEIEEKLRKKMEEELRKKEMEANLRIQEIKNLANETFLKGEKVFCYPKLVTPDQEIEIFLNRIDSSLFNESSIFIMGAFNDWRYKSFTVKLKKTNLSGDWWSCRINVPKEAYKIDFVFFNGQDVYENNEMKDFCINVEGGMDIFEFEELLLEEKRRELERLAKEEAERERELEEKRKIEAEKAASEEDRERAHMEAERRRGIIQQVLEKAVKFVDGVWYIEPSEYKGGDTVRLYYNKSVGPLANVKDLWIHGGYNNWKDGLSIVEKLDKFVGKDVVVPTRSLVLDWVFADGPPQHATVYDNNERKDFHAIVPISIPQDLFWAEEEHEIYKKLQTERKLKEEEIRIKAEKTVRMRAETKERTMKTFLLSQKNIVYTDPLDVQAGSQVSVFYNPKNTLLNGKPEVWFRCSFNRWTHRIGLFPPQKMLPADNGMHVKTSVKVPLDAYLMDFVFSEKESDDGVFDNNHGMDYHIPVSGGVTKEPPMHIVHISVEMAPIAKVGGLGDVVTSLSRAVQDLNHNVDIILPKYDCLNLSNVKDFGFHMSYSWGGTEIKIWFGKVEGLSVYFLEPQNGHFWKGCIYGCNNDGERFGFFCHAALEFLLQSGSHPDVIHCHDWSSAPVAWLFKDQYMHYGLSKARIVFTIHNLEFGAPLIGKAMAYADKATTVSPTYSREVAGNPVIAPHLHKFHGILNGIDPDMWDPFNDKFLPVSYTWENVVEGKKAAKEALQQKLGLKRGDVPLIGIITRLTHQKGIHLIKHAIWRALDRGSQVVLLGSAPDPRIQNDFVNLASQLHSTHNQHARLCLTYDEPLSHLIYAAADFILVPSIFEPCGLTQLTAMRYGSIPIVRKTGEKTLYMTFFFVGFYLFLQMQCQIQCIDKFRQFILRIKYLSIKTKAISAWYESRDWFNSLCKVVMEQDWSWNRPALDYLELYHAARKKLHKKIVNLKAKIDNFTTRNQSPLKDSSLELASNLNTKRKYFIVIGINTGFSSRKRRESIRATWMPQGEKRKRLEEEIGIVTRFIIGHSAREGNILDNSIEEEEKLYGDFMRLNHTEAYLDLSAKTKIYFATAVKTWDADFYVKVDDDVHVNIGALAKTLLSHVNKARVYIGCMKSDPVLTEKEEKHREPEYWKFGDMENKYFRHAGGQIYGISKELATYISKNQDVLHKYANEDVSLGTWMIGLDVEHVDDRSLCCGTPVWFHVEVVPRQLSVIRKTNFVTSSNLSSKSPESITLTDIACLAVFILFGSMSIFEYNGSALVAMVGKNCFAIASDRRLGVQLQTIATDFQRIFKIHDKLFLGLSGLGSDAQTLHQRLLFRHKLYQLREERDMKPETFASLVSAVLYEKRFGPYFCQPVIAGLGEDDKPFICTMDSIGAKELAKDFVVAGTASESLYGACESMFKPDMDHEDLFETISQALLSSVDRDCLSGWGGHVYIVTPTEVTERILKGRMD >cds-PLY67507.1 pep primary_assembly:Lsat_Salinas_v7:6:73664026:73665868:1 gene:gene-LSAT_6X52101 transcript:rna-gnl|WGS:NBSK|LSAT_6X52101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHDIKSEKPTSPSTTDHIPPSSTGILPLTNPSTTTSATTHKKLTLIPLIFLIYFEVAGGPYGEEPAVQAAGPLFAIIGFLVFPFIWSIPEALVTAELSTTFPGNGGFVIWAYKAFGPFCGSLMGTWKFLTGVINIAAFPILCIDYMEKLFPIFSSGLPRTLAILFSTLFLSFVNYTGLNIVGIAAITLGVISLLPFILMSLIAIPQIQPHRWLSLGQKGVKKDWNLFFNTLFWNLNFWDTVSTMAGEVENPKKTFPAALFSAVILTCLAYIIPLMAVTGAVSVDQSEWESGFMAVAAEMISGKWLKIWVEIGAVLSAIGLFEAQLSSCSYQLLGMADLGFLPKFFGVRSKWFGTPWVGILLSTAITIAVSPMDFTDIVASANFIYSLGMLLEFASFIWLRRKFPALKRPYKVPLGVPGLVVMCLVPSAFLIVIMVIATKIVYLVSGLMTVGAIFWYFLMNYCKSKKWFAFANGDEIEGEEEVSAS >cds-PLY83118.1 pep primary_assembly:Lsat_Salinas_v7:3:241181610:241182167:-1 gene:gene-LSAT_3X133680 transcript:rna-gnl|WGS:NBSK|LSAT_3X133680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTVDGGRTFVADAVIVKVPIAVLKANLIEFEPKLPDWKVSAILDLGMGNKNKIALHFDHVFWPNVELLGIVAPTSYSCQYFLNLHKATGNPVLVYMAAGRFAYDLEKLSKEDATKFPMVQLKKMFPEATEPVQYLVSHWGTNPNTSGVHLLPKVCNPR >cds-PLY69358.1 pep primary_assembly:Lsat_Salinas_v7:7:81807272:81816044:1 gene:gene-LSAT_7X57420 transcript:rna-gnl|WGS:NBSK|LSAT_7X57420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLSALTCKDRLSEILKNDDRLSEILKNDDRLKVFRYAIDTLQSASLKEAGTKSSNSLEEETTLIGLTFGGYLRSKQLLKKLTCTEVLDGEKKYKCSRCISYEKAKKKLTLLEAPNVFTIALKRFQSIPSFIESLAVKKDVHIASGGSSSAVVTGTYPLAFGTSQWCFCLLHFSSEYDANHSFQTTKGEIGQYPTKEKCTSPIIPRIMESPHMKSPRNGMVIKKDVKDLRKNPGYGNLDVFTYEEMRIATKVFRPDQVLGEGGFGIVYKGVIDENVRPCYSKIQVAIKELDPEGIQGDREWLTEVNYLGQLQHPNLVKLIGYCCEEDHRLLVYEYMPSGSLEDYLFRRASATLNWSKRMKIALHAAKGLAFLHDAERPVIYRDFKTSNILLDGEFNAKLSDFGLAKDGPMGDQTHVSTRVMGTYGYAAPEYIMTGHLTARSDIYGFGVVLLEMLIGRKAMDKSRPSHEHNLVDWARPLLTHDKKLLKILDPRMEGQYSSKEAIKAANLAHQCISQNPKGRPAMGNVVELLESLQTNDTVGLEEIRTPRSVKKSPQRGF >cds-PLY72296.1 pep primary_assembly:Lsat_Salinas_v7:9:104654497:104656298:-1 gene:gene-LSAT_9X75400 transcript:rna-gnl|WGS:NBSK|LSAT_9X75400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGEYREDKGSRPSSTTMFSKFQHHHHQAQQQTPPPQHFAISSHQFQLSNTSEEADSRSRSPNDAITPIQTKKDVSTTVNDGASIEVARRPRGRPPGSKNKPKPPIVITREPEPSMSPYVLELPGGIDIVDAVARYCRKRNMGLCVLTGSGTVANVSLRQPSTTPGATVTFHGRFDILSISATVLPSLTPSTAAAPFANGFTISLAGPQGQIVGGAVSGPLMSAGTVFIIAASFNNPLYHRLPSEEDDNLRNSGGGTGSAAASDQSPPAGSAGGDSVFIKMELLP >cds-PLY73308.1 pep primary_assembly:Lsat_Salinas_v7:7:195040803:195043120:1 gene:gene-LSAT_7X114040 transcript:rna-gnl|WGS:NBSK|LSAT_7X114040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G55500) UniProtKB/TrEMBL;Acc:W8PUA9] MKMNTKSLKLIIFLFILNSITLYLYFSSHPDYFRRRRTTQNIPNSIPQFHSPFPDRTHLVSLSNSNKPWPILPSYLPWSLTPNISFRSCEAYFGNGFTHRVDLLKPSPESNRKVGKDGRGWFRCFYSQTLRSSICEGGTMRMHPDKIKMSAGGEVLESVIGRGEEEELPEFEFGAFDLEVTGNSKNHGKKLATEGFLNEYLQKGEISRHTMRDLIDSIQLVGANEFECSEWVEEPTLLITRFEYANMFHTVTDWYSAYVSSRVTGLPNRPQLVFVDGHCMTQLEETWKAVFSGVRYAKNFSGPVCFRHAILSPLGYETAMFRGLSENIDCYGAASAHDLWHNPDDKKTARITEFGEMIRAAFALPLHTAHKHSSRIHNVLFVRREDYLAHPRHAGKVQSRLSNEQQVFDAIKSWSLLLSHTECKVNVVNGLFGHMSMKEQVRAIQDASVIVGAHGAGLTHIVSAAPEAEILELIATEFRRPHFALISQWRGLNYHPIFLTGSHANPTLVIDKLRDIFKTLGC >cds-PLY71284.1 pep primary_assembly:Lsat_Salinas_v7:MU043991.1:1412:3611:1 gene:gene-LSAT_0X38040 transcript:rna-gnl|WGS:NBSK|LSAT_0X38040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQRIKGFYFVTRDPPQSALVNGSPPIKQERSGSSHGGVRIDSIYYYGKSVYQDVNLRSYFGSIRPPTRLTFGFRLGRCIIIHFPKRTFIHFFLPRRPRRQKRREKSRPGKGKGQWWAFGKVGPIGCLHSSDGTEEERNEVRGRGAGKRVESIRLDDREKQNEIRIWPKKKQRYGYHDRSPSIKKNLSKSLRVSGAFKHPKYAGLVNDIALLNDDSFRKTKLFKFFFSKKSPSDSPTSHPLKRTLPAVRPSLNYSVMQYLLKTKNQMHFDPVVVLNHFVEPGVVEPSTMGGANAQGRSLDKRIRFAFFVESSTSEKKFLAEDKKLTHFIRWSDHPRFAGTTKTTISLFPFFGATFFFPRDGVGVYKNLFFEYAREQLLRKFRKKCWNLTAKDKVMELIEKFIDLGGIGELIKGIEMMIEIILRNRRIPYGYNFYLNEVKKMRSLLSNRTKTNTLIESVKIKSVYQSASPIAQDISFQPRNKTRSFRSIFSQIVKDIRLVMKKGVEGIRICCSGRSEGAEIARTECGKYGKTSSNVFNQKIDYAPAEVSTRYGISGVKVWISYSQKERGRAISETCSRGCKPDGTRLGFGRYGTKSLRAGRLSYRAIEAARRAIIGQFHRTMSGQFRRNGKIWVRVLADLPITGEPTEVRMGRGKGNPTGWIARVSTGQIPFEMDGVSLSNARQAATLAAHKLCSSTKFVQWS >cds-PLY65358.1 pep primary_assembly:Lsat_Salinas_v7:6:40689484:40691002:-1 gene:gene-LSAT_6X30280 transcript:rna-gnl|WGS:NBSK|LSAT_6X30280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANYEESSPLLANQSLHLDANNDPQSNVTINDENNKKSTTKTPIPNSQKAAVAADTKQSSPPVASGFHHAVYGWTADGLPLTNGGANVMGEPMPRAQWDSGLFACLGRNDEFCSSDLEVCLLGSVAPCVIYGSNVERLGSTPGTFANHCLPYTGLYLIGNSFFGWNCMAPWFSYPTRTTIRRKFNLQAGNWESMSKSCGGCCDVDEEQLEQAEIACDFATHVCCHPCALCQEGREIRRRVPHPGFGAQPMLVMIPPREQTMGRHGA >cds-PLY82489.1 pep primary_assembly:Lsat_Salinas_v7:2:185768902:185770853:1 gene:gene-LSAT_2X106941 transcript:rna-gnl|WGS:NBSK|LSAT_2X106941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGMRVVVNFGLVSGMLQNQGYNELDRLQHRSLSPMASLDIMSHIPRGWSGFPQERLSGMTMDQQGTPFNFVDGILGLKGNSLKHVEATTGCRVYIRGKRSIKDHDKRGRPSYEHLNEPLHILIEADLPPCVVDLRMRQAQEVIHELLKPMDELVDYIKRQQLRELAMLNSNFKKDST >cds-PLY91673.1 pep primary_assembly:Lsat_Salinas_v7:8:13171053:13174051:-1 gene:gene-LSAT_8X10521 transcript:rna-gnl|WGS:NBSK|LSAT_8X10521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DYAD [Source:Projected from Arabidopsis thaliana (AT5G51330) UniProtKB/Swiss-Prot;Acc:Q9FGN8] MASRNFMCEADEILEEDVQLGSVYEIYHKNLPPRTPVQLRSVRVVMVSEKTDLNVAIRYPSILSLRTYFSHGITEMYPALDEKFVMGVKLAGKVLFRQVPSQEFAEKKHLQNFWLVNPNSQVGPGHAAMKIEEIGLAKELKNNAMVRWGVRRQVMFIGRHKDTSKTTQSSSSFVHGEEELKNEQDVNNGGYEEEEEDEVDEEEKGDEDDDNLNRRLRKTRNLRKRKRVKQANNQLWLVNKKPMNKCKKLGLIKDPTERWSKERYLAAELSLLEAMKEKKAMIGNPITRPALRVEARKRIGDTGLLDHLLKHVANKVAPGGDLRFRRSHNADGAMEYWLESADLLKIRKDAGVSDPFWTPPPGWKPGDSPIQDPIMAKELNHLKEEISCIKREFLSKKQFEEEMANLRRDIMELISKNIKDQDENKLAIVVSEGVDTSPKQLTNFCNSLLPYSESDVDNSRVSREECKKEMLVILKKVEAETSKMTGGSSGTEELINTQTAPTAPSPDDAAKKHTEKKKEAVALPKQGGDPQETSAPPPPPAGEGKAAKIERLKSGFRLCRPQGTFLWPNMVNNPTNTTASCSSQVVDLLVVPTPPSVNSSTPPQLPYNHHHHHPASPPVKPVPERRAVTVTVSTDHPQFSTNNGNKITTSLINLNDIPTIPSAPQQTQPMPTAHVSRPINL >cds-PLY72908.1 pep primary_assembly:Lsat_Salinas_v7:1:209133752:209135337:1 gene:gene-LSAT_1X126760 transcript:rna-gnl|WGS:NBSK|LSAT_1X126760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPYREAKNGGEGTNGVYALGGIAAAVCGPSCRVIQVPPVVQEVLKLDEEMKDLAKSLISKQSLLMFGRGYNYATTLECALKVKEVALMHSEGILAGEMKHGPLALIDENLPIVVIATRDHCFSPVVAEAMFVNREEIPDYPLYRDPVHDFGFFRYDPAAIQFLSYEEIPLAPDAACVVLEVRVVGNDSGEKVSILAGIIARLDREAPH >cds-PLY78316.1 pep primary_assembly:Lsat_Salinas_v7:2:181368223:181370618:1 gene:gene-LSAT_2X102781 transcript:rna-gnl|WGS:NBSK|LSAT_2X102781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTGEDYKIKKKNKSIRKKLRSESSKVSNRIAAIIAQKKRRQSGKRRMCQGMCFSLPTPEDPFLDKPTNLDSKKKDKTKKTKKVEPSKGVDNLSEKGNKRKAKEDDQSNGKNQEQKRARVEKDSDKKSTKKLSTTRISKDEGCPSKFLISCLKTIQDMMHHNEAFEPGKPLFFDSWGFDFWRCYSSGKHVLETNGSCTMEQVAWIASTAVDTITNKEKEGVSFTTPYLLFLVPSQQKAIQVRSVCKPLKEFGIHTVSLHPGASIDHQIHGLKTCEPEFLVCTPDRLVELVSMEAIDISGVRSLIIDGLGSSTEGAYLESIKSIQKHISVDPHTVVFCLSDTYSSDVSSLLPTPVCRLSREESLINKK >cds-PLY68562.1 pep primary_assembly:Lsat_Salinas_v7:2:23053279:23053704:1 gene:gene-LSAT_2X9660 transcript:rna-gnl|WGS:NBSK|LSAT_2X9660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFNMSNENMGVVDLPECLASAYPYKTWLSIYKLRESLALHEYSNGVCVVRVMESGDVNNFTRLYTIRASHGPKMILGFRESGKPIMEVKDHLIGRGTLVVYDPNSEKFNDLEICGTGDFLFVNSYMETLLLHDRSDCSSN >cds-PLY63232.1 pep primary_assembly:Lsat_Salinas_v7:9:17688800:17689721:-1 gene:gene-LSAT_9X13261 transcript:rna-gnl|WGS:NBSK|LSAT_9X13261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich repeat secretory protein 55 [Source:Projected from Arabidopsis thaliana (AT5G48540) UniProtKB/Swiss-Prot;Acc:Q9LV60] MAFFNHYLLIFILFVLSVESADPSAQLCNDNSNSTTPQITKNIDSILPKLVQATSQFGYSATSFGHGEAQVFGLAQCRGDVSTQDCSSCIQEAAKEIRSFCPNKVDARIWYEYCFLRYNTQNFIGELDTGFGVFYYNVENVTDPKTFNKGLGSLMYQINTVAAVSGSKGLGKGETKLSPFLTLYALVQCTRDLPELSCRQCLAIAVGNFPTYCENKKGCRVIYSSCYVRYELYPFFFPLDDKQTPLESSSMTNYRSVVTKP >cds-PLY67762.1 pep primary_assembly:Lsat_Salinas_v7:9:165731829:165733294:-1 gene:gene-LSAT_9X103020 transcript:rna-gnl|WGS:NBSK|LSAT_9X103020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTHPILKKMKFDIIIFNFPHAGHYDYLRESDQELIQMHRELVGAYFRSASKMLSKGGEVHIRHRDDPPYYRWDVISLAAEAGLNLKEKVLFDKSMYPGYHHKRGGVDDLHPKNYEEVRVLEAHDKEVCVLEMKDRVHGDEVYISEMKGQIHGDEAHAMKNKDQAHEDLHDAQSLEMKDQVHVHPEKDGIANHGDEVHVLKMKGQVHGDDQIHASNMKDDQDYGDEGHVSHTRSIVNEQHENTSDQFLDVYVLKIEDDIHEYEHHMKNDEVNVDLEVHVLEMKDQDYGDEVHASHMKDQVYDQNPKNDEIPDHDDEFDVSEMKYEVEDDGVHVLEMKDTEKNDQVHDVLEMSDDVHNHVHEHHVKNDEVNVDLVVNVLERTNLVEEQHMKNDNYDDLNVHLLETKDHGHKQNKDNHNDIHKQEGFIRASTMH >cds-PLY85409.1 pep primary_assembly:Lsat_Salinas_v7:9:78840556:78849193:1 gene:gene-LSAT_9X63821 transcript:rna-gnl|WGS:NBSK|LSAT_9X63821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLVCRRVGLVIGISLITHFDGGSSGNLVGGSVLTVSKTCNTHKVLETKSATLSLSNLGFGLEVMSGKREIVPMTRSHKKFKPQGLWEWFLDL >cds-PLY72770.1 pep primary_assembly:Lsat_Salinas_v7:4:373049570:373050437:1 gene:gene-LSAT_4X184120 transcript:rna-gnl|WGS:NBSK|LSAT_4X184120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGREVPRKESPWGLPDGDTRQPKAHKCNDRIEDVVQACFEGNPFKTVPGPFKLFWRCMQSKPG >cds-PLY98148.1 pep primary_assembly:Lsat_Salinas_v7:1:142498835:142499915:1 gene:gene-LSAT_1X104521 transcript:rna-gnl|WGS:NBSK|LSAT_1X104521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLERSVWFDEGLPLQAWIKGAFRKILAKWGMIGHIDDNLGEDIYKNRVCVLTLCQYIIYDVVKVWVDDSFFGVRVKEAANWTSTFPSGGFKGLVDVNDGIGNKEDEESVHSNQEKEDTSLDQFGIYDNLEKMKEDHDKSQEKSETHNFETAKGHFDPLVARALSSDVTTSNVVSQASSNLPVDVLGDQFPSKEVVVPVKPMGFSCGFGRMPGVVFYEESLSHPPGFSKQKFSTFHGSKCISAGSITNDCNQEVFVKIVQVGEAIGYDMHGCRYKVFDFFEGQRERSRKP >cds-PLY86757.1 pep primary_assembly:Lsat_Salinas_v7:8:185393772:185397228:-1 gene:gene-LSAT_8X121361 transcript:rna-gnl|WGS:NBSK|LSAT_8X121361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMNTIFFRASSTSTRFAALASCNSLRLLLPSSSIAIPIKSQIFINPTVGVLRSNFHASRWVDVRASAVLTQAAGFAATAEISYEEDTISSSSSSSSSSDGLAISSLGIPQEIVNALAKKGITKLFPIQRAVLEPAMQGRDMIGRARTGTGKTLAFGIPIMDKITRFNQKNGKGRNPLAIILAPTRELARQVEKEFYEAAPNLDTLCVYGGVPIQRQMSTIDHGVDVIVGTPGRVIDLIKRGSLKLSEVKFAVLDEADQMLNVGFADDVETILEYLPRERQTMMFSATMPSWIVKLTRKFLKSPLTIDLVGDSDQKLADGITLYSIVADNRDKPSIIGPLIAEHAKGGKCILFTQTKRDADRLSQAMQRHFTCEPLHGDITQNQRERTLSGFRDGRFNVLVATDVAARGLDVPNVDLVIHYELPSSSEVFVHRSGRTGRAGKKGRAILIHSAQQMRDVKGYEREVGCRFSELPRITVDASSRIELGGGSSSFGGRFGGSDGYGGGGGGGGRGRFGSSGGGRTSRDVGFGGSSYGGGSGGGFRGSSSDRGGDRSSGFGNMRSSGFSKSGSGSDRSRSSSGRFGNFGED >cds-PLY93642.1 pep primary_assembly:Lsat_Salinas_v7:1:187239786:187242665:1 gene:gene-LSAT_1X119780 transcript:rna-gnl|WGS:NBSK|LSAT_1X119780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTSTNIIRFIKCVTVGDGAVGKTCLLVSYTSNTFPSDYVPTIFDNFSANVVVNGSTVNLALWDTAGQEDYNRLRPLSYRGADVFLLAFSLINKASYDNIYRKWILELRHYAPSVPVVLVGTKLDLREDMDYLRNHPNATPVTISEGEELKNMIGAVAYVECSSKTQKNVKAVFDTAIRVGLRPPKKIKRRQQKRRQCIFL >cds-PLY82150.1 pep primary_assembly:Lsat_Salinas_v7:1:15855187:15858834:1 gene:gene-LSAT_1X14320 transcript:rna-gnl|WGS:NBSK|LSAT_1X14320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPNEIMLSRGGGGGNTNGTTGEGFIDRSKVRILLCDNDTKSSEEVFKLLCKCSYQVTSVRSPRQVIDALNAEGPDIDIILSEVDLPMPKGFKMLKHIMRDNALRRIPVIMMSAQDEVALVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRRMLGLAEKNIMNCEFDIVASDPSDANTNSTTLFSDDTDDKSNKSPNPGIPLSIPPESENNMITPPPSFVNTQPFNLSDNPPNVPEINEQHRGKVTFGPKKSELRIGQSSAFFTYVKSNTCRSSITINEPIPPQLENKLTPVNLSDTQGHHDLISGPSDPMNSKTFDLPEAQIHQPGSNYQHPDVSGYNAYSPYPYYLPGPMNLQNHYNHVMHCPPLPPHLPGMGMGSFPYYPVNLCLPGANAMQQPWMGYGSPSNNVKVHSNNSRLDRREAALMKFRQKRKERCFDKKIRYVNRKKLAERRPRVRGQFVRKINGINVDLNGQPTSTDFDDEDDDNDIDDEEDEEGRDSSPENNFSVFHN >cds-PLY94776.1 pep primary_assembly:Lsat_Salinas_v7:2:177066530:177069280:-1 gene:gene-LSAT_2X98320 transcript:rna-gnl|WGS:NBSK|LSAT_2X98320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASEVHDSSSSSAQQVIIPIHKVEEDISEENLEAQNGKQWRKQNLFLEIPSRTLQPSSSSGEFVLIKMPPTPTPTPKKVNFNLTPNSSGPLGSPTTHASRTKSSKKSLLTKLSFKNRNNTVSDTEKAVVSTIQSPPSFSRSWSFTKIFTPRGKRTTSSLPVTPVGHSEGPPVLECSTGSLNLETKVQKHMSRSRSAPNLDKDVCIKRLDSFFRVIPSTPRLKDADPTTPTPTPTGINPDDNEGDGGENIPEEEAVCRICMVELREGGETLKMECSCKGELALAHQECAVKWFSIKGNKTCDVCHQDVRNLSVTLLRIQSTVRNRNVSPASRANHMEINGYGVWQEVPILVIVSMLAYFCFLEQLLVGRLGTGAIALSLPFSCVLGLLSSMTASTMVTRRFVWLYASIQFSFVVLFAHIFYNVINIQPVLSILLATFAGCGVVMCGSSIFVEVLRLRRRWINARLSRQNDSRVVLDPEAPQQASSSSNGDVLHHNEIGNREASGGI >cds-PLY95694.1 pep primary_assembly:Lsat_Salinas_v7:2:117054204:117055364:-1 gene:gene-LSAT_2X53660 transcript:rna-gnl|WGS:NBSK|LSAT_2X53660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVKEKSGPCEFTSTKTKVTQSASISPNCISASPLPLCNSSSSSFYRESLSTDTSLKMSKENIHTSIDIIGHVDSSKSTTTYKLGGIKKEALNLIDKDGYSYITTKELGIIMKLLGQNRTEVELQDMINELEVEGNGTIDFPEFVNLLTRKMNTQRGAIFTEIKNNVNKIAKWTTPAIVTSANMMRMGYTTRVHPCDHFDLVILAIVGNKQKGIDGQIGTKFDDQFTPHGKFLLLPLNLKIAKLKEKLTTSQEIQASLVEIEDRNASFIVLLEWIGAFEVSFVLNKLIGVSYKFEDTRSGDELTEKYREFVLHFEIPGTPFKIYGKAKGKTKRSPRSVWMLYTISIHLEDKVVLLGWGIVMHQLLQYMIDKGNMGNSTNKRTLGTV >cds-PLY83382.1 pep primary_assembly:Lsat_Salinas_v7:5:94708914:94709495:-1 gene:gene-LSAT_5X43180 transcript:rna-gnl|WGS:NBSK|LSAT_5X43180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHYPNQHNTNLTQAVDNLKLSSSSIDRPMTPGKWHLLMGQDISTGSTSIGRSAAIVSNMSVEILNGSNLTRLRQISGAKVVVHEPRSGTSDHIVVISGTPNETQSAQSLLQAFILADQS >cds-PLY64061.1 pep primary_assembly:Lsat_Salinas_v7:8:94303206:94303872:1 gene:gene-LSAT_8X66741 transcript:rna-gnl|WGS:NBSK|LSAT_8X66741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPVVAQQSPWHSPVPYLFGGLAAMLTLISFALMILACSYAKYARDEENAGDGERDLEAGDDKPDNDKELSSVFEEKYLVIMAGESKPTFLATPISSRPLMFCRCSCRSFSTENSSTSEVVMMEENQEEKQGRSSNQVQVRNTANQDTTDHIP >cds-PLY61853.1 pep primary_assembly:Lsat_Salinas_v7:6:62190289:62190597:1 gene:gene-LSAT_6X46040 transcript:rna-gnl|WGS:NBSK|LSAT_6X46040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPPSPDSINSGEFVNLSDLHSVFCDCGDKIVANQIKMQTFKDQVGKDFIVCRVDHISLHHKLEDHERKLKAIALVMGGVMVAMLGMMMVGVKVLMKLG >cds-PLY90008.1 pep primary_assembly:Lsat_Salinas_v7:3:84146156:84150223:-1 gene:gene-LSAT_3X64900 transcript:rna-gnl|WGS:NBSK|LSAT_3X64900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQIISSSNFLFFSLALLIITLFPIPNFTSASLEETNALLKWKASLQIPKNSLLSSWIPLPLNSSASVPCTSWFGVVCNADGSIQKLNLTSSALKGTLHPFSFSLLHNLTHFDLRLNNFFGPIPPEIQLLSKLVYLDFSLNKFSGVIPPEIGILHQLTILYLYSNNISGPIPIELGNLKSLTDLKVNINQLSGSIPSSLGNLTSLNVLNLYQNQLSGSIPVELGNLKSLTDLELSTNQLSGLIPSSLGNLTSLNVLYLFQNQLSGPLPNELGNLKSLTHLAVYNNQLSGSIPSSFGNLTSLNFLYLFQNQLCGPIPVELGNLKSLTDLEVNINQLSGAIPSSLGNLTSLNFLYLFQNKLSGPIPNELGNLKSLIHLAVYKNQLSGSIPSSLGDLTSLNDLILYKNLLYGPIPVELGNLKSLTNIEVSENQLSGSIPSSLGNLTSLNILYLNENQLSGPIPVELGKLKSLVHLAVYNNQLSGFIPSSLGNLTSLNVLYLYQNQLSGPIPIELGNLKSLTDLEVNINQLSGFIPSSLGNLRSLKILYLHENQLSGTIPLEIGKLKSLTHLAMYKNQLSGSIPSSVGDLTFLNFLFLYENQFSGLIPVELGNLKSLNKLDMSHNQLSGSIPSSLVNLSNVKWLTLSCNKLSGPIPSELGKMKSLTHLSVSRNQLSGFIPSSFGDLTSLNGLYMYQNELAGAIPSELGKLKYLTDFQVNNNQINGSIPPEFGNLTRLHRLNLSSNHLVGEIPKEFGKMKSMLDLYLTGNQLSGVIPLELGFCELLEVLDLSKNRFNGSLPRSIGQWTHIHYLNLSNNKLSETIPSEIGKLVHLTELDLSHNFLTKEIPSEVQSLQSLQKLDLSHNRLSGSIPDAFTSLPNGIDINLSFNKLSGPVPPCANFVNASIESNTDLCGNITGVKLCPSQIMKKKNDPFHHKLILVIMLPLIGAILLGVFTYGLIAYQQHKKKSPQKPSDEQSGDYFSITSFDGKVVYVDILKATNDFDEAYCIGTGGYGTVYKAELQPDNVVAVKKLHSSSENVDHNGFLNEVRALTNIRHRNIVKLYGYCSHVRHSFLIYEYLEKGSLGSILRSDVLAKELDWLKRVNIVKGVANGLAYMHHDCSPPIIHRDISIANILLDSDCEAHISDFGTSKLLKLDSSNWTAIAGTYGYIAPELAYTMVATEKCDVYSFGVVAIEVIMGKHPGDLITSFPTLSADYMVPANVGDSRIPPPSSQVEKQVRLVLNLSRACLNSNPHERPTMQQVSNLLMKDQP >cds-PLY97217.1 pep primary_assembly:Lsat_Salinas_v7:4:95470616:95473322:1 gene:gene-LSAT_4X62541 transcript:rna-gnl|WGS:NBSK|LSAT_4X62541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMKLVVALFFATVVAGGNTAGAQLVHHVLSGDRTSDMTTDVGSWSSGRIYRVGDHLLFRYLSPQDTIVELASIDEYYSCDLTNPIKMYTEHVNKVPLDKEGIRYFASSSYEKCKNGLKLPVHVNPPRDYPAAPPTPSSAPQLHGIPALIVVFIGLILFK >cds-PLY85102.1 pep primary_assembly:Lsat_Salinas_v7:1:45547033:45555263:-1 gene:gene-LSAT_1X39900 transcript:rna-gnl|WGS:NBSK|LSAT_1X39900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMNKSLFLTYLYLLIYILLSSGVILYNKWVLSPKYFNFPFPITLTMIHMGFSGAVAFFLVRVFKVVTPVKMTFEIYATCVVPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATLIMAVLCGTDKLRWDVLLNMLLVSVGVVISSYGEIHFNVIGTLYQVTGIFAEALRLVLTQVLLQKKGLSLNPITSLYYIAPCSFAFLFVPWYLLEKSNMEVSQIQFNFWIFFSNALCALALNLSIFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESAITGLNIIGYAIALCGVVMYNYIKVRDVKASQLPPESIPERLTKEWKLEKKSSDNNISDEDNTRSSSRFSSMSDSAVDEEAPLMASSRLSYIARSQLNSRDT >cds-PLY93300.1 pep primary_assembly:Lsat_Salinas_v7:4:302162359:302164199:1 gene:gene-LSAT_4X147180 transcript:rna-gnl|WGS:NBSK|LSAT_4X147180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIHLGNVPVLVASSAKAAMEIMKFHDLSVSGRPRLMMPNILLYGCKEIAFSPYGEYWRQLKRIVVCHLLSNKQVKSFRKVRQEEIGVTISMLAESCGSTVDLGELIVSLANKITCRTALGRTYDHGSKFTKLLLRFMNMLGVFCVGDYIPWLSWVDGLKMLEGNAKKIATEFDDFFDFVLKEHRSTKSTGEDAKSDDDRDLVDILLDVQGNETIGFTLGDDTLKGVILSGNLVSL >cds-PLY69968.1 pep primary_assembly:Lsat_Salinas_v7:5:118586947:118587408:1 gene:gene-LSAT_5X52000 transcript:rna-gnl|WGS:NBSK|LSAT_5X52000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKIRNNPLSKLQNKKLPHPSVSLIILFIYNTSLFLLLLFKKKEIKTPPTPTKLKGKEKNDESAAIHLNYKESSNTKAEELKRITKELGYQAAVKLKAELDHQVALEKENVVGSSPIEEDLSIEDDDKIIVDEDEDKEDYDKPISSILNKRNP >cds-PLY86580.1 pep primary_assembly:Lsat_Salinas_v7:1:9032099:9036049:-1 gene:gene-LSAT_1X8221 transcript:rna-gnl|WGS:NBSK|LSAT_1X8221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSQDSRLFVGTIRREVGSKVVAVEQIQETPLVIKSTSSGSEQRKVGPSELQGVGKNVVSESSSRPGSSSHIASVIHEWTYDAMCHDLLEMEGNKYVHVVPSKTGDDYERKEVLLKDHDPLWLELRHSHIANASERLHDKMTNFVSRNKAAQMHGRDGGEMSTRDLQKMVQALPQYNEQMDKLSLHVDLAGKINGIIREMGLRDVGQLEQDLVFGDAGTKNIIKFLKEQDIVLVAQSESEWKQLGELAMSTGLLEMAKLQCSYKTIY >cds-PLY85982.1 pep primary_assembly:Lsat_Salinas_v7:3:132631784:132632539:1 gene:gene-LSAT_3X90041 transcript:rna-gnl|WGS:NBSK|LSAT_3X90041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPASLSRRLGDGTGIPFRGSLNPKSRPSPFLSIDLVLVGAFLIIGYAYSGSGGRNIDKVALSRLEGGVSCSAEINQALPYLKKAYGESMHKVLHMGPDSCSVMSKLLKDEDTEAWGLEPYDLDESDANCKSLTRKWIARVADIKYPLPYKS >cds-PLY86152.1 pep primary_assembly:Lsat_Salinas_v7:6:156795583:156797417:1 gene:gene-LSAT_6X94800 transcript:rna-gnl|WGS:NBSK|LSAT_6X94800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRERGGGGSKAKTETAMASIGGEGGSRMDGKDRNKDRLSAGNSRLSVSSYPDKKPTKGEEKVVEESETDSEEEESDVSGSDGEETSWISWFCSLRGNEFFCEVDDDYIQDDFNLCGLSNQVPFYDHALDLILDSESSHGDSFSEEQIEQIESAAETLYGLIHVRFILTTKGLAAMLEKYKNTEFGRCPRVFCSGQPCLPVGQSDISRQTSVKIYCPKCQDIFTPQFRFQDNLDGAFFGTTFPHLFFLTYGHLKPQKTTLQEYVPRVFGYKVHKP >cds-PLY96566.1 pep primary_assembly:Lsat_Salinas_v7:4:369002490:369004554:-1 gene:gene-LSAT_4X181900 transcript:rna-gnl|WGS:NBSK|LSAT_4X181900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDEKDAFYVVRKGDIVGVYRSLNDCQSLLCGPDVDVFKGYRLSNVAEKFLSSHGLNNAIYSVGVSNVQGDLFGQLIPCPFQQPASNKANSKTPIEKRMKKDVVGSTSLSEAPQRKLPETESFIEALPVSAYCCSCILEFDGAAKNNPGPAGAGAVIRAVDGSLVYRLREGLGVATNNVAEYRAVILGLRYALERGFRHIRVQGDSKLVCMQVNGVWKTKTQNMTELCKVAKELKEKFLSFQICHVEREYNSEADAQANLAVYLQSGEVQEEVERR >cds-PLY81959.1 pep primary_assembly:Lsat_Salinas_v7:9:152802925:152803660:1 gene:gene-LSAT_9X97261 transcript:rna-gnl|WGS:NBSK|LSAT_9X97261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSREEEEEEEEYVVLDLDSVSGQLHIPPNAPYVLSGLDTLNPILIIDDKIKRIGEYEETIGTCLVFSEHDASPVVHEETGSSEVNLFSGKCIINPNEVTRKQVKPICQLQKVLRFKLLQDDQTNNVVDVPTIEHSTMKND >cds-PLY92664.1 pep primary_assembly:Lsat_Salinas_v7:2:161500149:161502520:-1 gene:gene-LSAT_2X85561 transcript:rna-gnl|WGS:NBSK|LSAT_2X85561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADNGVLPVDKLTISETVKEAEFSQRVLVRSILGRPDGGAGLAGQTLKVGGWVKKGREQGKGSFAFLELNDGSCTANLQLIIYSDVAPLGQFTPTGTSLHVEGVLQMPPADKQGKQSIELKVSKVLDVGAADPAKYPLPKTRLTLEFLRDYVHLRPRTNTISAIARIRNALAYATHTFFQKHGFLYVHTPIITTSDCEGAGEMFQVTTLINDSEKLEKELLKNPPPSQEDVDAARAAVKEKGGIVAKLKSDKADKSAITVAVAELTKAKETLSKIEERFNQKPGIPKKDGKVDYSQDFFARQAFLTVSGQLQVETFACALSSVYTFGPTFRAEHSHTSRHLAEFWMVEPEIAFADIEDDMKCAEAYVRFMCQWLLDNCLDDMEFIAEKFDEHAINRLKMVASTNFVRLTYTEAVTILEEAVSKGHQFENKVEWGIDLASEHEKYLTETKFESPVIVYNYPKGIKAFYMKVNPDNKTVAAMDVLVPKVGELIGGSQREENYEVIKERILEMGLPLEPYEWYLDLRRYGTVKHSGFGLGFERMILFATGIDNIRDVIPFPRFPGRADL >cds-PLY96806.1 pep primary_assembly:Lsat_Salinas_v7:2:171921852:171922151:1 gene:gene-LSAT_2X94340 transcript:rna-gnl|WGS:NBSK|LSAT_2X94340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVATEGGGSSGDGVIAGYGGGPPDDSATTRGGCRPTDDGVDLKDSGRPSDDGVASSDGGGPSEGGAVGVLVTSNDATECIGLCGGSDGSPVVFFIIHG >cds-PLY99464.1 pep primary_assembly:Lsat_Salinas_v7:5:333573142:333573558:1 gene:gene-LSAT_5X187260 transcript:rna-gnl|WGS:NBSK|LSAT_5X187260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKKDLQVWNNAVFDNGADSESLNLNNLIKSPSWLVKKLVTINRSSDSFDSVHPSFSSKENQIPIRSSKPSGFVLHPVHQSKPLPNLPIGLSKSGVLENSEEKTNKEIEIENEISRLFARLEAIRLEKQSLLRLLSLR >cds-PLY69988.1 pep primary_assembly:Lsat_Salinas_v7:8:63224657:63224944:1 gene:gene-LSAT_8X45701 transcript:rna-gnl|WGS:NBSK|LSAT_8X45701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNVRDIQGPVLPIVLERMKSHGAYGSPEQSVDLLSVKDMKVVCHELAAASNDPEGILMDDLAKMLTNSFLA >cds-PLY91482.1 pep primary_assembly:Lsat_Salinas_v7:7:141125154:141126971:1 gene:gene-LSAT_7X84420 transcript:rna-gnl|WGS:NBSK|LSAT_7X84420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRPSPVRNPRSKGIKSKNVLQICLLLAVCFWLIFQVKRSHEKKKEFDNTKISLSTSKQSNDEIAKLGRKDLQPKFEKIEEEAETQTEQDEEKTDEGKEDEIDENEQEKSEAEEIEEVIDEESQSQTETETETETGDSVEDHEPEEEEDGASTHTHEGREEHYKADDASSAVVTHLSTENTTNEEISNGETFNNNGTHENGPPSSTKIMDSDSTEAVNVTVEGFAPDSSYVKSDELNSSNEVESDEGEGEGEGENLEGNDVILDPDEVENETHLEEKEVRMDLDTLPEIETEGGNSEDTAAERR >cds-PLY76485.1 pep primary_assembly:Lsat_Salinas_v7:1:109936587:109937899:1 gene:gene-LSAT_1X87261 transcript:rna-gnl|WGS:NBSK|LSAT_1X87261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGKPSSSLPDTQFFGLLSNLLQQVESLTNQEEVELRAKIEALGLEVTKVPSKSTKILDELELASELDKLSAKLDNVDEMISSAMAADPQVMSLLSDTADVWMPVITATSDERQIFAASVEDIDKVEEKGFN >cds-PLY90196.1 pep primary_assembly:Lsat_Salinas_v7:1:45466849:45468716:-1 gene:gene-LSAT_1X40040 transcript:rna-gnl|WGS:NBSK|LSAT_1X40040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQLLEMGFSDELVSQALSATVGKSLSSATEWILSQESTTENKRSPVLTPSPSQPKINPFFRLNSAKKPRHSPDNHQQPVPAAEPESSPHPEPKKRKQPPPRNEQPLAERMRPHTISQIVGQDHLLADKSSILRSAIDRDRLPSLILWGPPGTGKTSIGRAIVNSCSSSSSYRFVTLSAVTAGVKDVRDVVDEARKRKKNTINGTITRTVLFVDEVHRFNKSQLDSFLPVIEDGSIIFIGATTENPSFHLITPLLSRCRVLTLNPLQPHHVSTILKRATEDSDIGLSQSVGRAINVNDEVIEFLSQHCDGDARVALNALEISAITAASRLGSTTSDNSDSAALLGVTIEDAKEALQSKHLSYDKNGEQHYNLISALHKSMRGSDANASIYWLARMLEGGEQPLYIARRLIQFASEDVGLADTSALTQAVSCYQACHFIGMPECRVNLAQCVAYLALAPKSIAVYRALEAATKAVKDSIGQNEGVPLHLRNAPTKLMKELGYGKDYIYTPDNPSLPQSFLPVSLQGSKFLDWPDIFGADK >cds-PLY68723.1 pep primary_assembly:Lsat_Salinas_v7:5:279652120:279654016:-1 gene:gene-LSAT_5X147641 transcript:rna-gnl|WGS:NBSK|LSAT_5X147641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYFGEAGPGNDRSSSAGGSSSSRKGKKSSSDKPKQPQRGLGVAQLEKIRLHSQMGFLAQEDIGLQTAYSSSASFSYTSPSTASFNTFQGHQSQMMQMGMGELERTNVVYGESQLSSNPRWDASNAILEAQHYAQPAGINRHLLSPELTEVKDSMRRQKRKDQNDSMGSSSQNSDSNDSQELDLELRLSL >cds-PLY77574.1 pep primary_assembly:Lsat_Salinas_v7:2:164956198:164963400:-1 gene:gene-LSAT_2X86220 transcript:rna-gnl|WGS:NBSK|LSAT_2X86220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMRNLLLKPSSMIIAPKPTEQSPSRSKRCFFYTPPTSHRFSSMHASLPISALVKEHKGFSGNMNWGDFLGSSLSSFWDDATQTDEKFLCQWSKEDTTVATRETEDDTQKRKIHKTKRRAASKISRKIYTVIVGASFCAVVAIFSFVLPNQQARRCIVVPYSDLVGNIRDGNVIHVQFVENSREIFYNTKSSTETPQIDSVPKGLLKVFVPKWQFRTRNVGDEKYGLIKLLKDKEVTYGSDPEQLSGSMKNFLFLMLQLAPYWIMVLISCYQLNAQQNLGKMTKRKPSKKQSVTFDDVEGVDSAKAELLEIVSCIKGDSKYMKLGAKLPRGVLLAGPPGTGKTLLARAVAGEADVAFFSIAASELVEVFVGKGAARVRDLFKEARKSSPSIIFIDEIDAVGGQRGRTLNCERDQTLNQLLTEMDGFEKEASVVVIAATNRAESLDSALMRPGRFSRKVVVGVPDEEGRRKIFNLYLREVPLNEDKKVICDLVASLTPGLVGADLENIAHESVLLAARRGGDFVTKDDILEAVERATTKIGNDDDYVTELQLNSKMGMKAKKSMMKKVKKGSTELTASVRKDEAADFLPLEGGPARKLPITENSDNKATVLYIGRIPHGFYENEMEAFFKQFGEIRKIRIARNKKTGKSKHFGFLQFASSEVAKIVAETMHNYLLYEHLLQVQLIPPERVHPKLWKGVNRYYKPSDWIQIERKRQNKERTFEEHKKLVDGILKREQKRRRKIEAAGIDYVCPEFVGSEVSAPKKIRFED >cds-PLY93076.1 pep primary_assembly:Lsat_Salinas_v7:9:27153779:27155929:1 gene:gene-LSAT_9X23760 transcript:rna-gnl|WGS:NBSK|LSAT_9X23760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSSGSEKVDYFDGVFNYLNKMLMEEDDLTNKPCMFIDSLALQATERSFYDVLVCNPPETEPLEIYDAAVLCDDFNRLSIQYKSRSSSLGGLITDGKPVKKRRKKVVEMVDPTELLMQCGEAMANGDTTETVQILKMIRKDSSPLGNSSKRMAHYFANAIEARLCGTGPEIYRAFSSTSAAQILKSYKAYITACPFHRMSNIFANKSIAKLANTTNKLHIIDFGILYGFQWPCIIQGLSLRPGGPPKLRITGIDLPQPGCRLIKYAKRFNVPFEYRAIAKTWENVKIEDLDIDPEEMLVVNSVYRMRNVLDETVVENRPRDSVLNFIRKLKPDMFVHGVLNGTYNATYFHTRFRQAVLHFTTLFDMFEATAEHDDEDRKLFEQDVFGRDIMNVVACEGRSRVERPEMYRKWEMRNLKAGFVQMELDSDIMNEVRAKVERQYHKDFVVHEDNNWMLQGWKGRVLYALSLWKPGNCF >cds-PLY80852.1 pep primary_assembly:Lsat_Salinas_v7:4:326196970:326197796:1 gene:gene-LSAT_4X162220 transcript:rna-gnl|WGS:NBSK|LSAT_4X162220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLPVPLAALLILAFVFTSSVFKSAAATTPTGVSASASTSVSISVSGPGGFGCDKKCELRCSRSGRRDRCLDYCGICCGKCSGCVPAGPYADKAQCPCYRDMKNPKGTSKCP >cds-PLY98342.1 pep primary_assembly:Lsat_Salinas_v7:7:166513041:166513674:1 gene:gene-LSAT_7X98640 transcript:rna-gnl|WGS:NBSK|LSAT_7X98640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVVKVTMNNEKKIRKALKIAVSLSGVELASFVGSDNTQIAVTSEHVDSVELATLLRKGVGYIELLSVGPVEEKKPAAAKETNPTVGPLDFTVNPYQYYYSSYGMPYYAYEI >cds-PLY64409.1 pep primary_assembly:Lsat_Salinas_v7:7:82618706:82625705:1 gene:gene-LSAT_7X57780 transcript:rna-gnl|WGS:NBSK|LSAT_7X57780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTTTTFTPRNIDLFFTSVSAATVSSMSTVEMEVFSNTQLVVLTILMVIGGEVFISMVILYLRRPFLKESSNDGCKVDPPMCYLNTQSICLDNIELHKIVIRESGSSKSDTFNCEIVSTNDVDMEDLKYKSFKFLGLVVFFYLVFVQILGVVSVFIYINVISSAKNILKQKDIRALTFSIFTVTSTFVNCGFVPTNENMMIFRKNSGLLLILIPQALFGNTLYPPVLRLTIWAIGIFTKKSEARYLLKNSKVLGYNHLLSYQDSLLLATTVLAFIMLQFILFSSMEWSSGSLRDLDLYQKLVGILFETVNTRHTGESIVDLSTINASILVLFIVMMYLPPYTSFSLVREENFEQRSKRSSTFMEKLIFSQLTYLVIFVMLVCITERKQMIQDPLNFNVLNIVVEVISAYGNVGFSTGYSCDRRLKQDGDCENKWYGFSGKWSDGGKLILIVVMIFGRLKKFNMNGGKAWKLL >cds-PLY96074.1 pep primary_assembly:Lsat_Salinas_v7:3:96935474:96937965:1 gene:gene-LSAT_3X72420 transcript:rna-gnl|WGS:NBSK|LSAT_3X72420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIIISLVLSFHRPLCSSSSSTPKHLLKSRICPLWSLSFLFCLPPPPRSTILRCCYSHSLSPAAAHRRGSFCSATLPSSMSMVSDDNPLLKDFVFPPFDAIDDCHVRPRMHALLKKLTQEFLALLPIVRDHIIDGYSPEAREVFHREFDFFEKVTTISGALYPLPKEERRAGIKRGLEKIQLPGDDCYLPTAPSKLVRGIQVNSGIPLQSAAKVPIMITFDVFDRDGDPKDIKLQACIFKLRRYEQVIQMCEQNPNSAEVDTHTSPNSWRSTLIVKSYFNLGRLEEALEFIKKQETSGQITESCSPNSVLVFEGRMATLRAMQTIPKGSEPSMAGVKSWHQELSRNMIKLVKKRCLLPLRCFANLILLNSYNNSWFV >cds-PLY88768.1 pep primary_assembly:Lsat_Salinas_v7:4:169661255:169666357:-1 gene:gene-LSAT_4X100080 transcript:rna-gnl|WGS:NBSK|LSAT_4X100080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMAMSCKDGKGGILDNGKYVRYTPEQVEALERLYHECPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRREASRLQGVNRKLSAMNKLLMEENDRLQKQVSHLVYENGFFRQHTPQNTTLPSKDTSCESVVTSHLTQNPPQDASPAGLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVSLEPSRVAEILKDRPSWYRDCRAVDVVNVLPTSNGGTIELLYMQLYAPTTLATARDFWLLRYTSATEDGSLVICERSLTNTQNGPSMPPVQNFVRAEMLPSGYLIRPCEGGGSIIHIVDHMNLEAWRVPEVLRPLYESPTVLAQKTTMMALRQLRQIALEASSSGSPNWGRRPAALRGLSQRLSRGFNEALNGFPDEGWSLMGNDGNEDVTILVNTSPEKLMGLNLSFGNGFTPVSNAVMCAKASMLLQNVPPALLLRFLREHRSEWADNNIDAYSAAAIKLGPCSVPGGRIGNYGGQLLEVIKLEGVDHSPEDALMPRDMFLLQLCNGMDENAVGMCAELIFAPIDSSFADDAPLLPSGFRIIPLDSTKETSGTPNRTLDLASALEIGGSGNKLSNDQNTNNGTSRSVMTIAFEFAFESHMQESVATMSRQYVRSIISSVQRVALALSPSSHLNPNGTLQSPMGTPEAHTLARWISHSYRCYLGVELLKTGSHGNETVLKSLWHQSDAIMCCSLKAMPVFTFANQAGLDMLETTLVALQEISLEKILDEHGRKSLCSEFPQIMQQGFACLQGGICLSSMGRPVSYERAVAWKVLNEEENAHCICFMFINWSFV >cds-PLY93350.1 pep primary_assembly:Lsat_Salinas_v7:9:58505217:58510231:1 gene:gene-LSAT_9X51700 transcript:rna-gnl|WGS:NBSK|LSAT_9X51700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKAIRVYEHGGPEVMKWEDVDIGEPKSGEIKVKNKAIGVNYLDVYMRRGLIPGLCPPLTFTPGMEAAGVVISLGPDVTTCKVGDYVAYAGFPVCAYTEEMILPADRVVPVPPSIDPIVAAVVLFKGLTAQVLVRSCFQIGPEHTILVHAAAGGVGSLVCQWANVLGATVIGTVSNEVKAVQAKEDGCHHVIIYKQENIVDRVMEITSGKGVDIAYDSVGKDTFEKSVACLRFRGYMVSFGFASGSPEPVKFSLFSDKCLHFTIPSMMLYTKAREDLLAASHELFDNVAKGVLRVRANHKYPLSQVAQAHLDLEDRKTTGSIVLIPDN >cds-PLY78480.1 pep primary_assembly:Lsat_Salinas_v7:7:175761928:175762425:-1 gene:gene-LSAT_7X104380 transcript:rna-gnl|WGS:NBSK|LSAT_7X104380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTSSLYSYRDNGKVYWTLREFVHMDHLSIVSNQQWEGGFELPTRLSMAAVDCVIALSVAFLENNKKPSKDPQILIAASDGHRKAKPISLVSEFTRDVDKSLLIRNLLDQLIPLVQRLFAVCSQLLFT >cds-PLY75708.1 pep primary_assembly:Lsat_Salinas_v7:8:195777745:195779141:-1 gene:gene-LSAT_8X126061 transcript:rna-gnl|WGS:NBSK|LSAT_8X126061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPILTILEESKVSPPPATVANRSLPLTFFDFLWLTTPPINTLFFYELSITKTLFTETIIPNLKSSLSITLQHFFPFIGNLIIYPSRAQNPEIRYVEGDSVEVTFAECNLDFNDLTGNQPRECDKFYHLIPLLRRTAEVSEFISIPVFSVQVTLFPNSGFSIGMTNHHSLGDASTRFCFLKAWTSIAQSGTDEFFLANGTLPVYERVVKYPKLDENYLKNKKVETFDNEYQPASLSGPTDKVRATFIFKRSVVNRLKELVSKQLPTLAYVSSFTVACGYIWSCVAESRNDELEVFGFTIDCRARLDPLIPAAYFGNCVTYCLAMEKAKVLTGKEGFVTATKLLGESLHQTLTDKDGIVKDYVPLDTLFAELKPTTAMGVAGTPKLKFYDLDFGWGKPKKHETISIDYSGSISVNACKESNEDLEIGVCLSATEMEAFVSIFDHGLKAYI >cds-PLY73689.1 pep primary_assembly:Lsat_Salinas_v7:5:203658129:203659210:1 gene:gene-LSAT_5X92401 transcript:rna-gnl|WGS:NBSK|LSAT_5X92401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSSFIRRLYLERELEAHQGCVNTIAWNSKGSLLIFGSDDAHVNLWSYESRKLLHSIDSGHRNNIFCTKFVPETSDELVASGAGDTEVRLFNLSRTHEDTASNLSAHFQCHSRRVKKLAVEPGNPNVVWSASEDATLRKHDLREVTSCPPAKNCNGLLEFTCVH >cds-PLY73925.1 pep primary_assembly:Lsat_Salinas_v7:3:38137962:38140826:-1 gene:gene-LSAT_3X28821 transcript:rna-gnl|WGS:NBSK|LSAT_3X28821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQNPIFLLIILCTHMLMILTHSQNPPNEIPFTCIPQSTTCKSILYQHNQLTESNISSLYSVDISQIQNITHGDQQHHLVTVPCSCKNINNTVAYFYDTVYSVKLGEILSDVSDEFYSGQAWDQGTKFKANTNATMHILCGCTETDSQIMVTYTVEEKDTLSDIANLLSAEVDEIENVNKVLLQKPGFIKVGWVLFVPMYKNGVPPRPPSPPSPSSPPSSSSSSKKRKDFKWAIVFGVLLTVIGILLCLSILMFMKRRKNQENDKENQKAVSKTMSFHKSMASGQIRYLSKENMEGITGFDTERPLVYDLDEIAEATNNFDDSRKIGEGGYGSVYFGILGGKEVAIKKMRSNKSKEFLAELKVLCKIHHINVVELLGFASGDDHLYLVYEFVSNGSLSEHLHDPLLKGHQPLSWTARAQIALDAAKGIEYIHDHTKERYVHRDIKTTNILLDNGLRAKVADFGLAKLVGRTNDDDFIATRLVGTPGYLPPESVKELHVTTKTDVFAFGVVLAELITGKRALMRDNREPNKMKSLITVITKVFEEEEDPEGALVSIRDGSLRDSYPMDDLYKMAEVSYWCLSEDPMNRPEVREVVESLARIVMSSVEWEASLGGSSQVFSGVFDGR >cds-PLY64447.1 pep primary_assembly:Lsat_Salinas_v7:3:15351690:15352577:-1 gene:gene-LSAT_3X10861 transcript:rna-gnl|WGS:NBSK|LSAT_3X10861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit S, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23890) UniProtKB/Swiss-Prot;Acc:Q9T0A4] MATSFNLPTLQGPLLHKSQFLGQTQFINLTHSHKSSKQSSHQIINPSAKFNLYEILGGRGLCNGEEGLQQELKKPPPERSPPPPTTTSDQEDLATSIIPEDGFDKELLGLTGGFPGGEKGLRDFIEKNPPPKKPPPPSTTTSGFNGSLVRKPKAPELPLLMPGMIAIVKNPNSPYHMYCGIVQRITDGKAGVLFEGGNWDRLITFKLDELERREKGPPMVSPRSVVLEDLVEKSS >cds-PLY85072.1 pep primary_assembly:Lsat_Salinas_v7:7:7102208:7107050:1 gene:gene-LSAT_7X8181 transcript:rna-gnl|WGS:NBSK|LSAT_7X8181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEEAKLQLLLEWLKLNGVELRGCNIKYSDSNKGFGVFSSDGATDGVLLVVPLNLAITPMRVLQDPILGPVCSALYEEGEVDDRFLILLFLTFESIRKNSSWKPYLDILPTSFGNPLWFSEDELLELKGTTLFKATELQKKSLQSLYDDKVKKLMKKLLILDGDLESEVSFRDFLWANSIFWTRALSIPLPRSYVFPQIQEEQQNHDSNSELANGDNGKKHEVENGVDSSSIQEETVWVEGLVPGIDFCNHDLKAAATWEVDGTGSATGVPLSMYLLSVEEARLQSGKEISISYGNKGNEELLYLYGFVMDNNPDDYIMLHYPAEAIKDVPFSETKIQLLEAQKAEMRCLLSKTLLDHGFFSNKKETNGKCKENEVPNFSWSGQRKTPSYLNKLVFPEEFLTCLRTIAMGEDEIYKVTSLLQELVGSDGERQPSDVEVRAATWEACGDSGALQLLFDLLNTRMMDLEEGSRTEDSDTQILEKVYCYNNTHKEGKSNGAPKKQEISQNKWSSIVYRRGQKQLTQQFLKEAEHALQLALSQGN >cds-PLY89312.1 pep primary_assembly:Lsat_Salinas_v7:2:48474778:48489718:-1 gene:gene-LSAT_2X21620 transcript:rna-gnl|WGS:NBSK|LSAT_2X21620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSAIGVTLESFTSGGDDIIGKIIEIWVQFRVPVLAPLLRILMYVSLAMSVMLFVEKVYMSVVVGFNCLFGRKTEKRYKWEEFKDDVELGSSVYPLVLIQVPMFNEREVYQLSIGAACGLSWPSDRIVIQVLDDSTDPVIKDMVKVECEKWASKGTNIHYQVRDNRKGYKAGALKEGLKHQYANECDYVAIFDADFQPEPDFLWKTIPFLHHNSELGLVQARWKFVNSDECLMTRMQEMSLNYHFKVEQEVGSSTHAFFGFNGTAGVWRMAALNEAGGWKDRTTVEDMDLAVRASLKGWKFLYLGSIKVKNELPSSLKAYRYQQHRWSCGPANLFRKMIYEIMINEKVTLWKKLHVIYSFFFVRKIVAHIVTFVLYCVVIPASVWIPEVEVPTWGTIYIPTVITILNAVGTPRSFYLVVFWIVFENVMALHRTKATFIGILEAQRVNEWVVTEKHGGASKAKTPTRQHGRLGFKLSERILMLELCVGIILFVSGCYDLAFGKYYYYIYLYLQSIAFVIMGMGYVGIQVPNS >cds-PLY64110.1 pep primary_assembly:Lsat_Salinas_v7:1:3109917:3111979:1 gene:gene-LSAT_1X2480 transcript:rna-gnl|WGS:NBSK|LSAT_1X2480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNRGGGYYGADPYRSREGLSTRQGAGSDEIQLRIDPMHADLDDEISGLRKQVRQLKNVASEIESEAKFQNDFINQLQMTLIKAQAGVKNNMRKLNKSIVQSGSTHVLHVVLFALFCLMLVYFWSKFSRR >cds-PLY76139.1 pep primary_assembly:Lsat_Salinas_v7:4:53040220:53041440:-1 gene:gene-LSAT_4X36241 transcript:rna-gnl|WGS:NBSK|LSAT_4X36241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPSTDKLVRRTTMVATVTAGYFLLTADYGPEPNVLDPIKNRIQSAEQSVKRFIFGSKQGIQEPKKATEKRS >cds-PLY78405.1 pep primary_assembly:Lsat_Salinas_v7:3:235936288:235940712:1 gene:gene-LSAT_3X132141 transcript:rna-gnl|WGS:NBSK|LSAT_3X132141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADAVVEFLLENLKQLLLYNSDLIYGVKGQVDSLYRELSLMNAFLKDAKEKRNEYEYVRELVRQIRDVAYEAEDIIDTFVVNSAMQKERSTFSRIVHVFDYPTKLRSVAKDIESIKTKVKEIYDNKMFGIEALYTVESSNRASSSSQRRKPMVEEDNVVGFDEEAKELVSRLTNISELLEVVSIVGMGGLGKTTLAKKVFCDPAIEFHFFVRSWVYVSQEYNRKELLLAILTSVVDYPSEQMYKLNEEMLVEEIYKHLNGRRYLVVLDDVWTPDAWNDLKMAFPNQNCGSRILLTSRNTDVALIANPDSLPHHLKFLNDDESWELLSTKVFRRGSCPFELVELGATIARKCYGLPLAIVVVAGLLLKKDKTRDLWKKVAESVSSYVARDPKQCMDVLALSYKHLPDHLKVCFIYFGAFPEDYPIPVWKLLNLWVAEGFIQQKGQDCLEDLAEDYLEDLVERNLILVAKRRSNGRIKTCRVHDMLRDLCLKEASEEKFLQVIKGHQILDSNSLIIPYHRRLCVHSHVMNFIHSRPSGPHVRSFLCFPCEEKELSREHTSFIHESFKLVRVIDMMSINISRFPIEITQLVHLRYIALFGNFKVVPPSISKLWSLQSLIVETTSRDLDIQVDIWKMSQFRHLRTSGSSRLHGPKAKTRMDNEDPFVQRNIQTISTISPDSCTENILARTPNLKKLGIRGKLSLLMEEKRSGITCAPSLFDNFAKLDNLEKLKLLNDTFPRPPPEGKLRGLPSLYKFPPHLNKLTLSDTLLDWKHMSTIGMLPHLQVLKLKVYAFKGAEWEALDGGFRLLKVLQIGKTDLVVWKASGHHFPRLEHVVVEQCSNLLGIPVGLADVSALKSLELYHTPSAVDSARLIQQQKKMQQQMLVTSHGFKLLIYPPE >cds-PLY80900.1 pep primary_assembly:Lsat_Salinas_v7:8:127638861:127639919:1 gene:gene-LSAT_8X87220 transcript:rna-gnl|WGS:NBSK|LSAT_8X87220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDDHLMCEIECNHLTAAAIIGLDGSIWAQSSNFPQVKPEEVTCIINDFNEPGSLAPTGLYLGGTKYMVIQGEAGSVIRGKKGSGGVTIKKTGMALIIGLYNEPMTPGQCNIIVERLGDYLIDQGF >cds-PLY86988.1 pep primary_assembly:Lsat_Salinas_v7:5:257681756:257681992:1 gene:gene-LSAT_5X132900 transcript:rna-gnl|WGS:NBSK|LSAT_5X132900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWNETMVLDWPSLSPYFLPNPEIEPPPPTCLPDARAPPCLFSGKTNRHHTTSSNSYQSSTYFWTLMKSIILEFLMGFI >cds-PLY64513.1 pep primary_assembly:Lsat_Salinas_v7:8:123856944:123861151:1 gene:gene-LSAT_8X86081 transcript:rna-gnl|WGS:NBSK|LSAT_8X86081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMYNLSPNAEVAYIIHGSSKNVMNMSQPDQGMNAKGVPVEDEAGRSEKQTTRFNGKPQLLKGKRKRWSEEEEKRYA >cds-PLY72661.1 pep primary_assembly:Lsat_Salinas_v7:3:182535817:182536161:-1 gene:gene-LSAT_3X110480 transcript:rna-gnl|WGS:NBSK|LSAT_3X110480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAANHIQKQHEIKTPIHQLGGWGCRIGKKWRLEGCRRSQPSNTASQHQNDQRMGEGAVDDLTKNLRSYRTHTTTTTAAVTGLGGASNLPSVSGNNNDIRRRRGNETVTQTSRR >cds-PLY73293.1 pep primary_assembly:Lsat_Salinas_v7:5:133035570:133038702:1 gene:gene-LSAT_5X58020 transcript:rna-gnl|WGS:NBSK|LSAT_5X58020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIQMLRGSSPQNIRFIIIDHETLTTMAEMSIPTTPAPIQIARKRQNDTSPEPSTAVQKVARSLSYDPSVLAELDVVDVGAKLQGSNVERPCIWRGDRLGLCPPDSVEYFYKGFCKCL >cds-PLY61801.1 pep primary_assembly:Lsat_Salinas_v7:6:61519759:61525674:-1 gene:gene-LSAT_6X45641 transcript:rna-gnl|WGS:NBSK|LSAT_6X45641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVQHQQHKEISNNTSITKHQLDNGKYVRYTTEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKESSRLQTVNKKLSAMNKLLMEENDRLQKQVSQLVNENGYMRQQLHTSTDVSCESVVTTPQHSLRDANNPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLVSLEPTKIVEILKDRPSWFRECRNLEVFTMFPAGNGGTIELVYTQIFAPTTLAPARDFWTLRYTTTLENGSLVVCERSLSGSGAGPNPASATQFVRGEILPSGYLIRPCEGGGSIIHIVDHLNLEAWSVPEVLRPLYESSKIVAQKMTIAALRYIRQIAQESSGEIVYGLGRQPAVLRTLSQRLSRGFNDAINGFSDDGWSLMNCDGVEDVIIAVNSSKNLNNSMNPSNSLSFLGGILCAKASMLFQNVPPAVLVRFLREHRSEWADFNVDAYSAASVKPNPYSYPGMRPTRFTGSQIIMPLGHTIEHEEMLEVVRLEGHALGQEDSFMSRDIHLLQLCSGIDENAVGACSELIFAPIDEMFPDDAPLVPSGFRIIPLDPKSNDVKNNTMVNAHRTLDLTSSLDASNNHGSGGDMSMSCQNMRSVLTIAFQFPFENNLAESVATMARQYVRSVINSVQRVAMAISPAGLSPCVGPKSSPGSPEALTLAQWICHSYTYHLGADLLSCGSVVGESLLKDLWQHQDAILCCSLKSMPVFVFANQAGLDMLETTLVALQDITLDKMFDDSGRKALVPEFAKIMQQGYAHLPGGICMSTMGRHISYEQAIAWKVLSADETTVHCLAFSFVNWSFI >cds-PLY65835.1 pep primary_assembly:Lsat_Salinas_v7:8:174824891:174832914:1 gene:gene-LSAT_8X113880 transcript:rna-gnl|WGS:NBSK|LSAT_8X113880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTEDTNEIRQPPMPELTPAEFTGKRQPLIPTVFAMMIWLGSIHLNTFIVLASFFFLPLHKFFLVLGMLAILMVIPINESSRWGRALGRYICKHAMGFFPVTLHVEDYKSFNPDQAYVFGYEPHSVWPIGVVTLTDFTGFMPLSKAKVLASTAVFCTPFMRHIWTWLGLTEASRTNFSSLLKAGYSCVIVPGGTQETFYMEHDSETVFLKTRKGFVRLAMENNCPLVPVFAFGQSYAYKWWKPRGELFLKFSRAIRFTPVVFWGILGSPLPFRQPIHVVVGRPIHFKKNTTPTMEEVSEVHMQYVEALKDLFERHKMRAGYPHLKLRII >cds-PLY65845.1 pep primary_assembly:Lsat_Salinas_v7:1:192117243:192118596:-1 gene:gene-LSAT_1X121821 transcript:rna-gnl|WGS:NBSK|LSAT_1X121821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGMNLFGIFMLQLMAVALFSLHAEGQGLKVGFYKKSCPQAEVIVSKVISDVMAVAPSLSGPLLRMHFHDCFIRGCDGSVLLDSPTNQSEKFSPPNLSLRGFNIIDRVKLALEKACPDVVSCADIVALVARDVTVATKGPYWEVETGRRDGNVSLFIDPITPVTGLPSFASNISVLKQSWALRGLNTKDLVVLSGGHTIGISHCSSFDSRLYNFTGKGDTDPTMDPNYIARLKLKCKPNDLTTFAELDPGSFKTFDDSYFKLVTKRRGLLQSDAALLDDPETRAYMIQATSEGSTFFKDFGVSMVNMGRTGVLTGSQGEVRKVCTKSN >cds-PLY69135.1 pep primary_assembly:Lsat_Salinas_v7:5:285130339:285132332:1 gene:gene-LSAT_5X150301 transcript:rna-gnl|WGS:NBSK|LSAT_5X150301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT5G01830) UniProtKB/TrEMBL;Acc:A0A178UNI7] MLEELVVDHVVPCFPASAVLCFEELYIILQSIKTLIEDCCNGSKLWLLIEQQSVANKFHEFTLELSTLVDIFPVKAMNLSQDVEELVNLIRKQCSKTAVWIDEKDINLRNDVLQKLDRIKSEIVPQKTKLKEIFTRLQLNDSTSCTEEIEILEEEVRNQSDEKSKAEIVSLIGLVRYAKCVLYGESSPRIIRDRKKSNSTDLTIPADFRCPISLDLVRDPVVVSTGQTYDRASINLWIESGHTTCPKTGQTLDHTGMIPNRALRNLITMWCREHRIPFESTETNEKVTGESTNKTLFEATKMTVTFLLEKAGASQSLEMADRFVHELRALAKTDSNSRACIADADGLQLLVKFLSSDHPTLQINAVTTILNLSILDANKTKIMETEGVVNGIVEVLRTGATWEAKGNAAATIFSLTGVPVYRKKLGRKSRVIKGLMELARTGPISSKRDALVAILNLAGDRETVGKLLEVGVVEMASEVMDGLPEEGVTVLEAVVKKGGLAAITAAFHVIRKLATILRDGTERAQESAAATLVNVCRKGGSEIVSELAAIPGIERVIWEVMGMGTGRGRRKAATLLRILRRWAAGLYGNATAAYSTTGASSTTTTRVVLPG >cds-PLY93643.1 pep primary_assembly:Lsat_Salinas_v7:1:188270359:188271562:-1 gene:gene-LSAT_1X120360 transcript:rna-gnl|WGS:NBSK|LSAT_1X120360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAVVQRVASASVEVEGSPVSAIGPGLLVLVGIHDLDTDSDADYICRKVLNMRLFPNEKTGKTWDQSVVQKNYEVLLVSQFTLYGIMKGNKPDFHVAMAPDRAKSFYASLVERFQRSYKLESVKGSLVMAWKNIRSFYFSGSP >cds-PLY65282.1 pep primary_assembly:Lsat_Salinas_v7:8:104760825:104762199:1 gene:gene-LSAT_8X70880 transcript:rna-gnl|WGS:NBSK|LSAT_8X70880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFLHSPSSSSSTSEFPTTSCIPAALRRLLCFHSLPALPFDHHIEESFEDNEFDELYCDNKLKGSPGIVAKLMGLDSIPIGNSINQWSKLGLQKRSDYVREAPSFVELENEKFIILSFEGGGKDTELKLKSPKTRKCLPEFQEKTRNRKNQEMSICQSPVNGGEVLKYSCELLDFNQNSKKSCDTECAKRRRSRNNNLEKVEIESDSENSSPVSVLEFKEDQEASHSVKEELKFKPSNSRRKLREELDQNAPSPSPRSSCNSTDEGVESSRKGKICIGLKKNNAMIGKMWKEICKIAERDIMDSSMVKRERWKGEDYEEIGVSFELQILDQLILELFTIT >cds-PLY99515.1 pep primary_assembly:Lsat_Salinas_v7:1:113730250:113730522:-1 gene:gene-LSAT_1X89120 transcript:rna-gnl|WGS:NBSK|LSAT_1X89120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPKVIASFIRRSRLFPDYTIKISSLTLATVIYEADRKIMASEYTVNGSLIEHLSHPDKRRFLTLADRLKICIGAARGLKYLDWVLVKTA >cds-PLY62042.1 pep primary_assembly:Lsat_Salinas_v7:5:331262034:331262503:1 gene:gene-LSAT_5X185021 transcript:rna-gnl|WGS:NBSK|LSAT_5X185021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPVKTLKYTAIGFHLPFKENNIPRVVEATEFEIQLSFYSRYLSWNLDRVESPPMQ >cds-PLY95252.1 pep primary_assembly:Lsat_Salinas_v7:8:136698137:136701289:1 gene:gene-LSAT_8X93401 transcript:rna-gnl|WGS:NBSK|LSAT_8X93401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKVSIQSRETLILGGNFLERRILQSAINESLFSRRIVRQRFCVKRRTVNPVSATAASLEADASSLLTIEAENDVLKALSQIIDPDFGTDIVSCGFVKDLHVDDASGEVSFRLELTTPACPVKDMFEQKANEVVSALPWVKNVKVTMSAQPAKQIYASQLPAGLQTISNIIAVSSCKGGVGKSTVAVNLAYTLAGMGARVGIFDADVYGPSLPTMVSPENRLLEMNPEKKTIIPTEYMGVKMVSFGFAGQGRAIMRGPMVSGVINQLLTTTEWGELDYLVIDMPPGTGDIQLTLCQVVPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCHFDADGKRFYPFGRGSGAQVVEQFGIPHLFDLPIRPTLSASGDSGIPEVVADPQGEVADIFQNLGVCVVQQCAKIRQQVSTAVMYDKSIKAIKVKVPDSNEEFLLHPATVRRNDRSAQSVDEWTGDQKLQYGDVPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLQTMERLVDVPQTVSAQVRMPE >cds-PLY63295.1 pep primary_assembly:Lsat_Salinas_v7:3:89987829:89989929:-1 gene:gene-LSAT_3X69341 transcript:rna-gnl|WGS:NBSK|LSAT_3X69341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNSTREGSSGCTSCLNLMLVLIVTTTQLEEEEGCTDDVTVRNLGKPTELAGQYYLDGVMRYYSSLEVASEYFRSGADKISIGSDVVYVAEDYLKTGVDVHEWVTEHSLVSTSSEFEAA >cds-PLY71388.1 pep primary_assembly:Lsat_Salinas_v7:5:46744706:46747556:-1 gene:gene-LSAT_5X22920 transcript:rna-gnl|WGS:NBSK|LSAT_5X22920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGSKAATSVEKFWKNDDRLSEILKNDDRLKVFRYAIDTLQSASLKEAGTKSSNSLEEETTLIGLTFGGYLRSKQLLKKLTCTEVLDGEKKYKCSRCKSYEKAKKKLTLLEAPNVLTIALKRFQILVGCSVGAAEKAVPIASGGSSSAVVTGTYPLAFGTSQWCFCLLLFRLNNIL >cds-PLY72963.1 pep primary_assembly:Lsat_Salinas_v7:8:135553954:135554534:-1 gene:gene-LSAT_8X94181 transcript:rna-gnl|WGS:NBSK|LSAT_8X94181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGDNIIGLKQVKAVAEEMGIGFIGIGFQPKLKRNDIPIVPKGRYEIMRNYLISTR >cds-PLY61732.1 pep primary_assembly:Lsat_Salinas_v7:5:215847836:215848234:-1 gene:gene-LSAT_5X99381 transcript:rna-gnl|WGS:NBSK|LSAT_5X99381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIEDTLPNSPFDNPPPPPPPPPSSSNPPHPPSFRPSTRTPSPPGGSPPHSDNAKKGKNSQGSNDQQMLTATTSFLPEMFELGREDNQKAIVVVEQEIGEIDVTNDDQLISNVGDQFETDDYEGFLELEFMA >cds-PLY71621.1 pep primary_assembly:Lsat_Salinas_v7:9:136201278:136202526:-1 gene:gene-LSAT_9X87841 transcript:rna-gnl|WGS:NBSK|LSAT_9X87841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAENDLPTSNQPQDEHEQQSLKYLDVVQKAAQTAVPYVSKAYDYAKENSGPLKPGVETIESTLKTVVGPAYDTLHEVPVEVLKFADRQMEESVSKAKSISVAPDIKNVGVVETASELMKTAYTTIEPTANELLTKYEPVAEQQAASAWQSLNKVPLFRSVAKAVIPTAGYVSQKYNETVKQTNEEGYKVSSYLPLVPTEKIAKVFKDPESSEEDPEPVVSSGEGASGVAR >cds-PLY83129.1 pep primary_assembly:Lsat_Salinas_v7:3:165340751:165343429:1 gene:gene-LSAT_3X102740 transcript:rna-gnl|WGS:NBSK|LSAT_3X102740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGNSIANIPICPTTMLAAQWVSPKSRLIALNTFPSTPPKRRLLTVKAASHDTENSQQPSSSSSLESNKKNPLAVVLDVPRNIWKQTLRPLSDFGFGRRSIWEGGVGLFLVSGTVLLALSLIWLRAFQLKSRFKKYLAVFEFSQACGISTGTQVRIRGVTVGNVIRVNPSLKSIEAVVEVEDDKTIIPRNSLIEVNQSGLLMETMIDITPRDPIPTPSFGPLDPDCAKEGLILCDRQKLKGDQGVSLDALVGIFTRLGREVEQIGVANTYALAERAAAVIEEARPLLLKIQAMAEDVQPMLAEVRESGLLQEVESLTKSLTQASQDLRQRAHSAIMTPENTELIQKSIYTLVFTLKNIESISSDILGFTGDEATRKNLKLVIKSLSRLL >cds-PLY94269.1 pep primary_assembly:Lsat_Salinas_v7:1:156513596:156515347:1 gene:gene-LSAT_1X108541 transcript:rna-gnl|WGS:NBSK|LSAT_1X108541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNAAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCSFFKMEEDHERVADCTTPNFTGIISVMDPARSWAARWLRIGKYVPGCYTLAVSEALSEDLQALCEEERVQYHPPKRV >cds-PLY89673.1 pep primary_assembly:Lsat_Salinas_v7:3:185174367:185174702:1 gene:gene-LSAT_3X111160 transcript:rna-gnl|WGS:NBSK|LSAT_3X111160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVRGPVEEETEHVASVFIILKYLYAFSISDFLSWLRGKTDFEGHQKIIGTTIQRVRKYQDPLIDERIQMWKDGVRKVKEDVLDVLINHGSPKLKDQEINAQILVRLTCKS >cds-PLY87078.1 pep primary_assembly:Lsat_Salinas_v7:5:261022140:261022601:-1 gene:gene-LSAT_5X134660 transcript:rna-gnl|WGS:NBSK|LSAT_5X134660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTFIISSSAVTPMVSGLNGLASLNLTLHLSFGQLLHSRPSSLTFTHMLHIRYRSKDDLREYAVHPEHVRVINENKPIIDDVMAVDWMSNGASVSPKPGSEMRVTFLKLKGNLGENEKARVLEVIGGIKDQFQAIEQLSLGENFSHERAKGFL >cds-PLY70484.1 pep primary_assembly:Lsat_Salinas_v7:1:73800967:73802008:1 gene:gene-LSAT_1X62780 transcript:rna-gnl|WGS:NBSK|LSAT_1X62780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVSAVQEAQKDNLRNFSDYMMTVLEDDWQKEKRDFLHSLSRISSLARTNVTDSSIGANRSGPILSLTSSPHISSGPSNMELLAIADKKVAAYVESATAFKNAYDNLGLDSSGGNSVTMNKIRHLIQTLTGENSSVQRNLSKKMSLIIGVMRHLEWASLGGVVGNLPKIHAFLRVCDHFIL >cds-PLY65421.1 pep primary_assembly:Lsat_Salinas_v7:9:185088617:185091182:-1 gene:gene-LSAT_9X112620 transcript:rna-gnl|WGS:NBSK|LSAT_9X112620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 13 [Source:Projected from Arabidopsis thaliana (AT2G14530) UniProtKB/Swiss-Prot;Acc:Q9ZQR5] MASNHHFKRPNQPLSSSSTSYFYIFVSIIALTSLFLLSSHYLAYYSSPPVVHIESLKPTKQYVSVNNDDNGAASAACDYSEGKWFYDPTVRPPRYDETCKEIYKGWNCVAGNRSGAAEIVKWRWQPNHCALPQFDPLRFLESYRNISIGFVGDSLNRNMFVSLFCSLRHVTSEVKKWRPAGADRAFTFLKYNLTIAYHRTNLLARYGRWSANTKGGELESLGYKEGYRVDVDVAEGTWADAPSFHNVLIFNTGHWWWAPSKFDPIKSPMLFHENGQPIIPPLSPEIGLDVVLRRMISYVEEKSKQGTILFFRTQSPRHFEGGDWDQGGSCPRSQPLSLQEVEKLFSVGNNGTNVETRIVNEHIHEAIKGSAFRLLDITQMSEFRADAHPSSAGGKKHDDCMHWCLPGITDTWNDLFIAQLSSMT >cds-PLY68191.1 pep primary_assembly:Lsat_Salinas_v7:8:118950033:118952804:1 gene:gene-LSAT_8X82700 transcript:rna-gnl|WGS:NBSK|LSAT_8X82700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNTDDIAAGAVNLELEAMRTDDCSWQPCEIYFSPNGGGLVVKYEDNDSQDTLTSEKEALMRIRARSLPLQDDDCAHIKPGETVLVNRDSESEGAFFDAEVEKVIRVRHSKRTKCRCSFMIRWLKKDVNGGSLTVPSSSVMRLANKNINNHPTISAFIDAVQLSNSSDSDMSPQMDIVGDFDLDLHDLLEKQIEGIRNSVHGSKKRIRDEISMFEDVSKPNGRTLQVEISTEKNHSRRSTRSQKQKGGPKKQESPVIPPPTTEGELSDKKSPLNPLAARAALASLMSHKSLEISVDVKETKTFNSSETEYAPKPEKFVKKLFLPTSSSELLEEEEEDEDDDDDDKMNNTETSTRSTRAKVQKVKVNVTVSGNKVSDCKKRTRSAVGKKEETETIETTTEKDTTTFHVQKDKNTLFATSSPYNEVKNSIDGRRSKRSVLNNEVSEPTTKEIETKSTPGPTAVKNKKTKTTGKTQDSGGNVMSNGGKKGLVELKAQRVRCSPRLNPKT >cds-PLY95331.1 pep primary_assembly:Lsat_Salinas_v7:8:243670643:243671114:1 gene:gene-LSAT_8X144301 transcript:rna-gnl|WGS:NBSK|LSAT_8X144301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKEVVFDEQPPDFDPANPYKDPVAMLEMREHLVREKWIDIETSKIIRDKLRWCYRIEGVNHLQKCRHLVEQYLDSTRGIGWGKDGRHPDQHGPSSATFDNLYFLSVYHPFFFVSLHQGV >cds-PLY65970.1 pep primary_assembly:Lsat_Salinas_v7:4:138247654:138249542:-1 gene:gene-LSAT_4X86881 transcript:rna-gnl|WGS:NBSK|LSAT_4X86881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 40 [Source:Projected from Arabidopsis thaliana (AT1G80840) UniProtKB/Swiss-Prot;Acc:Q9SAH7] MEYTSLVDTSLDLNSNPSHFLSLVPKQEVQSSFNIELGLKMSSVNDQEMFIAQDASELVEELNRVSAENKKLTEMLTVMCENYNALQNHLADYISKNPAPSDSATNNNPNKRKPENPVNQIINNERGNSESSSSDEDSCKKPRQEQNLKAKISRVCVRTEASDTGLLVKDGYQWRKYGQKVTRDNPSPRAYFKCSHAPTCPVKKKVQRSVEDQSILVATYEGEHNHPNQAKNEQAGSCLSRTVATTTLGSVPCSASLSSSGPTITLDLTKPVSNASDEQKVGGNRRVDTPEFQQFLVDQMASSLTKDPSFKAALAAAISGRMVQQNQSQKW >cds-PLY89635.1 pep primary_assembly:Lsat_Salinas_v7:8:221894236:221897391:1 gene:gene-LSAT_8X136581 transcript:rna-gnl|WGS:NBSK|LSAT_8X136581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLALATFSLYSSCLWLIFASSFSILCFSNHNSDKADEVHCVATERLALIQFKNNLLDNADHLSSWSGEDCCSWYGVVCNNITHHVQELRLRGSVDGYCHEVDDSDYEPKKQMLGGIITPSLIKLEQLRYLDLSCNDFGFIPIPAFIGSLQNLRYLNISKSQFQGEIPHQLGNLSSLLVLDVHENDISSENLKWIENLKQLQYLNMGGINLTGASDWLQAINSLPSLHELHFSSCRLTQMPSYPTRVSFTSLIVLDLSYNSFYGLLPGWVFSLPKLITLDLTACFISSLDPRSHGGFNSTLSLMTLRISRNAFANSSSFLNGLSNLNNLRFLDVSFCDIHDPILVKLQKLSLIVHLDLSHNNIVEEIPKSFSSLCNLTTLNLQSNNFSGDVSELLERFCECESPKLELIALGGNHITGRLPKKLGRLKNLTRIDLSSNKLTGILPRSLGSLSLLRVLQLNRNQLEGSIPDSVGDLSSLELLTLSYNNLNGSLPQSVGKLGKLSFLELHHNSLTGIVMENHFANLTALETLWVGDNKLAFNLVNNSWNPPFNLKVLRIGSCSLGPLFPLWVQSQRNLEELDLANANISDTIPNWMWSTFSLVTFLNISHNNIMGKLGKVDFLTPEAVVDMSSNHFHGELPSYFNQPDFAFLDLSSNNLSGSLDQFLCSGIQPLQQLSVLNLANNNMSGDLPDCWMKWEYLVVLNLENNSLSGKIPSSLGNTYRLASLNIRNNKLSGEIPMSLLNSKSLLIVELAENELTGRILDSIGRNNTSLKILSLRSNKLEGEIPNEICSFSSIQVLDLADNNLSGKQWRDAYYHVLDKMRIKLINFISLVST >cds-PLY98736.1 pep primary_assembly:Lsat_Salinas_v7:6:103560017:103561383:-1 gene:gene-LSAT_6X66480 transcript:rna-gnl|WGS:NBSK|LSAT_6X66480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKCCVLFVATMCLASLLVASADWNILNQKTKSGLKISLRNYCESWRINVELNNIREFSVVPSECTDYIGKYMSEAQFKADSEATIEECTLYLNTHCEIKKDGKDAWIFDIDDTLLSTVPFYKKHGNGGEKLNATDLEGWMAQGKATAIDYSLNFFNDIKRRGMQIILISSRKEYLRDATIDNLVDAGFHGWKSLYLRGAEDECMKVEEFKGGVRKELVKNGYRIWGILGDQWSSIKGVETGRRPFKLPNSLYYVS >cds-PLY91936.1 pep primary_assembly:Lsat_Salinas_v7:8:196588375:196588671:-1 gene:gene-LSAT_8X126421 transcript:rna-gnl|WGS:NBSK|LSAT_8X126421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRFPSLTTKTKHFSKLHALCNRSKLDVPKGYLAIYVGETQKTRFVVPLSFLEHPLFQDLLRQSEEEFGFEHPMGGLTIRCQEDSFTDLISRLPIS >cds-PLY87526.1 pep primary_assembly:Lsat_Salinas_v7:8:95183955:95185713:-1 gene:gene-LSAT_8X67501 transcript:rna-gnl|WGS:NBSK|LSAT_8X67501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKTNVKKGPWSSEEDAKLKEYIEKYGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGRRKQSQANRLSGSTQDQKDSNGIETLSNSAIERLQLHMQLHSLENPNFSQYDNPPMWPCKLNPIQEKMMQTLQLVNESSNPLMMQNFSPATPQKVEYYEQSSNPLQQEYSSMMINGMENSMGINIPESSSLVLESDATIQQPSMELQQCWAFQAEMDELLSNKGATPLEGQMSEFDCFKDMHGAKNSITWWANEFEANSASSNSWDSTSIHDHKQTDIKYHELVQGHRVQ >cds-PLY65819.1 pep primary_assembly:Lsat_Salinas_v7:4:360897485:360898452:-1 gene:gene-LSAT_4X179241 transcript:rna-gnl|WGS:NBSK|LSAT_4X179241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGKGPTCESQRRASSEGLGLLARLGNDMFTARLTRSLLNDVTGAPEHYVGSIALALGCIQRIAGGMALLSLVPSTVHSISSLAKSSIANLQVWALHGLLLRIEATGLSYVSQVQVVLQQGVGRLINEIVAVLGPELHPGCIFFSRCKSVIAEISTQQETTTLLE >cds-PLY85208.1 pep primary_assembly:Lsat_Salinas_v7:8:183086339:183089620:1 gene:gene-LSAT_8X118440 transcript:rna-gnl|WGS:NBSK|LSAT_8X118440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEERIYGTNGELGFPITVFLLYESIVAQVKTREKEGITALPDRSLCFFSLNNNKYVLYTLSACWPLQKSTMSLTSPRLSGNLFGRFSYNTLSCDYFSFFLSLFDSLSREREEFLMLVNNELYIYXRNTPARWEDFDAEMTSTWEALCNAYCSETYKSNEFGTFENDNSVYIGLNKYIESVNNKFNMQFDNEDSPERRFTGMITRVEDSLINGNALKSLLIVPN >cds-PLY69864.1 pep primary_assembly:Lsat_Salinas_v7:6:3889678:3890710:-1 gene:gene-LSAT_6X3520 transcript:rna-gnl|WGS:NBSK|LSAT_6X3520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLWEITLGTAYFLGLKRTYRLALHIQRRLVSPKHPKIRQFLHRKTRTVFDATLKVHREVQRRDIEIGRNLGNWILKWLEKMEPVAQIRAGSFPPYTMRMPNRNRQLIESFQQKSRGGFGYRESGRRLVTSSRNLWLTTYPTIAKLLRRRELVASNMQYRELVSSSNKSFGFNGVVRNDIMQWLAHG >cds-PLY64403.1 pep primary_assembly:Lsat_Salinas_v7:4:22356466:22360159:1 gene:gene-LSAT_4X15661 transcript:rna-gnl|WGS:NBSK|LSAT_4X15661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGSEDGTVRIWHSTTYRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTINIKSVGADHEVSDGERLPLAVKELGTCDLYPQSLKHNPNRRKYHPKNDGPKVSFFSDDEETTSTPKADALFIPRENPRALVIRPLKQWPGKSSAEKLKNASSPAQTNGDYTGFASSPPLNGRSTENGNRNHSENGTLKEQTPIKTPQKQNGGCDGGEMEDGFEFIVKNKGINTEVAYPYQATDGTCNTKEEAVHAATITGYEKVPANSESALLQAVANQPVSVAIDASGMGFQFYSGGVFTGDFGTDLDHGVTSLLNQMELYVVVYILAILGLDSLQGIMLDQGSCIDTCCTFCRKFFKLSPEESSKDPLYLLRDMDAMGYKRGHFVLIAKIIISALGYLMLGTFIYVLIVDGSTFNANVLSRSQELSEQGFNISLKEV >cds-PLY98197.1 pep primary_assembly:Lsat_Salinas_v7:2:83266094:83266969:1 gene:gene-LSAT_2X36200 transcript:rna-gnl|WGS:NBSK|LSAT_2X36200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:Projected from Arabidopsis thaliana (AT5G12140) UniProtKB/TrEMBL;Acc:A0A178UCE6] MAPLGGIRESKGAENSLEIDNLAKFAVDEHNKKQNSVLEFEKVISTKQQTVSGTIHHITLEAKDGGAKKTYEAKVWVKPWMNFKEVQAFGPVDAATSS >cds-PLY87658.1 pep primary_assembly:Lsat_Salinas_v7:1:168816795:168819585:-1 gene:gene-LSAT_1X112341 transcript:rna-gnl|WGS:NBSK|LSAT_1X112341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHITCIPANHTQTSKNPIPSAPSFFVTNELVTKPGLVQSAALNPPTEVYRSKVVKAHTKHADNSNIGVFGIQDSSFIKTPSIRQKEIWHVVKCSKNQISRQLIKLNDVDRKVAKKPSKTEHHLWNKRDSAASGQKALNLVRIVCGLPNEKEAVYGELDKWTAWESEFPLPKLLISLNNANNGNESFNFQTHGIVQVAKWMFGKGQGMTMGTFDTLLHAFDTEKRVFADMEELGVKPDEDTTRKVARAFQIVGEKEKQQLVLKKYLSQWKYIHFKGERVRVRRYTSDE >cds-PLY99075.1 pep primary_assembly:Lsat_Salinas_v7:6:148970381:148972096:1 gene:gene-LSAT_6X89861 transcript:rna-gnl|WGS:NBSK|LSAT_6X89861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPEIGYKIYLTMNTLLLWWWEVDNELDKLARTVLTISVPTLLLLWYKWISYSRKRIPPFPPGPYGLPILGYLPFLGTNLHERFTEMAQRYGPIFSLRLGRKLHVVVNSMDLVKVVTRDLDQTMANRSPPLAALSMSYGGNDIAWSNSDTHWRNMRKILATQLISDKNLKACQSFRTYEVRRLVKEVYSKLGTKIDINEIAFKTEVNVVTSMLWGCSKLSDDGNDSSAIGDGFREVEFKIVELMIASNISDFLPILSRFDLQGRQREMQKQLEYVDRIFENIIQGRMEANSRKNEGEAEEDRRKDFVQVLLELKEQKDAAISLDIIKIKALLMDIVLAATDTTSTMVEWVMSEILNNPGVMRKIQDELTDVIGMNVVQESHLPKLKYLDAVIKETFRAIHRDPMNWTDPLEFKPERFLIDKWDYHGNNFKFLPFGSGRRICPGISLGEKMLMYILASLLHSFVWSLPEDEEFELSDEFGLVTKKRKPLLAIPSKRLSDDSLYI >cds-PLY78460.1 pep primary_assembly:Lsat_Salinas_v7:4:262246993:262257101:-1 gene:gene-LSAT_4X136160 transcript:rna-gnl|WGS:NBSK|LSAT_4X136160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFTSRSSRSSISPFRSRKSSEPPAKGTTARPTKPHSPKPPISPSTPSISSTKLSERPISAKIKENVTVTVRLRPLNSREISKGDEIAWFADGDYSLQNEFNPALAYGFDRVFGPATTTRQVYDVAAHHVVNGAMEGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFGIIQETPGREFLLRVSYLEIYNEVINDLLNPTGQNLRIREDNQGTYVEGIKEEVVLSPAHALSLIASGEAHRHVGSNNFNLLSSRSHTIFTLMIESSPCGDNQEEDVTLSQLHLIDLAGSESSKTETTGMRRKEGSYINKSLLTLGTVISKLTDGKAAHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSNTEETHNTLKFAHRSKHVEIKASQNKIIDEKSLIKKYQKEISSLKEELQQLKRGMGMAPNQEDLVNMKLQLEAGQVKLQSRLEEEEQAKAALMGRIQRLTKLILVSTKNTMAPNLPGNSDHRRRHSFGEDELVYLPEKKRGIFIDDDAGSIDSEFSGQGKADLDELVKDYRKNRRRGMLGWFKLKKPDHLAGLSPYTDLESSASGSPASSRSSNTRIMLSDMREGMRNSVGRRGDDVAVGDSLPQRTQAGDLFSATVGGRHLPPTGTTITDQMDLLREQVKMLSGEVALCTSSLKRLSEQAANRPDDSQLQEQMKNLKYEIRGKKFQMHLLEQRMISSVEMNTHNLNSFEISQVPSELVTQLNEKIFELEIKTADNRILQEQLQAKVSENAEMQQTILLLRQQLESVMSDNILSPQQQSTDSRSVTLDPCSQESFQMKNSGRDWVHQNEEKYMNESTPTSVMSLNKVFSQDEFIQGSSSDVSLNSQLLIQAAEIETLKKEKVQMSEEKDGLMICSQKLADEGSYAKELAAAAAVELRNLAAEVTKLSYHNAKLNADLAATKEALSQRSTSFHSRKNIDTCVRKPEEGNGNGNGMLIEELQQELNARHQREASLIGALSERDKLEIELRKRLDAAKRHEEDLETELAKMWGLVANLQKSHTCEPKDILSKNNKVIEDNVFSGLDETRSLDLDELRVLYHKETQRCRELDTYVSRLKGDDIAGLDITSLEELQNLHVEAITKICHAKALYR >cds-PLY97205.1 pep primary_assembly:Lsat_Salinas_v7:3:88186208:88187799:1 gene:gene-LSAT_3X66661 transcript:rna-gnl|WGS:NBSK|LSAT_3X66661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVGHVKVLLKASLEKAMELICSRFFTSVFKTPTFHPILYSSFFFLQVSKGRTPILQAQGVIALRWGHCKKLAPEWKKAAKNLQGKVKLGHVNYDDEKSLMSRFKDVMEEKCGSAAICFVSFLPDILDSKAEGRNKYIEILLSVVEKFKRSPYRYKNLIIAFYLYKIRVNYKFGPYVAMLHSKSQLFLDTGNTSGFSPLEFNQN >cds-PLY87446.1 pep primary_assembly:Lsat_Salinas_v7:2:135721688:135722001:1 gene:gene-LSAT_2X64160 transcript:rna-gnl|WGS:NBSK|LSAT_2X64160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKELGLRYGMRQEANYMLLLQLQGQVALWLENDPGIKCYLVDPLGSSLFNNVTRVVIYTREDAKGKRLKNPFDTVTEGIRINRLTENFKIAQLDGEF >cds-PLY81072.1 pep primary_assembly:Lsat_Salinas_v7:6:132098925:132100008:1 gene:gene-LSAT_6X80241 transcript:rna-gnl|WGS:NBSK|LSAT_6X80241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTDTHESTARLGHGGLFNTGEAGTIVVTNFLLVFLSEESMEVNALGTIPLATIEKFNKIAFVFKFVLLFQSNPSLIQSSC >cds-PLY71681.1 pep primary_assembly:Lsat_Salinas_v7:3:44734937:44736913:1 gene:gene-LSAT_3X32880 transcript:rna-gnl|WGS:NBSK|LSAT_3X32880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDWIDQGEGDDSMDSPWEGAILYQRNPSISHFEYCTTLERLGLGHLSTDVSRSRASLMGLRVTKSVKDYPHGTPVLISMDVTRKKHNLKLDGIIRTVLTLGCNRCGGSAADCVFSNFSIVLSEEPIEEPETINMGVIYGQSKVDGTEEEEEDDLASVDLDDWLYFPPEEKVIDISKNVRDMVHLEIKINAICDPMCKGLCLKCGQNLNTSSCNCSQQESKAKSYGPLGGLKEKMQQQGG >cds-PLY84169.1 pep primary_assembly:Lsat_Salinas_v7:4:369432456:369433016:-1 gene:gene-LSAT_4X181640 transcript:rna-gnl|WGS:NBSK|LSAT_4X181640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRWPMLMLESLVQRLNENLIRTQVLLVSHLQLQLNLESSMVYNPSGLDTEEPLPVAVFDLTTCELGSLITHILKNHSRSAASPHHAIGLQNPYNPRASRVIGMITRKDLIFEASQSNFCICSVELHSTSVRSL >cds-PLY84626.1 pep primary_assembly:Lsat_Salinas_v7:1:29264150:29267631:-1 gene:gene-LSAT_1X24741 transcript:rna-gnl|WGS:NBSK|LSAT_1X24741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSEALRNITGAAIGIRQNGWRALDQLGVADVLRRTGINIKRERIVGLDGGKQQEMPMNGETRCLRRKDLIDTLYAALPPTSVKFGCELESIKLDPNTTKPVLQFIDGSSITPKVVIGCEGGKSIVANFLNLKPTKMFPLCGVRGLSNYPNGHPFDQEFARFRKDNNLVGRIPMDNNLVYWFCAHPYFPKDDRIWEDPEAIRQSTLALLSDYPQEVKEMIEIADANSLSFTHLRYRAPWDLVRGTFCKGRVTVAGDAMHVMGPFLGQGGSAGLEDAVVLARNLAEKGLDNAEEAFNLYVKERRMRVVRLSLQTYFTGMLLGASSRLKQFMYVVLLSLIFRNSSGHVDYDCGGL >cds-PLY66019.1 pep primary_assembly:Lsat_Salinas_v7:1:123824193:123832110:1 gene:gene-LSAT_1X94960 transcript:rna-gnl|WGS:NBSK|LSAT_1X94960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKVTALTGILKEGGSPESLNSQRDHINFKTSKGNRTYQRDHINFKTSKGNRTYQRDHLLNMKENWGKPKGYEEVSDNLVKYCQGHPLALKVLGKSLHNQDVAYWEGCIEGLMKETNSRINNVLRMSFDALPCNNDKELFKHIACFFVGIDKDVSETILNACDINTRSGITDLVDKCLLSIGLNNELKMHQLIQEMGRWEVRQESLDKPWKRSRLWCHEESFRVLKQKKGKGNVLGLALDMRMIEKEKLGASFELKTDAFSNMDNLMLLQLNYVHMNGSYENFPKELRGLCMHGFPLKSISLDLPMMNLVALDMSYSNIESFVGCDSNPRLDKRQKWDRSRLKDNKLLGSLKILNLSFCKQLRSLGEFEQLPTLERLIVRNCISLVEIRDMDSLELCKGNNISINRRTYFSTFEGAIPSDLKFFAMSLPRTLVRLSLVNSNLFTESFPMDFSCLSMLKELYLDSNPFDSMPICVRTLPILEILSMENCTKLELVECPPRTLRALLFDSDDLVNVKKVLFDPEMSPLELPFTLITVERWLYEIEGIVKVQEIVGVEEKVLHRLGWADLEFHNEKCVGSNSSSELGIQTMFYEFGIFSTMFEAEAIPSWFRHRSMGPSISFTIPSSSSPNNLLTGINFCSLHTEKPTDEWPLLPDDNPLPFPPIMTISNITKNRMWIYERHLEKIVESGKWWVLLSHWMFGMNEMDVGDYVTITVTLPFFKFVKECGVSLVYADGENNDEEDALGYYKSWNHIIGGDLSHFQTTTGQYILNYYRFFLPYIKLYPYHRKFITDVPDYQGQKKGSWFRALSQRNPGLIGSRRESFHDSHDFCIALGVFVIGNCRHETPP >cds-PLY98866.1 pep primary_assembly:Lsat_Salinas_v7:5:18869360:18871871:-1 gene:gene-LSAT_5X9401 transcript:rna-gnl|WGS:NBSK|LSAT_5X9401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHTQFIWSHGGNQVFLCGDFTGWIKYQPMVPVEGSSSTFSTICDLPPGLHKYKFLVDGIWKINEQQPFAEDEYGVNNIVVVKQPEVMPQTLIVDDGVSIMDIDSLDDDNPADDASTSSSVLPHELVMPLRVEDIEATRHHLSDHLSSYTVYDLIPDSGKVFALDANVSMEEAFLVMHEEGLVVTPLWDDTSRQISGMLTNFILILMELQRNHAMVANNVLELSSISAWKEGKLQLQKRPLIKVDPDETLNAVAVKIMHNRISSVPVLNMAQDTACPQLLHVACLGGILKCRIYRSILNTESEYISSVPVVDNKGALVNIFSRSDIISFAKGNVYARIQLDHATISQVYALL >cds-PLY68661.1 pep primary_assembly:Lsat_Salinas_v7:4:254326199:254328675:1 gene:gene-LSAT_4X135580 transcript:rna-gnl|WGS:NBSK|LSAT_4X135580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKATGAFFFFMATLVMWAVSVFFEILFNKRIELLPLLYGFSFYQFANWVCRKFISRDPLLVNTCVSLLHSSITSTSVMLILVKQLLSNGLDELFEHSQLVKVTWPWAYSALCISCGYFAYDQLDMLLYGLYSGWIPSILLHHFILLGCFTLALYRNVTINYLILTLICELHSIFLHVRKVRRMAGIHDAKSKSVKIEWFFNISTFLFARFLSHVLITVKLVKDASKFEKGVELPLALFGMAGMNLLNVSLGIDLFKAFRREIKRHNIHQS >cds-PLY87802.1 pep primary_assembly:Lsat_Salinas_v7:MU040335.1:28421:32123:-1 gene:gene-LSAT_0X31600 transcript:rna-gnl|WGS:NBSK|LSAT_0X31600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISSSFLDPQFYTLSISDDATKDTTVESDIIKRFNKLTLRNKDVLDGSWMDRIVWDPNQSVTKPKLLLDLQDEQMLFEILDNKHGDDLQLHVGAMITTRSAESAPDSLELLHGYGGGKFNIANDKFYYNRKSSQQLKSHSKKRISHCVKFLHSIPALKLLTMKATLSNNSDYDKEKLYERLAKLSGGVAVLKIGGASETEVGEKKDRVTDALNVMLES >cds-PLY63258.1 pep primary_assembly:Lsat_Salinas_v7:4:201079470:201082462:-1 gene:gene-LSAT_4X111820 transcript:rna-gnl|WGS:NBSK|LSAT_4X111820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHRFRLRSPFFDSHSIAAIVRSGLRESKMEPMDIVGKAKEDASLPKATMTKIIKEMLPPDVRVARDTQDLLIECCVEFINLISSESNEVCSREDKRTIAPEHVLKALEVLGFGDYIEEVYAAYEQHKLETVDTVRGGKCTNGAEMTEEEALAEQQRMFAEARARMNGVGVAANAPPKQTEAEPSLNS >cds-PLY86813.1 pep primary_assembly:Lsat_Salinas_v7:5:16457002:16457700:1 gene:gene-LSAT_5X7841 transcript:rna-gnl|WGS:NBSK|LSAT_5X7841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMYLQLQTLQPNQYYQNSSFSHQNLQNPSPQNDKFSSDYDHPAALEGIAAVVGEHVLFGKKTETTTIVANQTDEEKESKPFLSEKNVSGEEKSYRGVRKRPWGRWSAEIRDKIGRCRHWLGTFDTAEEAARAYDAAARRLRGAKARTNFEIPSVFPPSTPSATVEGKKNHGITSTSNTKCHVVTSVDQLFSNASPMKIIQETANDQSKNVNLELDLNLGGGFNKKARTSCM >cds-PLY81940.1 pep primary_assembly:Lsat_Salinas_v7:4:276063308:276066860:1 gene:gene-LSAT_4X141521 transcript:rna-gnl|WGS:NBSK|LSAT_4X141521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGLNLSGIDQKKEPVSLVKNYRIFVATWNVAGKCPDYGLDLEDLLKVEIPADIYVLGFQEVVPLSAGNVLVSENHEPAAIWLSLISHVLNRKPHTDSDPDSNHQAPDPKHGWFHRHSMKHTSRTISADDALLRACNCEIISSVSSKKFRMNRHSDPSFSFTHAAIDDLISHAEATPLPYCLVASKQMVGLFLSVWVKRELVQHIAHLGISCVGRGIMGYLGNKGCVAISFTLHKTSFCFICTHLAAGEKDGDEVKRNLDVTEILKNSHFPLNCKNPVRRSPERIIDHDRILWLGDLNYRLSLSYEDAKILLDNNDWDPLLEKDQLIIEREAGRVFNGWNEGKISFAPTYKYSENSDNYAGETVKSKKNRRTPAWCDRILWRGDGFEQLSYGRRESRFSDHRPVCATFSVEVEMKNKCTMLRKGLSYAAGSKIEFKDCVRHRHSFAFV >cds-PLY80776.1 pep primary_assembly:Lsat_Salinas_v7:5:103240725:103242211:1 gene:gene-LSAT_5X48320 transcript:rna-gnl|WGS:NBSK|LSAT_5X48320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLKTELQGGVSVKVEEVTFISSTNTAATAGSSSSSSSPRPREGLHEVGPPPFLTKTFDMVEDPSTDSVVSWSRGRNSFVVWDSHKFSTTLLPRYFKHGNFSSFIRQLNTYGFRKVDPDRWEFANEGFLGGQRQLLKTIRRRRNISQSTQQKHDFGPCIEVGQYGIEEELEGLKRDRSLLMAEIVRLRQLQQHSKDQLIAMENRLRSTERKQHNMMGFLAKAFSNPEFLQKYMAKYAHREQEHIEIGRKRRLTMVPSFENLQEVDDGLDSFLATPVEDESSSDVGNQDVSSLLEDDSNLWEELLKIEKHPEDVLDDLDGQQLEWDEDLQELVDQMEYLRSSSA >cds-PLY88680.1 pep primary_assembly:Lsat_Salinas_v7:5:68375228:68375663:1 gene:gene-LSAT_5X32121 transcript:rna-gnl|WGS:NBSK|LSAT_5X32121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYNPPYTPSACYGNDASQFPSSNLFAAAGEGIWDNGAACGRQYLVRCISATIPRTCIPGQTIQVRIIDRAQTSVSRSTRAGTTMVLSDTAFGTIANQIQFINIEFQQ >cds-PLY87094.1 pep primary_assembly:Lsat_Salinas_v7:5:265831201:265831452:-1 gene:gene-LSAT_5X138000 transcript:rna-gnl|WGS:NBSK|LSAT_5X138000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSRSAGSTIGVNKLNNGPSRTCYCGVIAPLKISTSEKNPGRIYFRCRYWLDEVEDCGYFEWNDGEVSPWYKELLFEVMAK >cds-PLY63153.1 pep primary_assembly:Lsat_Salinas_v7:4:311943319:311947686:-1 gene:gene-LSAT_4X157340 transcript:rna-gnl|WGS:NBSK|LSAT_4X157340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTINLTCSASIEGRLSNVSDCTSTSSLSDQEDCTQINSGSVRRSRNWRKLMKKVIEGSKKSIYGSSKPLIFQYDASPTNGCALLPPLTVYNQLRFEVWVKCTEDIDAKKDTQKNVFLLWMYFHELFSLTSL >cds-PLY91697.1 pep primary_assembly:Lsat_Salinas_v7:4:252402006:252414682:1 gene:gene-LSAT_4X131340 transcript:rna-gnl|WGS:NBSK|LSAT_4X131340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLQTHISSGQFSGQIPNQGGTSLPGIPHPQQQNIMQNPGSQRNNLSMEPGFVKARQFIQERIYEFLMQRQKTQEIAPKKVMDIVRRLEEGLFKTATTKEEYMNLDTLENRLHILIRRLPLSNQNQQYQQQGNTSVPMGTMIPNPGMPQSGNSNIMATSSMDNSFVVGGGNSMMSSPVNTGNLLPNTTRISSGMQNGSLGSSDGGLMNSYQQSTSKFSSGNSLISSMGAQRMGSQMMPTPGFNNSSAASNQSYMNTDMSNNVVGLSTIDSTMVSQPMNQKHQVGGQNSRILHSLGSHMGGGIRSSLQQKAYGFSNGSINNGLGMMANNSPMMNGSGTSESYVTPSHYGNMNVHQQHMSQGDGYGSSTTDSSRTGNFYVPTTSNTSMMNNQNMNPVSLHALHNTSAPLMVNQSNLLNTQQQAEKMNFRSQHSLHETPMQSQQAVHFQQQQQQQQLVQNQSQQMQQNQHLSYGQSQLLPQPHDPVSQIKSEPGMVGGHSEALQPQVSDHFQSSQTINHFQHNSMEDHSMGSQLQNLTPGSQGVSDTSQQMQQLLQQHQFVDTQTGLQGQWHSRSQEVAHVDVVGNVSNQNNNNNNNNVQQEFNQRIAGQDQAQRNNLSSEESITHQITTNRSVDPPNSANLNRELQFKNQQRWLLFLRHARKCSHPPGKCPEVNCITAQKLLNHISSCNDLVQCQYPRCPRTKVLLQHHRHCRDSTCPVCVPVKRFVQLKGSHRTDSNSGNGSCEHPNGGTSRYNNNNNNTKMSPSVVETSEDLHPTLKRMKIEQSSSQSLASESENPVIPLPLPVPPPVVEEVFHEEEEEAEIGDTNTPTVTGVKVEIPASSVVPINIKQEVVKTEKEVEVVQPIIQESTSLPAEPSTGTKSGKPKIKGVSMIELFTPEQVREHITGLRQWVGQSKAKVEKNQALEHSMSENSCQLCAVEKLSFDPPPIYCTPCGARIKRNAMFYTVGTGDTRHYFCIPCYNDSRGDTINVDGTNVLKARFEKKKNDEETEEWWVQCDRCEAWQHQICALFNGRRNDGGQADYTCPNCYMEEVERGERMPLPQSAVLGAKDLPRTILSDHIESRLFGKLKQERLERARFYGKTYDEVPGAEALVVRVVSSVDKKLEVKQRFLEIFQEENYPVEFGYKSKVVLLFQKIEGVEVCLFGMYVQEFGAECPQPNHRRVYLSYLDSVKYFRPEIKAVTGEALRTFVYHEILIGYLEYCKLRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKATKENIVVDLTNLYDHFFVSSGECKAKVTATRLPYFDGDYWPGAAEDIIYQLRQEEDGRKQNRKGSIKKTLNKRALKASGQTDLTGNASKDLLLMHRLGETISPMKEDFIMVHLQHACTHCCILMVSGTRWVCNQCKKFQLCDKCYEIEQTLEDRERHPIHHREKHPLYPIEIDDVSADTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVMTCNRCHNDIETGQGWRCEICPEFDVCNACYYKDGGVDHPHKLTTHPSIAERDAQNKEARQLRVVQLRKMLDLLVHASQCRSPLCQYPNCRKVKGLFRHGIQCKVRASGGCVLCKKMWYLLQLHARACKESQCHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVADGS >cds-PLY74772.1 pep primary_assembly:Lsat_Salinas_v7:6:118806464:118816228:-1 gene:gene-LSAT_6X71081 transcript:rna-gnl|WGS:NBSK|LSAT_6X71081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSIYIPSSITIWKTLAVCASLLISIVIFSFSNQHTSLRSNFFHVTTRQSSVPQDTTNVSHLVFGLLGSVKTWHYRKPFIESWWRPNITRGYVYLDRAPTDDLLPWVGNYPPFRISDDNTKLLEESKHVSALMVRMVHAVIEVFREEREGVRWYIMGDDDSIFFVDNLVDELSKYDHTKYIYIGAHSECVLSNQFFSYDMGFGGAGLIMSYPLAKMVQKNIEDCFKRYPYLRSADLILMICVNDFGVSLTVHQGLHQMDLRGDASGFLSAHPKAPLLSLHHLEHVDPIFRSMDRFESLKHLMKAADVDQPRLLQQTICHSRQLNWSFSISWGYSVHIYENIASRSILKTPLETFRPWGNWKPPLYIFNTRPVLSNDPCATPHVFTFQSIKKNNGIEVITNYSRMASRGLPTCGLAGNNSADSVSRIEVVSPLKKPTQNGKTECCDVVGIDGLEVARLKLRDCMDDELIA >cds-PLY82995.1 pep primary_assembly:Lsat_Salinas_v7:1:17072928:17074814:1 gene:gene-LSAT_1X15221 transcript:rna-gnl|WGS:NBSK|LSAT_1X15221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSISTYLTTTLESKQDADYNKICEEHKHLIEAVPKGNGWSVQHLYNYNGFWLHPNSIKNNLLLHAYFKSQPTDIFLASFMKAGTTWLKALMFSTINRHRYSFSDHPLHHHGPHASFPYIDIETYPAISDFTHLPAPRMFATHYPRTLLPPCITSCKIVYVCRDPKDVLVSKWHFMSNHRSKDLPPLSLDEAFELFCQGISDYGPFWEHVLSYWRASLETPDKILFLKYEEMKKQPEVVLRKLAVFMGKPFTAEELEKSVVEKIVELCSFETLSNLEVNKKGVQKFGKFLEVENRHYFRKGEIGDWKNHLSEEMKQRIDGITNEKLKGSGLILGVNST >cds-PLY73497.1 pep primary_assembly:Lsat_Salinas_v7:2:100300575:100301827:-1 gene:gene-LSAT_2X42881 transcript:rna-gnl|WGS:NBSK|LSAT_2X42881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSVLELRMAQRAEGPATILAIGIATPINCVLQSTYPDYYFRVTNSENKKELKAKFKRMCDKSMIRKRYMHLTEDILKEKPSLCAYMAPSLDERQDIVVLEVPKLGAEAATHAIKEWGQPKSMITHLVFSTSCGVDMPGADNQITELLALRPSIKRVMIYENGCYAGGTAIRLAKDLAENNKGARVLVVCSEITAIGFRGPDETHLDGLVGQALFGDGAGAIIVGSDPLPDIEKPLFQIVSASQTIIPDTKSMLRGHVREVGLKYHLHKSIPELIANNIENSLVEAFQPLGIVDWNSLFWIVHPGGAGILDGVEEKLSLTPNKLRATRHILSEYGNMFSSCVLFILNEMRHSSTIDGFETTGEGLEWGVLFGFGPGLTIETVVLHSVRI >cds-PLY62500.1 pep primary_assembly:Lsat_Salinas_v7:1:83070606:83072759:-1 gene:gene-LSAT_1X69561 transcript:rna-gnl|WGS:NBSK|LSAT_1X69561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINFDDLYSVLTAVVPLYVTMFLAYASVKWNIFSPQQCGGINRFVAIFAVPLLSFEFISRINPYKMNLKFIAADGVSKVLTLIVLFLWTNLSKNGSLDWAITMFSLSTLPNTLVMGIPLLKSMYGDDKESIMVQAVVLQCIIWYTLLLFLFEYREAKNLILTSGGGNNISNNNSSSISNSNSQRNDESFWEVQERVERTTRNEDDIVNVIQSLNRVAPAIATAVDDDKRELHLFIWRCRCCSSPGVCEQSVEVCNRENEKAESVEEPNIIESQGSPSMLKKLLKMVCFKLVKNPNSYASILGLSWALASCRWGIKKPQILENSVTILSDAGLGMAMFSLGLFMASQPKLIACGNRLAAYGMVARFVAGPAVMAVASIGVGLRGTILQVSIVQASLPQGIVPFVFAREYNLHPDVLSTA >cds-PLY86583.1 pep primary_assembly:Lsat_Salinas_v7:1:9042050:9050433:1 gene:gene-LSAT_1X8240 transcript:rna-gnl|WGS:NBSK|LSAT_1X8240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTIAGRRCDVEGGEAGRFMELVQELFIVMGATHVSDYLPWWKWVGGKHLEKEMVALNENWHALMQDLIEEQRRKTVVEAEGGSYDDLKKKLIEFLLMSQQKEPENHSDEVIKGLLQVVRAFRTIFSYMKSCRYVFGITHVPRLEDWSVMPVERIGFMLQPHGFFNCSLAVDVPPSPRACESDVKEGHVKETIATKSVSNGLIAML >cds-PLY66197.1 pep primary_assembly:Lsat_Salinas_v7:2:168077138:168077800:-1 gene:gene-LSAT_2X90461 transcript:rna-gnl|WGS:NBSK|LSAT_2X90461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRDDLIIRLKYGKDRYLIAEQDEESVSKCRDGSTKNAQWTIEMNDEESLFLKSCYGKYLTASNQPSIPGMIAKHLKVTQTSPEKKNTSHQWLPVSQSPVIQSDPVKQYSIWLKTPHGSYLEAHTGRNLITHDLLRRKGCNPLNKKILWHIEIVESPSDQWRHSGSIMSRMLFGMRSFVSEKHKDKEKNECLKDEKETTKERMHTNILSRKLFNSKSC >cds-PLY65133.1 pep primary_assembly:Lsat_Salinas_v7:2:76105835:76109436:-1 gene:gene-LSAT_2X34061 transcript:rna-gnl|WGS:NBSK|LSAT_2X34061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEANGAEQNSSATETEHVEALLEAARYNDIDDVISLAAVGVSLDSKDAEGRTALHMASANGNVDIVNYLINNKVEVNAFNVENNTPLHWACLNGHIEVVNILILAGADVSSLNRHERTPVDEAAIGGKMDVIDAINTAVAQMELTRASV >cds-PLY69828.1 pep primary_assembly:Lsat_Salinas_v7:6:3883953:3886296:-1 gene:gene-LSAT_6X3540 transcript:rna-gnl|WGS:NBSK|LSAT_6X3540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATHAGMGFYKIIILVGTGYTGTLLFKNGKLSDVLGELQGLVKGYEGKQGDGAEGDYSDAIASQVRRLAMEVRQLASSRQNQITVLNGGSSGNITSMVVPAAALGAVGYGYMWWKGLSFSDLMYVTKSNMANAVSSLTKNLEQVTDALAAAKRHLTQRIENLDGKLDDQVEISKLIKNEVTDVRADVSQIGYDLDSLNKMISGLNGKIMTLEEKQDMTNLGVWYLCNMADGNKLSGTAQKQFKLAGKSFDGHLSCGGNGILSLDGVKEIADNLDPEKQIQSRMLTRRHTVKLN >cds-PLY62062.1 pep primary_assembly:Lsat_Salinas_v7:2:55281965:55282504:-1 gene:gene-LSAT_2X24580 transcript:rna-gnl|WGS:NBSK|LSAT_2X24580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMERLNSKLYMENCLIMQENEKLRKKAQLLNQENQALLSQLKQRLTMGNQNVNNAPDSYADLNLNTKATSSSRKP >cds-PLY83547.1 pep primary_assembly:Lsat_Salinas_v7:1:65465579:65467189:1 gene:gene-LSAT_1X55221 transcript:rna-gnl|WGS:NBSK|LSAT_1X55221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR3 [Source:Projected from Arabidopsis thaliana (AT3G03750) UniProtKB/Swiss-Prot;Acc:Q9SRV2] MTNNNGKKPNRIVQLSDAAPYVLPYLYPAELSAISLTCKTLHLLAKSVTAVRSSDACRNSEKLPIPFVNSAVDNHPYAYFIYTPTQVLSFSDDPPRQPWGLYPLQRRDLGLILPPTLEDGARCECERCGNDSAGCPCPCSRQNFSGLRWECGSGCICDLECSNRVSQRGISIRLKIVRSPGKGWGLHAGQFIRSGEFICEYAGELLTTKEARKRQEIYDKLKSTNKHTSALLVVREHLPSGNTCMRINIDATKIGNIARFINHSCDGGNLSTVLVRNSGALLPRVCFFASKDILKDQELTFSYGDSGLNPNGSKCFCGTSCCTGIMPSEHT >cds-PLY79811.1 pep primary_assembly:Lsat_Salinas_v7:8:18819524:18822629:1 gene:gene-LSAT_8X14720 transcript:rna-gnl|WGS:NBSK|LSAT_8X14720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHYIVRGDHPKNPEKHSRIWKKEDVERICAMDATMELDKIEALQVHYDKFRIELFESDRLRVHEEPPLPLIVANMRNLRYIRWKGDIANPLLKKLSPWEFFLWYIQWKGEPANPLLKQFPPKELCCLILRDAMQQQLWNGCKVLPNLKIMELYDLNNLIMTPNFDGIPYLERFKLWRCEKLEEIHSSFGRLNKLVCLSIVLCKRIKKFPSITRLKKLKTLSLHVSPEVIELSEIQEKMDNLIGEEDISSAVCELSNSNHIRLCFFRKDLKELDLSWCNLGDEDISSAVWELPNMQKLNLSKNKFSRLNFNFMRTPRLKLLNVSFCTDLVELSELPSSIAVVEANGCPSLKSFGAISNCKWLWKFSLRGVYNLDPFVGYMLLDSMLKGHAIEDHLISVILDYEIPKEYVFVGRLFRGNTFRLRLPHDWHNDFSGFLIHVVTHDGCPNIKITIKEEKLDEDLPFRFWHESNYNAMDRCRIISTYVGYVSFNSLRLTACLNLNSLYSVISISLRQSWSNASPAANRIGVSLVPKTKHKGDPMKTAKDSSEFGDEKDKSSPIFAIKHGSKSSIKILWRPLN >cds-PLY75430.1 pep primary_assembly:Lsat_Salinas_v7:7:75115721:75116445:1 gene:gene-LSAT_7X54100 transcript:rna-gnl|WGS:NBSK|LSAT_7X54100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWNRFILLSVVALTFSYLALAYEPSPLQDFCVADPNSTVKVNGVTCKNPMQVQAADFFFSGLHLRGNTSNQLGSKVTPVFATQLPGLNTLGISMVRIDYAPWGLNPPHTHPRATEILTVLEGTLQVGFVTSNPDNRFITKVLQKGDVFVFPVGLVHFQRNVGNGNAVVIAALSSQNPGAITIANAVFGANPSIPADILAKAFQVDKSVVDQLQAKF >cds-PLY82837.1 pep primary_assembly:Lsat_Salinas_v7:1:85486597:85498111:1 gene:gene-LSAT_1X72821 transcript:rna-gnl|WGS:NBSK|LSAT_1X72821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIPVIDLTPYVNVVSGEFCLDDIMNPEVETVCMEVSWILRDTGALFVKDPRCSAEDDDQFISMMEKYFEMPDDFKLLQARPHQHYQNGSTPGGLEVARSLVEPDLLKKARELPKEHQPLIPTGTDLKWRYMWRIGPRPSTTRFQAIAEMAAIGFGLPKDAFTSLLQNYILWGPHLLSPTGGNLGSHGKEGTVFAGYHYDLNFLTIHYRSKFPGLYIWLRNGEKVEVKVPEGCLLIQTGKQLEWVTAGDCIAGLHEVVVTNKTIEAIKAAKQSKPLESIINVVLCYIIGCCDETPWPLCKLASCL >cds-PLY63339.1 pep primary_assembly:Lsat_Salinas_v7:9:133476111:133477103:1 gene:gene-LSAT_9X86040 transcript:rna-gnl|WGS:NBSK|LSAT_9X86040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGWRIRAYMAEIDIGRVYSFTAFVQDEVNQSEDIGVHGDDLTNSEDSDFLLDEDNMIEEPDIYMKEFFLNIDQNAEWMGDNGGSSMKVEDGKEDEEIEVLNNDILISVSSSDEGG >cds-PLY63395.1 pep primary_assembly:Lsat_Salinas_v7:7:150280283:150284910:-1 gene:gene-LSAT_7X89860 transcript:rna-gnl|WGS:NBSK|LSAT_7X89860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain containing protein [Source: Projected from Oryza sativa (Os10g0100300)] MELRSVQSPVVSSIPAKGFTESQQFICYRQLIKSFGTKRIVQSNAIKLKPVRFKVQAAGATTTGLQPTETKTKDGEEDGNLIFVAGATGKVGSRTVRELLKLGFNVRAGVRSIQRAESLVKSVKQMKLDEALSDGTQPIEKLQLVECDLEKPEQIKSALGKASTVICCIGASEKEVFDVTGPYRIDFQATKNLIDAATAAKAKHFILVTSLGTNKFGFPAAILNLFWGVLCWKRKAEEALLASGIPYTIVRPGGMERPTDSFKETHNLTLSLEDTLFGGLVSNLQVAELLAFMARNPSLSYCKVVEVVAETTAPLTPMADLLTKIPPQRAIPYKPKEPEAVKVSEPVKSTSSESSSVVIESKTAPPRPLSPYTAYEGLKPPVSPIPTPPGGSKVVVEAVTTTTPPTDTETTTKVETIAITDEPTTQVAVEVPKTRPLSPYAAYENLKPPTSPTPTPSGSKQTPSSSSPPPEVAAESTVVFTFENIEVKEDPPQTLTSGNSPYLVYDNLKPPTSPSPSSSPPLVSPPTTAVSPPPAAATDNGSPVASSPENTSDVTMVKPRPLSPYAMYESLKPPTSPIPSTPQ >cds-PLY66173.1 pep primary_assembly:Lsat_Salinas_v7:4:34471863:34474184:-1 gene:gene-LSAT_4X24241 transcript:rna-gnl|WGS:NBSK|LSAT_4X24241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRQSKNQICSLLIVLVLTIGFNFEASFGQQCQHSSEPEVHESHDHHHNCDHSHDHHHHHDHHHHHLGRKKLPEELAEEDDLRSEFVSHHDHDHDHHHGGDSELSGVGLWIHAMGCSLLVSLASLICLILLPLIFYQGKPSKTFVDSLAVFGAGAMLGDAFLHQLPHAFGGGHSHSHDNHTDDAHAHDHDHSPSHSHSIEDLSIGLSILFGIVVFLLVEKIVRYVEERSRGVNSWSHGHHHHHHHHKKNEILENVNNDDEDKNTSETTSDESLKTQTQDESSLLRKRNISSNGDKIDESGPKSETNSITGTEPAHSSSLVFGYLNLFSDGVHNFTDGMALGSAFLLYGSVGGWSRTLFLLAHELPQEIGDFGILVRSGFSVFKALFFNFLSALVALAGTALLWGQDPGQSSLIEGFTAGGFIYIAVGVMAEMNNGSSSIKTSVIQLTSLVMGMSVALFISLIE >cds-PLY76146.1 pep primary_assembly:Lsat_Salinas_v7:4:54687150:54691784:-1 gene:gene-LSAT_4X37201 transcript:rna-gnl|WGS:NBSK|LSAT_4X37201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKNASCPPSQSQPPPPKNQKLRRFNVCEDESPAIASPTASTSSSAHCESEKQQQPIATLTRSVEYRSQKSSTDSPLCSSLQYSDTLFDSPHTQIQSIGSRKKPLNVLLLHGNLTIWVHEAKDLPSMDMFNTSDPYVTIAIANAVIGKTYVIKNIENPIWNQRFDVHVAHYASEVHFLVKDSDTVGSQLIGVVAIPVKDIYSGSKIEGFFPLMNTNGKPFKKEASLKLAMQYEQIEKLSSYNNGVGAAGVPGTYFPLRKGGKVTLYQDAHVPDNSLPCSELDGGMHYVHGTCWIDIFNAIQNAKRLIYITGWSVWHEVKLVRETVNAPKHTLGDLLKIKSLEGVKVLLLVWDDPTSRNIMGYKVDGLMATHDEETRRFFKNSSVQVMLCARMAGKKHSWAKKQEVGTIYTHHQKTVIVDADAKNGKRKIISFIGGLDLCNGRYDNPNHPIFKTLDTIHADDFHNPTFTGNNLAGCPREPWHDLHSKIDGPAAYDIMKNFEERWLKASKASGVKKLKAMYDDALVKIDTMPEFLTVNDEPCLSDQDPEGWHVQIFRSIDSNSVKGFPKDPKEATTKNLFCGKNVLIDMSIHSAYVTAIRGAQHFIYIENQYFIGSSYNWSNYKDLGANNLIPMEIALKIANKIRAHERFAVYVVIPMWPEGVPTGSATQRILFWQNKTMQMMYETIYKALLDVGLEEAESPQDYLNFFCLGNRENTTPNPKIDLPNDNTPEGLSRKNGRFMIYVHSKGMIVDDEYVIIGSANINQRSMEGNRDTEIAMGAYQPHNTWGRKHMDPRGQVYGYRMSLWAEHLGTIDDKFKHPESIECVRQVRSMAEANWAKFAANERCEMKGHLLKYPVRVERSGKVRSLDGTLEFLDVGGQIVGSFSGIQENLTI >cds-PLY65650.1 pep primary_assembly:Lsat_Salinas_v7:7:27164140:27167001:-1 gene:gene-LSAT_7X21341 transcript:rna-gnl|WGS:NBSK|LSAT_7X21341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEILSCSVNFVKSGIRVSFPPFNFLQFVLIFLAFITKSTSIHRDFSEVPYSRYCNDVVRESPFTETQLLRDNFLRLDKAVYSLGNENPQFNFNPVSSQVASFSTREAYETKSKGIVKVNAVLNLVGAGIIDYFSGNTPRRRLRLVKFRPPRWEPRTNVGAGFRLFGFWDSNSGKLCMVGSGSVSTLTPVNVVFKLDYPNSSVLDTSLINGTLQSITPSGSATYFKPISILGVSRMGYNYTYINKEINNDGFSIYDDLENTSLSLPDARTAYRRGICSVITWGLRFELDYNTYDCKNVSCSFITVGDETFPRFLSIKVVDCLEDGKVRYILQFSNSTYGRGMSFYPLTSLVAEGVWNKKKNRLELVACQLFDKMSARDCSIRLAFSLPSNLSLQNRSSIIGRMWSTKRKNLGHVSIYSPANLHSRIKGASYEYSQHKKITNLCSKTLDSNTQGKKETYPDENSPNLRFDMLVKNKKGQMAYGYASPFYIGDRFYSSFSMIEGNHSSINKYINISYVMSFTTRGEFEFGGKIPGSKMVEISAEGVYNTKNGVICMIGCKHMPYEKFQKKRSLDCELLININYSKRGSVHGSIKSTRTRPDPLYFEPVKFGSSSITTVQARESIWRMDLEITMVVISNTLACIFIAFQLFHVKKNPEFLPETSIIMLTVLTLAHMIPLLLNFEAIFIKNRKQNVFLGTDQWLEVNEVLVRVITMVAFLLQSRLLQLTWCSRNGNENNNNKDKNLWEFDRKVLYVTFPLYIFAFFAHLLQLHASKSMTFWGELESYFGIVLDTFLIPQIVFNLFCDTCNMVLAPSFYIGSTVVRLLPHVYDIYRSHSSLWFYDKIYANPGMDFYSTIWDVVVCFGGTFCVLVIYVQQRFGGRSVLPKRFRESFMYQKVAVTTQEG >cds-PLY65567.1 pep primary_assembly:Lsat_Salinas_v7:3:250643994:250645783:1 gene:gene-LSAT_3X138621 transcript:rna-gnl|WGS:NBSK|LSAT_3X138621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEYGDEIEQKGESFMDKITEKIQRDDSSSSDSDSEKKKKKHDDELKKKHHDVPSPTSSMKSKIYRLFGREKPVHKVFGGGKPADVFLWRNKKISASVLGGATAVWVFFELLEYHLLTLVCHFLILAFAVLFLWSNASKFINKSPPRIPEVRIPEDKFLQIAAGLRNELNQGLDTLRNIASGRDLKKFLGVVAGLWVLSIVGSWCNFLTLFYIIFVLLHTIPVVYEKYEDQVDAFAEKAMIEIKKQYKVFDAKVVSRIPRGPLKDKKRA >cds-PLY95536.1 pep primary_assembly:Lsat_Salinas_v7:6:175047206:175049726:1 gene:gene-LSAT_6X107101 transcript:rna-gnl|WGS:NBSK|LSAT_6X107101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSVVIGNTIKLESEFKRRTANFPSIEKRNFSSSYPDKAFDALTLDFREVESSTYVPLLQQCVEKNSLQETQMIHAHIIKSGTQEDLFVMTSLVNVYGKCGAMEAAHKVFDKLSKRNVVTWTSLMSGYVHNSQPETAIRVFSQMLETGSYPTNYTLGVILNACSALLDLKLGKQVHGYVIKYGLEDETSIGNTLCNFYSKCIGNLDSAMTAFWRIRERNVISWTTIVSACGDNRNPDSGLYLFARMLEDGIEPNEFILTSVLSLCSTIQAKDFGLQIHSLSIKIGYESNTPVTNSIMYLYLKAGSFTEAKKLFEGLETVSLVTWNAMIAGHAQMMEVSENTLLATRNGFEALDTFLKLQKSGLKPDLFTFSSILTVCSNLLALEQGQQVHCQAIKTGFLSDVVVGTALVNMYNKCGTIEGACKAFVEMPTRTLISWTSMITAFAQHGLSRHALDLFNDMRISGDRPNKVTFVGVLAACSHAGMVDQGLTFFEMMKHEYKIDPIMDHYGCIIDMYVRLGKLDEAFEFVNKMEFEPNEFIWSLLVAGCRSHGNLEMGFYAAEKLLDLNPKDPELYVMLLNMYVSAGRWKDVSRLRKLMKDEKVMKLKDWSWVSIKDKVFSFRCDDKCEEVQDLLKDLVEKSRNLGYEWKENEEHHSEKFAVVFGLLKMEKRAVIRVVKSTSMCRECHNFVKIVSMLTEREIVVRDSKRLHRFVKGKCSCGDFGSLL >cds-PLY79495.1 pep primary_assembly:Lsat_Salinas_v7:5:183913971:183917581:-1 gene:gene-LSAT_5X81920 transcript:rna-gnl|WGS:NBSK|LSAT_5X81920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKERGNLLKSFGTQVAELVREMVMGAPLEDARRLAQRYDRMRQEAEVQADEVSKRQARVREGTGNPDILMKLDLNSNMAILGREAASAMAVVEVLTIGSNASGDATGDASGDAMGDVVILITRKKKKKIKVFMGE >cds-PLY90777.1 pep primary_assembly:Lsat_Salinas_v7:3:35461298:35464011:1 gene:gene-LSAT_3X27301 transcript:rna-gnl|WGS:NBSK|LSAT_3X27301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSVLDEYEGADESSVVSSMLWVRNLRRYIRSDVDLESEAMLELQTRKILLNIFKEKQRKSAKAGIIPSFYKRKPEDGSISHTVQRLAKYRFLKKQSDILLNSDDLDAMWLILRENCVIDETTGSEKVNYEDFCQIASLCTEQIGSKCYRFFSPSNFMKFGKDESGRIPILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPYEMEAYIRGLIPNLAQLCDMPTEFVQMYCHIAAHKFFFFCDPSRRGKACIKKILLSNCLQELMELHQESEEGDAENTENWFSLASAQRICDAFLDLDKDGNSTLSKEELQGYADGTLTDIFIERAFDEHVHHGKTVIGNPWEMDFESFLDFVLALDNKDTPEGLTYLFKCLDLHGRGFLTAADIHILFRDVREKWIQVGNYELCTEDIRDEIWDMVKPVDPLRITLSDLIACKQGGSIASMLIDVRGFWAHDNRENLLQEDLEPCEPDAAGRT >cds-PLY87947.1 pep primary_assembly:Lsat_Salinas_v7:4:339123264:339126702:-1 gene:gene-LSAT_4X168041 transcript:rna-gnl|WGS:NBSK|LSAT_4X168041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMATASVTLRPHILSSISGNCCYIQSTLPLHRFFRYNPGRKPFSLQPSRSYTTSLLFNKRNIEELQNGTRKRLKPGKLSPRRLVPDHILKPPYVGSKKPPGIASGPELHDEKGIEYMRASGRLAAQVLQYAGTLVKPGVTTDEIDQQVHQMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRQLEDGDIINIDVTVYLNGYHGDTSATYFCGEVDDKAKNLVKVTKESLDKAISLCAPGVEYNKIGKVINAHADKHRYGVVQSFVGHGVGRLFHSDPVILHYRNNERGHMILNQTFTIEPMLTMGSIHPIIWDDDWTVVTEDGSLSAQFEHTLLITENGVEILTQC >cds-PLY73498.1 pep primary_assembly:Lsat_Salinas_v7:2:100916417:100920396:1 gene:gene-LSAT_2X44401 transcript:rna-gnl|WGS:NBSK|LSAT_2X44401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPNFYLFVVLRFFSGLYVCLNRGHVTPNAQKVAFVANGATIVGNITLTKNKGDYVQKGNKFGYFSFGGSTIICVFEKCVSLVILKKLHQSLSYLHGEEPITRWDAGQTSRGSALEALYALVLVILFLVQKFGTARKKKRLKGDPETNGSPVVEIENSDPDVMGPQKEDTDMLEEPLEAKSSTPLPVLYVEDGNDEMFRYRKNFSGKMKPKRS >cds-PLY93435.1 pep primary_assembly:Lsat_Salinas_v7:9:64505406:64505756:-1 gene:gene-LSAT_9X55820 transcript:rna-gnl|WGS:NBSK|LSAT_9X55820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQSLRNFLRNPAYTFVDVVIKNDVEKLMENWNLEVANTTDIGALATEEYGMRNLRNTGLKGLMSRVLGKELIKLKSVTMSDWDNEWLTLDQVQYACIDAFLSYKIVSILISGNHN >cds-PLY78461.1 pep primary_assembly:Lsat_Salinas_v7:4:261484525:261493158:-1 gene:gene-LSAT_4X134081 transcript:rna-gnl|WGS:NBSK|LSAT_4X134081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTTCLTKCLKSLFNFQENLGRVTKTWGDFTSLNYWVVRDYYRLVEFVNSFEPRIQKLSDEQLTAKTAEFRRRLGKGETLADIQAEAFAVVREAAKRKLGMRHFDVQIIGGAVLNDGSIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQRGMKPEERRSNYRCDITYTNNSELGFDYLRDNLAGSSGQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEASKDAARYPVAAKVAELLIRGLHYNIQLKDNSVELTEEGIALAEMALETKDLWDENDPWARFVMNALKAKEFYRQDVQYIVKNGKALIINELTGRVEEKRRWSEGIHQAVEAKEGLPIQADSVVVAQITYQSMFKLYPKLSGMTGTAKTEEKEFLKMFQMPVIEVPTNMANIRHDLPIQAFANARGKWEYVRAEVESMFRVGRPVLVGTTSVENSEYLSALLRASKIPHNVLNARPKADSVVVAQITYQSMFKLYPKLSGMTGTAKTEEKEFLKMFQMPVIEVPTNMANIRHDLPIQAFANARGKWEYVRAEVESMFRVGRPVLVGTTRYYYFNEIHSSLVILCVENSEYLSALLRASKIPHNVLNARPKAKSIISESIEMSQSMGLDELQRLVEEQAEMYPLGPCIAIAYLSVLKDCEIHCFHEGLEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVKLISRITNDEDVPIEGDTIVRQLLSLQVSAEKYFFNIRKSLVEFDEVLEVQRKHVYDLRQLILTGDSESCSHHIFQYMQAVIDEIVLGNVNPLKHPSSWNLDKLLKDFVAISGKILDESCAGITVESLMQSLANVDEVSDVDINDFCFPNLPQPPNAFRGIRKKTSSLKRWLAICSDDSLSLKDGRYRLAANLLRKYLGDLLITSYMNIIQESGYAEEYVKEIERAVLVKSLDCFWRDHLVNMNRLNSAVNVRSFGHRDPLEEYKIDGCRFFISMLSATRRLTVESLLRYWSSPMDSQQIYT >cds-PLY95747.1 pep primary_assembly:Lsat_Salinas_v7:5:248801603:248805788:1 gene:gene-LSAT_5X123860 transcript:rna-gnl|WGS:NBSK|LSAT_5X123860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAAACTAAIFYPRFTPDSAIRAAPALNPTLSVNYSLHRPCRLLTSSPPADFKVYGDKKSQLPEVFDSSENENLVSEEQSYEEIDIGWLPAFPHVLVASMSNFLFGFHIGVMNGPIVSIAKELGFEGNSILEGLVVSIFIAGAFLGSAGAGYLVDRLGFKKTLQVDTIPLILGAILSAQAHSLDQLLWGRFFVGLGIGANAVLVPIYISEVAPTKYRGTLGSVCQIGTCTGIIASLFLGIFSDSDPHWWRTMLYIASVPGFILTLGMQFAVESPRWLCKAGRLDEAKEVIFNLWGPSEVDKSIEEFQSVLKNDGGDLDSRWSELLEEPHSKVALIGGSLFVLQQFAGINAVLYFSSLTFKDAGISNGALASLFVGLTNFAGALCALYLVDKQGRQKLIIGSYLGMAISMFLVASGIGFHLDEELRNNLSILGTILYILTFAIGAGPVTGLIIPELSSSRTRGKIMSFSFSVHWVCNFLVGLFFLEATERFGVAPVYGSFGVVSLLAALFSYSFLVETKGRSLEEIEMSLNQSFTKRD >cds-PLY71850.1 pep primary_assembly:Lsat_Salinas_v7:3:58499360:58499656:1 gene:gene-LSAT_3X45740 transcript:rna-gnl|WGS:NBSK|LSAT_3X45740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPSPPSSTVNTRIVVLPSPLYVSTIRHISPRTIKTLNLNFFTAATTYVPPNIDHIKLSSIQRFSLSLSLSVLLLQRNIFSKSMVISLHFIIFVIL >cds-PLY66726.1 pep primary_assembly:Lsat_Salinas_v7:6:79301766:79304785:1 gene:gene-LSAT_6X54880 transcript:rna-gnl|WGS:NBSK|LSAT_6X54880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLCCTCQVEESYPSNENGLIKNHNDHNDGPHKDSKLPPPVKHDAQKAVPTIEVPSLSLDELKEKTENFGSKALIGEGSYGRVYFANLNNGKSVAVKKLDVSPEAESNSEFLTQVSMVSTLKHDNFVELCGYCVEGNTRVLAYEFATMGSLHDILHGRKGVQGAQPGPVLDWMQRVRIAVDAAKGLEYLHEKIQPSIIHRDIRSSNVLLFEDLRAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPRLKGEYPPKAVAKLAAVAALCVQYESEFRPNMSIVVKALQPLLRAPAPVAAAAAEV >cds-PLY69193.1 pep primary_assembly:Lsat_Salinas_v7:1:80440633:80441439:1 gene:gene-LSAT_1X66620 transcript:rna-gnl|WGS:NBSK|LSAT_1X66620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNNQWGRRRNVHGDSRVQEGRHHAKGMVLNSEISSFFITNFPDSVQVVDLWRVCGRLGNMMDAFISTKLSKRGKRFGFVRFSNICSEDRMIKCLCEVWFGTYKLFASSPRFKKEDIKPLQKKIDLKSSGKIVYNSQVSSSLNSYASIVKGTCVVNGNNEHIQEVIELSLGDFIVDRYERACLVKARDFLSLLNLRMLCLDEGFEEFNMKYVGGLWVLIEFNSTHACENFMSSDVMDHWLLEKREWNRNFVPLERIVWVDIEGLPLAA >cds-PLY65696.1 pep primary_assembly:Lsat_Salinas_v7:5:270137708:270137869:1 gene:gene-LSAT_5X140640 transcript:rna-gnl|WGS:NBSK|LSAT_5X140640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPVEGNAPPLPLQALLGGLSAGIIAIILYKFTTTTEASLNRQTIFDKIIPNS >cds-PLY70583.1 pep primary_assembly:Lsat_Salinas_v7:1:88589340:88593506:-1 gene:gene-LSAT_1X75420 transcript:rna-gnl|WGS:NBSK|LSAT_1X75420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGIDYPDHSTTPTITTTSGSAGGSGGRTSTAATFITPKTEPKLETPEVPPEPDKSQNPIYSFGLGAPVTDSDVTFSVPRSGVNSIQSEFNGSWGMSQTESVINVEENDDAPMDPDALALVLVPEEDELSIVDFPSRRKSQRSAELVRVTNLEIADERYFREVVRKTRMLFDSLYFFIMLEDEKRRSNVEGRVVRVRGDLKAAALMKTNDLWLNREKRIVGAIPGIYVGDVFNFRTELCVLGIHGQIQAGIDYLSSSHSSNGEPIATSVIVSGGYEDDEDSGDVIVYTGHGGQDKHSKQVVHQRLIGGNLAMERSMHYGIEVRVVRGLKYKGGASDKVYVYDGLYKITESWFEMGKSGFGVYKFKLVRMEDQPEMGSSLLKFAETIKTNPKEARPLGYYSLDISSKKENTPVFLFNDIDDNSEPMNYEYLVRTVFPPFIYQISGKGEGCGCVSGCKPGCVCAKKNGGEFAYDLNGILLRGKPIIFECGPFCSCPPTCWNRVTQKGVKNRFEVFRSMETGWGVRSLDLIQAGSFICEYTGVVLTREQAQVFTMNGEDSLVYPFRFGDRWAEWGDLSQVFQDYVRPSCPSAPQLEFAIDVSRMRNVACYMSHSSCPNVFVQLVLFDHANLGFPHLMLFAMEDIPPLRELSLDYGAAGEWTEKLPICN >cds-PLY75165.1 pep primary_assembly:Lsat_Salinas_v7:1:183979562:183980230:1 gene:gene-LSAT_1X118941 transcript:rna-gnl|WGS:NBSK|LSAT_1X118941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIGGELSKKLKAKELNSKQEMLIKKKSSDISPEERSTWNDEQFRVSSPKRTVFFNPDRDSYQKVKVVKPTYSFTPPLDLTKENWDIPTPPNGMKFNLWPSANYPVEVDIDIEEVKKRLFLKKSIQVIYVWSNFKIASISNIEIWKFREAPYAFFEGRRTDNSEFMFSEDNFLKINLADIKLLIIWLKPRASTDKAYDDVLQHLSKYVLNMVIDFSVDWEIG >cds-PLY86707.1 pep primary_assembly:Lsat_Salinas_v7:4:8414498:8416795:-1 gene:gene-LSAT_4X5401 transcript:rna-gnl|WGS:NBSK|LSAT_4X5401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKVTTLL >cds-PLY98889.1 pep primary_assembly:Lsat_Salinas_v7:5:20579774:20585381:-1 gene:gene-LSAT_5X10500 transcript:rna-gnl|WGS:NBSK|LSAT_5X10500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITIPYITALTTYFSYGLLFAFGQLRDFFRNIVDWWKASNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPISSPPDAWFDVVERVSNDNNKTLKRTKKVSRCLNLGSYNYLGFAAADEYCTPRVIESLKKYFASTCSSRVDGGPTALHAELEEVVADFVGKPASLVTGMGYVTNSAILPVLIGKGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLEKVLREHIADGQPRTHRPWKKIIVVVEGIYSMEGEICKLPEIVSICKKYKAYVYLDEAHSIGAVGKTGRGVCELLGVDTADVDVMMGTFTKSFGSCGGYIAGSKELIQYLKYTCPAHLYATSISPPAAQQIISSIKVILGKDGSSRGAQKLAQIRENSNYFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKRNVAVVTVAFPATPLLLARARICISAAHTREDMIKALEVISRVGDMVGIKYFPAEPKKAQLEQGRTKVE >cds-PLY65593.1 pep primary_assembly:Lsat_Salinas_v7:7:163699271:163701647:1 gene:gene-LSAT_7X95320 transcript:rna-gnl|WGS:NBSK|LSAT_7X95320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSNIEEALIAKENAEKLFTVKDFTGAKHYALKAQAICPQLEGISQMVATFEIYAATVTKINGEIDLYSVLGLHPSSDKSSLKKQYKKMAVLLHPDKNKTMGADEAFKLVSEAWSVLSDNTKRNLYDVRRNKHLTTSASRLDTFWTVCTSCRVQYEYLRKYVNKRLSCKNCRGVFVAVETGAAPVTYCPWSYTTTTENGYGNHSSYNDYNNPPPPATYIQNSSVSFSGDGSSGFHSEYTKNLSFQWNMSSGALTSQDPNLVHKPNGRAKSSTKSNHLNHSNCEIPVVRLGRPPKKRKTEDMIQNLNTNGEKMLYKTDADVALSNGYVSQNVNFAPPPVVDARKLLIDKARKVIRLKLEEMKSASKTIKTLKKSPMPITVPDPDFHDFDMDRSEEVFKPKQIWAIYDEEDGMPRLYCLIRQVISLKPFQLYISYLNSRTDSEFGSVKWIESGFTKSCGSFRVFHSDIVDQVNIFSHLLGREKAGRGGCVKIYPKSGDIWAVYRNWSVNWNRKTPKEVRHQYEMVEVVEDYSEELGVCVTNLVKLKGYKTVYQRDLMNGSFWIPRKEMLRFSHQVPSCLLRGQALNLPDGCWDLDPAATPEELLRAAMEAEEQQQQEEEDEKVEDIDKIHSVV >cds-PLY91152.1 pep primary_assembly:Lsat_Salinas_v7:4:163556631:163558214:1 gene:gene-LSAT_4X97640 transcript:rna-gnl|WGS:NBSK|LSAT_4X97640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKFFREIELPQQKPDGDGALFPAVLTPISNTDFTTKAKLSGFEEAIKAHKPWLESLLQKRGAILFRGFPVTSTSDFNDIVEAFGFPEAFYVGGRAPRTQILGRVYTTNEAPQDKTIPFHHEMAYVPDYPSKLFFFCEEAPLAGGETPIVLSHIIYDKMKEKHPEFVAKLEEHGVIYTKIMTDDNQQSSFTGSGWKSAYMTTDKKVAEERAEKQGTKLEWLENNSVRTITGPVPAVKFDKGSQRKTWFNSLANSYGGPANPELYDPNRSIEIGNGEPLSDEAMADCLRILDEECVAIPWKKGDVMLVNNLMVLHSRKPLITPPRRVLVSLCK >cds-PLY63575.1 pep primary_assembly:Lsat_Salinas_v7:9:150316100:150319784:-1 gene:gene-LSAT_0X5460 transcript:rna-gnl|WGS:NBSK|LSAT_0X5460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYQWRKFEFFEEKYAGKCLVPDEVAGKIECCSSGRGKIAVGCEDGTVSLLDRGFKFSYGFQAHTSSVLFIQQLKQRNFLLTVGEDEQISPQLSSVCLKVFDLDKTQPEGTSTPIPDCIQILRIFTNQFPEAKITSFLVLEEAPPILLIAIGLDNGCIYCIQGDIARERIKRFMLQVEKSQDKSQCGITGMGFRVDGKAFHLFAVTPTSVSLFNLQTQPAARQTLDHIGSNVNSVAMNDRLELIIGRPEAVYFYEVDGRGPCWGFEGEKKFLGWFRGYLLCVIADQRSGSNTFNIYDLKNRLIAHSIVTKEVSHMLCEWGSILLIMNDKSALCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADAAATAEVLRKYGDHLYMKQDFDEAMSQYIHTIGHLEPSYVIQKFLDAQRIYNLTNYLEKLHEKGLASKDHTTLLLNCYTKLKDVDKLNVFIKSEDGEIKFDVETAIRVCRAANYHEHAMFVAKKSGRHEWYLKILLEDLGRYDEALQYISSLDQSQSGVTVKEYGKILIEHKPMETIEILMGLCTEGASNGTSYSYMLPSPVDFLNIFVHYPRSLMNFLEKYITKVKDSPAQVEIHNTLLELYLSSDLNFPSMSLENGTTTTSNGNGKLVELPKKEDTKNMENDHEERLQKGLLLLKTAWPLDQEQPLYDVDLAIILCEMNSFKEGLLYLYEKLKLYKEVIACYMRSHDHEGLIGCCKRLGDSGKGGDPTLWADLLKYFGELGEECSKEVREVLNYIERDEILPPIMVLQTLSSNPCLTLSVIRDYIARKLEHESKLIEEDRRMIDKYQEETLTMRKEIQDLRTNARIFQLSKCSACTFTLDLPAVHFMCMHSFHQRCLGDNEKECPECAPEYRSVVEMKRNLEQNSKNQDQFFKQVKNSKDGFSVIAEYFGKGIISKTSKKESGGGSLNSNSNSTHGF >cds-PLY90781.1 pep primary_assembly:Lsat_Salinas_v7:5:8681016:8682727:1 gene:gene-LSAT_5X4521 transcript:rna-gnl|WGS:NBSK|LSAT_5X4521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTVWFSLKKSFHCKTDSSVVHDPKSKNHLSTILTRKPGRCGCSRSIANLKDVINGGSKRHSENTVNCSPRSIGSSDFPNPITHEVILNSSKCELKITGFNGGATADGSTFVGTLLPGTPSPCANPAMHFKKTPSRKMTERDGNGIGIGNSGHLGVFGKNSTFIQKTRHPSGKESEEYSGEGVTCHKCGKQFGKLENLEAHHLSKHAVTELMEGDSSRKIVEIICRSSWIKSDNTSGRIEKILKVHNMPKTLARFEEYRESVKTKASKLPKKHPRCLADGNELLRFYGATIACSLGINGASSSLCVSDKCCVCRIIRDGFSTKREIKGGIGVFTTSTSGRAFESIEVIEEGPDTRKALIVCRVIAGRVHRPLENIREMAGQAGFDSLAGKLGLYSNIEELYLLSPRALLPCFVVICKH >cds-PLY71058.1 pep primary_assembly:Lsat_Salinas_v7:3:162452667:162453017:1 gene:gene-LSAT_3X100921 transcript:rna-gnl|WGS:NBSK|LSAT_3X100921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIGKSRCRFEKFDTDPESYRSSSEDMETYSPKSVVSMVRKSPAAVKDVNVYVFSRRIRNQIERIRAEDSHLGEHIGECLIANVSSSGHHLVDVVIFSRASSPLSGKVAATRINVQ >cds-PLY89212.1 pep primary_assembly:Lsat_Salinas_v7:8:268843510:268844888:1 gene:gene-LSAT_8X155241 transcript:rna-gnl|WGS:NBSK|LSAT_8X155241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSNPFNRLLSAAAYRVVYPESFGQMEDNSSSVGSDTIDWNTDDELEIANNISSSSSVSMSTNGTVLSEFGESSSSSNYSSKLHHFIKMGFPQAMVAKVIAEIGDHDIDAILDTLLSYCYLDETPHQEQELNDSFVKPESNFESDFSDLDDSCSDQILCIGL >cds-PLY74341.1 pep primary_assembly:Lsat_Salinas_v7:6:835071:836016:-1 gene:gene-LSAT_6X900 transcript:rna-gnl|WGS:NBSK|LSAT_6X900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMYYRRALKLQAFFDMANEQVGFVHPSQFVAMSDISQANTSYMDMDFWSKDHKLGILTDSIHPLYTAAKHAFMPSQQQYKMLIGLHSKKVDNISATSSLLTGLQNELMKHSRALLLLSCDFGTAWNCRFLYVSLIHNIICSSFLYPRNIASLMFLYFTKRKLH >cds-PLY70527.1 pep primary_assembly:Lsat_Salinas_v7:1:76583156:76583903:1 gene:gene-LSAT_1X63820 transcript:rna-gnl|WGS:NBSK|LSAT_1X63820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEWNIGFLPRRICDSFSWTDFHSNGERFEKRVRYATRKARTDVRKCVKGRFVKAVKLEATKNLDMFLNDMKRSNGNDSDSDSNFKIHPNHLIPTSSRQFQEQ >cds-PLY85950.1 pep primary_assembly:Lsat_Salinas_v7:2:86378337:86379647:1 gene:gene-LSAT_2X38321 transcript:rna-gnl|WGS:NBSK|LSAT_2X38321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKIMMAK >cds-PLY88120.1 pep primary_assembly:Lsat_Salinas_v7:9:8134992:8136593:-1 gene:gene-LSAT_9X6821 transcript:rna-gnl|WGS:NBSK|LSAT_9X6821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFSVTKSSGSLVPPFAPTPSAVLDLSRIDRLPVLRCNARTLHVFQALDSPGAAKSTIREALSKALVPYYPLAGRLTQHNPHDLQIECSGEGVWFVEASATCSLESVGCFEDITSIPFDKLLPHHPPENQGFDPLVLMQVTEFEGDGFVMGLTFCHTICDGLGAAQFLNAVGEFARGAQHLKVAPVWQRDFLPQPRANPSTSLAPSNLVLPDYELEHANIDIPLDHINRLKQKFLGHCSSFEIVAAILWKNRTQAIGVGSENRMMKLVFFANCRQLVDPPLPQGFYGNCFFPVTISASNDTLAQSSTIEVVRMIQEAKARLPKEFADWVTNINGEQGKKEDPFAPPLGYTTLFISEWGRLGFNQVDYGKGPPLHVVPVQGSSIIPAAIVGSLPRPKKGIRLMTWCVKKHHIQPLLHAITTVIN >cds-PLY81732.1 pep primary_assembly:Lsat_Salinas_v7:3:33830756:33833678:1 gene:gene-LSAT_3X24681 transcript:rna-gnl|WGS:NBSK|LSAT_3X24681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPLTCSRNKRVGEFQSSSQSTSGYACCHPHISKKQKPSSCCSTSVAAKESSVLRLSRYPQPVTQIPRELHAPCIVVKGFTANSKRLDSSSSEYNRQQPDNFVDRMRNFFRATCERVKEAIFGSHTHVKSETEDEIDVDNIQDVNEEDAASRDSDVEEVAMVEGDREDIDKSGDLQDIIAKFRELDGEAEENLGVQPSTSSGVVSQLTDGKNLESLSLNHRPDDSDVDAGLTDWKRLESLSLNQRPNDSDVDASLPYHKKLLEDSAKKHDSNLRRLSYDIKLQEQKRALYKQLHPVKKEEDTRIEPFRPLTDDEEEMVADALSNSSRRKVLVTHENSNITITGEVLRCLKPCQWLNDEVINVYLELLKEREQKEPEKFLKCHFFNTFFYKKLISGKLGYDYKSVRRWTTQRKLGYSLLDCDKIFIPVHKEIHWCLAVINKKEEKFQYLDSLGGIDKQVMGVLAKYIVDEVKDKNGEDIDVSSWKQEYVKDLPIQKNGFDCGVFMIKYADFYSRDIGLCFKQENMPYFRLRTAKEILKLRAD >cds-PLY65147.1 pep primary_assembly:Lsat_Salinas_v7:9:158849789:158853598:-1 gene:gene-LSAT_9X100260 transcript:rna-gnl|WGS:NBSK|LSAT_9X100260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(6)GlcNAc(2)-PP-Dol alpha-1,2-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G16900) UniProtKB/Swiss-Prot;Acc:Q9FZ49] MAALPTRRRRPSEYDRLLPSTSADADGYTKVDKPAEKPGVEVDKGLSWTFPIFALGILRYLSATTNIVHDCDEVFNYWEPLHFLLYKSGFQTWEYSSQFALRSYLYILFHYMVGWPASQMYNWLDEEKVRVFYAVRIFLGLLSVISEAALVVALSRKYGKRLACYTLSLLCLTSGCFFASTSFLPSSFSMYAISLSSALFLFGMNAMAVAVAATGVILGWPFSVLAFLPVTVYSLLRKFKRAFISGAFMSITLLIISVVVDYHYYGKWTSSVMNLLVYNVLGGGESHLYGTEGALFYLKNGFNNFNFALVFAMMFVVLLPFTKKKFAPDMVIVVSPVYIWMAFMSLQPHKEERFLYPIYPLICVAAAAVIESIPDFFFDRYRTEQSFLHNMAKYLRPLLIGLILCVSHSRTFSIINGYAAPLEIYKHFQHHDDAGTGAIVCVGSEWHRFPSSFFIPDYVGEVRWIDDGFNGLLPFPFNSTLGGTTASPPYFNNKNKASHNQFLQDVEKCDFLVELQLQRPFPSRGSDLSKWEVVAALPYLDRELSPALHRSFFIPYVWEEKNVFGMYKLLKRHK >cds-PLY73174.1 pep primary_assembly:Lsat_Salinas_v7:1:178706758:178707045:-1 gene:gene-LSAT_1X117300 transcript:rna-gnl|WGS:NBSK|LSAT_1X117300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSEAPPVKTRMQGGENGGVSGVLKGVDEGKVMTTHIPTSLPNSTLSKTLSTMNSKPMTKGIIIGSSSRGSSSKPTSSKGEMEGKGKGINTTPTK >cds-PLY95563.1 pep primary_assembly:Lsat_Salinas_v7:6:173792708:173793129:1 gene:gene-LSAT_6X104960 transcript:rna-gnl|WGS:NBSK|LSAT_6X104960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGIPFRTSHDIVGRAVALCVYKKCELQELSLDELRTINTVFEKDVYEYLGVQNSINKFTSYGSTGSECVAAQLDFWITRLGIDQ >cds-PLY82269.1 pep primary_assembly:Lsat_Salinas_v7:1:66681395:66683213:-1 gene:gene-LSAT_1X58400 transcript:rna-gnl|WGS:NBSK|LSAT_1X58400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVTSILLIVAVTILSTTTRFVEPSSDNNNVFSPCTDATIQRSDGFTFGIVFASRTAFFFNSSVQLSPCDRRLSLSNSQLAVFRPKVDEISLLTVNSSTFPPDNVGGYMVAFAGRKYAARSIPAFVANSTYIVTSFTLAMEFQKGRLQNLFWKRDGCSSCSGKSNFVCLNNQDCAIRTNSCKNRGGTVDCSIGIQLAFSGTDKHESVFNSWYEVKNLRQYSLFGLYQNLKDSLTSQYNSFF >cds-PLY96903.1 pep primary_assembly:Lsat_Salinas_v7:4:285184374:285184565:1 gene:gene-LSAT_4X145141 transcript:rna-gnl|WGS:NBSK|LSAT_4X145141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDNGVLPSGFREGKFQSSRRESIGSRRRRGIEAMRSKILTSYEGWREIWVVDGDVRASRRER >cds-PLY66741.1 pep primary_assembly:Lsat_Salinas_v7:1:167230614:167234416:1 gene:gene-LSAT_1X112160 transcript:rna-gnl|WGS:NBSK|LSAT_1X112160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIDGGGGGATSAAVPNGHHAVTLNIEDQSHRISSCFPTVPFLQKLIAEVLGTYFVIFAGCAAVVVNTDKDKIVSLPGISIVWGLVVMVMVYSVGHISGAHFNPAVTIAFASCNRFPLKQVPAYVGAQVLGSTLASGTLRLIFNGRQDHFAGTLPGGSDLQSLVLEFIITFYLMFVISGVATDNRAIGELAGLAVGATVLLNVMFAGPISGASMNPARSLGPAIVSNEYRGIWVYMLGPTAGAISGAWVYNIIRFTDKPLREITKSASFLRYASSRNGSKR >cds-PLY66535.1 pep primary_assembly:Lsat_Salinas_v7:4:339284788:339285479:1 gene:gene-LSAT_4X167520 transcript:rna-gnl|WGS:NBSK|LSAT_4X167520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHPKEIVDYFNDKGVSVIFLLRRNMLRRLVSILANSYDKDAKILNGIHVSHVHSHEEASKLSKYKPTINTSSLTLDLREMEATAMKALEFFNGTRHMVLYYEDLITNPSKLKQIQDFLKIPRMELTSRQVKIHKGALSEHIMNWVDVNKTLYGTSYEKFLEADY >cds-PLY78708.1 pep primary_assembly:Lsat_Salinas_v7:9:48800330:48801667:1 gene:gene-LSAT_9X41300 transcript:rna-gnl|WGS:NBSK|LSAT_9X41300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNSGSFQSSSGGAGGGDNNEEYDSRTPSISSFLNPSNHFNPNLNHLLQPLSSSSHHHHNHRPPPSDTSFFDPSSTSSFSTPNTLQDLGSVWTGNIRSDRNLADFGTYPINPNPASTMDTNTKTSHGSDHLHQVTVKNPKKRTRASRRAPTTVLTTDTTNFRQMVQEFTGIPTAPFSSAPFSRRLDLFGRGSDGGGGXXXXVFVPSPAVGAESSTTAAIIFEFYGQYCRVWH >cds-PLY90000.1 pep primary_assembly:Lsat_Salinas_v7:3:84151244:84151540:-1 gene:gene-LSAT_3X64880 transcript:rna-gnl|WGS:NBSK|LSAT_3X64880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGFENQELNITGISISKYIYRGKAKNMYAVFSYNHGHDNGQNYNIDFTKIINIIASLIYVPLATSPILKLEHRYNNQVISLLKGLQVNGFQLAILDR >cds-PLY79824.1 pep primary_assembly:Lsat_Salinas_v7:8:17044258:17047566:1 gene:gene-LSAT_8X11880 transcript:rna-gnl|WGS:NBSK|LSAT_8X11880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLSDIEQVLEFLRKNGLSDSESALMDDILEKSHLASFDFQRFLFPLVPPTIPSVKIPAARLPPSPPRQMMEEVEGSSDDEFVSLGSSTTDLYSSEFTNPYGIRTTRGVSSHASSDTLSQFDTARDYHDFDLQNDLNWYTERDEDYSMPPLFDNSDPFSGPTEDKFVTTSEKENGQPFLDPIFDPFEQTNYLDKPWPLTSIGYVKDGVKVTDYYDLHGKYIEQDLNGATVYNSNKGISFKDFQETDTGCKSDVCSWCLKENKRTCDCYLLGVSNNTHEPQNQVGQKDVDFNVKSDSEGKKDHDFKKGDVDATNDDLLMHGNEDEYEVFDLRIIHRKNRTGFEENKDLPIVINSVIGYRYCVTEYLGSAAFSKVVQAHDLQTGIDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPGDERHILRLYDYFYFQEHLIIVCELLRANLYEYQKYNKECGGEPYFTLNRLQIITRQCLEALEYLHELGIIHCDLKPENILIKSYSRCEIKVIDLGSSCFQNDNLSLYVQSRSYRAPEVIIGNSYDQKIDIWSLGCILAELSSGDVLFPNDALVILLARVIGMLGPIDIEMLENGQETDKYFTKNFDLYQINEETNEMEYIIPQETSLEDHLQVSDELFLDFVRSLLEINPQKRPTASEALQHPWLH >cds-PLY93907.1 pep primary_assembly:Lsat_Salinas_v7:7:181896043:181896567:1 gene:gene-LSAT_7X107841 transcript:rna-gnl|WGS:NBSK|LSAT_7X107841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIIRQKHTLLLLLLCVILPFSRSKSEPESVTESSIHDLLKSKGLPVGLFPKEVESFTLYDTGLLEVFLRGPCLTKFDTMAFYESTVRANLTYRSLTGVEGLSQEELFLWLPVKDIIVDDPKSGLILFDIGVAHKQLSLSLFEDPPDCKSEGRSVDFL >cds-PLY96545.1 pep primary_assembly:Lsat_Salinas_v7:5:335818088:335824950:-1 gene:gene-LSAT_5X187560 transcript:rna-gnl|WGS:NBSK|LSAT_5X187560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVAFRNPNSRRLIQLSPQLRSGCRGSVVSASGFPAIESLSGNDNATASNPWWRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLADEGKAKAIAFDEIDKAPEEKKRGITIATAHVEYETTKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVELELRELLSFYKFPGDEIPIVRGSALAALQGNNDEIGKNAILKLMEAVDNYIPTPERQKEKAFLMPIEDVFSIAGRGTVATGRVEQGTIKVGEEVEIMGLMQGSKKTTVTGVEMFKKSLDHGEAGDNVGLLLRGISRTDIQRGQVIAKPGSVKTYTKFEAEIYVLTKDEGGRHTAFFSNYRPQFYLRTADVTGKVELPESVKMVMPGDNVTAAFELITPVVLEPGQRFALREGGRTVGAGVVSKTLEMLEKKQKVLLKKVGVKVEQRKVFTRAKNKRGINI >cds-PLY67938.1 pep primary_assembly:Lsat_Salinas_v7:5:298705163:298705558:1 gene:gene-LSAT_5X159881 transcript:rna-gnl|WGS:NBSK|LSAT_5X159881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPQMSLSHSDGAMKIVDADEELGFTFFDFSFIETGLSLEELTWKFYEKSVVVGPPLSVEVSNSPPMAMEANLVRVPVGDADRPFFPLLLDAAALTDSLPCKEVSPHPHSNHRSSPLMADQVHEKLKRSCQ >cds-PLY75670.1 pep primary_assembly:Lsat_Salinas_v7:1:100090593:100095995:-1 gene:gene-LSAT_1X81581 transcript:rna-gnl|WGS:NBSK|LSAT_1X81581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSAAAASLSASAAIPPFNRTIVQLGKLFHGSSLLKFHSNSLFFSSTPRSRQLRLVSSAIEGRNLGSNEVSQEEAMTPYSWPDKKRPRVCILGGGFGGLYTALRLDSLTWPDDKKPQVILVDQSERFVFKPMLYELLTGEVDAWEIAPRFSDLLVNTAVQFLKDRVKILSPCDHLGMNGPTTVSGSGGSVQLESGLVIEYDWLVLSLGAEPKLDVIPGAVEFAFPFSTLNDACKVNEKLTKLERENFGKKNPIRVVVVGLGYSGVELAATISERLEGKGVVQAIGVDSSILPNAPSGNKEAALKVLNTRKVELLLGYFVRRIGKSGDFGSSIENSEVEEKVNDSEKLIVEVQANEKGAKSQLLEADLVLWTVGNKPLLPELEPNGWPFELPVTGRGQAETDETLQVKGHPRIFAVGDSSALRDSKGKVLPATAQVAFQQADFAGWNIWAAINNRPLLPFRFQNLGEMMVLGRNDAAITPSFIEGITLEGPIGHTARKLAYLIRLPTDEHRVKVGISWLAKSAVDSIATVQSNITKVLSGS >cds-PLY70280.1 pep primary_assembly:Lsat_Salinas_v7:2:92277572:92278334:1 gene:gene-LSAT_2X39800 transcript:rna-gnl|WGS:NBSK|LSAT_2X39800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFDDSVADPVHLSDYGFVVEDSPVLVTEEEQVFNYPSPTPILVYGGGLSPDQPEISSFNENGTPFEGEYMALDGSILPPLSEMQPEEGFALKEWRRENALRLEEKEKIEKELLNQIIDEADD >cds-PLY69978.1 pep primary_assembly:Lsat_Salinas_v7:8:64185442:64185633:-1 gene:gene-LSAT_8X45120 transcript:rna-gnl|WGS:NBSK|LSAT_8X45120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGESEREVEVEEYEQGHAEDSKDDLEEHTKEEDDFDYIVDPEAILNDWEVDMREFNSCVDEVE >cds-PLY73815.1 pep primary_assembly:Lsat_Salinas_v7:7:69438711:69438986:-1 gene:gene-LSAT_7X49941 transcript:rna-gnl|WGS:NBSK|LSAT_7X49941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRFGNVMKAMPQIDKQQPRASEAMATGQVLHQTSKGAPKSPIRMMLGGFVVIGALAYFTLYSHKKPEATALDVAKVATGTANSGNTRPQK >cds-PLY72448.1 pep primary_assembly:Lsat_Salinas_v7:2:139775243:139776697:1 gene:gene-LSAT_2X65481 transcript:rna-gnl|WGS:NBSK|LSAT_2X65481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTNEITKDDIVLLTRYLVDSYRTNGFRLPADNFFKEAKIEQVGELKGSYVDYHEPCMKQGAGVGLWIFFCQEILKHKGVKERPRLMRHNEDTSQTTTNQQPVNADQDHVFTFPCHERPWLITHQENTSQSQTTTNQQVVNVDPDHGTISGAKQDVLRKLFRYVYNYFNKNMLLADCFVQKEETAEEEASVGSRKRKRSFEDVKV >cds-PLY74324.1 pep primary_assembly:Lsat_Salinas_v7:9:186785270:186785530:-1 gene:gene-LSAT_9X114641 transcript:rna-gnl|WGS:NBSK|LSAT_9X114641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRDQNVVSSFYSSSRHEGQEVFNIFLIGIVVICNALFVVVPTRRHGIGYPIVTGQREVRVPREKQVLPLSRIITLILITSIFKL >cds-PLY83161.1 pep primary_assembly:Lsat_Salinas_v7:3:95869935:95872823:1 gene:gene-LSAT_3X72080 transcript:rna-gnl|WGS:NBSK|LSAT_3X72080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint protein RAD17 [Source:Projected from Arabidopsis thaliana (AT5G66130) UniProtKB/Swiss-Prot;Acc:Q9MBA3] MGKRETIVLSSSEDDGDCNDNDFASKSILKYFKQPKSGAASVPRTNTKGPIPKKARISSSRSHPGKSSNPFDEMKEFCEDFGEGFTGFKVSAGHKRDNKELWVDKYKPRSLLDLSVHKKKVEEIKIWLEERIKTKNNNHYNHVLVITGLPGVGKSATVEAIASHLGVTVYEWNTPTPTIWQEHIHTTNAGLRYMSKLDEFENFVEKIRKYGLISSSLTKEPQKKPFLILIDDLPVTNGKISYGRLQRCLHLLVQYVCVPTIILITDYGRSDTADYTSRCWEELQTSLQNAGAFKVAFNPITANSITKMLSRICREEKLKTKAEQIDAIAKASGGDIRNAITSLQYFNLKSNSKGIFVNSNCLDDGYSLSCGRDETLSLFHALGKFLHNKRETEKATTSDSLKEEFTRLPMKMDVPEKVLGQAHGQARPIADFLHENVLDFVEEEGIDDAWVVASYLSDADSLLASLNGIRSRSYEAENLIQSTAASVAVRGVMFGNRHPLSSRWHAIRRPVMWQVEQSQWRNKKEMIGERCVGGYNGVSLSDLSVIATEWKPVSKWLGGSMVDNNDCDLDHFEEICDDDDEIEDW >cds-PLY62789.1 pep primary_assembly:Lsat_Salinas_v7:4:31489045:31492084:-1 gene:gene-LSAT_4X20521 transcript:rna-gnl|WGS:NBSK|LSAT_4X20521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYETLVVNSVLELDQKVLDSMRAKIAEELKKLNEKIADAEENLGESEVREAHLAKSLFYIWIGDKVFVRKVIKLHGKYLAYLNDCFMNHTLFHKVVKLFAYISDKDLFAEFYRKKLARRPCLYFVKGRIGHLSWKKFS >cds-PLY92539.1 pep primary_assembly:Lsat_Salinas_v7:5:78993436:78994227:-1 gene:gene-LSAT_5X36381 transcript:rna-gnl|WGS:NBSK|LSAT_5X36381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFARDFSVEWGSDKRYWDWPSIKETSDVFIDVVELLQVSRLSVESKFDTANLTAGMNYEVVFVMMLKEDSDGWSAPVTFRLDLPNGNKQEHEESLLKKPRSQWFEIQVGEFMVEPKNDGIIKFMLGETNSGGWKRGLVVKGVVIRPKK >cds-PLY63280.1 pep primary_assembly:Lsat_Salinas_v7:3:91260298:91264342:1 gene:gene-LSAT_3X68541 transcript:rna-gnl|WGS:NBSK|LSAT_3X68541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGIENNHLNEEKHQFDSNDSEILHNEENQSKTQVMDDLDMNDIGNDHYDEENHQFSSGDSEILHNDENQSKSQDESPVRKEAHIDKLYGTTTNPEVVDVENLPMAKNKNFDT >cds-PLY91330.1 pep primary_assembly:Lsat_Salinas_v7:4:247174891:247176368:1 gene:gene-LSAT_4X131280 transcript:rna-gnl|WGS:NBSK|LSAT_4X131280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSYEHAIDEEWVNLSSMFSCDQDSSHFVGHGLFSGEHDQGFDHECPSILWSSANESNSSNSSVLDDQILIYASDHDLKPTFYNYFSQESSNATDSLPYPCQDIFQFETPTNNVYHQSKELCMMEGDSSNYLLLTKVFSDDIMEEILCLTQDENLEKSKMDNSIGQAVPIKRKYEMLELRPNALEDGGDTEKIDNPKKKTRVARDNKNKKNLPSKNQKMMSATNSNEDETEERNLNNEKITNKNNGKGNIDQSFSSSCSEDDSIVSQDLNEGPINLTAKTRAGRGAATDPQSIYARKRRERINERLRILQNIVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDMWMYAPIAYNGMDMGLYQNISPTI >cds-PLY98746.1 pep primary_assembly:Lsat_Salinas_v7:1:8586932:8587267:-1 gene:gene-LSAT_1X8821 transcript:rna-gnl|WGS:NBSK|LSAT_1X8821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFACFSRFLPLVDTLARRPSTPRPLPPSPPSPAPYLAVADSNNIDISKERNERKGVSGLWPVAKGNEGRPKRSL >cds-PLY82966.1 pep primary_assembly:Lsat_Salinas_v7:1:18985092:18987179:1 gene:gene-LSAT_1X16480 transcript:rna-gnl|WGS:NBSK|LSAT_1X16480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDDQNTNLETARADRSVWLMKCPLVVAKSWQAIPPDSQQNLSKVVVSLDPLHPEDPSSLQFSMEMAGGEIANVPKSYSLNMFKDFVPMCIFSEANQGKVAMEGKVEHKFDMKPHNKNMEEYRKMCRERTNKSMVKNRQIQVIDNDRGMHMRPMPGMIGLISTNSKEKKKASAPVKGPEVKRTRRDRGELEDIMFKLFERQPNWALKQLVIETDQPAQFLKEILNDLCVYNKRGTNQGTYELKPEYKKSIEDADAE >cds-PLY70596.1 pep primary_assembly:Lsat_Salinas_v7:1:89142713:89144956:-1 gene:gene-LSAT_1X74661 transcript:rna-gnl|WGS:NBSK|LSAT_1X74661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNNPDASKVMLSDIQETVAGIEKAMGDVVNVETEKKGDDNNLQVIVTKTSPIKELTDAKSSSKGLNPDELEARFFPHHKLLRDRTTKNHETLKSEVALAISETKHELSESLSNSESKVTIRGNLEICEVQETDSTVNPKSRESFYSGKGNVESMLTADETFEESDDQENKGLMIYEDDVDDSFNNELNEIGHKTCTGGWFVSEGESVLLAHDDGSCTFYDVANSEEKSVYKAPAEVSPNLWRDCWILRAPGADGCSGRYVVAASAGNSPESGFCSWDFYTKEVKSLHLEDGITTTTTRTALAPLPNNVLNRRHEMTNLPPENRQWWYKPCGPLMISTASNQRGVRVFDIRDGEDVMKWELGSLVVGMENSSPLQWRNRGKVVVAEVGGVGLWDVASLTAEPLVSVNLNGKKILGLHVNNTDAELGGGVRQRVSSSEAEGNDGVFCTSDSINVLDFRNPSGIGIKIPKPTTTVHSIFSRGDSIYLGGSTATPPTARKPPSTAQIQHFSIRKQKLFTTYHLPESNSTAHHHHKAITQVWGDSSLTMAVSGLGLFVFDALKEDGMRPLMGGGGGDYGGGVKVRESVGPDNLYCPSFDYLGSRALVISRDRPAFWRYLA >cds-PLY92852.1 pep primary_assembly:Lsat_Salinas_v7:5:328578776:328579482:1 gene:gene-LSAT_5X182281 transcript:rna-gnl|WGS:NBSK|LSAT_5X182281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESNQEPTGSGERGRRENKYRGIRRRPWGRYAAEIRDPTRNGARSWLGTFDTAEEAARAYDRAAYALRGHQAILNFPNDGHYRNVESSTAGLPSSSGFASMAALPANSTLLSMSSSSSPSSNISSRDNNGRSEREPVIELEYLDDKLLEDLLGKHSPNTRI >cds-PLY74845.1 pep primary_assembly:Lsat_Salinas_v7:8:107736766:107739978:1 gene:gene-LSAT_8X73841 transcript:rna-gnl|WGS:NBSK|LSAT_8X73841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMESRFHENLDYISGFSLDDENTLPNFDQFTNHTTGYRFRDEPLDLSFLDVPPALNNDLATVNSSSQQDSPDVVTDFLNQFLMEENMENNNNTEGMFHDPVTLQAFENSFYEDLSEKHPPQPPPSVIVNFDLNPESPEEISGAYSTHSSTSGGDLFEIKSSLTQPQLPDSPPFKPTVNATTDSMINAHMVQNIFTDQESILQYKKGMEEASKFLPPIKPLIIDLDKYNLPSKDPPKVTVKVENIETHNFNSNSNSSSPDGFRARKHHHLEDNDYEDERSSKQKPSSAVCEEEEAQLSAMFDRILLCANVNSKADTFPDCHLTSLPPLPYNSWNASSWRSETNDSFDIRTLLINCAQSVASDDYRVATQQLNQIRRHASPFGNPAQRLAHVFAHGLEARLSGTGSQSRKSVKISAADKLKAFQAYVTTCPFKKNEVYFANRTIYEAASSSSTLHIVDFGIGYGFQWPILIKQLGDRRGGPPKLRITGIDRPEAGFRPAERVEETGRRLAGYCRRFNVPFEYNSIAVQNWETIKVEDFKVERNEFLAVNALTCFEKLLDESVVAENSPRDLVLKMIRELRPDVFLHSVVNGTYNAPFFVTRFKEALFHYSGLFDMFDATMDRGDARRRNYEREYCGNEVVNVIACEGRERVERPETYKQWQVRNMRAGFKAKRIDPDLVSQMKSKVKAGYHKDFVFHEDEIWMLQGWKGRILCGISCWVSA >cds-PLY97652.1 pep primary_assembly:Lsat_Salinas_v7:5:238537643:238539404:-1 gene:gene-LSAT_5X113161 transcript:rna-gnl|WGS:NBSK|LSAT_5X113161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METDSKSDSYLLRVYVGSEAGLKNLDEYLLSRSYITWYQASKDDLTVHTAFTKPPSPQYVNVSRWFTHIDALLRISGVSAKGSGVVVEGSASFPEEAIATPPVADTKASDEDEDSDVDLFGEETEEEKKGAEERAAAVKASGKKKEFGKSSVLLDVKPWDDETDMQKLEEAVRSVQMEGLLWGAFLGTEPVLKKKRFVHPYDLVSVDTIIEERLTTEPINEYVQSCDIVAFNKICKFIHAKKNYCYYFFWLLMMELDVL >cds-PLY64663.1 pep primary_assembly:Lsat_Salinas_v7:7:137546389:137551026:1 gene:gene-LSAT_7X82360 transcript:rna-gnl|WGS:NBSK|LSAT_7X82360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILNCLFSISQSCSVYLSDEKEDEEYAVEKQESLQIEYKNPSYVVEEDEWAGQGAEEEAHGDRFGGQYITEHDEKVDEEELSEDNFYDEHGMDQDSYKKERHDVVRERQKKKELEVFVGGLDRDTNEVDLRDVFSQVGDITEVRLLMNPMTNKNKGFAFIRFATVEQARRALNELKRPMINGKQCGVAPSQDSDTLFVGNICKTWSKDMLKEKLVRYGIDKFEELTLVEDVKNEGMNRGFAFLDFPSRADALEACRRLQKRDVIFGTDRTARVAFADTFIEPDDEIMAQVRTVFVDGLPPSWEEDIIKDHLKQFGKIEKVELARNMPAAKRDDFGFITFSTHECAVSCVDGINDSELVFRNKKVKVRARLSRPRQRGKSAKYARGGYTVGADGYGSYKGGSWEAAGGGGGGGGSNRMDSHRFTDRGRRSIRDRSPYEGGGGGGRRRSFDSRVDRVDRGYDVSVSDRTSNRRPYTSTDRSIKRRSPDYERSNFKTDYTRQNMGISSSNERQVYRDSYSSRGSGYMEDSSRTISRVSGRNSHLYNDGDDDDDVDDDGRRYMYVDHPSRFNGGGGTRNFSSTLKRPYSSIEEHHPRYRRQSRSDFEYGNSSDLVYNDRIYGNEPTRVARGSRSGYNGSNPSHSHGVYDKNTSNGGYRRVEMSNEDPEELYPRFGRDRESRDYIPSRSDMRGESFSPVYSNHRVADDYY >cds-PLY82464.1 pep primary_assembly:Lsat_Salinas_v7:2:183183172:183183754:-1 gene:gene-LSAT_2X105541 transcript:rna-gnl|WGS:NBSK|LSAT_2X105541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANVIWTADEDKIFESALVGNKDAENKRKLIAELLPGKSAEEVKVRRETLLMNAAITWTTEEDKLFESALKNVPEGTPGRLHEVAKAVPGRTLEEARVHSELLMMDVNEIDFRIMIRNRRERDLKRRGNHGHQKSTGVRKRRVEDHFEELGDHKDTGTG >cds-PLY93590.1 pep primary_assembly:Lsat_Salinas_v7:2:172946133:172948631:1 gene:gene-LSAT_2X96161 transcript:rna-gnl|WGS:NBSK|LSAT_2X96161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVGAVVGRWVASLILQNDRPRGKGAQHNFNRCLQVTCYFTLQPNCSMKCFNQAVISIHQLTTYMQISHSIEYLKTRRWAQQHEIGYMLMNIF >cds-PLY99267.1 pep primary_assembly:Lsat_Salinas_v7:2:63755472:63756756:1 gene:gene-LSAT_2X29361 transcript:rna-gnl|WGS:NBSK|LSAT_2X29361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTLSLFLFLAVVLYSGILSTTTTAQNCGCAPGLCCSRFGFCGSDEAYCGTGCQEGPCFGPPPTNDVSVANIVTYASFDGIVDQSDASCEGRAFHTRAAFLEAVGNYPQFARATMGEVRFNSHGTITTVQQGGALGSMRSIGFDGLNNPETVATDPIIAFRTALWFWMNNVQFVLVSDPLMEWNVMVGIWTP >cds-PLY87675.1 pep primary_assembly:Lsat_Salinas_v7:6:45503127:45508013:1 gene:gene-LSAT_6X34761 transcript:rna-gnl|WGS:NBSK|LSAT_6X34761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLFFSADGGDDDSMFGSSSTVRSIQSLSDLDDERLYLVDHMWWNETRESLFREIDGVLYATSSCFSDDFESEIILDMRRSESDSDGCKGEEQGVSGREYALVSEWMFYRALKWHYDMKSVGNFVAVEDNKADLFPLQISLSISGTTNSLVVKISQKGNGIGAFDKSCQIFNVKSGLVKIWDFSGQITKFFLQGRILSDKSELMNAEIFLELQVYGLSCDIMQTENDMSVEDPNTEDISSSSSLKLNGHSEKVNSYVRVDHPLITSNLSQTYSLGLTGLYNLGNTCFMNSAIQCLGHTSHLVDYFLGDFRKDLNFENPLGVNGKLALAFGDLLRQLWTPGATAVAPRAFKSRLAGFAPQFSGYNQHDSQEFLAFLLDGLHEDLNRVKIKPYNEIKDVDGISDKEVADEHWRNHLARNDSIIVDMCQGQYRSTLICPLCKKHSVTFDPFIYLSLPLPSTSMRTMTLTVLSTDGTTLPIPVTVNVPKYGKFKDLIQALSIACSLRDDETLLVAEIYNNCIIRFLELDTRNDSLELVRDRDCFVAYRLLKEEDSLPLVVFTHWRYEESYVEGLPVLRKFGIPFVARVPESTNGSDIHNKFLKLLHPLVMPEEFSLDYPENTPDQQDTTGMDVDEKVDDDDDDSKSEGFLFYLQQQGHYSEGPTIIMDEQPLLIPETRIISVRVSWPDEMLKRYDTSILSNLPETGGIALITKTPPESVSLYKCLEAFLKEEPLGPEDMWYCPKCKEHRQASKKLDLWRLPEIVIIHLKRFSYNRFLKDKLETFVDFPIDDFDVSSYTVEKDEDNQSCFRYKLYAVSNHYGGMGGGHYTAFAKCGEEWYEFNDSQVYPISEDQIKTSAAYVLFYKRV >cds-PLY68863.1 pep primary_assembly:Lsat_Salinas_v7:3:62646003:62647151:1 gene:gene-LSAT_3X49661 transcript:rna-gnl|WGS:NBSK|LSAT_3X49661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLCEELMVEILTRLPPKSLLRFRSVSKSLCSCIDTCINSPDFIRMHTCRSPQRILLTHRVKRTLKYKRYRNAAFCTLHAKEQLPLPLSLCTTPVVLPRRKATPHGSCNGILLLSDAVYGCGNGNGVISLWNPSIMRQLTLPDCPLRRCSGGMAIGLGFDPITDDNKVVSIPAYGNTRILKSSFVYAMKTGAWHPIDSPMPLYFKVLSRARYLNGVLHWVVERYSTDSNYIKLGYIMTFDLSSHVFGMLDLPKPSWKTQELATIQGSLAVISSVSNDSWIWVRGEANDSWSVVSKLKTEDGAELRKVLELTNNGDLLLDVLLKKFYVYTPKTGALSTLADFNDASSLVDMDAYVETLQLFHIGTACEKATPLFLQTSSFK >cds-PLY93850.1 pep primary_assembly:Lsat_Salinas_v7:6:144074389:144075609:1 gene:gene-LSAT_6X87241 transcript:rna-gnl|WGS:NBSK|LSAT_6X87241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRGRPRKYAPDASNTALALLKPVPPATSPDQNTPGTQKKRGRPPGSGRKQQLANCGEWMHNSAGSAFTPHIIHISIGEDVAEKILSFAQQRQRALCILSGNGSVSTVTLRQLTSSGGTVTYEGRFEILCLSGSYLLAETGSPRNRTGGXXXXXXXXK >cds-PLY74189.1 pep primary_assembly:Lsat_Salinas_v7:9:24761154:24763363:1 gene:gene-LSAT_9X22121 transcript:rna-gnl|WGS:NBSK|LSAT_9X22121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFHEKGFWMTKGNNHHMTDGDAIFGNSSRLESKRSHQWFADATEAELFPHKRQAIESPNSKSISVYPTSHLSWDNVSVSALQSSPNQFIDRLLGSPDGNLNKKIVHDQVQLENGSSVGLSMSYTIEDPDSLIYGGIRKVKVNQVKDTDNNDTSFITMGPNTNYGNEDGNVTLMGHSYTGFDPAPRSAIATSKDEENSISFGGFQDESEMPINGYYIKAMNNYGLSFDQSTVKTLQSPEIIKELDVANVLGVVANTPQSVIKSKSKLETKPAKKEAPNSFPSNVRSLMATGILDGVPVKYVSLSKEELCGIIKGSGYLCGCQSCNHSKALNAYEFERHAGCKTKHPNNHIYFESGKTIYQIVQELRSTPESLLFDAIQTVTGSPINQKAFRTWKESFQAATRELQRIYGKDELNI >cds-PLY90365.1 pep primary_assembly:Lsat_Salinas_v7:2:199349584:199352645:1 gene:gene-LSAT_2X120520 transcript:rna-gnl|WGS:NBSK|LSAT_2X120520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEVNRFDFLADDILSDILKRLPDAFLCYKAKYVCRRWFNICTNTILLDDASFILEKSSGIHTSRLVGVREEQQGLEVKEQKLDIPFVRIKSWYNEFLMIMDCSCSLYVFNLITNQRSYLPECHTICRPHFTDRCGVALCFDGFKGVYKVVHVFIGPPFQCHILILGSEIGSCVTSIWKRVEVGFGCDTEDWQIYWSDPVSVQIQGRFFHWGVHCSKYLVSVDMVKEEIYQMSLPGSDDQLCSLYSIFEMGGFLTLIDGVCRGKADIWVLKDFQRMKWEKLLSISLPDYYVEKYPVTCFISPVCGVISKRYIIFRKRRCGKYCSYDLKDGVVKELNIHIECGDRYVVRSSAPKFV >cds-PLY84079.1 pep primary_assembly:Lsat_Salinas_v7:6:190613108:190622095:-1 gene:gene-LSAT_6X116081 transcript:rna-gnl|WGS:NBSK|LSAT_6X116081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGHDDSGKKSNENEIPTFNVENMQSNMKVIYYSRTFMSIIGGVIAGILGFTGLMGFVIYLLVMAITSLCLTAKAGFSIHSYFDTWNRILLDGFLGGLLLVLHIEEEIKKDGERESILCVNKFDGGGGGCGGSDDWWQKRCQKGGDGGGGGGGSGGDSSDW >cds-PLY92071.1 pep primary_assembly:Lsat_Salinas_v7:5:324242155:324242938:-1 gene:gene-LSAT_5X180680 transcript:rna-gnl|WGS:NBSK|LSAT_5X180680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRVHQSLLLLVTFLIAFSTLIKGQKTSIGKFDLQKGQSESEGIKGRYVNNPFNSVYYPDSGVGFQDEGSSKDGSTRVIGEEPALFLLHFLPGLGFPFPFPFPGLGFPLPGLGAPLPGLGAPFPFPFPFPLGGYPPGTGFAGGPGKGFGGGGGFGFPGGGPGKGLGGRGGFGPGGFQGKQEAEGKDEFRRNPSP >cds-PLY73095.1 pep primary_assembly:Lsat_Salinas_v7:2:82099864:82101132:1 gene:gene-LSAT_2X35740 transcript:rna-gnl|WGS:NBSK|LSAT_2X35740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIDVSSHFLCPISMQLMNDPVTISTGITYDRQSIERWLFTCRSSSCPVTKQVLSDTDLTPNYNLRRLIQSWCTMNSHHGLDPITTPKEPEKESGSLSEECDEALIIFHHRQCTDIQLRKIVQLEDDSIFFDALLRIRRIGRIESRLHALMLLRKLFKVVDPAQITGIKDELFEETVRILKDNGVSLQTIKASLELVTEIIRWGRNRIKAAESGMVSVLIELLIDNSDRRVCELMLVALEQICWCAEGRAKFLEHAAGLATVSKKVLRVSHVASDRAVRILFLICRFSSSYRVLQEMLEVGVVSKLCLVIQVDCSETTKERVKQILNLNSRLWKEASCIPAHLLSSYPSL >cds-PLY70088.1 pep primary_assembly:Lsat_Salinas_v7:4:203938684:203940416:1 gene:gene-LSAT_4X115860 transcript:rna-gnl|WGS:NBSK|LSAT_4X115860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKGGKAVATKKKTEKVANPLFEKRPKQFGIGGALPPKKDLHRFVRWPQVVRIQRKRRILKQRLKVPPALNQFTKTLDKNLATTLFKMLLKYRPEDKAAKKERLLKRAQAESEGKTVEAKKPIVVKYGLNHITYLIEQNKAQLVIIAHDVDPIELVVWLPALCRKMEIPYCIVKGKSRLGTIVHQKTAAALCLTTVKNEDKMEFSRILEAIKANFNDKYEEYRKKWGGGIMGSKSQAKTKAKERVLAKEAAQRLT >cds-PLY76670.1 pep primary_assembly:Lsat_Salinas_v7:4:117202553:117204719:1 gene:gene-LSAT_4X74501 transcript:rna-gnl|WGS:NBSK|LSAT_4X74501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDADERLTALKKAYADIILNTAKEAAARIMVSERKALRFEYELKNAKEDALQMLLRLKQMMDSKANEAAVASCTQQKKIEELEAQLQEAEDIVKDLREELRAVEAELERFPRSKQVKHHVQVDNASIPEPPVTEVQPNSKSQRLYNSLFPLKKSLISNRDLPSIILRSKETELYRNGCTQRIRACERTPPEIDDTKPESIVKEDEVVDKLHITPSVEEKEVVKEMDLTAGNSCLTSQTSVKLLEENSDKDLVRTCNGESRITEKDGIMPQKSTEMEVEPPLKSSETKVPSQPLTDRVIKYTFQRKRKRGALINGSGSSERSEEGSEKANLQHQQLAVTQVGDLST >cds-PLY97067.1 pep primary_assembly:Lsat_Salinas_v7:4:72377880:72379581:-1 gene:gene-LSAT_4X48881 transcript:rna-gnl|WGS:NBSK|LSAT_4X48881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENKKILMQRYEIGKLLGQGTFAKVYHARKLKTKKSVAVKVIEKGKVMENNLIDQIKREISTMRLVRHPNVVQLYEVMASKTKIYFAMEYVKGGELFNKIAKGRLKEEAARKYFQQLIAAVDYCHSRGVYHRDLKPENLLLDESGNLKVTDFGLSALVDSKRNDGLLHTTCGTPAYVAPEVIHKKGYDGEKADIWSCGVILFVLLAGYLPFNDPNLMGMYRKISKGEFKCPSWFPPEVKKLLSRILDPNPNTRMTLDKIMENPWFQKGFKKIETHYIPKGFLDSEEVFKYIDSPKCSCTDLKGLEERSLTKSSSNLSSLKPTSMNAFDIITLNQEFNLSGLFENNVPSKKSEARFTTKESASAIVSKLEEVASVEQFQITTMADGTVRMQGCKEGRKGQLAIDAEIFEVTPSFHVVEVKKLAGDTIEYERFRDQGLKPKLKDIVWTWQGEQQTTETSTSDQS >cds-PLY91823.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:2162062:2163648:-1 gene:gene-LSAT_0X100 transcript:rna-gnl|WGS:NBSK|LSAT_0X100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRRATEIELDTMEGFASREKLHHHLNDQADLIQEIDSKLPVVQLALELTHKIFGSCPPMQSFDPAKMLTVKLHLHQYLQALGLLEVKEKSYNWEI >cds-PLY98333.1 pep primary_assembly:Lsat_Salinas_v7:7:173549485:173554401:1 gene:gene-LSAT_7X103281 transcript:rna-gnl|WGS:NBSK|LSAT_7X103281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIWSGDVFKRQLIESTSQHRELLVMDKFSCCFWKAAPPKNGVHELLDVENMRLYKYKDLQIATGDFKPENKIGQGGFGSVYKGLLKDGKIVAIKVLSAESRQGLREFLTEITIISDIQHENLVKLHGYCVEKDHRILVYGYLKNSSLDQTLLGGNHCSIKFTWEIRRKICIGVAKGLTYLHEEVQPHVIHRDIKASNILLDEDFTPKISDFGLAKLFPSHLTHVSTRIAGTQGYLAPEYAIRGQLTRKADIYSFGILLLEIVTGRPNQNKRLPVEEQYLLERVWELYREGELERLVDTSMEDNDEDYDKDEACRYLKIGLLCTQSLPKNRPSMSSVMMMLNDEMDLDEKDLSDPGLISELKNFKSNKTTNTSGNNTSSSNSGKQEDKDSSLYGHSTSSFATMSFTTINDR >cds-PLY64380.1 pep primary_assembly:Lsat_Salinas_v7:4:22835579:22836772:-1 gene:gene-LSAT_4X16101 transcript:rna-gnl|WGS:NBSK|LSAT_4X16101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVFILVTFLIDRYKTIVIPKDLYFPRFTRVTKTPYSSVFIFHRSSSYFPSIDRVIEFTDSTGLVDIWHSLSDEELMEKAMMVPRIAEDPKKVAFMFLARRHLPLRLFWEKFFEGHDGLFSIYLHTSPDFNYEPPNSSFVQWGKPTMIDAERRLLANALLDFSNQRFVLLSESCIPLFNFTTIYNYLMNANGSFLSSFDDPRKIGRGRYNKRMGPTITLKDWRKGSQWFEVNRGLAHEIISDKTYFHVFQTHCLPPCYMDEHYLPTLVNKIRPDLTTNTTVTWTDWSGGGSHPRMFMKKDITKTFINEVRYGSNCTYNGVNSKICFVFARKFHPSTLDPLLKMAPKIFGFSP >cds-PLY78327.1 pep primary_assembly:Lsat_Salinas_v7:2:181164648:181171828:-1 gene:gene-LSAT_2X103140 transcript:rna-gnl|WGS:NBSK|LSAT_2X103140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKGEDCAIPTENNSSSSKNNVPSRSSSSSEMREMVVLVDGSHRRQRHYIRTVVDKTATREGCPSFLIGLELLFVVGVKKVDDGCLVALSDRKYEKRRAVAARGVLEIAPAAKGLFSFLKDEEEIPQDNPKLKSHAVKVFKMTCEAAIQLREKGEVVVSGSTLKYLGSVHLQKGIVDAHFAVVKEGVLRTVEKGVGEKWSEEMKGAWSEAYDQLAAAILSEMSKEAAETTDEP >cds-PLY97624.1 pep primary_assembly:Lsat_Salinas_v7:5:234395067:234395336:1 gene:gene-LSAT_5X116840 transcript:rna-gnl|WGS:NBSK|LSAT_5X116840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHPSPAPPPPRFRSTNDHSNHRTSNILVLLLLQSPPCGASSTIRPPCCSDQPRPRRIMAVGEDAVVNRHNPVVNTWTANNGVATAAASQ >cds-PLY69388.1 pep primary_assembly:Lsat_Salinas_v7:8:214850122:214850862:1 gene:gene-LSAT_8X136380 transcript:rna-gnl|WGS:NBSK|LSAT_8X136380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METGNCFGSSLIPDRPERKVIEKNRRNQMKFLYSQLFSLLPENVNFKGCPQMSDRLNEAIRYIETLKENLEKTKNKKEKLYSNNRLLHESTGRDGASTSQSFDIQIHEISSDIDVVLIFGLKTYSCYCDIIRTIDQYSTAVGYASFSTSGPSTFHVHQKKVSSL >cds-PLY69652.1 pep primary_assembly:Lsat_Salinas_v7:5:47157241:47160648:-1 gene:gene-LSAT_5X22300 transcript:rna-gnl|WGS:NBSK|LSAT_5X22300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAATHEGGTMVVWDATGEWREKWPPNRRKKLLGTAVAMRRRKEIGGAKPTERSRSNSSGGENSRSSKKNVAPERKKMLEEGDLAVEAELCVFSSPFLP >cds-PLY92168.1 pep primary_assembly:Lsat_Salinas_v7:8:5051641:5052312:1 gene:gene-LSAT_8X4301 transcript:rna-gnl|WGS:NBSK|LSAT_8X4301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQNHGHATSAEMVVDHDQEEVLRRRNEELERELKRSMEREEKMKMELQKIWERLRVAEDAEERLCSQLGELEAEAMDQARDYRERIITLMEQLSAAQKLIQSASLHVPSSSMAL >cds-PLY94358.1 pep primary_assembly:Lsat_Salinas_v7:5:169961148:169961345:1 gene:gene-LSAT_5X74841 transcript:rna-gnl|WGS:NBSK|LSAT_5X74841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTLDDGDGKDIGGWCVDGSVMVEQGGNKSKLEKTEETSNIVQDKDESCCIIYSLGKSGKDKLSR >cds-PLY96817.1 pep primary_assembly:Lsat_Salinas_v7:2:171818583:171819338:1 gene:gene-LSAT_2X94280 transcript:rna-gnl|WGS:NBSK|LSAT_2X94280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESQIKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDVLTLLESEREARRLR >cds-PLY98925.1 pep primary_assembly:Lsat_Salinas_v7:7:49597609:49598994:-1 gene:gene-LSAT_7X34720 transcript:rna-gnl|WGS:NBSK|LSAT_7X34720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLAWLRSLETSHQDCVWACTWVPATDKSPGLLLTGSLDETVKLWNPEDLSLVRTNTGHCLGVVSVAAHPSGKIAASASMDSFVRVFDVESNNTIATLEAPPSEVWQMQFDPRGTTLAVAGGSSASVKLWDTAEWKLVATLSIPRPEGSNSKPSDKGNNKKFVLSVAWSPNGRQLACGSMDGSISVFDVQRAKFLHHLDGHTMPVRSLAYSPLDPRVLVSGSDDERIHMYDAEGKTLFSSMSGHSSWVLSVDVSPDGAAIASGSSDRTVKLWDPKMRAAVQTMTNHTDQVWGVKFEGGVRGCLLASVSDDKSISLYNYS >cds-PLY95590.1 pep primary_assembly:Lsat_Salinas_v7:6:138805115:138805777:-1 gene:gene-LSAT_6X83901 transcript:rna-gnl|WGS:NBSK|LSAT_6X83901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLLGLYSRNLTFIVMKDGLGLQKVWLFPEFYRIAVACCFILLKCGISPSKYVFTPLVKASSELRSLKGIKFFDDEITKLGFEDNLHLSTALLQSYSNKNCIEDALRVYNRIDKKDELCVSAILSCYSKRNDFKQVLCILNETNATGLEPGIENYMIALGASEADTKFVTGIHGVAIKCGYMINSNMVSKLMSAYIDCKRLCDAENLLGNVTDDNIKQIV >cds-PLY84507.1 pep primary_assembly:Lsat_Salinas_v7:1:32386240:32387595:-1 gene:gene-LSAT_1X27300 transcript:rna-gnl|WGS:NBSK|LSAT_1X27300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNDTAAYYSRKASNWILEDSCPDYMFKAGECLKREKDRVSHYLHSSSEPKLLEVVFGNRTVDVVVVVVIVVVPHGIGGAEGPDRIFVGGLPYYFTEVQIRELLESFGPLRGFDLVKDRDTGNSKGYGLCVYEDQAATDVACAALNGLKKGRYCQLFFLPINIKYLGVIKQIFFEGCLSWNLKSRLDNDELSPEQVNDVKDFIGDYVERN >cds-PLY94008.1 pep primary_assembly:Lsat_Salinas_v7:3:179553772:179554410:1 gene:gene-LSAT_0X14140 transcript:rna-gnl|WGS:NBSK|LSAT_0X14140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPISAPFYLRPSLPRSSAATILLTSATGSLQRSTSSNIKKSIEKSSSHKKMQKLQHGSRRGLSLIPDESMNTIQVLQWLPMKHRSQ >cds-PLY81597.1 pep primary_assembly:Lsat_Salinas_v7:4:217577197:217578696:-1 gene:gene-LSAT_4X122541 transcript:rna-gnl|WGS:NBSK|LSAT_4X122541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKHGPIFTIKLGVHQALVVSDAAIAKECFTANDKAFASRPKTEASQIMAYNNAVFALAPYGDYWRKVRKIVVLEVLSQRRVEMLGHIRASEVRASIKDLYDSWVKNKLSESSEMVKVDMSQWFGTLILNIMVRIITGKRFSPNDEEGVQFQAVVKKFFELLGAFVVADFIPYLNCLDVGGYKKVMKNTAKDLDNIFDRWLKEHKQENKSIQQHEGNQDFMHALISFLRGASKDEFLGFDHDTIIKATCLQLVVGGVDTTSVTITWALALLLNNPKALETAQDEIDEHVGRDRLVEESDLKNLVYLDAIIKETLRLYPAGPLSVPHESLDDCIVGGYNIPKGTRLLVNLWKMQRDPNIWSDHEEFKPERFLTSHKDIDVKGNHYELLPFGSGRRMCPGVLFAQQILSLTLASLIQQFVLKKPSNKPVDMTESMSMTNRKATPLNVLLGPRLPSNMLNVGW >cds-PLY97986.1 pep primary_assembly:Lsat_Salinas_v7:8:287004547:287013872:1 gene:gene-LSAT_8X162201 transcript:rna-gnl|WGS:NBSK|LSAT_8X162201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAKARRIRQHQENLRRAQSNVTPPQSTTSSVREESENQLMQPSNPEPLESSVVAVPATSSLCNLERFLETVTPSVPVQHLSKQRTMRGWRTSDVEYQPYFVLGDLWESFKEWSAYGAGVPLILNEANCVIQYYVPYLSGIQIYVDPLKSSINSRQLTEDIDDNSFTDSSSDGSSDYEQEKGSLHYLREKSNNHHPKNDILHRMDHLSMNDENNVVQEDFSSDDSDSATTQSCLIFEYMEHSQPLGREPLCDKILDLAQRFPELKSLRSCDLLPSSWLSVAWYPIYRIPMGPTLKDLDACFLTFHSLHTPTTGNECEHPPVVRHFSGTGVVSLPAFGLASYKFKAPLWVPNELKRLECPDGLFTILYVSRSPPRRSRSPSRSRSPEGGGNEKASTSSPYSHGRADSRSPLQRSDSNGLPGPMGLMSTSHLEQEAAVLALSTLMTIAPAEVYAEFEKEWSAYSAGVPLILNEANCVIQYYVPYLSGIQIYVDPLKSSINSRQLTEDIDDNSFMDSSSDGSSDYEQEKGSLHYLREKSNNHHPKNDILHRMDHLSMNDENNVVQEDFSSDDSDSATTQSCLIFEYMEHSQPLGREPLCDKILDLAQRFPELKSLRSCDLLPSSWLSVAWYPIYRIPMGPTLKDLDACFLTFHSLHTPTTGNECEHPPVVRHFSGTGVVSLPAFGLASYKFKAPLWVPNELKRLECPDGLFTILYVSRSPPRRSRSPSRSRSPEGGGNEKASTSSPYSHGRADSRSPLQRSDSNGLPGPMGLMSTSHLEQEAAVLALSTLMTIAPAQVYAEFEKCIRSYNMLKC >cds-PLY87484.1 pep primary_assembly:Lsat_Salinas_v7:2:135474642:135474983:-1 gene:gene-LSAT_2X64660 transcript:rna-gnl|WGS:NBSK|LSAT_2X64660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRLNSMMRASILVRGSNMVSVPKLLGGIRDVLGNKFVAPGHNEIVVVPSSSKASPSLFTSLLLVDHGSSSVLRGALRVLGDSSPSDKPSTVDEMRTASYPSTFEAYRRLGVE >cds-PLY63992.1 pep primary_assembly:Lsat_Salinas_v7:4:13064796:13066652:1 gene:gene-LSAT_4X8840 transcript:rna-gnl|WGS:NBSK|LSAT_4X8840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g02750 [Source:Projected from Arabidopsis thaliana (AT2G02750) UniProtKB/Swiss-Prot;Acc:Q1PFA6] MKRKLSYLVRTGFYIEALSLFSKLHFQSHPVDEFTFPFLLKACSKLKLLPHGQILHAHLTKTGFNSDIYTATSLTDMYFKFQFMGSALKVFDEITEPNTTLINVVVSGFSQNGYYKESLDIFRRVNSEYGLKPDSATIASLLSGCENDLKDGLQIHCWAFKIGVETDIYVATSLVTMYSNYKHPETASIVFKQIHNRNVTCYNAYLTGLLQNQNFQPVIETFKQMLQSSDENPNPVTFISVLSACSNLKNLKFGTQVHGLLIKLNLVLNVLTGTALLDMYSKCGYWHWAHDVFKNLEGVRNLITWNSMISGMMLNGEIETAIHLFSMLGSEGFKPDSATWNCMINGFSHLGKTHESFLFFKKMLETGESPSMKSITSLLSACASMFGFVSGKEIHGYVIRNGINHDEFVATALVDMYMKCSRPSWAFLVFNNLEIKPSDPVIWNAMISGYGRNGEIESAFEMFDWMLKENVKPNSSTFNCLLSCCSHSGKVEKSLEILRMMKCYDLVPGSEHYGSVIDVLGRSGRIDEARGVLLEIPEVSGSVLASLLGASKFYSDTKTGEEMARLLVELDPESTLPFVVLSSIYAEKGRWKDVEELRSEMDRRRLKKISGFSSVIVR >cds-PLY74328.1 pep primary_assembly:Lsat_Salinas_v7:9:186637383:186639301:-1 gene:gene-LSAT_9X114300 transcript:rna-gnl|WGS:NBSK|LSAT_9X114300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATRLMVSTTNIGTSSSLLFKAAASPFFKPRHFSLCFNNTTKLVSKKRSFTCSALYRPDFQIKEEGTPETLDYRVFFVDNSGKKISPWHDIPLHVGDGTFNFIVEIPKESSAKMEVATDEIFTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPSFANPDVDGAFGDNDPVDVVEIGQTRGNIGQLMKVKPLGCLAMIDEGELDWKIVAISLDDPKASLVNDIDDVEKHFPGTLTAIRDWFRDYKIPDGKPANNFGLGNKAANKAYALKVITETNESWANLVKRSTPAGELSLV >cds-PLY87912.1 pep primary_assembly:Lsat_Salinas_v7:8:264796159:264798982:-1 gene:gene-LSAT_8X153841 transcript:rna-gnl|WGS:NBSK|LSAT_8X153841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantothenate kinase 1 [Source:Projected from Arabidopsis thaliana (AT1G60440) UniProtKB/Swiss-Prot;Acc:O80765] MEGDRIEEATLANSPVDEISHLALDIGGSLIKMVYFSTEKDKPQKDGRFLNGGLYFLKFETSKINECLDFISSKQLRCRGIQHCGFPASDKNIIKATGGGAFKFADLFKEKLDISLDKVEEMSSLVSGANFLLKAVPSEAFTYVNGQRNYVKIDHNDLYPYLLVNIGSGVSMIKVDGDGKYERVSGTSVGGGTFWGLGKLLTKCKSFDDLLEMSHQGNNRVIDMLVGDIYGGMDYLKIGLSSTAIASSFGKAISENKELEEYKPEDVARSLLRMISNNIGQIAYLNALRFGLKKIFFGGFFIRGHAYTMDTISVAVDFWSKGEAKAMFLRHEGFLGAVGAFMNYKLVEEFPNSNSLVGDLTDNGIIECRVHVSS >cds-PLY67959.1 pep primary_assembly:Lsat_Salinas_v7:2:12875281:12878446:1 gene:gene-LSAT_2X5561 transcript:rna-gnl|WGS:NBSK|LSAT_2X5561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENQNTLSPSSPPLPPPPPPPPSEEPDSKKLKMSTTTATTSDDEDRTTNTANAEKKPRYKRRKIAILFAYCGVGYQGMQKNPGAKTIEGDLEEALFFSGAVPEQDRGCPKRFDFARSARTDKGVSAVGQVVSGKFYVDPPGFVERLNNNLPPQFRIFGYKRVTASFSAKKFCDRRRYVYLLPVFALDPTSHRDRESVLASVGSENELVKCLDCSERGRKVEIHTGISSNTSTTLPGSNGKQENLTSLDDGNDCEKPLNPDSVIVEGKSLEKSSSVSTDCNNNSSSDAVNGVDNGESVTAVKENVFSYGDKEKERFNKILKQYEGTHNFHNFTTRTKAADPSANRYIISFHANTTVTVDGIEFVKCEVVGQSFMLHQIRKMIGVAVAIMRNCAPESLMETALQQDVRITVPTAPEVGLYLDECFFSSYNQKWKDTHEEVSMKAYTEEAEEFKLKHIYSHIASTEHKEGAVAVWLHSLNYRNYPDLCSQENGSPTTQLEATTRCNPDILNHNQKANPSKKPDPMLALITSGRVRIWPLPLHWKTTISEVNLDHLATTIKNRLNRIFPYLLELGNRDNHYRGSEADIIADVNILVDTAMAVTYNRLRKILQRYDPTAFAARMNPRSPYSNRFKFPTFLSSVLSSIGPLRITDGPVNAHVVYATSSATCRNYGRAEQFNFSSRYDHFIECLEAMGVPCAPIDVKSTDCGSFFSTVIPTFEHGVWRFTAPFHHSHYEPKDIVSAWLTQNDDPALRAFEDLSVTIGLCTDPKVVDAVAGVDGDVGSTRLIGGTFPVNVSGIRPAGCGSDPAGVYIVGRGSERYYCTLLAQRICPDEMYSLLREYIFHPPKESTSF >cds-PLY78300.1 pep primary_assembly:Lsat_Salinas_v7:3:173292637:173293245:1 gene:gene-LSAT_3X104580 transcript:rna-gnl|WGS:NBSK|LSAT_3X104580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMSCSSERMQTRQRGTRINALPSHLIEKVVLTVGGNSSIDAFKCRLVCKLFRDSVTSDAIYKIIDTNRLWFRRPFSIRQYEVISRCRMLKNPHILFTDGMYFTLGEEIAGKQLLQNAADQGQLDAIFVLGMMLMAEGI >cds-PLY83345.1 pep primary_assembly:Lsat_Salinas_v7:1:63931956:63933584:-1 gene:gene-LSAT_1X53501 transcript:rna-gnl|WGS:NBSK|LSAT_1X53501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDELKTAMNGHMDQMQELVEKISSELRIGMQPAMDNFIGFFHAIDWKEPWLVGLMVFHFVTLIIILTSRKNINFQMSLFLLSLAGVYLAERLNTVLSDNWKSFAGQNYFDRNGVFLSVLWSGPLLVFSLIILVNTLFSLCQLMVKWKRAELRHRAKLSTTKQD >cds-PLY80091.1 pep primary_assembly:Lsat_Salinas_v7:5:147668101:147670554:-1 gene:gene-LSAT_5X65540 transcript:rna-gnl|WGS:NBSK|LSAT_5X65540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRFYITFTPCYTKNHHRLPEAPKRFLFSSDSRGKRPSLGVTRVSDGGESYLGMWRKAMDRERKEIEFKKIAENVAGDEDNGGGDEDLETKSSEFLKILEVPTEERDRIQRTQVIDRAAAAIAVASTLLRDEKMPIENESDGLGHLDNDDGREVSIFGDSEQGSSSIGTPGPNFWSWIPPSNENDGSTPASSRKTSTSPIQPNPVMELDPSSSHLILPFESKTKNPPLPPFQSLKEVEKQDLSTPQLIEAQEVDKLFSRNAETAAHALADVDPVTDGTNVEGSMWWKETGTEVRADGKVCRWTLTRGVSADKSVEWEEKYWEASDEFGYKELGSEKSGRDAFGNVWREFWKESLAEIEGCVHIEKTADKWGKNGNGGEWQEKWFEHYGGGQAEKWAHKWCSIDPTTQLDAGHAHVWHERWGEKYDGQGGSVKYTDKWAERSEGERWTKWGDKWDEHFDQNGHGVKQGETWWEGQYGERWNKTWGEGHNGSGWVHKYGKSSSGEHWDTHVQQDTWYERYPHYGFYHCFENSVPLRQVKKPSQRESESE >cds-PLY93535.1 pep primary_assembly:Lsat_Salinas_v7:4:278625867:278626130:1 gene:gene-LSAT_4X141861 transcript:rna-gnl|WGS:NBSK|LSAT_4X141861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCLTHTHAQMGQCASTTTTSKAVLCHVTMKTRKRTEVKTNRHHREEGGVIKRNNLGWFATVREKRSRCYIVRRCILMLILWHKYGKI >cds-PLY71306.1 pep primary_assembly:Lsat_Salinas_v7:8:103622724:103623618:-1 gene:gene-LSAT_8X71421 transcript:rna-gnl|WGS:NBSK|LSAT_8X71421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLVKKGNKISQIVRLKQVMQRWRRRCINTDSFTSDSESEIAATRQQRRIPSPGSLAVYVGAERHRFVIPMRFLNMPVFVSLLNKAEEEFGFQTTGGLVIPCDVIFFKRLLKVLESNESGVCALDLDDFKAMFADLAIDSSSYCKDVNNSNYLSFTPLLQKARV >cds-PLY84594.1 pep primary_assembly:Lsat_Salinas_v7:1:30814702:30815018:1 gene:gene-LSAT_1X26760 transcript:rna-gnl|WGS:NBSK|LSAT_1X26760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVILICFLLIVYVSGSGFSRFLSRPKDTLNPPSGPLAVGYHCKLAADCTKYCWCDKKQQLCLNKICYCSDKKC >cds-PLY91775.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:147532:148201:1 gene:gene-LSAT_0X1441 transcript:rna-gnl|WGS:NBSK|LSAT_0X1441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKWEASPGSTRPKERGKMLRGNEERMICCSCVCTGNVARCEGTPGGDRQQRRRMWLRWGFIDRRRREGCEIALEMEGPVASGNEERMMVMLLLCLHWARKHPL >cds-PLY67831.1 pep primary_assembly:Lsat_Salinas_v7:8:187274824:187275159:-1 gene:gene-LSAT_8X121980 transcript:rna-gnl|WGS:NBSK|LSAT_8X121980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVSQVDMMPQLSVRDPLGRTTTKGHPKLANRIKSSLEASKKQTCSYCQGLSYYATSCSKRKMNHCKRHNDEMCCLGQQKKK >cds-PLY68292.1 pep primary_assembly:Lsat_Salinas_v7:1:27551340:27554048:1 gene:gene-LSAT_1X23561 transcript:rna-gnl|WGS:NBSK|LSAT_1X23561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHDSPPPPQEELNTESKSEGEEEDQRLLLISKSPLPLSTDTNPNNNCTSDDEDFAYESQEKIIIDGLDSYSDSADCNSTPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATGMGLLIQLLSARIGVATGKHLAELCREEYPKWAGLLLWFMAEVALIGADIQEVIGSAIAIQILSNGVLPIWVGVIITASDCFMFLLLENYGVRNLEAVFAVLISTMGLSFAWMFADAQPSGKELLIGLLVPKLSSRTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDPKKKGRVQEAINYYTIESSAALLVSFMINLFVTTVFAKGFYGTKQADSIGLVNAGQYLEKKYGGGFMPVLYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWLRALITRSCAIVPTMIVALVFNKSEASLDVLNEWLNVLQSIQIPFALIPLLTLVSKEEIMGVFKIGPTLERIAWSVAALVMVINGYLLLDFFVAEVNGFLFGFLAMTCTGAYLVFILYLINHGDCLPSILFSHLLNKGYAYIGK >cds-PLY95896.1 pep primary_assembly:Lsat_Salinas_v7:3:185592754:185595850:1 gene:gene-LSAT_3X111280 transcript:rna-gnl|WGS:NBSK|LSAT_3X111280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKREQGLVILSILALVALEMMVGGSQAAVGMPPESAPLIRHFYKVHNTCANVEPFVRHQVQLIWANDKTVAPKLIKLLYADCMVNGCDASILLDGPNTEKASPKARGLAAFVLIDKIKQVLEARCPGAVSCADILNIAARDAVYLSGGPSYPVFLGRRDGLESNAAWVDFPSPSMSWESSLAYFESKGLDLQDMVTLLGAHMMGRVRCSNILDRLYNFNNTGKPDPSMEASTLTYLRAECPQKVRLGQPNPLINLNPNNPSHSFTNSYYSRVLENKSVLGVDQQLIYGEDTHDLSDEYAAGLLDFKLGFAFSMSRMGGLKVLTGTKGQIRLNCRMVNK >cds-PLY99897.1 pep primary_assembly:Lsat_Salinas_v7:7:191935433:191946261:1 gene:gene-LSAT_7X113640 transcript:rna-gnl|WGS:NBSK|LSAT_7X113640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRKIHASCVKKWFPKFKRYLKEDSSIYVKKPNVAPNTLNFKFANPERKLNFYHDTTVKECENFSGSAHGFDFVDFNTIVSNNILESKSFDIIGHIFEYGRMDTSEEDKSKHKMLLHLQDIELVSENGQQLSSSGIKMIASKQNTEHDDFLKNHMFSNIDDLFEPLEEKTVIIVGTVKGISQNIRWFYLACSNCYKSAKEKESSTDKDSFSHIGENLTPCARDNSTATSPTKLFSTPTELKRNLATCIDLDEMENLSTSKTPRLSPPDEQPIPLLVPKKEK >cds-PLY90307.1 pep primary_assembly:Lsat_Salinas_v7:2:197666893:197667556:-1 gene:gene-LSAT_2X118460 transcript:rna-gnl|WGS:NBSK|LSAT_2X118460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAVAPPHFTYLDEKWKLSKKESISRSRSSTSSLIRNPSSSSPDTHRRCAFTRKCARLVKEQRARFYIMRRCVTMLICWRDYGDS >cds-PLY77594.1 pep primary_assembly:Lsat_Salinas_v7:2:164070364:164072011:-1 gene:gene-LSAT_2X86961 transcript:rna-gnl|WGS:NBSK|LSAT_2X86961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKDFLSSLASAFLLFIAYTAAATDGGDEGTALGASYIFGDSLVDAGNNNFLPTLSKANIKPNGIDFKASGGKPTGRYTNGRTIGDIIGEELGQKHYAVPFLDPNSTGQALLYGVNYASGGGGIMNATGRIFVNRLSMDIQIDYFNITRKQIDKLIGASKAKEHIMKKSIFSITIGSNDFLNNYLLPVLSIGARVTQTPDSFVDDLLSHLKAQLTRLYQLDARKFILGNVGPIGCIPYQRTINQLKEDECVALPNQLALQYNSKLKDLIAQLNDNLPGATFVHANVYDLVMELITNYAKYGFKTASKACCGNGGQYAGIIPCGPTSTLCTDRDKHVFWDPYHPSEAANVLIAKQLIAGDPKYVSPMNLKELRDL >cds-PLY62771.1 pep primary_assembly:Lsat_Salinas_v7:4:199136536:199139799:-1 gene:gene-LSAT_4X112840 transcript:rna-gnl|WGS:NBSK|LSAT_4X112840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIAEGTPALHGFRMPAEWEPHSQTWLGWPERPDNWRDNGVYGQSIFAKVASAISKFEPVTVCASASQWANARDQLPHNVRVAEMSMNDSWFRDSGPTFVVSEGKSSSGHNVAGIDWNFNSWGGVDDGCYIDWSHDLLVARKILSMERIPRFPQSIILEGGSIHVDGEGTCLTTEECLLNKNRNPHLTKEQIEDELKAYLGVKKIIWLPRGLFGDDDTNGHIDNMCCFVKPGVVLLSWTDEESDPHYERAVEAFTVLSNSTDANGRKFEIIKLHIPGPLYMTDEEAAGFIQVGEAKPRLPGTRLAASYVNFYIVNGGIIAPQFGDPKWDDEAVRVLSKAFPDRQVVKIDGAREIVLGGGNIHCITQQQPAGPQA >cds-PLY70388.1 pep primary_assembly:Lsat_Salinas_v7:4:99262352:99266981:-1 gene:gene-LSAT_4X64281 transcript:rna-gnl|WGS:NBSK|LSAT_4X64281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAALLGGVAGGQRVHKSRSDAITYGSPYQKAAALVDLAEDGIILPVGIFDLPNIDTSAKLYFTFTRFDILWTLNHFALIALNFFEGVTLVILLVHTLFPILYEGGRLYWRSHVNKLKVILLLILAADLTVDILYISPVAIYSLPLWISPYIRVVFFILNFRDLRNSLTLMAGMFTTYLNVLINESEFNDLCDAIASKFQKEDTLDIQNNSGQKFWQKVEFVFGWIYVVEMGLKVYTYGFKNYWKDGENRFDFISTWVIVIGETVTFASPKELIFISNGNWVRYLLIARMLRLIRLLMHVERYRAFVTTFLTLIPSLMPYLGTLFSVMCIYCTIGIQIFGGIVNAGNPDLPSTDLANNEYPTSCIYIVAFVLEAFFAESELEEEDHDKVRVSVSEVMILVHVYFE >cds-PLY62715.1 pep primary_assembly:Lsat_Salinas_v7:6:55918679:55919373:1 gene:gene-LSAT_6X41341 transcript:rna-gnl|WGS:NBSK|LSAT_6X41341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEASEAYLVGLFEDTNLCAIHAKRVTIMPKDMQLARRIRGERA >cds-PLY74404.1 pep primary_assembly:Lsat_Salinas_v7:2:14244456:14246295:-1 gene:gene-LSAT_2X6800 transcript:rna-gnl|WGS:NBSK|LSAT_2X6800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVKPNLRRLSGLASINRYCSSTSAVASASHSDTDTDTNIVVTTTTKTIQKLSHSETLISEKLHSIIKNHHRQNPNPSPIPTDFPPNFTIPSLSSDFSNLSRQQPISPAVIRSVIEKCAAVRHGIPFTPTLAFFNWATSTTTGSTDTYNEMIDLAGKVRHFDVAWQVIEMMKQRNVEISIDTFSILIRRYVRAGLAAEAVHAFNRMEDYNCKPDRIAFSVVISILCKKRRAEEAQSFFDSLKHKFEPDVVVYTSLVHGWCRAGKISDAERVFNEMKTSGINPNVYTYTIVIDALCRTGQITRAHDVFAEMLDQEIKPNAVTYNNLMRVHVKSGRTEKVLQVYNQMKRLSCTPDLITYNFLIETHCKDENRDEAIKILNLMIKNGCEPNASSFNPVFREIMKARDVNGAHRLFGRMKGLKCRPNTVTYNLLMRMFADSKSADMVLKMKEEMDENGVELNVNSYRILIGLYCVMGHWNNAYKFFREMIEEKCLKPSNGDYEMVLGQLRKAGQIKKHEELVEKMVDRGFVVRPL >cds-PLY81761.1 pep primary_assembly:Lsat_Salinas_v7:3:33903028:33921847:1 gene:gene-LSAT_3X26280 transcript:rna-gnl|WGS:NBSK|LSAT_3X26280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSTCVLSSIKCSTNNHPISFNVGNLKTALSSIVKLSVQKLENSTLKIEAGKAIKAASTAMLNTLVDSIFEFVDQPMLPYEKNFAPIGEIGELVKVDYQAGKLPEDFPEGIYIRNGSNPLFGGLKFALSVFGKTDSIWVEGEGMLHALHFTKDAEGNWIFYYKNKYVETETYKMESQRKKPAFLPVTEGDAPAALAGSLFNVLRFGHVNKIMSNTNVFEHGGKHYSISEDYLPQEIDLISLETYNSWNPGGSWSRPFTSHPKKAPGTGELVIVGFKPSKPYCFVGVISVDGKELVHILNLQLDHCSLFHEVGVTKRYNILVDSMFTLSPKRVMKGGQLFKYERGRDARIAVIPRYGDVDSIKWFHIEPCVTYHLMNCFEDGDEVVVRGCKANASILPGPDWGEDKFEWFSRGFNFKYVDKNDGHDHNTETEHGMLFTNVREWRLNMKTMEVKERNVTGTKYSMDFPMINADFTGLNHKYGYTQVIDSVASSNSGRTKYGGLAKLCFGEMDGEGNVKMEYHWLPKNNFCTGSTFVAKPKAIEEDDGWIVTFAHDEENDISYVIVVDAKNLGHEPIAKINLPQRVPYGHHGFFFSST >cds-PLY61910.1 pep primary_assembly:Lsat_Salinas_v7:4:220200453:220200809:1 gene:gene-LSAT_4X119681 transcript:rna-gnl|WGS:NBSK|LSAT_4X119681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVDKEQPEDIPDYNTKYELYDGNQEYDSHTENGENEDIHYNSQSDSEEEIHKTVPTKSDRTRGMTRLPKLKTEHVNSGGKKCVRFDELGKFTGKNNAVLVSYLGDLVREKVGLNALC >cds-PLY88552.1 pep primary_assembly:Lsat_Salinas_v7:7:8052172:8065184:-1 gene:gene-LSAT_7X7561 transcript:rna-gnl|WGS:NBSK|LSAT_7X7561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSTLDYINQMFPTEASLVGVEPLMQKVHNEIRVVDTEILAAVRQQSNSGTKAKEDLAAATRAVQELMYKVREIKTKAEQSETMVQEICRDIKKLDFAKKHITTTITALHRLNMLVSAIEQLQVMASKRQYKEASAQLEAVSQLCSHFDGYRDNPKITELRDKFKNIKQILKSHVYSDFSSLGTGKETEESSLLQHLTDACLVVDALEPSVREELVKNFCDRELISYQQIFEGAELAKLDKTERRYAWVKRRLRTNEEIWKIFPTSWHVDYLLCIQFCKLTRSQLEDILENLKEKPDVGTLLMALQRTIEFEEELAEKFGGSGSTRNVTNDFEETDKDKAESNSNNNNNNQIVQDIRKKYEKKLAAHQDDDKDLAVPGAGFNFRGIISSCFEPHLMVYVDFEEKTLMDNLEKLVQEETWDMDDGGQTNILSSSMQVFLIIRRSLKRCSALTKNQTLLNLFKVFQRVLKAYATKLFMKLPKGGTGIVAAATGMDGHIKTSDKDERMICYIVNTAEYCHKTDEYSAVITKALVTLVNGLETKFDIEMVAMTTRVPWATLESVGDQSEYVNNIKMILSGSIPVLGTLLSPVYFQFFLDKLASSLGPRFYLNIFKCKQISETGAQQMLLDTQAVKTILLDIPSLGKQQQASGAAASYSKFVSREMSKAEALLKVILSPVDSVADTYSALLPEGTHSEFQRILDLKGLKKADQQTILEDFSKRGSGIPIATSAVQVVPTTGAGAAGPPPPVAVAVVSQQGGGSSYGAAMMSSASREDVLTRAAALGRGAATTGFKRFLALTEAAKDRTDGPFRKLFNA >cds-PLY76484.1 pep primary_assembly:Lsat_Salinas_v7:1:109865003:109865593:1 gene:gene-LSAT_1X87381 transcript:rna-gnl|WGS:NBSK|LSAT_1X87381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPVFAAIPVFDPLFLSLPPQSFCRLTLLQEHPSPPPFMFIVMIRFSERRFSLLLGDTGN >cds-PLY83538.1 pep primary_assembly:Lsat_Salinas_v7:1:65432254:65435990:-1 gene:gene-LSAT_1X55260 transcript:rna-gnl|WGS:NBSK|LSAT_1X55260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTITCTLFLLFTLHGFFWLSFCSDHVPLPLKAINVGQPAVDVTPTQIHVSSQGSKDVAFCERVTIHGISRIKLHSYAKAYRVMVVPSVVIPDKWHNKIQICFHQNASLGLCQCEKDDWRFIKKGSWSSIMSPFEQKFVDVKFVGGVSGSVTVTLDEVSQGWRYILLAVGFALLFLAPIVSTWVPFYYTSSMAIGVFAVVLIILYQGMKLLPTGRKSAFYLSIYSTLLGAGSFLVHYVSEFVNSILANFGLSQELQNPVSVFMLLAIILLGAGLGYWLVRTYVISEDGDVDIGIAQFIKWAMRVIAVTCIYLSSIDTPLAMVAVGSSISLYYMITSIKWHNHQGPLKSKKSKLWERSGQRTPKIGRAEFLRRPKKISPWNAPMNSFASPVKGMVNNTSGGRGSTESQHDFYSTFHKTPNRKKFSKKEWEEFTEESTRESVADLASSPEFTDWVIKNANRIKLLPDNGSDNEVSGSDSTDGYLLKNADKHNFFSWKRRQ >cds-PLY83517.1 pep primary_assembly:Lsat_Salinas_v7:8:188459349:188460931:-1 gene:gene-LSAT_8X122120 transcript:rna-gnl|WGS:NBSK|LSAT_8X122120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAEINKEEIDSKEEEAAVKEIWKYVLGFTPMAVVKCAIELRIPDIIQKHETPMTLADLASELGCSSSFLYRIMRFLIQYKIFQEKPISDTSTGYALTPLSRLLTRHGKHSMADLVLLESTPVMLAPWHKLSSMVLGSENTPFGETHGDDLWKFTAANPSHSKLFDDGMACDARNAVRAVIDGCPEVFKGLKTVVDVGGGDGTALGLIVEGCPWIKGINFDLPHVVSVAPAWKGVEHVGGSMFDHIPKADAAYMMKVLHDWGDDECIDVLRKCREAIPQDTGKVILLEAIVGLEENHEYNDVVLMIDMVMMAHTSTGKERTLREWSYVFNEAGFTRYTIKQIRSYHSVIEVYP >cds-PLY90378.1 pep primary_assembly:Lsat_Salinas_v7:7:187057241:187060169:1 gene:gene-LSAT_7X111701 transcript:rna-gnl|WGS:NBSK|LSAT_7X111701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSENGSTVSTASGPDFNLPNEILSVIPTDPYDQLDLARKITSMALASRVSKLESEAGRLRNKLNEKDRVIMELEDKVSELQQTCHNVQLRLQITLEDNSKLAEERDSLAMATKKLGRDLAKLETFKRQLMQSLNDNESTKTSVDIGTYDQSAPRAYPSKEETNGYRKHHSFSGSIDGGNIPDDASKLTGQRFSVTPYMSSRVTPTETPKTISTSVSPRRYSASGATSPTKIQYEGRGGTMSSWSRLSYEQFSAFLANIKELNAQKQSREETLRKAEEIFGIDNKDLYLSFQGLLNRNKQ >cds-PLY92948.1 pep primary_assembly:Lsat_Salinas_v7:3:112827573:112828106:-1 gene:gene-LSAT_3X82701 transcript:rna-gnl|WGS:NBSK|LSAT_3X82701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKITRSLSRSLSRSVSSSVDTNRVWRRTTHREFDGGRSIRTVRLGEDNHGSRFSKIKKMFNFINGSKKNGKDASKARRSSKIASSNDEFQNRLLVEIYKNMSSVHELRGQV >cds-PLY78465.1 pep primary_assembly:Lsat_Salinas_v7:4:262193963:262198228:1 gene:gene-LSAT_4X136201 transcript:rna-gnl|WGS:NBSK|LSAT_4X136201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNNNCDEELEMSKGRKKCLGILVVLEERNGISDRRAPSQSHESLFDLKHRNNQTPIGSNKLNMGVAQKSNGMEEATLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGSTRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSMDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKTQNLLEGGGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDAFYSREASN >cds-PLY73932.1 pep primary_assembly:Lsat_Salinas_v7:3:38877785:38880481:-1 gene:gene-LSAT_3X30180 transcript:rna-gnl|WGS:NBSK|LSAT_3X30180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDNDAVLSDVEEEDNPPPTVFDGTSSSTENVSVERFREVVAELDRERKAREAAENSKSELQTSFNRLKVLAHEAIKKRDEVTRQRDEVSRSNEKLSAQLAEAVKEKDDLLKQKEDFAKQLEESVKAKDSSRSEIETAAQMLVTGIEKVSGKVSNYKNFAAGGLPRSQKYSGLPAIAYGVIKRSNEIVEELHRQIESTTKSRNEAREQIDQRNYEIAIEVSQLESTIDGLREDVSKRDSVVQDLEQSIAEKDEKISKLETELLTMQGLVDDYGVKLKNMELRMDSQKPLLVNQLDYVSRIHGQICSVIKILDDDKKNQFDLSESLFLPQETDIEENIRACLAGLESVSDLSSIVHEKTKDLVAERNLQVKSLNESVTHLVREKEHIGSLLRSALSRRMSADLSSKTNELFRVTENGLREAGIDYTFSNHTDSDLDKTDDEIYTLAGALENIIKQSQLEIIELQHSVDELREETRVLKENAEAQAKELMQRKEEVEELKEKDRVANENVEGLMMDIAAAEEEITRWKVAAQQEAEAGKAIEQEYVAQLFKVRQELEEMKQVVMESEKKMKFKEETAGAAMAARDAAEKSLRLADLRAARLRERVEELTRQLEELDTRVIVGSSGQTGARYACWPWQWLGLNFVGSHSHTNTPDTHTHSSNEMELSEPLI >cds-PLY77084.1 pep primary_assembly:Lsat_Salinas_v7:MU042616.1:256862:259864:1 gene:gene-LSAT_4X76520 transcript:rna-gnl|WGS:NBSK|LSAT_4X76520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRDYFMTPQDAKEFGFIDEVVDERPLTLVTGGIRSEGKGKVSEYNMNRSLAVNCKIYIQTAPDITYLMFNYLEYAGVLDVFSCNFQRLFHFRFLRFSMVASIDYYKTETNLNKRKESEKERCLLMETLRSKMCFTTIDFMIFSLPKVLQFCYWKNLTMLRVLDVEDR >cds-PLY88973.1 pep primary_assembly:Lsat_Salinas_v7:8:128896900:128900341:-1 gene:gene-LSAT_8X89520 transcript:rna-gnl|WGS:NBSK|LSAT_8X89520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSIFVLLVFVLHVASESTGEVVEQIDGEEFTEELLFRPLPDRKVLSHFHFESRVPPTNSYGHHHRLFPKAIYQLVHKYRIREMELSFTQGRWNYESWGGFDPVSSSNAKPPGVELWAVFDVSPDQVDASWKNLTHTLSGLFCASINFLESSTTYSAPDWSFQPLSSNLRYGSLPREAVCTENLTPWLKLLPCRDKSGLSSLMDRPSIYRGFYHSQRLHLTSGEFDPMASDHGIALEQTLTVVLQPDALETRGRKLQPNWSMSSLFGKTVDGKCALAKSSNVYVQLEGSLVHNMKGKGDEGLGSISNFEFSVSPDRIMKEVNSFHREHSSILYGFSIKDHHNSKPFDLGFKWNLPVTWSCVKAPLRVSRFLMGSGNERGAIALSLQSTEWSQSLPKVDHNEEKCRLKVDVFQVVPWYIKVYYHTAKVYVDGVPQSFGDAIEKLSVSPSEDKVSPGVMEMSLKLPCDLKSASLTLEFDKGFLHIDEYPPDANQGFDIPSAIISYPDFQTCMQYKQDNASIKSPMEKRKILSYTEVLLVPLTTPDFSMPYNVITITCTVFALYFGSLMNALRRRVGEEERLLKEKDKKSGKLPLLFSKLSAKLRGKPWDPPSSSSSSSSKFISSKVVLKIVLIAAIAFGWQYYSG >cds-PLY95332.1 pep primary_assembly:Lsat_Salinas_v7:1:42321401:42322768:1 gene:gene-LSAT_1X37140 transcript:rna-gnl|WGS:NBSK|LSAT_1X37140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEQTPNTAIVPILVNNDEEDDGETGERESLIHRKEYRVLVASACLKLVMSSGENSSGANQDFQTICVDYGRRQGCEMINIENGLGLAVPDIPLYDPTCFQPIQEAFSSLFYGDYLSELDFPFSSVKQLHGLFSDHGGHGASTSGRADNEK >cds-PLY69843.1 pep primary_assembly:Lsat_Salinas_v7:6:3529470:3530498:1 gene:gene-LSAT_6X1640 transcript:rna-gnl|WGS:NBSK|LSAT_6X1640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SAMBA [Source:Projected from Arabidopsis thaliana (AT1G32310) UniProtKB/Swiss-Prot;Acc:Q9C613] MSNSSLTSSPARSSISTTAIIGANVMSSSLAADEVHFSTDLITIQDRKDEALRALKSDLMASLNKEVRMLDEDSWMFEGPRSRIHLISRPGGLVTKHAETMKHKLAAQKRHQNNVSSFK >cds-PLY64492.1 pep primary_assembly:Lsat_Salinas_v7:3:15668638:15669970:1 gene:gene-LSAT_3X11280 transcript:rna-gnl|WGS:NBSK|LSAT_3X11280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At4g10920 [Source:Projected from Arabidopsis thaliana (AT4G10920) UniProtKB/TrEMBL;Acc:Q0WP62] MDPEMAKKIEETVLEVLKDSDMDSTTEFQVRKAASEKLGVDLSVSERKKLVRNVVQTYLEEQQAKAEAGDKAVEADEPEEVEEEEEDSEDEKKKRKKGDKEYDEEGDLIFCRLSDKRRVTLTEFKGKHLVSIREYYKKDGKELPSSKGISLTAEQWSTFSKNVPAIEKAINKMEARLN >cds-PLY71819.1 pep primary_assembly:Lsat_Salinas_v7:3:59836367:59838240:-1 gene:gene-LSAT_3X46821 transcript:rna-gnl|WGS:NBSK|LSAT_3X46821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVDNGGEEHHVEEEFRVWKKNTPFLYDLVVSHALEWPSLTVQWLPSEPSLDSDGSFAVHKLILGTHTSDDCPNFLLVAEVHLPVNHSISLEGNLENHKIPKVEVIHRIHVDGEVNRARCMPQTPSIIAAKTSSSEVYVFDSTKQPLDHEGGSCEPDIKLRGHDKEGYGLSWNPFKEGYLLSGSNDCKICLWDLSTMPDNKVLDAKHIYEDHGSVVGDVSWHLKNEFLFGSVGDDCKLMIWDLRTNKHQQSVVVHEKEVNYLSFNPYNEWVLATASSDTTVGLFDMRKLTSPLHVLSNTDEVFQVEWDPNHETVLASCADDRRLMVWDLNRIGDEQLEGEAEDGPPELLFSHGGHKAKISDFSWNKNKPWVISSVAEDNALQVWQMAESIYREDDDN >cds-PLY96024.1 pep primary_assembly:Lsat_Salinas_v7:1:12864560:12873694:-1 gene:gene-LSAT_1X10440 transcript:rna-gnl|WGS:NBSK|LSAT_1X10440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLSSSSMSSREGSPELQCVGELEIVKAKPPVGFLCGSIPVSTDKSFTAFDSALVPSAPAEGAPRYRVIPTETNLKTLPFRPNIPEKVLPMVAAHSRTGGDLPYESATIASNLRRKGEALAVSGLTEYEDEIDVIAPADILKQIFKIPYSRARLSIAVHRIGQSLVLNTGPDVEEGEKLVRRHKQSQCAEKSLFWNFAMHSVRMEACDCPATQNPEPEERLNSSFLPGNRTSEYPQGKQDSFLWGGKKNQRNKDNDSVKKVTQVKEKSRSSMQESDMYRRVNRDGFLRVLFWKFNNLRMFLGSDLLIFSNEKYVAVSLHLWDVSRQVTPLTWLEAWLDNVMASVPELAICYHQNGVVQGYELLKTDDIFLSKGVSEDGTPAFHPHIVRQNGHSVLRFLQENCKQDPGAYWLYKNAGEDNIQLFDLSVIPKSHATNNCDDDPTFIPSLINRGRSDSLLSLGALLYRVAHRLSLSVSPHNRARCARFLKKCLDFLDQPDQLVVRAFAHEQFARLLLDYDEDLDLTSEVIVVDAEEESDEGFTGISELITQESFNPNKEDENGTNTPESTKLLESNEAESVDTIIQPTSNYKTVELSPTSTPVIQHNVDLISSKLAAIHHVSQAIKSLRWNRQLQGSGTHNRPDHSAPLPIDFSVCACGDTDCIEVCDIRKWLPTSKLDDKLWKLVLLLGESYLALGEAYMEDSQLQQAFKVVRLACLIYGSMPQHLEDTRFISSMSSLEIDIHNTENKTESIVAHYLFWAKAWTLVGDLYVELHLKKPDEKPPARELKVSPEVQKEVERLKKKLGKFNQSCSSCSLVNCSCQSDRASSGSSASSSTGNTRSFRKPSEKTGSKSLSYSSDVAKPSKETESDSKETKENNGGIFKYLNCSNHKDLDHNLTTALHCYKESRKCLEGHFSNSTELQALLKKKGWVCNELGRMRLERKEITKAEQAFSEAISVFKQVSDHNNIILINCNLGHGRRAAAEEIVSQMDHLIFNNARSQNLEAAKSQYCESLKFYRAAKKEVDSVGEESSVLSSNLSNEVYTQFAHTYLRLGMLLARENTTAKVYKSKLEFGKHEISANDAITEALSMYELLGDLRKQEAAYAYFQLACYHRDCCLRLLETDLKKSSVLKGENSVVQRVKQYSSMAERNWLKSMEFYGPKTHSTMFLTILIERAALLCSLAKSSNAYPMLESALNTLLEGRQVPVDRITLQKDDNNVYKRYWSQLQMTLKNMLAVALPTNTKKPLATSQQSTLPSGDLNKLKDLYRLSLKATEFTQLQAIHKLWAS >cds-PLY68100.1 pep primary_assembly:Lsat_Salinas_v7:8:34421882:34423995:-1 gene:gene-LSAT_8X27481 transcript:rna-gnl|WGS:NBSK|LSAT_8X27481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIHLSTFIHSVSDHGSPHPPLTSSSISSHEYKLLHQFLIMLDKPMFFLVTSSLILYSYFNFGFSLEFHIQCSAVRVALDLDETLVCAYETSSLPAIVRNQAIDAGLNWFELECLSLDKESEGKTKINYVIVFKRPGLHGFLTQLSLFADLILFTAGLEGYAKPLVDRIDAENRFSTRRLYRPSTSSTEYREHVKDLSCISRNFYRIVIVDNNPFSFLLQPVNGIPCMPFSVGQPHDNQLLDVILPLLKQLSEQGDVRPLLYEKFHMPEWFHKHGIPNGWVGTHGVNPFDLKELRNLFPKQNMIK >cds-PLY70525.1 pep primary_assembly:Lsat_Salinas_v7:1:75410909:75412989:1 gene:gene-LSAT_1X63401 transcript:rna-gnl|WGS:NBSK|LSAT_1X63401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPGILHVNRFICHEDTSSSDTLVKDITGSPPVAAVGLPSPRRRRRCFLHPRRRQTFFLLANVLFFLPVAGILNSFGKSLRPGLFGHEVSRVLGGGLVPGSLILIGGDPGVGKSTLMLQIAAIIAEGREIGKPAPVLYVSGEESVEQIGNIADRMEIDTEELFLDILGKAQALSPRALIIDSIQTVQLMGVTGSASGIYQGDEEGGVLTASVNDMGWYGCYPEDCEEMMSAPLISEATINLIRKMPVDLMVTHYKLHLYAAF >cds-PLY81266.1 pep primary_assembly:Lsat_Salinas_v7:4:305393590:305394464:-1 gene:gene-LSAT_4X154580 transcript:rna-gnl|WGS:NBSK|LSAT_4X154580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKPSATTSDHLFSILDPKSLILSQFRDTKNPNFLHLTTDNFIMERGPRYKAYADLRESKIRLKSMASSPQRPPKDHRSGNISTTPESQLKAPAKRRKGSSILTQSVPDFSSALRKENRKPALPPVAEKSATPPAKSSSRLYEINAKLIGSKSSVSGEKSGRGMLTARKSYANMDELKKFSLAAANAINGENKGGRITGNRGGGRTTVLGSRQFN >cds-PLY84419.1 pep primary_assembly:Lsat_Salinas_v7:4:289472894:289473756:-1 gene:gene-LSAT_4X147041 transcript:rna-gnl|WGS:NBSK|LSAT_4X147041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELLAIADKKAATYVEVVRKLNDARQRSLEYKSATAFKNAYDNLGLDSSSGNSVTMNKIWHLIQTLTGENSSVQRNLSKKMSLIIGARRHLEWGHDKYIMEMIHSHPTQAALGGVVANLPKIHAFLRIRLRDYGVLDFVAGDARRQPLVDTTWKQLTPNQQHHEL >cds-PLY99678.1 pep primary_assembly:Lsat_Salinas_v7:9:57294168:57296339:-1 gene:gene-LSAT_9X48781 transcript:rna-gnl|WGS:NBSK|LSAT_9X48781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPRANHGFVILFLFVFLVASRVFAQDVAGDNEKDDSTGSIIDLGRRSKVVVERIKNNVIGDKIDPKAVLNLDPGLGVLDAFFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALFVMTILSTGLGRIVPNLISRKHTNILYAFFGLRLLYIAWRSDSKASQKKEMEEVEEKLESGQGKTAARRFFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNAVGVALGATIGHTICTSIAVIGGSMLASKISQGTVATVGGLLFLGFSLSSYFYPPL >cds-PLY92917.1 pep primary_assembly:Lsat_Salinas_v7:3:113461956:113462582:-1 gene:gene-LSAT_3X83021 transcript:rna-gnl|WGS:NBSK|LSAT_3X83021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQICSINSSIAPLHASFVHQNSLTLHHFPSLVGLSTQNSQLRIHRSIKRYSIQCSVEETKPVSLRTCKQCKTQFDPSLNHPQACRFHTAHFGGETKRKFESVYSGGTMNTPESGKVFQYWHCCGSEDPFDIGCTASPHSSYDD >cds-PLY66436.1 pep primary_assembly:Lsat_Salinas_v7:6:152860895:152863711:1 gene:gene-LSAT_6X92541 transcript:rna-gnl|WGS:NBSK|LSAT_6X92541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEKMVKYGIIGVGMMGREHLCNLYHLRSEGVAVVCVADPNLASQQISTEFAESFGWPLKVFSGHKELLESGLCDVLVVSTPNMTHYEILMDILNHPKSHHVLVEKPLCTTVQDCRQVIEAAKKRPDMLVQVGLEYRYMPPVAKLMEIVNGGRLGNIRMVSIREHRFPFLVKVDNWNRFNCNSGGTMVEKCCHFFDLMRLFVGANPVRVMASGAIDVNHKDEVYDGKVPDIIDNAYVIVEFDNGARGMLDLCMFAEGSKNEQEISVVGEIGKGEAFVPENLVRYGVRVEGREGVRTMRVEDDRIKYDGLHHGSSYLEHLNFLATVKTQGKKTVAVDLHDGLIAVAIGVAAQLSIELGRFVSIKEVMT >cds-PLY65269.1 pep primary_assembly:Lsat_Salinas_v7:MU045421.1:83687:84884:-1 gene:gene-LSAT_0X11921 transcript:rna-gnl|WGS:NBSK|LSAT_0X11921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTNPSAMSFLTNIARAAFGLGTAVTVVNSSLYTVDGGQRAVLFDRFRGVIDETVGEGTHFLIPWLQTPYIFDIRTRPHTFSSISGTKDLQMVNLTLRVLSRPEVNRLPAIFKTLGLEYDEKVLPSIGNEVLKSVVAQFNADQLLTERPQVSALVRDSLVRRAKDFNIVLDDVAITHLSYGAEFSKAVEQKQVAQQEAERSKFVVAKSEQERRAAIIRAEGESESAKLISDATSAAGMGLIELRRIEASREISATLARSNNVMYLPNTGSQMLLGLNPSR >cds-PLY65856.1 pep primary_assembly:Lsat_Salinas_v7:4:86366652:86367434:-1 gene:gene-LSAT_4X56380 transcript:rna-gnl|WGS:NBSK|LSAT_4X56380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIENIFRFVQAGSEVSALLSRMPSAVGYQPTLSIEMGSLQERITSTKEGSKTSIQAVYVPADDLTNPAPATTFEHLNATTVLSRGLAAKGIYPAVDPLDSTSTMLQPWIVGEEHYDTAQEVKQTLQRYKELQYIIAILGLDELSEEDRLTVARARKIERFLSQPFFVVEVFTSSPGKYVGLAETIRGFQLILSGELDGLPEQAFYLVGNINEATAKAMNLEMESNLKK >cds-PLY63883.1 pep primary_assembly:Lsat_Salinas_v7:1:125740216:125740808:1 gene:gene-LSAT_1X94041 transcript:rna-gnl|WGS:NBSK|LSAT_1X94041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYNKSSTTPASNSIETNAVLNQEDDLSEDLDQDLKKLSGYELDKKIGNPHPFIDPHKRKPIKEPLTCEELWWNWRKPEKEQWSMWQKKRSDAETVFLKAMAETGQIKLFGEEPTLTETALYRYIKNSYKEEKLQAERERLQKEGPLAYYS >cds-PLY66188.1 pep primary_assembly:Lsat_Salinas_v7:1:204498955:204500936:1 gene:gene-LSAT_1X121421 transcript:rna-gnl|WGS:NBSK|LSAT_1X121421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NTF2-like [Source:Projected from Arabidopsis thaliana (AT1G11570) TAIR;Acc:AT1G11570] MEEQVELVGRAFVEHYYHLFDTDRSSLASLYHQSSMLTFEGQKLQGGDNICSKINQLPFDKCHHLISTIDSQPSSFAGGIVVFVSGSLRLAEEDHPLRFSQMFHLIPTPQGSFYVQNDIFRLNYG >cds-PLY73244.1 pep primary_assembly:Lsat_Salinas_v7:8:44911334:44911783:1 gene:gene-LSAT_8X34260 transcript:rna-gnl|WGS:NBSK|LSAT_8X34260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVPAATTTIRVGGPPATSAANALSTGGGGDGDCLSEMRMFVTECRNKGKKELRSLVGVAKIYKRRLVLLGRPMISQSSGRIIVEEFKSGEVCSFVGEEIKKQIDSRNIRLMGEIIVFTRSMKNWTIGGKSVKVKEGKGMKKGDRRKRD >cds-PLY85266.1 pep primary_assembly:Lsat_Salinas_v7:3:71684284:71684825:1 gene:gene-LSAT_3X54461 transcript:rna-gnl|WGS:NBSK|LSAT_3X54461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSILLHSPFLPSQPHLSNRKTRHPKSQTKFTVLATKNDASGHLVDQNMIILRKRIHETKMVENNNELPSNWMEWEKRYYKNYDAYVYEVVGVIQSGLMNTRPSLAIALMALITLSFPVSTFVLVSNAMEITKEVLSGILH >cds-PLY66286.1 pep primary_assembly:Lsat_Salinas_v7:8:219155274:219156940:-1 gene:gene-LSAT_8X135680 transcript:rna-gnl|WGS:NBSK|LSAT_8X135680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALCATSSYYEPQEIATRILPNVVVLTIDPHSDVRSKAFQAVEQFLQIVKQYHEKTSGGDSSEGMGSTISSLPGNASILGWAMNSLTTKGKPSEQTTQAMPPKSTSPLVSVVPTTLVSSTHTQSTTTLVRGGSLDYGGDIADQPAPVSPTLNDDWGELENSIGIHEDEEIEKDGWDDMLPLEDKKLPPAIANIEAAQKRLVVHTKPQGLIPRPKSTSHVRKDGYDDLWGSITAPDPGPAPKLASRSSNLNVKAIAAGGSGSGCVVDEDDPWAAIAAPAPAKGYSYKTSLIVGVPDAVQKESLHFCGEVQYGVIFLEKCNMESLINVSFCEVMFYGCHLMSI >cds-PLY88876.1 pep primary_assembly:Lsat_Salinas_v7:4:253803847:253805488:-1 gene:gene-LSAT_4X135401 transcript:rna-gnl|WGS:NBSK|LSAT_4X135401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPAIFIFGDSLIDNGNNNDLTSFAKANYYPYGIDFNGGPTGRFSNGYTMVDEIAELLGLPLIPSYSQASSSGDQMVNGVNFASAAAGILDITGRNFVDRLPFNQQIKNFEDALDQITEHLGAVDVSQVLSQSLFFVGMGSNDYLNNYLMPNYPTRNQYDGQQFADLLSKEYTSQLTKLYNLGARKFVLAGIGLMGCIPSIIAQGTTGKCSEDVNQLVLPFNANMRTMINNLSTNLPGSKFVFVDVHNMFQDILSNAKFYGLRVVNRGCCGIGRNRGQITCLPFQTPCPNRSEYVFWDAFHPTEAVNVLMGQKAFSGPPDFVYPMNIQQLANI >cds-PLY70573.1 pep primary_assembly:Lsat_Salinas_v7:1:89051896:89054625:-1 gene:gene-LSAT_1X75080 transcript:rna-gnl|WGS:NBSK|LSAT_1X75080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE1 [Source:Projected from Arabidopsis thaliana (AT2G34900) UniProtKB/Swiss-Prot;Acc:Q84XV2] METMDGPVPEVRTEGEPAVAEELGQNVDQIIVKVDELEQRLNEVEQFYSKPGKKQSNTSKASSVMKEKDKDKQITSFKRRQLDASRREAAATKRMQDLMRQFSVLLRQHIIGHKWSGPFMQPVDVVGLGLHDYYEVIEKPMDFSTIKAKMEAKDGTGYNNVREICADVRLIFKNAMKYNDERNDVHVMAKTLLAKFEEKWLQLLPKVDEEDERRKKEEAEAQLDIQLAQEVSHAKMARDLSAELEKVDKNLKELRDVVLRNCRKMSTEEKKALMTVLTQLSPEDLNKALLIVAQNNPNFQATGQEVDLDIDAQSESTLWKLKFFVKELLQGQGKSPTSNGGNSTMNNNVNQNKRKRESIDAQAAKPPAQKKNNKKPS >cds-PLY88915.1 pep primary_assembly:Lsat_Salinas_v7:3:118225762:118226394:-1 gene:gene-LSAT_3X83620 transcript:rna-gnl|WGS:NBSK|LSAT_3X83620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEPKYAYPYPAQGGGYYQGPPVMAPPQYAAPPPPRREPGFLEGCLAALCCCCLLDECCCDPTIIFF >cds-PLY84578.1 pep primary_assembly:Lsat_Salinas_v7:1:30706719:30707536:-1 gene:gene-LSAT_1X26561 transcript:rna-gnl|WGS:NBSK|LSAT_1X26561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLENKSLSIRGSFTKTRILHVRKLDKPLKTAEQLGFKTQLKQYVVKLVYLLNMKTSVQKA >cds-PLY70127.1 pep primary_assembly:Lsat_Salinas_v7:3:12831051:12832012:-1 gene:gene-LSAT_3X9760 transcript:rna-gnl|WGS:NBSK|LSAT_3X9760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSNLGLEGCCASPRKNTRSHRPCKELVFYFHDIIYNGKNFKNATSAIVGAPAWGNHTILANNNHFGNVVVFDDPITLDNNLHSPPVGRAQGLYIYDKKEIFTSWLAFSFVFNSTAHKGSINFAGADPLMNKTRDISVIGGTGDFFMTRGVATLMTDAFEGEVYFRLRVDIKFYECW >cds-PLY91587.1 pep primary_assembly:Lsat_Salinas_v7:8:216848283:216850267:-1 gene:gene-LSAT_8X134280 transcript:rna-gnl|WGS:NBSK|LSAT_8X134280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLQVKVGKVGGVEDVELSEPVSPIGQYLNSSVLSIHIIGVLEFDNPIDDASRLALYIIDVFLPINHRFSSIMVEDKEEGKRWKRVQVKVEDHIVVPSFPEALSPESYDHYFSDYLSNISMEPLPQTQPLWEIHIIKYPTSNASGSVVFKLNHALGDGYSIMGAVLSCLQRADNPSLPLTFPVIRKTRKPENDLKSFFSVLALGLSGARNSVLDFGWSILKSSFLEDNRTPIHSGKEGVEFRPINIMTMTFSLDQIKQIKSSLQA >cds-PLY67432.1 pep primary_assembly:Lsat_Salinas_v7:6:70825686:70828490:-1 gene:gene-LSAT_0X36620 transcript:rna-gnl|WGS:NBSK|LSAT_0X36620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHPCVFMIFSLLVVFLEARTGNQLPAVGDGGGGDDNGVTTNKCLDKERDALLKFKVNLQDPYGSLSTWRPEEDDCCSWEGVTCDDKTGYHVTELDISSFGLVGEISHSLVNLTYLNHLDLSSNSFHGNIPRSIGSLAELRYLDLSGNSFYGTIPPEIGNLTNLQELSLGSVGRCRVENLDWLSHLSHLEELALDGISLAKENQWVDVVLSLRNLSSLSLDGCELSQVVYPYSSSFLNSSSSSISFLSLRNNNLNSSMYGWLSPLTSDKLCRLELSSNMLDGIPKYLGNLSSLEALTLDYNSAVVRFPDFLKKLSSGCTSHTLRYFFARSSQFTGSLPDYIQNFSSLSYLYLSNNHMNGTISEKLWELSSLEEIDLSQNHLSGAISENIAKSKASIINLSKNPLQGVPSTDHMSNLSYLDYISLSSCKLGPHFPKWIQKLEKLTGLDISNSRISDIVPPEFWDMQFSYLNLSSNNISGEIPYLSSSYGGSTMIDLSSNSFNGPIPHLPSSLELLNLSRNKFSGGISSLCQIVDGFLQFLDLSHNSLTGRIPDCLWHFKELKVLNLGHNSLFGKLPTSFKSLIKLKALYLYENDFSGEFPLSLKNCTSLTSLNLGANKFSGKVPVWIGENLSRLYVLVLRSNNFLGTIPLQVCQLPNLQILDFSRNILHGSIPSCLNNLTRMAQKGFLPLPNVHPYSATQYFNNIYSIHPYRTYLEYVDHAMIEWQGSEREFTRNLGLLKSIDLSSNNLTGHIPYELTDLHELLALNLSKNALIGEIPQQVGEMKNLVALDLSRNSLSGGIPSSMSQMNFLGYLDVSCNNLSGRIPSSTQLQSFQPSSYDGNAGLCGLPLTTKCLGDEDPKVPPPPVGESDTDEDWGWFCIGGGTGFVTGFWIACGTLLLNRRGRHAFFGFYDNFNDWVYVKVVVFIAKLWRIAHK >cds-PLY80758.1 pep primary_assembly:Lsat_Salinas_v7:8:137468264:137468725:1 gene:gene-LSAT_8X95121 transcript:rna-gnl|WGS:NBSK|LSAT_8X95121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVYMKIDLHFAGMFTRYPAICYSNGAKQRFDDVDFAGMGKNEFLLFIQRFANEVCVSVYFCMHNVEFPYGLRVIATDIDYMDYIEVGYASGSAINVYLDHLGVNVHQWILEEQGEICSSLDELSDRIEVGEEVQGGMDMNDGIEIEDLLGHR >cds-PLY73184.1 pep primary_assembly:Lsat_Salinas_v7:4:267424178:267427488:1 gene:gene-LSAT_4X138020 transcript:rna-gnl|WGS:NBSK|LSAT_4X138020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 13 [Source:Projected from Arabidopsis thaliana (AT4G39410) UniProtKB/Swiss-Prot;Acc:Q9SVB7] MLNQGFLEDQQISFFPFPANMISLPNQNLKTLSPSYHLPQHSKPEQHLTSHFGLPLQSSNANYWAWGEVGEIISNKILGMGRDDHHHHLGVSGMKMKKMKSRRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHAHSPSQNEEDSEANTAKLCNFWL >cds-PLY68742.1 pep primary_assembly:Lsat_Salinas_v7:4:186160381:186161047:1 gene:gene-LSAT_4X108100 transcript:rna-gnl|WGS:NBSK|LSAT_4X108100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSRFSDSISLINAQVLPEQYRKLVNDPNSPIVDFYPTDFEVDTNGKRFA >cds-PLY71841.1 pep primary_assembly:Lsat_Salinas_v7:3:58394590:58396627:1 gene:gene-LSAT_3X45341 transcript:rna-gnl|WGS:NBSK|LSAT_3X45341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEAIKVVKMETDDNWGVKVNGGCALTMMRMEAFTEVKCQENNGDKKQYPVDFIDRNGEFMNNRIVDYDAPCTSIPLQASTAFFLCSLQDTLRGQLSSMRSATHLIFQLAGVSRLRCLLSPTRQRNQDFMICKTQMVVYFLICKILDIRGHI >cds-PLY99561.1 pep primary_assembly:Lsat_Salinas_v7:7:189844320:189844952:-1 gene:gene-LSAT_7X112641 transcript:rna-gnl|WGS:NBSK|LSAT_7X112641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKHPQNMDYSVQLLDQCESPSGLCFKLSDQSLLQESSTTEKSFDFYKRIVKSNYKPDNYLFTFLINSAAQLVDKNFGLAVHGTALKYALDQDPHVQSGLINLYAEMGSLRDLKDLLFSINNPDLVTQTTMVVACAKLGDIKFTRQEFEITLDRDVIAWNAMKAGYVRFGEPLNGLELFNAMEMKGLRVNVLSACTRLSALDAGQPAYG >cds-PLY92040.1 pep primary_assembly:Lsat_Salinas_v7:5:323447517:323448447:-1 gene:gene-LSAT_5X177661 transcript:rna-gnl|WGS:NBSK|LSAT_5X177661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINSKPLSSRTQTRFHCPSNSYKTSFITSSSHISFSFRNTHLKNPVISIRTTRNSPPKSAATNQLYTPSPEETLYDLLGIPESGTLSEIKRAYKQMALKYHPDVSPPDRADENTMRFIKVQEAYETLSDPDARALYDNTMAKGLPSAFSGKKGSRFEVRSEEKTRWKQSWQEQVVELKRRRTVDQGGRKSWASRIRKQRSETWAHGADPGQ >cds-PLY96416.1 pep primary_assembly:Lsat_Salinas_v7:4:343576197:343577566:1 gene:gene-LSAT_4X170340 transcript:rna-gnl|WGS:NBSK|LSAT_4X170340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRISTSILTIVVVILGLVSSPLVKGMRFDIKTGATKCITEDIQSYSLSVGKYSVINPNEDYPLPDSHRITIRITSPLGANCHYADQKAFGEFAYTANEDGDYMACFWVAKQNPPTTLTVEFEWKSGLSAKDWSRVAKRGQIEMMELDLKKLFDTVNLIHDEMYYLRRREESMQVLIRSTNSKMATFSFFSLIVCLAVASMQLWHLKTFFEKKKLI >cds-PLY62684.1 pep primary_assembly:Lsat_Salinas_v7:4:335878420:335879262:1 gene:gene-LSAT_4X166260 transcript:rna-gnl|WGS:NBSK|LSAT_4X166260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFSGLSRSNGVLNAGYIGFQTIHRAYDDVFLQKSAYLAIKVLITGILRCLFVFVPFVGFQTYGYYSFCDGNNPDKVRPWCKARIPLVYNFIQSHYWGVGFLKYFQLKQFPNFLLASPILSIAVYSIIHYVKLQPEVFFSLGFQVAPKSYGVDINNTHTSTVIQESEEDQTLKRRKHSTEEEEGPTPTPTVLSKKDEKLGKFSIIIIPFILHLGFMVATAFFVMHVQVATRFLSASPPVYWFGAYVLASHGKGWGYLIWGYCVAYILIGSLLFSNFYPFT >cds-PLY79537.1 pep primary_assembly:Lsat_Salinas_v7:1:33713584:33716410:-1 gene:gene-LSAT_1X33020 transcript:rna-gnl|WGS:NBSK|LSAT_1X33020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTSRTAPPCGIAATIDLIGEDLLQNIVARLPAFSFASAACVSRSWNLVCDRVLCRPKLSSSCSFSSSLEVAVEDVLNKVLSEPIRPHFAIASVGPLFDLHQAHQLITAKLGSKVPVITNVPSGIIGRDVFSDEFKEIQWELTEEDDDPGVPLLLSESANRAIMLIVGFLPGLKVEAIPLLKEIEEPLAVMIDKFVIDIREYSTSISGCRSPAAIIMFSDFEAGMKSVMEKMDFAMSPDTVIVGDCGCQFLHSNGSSRDTSATEGHMSTAVALVFAVDKNKRHGTVETHFNAVLSSGLSPVGPTYKAASVREKHRDSMTWLTARREGLRENIDGETILNQVYDELGDRIQFPTFYIGVTKRRKCSIGQDKVGWVTSLAFHEVLGDNQEYLFVGDVGIKTGDLFRFYHSDSNTALSTNTDVSNNLKCFKQGSTSRGHKKEVYGGLVFTCCGRGESFFGKPNIDSSPFLNNFPDATLAGTFCGGEIGRGDLGAYVKESQQQKSVRCCLHVYSAVYLLMSYTPSKGI >cds-PLY62363.1 pep primary_assembly:Lsat_Salinas_v7:8:113297596:113299487:-1 gene:gene-LSAT_8X77160 transcript:rna-gnl|WGS:NBSK|LSAT_8X77160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRSIYPSTVTLVPKSMATERPPSSVVATRRTTAANNMSALEARVSLIFALASQTTSVSQRLLADLATETAKYVFPKRFESRNLEEALMSVPDLETVRFKVLTRNDQYEIRELEPYFVAETTMPGKYGFDLNGASQSFNVLAEYLFGKNTLNETMEMTTPVLTRKTQSKGVAMDMTTPVITKKLEDEDKWKMSFVMPSKYGSKLPLPKNSAVTIQEVPGRTVAVVAFSGFVSDEDVIRRESALRNSLKNDSQYKVKSGASVEVAQYNPPFTLPFTRRNEISLEVERKQE >cds-PLY91252.1 pep primary_assembly:Lsat_Salinas_v7:3:75193693:75194415:-1 gene:gene-LSAT_3X57140 transcript:rna-gnl|WGS:NBSK|LSAT_3X57140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQNQQTLQHLSYSGYDITTNDWDDYSRYVNPDGVDLSHGVYGHCYAPYGPYSSAGSPMPTVGQYGELYGAQHY >cds-PLY93126.1 pep primary_assembly:Lsat_Salinas_v7:3:55537534:55541281:1 gene:gene-LSAT_3X43360 transcript:rna-gnl|WGS:NBSK|LSAT_3X43360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSVRPPKTTNLANDNHHPSETGPLPLPPGKTTLRGPGKPCRRLPGQASFQSPAPPPPPPPPPGRKAPPSPPTLPTAPVPPPPPPGGPPAPPKPSNMKTHVSGKQTQTTVKDEKKHDGDSDSPKVKLKPFFWDKVNAVQGRSMVWHHLKDGSFQLNEETMVGLFGYVAAQNKKERNGKMESNLHPQAKLIQIIDVKKSQNLAILLRALNVTTEQVCEAVKKGTQLPVDLISTLLKMAPNQEEELKLRLYNGDINQLGLSERFLKDLVEIPFAFKRLEALLFMGALHEDYHMAKESFATLEVACDKLISSRLFLRLLEAVLKTGNRMNDGTYRGGAQAFKLDTLLKLSDVKGTDGKTTLLSFVVQEIIRYEGIKVARTRGLDLTQQTPESLKKLGMEVVSKLSEELNDVKKAALIDGDNLTTTVSKLGNMMKKTKEFMSDEMTTAEGATEFNDALTRFMEYAEADITWMIEEEKRIMAMVKNTGNYFHGQSGKDEGLRLFSIVRGFLQILDTTCNEVRKTLAMQTRMEALRSPASDENGRKNKRWQKTRNRIMFMKDAVNMILEALYDDEETPRAPHDLPALSVTCEPGQIPTPPALPSVRDKLVRLALNNQKLDDSDSDSGDWSSDDDEEMYPNQNLTKRSSRKVGDLDADDWNLDDDDETMELGPFDFEETNRQSSTSDVENGVVIPVKHMDDSDVNGWHSDDERIIQSQNETQHLPELPVAKEPESFDVGKTNIKSSTSDIKNGETNRQSSTSDVENGVVIPVKPMDDSWHSDDNIIQQSQNEVRHTSELHVVEELKSFDFEETNRQLLTSDNENGILIPVKHDSDVDGWHSDDDGIEQSQNEARHTKELPIAEETEPFDIEVTNRQLSTSYFENEVLIPVKRMEDSNVDGWHSDNDGIAQSQNKAQHTPELPVVEESEPFDIEVTNRQLATSDFKNEVLIPVMQMEDSDVDDWHSDDGIENIQKEARHTPELPVAEEPEPFDFEETTRQSSTSDIENEVLIPMKVMDDSDVDDWHSEDGGIDHSKKESLHSSELPIVEGSEPFDFEETNRRSSTSYFENEVPIPVKRMDDSDVEDWHSDDDGIEQNQNEAQHTLESPEETSQRSSDSKDSVVDFSDANGWSAIDKILPTRIEDEQSPMGDSSIDDNESVYATP >cds-PLY72781.1 pep primary_assembly:Lsat_Salinas_v7:4:374237459:374238414:-1 gene:gene-LSAT_4X184741 transcript:rna-gnl|WGS:NBSK|LSAT_4X184741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGDRRQHGAIRCYDINQPLLAQERYKKMVDSTTAARLFTKVLNKPTNQSKCTGKCHKGRCSGHHHKHAVCKSRDKAKGAMKIRSIDPENEWTKYPVGNSATRVLAYLANEEGYNDDDYDYEGRVEETYAYDDDNHHDHDVGTHAIDLGAGFAIGIGIVADERENDVHDDDDDDDAMSFCDVGLCWGHEDEDEYGDDDWYLVGGQME >cds-PLY91931.1 pep primary_assembly:Lsat_Salinas_v7:8:198106912:198107478:-1 gene:gene-LSAT_8X127781 transcript:rna-gnl|WGS:NBSK|LSAT_8X127781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRIPRIIQAKQILQRSLSNGTRTSTMDLPKGYFAVYVGEQEKKRFVIPVSLLSQPSFQELLQQAEEEFGYDHPMGGLRIPCSEHTFFDLANRLDAL >cds-PLY97320.1 pep primary_assembly:Lsat_Salinas_v7:3:137441342:137441497:1 gene:gene-LSAT_3X91460 transcript:rna-gnl|WGS:NBSK|LSAT_3X91460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRAKKCIDDGKYVTEENEVANPGEVPSVDMDAFRPTKPGHSPGVGHSIHE >cds-PLY89108.1 pep primary_assembly:Lsat_Salinas_v7:4:145696198:145698438:1 gene:gene-LSAT_4X88581 transcript:rna-gnl|WGS:NBSK|LSAT_4X88581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIDPRQIVAGVLTVTMFLMLGNMIKRDHFDAPISHHVNHAGSTQHFDDNNDSEQSFALSNGGDGPWKEESGGLKRCWSKPDSEEVEESKGFVTFSLTNGPEYHVSQIADAVVVARYLKANLVVPDIRGSEPGDWRNFGDIYDIEKFVNSLDGVVKVVKTQPSELSSKNLAVLRVPNRVTEDYIAENIEPAFRSKGNVRIATYFPSVNMKKSKIQQDNSIGCLATYGTLELQPDVQEVVDTMVDRLKTLSRKSDGQFVAVDLRLDMLDHKGCQGNSAGSKKCYSPQEIALFLRKLGFDKDTAVYLTQSRWDSSLDALKDLFPKSYTKEGIMPMDKKSKFLESEYEKVIDFYICSQSDVFVPAISGLFYANVAGKRIATGKTQILVPANIPPASSASVANYVSRYVSKKNHMAYSCFC >cds-PLY67331.1 pep primary_assembly:Lsat_Salinas_v7:4:19419127:19421564:1 gene:gene-LSAT_4X13640 transcript:rna-gnl|WGS:NBSK|LSAT_4X13640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPNHPQKPIIACPNSTSTSTSIRGGASSATTGPALNSFTSDVTGKGKNMWDEFDDQDAGVDELLAVLGYKVKSSDMVDVAQKIEHLEGVLGNDDGLSQIASDSVHYNPSDLSSWLESMICELNPTTEAPMIDDSFMNTSSASAGAAAPSPGLTSVFVDDLQAIPGNAIYPPAKKAKHSPSSSSGATSASSSYNSKPNPNSNSVVLVDSQENGIRLVHTLMACAEAIQQENLSLAENLVKQAGMLAVSQAGAMRKVATYFAEALARRIYRLAPQTTQDSPAFQDLLQMHFYETCPYLKFAHFTANQAILEAFAGKKKVHVIDFSMKQGMQWPALMQALALRPGGPPTFRLTGIGPPSGDNTDHLQEVGWKLAQLADTIHVEFEYRGFVAESLADLEPAMLDLRDDEVVAVNSVFELHQLLARPGAVEKVLSAVKEMKPVILTVVEQEANHNGLVFLERFTESLHYYSTLFDSLESSGTGGGGVEGGAISPASNQDKIMSEVYLGKQICNVVACEGPDRVERHETLTQWKARLDSSGFEAVHLGSNAFKQASMLLALFAGGDGYRVEENNGCLMLGWHTRPLITTSAWKLR >cds-PLY70332.1 pep primary_assembly:Lsat_Salinas_v7:4:99008441:99010585:1 gene:gene-LSAT_4X64060 transcript:rna-gnl|WGS:NBSK|LSAT_4X64060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAENDIEYLGSGVPAPPPINIINFENYRTNNDGGWSGGSHNEIEIVEEDEEEEEEDVGGGRFGGFRVMPSITEEYDSSNPSDLFSYDINRNMNDVVYVVTWRGTEEMSSASMDALLWTLGNNLNDESTIVYLVYVFPEIRFLPTPLGRLPISQANPDQKENFLNQERRKRSEYLQKFLSLCSSSKVQVETVLIESDMEAKAILDLIPILNIRKLVLGATKSTLRKLKSSSKKGGGGTIDQILHNAPEFCDVKIICEGKEVSLQDQLSTEPSSPSSSTAPSPRGDTTVSTLKPVPVGQGYTIGFVSCSCFKL >cds-PLY97228.1 pep primary_assembly:Lsat_Salinas_v7:4:95289277:95289612:-1 gene:gene-LSAT_4X62680 transcript:rna-gnl|WGS:NBSK|LSAT_4X62680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVKTLIDIQTGTMTKLILPPPPLPPSNQAPLPSLEVTASDIHTATATTTTVVIATATSKTIATVVIIPTPDDATPGNYLHKTSKNNSEKPKLSKTVPKKLLVPQEWDPF >cds-PLY71328.1 pep primary_assembly:Lsat_Salinas_v7:7:186469131:186470027:1 gene:gene-LSAT_7X110220 transcript:rna-gnl|WGS:NBSK|LSAT_7X110220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYIITRASISNAYPIFAQKGYENPREATGRIVCANCHLANKPVDIEVPQTVLPDTVFEAVVRIPYDMQLKQVLANGKKGALNVGAVLILPEGFELAPPDRISPEIKEKMGNLSFQSYRPNQKNILVIGPVPGQKYSEITFPILSPDPATKKDIHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATASGIVSKILRKEKGGYEITIADASDGRQVVDIIPPGPELLVSEGESIKFEQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFLASVILAQIFLVLKKKQFEKVQLSEMNF >cds-PLY98100.1 pep primary_assembly:Lsat_Salinas_v7:MU038086.1:92045:95296:-1 gene:gene-LSAT_0X26921 transcript:rna-gnl|WGS:NBSK|LSAT_0X26921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQEIAKGVEILLSQSDPKSFTSLSDVVHQLGQKLGFDLSHKAVFIRDQINNLLYRSQSHHQHPPTPQPPPPSSVTTSGIAKDHFALQQQQAQYHFTQPQPHPSQFHHAHFALQQQQQQQQQHQLQQQHQHQQQRQRHPVELNFSKPPVAAVVPSPSPQQTQVQPSPEVKAEGGVVVQDAVGKSSEKPKKGGATGTKRRGGPGGLNKLCGITPELQVIVGESALSRTDIVKQLWAYIKKNNLQDPGNKRKIICDDALRVVFETDCTDMFKMNKLLAKHIIRLEPTKESSRKRTKVNVEPPAAENTNTDANTDTNTNTNTNSDDVVPCQVIISEALASCLGLGAAEREMSQSEALRLVWEYIKLNNLEDPGNPVMIVCDEKLKELFGCESISAMGIPEMLVRHHLFEQ >cds-PLY91311.1 pep primary_assembly:Lsat_Salinas_v7:5:251039336:251041554:1 gene:gene-LSAT_5X126080 transcript:rna-gnl|WGS:NBSK|LSAT_5X126080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEPGKDGNQQYYQHHQDQQQPPPPHEYGTFQGVQNYPPPPPVIGFPQPVPPPGSSGGPSVNPYVHGYQAVPGYAVAEGRPVTVREGRLPCCGIGIGWLLFIAGFFFAMIPWYVGAFVLLCAGYDEREKPGYVACVIAAIIGTIAVISGVANI >cds-PLY95776.1 pep primary_assembly:Lsat_Salinas_v7:3:27711929:27712177:1 gene:gene-LSAT_3X20501 transcript:rna-gnl|WGS:NBSK|LSAT_3X20501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLLSLWMLVLIVSQDIYSLEASRVRNILPPAMPTYSPPMPKPLSVYGLKYNRYKKYETRAYRPTCTGPSPGVGHQNPPGSC >cds-PLY68819.1 pep primary_assembly:Lsat_Salinas_v7:3:61396125:61406346:-1 gene:gene-LSAT_3X48740 transcript:rna-gnl|WGS:NBSK|LSAT_3X48740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G38270) UniProtKB/TrEMBL;Acc:W8Q6G8] MGICCSSHNKALTTILDPSSGISQSVTTNNTTSSSFSSSLGGSNVSRNSQFWSASSGDDLGPDGQILPHPNLKIYTFAELKSATKNFRNDTVLGEGGFGKVHKGWIEEKSISKHGTGSVIAVKKLNSESMQGIEEWQAEVNFLGRLSHPNLVKLLGYCYEGKELLLVYEFMQKGSLENHLFGRGSMVQPLPWDLRLKILIGAARGLAFLHSADIKIIYRDFKASNILLDASYNAKLSDFGLAKMASSSQSHVTTRVMGTYGYAAPEYVTTGHLYVKSDVYGFGVVLVEMITGMRALDQSRPAAQQNLVQWVKPHLANRRKLKNIMDSRLEGRYPSKAAANVAQLALTCLAQEQKGRPSMQEVVAILEKADSISEKPKVPRIHNSYRNDRQQPLHHRSPLHVKQDVPLHITLVGADAFDTLTIQKEINGHQPLFDCPQCIDKKEQANTSSVTAGNHQVEKDIDIIVTYTDTSGAIRIKTIKSKDLSPSWVWKNPIDDNQVKEESKLHTLKDQPDTRIEANNEFFADVNKQPHKPLFPVHPTKLRRQALRQERRDRRTAELIQIGEKRDIQIQEAAIERAKNFDIHVKAKYSIWRREYESPNSDSTVKLMRDQIIMAKAYASIAKAKNATGIYDSLIKHSKRSQQAIGEATYDSELNPSAVDRAKEMGHILSLAKDQFYDCILMARKLRVMLQSTESNVEEVKKKSASLTQLAAKTVPKPLHCLPLVLTTDYFLLSEKEKNFPESKNLEDPNLYHYAIFSDNVLATSVVVNSTVLHAKEPEKHVFHIVTDKLNFAAMKMWFLVNPPGKSTIQVQNVDEFTWLNASYCPVLRQLESSRMKEYYFKAHQTSTLTAGSDNLKYRNPKYLSMLNHLRFYLPQVYPKMEKILFLDDDIVVQKDLTPLWSVDLGGMVNGAVETCKESFHRFDKYLNFSNPKISDNFDPYACGWAFGMNMFDLNEWKKRDITGIYHGWQNMNEERTLWKLGTLPPGLITFYNLTHPLERSWHVLGLGYDPALNQSEIKNAAVVHYNGNYKPWLDLAIAKYRSYWSRYVKFDNPYLQLCNINSFMLS >cds-PLY88696.1 pep primary_assembly:Lsat_Salinas_v7:5:67077817:67079761:-1 gene:gene-LSAT_5X31741 transcript:rna-gnl|WGS:NBSK|LSAT_5X31741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGDWNCRSCQHLNFQRRDSCQRCGDSKHGGGGGYVGDFGSFGGGGGGSGGYGGDFGSFGARGGMNLSVFGFTGPDVRPGDWYCNVGNCGAHNFASRSSCFKCGAFKDDLATGGGGFDCDMVRGRSFGLGGGSGGSSRSGWKSGDWICGRPGCNEHNFASRMECFRCNAPREPASKSSY >cds-PLY76062.1 pep primary_assembly:Lsat_Salinas_v7:9:29820756:29821599:-1 gene:gene-LSAT_9X26241 transcript:rna-gnl|WGS:NBSK|LSAT_9X26241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKIVTTFSGIYPLNPQYFQVKNMFHLSKLTHFFSHITYLENCHIITRGVAAAVYLAVNSLLNVSMQSEQFRIAMDALDVVHVNKENQENICEMLAAVLWLGNVTFSLVDDENHVEPVVDEALQTVAKLLGCRIEQLQLALSSSEMQVRGEKFIKKLTLSQVIDARDALAKSIYSSLFDWLVEQINKSLSTGKHMSGR >cds-PLY77576.1 pep primary_assembly:Lsat_Salinas_v7:2:164179805:164181262:1 gene:gene-LSAT_2X87080 transcript:rna-gnl|WGS:NBSK|LSAT_2X87080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRNSPPGNIPANSYNDGNVQRQKPGGKLKSNNDHHPIDIGDQLSFRLNNHPPPLEDIDSPTEDDASLRDSYTSRMLFGPSTSHQLSPLKRSPWSSYVEKSLPDCSDSHSNYTGLLASLVREEGNIYSLAATGDLLYTGSDSKNIRVWKSQKEFSWFKSNSGLVKAIVIADEKIFTGHQDGKIRVWKVSPRNPSAHKRIGTLPTFKSVVKKSMKPKNYTEVKGTQRIWIKHFDAISSLSFSEDQSLLYSGSWDTTIKVWKVSDFKCLESITGHEDAINSVVTGFDGMVFSGSADGTVKAWRREFQGKRTKHFFIDNLLKQECAVTSLIVNQSGTVLYCGSSDGFLHFWERERLLSRGGLLRGHKLAVLCLAAAGNLVFSGSADTNICVWRREGGGEHKCLYMLSGHTGPVKCLAVEDERRVGMAVSRCNNSNRVWTVYSGSLDKSVKVWRVSTETETKSRQPTASAPSHHRRSSSSGQIFARRRD >cds-PLY68189.1 pep primary_assembly:Lsat_Salinas_v7:8:119261106:119262521:-1 gene:gene-LSAT_8X83161 transcript:rna-gnl|WGS:NBSK|LSAT_8X83161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDASEPIHPFIPADLILQDYVPIILSQSTILSVYGCASLLVVSFVWILAGRFPRITKLDKVLMCWWVFTGLTHMILEGYFVFTPEFFKQTSPTYLAEVWKEYSKGDSRYVGRDSAVVAIEGITAVLEGPACILAAYAIATRKSYSHILQIAIALGQLYGTAVYFVTSYLEGDNFAASPIYYYSYYIFANSFWVWIPSLIVIRSWKKICAAVDNTQEHKKTKRR >cds-PLY93667.1 pep primary_assembly:Lsat_Salinas_v7:2:201745035:201749496:-1 gene:gene-LSAT_2X122921 transcript:rna-gnl|WGS:NBSK|LSAT_2X122921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP88 [Source:Projected from Arabidopsis thaliana (AT5G05680) UniProtKB/Swiss-Prot;Acc:Q9FFK6] MRFNFDIPEPSSKGALTPPSQSRSLTPPSQSQSRSPSSATPANEEVEWVPLQNHPIFSNATATHSSNDIPKKRNLMAWDGASRLYYWDINTQSLHRISLRFGEPEPTSVLAASPTKVMRADVALNFMVDRITINRHGSALLLEGSDGIRVMYLYGSSSSKDSAVICRTVSVGSDVYFNTNNAIRTLKVSWHPYSDTHLGILSSDSVFRLYDLSVALEQPEQEYYLQPVERGRSRNASSICPVDFSFGGDHLWDRFSVFILFSDGSVYILCPVVPFGSVYKWESVLEIYNDAQTFGLKASSSKAVSNANLAISWLEATFPDLAEQAAEGGNQPALKSHPYALFDASVSLQGPLHKVYHGKEEDLSELKVSECEGRAVSFLYKAVSKDSVLVTAFSSGQLQIDALADEIQPVWTPGNQPRLSVNSQDRIIGVAMICETHSNELSVDHDIWLGHSPPLLKLGTVDLALPGKPESGSLISLFVDPLIPERIYSVHDGGVDSIVLHFLPFTNQKNGIDDDIRAPSVQSVLSTCQVESSVSALCGFVALSDSFGCSWIVGLTSGFECVVIGMESWNLLLPARIDKEKKFGNGNLEEAGDATIISKELLMGPKVVIVPPTSQNPVAADSIEGRSTLHQYFKLFHENYVEYAHKVYFELKHHGPQLKKIIDDQHARLREAQQKLAKVEEKQEKLEKRIDHVIQTHDHLEERLLNLKNLPGIHKKPLSKAEREFKMELDRFRGLELDALHTTIEAINGRIQRYSSSPQTKKPNQRRQIAGRRKGNAEDDEISHLKSSIAKLSIVNNENTKKVKLVDSALKNRESNL >cds-PLY90637.1 pep primary_assembly:Lsat_Salinas_v7:6:54871641:54872648:1 gene:gene-LSAT_6X40101 transcript:rna-gnl|WGS:NBSK|LSAT_6X40101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVVVASKMIAVIPVFLVICVVYFFHCWKRLKTVFSIVLGHVSVLKHEQLGEDIDLTRYVLQLQDEMEFPDRTLKRISKSVKRLIEKAEKHQPKNLMNLLEKSPGFEGVGKFDSHHVRPLLSEEYLNCWSLTLITLTTIAMSLPNMDKNIVDSLLSGVSEGLVYATLVEESLNNTDDHVIIQRAAKTLWLEVDVYRKWLGNRLQKDAPLAKTTRQILQWLSDKAKNIVTEVESTDIGGRNDNLRYKSICANSMYRITQTILLSYHENIELFAQLSSMIGDILAACLTNLPQVIAMKCHTSVIEKREASVQVAAQLLGKTTQIINILQDRELQFQA >cds-PLY99873.1 pep primary_assembly:Lsat_Salinas_v7:4:46021952:46022131:1 gene:gene-LSAT_4X31340 transcript:rna-gnl|WGS:NBSK|LSAT_4X31340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGLWRTTSLYHYNPLHPLHRKHTLLLQNAIEFIVKSQFNKSSGSTLFPDWECTQSVKK >cds-PLY67140.1 pep primary_assembly:Lsat_Salinas_v7:5:292272997:292273963:-1 gene:gene-LSAT_5X152821 transcript:rna-gnl|WGS:NBSK|LSAT_5X152821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMIMKNITPRFFYYGSNNATYPTFIRSDTTFDTTFWCCCNPSVQFQCRHVIQPLNDANQIGGLPKSGALSQHDLSYTLIGEKRGLSGML >cds-PLY80743.1 pep primary_assembly:Lsat_Salinas_v7:3:85386851:85387957:1 gene:gene-LSAT_3X66300 transcript:rna-gnl|WGS:NBSK|LSAT_3X66300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIFHLFILLSSNLLTLFIFPTFYSPNCSLIPPPVINPSTIQPPSDHHLPPEFLAFTSPKHLPFGMNSNFDSDKLYPPVGSPCTLFQELKNYMSYPVNGSCPDDELLAQKLLLKGCEPLPRRRCRPPSLPDYTEPYPLPKSFWSTPPDSSVIWTAYTCKNYSCLINRSHNQKHFDDCKDCFDLEGREKTRWVIKGKTGTDFSIDEALAMKKHGTIRIGLDIGGGVGTFAVRMMERNITIVTTSMNLNGPFNSFIASRGVVPMYISVSQRLPFFDNTLDIVHSMHVLSNWIPTTLLHFLIFDIYRVLRPGGLFWLDHFFCVGEELEGVYVPLLESVGFNKVKWVVGKKLDRGPELNEMYLSALLEKPLF >cds-PLY94514.1 pep primary_assembly:Lsat_Salinas_v7:2:158876021:158878212:1 gene:gene-LSAT_2X83221 transcript:rna-gnl|WGS:NBSK|LSAT_2X83221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNSNDEVTLNGGKIEPVPPPLPGSSTTSINHPKGSITSKNRSSWIRRDCCISSPTNESWNGLFDEAYRADVKIYTDNDIIIYAHANILGFTSPVFRSMFTKSKNRHRISIRGVPPEAVRTFIRFLYTSCYEASQMEKHVLSLLVLSHAFAVPQLKRECESHIENGSLNVENVIDVFQLSMLCDAPRVSLICHRFVLKNFKPASSSPGWKAMRTSHPKLEKKLLEFIRNEDRRQKRKVRKKKEKKVYLQLYEAMEALVHICKDGCRTIGPQDKALKEDQEPCRYAACKVLESLLRHFAGCKLRVSGGCGHCKRMWQILELHARICADSEGCKVPLCRKNKQKIAKEMKKKRKRKEEMKWKILVGKILRTKSITGGPHFKLA >cds-PLY81326.1 pep primary_assembly:Lsat_Salinas_v7:4:37160224:37164186:-1 gene:gene-LSAT_4X24681 transcript:rna-gnl|WGS:NBSK|LSAT_4X24681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKFLTIFLLRVHKYGDPTLERFLIARSMDPNKAAKMFVSWQKWRASFVPSGFIPDSEVIDQLEAKKIYLQGLSKDEFPVMIIKANKHYPAKDQPQFKKFVVHLLDKVIASGFKGKEIGNEKLIGVLDLHQICYKNVDARGLITGFQFLQAYYPERLAKLYILNMPWFFVSIWKMVSRFLEKATLEKVVIVSNEEEKNQFIREVGEEVLPEEFGGKAKLIAIQDVVLPSLED >cds-PLY88410.1 pep primary_assembly:Lsat_Salinas_v7:4:155597863:155600270:-1 gene:gene-LSAT_0X13420 transcript:rna-gnl|WGS:NBSK|LSAT_0X13420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGASTKWIKSLIGYQKSNSNSSDQEKVGGNKTRTWKLWRSPSAGSSSVSSSKGIKGGGRLSTSDGSRSEDVSFSAAVATVVRAPPKDFMFVRKEWAAIRIQSVFRSFLARQALRALKALVRLQAIVRGRLVRKQADVTLRCMQALVRAQARVRAQSANTTQEFPTALRNHLSAIKQSEGGWCDSRGTAEEVRVKEQMKQDGANKRNRAKAYAFYQQKQRANSNSKSSSPRSGMQNSEWTWLNGWMAAKSWDNTSSSKFSSQIKNYDDRSVKSCSEHESMKIKKNLVSTRVSLKPFMSSPLTQSSSTNPCSGSVYDESTTSTNSSSSTSETRLSSESKPSYMSMTESIKAKRKGQGRNYFSNASPLSKGVAARRSADSDLYTSELGKDLYPPMFVDRYDEVRSRRG >cds-PLY70873.1 pep primary_assembly:Lsat_Salinas_v7:9:15961231:15961761:-1 gene:gene-LSAT_9X17261 transcript:rna-gnl|WGS:NBSK|LSAT_9X17261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDGSVMLPLSEMQPEEGFALREWRRENALRLEEKEKIEKELLSQIIDEADDYKIKFHSR >cds-PLY83527.1 pep primary_assembly:Lsat_Salinas_v7:8:188098672:188099202:-1 gene:gene-LSAT_8X122340 transcript:rna-gnl|WGS:NBSK|LSAT_8X122340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINPKKLARITRNLQNLAALRPKRITVPRVAGCADFFGKGCFVVYTSDEIRFVVPLDYLKNEIFKELLEIAEEEYGSQRDGPIKLPFKATFMQYTVYLIEKQMGKDLEEEFRTTITSWRCLSTTNVRLQVHPLLLVG >cds-PLY92006.1 pep primary_assembly:Lsat_Salinas_v7:8:239701532:239703756:1 gene:gene-LSAT_0X19000 transcript:rna-gnl|WGS:NBSK|LSAT_0X19000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQVNTQIDHRDTKSSGNYDATTLVKSGNLDNAYNNTMTKVDAAKANFDKLTQMRSVSLEHHKVKESLEKLKGRVENFKDMPSETLEEELQVLISDKSGETQYRESMQNQIDTIKVTEIEDAAATIEEVASIEEAIAIEEVSTIEEAAAIEDATATIEEVATIYEVATIGSNDDRGRTHRPTTASGVDE >cds-PLY95502.1 pep primary_assembly:Lsat_Salinas_v7:4:142514595:142518787:-1 gene:gene-LSAT_4X87321 transcript:rna-gnl|WGS:NBSK|LSAT_4X87321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLLPDLATQIIIPVCAVIGIIFSLIQWALVSKVKLTPDRNGSPANNNKNGFNDSLIEEEEGVNEHNVVAKCAEIQNAISEGSTSFLVTMYQYVGIFMVVFAILIFLFLGSVEGFSTKSKQCTYDVHSMCKPALATAFFSTISFLLGAITSVLSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLFITINLFKLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEYTAMCYPLLVSSVGILVCLITTLFATDFFEIKAVKDIEPTLKNQLIISTVLMTFGIGIVSWIALPSSFTIFNFGVQKVVHNWQLFLCVCVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAIGIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGIEVVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGIMEGLAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTFFGVETLSGVLAGSLVSGVQVAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLLFKLF >cds-PLY93734.1 pep primary_assembly:Lsat_Salinas_v7:6:145930822:145932992:1 gene:gene-LSAT_6X88360 transcript:rna-gnl|WGS:NBSK|LSAT_6X88360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 20 [Source:Projected from Arabidopsis thaliana (AT2G35380) UniProtKB/Swiss-Prot;Acc:Q9SLH7] MAASLLRLHFHDCFVLGCDGSVLLDDFEGVESEKKAGPNLNSLRGFEVIDEIKYLVEEACPCIVSCADLLAIVARDAVALRGGPKWNVYLGRRDSMISSMNGANKFIPSPNSSLETLIANFRSQGLGIQELVALSGSHTIGKAKCKSFRQRIYDYKDSMSSSYNHHPKDEEFQKVLESICPTSGRDDALAPLDFMTPTRFDNQYFHNIKSGNGLLISDNVLLYDGVEGEIRDLVWAFALDEEYFFGLFAHSMIKMGNIHVLTGKQGEIRKNCRFINT >cds-PLY86849.1 pep primary_assembly:Lsat_Salinas_v7:1:16428897:16430836:-1 gene:gene-LSAT_1X13520 transcript:rna-gnl|WGS:NBSK|LSAT_1X13520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQPSVILATASYDHTIRFWEAKSGRCYRTIQYPESQVNRLEITPDKRYLAAAGNPHIRLFDVNSTSPQPVMSYDSHTNNVMAVGFQCDGKWMYSGSEDGTVKIWDLRAPGCQREYESRAAVNTVVLHPNQVPEVDTAVRSLTVMWDGSLVVAANNKGTCYVWRLLRGTQTMTNFEPLHKLQAHDGYILKCLLSPEFCEPQRYLATASSDSTVKIWNVDGFTLEKTLVGHQRWVWDCVFSVDGAYLITASSDTTARLWSMSTGEDIRVYQGHHKATVCCALHDGAETTAT >cds-PLY89231.1 pep primary_assembly:Lsat_Salinas_v7:5:311341816:311343045:1 gene:gene-LSAT_5X170001 transcript:rna-gnl|WGS:NBSK|LSAT_5X170001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCPSLDNNGLGPVLGNSQRVLSRSGWYSTNQFLLEVIFRNRMKQYECLTNDSSTASAIYVPYFAGLDVSRYLFDHNTSSRDAISLDLAEWLRKRPEWEINSGKNHFLVIGRITWDFRRDTDDDDAWGSKLMSLPEFKNMTILTIEKSPWHNNDFGIPYPTYFHPGNDLQIIDWQSKMKRQRRRALFSFAGAPRPGIEDSIREKIIEQCLASIPKCRLLRCSYYNLKCYQPIDVMRLFQNSVFCLQPPGDSYTRRSTFDSILAGCIPVFFTPGSAYIQYIWHLPRDFHKYSVLIDEEDVHHNNVSIEQVLSQIPAAKVAEMRDEVIRLIPQVVYADPKSKLEKFKDAFDLSVDGVLERMDHLNKSESSFDFDEKSSWKYLLSGSVGKHDWDHYFRYSRNQKVKKSETEDN >cds-PLY70537.1 pep primary_assembly:Lsat_Salinas_v7:1:72159908:72162301:1 gene:gene-LSAT_1X61401 transcript:rna-gnl|WGS:NBSK|LSAT_1X61401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVTSTIAGAAVAVLLQTAFVLCAFPTILKLERAFPTNHLVELNELRYKDSFRHPRILQQQHQQSSSAASVVVFPVQGTYDPYRVGLYFTRVQLGSPPKEYYVQIDTGSDVLWVSCSSCDGCPRSSGLEIPIQFYDPSTSSTASLISCSDRRCSLGTQSSDSGCSNSNHQCSYTFQYGDGSGTSGYYVSDLIHLDTIVGDSKPSNASASIVFGCSTSQTGDLTKPDRAIDGIFGFGQQGLSVIAQLSSQGIAPDAFSHCLVGNGGGGGILVLGQIIEPTMVYTPLIQSQPHYNIDLLSISVNGQPLSIDPSLFATSGNRGGTIIDCGTTLSYLAEEAYDPFVDAITQSVSQFVRPITSKGDQCYVISSSTPEIFPTVSLNFAGGASMILRPQDYLLQQNSVGGASLWCIGFQKIRGQGITILGDLVLKDRIVVYDLGGQRIGWANYDCTSSVNVSTSSSGGRSEYVNAGQIGGSSSGSSLQNNPYKLFLIVVAFVPHILVIAVFFPSKIIHVHRYRLI >cds-PLY64182.1 pep primary_assembly:Lsat_Salinas_v7:8:125344960:125346227:-1 gene:gene-LSAT_8X87760 transcript:rna-gnl|WGS:NBSK|LSAT_8X87760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGDTESMAIASMWASMSSWCSPTPTMLFCIMNLIIATIFIASKSNNTKHHDHVRWNSFGPIGRVSSFLERSARSVNLSSYTTIITTTTAAESQHQCPSPSKTAKYSSLSRLAQSIHFPSGDETRSPLRSSVSSPPEFSNAGDEMSQSESERQPPSQNVRAPSLLERVKSVNFSSVYSDNTVQTESKQICNSPAQLGRPPSFFDRIKSFKISSAFKIGAPSTLPENFDREPAHNQDHHVIKSKSEKTWAKKPPVEIKKSRSEIMISSDDEEDVDARRPETARAMRNADDEEFDLKADDFISRFKQQLKLQRVESLVRFRDMLNRGT >cds-PLY81397.1 pep primary_assembly:Lsat_Salinas_v7:8:32039071:32040570:1 gene:gene-LSAT_8X25101 transcript:rna-gnl|WGS:NBSK|LSAT_8X25101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDGQPLQDSSAIIDQMRTKLSPVKSTSVVNDDEEEKKWRRWVDDHLVHMLSPNIYRNTSEALESFNYITSNGNFSYTEKYTVKYAGAAAMYFVSKKLKKKYNITDERTALYEACETWVDALDGRDFLGGLKPNLADLAVFGVLRPIRYLTSGKDMVEHTRIGEWYARMENVVGESSRVKA >cds-PLY63510.1 pep primary_assembly:Lsat_Salinas_v7:5:326865329:326867785:1 gene:gene-LSAT_5X183201 transcript:rna-gnl|WGS:NBSK|LSAT_5X183201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLKAADEIGLREDFTQDGTTDLNGRPVLRPATGRWKACYFMLGYEVCERMAYYGIATNLVLYLTREMKEGTVKSSNSVTNWVGTVWITPILGAYIADTYLGRYWTFMVASVIYLVGMSLLTLVVTIPSLKPPSCGKNVSYLDCDERPSSFQIGIFYCALYIIAVGTGGTKPNISTMGADQFDDFEPKEKAQKLTFFNWWMFSIYFGTLFSNTFLVYIQDNVGWGVGYMIPTVALLAAMVVFIVGTPQYRHKPASGSPITKMAKVLTATIHNWNRALPDDPKELYELSPDKYSGPGKYMIGHSTSLRFLDKAAIRTEEPFSEWRFCPVTQVEQTKQMMKMVPILIAMFIPSTLGAQTHTLFIKQGVTLVRSMGPHFEIPPACLSVFVTIFLLISIILYDRFFVPTIRKYTKNPRGITLLQRMTIGLLLHVFIMIIASVVERKRLSVAKDHGLIGNDQVVPLSIFILLPQFALMGIADSFLEVAKLEFFYDQAPEGMKSLGTAYWTTSIGIGYFLSSFILSTVADVTKRNGHKGWILDDLNESRIDYYYGFYAILSFVNLLFFLVAAKYFVYNTEVNESANELQEDIGKFTEQGYIAS >cds-PLY93891.1 pep primary_assembly:Lsat_Salinas_v7:4:270551675:270556169:1 gene:gene-LSAT_4X139120 transcript:rna-gnl|WGS:NBSK|LSAT_4X139120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGASALNSNSTTTIRIVVAGDRGTGKSSLIVTAAAEAFPANVPPVLPPTRLPEDMFPDRVPVNVIDTSASMENRGRLAEELKSADAVVLTYACDKPSTLDRLSTFWLPELRRLEVRVPVIVVGCKLDLRDEQAVSLEQVMSPIMQQFREIETCIECSAFKHIQLPEVFYYAQKAVLHPTAPLFDQEAQTLKPRCVRALKRIFILCDNDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKRVVQDKLSEGVNENGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIRLSDDQLLPPITRSPDQSIELTSEAFEFLRGVFSLFDIDGDGALNELELEDLFSTAPENPWNEAPYANAAEKNALERLSLDGFLSQWALMTLLDPIRSVENLIYIGYSDDPSSAIRITRKRRVDRNKKHSDRNVFQCFVFGPKESGKSSLLNSFVGRPFVEGYTPTIEERYAVNVVDQPDGTKKTLILREIPEDAIEKLLLNKDALAACDIAVFVHDSSKESSWIRATELLVEIASHGESTGYEVPCLIVAAKDDFDPYPTAIQDSTRVSQDMGIEAPIPISTKLGDFNNIFGRIMRAAEHPHLSIPETEAGKTRKHYHRLLNRSLMVVSVGAAVAVVGLAAYRVYATRKNA >cds-PLY82917.1 pep primary_assembly:Lsat_Salinas_v7:6:133239961:133241544:-1 gene:gene-LSAT_6X80821 transcript:rna-gnl|WGS:NBSK|LSAT_6X80821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFMYTFKEDYIYIFKACIDCCVSRITRKAEADAIKEAGRIKIEHEGHPQHTLSLKLRPNVVLCDACKAKDEGLFYECDSCDFWIHKTSASLAHTIDLPHHPNHKLVLVYSLQEIFFSFWYYCEICNAYIQRNEWLYHCANCRYFVDIRCALNAEQPSGNLSDPIEVCQGYVRPLTLPYYSCKDGCSFALHKYCAELPLKLQHPLHQDHSLVLINAAGRGRNDECIGCFSDGNMYLYKCETCEFYLDFNCAFLPKTIKHKSHKHPLIQVVDPEPLCNACDKYSRGISYACKSCDFILDMYCAMRSPHSLAHRYCKGHKIPLMYPPIMDHPEDFYCDICEKEMHPKLPLYYCHKCKNSFHLDCISRIDYQANMLYKGTRNVSCHKHQLTFVRRKKTPKYVCFVCNQDINGYLILECPASVCNFNICYQCHLRK >cds-PLY70702.1 pep primary_assembly:Lsat_Salinas_v7:4:259389928:259393259:-1 gene:gene-LSAT_4X134421 transcript:rna-gnl|WGS:NBSK|LSAT_4X134421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQSCSASGYDTYLSFNQANILEILVLGLEESLKKTGGYPGKSEKEPPSPLMWTLFYFARIPLGLKLQGRPDKGQGGGIGAVSTRGSSWTCAAFD >cds-PLY81543.1 pep primary_assembly:Lsat_Salinas_v7:2:127205663:127205998:1 gene:gene-LSAT_2X59560 transcript:rna-gnl|WGS:NBSK|LSAT_2X59560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREEVHDSEVERQVLAEQNNIVACEKAALEDQVANLVDQSERLEDQVSSLTREKDVPASRLARCQCQLARARAEGVIARGSLQWVLQKGLVRVIDRVIESTKISKGVQDFS >cds-PLY67712.1 pep primary_assembly:Lsat_Salinas_v7:4:2980325:2981407:-1 gene:gene-LSAT_4X801 transcript:rna-gnl|WGS:NBSK|LSAT_4X801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGWTHMLSKWVLYSVELILSRYQKSILETEEEEEERSTQEKASQDYMEGTNYPCTRFRTCKELLESVRRVDEEGNKVSVSDMTELEEELSAALMHTRSRKTQLMMERISSLHEQEKKLTEEKEEMKQQVASAAKQKGYLDDGASSHCYKTNSSQLLITLPLFNFKDLD >cds-PLY75755.1 pep primary_assembly:Lsat_Salinas_v7:3:67404060:67405500:-1 gene:gene-LSAT_3X52680 transcript:rna-gnl|WGS:NBSK|LSAT_3X52680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARMLLTLEEKDPRRIFEGEALMRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKTGMAKSIHHARVLIKQRHIRVGRQVVNVPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQKAAAKKASGGDADEDDEE >cds-PLY80385.1 pep primary_assembly:Lsat_Salinas_v7:3:238853226:238854737:1 gene:gene-LSAT_3X132541 transcript:rna-gnl|WGS:NBSK|LSAT_3X132541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVLALSYKHLPDHLKVCFIYFGAFPEDFPIPVWKLLNLWVAEGFIQQKGQDCLEDLAEDYLEDLVERNLILVAKRRSNGRIKTCRVHDMLHDLCLKEVSEEKFLQVIKGYQILDSNSLIIPYHRRVYVHSHVMNVIHSRPCGPHVRSFLYFPCEEKELSREHTSFIHESFKLVRVIDMMSINISRFPIEITQLVHLRYIALFGNFKVVPPSISKLWSLKSLIVDTTSRDLDIQVDIWKMSQFHHLHTSGSSRLHGPKAKTRMDNEDPFVQRNIQTISTISPDSCTENILARTPNLKKLGIRGKLLLLMEEKRSGITCTPSLFDNFAKLDNLEKLKLLNDTFPRPPPEGKLRGLPSLYKFPPYLNKLTLSDTLLDWKHMSTIGMLPHLQVLKLKVYAFKGAEWEALDGGFRLLKVLQIGKTDLVVWKASGHHFPRLEHVVIEQCSNLLGIPVGLADVSALKTLELYHTPSAVDSARLIQQQKKRQQQMVMTSHGFKLLIYPPE >cds-PLY72013.1 pep primary_assembly:Lsat_Salinas_v7:8:147507608:147508848:-1 gene:gene-LSAT_8X99301 transcript:rna-gnl|WGS:NBSK|LSAT_8X99301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLSTQRTTDLTAAVYSATREVSRMSLRVAEDDSRIQIHLPADNIHWEMLDKSKFFFLGAGLFSGVSAMLYPVVVLKTRQQVLLKDTPCFKMASSILRHEGFRGLYRGFGTSLMGTIPARALYMGALEMTKSNLSYTAVKLGLSEVKAAAIANTAAGLSAAMVAQLVWTPVDVVSQRLMIQGGKGANMRSLSSVMYNGGFDAFSKIIQKDGVRGLYKGFGISILTYAPSNAVWWGSYSMAHRWIWGGVLSHTGVGGGLTSDSAAVVAVQGLSATIASGFSALVTMPLDTIKTRVQVLDGEGGNRRAFVTQSVRDLVKEGGLSGCYRGLGPRWASMSISATTMITTYESLKRMCTN >cds-PLY72938.1 pep primary_assembly:Lsat_Salinas_v7:1:90990267:90993371:-1 gene:gene-LSAT_1X76220 transcript:rna-gnl|WGS:NBSK|LSAT_1X76220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKNRKQSIVKDGYEPSADEDEDSYEKSDTERAVPVEIFRRRNRGKRRLKKNGCNSQDPLVVCGGDIMLTILSFLDAHSVASSLVVSRRWRRVASSDTIWSEKIKELWADKAHLPRFALVEGLSKLSAYTLSIHDGKRGAPEYWRDLDPHWRGTGQPMHRYFHEDGSQTADVDDQVWGGHECCYSIVTSFLADGRIREHYVRINSWAQLSISRRHDWGWEMSNRFCFYSSVPDAYKPGGTGPYLPVH >cds-PLY75040.1 pep primary_assembly:Lsat_Salinas_v7:2:67562097:67564379:-1 gene:gene-LSAT_2X30961 transcript:rna-gnl|WGS:NBSK|LSAT_2X30961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARLQALKEEIRTMIRKENEKVEHPLSVLNLVDDLQRLGISYHFEEEIRDVLEKLYYRSQDKWSKMDLNLKSLYFRLFRQHGYHIPQEIFEDLKDKRGNFNGHFNEDIVGILNLYEASYYSVEGESLLDDARDYATRYLKENLKNMVDQNMSSLISHALTFPLHWRVPRVEAKWFIEAYEKRNGTNSTLIELAKLDFNTVQAIHQKDLKYASRWWKETSWEKFGFARDHLVESFMWSIAVNYRPNFQGRTTLTKIFAMITTIDDVYDVYGTLHELEQFTDVVSRWDVNMIEELPHYMRICFLALYNSINEIAYSTLTNKEFFILPYLKRTWHDLCNSYLIEARWYNNGYTPTLNEFLTNAYVSIGAGVVIMHAYLLTLTSVTKKELEHIGRAENIIRHASVIVRLTNDLATSSEELETGDVPKSIQCYMQESGATEVEAREYIERLILETWKKLNKERQKIGSLFPQEFIECVTNLARMGHFAYDVDKHAYSDMMRTHVLSLFVNPIQGLA >cds-PLY91768.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1851925:1853729:-1 gene:gene-LSAT_0X220 transcript:rna-gnl|WGS:NBSK|LSAT_0X220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNPKVFFDILIGKAKAGRIVMELFADVTPKTAENFRALCTGEKGLGTCGKALHYKGSAFHRIIPNFMCQGGDFTRGNGTGGESIYGAKFADENFKIKHTGPGVLSMANAGPNTNGSQFFICTDKTSWLDGKHVVFGKVVDGYSVVKEMEKVGSDGGTTSAKVVIEDCGEVKEN >cds-PLY86228.1 pep primary_assembly:Lsat_Salinas_v7:8:55221753:55228961:1 gene:gene-LSAT_8X40481 transcript:rna-gnl|WGS:NBSK|LSAT_8X40481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGYFVTVILPYMRNALDLNNFPDDFSRDYGKQQLDDSSSSASGIYRKKKNGAKDESGKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNRARQLVFSNDNLLPQIPHQLGGQPVVHGGFHHPAGCNMGSTVYPTRLFSGTSTTILPPAPPQPPPQMYTSPTPRLSNTYSSQYPSSHMINDYFVGHVCSNNPPPFSLQNINGTTVPPPDSTNNYTCIGAPVGQGFTVAGGSGGGGGTDMSPSLVNRYHQDGF >cds-PLY79698.1 pep primary_assembly:Lsat_Salinas_v7:5:252350122:252350373:-1 gene:gene-LSAT_5X127240 transcript:rna-gnl|WGS:NBSK|LSAT_5X127240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKVTNLTLVLMCIAAGSLLICASSSKQEFVLHGYDMQVREDTRDILNKHGFDEPILKYYWRRAMLENAPARLAPGGPDSHHH >cds-PLY70263.1 pep primary_assembly:Lsat_Salinas_v7:4:205716102:205716570:-1 gene:gene-LSAT_4X116200 transcript:rna-gnl|WGS:NBSK|LSAT_4X116200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVMGSMSLENGFQMGCYDLEKWQQNNEFLIAKSLENRCEMAVTGSYKGGGSSSISSSVSDGFHEEGKRGCKKVDFCYDIQSKDK >cds-PLY76918.1 pep primary_assembly:Lsat_Salinas_v7:2:199953007:199956195:1 gene:gene-LSAT_2X122340 transcript:rna-gnl|WGS:NBSK|LSAT_2X122340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPDHIAFLFSNLVIFLIFATNSAFGGGNETDYQALLKFKSTIRNEEALSSWNSSFDFCDWSGVSCGKQNKKVTALWLESQGLEGSLSPYIGNLSFLRLFSLKNNSFQGSIPHELGRLSRLRGLDLGFNKFDGFIPSNLSRCSNIEKLALHDNKLVGSIPKEISFLSKLAFISLHHNNLTGGIPSDLGNITSMITFSAADNPFGGRIPASLGNWKNLIQFFCGGCNLYGTIPHSMYNLSLLTNFSLSANQLTGSLPSAMGEMLPHLEFLQLRNNQLTGPLPPSISNCSKLGILELMDNNFIGKLTIDFAKLRDIYKLLLGNNIYGFGEADDMKFIDTLKNCSRLEILDLSSCEFQGVLPTSIGNLSDQLAYLNLGGNHLYGNLPSGIANLIGLTTLFVDENSLTGKIPSTIGRLQWLQNADLSKNQFSGPIPDDIGNLSLLTNLWLQSNRLEYIPSSMGNCRNLLELHLDDNQLGGRIPKQLLQLSSLTIMLNLSQNNLFGSLPGEVGDLKMLTSLDLSNNNLSGNIPSSIGGCTSLVFLSLKGNLFQGKIPSSISSMRGVSTLDLSHNNLSGQIPEFSERFSFEYVNLSFNNFEGKVPVIGVFANGSSFSVLGNSRLCGGLAELGLPKCKEMEKHKKRLPLFVIVILTTSIIFTVLCLVYALCKKRKGQAYPASRDEQFLKVSYGQLLKATNGFCEANLIGEGGFSSVYKGILDDHDDIIVAVKVLHLQNRGAHKSFIAECEVWRSIRHRNLVKIITSCSSVDYHGNDFEALVYEFMPNGSLHDWLHSSASNSRLSLLQRINILLDVACALDYLHNHCLPAIIHCDLKPSNILLDDDMVAHVGDFGLAQFHGTNSNKNSTSGIKGTIGYAPPGKLSSQYGVGSEMTSSGDVYSFGILLLEVITGKRPTDGIFNKGLSLHKFAHMGMPDHVNDVIDDELLNFLQEDAIATQSTLAYSKKVEKCMALTVKIGVSCSLDSPPQRMHIKNVVHELQHILEMLQNI >cds-PLY79115.1 pep primary_assembly:Lsat_Salinas_v7:2:16338239:16341609:1 gene:gene-LSAT_2X10961 transcript:rna-gnl|WGS:NBSK|LSAT_2X10961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 43 [Source:Projected from Arabidopsis thaliana (AT3G46980) UniProtKB/TrEMBL;Acc:A0A1I9LP04] MNSPAAVNRPLRFSPATIFQNPRRIRIRSIRLPHQLDESSSCCTISTLTSSKRIESQHHGYKVRSSSHLLRKPRVSSDDAQPSVPFYESEVSEPSFLEFITSERVKVVAMVALALALCNADRVVMSVAVVPLSQSRGWSQSFAGVVQSSFLWGYLISPIAGGTLVDYYGGKVVMACGVALWSMATFLTPWAAENSLWALLSMRALLGVAEGVALPCMNNMIARWFPQTERSRAVGLAMAGFQLGSAIGLTLSPILMSQGGVGGPFIIFGLSGFLWVLVWVSATSSTPERSPQISKYELQYIQSKRKKSIKDETSQTKSTKIIPPFRRLLSKLPTWSLIIANSMHSWGFFVILSWMPIYFKTIYHVDLRQAAWFSAVPWSMMALVGYFAGVFSDNLIQSGMTITLTRKIMQSIGFIGPGFALIGLIMAKSPVVASAWLTLAVGLKSFSHCGFLVNFQEIAPQYSGVLHGMSNTAGTLAAIIGTVGAGFFVELVGSFQGFLLLTSFLYFSAALFWNIYSTGERVNFDEAN >cds-PLY81813.1 pep primary_assembly:Lsat_Salinas_v7:3:31389270:31390208:-1 gene:gene-LSAT_3X21801 transcript:rna-gnl|WGS:NBSK|LSAT_3X21801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEISLISDTLTAIATKYGHGLGLSNFITDRNCSSYDQQLQTYALMVAIDAIAPPSVKPRVAPKKLARKRCRVKRSSKIDGGGPYVDEDGGGFFDGGDGPFGGGGGGGGGSGGNFDGFNWDESLPASPSDPAFDFIYEMLCWIVFSNCLHFAFKKVIRILADGVADSEREKVPLRFTPIC >cds-PLY61783.1 pep primary_assembly:Lsat_Salinas_v7:8:77099048:77100556:1 gene:gene-LSAT_8X55481 transcript:rna-gnl|WGS:NBSK|LSAT_8X55481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSANGSSAVKKSPKSSAKRVRGPPQSSVDTFAVQCNECFKWRTLTTEEEFEEYRSKQSEDPFVCKKLEGIECDSPADIEYDSSRTWVMDKPNIPKTPKGFQRIIILRRDYSKMDVQYVTPDGTRIRAAPGIITYLKEHPEYSDISATDFCFTSPKVMSDTIPEHIEKKSPGGSNKKPKKSS >cds-PLY63245.1 pep primary_assembly:Lsat_Salinas_v7:4:201262967:201265090:1 gene:gene-LSAT_4X111701 transcript:rna-gnl|WGS:NBSK|LSAT_4X111701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium sensing receptor, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23060) UniProtKB/Swiss-Prot;Acc:Q9FN48] MALRASATNRLHHHQPPPPSSSSPKTPHPFKSTPRTQPRPDSSLSLSTSTTLSLVALFTAPIEANAFTISKDEIFSSLTQVEEKIDQVQKVSYDVYDFTANAIKTVVDFLKPAADVAMPVLKQAGGEAVRMATPVFSEASKKAQEAIQSSGFDTEPVYTAAKTVADAAGQTGKVIQEAQPIASNTMQTISSADPATIAVTGGALVLAYLLLPPVFSALSFNFRGYKGDLTAAQVLDLVSSQNYTLIDIRSEKDKDKSGVPRLPSSAKNKMIAIPLEEVPSKLKGLVRNTKKLEAEIAALKISYLKKINKGSKIVILDTYTDSAKIVARCLTELGFQNCWIVADGFSGGKGWLQSRLGTDSYNVSFSRVLSPSRVIPAVRSFGTTSATKLLSD >cds-PLY70815.1 pep primary_assembly:Lsat_Salinas_v7:4:59360001:59365506:-1 gene:gene-LSAT_4X39701 transcript:rna-gnl|WGS:NBSK|LSAT_4X39701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSATSLAIMNTRNSPHPLGTGCHTKMSIGELGCTYASLILCDDNIPVTAEKITTLLKAANVHAESYWPALFAKLAEKQNILDLISNVGAGGGGGGGGAPAVAAPAAGGAAAAAPVAEEKKEEPKEESDDDMGFSLFD >cds-PLY92589.1 pep primary_assembly:Lsat_Salinas_v7:7:161956927:161958116:1 gene:gene-LSAT_7X94060 transcript:rna-gnl|WGS:NBSK|LSAT_7X94060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDLRAKGIASLGIIHEAGKIKHPTRKINACKSSIPIRGLGSATLLTSLVRYMRKLKMFGL >cds-PLY67033.1 pep primary_assembly:Lsat_Salinas_v7:5:282007997:282008296:-1 gene:gene-LSAT_5X148800 transcript:rna-gnl|WGS:NBSK|LSAT_5X148800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVDLSQKERAPPVPSLVCRGRKKRKQQGLLKHLLRFFVSERNAKGRGEIVRWKQGNNNNSASGCLAAMETAKEEENVRGGRCPLVCRKISTSGRGIFD >cds-PLY69680.1 pep primary_assembly:Lsat_Salinas_v7:5:214054451:214056535:-1 gene:gene-LSAT_5X97320 transcript:rna-gnl|WGS:NBSK|LSAT_5X97320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAHVVSFAFDGEEVPEVDTTMRSLTVMWDGSLVVAANNKGTCYVWRLLRETQTVTNFEPFTSYMLRMVISSNVSYHPSFVNLSGHQRWVWNWVFSVDGAYLITDGHTIVNDVLIVTETLHKRDVQEILEKFHPLGMFDRPIHVDTPLAAYFSEYITSEHLDEMHIEIMINTLYTEYLEDVYQFFQVSMYSLFLNLQISFYIFSSKKNFGTELGGATAEIMSDILAFEANKRAVNITINRYIHFF >cds-PLY79081.1 pep primary_assembly:Lsat_Salinas_v7:3:7875943:7876341:-1 gene:gene-LSAT_3X4180 transcript:rna-gnl|WGS:NBSK|LSAT_3X4180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFVPSCSSVGAQAGRVTAPARKRRSVHVALALDKETKFDDVGLRPRKVHMIVSTSRLLGSIGDVLGSTVPASMQRNKVVIPNSVMSHPLSFTTTSPIDLRSDSSVGSALGSPQGSHSVKEAFSGGQNKNYA >cds-PLY92461.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:224514:225891:-1 gene:gene-LSAT_0X14661 transcript:rna-gnl|WGS:NBSK|LSAT_0X14661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G65290) UniProtKB/Swiss-Prot;Acc:O80800] MAARNALLKYLRVNVTPVVQNPRVIGGGVIQLFRRHFSEEVRGSFLDKSEVTDRVVTCVKNFQKVDPSKVTPTAHFQNDLGLDSLDTVEIVMALEEEFGFEIPDSDADKISTITHAVELISSHPQAK >cds-PLY93267.1 pep primary_assembly:Lsat_Salinas_v7:6:158568452:158570273:-1 gene:gene-LSAT_6X96740 transcript:rna-gnl|WGS:NBSK|LSAT_6X96740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTNQVIRCKAAVAWEAGKPLVIEEVEVAPPQKMEVRIKILFTSLCHTDVYFWEAKGQNPVFPRILGHEAGGVVESVGEGVTELQPGDHVLPVFTGECKECAHCKSEESNMCDLLRINTDRGVMIHDQKSRFSINGKPIFHFVGTSTFSEYTVVHVGCLAKIKPLAPLDKVCVLSCGISTGLGATLNVAKPKKGSSVAIFGLGAVGLAAAEGARIAGASRIIGVDLNANRFELAKKFGVTEFVNPKDYKKPVQEVIAEMTNGGVDRSVECTGHIDAMISAFECVHDGWGVAVLVGVPHKDAVFKTSPLNLLNERTLKGTFFGNYKPRSDIPSVVEKYMNKELELEKFITHEVPFSEINKAFDLMLKGEGLRCIIRMGE >cds-PLY62638.1 pep primary_assembly:Lsat_Salinas_v7:3:37530545:37533833:-1 gene:gene-LSAT_3X27881 transcript:rna-gnl|WGS:NBSK|LSAT_3X27881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGRNLKYVFCAHGNHNSIRMKPEPDSFQKWIDNEIYIVVGTASSITSLSDTSTIPQNWFHFISKQQIPDFVDQSPDFVGIFVRFRDFLKKNKEPFLLLILRNDSGQEIAISLWRECTDVSDKFDRAAIENTVGPTVIAVTNVKIAPVAGSLMLGTTSASYVYINPPIAETTTLLNSFATNPTSLTTISAPPISLFDMKNKSHSDLLERTFTVTASIVDFKFTDTWYSVLCPQCRRPTLKQGNNWFCPSHGVANDPTYVYKLSAAITDPTHSMNVTLSDNTMQKLTATTSDKLINENEPDKRKTIPSIINEKKGATKKMAIQMMKTSTANNIRFIVTDVEGNNIHKSAIPTTPPPTIGLPSKTTDNHPTSSSNPPQSKFRRNLSYEHPEQMKNEEVNSVLLALIAYLREIADIIEAAQTKLQEGFTMEDSSHTTISTHADHPNSKILKNILQDATVEDQSMDDNISFMFGSIHKRIGKYKRTMKDSDTL >cds-PLY72969.1 pep primary_assembly:Lsat_Salinas_v7:9:123623540:123625794:1 gene:gene-LSAT_9X82641 transcript:rna-gnl|WGS:NBSK|LSAT_9X82641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQARARSFEMVKSLNYLYKVCNGTSASRSYFSVSFFKALSFVKSFSTLNSAAADESFHFRNPNEFPANVKNSTGYGNYWSIRDSNNQFDQNPDGVYRKNPLVVQKKPTVAYGQSYDCDRNYENKILEQSSNTLYEEGRNVQSSYWMNSGVSKEVIGGGNDQYGKYNGNVQGNQGNYNMGKDGLHRQRQYNINGSYGGNASSSGLVNYEVPIDSQHKEILYEPGEEKQLLGTIEELNAFCKEQKLKEAVEVLRMLELKKVTVEMPGYLFLMKACGETQALKEAKQVHNHLTRSVHHLDVHICNKILEMYSKCGSMEDAYKVFDKMPQRNLTSWDTMITWLAKNGHGEDALEMFTEFKKVGLKPDNQMFDGVFAACSVVGDMKEGLLHFESMTKNYNLVLSMDDYASVVDMLGSSGYLNEALEFIEKMPMKPTAEIWEIMMNQSRVYGDLELEDRCTEIVNFLDPSHLDKQSEKGLIPIKPSDNAKEKDKSAPLNLLEIKSKTFQFRAGDTSQADHEKLYTQLRCLKQHMIEVGYVAQTRFVLHDLDHESREEALLSHSERLALSQALLTSPPRATIRIMKNLRVCGDCHEALKIISKLVGRLIIARDAKRFHHFENGVCSCKDYW >cds-PLY67523.1 pep primary_assembly:Lsat_Salinas_v7:6:70026026:70028845:-1 gene:gene-LSAT_6X50001 transcript:rna-gnl|WGS:NBSK|LSAT_6X50001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFRMLTKHLQKHSNTLAHIIQTYTQSKQLSNGKLLHAQLITSGYPSSTYLINHLLSMYARCGQLGYAHRLFDEMPQRNLVSWTAMISAFSQNSEFAKAITTFCGMCVFGESPNQFAFSSVIQACSSLKSVQIGKQIHCLALKVGFSYELFVGSNLADMYSKCGSIIEACMVFEEMPSKDEVSWNSMINGYAKNGYNEEALVSFKKMLTEDVTIDQHLLCSILCVCGPLKTYNIGRSLHSFVTKLGFEQHISVGNALMDMYCKLGDMDSASQLLNINSKGTNIISYTSLIDGYVESDQIEKAFTIFIELKRQRIEPNEFTFSSLIKSCANHATLEQGVQLHALVLKYNLDQDPFVSSIIVDMYGKCGLLDHSLQAFEKISKPNEYTWNSLIGVFAHHGLGHKAIDVFEKMLLHDIKPNSVTFINLLNACSHSGLLTEGLSYFNSMETIYGVKPKSEHYSCVIDLLGRSGKLKEAENFIKSMPFEANAYAWCSFLASCRKYGDKERGELASKSLKIIDPLNSGAHVLLSNIYAKEQQWEDVKSVRKMMKDENVKKLKGCSWVDVDKRVHVFGVEDLCHGDKKEIDLKLDELLRKIIKIGYVPDVDSVPFDLDYDMKVKILNHHSERIAIAYALIRMPIGKPIIVKKNLRVCVDCHSAIKLMSKVEGREIILRDNSRFHHFVDGSCSCKDFW >cds-PLY74382.1 pep primary_assembly:Lsat_Salinas_v7:6:154212477:154214002:-1 gene:gene-LSAT_6X93401 transcript:rna-gnl|WGS:NBSK|LSAT_6X93401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNSRTCPNRGLKLFGVRITDGSIRKSASMGNLSHCNGSGSGAFFNGFSGGGGGTDLDSPGDTPDHNAAGDGYGSEDFVAGSSSSRERKKGVPWTEEEHRMFLLGLQKLGKGDWRGIARNYVITRTPTQVASHAQKFFIRQSNISRRKRRSSLFDIVADDFPSQPETQSVKNPLTIPTLEEEECESMDSANSTTADPQIDPTPTPATVSVNLEGGSGSQCLIPMMFPAYVSPIIPVAIPYWPGPSCQDQDSMAKTEAHEVLKPTAVHSKSPINVDDLLGMSKLTLGDGGGAPTSLKVGGLSRQSAFHASNPGARPSSKMDSNHSPIHAV >cds-PLY77991.1 pep primary_assembly:Lsat_Salinas_v7:MU042436.1:317896:323488:1 gene:gene-LSAT_0X11461 transcript:rna-gnl|WGS:NBSK|LSAT_0X11461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLGKLGRKLSLQKSDVKEVRDHQPSAHIDELAQASKDMQDMRNCYDGLLSAAAATANSIYEFSESLNEMGSCLLGKTGADADDESGKMLSTLGDMQLELQKIADTYRSDVVVTITNPSESLLSELRKVEEMKLQCDEKREVYEYMMAQHKEKGKQRNGKVEQKLREAQEEFDEVTRLCVFRVKSLKEGQCRSLLTQAARHHAAQLNFFRKGLKVLEAVEPSIRNVAEKHRIDYQMTGGGGEGEGEPMSGGYESTDDGELSFDYNKQKKQLLDDDDGSSPNPMELDQVDVPYLEDSEMNRNKHKGEQLFGRQTRVSSYSAPLFPDKIDTSEKPKGTQPPRKFYSYVLPPPTVDTRNPISKPSTSSSSSSISHSIPFQPIPVETDSNSGYSATQLPAPAPSTGRFSDSQTKAESNIVKRQSYSGPLPPSKQFSFKIASTSGPITSELPQPPSRIPVSQPSRSASPPPISSPKISELHELPRPPGNLPFSKSVAISGINLSRHSAPLYSKNQEISPPNKRAMLTSISASPLPLPPQVVPRSFSIPSKGNDVNTLG >cds-PLY98115.1 pep primary_assembly:Lsat_Salinas_v7:3:247310019:247313602:-1 gene:gene-LSAT_3X136441 transcript:rna-gnl|WGS:NBSK|LSAT_3X136441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATIASVDSRYDRQSDLKAFDQTKTDVKGLVDAGRSKLFNDDKSDLSTLILLSTEVDLLSFCLIFLVKNQGTKPLFTQENVKILSKIVNILSPLLCGKLRPGPKYRELQEGISVKLDLKDIMVHPDDILGPSVSGPIVLLVDCPTLSHFQELLLSTSYVDTFYGETPNKRVLSEAKKITESRMATKAVQDAELAVTSNISPEGVEKTSARADTASPSRNHIIISCVLLRVNLRTYALDQIVQDASSSTGLQTIKRVEQFLQELKVNLKPKVPIKVVCAEHLELRKEILTLLNLLKLV >cds-PLY63060.1 pep primary_assembly:Lsat_Salinas_v7:8:74736864:74740174:-1 gene:gene-LSAT_8X53841 transcript:rna-gnl|WGS:NBSK|LSAT_8X53841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESHGVGLRRVLELAFCVAGIWTAYIYQGVLQETVSTKRFGPENERFEHLAFLNLAQSAVCFIWSFMMIKLWPSGSTGHAPWWSYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGTLVYGIRYSFPEYLCTILVAGGVSAFALAKTSSKTISKLAHPNAPLGYGLCFLNLAFDGFTNATQDSISTRYPKTSAWDIMLGMNLWGTIYNLVFMFGWPHASGYQAIDFCKHHPEAAWDILYYCLCGAVGQNFIFFTISRFGSLTNTTITTTRKFVSIVVSSVLSGNPLSQKQWGSVFMVFSGLSYQIYLKWKKIQRMQKKRKTT >cds-PLY87308.1 pep primary_assembly:Lsat_Salinas_v7:4:271226031:271227629:-1 gene:gene-LSAT_4X139341 transcript:rna-gnl|WGS:NBSK|LSAT_4X139341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEANSESLSSYLSIFDFTRNYRFLLQFTVFDNFQAVAEDKDNKFLMKAVKEAYKAVESGDGDPFGAVVVYKDEIVVSCHNMISKHTDPTAHAEVVAIREACKKLNQIELSDCEMYASCEPCAMCFAAIQYSKFKRLVYGVKVEAAIAVGIDALISDALRGTGSFQKVELKIKKADGDAAVIAEQVFENTKAKFTC >cds-PLY81116.1 pep primary_assembly:Lsat_Salinas_v7:9:67025001:67027351:1 gene:gene-LSAT_9X58021 transcript:rna-gnl|WGS:NBSK|LSAT_9X58021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITSEETQNTPLKLMECNRDEATRAKTIAEKKFADKDYTGAKKFTLKAQTLNPLLDGISHMLITLDVYISSENKINGESDWYKVLDVKPSDDDETIKKQYRKLVLILHPDKNKSVGADGAFKIASEAWSLLSDKTKRLAYNQRRNSSQSSGVNGVHIHVNRTTTRRTTRLKNQNYRGFVSTSKLDSFWTICHGCKMHYEYLKVFVNHTLICPNCRKPFHAVEMATPMNIPKPGYQYAYQWNQNSGDHGMCNSGRRMGASGIHWGSSSGLKVPDDMLRREGGVFYGQPPLKRRKVEVRFGEN >cds-PLY78261.1 pep primary_assembly:Lsat_Salinas_v7:1:130874752:130876593:-1 gene:gene-LSAT_1X97941 transcript:rna-gnl|WGS:NBSK|LSAT_1X97941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGMGQEDGSSSISSSPLHLFSLMSLSPGIGSPYPWLREMESEERGLYLIRLLVACANNVAAGSIENANIELEQINRLSSSDGDTMQRIAAYFTEALANRMLKGWPGLYKAINSTKITSISEEILTKRLFFDLCPFLRLSYLITNQAILEAMEGEKMVHIIDLCSSEPAQWINLLQALRTRSEGPPHLRITGIHQEKEVLEQMALRLNEEAEKLDIPFQFNPIVTRLENLEMEQLRYKSGEALAISSVLQLHTLLAFDDETIKRTQSPSSNRILINSQTLGDFLKKDIVNPFSPSPDSTSSSPLMLNSGKIMSFLNALWNLSPKLMVVTEQESNHNGFTLMDRALEALIFYAALFDCLESTVPRTSVDRQKVEKMLFGEEIKNIIACEGLERKVRHEKLEKWIPRFELAGFGRVPLSYNGMLVATRLLQNYGYDGYKIKNENGFLVICWHNQPLFTISAWKFGRYS >cds-PLY72151.1 pep primary_assembly:Lsat_Salinas_v7:7:57157709:57162468:-1 gene:gene-LSAT_7X41421 transcript:rna-gnl|WGS:NBSK|LSAT_7X41421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH:adrenodoxin oxidoreductase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G32360) UniProtKB/Swiss-Prot;Acc:Q8W3L1] MIARKFTSATSQSLRVCVVGSGPAGFYTAEKMLKAHEGAEVDIIDRLPTPFGLVRSGVAPDHPETKIVINQFSRVAHNERCSFFGNVSLGSSISLSELRQIYHVVVLAYGAESDRVLGIKGEELKGVHSAREFVWWYNGHPDFSNMTLDLKNTDTAIVLGQGNVALDVARILLRSPTELATTDIASHALDALRESSIRKVYLVGRRGPVQAACTAKELREILAIKDLNINIKEADLVKTSADEEEMKNNRIRKRVFELLSKASSTSGQTSGQRELDFTFFRKPDKFLESDHRNGYVGGVHFEKTTLKGNGDSGYQIATGTGEYEDIKCGLVLKSIGYKSIPVDGLPFDHSKGVVPNIKGRVISSYDASEAEDGLYVCGWLKRGPTGIIATNLYDAEETVASIYEDVSKIELTSRKPGREGLLELLESKKIKFFTFDDWKKIDFEEKRVGSLKGKPREKLTTWKDLLQVK >cds-PLY67945.1 pep primary_assembly:Lsat_Salinas_v7:5:299500853:299503898:1 gene:gene-LSAT_5X160700 transcript:rna-gnl|WGS:NBSK|LSAT_5X160700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:cytokinin oxidase/dehydrogenase 1 [Source:Projected from Arabidopsis thaliana (AT2G41510) TAIR;Acc:AT2G41510] MPFPFIRLSHSSLFSKISNTISFLYNYKLEKPTSFSLSPPPPTTTMLKHNTLIFKILTALILGCDFHRSRISSTTNFATSTTNLLHATLTSLMTELKLSGELQFDNLHHAAKDFGNRYHLLPAAILHPKSVSDISSLITYIFQMGPTSGLTVAARGHGHSLEGQAQAHHGVVVNMESFGQSQGMQFHIHGDKPFVDVSGGALWINILHESLKHGFAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQINNVYQLQVVTGTGQVVTCTEDMNSDLFYGVLGGLGQFGIITRAHISLEPAPKMVKWIRVLYSDFTTFTKDQEKLISSDSSFDYVEGFVLINRTGLLNNWRSSFKCKDPVQASRFFSDGKTVFCLEIAKYFKQEDKIEMYLSKLNYNESTLFVSEVSYVEFLDRVHVSELKLQEKGLWDVPHPWLNLLVPKSKIHKFASEVFGKILTDTSNGPILIYPVDKSRWNTKTSMVTPTEDIFYLVAFLSSAMPSSEGTDSLEYILSQNKKILEVCETAKLETKQYLPHYNTQEEWRTHFGSQWDVFVRRKLSYDPLAILTPGQRIFQKATTYL >cds-PLY75927.1 pep primary_assembly:Lsat_Salinas_v7:9:197727000:197727386:-1 gene:gene-LSAT_9X122201 transcript:rna-gnl|WGS:NBSK|LSAT_9X122201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPHHHSPLADQSFASIADQFAQLVAITIANNNCLDAIIAKLTEITEILATILIKKHKYGGYHITIVVTITANTTTDIPITTVEANNADISTVITVDTPTFTNPVEDTTVVAPITKGTATTTAIDNYL >cds-PLY71448.1 pep primary_assembly:Lsat_Salinas_v7:7:190684421:190684747:1 gene:gene-LSAT_7X115741 transcript:rna-gnl|WGS:NBSK|LSAT_7X115741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIVNVNISLLSMLNTDLEVGDKFPLEVEAFRQKILQSECFLFASPEYNYTVTAPLKNAINWASRPPNVFADKAVAIVSSGGGFGGWLAQYSLRQNGVFLDLTLVRIL >cds-PLY71220.1 pep primary_assembly:Lsat_Salinas_v7:6:18608075:18609924:1 gene:gene-LSAT_6X13841 transcript:rna-gnl|WGS:NBSK|LSAT_6X13841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEKNEAVTSAVENQKLLPSDSTVSLSAEDKDEENCDCNKSTLEETEEKCESEPASPKEIVASTSSSSSFKFGILDLVSQIDPKSHPQQWCGGLLRKLTKGPSASLLSFNPCLPSLPSIKRTKKKPSRKHTQSMSEIPNSFDPNLYCFEASWTIYSLSELKDATDNFSRENLIGEGGYSEVYKGHLQDGQIIAVKRLIRGTQEEMTSDFLSELGILVHVNHQNISNVIGYGIEGGMYLVLPLSHHGSLASLLSDHKEKLDWRIRYNIALGTASGLSYLHEGCQRRIIHRDIKAANILLSEDFQPRIADFGLAKWLPDQWSHLNVSQFEGTFGYLAPEVFMNGLVDEKTDVYAYGVLLLEIITGRPALDEAQKSLVMWARPLIHNKHVEKLVDPHLDGECELEQLSDMLWIASQCINDCPTERPKMSQVG >cds-PLY90832.1 pep primary_assembly:Lsat_Salinas_v7:6:155437720:155438496:-1 gene:gene-LSAT_6X93881 transcript:rna-gnl|WGS:NBSK|LSAT_6X93881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAENGTDQQQSLLDETLAKTLKFLPIIVLSGVDPVWLVISFVVVLVFNLLIPVLIIIIPVLIILSPVLIILVFILLIPVLIYRYVTGKHPIWGDQVDEVRKKIVEAVEKLGKEVKDKMEELVANLGGGGGGSVGRD >cds-PLY63620.1 pep primary_assembly:Lsat_Salinas_v7:4:128445704:128446402:1 gene:gene-LSAT_4X80981 transcript:rna-gnl|WGS:NBSK|LSAT_4X80981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDQRELQLLPTPPHTRGPYSRPASWKSDSMRYRSESIQFNSLESAGPSLDLQLSISLRPIQSPADHCMLGDSFEFDRRDSKSDNGRVEALKWQAADQIRIASMEKAYAERVREMTKREMELAQSEFSRARHMWERAREEVERVEKMKERATRRIDSTCMEITCQACRQKFRH >cds-PLY81616.1 pep primary_assembly:Lsat_Salinas_v7:1:50578696:50581403:-1 gene:gene-LSAT_1X43520 transcript:rna-gnl|WGS:NBSK|LSAT_1X43520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRAFSPYFDPEFDSLSERINGPPVTFDNESLEDRTIVKIDCVKKQGQLLDVVQVLTEVNLTISKGYISSDAGWFMDVFHVKDENGKKVTDQNIINYIQQAIGSNRAAASNITSQTRKLLDTDLHGEPTAIEMTATDRPGLFSEISAALADLQCNIVEAHAWSHNARLACVAYINDQSSDTRIDGNRLAAIEHHLNTILHASITPENTCPQSSSSQHKDVKSTGLIVAGGSEGTMTTVERRLHQLLLSVQDFDVPPTRPECPPGISLGMDSGGDQEAEKASVMIENCAEKGYSIVTVQCKDRRRLMFDTVCTLADMQYVIPHASVDSHGGYAFQEYFVRKIDGGALSTENEKQHAMKCLEAAVERRVCEGIRLELCANNRVGLLSDITRVLRENGLVVLRADLATKMDKCVDITFYVRDIFGNKVDMEFVKSMKREMGLIDLAVKNEKVVKPNSAPAAKPRFSIGDIFKSQIERLSHSLVTYM >cds-PLY82693.1 pep primary_assembly:Lsat_Salinas_v7:2:18476572:18477135:1 gene:gene-LSAT_2X8261 transcript:rna-gnl|WGS:NBSK|LSAT_2X8261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIAQHLKIPLEEIIVATKNFDKQNFIAKGGFGSVYKGELSLFGRLIEVAVKRLDPQSDQGKREFMTEISILSRYKHENLVSLLGFCEEGDEKILVYEHESRGSLDNYIQNPDLTWMQRLKISIGAARGINYLHDEVGQQHRVIHRDIKSGNILLNNEWEAKVSDFGLSKIAPAHIQHTFVITGGAI >cds-PLY79258.1 pep primary_assembly:Lsat_Salinas_v7:9:182868493:182869490:-1 gene:gene-LSAT_9X111840 transcript:rna-gnl|WGS:NBSK|LSAT_9X111840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQALNSPATNTAASPPSFHYKDMNFPTATVIQDSWNKGKRSKRPRTTTSTDSDITHPSEPEQPTEEEYLAFCLMLLSRGRTDTTSTAAAAAVAAQSPPLPPLHQSVSHKCSVCNKGFSSYQALGGHKASHRKNVSEDHPSTSATTTASASASSVLKPSGRTHECSVCHRTFPTGQALGGHKRRHYDGNNPGTGATASGVTTSEKTTSSTHSQPRGFDLNLPAFPEFQLGLSVDCAKKSQLFMSEQEVESPHPAKKQLLSVAVDLPNY >cds-PLY88320.1 pep primary_assembly:Lsat_Salinas_v7:3:86071335:86073379:1 gene:gene-LSAT_3X65541 transcript:rna-gnl|WGS:NBSK|LSAT_3X65541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYIEIVFSPGHLSSPSQNSYIFFTNSSSPFLASVICLSSPSSACHRHLLLAIAIVIIVSIRCLLQTPLLHLLSRRPRTLSTLNENEAMSDENIREAMDIDGFPDAVNGHRWMSAHEQLQHWQMNRRKLKVFSTVGTPDYIAPEVLLKKGYGIECDWWSLGAIMYEMLVGYPPFYSDDPISTCRKEQLAAFDSKLKLKNTCHPLPIQHTQ >cds-PLY77809.1 pep primary_assembly:Lsat_Salinas_v7:3:35066751:35069223:-1 gene:gene-LSAT_3X26120 transcript:rna-gnl|WGS:NBSK|LSAT_3X26120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERGSSSKFKRLCVFCGSHPGHREVFSVAATELGDEMVNRKIDLVYGGGSVGLMGMISQRVFDGGCHVLGVIPKALVPLEISGETVGEVRIVSDMHERKAEMARQSDAFIALPGGYGTMEEVLEMITWSQLGIHKKPVGLLNVDGYYNNLLALFDNGVKEGFIKPGARQIVISAPNAVDLLAKMEEYTPDHDHVAPHESWQMEQLGNYPNKN >cds-PLY73956.1 pep primary_assembly:Lsat_Salinas_v7:1:121066030:121067978:-1 gene:gene-LSAT_1X91241 transcript:rna-gnl|WGS:NBSK|LSAT_1X91241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRKIGAAIGIDLGTTYSCVGVWQNDQVEIISNDQGNRTMPSCVAFTNGGRLIGEGAKNQITMNPTNTIYDAKRLIGRRFNDTKLQEDIKLWPFKVIKGTSDIPMIVVSHNGEDKEFSAEEISSMVLIKLKEAAEKFLGKTVRDAVITVPAYFDDSQRQATKDAGHVAGLNVLQIINEPTSAAIAYGLDMKNDIARDINVLIFDLGGGTFDVSLVTIDKKGTITVKAVAGDTHLGGQDFDNAMVDYFVEQFKRKHKADISANKKALSRLREACEKAKRVLSSIIDTTIDIDNLHDGVDFSMRISRAKFEKLNEDFFSNCIKMVETCLADAEMNKKQIDEVVLVGGSTRIPKVQQLLKDFFQGKELSKKIHVDEAVAYGATVLAAKLTGYTGKRVSNLVLIDVVPLSLGIEIYGGSLSVLIKRNSPIPVKKEGIYVNVQDYQDTLPFNVYQGERSMAKDNNWLGKFDVAIPPLPKGLSKVNVIFDIDANGILNCSGVELTTSLKKGIMVTNYKDRLSTRNIEKMLDDAHKYKLQDEENMKKVFVRNALEDYIYDVKSKIKKIGNTSKTFTKKELEIMEIAIEKASEILNESRLAGFDEYQKALNQLEKVCLPIIAQHV >cds-PLY80201.1 pep primary_assembly:Lsat_Salinas_v7:8:189866200:189867945:-1 gene:gene-LSAT_8X123161 transcript:rna-gnl|WGS:NBSK|LSAT_8X123161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVCIAVVGHQNNPLYIQSFTEADDALKLHHIVHCSLDVVDERVNNPKKSGPMINETFLGLLYPTENYKVYGYLTNTKVKFILVTTDLDVRDADVRNFFRRFHSAYLDAVSNPFHVPGKKITSKTFAERVSTIVKSVSFNTAG >cds-PLY91377.1 pep primary_assembly:Lsat_Salinas_v7:8:45507723:45508948:1 gene:gene-LSAT_8X34860 transcript:rna-gnl|WGS:NBSK|LSAT_8X34860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGASYQISSTPVTIFAHLLVISIATLVLVWLLHFREGFAFKSHVKAKIFNLHPLLMTLGFVLFSGEAIIVYKAIPANRKTQKLIHLILHFIALATGIVGVYAVFKFHHERHFPHMYTLHSWIGLSTICLFGLQWLLGFFSFWYPRAESATRAKMAPWHAFFGVVIFFMTIVTAETGLTQKFLFQGLYRSQEALIVNFTGLLILLFAISVGLSVILPRRN >cds-PLY76988.1 pep primary_assembly:Lsat_Salinas_v7:6:63787366:63788963:-1 gene:gene-LSAT_6X46480 transcript:rna-gnl|WGS:NBSK|LSAT_6X46480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRRYKGLFQDTNLVQFVPSQACCGGNMAILDSLETDLALVSNIQRPDSAANKQGSSKTDRSGMVWDFERLGKLTLQLFGRKLIFTFDGTSTEAFPASRTLSMLNLVYLLSVAASPIGDQTLMVNSGFFTTILERGDEMICVASIRTVYHCPFCNLCRLGKGLGVDFFHCMTCNYCMGIKLVDHKCREKGLETNCPIFCDFLFTSSAAVRPLPCGHFMHSACFPVGPSFLTPCIYFLITFLYFINTV >cds-PLY84387.1 pep primary_assembly:Lsat_Salinas_v7:8:78965832:78966120:1 gene:gene-LSAT_8X56520 transcript:rna-gnl|WGS:NBSK|LSAT_8X56520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQSLFSPFKILWNRIQSTHIRRHKRNGLYVLYEDVKCCSCEDVQMLWSMLVGPEASFVI >cds-PLY91249.1 pep primary_assembly:Lsat_Salinas_v7:3:75682320:75689032:1 gene:gene-LSAT_3X58960 transcript:rna-gnl|WGS:NBSK|LSAT_3X58960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METKGLSESIYWKTKGMYISKPLNVVNGSQKVNNPDGSEIEKISSEDIVSDKPEMVKNNCKSFRWVHCVRMEPATTTALSPNTRRRHRKIVVIMGPTGAGKSRLSIDLATRFFKNSEIINSDKMQVYRRLDITTNKITMQEQHGVRHHLLGAFDPTQSVVNPHDFRKIASDAISDITSRRGLPLIVGGSNSFIYSLVTKRFDPKSDVFNGPNPDPVSSELRYKCCFIWVDVCLPVLNQYLCKRVDEMLDSGMLEELSEFFSSGEHLTVKRSGLGQAIGVPELEAYFRTGNGTESDAVYSEGVRRIKDNTCQLAKRQVGKILRLKDGGWDLKRIDATEAFMAVLTADSGGGRVAEIWEKQVVETSVKIVKQFLEE >cds-PLY68569.1 pep primary_assembly:Lsat_Salinas_v7:2:22701653:22707703:-1 gene:gene-LSAT_2X9501 transcript:rna-gnl|WGS:NBSK|LSAT_2X9501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTIEGTSNGSVKAKEEEQTTPSLFEIDDSDLTRLLEKPRPVNIERKRSFDERSFSEMSITMSPPRNNFYKMSDNSSRGFDNLDGVYSPGRWTGTPRSGYFEPHPIVGDAWEALRRSLVNFRGQPVGTIAALDNSSEDLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTVFLQSREKKVDNFKLGEGVMPASFKVLHDPVRNTETILADYGESAIGRVAPVDSGFWWIILLRAYTKSTGDSSLAEMPEIQRGIRLIMTLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLLLKRDCEGNDCIDRIVKRLHALSFHMRSYFWLDIKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPEWVFDFMPTRGGYFIGNVSPAKMDFRWFCLGNCVAILSSLATPEQSSAIMDLIESRWGELVGEMPLKICYPAMESHEWRIVTGCDPKNTSWSYHNGGSWPVLIWLLTAACIKAGRPQIAKRAIELAESRLLMDQWPEYYDGKLGRFIGKQARKNQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMKPQMKRSASWVC >cds-PLY85839.1 pep primary_assembly:Lsat_Salinas_v7:3:188935946:188936753:-1 gene:gene-LSAT_3X113940 transcript:rna-gnl|WGS:NBSK|LSAT_3X113940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRVVRAWVCVSDEFDISNISKVIYQSVTRETKEFTDLNLLQEALKEKLKNKLFLIVLDDVWSESYDVWDKLVCPFLVRDPGSRIIMTTRKEQLLRKLGYTHIDPLPILSHDDAMCVFSQHALGVDNFDLHPTLKPHGEGFVKKCDGMPLALRSLRRRGRMEGSVIDSEIWRLGNGDEIVPAIRLSYNDLSASLKQLFAYCSLFPKDYLFDKEELIMLWMVGGFLHHSTTSKSMQALGSRIF >cds-PLY65646.1 pep primary_assembly:Lsat_Salinas_v7:7:27110357:27117184:-1 gene:gene-LSAT_7X21221 transcript:rna-gnl|WGS:NBSK|LSAT_7X21221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEEYDQGYNDEEEDITQEDAWAVISSYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGHQPDFAETIYKISFGQIYLSKPMMTESDGETATLFPKAARLRNLTYSAPLYVDVSKRAIKKGHDGEEVTETQDFAKVFIGKVPIMLRSSYCTLFQNSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVGEVRSMAESQNRPPSTMFVRMLSRTSAKGGSSGQYIRATLPYIRIEIPIIIVFRALGFVADKDILEHICYDFADTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTKEKRIKYARDILQKEMLPHVGVGEYCETKKAYYFGYIIHRLLLCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRGYVQKCVDNGKDVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSAAYPILEFLEEWGTENFEEISPAVIPQATKIFVNGLWVGIHRDPDMLVRTLRRLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVEKQRLLIKKKDIQKLQQRETPEDGGWHDLVSNGFIEYIDTEEEETTMISMTINDLVSARENPGESYSDTYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNFQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAISCYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPDRANTMGMRHGSYDKLDDDGLAPPGTRVGGEDVIIGKTTPIAQDDAQGQASRYTRRDHSTSLRHSETGMVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTVEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISRALHKCGYQMRGFETMYNGHTGRRLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCERCGLIAIANIKKSSFECRSCKNKTDIVQVHIPYACKLLFQELMSMAIAPRMLTKDVKQAKDSKKKGA >cds-PLY89663.1 pep primary_assembly:Lsat_Salinas_v7:3:185215488:185215959:1 gene:gene-LSAT_3X111200 transcript:rna-gnl|WGS:NBSK|LSAT_3X111200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDGIDDQQTYYPIKSCCGAGQRSWSQLSACITEAFKLHPFAPFNIPHVSMRNTIVFGNFIPKGSHVLLSRPGLGRNPNAWTDLMRFDPDRYLDAEGKKYLLIAQLLVGPIYKSSQTNAETTNLIPGPTTRFLRVQITKLSSL >cds-PLY70951.1 pep primary_assembly:Lsat_Salinas_v7:5:151777505:151781897:1 gene:gene-LSAT_5X66821 transcript:rna-gnl|WGS:NBSK|LSAT_5X66821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRDVLVVQPETETTSGDGGVGMLVKKKGTASARSWISIDSDVNETIMDIDKYAIMHRVQIHARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIITAEEVLLRDPSDDNVIPVVEELRRRLPVLNAHHGELNGQHDVETGEEDESPFEFRALEVFLEAICSFHAARTTELETDAYPALDELTSKISSRNLDRVRKLKSAMTRLTARVQKVRDELERLLDDDDDMADLYLSRKLSSASPVSDSGGGAAGWFHGSPTIGSKISRASRASVMTVHGDENDVEELEMLLEAYFMQIDGTLNKLMSLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTLCMSIYALVTGIFGMNIPYTWNDDHGYMFKWVVILSGVFSAVLFLLIVSYARLKGLVGS >cds-PLY81885.1 pep primary_assembly:Lsat_Salinas_v7:8:122698798:122701736:1 gene:gene-LSAT_8X83761 transcript:rna-gnl|WGS:NBSK|LSAT_8X83761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMVSYGRIDIYSKDSHLIILSENVICISYTNENLALSNLFGILETSDSIGQKMERKRDTKTIWVSAILIRERRRAGAGAAAVDRKNREDIEGESITYHSLIHGDLEREGERGRKFCCGGRLVFGADGGSVILSTILIGAPAITFCIKMFFNIKNDKTNFGFVELSIGIILTLLDLIFLFMTSASNPGIVRRNKRPPEYEESFDFRSQSLRWMNGSVMSMRIPRIKDMLVNGHTIKVKYCDTCMLYRPPRASHCSVCNNCVQRFDHHCPWVGQCIGGRNYRFFIVFIMTSTALCIYVFTFSLIDVIRQHGSLWNSLSKDAISVALVGYSFVCSWFVGGLCVFHIFLISTNQTTYENFRYRYEKKKNPYNEGFRKNLKDIFGSKLPPAIDFREWVTVEEEDEDEDASMSHRFGGSMRTSKIKLNGEPKSDKTLPKTCGSSAAAADKDLKGNDEPKNSPQDQK >cds-PLY99589.1 pep primary_assembly:Lsat_Salinas_v7:5:242047969:242049000:-1 gene:gene-LSAT_5X119301 transcript:rna-gnl|WGS:NBSK|LSAT_5X119301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDKATYDKLLSEAPKFKLITPSILSDRLRINGSLARKAIKDLMARGAIRMVSAHASQQIYTRATNT >cds-PLY80520.1 pep primary_assembly:Lsat_Salinas_v7:3:92109191:92110638:1 gene:gene-LSAT_3X68040 transcript:rna-gnl|WGS:NBSK|LSAT_3X68040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKSKSDTKKADSRLAVKKKAAPAPKKAPAKKAKEAKDPNKPKRPASAFFIFMEDFRTQYKEKHPNNKSVSVVGKAGGDKWKSLSAAEKAPFAAKAEKRKAEYEKTLQAYNKKLAEGKDAEEEEDEESDKSKSEVHDDEEDDDDSADDDDDEDDE >cds-PLY79547.1 pep primary_assembly:Lsat_Salinas_v7:1:33441280:33443745:-1 gene:gene-LSAT_1X29140 transcript:rna-gnl|WGS:NBSK|LSAT_1X29140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIGNKLYLKDIEVIADDSHLKLRVLKIWNFIKNNQVLAIEMIVMDEEGTKYQSRVFNQNFSKFRDLLKEGESYIILKPNMAAVKNGFSVTGHKQTLTLDWKSIVKKCDDFSGPVNGFVFADFNSIIEQKCPRDSFFDIIGQIVSFRPLETRNPNPSRHYIKMTISNLQLKADNNGGMSEKSITTLPSYSSSYIDDFKGDFPLKTVCEITEPLKEMKFLLVGTIVNIRQNLPWYYDACYKCGRRINNVPQTNVSYTAPGKMEDSVVIKCKNATCNDSNFHTVLKYIIPINVQDHTGTIRFTLFDREAKRLLEISAFELKKIHEAADDSLELFPNQMNVLNNRKFAFLVDITSYNVTNYNNIYTVVKLTEDVSIVSELESKPELMSVQSVSLNEVPLESDDVVQNVQKDVISQTDESFTPSTADKSTATSPMKISGVLKRNLHDVYDVDGGGDLSSTKSKRLSMGDENPLLVPKVEK >cds-PLY73373.1 pep primary_assembly:Lsat_Salinas_v7:7:63407556:63409106:1 gene:gene-LSAT_7X47200 transcript:rna-gnl|WGS:NBSK|LSAT_7X47200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPFISALPSSSTSSIEDPPQWVNRISKTFQNNLLVDTDLPVCIFQVPENFTAEKPESYIPQTIGLGPIHHFQTQLYSKREQLKLETAKTMLKPYRITSDFTEIVIESLKQLIPEVRCCYGLYFDVDDDTLAWVFALDGLFLLDMLSKVSEGDSLDSFEDLVMIENQIPLVLLIEARTALEEHLSGDCNHSFLTNLLIRICESRSPLKFSRRISRLDLDINTRVHLLDCMYHLIVNHNVSAKNQFIRNNFLDDIDLEDVENAVQMAGDLCPGANAVLQPLLLIMKLPWDKIISLIKKMMGETPAVLEIDIPSASELSSIGKVEFCMTPGGIRDVEFDDETLTFYLPILSLKPDSETMLRNLVVYEGLMFKNGNFTNLDLTEYVDLMCGIIDGVKDVRILREKHIIEGELDDEEIVKLFNGITKSSFKNEEASELQKTVARVNKHFGNVPRVKAYHFVKKYFLAWWKIIVIIFTLLNLMLLVVKGACQVYECNNRLGFGIGRLLFGADVEKNRLFDY >cds-PLY67094.1 pep primary_assembly:Lsat_Salinas_v7:5:281858936:281861001:-1 gene:gene-LSAT_5X148860 transcript:rna-gnl|WGS:NBSK|LSAT_5X148860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYILAHGCLLMLFVAAIAGVGVFLITDDGPHRKQVEVLVEYSRFGLWWMALGVASSIGLGSGLHTFVLYLGPHIAFFTMKAMQCGRVDIKSAPYDTIQFNRSPSWIKKDCSEFGPPLFSSPHGSHSVPLSSILPQVQIESILWGFGTAIGELPPYFISRAASISGGNAEEIEEINNSTGDNNGRSTNMKRWFLSHAQYLNFFTILLLASIPNPLFDLAGIMCGQFGIPFWEFFLATVIGKAIIKAHIQTVFIISVCNNQLLNWIESELLWTFSFIPGVASVLPPVVAKLQAIKDKYMAATPPDSSRIKAKPWDLSFASVWNSIIWLMLLNFFSTIVTSTAQSYLKKEHEKEMTLLKKKLVRQHDTN >cds-PLY65697.1 pep primary_assembly:Lsat_Salinas_v7:5:269666543:269667097:-1 gene:gene-LSAT_5X140401 transcript:rna-gnl|WGS:NBSK|LSAT_5X140401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNHRILSHSILPNPDSKTDKIYVSQNYHVKSITHRKIVTTILLPLIAFRSCSEEDPDAEPVAIRRGRRALHKENTPYTRDKPPRLKEKIKTQVNRILVEKKYLESKNPKEGAKYVLRSGVRDVIKYGCGKENIDPNFIKSELEAMDNVIDGDQSGEFEISYQATGNNKTINELVEEKGFVQIW >cds-PLY78389.1 pep primary_assembly:Lsat_Salinas_v7:9:9123199:9125507:1 gene:gene-LSAT_9X6181 transcript:rna-gnl|WGS:NBSK|LSAT_9X6181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGRICLVLSLFVAVCLVSATVSLARSERDRELGVCKLKCEELRGAEQDEKLTCKQSCEKYHQEKQKREREGGQRRERGQSGGRSGEGGGQYDIVFADIERELREMVGKCHQSCQGSKGEQEDPECFRTCMEQYGRPEQGKRRGRGGDDTSMSQKSRKGEREYGKGQQLNNPYVFEDHHFTTRIESEQGSVRVLQKFTDRSELFQGIGKYRVAILEAQPQTFIIPNHWDADALFFVANGEGTISLTNTENSLENHNIKRGDIFRVPAGINAYLINRHNNEKLVLAKILHSISVPGELQTFVGVGGDNPESSFFNAFSNEVLQAAFDMDRGSLEKLFGQQKQQQGIFKKATEEQIRALSGKDETRTWPFGERKGPYNIYKEKPSVQNEYGNLHEVDSKDFSDLRDMNVAFSLFNITQGSMAGPFYNTKTTTVLVVADGVGLFEMACPHLSEQAGRNIETSPGYEKVNSQIRRGTVVVIPAGHPVVLEASGEQNLEVIGFGLNSDRNEWFPLAGRDNVMSQWEDEAMELTFGIPANEVQKVIEKQKKKLFFKGPVRRGRAFA >cds-PLY98813.1 pep primary_assembly:Lsat_Salinas_v7:7:23266999:23268213:1 gene:gene-LSAT_7X18580 transcript:rna-gnl|WGS:NBSK|LSAT_7X18580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor DYT1 [Source:Projected from Arabidopsis thaliana (AT4G21330) UniProtKB/Swiss-Prot;Acc:O81900] MEFSNLYDDISSVVEETNSSFDTVCRNRRRNYDEEDDDNNDDEGKFKSKNLKAERKRREKLKSRMLQLRSLLNKETIITDAIDYIEELKISVTNLTNKIHEMEVEMAMEESFDIIQLCPQEKMKNWGIELEVEVSRINENKLWMKIIFEKKVGGFTKLMEAMSMLGIEVVDISVTTTKGAILITSCIEGKLLVPERVREELLDVIKVI >cds-PLY65334.1 pep primary_assembly:Lsat_Salinas_v7:6:41783070:41789850:1 gene:gene-LSAT_6X32161 transcript:rna-gnl|WGS:NBSK|LSAT_6X32161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLGVGSWLMVANLEALHDHCRGEYYGWSKIRKRLIRNMNHNQQSNEAKHDDETALTDFLASLTDYTPTIPDELVEHYLAKSGFQCPDVRLIRLVAVATQKFVSEIATEALQQCKARPAPVVRDKKDKQQKDKRLIMNMDDLSKALQEYGINAKHQEYFADSSSAGMESAQRDE >cds-PLY66754.1 pep primary_assembly:Lsat_Salinas_v7:8:72063390:72064677:-1 gene:gene-LSAT_8X52560 transcript:rna-gnl|WGS:NBSK|LSAT_8X52560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMQSIKVLLLIKLLTLHSLLVLSASQDFDFFYFVQQWPGSYCDTKQGCCYPSTGKPESDFGIHGLWPNRNDGSYPSNCDSSTPFDASKISDLTNQMRSDWPTLSCPSNNGLTFWGHEWDKHGTCSESVLNQHAYFATALSLKNEINLLQALERAGIQPNGQKYSISDIKSAIKGASGYTPWIECNNDSSGNSQLYQIYLCVDSSASGFIECPVFPNGSCGSSIEFPSF >cds-PLY86296.1 pep primary_assembly:Lsat_Salinas_v7:8:55895693:55897073:1 gene:gene-LSAT_8X40841 transcript:rna-gnl|WGS:NBSK|LSAT_8X40841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVSKKMTDSCSEQELDELRRGPWTLDEDNLLIHYITCHGEGRWNSLAKSSGLKRTGKSCRLRWLNYLKPDVKHGNLTPQEQLLILELHSKWGNRWSKIAQHLPGRTDNEIKNYWRTKVQKQARHLKIDSNSKRFVEALRQFWLPRLFEKVDHTSPSSSTSTSTMEVDQKNLIPQPQGMMENMNSSSSNSESSGFKLPYVYETSLHSHSYNVDNNNFDKISLNQPDVQELGSSDMSILDFQLTDAEWISNGLADTFWNMDQLW >cds-PLY63754.1 pep primary_assembly:Lsat_Salinas_v7:6:25169989:25171513:1 gene:gene-LSAT_6X19721 transcript:rna-gnl|WGS:NBSK|LSAT_6X19721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTALVVGWAGSMALYELAVFDPSNPVLDPMWRQAIWHLVYWDQEIFSDESVACLGFGAFHVTAIWHLVYWDQEIFSDESVACLGFGAFHVTVSDPYGLTGKVQAINPSWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVCPPQRLYKGLRMGNIETVLSSGYPSHFIGTRTSSSGEVRMKTRTGAGGSYIFGTSLGIDSLGSQSGVPVLALTDTW >cds-PLY71305.1 pep primary_assembly:Lsat_Salinas_v7:8:102781808:102783925:-1 gene:gene-LSAT_8X71760 transcript:rna-gnl|WGS:NBSK|LSAT_8X71760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSQTLTTLFIFSLLSFCYAASGPPKLPKPKVIHFSIRKNETTLQYYVSYDSGYPQSATIIDALIDIGAPSVWFDCTSYVSSSYKRASCGSNRCKKAKGRSCIGCNSAPRPGCSNNTCGVFVYNPARDYSSIQELGEDTMRAYAIEGAYVWFDYEVPKFQFACADSAMAERLPGDYTKGLVGFARNEISLPSQISSAFKLAKKFALCLPSSNARGLGDIFIGGGPYYMLPSIEDQSLSLVTTPLVFNPIGTPRLQSDGELSYQYFINLKNIEISGKHVTFSPALLSFDKNGVGGTKISTTVPYTILHSSIYKSLVKDFIKEASLNKIKRLNSVAPFGACFDLHTVPNTITGPAVPNIDLILEGNVRMRLYGANSMVEAKKNVICLAFIDGGGQPTTSIVLGGHQLENYILEFDLTASKLGLSSSLLLQNTSCSQSRPECKARIINQVNSGEHWSSTARALWWYEFALSNLQLNEFNHFISEKRVLGG >cds-PLY95144.1 pep primary_assembly:Lsat_Salinas_v7:1:94412745:94415687:1 gene:gene-LSAT_1X77700 transcript:rna-gnl|WGS:NBSK|LSAT_1X77700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDRCPRPMCYKAYGSGKEDSPQCDVPGFENSKMTLMRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIQKFIQGTVADGAPVIPVSAQLKYNIDVVCEYIIKRIPIPERNFISPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILKGVLKVNQFIEVRPGIVVKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPMLTRADRLVGQVLGEVGSLPDVYVELEVNFFLLRRLLGVKTKGTERQGKVSKLAKAEILMLNIGSMSTGARVLAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGLTLEIPPSPI >cds-PLY65058.1 pep primary_assembly:Lsat_Salinas_v7:1:118686829:118691367:-1 gene:gene-LSAT_1X92720 transcript:rna-gnl|WGS:NBSK|LSAT_1X92720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINDVRKKKLNMRPVTYFSGSQGSETDIPHGYIWSPHLVPKPKDWGPKIYVVGFCFLDLASNYKPPEELVRWLEAVPKPIYIGFGSLVMLMKERNMKPLDSNLAALSTRCNKDLELNFAKSFLSEMGECTTAYPYNQLLGALVINNYERQDATLLSWNLMYRVD >cds-PLY90186.1 pep primary_assembly:Lsat_Salinas_v7:7:14459350:14460246:1 gene:gene-LSAT_7X11820 transcript:rna-gnl|WGS:NBSK|LSAT_7X11820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSAIKHVGDHHRRPSSARDLPAGCCNLLKEQGPVTIEHVLLVLKETKDERESRFWGLFNFFDTSNAGYLDFVQIEVGLSVMQVSTDYKYVKDLLRVCDANRDGRVDYQEFRRYMDDKKLELYRILQAIDVKHNGCILSEDLYDALVKAGIVFFPQAFPVTASFVIILLDQMKTKDWRSLFPSPIELLISAEILQIVVGNSMLFRHESLQKGRRR >cds-PLY84230.1 pep primary_assembly:Lsat_Salinas_v7:7:76894292:76894780:-1 gene:gene-LSAT_7X54721 transcript:rna-gnl|WGS:NBSK|LSAT_7X54721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNGTLEDHLQKLVTPLYWAQRLKICIGTARGLDYLHTGTGIEFGVIHRDVKSSNILLDDNWAAKISDFGLSKIGATNKPSTYVKTLVKGNFGYLDPNYFTTGMLTRKSDLFSFGVVLLEVLCLKRAVDGSLDEEQWGLVPWAQESIKEGNLKNIIDSGIKS >cds-PLY85263.1 pep primary_assembly:Lsat_Salinas_v7:3:72043433:72044194:-1 gene:gene-LSAT_3X53980 transcript:rna-gnl|WGS:NBSK|LSAT_3X53980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATETNNLSLFLTNIDDVKKIFSRFDTNGDGKISAEELVHAMKALGSDISAEEAKQVLTKLDADCDGFINLEEFAGFCKESAGDQEGGINELHEAFELYDLNKNGLISSTELHQILTRLGERCTVDDCVTMIKSVDSDGDGYVNFEEFKEMMSKN >cds-PLY75926.1 pep primary_assembly:Lsat_Salinas_v7:9:199469715:199475653:1 gene:gene-LSAT_9X123180 transcript:rna-gnl|WGS:NBSK|LSAT_9X123180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRADGQAAKDEMQGVIVYDYELNIGWGKLVSLPSQSLPASPPGQMAIGSKEGATVILSGPSGPPVTSVPSQNSESVLTPNVPDDKVLTGSNSDLGYDYGKFYALDGATHVTCGVLAAYLLNNLQFVFFTRAYGLESQVRSDRFWEGATDCSSCAAVMLELAQGISQWALGFKNSVIFLFNTGEEEGLNGAHKFITQHPWSRSIHMAIDLEAMGDGGASAIFQAGPNPWVIENYALVAKYPSGQILAQDLFTSGVIKSATDFQVYKKVAGLSGLDFAYADNTTVYHIKNDKLKLLKPGSLVHLGENVLAFLLHSASSSQLTKMASNNKNQHDPAIYFDILGTYMVVFKQSLANMLYNSVIIILLMWIFSLSLSIGVAFILPFISSSPVPFNSNPWLVGDNDELYCKTGVPFVMGTTSGDRDLLYKTVEEAKLYAVISPQMGKQAVAFLTAMDIMSKQFPRAFSGYTLEVLESHQSTKLDTSGTAKAVISCFQKLGVSFDIDEFQHNFCGRSIYAEGAIDATLFLAKKKFDSVKPEIASLGLGGREVTIKDPITSIHALCYVETEA >cds-PLY71798.1 pep primary_assembly:Lsat_Salinas_v7:8:246219447:246223280:-1 gene:gene-LSAT_8X147141 transcript:rna-gnl|WGS:NBSK|LSAT_8X147141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNPPNVAIPTLSSPLTKRNTFLLLDWLHNLRALGVSLPERFLASQFKKGGKLRPGPKYCELQERIYVKLDLKDIMVHPGDVLGPSVSGPIVLLVDCPTLSHFQELLLFTSSLDTFYGETPNKREYNAHNDDTIVNFQIIMTTDQISKARQEGLLKKFKLTTTLSTNNMHLFDATGVIKMYDTPEQILEDFFPLRLDYYERRKASTSVQEVVLPFVFTGLDDINKDKSIAGNIIKEVLSEAKKITESCMAENAAQDAELAVTLNISPEGVEKTGAPADTASPSRNHIIVSCVLIRVNLRTYALDQIVQAASSSTGLQTIKRVEQLLQELKVNLKPKVPIKAVCAEHLELRKEILTLLNL >cds-PLY76782.1 pep primary_assembly:Lsat_Salinas_v7:4:188630841:188631200:1 gene:gene-LSAT_4X108221 transcript:rna-gnl|WGS:NBSK|LSAT_4X108221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPEISEAVAIQNMTRVPGKRGRNEIPHEIIEVSQDELMKNRPREDQVKSTGIAFGLSYQPASSGKGKPTKLHKRKH >cds-PLY79021.1 pep primary_assembly:Lsat_Salinas_v7:3:8469993:8470777:-1 gene:gene-LSAT_3X5680 transcript:rna-gnl|WGS:NBSK|LSAT_3X5680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADPPLPPPPPPPPFNACQEGQPKNPLPLQLPTKPTVMTTTSSSAGSPSLPSPAATGKHPIYRGIRTRSGKWVSEIREPRKAKRIWLGTYSTPEMAAAAYDVAALSLKGSDAVLNFPDFVGKYPVPGLPEPALIRSAAAAAAEMMKPSADQSVVLVSPAGGDTELPAGNDFMDEEALFSMPNLLADMAEGMLMSPPRQHSTDDLSPGDSSDCDNLWSY >cds-PLY65000.1 pep primary_assembly:Lsat_Salinas_v7:8:89531965:89535372:-1 gene:gene-LSAT_8X61981 transcript:rna-gnl|WGS:NBSK|LSAT_8X61981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPEASKIPVDLSCFEEGGVPVEAFGNVHEMFSSVDLHVPPPPPPRGGGGGPQTPKPPPPPPPLHKSGPSPPPAPPSPKANPAPPPLPPPPCGGGGGLQTPKPSKPPAPPPPLHKSGPSPPPAPPPPKANPAPPPPLPTPVNRIKQADAGPQTPPPPPGSKGGGVTAPSPPPPINKPCVLSMTSAVKTQPAKKLKPPEIDMSELETLLLASNPNSDKASKTKSKASNEPKKVQLIEHSRAYYCESMLSKVKTPLPALMEHVLNLDELAMDVDQVDKLIKFCPTKEEMELLKLISSVKLRRVMQTILSLGNALNQGTKRGAAVGFRLDSLLKLNETRAKSNKMTLMHYLCKLGACIKDTDKNHGRRDAHDFKRIRESSPRKEIV >cds-PLY87311.1 pep primary_assembly:Lsat_Salinas_v7:4:271133521:271142541:-1 gene:gene-LSAT_4X139281 transcript:rna-gnl|WGS:NBSK|LSAT_4X139281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLSHSLSRYKLKSSPDKVNAIQLKETVKENASTTKRAFQDRQYQVPNSLISSCLYCAIGSEGTILLNDLEASNDEFFSRKR >cds-PLY90966.1 pep primary_assembly:Lsat_Salinas_v7:9:107700998:107703495:1 gene:gene-LSAT_9X76260 transcript:rna-gnl|WGS:NBSK|LSAT_9X76260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSDLYSDSDEYGSESEEVSDEYYEEDEDDEDVDVENRSEHSCSYEDSESVSYSESGDDDHSKGSVSSNSVSNEATDDVEDSLLEDEEFIIKKPKRTNIKKGSPLESIDLVTEQQAEILRLEAAKIKLKERIEAEAKRNTVLKEDLVKKKNSLEARRVSLAEGVSRLEEELQKEKASQGAIQSSVDETHSSKEHVDKNPFHSFSSKHPERSISKCTSSRRPPSKAEAANTTSALSKITNRLNFLKEKRTQIADDLQNVDKDCKTHKQQHKGSKKWSESPPIPDESHIQSSDKGKESSFQQKETSMDKGRSEDQSTHSR >cds-PLY98924.1 pep primary_assembly:Lsat_Salinas_v7:7:51341375:51343227:1 gene:gene-LSAT_7X37240 transcript:rna-gnl|WGS:NBSK|LSAT_7X37240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPIFLNSTSNDHYNEHQFFSPSDQHIEHQFLSPNSQASSSSNSLTCHLFFNPNDQDGVFDRESHPSQLEGDNFGSQAYDNLHVENRDEGDKNIGGLKFSLWKRETYDHMNDENQVKWMSSKMRVMLKMKKSDPVKLNTLLKLEDHQDHKEVLASSPLMEENANSNSSNSNSSNNNFTIPVRVCSDCNTTKTPLWRSGPRGPKSLCNACGIRQRKARKALAAAAAAAAETGKVVFDDKPTSLKATKVLHKDHKKPNNGYITKYKKRQYSQEISTNPSPSSSTPTRKNCVEEFLVSLNKNLTFHRVFPQDEKEAAILLMALSCGYVHE >cds-PLY94266.1 pep primary_assembly:Lsat_Salinas_v7:MU038941.1:17081:19717:1 gene:gene-LSAT_0X39500 transcript:rna-gnl|WGS:NBSK|LSAT_0X39500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKIGRRFEGKVAIVTASTQGIGFAIAQRIGLEGGSVVISSRRQRNVDEALKKLKAQGIEVLGLVCHVSNAQQRKNLIDQTVQKYGKIDVIVSNAAANPSIDAILKTQEAALDKLWEINVKTSILLLKDASPHLREGSSIVFISSISAFQPPAGLAMYGVTKTALLGLTKALANEMAPHTRVNCVAPGFVPTHFAEYITSNEAMRKSLEEQTLLKRLGTTEDMAAATAFLASDDASYITGETIVVAGGMPSRL >cds-PLY62222.1 pep primary_assembly:Lsat_Salinas_v7:5:162275581:162276811:-1 gene:gene-LSAT_5X71141 transcript:rna-gnl|WGS:NBSK|LSAT_5X71141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLFYEILEKPATSCIIGLCTLIWFYIHKKNIGYSHVGLSYETAVEGHHWRIITSAFSHISVLHLVFNMSALWSLGVVEQLGHMGLGVGYYLHYSIVLVVLSGLLVLGSYHVLINRFKFEYFRRVTAVGYSCVVFGWMTILSVRQPSSKLNLFGFLSLPISFAPFESLIFTSIIVPQASFLGHLSGIIIGYSIAWGLIHGMNNYWAVSMVGWILIVFGISLKQSGAYDFQFLEIESVTDPCLPGNGRMLQMSSLLDARDELV >cds-PLY79633.1 pep primary_assembly:Lsat_Salinas_v7:5:253869266:253871362:-1 gene:gene-LSAT_5X127501 transcript:rna-gnl|WGS:NBSK|LSAT_5X127501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 SUMO-protein ligase MMS21 [Source:Projected from Arabidopsis thaliana (AT3G15150) UniProtKB/Swiss-Prot;Acc:Q8GYH7] MASTSAPRSDAGASRIKSAASNLCYDNQSLLADIRKALTTMKEIGVSLERQHKTQMVNELEAELMELLKTYEECANLSSAIDTVGNSYRPTEQLTDFKSLLDKEMANAKRRSSSVPQVNQLLRQFREAIWNVHNSGQPMPGEEQEDIVMTSTQNILLNITCPLSGKPVIELSDPVRSMDCKHIYEKKAIMEYIRSKHGQSKCPMAACPKIVIAERVFCDPLLRIEIDESRAMNKQTERPNIIEDFTQESEEEEDSD >cds-PLY82301.1 pep primary_assembly:Lsat_Salinas_v7:1:66648349:66648915:1 gene:gene-LSAT_1X58461 transcript:rna-gnl|WGS:NBSK|LSAT_1X58461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIDTTEVQAINSFSILESLKEVYGIIWMLIPIFTLVLGITIGVLVIVWLEREISAGIQQRIGPEYAGPLGILQALADGTKLLFKENLLPSRGDTRLFSIGPSIAVISILLSYLVIPFSYHLVLADLSIGVFLWIAISSIAPVGLLMSGYGSNNKYSFLGGLRAAAQSISYEIPLTLCVLSISLRVIR >cds-PLY85009.1 pep primary_assembly:Lsat_Salinas_v7:4:223704260:223706718:1 gene:gene-LSAT_4X123441 transcript:rna-gnl|WGS:NBSK|LSAT_4X123441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDILDTIQYLSELREVKKQGRKERRHKEAQDVLAAATTAAAASSRLSSFRKDTLEESAHHELVDSHFGVKVRAHSESGRAVRFINEGLGDKYLLHKQSTKWITVMTMAPPRLEKGAGVLDKPVIEKTTPGRESEFDLRKSRKMAPPYRVMLHNDNYNKREYVVQVLMKLTRIGRDAVLYVESLIESIMGGLEGLINILDSEGASTRSQLKLQMAFDGQERYMKRSWEPSDKADLHFVYKDVEGVSTQWDDIQRKLRNLPPKPSAFKPDPFTPAEDEDSKPKTKSRIDNKTEELKDLEDDLDDSCFLEEYKYLQLP >cds-PLY68144.1 pep primary_assembly:Lsat_Salinas_v7:MU044714.1:40511:41147:-1 gene:gene-LSAT_0X32960 transcript:rna-gnl|WGS:NBSK|LSAT_0X32960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQDMFSAGTHTSAVTLQWAMSELIKNPKVMTKAQAGLRHVLKGRERIYESDIQDLDYLKLVIKETLRLHPPLPLLLPREARVKSEIGGYQIPANTKVMINVWKIGRDPKYWINPNNFIPERFSDNAINMLGKDFEFLPFGAGRRMCPAMNLGLINVELPLAMLLHHFNWELPDGATSETLDMSESFGAALKRKHELYLVPSAW >cds-PLY95643.1 pep primary_assembly:Lsat_Salinas_v7:7:192134935:192135765:1 gene:gene-LSAT_7X113181 transcript:rna-gnl|WGS:NBSK|LSAT_7X113181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNQRPNNHLVVVMENGTELKELFYIRYVNWTLNHEESPPRQQSLPAIIASSPQRKKYKSETSSTETATNATTSQQPQVERSYMLRDTSTRWIPHQN >cds-PLY82170.1 pep primary_assembly:Lsat_Salinas_v7:1:15870153:15873865:-1 gene:gene-LSAT_1X14381 transcript:rna-gnl|WGS:NBSK|LSAT_1X14381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATGEESSSSGLSLEGINDVEDFIWGNQEESASMSLGRFSHVYDLMDMGNKAFRENRFEEAVNCYSRAHNIQPADPVILNNRCAAYLRISQFLKNRPPSTSEHRPLSGLDPTIHAGLALKDADHLINLRSQSVTPYILKANALILLERFELARDAILSGLQVDASSNALRNLERIATNILGRRLHGRPARTDDFDCTLCLKLLYEPITTPCGHTFCRSCLFQSMDRGNKCPLCRTVLFISPRTCAISVTLNSIIERNFPQEFAERKLEHESLTNMGPDLMPLFVMDVVLPCQKLHLNIFEARYRLMVRRIMEGNRRMGMVILDPTTGSVADYACEVEITDCEPLPDGRFFLEVESRRRFHILRNWDQDGYRVAEVEWVQDLFPAEGTREKYDLQQTTNKVAAYARSWIRVAQEAARRDQARLTELHKAEGLMPSTSDPESFSFWLATLTNQRPLERLSLLRIRDTKEVLILNSPLINLFIATN >cds-PLY81973.1 pep primary_assembly:Lsat_Salinas_v7:9:153391324:153394213:-1 gene:gene-LSAT_9X96680 transcript:rna-gnl|WGS:NBSK|LSAT_9X96680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPSLFPSNSFVTIFILTFILIPTVISSAQTISYSDHCNSFAPEAIPTTHIFTRYPFLEPVTSHYTGGQNILGPDSPSQRSILFTPTSNLFRTQTFDTYKIQAQLRFISSNIYVFHSNYSRFYRPLVFYLDGFWSVSTNKLCMVGSAHWFSEKGKPLKLDAVLKLNFARFINLNNSLVSGILESLASVQDSDYFEPISMMGFPRVAQFKYNYSLVSNEECNGQNGNFTLQHSVASMQSLDMCSIFRDQFKTFKLENQDTGHPLSFPFSPSFLSLYAIQCSPHERKLRFLVEFQDRRNSRYDQSFNPNITLIGDGTWNGTNNELCILACHILNQTDPLGSAHVGDCSIRLTLYFPEVRSIMNTHTTEGQIWSTKKADDVGYFEPIKFQSFDHSFDHYGSKYEFTKLEKLRRICPGRKFPNWGSISYPSGYNWDMTFDMSVKHGNMVSTGSAVPIFVGNQFYNSHTVVTSNSNPFQAASLPAATSDTGPMNISYEITFRPFVNSTSELQSGIPLLPSTNNGKVEISAEGFYDSQTGRLCMIGCRNLNSSSKESRNESFDCEIMVRFRFPRIERNNGSFLIKGSIQSLRERSDALYFDPLRIVSLTYTETEAKESIWRMDLEIIMDLISVTLSCLFIVLQLFHVKKNPDMIPLISVLMMVILTLGYMVPLVLNFEAMFSNTRYPQNIPLGGSGGLLEVNEVIVRIVTMVAFILQFRLLQLTWNSKPGNDEQKHWNHEIRSIVICLPIYISGGLIMLLAKWIDNDYIISSNRSIWGDLRSYAGLTLDGFLFPQIVLNIFQISKGNALSYLFYIGNTFVRLLPHAYDLYRGQKNISHQFDRFYLYANPRADFYSTSWDVFIACGGVVFAVIVFLQQRFGGRFMLPKRFQESVEYEMVPVVNNE >cds-PLY90522.1 pep primary_assembly:Lsat_Salinas_v7:2:41712753:41712941:1 gene:gene-LSAT_2X18940 transcript:rna-gnl|WGS:NBSK|LSAT_2X18940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQSVMELQGRLPKRLNYLVKMSFGVGELVPVQSVMELHQFLRVKFLLLLEEVIQELRKQYI >cds-PLY83493.1 pep primary_assembly:Lsat_Salinas_v7:3:176879757:176881820:1 gene:gene-LSAT_3X104800 transcript:rna-gnl|WGS:NBSK|LSAT_3X104800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRAGSRRLASLTSPWRHQTSASASIISKNTQIEDTADDRRSAHASSPFNHPFLPFSRGFASNSITPKFEDTIPPTVAAITNPTSKIVYDSHNHERYPPGDPSKRAFAYFVLTGGRFVYASLLRLLVLKFILSMSASKDVLALASLEVDLSSIEPGSTVTVKWRGKPVFIRRRTEDDVKLANSVDVASLRDPQEDAARVKDPEWLIVVGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFMEDNKLLIG >cds-PLY93392.1 pep primary_assembly:Lsat_Salinas_v7:9:59819784:59821989:-1 gene:gene-LSAT_9X52721 transcript:rna-gnl|WGS:NBSK|LSAT_9X52721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable aminotransferase ACS12 [Source:Projected from Arabidopsis thaliana (AT5G51690) UniProtKB/Swiss-Prot;Acc:Q8GYY0] MTQTGPRRIQNSGLEEESQEQSQSSSTGMRLIVPLQGIVQGRGGLILGSVIPCAMFYFLQFYLKRRRSPPNPRQEQTSNPPSPTPSSSNLPELSRSASRSNLLSRGSIVRPHVSARVHSIAKSNASPYYIGLDRASEDPYDRVNNPNGVIQLGLAENRLTLDLIENWITEKLNDSILGAEGLSVSGIATYQPFDGIMELKVAMAGFMSQVVGKTVSFDPSQIVLTSGATAAIEILCFALADQGNAFLIPAPYYPGFDRDMKWRTGVDLIPVHCRSSDNFTLTIPALDQAFNQARKRGQKVRGILLSNPSNPIGTLMTRETLFNLLDFAREKNIHIISDELFAASTFGEDEFISMAEVLDSQEDPDKDRVHIVYGLSKDLSLPGFRVGVIYSYNETVLAVSKKMTRFSPISAPTQRLVTSMLCDSNFIKEYIKKNLERLRGMYELFVGGLKELGIDCVKSSGGLYCWVDMSGLIRPYNEKGELDLWEKMLNLGKINPTPGSCCHCIEPGWFRFCFTTLEKEDVSVVMERIRGVVETCK >cds-PLY95029.1 pep primary_assembly:Lsat_Salinas_v7:5:226534214:226534537:-1 gene:gene-LSAT_5X106341 transcript:rna-gnl|WGS:NBSK|LSAT_5X106341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRNDLCIPGLEDKSEQENGVSVEEEFDYSERRKWLCSVKQDAKAMVPTGFSGLVAGVCSMAIGELVSVYTQRSLEVPLVKRETTLVEKEKSTHLYRSSYYMFYLL >cds-PLY99013.1 pep primary_assembly:Lsat_Salinas_v7:5:28656150:28656575:1 gene:gene-LSAT_5X14300 transcript:rna-gnl|WGS:NBSK|LSAT_5X14300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRITNSGNKTSTATMKFLYSYGGKIRPRQIDGKLRYVGGHTRVLATDCTVTYLDLIFKFWEVCGFTGDLKCKLPSEDLDMLVSVTCDEDLAAVVEEYDRVSPDAKIRVVLCSLNSAKTIATALAVESLFKISPRNRRGIL >cds-PLY93554.1 pep primary_assembly:Lsat_Salinas_v7:2:174152020:174153882:1 gene:gene-LSAT_2X96500 transcript:rna-gnl|WGS:NBSK|LSAT_2X96500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEYTQFSLDKVYTFLLFPSRVILDYYKVHISHISPNVPLFKALYFPPSLPLFRFLYLPMITKDWISLSLHRGIIDIYDGLPSSIKKWKEKFFFIDPSVVAMGMQFGNLVNCDSEPAPKLTITEHGLVKRLITNYIVWSNPDESTLSLANLNNTYGAPGAVSVPTLRGNNPSLLERLHRKRQSKVAKGSSSSTPKADFSSNVDVAGESEMSLDSIILNSPTKSNGPVSSSSKNIHGKLHPVPPYSSTKGVSSDAPTKAPKRKVPRFVLARANLQNFFFGKKINSFAPVVSPLTSAPATLTNTLAISLVAPASSATSTEPTDIIKLNSLIPIINATNCDSTKLLPGSSSSGLLPILKSGNMTDEEGIDKNCTHILEGLHWMNEVSLRSKARVAASTMQRIEFEKASELLKDVRVNYQTLESEKMNLAIEKDNLAAENGRLLQELDQYS >cds-PLY78388.1 pep primary_assembly:Lsat_Salinas_v7:9:8943226:8943480:-1 gene:gene-LSAT_9X6340 transcript:rna-gnl|WGS:NBSK|LSAT_9X6340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLEFPSDETGWRGSAKTSAIGGGVPYQRLPAMVVGGCSGSLRPRFWLGSGRNQWRNRMGVVFGGDFQSRGGGIKWWSDFHQQW >cds-PLY82045.1 pep primary_assembly:Lsat_Salinas_v7:9:158035936:158036246:1 gene:gene-LSAT_9X99381 transcript:rna-gnl|WGS:NBSK|LSAT_9X99381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLILRYKQLLVYMKSRTRMFLFYWLGTKREISKQDRVHMNLTTFYWNGILNSDSVIIESCFYNLPKRMDNIVWDEDEQ >cds-PLY90340.1 pep primary_assembly:Lsat_Salinas_v7:2:199358218:199358931:-1 gene:gene-LSAT_2X120541 transcript:rna-gnl|WGS:NBSK|LSAT_2X120541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKQALCLPGCMTSCGGHYTSKCGLALAFDGFKGIYKAIHVFMGPPIECKIIVFNRDISSHVCSMWKKIQVPSYDMGEGQYYWGNPVSVQGRYFHWDVHCSNYLVSIDMVKEKIFQMSLPAECNDDQVKRQYSLFEMSGFLALLDDVSWNHADLWILKDIQKMKWEKLQSISVPSYVNTRIYPVCSVISMRYLIFKKSSPKPGLFSYDLTNEVIKELNIHCGDSERCVVHSAAPSFL >cds-PLY88774.1 pep primary_assembly:Lsat_Salinas_v7:4:169908532:169910112:-1 gene:gene-LSAT_4X99980 transcript:rna-gnl|WGS:NBSK|LSAT_4X99980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP35 [Source:Projected from Arabidopsis thaliana (AT3G16310) UniProtKB/Swiss-Prot;Acc:O04326] MSSSIHRTPKSTGRQSLFFNDLATPVTNRRSGSGKFTTPGQAAAVSALWRENFATSDLPPPPAFTLEDRSDFSPESGIQEYPVGSPERNSNPRTPARTPSKLFSTSPNKSSPPNSNPSTSPYSLFGNQQSHTFQNQNHNQSPSGTSSWWSAGGGDDKGKGSGSPSGSPVDGVVHRQPGALVILPPPREVARPELQRNSLPVGTIDEEEWVTVYGFSPADTNLVLREFEKCGVILKHVLGPRDSNWMHILYQSRFDAQKALSKNGMQLNGALIIGVKPVDPMQRQALTDRINNHGGFMPLPPPTTARTSETSAFKSSTRSSYLQNGNANTSQSGGTMASPSKSLVYKVMDLMFGL >cds-PLY86722.1 pep primary_assembly:Lsat_Salinas_v7:4:8428964:8430022:1 gene:gene-LSAT_4X5440 transcript:rna-gnl|WGS:NBSK|LSAT_4X5440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAILERCENKILWGRFCNSITNTENRLYLGWFGVLMIPTLLTTNYVFIIPFIAAPLVDIDGIRELVSGSLLYRNNIISCAIIPTSAASLHFYLIWEATYVDEWLYNGGPYELVVLSFLLGVACYMGREWELSFCLGMQPWIVVAYSTPVAAKHNILMHPFHMLGVAGVFDGSLFSVMHGSLVTSSLIRESTKNESANEGYKFSQEEETYNIVAAHGYFGQLIFQYASFNSSHSLHLFLAAWPVVGIWVTALVINTMAFNLNGFDFNQSVVDSQGRVIHTWADIIKRANLGMKFMHERNAHNFPLDLAAIEALSTNG >cds-PLY63335.1 pep primary_assembly:Lsat_Salinas_v7:9:133471122:133473561:1 gene:gene-LSAT_9X86000 transcript:rna-gnl|WGS:NBSK|LSAT_9X86000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSYYSNICCSSSPPSASIPKLPSSTCFPSSIYPLESCGYNGISLQRPPFDSRTYAKFDKFEGQSSNDEFEEISSASLQQEVEEEGDSCLPSDLEGAVRQSSEATAAFVSSGGMRAIVELLIPQLQFLDDEGAQAELWELSRIFVDALIQETGCQRVKAIFPDAGAAALLKYRWNDATFSFASLSDRKPVEKEDEIIVMVVPDYQMLDYVERIASDLSDDPPRPLIMWNPRLISEDVGVGFNVRKLRRYFLRSFTTVYSMKPLPTGAVFRCYPGLWKVFFDDKDRPNRYLLAREMISRPVSEDLEIIFGEGGDDTEEGPSFFGQAVGIFKSINRFMKVISK >cds-PLY85641.1 pep primary_assembly:Lsat_Salinas_v7:3:88427048:88430178:-1 gene:gene-LSAT_3X67861 transcript:rna-gnl|WGS:NBSK|LSAT_3X67861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAAMIGVISPNSEVCSGLGFLETTRVVDPLRFLTKEKGFFRGERIKNASRKHKCYSYFGELGFLGSRKFDMVSRVVANASGDLAISSEQLVYDVVLKQAALVKEQMRSREDMEVKPDIVLPGTLGVLNEAYDRCGEVCAEYAKTFYLGTLLMTPERRKAIWAIYVWCRRTDELVDGPNASHITPKALDRWESRLDDLFNGRPFDMLDAALSDTVSRFPVDIQPFKDMIDGMRMDLKKSRYENFDELYLYCYYVAGTVGLMSVPIMGIDPESFASTESVYNAALALGIANQLTNILRDVGEDARRGRVYLPQDELAKAGLSDDDIFAMKVTDKWRIFMKKQIKRARTFFDQAEEGVTQLSSASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVSKPKKIIALPVAYAKSLVPPSSRKLGSN >cds-PLY61782.1 pep primary_assembly:Lsat_Salinas_v7:8:77032679:77035009:-1 gene:gene-LSAT_8X55640 transcript:rna-gnl|WGS:NBSK|LSAT_8X55640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPFYPVVKEEYPSNKQSAIINMPQPMEGLHDVGPPPFLTKIYDMVDDQSIDHIVSWSRGGQSFVVWDPHAFSVNLLPRYFKHNNFSSFVRQLNTYGFRKIDPDIWEFANEGFLRGHRDNLKNIKRRKTHSQQATNQPCVEVGKFGMDGEVKHLRRDKQILMMELVRLKQQQQNTRAHLQAMEMRLQGTEKKQQKMMSFLAKAMQNPDFVQKLVHHGKRKDLEECSIMNKKRRMIDHGEGSKVIKDEPEEWEMSELDALALEMQGIGRSKSNQEEVANFDLDDKELDEEFWEELFTEQFGMIENEGL >cds-PLY85011.1 pep primary_assembly:Lsat_Salinas_v7:4:222465117:222466688:-1 gene:gene-LSAT_4X123900 transcript:rna-gnl|WGS:NBSK|LSAT_4X123900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADKYGPIFTINLGVHNVLVVSNAEMEKECFTTNDKVFPSRPKSIAVEHMGYNYAILALAPYGDYWRQVRKIMTLEVLSQRRLEMLGPLCASEVKRTQRTKIVKAGKET >cds-PLY63414.1 pep primary_assembly:Lsat_Salinas_v7:7:153072567:153072830:-1 gene:gene-LSAT_7X90800 transcript:rna-gnl|WGS:NBSK|LSAT_7X90800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLTEIATLEGNTCEKQSRTWFGPCNEANMCKKQCIEWEGAEYGACYEQDTKYMCFCYFNCDAQTPPREESRLLPLDNLIVPTMNLP >cds-PLY68214.1 pep primary_assembly:Lsat_Salinas_v7:8:267448019:267450459:-1 gene:gene-LSAT_8X154661 transcript:rna-gnl|WGS:NBSK|LSAT_8X154661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKACDLASF >cds-PLY75168.1 pep primary_assembly:Lsat_Salinas_v7:1:121438109:121441782:1 gene:gene-LSAT_1X91580 transcript:rna-gnl|WGS:NBSK|LSAT_1X91580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADPELEAIRQRRMQELMAQHGGGGSQQNPDQQKAQDEAKSEADERRQMMLSQILSSEARERLARIALVKPEKSRGVEDVILRAAQMGQIAEKVSEERLISLLEQINTQTTKQTKVTIQRRRSVLDDDD >cds-PLY90916.1 pep primary_assembly:Lsat_Salinas_v7:4:338265914:338268298:1 gene:gene-LSAT_4X166421 transcript:rna-gnl|WGS:NBSK|LSAT_4X166421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENSKLMMSSFTQESGNSLFLRITKARSDSHIEGDGMSAVASKVDYWVLERYSHEYHLSLVLRIQVPSSSSSTLDAPPGGCCLYVLFEEELNESCQFIASGEKIISFVLGKDLQFFDGFLTLQDRFLPMVLNVRIGDGINLSSEEMLVKSREMYAINMLSGCCGQGKVFRRSRDTRQACLQENSMLHSHLLNKS >cds-PLY70479.1 pep primary_assembly:Lsat_Salinas_v7:1:71648123:71649298:-1 gene:gene-LSAT_1X62281 transcript:rna-gnl|WGS:NBSK|LSAT_1X62281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAVLQCLMAIVHRAFETALSWLEAQICETGDAAEVRESTLVVHACFLIKSLSVREEHIRDVSVNLLSQLRERFPQEQLCKANTWQKAQPTTDVVSLLSEIKIGTGKTDCWKGKKTANIPAVMASAAAASGGNLKSTEAFNIEVLSTALNEHCKFWIDDEQWPLSIANSQI >cds-PLY85166.1 pep primary_assembly:Lsat_Salinas_v7:9:146346385:146348440:1 gene:gene-LSAT_9X93840 transcript:rna-gnl|WGS:NBSK|LSAT_9X93840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRIWSKLTAMVRNRTFIGADKAGNQYYVRTEQIDGIMKEKRWVEFKAKGDDDPTSIPVEWICWLNGQRKIAPTPEEMAMLEARRQRVKENVALLKKEEEERKAREGSGRKITSMGKGDGPDLKSFIQQLPVDSQDDKSSTQTSTKKQKEKEIHDQAKQDRESAEPTGSGASFRPGTWQPPT >cds-PLY65344.1 pep primary_assembly:Lsat_Salinas_v7:6:41272276:41273469:1 gene:gene-LSAT_6X29761 transcript:rna-gnl|WGS:NBSK|LSAT_6X29761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPLMTGLAVAAAAYAGRYGIQAWQAFKARPASPAAFRKFYQGGFQPQMTRREAALILGVRESTAVDKVREAHRRVMVANHPDAGGSHYLASKINEAKEMLMRKSSNTGSAF >cds-PLY68881.1 pep primary_assembly:Lsat_Salinas_v7:2:192967687:192970290:-1 gene:gene-LSAT_2X113601 transcript:rna-gnl|WGS:NBSK|LSAT_2X113601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFPNNWLNGWLGFCIEFLQPQQERVYSSYLSLDLSEAEPCITGSKRPHDRVPLKYMKADWHSCIDNKVGFKGFAVPKETQDKVAKFSFHGHEAELRHGSVVIKQMKQCKKYISKLVIHAEAQALQYQQKVIGKDEAASHDNKRLFYSTLKNDNPLDCIVSKVKVLKIAPGVNLKSIPPCDYYYDMKYNIDYSTFSTIKDDVPCIKEEIHSNGSNDTNINGTNNNLKHKKSELSLLDMYSGCGGMSTGLCFGAKASGVDLSIEWAVDANKDACESLRLNHPETQIRNESARDFLDLIKEWDTSQ >cds-PLY74620.1 pep primary_assembly:Lsat_Salinas_v7:7:40149213:40153856:1 gene:gene-LSAT_7X29060 transcript:rna-gnl|WGS:NBSK|LSAT_7X29060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMKRSRSEVFGLRRVKLPHILFVIAALYLILVCFKFSAYFETSTTTEDEAVRRDQLEVSKPSLGSAYEDGNSEALENDNRFEKVKEEKKLDEEPDDNFRERLENGSRIERVSQKENDDSFPPIDLRYGRLTGDILRRRQRTRDMTGLEKMADEAWSLGLKAWEEVHKYNEKDNDLTSILEGKQEHCPPWVSMSSEELTKGDQITFLPCGLAAGSSITVVGTPHHAHNEYVPQLAKIKAGDPMVLVSQFKVELQGLKSVVAEDPPKILHLNPRLRGDWSQQPVIEHNTCYRMQWGTAQRCDGLPSKGDDDMLVDGFQRCEKWLRNDVVDSKESRTTTWFKRFIGRAQKPEVTWPFPFVEGKLFVLTIRAGVDGYHINVGGRHVTSFPYRTGYTLEDATGLAIKGDVDVHSVFATSLPASHPSFSPQRVIEMSEKWKAKPLPAHPIRVFIGILSATNHFAERMAIRKTWMQASAIKSSDVVVRFFVALSPRREQNAVLKKEAAYFGDVVVLTFMDHYELVVLKTIAICEYAVQNLSASYVMKCDDDTFTRVDIILKELDRVPREQSLYMGNLNLFHRPLRHGKWAVSFEEWPEAVYPPYANGPGYIISSDIANFIVSQHTKRKLRIFKMEDVSMGMWVEQFNSSKSRVQYSHHGKFCQYGCMDNYYTAHYQSPRQMVCLWDNLANGRPRCCNF >cds-PLY87314.1 pep primary_assembly:Lsat_Salinas_v7:4:271522923:271523189:-1 gene:gene-LSAT_4X139520 transcript:rna-gnl|WGS:NBSK|LSAT_4X139520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMEHMQSSFISKLPIVMETIGEKKEQLAAKKQELLEIKRIGGDTEQLTYELGIQWGTLEVEINLLEHTANVMKKDLIQRGLLVNGKL >cds-PLY93781.1 pep primary_assembly:Lsat_Salinas_v7:6:143872200:143875561:-1 gene:gene-LSAT_6X87460 transcript:rna-gnl|WGS:NBSK|LSAT_6X87460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVKLGANKFSERQPIGTSAQTDKDYKEPPPAPLFEPGELSSWSFYRAGIAEFIATFLFLYITVLTVMGVAKSPTKCGTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLLLARKLSLTRALFYMIMQCLGAICGAGVVKGFQGKNQFTTLGGGANVVAHGYTKGDGLGAEIIGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHAWDDQWIFWVGPFIGAALAAMYHQIVIRAIPFKSRS >cds-PLY88183.1 pep primary_assembly:Lsat_Salinas_v7:5:221126160:221126375:-1 gene:gene-LSAT_5X102140 transcript:rna-gnl|WGS:NBSK|LSAT_5X102140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTGQTLNFLGPLECNHLWGRECSMLMDEERQFYKHGGRAGCNENRKRKLNLVISLFTLSGSISPLCDHIL >cds-PLY88868.1 pep primary_assembly:Lsat_Salinas_v7:5:54448942:54450389:1 gene:gene-LSAT_5X25601 transcript:rna-gnl|WGS:NBSK|LSAT_5X25601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWCKGRENKTGELEDANVKVMAEKLMEHEKQIKDGDVKLDPGMDAMTLVFGKENGGFLKGVGIGVTANRYFHIPRTEGSSKEQIADLKFELQNERLELQKKDEELKALSTKVREQDNTLKLVLAHLESQGTMIPNLPSHLNQSPTQVFSVDKNIESHATPVTNTIIEKTPIINKASTNETVTRPMKTMKCILQYPNNRSNVAFGTVYISSERQTIHGVPLQDDCYKVSIDDVIKGAAFLPYPNGDIKLVEDAHKTFVAWPKYLVKCDEKVPRMGSTQDDEHKSSKKQRKSLTQDDEHKRSKK >cds-PLY71027.1 pep primary_assembly:Lsat_Salinas_v7:5:43554709:43556590:1 gene:gene-LSAT_5X20801 transcript:rna-gnl|WGS:NBSK|LSAT_5X20801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKIGLFDLEKHFAFYGAYHSNPVNVFIHMLFVWPILFTALVMLYFTPPLLNLSLPHSLILNYGFLFTVIYAGFYVCLDLKAGSVAGLLCFFCWVSGSFLAHHLGFSLAWKVVLAAQLFCWTGQFIGHGVFEKRAPALLDNLAQAFLMAPFFVLLEALEMAVGYEPYPGFHARVKAKVNEDIKEWKDKKQKKIS >cds-PLY88780.1 pep primary_assembly:Lsat_Salinas_v7:4:166201513:166201770:1 gene:gene-LSAT_4X98381 transcript:rna-gnl|WGS:NBSK|LSAT_4X98381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRYGRVPTAKEFQFLQDRFGFLPEHDAMIPTKGALIYVHPQEKVGVLIPLFEAGLRLPTSDFFNMIVDHYGLSVDELTPSVVRL >cds-PLY82085.1 pep primary_assembly:Lsat_Salinas_v7:8:53475209:53475715:1 gene:gene-LSAT_8X39720 transcript:rna-gnl|WGS:NBSK|LSAT_8X39720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLFVFIHAINVVKAPNTPETPIIVQPNNDTFSVNIPPQHQDLGMEDFVNFFKSCTLRYALSDVPGPFSPKQLYEFYYSCTIDASGQTISRTVGDGHSRVHIYVITILQALCLPLMIDYEKILLKLDVDQFYLIIIIISLTRIEKVTLLSLFFVNAFLLAGSMLQR >cds-PLY86986.1 pep primary_assembly:Lsat_Salinas_v7:5:264335470:264338057:1 gene:gene-LSAT_5X134961 transcript:rna-gnl|WGS:NBSK|LSAT_5X134961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKSNIHRLGRKIHASCVKKWFPKFKRYLKEDSLIYVKKPNIAPNTSKFKFVDPERKINVYYDTNDTKLKLTLWGHNAYYMSDFLANNISLAPVVVIVQFARVKFINGRSFSSTYFDVIDWFLKMDNNFHKEKTVIIVGTVKGIRQNIRWYYLAYSNCKKSAKEKESSTDKVDGSHEVAEIVTYECANPKCKNIQISVIPRFKIPLRKLFKYTTKELYDKNKKLGINLDLYPMELKVVVDKKSGI >cds-PLY66399.1 pep primary_assembly:Lsat_Salinas_v7:9:127776665:127778053:-1 gene:gene-LSAT_9X83540 transcript:rna-gnl|WGS:NBSK|LSAT_9X83540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPFSGPIGNSRWSLAGMTAVVTGGTLGIGYAVVEELAELGAEVHTCSRTESVLNQRLQEWSDKGFKVTGSVCDLSSRPQREQFVEKVTTLFGGKLNILINNVGTNIFKNTLEFTPEEYSMIMATNLESCYHMCQLTHPLLKASGAGNIVFISSVMGLVHTPFGSIYSATKGAMNQLAKNLACEWAKDNIRSNSVAPWATKTPLAQHIIEKEEFLEAMASRTPLKRVAEPNEVSSLVAFLCLPAASYITGQTIAVDGGFTVNGFP >cds-PLY80102.1 pep primary_assembly:Lsat_Salinas_v7:5:147107511:147108654:-1 gene:gene-LSAT_5X64620 transcript:rna-gnl|WGS:NBSK|LSAT_5X64620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSQHSPLVQPPLTMAQPCQPLVVVVVVVRGVGIWLLDSCLKSPLLQAYLAAAFAKKLSKLALTVPPSGGLVIIALIHNLLRRHPSINCLVHQEDDGETEIVKSGIDHFNNEETDLLKTNAMRSSLWEIDTLRHHYCPPVSRYVLSLENYWTIRSKTTEVAIKDFSSGSYACRCHLLQYSLTYSPDYI >cds-PLY92428.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:916671:918221:1 gene:gene-LSAT_0X10341 transcript:rna-gnl|WGS:NBSK|LSAT_0X10341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded DNA-binding protein WHY2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G71260) UniProtKB/Swiss-Prot;Acc:Q8VYF7] MNPRPIVKLSHFLNSGNKLFQRGISTARQSYGAEGGRNSGRIFADYAIFKGKAALSVAPVLPSFSKTDSGYTKVDRRGVIMLTFRPAIGERKYDSEKKQLFALSVTEVGSFISLGPGDSCEFFHDPLMQSRDAGQVRKSLSIKPHTDGYFISLTVANNILKTNERLTVPVSTAEFAVMRAAFSFALPHIMGWAHSTNQVLDDNNVGRDSLKVHPQASSSSSEWDR >cds-PLY93371.1 pep primary_assembly:Lsat_Salinas_v7:9:61373519:61374786:-1 gene:gene-LSAT_9X53101 transcript:rna-gnl|WGS:NBSK|LSAT_9X53101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPRAAELTTLLESRISNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRSTSESETLYCVYVAIGQKRSTVAQLVQILSEANAMEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVIPITDGQICSETELFYRGIRPAINVGLSVSRVGSAAQLKTMKQFKTGIGTISRSGRPCSIWVRPGCCDSGITQ >cds-PLY77832.1 pep primary_assembly:Lsat_Salinas_v7:1:24269537:24271583:1 gene:gene-LSAT_1X20900 transcript:rna-gnl|WGS:NBSK|LSAT_1X20900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLPKPKRHAFYIERHEADLVGHSMQSTLIHPGKHLTDWDDELVSSNKVFSLKFFNFGTSISPYLGICYKPLITRNYGEYDNLYNRYNPVWVANRNNLIIDRYGELMIDVHGNLNILSGGVTVVDLFTPTPHN >cds-PLY61698.1 pep primary_assembly:Lsat_Salinas_v7:5:216860567:216861040:-1 gene:gene-LSAT_5X100320 transcript:rna-gnl|WGS:NBSK|LSAT_5X100320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWGYDPEIKLWVVKRNSGNPEYRKNIHDFSSWTKVDLSERSRAPFHNPSQDASVFNFKQFLDRQARDNFPIMKNTKALVRKDKDILDPETNQPMKIMLWPTTNQVKEIPIPKHFSDGYLDDMGFWAYDDETTTAAIKFKSRKGVLRLISAKDLLRFR >cds-PLY88905.1 pep primary_assembly:Lsat_Salinas_v7:4:64569322:64571103:1 gene:gene-LSAT_4X45220 transcript:rna-gnl|WGS:NBSK|LSAT_4X45220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKAGSVSKSQNRGDGCSRKRSMTRGAAPWSDLDYHLLYLVMMQLGAFDFVAFSGVCKSWRSVALSNKTRFMASTPPISIWISEQGNKREYTLQDFDGREFKFRIPKSSGKTCVGVTCGYLVLFGLKPREFWLMNPITRHGLRFPKVPRDLEPVPDEEEADEVRVRAILVFSPSISSWVLVVLCRCTSEIWFSIAGKAEWDYVSSPSGIIDVHDFKGKIYAIDINSRLYELGFDPEPKLMLLKTKNILDSDMVFMEFVCSGENLYAMECFSFDEYEVHELDFGEMKWVSPNKKTMEEYAFFASDLKHSAAIKRELWSDSWLQFYKKSAYNYKSGNGVFFNADVWYFPHDCLKVDLKQK >cds-PLY99422.1 pep primary_assembly:Lsat_Salinas_v7:4:103149958:103152756:-1 gene:gene-LSAT_4X65660 transcript:rna-gnl|WGS:NBSK|LSAT_4X65660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSALGFPKSQCCSSLREQLARTTLRNVRLKGHSYVELREDNKKPIFFCVLCLSPCHNDSVLHDHLRGHLHKKNYEAAKSTLLKENPWPFNDGMLFFHTPCNENNPSLTSNPNLGSNLLLSESNENNSLAIVASNGSPSSSGDEDKMDVDENGYHLIVPQVLHKDRVTDLEVRSIGLGKIGVRYYQKDGVRKGISRIWCEWIGNCHPNQDDTIPHHDFAILSFSFHYDMGRRNVLDEMLFLPSSEVNKENRGKKRKSFSDPEDVSESLSNQCESSGEEYLASGDSSSRKLVKYNDNSLKLGIIPSRSVRKELRVHRRVASERVCDICQHKILLGKDVATLLNMKTGRLVCSSRNLNGAFHVFHISCLVHWVLFCDYEVYTKQLVVAPKVKKRGRRKKGVNITEAEKEERKQIYCAFCPECQGTGIDIDGDELEKPTVSLSEMFRYKMKASDGHREYRKCPEMLQNCSTGFHFPTQSEETMQENVSPLKMLRFYRAV >cds-PLY65089.1 pep primary_assembly:Lsat_Salinas_v7:4:202953557:202954753:1 gene:gene-LSAT_4X115280 transcript:rna-gnl|WGS:NBSK|LSAT_4X115280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDIQPSRNEGSNGIFKNAKNIECLKKYFDIRGGPATFIQVKLNVSLLKDISDDIDFYFKLAKEEPTIILPVLFVSLKNWIRVTFAADPSSLEEALDRVKSFFPKAFSSTKGSDSSSNLLPCPAASQSSCIQDILLERKLSKENLVKGFF >cds-PLY72252.1 pep primary_assembly:Lsat_Salinas_v7:7:87758997:87759901:1 gene:gene-LSAT_7X60801 transcript:rna-gnl|WGS:NBSK|LSAT_7X60801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 50 [Source:Projected from Arabidopsis thaliana (AT5G26170) UniProtKB/Swiss-Prot;Acc:Q8VWQ5] MSKAYSYRSVDSPDMDYDVPNQQTYEFVESFLSFDDWITEDKASTVPEYQDHTPVYPSATIEDGGLSIGSSSSNSHLHDGSRSRATGFGQAQNGKKEKVAFKTKSQVEILDDGFKWRKYGKKMVKNSPNPRNYYRCSAAGCSVKKRVERDVEDARYVITTYEGIHNHQRPSNY >cds-PLY95926.1 pep primary_assembly:Lsat_Salinas_v7:9:41872900:41875743:1 gene:gene-LSAT_9X38061 transcript:rna-gnl|WGS:NBSK|LSAT_9X38061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLGLVFVFLLAVGLVCEARELTSSFRNKVSAISVLQSKAAKRFGVLGNEGKNDNLCTLCEEYASEALFYLEQNKTQKEIISALHESCDKLQSLKKQCITLVDYYAPLFFLEISTVKPEDFCGKVGLCKEIVAYAHEFSENSCDVCHLAVSEAVSLLKDPDNQLEILQLLLKQCKTVEKYLPKCKSLVFEYAPLILANAEQFLEKEDICSKLHACDSYEQVPLISDN >cds-PLY65045.1 pep primary_assembly:Lsat_Salinas_v7:1:116071752:116074266:-1 gene:gene-LSAT_1X86480 transcript:rna-gnl|WGS:NBSK|LSAT_1X86480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVILNHTKQRSSSSSPIHGHKYKYDVFLSFCSRDTGRSFTDHLCNAIKHANITTFFDDDLIERGVYLKPGWESAIKASRASVVVLSKNYGHSQWCLNGLALILEQHSIVIPIFYHVEPSFFEDAMAGYRLVMEAMTNEDKRSRLAEKIDRWNKACTQVATLKGMQLGFDRRETEFIQDFVKYIYHRLRISARTPLPLDRRFHREMKQPNLFKIPFQAIKTCTQNFSERNFIAKGGYGRVYKGIFTWENHVNQLVAVKRLDVTNGQGNKEFHTELTMLSQYQHDNIVTLIGFCDDNKEMILVYEYVSHGSLDTHLRNPSAGLSWPQLLKICIDIASALDYLHNHVAEKHRIIHRDIKSANILLDENWNAKLADFGLARIGLANQQNTYVITNLAGTHGYCDPQYEKTGLLTKESDVYSFGVVLFEVLCGRLACALNYHDEQRFLHHLAPTCYKNKELDKIIDPRIRKDIKPRVLRKFSAIAYRCLHETREERPTIADVVFQLKKAMKMQDPPGCVKSNTTSFMALIINSVARDSQSGF >cds-PLY68936.1 pep primary_assembly:Lsat_Salinas_v7:9:195039790:195043111:-1 gene:gene-LSAT_9X120840 transcript:rna-gnl|WGS:NBSK|LSAT_9X120840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVWRDAYRGMSTDNIKGLILALSSSLFIGASFIVKKKGLIKAGASGTRAGVGGYSYLLEPLWWVGMTTMIVGEIANFAAYAFAPAILVTPLGALSIIISAVLAYIILREKLHIFGILGCALCVVGSTTIVLHAPQERQMESVTEVWDLATEPAFVLYAVLVLMAVFMIVFCYIPIYGQTHIMCYIGVCSLVGSLSVMSVKAVGIALKLTFSGMNQMVYPQTWVFTFIVLLCVITQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDGQNPTQIVTELCGFVTILAGTFLLHKTKDMVDGPTTLSGRLSKRITEEDDEDGIPLRRQDLSV >cds-PLY84224.1 pep primary_assembly:Lsat_Salinas_v7:7:77390320:77392416:-1 gene:gene-LSAT_7X53901 transcript:rna-gnl|WGS:NBSK|LSAT_7X53901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVNDGRARYESGGAEEKPRKRPFRPEMNEEPQSVSQEAGRNNSTGGSYEGANSISISASTGVSELQQMCKQKTFTWSKIQHPTTMENLPDDALVNIFIRLLAKQVAQMRSVSKSWNAFLSHPSFIKSHLHHSINNKDRLLLVFYNETSSSDPEPFTVHPCRSPYPEPTNFIKLPAVNPKSGHTTSSIRVIGSVHGLICSRYGYDVIQIWNHSISTVSTLPPHYIPSSDHSYEIYFRFGFDPKTEDYKVVKIIGLTGTLPHIVEEWLQVEVYSMKRGSWEFVSQRLPSHVIMLINCDVVCVDGHGGYLHWHAFILDQEEELETIVAFDLGSETFREIRFPDSVLDSKRSNALGGLGGKLCVMSWYEEDDVCEVWVMDEYGVTESWAKHYVMSHLHLPSGVGYGFTSHNEVLIVDDDFRIVLYDPTARKAKILDKFCHGNDSMEKFVEYIDSLIWVAPPMREMVNGA >cds-PLY85055.1 pep primary_assembly:Lsat_Salinas_v7:7:7201283:7208614:1 gene:gene-LSAT_7X8081 transcript:rna-gnl|WGS:NBSK|LSAT_7X8081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKELQIQEWKGYYIDYKLLKKKVKQYAQQNEVVVQDRDYVLCDFSRMLDEQIEKIVLFLLRRQGQLATRLSGLADHCDESLSHGPPHDSIVELREGYREVGRDLLRLLFFVEMNAIGLRKILKKFDKRFGYKFTNYYVKTRANHPYSQLRQVFKHVGISAVVGSISRNLADLKDDHRYYASIYDHPTLHISDPIIESIKAAESRLMNSTDFLQYLGKHAFIMQDELPLPSSEEKNVNEGYHFMSLVLNLVNTFLYMVNTYIIVPTADDYSLSLGAAATVCGVVIGSMAVAQVFSSVYFSAWSNKSYIKPLIFSSIVLLMGNTLYALAYDLDSIYVLLVGRVFCGLGSARAVNRRYISDCVPPKLRMKASAGFVSAGALGMACGPALACLFQRKFKIFKLTFNEDTLPGWFMAFAWFIYLLWLWLSFREPLLEKEEIIVQHIASDTIVETGVLEPLLLGSEKNQQDEDEQQECDELSKESREPVTSIMSAYRLLTPSVKVQLIIYFMLKYAMEILLAESSVITSYYFIWSTNKVAMFLACLGLTVLPVSIFVGSYISNVFEERQVLLASEIMVCLGIVLSFQVIIPYSAPQYILSAILTFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVVADGTITVAGYWGMSKLLNITLLPSLVICISTIIATLSIYNSLY >cds-PLY73135.1 pep primary_assembly:Lsat_Salinas_v7:2:191613512:191616061:-1 gene:gene-LSAT_2X112300 transcript:rna-gnl|WGS:NBSK|LSAT_2X112300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYISIREGPDHAPRFKATVNFNGETYESPNYCTTLRQAEHSAAEVALNALASRGPSNSLAARILDETGVYKNLLQEVSQRVGASLPTYTTFRSGLGHLPVFTCTVELAGCTFTGEPAKNKKQAEKNAAMSAWSSLKLLTQQTESSPMQKGMLEEQEHVIVARALQKFRLKARMSNIPFPIQFPSPNPKPNTSQSPPSTTSKILPLICPKTTPRSRPHLTTLLDNDEASNKTPPQNNNRNEKQSPRPHKFPAVGAAPYIPVRHFRGIAPPVTIRNAIPVFSAPPLPVPPPHIGIPQANQLPPQFRGMPPMCGGVAPPVTIRQAVPVYSSPNIPKTPITSMPEKGHATPIPEDSKPCMKPKPISTSAICVTQKEEEGKFEQVKVEEKLKELHV >cds-PLY66589.1 pep primary_assembly:Lsat_Salinas_v7:6:166872858:166873902:-1 gene:gene-LSAT_6X102761 transcript:rna-gnl|WGS:NBSK|LSAT_6X102761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHKILSYEEPCTFTDDDIEMLKSSGTFPTGTVFSAFDARIQPNFISSTWVFLPEYPLTLGLSYPFSIIIYEFFEITKISYIQVMPSIWRIIYWIDHLNRSRILSVGLNELAYIYDLTTFGNSRFLLKFSSSTSWFLPENRIKIVLTLSESERSFKLSQFNLQECSPTIVSMSKGKDLNFFFRVEDPNLLSSFFFYLGSKSTRFGAHFTLVDLDTTISNGQLVMKKEKTLPSIPMMRALVDSTMNTLGSKKKKNTGSTKLSLEDRGVEDALQKLVSFLRV >cds-PLY98138.1 pep primary_assembly:Lsat_Salinas_v7:1:140729023:140733589:1 gene:gene-LSAT_1X101960 transcript:rna-gnl|WGS:NBSK|LSAT_1X101960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVELHEILEGSSSSSSTQAQRYDVFLSFRGLDTRNSFTAYLHKALMDANINTFLDDEEIETGEDLKPELESAIKASRASVIILSKNYANSTWCLDELVLILEQPLVEVANIKGMDLNGRQEMEFIEEIVTDIYRRLHISSRSHLPQLFGMDYSIKFVTSWLKDTSSHTADVLTILGIGGIGKTSLAKYVYGLYSHEFDTNNIIEDISRRCEKTDGLLALQKQLYNGISKQSPIHVHDSSVYTSMIENALVYKKMFLVLDDINSLDQLDALLGSKGLHPGSKIIITTKDAWLTESCVLFKTNVKPKHTNHVLKGLYKTESQKLLCYHAFMCNDPKVGYEEVSEKLVEYCEGHPRALQLLGKNLHNRDVAYWEGYIEGLKKENGSPINNVLRMSFDTLPFKNHKDLFKHIACFFVGMDRDVAETILKACDIDTRSGITDLINRCLVSIGLNNELMMHRLVQEMGRLVVREESSDKPWERSRLWCHEDSFKVMKQKKGTENVLGLALDMRMLEKEKLHGSLELKTDALSKMDSLMLLQLNYVQITGSYKNFPKELRWLCMHGFPLKSIPSNLLMENLVALDMSYSNIESFGSCYSYPRRLHKRLKLLTGWWSKDKRLLGSLKILNLSFCEQLHSLGGFDHLPKLERLILRGCIGLLDVCESIEQCFELVLIDLSYCNKLEKLPRSLHMLKKVKTLLLNGYYLGESQIKIRDMDSLGMLKANNIGINTITSSSVIQEVIPRDLKLFAVALPKYLVSLSLKNNNLSTESFPVDFSCLSMLKELYLDGNPIVCLPNCVRSLPRLETLSMKDCNMLTTVEHPPHTLACMNLSFDSNKPLLRRVVFDPEMSPLIFIINWKMLTSSSFEIQGLIKSQPMSNVSEKVLRSLGWSKLNFLNARFVKTDVFFGGSEESEIQMYYEFGIFSIIYGHEEMPDWIMDRSTGPSTSFTIPSSPNNLTGLNFCYILTSPFPDERFGFGDDVYLRLPVIIINNITKKHTWIYEHNIDSVLYEGGKCLMFLSHWMFGMNDMESGDHITVSVMELVGGAFFSSTASKKPCHFTKECGVSFVYDDGEEKEEEDVMGYYKSWNHIIGGDLTGFQSTTGEYILSKRRILLPYIDMYLLNFNQFCGEGARFKGRDDQVHFKAFSQRRSDVQEVGP >cds-PLY73010.1 pep primary_assembly:Lsat_Salinas_v7:9:35761904:35764403:1 gene:gene-LSAT_9X31461 transcript:rna-gnl|WGS:NBSK|LSAT_9X31461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKTVFLLLFCCLIKSSVSKNTIQDRLNRGSFLSVDDDAHLITSRDKTFTCGFYGFQTNAYWFAIWFTNSKDRTVVWTANSNKPVNGHGSKLTLHANGAMVLTDIDGTTVWGTNTTSTDVDRAVLLNTGNLVLMNTKGQILWQSFDYPTDTLLPSQTLTKSKSLKSTLRKGSFQPGYYSFSYNSINILILLYDGPEISSVYWPSPDPGFNIWDYRRTSYNSSRIAVFNDLGVFKSSDLLRFNASDMGFGIRRRLTMDYDGNLRFYSLNESTGLWSISWQAVAQPCNIHGICGRNGICVYGGKPECSCPPHYEWSEPTDFSQGCKPTFNRTCVNSTRFGFLPMRYTDYFGFDLKFSSSISFESCRNMCLADCRCQAFVYKLPGIGDCYVKSALFNGYRYPKFAGTIYLKIPIGMQTPESSSIPTGSKASCSDVPVTIGFPSMYESSGGKVKWVYLYSFAIAFGLVEALVIVMGWWIFYGNNELLKNLEEGYRMVSSQFRGFSYKELMRATENFKVEIGRGGSGVVYKGILEDERVVAVKRLGDVSDGGEFLTELSTIGRINHMNLVRMWGFCSQRQHKLLVYEYVENESLDKRLFSTTFLQWKERFEVAIGIAKGLAYLHHECLEWVIHCDVKPENILLDGAFQPKIADFGLAKLSQRGGPNSNFTQVRGTKGYMAPEWAHNLPITAKVDVYSYGVVILELTKGIRLSNVSVHGGEEEESELMRFARGTKTKIREEKGLWIEEIVDPRLGGLFSRKQAATLVEVGLSCVEKDRHKRPTMDSVVQVLVDCEPE >cds-PLY71039.1 pep primary_assembly:Lsat_Salinas_v7:5:43316604:43319809:-1 gene:gene-LSAT_5X20940 transcript:rna-gnl|WGS:NBSK|LSAT_5X20940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWDTKEIANLQFLYIYKVPTSIPVIDLHTLFSTDSESSTCSSELNKLHSASKEWGFFQVINHGISESLLEDFKSEVLKLFKLPMEEKEKLWQKEDCQEGFGQLFVASEDQKLDWCDKFYVNTLPYNIRNSKLFQKLPPILSEKVDTYSREIKKLAVGILGEMGKALGMSKEEMRELFDDGAQFLQMNYYPQCPEPELAMGFSPHSDATGLTILYQLTKTTGLQVRKNGKWVSVKPLPNALVVNIGDIMEVVSNGVYKSIEHCATV >cds-PLY65908.1 pep primary_assembly:Lsat_Salinas_v7:4:140190869:140193196:-1 gene:gene-LSAT_4X86021 transcript:rna-gnl|WGS:NBSK|LSAT_4X86021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRSPPTSPTEDLIQLLTKTLNQFFLNAPNHHYIFYISVLPAFMKRWVPPALPTAKSPENEKTGATFVAGRSRDKLKVYLAPFLHGRRYTSFGHHFTKVEEANAVCVGTFVIYEYAKLLTSSRFVVEDGDTVSLTLPYLMRIEFAFVFVCSGKLASQYM >cds-PLY84877.1 pep primary_assembly:Lsat_Salinas_v7:8:145160217:145161816:1 gene:gene-LSAT_8X98221 transcript:rna-gnl|WGS:NBSK|LSAT_8X98221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVEKLGTLIITYMWRNVAGSSVNEEFLQVWKGGLQGKKDRGKTDNNHHMKLMNMFHDLPTDDNWYWLGVSVLLLYALSFNIILTLVFAYLNRKCHLFMFPSLIVFLKFGNYFNSYHNSLKSAQIVPPDTGENNSTDSTGKAAVANDEVEVVRKADHRVPAVVAACCRQEVYHNDQFAVVADHILDFEGKLKAEAGWLVLVLHMKNMNVDPSAPGCDHTLVDQSAHNLVHSCSLFEKEEVGPFPLG >cds-PLY78025.1 pep primary_assembly:Lsat_Salinas_v7:9:44468728:44470729:-1 gene:gene-LSAT_9X39580 transcript:rna-gnl|WGS:NBSK|LSAT_9X39580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASATMLSSTNLKLYSNFICFSPSKPTLPNTIFPFTTHFKRNPLKLNASFNEISDDLLETTLHLDQFPIFKSGYAEFQRATEDLPENEKWGVLVFAGLVWIYLTARPGVLFGAIDTYLLAPAQKVFDSLSGRRNLKRSDFLIGDKLGEGSFGVVYSGVVVPKNFTVEERVRSSGSKRRQLEKDERFKEKVILKQVKIGVAGAIECGEFEEWFNYRLSRAAPETCAEFLGSFVSDKTSSQFTKGEKWLVWKFEGDRDLADYMKDRIFPLNLESLMFGRVLQGLESIERNALIIKQIMRQIISSLKKIHDTGIVHRDVKPANLVVTKKGQIKLIDFGAATDLRIGKNYVPNRGLLDPDYCPPELYVLPEETPSPPPEPVAALLSPILWQLNSPDLFDMYSAGIVLMQMAIPSLRSSAGLKNFNLELKAVNYDLKLWREKTRTRPDISVLDLDNGRGWDLVTKLISERGSLRRGRLSASDALRHPYFLLGGDQAASVLSKLSLSK >cds-PLY85297.1 pep primary_assembly:Lsat_Salinas_v7:3:72468598:72471319:1 gene:gene-LSAT_3X56760 transcript:rna-gnl|WGS:NBSK|LSAT_3X56760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTVYVGKIASSVDNEFMLSLFSDPTTGALKGFGFCEFRNVEGVLRALRLLSKLSFGGQELMKGMVVFYAAQFDGILKKLSEFNNALGSDLTSSCAIDPFDPHRPQLVTDYNGKMTTSSSRSSFNGGTRMRNKKVIRCDCGDVCGVSVSRTPDNPGRKFWGCPNYQVEGGNCGFFKWADEELGQNMEMCHTEEIKPLLEVIIGLLVVILLMLGIVVIKM >cds-PLY66574.1 pep primary_assembly:Lsat_Salinas_v7:6:166015785:166019203:-1 gene:gene-LSAT_6X101381 transcript:rna-gnl|WGS:NBSK|LSAT_6X101381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVKINGFIEGTQSEELMKLLLSLASNWGDVFDTDNLKVKHLSGAMTNVVYRITWPQNTAGNDDRTVLVRIYGEGSDIFFDREEEIRTFESISTHGYGPRLLGLFPEGRVEEFIHAKTLSASDLRDPEISNLIAVKMKEFHQLDISGSKVPLLWPRMRKWLIKAKSMCSQEEAKEFQLDTLEHEIDTLEKELSKSHQHVAFCHNDLQYGNVMINEETKSITLIDYEYASYNPVAYDLANHFCEWAANYHTDTPHVLDYNMYPDFEERRRFLQSYLSSTGNLPSDAEVDELIADVEKYTLPNHIFWGLWGIISAHVTKIDFDYKEYARQRFRQYWLRKPELLKGKMVISHEDSKNEKENGAGSLVT >cds-PLY93108.1 pep primary_assembly:Lsat_Salinas_v7:MU039159.1:108619:110376:-1 gene:gene-LSAT_0X9901 transcript:rna-gnl|WGS:NBSK|LSAT_0X9901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALMNLIFILLFLVSLVYSKVTLENSGFESPPTNLTTNSTSQFILLDPKTNRIPGWSFNGTVWYVTAGENVSLPGNGHGVQLGPNGMINQTFKQDGNYYYVLTFTLAPSSPDCANSTSVNVSGPTASEVFFFRESLGTEMWQTYAYSLWNQMGLMSLQIQSTSTSNSNSNSNNITCWPIVDTILVTGIDSPWWYSDNGFANNGFEVGPAFIGNSSQGVLLEADSSYPESSIQSPLQHWSILGIVKYLDSKHYAVPRGGRAVELVSGNPSGIVSDVGFLKDRQVTIDFIMGDANDSCVGDFLVFLQVGDTMIWNFTMRSIGVGSREAHSVTFKANFSNTEQVLISFTSFNETQNSNNVLCGPVIDSTVLRFSDGLHSKAHKHIGSVTFSFVLAMTFLIFM >cds-PLY77093.1 pep primary_assembly:Lsat_Salinas_v7:MU042616.1:270751:273727:-1 gene:gene-LSAT_4X76561 transcript:rna-gnl|WGS:NBSK|LSAT_4X76561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLYESASGYGLFLAHGIDEIGQNTEAVRNSVVDLNRFGKVVKLAAFNPFESALDALNQCNAVSEGQMTDELRNFLELSLPKVKEGKKPKFSLGVADPKIGSHIYEETKIPCQSNEFVLELIRGVRLHFDRFIENLKPGDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDVNSFSMRVREWYSWHFPELVKIVNDNYLYAKLAKYINDKSELSEDKLDGLVDILGDEDKAKEVIEAAKASMGQDLSPVDLINVQMFAQRVMDLAEYRKKLYDYLVAKMSDIAPNLAALIGEVVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTKGNTPKYGLIFHSSFIGRASAKNKGRMARYLANKCSIASRIDCFSEGSGTSFGDKLREQVEERLDFYDKGVAPRKNIEVMKAAMENAANQEMEVENGSEPSSKKSKKKKSKDNGEAKTDDKENNTVTNGDAAETKSGKKKKKRALEEEETEATTENGKENGTEKKKKKKSKDVVMEDVSAVSEGKKKKKKKSAAE >cds-PLY68230.1 pep primary_assembly:Lsat_Salinas_v7:4:195525070:195526149:-1 gene:gene-LSAT_4X113340 transcript:rna-gnl|WGS:NBSK|LSAT_4X113340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTYLVPDQNTCHQPTSITGENQIPTEEEQAYKKLDEKLKGLLATLPKDKGWRSANIYLHEGFWLSPQVIKGLLMINNYFHAQSTDIFLAAFMKCGTTWLKALMFATANRHRYSFSDHPLRRNGPQSAFPFLDTHIFLDYPITKFEHLPSPRMFATHFAHSLLPTSMSSPSSTCKFVYVCRDPKDALISKWHFMSKLRSKELPPLSFNEAYELFCNGVSEYGPFWDHVLGYWKASQESPEKILFLKYEDMKKEPSVELKKLAAFMGMPFSAEEEKGGVVGEIVKLCSFENLSNLEVNNDGGGAQKFTAQVVVENRDFFRKGKVGDWENYLTEEMRERIDSITETMFKNSGLTLGLTKEA >cds-PLY73715.1 pep primary_assembly:Lsat_Salinas_v7:8:170223007:170225990:-1 gene:gene-LSAT_8X111061 transcript:rna-gnl|WGS:NBSK|LSAT_8X111061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSSRTSTDEDMVEQGLMRTGDRSESSTAAVDTSSSSSSPSASAGGSLKDSSMMQKKFQKLSRNVSEAIASLKNSLNLDPARDSNPTRIDSSRKHVWGSVVRNLTQLYPGSQLPEKLVSNIRKHYDSLPLSYAQAGFEMKDVFLHVRLIEQASADDLPAIMIQELSDEEPQGSVFKLTFACNSSLSWPTMSGALDTLSISCKKIQIFEKKGFTLGVILLSIPIQPEQEKTFKSRIETALKSALKKPNKTNSMKLPFGLCGCQEEGTKGKEFGEPEDDSNTQNYQNGVETLTPSSSKLKLELPLPKSSIVISVDEWQTVQSGVDEIKKWLLNPDSLEFIDQIGSGRFKGTYKGKKVGIEKLKGCEKGNSYQFEIRKDLLELMTCGHKNILQFYGVCIDDVHGLCVVTKLMEGGSVHDRIMVKNKKIQTKEIIRIALDVAEGMKFMNDHGVAYRDLNTQRILLDKNLNACLGDMGIVGACKSIIAGDPETVTETWMSNVFSFGMVVWEMVTGEAAYSALSPVQAAVGIAACGLRPDIPKDCPQNLRSLMNKCWNNIPSKRPPFLEILSLLTRSNNNSNNNNNSFSR >cds-PLY82535.1 pep primary_assembly:Lsat_Salinas_v7:2:187304150:187304920:-1 gene:gene-LSAT_2X109161 transcript:rna-gnl|WGS:NBSK|LSAT_2X109161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATMDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLLSKEATVGEKQFSSQGHQGNFSKNEGAGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIEPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGVNFNGNRQGYIPKSGARFQNQYYGLLLKSISLILYKKGTADLILYKNSRTDTYLSL >cds-PLY90236.1 pep primary_assembly:Lsat_Salinas_v7:8:13887591:13892269:1 gene:gene-LSAT_8X9640 transcript:rna-gnl|WGS:NBSK|LSAT_8X9640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENRDICSSEAASGGENIFSCHESDVSTADHLVVMVNGILGSSADWKFGAEQFVKSLPDKVFVHRSEKNASSQTLDGVDVMGERLSEEVLEVIKQKPNLRKISFVAHSVGGLVARYTIGRLYRPPSVKDSEDSKPTIGGLIPVNFITVATPHLGSRGNKQVPFLFGVSALEKVAVAIIHWIFRRTGRHLFLTDNEEGKPPLLNRMLEDYGDCYFMSALRSFQRRVTYANVGYDHIVGWRTSSIRRNIELPKWEDSVNEKYPHVVYEERCKAYDFEPDLTSGDNSDDVEEELVTGLSRVSWEKVDVSFHNSRSRFAAHSVIQVKDDNLHTDGADVIQHMIDHFCL >cds-PLY85560.1 pep primary_assembly:Lsat_Salinas_v7:2:195319382:195321028:1 gene:gene-LSAT_2X115920 transcript:rna-gnl|WGS:NBSK|LSAT_2X115920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVPAHLTAEAVNELREGIDLLLGRWSALQMAIQNEWGGRDTRQKAQQLALDIYHWLIRPAEALYVDDLENLLDDFMLSLNTEIDDGSIEEISENLMIIHEECLEGNFASIERLRQSASQTANHPMQVVTGDDDDDDDDDESDSGSSSGDEPMDMEDGVDHLTVGEPGRGPTPMVDADGWTVVSSRRNRGGTR >cds-PLY64089.1 pep primary_assembly:Lsat_Salinas_v7:MU045727.1:645042:645894:-1 gene:gene-LSAT_0X3441 transcript:rna-gnl|WGS:NBSK|LSAT_0X3441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHYQTESWGTYLPTTTTTSFNDDPLHRIEQLAGENAVVIFSLSGCCMCHAIKRLFCGMGVNPTVHELDQEPLGNDMEKALMMLLGTSSPVPVVFVGGKLVGAMDRVMASHINGTLVPLLKEAGALWL >cds-PLY84286.1 pep primary_assembly:Lsat_Salinas_v7:1:45368791:45369170:-1 gene:gene-LSAT_1X39420 transcript:rna-gnl|WGS:NBSK|LSAT_1X39420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDMVYRTNTSGFGWDTDKCCVTVDAEVWDEYIKDRATGHEAIDLGEDMTEETQRSSLVDVEGLEDIVKET >cds-PLY98162.1 pep primary_assembly:Lsat_Salinas_v7:1:139350614:139355643:-1 gene:gene-LSAT_1X101001 transcript:rna-gnl|WGS:NBSK|LSAT_1X101001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVSAVITVLCEKLISGDIKLARSEGIDSQLNKWKKNLPMIQAVLADATEKQIKERAVQLWVNDLHHLAYDIDDVLDDLATEALRRKLNQETQASTSTSKVLKLVPKCCTNFSPRNIMYGQQMSSKLEKITIKLRDLVDQKNDLGLNVNVERSNITERRLEQTSLVDESKIMGREGDKEKLLGKLLGNEGCDQNVSVVSIVGMGGIGKTTLAKVLYNEQKVKDHFEVRAWVCVSEECDVFKISKAIFQAVTGQTKXAVTGQNKDFANLDLLHVALKEELSKKRFLLVLDDVWNEDDSKWEQIQSPLLVGAPRSRIIVTTRSTRVASVMDSQQTYPLGVLSNEDALSLFAQHALGEKNFDKHPTLKLFGEGMVQKCGRLPLALTALGRVLKGNRNGDKWEELLKSEIWDIDDGNKILPALKLSYYHLPPHLKQLFAYCSLIPKDYEFDKNKLVLLWAAEGFLSQSKGNKSMENLGHEYFEELKSRSFFQQSTYDDLEYTMHDLMNDLATSVAGEFSFRLDGEVDVSDMNETSDKFRHLSLVGQGSGSYRKFIELQRAKYLRTFLVMSDGWEDGSLDKVLLELQFLRVLSVVGLDDMYGDSEIIRKVPESIGNLKHLRYLNFSYTGITCLPEEVSELYNLQTLLVHDCFELSSLPKNFAKLINLRHLDISNTPKLNKMPLGIGGLMSLQTLTKVIIEEGNGFKISDLKGLSDLQGRLSIKGLDTVKNPIEAKVANLHQKKGLDVLEMEWSDVFDDSRNKMIEYEVLKELRPHPKLKKLKIFNNNGMGFPTWVGDPSFDQLTKLTLRGCRSTHLPTLGCLGCLKKLIVESMNEVQTVGFEFLAPANSNPCIAFPSLQVLKFDDMKGWQRWSINSGDGHGAPRSFPCLHEIRIANCSELAEVSIGLIPSLRVLYIEECSEAVLRSMVGLSSSLVELKMLNVKGLTQLHGEYLMHLRPLEHLYIENCDELRYLWERESEACKSLVSLQKLEVTNCKNLVSSAEKEANIGISMESLKSVKFYNCETLDSYNCPNSVENLMIGDCDSVTSLTFSAVQEHPSPLTELIVGDCDNIQLQPKPIPAKDFSLSRLTYLKISYCKNLKSFPHQHFQSFASLEELEIHQCPSMDYSFPCGVWPPNLTKLTIGGLNKPMSEWGPQSFPTSLVDLCLFGKNSGVVSFAVADDVRNTCTTPSSSSTFLLPPSLVSLALFGFMDVESFSEVLQHLPSLKRLEIYNCPKLGDLKTIYNPSNVTIGVWK >cds-PLY95712.1 pep primary_assembly:Lsat_Salinas_v7:2:113164539:113165473:1 gene:gene-LSAT_2X49781 transcript:rna-gnl|WGS:NBSK|LSAT_2X49781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSQNQGLNTNTPHMAQFVPTTGFEDSIHLAHQFIDNRVEQKGGGDEIVHHKEPDVQEHEKPFMIKSRTNENVVLISDPLVDVKNEEFIDKVEVMPAVMEAPKEKLIHIKHLFGKMQRERLFPNVVTYTDAIKCLEVMKSAGLKPSLTMYNALINAYAQRVCSHLPHINSKFHESKHLG >cds-PLY69675.1 pep primary_assembly:Lsat_Salinas_v7:5:211717902:211721233:-1 gene:gene-LSAT_5X98140 transcript:rna-gnl|WGS:NBSK|LSAT_5X98140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAQVRNGSAAQKEKYSPKLISGDHVGALAMSETNAGSDVVGMKCNVERVDGGYVLNGNKTWFTNGPTAQTLVI >cds-PLY69583.1 pep primary_assembly:Lsat_Salinas_v7:1:13018902:13023786:1 gene:gene-LSAT_1X10981 transcript:rna-gnl|WGS:NBSK|LSAT_1X10981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRLCKGINPNVSRKTASDYFSSLRFSRLIHSLGFTTLKAEEISGSQPAEVQNLVQGKWIKSANWNTIVDPLNGESFIKVSEVDESGIQPFVESLSKCPKHGMHNPFKSPERYLLYGDISAKAGHMLALPEVSDFFAKLIQRVAPKSYQQALGEVYVTGKFLENFSGDQVRFLARSFGVPGNHLGQQSHGFRWPYGPVAIITPFNFPLEIPLLQLMGALYMGNKPVLKVDSKVCIVMEQMLRLLHDCGMPLDDVDFINSDGKTMNKLLLEAKPRMTLFTGSSRIANKLADDLNGRIKLEDAGFDWKILGPDVHEVDYVSWVCDQDAYACSGQKCSAQSMLFMHENWSKTSLLRQLTDLAARRKLEDLTIGPVLTFTTEAMLDHMKKLLEIPGSKLLFGGEELQNHSIPSVYGAIKPTAVFVPIEQILKPEYYDLVTKEIFGPFQVITEYKDSQLPLVLDVLEKMHAHLTAAVVSNDPLFIQEVIGKTVNGTTYAGLRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREVIYDVGPVPHKWQIPPST >cds-PLY67274.1 pep primary_assembly:Lsat_Salinas_v7:5:135051354:135053170:1 gene:gene-LSAT_5X58481 transcript:rna-gnl|WGS:NBSK|LSAT_5X58481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNLGSTQAFPVETSFMLPSPLPSWPQGESFASGTIDLGGLEVCQVTSFKRIWSTSQIGSNDTDITVFEPSPIPDGFFLLGCYCQSNDTPLFGWILAGKDVSGGTLVNPVDYTLVWSSKDSCYIWLPTPPEGYKSVGYAITTSPEKPSLDKIRCVRADLTEEPETDALLWGSDDVSVYGLRPKVRGRQAQGVSVGTFIIMKDGDDSSLLSLCCLKNNNFEKSTSFLPNVAQINALVQEYSPRFFFHPKETYLPASTTWYFTNGVQLYHTREESNPILVEPTGSNLPQGGSNDGTYWLDLPVDETERERVKKGDLQNGEAYIHVKPMLGATFTDLAIWLFYPFNGPSTAKLGLVDIPLGRIGEHIGDWEHVTLRISNFDGVLYRVYFAQHSGGTWVDTPSLEFLDMSNRFAAYPSLNGHATYPTPGVVMQGTDVIGIRNDAAKSDKFLDVKDKYLIMAAEYTTDVIEPPWVNYARKWGPKITYEIGTEIEKLENSLTGIVGSAIGSLLDIIPTEFSSEDGPTGPKMKPEWDGDER >cds-PLY63164.1 pep primary_assembly:Lsat_Salinas_v7:4:311656209:311657302:-1 gene:gene-LSAT_4X157440 transcript:rna-gnl|WGS:NBSK|LSAT_4X157440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMGHGDDGGDEPPHPFGGGFGAHQIDALDTNAWNEVPKVERNGMFMHLSLVRLLYKLNT >cds-PLY92873.1 pep primary_assembly:Lsat_Salinas_v7:8:40879128:40880240:1 gene:gene-LSAT_8X31781 transcript:rna-gnl|WGS:NBSK|LSAT_8X31781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTPSISTFLFPLFLLLSSYSIAAQPFDYPTANLSTTWTNAASAPHSVSFTDGSTVRAILLRGSFGPRYACGFYCNGTCTSYLFAVFIVQTNSGSGIVQPAIGFPQVVWSANRNRPVKLNATLSLTNSGDLVLRDADGSQVWSTNTAGKSVSGMNITDSGNLVLFDSKNAIVWQSFDHPTDSLVPGQKLYELGQRLTSSVSSTNWSTGLYSLQVMNRGLFAYIEEDSRRVYYRYLINGTDRSAERSYVRFLNGSLSLFIHSSEPSNPDAVIPVPQASSAQYMKLMPDGNLKVWEWQAGWKVVADLFGVPRMA >cds-PLY97451.1 pep primary_assembly:Lsat_Salinas_v7:6:91718609:91718917:1 gene:gene-LSAT_6X62761 transcript:rna-gnl|WGS:NBSK|LSAT_6X62761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVIAPTPSQPEKPKTRRVEIDLQKEIVVVADILDTDATTDDQPIPNTNDQSETNDYEEFLDLGFMPQFDVPVVPLNVVYPYSYFEGEVPQGTNSYIDSDND >cds-PLY68996.1 pep primary_assembly:Lsat_Salinas_v7:9:141883243:141886587:-1 gene:gene-LSAT_9X91521 transcript:rna-gnl|WGS:NBSK|LSAT_9X91521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRVMRGRKVVIVLDDVDHIDQLEVLANPNWFKPGSRIIITTRDEQVLVAHGVKLIHNVNLLSDKEALFLFSRYAFMREIPTNIYKELSIKVVSYASGLPLTIKVLGSFLCGKNESEWIDALDRLKTIPLMETMKKLELSYAALEDDYKEIFLDVACILKGWPKDLAIEALESCQFHARTGLKVLEQKSLIAIDNNERVVMHDHMAEMGRNIVRRLHPDKPNEHSRLWRNKKIEHIFANDLGTKATRCIRFQLRNPDIGLDLVMKGLRKMKELIFLLVHPGFWSYYDKVSQYFPNTIGYVHWSSYPFSYLPKTFEGNNLVTLRMATSNIVQLWEEGERKVLNKLRFLDLSHSKLRTLDLRLTPDLETLDLQGCSDLVELHMPTKCSKLRSFHLSDSKLTTLDLGLIPYLETLNLRGCGDFAKLHIPGSCPNLRCFHLSDSKLRSLDLRLAPNLQLLILHECCDLFELHMSNRCTSLISLEIKRSQLRTVDLGLTPNLEFLSLTNCFDLEELHLADKCQNLTFLDISNSKLRTFSIGPTPNIEYLNLKNCFNLEELHMVDECQNLTFLDISNSKVMTLSLGSTPNLEHLGLKNCFDLEELHMGNECQKLTSLDISRSKLRTLDIGLTPNLEKLDLENCFDLEELCMTGECQMLASLYISHSKLRTLDVGLAPNLEKLHVGNCFDLEYIHMADECQKLVSLYISHSKLRTLDLGVTTNLEKLHLSECSNLVELRAPSGFLKKLVYLDLSGCPRFSSFFFCIKDDTLCGVDESLEVGPLAELHLTAVSLERCPFHPDNNLPKFQFTCWYEEDRPSLTRNLEWLISLGLCVCTNLERFSQSICALQQLRKLKLEGSIPEAPKDLDALECLEELSLLSTKIRQLPDSFCTLKHLKSLKLKFCSLLEKLPEDLGRLECLQKLSLSYTNIKRLPDSICKLKHLESIKVNHCSLLEKLPEDLGRLEGLKKLILVECKVLRDIPDRICKMKCLKVLNLEGTYISHLPQSIFWLKGLCIFGQRELLRSFGFINTEIQHLEEKMMWYVEI >cds-PLY84363.1 pep primary_assembly:Lsat_Salinas_v7:4:215417803:215418479:1 gene:gene-LSAT_4X121361 transcript:rna-gnl|WGS:NBSK|LSAT_4X121361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGFSVYSTITKNRIEYTTELGVIFIKAGIFRGGSREEEVVVGEGGKLAVVPQSKYGWKAMGLGEVVVMSQIFSRENGRFFAVGIHRLGRRMNKRANPLAVSPLAATD >cds-PLY65861.1 pep primary_assembly:Lsat_Salinas_v7:4:86341088:86344237:1 gene:gene-LSAT_4X56401 transcript:rna-gnl|WGS:NBSK|LSAT_4X56401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRSFAVLAILASSYSQKNYGEARRVIDRVLKIGLISGGRLAFVLFLFTTDSQVLNIERSGTLEKDGQCMYGLILYTLDRLYRAVERHIKATGEWQSLREDINNLVKPNLVTTEPMLFDILLVQCSYLGSS >cds-PLY85254.1 pep primary_assembly:Lsat_Salinas_v7:3:71456022:71457210:-1 gene:gene-LSAT_3X54680 transcript:rna-gnl|WGS:NBSK|LSAT_3X54680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTVKMMAGSLPPVTESVGSNAKGPAAAFVKPPTLSLQKVRVKEAEKLQVSTKVSRRDLALFLTAGSLSAVTLSSSPQPAEARMSKTEMKKMILEKFKKLREKIGLSKPETEENEKVPDPTSPTAKKEAPASPIPSEKVIPIPPLPNLQNDKKTVVEATILP >cds-PLY92279.1 pep primary_assembly:Lsat_Salinas_v7:2:210318764:210321615:1 gene:gene-LSAT_2X130620 transcript:rna-gnl|WGS:NBSK|LSAT_2X130620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKSDTRRYNESSFKFHNCFKVDSLTETILETDQISNLKDRYVLGDQLGWGQFGIIRECSDKLTGEVLACKSISKNRLVTDEDVRSIKLEIEIMTRLSGHPNVVDLKAVYEEEGYVHLVMELCAGGELFHRLEKQGRFSESEARILFRHLMQVVRFCHDKGIVHRDLKPENILLATKASSSQIKLADFGLATYINPGESLHGTVGSPFYIAPEVLAGGYNQAADVWSAGVILYILLSGKPPFWGKTKSRIFDAVRAAALRFQPDPWNGISDSAKELIRGMLHTDPSVRLTAQQVLDHTWTSGIGPIPKSPPTCEQLDLAGSPTSSLFMARNDDISFGLGSPIICETFSCKSSFSSFLVEPMTPLVNSCGFSFRSSGGSGSGSGSSNTTTLDFCTPIHSMPSFSFFGPGPDTDHDPPVSVCLLGLDTREVKEQRLGEVKRGGGSRISGIHSKRNRTIGLGEFEPLDLVVAESVIRWVSCTQLSASLRSDFVC >cds-PLY87925.1 pep primary_assembly:Lsat_Salinas_v7:4:33360120:33360615:-1 gene:gene-LSAT_4X21861 transcript:rna-gnl|WGS:NBSK|LSAT_4X21861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLDQIMSDLSYLRESKYSEAEILMCLNITQSQLRGFDALIHQSKQAAKETHEDNGEDVPETQEDNGEDGAEESQEDNDEDGAEESQEEGVDDTQVRVRTQVRVRTRKTSERITKNMLKKIVIDKKGKGMAQEKPLNLD >cds-PLY96551.1 pep primary_assembly:Lsat_Salinas_v7:5:336198116:336206381:-1 gene:gene-LSAT_5X189960 transcript:rna-gnl|WGS:NBSK|LSAT_5X189960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTRRSKVKDMSAEVVDSNPYSRLMALQWMGIVDNYERIWDFSNLNISYFIWLHISILFFVHGTGGVRSVAAEMLTRYETVELANMNRLLFLPEQVGMTNTDATVQTLSEINLNVVLEMGKFYKPFEMDAHVGAKECE >cds-PLY82525.1 pep primary_assembly:Lsat_Salinas_v7:2:186038669:186041961:1 gene:gene-LSAT_2X106801 transcript:rna-gnl|WGS:NBSK|LSAT_2X106801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRILDIHHCRPTYSLIFFTCKSQGSNGNYLTFKKKGAAKSSLRANISEVGLNHILDRCAHKVEEDDGNAFNALPHVKRLSELQKDDLFGKIVMVRFDSNILLEENQNKNSKTFISALSTIKYLHEAGATVILISSWSTKTNSNLDSLDSVSAYISSLLKLKVLPMKLCHEYESTRLEESQETHKPSIYLLENLSQFKEDIANNSRFSQELSSRVDIFINDAFFQSHKTLSSTVGVTSFCYASVAGFQFEEGLSQLKKAFMTKENPYIAMVGGGNLIEKAAAINYLVSSSDGLIFVGNISFQIMHALGFSVPNKYIEFGAFKEAIKIIKSANSRNIPIFFPKDFWCMNDHFKNPELVSAECIPEGWTPFGLGPNSLEEITTLLSKSKKIVWIGPVKFGLSNQDSYGTSILAKVIGKLSQENCDVTVIGNMACKALMEESMVSSNFNIIENASVVWEFLKGRKLPGLMALDRGYPYSIDWRTIYADPDRPLFVDIGSGNGMFLFGMARKRKDMNFLGLEMNGKLVKRCLETCHLSDLKNGYFIETNATSTFGSIVSCYPGKLVFASIQCPNPDFNRPEHRWKMVQRSLIEAILHLLSYDGKVFLQSDIEGVALRMKEEFLKYGNGKFIIDHQEEWLKENPFGVQSDWEKHVLDNGAPMYRLMLSKSLRE >cds-PLY64260.1 pep primary_assembly:Lsat_Salinas_v7:7:4284092:4286577:1 gene:gene-LSAT_7X3521 transcript:rna-gnl|WGS:NBSK|LSAT_7X3521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAERKVLNKYYPPDFDPAKIPRRRMPKNQQIKVRMMLPMSIRCSTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAEITMKTDPQNSDYVVESGAARNFEPWRAEDEVVDEEQRKRDAEEMGDAMKSLENRTLDSKREMDILSALDEMKSMKSRHATVSVDAMLEALQRSAPVQEEDEDKLEEADEALIKSIFKGSNEYVRRIDDAELDDDDDITLLNNSETSQGGSKKQKVSDSPTDYLTKTSSTKKEDAKGSGSFVLKSATVKFSVVKKEKSQANEEKKKEDDKATTTSNVLLLCQQYDSDEEEE >cds-PLY99402.1 pep primary_assembly:Lsat_Salinas_v7:4:103518954:103519262:1 gene:gene-LSAT_4X67821 transcript:rna-gnl|WGS:NBSK|LSAT_4X67821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVPRIIQAKKVLGRSFSNGSRSSSSSVDIIPKGHLAVYVGDQEKRRFVVPLSLLDQPSFQDLLRQSEEEFGYDHPMGGLTIPCREDVFIHVASGLVCSL >cds-PLY92985.1 pep primary_assembly:Lsat_Salinas_v7:3:89399334:89401407:1 gene:gene-LSAT_3X67720 transcript:rna-gnl|WGS:NBSK|LSAT_3X67720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKLGKCSANYVPLTPLTFIKRASMVYSHRTSVIYGGVRFTWQQTYERCCRLAYSLRSLNVVKNDVVSVLAPNVPALYEMHFAVPMAGAVLNAINTRLDAKNIATILRHSEAKVFFVDYEYVPLASEALRLLVAGFKAGSSAQDAMPLVIVIDDIDKPTGVRLGNLEYQQLIHHGNPQYSGEELEDEWDAISLNYTSGTTSEPKGVVYSHRGAFLSTMSLIQGWEMSTEAVYLWSLPMFHCNGWTFTWGVAARGGTNVCIRNTTADEMYKSISTHNVTHMCCAPVVFNIILEAKPHERCPITSKVNILTGGAPPPAALLEKMEDLGFHIMHAYGLTEATGPALVCEWQSNWNQLPRDHQAKLKARQGVSILTLADVDVKTKKTMESVPHDGKTIGEIVLRGSSIMKGYLKDEKETAKAFQKGWFLTGDVGVIHPDGYLEIKDRSKDVIISGGENISSVELESILFEHPAILEAAVVAMPHPRWGESPCAFVVLKKKGSTTEAEILAYCRKNMSKFMVPKKVEFVEVLPKTGTGKVLKMELREVAKTLNTNNNRNNKRSDKEVLLHQTWYHHDPPANQENVLAMSRL >cds-PLY68693.1 pep primary_assembly:Lsat_Salinas_v7:7:85280666:85284049:1 gene:gene-LSAT_7X58320 transcript:rna-gnl|WGS:NBSK|LSAT_7X58320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSSSSLSSSRTKNPCHDDKSTTKSTDTSKSNGKLTKLDLPLSILSPSSLLFFKSPSLITTQLHPNTNPILNLQKIVTASPDSFVRNFHNSIDKCLRDFHHFASNNPLFTKLHSAASQYHKFCQINFRSDMKSNNLSNHNFAAILPGDSLAGLVVSNGILNFLNIYNSLLVARLVLTWFPNTPQVIVNPLSTLCDPYLNIFRGVIPPLGGTLDLSPILAFLVLNALTSTASALPAELPSQRGSSSEKHPSFLTSISHLTTSQKKWLKRFNADKSKGSECTRRRHERRSATKKLLAISLGVLAVVSPLFIDRKSQTLEELELEDEPLISISTYAFLVLFVLIMVVGFSCYLDQSLTRFDPYWIYRVGGSSGGIIALLLVLTFVLKCRDF >cds-PLY61983.1 pep primary_assembly:Lsat_Salinas_v7:5:158352369:158354062:1 gene:gene-LSAT_5X69040 transcript:rna-gnl|WGS:NBSK|LSAT_5X69040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSELPKASNSEDGVDFISSMPDAILLLILSRLLSTEEVVRSSILSRRWKYLWTAVPTLHIRYRRELKKTKFIEFVYWVLANITVNLDSFRLYCGDYYCMSTVWRWIHVAVRKNVKELHLELHPNKLTDVFEMPHSLATCGSLEVLKLYLSARLLRLPNTMGFPALRVLDLSYVDLEDNDLINNFLESCPLLEELSLICCFMNELALLRISNPNLKRLRIDNNENLIMCCGIQICCPKLVDMDLTGNIAYRFFFECLDSLKVVVIQPKLEGITTSVLFPGISGVEHLSIDLFFFLECLYAARDPVLPNLKTLVIKTTMDAFTMDNFNKVLKYYPKLESLKLIIKQDFDEKYECLDEAETREILTSDVESVEFFEFNGEKPKLVIDWFEDLLHLFFTWGDFGDRFPHWL >cds-PLY85495.1 pep primary_assembly:Lsat_Salinas_v7:2:195176990:195178048:1 gene:gene-LSAT_2X115680 transcript:rna-gnl|WGS:NBSK|LSAT_2X115680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALYNPSTLLKTALCVFLLSISLECSRGARILTDTTPFSTNQHDSTDPIDDVDTPDVAPQVDPTPTVITPAPLVSPSIPSPVVKNPIVATGVTTPVTTPTGGSASVVGTVPATGAADHPTMSFFMHDVIGGSHATSRVVTGIVASSSANAVPFSTPNSQVFPITGGIPLNNINGIVNNNNLPFLAGFNGNNPNNPNSNTVLQNTGNNNVVNGGNNLPFVAAGQLPAGITLQQLMFGSITVIDNELTEGHELGTGVIGSGQGFYLASSLDGSSHTFALTTLFHGGDHEVDDTISFFGVHRTASEISHIAVIGGTGKYEEAKGYATIESLPQVDEHTTDGVETIVHVKIYLTTP >cds-PLY88316.1 pep primary_assembly:Lsat_Salinas_v7:3:87104804:87106000:1 gene:gene-LSAT_3X66481 transcript:rna-gnl|WGS:NBSK|LSAT_3X66481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTGYQFSRWLAAMVVVLWMIVKPGSAQSNDSSSSTVDMPIRSFCGPVDVMSPTVFFTNLNSTFASLRKELSRKGVYFARSNNLGNADSVYGTTQCRRYLSTAKCMSCFDVGVSILTARCTTQNGAYVILDDCFISLTLGIRA >cds-PLY77204.1 pep primary_assembly:Lsat_Salinas_v7:8:25444723:25446922:1 gene:gene-LSAT_8X19340 transcript:rna-gnl|WGS:NBSK|LSAT_8X19340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELVQERSIDTDKLSYEIFSILESKFLFGYDDSKLWKPKQVSPEISVTPTSMLVADDSGVQSMTNQRGKICVLSIDGGGMRSILAGKALAHLENALKTKSGNPNARIADYIDVAAGTGVGGVFTAMLFGSKNQSVPIFTAEDTWRFLAEQGKRLYHKKSSSTGGGVGGFLKRIFRGGGGVGDGGIIDATAAFENAMKDAFVVNGESLTLKDTLKPVLIPCYDLSSSAPFLFSRADAMETDSFDFRLWEVCRATSAEPDLFQPVPMNSVDGKTRCIAVDGGLAMSNPTAAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQLQEGNYDYNQVKGWKAKDWAQPMARISGDSSADMVDHAVAMAFGQSRCSNYVRIQANGFTMGSQRANIDKDPCPNDVNILIGIADEMLKQKNLESVLFGGKRIGEQTNFEKLDWFAEEVVLEHQRRNCRVAPNVAFKQPTFKQT >cds-PLY68168.1 pep primary_assembly:Lsat_Salinas_v7:7:70682693:70690050:1 gene:gene-LSAT_7X50600 transcript:rna-gnl|WGS:NBSK|LSAT_7X50600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGGPENLRCNFRGIRQGTWGKWVAEIREPNRGSRLWLGTFGSVVEATLAYDEAARVMYEVFSMNAKKAENENQMITMHPLFYPNHHDLQFDVSTTSSTSLVGIAPINRPVVCFENSVAYDLRRGTWEDGKMDGAVKTCCIISFNLAM >cds-PLY62775.1 pep primary_assembly:Lsat_Salinas_v7:4:290621536:290624374:1 gene:gene-LSAT_4X149501 transcript:rna-gnl|WGS:NBSK|LSAT_4X149501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSPNPTDQNFIVVDFHYNGQFAPNPLVYFDPDRASVRDADFSGFGYEQFMEFLHKLTKSRSKDIYFCLPQESLGLGIHTLVNDVPSSSRGSGADPSLSRGNEGPPPPAAQQPPPPPPAAQQPPPPPPAAPMPRRRVPVSRSGRRKYSERIIKQALRRQIPGVGSNADNPSVID >cds-PLY98054.1 pep primary_assembly:Lsat_Salinas_v7:2:20153387:20155482:-1 gene:gene-LSAT_2X9800 transcript:rna-gnl|WGS:NBSK|LSAT_2X9800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGFILDLFPIVVPWMLLPKPFILKAHHNRGQMSHWWREVIMAPMIMKKSLSSAKLRFEKLGQIGGGGGCKCGEKVGPTTKSALLALATHATDPDEAESLKFLASCARKDEYAQWIVSSQRSLLEVMEAFPSAKPPLGVFFASVAPRLQPRYYSISSSPKIHVTCALVYEKTPSYQDVSTKECSDCVSKVVDIAVKELIAVATKREGKL >cds-PLY85015.1 pep primary_assembly:Lsat_Salinas_v7:4:223703132:223703996:1 gene:gene-LSAT_4X123461 transcript:rna-gnl|WGS:NBSK|LSAT_4X123461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPDLFKATIAGVQFVDIVTTMLGPTIPLTTTEWEEWGDPRKEEFYFYMKSYSPVDNVVKSDIQDVIDKDGEGFTMVTKKAGNAGTATVKGYGTGDIHPNVSGSKGSNWNGGNNKRGCYNSVNKGNKGWNKRSHSGNWNRGSVSHWNHQKKQEFVAANNKSFIVDKQGHNGKESVDSRKKEEKVEKEKDFKGSQIINLSL >cds-PLY78031.1 pep primary_assembly:Lsat_Salinas_v7:9:44084212:44085341:1 gene:gene-LSAT_9X40101 transcript:rna-gnl|WGS:NBSK|LSAT_9X40101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEGWTEVRRRKQKRDEKEAVTTFYVSGFQDGTSKMELYRSFDRFGLVADIYIGGKKNQRKQNFAFIRYAGVKDVKAMEAAMQGVRIRGMLLLSNMAKYQKESMGTKRPPTSHQGKPYATPISRNGTRDSRTFTQVVSEEKSRWQEWFKWMILGEQMDSSYERLAWLKVNGVPLKYWDEDNFSRIASRFGKVICPFDNIHNRKDLSMGKVGIITARKKWINEEVEVMVNGILLSVGVVEFTDDWSPFNPYPFDMDAKDSDSEATDVVDEDEGISETLMQEVENELEEGEHTGDSTGEVGKS >cds-PLY90056.1 pep primary_assembly:Lsat_Salinas_v7:6:18237130:18239902:1 gene:gene-LSAT_6X14101 transcript:rna-gnl|WGS:NBSK|LSAT_6X14101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGMFLDDSMSNLTSASNEASLSSSSNKNEVGTMYPPPQQMQQSSLASVPINSDNQTQTNKKKRNLPGNPDPEAEVIAMSPKSLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSKQEVVRKKVYVCPEPSCVHHEPARALGDLTGIKKHFSRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCGALAGENARSSSSSSLLLSSNHLPLHLPMTFPLKTEPQHLLQHPQLNSNIMTNTLQQNHQLPSWFVHHHQQNPTPNNNSSNNLHLPSPSSPPHMSATALLQKAAQMGVTMSKPATGTNTAMVMSLQQQQQQHQQQQQQHQSILSGPHHHDHMCAPTSLLTAHHHASGLGNLSSSDNEQQGMLGLGGGFANVNTGCFDHQTDAITTNAMHAHSHLLHTESLACTTGMSTAFQEAYNGMMSSTKSAHSTGFQEAFISASGGAALNPSKANGGGQNDELTRDFLGLTGFPPHTVDHHFLSMAGLDQLSTSSPYHHHQQQQQQQQQDNHSQNQNQLPWHG >cds-PLY68420.1 pep primary_assembly:Lsat_Salinas_v7:8:23459453:23459611:1 gene:gene-LSAT_8X17981 transcript:rna-gnl|WGS:NBSK|LSAT_8X17981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRPSVTVVVGAALGGDHLITRKEEAPNQQRNLTVVKSIIKIQYVAAVVMYA >cds-PLY73338.1 pep primary_assembly:Lsat_Salinas_v7:7:62838077:62839066:1 gene:gene-LSAT_7X45020 transcript:rna-gnl|WGS:NBSK|LSAT_7X45020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGFKDLTIQLAQYCAGNPLALKVLGSSLFFDVEEPKEKNNMIEVWRSKLDSLNSLKGDLHCKIQGILQKSFDSLPHASNKELFLHTTFFFVGEYEGYVVKILERDWNAKARIRNLINRCLLTISPRKKLMMYQLLQEMERNIVLQESSDPAARSRVSQNDESYRLLTKGEPTTLKTTSLAKTDKLKFLKIKHVELKGSYKNFPELRWLYWSEYQLKRIPSGLWGATWWL >cds-PLY62952.1 pep primary_assembly:Lsat_Salinas_v7:2:212205672:212208784:1 gene:gene-LSAT_2X132361 transcript:rna-gnl|WGS:NBSK|LSAT_2X132361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVAYCKTISPIVTWNPPEGQHVMGTNDEETQRVTILSFGLVSTPAPLAILPLSDSKCYFGDNSSLANEIQKEMKVLNRKLLKAKDKNTKREIHKNLGSFQKKKAKGNNLFCSGLEIACWIALFKGLGNTLFKRLADLYGDDVMSMPIVQYSMHELIMTWSSKELYNNKV >cds-PLY72459.1 pep primary_assembly:Lsat_Salinas_v7:2:139936980:139937372:1 gene:gene-LSAT_2X68380 transcript:rna-gnl|WGS:NBSK|LSAT_2X68380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPSPHFSFGNPTYKYEDEESLALTIRSGQCQDIDRWHSRREFLKSYQFSYARLSLKEKMKKGARKIGIRVYKLTLAWPSVFILRCYVPIPCIEEVHDIPQDQMHDDHYVFLEHVSQGFMHRKSLGVS >cds-PLY89162.1 pep primary_assembly:Lsat_Salinas_v7:3:22588832:22592026:1 gene:gene-LSAT_3X16840 transcript:rna-gnl|WGS:NBSK|LSAT_3X16840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFFYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPTDKPVPNATLQVTGAVGWRREGLAYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESEIKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVVKIPVPKQTAKTSLQVTSGRAKYNASMDCLMWKIRKFPGQTESTLSAEVELISTIAEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >cds-PLY81323.1 pep primary_assembly:Lsat_Salinas_v7:4:37527421:37528171:1 gene:gene-LSAT_4X24960 transcript:rna-gnl|WGS:NBSK|LSAT_4X24960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSLQLKLRGIFLADDSPEKWTNPFGDIFTNVISAVSNFFQRLIGLFNQEFPPDSRDEQIRHWFDGATPYLIAAAVLITVLCCWSCLLSCISAIFVGCFNVVRSSFRCLGRCFCCCCGRRMTAPGRSPMMMRRAAFEFNPKDYFRGLRGQPNNFVY >cds-PLY76313.1 pep primary_assembly:Lsat_Salinas_v7:8:298712314:298715184:-1 gene:gene-LSAT_8X164660 transcript:rna-gnl|WGS:NBSK|LSAT_8X164660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVGLLLLVAVSNAIEVYRSVEEGECNSERHLIPRPHSVSILEFGAVADGKTLNTVAFQNAIFYLKSFTDKGGAQLYVPSGKWLTGSFNLTSHLTLFLEKDAVILASQDIDHWKVIDPLPSYGRGMDLPGQRYRSLITGENLSDVVITGDNGTIDGQGSIWWNSFDSNTLNHSRPHIVEFINSKDIVISNITFLNSPAFTIHPVYCSNVLVQNITALSPAESPYTSGIVPDSSDSVCIENSNITMGHDAISLKSGWDEYGITYGKPTTNVHIKGVRLQSFKGSALAIGSEMSGGISEILVENVKLHDSVAGIAILTSKGRGGYIKGIVVSDVDFENVVLAIKLSGDSDSHPDDKYNPDAVPVVEGITFKNMVGINITAAGILSGIVESPFTSICLLNLSLELNPELSSSSSPWTCSYVSGFSENVSPLPCLELQTIPLNSSVCFSNSHNLVDVL >cds-PLY83531.1 pep primary_assembly:Lsat_Salinas_v7:8:188258587:188260310:1 gene:gene-LSAT_8X122201 transcript:rna-gnl|WGS:NBSK|LSAT_8X122201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVNSKAEAARARKSATEAERKEKDAREKEEKYWREAEGGKSRAAKKREEESEKKAEAAARKAEVRRLAEIEEKELEKSLKKVDKKATRVSIPVPKVTEAELIRRKEEEQAQILKKAEEEKRKQSRTAKEEEYEKMVLVENTNRDDTVIEARSVEEAIAQMSVADSLPVDKHPEKRLKASFKAFEEAELPNLKAEKPGLTHTQYKDMIWKLWKKSPDNPLNQVAEK >cds-PLY64316.1 pep primary_assembly:Lsat_Salinas_v7:4:26467448:26469856:1 gene:gene-LSAT_4X18960 transcript:rna-gnl|WGS:NBSK|LSAT_4X18960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLSIVQGFSKSLAMTVLSEIGDKTFFAAAILAMRYPRRYVLAGCLTALIVMTILSAAVGMAAPNLISRTLSKHITTVLFFGFGLWSLWDAYHDGESEELAEVEAELDANIKPTNSDNKADDDLKKKKRPLLTQFFSPIFLKAFTITFFGEWGDKSQLATIGLAAAENPLGVVLGGILGQALCQTAAVFGGKSLATQISERFIALSGGVLFIVFGLQSLFSRVES >cds-PLY77853.1 pep primary_assembly:Lsat_Salinas_v7:1:21565572:21566597:-1 gene:gene-LSAT_1X18380 transcript:rna-gnl|WGS:NBSK|LSAT_1X18380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLHAWSKNSFRHILAKWGSIAHLDDNIGEDVYKSCVCILTSFLGIISEVIKVSIDGEIFPIRIKEAPRWNPTFVCEFNKNSDNDSVDEFHRFQQDHEGSNVSLSDKEDLSLDPFGIYDVMKKLDNEEELKKSTKLHKDSSQGHYRNSAQINDESVFQEHVACPGTIYVSQQSLNNLDQEPPFPHNEVRSTADQDGPGAVKIATTSFAAVKNATTTFEDVLDSFSTASTPFFSSKPRKLYVDNQNTRAVNEGNRGNYSVNDTDQINRMGSSGGYGQGLEINSSEEDLSHPPGFSNQCFSFSENNQSNTGGIEKIIHFLRNYKKPLLWEKRWGIIWMDAWIV >cds-PLY66569.1 pep primary_assembly:Lsat_Salinas_v7:6:166335448:166337065:1 gene:gene-LSAT_6X102380 transcript:rna-gnl|WGS:NBSK|LSAT_6X102380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIFAFFPSSLYSSISILLFSSIFIYALRWMKTSKAAPNLPPSPPKLPIIGNLHQVIGKNFHQILWKVSRKYGPIMTVHLGATPYVIISSSEFANQALKTHDQILCNRPRSKGFKRLTFDYMDVAFSPHADQWKEMRKVLVTEFLGSKRSRLFKKVVDTEVKGMLDSFSSLNTTIDLDERLFHLVTDIVSKVAVGKSYREETFRGKKLKEMLDDLVISLCGSVSDIYPGRLGLILDELLGFNRRLEKTFSNFDAFLQMVLDEHIDHTGTSDHEKDMVDACRSQLTTNEMKALLMNVLNGAIDTTTTTMVWTMSEIIKNPRVMKKLQEEIRRCVGRKSSVEEADVANMPYLKLVVKEALRLHSTVPFLLTRECVKHCQIGGYDIFPGTRVLINAWGIGRDPKVWTESASIFNPERLENLELDRSEMIPFGGGRRACPAASVATQIVEYTIANLFYSFDWQLPSGMKNEELDMEEVGSLIVVRKTPLTLVPVKYDWQD >cds-PLY79565.1 pep primary_assembly:Lsat_Salinas_v7:8:118285697:118295303:-1 gene:gene-LSAT_8X82040 transcript:rna-gnl|WGS:NBSK|LSAT_8X82040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDYLKKDFDFPAKHPSEAALKKWRNAVSLVKNHRRRFRHVADLEKRSIHQNRLSKIKEDLRVTFIAIRAAMRFMDAAAHPQNMTREPHQPRDIKHSEYSKNPDKLASMVRNYDMKALRSLNGVNGIAEVVNVSIDEGVKSTDIPTRQETYGINKYTEKPSKSFFMFIWDALHDLTLIILIVCAIVSIGVGLATEGFPNGIYDGLGILLSILLVVTVTAVSDYKQSLQFKDLDKEKKKIACHVTRDTCRQKVSIYDLVVGDVVHLSIGDQVPADGIFISGYNLLIDESSLTGESDPVIINEKKPFLLAGTKVQDGSAKMLVTAVGMKTEWGKLMETLSEEGEDETPLQVKLNGVATIIGKIGLVFAILTFLVLTVRFLIEKAMRNEFTTWSSADALSMLDYFATAVTIIVVAVPEGLPLAVTLSLAFAMKELMKDKALVRHLSACETMGSSTVICTDKTGTLTTNHMVVTKIFVSGEIKDVKDNAKLPERVSDVLLQGIFECTGSEVVKDKSGKTSILGTPTESAILQYGLDLGGDFETVRREIKMLKMEPFNSAKKKMSVIVTLPEGQTRAFCKGASEIVLGLCDKMIDGNGEVVPLSEEKVKFVTNVIEEFAVEALRTLCLAYLDVEGSFDCEKNLPESGYTLIAVVGIKDPLRPGVKEAVETCLAAGITVRMVTGDNINTAKAIAKECGILTEGGIAIEGPDFRTKTIQELNEIAPRIQVMARSSPTDKHELVKHLKAMSEVVAVTGDGTNDAPALHESDIGFAMGIAGTEVAKEQADVIVMDDDFATIVKVAKWGRAVYINIQKFVQFQLTVNIVALMINFVSACITGSAPLTAVQLLWVNLIMDTLGALALATEPPNDGLMKRQPVKRTDSFITKTMWRNIIGQSIYQMAVLFVLNFAGKPILNLHGPDSTAILNTFIFNTFVFCQVFNEINSREIDKINIFRGMFSSWIFLGVMISTVVFQVIIVEFLGTFASTVPLDWELWGLSIVIGLVSMPIAVVLKCIPVEKTAAVKEQHDGYESLPNGPEIV >cds-PLY69765.1 pep primary_assembly:Lsat_Salinas_v7:5:232131240:232131869:-1 gene:gene-LSAT_5X117140 transcript:rna-gnl|WGS:NBSK|LSAT_5X117140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTKRDEKQYWLLKTEPGEWSWDDQASNGGLSKWDGVKNHQALKNLKSARLHDLCFFYHSGTKSRRIVGVVTVVREWYEDDDAGCGAVDVKAVGEMRNPVDLKEMKGELKNSKEFGLFRQPRLSVVPVPVDVWEKICELGNGYEGDGVIVSDHDEVDEQVFVNLLH >cds-PLY69894.1 pep primary_assembly:Lsat_Salinas_v7:4:69526354:69529356:-1 gene:gene-LSAT_4X46940 transcript:rna-gnl|WGS:NBSK|LSAT_4X46940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPQVRFTLGKQSSLAPDTVSCSDSDSDDGLEAIDPRVRLMFFSSEGDLEGIKKLLDSGTDVNFKDIDNRTALHVAACQGFTDVAELLLERGAEIDPQDKWGSTPLADAIHYKNHGVVKLLEKHGAKHLATPMHVNNAREIPEYEIDAKELDFTNSVDITKGTFAIASWRGTQVAVKKLGDELFTDEEKVRAFRDELDLFQKIRHPNVVQFLGAGDLRAFLKRKGALKTITALKYALDIARGMSYLHENKPEPIIHRDLEPSNILRDDSGHLKVADFGVSELLKGTHAAKHEKPMSHQDTTSGRYVAPEVFRNEDYDTKVDVFSFALILQEMIEGCQPFVTMNERDVPKLYAAKERPPFKAPSKSYAHGLKELIEECWHENPERRPPFRQIIVRLESIYNSIDRKKHWKVSLTFFLY >cds-PLY89271.1 pep primary_assembly:Lsat_Salinas_v7:3:250585200:250585550:-1 gene:gene-LSAT_3X138040 transcript:rna-gnl|WGS:NBSK|LSAT_3X138040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHQEHPDRRCFAGGFRRAKGRSPPPLYPLVCDETIKPNTLLHRCFLPCFLPSLWCCRKRGRQLEDAEATPATPLPPITTEVDVVLPLLPSSVLKNSIPHHFHGLKKLKQLRVFFQ >cds-PLY76742.1 pep primary_assembly:Lsat_Salinas_v7:7:62444034:62445013:1 gene:gene-LSAT_7X43280 transcript:rna-gnl|WGS:NBSK|LSAT_7X43280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNFNMNLVVFMAIMVASMQLQGTAAQTRHVVGDALGWTILSGGAAAYTTWASLQTFTVGDVLVFNFTNGDHDVAEVSAAAYGPCTATNPISLVTTGPASLTLTTAGTHYYICTFASHCQLGQKLTINVSADASTTPPSATPTTPASPPTTIPTPPLSAPTTPASPPTISPTTPDVPCPPTSSPSSSPSSPPTVVTSDRITPVPPPSGGAPSFSAMAAKTRWFWD >cds-PLY95831.1 pep primary_assembly:Lsat_Salinas_v7:5:65397620:65398299:1 gene:gene-LSAT_5X30800 transcript:rna-gnl|WGS:NBSK|LSAT_5X30800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIEGAVQCSQCKGVGINPEDFFNGQFKAGDSCWLCGGRKEMLCGGCNGAGFVGGFMSGFDH >cds-PLY74070.1 pep primary_assembly:Lsat_Salinas_v7:9:10991055:10991886:-1 gene:gene-LSAT_9X9580 transcript:rna-gnl|WGS:NBSK|LSAT_9X9580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIDFHALFMWTLPRLNKDKADISWNFDPTMSKRFWELSVVIKQWEIVRFRRAHWFFIWSFAELAVVGVSISIFNLVAKLFNIPLLNITTSFVAEEEAVLVKDDDDSTFLSHDIMSGSKKKFLPSVSTCLALAAAFGIGETITLFFASGSILNHCCCNIAQEEG >cds-PLY84982.1 pep primary_assembly:Lsat_Salinas_v7:8:62772263:62774343:1 gene:gene-LSAT_8X45981 transcript:rna-gnl|WGS:NBSK|LSAT_8X45981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 6-phosphogluconolactonase 1 [Source:Projected from Arabidopsis thaliana (AT1G13700) UniProtKB/Swiss-Prot;Acc:Q9LMX8] MESKKDRGEVRIHENLDELSTDLADYVAELSEASVKERGVFAIALSGGSLISLMRNLCGAPYNKTVDWSKWYIFWADERVVAKNHVDSNYKLAKDHLLSKLPIVPSHVHSINDSLTAEEAATEYEFVIRQLVRTRVINVSEVSDCPKFDLILLGMGPDGHVASLFPNHSVLEEKNEWVTFITTSPKPPPERITFTLPVINSAANVVVVVTGGGKAEAARLAIDDVGPECEVLPARMIEPVVGKLVWFLDSQAGSKLKSFS >cds-PLY74437.1 pep primary_assembly:Lsat_Salinas_v7:6:11362986:11366048:1 gene:gene-LSAT_6X8940 transcript:rna-gnl|WGS:NBSK|LSAT_6X8940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEMIKYLIGCAGASGYGSKSTAEQVTDNCHRLCSYTAIITGATSGIGAETARVLAKRGARLIMPARNLKAAEENKARILSEFPDSEIIIMTLDLSSLHSVRSFVSDFEALNLPLNLLINNAGKFSQNHCISEDGMEMTFATNYLGHFLLTELLMKNMIETANITGVQGRIVNVSSGIHTWFSGDLIRSLGRITRDKSQYDATRAYAISKLANVLHTKELARRLKQMEANVTVNCVHPGIVRTELTRDHKGFVTDLVFFLASKLLKTIPQAAATTCYVATDPRLRNVSGKYFVDCNEASASKLACDPEEGARLWSFSMIMVSKEPRSDFSTFHGLY >cds-PLY99876.1 pep primary_assembly:Lsat_Salinas_v7:4:46266085:46269836:1 gene:gene-LSAT_4X31520 transcript:rna-gnl|WGS:NBSK|LSAT_4X31520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid 9,10(9',10')-cleavage dioxygenase 1 [Source:Projected from Arabidopsis thaliana (AT3G63520) UniProtKB/Swiss-Prot;Acc:O65572] MEAEIPGGPVVVTPKPKKGFSSKAVDWLEKLIVKLMYDSSQPHHWLFGNFAPVDETPPCKDLPVIGHLPECLNGEFVRVGPNPKFAPVAGYHWFDGDGMIHGLRIKDGKATYLSRYVKTSRLEQEEYFGGAKFMKIGDLKGLFGLLMVNMQMLRTKLKVLDLSFGQGTGNTALIYHHKKLLALSEGDKPYAIKVMEDGDLETLGLMDYDKRLSHTFTAHPKIDPVTGEMFTFGYTQSPPYVTYRVISKDGVMNDPVPITIAEPVMMHDFAITENYAIFMDLPLYFRPKEMVKGNKLIFTFDSTKKARFGILPRYAKNEVQIKWFELPNCFIFHNANAWEEGDEVVLITCRLQNPNLDMVGGGVKEKLDNFANELYEMRFNMETGVASQKKLSESAVDFPRVNECYTGRKQRYVYGTRLDSIAKVTGIVKFDLHAEPETGKTKLEIGGNIPGIYDLGPGRYGSEAIFVPKKPGFDSEEDDGYLIFFTHDETTGKSTVTVIDAKTMSPDPVAVVPLPHRVPYGFHAFFVTEEQIQEQANL >cds-PLY86774.1 pep primary_assembly:Lsat_Salinas_v7:4:296346192:296348574:-1 gene:gene-LSAT_4X148300 transcript:rna-gnl|WGS:NBSK|LSAT_4X148300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSAMYPNVQSPSDNPDLRDCAYIYWCLLSTHPKVVIFHDGTYLTLKEFFESLDLTRCGQSRHREIFMKQDNLIKGSDSSSNPCPAASQSSYIQVILLERKLSKEIVKGEFSHGFL >cds-PLY89284.1 pep primary_assembly:Lsat_Salinas_v7:2:48044366:48045087:1 gene:gene-LSAT_2X21801 transcript:rna-gnl|WGS:NBSK|LSAT_2X21801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSTIKLAIESFTVDGDDIIEKLIETWERFKVPVLVPFLRTLMYVALAMSVMLFIEMVYMSLVIAFNYLFGRKIEKRYKWEEFKDELESGSFVYPLVLVQIPMFNEKEVYQLSIGAACGLSWPADRIVIQVLDDSTDPIIKVTNKYQT >cds-PLY98494.1 pep primary_assembly:Lsat_Salinas_v7:4:361748821:361748985:-1 gene:gene-LSAT_4X179321 transcript:rna-gnl|WGS:NBSK|LSAT_4X179321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNDKMKALMIGVVGAGITLSAYSQTYMTPTQCIGTGLVILIVGLFVGEGILPV >cds-PLY97136.1 pep primary_assembly:Lsat_Salinas_v7:4:359138710:359139006:1 gene:gene-LSAT_4X176580 transcript:rna-gnl|WGS:NBSK|LSAT_4X176580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIESSWNDGLETDKLFFEKLTAASNNDNVEGHTPMLLAYNDHDRIRHMRNFLRVYPLTKPRDDVKSMIMKKKKNYLHEKISTFVSLLSWTIRTIKSD >cds-PLY83840.1 pep primary_assembly:Lsat_Salinas_v7:3:50658647:50659296:-1 gene:gene-LSAT_3X38961 transcript:rna-gnl|WGS:NBSK|LSAT_3X38961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEENAHGDDVNQSNEKDEDASEAGNELITSESIRDTEVVASSRAAEIGLGILAQTIQVSPSY >cds-PLY98072.1 pep primary_assembly:Lsat_Salinas_v7:4:78939215:78944094:1 gene:gene-LSAT_4X53041 transcript:rna-gnl|WGS:NBSK|LSAT_4X53041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 24 [Source:Projected from Arabidopsis thaliana (AT2G33770) UniProtKB/Swiss-Prot;Acc:Q8VY10] MDVFLSDFDSYSESSSSDDQEDDEFMYSGQASCILSNLQETIGKIDDFLLFERRYVHGDIVCLMKDHSQMGKVTNFEMLVDLENLNEKKLKDINSKELQRIRSISMGDFVISGPWVGKADQITDSVTVLFDDGSKCEFTITDTENLIPISPDLVDDSQYPYYPGQRVKLVNSTRSNSTQWFCGSRTETHNEGTVCNVDTGSVHVTWLGCALFGSEGGAPVPPSLQSKTDLTLISCFLNWQLGDWCVLPKISHSGFQEIFVIAKTKCRIDVLWQDGSESFGLDSSCLNPVNTLDAHDFWPHQFVLEKGTSDDQENRKSGVVKVVDAKEKTVRVEWELENEEKNVEEIVSAYELIDHPDYSFSQGDLVFRIHKGQKFEKDSYNSYLDHIGIVIGLKNGIVEVKWATGFTSKVAPHEIFRVEKSEGVSATPLLNNGNMEEKSDHDTRSTDPTEKDLLDSDDDDVDDDDDDDDEKDCLKMLYDSTTFSVPRAAIGFLSNVATRFFGSQNYTTSYVRSDHVSDFNEEDDQTFVETKFEESEDSKVVPVLSNSENLKGFRQFDMVNDCSSHHFVDSAEKSSISTQVKKSWLKKVNQEWNILTNDLPETIYVRVFEERMDLIQAAIVGASGTPYENGLFFFDIFLPPEYPHEPPMVHYNSGGLRVNPNLYESGRVCLSLLNTWTGTGSETWNPNESTILQVLLSLQALVLNKKPYFNEAGYDQQVGSPEGEKNSCSYNENAILMSYKSMLYILRNPPKHFEALVEEHFSKRCGQILMGCKAYLEGVPVGGENVETEGQNGNSTGFKIMLSKLVPKLVEAFTAKGFDCGECSKREL >cds-PLY69632.1 pep primary_assembly:Lsat_Salinas_v7:5:111419363:111420816:-1 gene:gene-LSAT_5X49200 transcript:rna-gnl|WGS:NBSK|LSAT_5X49200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIGTLPHHGLTNLAKKYGSFMHLQLGEISTIVVSSPKLAKEVLTNYDLTFADRPENLTAEVVVYHSTDIVFSPYGEYWRQLRKLCTIELLSAKKVKSFHSLREEECWNLVQEIRSSRSGRPIDLSHRIFSRIALIVSRAAFGEGLKDPIVFSDLMRKTLTEMGAGADTSAATVEWALSEVIKSPRVMEKLQTELRKILNGKERILEEDIRDLGYLNQVIKETLRLHPPGPLLMARESRESCVFAGYDIPKKTKLIINAFAINRDPDYWKDPECFIPERFENNPTNMVGAEYEYLPFGAGRRMCPGVSLGLANVRLPLANILYHFNWKLPNGEKNEDLDMSECFGAAVHRKYGLVLVPISG >cds-PLY80273.1 pep primary_assembly:Lsat_Salinas_v7:4:224952932:224953633:1 gene:gene-LSAT_4X124580 transcript:rna-gnl|WGS:NBSK|LSAT_4X124580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHFNLCSSSSSSSDSSSSDTSHSPHKTQHSTGLHTIKGPWTSEEDKILTRLVERSGPCNWSRISKYIKGRSAKSCRLRWCNQLCPNVHHRPFSCDEDNTILVAHAQYGNRWATIARLLPGRTDNAVKNHWNSTLKRRRFENQEVVADGGDFKMSLNCGSTSFSTSGSPSNVGAAAAVEYDPMTTLSLAPPGMGGCETTEGLPTEFWDVLRDVIAREVREYLSTSFPETSTFH >cds-PLY93660.1 pep primary_assembly:Lsat_Salinas_v7:2:201755112:201757794:-1 gene:gene-LSAT_2X122961 transcript:rna-gnl|WGS:NBSK|LSAT_2X122961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKDERSKKALRGFKTVFFLVTMIISFLFFSAPILFAVADALLPTALLSASLSAPSDSPFPDPSPAFSLLQTLSSHLSNYDFRYSLIDIPLISIIRSAIILCVYGLCDGPGLSRGPYLGITTVCSVVSLLFVSVKASYVFGGRSSGFSAAEVALFICSLSLAIGHIVVAYRTSCRERRKLLVYKIDVEAVSTFKNGFPRYTKKILQDERVKVKLQN >cds-PLY67115.1 pep primary_assembly:Lsat_Salinas_v7:5:291219051:291221894:1 gene:gene-LSAT_5X154341 transcript:rna-gnl|WGS:NBSK|LSAT_5X154341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNNQGQGESRHNQGQGESRQNQGQGESRHNQNAPMQELQPHPVMEQLSGVQYCVNSPPPWKEAVLLGFQHYVLSLGTTVLISTMTVSQMGGDNAEKARVIQTLLFLSGFNTLTQTMFGTRLPSVVGGSHAFLVPVISIIHAKRYRMYQEPHQRFAQTMRGIQGALVIASAFQMILGFLGLWRNVVKYLSPLSVVPLVTFTGLGLYHLAFPMLGKCIEIGLGELVLIVLISQASSSSGEIMLFSVSIAWTCAGILTWSGAYNKSTDTLNTCRTDHSGLIHGAPWIYVPYPFQWGTPTFDVGEVITMIVASFVSSIESTGSFSASARYGSATPVPPSVLSRGIGWLGVGTFIGGMCGNVTGFAASIENSGALALTRVGSRRVIQISAAFMIFFSVFGKFGAFFASIPLPIFAALYCILFGCVSSVGLGHLQFCNLNSFRTKIILGLSFSLGLSLPQFFREHWVSNHGPMHTHARWFDNMVSVVLMSHASVAVMIAMILDCTITHGKNENGKDWWEKFTVYGKDVRSDEFYKLPWKLNKLFPAL >cds-PLY83051.1 pep primary_assembly:Lsat_Salinas_v7:8:194237704:194238012:1 gene:gene-LSAT_8X125480 transcript:rna-gnl|WGS:NBSK|LSAT_8X125480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFIQSEPVSDDQPKPKVDDDNSKTNNADYEQFLDMDFMVQPAIPISVFFPDASSKGEIAQGTYNEIESDDDLLNPIKINASFSRVDNEIEAVSSSEMANNH >cds-PLY86049.1 pep primary_assembly:Lsat_Salinas_v7:3:194981195:194981645:1 gene:gene-LSAT_3X118000 transcript:rna-gnl|WGS:NBSK|LSAT_3X118000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIRSAKPYLATPGATVHGHYGEILYEGDTLVTFIYEKSRFGDITQGLPKVEQVLEVSSIDSILMNLEKRIEGWNKSITRILGIPWAFLIGAELTIGQSRISLVNKVQKKMKCLMFFRPEN >cds-PLY78188.1 pep primary_assembly:Lsat_Salinas_v7:8:177577811:177579840:1 gene:gene-LSAT_8X115481 transcript:rna-gnl|WGS:NBSK|LSAT_8X115481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVCCVGCFVGLGCLRWGWKRCTHTGADDSASWPPATADEFEPVPHLCRLTLAVYEEDLHHPQFPPLGGYRLNPDFVVKRVTYEQTLGHAPPYLIYLDHNRREIVMAIRGLNLKKESDYEMLWDNRLGKHMFDGGYVHHGLLKSAVWLLNQESEELQRLWVENGSCYKMVFVGHSLGAGVATLLTVLVVNHRDMLGGIARELVKCYAIAPTRCLSLNLALKYADVIYSIVLQDDFLPRTSTPLEDIFESIFCLPCLLLMVCMKDTFVSEEKKLSDPRRLYAPGRMYHIVERKFCRCGRYPPEVRTAIPVDGRFEHIILSCNATSDHAIIWIEREAQKALELLKEKSCEHVTTPPKVQKFDRLQSIEKEHKDALQRAVSLKIPHAVRIMTTDDEEKEPLTKTSQELPDDLCEEDIKNRVNWNDLVEKLLRPPISGK >cds-PLY85188.1 pep primary_assembly:Lsat_Salinas_v7:9:145857323:145861106:-1 gene:gene-LSAT_9X93401 transcript:rna-gnl|WGS:NBSK|LSAT_9X93401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKGDKPANANKSNAAANPSKGRKKETGLGLTNTKDGNFGEWYSEVVTNGEMIEYYNISGCYILRPWAMSIWEIMQVAWVTRSGDSELEIPIAIRPTSETVMYPYFSKWIRGHRDLPLKLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADTEVVEILELYRRLYEEYLAVPVVKGKKSEMEKFAGGLYTTSVEAFIPHSGRGVQGATSHCLGQNFAKMFEIKFEDEKGEKAMIGVMVMVHGDDKGLVLPPKVAGVQVIVIHLPYSDADVDALVNASLETVKALCDVGIRAEADLRENYKPGWKYAHWEMKGVPLRIEIGPRDIAKNQVCVARRDNGKKIDIPMDDLVDKVKGLLDDVQQNMFEVAKQKRDACVQVIKTWDEFIVALAQKKLILAPWCDEEEVEKDVKTKTKGETGAAKTLCSPFEQPELPKGTLCFASGKPAKKWTYWGRSY >cds-PLY90574.1 pep primary_assembly:Lsat_Salinas_v7:6:53865985:53869747:1 gene:gene-LSAT_6X40440 transcript:rna-gnl|WGS:NBSK|LSAT_6X40440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVDTRSQNHPPGDEEKIMTRKQKAEKQEHEQSPNKKPKSEDNNNNNNGNKSNNKSLTDIVAEFDNFCKTTSQHLSIKQMREILEANNANSSGSDDAVVPRCQDMMFYGVLKECPICCGTLHHDGHNYICEGSYSEWSTCTYSTNDPPRIEEPIKYPDFVQDSPISDLLMEHRDPKGKLKRDIKSKDKPFAGMIISLSGRLSRTHQYWKTKIEKHGGKVANHVIGVTCVVVSSSERDRGGSSKVAEALERSIPVVREAWLNDSIEKDEPQPLDAYDVVSDLAVDGKEIQWDMQDRSQEALESLNAEIKMYGKRGVHKDSRLDKDGGVIFEKDGIIYNCALSVSDRGRRINEICVMQLIMVPENRIHLYYRRGKVGADPRADERVEEKKNVDEAIKEFAEIFEELTGNEFEPWEREKKIQKKPRKFYPIDIDDGYDVRYGGLGLRQLGAAAAHCKLDPFVANFMKVLCGQEIYRYALMEMGIDAPDLPVAMLTDFHIKTCEETLLEFVKKLFKTIEGEEDKPSAVWPDFSQRWFTLLHSTRPFIFRDHQDLADHGASVLETVRDINVASRIIEDMSGSTIDDPLFDRYKKLGCSISPLEKESDDYSMILKYVEKTYEPVKVGEISYGISVENVFQVEVGAGPSYDDIKKLPNKVLLWCGTRSSNLLRHLHKGFLPAVCTLPVSGYMFGRAIVCSDAAAEAARYGYTAVDRPEGFLVLAVASLGKEITEITSVPEDTESLEEKKTGVKGLGRKKTNEKEHFVWKDDIMVPCGSLVESEHTDSPLEYNEYAVYDPKQVMIRFLVGVKFEEQDVVYEEVDPADADADAPLE >cds-PLY92872.1 pep primary_assembly:Lsat_Salinas_v7:8:40826609:40830873:1 gene:gene-LSAT_8X31881 transcript:rna-gnl|WGS:NBSK|LSAT_8X31881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVADNSSVSARGRLAVLSAHLSACLESSAFTPVLESSCTSAQVFVSPPPNLKGSLVIADERTGKKYKVQVSEEGTVRATDLKKITTGNNDKGLKLYDPGYLNTAPVRSSICYIDGDEGILRYRGYPIEELAESSSFLDVAYLLMYGNLPSQSQLADWEFTVAQHSAVPQGILDIIHAMPHDAHPMGVLVSAMSALSVFHPDANPALRGQDLYKSKPVRDKQIVRILGKAPTIAAAAYLRMAGRPPVLPSSNLSYSENFLYMLDSLGDKAYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASSGVDVYTALAGAVGALYGPLHGGANEAVLKMLNEIGTVDNIPEFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIKKLAEEVFSIVGRDPLIEVAIALEQAALSDEYFVKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGYLSHWRESLDDPDTKIMRPAQVYTGVWMRHYIPAQERMSSTGAVVDKLGQLSVSNATRRRLSGSGI >cds-PLY78241.1 pep primary_assembly:Lsat_Salinas_v7:6:7715947:7719373:1 gene:gene-LSAT_6X4901 transcript:rna-gnl|WGS:NBSK|LSAT_6X4901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDDSTDNYNCYSDDDDHHHVNSCSNRNATTTFETSPLEIFNYESHNSVSHNSPMFWKDPSSPPSSKPITDSDFYHFDESSLQRCVFPCAPNERPSQGLSLSLSSANPPTIGIQPFELVRPQEHHYEHHHHQGYFGKSMSMDHDQHHQDMMTQDGFLGRPGNMINSSGGDGDIDGGGGGGSHYNHYQSAQYNLRASRYLVAAQELLNEFCNLGSKQSDHSPKAKGVDTTSNQWQDTHDNLNNASSSKTKSLSSIELMELQKRKTKLLQMLEEVDRRYKHYCDQMKAVVSSFEAVAGNGAAKVYSSLASKAMSRHFRCLRDGIVSQIKTMKMAMGEKDVSAPGSSRGETPRLRVLDQTLRQQRAFQQMTMMDSHPWRPQRGLPERSVSVLRAWLFEHFLHPYPNDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYMEETRTNQETIEGTSDGFNQNPQTDQKPTADELVRIDSECLSSIINHPEKMDHQRPKTIDHEFHHSFPRVTNSFGAVELDFSSYNSHQNIGGGGGGSGGGVSLTLGLQQHDGTDAGGDGDRGGGGGGGAGVSLAFSPTSQGSLFYHRDHIEDCQTEVQYSSLLEGDQGQHLPYRNLMGAQLLHDLAG >cds-PLY72358.1 pep primary_assembly:Lsat_Salinas_v7:5:5933587:5936675:-1 gene:gene-LSAT_5X3060 transcript:rna-gnl|WGS:NBSK|LSAT_5X3060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSAEQLVLDLSSPDLRENALLELSKKRELFQDLAPLLWNSFGTIAALLQEIVSIYPFLSPPNLTPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDMLRDATFSSCLREDPTTRRWLQQLVHNVQGPRVGVGLQGGGGFDHML >cds-PLY90629.1 pep primary_assembly:Lsat_Salinas_v7:6:52734947:52736334:1 gene:gene-LSAT_6X39221 transcript:rna-gnl|WGS:NBSK|LSAT_6X39221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTKLNIVPVLMIFLLFQYSYSAKVTYNVLSFGAKANGRLDSRSAFLKAWGLACNSTNPAIIYVPIGRYLIGSAITFSGQTCKSKAITMKIDGTLVAPSTYNAVGNAQVWIKFYRTNHVTISGGTLDAQGSSLWACKSSGKTCPKGATTLGIYHSQNIVISNLRSLNSQMFHILLYACTNAKLQGVSISAPGLSPNTDGIHLSSSTGVTILNSKISTGDDCISIGPGNSNIWIEKVVCGPGHGISIGSLGWDVIEAGVQNVTVKTATFIGSDNGLRIKTWARRSNGFVKDVVFQHASMVNVKNPILIDANYCPNNENCPNQVSGVKISNVLYEDVHGTSATRVAVKFDCRKGKPCTNIRLKDVNLKYAGQPAVSSCSFAAGTASGLLQPTSCL >cds-PLY84078.1 pep primary_assembly:Lsat_Salinas_v7:6:186944964:186949946:-1 gene:gene-LSAT_6X115440 transcript:rna-gnl|WGS:NBSK|LSAT_6X115440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPDVSIETSSMIRIAVLPVGEIHLHLFRKYAGMLGRHHKIELSTITDFYKADQKSPFSQQPWESGSLRFKFIVGGSPPSPWEDFQSNRKIHGVIGICHCPSSPDLGSVAEQFAMACKGYSSSVVQRCFVFSPGDTQLADRNNNKNKLVLFPPADQRTQEIHLQTMMQEIAASLLMEFEKWVLRPESGGIILKTPLDSQASLSSEEVIKAKKRRLGRAQKTTGDYCLLAGSPVDANAHYSTALELARLTGDYFWYAGAMEGGVCALLMDKAVHRDPAIEEEVKYRYNSVIVHYRKSFIQDNAQRVSPLSFELEATLKLARFLCRRELAKEVVDLLTAAADGAKSLIDASDRLILYIEIARLYGTLGYHRKAAFFSRQVAQLYLQQENSLAAISAMQVLALTTKAYRVQSRASIPKDTGSCIGDNGKMNHELVVSLFESQWSTLQMVVLKEILLSAVRAGDPLAAWSAAARLLRSYYPLITPSGQNGLATALNNSAERLPSGTHCPDPALPFVRLHSFPLHPSQMDIIKRTPGRPDWWAGSAPSGPFIYTPFTKGDQTTTTKQDLVWVVGEPVQVLVELANPCGFDLLVNSIYLSVHSGNFDSFPITVTLPPNSSKVITLSGIPTKTGPVSIPGCIVHCFGVVTEHFFKDVDNLLLGAQQGLVISDPFRCCGSGNGKVKNTNVPSITVVPSLPLLVSRTVGGDGAVILYEGEIREVWISIANAGTVAVEQAHVSLSGKNQESVISIGSEALESALPLKPGAEVTIPVTLKAWQLGLADLDGSRPMKDSSSPMLLIHYAGPTENHREPPATTGLLPPGRRLVTPLNICVLQGLSFVKARLLSMEIPAHVGQISDNSTHTDRLVKMDPYRGSWGLRFLELELSNPTDVVFEVGVSVNLENVDQNSSEFDYPKTRIDRDYTARVLIPLEHFKLPILDGSFLVTNSKSNKKAEVNASIKDLISKIKVRWVSGRNSSGELHIKDATQAALQTSVMDVLLPDPLTFSFRLVDQVDQGQGRVLAHEMTPMEVMVRNNTKDLISMSLSITCRDVAGENCIEGTNSAVLWSGALSGMKVDVPPLEEIKHAFSLYFLVPGEYTLLAAAVIDDPNEILRARARSSSPDEPIFCRGPPYHVRVNGTL >cds-PLY96489.1 pep primary_assembly:Lsat_Salinas_v7:5:337189707:337190582:1 gene:gene-LSAT_5X188940 transcript:rna-gnl|WGS:NBSK|LSAT_5X188940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKPNQVSSPSSKNKIQERRFVVAKKNSKRDKDETPISVNYKCKAGVVVQEENPSLPVGLQGKSEEDDVFETSGDKRRREKLLDKARKSIHEPGSGRVLHLSSDSSSSSFGGRWKRRTLKATSQRPFKLRTEVGIYILQFTCKLQHLYLL >cds-PLY91451.1 pep primary_assembly:Lsat_Salinas_v7:MU039439.1:324754:327564:1 gene:gene-LSAT_0X16600 transcript:rna-gnl|WGS:NBSK|LSAT_0X16600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETIPFKNLHSREYQGHKKKVHSVAWNCIGTKLASGSVDQTARVWHIEPHGHSKVKDLELKGHTDSVDQLCWDPKHADLIATASGDKTVRLWDVRSGKCSQQAELSGENINITYKPDGTHVAVGNRDDELTILDVRKFKPIHKRKFNYEASILLFVNEIAWNMSGDMFFLTTGNGTVEVLGYPSLQAVDTLTAHTAGCYCIAIDPLGRYFAVGSADSLVSLWDISEMLCVRTFTKLEWPVRTISFNHTGEYIASASEDLFIDISNVESGRSVHQIPCRAAMNSVEWNPKYNLLAYAGDDKNKYQADEGVFRIFGFESA >cds-PLY90967.1 pep primary_assembly:Lsat_Salinas_v7:5:287270358:287274584:1 gene:gene-LSAT_5X151300 transcript:rna-gnl|WGS:NBSK|LSAT_5X151300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDYVNNPANMHDFSLMNTKAFANLKGSGGNIWEVFEVLDDARRAIFRNTVFGYFIDVPRLQGDALLFHKMFLHQIRPDPVLSPDGIKRLYFRVGNTKMVYGPEEFCLITGFNFGEYPKNIGRKGSEKLISSKKRCLLRERLFPDHTNSSVKIGDLKSLILNQTFLALDDLDAVRVCLIYILCEGFLGKEVNDRVPQDWFYLAENLDLWNSFAWGSYLWDFTYVDLEDTWNKIHHYLSLPERGQTLKYSVSGFTAPIRIWIYEMIPAVRACGFALRKNKDLPRMKRWSGTKKLKWVDVNKIWSKMQEGLPPRQNMLPGDGEMTSFYYMSFQEYVYGEGKAVPSPVRDHFRRQDESSSSMSSSGRSHGRGRGSGKHKLDELLKRVHALEQHVFMNQQKPTEVFFEEVNNEQFWNDIIFEEPTVSQRNYDEQVVQDEVMNKNNTTQNVFGDTQDDKVLEESTQYAGNKFDDDVCDVNDYSEVKEEWEERNDNAGNKFDDDVPDEDELIIMGNVDYFHDDDDDKEVTPDKPRSRKPSQFLCTPYTELHTTPKQKRRTKKKVGMKSTSPVPPPVFGVAHDFSMLRLQPYVAGGEDVIQNYVLHSYDVQHRLFNFVLDRDFWSSLFGHTHDGWLESAHITIWYRLLMERRFESDRHTIMPPNFFVSHALEEGQDWRAFMAGIATYPNFMVAWWDVDTVLLPIHSSPNHWLFGELRLASMEVHIYDSLGRGAYEKFQSEGIFSKFERRVANYLDKIKYWARRNIPRIPLNMQFIYEENVPQQSSHLGDCGVFLCMFMEQLVSGQPIRVLIDPKNAALEFRLRMAKIIWGSSLAPL >cds-PLY63159.1 pep primary_assembly:Lsat_Salinas_v7:4:311489365:311489762:1 gene:gene-LSAT_4X156580 transcript:rna-gnl|WGS:NBSK|LSAT_4X156580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQLSLSPELFLPQIETTRTHVARLAKKQHLLPSTKHVCLSFYSPISKLINLITAHTFIYRFCLELTKS >cds-PLY73353.1 pep primary_assembly:Lsat_Salinas_v7:7:64883591:64884957:-1 gene:gene-LSAT_7X46780 transcript:rna-gnl|WGS:NBSK|LSAT_7X46780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSSVFTLVLLFIVLYPAQGFDRKLMTEMITSRPINSNTKNYKNNNPDDMEFTHGEIGKGNIFPTTLVPANDMHEKPEVLSHHHNSDVIDIIDIIQSDYTPRRCRAPIHNC >cds-PLY80378.1 pep primary_assembly:Lsat_Salinas_v7:MU041980.1:240995:244017:1 gene:gene-LSAT_0X22060 transcript:rna-gnl|WGS:NBSK|LSAT_0X22060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTLQRIHSVRDNSSDVPIPGFHRFLRQPDRYKLNFRFSSRIGMSSWRAALPLPIPQASSSSYNTSKALELVPFSRLCPICLTNTNDMALGCGHQTCHECGETLQLCPICRNLIETRIKLH >cds-PLY70532.1 pep primary_assembly:Lsat_Salinas_v7:1:76727999:76728382:1 gene:gene-LSAT_1X63960 transcript:rna-gnl|WGS:NBSK|LSAT_1X63960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCTCIESEEQGDLGVKDSPITGVVWASFEAKWPQECRAVFNLLSGERYNMIMERIETHFNHYVTEVTSWTHDE >cds-PLY91071.1 pep primary_assembly:Lsat_Salinas_v7:9:124570827:124577505:1 gene:gene-LSAT_9X81761 transcript:rna-gnl|WGS:NBSK|LSAT_9X81761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNLHNTPPNWVGSDPCVEKWDGISCIESRVTSITLSSIGLSGELSGDIGQLSELQTLDLSYNKDLTGSLTPDIGSLKKLSNLALNSNGFTGPIPPSIGKLSKLYWLDLADNKLSGPIPVSKGPTPGLDQLVNIKHFHFGKNKLSGEIPPRLFSSKMSLKHVLFEENQLTGTVPLTLGLVQNLEVLRLDRNLFSGEVPSNINNLTSLNQLYLSNNNFEGPLPNLTGMKILNYLDMSNNSFQPSEIPPWLSSLQSLTTLMMQDTGLIGEVPVDLFSIPQIETVVLRNNQLNGTLDFGDSYSNHLHFIDMTNNLISGYTERSRYSADLILISNPICRESDTTASYCDLPIDSNSSYSTPQNNCNPETTCTSDQVSSPNCKCSYPYTGTLTFRAPSFSNLGNSTIYTSLQSSMMVSFQSNHLPVDSLSLSQPTKNSDKYLEINLAVFPSGGERFNRSGISQIGFILSNQTYKPPHSFGPYFFLGHNYDFFADATKRKGKSIGIGVTIGVVVGGCVLVLLLIIAGIYAFREKGRAERATKKNSPFASWDPDKGGGDCPQLKGARSFTYEELKTCTNNFAITANIGSGGYGMVYRGTLRNGQLVAVKRAQLRSTQGGLEFKTEIELLSRVHHKNVVGLVGFCFDEGEQMLVYEFIVNGTLKDSLSGRSGIRLDWMRRLKIILGAARGLQYLHDLADPPIIHRDVKTTNILLDERLNAKVADFGLSKPLGDADRTHVTTQVKGTMGYMDPEYYMTQQLTEKSDVYSFGIVMLELITARNPIEKGKYIVREVKEAMDREKDLYNLREVLDPIIGLTHELQGLERFVDIALRCVEDTGNQRPRMNEVVKEIESIMELAGFNPNAESASASESYEGKSKGSGHPYLNESLFSYSGGLLDSNLEAK >cds-PLY92500.1 pep primary_assembly:Lsat_Salinas_v7:2:152552355:152554114:-1 gene:gene-LSAT_2X76701 transcript:rna-gnl|WGS:NBSK|LSAT_2X76701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDMELTRVYIPGPLIVGAGPSGLAIAACLRKRGVPFVILEKENCLASLWRLKSYDSLKLHLPKNFCQLPHFPFPNHFPKYPSKEQFIAYLDSYAKHFSIRPMFGYEVKTAEYKATSDGYGLWRVVANRTKFVSRWVVVATGDNAAPVLPEFDGLEVFGGKVMHSSEYKNGGEFRGRKVLVVGCGNSGMEISLNLCHNGARVSLVVRDKLHILPRDILGRSSFAIAVGLLKLFPLRFVDWLLIVYSRMTLGDTSRVGIVRPKDGPLKLKGKTGKTPVLDVGSLSKIKSGQIKVVGEIQRFTSCHVEFIDGKVEEFDSVILATGYTSNVASWLKEESFSGQEQGNNTKSSWISNMKGKNGIYSIGFTGQGLFGASNDAERVAEDIGRQLNSYRKHLSSKINPYM >cds-PLY65327.1 pep primary_assembly:Lsat_Salinas_v7:4:252003843:252004430:-1 gene:gene-LSAT_4X131741 transcript:rna-gnl|WGS:NBSK|LSAT_4X131741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METTRLHPPLALLPPRVARYDVNVMGYDIVEGTRVYVNVYAIMRDSKVWSEAETFLPERFLESSIDFVKHNFELLPFGAGRRSCPGRVFAMAITEKVLATVLCKFDWSLPHGVKPEDVDMTETCGVANHKKVPLLAQGKPVSIVGTLSFLYDNIMEPVTNISLYFFYGTSFRFLLVSIVIKALDIEYLFNISVGL >cds-PLY85175.1 pep primary_assembly:Lsat_Salinas_v7:9:149092315:149092585:-1 gene:gene-LSAT_9X94700 transcript:rna-gnl|WGS:NBSK|LSAT_9X94700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRKKQPLTSGIPKAIGVFSSNVRKPNSPQNEKINVDDFIDYGAFDGNNDNSLLPQTDKESKMWFL >cds-PLY66109.1 pep primary_assembly:Lsat_Salinas_v7:7:32130530:32131063:1 gene:gene-LSAT_7X24201 transcript:rna-gnl|WGS:NBSK|LSAT_7X24201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNIPKDPSEGSSRSTTVDQSHHPAPLSRYESQKRRDWNTFGQYLKNQRPPVSLSQCNFSHVLEFLRYLDQFGKTKVHLHGCVFFGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGAPEKNPFGNGAIRVYLREVKECQAKARGIPYKKKKKRKSQIKADEEVKVPRLQAT >cds-PLY81789.1 pep primary_assembly:Lsat_Salinas_v7:3:30718732:30719205:1 gene:gene-LSAT_3X22581 transcript:rna-gnl|WGS:NBSK|LSAT_3X22581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPASTDFIRRLPKIIARCSLLKALPTTTCAICTDDFICQDKLIRLPNCHHLFHRKCILPWFSINNTCPLCRHVFPVQQSNRYYMQLRTRQQSSDTHVFYLNFHLRPLPPSNSDYSPSPIGLLGDMLVAEGYPELATRACGPFWVSVDRIQPSAAGQ >cds-PLY84953.1 pep primary_assembly:Lsat_Salinas_v7:2:123656511:123658766:-1 gene:gene-LSAT_2X57380 transcript:rna-gnl|WGS:NBSK|LSAT_2X57380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT3G58060) UniProtKB/TrEMBL;Acc:A0A178VEZ3] MDAKTPLLSSDDDSKHIRRNRRNSVTSLRCDFFSKLPEKVRRGLDPEAPFQVDLSKTIGLIEGEKEYYEKQFAALKSFEEVDCLRATPNDINTEEEDLIEEAQHERAINISNWANIFLLALKIYATVQSGSLAIAASTLDSLLDLMAGAILWFTHLSMKSINIYKYPIGKLRVQPVGIIIFAAIMATLGFQVLIQAVEQLIRNSPSEKMNDLQLIWLYAIMLTATGVKLVLYIYCRSSGNKIVRAYAKDHYFDVVTNLVGLVAAVLGDEFYWWIDPAGAIVLAVYTISNWSGTVIENAVSLVGQTAPPDFLQTLTYLVLRHHPKISRVDTVRAYTFGVLYFVEVDIELPEDLPLKEAHGIGESLQIKIEELPEVERAFVHLDYECDHKPEHSVLVRLPNTQP >cds-PLY90826.1 pep primary_assembly:Lsat_Salinas_v7:6:155096410:155097210:1 gene:gene-LSAT_6X92840 transcript:rna-gnl|WGS:NBSK|LSAT_6X92840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNNGFDSDFALLESIRRHLLDDSTMNSGMRAPPMYCRTNTLFPCLSDTWGELPLKQNDSDDMVIAQFLHEALDFECLLSPVMTSIPSTATIKSEPEIIMRSPETLSYQAESPSQTAEVDGVTQQRGKHYRGVRRRPWGKFAAEIRDPAKNGARVWLGTFETAEDAAFAYDRAAYKMRGSRALLNFPLRINSGEPEPVRITSKRRSTSPASSSEDRSPKRAKKVEVESSVETDSHVANS >cds-PLY64335.1 pep primary_assembly:Lsat_Salinas_v7:4:22286514:22289697:1 gene:gene-LSAT_4X15781 transcript:rna-gnl|WGS:NBSK|LSAT_4X15781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLPLIERDDNDNRRLTWSVFEEEAKKFGYIAAPMVAVTLSQYLLQVISVMMVGHLGELALSSAAIAISISSVTGFSLIMGMSSALETLCGQAYGAQQYKKFGTQTYTAIFSLLIVCIPLSIIWKNTGAILLLIGQNPSISHEAGKFITWLIPAIFAYAVLQPLVRYFQMQSMLWPMLISSTVALLLHIPLCYALVYKTTLANIGGAISMGITMWLNAIFLFFYMKYSPSCEKTRSPISIEVIHGMKQFFSYAIPSAVMICLEWWSYEILILLSGLLPNPELETSVLSVCLNTIATLYAIAFGFGAGISTRVSNELGAGNPHGARMAVYVVLILAIIETSLISTSVFVSRRVFGYIFTNEKEVVDYVTKMAPLLCLNIIMDSLQGTLSGVARGVGWQHLGAYINLAAFYLAGIPVAAFLGFYTSLRGIGLWIGILVGAVIQLILLTTVTISTNYEKQATKVRERLFNEESSVERLM >cds-PLY73451.1 pep primary_assembly:Lsat_Salinas_v7:4:179444285:179446435:1 gene:gene-LSAT_4X105980 transcript:rna-gnl|WGS:NBSK|LSAT_4X105980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSKRSFKNFVVEELGEFPHFLLWAILEWILIASLYIDGLLAFLSSVFAKIFDLEPPCVLCTRVDHALVCKDPNTYYNDSICECHKKDISSLAYCHIHRKLADIKNMCEGCSFAFATEKEPDIQSLLGRMQKEKDGFTEDDKKVLKPVNKCSCCGEPLKMRAASKDYTRSLSNLRASTAWKTEDSRYTELRFLSDTEPDMPEYHFGLSTYAKYDELHEDCCRTPNFLKSNKLFGIPLTDSGSVSPRRANRISRKASMEKYDLASDNDEASGDGDSSLQQIKKQARIDQKTLMKLSMELDEERSAAAIAANNAMAMITRLQAEKASVQMEALQYQRMMEEHVEYDKEAIQILKDLLAKRDEHLKVMESELQSYREKYGEIRKVGSDQCEADADEYYQEWRSQSLSSFSEKSESQSRSPLKDDHDHEFESPLVKDDDDDFESWSPLGTDGKDGDQSHSGRGTSSLDFETEKYQLYEMLKNLENHIQTCSMEDEWEDDEDKDIVKENRATLNREITVIRERLRALEADSGFLKHTAMTLQKGEKGAELLTEIAQHLRKLRDHSDQLNRIDA >cds-PLY98479.1 pep primary_assembly:Lsat_Salinas_v7:5:209737930:209738843:-1 gene:gene-LSAT_5X95221 transcript:rna-gnl|WGS:NBSK|LSAT_5X95221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKFNLVVIMALMLASVQFHGTTAQTTHVVGDALGWNIPPNGPSAYTTWASGQTFSVGDVLLFNFTTGFHNVAEVSQAAYGPCTTTNPISIATNGPARVTLNAPGTHYYICTVGTHCQIGQKLTINVSAVSTTPAPTPAPATPAPVSPPTATPAPSTTTSPPTSSPTPSSEEGSPISPPTSGQSPSGSNAPSPTDNNIIPPPSPSFAPSFTAVVPFTFLAIALALFY >cds-PLY71656.1 pep primary_assembly:Lsat_Salinas_v7:MU043893.1:345167:346944:-1 gene:gene-LSAT_0X24140 transcript:rna-gnl|WGS:NBSK|LSAT_0X24140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARLGRSSTRYGPTTVFTGPVRKWKKKWVNVTPPNAPAATASGNSQHHHHQTSISSNGNSSSNSSNDNGAHILLYKWTPIKQSQNPAADNDSNVDAKDDGAAEDVDEEPPRRKIKYIPIALLEEQKKESPEEHIDESVETDPILKDDDVNEKPDINDMPTEENQETEATNIERQDLNESTLDLNAGDGDEDLEETKD >cds-PLY77732.1 pep primary_assembly:Lsat_Salinas_v7:9:19491820:19503314:1 gene:gene-LSAT_9X14660 transcript:rna-gnl|WGS:NBSK|LSAT_9X14660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDSHSEMYTTASFGVVGVDRSSGKEFCEWWRTELERCTGETTTTIAICERQQRRRRLQSIMTYASVFTAPKQSSAVLSRPFTSSGSKVDYGECFRVFDNPNITVHFNTEIVGVDALVKDGLLDKLKTGPLADFPQLANQGSWAKNTPGKETSGGAMCRQKSGSGRPVEASLSSSPSLKGKRDVMAKHSVLPKDLKLVLVVYLCKLVLSVFMQGKASSMVVVALGPKPGWEFTPRLKGVLSQALPILGNVKDQHRPIFAYAFRCWCQACWQYSVEYPLSSILDSDVT >cds-PLY95894.1 pep primary_assembly:Lsat_Salinas_v7:3:185714732:185716866:1 gene:gene-LSAT_3X111521 transcript:rna-gnl|WGS:NBSK|LSAT_3X111521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEKITNLDDALKLFDEMTQKQPLPSVIKFNQLLQAVAKMKHYSCSVELFKHLNILRAPIDVYTVNTVIKCCCQLFGTSEGFAVLGYGFKCAVVPDACTFNTLLNGLVLEDRILEAESLFKKLIKEELCEPDAIMYTIMIKGLCKFGNNDTAIALLKLMEGRGCKPDVVTYSIIIDSLFKDKMVDDALNLFKEMVFNKGIQPDVVTYNSLIHGLCNLSRWDEASKLLNEMEDERISLDVHTYSILVDALCKEGKAEDANCIINLMIQRGKDPDVVTYSSLIDGYCLRGEMRKAKEVFDSMGSRGLVPNVVTYSSLLNGYCKKLMIEEAMHLFHEITKKGMRPNVITYNTMLQGLFLVGRCKDGHEVFNDMRAHNVIPNEITYTIVLEGLCNNNQVEEALSLFHLMGDNKLNSNIFVYTILIDGASKNGKFDIARKLFNDLRVKGLQPNVWTYNVMISGFCREGLVSEAKELLLKMEEMGCQPNSVTYNVLLQGILKYVHHDMVEMLLLEMEGKGFSLDASTVSMLLDHIKARSLDASLLKLIGKLVPKEEVDTPCFVV >cds-PLY83384.1 pep primary_assembly:Lsat_Salinas_v7:2:107844879:107846051:-1 gene:gene-LSAT_2X47941 transcript:rna-gnl|WGS:NBSK|LSAT_2X47941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGDISFHLQEEILRKLPVKSLIRFRSVCKAWKRVIESSSFIAAHSVRQDEWQNLLVRHLVEGKAEYVCIIDDDTFPQQRFVPTLPLSFQRLQRKSIAIAVPEPGMSNKMDETVFGFGVCPVTHDPKIIMIQQFAPLHEKPSKINDPREVMLYTLSSGKWTSLSPASSNVLSKSIRVQWYGQVIDRFIYWSGLHLKALNSRLIRWNCNLILSFDMTDHTFQVIDLPDSLAKHPPSKISIFKLRESLVIFQSNEKEKQCHDVVWMMENNGVEKSFTKVFAIIAPEYGSSIRAMGLRNNGTLIMQVKKDYRCEESEIVVYEPKTQHLNALKIDGVRSFSTVNSYKETLVLLGSE >cds-PLY99564.1 pep primary_assembly:Lsat_Salinas_v7:7:189398410:189399157:1 gene:gene-LSAT_7X112120 transcript:rna-gnl|WGS:NBSK|LSAT_7X112120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHFDQNEIPVAYPPAPQGASYVMAPPPVGYPTKDGGATKSENQVPVQTQSRGDGFWKGCCAALCCCCVLDACF >cds-PLY76623.1 pep primary_assembly:Lsat_Salinas_v7:5:222325778:222327821:-1 gene:gene-LSAT_5X103961 transcript:rna-gnl|WGS:NBSK|LSAT_5X103961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METSSSVITPEDVLESLMNDGTIDTMRLKIINQLKANEELKSTTIKMVEQSKVLNTPGAEKQTKRELFDALRQELETPVLEKASKSVWELILDNNGLGREINETVEKVFCRLSGREPPLFPSNGELQLEKGKAKKGEQGKGKEKEVQSEKENLETTSKKRKLGTTNMKDAVDEFAK >cds-PLY85405.1 pep primary_assembly:Lsat_Salinas_v7:9:79189183:79190396:-1 gene:gene-LSAT_9X63660 transcript:rna-gnl|WGS:NBSK|LSAT_9X63660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTAAPFSGPIRNSRWSLVGMTAVVTGGTLGIGYAVVEELAELGAEVHTCSRNESVLNQRLQEWSDKGFKVTGSVCDLSSRPQREKLVEKVTSLFGGKLNILINNVGTNVFKTTLEFTPEEYSMIMATNLESCYHMCQLTHPLLKASGAGSIVFISSVAGLVHVSVGSIYSATKGAMNQLAKNLACEWGKDNIRANCVAPWVTKTPLAEHLLESEEFLEAVASRTPLKRVAEPNEVSSLVAFLCMPAASYITGQTIAVDGGFTVNGFS >cds-PLY83896.1 pep primary_assembly:Lsat_Salinas_v7:3:49218039:49218911:-1 gene:gene-LSAT_3X38140 transcript:rna-gnl|WGS:NBSK|LSAT_3X38140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRLVLKFIWMEKNIGLALDQVIPGYESIPLSPYYFWPREDAWEELKVMLECKPWISQKQID >cds-PLY99734.1 pep primary_assembly:Lsat_Salinas_v7:9:56633507:56634453:-1 gene:gene-LSAT_9X48201 transcript:rna-gnl|WGS:NBSK|LSAT_9X48201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADHDDHHKHHPSSIHHLLDPASPEETDGSGGPTRRSRGRPPGSKNKPKPPVIVTRDSPNTLRSHILEVSAGADIVESLNVFARRRGRGVSVLSGTGSVADVTLRQPADPSNNAVTLHGRFEILTFSGTVLPPPAPPNAGGLSVFLAGGGGQVVGGIPVGTLVASSPVVLVAASFANAVFERLPLDEPEEEGGSAQVQPTASQCSGVTSGGGGVSVFNTAAAGTNNSGSDYPFTGDVMGWGSNSRTPYQRD >cds-PLY80867.1 pep primary_assembly:Lsat_Salinas_v7:4:326260884:326265472:-1 gene:gene-LSAT_4X162321 transcript:rna-gnl|WGS:NBSK|LSAT_4X162321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRFTALLIWSVFVLFHFPPSSSLRYVSALKNDSNTVIIRPPRAGSNRHTMFLPLFPSPPNSSRISGDVASRRHLQKSDAPRPNARMALHDDLLLNGYYTTRLWIGSPPQRFALIVDTGSTVTYVPCATCEQCGKHQDPKFDPESSTSYKPVECNIDCTCDNNKEQCIYERQYAEMSSSSGVLGEDIISFGNQSDLQPQRAVFGCENRETGDLYSQHADGIMGLGRGDLSIVDQLVDGGVISDSFSLCYGGMDVGGGAMVLGGISPPEDMVYTHSDPVRSPYYNIELKELHVAGKRLPLNPSVFDGKHGTVLDSGTTYAYLPEAAFEAFKHAILKELEGVHMIKGPDPSYNDICFSGAGSDVSQLSKTFPSVDMVFGKGQKLSLSPENYLFRHSRVTGAYCLGIFQNGKDPTTLLGGIIVRNTFVMYDREHEKIGFWKTNCSDIWEKLHISENAPENAPPQASPPSDGISPTAEIPSLPPTSLPQYIPQGETEIGSITFSMSVSLNQTKVKSQISELSWLISQELHVNTSQVHILDFTSNGSNNYVTKWSITPLKPANSMSKATALSIISRISEGGVRLPGSYGNYQLSNWNIQPPTKRSWWEKNYLVAVLVSILALAIGLSTFGTWWLFRFRQQSGLQYKPVDSVVPEQELQPL >cds-PLY98147.1 pep primary_assembly:Lsat_Salinas_v7:1:140049809:140053405:-1 gene:gene-LSAT_1X101561 transcript:rna-gnl|WGS:NBSK|LSAT_1X101561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVERCILTSLAKYVYGLHFREFHTSSYIGDISRRCDEKFSGLLDLQKQLCDDISKKTSIQVHDVSTYTSKIENLVAHKKVLLVLDDIDSLTQLDALLGSRSFHPGSIVIVTTKDAWLTESCALFKTNLKLKHTKHVLKGLSQIDSQKLLCFHAFMSNNPNEGYEEVSENFVRYCEGHPLALEILGKSLHNRDVAYWEDRIKRLKKETGSPVNNVLRMSFDSLPSENDKELFKHIACFFVGIDRDVAETILKACDIDTRYGITNLIDNCLLSIGWNNEFMMHQLLQKMGRSIVHEESPDKPWKRSRLWCHAESFKVLKQKKGKGNLLGLALDMQMLEKEKLRVSMEVKTDALSDMDNLMLLQLNYVPLIGSYENFPEELRWLCMHGFPLKTIPLDLPMENLVALDLSYSNIESFGVCYSNSHRHDSSQKQLIGSCSKNKRLLGSLKILNLSFCEQLRSLSGFDELSALERLIVRNCSNLLEVSDSIEQCFELILIDLSYCIKLEKLPRSLGMLKKVKTLLLKGCNLGEPQIDTTEMDSMEKLKTNNIDISTLTSSSTVLEAIPRDLKFFAVSLPRSLVSLSLENNNLSTESFPMDFSCLSMLEELYLDENPIVSLPNCVRNLPRLQILSMQNCNSLTSIEHPPHTLRELILFSDYKPLLQKVLFDPKMSPLKFLLEWKMFATSSFEIEGMIKIQPMAGVEEKVISSLGWTNLEFLNERRVATSTRDRGYEESEIQMYYEFGIFSTIYGGQEMPNWITDRSTGPSISFFIPSSPNKLKGFNFCYVLASRFMNEKHEFVDEKFLYLPMIMIRNKTKSQTWIYEHYIGRVNVAGKCFTLLSHWMFGRIEMEADDHITITVRENPFHVGKQVTKECGVSLVYDDGEEEEDALGYYKSWNHIISGDLTPFQVQTGEYILSKRRLMLPYIEMDTDDIRFNGKNFGFRALSQK >cds-PLY66086.1 pep primary_assembly:Lsat_Salinas_v7:2:206401505:206401921:1 gene:gene-LSAT_2X127480 transcript:rna-gnl|WGS:NBSK|LSAT_2X127480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWYEMDRSPSGARLIALLVVCGIVQMDWLFPQNWTIMAVVGLAVAWMQAKVDDVGWVPTEMVWVGKKKAEWVEGDVLEACKILSSRVIISAWCWSARACLFFASTIIMSNSCSFINNYSGGIEFLIGCLITGLKANP >cds-PLY99553.1 pep primary_assembly:Lsat_Salinas_v7:8:81048736:81048924:-1 gene:gene-LSAT_8X57880 transcript:rna-gnl|WGS:NBSK|LSAT_8X57880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYHLVGVIAAIVEDVGTIAAVVLLTTATRRSRHPSPPLLFINHHRSPLETAVIRLTAAQRC >cds-PLY95856.1 pep primary_assembly:Lsat_Salinas_v7:5:65223959:65226486:-1 gene:gene-LSAT_5X30661 transcript:rna-gnl|WGS:NBSK|LSAT_5X30661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVLILLVLPNPGSCDINFSFASFDSNSCDPDGDFICMGSVSSINGSLVITQPKDNQHANVNKSSANLIGRVLYKTPVIAWPASFSTTFTIKIVVDPDSALSGDGMAFVIAQDNQPSPSQSYGSYLGILAPSTKRGALRQLAVELDTYQNEYVSDPDGNHIAIDTVSIQDPVVVKSLEKTGIDLKSGRDIMVQIKYDGWEKILQIQVAYTGEPFVDFITQRIIMKRTVPKQVYIGFTGATGGAQESHRVVNWNFTSSELPEKSLKTAMGLCKREILLVIVLPVLFGLLILTAGMIPFAVRAFRKNKERKQRHMEIENLSQNAANAPNVFKYRTLSKATKNFSKSNLLGIGGFGSVYKGQLSDPTKTIAVKKVSATSTQGEKEYLAEICTIGRLKHKNLLSLEGWCHDHGQLLLVYTYMPNGSLDKYIGKTFLDWEQRYKILMGLASVLVYLHEECGNPVVHRDVKPNNVMLDSEFNAYLGDFGLARLVRSDTSVMTMVAGTIGYLAPEVTYTGRATPESDVYSFGMVVIEVVCGKRSQWMMDENSLVDYVWELYEKGEILNCVDRTLAGKYNSDEVRRTLMVGLACLHPGSKFRPTMRKVVQVFMNPEEPLMSVPLSRPMVVSLSFSSSTTNSTGVADSGGSMQSFPEEMTITRNASCSDE >cds-PLY68163.1 pep primary_assembly:Lsat_Salinas_v7:7:70770071:70775245:1 gene:gene-LSAT_7X50700 transcript:rna-gnl|WGS:NBSK|LSAT_7X50700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLLMRSILMRSPEAIRYKDESGYVVIQLGIIYRSEEVYNLIYTIGERQSVYKMIEDSSKNNMLHLVGRLPPLQKLRHRTGLALHLQRELHWRQASLGDDLYKTLNIISSSTIGMLNLLNLKTEQAAIKTINRLETVIYAWKEKIMEQTSASKSPPRARTSWSLKDPSMELDKIEFLIKQVEVLSQQIKIRHLNLPQTFLDEVENHVFPSCTTKEITFKETPNKVFRREHENLVKEAEKSMKAVALITTIVFSAAITVPGGSNQETGIPICNFTICIKLNITDVLVDPYRKF >cds-PLY96613.1 pep primary_assembly:Lsat_Salinas_v7:7:45601667:45606012:-1 gene:gene-LSAT_7X34060 transcript:rna-gnl|WGS:NBSK|LSAT_7X34060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIHSAVIQKLLSTNAHLGRRVAENHFKIYTYGARNGMTIIDSDKTLVCLRSACNFIGNLVRENGRFLFVNTNPLVDEIIEQMIKTTGCRNDNSWRLGGFLTNSLSPKKFRSRNKKFNLTSVYPPDCVVIFDTERKSSVILEASRLQIPIVGLVDPSMPLETYKKITYPVPANDSVQFVYLFCNLITKTIQYEQKKLAAAKGKVTKEEEPKIGEAAQRIEKIKINGTDDELFLLSYESLLPVSSDPLKITELLDKLVIFKINDNEGTKMGFNGPKSAIEISNGVTCLDLLVNYIESLNVKYGCNIPLILMNAASTHNETLKILEKQSGKNISCVVKDQVPENNVKGSSKDKPLYAFDQKEAILSLKKSGKLDELLLQGKEYILLLNSDNLAQVVDPKILNHLIQKNIEYCMEVSQVSSEGETSGTNQSEEKSKITNMMNSWINIEAINKDIHLKTKLFGVTVPASRNLSLEETSDLLIFKSDLYTCDNGILTRNEARTNPANPAIKLGPEFQMVSDFESRFKSIPSIIELDDLKVNGDVLFGSDVILKGFVTINARPGEKIIIPDGAMLENKVINGQQDLLSS >cds-PLY85710.1 pep primary_assembly:Lsat_Salinas_v7:5:77803504:77803835:1 gene:gene-LSAT_5X35880 transcript:rna-gnl|WGS:NBSK|LSAT_5X35880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFTVDQTIYIRVAFLVELQGFIISGGSACLHLPYGWFLFSKPLAYGVVLAHPSLDSKHIHHSTKQNYVPKLSHRLSLPSWPLIA >cds-PLY90328.1 pep primary_assembly:Lsat_Salinas_v7:2:198174256:198175538:-1 gene:gene-LSAT_2X119260 transcript:rna-gnl|WGS:NBSK|LSAT_2X119260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIGVMEVNLVDAHGLKKSDFLNNIDPYVLVQYRNQEHKSLIAKGSGSNPKWNQKFTFRVEYPGADDQPKLLLKIMDHDTFSSDDYLGQTTVYLKELFESGVESGTSELRLQKYRVVDISSHSYCGDIRVGVTFTPRGN >cds-PLY87286.1 pep primary_assembly:Lsat_Salinas_v7:4:331292255:331296338:-1 gene:gene-LSAT_4X164401 transcript:rna-gnl|WGS:NBSK|LSAT_4X164401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLLALQQSYANRGRSNSFRGSSPLDSSVDGGGTVKSPAGIFWLILHCLCCLISLVLGFRFSRLVFFLLFSTSSINNLYTTTSTSFGSATDIAETLSFTTSLRSLSPPGANTTSAVATSSRVVVGRHGIRIRPWPHPDPVEVMKAHKLIEAVQREQKVQYGIKNPKTLIAITPTYVRTFQALHLTGLMHTLMNLPYDVVWIVVEAGGATNETSSLLTKSKLQVKHIGFPKKMPIFWEARHKMESQMRLRALRVVREEKLDGIVMFADDSNMHSLELFDEIQKVEWIGALSIGILAHSGHSDEDPFEIQNTLNEKDEQNSVKSPLMPVQGPACNSSDRLIGWHTFDSGVYKGKSANYIGDMAIVLPRKMEWCGFVMNSRLVWKEGEFRPDWIKDLDMVDGDDDIESPLSLVEDSSMVEPLGGCGKKVMMWWLRAEARADSKFPTGWNIDPPLEMTVPAKRTPWPDEISLTTEKVMTTTTIENTVSEKRPTKTRTPRSKRTSRGKRKHESRNNVDARKNSGQGIDI >cds-PLY66952.1 pep primary_assembly:Lsat_Salinas_v7:7:20400992:20404854:1 gene:gene-LSAT_7X16021 transcript:rna-gnl|WGS:NBSK|LSAT_7X16021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGACFSKKNRHTSNSNGYRSSKQQNYHPVSESYEKPTSNYTQPQHETHQHHHHHHQQQPPPPQPQQTPPPPNPAPPPPNPRPSQGSDPNTILGKPFEDIRRHYTLGKELGRGQFGVTYLCTENSSGHTYACKSILKRKLASKNDKEDIKREIQIMQHLSGQPNIVEFKGTYEDRQSVHLVMELCAGGELFDRIIAQGHYTEKAAATICRQIVNVVHVCHFMGVMHRDLKPENFLLSSKDENSMLKATDFGLSVFIEEGKVHRDIVGSAYYVAPEVLRRSYGKEIDIWSAGVMLYILLSGVPPFWAETEKGIFDAILEGVIDFESQPWPSISRSAKDLVRKMLTQDPRKRITSAQVLEHPWLREGGEALDKPIDSAVLSRMKQFRAMNKLKKLALKVIAESMSEEEIKGLKAMFVNMDTDKSGSITYEELKTGLARLGSKLSEAEVKQLMEAADVDGNGTIDYIEFITATMHRHRLERDEHLYKAFCHFDTDNSGYITMDELEKAMKEYGMGDEATIKDIISEVDTDNDGRINYEEFCTMMRSGTTHQTKLF >cds-PLY62330.1 pep primary_assembly:Lsat_Salinas_v7:4:88249233:88258312:-1 gene:gene-LSAT_4X57641 transcript:rna-gnl|WGS:NBSK|LSAT_4X57641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKLPGKLLLWFFIFTYLINTITLAQPNFTSYYCENAVNYTRNSTYERNLGTTLSALPNTSSAFGFYNLSTGDGNDRVNSMALCRGDVESDLCLGCLNDSIVKLRENCPNQREATGYYDNCLLKYSNKNILGYTYSPEDIIYLRKTQNAPDRDRFIGSLMPLLSELRTDAAAGGSLRKFATGNTTVHDFTTIYALMQCTPDLSEVECESCLYDSVNQFAGQYSGRIGGSTLLPMCNFRYEIYRFFNGSTMLIPSPPTLPVSPPPVQPPPAETMDIGTVESLEYNFSTIKAATNDFSEDNKLGKGSFGAVYKGKLGDGLEIAVKRLARDFRQGDIEFENKVLLVAKLQHPNLVKLLGFSLEGNERLLVYEFLPNASLDRFLFYPAKNTILDWEKRYKIIKGVAKGLLYLHEDSYLRIIHRDLKASNILLDAEMNPKIADFGMARLFDPDESQGDTNQIVGTCGYMAPEYATHGEFSVKSDVFSFGVLLLELVTGQKNQCFRNGEDIEDLRSYAWKCWRDGTVTNIIDPTLMTGSGLRDISRSIQIGLLCVQDTAYDRPTMAAVVLMLNSFSITLPVPSKAAFFMRSTIDPQFPLLQEYSSSTGSSSLEKIKISKSRSSQISVNDISMSEIVPR >cds-PLY71680.1 pep primary_assembly:Lsat_Salinas_v7:3:47043852:47044016:1 gene:gene-LSAT_3X36521 transcript:rna-gnl|WGS:NBSK|LSAT_3X36521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKRWRSVDGVKQGRWRRKAMNEQEVQKKALVIQKRNCSRICSAFLTYRYEIIF >cds-PLY75070.1 pep primary_assembly:Lsat_Salinas_v7:9:21642462:21645742:1 gene:gene-LSAT_9X19501 transcript:rna-gnl|WGS:NBSK|LSAT_9X19501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSIAGGRSSPMSIVGADVSSPQKRRLRSNSGLSDDPVFSTPPKPCSPLKTKSPRQCVDDSPNKRRTDVNEAASTDNITKMRKSPKKKLLDSFLDKPIWNPTDSEQLSAVKEALHVSTAPSVPVCREDEQKRIVEFCKQSIEQEKAGSLYVCGCPGTGKSLSMENVKKSLAIWAKETGGQFPEILGINCTSLSTTSEIFNKILGKSQPHKKINNRSTPLRQIQQLYSQKQQSSGTKMMLVIADELDYLITKDRVVLHDLFMLTTLPFSKVILIGIANAIDLAYRFLPKLQSLNCKPMVLSFRAYTMDQIIMILKQRLMALPYTVFQPQALELCARKVAASSGDMRKALGICRGAIERLEIELRESTSTSNLSSMVRVDHMAIALSRAYKSPIVDTIQSLPQHQQIVLCSAVKLFRKGKKDTTIGELNKFYIEVCKSTLIPPVGIMELSCMCRVLGDQGILKLGQSRDDKLKRVTLQVEEADIIFALQGIRFFHNCLQNNQWL >cds-PLY64803.1 pep primary_assembly:Lsat_Salinas_v7:2:102377253:102377597:-1 gene:gene-LSAT_2X45020 transcript:rna-gnl|WGS:NBSK|LSAT_2X45020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFMDSIKPRKKVYRMPKLPERLEDCKYFRWVDRPLPSQWYADLLLVLHNNVNLENHRMFGEFGHEQPAGNFFRDAVEQPIPVAQQAVEGGRWKSLLYVSMVSFVFLLVMLMDW >cds-PLY65016.1 pep primary_assembly:Lsat_Salinas_v7:1:117062420:117062605:1 gene:gene-LSAT_1X86560 transcript:rna-gnl|WGS:NBSK|LSAT_1X86560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKPNPQYLMEKKKRRSVWRVETEKKHLYDLRGSRKGVNKMVQRLYESPHAFSLSSTQPLS >cds-PLY81052.1 pep primary_assembly:Lsat_Salinas_v7:6:132287236:132291045:-1 gene:gene-LSAT_6X80100 transcript:rna-gnl|WGS:NBSK|LSAT_6X80100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32200) UniProtKB/Swiss-Prot;Acc:Q43307] MSIFSPSSPTLFLSTTNPRVSLLPSTSNSSSWRVRSAFRRFPCLAFSAAHGMAETVQDKKCSPSPSSTPLPVSGSELGCSPTFIDARSEQDLILGIQRELEAETLPKPIAQSMEELYHNYKTAVLKSGDPCAEDIVVSNMRVAFDRMFLDVKEPFEFSPYHEAIREPFDYYTFGQSYIRPLINFKESYVGNVSLFTKIEQQLNQGENVILISNHQTEADPAVIALLLETTNPHISENIIYVAGDRVITDPLCKPFSMGRNLLCVYSKKHMNDDPELVDMKRRSNTRSLKEMALLLRGGSKIIWIAPSGGRDRPDPVTNQWFPAPFDANSLDNMRRLVQHAGVVGHIYPLSMLCHDIMPPPPQVEKEIGEKRLISYHGTGVSVGPPIDFQEATVSCGSPDEAKVVYSQAVYDSVCEQYKVLQSAINGGKGLEASTPNVSLSQPTLH >cds-PLY66251.1 pep primary_assembly:Lsat_Salinas_v7:5:142606976:142609321:1 gene:gene-LSAT_0X39321 transcript:rna-gnl|WGS:NBSK|LSAT_0X39321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVTESRFLVKSLPGLLGDLPFTLETGYIGVGESDDVQLFYYFIESEGNPKNDPLMLWLTGGPGCSALSGLLYEIGPFTINYANSTLEKPMLEINPHSWTKAASIIFLDQPAGSGFSYAKTPEAYITNDTLSTLQTYQFLRKWLVDHPTFLNNPLYLGSDSYNGIVLPMIVQEIYNGVPAW >cds-PLY84811.1 pep primary_assembly:Lsat_Salinas_v7:8:24484496:24488309:1 gene:gene-LSAT_8X20461 transcript:rna-gnl|WGS:NBSK|LSAT_8X20461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1691 [Source:Projected from Arabidopsis thaliana (AT4G09980) UniProtKB/TrEMBL;Acc:A0A178V0G2] MGSPERVKGSVKRDMEDSSGSRSDRARDHETNEADERRKRRSGKSKQSVSGEEPEGLENSGRKRSGEEKSESRKRPGGSSSKTVSEEDEYESRSKQMKKKQEESALESLSNWYQDGEGESRHDGIGGERSERRKSTSKGKDERLHDGDLEKLQEKDLKNSQKKEISREKGQRKRWDDANVDESSESRTRGLDGNSDKAVKHPEKGKSKEDIEEDNQENPSSHEDKDKVDDEDGRGKKDIDRGTRRHHEREEYKEKEERSKGRDDNWKRRQEKDGDAPYDYTRDWESPSQRRGGGGGRDRVDPERHTGRPGGGRKDGNRTDAVKTSSNYGISKDNYDVIEIETKPFNHTREDSNQIKTNDDFDQSNEDGQQVNTNVSQGSFNRNISVKVNRMGRGGGGGGGGGGGGRVIRPIGRDGQQVNLQVPMMGSPFGPLGMPPPGGLQPLTPTMSPGQGPMSPAVFLPPFSPPVVWPGVPPGGPAGPRFPPNIATPNNPMFFNQPRGIPPNIPGTNFNPLGPMGRGLQDKGPGGGGWVPPRNNGPLGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTSVVEDYPKLRELIQKKDEIVANSASAPMYYKCDLHEQVLSPEFFGTKFDVILVDPPWEEYVHRAPGVTDHMEYWTFEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKTTATPGLRHDSHTLFQRSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPPYGSTAKPEDMYRIIEHFSLGRRRLELFGEDHNIRSGWLTVGTVRRSTDGHIIHANIDTDVIIAEEPPYGSTAKPEDMYRIIEHFSLGRRRLELFGEDHNIRSGWLTVGKDLSSSNFNSEGYVRNFADKDGKVWQGGGGRNPPPEAGHLVLTTPDIEALRPKSPMKNQLQMQQQQQQSNSISLTPGTSGKRPTGNSPVNHGGPGMMNQEASGSNMSGPVGPWGPPPMGPDERFFENMYGYNGPFGPMGGGGGAGGGEYMDFETHRAMNNML >cds-PLY78848.1 pep primary_assembly:Lsat_Salinas_v7:5:304564079:304567367:-1 gene:gene-LSAT_5X165321 transcript:rna-gnl|WGS:NBSK|LSAT_5X165321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDRRVGDLVRELVHRLHNNSSSSLSNSKPNPSNVKYATRILSSRMTPSIAADEAAMAYSIKSQLVKQGKLSDALSFADLYSKFASKNGPGSVNNKWAVLYLLKVISEDRKSNLKLQSDSSFSGGLPTLFEPETNGNLARVTKDSSGFSRLGKNLDDDGNDAAVSNDNVNGLRHSNSTKFNNGGVLLVSKDPENLRDIAYREFAVIVKEENDVSEEVLVRDVLYACQGIDGKYVKFNETIDGYALLDSIRVPKPTKIMIRKLCELGWLFKKVKGYISESMYKFQDEDVGTVAQAFCAALQDELSEYYKLLAVLQSQSMNPIPLVSDNATSGNYLSLRRLSVWFAEPMVKMRLMAVLVDSCKALKGGAMAGAIHMHAQHGDPLLHGFMKRLLRRVCSPLFEMVRSWVLEGELEDIFSEFFVLGQSVKAESLWREGYKLHPAMLPSFISQSLARRILRTGKSINFLRVCCEDRTWADAATQAAAATGTTTRRGGLGYGETDALESLVTEAAKRIDKHLMDVVYNRYRFKEHCLAIKRYLLLGQGDFVQYLMDIVGPELSEPANTISSFKLSGLLESAIRSSNAQYDDPDVLDRLRVKMMPHSSGDRGWDVFSLDYDARIPLNTVFTGSIMAKYLRIFNFLWKLRRVEHALIGAWKTMKPNLITSHFFTKLPKAVKFRLILTSRRCQVLWNEMNHFLTNLQYYIMFEVLEVSWANFSDEMEAAKDLDDLLAAHDKYLHSIVEKSLLGERSKDLYKTLFMLFDLILRFRSHADRLYEGIYEFQARTMEDKKMEGVKHSRSKKNMDSDSWESEGRKAITQRAGDFLKNTGQDIDGVSKEYSSLFKGFLSQLPVQQHIDLKFLMFRLDFTEFYGSAS >cds-PLY97882.1 pep primary_assembly:Lsat_Salinas_v7:4:89832961:89834399:-1 gene:gene-LSAT_4X59120 transcript:rna-gnl|WGS:NBSK|LSAT_4X59120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCEKCEKKLSKVIVPDKWKEGASNTTEGGGRKINENKLLSKKNRWSPLGTTKCIICKQQVHQNGKYCHTCAYSKGVCAMCGKQVLDTKNYKQSNV >cds-PLY93692.1 pep primary_assembly:Lsat_Salinas_v7:2:200364083:200367851:-1 gene:gene-LSAT_2X121301 transcript:rna-gnl|WGS:NBSK|LSAT_2X121301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPNKQAEEAIVPGEMKGSNEQEQGEGEVGVDQSMSGFKNFLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVIFQVFYGLLGSWTAYLISVLYVEYRARKEKENVSFKNHVIQWFEVLDGLLGPQWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAVIHGQVDEVVHSGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLFATLYVFTLTLPSATAMYWAFGDQLLNHSNAFSLLPRNGFRDAAVILMLIHQFITFGFACTPLYFVWEKVVGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRKASARQNAAEKPPFFLPSWTAMYIVNIFIVVWVLVVGFGFGGWASMTNFIKQVDTFGLFAKCYQCKPPPPPPHTH >cds-PLY79321.1 pep primary_assembly:Lsat_Salinas_v7:4:424217:424539:1 gene:gene-LSAT_4X1540 transcript:rna-gnl|WGS:NBSK|LSAT_4X1540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLRDGSINLSSSTLCKIKRKCTEKFRLRGFSCCWMEKETPKNGCSRRDYDTLF >cds-PLY89588.1 pep primary_assembly:Lsat_Salinas_v7:4:364522531:364523960:1 gene:gene-LSAT_4X178240 transcript:rna-gnl|WGS:NBSK|LSAT_4X178240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIALEVKDPRRIFKGEALMRKMNMYGLLDESQNKLDYSNSNEAEIESLREEYHQNVSTLERKVYALTRERDTLRREQNKKSDDAAPLKEKYKIITQVMAEGEEISKKQAAQESQIRKLHEKIREFKEVKKGIDKKATQNKVESLKRDKLARENLLQEK >cds-PLY73203.1 pep primary_assembly:Lsat_Salinas_v7:5:102475049:102476100:-1 gene:gene-LSAT_0X40861 transcript:rna-gnl|WGS:NBSK|LSAT_0X40861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNNCLWKCPLAGLKLGKATETWLKTLKKCHRSVEEAKKPLITNSQSHAIRASPSQRRDYYYMSARSLAGSSTPPNIGYLWTTRSGPASAMAMAAAADDDDDRSSEDESSSLEIPAKTTSQPRPIPRSVGYGAFLATSLSFPSRTTKGLMQVYAHRKLLQV >cds-PLY95118.1 pep primary_assembly:Lsat_Salinas_v7:1:93966156:93967775:1 gene:gene-LSAT_1X77800 transcript:rna-gnl|WGS:NBSK|LSAT_1X77800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKDESQNLSRSLLPPSGRTPCFPVADGNKENLKTEQSGSAMFITNGPVIAIEFGDFSHDISRMPDSPPKNMGHRRVRSEIVTLLDDITFGSDLGVVGSFDGPSYSENEEDSYSMYLDTDKYNSSSATSGSHLPDSSNAAQISNSSTAPPPENHVSSSDDSCRFKHTHSFSMDGLSSGPEETSSIDAKKTMSAAKLAELALADPKRAKRIWANRQSAVRSKERKMRYITELESQRETHGLLAENTELKLRLQAMEQQVHVQDVLNEALKKEIHHLRVVTGQNVINGGPMMNFPPSFRPNHKFHHPNNHPMHTLLTAQQIHQLQIQSRMQQHQFQQQQFHQFPQRQHLHQSQHHPSPPQPPGQRLPENSDLKNSSSLST >cds-PLY85686.1 pep primary_assembly:Lsat_Salinas_v7:7:158800999:158806682:-1 gene:gene-LSAT_7X92721 transcript:rna-gnl|WGS:NBSK|LSAT_7X92721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFSSFSHRFHRQGADETNGYERHKVLDVSNGEKDDTVSLDYKGNPADNSKTGGWLAAGLILGTELSERICVMGISMNLVTYLVGELHLSSSKSANTVTNFMGALNILALFGGFLADAKFGRYLTIAIFASICAVGVTLLTLATSIPGMRPPHCDQPRKQQCIEASGSQLAMLYVALYTIALGGGGIKSNVSGFGSDQFDISDPKEEKKMVYFFNRFYFCISLGSLFAVTVLVYIQDNVGRGWGYGISAGTMIVAVVVLVCGTALYRFKKPQGSPLTVIWRVVFLAVKNRKQTYSNPEFLNDYSNSKVPHTKRFRCLDKAAILDEYASSEENRNNPWIVSTVTQVEEVKMVINLIPIWSTCILFWTVYSQMNTFTIEQATLMNRSVAGFSIPAGSFSVFLFISILLFTSLNERVVIPIARKITHDPKGLRSLQRIGIGLVLSVVGMVASAVVEKRRRVMHGKMTEISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLSTLAMGYFMSSVLVSLTDMATNGSWLTNNLNKGKLENFYWLLAILGAINFFAFLVLASRHQYKVQNFIGPKSEKEIENWGIEMVDDVEVKKAVMGGKEEA >cds-PLY84707.1 pep primary_assembly:Lsat_Salinas_v7:5:37354356:37356157:-1 gene:gene-LSAT_5X17380 transcript:rna-gnl|WGS:NBSK|LSAT_5X17380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVSKRTFRMFVEEELGEFPHFVVGAMLEWLLIASLFIDRFLAFMSNKFAMMFELDPPCVLCTRIDQSLVGNNPSTFYNNSICESHKKDISSLAYCHVHRKLSDIRNMCEACLLSFATDKEADFDEIKKPVEQKDTVAPKDQQKDDDNNMSTPKNLKPVKTKATNENNGGGSKDGEMMSKCCCCGEPFTTRTPSKAFVRTPAHLHGTSVLTPRAPLTPMGWRNDDAKNMELPHARYVPPNFTSDNEVDAAKDNKGSNKESKGKEEMKSASNPLLPDSDELNDESLKTPKGNKFFGVPLAEVIVNSPRFANKLLPKKLPLEKLDFLSDDEGPEEGDSISIVHHLKKQVRADRKILTDLYQELNEERSASAVAANNSMAMITRLQTEKASIEMEALQYQRMMEEQAEYDQEAIQILKDMLIQKEKDIKMMEKELQIYKEKFGEINKEDIERFARDRDDFESERFHLSGMLNNLENLIHSNTDDTGVSYDKDIEEEKKASLEREMSLIKERMSVMESDSGYLKHTGMALQKGDERADLLTEIAQNLRKLRT >cds-PLY73186.1 pep primary_assembly:Lsat_Salinas_v7:4:267923231:267929894:-1 gene:gene-LSAT_4X138421 transcript:rna-gnl|WGS:NBSK|LSAT_4X138421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCVQGKPSPTYNRQQSRGGGGGGLHKLKADNGYVTGGIGGKPNAAQKPFVEMPVKQNSVKKNNNQVDDGNTRKEGGGQNDMKINQEGIGNVSQRIVVGKKIGSDDLIDGWPKWLVDNIPREVLANLASKTADSYDKLAKIGHGTYSNVYKARDRDTGKIVALKKVRFDTSEPESVKFMAREIIILQKLDHPNIIKLEGLATSRMQYSLYLVFEYMLSDLTRVKCYMQQLLSGLEHCHERGILHRDIKGSNLLIDKNGKLKIADFGLANYYNPKQKKPLTSRVVTLWYRAPELLLGTTDYGVGIDLWSTGCLLAEMFVGRPIMPGRTEVEQLHRIFKLCGSPHEDYWKKIKPPTTFRPPQNYVPSFQEAFTNFPSSSFGLLTTLLALDPESRGTSTSALQDNFFSSSPLACDLSGLPVVNKDEDVPIQFHDGKKNRTLKTKQRTRIARKDHARNVSISELSTEYSESSKEQEKHVDKNSVTQEQETGQSTSSNTSSKRTNLTMEPSPPFTLAFDSNPPNNNRAARTEAHPNALKNIKNFPLLLASITQTVNNMEENRNGLNRRSMSNVDFRHLDIEKISKLFNLDDH >cds-PLY68702.1 pep primary_assembly:Lsat_Salinas_v7:7:84774417:84774779:-1 gene:gene-LSAT_7X58201 transcript:rna-gnl|WGS:NBSK|LSAT_7X58201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSGVGPSVAGPRAPSPQQVIGIPVRQPGMTPEESRRLQSMAAGLRHSLAVIAGHREVLDEVLTRMEILFQHSMEAADTASAARRVACRAMTAYYVLVGLLLIVVLMFLVRIAISVWF >cds-PLY68824.1 pep primary_assembly:Lsat_Salinas_v7:3:62576374:62579625:-1 gene:gene-LSAT_3X49101 transcript:rna-gnl|WGS:NBSK|LSAT_3X49101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFNACSTALFARTTSTLVARCLEVTWRRRRINNHSAITIAENGFLLTRFRASCYSTSKAKGVGKSKTKNSDSKPVKMMGEEKNAFFVVRKGDLVGVYKNLSDCQVQVGSSVCDPPVSVYKGYTMPKEAEDYMISCGLKNALYSIRAADLTEELFGNLVACPFQQPHFTLGEPSSDHLSKRRFQEVLGSQTGEAFGLTPMSSDSARKHAKLEHLATQALSTTRTCILEFDGASKGNPGQAGAGAVLRTDDGNLICRLREGLGIATNNVAEYRAMILGLRFALSKGFTSIRVMGDSKLVCMQVQGLWKVKNQNISKWYEEAKKLKDKFLCFQIDHVLRDLNSDADAQANLAVDLADGQIQEVDS >cds-PLY95244.1 pep primary_assembly:Lsat_Salinas_v7:8:269315209:269317959:-1 gene:gene-LSAT_8X156081 transcript:rna-gnl|WGS:NBSK|LSAT_8X156081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGHLPVKASCHKLHEVLSRLNGPVRDLFSTTSFGYLLDLPAQSEDRLLIHGLLLHMWHPTAERDAVERLYFRFTRRTLSFGPEEFCLVIELYMDRCHKSRIEFSTMFSWGTYLWTKTYPKLSGMFKKFEDHMSNHPAKAIVYTLSGRGASTEKKMIASDAKTSTPHYLSYITSLNCESSEVPSSGRYNFKNDVASSLKTSCGNNQSSKSTERTYTVEINKNTAREIMAILESLEDEIRGLKNKGGIRDGDYLELFFSEVLSPQKSNRVNDDNDVGNPPEYDSRKQIKNEKKKKKKVVASDIVDAENHLPRPHVVKEGRPWAGSAMGNPFDSLDGCKSWLEVDRIIDFERFEELMERILLEIGYWNHMGLPVQKASITVTDVTDVPQ >cds-PLY91626.1 pep primary_assembly:Lsat_Salinas_v7:8:12044466:12046344:1 gene:gene-LSAT_8X9401 transcript:rna-gnl|WGS:NBSK|LSAT_8X9401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAGLRYFTDRSDDGAAGAPLLDTVNGEELMHVQPAVSIVLSNHPPESPGTLYISTKQVIWLSDTERTKGYAVDFLSVSLHAVSRDPEAFTSPCIYTQIDTGDEDDESENSDSESNETFDLSKITEMRLVPSDPNQLDALFEVFCECAELNPEPVEEDEPEEHNWIFSADQLGPAMGIIDGVDQEWDSSQTHFTTIGHPNGDHDLARNVLELQINDQRFEDAEEMESNNRNGGQQ >cds-PLY95599.1 pep primary_assembly:Lsat_Salinas_v7:6:138764015:138766009:1 gene:gene-LSAT_6X83840 transcript:rna-gnl|WGS:NBSK|LSAT_6X83840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLISYLAVPTKIGGHTILLARVNKYVSKKQFDWKRQNQLSIHCFRPYNMHELEYSKFEITQLIPCPFQMLDQLHHQAFLKRVQRLKAKR >cds-PLY90885.1 pep primary_assembly:Lsat_Salinas_v7:1:56470274:56470836:-1 gene:gene-LSAT_1X47941 transcript:rna-gnl|WGS:NBSK|LSAT_1X47941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSNTNTPIALFLAFNLLFFALASGCTTCPGATLKPDQSGATCPIDILKLGVCANVLGSLIGIIIGHPPVKPCCSLIEGLVDLEAAVCVCTAIKANILGVVLNVPLSLTLLLNVCSKNVPKDFQCA >cds-PLY64870.1 pep primary_assembly:Lsat_Salinas_v7:3:17036612:17036850:-1 gene:gene-LSAT_3X12241 transcript:rna-gnl|WGS:NBSK|LSAT_3X12241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVTMVLGCDDEGVWVVRHEKMSTCLIPLPSSNLQVCDGEMKNEIWIWGISGKQV >cds-PLY92689.1 pep primary_assembly:Lsat_Salinas_v7:3:117777277:117777864:-1 gene:gene-LSAT_3X82300 transcript:rna-gnl|WGS:NBSK|LSAT_3X82300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVNQNQNLLYSVLVANNLGVGNRAPTLLPSEYTSWADRFKYYLEGHESDIWTFIYTEKHTLEFMKDTKVSEVDVSPKTSKVISGSHAIVGQLCDRKIKNFEAKAMQELLSGIPHDIYEKLPDEDMSSPFNFRNALKKQFEGTKKILANRKKVALKDMENFKMLPHESLFDAYSRYNIVVHGVKKLKGERSKRI >cds-PLY73291.1 pep primary_assembly:Lsat_Salinas_v7:5:132896110:132900758:1 gene:gene-LSAT_5X57381 transcript:rna-gnl|WGS:NBSK|LSAT_5X57381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRVNLAKSLIPLKEIRLATQDFSSETRIGRGEFASVYRGQLSKRWQNQTAAFRRLYPSNFKGHDGFRNDLEMISSFNHENIIPFIGYCVEDNEMIIAFGYAINGSLQDHLQDPNKRRCLTWEQRLKICIGAAKGLEYLHSGAGESRRIIHKNIKATNILLDDNLEAKICDFGFRSRLITVHTTYVGNSFYMDPNYFEIGNLTKESDVYSFGVLLFETLSGMLANDRRSIEDRKPQTLMNLVRRHYEDGLDQIIDPFIRSQIDSRSFNTFKKIAYQCISFKSKDRPKMDTIIERIKEALDIQSQKHGAALSITIGMNRYENLERCLIPLKEVNLATGYKSQETCVGVGGSGVVYKGQLSEHWQNRTAAFKCIYPTRSRREQEFRNEVEMMSSFNHENIIPFIGYCDEGNERIIVSEYAINGSLDQHLKDPNKIRCLTWEQRLKICLGAARGLKYLHSGLGEQNKVIHRGVSSSNILLDGNLEAKFCCFVLAILVDGNQREVYEPAVGTPFYMDPIYNESGIVSTESDIYSFGVVLFEMLGGMLASDQRTIGEDEPQTLINLVRRYYHDGLENLIDPHIRDQINTHSFHAFKELAYQCISLKLRDRPTMNRIIKRIEEAQYIQNLGVPSTIATPSHQCQNLESFLIPLEEIKLATRDFSAETQIGDGGFGVVYRGQLSELWKNCVVAIKRLDLKSHQGKDEFLTELKFISSFHHDNIISFVGYCDEDNEMILVYEYASNHSLDHHLQDSKKRGRLTWSQRLKICLGVARGLNYIHSSLGEEIRVIHRDIKSGNILLDENMEAKICDFGLSKQSPRDTQGTEIYTKAAGTVFYLDPIYQESGILHKESDVYSFGVVLFEILSGMLAYHRRSFGDGNPQPLINLVRRYYNNGLEELIDPLIRDQIDSRCFHIFKELAFQCISYNFKERPTMETVIERIEDAIDVQVSHLI >cds-PLY83731.1 pep primary_assembly:Lsat_Salinas_v7:4:40652717:40653908:-1 gene:gene-LSAT_4X28600 transcript:rna-gnl|WGS:NBSK|LSAT_4X28600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNNLQTCFIFKHTYGTTSSALETLCVSNLQIPDIINYHGAPMLLFELVEALSINKERDHFVYRLMHIIVHSDFLMKKSTSTTGHHVEEERKGYFLAPASRFLLKEVPLSIRPFLLAMLDPILMDPWQHMSKWFKNDDINPFHTAHGRNLWDFVGQDINLNQLFNEAMGSDARLVTSLVLKHSRNIFERLNLVVDVGGGTLSPNISFRCFDLPHVVDGLVGSKKLNFLLETCLNPFPKLMQFWSSMNKDIGGMQRGNPHQRKWKKIIIVDLVLKNGEGGNELLKTQLFFNMIMLTLVTCRERSEKY >cds-PLY75714.1 pep primary_assembly:Lsat_Salinas_v7:1:198142450:198145074:1 gene:gene-LSAT_1X123521 transcript:rna-gnl|WGS:NBSK|LSAT_1X123521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLECNITLKENYKSTTNPVRPYVNFPPSIWGDRLLSLTVDHSELHTYAIAMEQPKEELKSLIINPNMDSNEKLRLINSVYRLGLRYLFEEEIECQLDKLFTELKMEDYDEADLYTISINFQVFRQHGYKLSCDVFNKFKDSSSGKFKEYITTDVRGMLSLYEATQMCIREESILDEAMTFTEAQLMGVVDTLEGNLLQQVKHALKSPSHRGVQMVETRLYFSNYKEECSRYDLLLKLASAHFNYLQLLHKEELSTFIKWVKDMNFQKITPYARDRTPELYLWAVGIFLEPHYSQARITISKMAQLVLVLDDIYDAYGTIEELRLLTDAINRWEISAMEQLPEYIKPLYKIILNELTEVEKQIPKEGRENRVKASKQAFQELARAYHQEAEWRYSKYVPSYQEYMKNGEEALGWYETHPNILEATKLLGRLYNDVTTFQFEGERAQEVESVHTYMKTFGLTENVAVKELKKMIENAWKDINNECLKPTEVSMALLAPVLNLARITDMVYRYNDRFTFPEETTVEYVTLLVITSVPMY >cds-PLY77697.1 pep primary_assembly:Lsat_Salinas_v7:9:20072679:20074988:-1 gene:gene-LSAT_9X18501 transcript:rna-gnl|WGS:NBSK|LSAT_9X18501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYKNDPSNPIIIDIDVDASDSIRITPIPTIAKAGVLTQMSKSKPVSTANQFRKKDVVTMRLHEEYEIRNGGIGSGELQDPYIPQDDNAENVNSTNGSVESIGTAQEFVDISSNSPLKDIMKTPKDQCPSPLPLSIVPIHSDYGAVRARELRPRNKPPELRPPFIIRAVDITKRISRA >cds-PLY83042.1 pep primary_assembly:Lsat_Salinas_v7:5:50477180:50478556:1 gene:gene-LSAT_5X24920 transcript:rna-gnl|WGS:NBSK|LSAT_5X24920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRHSTHLLVYPFPSSGHIIPLLDLTDLLLRRGLTVTVVISPTNLPLLDPLHSSHPSSLHKLLLDDPEITPFPDPLIAKVISTQKLFDPIVKWFRSHPSPPVAIVSDFFLGWTSELASHLGIQRIVFSPSGVLSSSILQTLWQDVEEINPNNGDKDQKFSLSFPEIPNSPEFPLWQLLPVSQNFKKGEPHYESFRKGMLANMSSWGIIYNTFEELEGVYIDHMKKQMGHDRVWAVGPLLPDDHGTGRGGSSVVPPNDLLMWLDNKPDDSVVYICFGSQTTLSEKQMGALIGALELSNINFILCAKGSKSGSIPSGFEDRVSGRGLIVKGWAPQLAVLRHRAVGSFVSHCGWNSTLEGVAAGVMMLTWPMGADQYVDAKLLVDELGVGKRVCEDAPDSVPDSVELARLLDESVSGSNKGEQRVVKVKKLSQAASKAVKDGTSMRDVDTFVQLLSQLETAN >cds-PLY65759.1 pep primary_assembly:Lsat_Salinas_v7:5:273099563:273102430:1 gene:gene-LSAT_5X142881 transcript:rna-gnl|WGS:NBSK|LSAT_5X142881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLIQKVYFIHSNLINTSKTSCLSDSPFKQSIRINHHSHTLNSRTNRISLQMAAGDSTTSSVNVEQNPGIELQRIIIQNKHGEKLVGLLHETGSKEIVIICHGFQCTKLLQQQKDNNSLPYIEFGTLDSVGFGWNWNSIPFQYHEYSMMVDLALALEKQGITVFRFDFSGNGESEGSFEFGNYSKEVDDLKAVIQHFTAANRVVTSVIGHSKGGNVVVLYASLHHDIKTVVNVSGRYKMDRGIEERLGKDYLERAKKDGFIDIKSKTGEVLFRVTEESLMERLNTNMHEAGLKIDKDCRVLTVHGSVDEVIPVEDAMEFAKIIPNHELKIIEGANHGYNKHRDELCSVVVAFIKD >cds-PLY94463.1 pep primary_assembly:Lsat_Salinas_v7:4:144154527:144157502:-1 gene:gene-LSAT_4X89981 transcript:rna-gnl|WGS:NBSK|LSAT_4X89981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWSSTMTSSSDSKGRRYLLPKPLAGAIKERKNDIVVKVIVPPEHSSFHIHDFNTSLSVHSSSSHHDHATNHLRSKDSSSNSLASFVKKASALKLKASIHQNILHRVVHYVAQVHFLDGVYFKAVFVQVADSDTLGSFSDSYAMGTRVIKGIGSRATNAESSNQANVDLLMLFFYNVVLLQVVGIGPKIGC >cds-PLY67262.1 pep primary_assembly:Lsat_Salinas_v7:5:134385663:134389862:1 gene:gene-LSAT_5X58221 transcript:rna-gnl|WGS:NBSK|LSAT_5X58221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLATQRNELVTSQQLQKGSVVQLTEFVCNTIRERPIIIIINLNVIMEKCDTIGDPKPFPLKLPGGDTPSMTRPSPPTQSPSNQPPTVNGTRFSNQAPPPGPVIRPHSNTSLPSYGAPPPPAANNRMPPVQPPPMYANRGPMAKNEAPPRIIPIAALNPYQGRWTIKARVTSKGELRRYNNAKGDGKVFSFDLLDSDGGEIRATCFNTVADTFYNQIEVGKVYYLSKGTLKPAQKAFNHLKNDFEITLDHTSTAQQCFDDDTSIPHQQFHFRLISEVEEMENNTVLDIIGVVTNVNPISSITRKNGMEAQKRTIFLKDMSTRSIELTLWGDFCNKEGQTLQEMSDSGEFPILAVKSARACDFNGKTLTSIVSSQLSINPDFPEAKKLKTWFESVGKNTPSVSMSLNSLSNSTTHPDSFKTISQIKDEKLGTSEKPDYITINATIWHMKLENFYYTACPLMAGERKCSKKVVDNGDGKWKCLKCDKVVDECEYRYILQLEIQDHTGSTWVTAFQEIGEEIMGYSAKDLHDMRYEEQDNDKFTETVRGVLHNEYCFKLKVKEESWGDEQRVKSSVVKVEKIRFSSSTKVRLLEIEKNGLGSGADRTAPSGIGQGQGQGGVFPANQVGHCGGVGGGIGVAYGGY >cds-PLY77340.1 pep primary_assembly:Lsat_Salinas_v7:5:144864177:144865559:1 gene:gene-LSAT_5X65300 transcript:rna-gnl|WGS:NBSK|LSAT_5X65300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGPTDPGPDYAVKFLEEFLFKNSQGFIVKLKLDPRHTEAKEFIRSKAFGSYEYNPLLDSLEGNSGDSSDRTLSAAYTLELQSLKAAAYTLELQ >cds-PLY71698.1 pep primary_assembly:Lsat_Salinas_v7:3:45941600:45944465:-1 gene:gene-LSAT_3X35240 transcript:rna-gnl|WGS:NBSK|LSAT_3X35240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFGYHYAVSSTNRHPSPLTPKPTSKSILSKIPATSTTTECLSSQLKLTTFHTPFSPLLSTSSRRCRLVSSTAAAARNNTNPKESEKKEEDELEEVEEDLPWIQEKALDIVEFSGSVTQALPGPRVGQTSFPWILAIPLAYVGVSFVFAVVKTIRKFTSPKEVRRKLVNKNADLCRSVDELLEKEGNGVQQEALMGLMQKTGFSMVEILRKYIRYALNEKPFNPKLVAALIQLRKTTMLDDSQVAEILNDISRRIVKDKGPVVMNTSGYSEKGLRRKLAVQALFGKIFYLSELPEFCGRDSSLIVKEIFGVADEDAEKLRMHTVAEAGDMDSLEKMVDASDSEDSGSESGSAP >cds-PLY74144.1 pep primary_assembly:Lsat_Salinas_v7:9:10873003:10873926:-1 gene:gene-LSAT_9X9720 transcript:rna-gnl|WGS:NBSK|LSAT_9X9720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTDPTLSEATSKLINSDLKDIGEATRKLADHVIKLGVSGGFITTCLQWIACFAGIYLLILDQTNWRTKILTSLLVLYIFLTLPEWLFGILHGDIGKWITLVGVVLRLFFREHYPEYLELSGSLLLLVVVAPNFVAGYVRVGWIRVIVCLVIGCYLLQEHIRASGGFKNTFNKSNGISNPIGIVLLFVFPVWATIRLIF >cds-PLY66987.1 pep primary_assembly:Lsat_Salinas_v7:6:140903671:140906646:-1 gene:gene-LSAT_6X86121 transcript:rna-gnl|WGS:NBSK|LSAT_6X86121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLLWFGANAIVVEDDKRALLDFMNNFPHSRSLNWDSNSSTVCNQWTGVTCSEDGSRVVALRLPGVGFHGDIPANTISRLSALQILSLRSNGISGTFPSDFYNLKNLSLLYLQFNQFSGELPLDFSVWKNLTIINLSNNKFKGSIPSSISNLTHLSALNLANNSLIGEIPDLGMQNLQVLDLSNNNLSGVVPKSLHKFPKSVFSGNNLSLIYSTDEVPIVMPTHKPNPPSKNGGKLNEKALLAIIVAISAVVFTGFAIFWIIYCLKKTNRDGISSKLEKGNMSPEKAISRTQDANNRLVFFEGCNYAFDLEDLLRASAEVLGKGTFGMSYKAILEDGTSVVVKRLKELSVGKREFEQQMGIVGSIKHENVVELRAYYYSKDEKLTVCEYYGEGSIAAMLHGKRGEDRVPLTWETRLRAAIGAARGIARIHTDSGGKLVHGNIKSSNIFLNSQNYGCVSDIGLSTIMSQIAAPIARAAGYRAPEITDTRKATQPSDVYSFGVLLLELLTGKSPVHTTSGDEVIHLVRWVHSVVREEWTGEVFDVELLKYPNIEEEMVEMLQIAMSCVARVADQRPKMVEVVKMVEGVRRTGFPESSTPPRPEALEMEASGV >cds-PLY75016.1 pep primary_assembly:Lsat_Salinas_v7:1:112253333:112257601:1 gene:gene-LSAT_1X90261 transcript:rna-gnl|WGS:NBSK|LSAT_1X90261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVSAVITVLCEKLISGDFMKLARSEGIASHLNKWNNTLPLIQAVLADAGQKQITARAVRLWLNRLQGLAYDIDDVLDDLATEAMRRQLNHESLASTRRTSKVLKIIPTCCTTFTPRNLMYGQRMSSKLDEITIKLHDLAEVKTGLGLNVNVERSNRTQRRLEQTSVVDEHIIMGREGDSEALLEKLLGYEALDRTVSIVSIIGMGGIGKTTLAKFLYNKDSVMDHFELRAWVCVSEQLDVFNISKAIFQAITRKNRDFANLDMLHVALKERLSGRRFLLVLDDVWNEDQIKWDLLKSPLVVGQSGSIILVTTRNMRVASVMDSDEAYHLKLLSNEDALSLFAQHALGERNFDNHPTLVLHGEGIVKKCGGLPLALKTLGRLLKGNKNGDEWEKLLNSEILPKDLRLSYYNLPPHLKLLFTYCALFPKGYVFDKKELILLWMGEGFLSQLNDHTPMESLGNQYFEELKSRSFFQHSTSDELRYTMHGLTNDLATSVAGECFFRLDDEMEVSIRNGTCVKSRHFSLIGPRCGSYRKLKELHRARHMRTFLLLLDGLECQGLLDKVLADILPGLQYLRVLSLRTPKITEVPQSIGRLMHLRYLNFSFTAITCLPEQVSDLYNLQSLLLHGCYRLSGLPKSFVKLINLRHLDITDTPKVNKMPLGIGGLTSLQTLTKVIVDGADGFKLSELQELSDLQGQLYIKGLDKVKNPIQAQDANIYQKKGLDVLEMEWSDVFDDSRNELVEYEILERLRPHHKLRTLKILYYKGTRFPSWVGDPSFDRLTELTLCGCTSTHLPTLGRLKSLGKLIVTRMKEVMILEFELFAPTSSFGDIAFPSLEFLEFDDMQGWQRWSTSGNNDDEPARSFPRLREISIKRCPKLVEVAIGSIPSLRALHIEECSAEILRSMIGLSSSLFALRMSNVKGLTELHGQYLRHLVAVQHLHFDNCHELRYLWLDESESCSLASLQKLEVHNCVILKSIICPNGVERLVISNCDSMESLTFSIISDNLMGLLPLICLRSLHIHNCNNLNSFLHERLESFTCLEELRISDCPSLDYTFPCGFWPPNLRSLTIGCLNKPMSEWGLQNFPTSLVELILRGKNSGVVSFAVAEDVRNDSTSSSFLLPSSLASLELDGFTDVESLSLSEALQRLPCLERLHIQSCPKLRDLFEPTYNHLSSFTVTVCD >cds-PLY91016.1 pep primary_assembly:Lsat_Salinas_v7:7:179250480:179251497:-1 gene:gene-LSAT_7X106501 transcript:rna-gnl|WGS:NBSK|LSAT_7X106501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIALALAFAAFVAFASAHSTIITTTIEEENPISRKQCSRQIQGERFNQCERYLKQGQSWYEQIIPGQMQGGLQSCCQELQYVDEQCQCEAVKEAFRQAQKTQQQQGQQGESFGSQQIREMKQKAENLPNQCKLQTRQCQVGTISIITTTTITEENPQMCQNIRGRRFNQCQSYIQKQISGGKLLMGINRKGQQTEGVEQCCTELQNVEKDCQCEAMQEVYRQAQQMQRQQGSRQTQDLQWMVENLGNQCKLQVQQCRIPSAMF >cds-PLY85356.1 pep primary_assembly:Lsat_Salinas_v7:5:243469724:243471536:1 gene:gene-LSAT_5X120021 transcript:rna-gnl|WGS:NBSK|LSAT_5X120021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSTTHPRHLPLKIPYNPSLRCHPSCSIGTGDDCVSIPVGATNIWIKILLMDRNTDSVFISSTHTKEDEYEDFHNRCSACLYLYPIVKCYKCLL >cds-PLY81981.1 pep primary_assembly:Lsat_Salinas_v7:8:263540661:263542044:1 gene:gene-LSAT_8X152580 transcript:rna-gnl|WGS:NBSK|LSAT_8X152580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFRMSQEIKLHGDVYFGNVNGKLPHGMGSYTWSDGTVYDGNWEDGKMCGRGRISWSSGTSYEGDFSGGYFHGFGTLTTPDGSAYKGSWRLNIQNGVRRKEYSNSDVYDGCWKDVVHEGSGKYAWGNGNTYIGNWKSGKMFGRGVMKWVNGDLFDGSWLNGYRHGSGVYRFSDGNYYFGTWTKGLKDGQRTFYPAGMMGNMFKTELWIHGRYDLKGSYQGSFTCKDHIDEGTKLKDLDLAYEFHMDKTLREALFEQIQLDCLFLESQQIIDYSLLLGLHSIEKEECRRISSKLRKFVKVND >cds-PLY94963.1 pep primary_assembly:Lsat_Salinas_v7:4:113706555:113708547:1 gene:gene-LSAT_4X71721 transcript:rna-gnl|WGS:NBSK|LSAT_4X71721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGALDHLSNMFDCSGGSHHNHKNRKQLQTVEIKVKIDCEGCERKVRRSVEGMKGVTSINIEPKQHKLTVTGYVEPHKVVARVAHRTGKKVELWPYVPYDVVAHPYAQGVYDKKAPAGYVRYDQDPGGRHLERASSTEVRYTTAFSDENTGACAIM >cds-PLY99702.1 pep primary_assembly:Lsat_Salinas_v7:9:55491417:55493966:-1 gene:gene-LSAT_0X9560 transcript:rna-gnl|WGS:NBSK|LSAT_0X9560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNFTDKGKERFLSRKDYSEFGLLNESFRTTNNEDAADWWNDVRLFFVNVYQMGRSDPRHFIFAAKSGLAFAIVCVLIFFKEPFNYVTQYSIWAVITVILVFEFSIGATLNKGFNVALGMLSAGVLALAIAQLSMWAGELRKIVIVISIFIEGSISSHIKLYPSMKPYEYGFRIFTLTFCVVLVSGTSHIDQTVLSRSLLVVLGTGVCLVVSICVFPVWAGEDLHKLVVKNFRGVATSLEGCVSKYLQHFGYERIPSKILVYQASDDPLYSGYRSAVQSSSEEETLLGFAVWEPPHGRYKMLRYPWSSYVKVSGALRHCAFMVMGMHSCILAEIQASVELRNLFQNEILKVGTEGAKVLRELGSKVERMEKLSPDIDLLMKVHEAAEELQLTIDQNSYHLINSDKREHGRQPKGFEDPDIVQELKEDEIKPKLLVKFRSETNLNLHLNRKSPLPTKSLDRKTPYMSRQPSMLHWGSSVDVVKKQSRRPAIVHWETSVDVLKKQTNHPSSMLDWESSVDALKKQTQWPSRLSIHGDTILNVREVKTHESAKALSLANFTTLLVEFVARLQNLVNAFEELSEIAKFSEPVNHLEAKETVGFWTGVLKCIGIKD >cds-PLY96254.1 pep primary_assembly:Lsat_Salinas_v7:6:157185600:157187831:1 gene:gene-LSAT_6X96200 transcript:rna-gnl|WGS:NBSK|LSAT_6X96200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRYNFLPELSFLLVLTFTNIFDTTSANSNQADTYIVHLDSPNSIVFAKPEEREKWYNSYLSESSTGSDEKPSMIHAYHNVFTGFAAKLSTDQVKAMENMDGFISARRERVYKLHTTHTPRFLGLHQNLGLWRGSNYGKGIIIGVLDTGITPGHPSFDDKGVPPPPQKWKGKCEVAGCNNKLIGARDFTDSASGSPLDDEGHGTHTSSTAAGNFVDGANVYGMAKGTAAGMAPLAHVAMYKVCDDDGCSDSAILAAMDAAIEEGVDVLSLSLGGLSLPFYEDGIAVGAFSAIQKGIFVSCSAGNSGPFNSTLSNEAPWILTVGASTMDRNIRATVYLGNKALLDGESLFQPKDFPQNFMPLVYPGLTGGQQAAWCAKGSLTSIDVKGKVVICDRGGGVARIDKGQTVKDAGGAAMILINQVTDGDSTEADAHVLPASHVGYSDGVSIKTYLNSTSSPVATIIFRGTVIGVTTAPEVASFSSRGPSVASPGIVKPDIIGPGVSVLAAWPVSVENKTTNSTFNMISGTSMSCPHLAGISALLKSEHPDWSPAAIKSAIMTSAGQVNLNGDPIEDERELPADIFAIGSGHVNPSKASDPGLIFDIQPNDYIPYLCGLGYTSKQVGIIVQKRVTCSKVIPEAQLNYPSFAVTLGVGDSKTFTRTVTNVGEANSTYTITSSSIPDGLNLGIGTGGLKFTALNQKVTFEMYFIRDSQYVVKTPYAEGFITWGFGKYSVRTPFSIKFV >cds-PLY77776.1 pep primary_assembly:Lsat_Salinas_v7:2:170246962:170248545:1 gene:gene-LSAT_2X91141 transcript:rna-gnl|WGS:NBSK|LSAT_2X91141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNSESKQLPHKEKPQEEEIEGKFLLGKPKFKKLENGRYKCVETGHELPADARESYALTKHCRLGLIDSALARNKPPLNMFNQDPLCRSKLICKLTGHTVNKIEEHIWKHINGKRFLNMLEKEEAEAGKEASNDMVEDENKEKPEKASDSKEDGLKKKKKKKKKKKKKMEEEDVSKIISEVRDPEEKDSESEEMEFWMPPVGDRWDFDDGKDRWASGSESENDPESDDANEAVEHVSDAKESNETHELSNRTKRMSIEIGASDIASSKKKKKIQSI >cds-PLY65918.1 pep primary_assembly:Lsat_Salinas_v7:4:139554816:139556082:1 gene:gene-LSAT_4X85760 transcript:rna-gnl|WGS:NBSK|LSAT_4X85760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCRGNSIKCRKEGSVPVYLNVYDLTSMNGYAYWLGLGVYHSGVQVHGVEYAFGCHEQATTGIFEGEPKQCEGFTFRKQILIGWTEKNLREVRRIMEDLAEDYKGISYNLITKNCNHFCNDVCVRLTGNSIPSWINRLARIGFLCNCIIPASISSIKVGIEDNKVHNEGEMKKLRSRSSRFTSSSSCSPASENSPLTSPTVAPTTGHRTTSSLPPPSPLILDSPKS >cds-PLY65627.1 pep primary_assembly:Lsat_Salinas_v7:4:252611554:252612292:1 gene:gene-LSAT_4X133441 transcript:rna-gnl|WGS:NBSK|LSAT_4X133441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQDPFYIVKDEIQDSIDSLQGSFHELERVPVGSGEQSRLTKELLSNCESIERQLLETLLCNVLNQVEFEKQRKWTTTARIQVGNIKKAVTVNGSSSNFGGMRQELMRMPKSHQQQDKDRTRTGSYASVDNDDFISLEPVTQMLLIR >cds-PLY80554.1 pep primary_assembly:Lsat_Salinas_v7:6:13761965:13762468:1 gene:gene-LSAT_6X11980 transcript:rna-gnl|WGS:NBSK|LSAT_6X11980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHALVTEEVMIPDSPTCSESSMDSALEVSHSESSKSIPPNLRFKGVKLDSGLGKPPIVSTRASSTGFILKRRSKSAQDDQLILMSPSKRKRYGRETKSRSLNDLVDPHVPNVTSSECTLEGPTTTIFYDCPIEPDNEIKHVENEPEASHLAKLGRRLLIRIPMKTP >cds-PLY86983.1 pep primary_assembly:Lsat_Salinas_v7:5:261951590:261953047:1 gene:gene-LSAT_5X134181 transcript:rna-gnl|WGS:NBSK|LSAT_5X134181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKAPTINSIRVTNQSRCFPMRLLGFMLLFIGICIGFSIINMFKIRYLGTQNIISGAQTLIQSCFQESNSLQNWIEPPSTYMHTLDDSELFWRASFVPQIKDYPFKRSPKIAFMFLTRGPLPLAPLWERFFKGNEEFYTIYVHTMPSYRANFSTSSVFYQKQVPSQVVEWGMMSMCDAERRLLANALLDISNEWFVLLSEACIPLQKFSIIYHYISRSRFSFMGAFDEPGPYGRGRYDDKMLPEVNIDQWRKGSQWFEANRKLAVDIIKDLKYYPKFEQFCTPDCYVDEHYFPTMLTIESPYLLANRTLTYVDWSRGGAHPATFGKNDVTLEFFRKIQQHHTCVYNNQPTSVCFLFARKFAPNALDILLQNSAQFFGY >cds-PLY63898.1 pep primary_assembly:Lsat_Salinas_v7:1:125333472:125333753:1 gene:gene-LSAT_1X94501 transcript:rna-gnl|WGS:NBSK|LSAT_1X94501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAVPLDLEPDVIMKRKGENVNPRNKLKIDSGEASKLPFDPLKAKSFTLFVNKFESEVMGSKISSKVVMADIAGSPMVNHVIHNPIKKEDGVI >cds-PLY71847.1 pep primary_assembly:Lsat_Salinas_v7:3:60236441:60237607:1 gene:gene-LSAT_3X47441 transcript:rna-gnl|WGS:NBSK|LSAT_3X47441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTANNNVPSQKWPENLIKLSEYLFENSQIGSTRPFRHRCVNLRTQEMFDNLVDLYAQMFMAQWHHYTRFMESFPPPPGVSPQIYAARCYISMWFIDLYVSIRDAVWKLSADAFHEQYQGELFRFSHEYDKYLTLLNASIRPTCIKNALDNAQFIPIIVDNINVHNPNPFGINNFTPVKALFRGLTQIMKAKSRLQFTPLSNDAIGRPCWLFDWHTGNRVCSWFPPEGNYNHEDFTLAYILGTPCTPNLGVRDVDDWQFFAEGIVPRNPNPVKYNRVVARRFYGSFEVRTMEIETDFSLSPISAQVNHNIADTVAERDTRPTKKSRKNGQSSESTMAITTSSEIANEELQEGDQLMPRFKLVDWLYHHLVVLEMDDHKRTSVLRSLAYA >cds-PLY82817.1 pep primary_assembly:Lsat_Salinas_v7:1:87686870:87689129:1 gene:gene-LSAT_0X4681 transcript:rna-gnl|WGS:NBSK|LSAT_0X4681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFLEDFQASLEPLPNILQRKYALLRDLDKSLQDIQRQNELHCIQEIEGFKEGVKSGNIAPGTSLNKFSDEAQDEQKHAIRIADEKVTLAVQAYDLVDAHIQQLDQYLKKLEEQRREKDNAAAAGSTGLNPDGNGKTGKSEGGRGGRKKSRVGGAAATAAAAAANAPSMDLDLPVDPNEPTYCFCNQVSYGEMVACDNPDCKIEWFHYGCVGLKEQPKGKWYCSDCGGMQKRKGK >cds-PLY66444.1 pep primary_assembly:Lsat_Salinas_v7:5:320686055:320688665:1 gene:gene-LSAT_5X176641 transcript:rna-gnl|WGS:NBSK|LSAT_5X176641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQLSQLEHTLRLKPDLLSLPINEAIKGELEALFLDKIIKDLGLCISVYDIQSIDGGFIFANEGAPTYTVKFRLIIFQPFVGEIISAKLKESNADGIRLSVGFFDDIYIPAFLLPSPISAEPDSENKNQVKWIWKYDGAEYLIDGYDEIRFRVHKVKFPEIPKEQKDSKPFAPMVVIGSLVCEAGLGPISWWV >cds-PLY87132.1 pep primary_assembly:Lsat_Salinas_v7:5:261567657:261569123:-1 gene:gene-LSAT_5X134400 transcript:rna-gnl|WGS:NBSK|LSAT_5X134400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANWPESFQFQHKSLEFRAPPPSPVASGRRSSVANDEILTEFLHHSLRVPDLVLPDRVSPRQKLKIQKLPKLDYKTLESLEDHNITNTFDVIGQTGCFELVNHGISNQLVRSVAKYGAGIFELLPEKKAVMSRSADRIYGFEEFHGEEREASEEFVWCRDDALKSELEASLPLQYSNFSGNLEILTSEIEKISGIILKFLLENTPLKSGSDDDDASEKQIFGTICYFHRHHQDPDRITNDDHYTNNLRYDMIRMLIRGSEHSHTLCVHACHGSSEFHVYSKKGWVSFRPEKDALVITIGDQLQKVNIIKITYS >cds-PLY63709.1 pep primary_assembly:Lsat_Salinas_v7:9:85089570:85090587:-1 gene:gene-LSAT_9X66541 transcript:rna-gnl|WGS:NBSK|LSAT_9X66541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13510) UniProtKB/Swiss-Prot;Acc:Q9FY50] MEATLFTLPSSKFPPPASTAVSLKTHFHNPLLLHSTTTSHRKPNRVPLTIRSAISRTKKEETIETVKEQLQDCYLIAGIKYQGFTVQQFQQLRTTLPVTTKLIVAKNTLVLKAIEGTPWEALKPCMKGMNAWMFVHSEEIPAAIKPYRTFQKEKKLEENDFSGAVFEGKYYPPEEFKALETMPTRAEVYANLLGSLKGPATSVVGTLQAPARNLIMTLKAYVKKLEEESGAEQ >cds-PLY73430.1 pep primary_assembly:Lsat_Salinas_v7:4:179225386:179228775:1 gene:gene-LSAT_4X106140 transcript:rna-gnl|WGS:NBSK|LSAT_4X106140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ECT5 [Source:Projected from Arabidopsis thaliana (AT3G13060) UniProtKB/TrEMBL;Acc:A0A384LL64] MAATQHQSTDHIIVPPNDSSITESLVMNAEEKLVQPDIIKEQDAAISYSRDNPSHSGALNVAGDLTVYPPNLCAPLAHPMYYKGFENGSGKWDEYSPFINTETLDANGSPAMYTDNSVVFHTGYGYNSQMPYGPYSPVTTPLPSVNGDAQLYPHPAQQYTFSGSPYYQHPVAPYTPVSQQPELKSLVSSEHGDGMVYGPRPGFSSPVGASVGRGDPPGRVGFQESLDAFRSRGGLWSDWSGQSDRHRSFMPLSPSVSPHHTFGSFHQTFGSTSQQPPSFYGASSYDTTYLNNGLTPNPNHAFATSSLSTPDVRPWPTLDNTRRRGGRGPASLCSCSSTLDILSEQNRGPRASRPKSLLPNNNNNNNNNNNNNDNMMDASSQSLPDSVSEYTTEAKFFIIKSYSEDNVHKSIKYRIWSSTSNGNRKLDSAYREAKEKQTSVHVFLFFSVNASGQFCGVAEMLGGVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKFEQGVEMLKIFNKYETDMSILDDFDFYEERQKAMQERKARQQQGNLGGGNENKNVNEFIRQMSKSFSEVVRLEDKDTSKSTRVKLEKTAMSSPGVDTTD >cds-PLY68732.1 pep primary_assembly:Lsat_Salinas_v7:3:139275475:139276288:1 gene:gene-LSAT_3X92041 transcript:rna-gnl|WGS:NBSK|LSAT_3X92041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFKPERFLTSSLDVRGRDFELVPFGAGRRICPCLPLANRVLPVMLGSLLNNFNWKLDGGLGHNELEMNEKYGITLQKANPLSIIVILISSRWVYKPYNIMEGGVTIAVPRQPMCDGAMDHPYFSPPP >cds-PLY67992.1 pep primary_assembly:Lsat_Salinas_v7:7:113949941:113953710:1 gene:gene-LSAT_7X70501 transcript:rna-gnl|WGS:NBSK|LSAT_7X70501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDAALKSFKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVMVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPKTKKWTTKSTGSPSCKRGFVQFCYEPIKQIINTCMNDQKDQLWPMLTKLGVTMKSDEKELMGKALMKRVMQTWLPAATALLEMMIFHLPSPHTAQRYRVENLYEGPLDDMYANAIRNCDPDGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKKQETVEDVPCGNTVALVGLDQFITKNATLTNEKEVDPHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIVVSDPVVSFRETVLEKSSRTVMSKSPNKHNRLYMEARPMEDGLAEAIDEGRVGPRDDPKVRGKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQRRGHVFEEMQRPGTPLYNIKAYLPVVESFGFSGALRASTSGQAFPQCVFDHWDMMGSDPLEVGSQANTLVLQIRKRKGLKEQMTPLSDFEDKL >cds-PLY86795.1 pep primary_assembly:Lsat_Salinas_v7:5:14327845:14329359:1 gene:gene-LSAT_5X6540 transcript:rna-gnl|WGS:NBSK|LSAT_5X6540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLMVVVSILDLIAFGLAVAAEQRRSTAKIQQDSEDEYNYCVYDSDISTGYGLGAFFLLFASQILIMVASRCFCCGKALNPTGSRACAVILFIFCWITFFIAEACLLAGSVRNGYHTKYRTIFNEEPPSCETVRKGVFAAGAAFVFFTGIVSQFYYASYSKARGAFQPYGGEANVGMGAYK >cds-PLY73990.1 pep primary_assembly:Lsat_Salinas_v7:1:33098082:33099493:-1 gene:gene-LSAT_1X28700 transcript:rna-gnl|WGS:NBSK|LSAT_1X28700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTLILRSLTLVLAVGLVQGFEYNEKDLETEESMSNLYQRWRDHHNLQETTHAEKHKQFKAFKSNLQHVHKTNKMNKLYTLKLNQYAGMTNDEFMSKHTGFKSKRQMHHMLHQSQRNEVTNFMYADAVDVPPAVDWRKEAVTPVRTQGICGSCWAFSTVDSVEGINQIKTKKLVRLSPQQLIDCDIHGVNNRACDGGIMGDAFEYITKNGGITTEENYPYTGVNVTCNAAKAADHAVSIDGYENVPEENEDALLKAVAHQPVSVAVDAQSNDFQLYAKGVFTGECGTDVNHGVTAVGYGETDDGVKYWIIRTSWGESWGEKGYMRLLRGVPDKKGVCGVAVYGAYPIKKSDTNPKSSLNREEL >cds-PLY68115.1 pep primary_assembly:Lsat_Salinas_v7:8:34051768:34053164:1 gene:gene-LSAT_8X26980 transcript:rna-gnl|WGS:NBSK|LSAT_8X26980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSSGATRKADTKLAVKKTAAKGKAAKDPNKPKRPASAFFVFMEDFRKQFKEDHPDNKSVAAVGKAGGAKWKSMSDSEKAPFQAKADKRKKEYEKNLDTYNKKLAGGGNDDDDEDSDKSKSEVNDEADEGESDDEEDDD >cds-PLY68648.1 pep primary_assembly:Lsat_Salinas_v7:3:176740741:176740983:1 gene:gene-LSAT_3X103480 transcript:rna-gnl|WGS:NBSK|LSAT_3X103480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTPFSHKAGFKCSTWAKTLQNHRISPPHLFLGISILFNSSSPFVNHITTALTAQLVALNSGGANHRRVQRRCSTLRLHS >cds-PLY94254.1 pep primary_assembly:Lsat_Salinas_v7:8:69240792:69249625:1 gene:gene-LSAT_8X50060 transcript:rna-gnl|WGS:NBSK|LSAT_8X50060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPPSALVFSSQSWKYDVFLSFRGEDTRNTFVGHLYSALEQEGIYTYKDDETLPRGETICPSLMKAIEESQIAVIVFSKNYGDSSWCLDELAHIMKCRDTKGQVVMPIFYDVDPSEVRKQKRKYGEAFAKHELEKKTKVESWRKALVDASNISGWEPQHIANGHEAKGIKQIVLEISQSLQPVTSSLDENLIGMAARLQGLKLELEIGSGGVRMVGIWGVGGGGKTTLAYSIYDEICSKFDGCCFVENIREESGRYGLGKLKEKVLSEMEVNRVRGGRCLINNRFRHRKVLIVLDDVDNLDQLKALAGSHDWFGEGSRIIITTRDKHLLTAHKVNVIHNISLLSGDEAIKLFCKHAPRDNRPVVDYEHLSRKVVSYAGGLPLALTVLGSFLCDKDINEWKSTLVRLKEIPDTDIVGKLKISFDGLKPIEKELFLDIACFFRGYPEDGAMKSLDACGFHPVIGVKVLIQKALITISNERFDMHDLVQEMGHYIVRGENPNNPEKHSRVWKREDVWKICSMDATTELDMIEAIRLEFGTKGQLQRYKHLPPIVANTKNLRWIEWEGDLASPLLSNFPQRTLRHLVLYNSLQKQLWEGYKLLPNLKTIELWDLDNLIMTPDFEGLPNLETFKLAGCSYLEEIHQSMGCLERLVFLSVGSCWRLKMFPPITRVKKLETLTFFDCPELFKVSEIQPNMENLPLLHLDDSGNEVASYIESCPNLFFVICWRCGCSNLPGVECCVEEPSLPHNNMKPCLRDNNMKHIGLRFFPKDLRNLNLSYCNLGDEEISSAVCELPNLQELNLSENSFSHLNFSLFQLPRLKLLNVSYCKGLVELSKLPSSIAVVIADGCTSLESIILISDCKWLWHVSLKGENKVCDGDLLLDSMLQGNAIEDHFINISLQHQIPKVFVGRLFRGTTLTLHLPDDLYNFCGFLVCLVTKIKGPDINIIMKQEVDEDSVFGRIMHEPNEATEPEYEGTKTYVGYVSFGSLRHTAFSNSSYNMISVSTYRESYVGAELVPRKSKGGEMQATDTSEIWDDELDYRPPFTIKYDSKSFIDILWRP >cds-PLY81582.1 pep primary_assembly:Lsat_Salinas_v7:1:134025483:134035871:-1 gene:gene-LSAT_1X100761 transcript:rna-gnl|WGS:NBSK|LSAT_1X100761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQNKLTSVKRVLKSNKVQVSEDHVVDKVKEIIPIICACYDLYLDADDDTLAWLFTIDSLFFIDLLGAYVDEQGLYKGEEKNEMRLGVCSRSNDVIEPMIKPRLYVNCNGIAKEALDAVMDENDVKFDILPKQYAAEWKRWLENIRDWCVSRQLWWGHRVPAWYVTLEDDKLKELGAYMDHWVVARDEKEAEGEAKKVVGTPNYMCPELLADIPYGFKSDIWSLEKPLSKECCVKTQNIDPLYQAVAYIEASTYIYQYGKEVALGSRRLPRWPSASSFLFRISSENKWDDGESCGDRKQQQQRVGDMLSGGSSEGWGGGSLVAARWMPPLPSFFWAETVEREM >cds-PLY78723.1 pep primary_assembly:Lsat_Salinas_v7:9:51898602:51900439:1 gene:gene-LSAT_9X46920 transcript:rna-gnl|WGS:NBSK|LSAT_9X46920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKNSLADNPSKDREGFKNSSADKAPKGREGSWKKIFEGLANLVQDQQRQLESLLKERKSLEKRIRSQHDRWVFDVKLLEDYISQMMRNSKIKDMARAVDAAKANLIISMKQKEAIMHKLKFEDAEDDRADLKLLFDELSKFLHEPKHLTRSNSKNADESALKAERDFAWNQFKKTDAQLQEHVKKTKSEVEAANNRIQKLISELEQSQSLNIEKNKTISSLQDDMAELESDSRKKSEEISRLTKELELLRGDSNKNNNNRSKLSITPVLRRCTVDSGGMSSRSSDMVVNEKGERRSSKRKGGDTEPRLFTSRFKVPKLKHMST >cds-PLY65253.1 pep primary_assembly:Lsat_Salinas_v7:8:231506646:231508082:-1 gene:gene-LSAT_4X85720 transcript:rna-gnl|WGS:NBSK|LSAT_4X85720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIVPLIDLSHNKLNGPLTNLPNAGYSDVSTRRFTSGIFLQNNLFNDSIPMSLCRRTYLELLDLSRNRLSGKIPMCLANLEWLGTMIFSSNQLSGVFPSSIALKCSVLIRLNLNDNKFTGKLPRALGNLQSLVVLDLGDNQFSGNIPESIGENLTSLLVLRLHKNNLTGSIPQSLCKISKLHIFDVAYNNLTGTIPHCLGELNGMVKGSVVLVDTIDDIDDDQNVIQSTKGVDLEYTTTLQLVYNMDLSSNNFFGEIPVEITALSMLMSLNLSNNLLSGGIPDNIGKMTILESLDFSNNQLSGMIPPSMVALNFLSHLNLSNNNLSGRIPTGNQLQTLTDPSIYAGNKDLCGFPVIKNCSNHEEDPTIVYKKEPTKVWLFYVDIMSGFATGFLGVIGVLFFKKKWRRKLFMFVEETMDKIYVVVVVRVAKMKRGRETI >cds-PLY87237.1 pep primary_assembly:Lsat_Salinas_v7:4:194602878:194603279:1 gene:gene-LSAT_4X113681 transcript:rna-gnl|WGS:NBSK|LSAT_4X113681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNLHQKIRIILDVASVLDSLHNHCLPTIVHRDLKPSNIMLDDDMLAHVGIFDSTQFLGKNANQHMKSGSRGTIRYAPPEYGVGSVITSSGDVYSFGVLLFEMMT >cds-PLY71552.1 pep primary_assembly:Lsat_Salinas_v7:7:28522266:28523011:-1 gene:gene-LSAT_7X20840 transcript:rna-gnl|WGS:NBSK|LSAT_7X20840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor 12 [Source:Projected from Arabidopsis thaliana (AT1G28360) UniProtKB/Swiss-Prot;Acc:Q94ID6] MASPGHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPEEAALAYDGAARSLRGPKAKTNFPSPPSSPPSLSLDLNSFPSNHHNLHQHIRWVAAPPTRQVMVGRELELLHSGVVRDRHHGGACCSAILVGNGGGAGGRAVEEGGSDEKTAGFFGIVRRGLGIDLNEPPPIWLGDAS >cds-PLY94895.1 pep primary_assembly:Lsat_Salinas_v7:4:109105250:109108861:-1 gene:gene-LSAT_4X71281 transcript:rna-gnl|WGS:NBSK|LSAT_4X71281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSMATGDRRNFNPNSNGTNNHPQFVPKLLNGDQKSDSFARRQKIEQQRRSLPIASVEKRLVEEVRKNDTLIIVGETGSGKTTQLPQYLFNGGFCRDGGTIGITQPRRVAAVTVAKRVAEECGVELGQKVGYSIRFEDMTSTSTRIKYMTDGLLLREALLDPYLSRYSVIVVDEAHERTVQTDVLLGLLKDVQKKRSQKTFSESNNNGTLVKEENNGEGEGSSVSVVKPQLVRKLNPLKLIIMSASLDARGFSEYFGGAKAVHVQGRQFPVDILYTTQPETDCLDAALVTIFQIHLEEGPGDILVFLSGQEEIESIEGLVRENLKKLPEANQKVLILPLFSSLPSEKQMKVFAPAPVGFRKVILATNIAETSVTIPGIKYVIDPGLVKVRSYSPDSGIESLIVVKTSKAQALQRSGRAGREGAGKCFRLYPESRFEGLDDSTIPEIKRCNLSNVILQLSALGVDDILGFDFMEKPDRMAVIRSLELLYLLGALTDEKKLSDPIGHQMARLPLEPNDSKALILASQFDCLEEMLIVVAMLSVESIFYAPRDKLEESRTVIKSFSSPEGDHITLLNVYRASLEFLEKNKMENSKEKAEKNLRKWCKDNFVNSRSLKHARDIYNQIRENVERMGLKISSCGDDMVPLRRCLAASYFLNAALKQPDGTYRVLANGQIAEIHPTSVLRRAKSECIIFYNLVQTTRNYVRNVTRIDYLWLAELAPQCYALKD >cds-PLY86678.1 pep primary_assembly:Lsat_Salinas_v7:4:318538593:318540890:1 gene:gene-LSAT_4X158400 transcript:rna-gnl|WGS:NBSK|LSAT_4X158400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSELIKVNCLLAKLTKSQQYTKTLQLFHKIQSSHYLKPDQYTLSTTLTACANLRDDTAGNQVHAQVIKTGYKIYSHVANTLLFLYSKSEDLASVKRVFAEIKIPDVYSWTTLLSACTNLGEVDYACHLLDQIPHRDEAVWNAVITGCADNGYPSIALDMFNRMHLLGIRHDNYSYASVLSMCSSELIDYGVQVHSLVIKTGFLVKPSVTNALITMYFNFGNANDAHQVFDETEHDHITYNAMISGLVSMGKDENALVMFKNMQEIGLNPTERTYVSLMSSSPCKNSCTQLHAHSIKTGFHDSNSVSNAAITMYSNNSDFHSAELVFMELEQKDRVSWNTMITIHAQSNSNSGDAIPTYLQMQHHGIKPDEFTIGSLLSTMESIQSIMTILAIVIKNNLISKTEVSNALISNLSKRNGIKEAYIIFNETNSKNLISWNSMISGFHSNGYSFHALNLFSNIIVSRLTPDVYTLTMVLNICATISSLTYGKEIHGYIIKSHYFHDTSLGNSLIALYSKCGDLNWSSRVFDLIIHKDVISWNSMISAYGQHGKGEKAISCFESMQDSDSVKIKVKVKPDHTTFIVVLTACSHAGLVEDGVKIFKSMVNEYGLEPGVDHFSCVVDLLGRAGYLDEVEKMVKSDWCNVDCSVWWSLFSSCAAHGDLRLGRIVGGILLEMEEDKSSVYVVLANMLADGGFWEEAEGVRKMMRGHGVVKQPGYSWIRS >cds-PLY95196.1 pep primary_assembly:Lsat_Salinas_v7:8:61983966:61985488:-1 gene:gene-LSAT_8X44820 transcript:rna-gnl|WGS:NBSK|LSAT_8X44820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRQLLPSSSRAQSTQVEVTYRHSCCLRTSHRHLQSSPPQTSPSLPQQTFNKTLIPADPASIPFFFHFVRFYPGLSEDDQTTVGKPEMIRAYWSIYFMKCIRLSILLTKNKIKMYEAIQEET >cds-PLY66658.1 pep primary_assembly:Lsat_Salinas_v7:1:52129352:52129579:1 gene:gene-LSAT_1X45740 transcript:rna-gnl|WGS:NBSK|LSAT_1X45740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHMKLFLKDCQKKEGEGYSGKQRVHGQKQISRLVYSNKTTIIYMSLLSKSNNPCHHVQFKFQQQPHVDLSSIDLV >cds-PLY92122.1 pep primary_assembly:Lsat_Salinas_v7:9:192628079:192640215:1 gene:gene-LSAT_9X116520 transcript:rna-gnl|WGS:NBSK|LSAT_9X116520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQQLITEEAIFQIFLLLIKIACMAIRFTVSYSGYVAQNLATASGKSNTCRIFHEVFSRPRIFQNPDRDPVAGYGPAATASSRSIPSASMSPTLDVGDRIMAEKVSYIFRKPEVSDIVIFKAPPILQEFGYSSGDLRDGSSHQGTVTSMEPNEGLFVLNSETSKKGKIKAVHLVDVPGHSRLRPKLDEYVPRAAGLVFVLDAVEFLPNCRAVSEYLYDILTKSSVIKRKIPLLILCNKVEKVTAHTKEFIRKQLEKEIDKLRTSRKFVSDADISNEFTLGIPGEPFSFSHCVNKVTVAEAPALTETLDVVQDIDWVISLGNAFAKQYELYTYDDEHSALLHSFLNAGLFWCWIKWSSGLVCASGKEPTQCPRSSGTFHLYFLCIQVVELDFLYPSEGIHRRWDGGYRITSTAATWHKLLLFLVSQEESMQMRHRKHCTLLCFLVHMNMKPLDSNLATLSTRCNKDLELNLSKSFLSEMGQCATTYPYSLKEL >cds-PLY92594.1 pep primary_assembly:Lsat_Salinas_v7:7:160400616:160402843:-1 gene:gene-LSAT_7X94680 transcript:rna-gnl|WGS:NBSK|LSAT_7X94680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNase Z TRZ2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04530) UniProtKB/Swiss-Prot;Acc:Q8L633] MTITTPSFPSSPPFHHSTSHPPSYKHPPITIHQNPTKPHDNSIKSLKKSGFLSAIGNAIEEQEYRKARAEVIRKGTGLEGYTIEGLSIGGHETCVIVPELKCAFDIGRCPARAVAMNFLFITHAHLDHIGGLPMYVATRGLYSLTPPTVFVPPAIKDDVENLMELHRKMGMVELNLDLVALDVGETYELRNDLVVRPFKTHHVIPSQGYVVYSVRKKLKKQYEHLKGREIEKKKKSGVEITDIILSPEVAFTGDTTSDFLLDPRSSDALRAKVLITEATFLDDKCNIEHAREHGHMHIDEIMEHAKWIRNKAILLTHFSSRYHIEEIRQAVAKLQSKVSGKVVPLTEGFRSMYTS >cds-PLY82432.1 pep primary_assembly:Lsat_Salinas_v7:2:184355031:184356081:-1 gene:gene-LSAT_2X107561 transcript:rna-gnl|WGS:NBSK|LSAT_2X107561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKRPTFDQGRNGIIPKEWGELNYQPSPIMGERFDPDYFVPDNSASDTRDVVYSLGWKYAANLDNEEEIACMYASTISAFALELEDEFLRSMKGNKIRPHRIHIPSQAKASRIALNEQEKYGPDKKLVLFGTGLHILFKNIHMVKEVNYNRFVTNSINVLGGKLGMPHEVLARLKFPLTHKQACAVVGVFYCFEGLKAKIIRGLDDMYLERENFPVLALVAETVRSDELRASSSEQVVAQPKVSSANVERLVRRHRSYVALSKIKSTAK >cds-PLY64799.1 pep primary_assembly:Lsat_Salinas_v7:2:102408505:102409338:-1 gene:gene-LSAT_2X44900 transcript:rna-gnl|WGS:NBSK|LSAT_2X44900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQNQTHDTLLLKSIFLAITIGVLCGCGMAQTSSSGCTNVIISMSPCLNYISGNSSTPSTGCCTQLASVVKSQPQCLCEVLSGGGSSLGLNINQTQALELPNACDVQTPPTSQCNAASPGSSPSTPNNPSGSGSGTNTVPSTGNGSSDLISIRFALVPILFSSVVVAYSIMF >cds-PLY89881.1 pep primary_assembly:Lsat_Salinas_v7:3:71029933:71031404:-1 gene:gene-LSAT_3X53020 transcript:rna-gnl|WGS:NBSK|LSAT_3X53020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFCKNIVLAGVGSLTLNDDNPVTAEALAANFLIPFDENMGGGGSLAELCCDSLKDFNPMVHVSVEKGDLSSFGVDFFEKLMLWLSIAAYLQPKKLSKRVAFYSVDCRVSCGEIFVDLQKYCYAKKKIDETIECPLQYQSFEEAIAIPWRSLPKRMSKLYFAMRERFEEVKKRKPGETSIADMANVLKLRNELCLAHSLNESEIPDTLLERLVVSKQTSDI >cds-PLY68241.1 pep primary_assembly:Lsat_Salinas_v7:3:103607117:103609260:-1 gene:gene-LSAT_3X77561 transcript:rna-gnl|WGS:NBSK|LSAT_3X77561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVNLKNRSILSELKLKDGGIFHRAAAGVCSVIHRRQAAATPRGRREPFRREVLLLNGSSLPLSRIFSACDDNSLRRTHCQVPFILPHSSWISSVQEEVLVTSHLRYIPVNSHSQSTRCVNPMTNHVHSFKSLPSMDLLEKKRHNQIRGFSGVVNSDDESESEDTEGVKSVADEEEVKRICNVIEELFALDRNMEAVLDDCKINLTHDLVIDVLERFKHARRPAFRFFSWAGQQPGYHHDSRTYNTMMNILGKTKQFETMISILNEMGEKQLLTLETFNICIKAFAAGQQRRKAVGMFDLMKKHNFKVGVDSINCLLDNLGRSKLGKEAQVLFHNLKGKFTPNIQTYTVLLNGWCKLGNLLEAGKIWNEMTDEGFTPDIVAHNIMLEGLFKSHKRSEAIKLFEIMKSKGPCNTRSYTIMIRDLCKQKKMKEAIESYENMLNHNYKPDAAVYTCLITGFGNVKQMDKVYGLLKEMKEKDCPPDGRLYNALIKLMTNRRMPDDAVRIYKKMIQSGIKPSIHTYNMMIKSFFMMENYEMGVAVWEEMSEKGVCKDDNSYTVFIGGLIRIGRSMEACKYLEEMMEKGMKVPRIDYGKFVGDCCKSGKGNVLEELGEKMKLEGKIEVSDVFSRYVELMKKTDKRLNFVRD >cds-PLY95668.1 pep primary_assembly:Lsat_Salinas_v7:2:115076100:115086523:-1 gene:gene-LSAT_2X53220 transcript:rna-gnl|WGS:NBSK|LSAT_2X53220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEVARGHGGDGGDRPPYGVARGVPSGCQSSKAKNVNVNKRGKGRNLNLYEEYENNKCNPLDLQIDISGRTYRFVGDNGQIRRNSRLPPGPKGFPVIGNLLEFGDKPHQSLAILSKRYGPLMSLKLGSNTTIVISSPDITKEFFNTHDVAFLNRSVPKAIQLGGYHKYSIVWMDAGDQWRKLRRMTKEYMFSVQQLDASELLRREKVQDLVNHVNQCCIEEKALNIGACAFTTALNILSNFMFSMDLAQYGPKSTQEFQGLVLQVMQAGAKPGLLDLFPILHWLDPLELIWPENAYAKKMLTIFDKIISDRLQSRSNGVSTKNDDVLDLLLNQQSSFTQNDIRHLFLTLFVAGTDTTSSTLEWAMSELIRNPEKMKIARLEVDKVMQNNNNGSIQESDISQLTYLQAVIKETMRLHPPAPFLIPRQAMHDVAIHSFIVPKNAQILCNVWAMGRDPNIWSDPEMFMPERFLDMTEVARGHGGDGGDRPPYGVARGVPSGCQSSTQKMEYQHFFLVLSCLFPLAIFAYIISSRRNSRLPPGPKGFPVIGNLLEFGDKPHQSLAILSKRYGPLMSLKLGSNTTIVISSPDITKEFFNTHDVAFLNRSVPKAIQLGGYHKYSIVWMDAGDQWRKLRRMTKEYMFSVQQLDASELLRREKVQDLVNHVNQCCIEEKALNIGACAFTTALNILSNFMFSMDLAQYGPKSTQEFQGLVLQVMQAGAKPGLLDLFPILHWLDPLELIWPENAYAKKMLTIFDKIISDRLQSRSNGVSTKNDDVLDLLLNQQSSFTQNDIRHLFLTLFVAGTDTTSSTLEWAMSELIRNPEKMKIARLEVDKVMQNNNNGSIQESDISQLTYLQAVIKETMRLHPPAPFLIPRQAMHDVAIQSFIVPKNAQILCNVWAMGRDPNIWSDPEMFMPERFLDVKIDYKGQDFELIPFGAGRRMCPGLNLANRMLHIILGSLIHKFDWKVMGNKRPQDIDMGEKFGITLQKAEPLRAIPMKL >cds-PLY78442.1 pep primary_assembly:Lsat_Salinas_v7:2:167580275:167580879:1 gene:gene-LSAT_2X88921 transcript:rna-gnl|WGS:NBSK|LSAT_2X88921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGDCGHGICLLIGALVLIAHEGKLSTQKLGSFMEMLFGGRYVLLLMSFFSIYYGLIYNELFSVPYHIFGASAYRCRDPTCSDAYTIGLIKYRDAYPFGVDPIWRGSRLELPFLNSLKMKMSYFAWNCSNES >cds-PLY62006.1 pep primary_assembly:Lsat_Salinas_v7:3:175249240:175250621:-1 gene:gene-LSAT_0X41321 transcript:rna-gnl|WGS:NBSK|LSAT_0X41321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDSFKVRVDRAFGSLEASSSSTIKSTSSSLSSLWCLTDEEIKRNEWIQDKITVHKDKDDEHIHRLKTPKIYSSFLQGLLAEPSTSHQDLESDIQELEDEEDEEQQPPLSKPDDHSNDDWNIRSSVGMDCTLDNEEEEDGYDKVALGREESTDRFYMRDVNDYEVEMDSNNELPDSITDVIRDPRANHMAAKLRLKEDEESARKLGLQVSENNNIQESTTTENQISTPFVPDYVRNPSKYTHYTFDSMDDADEETNRKAYMDFFNLLKGSGGGGGGDMETEDVSMESQTSIVFTPRKKKSSDDTMKKSKADAGYKKVVPAGIAGEDVEDSVGVCVMDEDEAEMAMNKQRGGRRYRTKASSDVE >cds-PLY72415.1 pep primary_assembly:Lsat_Salinas_v7:3:190787372:190789661:-1 gene:gene-LSAT_3X113460 transcript:rna-gnl|WGS:NBSK|LSAT_3X113460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDNDKIAVAMADSSTSSRSVTQTVNGSHQFVIQGYSLAKGMGIGKHIASDNFTVGGFQWAVYFYPDGKNPEDNSTYVSLFIALTSEGSDVRALFELTLVDQSGKEKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFYRRQLLETSDYLKDDCLKINCTVGVVVSATDCPRLHSIKVPDSDIGSNFGMLLENMEGCDVIFKVGDEKFHAHKLVLAARSPVFRSQFYQQERDHDDIIITDMEPKVFEAMLHFIYRDELTDELVASSSSSEQNVSDSVVAKLLAAADRYDLTRLRRICESRLCKGISVISVGRILALADNHHAMELKAVCLRFASENLAAVMRSDGFQYLKSNHPALQSEILKTVAGCEDGYNSGGSGGGDGGCKSRSVCAQLSDGGDTSGRRVRSRS >cds-PLY99009.1 pep primary_assembly:Lsat_Salinas_v7:5:29091601:29093340:1 gene:gene-LSAT_5X14000 transcript:rna-gnl|WGS:NBSK|LSAT_5X14000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVTFQFFLISCLPLLIFLILFKTLFSSSKPHGNLPPSPPRLPLIGNLHQLGSSPHRTLQAMAQTYGPLMMIRLGTVPVLVASSVDAAREILKTHDLAFSSRPKSKITDKIFYGSKDIAFSQYGEYWRRVKSIAVIHLLTNKRVQSYRQVREDEMSLMIKKIGEAHESVVNLSELLISLTNNVVCRVALGRTYDGKKFKGLLERFLVLLGSFTVGSYIPWLRWVDRLSGLEGRTNEIAKQFDEFLDGVIEEHVNKKVVGVEAQDVVDILLEIQRDSMKGFRLELNEIKAIILDVFAAGTDTTFTNLEWAISELLRHPQTMKKLQQEAREIGHGRSMITEDNLDNMPYLKAVLKETLRLHTPIPLLVPHESTQDVKLLGYDITAGTQVIINAWAIAMDGSVWEEPDKFRPERFLDTPIDYKGFHFELIPFGAGRRGCPGIQFAMVVNELVLANLVYKFDFTLTGGEDLDMSETIGLTVHKKCPILVVATPCVN >cds-PLY84509.1 pep primary_assembly:Lsat_Salinas_v7:1:29731656:29733150:-1 gene:gene-LSAT_1X25341 transcript:rna-gnl|WGS:NBSK|LSAT_1X25341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACAFEQYTLPKLYVKMQYCVSCAIHSKVVRVRSRVDRRNREPPKRFSRPRDDLPKAGQAPRPGGGAGGPPPVRT >cds-PLY94854.1 pep primary_assembly:Lsat_Salinas_v7:2:180175015:180177081:-1 gene:gene-LSAT_2X102081 transcript:rna-gnl|WGS:NBSK|LSAT_2X102081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFGSTFTEYLLGEKVSSFDLNKCSHVEYKRLKKVLKVCRHHCQGLNHADANNGASCGDEFRVIEEDRDQNNTVSDICRYRSCQWCDRMFFSEIMKEASDITGCISSRVRHLVQLHCNTGMQRYLLHLFQCFKNDQQALVLEGQMLIEYVIMNAIALRKILKKYDKVHNSVSGMNFRSKLQAEHLEISQSPWLIELVAFYINFSESNEMICNELCSSFSCDLSVTDSEPVLKLVLPDYAVLEYNLTCAVCLHTVFHPYALSCGHIFCKMCACSSGSVLMFEGVKSASPKMKCPVCREDGVFGNAVRMTELDLLLKRRFRKEWEERLVEERDEVSKQTKEFWESQTRYFTGL >cds-PLY91419.1 pep primary_assembly:Lsat_Salinas_v7:3:56469982:56470221:1 gene:gene-LSAT_3X43501 transcript:rna-gnl|WGS:NBSK|LSAT_3X43501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPKHLSSETSMIHDCKRMIGERLRQAFQATIVVDDNKNGISDAKIRVLNAKEVKRSCQRVKTEDPLRTIMFLGSLSHT >cds-PLY92464.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:874616:874903:-1 gene:gene-LSAT_0X10400 transcript:rna-gnl|WGS:NBSK|LSAT_0X10400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDRKKLTLKHRRCYFQWNRHLIRVSSVPLSPFRCIDHHRLGPVTVVLILLPFRSAVDSHRCGCLNYYCRHYAPPTSGCVQIPSKVSLGCAAA >cds-PLY97005.1 pep primary_assembly:Lsat_Salinas_v7:2:33949039:33956429:1 gene:gene-LSAT_2X15821 transcript:rna-gnl|WGS:NBSK|LSAT_2X15821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRSSKIPSTSITLSVKLPNKRMMGHLSVKASCHKLHEVLSGLNEPMHNLFSTTSFSYLLDLPAQSGDGLLIHGLLWHLLRPTAETDAAKRLHFRFSRRTLSFEPEEFCLVTGLYMGRCPRSRIEFSTMYKHGYCENTFRSRVFPYRTDTSLLVEDLELLILNQRFNDISAHDDVRAILFILNQGFLGKKLNDKVTKEFLWVVENLNQWNRYLSV >cds-PLY67524.1 pep primary_assembly:Lsat_Salinas_v7:6:72150725:72152482:-1 gene:gene-LSAT_6X51000 transcript:rna-gnl|WGS:NBSK|LSAT_6X51000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFTFIIFKKKWKNSATRVHHGGGNEATKTTLLLQLPPGPRSGAPSLPPGPRSLPIVGYLPFLSRDLHKQFRNMSHIYGPIFKFHLGSKLHVVINTPDLVKAVVREQDDIFANRNPSIAALAISYGGVDVVWSDNSSHSQIAFSTEASVLTSMVWENTSDPNAKGSHFGAELQRISSNIVEILGQPNLSDIFPSLAWLDLQGILRKSKRQLHQLDRIFTSIIDDRIISNSKKPKDAVGHEGKKDLLQILLELEDQKDATSISITQIKALLLDIMVAGTETTTTLIEWTMAEIMQNNYIMKRLQEELAEIVGLDNIVEESHLPKLKYLDATIKETFRLHPVVPLILPRSPSQDCIVGGYTIPKGCTVFLNVWSIHRDPQYWDNPLEFNPERFLTNKYDFKGSNLNFIPFGSGRRLCPGVPLAEKMQMYILASLLHSFDWSLPEGEKHDLSENFGITLKKREPLIVVPSQRLPNENLYM >cds-PLY72572.1 pep primary_assembly:Lsat_Salinas_v7:3:198819788:198819961:-1 gene:gene-LSAT_3X118180 transcript:rna-gnl|WGS:NBSK|LSAT_3X118180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTKIIYSSWPSSLSTSRFSPMLPTPTILIPAAINANQNPPPSDLLLHDVYCDDSKN >cds-PLY61816.1 pep primary_assembly:Lsat_Salinas_v7:6:60849983:60851792:-1 gene:gene-LSAT_6X45380 transcript:rna-gnl|WGS:NBSK|LSAT_6X45380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEIEGSPGSSMHGVTGREQVLAFSVASPMVPTDTTAKFDLPVDSEHKAKVFKLFSLAKPHMRTFHLSWLSFFTTFVSTFAAAPLVPIIRDNINLTKSDIGNAGVASVSGSIFSRLVMGAVCDMLGPRYGCAFLIMLSAPTVFCMALVEDASGYIAVRFMIGFSLATFVSCQYWMSTMFNGKIIGLVNGTAAGWGNMGGGATQLLMPVLFEIIKKAGATPFTAWRIAFFIPGLMNVIMGILVLTLGQDLPDGNLGALQKKGDVAKDKFGKVLWYAVTNYRTWIFVLLYGYSMGVELTIDNVIAEYFYDRFDLKLHLAGIVAATFGMANIVARPFGGWTSDFMAKRFGMRGRLWNLWLLQTAGGIFCLCLGLVNSLPLAITFMILFSVGAQAACGATFGIIPFISRRSLGIISGMTGAGGNFGSGLTQLVFFANASFSTAKGLSYMGIMIICCTLPVSLVHFPQWGSMFFPPSNDNVKGSEENYYVSEWTEEEKQKGMHQGSLKFAENSRSERGGKVASVASPTDATTNNNHV >cds-PLY80832.1 pep primary_assembly:Lsat_Salinas_v7:4:283958958:283962557:1 gene:gene-LSAT_4X144441 transcript:rna-gnl|WGS:NBSK|LSAT_4X144441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase 48 kDa subunit [Source:Projected from Arabidopsis thaliana (AT5G66680) UniProtKB/Swiss-Prot;Acc:Q944K2] MANFSIATVFVASISLLLSLTHSFSLENPTDRRILVLVDDLALKSSHSIFFNSLQARGFELDFKLSDDPKIALQRYGQHLYDGLILFSPTTERFGGSLDVAAILDFVDSGKDLIVAADANASDLIRNIAAECGIDFDEDQSAVVIDHGSYAVSETEGDHTLIAADDFIQSDVLLGSTKIEAPVLFKGIGHSLNPANSLVLKVLSASPSAYSANPKSKLSSPPSLSGSNLLIIFLLILKARNNARIVFSGSLDLFSNKFFKSPVQKAGSSNKYAKSGNQQFATEISKWVFHERGHLKEYLVEIYEWSGSSWEPYVANDVQVQFYMMSPYVLKTMSSNNKGLYIASFKVPDVYGVFQFKVEYQRLGYTSLSLAKQIPVRPFRHNEYERFIPTAFPYYGASFSTMAAFFIFSFVYLYSK >cds-PLY78414.1 pep primary_assembly:Lsat_Salinas_v7:3:235531445:235531765:1 gene:gene-LSAT_3X132420 transcript:rna-gnl|WGS:NBSK|LSAT_3X132420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTDLVVVWCRFGHEHFRSAVGIVDLEANIVDQIWKSQIWWEEMPTVNRRCNEEEVTMVQDLKFVVNSDNAGEFVVGNGEVVVDGGGCNGFHQRRLKEMKEFVFCS >cds-PLY72535.1 pep primary_assembly:Lsat_Salinas_v7:2:141229218:141230306:-1 gene:gene-LSAT_2X69401 transcript:rna-gnl|WGS:NBSK|LSAT_2X69401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRSYTALLLVLSLILLIAFADFAQGYKKLRPRDCKPKCTYRCSATSHKKPCMFFCQKCCAKCLCVPKGVYGNKQACACYNNWKTKEGKPKCP >cds-PLY83668.1 pep primary_assembly:Lsat_Salinas_v7:4:39357521:39359593:-1 gene:gene-LSAT_4X26620 transcript:rna-gnl|WGS:NBSK|LSAT_4X26620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKLKKMETNKTLTTWLLLWAFAAGLSAASSNFQDEKNFYFYYTPYPNTVSPPPAVSYQNPPPAHASDPPVVYYQNPPPAYVDNPPPVSLPSPPPAHANEPPAVSYQNPPPTPPSGGSTPPAHAETPPSSGSNTPPDHPTPSHGHRHGHHHHHGQKPPTNCDIPPTSGVHNPTPPPPTGYSYNPPSSGGSGSTGSSPSVTPTTPSQPPVVNPPSPTPHHNPSPPSGCSCGAPSSSTPTGPIVAPSPTDSHSPPPTPASGYYYSPPATPYSNPPSKTPSTTPRSKTPSTTPPVTGNTVPSPPLFPNIPFIGGTCDFWRTHPRLLSDLFGWWRTTIGRVMGLDHLPGFGSIMNLQEALSNTRTDGIGELYREGTASLLNSMVNKNFPFTTTHVRDSFMASLGSNKAAEAQAKVFKLANEGHLMPRV >cds-PLY90474.1 pep primary_assembly:Lsat_Salinas_v7:9:93033842:93037127:1 gene:gene-LSAT_9X70860 transcript:rna-gnl|WGS:NBSK|LSAT_9X70860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMFILIQSLSPTIIAAIILPSLFLYLLSKIKHNSRLPPSPPSLPIIGHLHHLGPLIHQSFHQLSTRYGPLIHLRLGSVPCVVASTPDLARDFLKTNELAFSSRKHSLAIDHITYGVAFAFAPYGPYWKFVKKLSTVELLGNQNLGHFLPIRTHEIHELLRTLMEKSKRNEVVNLTEEFLKLTNNVICQMMMSIRCSGTNDEADEAKNLVREVTMIFGEFNVSDFIWFCKNIDLQGFKKRYEDTHRRYDALLEKIICEREEKRRSEGKGEDNKGKDFLDMLLDVLEDGKAEIKITRDHIKALILDFFTAATDTTAISIEWTLVELINNPKVLEKARKEIDQVIGNKRVVQESDAPNLPYIQAIIKETLRLHPPIPMLIRKSIKDVIVQGYEIPSGTMLFVNIWSIGRNSKYWENPLDFNPQRFLEGETLKSSLDIKGQSFQLLPFGTGRRGCPGINLAMRELPVVVAALIQCFEWNVNGDKEPLSTNERAGLTAPRAVDFICVPSLREDSPQII >cds-PLY73921.1 pep primary_assembly:Lsat_Salinas_v7:3:39796588:39799673:1 gene:gene-LSAT_3X29680 transcript:rna-gnl|WGS:NBSK|LSAT_3X29680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKYGQESASEVTNDGELGIASFTDDDDDDDDADDDSKHSSYKNDKDSMEGTKQGNGKHPVLPLGMESTSKHPERSMTSVRKEPASPFLQSSFSTMGSQNKTGEPKTRMRILEPKNGSHGVQEDKEGNPPPVITNSVSKVASLHEQSGNSEATKEDMSEPESGQGEEKKQFSEDNLVGKFLASRKQDKLRSNTLVSSRKQPGGQGQVQGQGSNKLKHLKSVQIPKSGGISGNGIPPASPNRDKDKGVKSELSGVSKSEERSRIEMLEEELRETAAIEAGLYSIVAEHGSSTNKVHAPARRLSRFYLHSCKQNLQERRVNAAKAIVSGLVLVSKSCGNDVPRLTFWLSNSVMLRGIVSQSVGDSHSKNKHGSMKVPDNWRDPQTFIVALEKVEAWMFSRIVESVWWQTLTPHMQASSTKMMGLNSKKTSGSKNGLGNQQGNLSVELWKKAFKDACERLCPTRAGGHECGCLPILPRLVMEQLVGRLDVAMFNAILRESEEDMPTDPLSDPIGDLRVLPIPAGRSSFGAGAQLKNTIGTWSRWLTDLFGIEDNDSHEAESDDVVDNKFDTNFKAFRLLHALSDLMMLPFEMLGDKSIRKEVCPTFSTPLIRRVVCSFVPDEFSPNPIPNSLIEVLDAEIQDEEIEAFDGSHSQIHFPCIAASSTYTPPPSHSLSGVINMEGTQSLRRSSSSVSVLKKSYTSDDELDELDSPLTSIITDSSRVSSSSKGLQWVPKGGRSIARYQLLREVWKDGE >cds-PLY89877.1 pep primary_assembly:Lsat_Salinas_v7:3:252236446:252243354:-1 gene:gene-LSAT_3X139220 transcript:rna-gnl|WGS:NBSK|LSAT_3X139220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMIGDSNMIMMTQKKKKKSKERSLKHEEKEKSAMLSKSLETLDKYKLQEDAYSLMWSSSNLGQVETMREKRRREVQFAKTGLVLQHSDWPSKRRCNESDSSVIHSSDQVDNENVSTQPMNTDIEIPNISPPPSPEAPSTKGAAELDPKSVVDHEHTNPSMTEDHEDSLATTSHRRSMLEEVDAKPKGVAPSRPKHVVVGVSRPKEVERNRMDLPIVMMEQEIMEAIYENISVIICGETGCGKTTQVPQFLYEAGFGSKSSGIIGVTQPRRVAVLATARRVAFELGLGLGKEVGFQVRHDKRIGDNCSIKFMTDGILLRELQNDFLLKRYSTIILDEAHERSLNTDILTGMLSRIIVERLKIHEQQKAYEASTGKTISPEKKIFPLRLILMSATMRVEEFVSGGKSKIFANPPPVIQVPSRQYPVTTHFSKRTDVVDYIGQAYKKILSIHKRLPSGGILVFVTGQREVEYLCRKLRKASLQITNNSNSNLNLNNKVVVSQDFDLKEIDEAFKMEEQTDNDEGDDDCDLSDDDDDESDEESDLDSVNDNDVLGENENFASLKAAFEALSGKKTSCESDPKQSDSEKEKEKEKEKKDDGSRKGPGGLSVLPLYAMLPGSAQLRVFEDMKEGERLVVVATNVAETSLTIPGIKYVVDTGREKVKNYNPSNGMETYEVQWISKASAAQRAGRAGRTAPGHCYRLYSSAVFNNIFPDFSTAEILKIPVDGVVLLMKSMGIKEITKFPFPTPPEAKALVEAEVCLKSLEALDCDEKLTPLGKAMSHYPMSPRHSRMLLTVIQILNNKGRGSSTRQNLILGYALAAAAALSLSNPFVMQFEGGDENSSENVIINKDEKKKKKKLKEASKTSRAKFSNPTSDALTVAYALQCFEQSENQLQFCEEHSLHLKTMEEMSKLRKQLLHLVFNQKPCDTDTQSQSQSDLLWNHSSIEDVEHAWMVSTNSNNKHPLMLNEEELLGQAICAGWADRVARRVRGISGLSEEDRKVSATRYEAHKVNETVFLHRWSSVSRTAPEFLVFSELVERKRAYIHGATRVKPEWLARFSTYLCSFSAPLTEPKPYYNPQTDQVYNWVSTSFGDHLWQLPLHSLPVKDERVEVRVSVFCYSLLEGIVLPCVKRVRESMAAAPSSVLKPETAGHKRFGKIIYELKKRNIHSCDMLRKAWDENRRILYQSLLEWFREGFEFDDLWEVMQREVRLDPQERFAKTKKKSMLKR >cds-PLY89082.1 pep primary_assembly:Lsat_Salinas_v7:9:28796768:28797064:1 gene:gene-LSAT_9X25380 transcript:rna-gnl|WGS:NBSK|LSAT_9X25380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMPTYDEISRAEEIYNWTTSKEPMPLTRPFNSGLGFRDSGGSEDDRWKRGVVPRDGDQERPTERRRLVLDPPKGESAPVEPSAHTNKLSPFGAARPRG >cds-PLY65513.1 pep primary_assembly:Lsat_Salinas_v7:3:1383502:1390962:-1 gene:gene-LSAT_3X1661 transcript:rna-gnl|WGS:NBSK|LSAT_3X1661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVLPVIDLSSSDRISTANSIRQACMEYGFFYLVNHGVEEELLESVFDESRKFFSLPLEEKMKLGRKDDVGFAPIYAENLDSSTTSKGDLKETFHIGPLEGEESHLNLWPSTEILPCWRFLMEKYYKKVLSTGERLSTLIALALNLEDDFFKKIGALDKPYGFLRLLHYPGLNEAAGEIEEEEVVYGASAHSDYGMITLLATDGVPGLQVCKEKHKQPRTWENVKYIYIYXAFIVNLGDMMERWTNCLFRSTLHRVMPTGKERYSMAFFLDPNPDCMVECLKSCCTESSPPRFPPVRSGDYLRDRITAAYSYS >cds-PLY72436.1 pep primary_assembly:Lsat_Salinas_v7:2:139863653:139869480:-1 gene:gene-LSAT_2X68241 transcript:rna-gnl|WGS:NBSK|LSAT_2X68241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSEIQQKTELEEREDERLRKAAVEEDEVEVEDVSDHGMLGKRRRSVFKLLAWDIGFESSTHRGFNREKDGIPQGFYIHPRDRWYRAWEKFILLWAVYSSFFTPMEFGFFRGLPNHLYFLDIFGQVAFFIDVFFQFFVAFRDSQTYKMASGEKEEVRCLILIRLVRARKVLEFFSKLEKDIRVNYLFSRILKLIVVELYCTHTAACILYYLATTLPAAEEGYTWIGSLTLGDYSYTNFREIDLWKRYITSLYFSIVTMATVGYGDIHPVNLREMIFVMFYVSFDMVLGAYLIGNITALIVKGSKTERYRDRMKDLLKYIDRNGLGRNISNEIKNHLRLQYDSNYEDSSIIQNLPSSIRAKISETLYKSYIEKVSLFRGCSLEFINHIVTRVQEEFFLPGEVIMEHGIVADQLYFVCHGNLEEVVICEDGSEEIVSVLKPHDSFGDVSILCNVSQSYTVRVRDLSRLLRIDKQSFSNILDIYFHDAQKILNNLREGKESDAQMKHLVKDITSHIRMQEAELALKVNNSAYNGDLSQLKSLIRAGADPNKKDYDGRSPLHLAASKGHENITNFLIQQEVEVNISDNYGNTPLLEAIKRGHDKIASLLINHGASLKIDDAGSFLCLSVARGDIDLIRRMLSNGIDPNSKDYDFRTPLHVATSQGSYIIAKLLVEAGANVLSKDRWGNTPLDEARLTGNKVLMKLLKEAKSFRLSEFPSSSQETTVIDKTTQKKCTVYPFQPWEPRDQNKHGVVLWVPDTIDELMKTATDHLKSDLPPTSCIVTEDAGKILDVNMITDGQKLYLVTIEA >cds-PLY75195.1 pep primary_assembly:Lsat_Salinas_v7:2:203337842:203339791:-1 gene:gene-LSAT_2X123620 transcript:rna-gnl|WGS:NBSK|LSAT_2X123620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDQNPKIEMKKSGDFDEKDAQDAYPTKQNPTFHDVEQEDYDMDDDEGDESSQEVDHDSTGGSGLKNGSTSSNSTVEETSDKKASGSVTGSVRPYVRSKNPRLRWTPDLHLRFVHAIERLGGQERATPKLVLQLMNIKGLSISHVKSHLQMYRSKKIDDPDQEQGLLSEGDDHHTYNLSQLPMLQSYNRRSLSNLRYQDGLWSPQTNLNYNASMTGLNHGVYGSLAEKILATNNIRNCHSSINQDHDRWRSFRSNSIEEFQIGLFKNPYGLSMANQIRRTTQMDTNVMVRDQDQEKRLLKRKEIDQESEHLDLNLSLKVRNPREVKHTNSIKKNDEFDENNLSLSLVLPTSTSNSSSIKRSKHAKTMGGCSASLDLTL >cds-PLY68179.1 pep primary_assembly:Lsat_Salinas_v7:8:119264868:119265381:-1 gene:gene-LSAT_8X83181 transcript:rna-gnl|WGS:NBSK|LSAT_8X83181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSNDFDLLNPPAELEKKMHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >cds-PLY94343.1 pep primary_assembly:Lsat_Salinas_v7:7:165262692:165263738:1 gene:gene-LSAT_7X97461 transcript:rna-gnl|WGS:NBSK|LSAT_7X97461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRKKTHDDASGVRMKRIKTCDNGRVASWSDLNHDLLNLVMMKLGVIDFLAFSRVCKSWRLLALCNRNKFIVSRPPMSVSIHSEAKEKGFYYLKDFEGRTLRIILPHSAMKRWCVGVTCGYLVFYGQEVGDFWLVNPITGHELHFHGVPRGYDCCPGDKEPFLVFSSSISEWVLVVVTACSKDKIWFCRAGKRGWRYVSSPFPAINDVRAFKGKIYTIHSASKSDEVELCELKLYPKRKLVLLETKKSPKPNFKYPGFVSSCENLYVIDRGSKKHPYKIHEIDLDQMKLVSREKKAEEYALFLIEFSSGYLPPQHGRYVVFDKNGKGGSFHAKIWYLFFDCFNVDLIQ >cds-PLY98951.1 pep primary_assembly:Lsat_Salinas_v7:7:49732524:49734115:-1 gene:gene-LSAT_7X34620 transcript:rna-gnl|WGS:NBSK|LSAT_7X34620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEITSIPFLNSEEYSYFYSFFQDTDTDNGNSLNKRRKLDEFDEESNSLDEILNTIEVLNDHEIPTSKFEPPTSKSETPNWDFENPGSEIADCDRGRVRKTRNPTPEVPTEDGGRGGGHQRRLWVKERSKGWWKYHNSDQCPDDEFRKAFRMSKSTFNMICDELDSVINKKDTMLRMAIPVRHRVAVCIYRLATGDPLRTVSTLFGLGISTCHKLVLEVCAAIGTVLMPKFVQWPDQERVKEIKTEFRSISGIPDVCGSIYTTHISIIAPKTSPEAYFNKKHTDRNQKPSYSTTVQGVVDPRGVFTDICIGYPGSMPDERILEKSALSHRANMGLLKNTWVVGGSGYPLKDWMLVPYTHQNLTWSQHSFNQRVGELTKIGKEAFMRLKGRWGCLQKRSEVKLQELPMVLGACCVLHNICEMNGEVMDVDLRFDLYDDEVVLGEGSRDILVKSLQARDSIAHNLLHGV >cds-PLY69882.1 pep primary_assembly:Lsat_Salinas_v7:4:70467392:70467595:-1 gene:gene-LSAT_4X49300 transcript:rna-gnl|WGS:NBSK|LSAT_4X49300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRDYDAMSSELDVSYSSGLACVMESQSDFHDASTLCPGFIHKWQMDFFFREYVPRATLDNPELEPL >cds-PLY72931.1 pep primary_assembly:Lsat_Salinas_v7:1:90937295:90939302:-1 gene:gene-LSAT_1X76260 transcript:rna-gnl|WGS:NBSK|LSAT_1X76260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHAKLKRFFVSTPSPITHRVEAMRQKRKQSIVNYGYEPSADEEEDSYEKSETERAVPVEIFRRRNRGKRRLKKNGCNLQDPLVVCGCDIMLTILSFLDAHSVASALVVSRRWRRVACSDTIWSEKSRIVKEDLCDHAWEFHFKESVWRNLDPYWRGTGQPMHRYFHEDGSQTANVDDRVWGGNECCYSIVASFLADGNIRKHYVSVNSWPRLSISRRRDWGWEMSNRFYFYSSVPDAYKPGGTGSSHPVH >cds-PLY95140.1 pep primary_assembly:Lsat_Salinas_v7:1:94003988:94004344:-1 gene:gene-LSAT_1X77861 transcript:rna-gnl|WGS:NBSK|LSAT_1X77861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVTSDRKTLVVFDPPRKGTRTEAEIEGVQWWLRKSQGGAEGGTSVLVFGIIRSQEKQQSKEVTWWSELTAAAAVEGIDVCLD >cds-PLY81539.1 pep primary_assembly:Lsat_Salinas_v7:2:126480843:126482457:1 gene:gene-LSAT_2X58980 transcript:rna-gnl|WGS:NBSK|LSAT_2X58980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPCFTSLQPPSTSPLRIKTRGHVTRVAAAASAAGVLDDSTSEFEKRPKGWFWFRKWDSEDVASICWFVGIHVLAACAPFMLDSGAIRVAVVLGLLSGFGVTLGYHRLLCHRSFKLPKWLEYFFAYCGAHAFQRDPMFYVNTHVAHHKYTDTDRDPVAPIRGFWYSNLGWFCNNDYVASKCGEPKGGEYSKVSELKAQWFYRFLHDTYFWHPAALAALLYLRGGFSYLAWAMGMRAVVTHHFASLASSVSHKWGERPWDCPDTSTNNWWVAMLTLGEGWHNNHHAFQRSARHGFEWWQLDLTWELIRFLQFVGLATDVKLVSEADKRRMSLKWAKLKQKKNETVKQEQGNPREVSV >cds-PLY72732.1 pep primary_assembly:Lsat_Salinas_v7:4:372219133:372223457:1 gene:gene-LSAT_4X183140 transcript:rna-gnl|WGS:NBSK|LSAT_4X183140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTEPTPAPRYAPEDPSLPKPWKGLVDGATGYHYYWNPVTNVTQYEKPSAGSKGSSQQQKSSSVAVSSSVQVQQSSKQENGFIDDDRYGKSSNGGSKLSSGTRGYESTRTGSYAPNGTVAAGPGGSGLSPDAYRKRHEITVTGADVPPPFTSFEDTGFPSELLRELQEGAKCRTLCCHPILLKRGCIALHIIWCNVEYSYTVDQSSEKGFPNHPWSWMVVILFASLVRLKEEASSFWKLPESYWILPDAMKSDIFKEVAGSLVLFQVLEAGFTSPTPIQAQSWPVALQSRDIVAIAKTGSGKTLGYLIPGFIHLKRVYKNRQMGPTVLVLSPTRELATQIQAEAIKFGKSSKILCTCLYGGAPKGPQLRELDRGTDIVVATPGRLNDIIEMRRINLSQVTYLVLDEADRMLDMGFEPQIRKIVNMVPTRRQTLMYTATWPKEVRKIAADLLVNPIQVNIGNVDELVANKAITQHIEVLAPMEKHKRLEQILRSQEPRAKIIIFCSTKKMCDQLARNLTRQFSAAAIHGDKSQGERDYVLNQFRSGRSPVLVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGEAYTFFGDQDSKHASELVKLLEGSNQRVPVEIRNMAARGGGKGARFNRWGSGSSFGGGGGRGGGGGGFGGRSSWGGGGGGRDSNNRIGGGGYNNNNNNSHKRFDESNVGGGGGGRARSRSRSPERFGLGLAPKNGFRPRFRAPGADEEEEGMIRE >cds-PLY87281.1 pep primary_assembly:Lsat_Salinas_v7:2:170430469:170432094:1 gene:gene-LSAT_2X94200 transcript:rna-gnl|WGS:NBSK|LSAT_2X94200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTFLPLILLLSSLSLLTIASSSSSQKNPESVVEEVNRSINGSRRNLGYLSCGTGNPIDDCWRCDPNWATNRQRLADCAIGFGKDAIGGKNGRIYVVTDPRNDNPVNPVPGTLRYGVIQDEPLWIIFQREMVIQLRQELVMNSFKTIDGRGTNVHIGNGPCITIHDATNIIIHGIHIHDCEQAGNGNIRNSPHHSGWWTQSDGDGITIESSKHIWIDHCSLSNCHDGLIDVIHGSTAITISNNYMTHHDKVMLLGHSDSYTQDKQMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTIYSQGNRFTAPNIRFRKQVTKHENAKESEWKNWNWRSEGDLMLNGAYFLESGKGAASSYARASSLSGRPSSLVGAMTRTAGALACWKGSQC >cds-PLY70066.1 pep primary_assembly:Lsat_Salinas_v7:8:114050420:114052052:1 gene:gene-LSAT_8X76421 transcript:rna-gnl|WGS:NBSK|LSAT_8X76421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSTPHRHPSNRPTIRTSVSWLLLSLFFVYILYYSTVLFEPAPTATNSGNHFSTTENLNSNTTTSESVLRFDTELKHIAFGIAASSRLWRSRKEYLKLWWRRGETRGVVWLDKRVKTTENENLPDIHISGDTSKFPYTNPDGFRSAIRISRVVSETLRLGMENVRWFVMGDDDTVFVVENLVRILSKYDHNQFYYIGTTSESHFQNILFSYGMAYGGGGFAISYPLAVELEKMQDRCLQRYPGYYGSDDRMHACMAELNIPLTKEPGFHQYDVHGNLLGLLSAHPVTPLVSLHHLDFVDPIFPGMPRPLGIKHLLESAKFDSASVIQQSICYEKKREWSILVSWGFAVQIVRGILSPRELETPTRTFLNWHKKLDYTAYAFNTRPFARNPCQKPFVYYMSSTRYDKERGRIIGIYTLHKERYPFCKWKMESPETIDTIVVLKKEDSLRWTRAPRKDCCRVLPANKKGILYLWVGNCHENEVVEL >cds-PLY72315.1 pep primary_assembly:Lsat_Salinas_v7:4:60602214:60603632:-1 gene:gene-LSAT_4X42200 transcript:rna-gnl|WGS:NBSK|LSAT_4X42200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGRISSITTTITPQSHSSCSPAAILPLRLHKTRTNHASLRCSNSSFFNPIRITPIITTKSLSRRPSSIQMSMEAGVGLMGTKLGMMTYFESTGKVVPVTVIGFREGNLVTQVKTEDTDGYNAVQVGYRRVRDKKLTKPEMGHLEKSGIIPLRHLQEFRLQSVDGFEPNQKLVLEELFKEGDLVDVSGTTIGKGFQGGIKRYNFKRGPMSHGSKSHRALGSIGAGTTPGRVYPGKKMPGRMGGTKTKIRKLKVVKIDTELRVVMIKGAVPGKPGNLIRITPAKIVGKNIPKS >cds-PLY70799.1 pep primary_assembly:Lsat_Salinas_v7:5:24737763:24756260:1 gene:gene-LSAT_5X11641 transcript:rna-gnl|WGS:NBSK|LSAT_5X11641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSMASSNSVNLVQCSYSQKSHHIHTTKKNLSRVGFLASDMQNRIRILRQSVKVKASVGSFDKGVETVNGKKVNGVRLGDQREKISSKDCSFEEPDDRGVLLGRFVENRFVYRQTFAIRSYEIGPDKTATMETLMNLLQETALNHVTSAGLAGNGFGATHEMSLRKLIWVVTRIHVQVEKYSSWGDVVEIDTWVNAAGKNGMRRDWIIRDFVTQKIITRATSTWVIMNRETRRLSKIPDEVRNEVTPFYLNRDAIATEKIDHEKIEKLTDETAARIRHGLAPSWSDMDANQHVNNVKYIGWILESVPIKVLEEYYMGSITLEYRRECRQSDMLDSLTSMKTRLLKQDKKIPISTTLNACGPTPNARGITSTPNLECTHLVRMQEDNVEIVRARTVWISKQ >cds-PLY73398.1 pep primary_assembly:Lsat_Salinas_v7:9:116723929:116725461:1 gene:gene-LSAT_9X78721 transcript:rna-gnl|WGS:NBSK|LSAT_9X78721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNEHFKKHWQNYVKTWFNQPARKTRRCNVRQAKAVKVFPRPAGSLRPQVHCQTLKYNMKLREGRGFSLEELKGAGIPKKLAPTIGIAVDHRRRNRSLEGLQANVQRLKTFKAKLVIFPRRTRKTKAGDSTPEELANATQVHGQVLPIVREKPVVELVKITEEMKSFNAYAKLRVERTNKRHLGARLKRAAEAEKEEKK >cds-PLY78775.1 pep primary_assembly:Lsat_Salinas_v7:8:59948916:59949248:-1 gene:gene-LSAT_8X43381 transcript:rna-gnl|WGS:NBSK|LSAT_8X43381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQVVPAMLTSMVFEVEPMEDEEEDYTLETPEYINSYYEPEDEEEDTATSVEISPPPKPSYRSYRSHITGTQVNTTLRKTRIIPSKKRRAYTPSFTPPTKKPYRDYSWMS >cds-PLY81655.1 pep primary_assembly:Lsat_Salinas_v7:2:24509356:24509832:1 gene:gene-LSAT_2X11780 transcript:rna-gnl|WGS:NBSK|LSAT_2X11780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYFLQSQEDRFQSLVEGIEKKQAERLAAHSKRFYYAIQKHREVAKERYDIFVEQVTKMKEYMELKVVEIKSKMSKEVQIMEQNYTFLHGKLDVIVISITKLVESNNRYLNKIEWKSEKDSQVFEKMEEFLSSIKESISKDAISNQSTISQDSISQLI >cds-PLY87600.1 pep primary_assembly:Lsat_Salinas_v7:8:111911041:111913411:-1 gene:gene-LSAT_8X77480 transcript:rna-gnl|WGS:NBSK|LSAT_8X77480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNTIEDAKKPIVATVKGLALGGGLELAMGCHACVAAPRAQLGLPELSLGVISGFGGTQRLPRLLGLSKAIDMMLTSKPILYEEWENLGLIDAIVPPQELLKVAKKWALDIVEARKPWARSFHRTDKIGSLSEAHTSKGLVHILFAQRTISKEVNSKYLQKGIKTTKANVKGLVARKKLPQGQGEKALSLVNAVLNYSQFKDVDMVIEFSSRDATTGDS >cds-PLY98469.1 pep primary_assembly:Lsat_Salinas_v7:4:248129420:248130647:1 gene:gene-LSAT_4X133000 transcript:rna-gnl|WGS:NBSK|LSAT_4X133000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGVYLKPNEPYTLHYDDDDTVPKRLRITQATLGDATRNSPARSIVRCSIGDKPTIVICSLSVKEMTCCQLDLEFEEPQTVILSVMGPRGVYLAGYLIVPPPHPPTSHHHALCHEGKEKALMENAGCRDAHELDSNKTDSTTVEIRDINHCDKNLKGGKRFLKCYSNKEIGKDDNQDSSFGSHKKYITRQQVPRSSDEDGENSSALKSFSVFARLLEEKRYLVRFLDHQYIWF >cds-PLY70477.1 pep primary_assembly:Lsat_Salinas_v7:1:73038979:73040718:-1 gene:gene-LSAT_1X64520 transcript:rna-gnl|WGS:NBSK|LSAT_1X64520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEPKVLIKSITAVARRNGGCRGSETYRRLAVQVIRGRWFSLFASFLIMAGAGATYLFGIYSKDIKTTLGYDQTTLNLIGFFKDLGANIGVISGLIAEVTPTWCLLLIGAAVNFTGYFMIWLSVTHRLPQPRIWQMCLYMCIAANAQNFENTGVLVTTLRNFPENRGVVLGLLKGFTGLSGAIMAQIYLAVYGDDSKALILLIAWLPAALSVVFVYTIREINDVKTKPSNELRVFYHFLYISIVLALFIMGITIAQKLVTFSPVAYAGSSTAVCFLLFSPLFISIKQELAILKNTNQESIIVSEVETQNLDHEQEHSKPSCFEYVFLNKPERGEDYSILQALLSTDMMILYVATFCGLGTSFTAVDNLGQIGESLGYPRKSISTFVSLLSIWNYFGRIFAGFVSEHLLVTYKFPRPLMMTLVLLLSCMGHLLIAFPFTGSVYIASIIIGFSFGAQLPLLFAIVSELFGLKHFTTLFNCAQLGSPLGSYVLNVRVTGPMYDREAMKVLERRGLDRSVVKELVCIGKQCYRRSFMVLAGVCCFGALATLVLVARTREFYNGDVYSKFREEKEMRKTQDSSL >cds-PLY93976.1 pep primary_assembly:Lsat_Salinas_v7:8:234528139:234528711:1 gene:gene-LSAT_8X142500 transcript:rna-gnl|WGS:NBSK|LSAT_8X142500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTILTLGNALNQGTTRGAAVGLRLDSLLLLGSDWVLVDKLPELLDFSKDLDSLEPASKVQLKYLAEEMQAISKGLEKVVQELSMAENDGPVS >cds-PLY78547.1 pep primary_assembly:Lsat_Salinas_v7:1:104945110:104946406:1 gene:gene-LSAT_1X82780 transcript:rna-gnl|WGS:NBSK|LSAT_1X82780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSTSPPPLLTTAGTTDPAISQLYNNGGVASNDYVSQQQQPHSAYVSTSSTSSSIIIVIIVIASAIIISASIYLLLRFISRRCSSSVSSFSTSENVVSDNRNENEAHHHVICFDNEGVESLPLFTFSSLTGNIAGGDCAVCLSKFEAVDQLRLLPLCCHAFHVECIDAWLKSNQTCPLCRSTVNPTEADVLSKVLSVSGRTGTPGGGGNRNNSFRIEIGSISRRQTPSDSGRRSYSIGSYEYILDDGYEVPVESTHRREISDCTSVDKDSTTPEPPGDNLMSEIAASGGSGRLNWLRDYVDRVSVSISSRSHSFRGSGRFFTGSSRRSEMVDDFDATHNRVGEEISELFRWLSGV >cds-PLY80979.1 pep primary_assembly:Lsat_Salinas_v7:9:177245153:177249512:-1 gene:gene-LSAT_9X109280 transcript:rna-gnl|WGS:NBSK|LSAT_9X109280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDCMSSGGEEDYYCSDRDSLDDALENEDSDSQWAPPKGSSSKVITKESLLAAQREDLRRVMELLSLREHHARTLLIHYRWDVEKIFAVLVEKGKDRLFKEAGLPMLHSHDLDPSVSTCTTMCDICMEDLPSSEQTKMDCGHCFCNNCWTEHFIVKINEGQSKRIRCMAHKCYAICDESIIRNLVGKRHPDLVEKFDRFLLESYIEDNKMVKWCPSTPHCGNAIRVEEDEFCEVECSCGNQFCFSCLCEAHSPCSCAMWALWTKKCKDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGRDHTWSNITGHSCGRYKEDREKKSERAKRDLYRYMHYHNRYKAHTDSFKQESRLKETIKEKVRILEEKDSRLRDFSWVTNGLYRLFRSRRALSYSYPFAFYMFGEELFKDEMTKEDMEIKQHLFEDQQQQLETNVEKLSKFIEEPFDQYPEDRIMEIRMQVINLSVITDTLCKKMYECIETDLLGSLQFGIHNIAPYYSKGIEKATELSIAFHANSCNNDKRQKLHDPTTTNGGTSEESDRPSGSGSSEESGFSAQKRARKDGHGRGMFDLNLPADV >cds-PLY72605.1 pep primary_assembly:Lsat_Salinas_v7:5:44587503:44589402:1 gene:gene-LSAT_5X21680 transcript:rna-gnl|WGS:NBSK|LSAT_5X21680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLLRSSPFFSSPQQRNPRTINKSPRFITIKATISSSDKSVDTTPVIKSHRRPADENIRQEAHRRCSTEPNNFSAKYVPFNADPGCTESYSLDEIVYRSRSGGLLDVQHDMEALKEFDGNYWKNLFDGRIGKTNWPYGSGVWSKKEWVLPEIDSDDIVSAFEGNSNMFWAERYGKQHLGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMNRPVVGVGCASTGDTSAALSAYCASAGIPSIVFLPANKISMAQLVQPIANGAFVLSLDTDFDGCMQLVREVTSELPIYLANSLNSLRIEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFQMCKELGLVDRIPRLVCAQAANANPLYLHYKSGWTDFSPVKAKTTFASAIQIGDPVSIDRAVYALQNSNGIVEEATEEELMDAMAQADSTGMFICPHTGVALTALDKLRNSGVIRPTDRTVVVSTAHGLKFTQSKIDYHSKAIPEMACSLANPPVNVKADFGSVMDVLKKYLLSKESKN >cds-PLY99708.1 pep primary_assembly:Lsat_Salinas_v7:9:55958414:55960985:-1 gene:gene-LSAT_9X47341 transcript:rna-gnl|WGS:NBSK|LSAT_9X47341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSVSSSATKSQSRCPLQEQFLQRKNSRENLDRFIPNRSAMDFDYAHYMLTEAKKGKENPMASSPSKEAYRKHLAESFNMNRTRILAFKNKPPTPMDAIPSDCSTSVQHSKPAKARRYIPQTSERTLDAPDLVDDYYLNLLDWGSSNVLAIALGSTVYLWDATDGNTSELVTVEDEAGPVTSVKWAPDGRHISVGLNNSDVQLWDSTSNRLLRTLKGCHQSRVGALDWNNHILTTGGMDGQIVNNDVRIRSHIVDTYSGHHQEVCGLKWSASGQQLASGGNDNLLHIWDRSTASSNSPTQWLHRLEDHTAAVKALAWCPFQGNLLASGGGGGDKCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWNVFGSPEVAAKAAPKAAPEPFAHLNRIR >cds-PLY80219.1 pep primary_assembly:Lsat_Salinas_v7:9:191804396:191806582:1 gene:gene-LSAT_9X118160 transcript:rna-gnl|WGS:NBSK|LSAT_9X118160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGPTGRHPSTEGFVPDHRLSTPTPVDPLKFNVGGGGTRRDSEGVFSGSRPSSCYSGVNHRRSASAVVIKTRSNQSHAISAINSYLSEHSFPISFKLKSLPTSKDINDTLKFVLTRLDYLPSNKMEDDLLMVLKYLNCPIKISKSALKSPGTDHVFPTVLAILHWLLQIAMYNEHLEKSFLEENIKMKLEDVKDLEAKLESIKSGPSLRESKEEEQRMLEKDIKKFNELIQQLQTHVVAMEKLMEEKEKELGIKTEDRIRMCEENEELKKKVEEQGMNMREAERLKRELQSVERDIGKAEIERNKWEEKYWDLDAAMGTNLKELEALQIECNQTIQRLKLGNDFQYDLNAKGLTPVEVLGMDYKSTLKPALKSASDDVKKSSMENLESLISLQQLSRDINAKIDAKRNRILVLKSQIEEKETQDYTSQCAMEARKLVEKFKAKSYKVDVVEKEARELVESSKVKLKETMMRNEEEVEMCGDELLALVDSVSKCKEFMVSKISKMKNEVTETGVDVAQVHKASLKTCL >cds-PLY71763.1 pep primary_assembly:Lsat_Salinas_v7:3:45112580:45118961:-1 gene:gene-LSAT_3X33580 transcript:rna-gnl|WGS:NBSK|LSAT_3X33580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNPAAALNLRLRSCSSTELLPLLLFCLVLSLSFSTHSVRSKSDTSNREEPVQWQILTKNNFSSQIRLNPHILLIVTVPWSGESRSLMKELAHIVSKKKEEFTSLKLMLVHRNHDKMLADALGATMEINIIFYRHSLPYKYRGTHRVQSILSSARYLMSLLPEEIPLKSLSTTEDLTTFLKSTDKALLVLEFCGWTPKLMAKVMNNRSENAFGVSLGAGLYGENSAPSSADGIKNQGEGNEKIPCDELSGLPGLDEFAPLNESDFLEAEKMRSSDEASCGFEEFQLFESSLYNFTTSVRDFFLPPERLKFGLVSERSLISSLGVVGDTSSWLMMLYSAGCPNCAKIFKEGSDFKRIIQNNESPVMELKGDEYDFDPGLPSDRPSVLLFIDRSSDSLKIRRKSTESLTIFRELALDNHTPSKMNAQNIVNPHKPFIVSQHPKLKMSTSSKVSALKDKIYIMAMKEGKHITFDDVASNLQGSSLQEVLAQVLQKKKETKLSSLAKDVGFQLLSDDIDITMSETDVQSDDDKLEELSIKDVDLPGFNSSFFFVDGQFRLLEGLSGVLKIPSLVIIDPLSHQHYVYPEEADFSYSSLSTFLHKFLNGSLVPYQQSKSVVPDSKEAPRPPFVNQDFHEVDSIPRVSALTFMELVVGNGSHSGSHENAWKKDVLVLFTSSWCGFCLRAELVVREVYQAFKGYGNIVKSQFKSSSRNDDINNTVLKLPVIYMMDCTENDCSSLLQSSAKRDLYPSLLLYPAERKEAISYDGETSVYNIIKFIADQGGDSHWIYKERGILWTEAEQGAWNEKPFKDPSEPVTTYEDISLSKESNEILLKDRSYSYTHMSDTKNNIGPHTPYDPTKSVQEILPGSILVATQKLQSIYPFAKSKILIVKVNTTTGFQGLIINKHISWDTITQLEEGLDSLKEAPLSYGGPVIARELPLVSLTRESSGNNEHPEVLPDIYFLDQWATINLIQNLKLHNRSMTDYWFFVGYSSWGWTQLFDEIADGSWNIISGTDHKFVWPMT >cds-PLY74331.1 pep primary_assembly:Lsat_Salinas_v7:6:762543:763610:-1 gene:gene-LSAT_6X1020 transcript:rna-gnl|WGS:NBSK|LSAT_6X1020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITACAHNEDCNAAIELFRDMKRNNFQPDNYTYTSMLSGLSLIAEHELHCQQLHSEIVKSGTGFVTSVVNSLISLYIRCSSSPFVTPSLLMDAAQKLFDEMPHKDELSWTTIITGYIRNDDLHGASQVFYGMNNEKQVVAWNAMISGYMHKGFVSESLEMSKKMNLLGIKFDAFTYTSILSACANAKLFLHGKQIHAYMIRTVINPSHHFLYSVNNALITLYWKCGKTDDARKIFDRMPIRDLISWNNILSAYVDVGRIEEARLVFTKMPEKNHVTWSVMISGFAQNGSREEGLKFFNQMKSTECQPCDYSLAGAIKSCAAIKSLNHGRQLHVQLVQFGFELILSSSISLIIMYT >cds-PLY98663.1 pep primary_assembly:Lsat_Salinas_v7:5:303243863:303244054:-1 gene:gene-LSAT_5X162901 transcript:rna-gnl|WGS:NBSK|LSAT_5X162901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSIDAAREPIPTSAVLMSVSMHISTRCRGKNVAFLKCKKDDPNPEICLETGRQVTRCVLSL >cds-PLY90096.1 pep primary_assembly:Lsat_Salinas_v7:6:17212942:17216003:-1 gene:gene-LSAT_6X7540 transcript:rna-gnl|WGS:NBSK|LSAT_6X7540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRYVICLLAFSIIAVVHGRELADDLLRLPSEKLDFFDAGKDDSVGTRWAVLLAGSNGYWNYRHQADVCHAYQILRNGGVKEENIVVFMYDDIAYNRENPRQGVIINSPDGDDVYHGVPKDYTGKDVNVDNFFAVLLGDKSKVKGGSGKVVDSGPNDRIFVYYTDHGGPGVLGMPTNPYMYANDLNEVLKQKHASGTYKSLVFYLEACEAGSIFEGLLPQGLNIYATTASGPDESSWGTYCPGEYPSPPLEYDTCLGDLYSVAWMEDCDVHNLRTETIRQQYKLVKERTSSDNSYYGSHVMQYGDLPLSQDSLYLYMGTNPANENFTFTEENSIYKSPKSVNQRDADLLHFWHKFRKAPEGSERKMEAQRKFSEAMSHRIHLDTSVQLIAKVLFGLEKGPQVLNTIRSSGTPLVDDWTCLKTFVRTFETHCGSLSQYGMKHMRSFANLCNAGITNQQMADASSQVCTTFPSNPWSSLTNGFTA >cds-PLY88549.1 pep primary_assembly:Lsat_Salinas_v7:7:8212853:8215663:-1 gene:gene-LSAT_7X7761 transcript:rna-gnl|WGS:NBSK|LSAT_7X7761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYPTFFHIFSSLLTIIYALITISGRHNSRLPPGPYPFPVIGNLLKLSDKPHQSLATLSKRYGPLMSLKLGSRTTIVVSSPDIAKEFFHTHDISFSGRTVPDTARIVDHDKYSIAWLPTGEQWRKLRRIGREYLFSVQRLDDSQLLRGEKVQELLNHVQRCCTNEKAVNIGASAFTTTLNVLSKFIFSVDFAQYDTISSQEFKEAVMALVELAGKPNLADFFPILKPLDPQGLVRKGNVYGKKLLTIFDRIINQRLQLRSSSMSTNIDVLDLLLNVVQKDESIFSRDDMRHFFYALFIAGTDTTSGTLEWAMAELIHNPEKMETARSEIIKLMQNNKGNIQEMHISQLPYLQAIIKETLRLHPPVPFLIPHQALHDVEVQGFIVPKNAQIICNIWAMGRDHNIWPNPKKFMPERFLKVKIDYKGQDYEFIPFGAGRRICPGLNIAHRMLHIMLGSLIHKFEWKLEGNIRAQDMDMEEKFGLTLPRKVPLMAIPIKV >cds-PLY87698.1 pep primary_assembly:Lsat_Salinas_v7:6:45032023:45038699:1 gene:gene-LSAT_6X33480 transcript:rna-gnl|WGS:NBSK|LSAT_6X33480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVRMIGMALLLHIAVNFTDSMFKGVYNGKQCHLPDIAAVLTRAWSAGVDRIIVTGGSLEESREALAIAETDARLFCTVGVHPTRCNEFDESGDPEKHFQALLSLAKVGVEKGKVVAIGECGLDYDRTHFCSPEIQKKYFERQFELAHLMKLPMFLHMRAAAGDFCEILDQNKHRFYGGVAHSFTGTPEDRDKLLAFNNLFIGINGCSLKTPENLDALKGIPLERMMIETDSPYCEIKNTHAGKNFIKSTWPSKKKEKYDQDCLVKGRNEPCLIRQVLEVVGGCKGVADINQLSTTLYHNTCRVFFPHDLDSAANALLSGGQDSK >cds-PLY71933.1 pep primary_assembly:Lsat_Salinas_v7:3:26698768:26701868:-1 gene:gene-LSAT_3X19540 transcript:rna-gnl|WGS:NBSK|LSAT_3X19540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQISGGVLTDVTAVFYPSPTPPCCARSHEFRHVHAFSDSRPLPSLGFLKSSPFPMARGGPESSRTRSCIAKASGSSGHDLVPVAPHQLESPVGQLLEQILQTHPHLLPAAIDQQLENLQNEKDAQKEERPPSSMDLSLYKRIAQVKEKDRQKILEEIMYCWIVHKFVDKEILMIPKISSTSDPSGRVDFWPNQEMKLESVHSAEALEMIQNHVALVLGDRVVGPLQSVVQISKIKLGKLYAASIMYGYFLKRVDERFQLERSMNTLPEGFKEQQSSFADPSVPQSPFWDPDSLIRIQPDYDMEDEGLMGGGGGDDKMYRLRSYVMYLDAETLQRYATIRSKEAISLIEKQTQALFGRPDIKVSEDGSLGASNDEVVNVTFSGLTMLVLEAVAFGSFLWDAENYVESRYQFLKS >cds-PLY76035.1 pep primary_assembly:Lsat_Salinas_v7:5:319248136:319249502:-1 gene:gene-LSAT_5X174901 transcript:rna-gnl|WGS:NBSK|LSAT_5X174901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACAFEQYTLPKLYVKMQYCVSCAIHSKVVRVRSRVDRRNREPPKRFTRPRDDLPKPGQGQAPRAGGAGGPPPVRT >cds-PLY85013.1 pep primary_assembly:Lsat_Salinas_v7:4:224510242:224513592:-1 gene:gene-LSAT_4X123120 transcript:rna-gnl|WGS:NBSK|LSAT_4X123120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIGGHVKIQPRHQKRLGGTRAAMFVYAMIGLENMAFLSMAVSLVTYFYGYMNFSLTKSATTLTNFMGTAFLLSLFGGFISDTYLSRFKTCILFASFEVVGYALLAVQAHFQELRPIPCNASLGSECEPAGSGQEAILFTGLYLIAFGSSGIKGALPSLGADQFDERDPKEAESLASFFNWFLFSVTTGAIFGVTFVVWISSNQGWDWGFTVCSIAVLVAALFLLMGKSTYRSYVPKGESPILRILKVFVVAIRNRNIPIPETTEELHEIHDKEADILQRTNQFRCLDKAAVITTLLDASEQQQSGSWKLCTVTQIEETKILIRMLPIILSTIFMNTCLAQLQTFTIQQSTTMDRNLLGFKVPGPSIPVIPLLFMFILIPIYDRVFVPLVRRVTGIPTGIRHLQRIGIGLILSIISMVVAGIVETHRKNVAIDNNMVDSPGPLPLTVFWLGFQYAIFGVADMFTLVGLLEFFYEESSSGMKSLGTSISWCSLAFGYYLSSIVVEVVNKVSGGWLGSNNLNRDKLNYFYWLLAVLSLVNLGVYLMCANWYKYKIVGVSMSVKQIGEGSDDISERKIEMSNV >cds-PLY68684.1 pep primary_assembly:Lsat_Salinas_v7:7:85414114:85417689:-1 gene:gene-LSAT_7X58381 transcript:rna-gnl|WGS:NBSK|LSAT_7X58381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRELMELMETKVEEKPVSGRSRWSKVEWKWSRDAIVGAEESQWLVIRCNLDVPNEMRRNGACRRENVTKNPADELVAIVNINRTSHKSSSLTNNPGLACIALQYIKAYQGKCDEVGGPDAKKPAESEFPETFAPNCGVEVATLAPITGRVLGCQSKYIKPDKAFTQILTMKSTSLNIIYNTTHTEIGAAVSGSDGGGPYFWCLLFSNGKSNSSFVLEEGEAKITRPGCFSGANDECSGVNGLSESLSFLMIFVGVFVAGCYTILV >cds-PLY85374.1 pep primary_assembly:Lsat_Salinas_v7:5:244182530:244183600:1 gene:gene-LSAT_5X120780 transcript:rna-gnl|WGS:NBSK|LSAT_5X120780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHNRYGRFVKFILFSDAKKWLISLCCAXRFHIIKVEQAILQCLDVVAKAPHWPIGVDALSARCSKDLELNFAKSLLSEMGQCTTAYPYNQLFGALVLKNYERQDATLVSWNSMYIVD >cds-PLY98933.1 pep primary_assembly:Lsat_Salinas_v7:7:48919475:48924602:1 gene:gene-LSAT_7X35381 transcript:rna-gnl|WGS:NBSK|LSAT_7X35381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAPEMPQLIGDMEHDNRSDDDFCNSILSRFSNSTDPQHHHLCSMIGDISQGLKDLNHPLTPLAYFGATCTSLDKLSSSDPNPPSHHIDALISIISMVLPRISSAVLRKESDYVSGLITRIILSNGVTDSVVASGLKCISHLLIVGHRMNWSDVSHLFGVLLGFIADSRPKVRRQSHICLRDVMQGFRETPVLSPASEAIASTFERFLLLAGGSNTNTSEGSRAQEVLYVLDALKDTLPLMSVKFSTKILNYFKSLLALHQSAATRRITDALYLLCLQPTVEVSPEVLMDLLCSLAVSVSSNEMSGDNLTFTARLLDSGMKKAFSLNRQTCVIKLPVVFSAFRDILASEHEEPLVVAMEALKSLIHTCIDDSLIKQGVDQIKTSGRKSGPTIIEKLCATVESLLDYSYAAVWDMSFQVVAAMFDKLGEFSSYFLKATLKSLEDIQKLRDEDLPYRKQLHDCMGMAVIALGPETFLRFLPLNLEAEDISNANVWLFPILKQNIVGARLSFFNESLLDTIRILKLKSAKHEQEGRIHSARSIDGVIYSLWSLLPSFCNYPLDTAESFSDLEKALCHSLRQEPDFCGVICSSLQTLIRQNKRIVDGESQSQPSDNKVSVCEQRAVSRYTLEVATCNLDVLRSSARDILSTLSGIFMKSDKDDGGSLQKTIGEFASIAEKGVVSRFFKTTMQKLLKVTEEAGKAQNTKNSTSMEVDQSSNENTLSQTRVQLYDLAVALLPGLGVKEVDLLFVAIEPALKDTDSSIQKKAYKVLSTILEHADGFIARKLEDLLKLMFEVMHSCHFSAKRHRLDCLYFIIVHVSKDESEQMKREIVASFLTEIVLGLKEANKKTRNRAYDIIVQIGHACVDEDKGGNKENLYSFFNMVAGGLAGETPHMISAAVKGIARLTYEFTDLVSTAFSVLPSAFLLLQRKNREIIKANLGLIKVLVAKSQAEGLQMHMKGMVEALLSWQSTNKSHFKAKVKLLLEMLVKKCGIDAVKEVMPEEHMKLLTNIRKTKERNERKYAANTEETKSRMSKATTSRMSRWNHTKIFSDDETEDGNSDDMHTHAVSGRRSLVNSKNPSIRSKRAGKRLPEDDFDRLEDEPLDLMDREKTRLSLRSSQSLKRKLQSEDEPEIDDDGRLIIREEDTAGGKVKREVAEEYDARSEMSGGNKSRKGGEKRRKVESGWAYTGGEYKSKKAGGDLKRKGKLEPYAYWPLDRKMVSRRPEQRAAARKGMSSVVKMSKMMEGQSVSNALKMKAFKLKKKGKKRHA >cds-PLY91461.1 pep primary_assembly:Lsat_Salinas_v7:MU039433.1:357161:360126:-1 gene:gene-LSAT_0X26241 transcript:rna-gnl|WGS:NBSK|LSAT_0X26241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESHRFLLVFFLLVATSVTDVGFAAPLFIPLPLTPPTPPVGYPPPITPSPIFVPGVPCSTPPPPSPTVSPPVYTPPPVSSPPVPAPAPSISPTPPPSVAVCPPTATPPANPPTPVPTPSIIYPPHPQPPIVTPTPSNPPSIAPSICNSTTPPLPAPILPYPPTNPPSNPPVVTPPPPLTPILPPSPYSPTTPPPNPTPGVAPPPPMTPVVPPSPYSPITPPPSPTPGVPPPPPVSPIVPPSPYSPITPPPSPTPGATPPPPMTPIIPPSPYSPITPPPNPVPGVAPPPPGTPIVPPSPYSPITPPPNPTPGVAPPPPRTPIVPPSPYSPIIPPPNPAPGAPPPPVTPIVPPSPFSPIIPPPNPSPYVAPTPPTTPVVPPSPYSPITPPPNPTPRTTPPPPVTPIIPPSPYSPITPPPNPAPGTTPPPPLTPTVPPSPYTPNPPPFPTPSPGTPRVPPPPYTPNPPSSPSPTPPPGVPPSPMTPTPAPLPYPPNTPPPTIPLTPPCITTPPPPTNSTPLSPNSPKTPPPFIPLPITPPSPNSPKTPPPHFPPTIITPSPSPSIFPPYIPSPSIPPYYPIAASPPPPCTNAPPLIGKPPLNSEECFTSCELRCELDLKQDRCHRSCMACCHRCNCVPPGQYGNKEMCGSCYTDMKTLAGRPMCP >cds-PLY73989.1 pep primary_assembly:Lsat_Salinas_v7:4:217948517:217949968:1 gene:gene-LSAT_4X120681 transcript:rna-gnl|WGS:NBSK|LSAT_4X120681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKHGAICTIKPGVHQALVVSDATIAKDCFTTNDKAFASRPKTEASKIMAYNNAVFGLAPYGDYWRKMRKMVVLEGLSQQRVEMIGHIRASEVRASVKELYDGIITGKWFSPNHEEGVQFQAVVRKFFELMGAFVLADFIPYLNYLDVGGYKKVMKNTWKDLDNIFDRWLKEHKQEIKSIQQHEANQDFMHVLISILRGASEDQFPGFDHDTIIKATSLQILLAAVDTTSVTLTWALSLLLNHPKTLKIAQDEIDEHVGRDGLVEESDLKNLSTSMPSSKKHYDYTQLDLSLFLTSHWTTALWVAITFQKELVFW >cds-PLY77501.1 pep primary_assembly:Lsat_Salinas_v7:4:50420830:50423340:-1 gene:gene-LSAT_4X34441 transcript:rna-gnl|WGS:NBSK|LSAT_4X34441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGETSWVSHCLDDISRSNGDFDSFAEVTDEGNKDNSPVLRVDLILPDDLLERILAYLPIASIFRVGCVCKRWHEIVSSRRFLWNVSPISSQKPWYFMFTSSDDPFGYAYDPVLRKWYEIELPYMVKTSRWFIASSSGLVCFMDNDTRSELYVCNLITKCCFRLREPPNLRFCDYSALAISVDRVSTNYEVTVVKSRQVPDNYFQWDLSIHLYDSVAMTWTTPVSEMLTGWRGGDESVICNGVLYFIIYSTGSFQEHRHGLVCYDLSGRVSGRSLLTRGFVSVPCSLTCGRLMNLKGELVMVGGIGKQDRQDIITGIGIWVLENGKEWKEVGRMPHRFFQGFGELDDVFASSGTDDLIYIQSYGAPALLVFDLKKNQWKWSQKCPVTKRFPLQLFSGFCFEPRLEISP >cds-PLY82264.1 pep primary_assembly:Lsat_Salinas_v7:1:66365754:66366074:-1 gene:gene-LSAT_1X56960 transcript:rna-gnl|WGS:NBSK|LSAT_1X56960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFYEDSTSVGAILILTIFKSLQNPSSSKPTYDFNLFSSKEEEVEEIKVDATNPGNHGNETETNPAEHPSPSEPQDVNDGDDNYNKSESSTSEPGLRMKTSQIRLPF >cds-PLY96740.1 pep primary_assembly:Lsat_Salinas_v7:2:171435439:171438076:1 gene:gene-LSAT_2X92920 transcript:rna-gnl|WGS:NBSK|LSAT_2X92920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEMVGRRRRWRSLCGNIGYGDKSYTPNGFNKSFGGITVNLASFKANGDSTKNGLDLLRGPDGQLPQKLRNIDPRLIEQISNEIMDCNAKVCWDDIAGLHHAKKCVNEMVIWPLLRPDIFKGCRSPGRGLLLFGPPGTGKTMIGKAIAGESKATFFYISASSITSKWVGEGEKLVRALFGVARCHQPAVIFVDEIDSLLSKRDSNSEHAASRPIKTQFLIEMDGFNSGNEQILLIGATNRPQALDEAARRRLTKGFYIPLPSAEARAWIVRNLLNKDGLFKLSTEDIDTICKLTDGSDMTNLVKDASMGPIREILEQGAEITNLKMEDMRSVTLQDFKDALQEVRTSVSQNELGKYEEWNNQFGSLSTSKTM >cds-PLY78081.1 pep primary_assembly:Lsat_Salinas_v7:3:255830163:255830974:-1 gene:gene-LSAT_3X140300 transcript:rna-gnl|WGS:NBSK|LSAT_3X140300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKMEGMYEPHNHHRSKRNKNTTLASCCVATLFLIFIGIALLIVYFLIFKPKTPKITVDAVQLPTFAISNATVNFTFFQFVSVTNPNREAFTHYDSSLQLAYSNGNAPLGFVFIPAGKIDGGHTQHMSAKFSVQSFPLPERPSPSTVTAIGGDGGMEFGVVGPTMEIETRMKLVGSVRVLKIFTHRVESGINCGVAIEVRSGSVLGFHC >cds-PLY78044.1 pep primary_assembly:Lsat_Salinas_v7:4:183182042:183184257:-1 gene:gene-LSAT_4X106901 transcript:rna-gnl|WGS:NBSK|LSAT_4X106901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAARSFLYGGDSGGGGGSGFNSVLVTNKRPPFSSSIPLDSHFVSGSTHSFAGARSMVSFDQEGGNGSGRNFFQTFDQEDNGDDEYDDYFQHPEKKRRLKADQVQFLEKSFETENKLEPDRKIQLAKELGLQPRQIAIWFQNRRARWKTKRLEKDYDVLQESYNELKANYENLLQEKEKLKSEVHDLNDKLLLQEMEKGTSDSSSTKSPCEPLQQEQAADCLNDEDVGVRSDATESSSLQYVDLLERGDSSYLFEQDQSDESLDEEDKLEKMFVTTVSGYMLPKIENGDYPELDVVNSSYLEFPSHGEDEDEDQPFGFWSY >cds-PLY72745.1 pep primary_assembly:Lsat_Salinas_v7:4:372228121:372229157:1 gene:gene-LSAT_4X183160 transcript:rna-gnl|WGS:NBSK|LSAT_4X183160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFIKFYFKYICRLLVQLVKQHGDTKWSKIAEKLPGRIAKQCRDRWQNHLRPDITKDAWSEEEDKLLIAIHKEVGNKWSEIARRLPGRSENTIKNHWNATKRRQLSSRRRGKPKYQSLLQEYIRSVPSSSSSSSSSDDQIQINNIKKQNNDTNVDAQIAPMQMGFSSDVNAMPSFSLEDYNLGAMQGYVPGWSMVNESSFDFDQVSSHLTSHLEFDLKKEMDFLEMLYQ >cds-PLY83354.1 pep primary_assembly:Lsat_Salinas_v7:1:63103470:63106641:-1 gene:gene-LSAT_1X54380 transcript:rna-gnl|WGS:NBSK|LSAT_1X54380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISASNPNSMMSSSSTTGNNNAAGSGQQAPGLKTYFKTPEGRYKLKYEKSHPAGLLHYAHGKSVTQVTLAHLKDKPVHALSSSSSSIGISSGVKSAAAKFLGGGNGSRALSFVGGNGGSKSSSGTTKVGSLSSSYTNSYTPNSNFDGKGTYLIFNVGDAIFISDLNSQDKDPIKSINFSTSNPISHAFDPDAKDGHDLLIGLNSGDVYSVSLRQQLQDGGKKLVGAQHYNKDGCVNNTRCTSIAWVPNGDGTFIVAHADGNMYNKDGSGDPSFPVIKDQNQFSVSHARYSKNPIARWHICQGSINSIAFSSDGAYIAIVGRDGYLRVFDFKTEQLICGGKSYYGALLCCTWSTDGKYILTGGEDDLVQVWSMEERKIVAWGEGHSSWVSGVAFDSYWSAPNSDGTSENVVYRFGSVGQDTQVLLWDLEMEELVVPMRRAPGGSPTMSTGSQSAHWDHAWPPGTLRPAPSMRDVPKLSPLVVHRVHTDPLSGLIFTQESVLTVCREGHIKIWARPTSSTEPNSDSSLSATTLKEKPKLGYRQ >cds-PLY80105.1 pep primary_assembly:Lsat_Salinas_v7:5:146626986:146632466:1 gene:gene-LSAT_5X64200 transcript:rna-gnl|WGS:NBSK|LSAT_5X64200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGRPTIKRRRDASERMGKHTVSKAGKDDDVMVDATDALDRPGDEERTVGVNGWDEGVNVNAGVKHAGQLPLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLPASIADAATHGTNLFYYYTEWTLMLTTIYFLITAGAVMLTDGVYWLLTIVVHSLNLVLVLGDIAMNSLLVVDAFDPRLLVASTVSHVIKFTIVKEEDVYEIDIPLKFTTGTRIHGLACWFDVLFNGRVQRWLTTAPGAPTTHWYQLCCVLSQSIYVKLWFQPLCL >cds-PLY76515.1 pep primary_assembly:Lsat_Salinas_v7:5:12572593:12573273:1 gene:gene-LSAT_5X6920 transcript:rna-gnl|WGS:NBSK|LSAT_5X6920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQQNTILSLQLPFSDREQNTNRRISPISSFSGQSCVNRSHETEAINTTVLLGVLDNGNDAEWFKALAGDWFKEEVENL >cds-PLY92764.1 pep primary_assembly:Lsat_Salinas_v7:8:66833797:66835262:1 gene:gene-LSAT_8X47340 transcript:rna-gnl|WGS:NBSK|LSAT_8X47340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLMSVCLKIDVIYSGSYLLQELLDRMSQPTAHGSARQLFQRLQGPMEEDTLKSHFETTLQKDTQDPKQLQQPHTSHAFALSQVMPNNLNGGPFLTPLELSEPNWELISDAINSTLQFKVKLMEERNMKPLDSNLAALSARCSKDLELNLVKSFLSEMGQCTTAYPYNQLLGALVLKNYERQDATLFSWNLMYRVD >cds-PLY79398.1 pep primary_assembly:Lsat_Salinas_v7:3:79750176:79750955:1 gene:gene-LSAT_3X58380 transcript:rna-gnl|WGS:NBSK|LSAT_3X58380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSNPVRSLSSFVAYLNWVWDFLIHLSFFHHQTVFQMPKSSQYEPEAASRFGNDWTSTDPVECAVCLSAIEEDDEIGVLKCKHLFHQKCLDRCLEHRHMTCPLCRDYLAGPRVVCELGRELIVFSFCGDGGSSDDDFHKLWLR >cds-PLY79901.1 pep primary_assembly:Lsat_Salinas_v7:8:18074526:18077869:-1 gene:gene-LSAT_8X15101 transcript:rna-gnl|WGS:NBSK|LSAT_8X15101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGHRDDVVSNRPRKVYSDDQLAIEIPETAHQISSDSWFQVGFVLTTGINSAYVLGYSGAVMVPLGWVGGVVGLILATAISLYANALIAYLHEFGGKRHIRYRDLAGFIYGPKAYKLTWVLQYINLFMINVGYVILAGQALKAIYVLFRDDNEMKLPYFIAIAGFACGLFAICIPHLSALRIWLGFSTFFSLVYIVVAFSLSLRDGIRAPPRDYGIPGSNINKVFTTIGACASLVFAFNTGMLPEIQATVKQPVVGNMMKALYFQFTVGVVPLYAVAFMGYWAYGNETSAYLLSSVSGPVWVKTFANISAFLQTVIALHIFASPMYEYLDTKYGIKGSPLALKNLSFRVVVRGGYLTVTTLLAAALPFIGDFMSLTGAISTFPLTFILANHMYLVAKRNKLSSLQKSWHWLNVVFFGFMSVAAAVAALRLIAVDSKNYSIFADV >cds-PLY67736.1 pep primary_assembly:Lsat_Salinas_v7:9:166070445:166071087:1 gene:gene-LSAT_9X102801 transcript:rna-gnl|WGS:NBSK|LSAT_9X102801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMARRGGKTKESGSRNKTPKKTPNGKKHKSNAKEDVSLTCDEDFVNLFTHAPNGKQGISQETEDVQEQEHDDNVVKVYGEVEEQQIEEKEAKEGVEDREVEEEEVVGIQVEQEEVEGIAVEEEGVEETEVGAIVLPSRRSYGAYNFHWEKKRKPSKRIRKFKLRKMVEDVDGGGSSKTPWVLK >cds-PLY94733.1 pep primary_assembly:Lsat_Salinas_v7:8:51109033:51110660:1 gene:gene-LSAT_8X38360 transcript:rna-gnl|WGS:NBSK|LSAT_8X38360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLIILLTFTHICHSATINSDNHHFLKLPLLHINPLQSPSQAFSSDSARLSALLSTLHRKPNLPVTSGAYAGAGQYFVTLHLGTPPQKLLLIADTGSDLIWVTCSACRDDCNRTRPPHSAFLARHSSSYCLHHCYDPACKLVPHPRPRIACNHTRLHSPCRYEYSYADGSITNGFFAKETTSFNSSTGELLQHDSLAFGCGFTISGPSVSGPSFNGAQGVMGLGRGTISFVTQLGRRFGNKFSYCLRDYTIAPPPTSYLLIGTAAGNSRMRYTPLQTNPLSSPFYYIGIENVYVDNMKLRVSPSVWNIDKMGNGGTIVDSGTTLTFLPDTAYRHVVAAFRRRVKLPTPAGSPPNFDLCINVSGIPRLSLPKLSFKLVGNSVFTPPVGNYFIDTAEDVKCLALQPVTSAGGFSVIGNLMQQGFLFDFDIGRSRLGFSRSGCSIG >cds-PLY93979.1 pep primary_assembly:Lsat_Salinas_v7:8:233567306:233571508:1 gene:gene-LSAT_8X141260 transcript:rna-gnl|WGS:NBSK|LSAT_8X141260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPLSGIVDPVQLISQQHLVDMPFTSNGETPEWKRILSELLEASKEGNWKAAKGILDKHKELVRVSINVWGETALHVAAYEGHTLFVENLVRLMENVDLELKNSSSNTALHIVAQGKNVGIANVLATKNPKLLDMEGNDGKLPLQMAALSGNQDMIIYLHGMSKRMKCSAWTDERRCGVLLTCVEAELFEVAQEIVKDFPKLAQSEDEDSILGVLARQLYQYDAYQGHVDQGNQTLQLFTTVLTYFRKPNADAYGCHVDEENQAVQLLRTILENVPKPISDDMQRGTVDQILEDNVLEESCQCPKYISRVLFVAAEEDNAAFVDVLIRQYPKAVLHQNDNKMTLFQVAVLCRCLGIFNLLCCVGSIKESIITVEDENGNNLLHLVGILEEATMSNQWQDFQEPDVEMAEKEKWFKMVSDMLPPSLREKKNKDGLRPRELFTKNNKDLFLRAVDSDKKTVYVLYASVMSLLVVIAASTVYPNHRDIGLLSALAMLLHGMAFILTFTSVISTLSIMWPNYVERGFFSLRSVIASIMRRNLMAVLLVFFTAAMTYNPYVLLLSTIMMIIPFLYWIICHSSRTSLRNL >cds-PLY95387.1 pep primary_assembly:Lsat_Salinas_v7:9:190274324:190276861:1 gene:gene-LSAT_9X117460 transcript:rna-gnl|WGS:NBSK|LSAT_9X117460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLSVSSCKQTETFHVSKSESSKRQKTSSTIWDDNPRLIPNLPDEISLEILARLPRICYLNVKAVSRSWKLAITSPEIYKVRKELKTTEEWLYILTKTQGDRLLWHAFDPISKKWQKLPPIPDLPFEDENKSSGFRVWNMVGSSIRIADAVRGWLGRTNGPDQIPFCGCAIGAVDGSLYMLGGFSRSSAMNSVFKYDPILNSWSESSSMLVGRAYCKTGVLNNKLFVVGGVSRGGGGLTPLQSAEVFDPKTGLWSEIPNMPFSKAQVLPTAFLADLLKPIATGLTTYRGKLYVPQSLYCWPFFVDVGGEVYDPELNSWVDMPVGMGEGWPAKQAGTKLSVIVDNDLYALDPSSSLESARIKVYDHVEDSWKVVEGDVPIRDFAESESPYLLAGLLGKLHVVTKDGNQNIAVMQAGKRNGDSGDGIESELNVWNVIASRSFESTELVSCQSLDI >cds-PLY94328.1 pep primary_assembly:Lsat_Salinas_v7:7:165469953:165473218:-1 gene:gene-LSAT_7X97320 transcript:rna-gnl|WGS:NBSK|LSAT_7X97320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRSDFGDGASPGKIFIGGLARDTTIDTFVKYFGKYGEITDSVIMKDRQTGRPRGFGFITYADPSVVDTVIAETHVINGKQVEIKRTIPKGSGDSRDYKTKKIFVGGIPTSVTEDELKDFFSKHGTIVEHEIIRDHVTKRSRGFGFIVFDSEQTVDEILVNGNMIDMNGTQVEIKKAEPKKPSNPAPNSYTREPRGRGYTDSFDGFDDSYGGYGGVGGGGGGGGYGPPSYRSYGALPTRYGDYGPYGPGASEFGSRYGEFGGDYGGYRGSGDIKL >cds-PLY66080.1 pep primary_assembly:Lsat_Salinas_v7:2:206480000:206492842:1 gene:gene-LSAT_2X127520 transcript:rna-gnl|WGS:NBSK|LSAT_2X127520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRITANPRPSSGRRVVARKRPRGGVDGFVNSVKKLQRREICSKRGRAFTMCDAQERFRNIRLQEEYDTHDPKGHCPTVLPFLKKRSKIIEIVAAHDIVFALAQSGVCAAFNRETNKRICFLNVTPDEVIRSLFYNKNNDSLITVSVYASDSFSSLKCRTTRIEYIRRGQPDAGFALFESESLKWPGFVEFDDVNGKVLTFSAQDSIYKVFDLKNYMMLYSISDKNVQEIKISPGIMLLIFNKSSGHVPLKILSIEDGSVLKSFNHLLHRHKKVDFIEQFNEKLLVKQENENLQILDVRTSELIEVSRTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDPLTEANAGSINISNILTGKCLAKVKALNNVVMDECSCSDSDRRRCKCKLRNRRIEASRTTEALEDITALFYDEDRSEIYTGNRLGLIHVWLVEMEGGINLDATLDYVEFHTFPSQNRYEVSVWSSNKEENLASGPLEQLLLHSPETRNLSSKDSNTKFKILPPDNINDACWFTIATLTRFLQIIGLPDVLSIGKEISQLERTRNFIISSSDKSEILVAIDLRLTALKEELAAVLNKAISSKFSLKDISNLGNFALHFGAKDLRDSLQKSVELSSIEDEDSSEEEEEDQLDAERSRGVTRSSMPKRSASPMRRIQIGRSGSRRTAALSIKSLKYFPGREKSTMPLGDDEEDEEEDSHRPVNNNVLRMSVQDKISLFESKQRDQGVVNVEKTTVSANKAVLRRWRSGMGEKGTTLSSPKSIDPDVKTETDNNNNNNINNNPNTETCPEKGTTYEELGVEVDKFEQPERDDEWIQEKGFNDQNVTSDNSNMKISKEQKVEVYDDGDNKKREEKVQKQGGRKRVEKSSSTNVSGGKSNNGRHQNEPQKTQKNTAQSQLINSKTTMKPSAIKKSSLNSSSLPTTRKSPQPAANRKPQSPASSKVENLQPRLKTVKSTKPDGNTNTKIKATNERKHPTSIENRKTTRRRTKVETPKEEDTDTSTKPSFYTKKNSVVPVLETKPFLRKGSRVGPVAGGVIKTRGVVSLSQPVEASRTFENPTTRNVDIMIINHDMMESSDQSETPKNHFVEPEVIECEQSDKLSCDDGDLGVEMSGVNVTEEPKVEIYAENRENEIVPYKESPVLPRVRHSLSQMMLEESNESEWGNDSHPPTLVYQKDAPRGLKRLLKFARKTTKPDSQSHLTHSSSPEGEDDTDESKATRSFFSLSAFRGNKINETKILHR >cds-PLY63249.1 pep primary_assembly:Lsat_Salinas_v7:4:201333190:201334599:1 gene:gene-LSAT_4X111541 transcript:rna-gnl|WGS:NBSK|LSAT_4X111541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSILVIFLCVFKTYVSGQFANFNKLVLPPGVTGPGSVTFGGLLANGPFTTVTDGRILRWAGPTIGFVDFAYTSPTRTKQFCDGTTDPEKGPICGRPIALSYQHVTGLLYIADAFFGLLVVGPTGGLAIQLAGGFKYANGIDIELLTGNVYFSDGSLTYDIRDITKPGFQPDSTGRLLRYNPVTRQVSVLLSGLSGGGGPAVSADGTFVLVPEINANRISKYWLVGPKANTVEVLLRTTGNPNKIKRAERLGEFWVGISVGYIPRMAVILPEGMRFNSNGVVLQTVSFAKEYVNVPVSLVQERNGKLYVGSRFTNFIGVYSN >cds-PLY63608.1 pep primary_assembly:Lsat_Salinas_v7:9:128133484:128134074:1 gene:gene-LSAT_9X82560 transcript:rna-gnl|WGS:NBSK|LSAT_9X82560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQEIKVKVNMHSQKCRKDVMKTVTKLSGVNEVSVDLAKEMLVVIGDVDPVSIATCLRKKQRVANIVSVGPYKKKEKEADKPIGFPIMYCNNPCQNGYGQFVYGHPPSHDGGGCNIL >cds-PLY84118.1 pep primary_assembly:Lsat_Salinas_v7:6:192576547:192577892:-1 gene:gene-LSAT_6X118241 transcript:rna-gnl|WGS:NBSK|LSAT_6X118241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFDKTTVVFVAVVVLLLAVICSGKSTTDEIKYDEAKTKAAHAGDEAVEAGRDAKESSDSWAGWAKDKISEGLGLRSEEAKDAGHRASDAIIDSAKSAKDKITGTGEYGAEKAEEMMHNAGERTRDLKNRASQEAESAKDTSYEKAQRAKESADAAFNKAKEGAGSTKDATYEKAQSVKEGAGSMKDASYEKLQNAKESAGSVKDATYEKVHSAKEGAGSVAEKAKEGAEGVAKKVVEGGEEGLEWAKEKAKRGYEATKKKAGEGLESAKEAMGNKYEEAAEPHRQKAHDFKENVVLGGRSWDEEL >cds-PLY81008.1 pep primary_assembly:Lsat_Salinas_v7:9:177387955:177391748:1 gene:gene-LSAT_9X109221 transcript:rna-gnl|WGS:NBSK|LSAT_9X109221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFIASRHKRMKSDPATERIHDAKNVIFTAQPPSKKAMEQVKICQEPVPKPCESIEVKNNTLREEIEQLQKQLQDQLVIRSELEKATTTSQSFLQDPLDVASLTKSSKDLIKEISILEFEVKHLEKYLLSLYRKTFQKKEQSLSRSKEQQQQLSIVNSTTFVTARASFDNPPKDFCPIMESQQLEDSYVNRSHSSLSYRTPPLYMAVNHAVDSYHSLPLGMLELGKDDYSSVSLSEHLGGCISNNIRTSANWLSEEMIRCIASIYSHIADPPLIHHHDFLSSPISFPSPPSGSSPRDQFSMWSPHCEESVELSGNYFTTLEVQGICKNTHRPSSVEQKQHTFRQLEQVDPRKLKHEEKLAFWINIHNALVMHVFLVHGTPRTALKRISLVQKAAYNIGGHNISVGDIQSTILGCRLPHPGQWFQSLLFPNPKYKSRDARKAYAMKQPQPLVYFALCSGSRSDPMVRIYTPKSVFQELEVAKEEYIHNNFKIQKSQKMFLPKLVDLYAKDSGLCHAILMDMIEHSVPDCYQKSFKSIRNAKSLKKIEWVAHDFAFRYILSPDLAK >cds-PLY68579.1 pep primary_assembly:Lsat_Salinas_v7:2:21189462:21190805:-1 gene:gene-LSAT_2X10320 transcript:rna-gnl|WGS:NBSK|LSAT_2X10320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEYVAFEIQAEIMKRLPVKSLVRFRSVSKPWKSLIDSSEFISGYRVHQPDPQHLLVWYKDPVDTNEKYVTFVDDEAFAQQELAPAVPVLSKFLNDLKTVGSSQGLLCFYGYYQDPSHPRFEFATEMAVLWNPSIRKSICVTVPGESLWSKFVLGFGVCPITNDPTIVKITNVDAYSTTDDDSSPMVEVFTLSRGSWRIPSNNLPKKSIQVTWSQVVIDNFIYWAAFDQYLDDDDDGQIQKNLIVSFDMTTHEFKVINLPKSLAYHGFVRVAQSVSKVRDSLAVLEYITYTDSQSESELQVCDVWIMDHSIPHMFTKLFTIEKECDSIKILGFTKRGEAMMETQDVYEEPASLVVYEPNSKYFNDTGINGERGALFVSLYMETLLLLDQSDCSVL >cds-PLY63378.1 pep primary_assembly:Lsat_Salinas_v7:7:150329488:150332801:1 gene:gene-LSAT_7X89801 transcript:rna-gnl|WGS:NBSK|LSAT_7X89801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLGFSPFSTCTELSLPNIRFFRCRKPLFVKCSTGDTSSPSLADGSDFDAKVFRHNLTRSDNYNRKGFGHKKETLELMNQEYTSDIIKTLKENNFVYTWGNVTVKLAESYGFCWGVERAVQIAYEARKQFPDEKIWITNEIIHNPTVNKRLEEMEVKDIPVKDGEKQFDVINKGDVVVLPAFGAAVNEMLTLSNKQVQIVDTTCPWVSKVWNSVEKHKKGDYTSIIHGKYSHEETVATASFAGKYVIVKNMDEAKYVCDYILGGELNGSSSTKEAFLEKFKYAISKDFNPDMDLVKAGVANQTTMLKGETEEIGKLVETTMMRKFGVENVNKHFISFNTICDATQERQDAMYKLVDEKLDVMLVVGGWNSSNTSHLQEIAEDRGIPSYWVDSEQRVGPGNHITYKLMHGELIEKENWLPKGHVTIGVTSGASTPDKVVEDVLMRIFEIKREESLQVA >cds-PLY69501.1 pep primary_assembly:Lsat_Salinas_v7:6:43710842:43711516:1 gene:gene-LSAT_6X32621 transcript:rna-gnl|WGS:NBSK|LSAT_6X32621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLRYQKFVSEKPQIHPLSISVDEALSTELEKQQQGFLKCTVCCGIIAAVILIIAVVMIVLGFTVLHIKNPKLRMNSVAIIGLDQVNSTDLLNRNANLTVVADVSMKNTNVEKFKFETFNSSLVYRETVVGVEDVPGGVVDARKTMRLKLVYEMMMAKMAGDPQFGSDITAGKLMVRSYTRVNGRVNILNIIKRKVTVTMNCSIAINVTTWGIADQDCKSHVDI >cds-PLY63708.1 pep primary_assembly:Lsat_Salinas_v7:9:85523599:85526915:-1 gene:gene-LSAT_9X66700 transcript:rna-gnl|WGS:NBSK|LSAT_9X66700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYITMLRTTEFLGKTTSSRDGDLFHHFSSLLESAIFPAIVMNEKYSDGQQHVNEEEVVGEAFEDSVLQKLQKHMGDFNYPRLAYFVTAISGSNKVQCQQVLEELVVKLMEERNMKPLDLNLTALSARCSKDLELNLAKSLLSEMGQCTTAYPYNQLFRALVLKNYERQDATLLSWNLMYIVD >cds-PLY83191.1 pep primary_assembly:Lsat_Salinas_v7:4:288500679:288502921:1 gene:gene-LSAT_4X146701 transcript:rna-gnl|WGS:NBSK|LSAT_4X146701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSLHIEYDPRVINFRQLLEVFWSSHDSRQVFGQGPDVGNQYRSIIFVMELRSLDLAVVRKEREQTKSWGSIVTTQIQQLENFYPAKHEHHKFELKRNPFLLQMIGNLVEEELEKSRLAAKLNGYAAELSPPRIQTRIDGKLNEIIRKGWPILTQNVLERIEICLGNWKGFLSG >cds-PLY64237.1 pep primary_assembly:Lsat_Salinas_v7:7:4264579:4265391:1 gene:gene-LSAT_7X3621 transcript:rna-gnl|WGS:NBSK|LSAT_7X3621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISAAAAEAIHSPVPLPGMSGVFVEIQHDFDGYNTDRRIPTLNDKDDDIETFETHVVNDTLYISAPWTTLAGVPTLLLPLNPFPYNSPFTLKGKLDKEMGRHVVRGGFRVGAPNAWLLTSIVSLSDYHIKARQFSKPCQANEYHYIDMAKMTWPVLYGPNDVGYEVVLSEQEEVPAYKCKIKLPQIDNKFFDQQVPYETSIWGRGISLSATSGRKVEVKEFHGGAAALFRGIKLHYNVNEEVREFRAFITRDELDFRHVGNAHSLLEAVR >cds-PLY72211.1 pep primary_assembly:Lsat_Salinas_v7:7:55936947:55943323:-1 gene:gene-LSAT_7X40280 transcript:rna-gnl|WGS:NBSK|LSAT_7X40280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVILMADRFLGKLVYIDEYNNVCSQLNVINRKQPGRWGGGDLNVNRRYLSLSCLFLPLYIDFDYQKQHLDLSFMAQYQISVIDHSFDLDLSLTMEYHHQATTTTTIPRSHEPPSPEQAQNQVFAMPTVMGPSSVCIVCMEGFQSSCKSNKQASCGHIYHFDCITKWLSLHNSCPLCRCKLSGHQKSYAEGNLLDLMK >cds-PLY71903.1 pep primary_assembly:Lsat_Salinas_v7:3:26752075:26752932:1 gene:gene-LSAT_3X19461 transcript:rna-gnl|WGS:NBSK|LSAT_3X19461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTISTFLLLALLFHFTNAAIFDIRNNCPYTVWAGAVPGGGQQLNPGQTWSLNVAAGTSQARIWPRTNCNFDGSGRGSCQTGDCNGLLRCQNYGTPPNSLAEYALNQFMNLDFFDVSLIDGFNVPIEFSPNSGGCTRGIKCTADINGQCPNELRAPGGCNNPCTVYKTDEYCCNSGNCGPTYFSRFFKDRCPDAYSYPKDDQTSTFTCPGGTNYRVVFCPSNAIQNIASDI >cds-PLY90204.1 pep primary_assembly:Lsat_Salinas_v7:9:202417637:202419672:1 gene:gene-LSAT_9X125181 transcript:rna-gnl|WGS:NBSK|LSAT_9X125181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDLPHDCVVHILSRGSPRDACRFAVVSSVIRDAAESDVLWDEFLPSDYQEIISRCVCPVKYKSKRDLFFRLSSPLLIDGGMKTFSIDKATGKRCYMLSARDLNIAWSDNPLFWCWKPILQSRFAEAVELRMTSWLEIEGKINTKLLSPNTQYRAYVIVNMASHRAYGLDILPSEVSLEVGKFHSQGTIILSPKQGSSSKFGENISRVYHERNDGWLEIELGEFYNYGIHEKDVIMRLKEIEGVHLKGGLLVEGMEIRPI >cds-PLY96328.1 pep primary_assembly:Lsat_Salinas_v7:5:194452025:194452246:-1 gene:gene-LSAT_5X87321 transcript:rna-gnl|WGS:NBSK|LSAT_5X87321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSSFTGSLSIDPDVVFVLEGALGSPGGPFQPGKPSPVGETGTSSHPLSSETYAPDWAISRDSLLSEDIVA >cds-PLY79480.1 pep primary_assembly:Lsat_Salinas_v7:3:248201969:248203153:-1 gene:gene-LSAT_3X136921 transcript:rna-gnl|WGS:NBSK|LSAT_3X136921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSENTNQQNQQALFHLWKGEALLDGENIKNLKLEWLMSQIGLVTQEPALLSLSIKDNIAYGRDATSLQIEDAAKTAHAHTFISSLVKGYDTHKIRLSVARAVLLNPCILFLDEVTGGLDFEAERSVQEALDLLMLGRSTIIIARRLLLIKNADFIAVMEEGQLMEIRTHDELIASDGLYAELLICEEAAKLPKSMLARTHNETSTFQIEKDSTLQEPSSTKFTKSPSLQRASNLHTARSPDSNYNSHGSPKKQWKMEQKNNR >cds-PLY79274.1 pep primary_assembly:Lsat_Salinas_v7:9:5356405:5357259:-1 gene:gene-LSAT_9X5660 transcript:rna-gnl|WGS:NBSK|LSAT_9X5660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSELIYRGHEPHPTSDLYSPKPNKPWSAVIPPIRYMLREQRLVFVLIGIAISTFFFTLIPSSTTFSSPTISAYTSYAVSGSAQLMNPGRPAYRFNSGGKIPLGLKRKGLRIVVTGGAGFVGSHLVDRLIERGDSVIVVDNFFTGNKENVMHHFGNPRFELIRHDVVEPLLLEVDQIYHLACPASPVHYKYNPVKTIILYL >cds-PLY77705.1 pep primary_assembly:Lsat_Salinas_v7:9:18252178:18252962:-1 gene:gene-LSAT_9X13401 transcript:rna-gnl|WGS:NBSK|LSAT_9X13401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSCAGADRLQTGMRGAFGKPQGVCARVSIGQVLLSVRCKDGNSQHAQEALRRAKFKFPGRQKIIVSRKWGFTKFSRTDYVQWKSENRIMSDGVNAKLLGCHGPLANRQPGRAFLDAVA >cds-PLY86352.1 pep primary_assembly:Lsat_Salinas_v7:8:28187384:28188185:1 gene:gene-LSAT_8X22840 transcript:rna-gnl|WGS:NBSK|LSAT_8X22840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTPKDQCTIPLPLSIVPIDSADVVVHARELRPRNKPPILRSSFIVRAVDITKRITHSQKDISDWVFLNTRSSESLYLENTALTEEDRQKIFLENLTISIDDFDAKLRDVHLVFFYIHVL >cds-PLY74348.1 pep primary_assembly:Lsat_Salinas_v7:5:324983280:324985865:1 gene:gene-LSAT_5X179060 transcript:rna-gnl|WGS:NBSK|LSAT_5X179060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMNRQGMPGDRKNDGEKKEKKFEPAAPPARVGRKQRKQKGPEAAARLPTVTPHSKCRLRLLKLERIKDYLLMEEEFVANQERLKPQEEKTEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDDVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNKIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLSDDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHPDFKKAKDKVMFKKKEGVPEGLYM >cds-PLY71273.1 pep primary_assembly:Lsat_Salinas_v7:5:96272306:96274719:-1 gene:gene-LSAT_5X43721 transcript:rna-gnl|WGS:NBSK|LSAT_5X43721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g19350 [Source:Projected from Arabidopsis thaliana (AT4G19350) UniProtKB/TrEMBL;Acc:Q8LAF5] MEMEKDSREIGIGYRHKKLKTSFKLGLHALLTTCSKEEFCKSFPRFTQAEQERLHRLYIKVIVSLHQNIEDEFEILCEETKVGNILDIVEELVEEQTLDPLYPHKSNFKDVAQVLSTIKKNEIQNLATMLKKTEAENEVLRSRVELLRKQVQDFSGASNALQKM >cds-PLY72545.1 pep primary_assembly:Lsat_Salinas_v7:2:142692681:142696045:-1 gene:gene-LSAT_2X70401 transcript:rna-gnl|WGS:NBSK|LSAT_2X70401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLHNSRRRFRSLCGLLVILLPALFASLFAQFGYASPSVLSDSSVPKLRHSRLLKSALQHQTLNEQQSDLWTPLANQGWKPCINSTSSSSSIPESSGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLEVNPVWKDTSSFEDIFDVDHFMNVLKNDISIVRELPDEYTWSTREYYASAIRSTRVKNAPLHASANWYLENVSPVLESYGIAAISPFSHRLAFNNMSNDIQRLRCKVNFQALTFVPHVRNLGDTLVNRLRYPNHLQQVVDTKGKKGIGKFVALHLRFDKDMAAHSACDFGGGKAEKVALARYRKAIWQGRVLNSRFTDEELRNQGRCPLTPEEIGLLLVALGFDNNTRLYLASHKVYGGEGRISALRKLFPQIEDKKSLATSAERAQITGKASLSAAVDYYVGHRAYLNLKTIRPNMALLGQIFMNKSMSWVDFQEAVVEGQKNRLGEIKVRKEKQSIYTYPVPDCVCINKVENDE >cds-PLY99403.1 pep primary_assembly:Lsat_Salinas_v7:4:103140634:103142840:1 gene:gene-LSAT_4X65741 transcript:rna-gnl|WGS:NBSK|LSAT_4X65741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRTPPLASWNEFCCASSRVLRYSTQTLTPNHVNSTSSTATATTLYHRLVGFREPSIVPVLDQWVVEGRPIDQEDLHRIIKQLRKFSRYKHALQICQWMNDKPYLDVSHKSISVELDLISKVYGLKQAEDYFNKIPNNLRVWQVYGALLNCYKEAKSLEKAESTFQIMRDLGYSKSLTYNVMMGLYSTMLQYEKLDLLMDEMEQKGIPIDKFTYAIRLNAYAKTSEIPKMEKLLLKMEADPEIQMEWHAYTTVANGYLKTGDQENIEKAVVLLKKSEYLIRPSQKKIAYEILLTLYASAGRKSDVYRVWKVYKNMGKFYNHGYLCMMSSLAKLDCADDVAKLYEEWEKGCVYFDYQIPNLVITVYCKKGLLEKAEGVVERLVKGGHEPNASTWSRMAMGYVKNGGMEKAVEAMRKSILGSYKGWSVDTATLTSILEFLDRNGNADEAKEMIGLLERKGHLSEEVYENIVKKLSRTSVIDCQG >cds-PLY76447.1 pep primary_assembly:Lsat_Salinas_v7:5:198392519:198392800:1 gene:gene-LSAT_5X88940 transcript:rna-gnl|WGS:NBSK|LSAT_5X88940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVKKRAKQSLECTIERQHHEGKREGKMVVRNPPSIAAAASPLGFTATHAKIFVVQDIIGGSKDSGVPMAKLESSHPSRRLAAVYDKYEQKRR >cds-PLY71616.1 pep primary_assembly:Lsat_Salinas_v7:2:103348307:103350811:-1 gene:gene-LSAT_2X45361 transcript:rna-gnl|WGS:NBSK|LSAT_2X45361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEIEGRERSIRETTPCSLIKDPNTTNTPTSSTKKTYSTNSNLRVQIPPPRLIPTTVEMEEFFTEPEKHQQKLFIEKYNFDPVNEKPLPGRYQWVKMDN >cds-PLY78230.1 pep primary_assembly:Lsat_Salinas_v7:6:7313945:7314418:1 gene:gene-LSAT_6X4601 transcript:rna-gnl|WGS:NBSK|LSAT_6X4601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPHEDPSTDDTPPTIHEQLSTLIVISTANLHRLDAIITQMATVNTLICIQTGTMARLILPPPPLPPTDQQPRPPPPAPMPPPIQLKKPPSLPSSPKEPLPQPPLTTTYGHILHLKHFSDCSTNDSPPSLLSTCTCWTSRTRSILRAEESIRFWCHT >cds-PLY88683.1 pep primary_assembly:Lsat_Salinas_v7:5:68795477:68796442:1 gene:gene-LSAT_5X32001 transcript:rna-gnl|WGS:NBSK|LSAT_5X32001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFPEEIARLRIPLEDILQATNNFAAQCFIARGGFGNVYKGDLLRPEGLVTVAFKRLDRESEQGSEEFMRNISVLSSYKHENIVSLVGFCDEGDEMIVVYKFVPRGSLDMYVRSAHLTWLQRLQICLGAARGLTYLHFPPTETQIRVIHRDLKSANILLDENWQPKIADFGLSKLHVVSEQSDFLVTNAAGTFGYLDPVYYNTGVLTKESDLYSFGVVLFEILCGRLAYEEGKGFLGPLACCNYCDGTLTEIIDPILRKQMSADSLDLYSAIAYQCLDNDRKQRPTIVEVVQKLEEVLRLQQKFEAALAHQQVSYLIKLII >cds-PLY95604.1 pep primary_assembly:Lsat_Salinas_v7:6:138215413:138219587:-1 gene:gene-LSAT_6X83320 transcript:rna-gnl|WGS:NBSK|LSAT_6X83320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTPHPATAATPPLHTSHLLRRTTINRAFAIIYASAILALLYYHLLTLRHSTTFISSATTVILLVADIVLAFMWTTTTSFRLFPTDRKVFPENLGKVIEKKDFPALDIFICTADPYKEPPMNVVNTALSLMAYDYPPEKISVYVSDDGGSELTLFAFFEAAKFAKIWLPFCRDNNITDRCPEAFFRSNGITFSDGAKIKSMYEKMRIKVESVVERGNINPEYITNEEEQIAFNKWSKGFSRHEHPAVIQVLLESRQDRDIEEHPMPNLIYVSREKNKASPHNFKAGALNTLLRVSAIMTNAPIVLTQDCDMYSNDPKTPQQMLCFYLDESIRYNLGYIQFPQRFHGINKADIYSSEYKRLYVINPGGMDGLKGPCYVGSGCFFVRRVFFGGPSSPELPEVCELWPDHVVKKPIKSQEIVDLAHGVACSNYENNSSWGSKMGFRYGSLSEDFFTGLHQHCRGWKSLFFHPRRPAFLGDLPITLFDALTQNRRWCIGLLEVVFSKYNPLTFGSRFMGPLMGLAYAHNAFWPIWSIPIYIYSFIPQLALLNRISVFPKVTDYWFLLYVFLFLGANIQDCLDFMLAQGSFQQWWNDQRMWFIRGLSSYIFGFIEFSIKRLGIASKGFHVTNKVVDNEQSKRYDNGVFEFSVPSPMFVPLATVAIVNLVAFVFGILQILKGGNVNGLFGQMFLACFGLVNSWPIYKAMVWRTDNGRMHRSINVTSTLFGLTLCMLVRLVPNA >cds-PLY63757.1 pep primary_assembly:Lsat_Salinas_v7:6:24336289:24337549:1 gene:gene-LSAT_6X19400 transcript:rna-gnl|WGS:NBSK|LSAT_6X19400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDLSDKGSECDDLMNCKSSPERGGSSSSDGGSHLIKTCADCGTTKTPLWRGGPAGPKSLCNACGIRSRKKRRALLGMPKEEKKQKKPTMAATSASGDSQTSGLTTSKGSNMGGDFCLKKRLMALGSEVVLQRPRSRVTKQRRKIGEEEQAAILLMALSCGSVFA >cds-PLY90831.1 pep primary_assembly:Lsat_Salinas_v7:6:155508818:155511508:1 gene:gene-LSAT_6X93960 transcript:rna-gnl|WGS:NBSK|LSAT_6X93960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRALKPMNRNPSKKEHFKTGSSNKKRRLLDDGHFAWKNGGTSSSKSQRISTRRSKRLENCNPKETQKSATILSWLVDSKIVPDSATIYYTGTTTQDGFKKGTIEANGIVCACCRSFFTVPGFQVHTGGRIIDNPYDAIGVDGIQGDGSITPLSQCMESALKKRDEPEHHGIKHVTTKETDGDIYDDACMLCADGGNLICCEQCNSTFHEKCLGMQVVPDEPYYCSYCACKLCGKPITKRDSSWLTCSLCEKQYHVKCSKESLTIDINRFPRAFCEKSCRSIHEKLESKLGVKRMLEDDLSWTLLHRFDREYGSYEVGATKRLECYSKLAVAFRLFTACFEPIRDRHTRINMIRNVVYNCGSDYQRINFRRFFTGIIEKGDEVIAVASIRVHGSNLAEIPFIATAERHRNKGMCGKLLSGIESDLQDFGVRTLVIPSSSETIRVWNEKYGFNLLKEETKKEIMKLNVLMFHDCLRMQKTIRERIDLNAEPPQECDRRTIAC >cds-PLY80112.1 pep primary_assembly:Lsat_Salinas_v7:5:147297057:147297329:1 gene:gene-LSAT_5X64441 transcript:rna-gnl|WGS:NBSK|LSAT_5X64441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLEEKRGEVGMVYQLGVLLFGNGGMVMGVMVGIVWFWGRVACTAVVTGVRNEYGGGVVTRYGSSPPKAGVASKGNGESPDVSGISDVGG >cds-PLY97149.1 pep primary_assembly:Lsat_Salinas_v7:3:138612715:138615499:1 gene:gene-LSAT_3X92841 transcript:rna-gnl|WGS:NBSK|LSAT_3X92841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGTYCKSYFNKIDGKVKADFYAIVKLFSSWHMLLLLSFLEGINQDPPVMPYTKNCAMPLLAPLSMSLKATWNRRFDIKWIAPKSMVYIGMLFSLFSWLSQDLVGDHELHNLNFLHIGSPKTWYAVPRDYAFTFEEVIHSKAYGGGVDRLGFNCGEAANFGTPKWLSVAKEVAVHIAAVKFLSMLSHQQLLYLLTISFSPRVPRSLLPGIRSSRLKDR >cds-PLY98894.1 pep primary_assembly:Lsat_Salinas_v7:5:20461790:20462956:-1 gene:gene-LSAT_5X10720 transcript:rna-gnl|WGS:NBSK|LSAT_5X10720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSELPPSVEGQINGGGGGPLVGVPVEAEVVAPPKRQRRPSVRLGDIGDQHIYDNQRRTKQHQWKFSSKESKSSKTRPLVNLTTGGGAVNGELQDVVEDKDGGNVNHLNMNNGNNNNTNGLIGSWKARGCSKSKKGFSATKRVRSNWGSKMDEGDEKFDDADDFEYDDDQSLNFSMDNEMELDYGGGNRGKETRVRVSDGPSDTDARNWNNNHPERNGVRVWLNQLGLGRYAPVFEVHEVDDEVLPLLTLEDLKDMGINAVGSRRKMFCSIEKLGKGFS >cds-PLY96501.1 pep primary_assembly:Lsat_Salinas_v7:5:335651594:335653263:1 gene:gene-LSAT_5X187601 transcript:rna-gnl|WGS:NBSK|LSAT_5X187601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFFRSILAEDPEPEAPDSYEESDADPRQSQSPKQQETEGDEDDDSNSNVNSSEDGSAAGGVGGLWTFGDLVKTLTTKSESVFETYRRDLKEFGTGLRKESDLFREVASRAVKELPSSIEVGTSAIDGVLKSTADIIAHGKEALLAASDIDDSDASERHTSSDRSGLHSKRYSRFDTQLNAIQSDMRTYCEDPEDLDDYNKWKLGFVFGDKKDEIEILVGDNGALSGIYPKLVPNEVDDATFWYRYFFKVHKLKQQEDVRAKLVKRSLSVDDEEELSWDVDDDDEEEEEQEQEQTDQSRSKTSKGQDLHNVGKEVSPSDEFKPQSSKIVDEDKEMKSNVVSVDKKIIEESKISTGNVLTVEKAESVDEKVDDLKVDSGKVKDCEDKPNHPSSHEEEDLEWDEIEDVENNDTKKASHSHADTPNKDELRKRLSTAVDEEDLSWDIEEEEEDDDDEPVKTTGNK >cds-PLY83431.1 pep primary_assembly:Lsat_Salinas_v7:5:330307175:330309203:1 gene:gene-LSAT_5X186800 transcript:rna-gnl|WGS:NBSK|LSAT_5X186800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase alpha chain, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54640) UniProtKB/Swiss-Prot;Acc:Q42529] MATFSVASSTLGLSETFTKLKREGKVAFIPYITAGDPDLSTTAEALKVLDSCGSDIIELGVPYSDPLADGPVIQAAATRSLARGTNFDSILKMLNEVVPKLSCPIALFTYYNPILKRGVEEFMITVKEVGVHGLVVPDVPLEETAILRREASKHKIELVLLTTPTTPRDRMKAIVEVTEGFLYLVSSIGVTGARASVSAKVQSLLQEIKAESSKPVAVGFGISTPEHVKQVAGWGADGVIVGSAMVKILGDAKSPEEGLKELEKFTKSLKSALL >cds-PLY88551.1 pep primary_assembly:Lsat_Salinas_v7:7:8853931:8855731:-1 gene:gene-LSAT_7X6300 transcript:rna-gnl|WGS:NBSK|LSAT_7X6300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPEDHQSPSDLRQVLILRPPPVFAVHEQYFSNKFQILKAYDSPLPTHDFLRSYAQSVRVVLCSGVRPITAEVIRDLPALKLVVSSATGVNHIDMAECRLRGITVTNVADVFSDDVADAAVGLYIDVMRKITASDRFVRGGQWPVTGEYPLGSKIGGKRIGIVGLGNIGSRVATRLKAMGCVVSYTSRHKKHSTPFIFHPNVLQLALNSDALIICCALTNDTRHMVNNTVIKALGKTGVIVNVARGAIINEVELVNCLVEGEIGGVGLDVFENEPNVPTQMLELDNVVLLPHRTAFTRESFHDAAQILLSNLEAFFTNKPLLTPVANQF >cds-PLY65551.1 pep primary_assembly:Lsat_Salinas_v7:9:143127978:143129353:1 gene:gene-LSAT_9X90861 transcript:rna-gnl|WGS:NBSK|LSAT_9X90861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDTNTLIFFSTTVAALSYSYFISSKLPKGIYRLISLIPIFCLLAIVPLLFSSAFTTAVATLFITWLANFKLLLFAFDQGPLYPSKSFIRFITIASLPVKLRSDSFKLKKKSPFNLWIETLISPILISFVYKNKEKLNQHIILGIYCALVFLLVDILVFVSNAVIWAVVGVELEPPSDEPYLATSLQDFWGRRWNLMVTNILRHTVYKPVKSVFSGKEWASLPALIATFVVSGLMHELLFYYVMRVIPTWEMTSFFVLHGICVVVELLVKRALAGKFELPEIVSRLLTVGFVVVTSFWLFFPPLIDGGADVKVLQEFKLFADYLKSIVDKVMLRW >cds-PLY93819.1 pep primary_assembly:Lsat_Salinas_v7:6:142244166:142244923:-1 gene:gene-LSAT_6X84881 transcript:rna-gnl|WGS:NBSK|LSAT_6X84881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLESQSMRLAPAGVKSDEQPSTTTAIFRKPKYQESSKCIVYVLAAIVFLGTLFLIFGTVFLRVNNPKLRLRTVSIQNFQYENTNSTSLNITMLTEVTIDNENFGRYDFENCNAVILYGNSTIGGGVISGGRVGARNIKPVSVTMQIRSENLNISGSGSDSTELMEIISYAKMTGRVHALTIVDRRKTIEMNCTMTLNLRSRSITHPLCS >cds-PLY84505.1 pep primary_assembly:Lsat_Salinas_v7:1:31528636:31532395:-1 gene:gene-LSAT_1X28160 transcript:rna-gnl|WGS:NBSK|LSAT_1X28160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQFCIMKEMLAGRPQRERPTSHSNTLGWSNVSNVQIKGKDDGDYIDKFEYGDTSGGCNRGVISGNYLH >cds-PLY73136.1 pep primary_assembly:Lsat_Salinas_v7:2:190588394:190592447:-1 gene:gene-LSAT_2X113200 transcript:rna-gnl|WGS:NBSK|LSAT_2X113200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDSDYRIFVGGLSWDVTERQLEGAFGRFGKIIDSQIMLERDTGRPRGFGFITFSDRRGMEDAIREMHGSDLGERVISVNKAQPKMGGDEAADHGYGGGYPSSGGRGGDRSMGQDECFKCGRSGHWARDCPSAGGGGGRGGGRFSSRPRFGGGRGDRYADRERYVDDRYDGGRFGDRDRYDSRDSKYVGSRSRYSSDRYSPSGGGDRFGGDRYGVPERYPPPPPPPQSGYGREREYDREVGPRRSSDRYGGGGGGGPARYEGRNYRDRAGPYDRPRRGGGRPSSFDY >cds-PLY84327.1 pep primary_assembly:Lsat_Salinas_v7:5:189422544:189423540:-1 gene:gene-LSAT_5X85520 transcript:rna-gnl|WGS:NBSK|LSAT_5X85520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIASSHQTFFTNALLSTPHKSTKIPKKSIIILCNKSPKSPSQNPTESSSSRVNDKNQLAKLALVTMAAGVLTLGSIDPAFAAKSGGRVGGQAFRSAPSQSAPRSSSPRINNSRTNIYINPRVAPPLVGGYGYGYGGWGWSPFSYFAPGPSVAVGVGGGFDTLVLFMLLGAAAAVVRRFFRSRNDYDDED >cds-PLY64479.1 pep primary_assembly:Lsat_Salinas_v7:3:14982770:14983624:1 gene:gene-LSAT_3X10380 transcript:rna-gnl|WGS:NBSK|LSAT_3X10380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGKSLLPTLFPIPLGGSNGTQIFSLPNTQPRGRLICSPRTPPPPTYTSASSFSFSPQVHTLTEAYSITMENKIAVFTIFTFLLFSFTTARIGLDTFTSKSSAESVAVAELDDFHLSIEKPKSDLDQTISNDRLPESENTQTIDSTPRPRLTRSRPIKRQFFDKTSRIPLRFVRRHPCRKFQKTFMLPQTKTSYGNDMILSSKSYTFDPKALGDKVPTEWLEFKHKYGRHHHHHHDRDEEFVPKFMFDRENMVTSSRHHKEKREHKGSFMRRIGKFLKHTFD >cds-PLY88113.1 pep primary_assembly:Lsat_Salinas_v7:9:7507436:7510932:-1 gene:gene-LSAT_9X7120 transcript:rna-gnl|WGS:NBSK|LSAT_9X7120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRQLHLLDDHMSGNWSLGSKYHPCSQSRKISIGIVVDSVAKTKGKNGRGDVTELQTLQNVTSAKDTPTERQNKAPCQEPPPLVYTNTSHQNISHPDTINHPKKVSSLPSTSNVLLQPNKIVGETDPDTVFETQMSDLHSKDANNEEKLKKNTYQSEAGSHFVMGREEQSSYATPQKVTVPEKGITEQGTSERGNNGNMALRMKVQELLGTVYSPNKKQHDHETFGMDANNSKPKSVTNKSDSQHLQTRETHSGSLNKRPVTRSFTSKKPQTQKSVPLYQYKEAQLDKNVFSFVDNWSKNASTNVNHGSTMSKRKERGVHKDENHQATDVRKRKADTVNVSLKENRRGDIEKVQPDTEMKDQFEVIRTGGGNNSTMLKNNKDQDSSSKHSLKINLDPQYDLKSPPFEFKTAPRSLFYNNHEDLDVGSLSKRSFKSHSIGFSMGAHSNANTNNFTMDPQDCVAKPATVHKESKYVGSEISEDGSPVTVPVTLENKNINGNRWSISPSCQEEVSESSEDGSPIKGDGDCEKFHDTSLEQDGLAGAVKLFALALERVESKIHSSTTRQSAEILLSVSMNIHLQLQNAESKIQNEVGKLTNLGKSKRMHSESQCQEQQEQLKRIYEKFKEEVDQHLEKCRSTLKGLESHEIDVRGMVEKQRLSHRKLLMQTEEAIEAQLNDAQKRLSDVHRVAREKMVKLKYGIAECLKEGLHGS >cds-PLY94115.1 pep primary_assembly:Lsat_Salinas_v7:8:29946767:29948925:1 gene:gene-LSAT_8X24360 transcript:rna-gnl|WGS:NBSK|LSAT_8X24360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAVRRLNKPIQSLETNRRNLSSIATPLPVDAHGPIWKPQETPSYVPTYPIRLSVLHQILQKCARESLPMEGMACHGCLIQYGLWANTLTSNMLINMYSKCGFLEHARRVFDEMPERSLVSWNTMIGSYTQNGNEREALDLFVQMQREGTEFSEFTLSGVLCACAAEFAVFECRQLHAFALKTSMLENMFVGTALLDVYAKCNLLKDAIRVFEYMPERSAVTWSSMVAGYVKNELHEEALMTFKKVQTTGVEFNQFILSSVVAACAAIAAKIEGIQAHAVLFKTGFILNFFVSSSLVDMYSKCGSINEAYLVFSCVKEKNIVLLNAMISGFSRHGRSMEAMILFEKMQQIGLQPNEVTYVSVLSACSHMGLVKEGKKYFEMMIKEHNLSPNVVHYSCMVDVLGRTGLINEAKILIDKMPFEATASIWGSLLSSCRVYGNIELAEIAAKHLFEIEPENAGNHVLLSNIYAANRKWDEVIQARKLLKETEVKKERGKSWIEVKDKVHAFMVGERNHDRIHEIYSKLEGILEEMRKFGYRCETQHDLHNVEENQKEELLRYHSEKLAFVFGVMCLPSSAPIRIMKNLRICGDCHVFMKLASKITGREVVVRDTNRFHHFRNGFCSCGEFW >cds-PLY75550.1 pep primary_assembly:Lsat_Salinas_v7:9:34599821:34621930:-1 gene:gene-LSAT_9X32321 transcript:rna-gnl|WGS:NBSK|LSAT_9X32321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSRNEAETVNLIIVGLLLIGLSTLIYCSSTLGDTNTKGDKTSTNALLLVNTSQDEGSTRKIPQSLFGVSFEEINHAGVGGLWGELVSNRGFESGGRHSPSIIDPWSTIGNYSLVKVSTDLSSCFNRNPVALRMEVVCDTSSCPAGGVGVYNPGYWGMNIEKGKTYKFVVYIRSLNPINVTVSLTDSTGMQTLATANTIANDVSNWKKMEVVLEAKVTNHNSRLQLTTNRKGVIWFDQVSLMPMDTYKGHGYRNDLFKMVADLKPGFIRFPGGSYVEGNFLRNAYWWKDTVGPWEERSGHFNDIWSYWNDDGLGYFEFLQLAEDLGASPIWVLNSGFSHQEAIDTSNITPFVQDALDGIEFARGDSNSTWGSIRADMGHIEPFNLKHVAIGNQDCQMPHYRANYLKFYDAIKKAYPGIKVISNCDGSSTQLDHPADMYDYHVYVNARTMFSMAHKFDLTSRIGPKAFVSEYAVVNDGGSGNLLAALAEAGFLIGIEKNSDIVDMASNAPLFLNANNKGFVPDAIVFDSYRAYGTPSYWMQRFFSVSNGATLLHSDLQSNSSESLMASTILYQNPVDKNNYIRVKVLNYGSNQVNMKIAIKGLDPGLIDYSKSSKAVLSSTNVMDENSFQNPTKVSVVQSLIKKCRNDMNVVLQPNSLSSFDLLILSKDIVQIITMNDMSYVSLSKRKKAKQIVGIWHKSFKSAQKEQHVAFLYLANDILQNSRRKGSEFVSEFWKVMPSALKHVNEHGDANGKKAASRLVGIWDERKVFGSRGQNLKDEMLGKSPPTPLTTNGQTSTSTSTSNPIKIVKRDANSLRLKLAVGDIPEKIMTAMHAVHDESGMEDTALNNCEAAVRRFGNLERDIETQGVALGPSLVEEIQEQENVLEECVTQLETAERTRTSLISQLKQALQDQELKLDVIQSQLQVARHQIDDAVNLRKKLVSPSLPPTQNQPQPPPELTTESMSSYGTTTHVAEEDNKKAAAAAVAAKLAASTSSAQMLASALSSLVAEEAAAFNSPGLSIFSPEKRQKLEKPMPVSDLTNSNSDSGYFSNNQTPFPPPPPLPPSSMSSPANQFVQSSGLMMPPYVYGASSLPPPPPLPSHIAMGLMRPGHQQQQQQQNPSQPPPTNGGGYYRPPGIGFYGQGHQPTPPPPVPRQ >cds-PLY83304.1 pep primary_assembly:Lsat_Salinas_v7:4:126984000:126985072:1 gene:gene-LSAT_4X80120 transcript:rna-gnl|WGS:NBSK|LSAT_4X80120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHSLWGNLPLLVRANSKESVEFILQTLWRTRKIGLDSTDRDVIRDMLQLQNDADLDPLLVCLRMLIRRCVYENNNKDEIQKLFPEEVLPEIQRLLTLLLQKFHKEWREDIAKDQLQSNTEPNSGETDVNFQLSKDRLETMLKSMYSMSDQQ >cds-PLY79486.1 pep primary_assembly:Lsat_Salinas_v7:3:248135297:248136147:1 gene:gene-LSAT_3X136820 transcript:rna-gnl|WGS:NBSK|LSAT_3X136820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHLLHKEKAEEDSEYHSTSSKGVGPSMLERRSGYGSTMQDSPKFTSRDYPVATQKYGQKGEKMLTDYPSGREIDSYMLKEQVHILGEICKTSHLFGLLIPLLLVIDIRCSINTTPRTDPCPVIKVIDACTK >cds-PLY98412.1 pep primary_assembly:Lsat_Salinas_v7:8:293159671:293160492:1 gene:gene-LSAT_8X163140 transcript:rna-gnl|WGS:NBSK|LSAT_8X163140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 1 member 1 [Source:Projected from Arabidopsis thaliana (AT1G10460) UniProtKB/Swiss-Prot;Acc:P92998] MRTCPLLLYFFLIFVVRVKSDPDPLQDYCIAETRNPQTVFLNGAPCINPINAAPSHFTTSALSKPGNTAANPLGFNVTLTNIRNLPGMNTLGLTMARVDIAGNGLVPPHTHPRASEVTILIKGSLLVGFVDTTNRLFTQQLREGDSFVFPKGLIHFLYNLDSKASALTISGLSSQNPGAQLASSATFTTKPSIPDDILKKAFQINGQDVSRIRKNLGG >cds-PLY75025.1 pep primary_assembly:Lsat_Salinas_v7:9:193700922:193705292:1 gene:gene-LSAT_9X119321 transcript:rna-gnl|WGS:NBSK|LSAT_9X119321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFRIFRRTNSSDSADELEEDDPISNSTECYTCTQVGVPVFHSTRCDHAHQPEWEASAGSSLVPIRDRPGSKIIPNGSSNGGQRRRSSGFLSRVYDPRSKRVQRWNRFFLLARGMALAVDPLFFYTLSIGRGGVPCLYMDGALAAVVAVVRTLVDAVHLLHMWLQFRVAYVSRESLVVGCGKLVWDPQSISSHYVRSLKGFWYDAFVILPVPQAVFWLVVPKLIREERIKEIMTTLLLLFVFQYLPKVYHSISLMRRMAKVTGYIFGTIWWGFALNLIAYFIASHVAGGCWYVLAIQRVVLCLRQQCNKKTVCNLSLSCADEICYQFLLPEGTLGDRCAGNSTMGLVRKPLCLDVNGPYHYGIYRWALPVISSNSLTVKILYPIFWGLMSLSTFGNDLEPTSHWVEVMFSICVVLSGLMLFTLLIGNIQVFLHAVMAKKRKMQLRCRDMEWWMKRRQLPSLLRQRVRHYERQNWALMGGEDEMELIKDLPEGLRRDIKRFLCLDLIRMVPLFHNLDNLILDNICDRIKPLVFSKDEKIIREGDPVQRMVFILRGRVKSSQNLSKGVVATSILDPGGYLGDELLSWCLRRPFINRLPASSATFTCVEPTEAFGLDANHLRYVTDHFRYKFANERLKRTARYYSSNWRTWAAVNIQLGWRRYVARVRRAVNQVTVTEVDGGSNRMLRQYAAIFMSIRPHDHLE >cds-PLY71759.1 pep primary_assembly:Lsat_Salinas_v7:3:44740373:44742364:-1 gene:gene-LSAT_3X32901 transcript:rna-gnl|WGS:NBSK|LSAT_3X32901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNHETPLVRPKRKKVWVDYLIQFRWVGVIFLVLPMSLILDMFIYLDQVISQRKSYKQLQKEHNKNVIKVIKRLKERNPSKDGLVCTARKPWVTVGMRNVDYKRAMRFEVDLSDFRNILAIDHDRMIATCEPLVKMGQITRATVPMNLALAVVPELEDLTVGGLINGSGLEGSSHLYGLFSDIVVAYEIILADGRVVRATKDNEYSDLFYAIPWSQGTLGLLTCAEIKLIPIKEYMKVTYKPVRGNISDLTKGYIDSFAPEFGDTDTEKVPDFVETLIYNPHEAVCMTGKYASKEEAKMNWTKINYIGLWFKPWFYQHAQTALKKGEFIDYIPIREYYHRHTRSYFWESKLILPFADQLWFRLLLGWTMPPKIPLLKVAQTEAMHNYYHDMHVLQDLLVPLYKVSDALEWAHSEMEVYPIWVCPHKLYKHPYKTMVYPEPGFEQHHRQGDTTDAQMYTDIGLYYAPGSVLRGEVFDGSDAVRRMETWLIENHGFETQYAVSELSEKEFWRMFDGELYEKCRRKYGAVGNFMSVYYKSKKGRKTEKEVQEALEIPYVDGTD >cds-PLY77949.1 pep primary_assembly:Lsat_Salinas_v7:1:24596855:24599730:1 gene:gene-LSAT_1X20380 transcript:rna-gnl|WGS:NBSK|LSAT_1X20380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWGFGGRFYWGERKEEERRRGPNKGIVVVFAWMSSQDKHLKNYVDLYSSLGWDSLVCHSQFLNLFFPDKAATLAVDLLNELVKELKKRPCPVVFASFSGGPKACMYKVLQIIDSNSKCEPHRNLDEYQLVRDCLSGHIFDSCPVDFTSDLGTRFVLHPSILKLSHPPIIATWIANGISSTLDAFFLNKWESQRAEYWQTLYSTIGNGAPYLILCSENDDLAPYQIIYNFSQRLQSLGGDVKFVKWSSSPHVGHYRYHPDEYKEGVTELLTKAVAVYSRRTERVGGAHDSTTSEPLHRLREAVSSSNQYQNLHRVTLDLNDHFVVPGGSVEYHEGRDVGSVHDEPKERFIPRSAPPMINAHGILGQILFDVCVPKNVEDWDLKLSSSSSSFATFGSGRRHSHFNPMKCIRRSRL >cds-PLY92899.1 pep primary_assembly:Lsat_Salinas_v7:3:181688851:181689792:-1 gene:gene-LSAT_0X21561 transcript:rna-gnl|WGS:NBSK|LSAT_0X21561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVIINKLQFVGQMICALHMYMSSAFLLRMGWIIAKERKMAKESRSTFEKFARLVGLMKANSSGVRRGKESSC >cds-PLY82453.1 pep primary_assembly:Lsat_Salinas_v7:2:184878006:184879986:-1 gene:gene-LSAT_2X108221 transcript:rna-gnl|WGS:NBSK|LSAT_2X108221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWFSVFPCFNNSKHRKLKKSVNPTTSSSSIDQGNEKTCESLLIKVPTEESTNLGSLQNPVSESRDSSEDHLINDSDDKPVTIDLDVEANADIPIEKVEFLSVESKEDTEKNTAGIGKLGVLVELEREKGEKKENEELGNLVEIEKKKEECKSSGIHVELENERDNKNKAQNRACSDSSVSSYISYPPMHRYHNCVINEDEDHVLIQEDSSESLFSLSINPRRLSKSCPVDLDDKEVNSPLKTSHSPELNAKSIRNDENQSIDNSLLNPIENLTQWKTLIPKPIPASNQNQNHHQEKENVYLQQQQQQQQQQTQIPYKASVSDRNGKVKKKNSEEVTTSLSSWLIENEADNKTGEVSMGDDSQFSVGNSCSYSDGATSWKSFEDRPILGAWTIDEVRQVSARSSPRKSPCRDLDDTPIIGSVGSYWNHTDSPHSFSSSPLGGKPRRNQKKALSCHSTPVKARLERALDKNAV >cds-PLY62251.1 pep primary_assembly:Lsat_Salinas_v7:5:162917276:162919036:-1 gene:gene-LSAT_5X72361 transcript:rna-gnl|WGS:NBSK|LSAT_5X72361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHNDEQDRETKRFHYPLDPNSYQILQEIGRGCSAIVYKALCTTTSTVVAIKSIDLDRSTTDDFENIRREAKTMSLLSHPNVLKAHCSFTVASRLWVVMPFMSAGSLQSIITSSFPNGISENCISIILKETLMGLRYLHDQGHLHRDIKAGNILMDSNGSIKLADFGVSAFVYESSSRCLMLKEITGTPYWMAPEVIHSHNGYSYKADIWSFGITALELAHGRPPLSHLPFSESLAMKITKGIRFSHYQKEEEKVLKNTKLSKYFKDMVGLCLNQDPLKRPTAEKLLKHYFFKNSKGCDFLVKNLLQGLPSVERRFKESKFERVGSMSKEQMEDDNDEEVEDFLLGNNVKKIRRISGWNFNVEACELDPVFPVGDTSYRSKSLDEGQHMNANPQKDVFAKQITFGGESVISETRGELDGNKESLPNVVTNDGGGGEEEVDIVGGSSGVDREVVVGSLMSLKRSLDDQKEKVVYMLSMVGAEETICSGGEHDSSEDSLMQMIEKLRLELDNEKRKRSSLEMELEFLKLHITSNSDV >cds-PLY68427.1 pep primary_assembly:Lsat_Salinas_v7:2:60230125:60238682:-1 gene:gene-LSAT_2X28000 transcript:rna-gnl|WGS:NBSK|LSAT_2X28000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIIPSFFTGRRSSVFDPFSLDIWDPFQGFSSVLNNLPESSRETAAITNARIDWKETPEAHVFKADLPGLKKEEVKVEVEEGRVLQISGERSKELEEKNDRWHRVERSSGKFLRRFRLPENAKMEEVKASMENGVLTVTVPKAEEKKPEVKSIDIGGSMLSTSNDENCLVGESQPIDDTNDIMDDFTVEDAFATIEDMILEKLQKKKTKA >cds-PLY66281.1 pep primary_assembly:Lsat_Salinas_v7:8:308655188:308660034:-1 gene:gene-LSAT_8X167200 transcript:rna-gnl|WGS:NBSK|LSAT_8X167200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHRLSAIEHRSVYLQGIINQPEASPTEYSRANKELQNLNAKMDLISKLRTIQKEIESLKSLVGDCEQDKDMQAMAYEELEQALKQEGYLHNLLLKALLPKDDADERDCILEVRAGTGGEEASLFAMDMFKMYERYSLKKGWRFEVVDVTDSNMKGYKEATAAISGVDVYGKLKFESGVHRVQRVPVTEKSGRVHTSAVSVAILPQADEVDVQLRNEDLRIDTYRSGGSGGQHANTTNSAVRITHIPSGLTVAIQDERSQHMNKAKALKVLCSRLYEVERCRVQNSRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRIGFTHHSISDVMQGESLDYFIDALLLQQEMDAVADFTATSHHH >cds-PLY75552.1 pep primary_assembly:Lsat_Salinas_v7:9:33798034:33799464:-1 gene:gene-LSAT_9X29841 transcript:rna-gnl|WGS:NBSK|LSAT_9X29841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAFASIACLNGNNGGVKRSPNEQPANIPLGILVFEAAKTVSRLLSLYRSLSDPEILNLRKEIFKSKGVVYLNSDDEGYLLNLACAEKIEDLDKAAIVVARLGRKCSDLTLNSFDRVYTDIKSGTTDLRKFEYGTSKTKKKIRKLKRFLSATLGLYGSMHCSPGLEAAPEKKMNSPVPFKNMMKFPAIEWRIPHAQPWKQHVHHTKPNLWTLPFEKVVRLTSRVVCIIYARICMVFGPYVSDLPTAYTGRQKHVTAQIPSEIWFIEPRTPDNKSPRPRLWIVHHHKPRSPRSKLVKSKSKKNLARINPNPDRSIPTEEAKTDQVFHDAGPNTVGGSRLQILYANLIMMAEENMVRKTIKDDVRDEMYRMLPKNMRAMVKVKIRRLRDCKGNNGGKQKMKEALRSIFMWLSPMAHNTLLWARERRVEMTRFDARPPVLLLQTLHFSDKEKTEAAIVEVLVGLSFIYMQQKSRRMPTA >cds-PLY83407.1 pep primary_assembly:Lsat_Salinas_v7:8:606935:609400:1 gene:gene-LSAT_8X1381 transcript:rna-gnl|WGS:NBSK|LSAT_8X1381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPHMRVDLPDGRRRAKSAALGLAIAGAVAVGYSNIFVTAPSPENLKTLFEFVLMGFSMLEYKLSVITDDIANQNNNIMKECSDDKVIIDDIANSIPSINKLPPPFSSEFMYASPVNESRNPLPVILCVIQLGYGSTAIELLSRFPIVKLCFVSIILISSNSAI >cds-PLY97909.1 pep primary_assembly:Lsat_Salinas_v7:4:90574551:90575993:-1 gene:gene-LSAT_4X60040 transcript:rna-gnl|WGS:NBSK|LSAT_4X60040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASITKLRLLNSCNRQKLFPVYQIQARHLSSILNPDSTTPLSSKEKSRAALSLLKFEKNPERIIEICRAASLTPESHLDRVAFSIAISKLTELNYFEGIRNFIDELLKTRPDLNNEKFISNAIIYYGQAGLLTNAFQLFDKMPELGAVQNAKSLNALLFSCMLAKKYDEVKRVYLDFPQKYGIKPNLDTYNTVIKSFCESGSSSSCYSVTAEMIRKKCKPNATTFGTMIAGFYKEEKFEEVGKVLEMMKKYEVPVTLGTYNIRIQSLCKLKKTMEAKALLDGLLSRKIKPNSFTYSHLIHGYCREGKLVEAKELFQTMINGGFKPESDCYFTLVHYLCKGGDFKAALEVCKKSMEKDWFPNFSTMKLLVEGLANSDKVDEAKEIIGKAKEKFPKNADMWNEVEEKLPK >cds-PLY94605.1 pep primary_assembly:Lsat_Salinas_v7:8:181969184:181971468:-1 gene:gene-LSAT_8X119240 transcript:rna-gnl|WGS:NBSK|LSAT_8X119240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSDSQMDKLTSDIFSILENNFLFGYDNPSQQIKSPTGKIRILSIDGGGATDGILAAKSILHLESSLRRKSGNPDAHIADFFDVVAGSGIGGVLAALLFTKGKNDRPLFTADEALKFVTENGRRLCRSSKQGLLRRIFQSPVKVFDRTFGDLTLKDTLKTILIPCYDLTMGAPFVFSRADAVEMDGCDFKLSDVCAATTAVRGPAKTFSVDRRTKIAAVGGEVAMNNPTAAAITHVLYNKQEFPFCKSINDLLVVSLGNGEPLSVMAGNRTPSRTALVKIVGEAVSDTVDQAVSMAFGQSRTSGYLRIQANKGLLDLEQHNKDVNMLSLADKMLKQQNVESILFHGKKCNSTNLEKLELFAGEILKENERRKTDVLPLVLLNETTTSSSARSSSATTLSTISSS >cds-PLY92861.1 pep primary_assembly:Lsat_Salinas_v7:5:328149506:328151095:-1 gene:gene-LSAT_5X182500 transcript:rna-gnl|WGS:NBSK|LSAT_5X182500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNGNLQVLNALDGAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKMLGRIYYHTPGSPKPGSLPPNVSAAVNGVALVGTLAGQLFFGWLGDKLGRKKVYGITLMLMCVCSIASGLSFGSNPKMVMSTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGGFIAAVFAMQGFGILGGGVFAIILSSIFDAKFKAPSYEVDPIGSTVPEADYVWRIILMVGAVPAILTYYWRTKMPETARYTALVAKNANQAAMDMSKVLQMEIQSEQDKVDKVVEGSNNSFGLFSKEFLRRYGKALLGTTSTWFLLDIAYYSQNLFQKDIFSAIGWIPSAKKMNAIHEVYTIARAQTLIALCSTVPGYWFTVFLIDKMGRFKIQLLGFTMMTIFMFALAFPYNHWIKPGNNIGFVVMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAASGKLGAIVGAFGFLYLAQNQDPAKADAGYPAGIGVKNALIVLGVINFLGTLCTLLVPESKGKSLEEMSGENEEQQPNAGEV >cds-PLY88755.1 pep primary_assembly:Lsat_Salinas_v7:4:169109102:169109899:-1 gene:gene-LSAT_4X100360 transcript:rna-gnl|WGS:NBSK|LSAT_4X100360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQNLPVLAKKVWNLVRVVYFMLRKGISKRKLLLDLNMMIRRGKIAGKALQNLMFHQYHINREAFTAKHRSYHXXXXXXSACKPSKDHLDMMAVNAVLKAMEMIHSDNSSPALPGFGSTPMVRQLRVTDSPFPLSSVDQDNKVDEAAEEFISRFYNDLRLQKAKASSFGSS >cds-PLY81901.1 pep primary_assembly:Lsat_Salinas_v7:8:122903579:122906064:-1 gene:gene-LSAT_8X85260 transcript:rna-gnl|WGS:NBSK|LSAT_8X85260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWGTLVALVIVLVAAAEFTSTVSGNSEGDALYALRRSLNDPDKVLQSWDPNLVSPCTWFHITCNQDNHVTRVDLGNSNLSGHLVPELGKLEHLQYLELYKNTIQGTIPAEIGNLKSLISLDLYNNNISGKIPPSLGKLKSLVFLRLNDNHLTGRIPRELVGASSLKVVDVSNNNLCGTIPTTGPFEHIPLNNFENNPRLEGPELMGLASYDTNCS >cds-PLY94120.1 pep primary_assembly:Lsat_Salinas_v7:8:29419500:29420612:-1 gene:gene-LSAT_8X24641 transcript:rna-gnl|WGS:NBSK|LSAT_8X24641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSSLQLWLPLLLIISLTFESPVAGQQHSMDMSAHSNWEPTPKPLIPGLPKRVRCLLPFFPPCFNLWHSCPVDCSNNCFMDCVSCKPVCSCNMPGAVCQDPRFVGGDGVTFYFHGRKDQDFCLVSDTNLHINGHFIGKRNPKLTRDFTWVQSIGILFDDHKLLVGAKRTSTWDDSEEHVFIFFDNTPLSIEGKNWIYRNSSLQISRTSPTNGIAIEVENSFRITATVVPISAEESRVHGYNITDDDCFAHLDLGFRFFNLGDEVDGVLGQTYRRNYESKIKVSANMPVMGDKPKYLTSDIFATDCPVSRFVSRNAIPVLDSGERF >cds-PLY72026.1 pep primary_assembly:Lsat_Salinas_v7:8:114992831:114995558:1 gene:gene-LSAT_8X79201 transcript:rna-gnl|WGS:NBSK|LSAT_8X79201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPAAANPDDYKLKDTKPQLGERWPHGGIRGGGGWISSDRVTSTYDLVEQMYYLYVRVEKARDLPINPLTASCDPYVEVKLGNYKGKTQHFEKRTYAEWKQVFAFSKEKIQSTVLEVYVKDKDMIARDDYLGKVVFDMNEVPTRVPPDSPLAPQWYRLEDRKGDRKVKGEVMLAVWMGTQADEAFSEAWHSDAATVHGEGVFSVRSKVYVSPKLWYLRVNVIEAHDVESADKSQLPQVFVKAQLGNQILKTKLSPTKTTNPMWNEDLVFVAAEPFEEQLVLTLENKATSKEEIVGRIVLPLTAFEKRLDHRTVHSKWYNLEQFGFAMLEGGQRMELKFSTRIHLRVCLEGGYHVLDESTMYISDQRPTARQLWKKPIGILEIGILSAQGLQGMKTKDGKKTTDAYCVAKYGQKWVRTRTILESFNPKWNEQYTWEVYDPCTVVSLGVFDNAHLGGNGNGGSGKDSRIGKIRIRLSTLETDRIYTHSYPLLVLQPSGLKKTGELQLAFRFTCLSLANMIYLYSQPLLPKMHYQHPLTISQLDTLRYQAMNIVAIRLGRAEPPLRREVVEYMLDVDSHMWSMRRSKANFFRIVSVFTGVISMSKWLGDVSNWKNPVTTLLVHVLFFILVCFPELILPTGFLYMFLIGVWNYRFRPRHPPHMDTKLSWAEAVQPDELDEEFDSFPSSKPQDVTKMRYDRLRSVAGRIQTVVGDIATQGERFQALLSWRDPRATCLFVVLCLVVAVALYVTPFKLVVLGMGLFLLRHPRFRSKMPSAPSNFFRRLPARADSML >cds-PLY83595.1 pep primary_assembly:Lsat_Salinas_v7:5:17653544:17663170:-1 gene:gene-LSAT_5X8041 transcript:rna-gnl|WGS:NBSK|LSAT_5X8041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTDITASAKPEYPVIDRNPPFTKTVANFNTLDYLRLTTISGISVTVGYLSGIKPGIRGPSMVTGGLIGVMGGFMYAYQNSAGRLMGFFPNDGETTRSDRLIAVDASYYGFQAQSIIFSSKSIKKTLEIEVQNRIQQKFFDEKPTLEADVTQILEGKISMQNYYQTPVENMDRNLYPSDLNQGIRFSVQTSEFGNNSSSTASFSSNGSSISQQDSHSYIPDTYHSPDYTYTSHVSRVGFTDTNTDDLEYRLRELETAMLGTDQDFLESYNGSSSWGETNQTISDTMSEMISRGNFKELLLACAKSVAENDSITANWLMSVLRPMVSVSGEPAHRLGAYMLEGLVARLSSSGSSIYKTLNCKEPTGNELFSYMRVLYEACPYFKFGYLSANGAIAEAMKNENKIHIIDFQIAQGSQWVTLIQALASRPGGPPTLRITGVDDSTNAYARGGGVNIIGQRLANLAESCNIPFQFHGIPVSGSEVEASHLGIVPGESLAVNFAFMLHHMPDESVDPLNHRDRLLRLIKGLSPKVVTLVEQESNVNTAPFFHRFQETLNYYTAIFESIDVTLPRDHLQRINVEQHCLARDIVNIIACEGAERVERHELLGKWKSRFTMAGFKPYPLSTLVNSTIKALLESYSHKYRLEERDGALFLGWSNRDLVASCAWK >cds-PLY66875.1 pep primary_assembly:Lsat_Salinas_v7:7:18493042:18495545:1 gene:gene-LSAT_7X14740 transcript:rna-gnl|WGS:NBSK|LSAT_7X14740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTFDRKFNGDLFAALSVGDVYKVIDMCAAMPAGPLHKLTIHGDTVLHIASYHMANDLVLELLRMIPEYQFNLLTVQNEAGKTILHATASNNNTVEAAAEMLRRAPSLLTMTDKLGETPLFCAARYGNTKSFHFFKAEINRRLGEDAASLMGFLRRNNKETILHVAIHSFNFALALDIAKTYPMLIGEKDGDGMTALQLLACKSPRFKRRFEKFFIYKLIDWICLKEIASRVPILKNIKEKRFVIDSTKELATLLIENDASWEETKPMPTQNRVKLHRYGGGSTPSENVSTGNNNKINVTLPTPDSPLILATKSGCTYIVKEILRVYSQAVELIDKDGRNILNVAIQYRRIDIYKAVIEMKYPLMTLRDTIDNEGNSLLHMVAMKATDQLVEMDFRNPALELKDDLLLFESVKNICTSVATSQVNNDGLNATQLFVKNKEKLRIEAKEWMKSTAWGSAGFGLTIATLSFTASYTVPGGLDQNTGHPIMGGKPFFIVFTLANGLSLTFSITSLITFLFILTSSFQFKDFRRSLHYKLLLGLTLVILSVLMMMISFAATLILGNSSKKGQDWTKIILYTVSFLPVTVLIYTTTPLYYMLLKVFKEILGSIIASILPTRDVESRKIMQHRRLPSQAPTTISIG >cds-PLY77039.1 pep primary_assembly:Lsat_Salinas_v7:8:152220245:152224055:-1 gene:gene-LSAT_8X101860 transcript:rna-gnl|WGS:NBSK|LSAT_8X101860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVQHLKIPLEDIKLATNNFGDDNFIARGGFGKVYKGEITLSGTPITVAIKRLDRSLGQGDREFLMEIQMLSCYKHKNLISLIGFCDEGKENILVYEHAKNGSLDRYLSDSNLSWIQRLQISLGAARGFNYLHNDVGPQHRVMHRDIKSSNILLNENWEAKISDFGLSKIGPSNVEFTFLVTNACGTFGYVDPEYIRTGILTKESDVYSFGVVLFEILCGRLALVERYRDERRALSSLAKVYCEDNRIEEIVDLNLMNQMKVGSLKLFSKVAYECLRENRSQRPTMGWIVENLERALELQDSSKSFIRVGTWGRTSGDPQNNWSFELDKGHNLVKITIDHGDGIYSLMFTGESKGILHDSDKCGGLAGGETVSEVIFEGDEEIIGINGTIGSRDGFTIISSLSFQTNKRAHGPFGRVTKTVFSIPWERGALVGFYGLASYYIDSIGIYVKPNEEVVRVGKWGTTYPGSPHNIWDLPLENNQHLTKITINHGDSINFLIFTTKYRSVTHTKTTEILDWNLYETVSEIIFDYNEEINSISGTIGLASNGYTVIRSISFGTNKKTHGPYGTVRGEHFTVSFEDGSFAGFYGLAGGHIDSIGVYLKTIV >cds-PLY83638.1 pep primary_assembly:Lsat_Salinas_v7:4:38996422:38997886:-1 gene:gene-LSAT_4X26980 transcript:rna-gnl|WGS:NBSK|LSAT_4X26980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPGAMMLTGAATFLHRCSPARTVCLASRTDTDQLRDQLDQLHFEASNTRAKANKARQRLLRLSEAAEKLQRQAAISVQTGKENDARDLLFQKKKTMQALEKTKSRIELLDELSTKLIEAISLREQQLVGTVGQDVEIETEDDTSPVRVVSAPSEKDLLNSSDDQELQDKTYNMPTNLQESLEVLDSDSDLISSLTELTSYRDLLDRIDQHLNKTEAELMTVVKFSSLILESEKSHENVKVKQLMGILDAVRDLRKRIANIIMQKEAEMK >cds-PLY68856.1 pep primary_assembly:Lsat_Salinas_v7:3:63908029:63909209:-1 gene:gene-LSAT_3X50580 transcript:rna-gnl|WGS:NBSK|LSAT_3X50580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHNPNIRLSFATKLVTTSIIIIISSTFTTSQTCKPTCGTLPVRYPFGTGLGCGDPRFQTRVTCNNQHLTFITHTGCYPITAIDYPNQIIYITDPSMSTCGCTQPSKGFGLDWDAPFTFHDNTVFALLNCDTSSSPIFKSDGRNAPVCDPVSGTRVCGILNSCQAVSRLTTPVSSCCVYAPVDLGPSFEMDLGKLNCGSYSGLYGFDGHADNPESWKYGVALKYKFNFNNEYPTMCADCERSGGVCGYGGPYSSFLCNCRSGINTTTNCLFEATWNSSSRFVHGGFWIYALTWLVIWMML >cds-PLY66527.1 pep primary_assembly:Lsat_Salinas_v7:4:342191264:342191634:1 gene:gene-LSAT_4X169140 transcript:rna-gnl|WGS:NBSK|LSAT_4X169140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYHEHDHAFIIYCTSLQPLVKRMLPPLFSGKKGKHQRTVQHEVFHLRCVNFQKKCELEFLDNHISIVEILVSLSYAAIIPRVIRIDSFYMQ >cds-PLY90644.1 pep primary_assembly:Lsat_Salinas_v7:6:50711181:50711744:-1 gene:gene-LSAT_6X36581 transcript:rna-gnl|WGS:NBSK|LSAT_6X36581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTFLYSDSLTIAGISLFTAIVCEAISWLLIYRRTSYKSLKSTIDQASKKLETMKTTPTTLKKSKAKKISRVETSLKDSSRDLSLSKFKSGAVVALVLAVVFGVMNSLYEGKAVGKLPFVPVSIVQKMSHRGLRGDDPTDCSMAFLYFLCSMSIRTNLQKFLGFSPPRGAAGPGLFQVPDASDTNQ >cds-PLY62216.1 pep primary_assembly:Lsat_Salinas_v7:4:275270813:275273493:1 gene:gene-LSAT_4X141220 transcript:rna-gnl|WGS:NBSK|LSAT_4X141220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTTEEGCDSFSVVVLSDEGGNTGVECEVPKDLLSPVAEVRIPLKSQLSLDARLSNQSYINMMVQNAVAYSTCTVDVDIEKGKSETQSNEETLVLANLKNEEVLTKSLQKQISFDMGGKYMQLLMNHSLMLSKFSTRDKGATEKLLDAPRSRKYKRAASFNSRKVVLLFSVLSSLGTMILIYLTLRVRQFGDASIHSE >cds-PLY62096.1 pep primary_assembly:Lsat_Salinas_v7:6:95869603:95869863:1 gene:gene-LSAT_6X64300 transcript:rna-gnl|WGS:NBSK|LSAT_6X64300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGGINTTTTPLMVTEGRRRRGRMKKTQQQQQRYPRTLRLRWRQWHGGLAAGILNGVAAEEAEPPLIDVSHPNLVVNGSPGEQNEA >cds-PLY65359.1 pep primary_assembly:Lsat_Salinas_v7:6:41927946:41931793:1 gene:gene-LSAT_6X32320 transcript:rna-gnl|WGS:NBSK|LSAT_6X32320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEDYTTQVHILKEAVKSMIYNMRNPLGTLELVDELQRLGISYHFEDEISEVLEIIYYNYYKNHNKWNNMDLNAKALGFRLLRQHAYQVPQEIFLNFKDNTQNLNSKLLEDVVGMLNLYEASYHSFEDESILDDVRDFATKYLEENLEKLDGNTSSLVKHALELPLYWRLPRVEAKWFIEVYEKRSGINPTLIELAKLDFNMVQAIHIEDLKHSSRWWRNTMWDKKLSFARDRLVENFLWAVGVSYLPRFSHGRRTLAKVIAMITTIDDVYDVFGTLDELEQFTDVIGRWDIHAIEELPDYMKICFLGFYNSVNEIAYNTLTNTGFLILPYLKKVWGDLCKSYLVESQWYHNGYTPTLEEYMENACVSISGPLVLMHVTFLTSSTLTKEILQCIDMSDNIVRYSSIIFRLADDLGTSSDEMARGDTPKAIQCYMHESGATEEEARRYIKKLISETWKKLNKERAAAKSKFLREFIDHATNLDRMALFMYAKGDGHGCPDVIKSHVLSLLFNPIE >cds-PLY65352.1 pep primary_assembly:Lsat_Salinas_v7:6:41474535:41476841:-1 gene:gene-LSAT_6X29660 transcript:rna-gnl|WGS:NBSK|LSAT_6X29660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPCPNVSTVDVDAALPLCYSLDLSTKIFARRFTPGYCQLMSTNLDTIESSSDAVVSAELPTNSHVALTNEVNVAVAVGDELLESNTGTLTTKPSSDHDGGGTVAHDSVNDSRVSRDERENVEKVLDEKAEEEVNHEEKEEEQVNHEEKEEEEVNHEEKEEEQVNHEEKEEEEEVNDEEKEGEYKVSDLVWAKVRNYPWWPGQIFDPSSSTDVARKYSKKKGFLIAYFGDQSFAWNEKSKIKPFRKNFSKMVNQSNSTKFLHAVDCALDEALRRIEFGLSCSCLSKEVYEKIKSQTFVNAGIKKEDSRINGGDRFSTVATFKPANVVQSVQDLAREQFDGFNKLQVLSLNTQLFSYYRWKGYHQFSTRYFLDGLDEKVEENCSEIPLIAKPSSSDEKVSSKKRKLKDKKIVKDEKQKPASVSEEEKVSKKRKLNGSDSVNSQKHKHKRMRNGNGDTDTIPKKKLTKDGGKKSRNGIADRKPSAPIPIPTPKRLFGVGDSICRIAKKLSLSPLIPIPKKESESESQFQSQVSKDSDSASNSNSNSVDEKRVDQTQESTKSDDVSTKSEISDSSLKTTSKEKFAALILKFTNLDSIPSVTNLNEIFRVYGSLMESETEVLKKSSCAKVVFEEKTNAEAAFSSAGKFGIFGPSLISYRLDYSPTPRKIYTRKGKKKNGKGDSV >cds-PLY67940.1 pep primary_assembly:Lsat_Salinas_v7:5:299259014:299261733:1 gene:gene-LSAT_5X160121 transcript:rna-gnl|WGS:NBSK|LSAT_5X160121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARLSSNPVGLHKFRSSYIYPDIKSLRFINPSVHSRYRKLRFQWLYCETEPNPTDSNSEKNSIVESGSDKEEKNLEVTSSPSGGGLPALPNKSLNRRVAITSVLGAVGLFVSGRLDFGVSLNDLSVASLPYEEALSNGKPTVVEFYADWCELYITQEGVRSPFSSKPTEKPVPNATLQVTGAVGKECIWCKMFALGVVIKIPVPKQTAKTSLQVTSGRAKYNASMDCLMWKIRKFLGQTESTLSAEVELISTIAENKSWTRPPIQMEFWR >cds-PLY85586.1 pep primary_assembly:Lsat_Salinas_v7:2:119427301:119428761:-1 gene:gene-LSAT_2X55360 transcript:rna-gnl|WGS:NBSK|LSAT_2X55360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSSSLSIVNLLTILCICISTPSSSSHTTLTLSLTHHITPKTNNQSSHLKHTINSLASSSITRAHYLKNPNNNTSSSSTQIPLFPRSYGAYSISLSFGSPPQKLPFLMDTGSSLVWSPCTNNYRCYDCRFSDVNQTNTPKFIPNRSSSAKMIGCNSKECGWVSGSSQQTPCNGNESCPAYTIQYGSGSTSGFLISDTLDFPEGDVSDFAVGCSIVSTRQPSGIAGFGRGSSSLPNQMGVKKFSYCLVSHRFDDAPVSSELVLVRNSTNSTNSTNSTAGGSEMSYTNFQKNPMNSSEAFQEYYYVNLREITIGGKSVNISNEYLVPGADGNGGAIIDSGTTFTVMDNVPYDLVAREFENQMSEYKRAVDVESETGLRPCFDVTGKSAELPEFTFHFVGGAKLSLPVADYFSFVGDDGDDGVLCMTIVSSNLIGSNSSIGPSIIVGNYQQQDIYFEYDLENGVLGFRKQICK >cds-PLY98853.1 pep primary_assembly:Lsat_Salinas_v7:7:22404639:22405478:1 gene:gene-LSAT_7X16961 transcript:rna-gnl|WGS:NBSK|LSAT_7X16961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTPHHQINIHHTPDARHNPIGRLPDDRHNPGDRLRDGRHNPDSRIDGRHNPGEHLVNVCHNPDDHIDDGHQVITGNHNALYNKHHVKESLTTRITKLICGIFLGILFTVGLATFILWLSLRPHRPRFYIQEFSISNLADPNGFSTARITFNVTARNLNLDIGIYYDTMNLTIYHQDQTIAESPILFPFYQSPKSAHLIYGTLSGPTLRIDRVRWGQLFDARKRGVVPFRVDVASSIRFKVSTWGSRHHKMHANCEIGVGSNGVILRSDEKKRCPVYFT >cds-PLY92962.1 pep primary_assembly:Lsat_Salinas_v7:5:48358380:48365761:1 gene:gene-LSAT_5X23620 transcript:rna-gnl|WGS:NBSK|LSAT_5X23620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor subunit 50 [Source:Projected from Arabidopsis thaliana (AT5G60940) UniProtKB/Swiss-Prot;Acc:Q8L4J2] MDTNNLEQTLQEGKLYRYVNNLIVAHLRDNNLTQAASAVASATMTPLNIEASPNKLLELVAKGLTVEKDETLRGGVPSSTLFDSNALIPSAYGSIPPSRAVSVDFSAAHDTKGSSKSFPKHETRHVSEHKNVARCARFSPDGRFLATGSADTSIKLFEVAKIKQMLLPEGRDGPVRPVVRTFYDHLQPINDVDFHPHNTILISGAKDHTIKFFDFSKTVAKRAFRVIQDTHNVRSVSFHPSGDFLLAGTDHHVPHLYDINTFQCYLSANVQEIGATSAINQVRYSCTGGMYVTASKDGAIRIWDGVNSNVVRSILSAHGSAEATSANFTKDQRYVLSCGKDSSVKLWEVGTGRLVKHYLGATHTQLRCQAIFNDTEEFVLCIDEPSNEIVIWDAVSAEKVARWPSNHVGAPRWLEHSPTEPAFVSCGIDRSIRFWKETP >cds-PLY74488.1 pep primary_assembly:Lsat_Salinas_v7:7:36420005:36422680:-1 gene:gene-LSAT_7X26180 transcript:rna-gnl|WGS:NBSK|LSAT_7X26180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPIHVSLQELAKEFKVAVPDRYVQEHREPTIIFNGSSPLPSIPVIDLKDFIKMLGKNDDNLKSLRSVCQEWGIFQLVNHGVDKLLVEKMKKETIQFFNMPVEEKLRYKLEGGEYEGYGQTILHTQDQKIDWADRFYMITNPIQKRKSHLLPEFPPSLRETMENYLQELQKLAINLLGLIGQAVDIEKQEMVDIFEDGIQSVRMNYYPRCPQPDLVIGLTPHSDATGITILLQVNDVDGLQVKKDGVWIPVNFLPDALVVNIGDILEIMSNGMYNSIEHRATVNTTKERISLAMFFNPKLDADVGPAKSLLTNTGDPPLYKTLVMEQYLKDFFSRKLNGKTFIEKMKINSEAIELDQIS >cds-PLY69601.1 pep primary_assembly:Lsat_Salinas_v7:5:313981927:313984683:1 gene:gene-LSAT_5X172221 transcript:rna-gnl|WGS:NBSK|LSAT_5X172221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVLKSMLGLDQLVVLCLLILTGCQSSQGHFNKHVALFVFGDSFFDSGNNNYIKTTPDFQANFWPYGESYFNHPTGRFSNGRLLPDFIAEFAGLPFIPAYLDPHYHNSGFLYGANFASGGSGALVETDAGLVVDLKTQLQYFSDLEKQYRQNLGDAKANQLLSDAVYLFSCGCNDYSVLLSNNESSLLYEQYVEMVIGNLTDVFKEIHAKGGRKIGIGTVPAIGCWPNTRAERPDNTCNEELNIIASQHNHALLHKLQDLGQQLEGFMFANYDISTAINKRMKNPSKYGVGPQIGDIQSTSSVDVKVGRIETGSGVDADGAVI >cds-PLY64625.1 pep primary_assembly:Lsat_Salinas_v7:6:36574523:36576010:1 gene:gene-LSAT_6X28960 transcript:rna-gnl|WGS:NBSK|LSAT_6X28960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METIKKGFRISKLISFSISDYSHHHQSHFRTHRSVDSPLLSRFFSSSSYNNRSNDGYSGGRSPPPRRRSGDGFSTSDRTPSSSRLSGFNHGNDDRDAGDNRDRRHTGGRRDIDSNRRPKYDDDSRYRPSNRASSEVNRTDSRKSETNSSYVPFDDDDEQKPQFSHTPRVKDPKIQDVDGFLDRFKLGLDEEKGNPISDKSDTSNTAGEGEVVTGEPQPPPPPPPEDADELFRKMKETGLIPNAVAMLHGLCSDGLVQEAMKLFGVMRERGSIPEVVIYTAVVEGFCKSQKPDEAIRIFRKMQNNGIVPNAFSYGVLVQGLVKGKRLDEALEYCLEMVEAGHSPNLATFTGLVNGFCRERDLEAAEMMIKSLKEKGFGFDEKGVTDFMEKKGPFSPLVWEAIFGKKRSQMF >cds-PLY76217.1 pep primary_assembly:Lsat_Salinas_v7:4:56495802:56499017:1 gene:gene-LSAT_4X39040 transcript:rna-gnl|WGS:NBSK|LSAT_4X39040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoheme IX farnesyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G44520) UniProtKB/Swiss-Prot;Acc:O64886] MWRSRNSLSRFTQLTTSSSSKFNCHLNLQPDSLRLLQISDVDHGYGLFSRSTSTAVGPSSGSGKLGITGIDRVRAMGSTADIVDATSLGAREVVDMARHYGRCYWELSKAKLSMLVVATSGAGYVLGSGSAVDLAGLCYTCAGTMMVAASANTLNQVFEVKNDALMNRTMRRPLPSGRLTIPHAVTWATSVGLAGTALLASQANLLAAGLGASNLFLYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAAASGEITMNGLILPAALYFWQIPHFMALAYLCRDDYKAGGFKMFSLADPSGKRTALVALRNCIYLIPLGYLAYDWGITSGWFCLESTLLALAISGTAVSFLLNRTKTSARRMFHASLLYLPVFMSGLMFHRIYDDHQPLTTENTKDKMVISHTEQETRNPERVKQTRSRPPVAYASVAPFPFLPVPIYSS >cds-PLY75253.1 pep primary_assembly:Lsat_Salinas_v7:7:65312168:65312425:1 gene:gene-LSAT_7X46521 transcript:rna-gnl|WGS:NBSK|LSAT_7X46521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKILMKRHQLQKILEQAVKKNDNVGNQVNIRPLIRSSVLNPLERRLKEIKRLQIQRSRQVNRNCPSYWRPALESIPEARILSIVC >cds-PLY82349.1 pep primary_assembly:Lsat_Salinas_v7:5:313239412:313240727:-1 gene:gene-LSAT_5X170120 transcript:rna-gnl|WGS:NBSK|LSAT_5X170120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEKITVDCEKFLQYYEESCSQMEASSKSLQQREYELTKKELKLQKSKSKQELKKRLQERLSSWKQLVKESCTSMKLAEGIKDELLILQKRRAELESQLKAESYERKQREITQLETALHAIQDDDYIDLEEKMKNLQTELIQKNEKLQEMKNKENSFRAAIQTKNEELQEARWELIDGLKSYPIGGDIGTKRMGLVDSNPFFVGCTSSVKKENATKLVSHCNEDPNWHPFTKMTDGSEIINEENGKMVILKAECSDEQHPVLTALIERNRYHQHGRDLMEELWNFRENREATLREGIDYLLKEWKIHKQRKR >cds-PLY88875.1 pep primary_assembly:Lsat_Salinas_v7:4:253979804:253983805:1 gene:gene-LSAT_4X135781 transcript:rna-gnl|WGS:NBSK|LSAT_4X135781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRILSRPPCLSSYSAPSRSQSLARHNFHFSISTYSTSTSCSSSSSSFSFFSFRPFNKRFHFINPPRSSLKQTKKQQRTLQTNAPPPQSSIRRLLNLNDNPKDDGGGGNDDDDDDDDQNETAIKGTILAGLLLVGVVGGFGTVGYIYRDQINAFLIQFSSFIEGYGPAGYALFVAVYAGLERDNLVLAIPAIPLTMSAGLLFGSYTGTILVSISGTVAASVAFLIARYFARDRILKMVEGNKKFLAIDKAIGENGFRVVTLLRLSPLLPFSLGNYLYGLTSVKFVPYVLGSWLGMLPGTWAYVSAGAFGRAIIQEESDLGLAGGSNQLLTLGAGLLATALAATYVTRLAKDAMKDIDD >cds-PLY86519.1 pep primary_assembly:Lsat_Salinas_v7:3:61230533:61231595:1 gene:gene-LSAT_3X47000 transcript:rna-gnl|WGS:NBSK|LSAT_3X47000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQIAIVGAGISGLLACKYCLSKGFNPIVFESESDIGGVWAKTIKTTRLQSAKPLYQFSDFPWPSSVTDIFPTQQQTLDYLRSYATHFDLIPHIKFHSRVNGISFNGPISSDPWSLWNGIREPFLPEGKWNVTVHDTGNDSIQVYTVDFVILCLGRFKDVPNIPEFAAGKGPKVFQGHAIHSMDYAAMDHDKAADFVRGKRVVVVGFGKTGLDIARECSSINGPEHPCTLVYRRDHWKLPDWSPWGIPLTHLYLNRFSELLVHKPGEGFLLSLVATLLSPLVSPSTAPHQQA >cds-PLY86197.1 pep primary_assembly:Lsat_Salinas_v7:4:7268096:7269649:-1 gene:gene-LSAT_4X4781 transcript:rna-gnl|WGS:NBSK|LSAT_4X4781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNSLHSLRCLLEKCSKNENLITQIHAHATTLGLFRTQQHFACKLLNIYAKKLNNPTKAQGIFDQIHNPDIVSWTCLMSLYLQNQQPLKTLSLFSHMIVSTGLNPDGHCIVAALSACGSAKNLVVGKIVHGMVLRYELGGEEPIVSNALIDLYCRNGQIGLARRVFESMVAKDVASWTSLLNGHIICGDIVSAGQVFDEMPVRNVISWTAMIVGYVRSKNVIHGLKLFREMRSDYIHPTSITIVAVLSGCADVGALDFGGSLHGYINKISNLITDVSVNNALIDMYCKGGNLELATRVFMKMHKKDVFSWTSMISGLALHGKGRSTLKVFNDMVSSGMTPNDITFLSVLSACGHGGLIKEGMSLFTKMVDDYGLKPTMKHYGCMVDLVCRAGDLKEALRLIDMMPFEPDAVIWRSVLSGCMMKRDVGLAEIVAKKIVELEPYDDGVYILVWNLYRLTNKWEDALKTRKVMRSQKIKKQPGCSWIEINGVVHEFTAETTIHYDFMHTILQVLGEQQTV >cds-PLY84240.1 pep primary_assembly:Lsat_Salinas_v7:7:78091632:78095865:1 gene:gene-LSAT_7X55820 transcript:rna-gnl|WGS:NBSK|LSAT_7X55820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVGEEIQLKSVEDRLSHSRLSCQVGLVIGKISTSLDRGFVFDLVPTPLNDAGEAASWIIESKDDNNNKKKGGSKSKTQSDSSSSLSIDKDWVAEHARQVSKMLVGGMKVVGIYIWMNESLFKNSTLVLCQTVKGVADAAPIRDIGWDERLLIHISYSPSRWTCRNCSLSSNITSSSLRPCDFKMGRVFSTLQKFRCIYNFDIRFPIFHESISNPKKFAGILRDVISSHAEELKGAKALINGNLVNVDEQCSFDGVLHEVEFLIPFMQHTSFQAYSEEEVVGILVFTGSVCSYAYSNSKESISQALADLKGDIVSSLHSRLDIICDEADGQLDSDSVADVGGEVSTQTPIPHLQLQSLRKGCSLCFPRRVFVPWLGDTYICDYLQPSETLEVVKDHCVELMSMEALTDTSMVLEPEAESATSMKFSTNSNSNSNSFWATAMPVSPELRPLSLNNSGDKGSVSGKQLEKSSSNISIIAALLVLFISVLVGLFLFAFRT >cds-PLY65480.1 pep primary_assembly:Lsat_Salinas_v7:8:32522100:32523082:-1 gene:gene-LSAT_8X25621 transcript:rna-gnl|WGS:NBSK|LSAT_8X25621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPGDSNSATTSPVSSFARFFKKLASPTKKSPKDTCEASFSAPLSGGSDDDIHQVFNYFDENGDGKISPEELKNRLKGVGGEEHELSDEEAEMMVRLSDADGDGMLGLDDFAKMMKEGEEGELREAFVMYSRNSSVITPKSLKKMLTQLGQSTTVNDCKVMIGRFDVNGDGVLDFDEFRAMMN >cds-PLY76691.1 pep primary_assembly:Lsat_Salinas_v7:3:140773761:140777686:1 gene:gene-LSAT_3X94041 transcript:rna-gnl|WGS:NBSK|LSAT_3X94041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISHLLFFSVILIISTPSSTSAQANCNRVCSSQSGDRRNTVSYPFGFSDGCEIRLECSDGEIRFGGYTVQNLTRDHISIILPANCSRSFEEINRFDNSNFALTSRNALLLEDCRARLNDCIVSTSLVESRFSIQQCDSAINRSMNCYSEDNSREEFIDLTELKSAGCEVLLSSVTIDMNANSSQGLPVSLEFQSLELGWWVHGDCGCHKNAVCRNVSRLNQTVGYRCHCNEGYDGDGFIAGDGCHRVSNCGASRYMSGQCGETSRVGVLIGGIVAGASLMSTFALVCYCLRKRAASRNLRSARRQFSEATASFSVPFYPYKEIERATNYFSDKQRLGVGAYGTVYAGKLTTNEWVAVKKLRQRDTDGNDQIMNEIKLISTVNHPNLVRLLGCCIEKGEQILVYEFMPNGTLSQHLQRERGKGLPWTVRLTIVTETAHAIAHLHSAMNPPIYHRDIKSSNILLDYNYNSKVADFGLSRLGMLDDSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLLEIITALKVVDFSRDHSEINLASLAIDRIGKGCVDDIIDPFLDPNKDAWTLSSIHKVAELAFRCLAFHRDMRPSMMEVADELEEIKVSGWNTIDDNMTIGSLSSVSSSPYNASEKSIGGSIIKKVAGVAAIGSRRLSVISDCLTIQDEKDASKDKDKDNSPVSIQDPWLSEQSSPSSNSLLGNIVR >cds-PLY93368.1 pep primary_assembly:Lsat_Salinas_v7:9:58758861:58761515:1 gene:gene-LSAT_9X52040 transcript:rna-gnl|WGS:NBSK|LSAT_9X52040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGSARNLTEEERRGHKEISWDDKEVCGFYMVKFCPHDLFVNTRSDLGPCPKIHDPKLKESFESSPRHDSYVPRFEAELAHFCEKLVMDLDRRVRRGRERLAQEVEVPPPPPIPAEKSEQLSVLEEKIKNLLESVEALGEAGKVDEAEALMRKVDLLNIEKTALTLQPQNEKVLMLAQEKKMALCETCGSFLIANDALERTQSHVTGKQHIGYGLVRDFLSEYKEAKEKAREEERLAREKEAEERRKQREKEIEGKHRSGSADRDKNRYNDRSSRDRNRGSRDSGRTSDRRAHNNSYRNGGGGDRRGDRYRDRSRSHSPRHGGRRRSSRSPY >cds-PLY68217.1 pep primary_assembly:Lsat_Salinas_v7:8:267299984:267303288:-1 gene:gene-LSAT_8X153920 transcript:rna-gnl|WGS:NBSK|LSAT_8X153920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGICWSIQIKAESAFSTGDDSKIAERNGNSNSSSKVSSGSQSHTPRSEGEILQSSNLKSFTFSELKNATRNFRPDSVLGEGGFGSVFKGWVDEHSLAASKPGTGIVIAVKRLNQEGFQGHKEWLAEINYLGQLRHPNLVRLIGYCLEDDHRLLAYEFMPKGSMENHLFRRGSYFQPLSWRLRMKVALGAAKGLAFLHNAETMVIYRDFKTSNILLDSNFNAKLSDFGLARDGPTGDKSHVSTRVMGTYGYAAPEYLSTGHLTAKSDVYSFGVVLLEILSGKKAIDKNRPTGEHNLVEWAKPCLTNKRRIFRVLDPRLEGQYSLGRALKVASLALQCLSMEPKIRPNMDEVVSTLQDLQDTDKRDRRDPPVHRGVAAGGGASSAKKASSCRQEAGPVVAYPRPSASLRV >cds-PLY64543.1 pep primary_assembly:Lsat_Salinas_v7:6:33485313:33489052:-1 gene:gene-LSAT_6X25940 transcript:rna-gnl|WGS:NBSK|LSAT_6X25940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTDQAELNSEQVLRRDIPWETYMTTKLITGTSLQLLRRYDKKSELDRAQLLENDGPSYIHVFISILRDIQKEDTVEYVLALIDDMLTANPKRSKLFHDKTLADEDIYEPFLRLLWKGSWFIQEKSSKILTSVVSARPKQNGPNSNGKATDSKKKITASDDVLKGLVEWLCIQLKKPSHPTRSIPASVNCLATLLKEPVVRSSFVQADGVKLLVPLISPASTQQSIQLLYETCLCVWLLSYYEPAIEYLATSRALPRLLEVAKGSTKEKVVRLIVLTFKNLLSKGSFGAQMVDLGLPQIVQSLKAQAWSDEDLLEALNNLEEGLKDNIKKLSSFDKYKQEVLLGHLDWSPMHKDPHFWRDNITNFEENDFQTLRVLLTILETSGDPRALAVACYDLSQFIQYHPAGRVIVTDLKAKERVMKLMNHENAEVTKNALLCIQRLFLGAKYASFLQV >cds-PLY69368.1 pep primary_assembly:Lsat_Salinas_v7:4:114678645:114681105:1 gene:gene-LSAT_4X72560 transcript:rna-gnl|WGS:NBSK|LSAT_4X72560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDYTDYRTEASWNYKKMLCAMYVFGYHKWNGIGFKELVEANKKREGNLVTLEQVSTFFHIYSCF >cds-PLY66165.1 pep primary_assembly:Lsat_Salinas_v7:2:4429858:4433375:1 gene:gene-LSAT_2X3261 transcript:rna-gnl|WGS:NBSK|LSAT_2X3261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFQPSDRPGPSSGSSSSSGFHHNSPFGDTTLTKIFVGGLAWETQSETLRQYFEQFGEIFEAVVITDKNTGRSKGYGFVTFQEPESARRACLDPAPIIDGRRANCNLASLGRPPRHSLPFGSLRSPTPYFGSYGYQQPFPYGYQQGLTYPSYTYPTFGPEYVYPQGIYNPYAGQQHYAQIYGVPNTQVYPYNQTMTGQQIFQFGGPGYSGIQTSSIQAPYPTGVAGPISVVPAPPQPQFIQGGGSGANARGSYTCMLTREVIFQFASGDWRSLQICLFFLSAATWLGLLVLWNTGGHGNGHQNLEPKNGSAILCNKQEPALESTYDFC >cds-PLY75493.1 pep primary_assembly:Lsat_Salinas_v7:9:33531285:33533031:1 gene:gene-LSAT_9X29260 transcript:rna-gnl|WGS:NBSK|LSAT_9X29260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVSNFTTLSGRPGIIRPTFINNVSWCNNKDFSSIPCSCVSSFGEKDKLSLQSSWKQYKLVAIWKPKREMKLKHFSGSHFNQQKGIPIPLRHASSHFPKPCQVKREDSDKTLSSESIIYDEQTLEQQLQIAIRDENYTQAAKIRDNLKLLQEDSKTAVLAANSRFYNSFRNGDLAAMQELWSKNENVCVVHPGVSGISGYELVMGSWEFVWADYEFPLSIDVKDVQVYVKGEMGYVTCVEMVRTTGKSWGRQFATNVFEKIDGRWCMCVHHASHVDL >cds-PLY96061.1 pep primary_assembly:Lsat_Salinas_v7:8:21306652:21308424:-1 gene:gene-LSAT_8X16800 transcript:rna-gnl|WGS:NBSK|LSAT_8X16800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFDRHMNLVIGGFEEFRKLPPAKGAKKNEEREDRRTLGLVLLRGEEVISMTVEGPPPPDENRAKAVGAAALAGPGLGRAAGRGIPTAPLIQAQPGLAGPVRGIGGPAPGMMQPQISRPPVPNMSAPPMNYPQAPVIRPGQMPYPGQGPPPQMPRGPPPQMPPQFAQRPPGQYPVPPPGQYGQRPMAPPPQMMRGPPPPGGAPRPGMPGPPPPARPGMPPPGGQMPGFGPPRPGMPPPPNNQQQQQQ >cds-PLY73996.1 pep primary_assembly:Lsat_Salinas_v7:1:33151414:33154263:1 gene:gene-LSAT_1X28641 transcript:rna-gnl|WGS:NBSK|LSAT_1X28641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLWFCILGFQLYNHGESFWVFCGIIVCFLDFQISVVRGESESISGPKRWSEDEIAIEAIKHALKALRKRHLLEEGAHGPAIIALSKPIASQGSEWKDKAETLELELQQCYKAQSRLSEQLVVEVAESRAAKSLVQEKEALIPNLENDLSQARDECSRLAALLEEKTKGLELLMGENKELKSQYEEMRTRAINAETENKTLIDRWMLQKMQDAERLNEANALYEDMLGKLKASGLEQLARQQIDGVVRQSEEGAEYYVESTIPNTCNHRIPAHEGGCASILFENTSGKLLTGGQDQTVKMWDTTTGQLTKTYHGCIGSILDLTLTNDNTCLIAASSSNNLYAWDTNTGRVRHTLTGHKDKVCAVDVSRFSNRHVASSAYDRTIKIWDLNKGYCVNTIIFPSNCNTLSFTPDGQTICSGHMDGHLRLWDMKSGKLLSEVAAHSLAVTSISLSRNGNTVLTSGRDNLHNLFDTRTLEVMGTLRANGNRVASNWSRSCISADDNYVAAGSVDGVVHVWSIAKGNIVSTLKEHTGSVLSCSWSGIGKPLATSDRNGIVCLWT >cds-PLY76647.1 pep primary_assembly:Lsat_Salinas_v7:4:115754602:115757460:1 gene:gene-LSAT_4X73780 transcript:rna-gnl|WGS:NBSK|LSAT_4X73780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDCWVLYFDLVDGLTYDYSGYIEDSHGNKHNVTLASASLQPFTPQQWQNNQANSIDKERKRAVTIHVSDVNGESIQGAQVIVEQISRDFPFGSAISKTIIGNIPYQKWFLERFNAAVFENELKWCETEPEQGIINYTIPDQMLDFIRANEITVRGHNIFWEDPIYIPSWVQNLTGDALNSAIKSRIQSLMSHYKNQFIHWDVSNEMLHFDFYEQRLGQNVSLEMFKLAHETDPLALLFMNDFNVVETCGDLNSSAAAYAARMREVEEGGVMMDGVGLEGHFVTPNLPLIRGVLDQLASLGLPIWLTEVDISNTLDQETQGKYLEIVLREVYSHPSVSGIMLWTAMDPNGCYQMCLTDSNFHNLPAGDVVDKLLLKEWSTGVVNGQSDFDGTFSFDGFLGEYMVNVDFQNRTSNSTFYISKGDDTTHFSIHL >cds-PLY82038.1 pep primary_assembly:Lsat_Salinas_v7:9:154860202:154860414:1 gene:gene-LSAT_9X98120 transcript:rna-gnl|WGS:NBSK|LSAT_9X98120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIRRIDNSTSRQVTFSKRRNGLLKKAQELAILCDAEFVAITEFVLFRFVWFLVEFESWTQSSSL >cds-PLY66765.1 pep primary_assembly:Lsat_Salinas_v7:8:72477605:72478986:1 gene:gene-LSAT_8X52281 transcript:rna-gnl|WGS:NBSK|LSAT_8X52281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRRRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPTEYKRSRLSRNRRTVNRAYGGVLSAGAVRERIIRAFLVEEQKIVKKVLKIQKTKEKTASKS >cds-PLY72947.1 pep primary_assembly:Lsat_Salinas_v7:5:9765049:9766184:-1 gene:gene-LSAT_5X5140 transcript:rna-gnl|WGS:NBSK|LSAT_5X5140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSILPQHHHLQHADATTGHHHHHRNRRVYCTATPPNTRPKLQKLVANTTPVITQPKLDVDPSLKSTWLHRAWLATGSTTVVLSLANSIIGSIDSHIWLEPVLSGFAGYLFSDLATGIYHWGIDNYGDASTPLIGAQCDMALGHHKWPWKITKRQVANNLHLLAGGVTFTMLPINLIYHDQPVVMGFLGVASGCVMFSQQFHAWAHGTKSKLPPIVVALQDAGVILSPSHHVGHHTPPYKNYCIVSGVWDRFLDKHRVYEALEMAVFRKFGLRPRSWSEPDDDCMVEAEASPP >cds-PLY65584.1 pep primary_assembly:Lsat_Salinas_v7:8:259356913:259359550:1 gene:gene-LSAT_8X151920 transcript:rna-gnl|WGS:NBSK|LSAT_8X151920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALNFSQRPIFPAHTSEDNLVSPLRMVNGGYGVEGMSDKGGDGYGKPRHGHVHGELHDRFSHGREIIDKSGSPDSVSKDIIDMLPSDPFGMEIDISTTFTAITGWLGDLEMDYGEYMRNRITNHKEDYGLFAGFNFFWSSAMRFQSFPTNFMYQDKYPTPTPTPTPTSYTMVDQDQHNVDVIMSFDHGSPSVLVPKSEEFVNISSSCPDVIGGEPHEAFVLALSYLQTRDLLLVERVCRSLCSTIRNDSLLWRNLHIDQPLNERITDDIVVQLTNRAEGNLHCLSLIKCPKITDDALKRVLKTNPKLTKLSVPGCTRLTIEGILNNLKSFNSIAESGGIRYIRTGGFYGITLEHFEELKQLLGIEKNDHDNDLNPRYYHRGNLYSESDDDRAIDVEVCPRCQNLRLVYDCPGDSCQEKKDECPDFCRACIICIPRCCECGRCVHNSEYEETFSLEYLCSECLKQLPRG >cds-PLY61645.1 pep primary_assembly:Lsat_Salinas_v7:2:47344283:47348386:1 gene:gene-LSAT_2X21361 transcript:rna-gnl|WGS:NBSK|LSAT_2X21361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMDNTSRGRPFDRSSRDLSTLKKPRLLTEETTFLRSSNTPNGGSRPVVQRQPALGFRQTAERDRDSESSDLTRGGGGYQPQSLTQSQLQQQHLELVSQYRTALAELTFNSKPIITNLTIIAGENLHAAKAIAATICTNIIEVPSDQKLPSLYLLDSIVKNIGRDYIKYFASRLPEVFCKAYRQVDSAVHSGMRHLFGTWKGVFPLQCLQIIERELGFQQPSNANGTSSSSSSPLGLTSSRPEPQSQRPVRSIHVNPKYLERQRLQQSNSVKSPANDTNRVDSPERQEKTAAMRPRADPRLKNIQQAQRDVDTVSIRENDNDFDYESEPGFDSSWYPPGGSSGGNGGDNILSGQRNGHGFPKVSPPNLQPSNNIGSKKGVLVNKSWKNSEEEEYMWDGINSQLAVPGKSGGGGGGGGSKRDPRSQAAVSGKSPPVQPPAHHRPPPPSLPSPVQSRAATQPQKNTASRHPILPPPVTTTSKSPVTDTTTTAAAAATGKQPPPLVSSLLSTLIAKGIISASNPDPPPAVSPPSPPAPVVVPSPVLLSTNNEPPSVSDIKSIIGFDFKPEIIRRSNPAVISDLIDDLHLPYQCHICGIRFKLEERFEKHIEWHNRKYPPSRRWFINSDEWVKEKGGERMVAAEIGGERMVVADESQCVCVWCGEVFEDFYSEEMEKWMFRRAIYLGVKGGDIGPIVHEDCISENSHFDLGLSNDVKSEEV >cds-PLY69919.1 pep primary_assembly:Lsat_Salinas_v7:4:70284566:70285464:-1 gene:gene-LSAT_4X49801 transcript:rna-gnl|WGS:NBSK|LSAT_4X49801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVLDLFVVALIPVHKTLLIIALGLFLAMDRVNILGDAARHHLNNHLNGCSLKSLGPHTPQHLHGLVIGSCVVGNLRNMLLITIPAVCEESNSPFGDKKLARLTGNL >cds-PLY88235.1 pep primary_assembly:Lsat_Salinas_v7:8:150030103:150032791:-1 gene:gene-LSAT_8X101081 transcript:rna-gnl|WGS:NBSK|LSAT_8X101081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLTRSVPLLLFLFCLVIKPCISQSTTTNTTAGDAATITKAANVSKPGCQSQCGNVTIPYPFGIGPGCFLSRWFELTCNTTFNPPKPFIGGLTILDISDSTFRVANKVASRCYDQFGNVTDDESISTNLGWTSPFTFSERNQFTLIGCDDLAVFQGPLQANFTSGCISLCTQPDQVSNGSCAGVGCCQVSIPKGIKYYGISLASVASNHMNIWSFDPCTYSFMNERERFTFGGVSDFMDPNFKARTKASVPMLVDWMIGNMSCSEARDAGVLTCQTNSYCIDSDTGVSGYRCSCNQGYQGQPYLDPGCQDMNECEDPNSNLCEGICTNTPGGYSCSCADGYVGDGFRNGRGCVAETSEFPVIKFSLGMGFGFLAILVGITWLYFGFKKRNLIKLRKKLFQQNGGLLLKQRIMSSEGSVDSTKVFTAEDLEKATNNYAEDRILGRGGYGIVYKGIFSNNQVVAIKKSRVMDETQIEQFINEVIILTQVNHRNVVKLLGCCLESEVPLLVYEYVSNGTLFNHIHDKGTNWLSLENRLRVAAESAGALSYLHSATSTPVIHRDVKSANILLDENYTTKIADFGASRLVPIDQAQVTTLVQGTLGYLDPEYFLTSQLTEKSDVYSFGVVLAELLTGRKPLCMERTEEERNLATYFLMALKENRLFQILDPKVVREGTLDQLQEIGELVKRCVNLTSDERPTMKEVAIQLEGLRKFTQHPWASRHEGEENTNLLNNENEQKDLYGESINMHSNTSELSSGFSIDSTLVYWTN >cds-PLY87591.1 pep primary_assembly:Lsat_Salinas_v7:8:111328080:111330619:1 gene:gene-LSAT_8X77941 transcript:rna-gnl|WGS:NBSK|LSAT_8X77941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMPSDDAVVIQKGKKQGDPFVITVNCPDKTGLGCDICWIILDFGLYIVKGDFTTDGIWCYIVLWVFPHPTSPTVRWSTLKERLLSICPTFSVSAYLNETPPKPSPSPVYLLTFCSTDRKGLLNDVTRVLFELELMIQRVKVTTTPDGRVMDLFFITDNLELLHTKKRRDDACDRLHDVLGESCTNVDIRSAGYKNDSIPSISSLSEEAARELFRSELSETEERSQALSTDVKKLKRANVIIDNSLSPAHSLLQINCVDHKGFLYDIMRVLKDFDIQIAYGRFSPVNKGQRDLDLFIRQRDGIKILNVEMQDEIISRLKLQMLHPLRVIITNRGPDMELVVANPVELSGRGRPQVFYDATLALKVLGICVFSAEIGRRHSTRENGVWEVYRFLLEEKSKYKTGVKNQIVDKVRRALMGW >cds-PLY89423.1 pep primary_assembly:Lsat_Salinas_v7:4:63382800:63383861:-1 gene:gene-LSAT_4X45700 transcript:rna-gnl|WGS:NBSK|LSAT_4X45700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMTRSMTRNQNRDDDASRKKRFKTCDNSGVTIWSDLNDDVLCLVIMQLGVVDFVAFSGVCKSWRSCALSNKKIFMAFKPPMSMQISNRAYDKECYCYLKDFEGRKYKTLLPHSSGRTCVGLTCGYLVLFGRETKDFWLVNPITRQELHFPDCPLYVDHDPPIIKAILVFSPSISKWVFIILDKFTHNILFSIAGNGAWNHVSSTFPILDLHAFKGKIYTINMGCRVYEMRLKPKHKLTLLEIKNFWMPHFLFPEFVSSGENLYVMNQSCEDLYKVHELDFGEMKWVMPEKTIEEYAFFLSNLNDSVAIKLEPCQSQYERYSFFPYTGQKYRVFDANMWYFPHQCMNVNLIDD >cds-PLY72646.1 pep primary_assembly:Lsat_Salinas_v7:3:183882860:183883378:-1 gene:gene-LSAT_3X110320 transcript:rna-gnl|WGS:NBSK|LSAT_3X110320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTSQIWDRKSEVQGMIGNIKRRKAKAEEASDEAKRRLKVAGYKQIFEKEEWNLQPRKKYFFTRNYSTIVAFTTRNYSTIVAMPFLPKLIC >cds-PLY65488.1 pep primary_assembly:Lsat_Salinas_v7:8:32588776:32591724:-1 gene:gene-LSAT_8X25661 transcript:rna-gnl|WGS:NBSK|LSAT_8X25661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTLSPASTTSPGNPSVFYRNRTRTTKTTHSKCRTRTRFRAKPLRAALVEEARPRALPPVRGNNNINGVVSSIVPASKSREDDIQAEAKALARASNASVYSPELLKLNYASRPFKVLRRTLQILIGLGSFGLKLWIDQLQGQLVQNRRSRAVELRETFTRLGPTFVKLGQGLSTRPDLCPPEFLEELSELQDALPTFPDAEAFACIEKELGVPLDSIYSSISTSPIAAASLGQVYKAKLKYSGQLVAVKVQRPGIEEAIGLDFYLIRGLGLLINKYADIITSDVVALIDEFARRVYQELNYVQEGQNARRFKKLYADKEDVLVPDIFWDYTSGKVLTMEWVEGVKLNEQAIIEGQGLKVLDLVNTGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEEARFAIIGHVVHMVNRDYEAMARDYYALDFLSPDVDVTPIVPALRNFFDDALNSTVSELNFKTIVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGKLRWNRLENLLVQGKMDRDFAAKDALQPVLKLVLGPDGEELRSLVIKEAIRVTEAITIGTVIDTYNSIPGPLRSFLPNGNGIGTTGLSEAEMESMLELREQVLRIWGLLRASDNFDPSVLQPIIQVLQEPEARNLGGRVFGGITQRLAARLLQQVLRSPTPTPALRAPTL >cds-PLY73698.1 pep primary_assembly:Lsat_Salinas_v7:5:203049255:203049617:-1 gene:gene-LSAT_5X94040 transcript:rna-gnl|WGS:NBSK|LSAT_5X94040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGTYFGGSPIPEFIGSLTQLRYLILYSAGSSGMFPHFIGNLSNLRVLDLGDMDLLVVDDFTWFSDLLSLTYLDLSRVSIVKAPNFDEVLLYMIPSLLELRLSGCDPSNSQFYQTHLD >cds-PLY99218.1 pep primary_assembly:Lsat_Salinas_v7:4:367314987:367324042:1 gene:gene-LSAT_4X179941 transcript:rna-gnl|WGS:NBSK|LSAT_4X179941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTERSENDDADRKITIGVCVMEKKVKCGLEVFSAPMGQILERLQAFGEFEIIHFGDRVILEEPVERWPICDCLIAFHSSGYPLEKAEAYAALRKPFLVNELEQQHLLHDRRKVYECLESYGIPVPRYALVNREKPYQDLDYFVEEEDFVEVHGQRFGKPFVEKPIHGDDHRIMIYYPSSAGGGMKELFRKVGNRSSEFHSEVRRVRRESSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKQMAREVCIAFRQGVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKMFLDAKAPHLSTAIPPILPWKVNEPAQPSEGLTRQGSGIIGSFGQAEELRCVIAIVRHGDRTPKQKVKLKVTEEKLLNIMLKYNGGRPRAETKLKSAVQLQDLLDATRILVPHTSDSEAEYIEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVLKGDTEEERPIEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASIKMEEAKARLKELITSRAKLVQTNGSSKKPWMIDGAGIPPNASELLPKLVKLTKKVTEQVRLLAKDEDEKLTETTSYDVIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKLERDLYNERKERYDITQIPDVYDSCKYDLLHNAHLNIEGLDELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEAINVADLKSQCQNTSSIAVVKEHRDHRQKNEDSRRTGEKSKDPDDDEDKEIKYRLDPKYANVRTPERHVRTRLYFTSESHIHSLMNVLRYCNLDGSLKGEASLVCDSALERLLKTKELDYMSYIVLRMFENTDVNLEDPKRYRIEMTFSRGADLSPLEKNDLEASSLHQEHTLPIMGPERLQEVGSYLTLEKMEKMIRPFAMPAEDFPPPTIPQGFSGYFKSTGVLERLVTGLQPNSRAMPQPNVVLMFYHFMWQALALK >cds-PLY94566.1 pep primary_assembly:Lsat_Salinas_v7:4:170786290:170792398:-1 gene:gene-LSAT_4X99840 transcript:rna-gnl|WGS:NBSK|LSAT_4X99840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIMDWRVTQAIVENDTVSFKNMVEQDEQVLDKKIGNTSVLHLASKTGHVEMVSLILELRPKMVAAENCNSETPIHEACRMGHEMVVRRLMEENKWVASKLNCEDQSALFLACTYGHLNIVNYLLDHTSWLMNIIDDAACIHTAVSRGQTDIAKKLLEKFSYLADQKDRNGSLALHGACRSGQLEITKMLLRMDPNQALEFDNNGYTPLHLAAINGNLDVLEEFASIAPSSFQILSKNGKNVFHLTVRYNKFDAFKFLDCILKGTDLFYQPDKFGNTIQHLAQSRCLNQFTQYIKNETKEQTNLQIIGNHTYNLYQTEVPTTDMAIANLSEIHVDVVPLDSNVIVEKYGDTSFDSQTTAQEEKHIKAHKKSPKREHIKLHREALQNARNTITLVNILIATVHSCNHIALFVSLCIVVVLVSIIPFGSKQLKRILAAAHKVTWVALSFMAVSYVAAIWVIMPVPNAIHFRDWILEALLAICGGTLGSTFFSLGVMHIRHRFKKHKWRKHEIEAGVKEASHKNASFSTNSDFFSCIPDGFMPSDHRCIIAYTIHIFLY >cds-PLY87977.1 pep primary_assembly:Lsat_Salinas_v7:6:171238746:171239975:-1 gene:gene-LSAT_6X104220 transcript:rna-gnl|WGS:NBSK|LSAT_6X104220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATYVIKMVSLMAILSCITFTSAKVYTVGDSGGWALSVDYTAWTSDKTFLVGDSLLFNYDSSHTVDEVSSDDYTTCNVGNSIMSYNSGTTTITLNTTGSHYFICGIVGHCSVGMKLTVDVTGAGGVGSPSAAPSAVTGDSPTATPFGTTLAPPTVAGSTSNIPVESSSPAVSPFAAAIFSLVALMSVLILG >cds-PLY96635.1 pep primary_assembly:Lsat_Salinas_v7:7:45921525:45924050:-1 gene:gene-LSAT_7X33820 transcript:rna-gnl|WGS:NBSK|LSAT_7X33820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTRVFGKPKQESSNTVTSLDKLNETLEMLEKKERVLLKKASAEVEKAKDYTRAKNKKAAIQCLKRKKLYEQQVEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKLIQEALATPMGAAADFDDDELEAELEELEGAELEEQLLQPATTAPAAPVQVPAGRQPPRPAPQKNTAEEDELAALQAEMAL >cds-PLY66410.1 pep primary_assembly:Lsat_Salinas_v7:9:38485536:38486147:-1 gene:gene-LSAT_9X33720 transcript:rna-gnl|WGS:NBSK|LSAT_9X33720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEITEEDDNEDSYFLHNRDAQKEKIRQLIKHQKNHYQSTSSSSSSSTSTGAASRSSCSSFDQNQSRKLLNLMKKGSTSLRRLFDMEHTSLANHFDFYCCSPETKTIPLWGSDSDDAIHDDPWMGITKISSRFIQQHQELEKHQEHDNEHKEYQHGNQKLTRKKSFSKFSSFYKFRFRFPFRFRLKPRLRFCSRIWRGKRKA >cds-PLY73914.1 pep primary_assembly:Lsat_Salinas_v7:3:39504023:39508859:-1 gene:gene-LSAT_3X29221 transcript:rna-gnl|WGS:NBSK|LSAT_3X29221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSDLEHVTGSPTAGESGFVHVESINSASMDGSAIIQDDGVVVTRVDAVQDESSDLRMTDDGGNEEFVDCPDDLLSYDGRTDFDESQEAEEVVSEDHQHSLFDSEHQILPHHYQEERQQLMTELTNLRHKLKILIKKHPLIDGKDGALFTYELAAAAAVGDDKSLWPLHEMIFDCSRFIELALGEQSQTEHTVRELYATIDTKDKEINDLNVKIFEYSSVETTTDRILSSLAHALGVEESVHTSVSDKMLHLEKSISLLIGNQNHFLSEIEMLNQCLTELKRKELELTHKSSHIEDEYRKLMEHFERGREAIKMLNSEIGRLKGEVEVEKTRYSNTREKLNLAVTKGKSLVQQRDSLKQAVVEKTSELEKCLLELQEKSSALEAAELRKNEMLQFETLANSLKDQSFKLQDEINTMKEAESVKIDRLTVSLLIEALEKKYLEDEFADMTHKFEDFVKKEKMDGLELDKCSSKIAEVSEELCVLKDTNGCLKIDLQRSEDKVGLLKEKLSMAVKKGKGLVQEREIMKKQIAEKNAHIEALNLELKNQEDQISKLSSDVERIIKLESDLLHSKEERDQIEQFLVESNTILQQVIDATNAIVLPNDINDPVEKVKLCAAYLNECQVSKAQVEQELRDVKNEALKTTKSLEDALSISEKNMSQLNEEKIKLENLKTRIEEELHNAKEESCRTIKSLEDTVSQLQTHMSQFSHANETTQNNINLLESEIKKLKDEAAYHEHKFMDASETIKTLEDTLLKANNSVSNLLEEKKNAEQEISNLREELTCKTDDWPSELSSFLENLQMLLKDETLLILFKQSNEKKFETFIKMDHYLKDMKGYLDSEELQDYPDIEERFQLSTLFNNVLNTETTNSNEADAANIKSYAGKTLEKLNLRNQILTDEFIRFSTFIDELTTSLLTKLEAINNIMPITLEHTKTLQKNVDDLQIDKQVQEEKMLLISTEIKELQSELEKTRSIYDKVKEENDMLQHRVSKMETELEASQTMRGEMGIKLQDFQAKEDEWNRREAELSKQLTEFAKDHEAENKKGPTEASPLAPQSEISEVEDPVSIGKRSMSLVRSSRRGSNDHLALNIDQESDNLIDKQETVQDKGHIFKSLHTSGLVPTQGKMKMVADRLDGMWVSGGGALMSRPRARLGLIAYWVVLHLWLVTTLL >cds-PLY68314.1 pep primary_assembly:Lsat_Salinas_v7:7:97239325:97243363:1 gene:gene-LSAT_7X64840 transcript:rna-gnl|WGS:NBSK|LSAT_7X64840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDANSKSNKFSWSKKLVRKWFNIKSKTDESQTDNVVCGGSFCEREPPAIKKTKTEKSSRSTEARRWSTVDLDHPQIINIQNYSIFSATWNVGGKSPSNKMNLDDWLHAAPPSDIYVLGFQEIVPLNAANILGAEDNGPAKRWLSLIHRTLNNRPGGGNGCYTPSPVPDPVAEYDADFEGSTRHKNSSFYHRRSFQAPQNENYQSTPPTHLERRYSVCDRAIFGPRPSDCSSHRPSDYSSSGHRPSDYSASHSHRPSDYSVCNSSYRPSDYSSSQRPSNYSWSQRPSDYDDYGPMEDIPNPNGNGNSFSPMSNWGANSVEDGYRVGPTHSSYCLVASKQMVGVFLAVWVKSELREHVGNIKVSCVGRGLMGYLGNKGSVSVSMLLHQTSFCFVCSHLTSGQKEGDELRRNSDFMEIVKKTRFPRVQGITEDKSPETILEHDRIIWLGDLNYRIALSYRSAKALVEMQNWRALLEKDQLRIEQRRGRVFQGWNEGKIYFPPTYKYLTNSDRYTGDNLHHKEKRRTPAWCDRILWYGRGLHQLSYVRGESRFSDHRPVYSLFWAEVELVHSRYRRSMSCSGSRIEVEELLPYANGYTELCFF >cds-PLY63564.1 pep primary_assembly:Lsat_Salinas_v7:9:149604227:149604964:-1 gene:gene-LSAT_9X95381 transcript:rna-gnl|WGS:NBSK|LSAT_9X95381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASTNQIEFQDHLPLIEEKLGGDGLIGELCNGFRLLMDADKGLITFDSLKKNSSLLGLQDLSDDDLLSMLREGDYDGDGALNQMEFCVLMFRLSPDLMEQSGFLLEEALEQEVNNSHL >cds-PLY97708.1 pep primary_assembly:Lsat_Salinas_v7:8:6104983:6106677:1 gene:gene-LSAT_8X5421 transcript:rna-gnl|WGS:NBSK|LSAT_8X5421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADWQQYTQSVVFGLVFSFLLAKLFSRIFSFGDENPRITHANPSEEQPDVIPSEPHWKSAEEDKRSDAEFPKKHISSPQKESLVDQPKKIAATGSDSDDDWEGVESTELDEAFGAASAFVAAITANRSSRKVSNDLKLQLYGLYKIATEGPCNVPQPSAIKMSARVKWNAWHKLGAMPTEEAMHKYIEIITELYPTWNDGLKSKRRDGDSNEPMGPVFSTLIHEESGNELKLDAIHDFAREGDMKNLLKCVESGVSVNTKDSEGRTPLHWAVDRGHIDVVQLLLSMNADVNSKDNEGQAALHYAVVCGREEILELLVKRNGGGIKDDDLSV >cds-PLY89917.1 pep primary_assembly:Lsat_Salinas_v7:8:68727348:68727557:1 gene:gene-LSAT_8X49040 transcript:rna-gnl|WGS:NBSK|LSAT_8X49040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITLLLPLFDKNETTSSMKTLTTTPILKRNLKEVFDLESNDHLSSTKTPKISPDGPTKQLLKVKLEKND >cds-PLY79182.1 pep primary_assembly:Lsat_Salinas_v7:5:58118973:58122780:-1 gene:gene-LSAT_5X27361 transcript:rna-gnl|WGS:NBSK|LSAT_5X27361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESLSRPFLPRKLSNATTFSPRNSYDGVFSGHRHNYAGASPIDVQDYREIFANSSAASSIPVLDLSTLREPSSDALNLNLHLNLNFRSSEPDYSKIFGGIRDEDVAVSYEELFARDKARAPSSKTSSSPDSDNLSHHSADALKQFNMSYNKISRKNKDGLDHGTRHVTQLHAIPGFTRFIDEAIPPLMEAKKQDSAAVHVSLDSIKRSKHHPSTVSSPSSSPNNIVDHKDYPKKSTAMNSESCESDASESYFDAEGDANSAASASAAALKKAIEKAQESIRIAKESVGRKKKGLRSFSSKSFKDSLKVEEPKCKDEVRGVFERSTASVTSHVVGRNRKYGDTVVFPDFMDSEKLSVAKRVIDEIHGKFSESTKESSILKDNTIVSISTQVTHETVEIDISRESNDLTVSLKVEEDEKKVPLESVLSDNEKQEEVLEQEHLKNAEKSDDEASDNIQESKESEGICHNKLQKDDFEKKHEDNHIGDQKVETLELDHNVIEEMETHKYSKVDMNDNNEETTQEVDDDDTEAMKETADEVIEKTSIDQTSSDDYEDEYEVKSDETNGSEENDVESESSSSREEEEEEEESQTNIQLPHNENPKIETTKETIDEVAAREREREKDRLAVDRAIREARERARERAERAAVEKATEEIRQRMRADAQQKAAKASAQSKLRAERAAVERATSEARQRALEKAMTKKPLQDSSNGTTTSESALRNKAKLEKDNRIMERARLAENLDADIKRWSNGKEGNLRALLSTLQYILGGESGWQPIPLTEIIGRSAVKKAYRKATLCVHPDKLQQRGASIQHKYICEKVFDLLKVFNFFTCYINVLY >cds-PLY79858.1 pep primary_assembly:Lsat_Salinas_v7:8:14918791:14919243:-1 gene:gene-LSAT_8X11001 transcript:rna-gnl|WGS:NBSK|LSAT_8X11001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPVTVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTRRQIG >cds-PLY90515.1 pep primary_assembly:Lsat_Salinas_v7:2:42238501:42246116:-1 gene:gene-LSAT_2X19861 transcript:rna-gnl|WGS:NBSK|LSAT_2X19861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRNDQSESLKGQEHNKGVKHLCEMGIIKVPKKYILPTLERPDNSITTELHDVTNLKLPVIDFAELHGPNHEQLITSLAHACQNYGFFQVINHGISSNVLSEMIDVARRFFELPLKEREKYMSTDVHSLVRYGTSFNQMKDGVLCWRDFLKLVCTTEAHSQWPSSPLDFRVMGVEYARETKMLFQSLMEAILESLGFEEKNTHNKTPKTNQESGNDNYHNKREENYDNIKKDIGDGSQLMVVNCYPPCPEPELTFGMPPHSDYGFLTLLLQDEVEGLQILHKDHWVTIKPHPQSFVVNIGDHLEILSNGRYKSVMHRVLANSKKSRISVASLHSLAFTAVIRPWPMLINDENPKRYKDTDFADFVQYITTCDSKHKNFLESRKLTDIYT >cds-PLY63904.1 pep primary_assembly:Lsat_Salinas_v7:5:127483839:127489122:-1 gene:gene-LSAT_5X57021 transcript:rna-gnl|WGS:NBSK|LSAT_5X57021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLPIGTIWKRSGITHSKMSFVLTLKSTLFLTDAPLNPKVNRQKMTEIMFETFNVPAMYVAMHPLLSLFAVDRKTGIVLDSGEGVTHVVPIYEGYPLRHAIKRLDLAGRDLTDSLMRIINERGYSFTTRAEREIVREMKEYLAYVALDYEQELETAKSSSSVEKSYELPDGLMITIGSERSRCPEVLFQPSLIGMDAAGIHEIIYSSVMTCEVDIRRDLYQNIVLSGGSTMFPGIADRIRKEITALAPSSMRIKVIAPTDRKYSVWIGGSSMACLTTFKKDCISKEEYDECGVSIIHRKWL >cds-PLY64401.1 pep primary_assembly:Lsat_Salinas_v7:4:22476260:22487593:-1 gene:gene-LSAT_4X14740 transcript:rna-gnl|WGS:NBSK|LSAT_4X14740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSHGRKVARLHMHDWLILLLLAIIEIILNIIHPFYRFVGKDMMTDLKYPMKDNTVPLWAVPVYAVLLPILVFVFIYFKRRDVYDLHHAILGLLFSILITGVLTDAIKDATGRPRPDFFWRCFPDGVDNYDQWGNVVCHGKDSDIREGHKSFPSGHSSWSFAGLGFLALYLAGKIKVFDRRGHVAKLCIVFLPILMASLVAVSRVDDYWHHWQDVFAGGILGFTVAIFCYLQFFPAPYHSEGWGPYAYFRALEETRSNRRVEDSAVGEVGKLKGKEKKVSEEETMEKISKRKNDKEEKPDSKKRKAVVLSTEKKLVDENEDSDFETREKKRKKKKQIKKHFDTLRNRCSPGALLSVIQGFNEVQKDCVEDIGFGDLLKMKMTEVPGALSCFVLEKFDSTTKKIILQRGKIDVTRESVHQILGFPLGRKKFSELPLRTIEDNTYEEWTQQFENKSMIRLQEIKMKIVSSNKADMNFKMNFLALLINSLIESSSSGKANTSPLKYIMEDTKISNIDWCSYLIDCLVKTKQSYDPSSSTSNFVGPSAYLVLVYVDSVHSEVIQVERTRPVICHWTSEKMKLRESYEKEELGDFGTGEFNEEFVERDLNEEDYEEMVLVKYRKLRMLTEKGIDKFPENITLNHLKVHLDTIFDDENEYDNKEKENDNVEGSNEDDEEYNDGIEGG >cds-PLY99411.1 pep primary_assembly:Lsat_Salinas_v7:4:102508601:102512281:-1 gene:gene-LSAT_4X66700 transcript:rna-gnl|WGS:NBSK|LSAT_4X66700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVAIEGLDSDVSILKKVKHDEEVLNPTSEGGSSVSLSSGNINSDTSYHDDDNEGDDMVDEEEEEEEDDAFDYDDDGDYMFENIEEEEEDHYLSMQAQFDNVDLPPGVEASFSWLKDPVPSTSVTGGASYTGAMDPQKIQEISISKGKDVASSSSTVVQEAVSGSVEKKEEVVEVESMKKFEEFKLFDIVNDYSDHHFNSAGFQGQQPPKSWTKKIQDEWKILEKDLPDSIFVRAYETRMDLLRAVMIGPAGTPYHDGLFVFDVHFPPNYPDIPPMVYYYSGGLRLNPNLYDCGKVCLSLLNTWTGKGNEKWMPKKSTMLQVLVSIQALILNANPFFNEPGYDNMYTGAEGEKKSRTYNEEIFILSLKTMMYTLRRPPKHFEELVAGHFRTRAHAILSACRGYMEGVPVGGGGGGLIGEGGSKSFKAAVGKMLNGLVSNFTRYGATGCDQYLPLPPL >cds-PLY83641.1 pep primary_assembly:Lsat_Salinas_v7:4:41598459:41602830:-1 gene:gene-LSAT_4X27560 transcript:rna-gnl|WGS:NBSK|LSAT_4X27560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPGPNKRKSTKKKKKKAKPSKSSASTPEHSPSDGESDDGEFSSSTSNSQHTSPLPPVKLETSEDKKTDEKESKIEIDKRSQEKSLIPRSSSANKSRDKKKAVANEPANSSPEKGILNPVNNKTKRQQKNTKSPLKQTGVDENSSNLIHPKETGLAELVEKLVSVDEKASPKSKDCLTASTLNCPFCGRGGADRLKEHDTPECPEKYRKKNRRLSEHTSVHVPAISGSDALLYLFPIKRHRQTPTITNTTGFPPCFLLASHSRSRTPQQRHCSSASPSSASSNSRVHHQLRDESLSSLLDPSIVEEEA >cds-PLY80898.1 pep primary_assembly:Lsat_Salinas_v7:8:126168274:126170745:-1 gene:gene-LSAT_8X88081 transcript:rna-gnl|WGS:NBSK|LSAT_8X88081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVKEAGVIENLRSVVFKESESLEGSCAKIQGYDFNTGINYSQILKSLISTGFQASNLGDAIETVNQMLDWRLSHEQVTEDCSEEESNPAYRESVKCKIFLGFTSNLISSGVRDIIRYLTQHHMVDVIVTTTGGIEEDLIKCLADTYRGEFSLPGAALRSKGLNRIGNLLVPNDNYCKFEDWIIPIFDQMLQEQNTQHVLWTPSKVISRLGKEINNESSYLYWAYKNDIPVFCPGLTDGSLGDMLYFHSFRNPGLVIDVVQDIRAINSEAVHANPRKTGMIILGGGLPKHHICNANMMRNGADYAVFINTAQEFDGSDSGARPDEAVSWGKIRGSAKSVKVHCDATIAFPLLVAETFAAKREGEMKNVESTKALV >cds-PLY94999.1 pep primary_assembly:Lsat_Salinas_v7:5:5173330:5175687:1 gene:gene-LSAT_5X2481 transcript:rna-gnl|WGS:NBSK|LSAT_5X2481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT4G26770) UniProtKB/Swiss-Prot;Acc:Q1PE48] MPELGIGENQLRLAFPDVMKVNGSDLLVNDQNKYRSMWIRTYSSVWMLVGFILVVYLGHLYLWGMIVVIQILMASELFNLLRRAHEDRRLPGFRLLNWHFFFTAMLFVYGRILSQQIMHAVTPEKFFYNLATSFMKYQMVICYFLYITGFMWFILTLKAKMYKYQFSQYAWTHMILIVVFTQSSFTAANIFEGIFWFLLPASLIAINDVAAYFFGFFFGKTPLIKLSPKKTWEGFLGASVTTVISAFMLANVLGRFQWFTCPRRDLSTSWLQCDPGPIFTPEIFELPSGDFGGSIPGHGGFTDRMDCQMVMAVFAYIYHQSFVIPQYYPVEILLEQILGSLNFEEQVLLLTKLKEIIDARQIQN >cds-PLY86133.1 pep primary_assembly:Lsat_Salinas_v7:4:231276590:231276853:1 gene:gene-LSAT_4X126340 transcript:rna-gnl|WGS:NBSK|LSAT_4X126340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPVTTSAAFVGSTVDIFLDSKVGVLVNAHRAGEKRKVVQTMSGPAPEGSSGHIGQHVIQCRGLMRLLSATPSGPWDVLVIPDDDTQ >cds-PLY80065.1 pep primary_assembly:Lsat_Salinas_v7:4:348082172:348083615:-1 gene:gene-LSAT_4X171540 transcript:rna-gnl|WGS:NBSK|LSAT_4X171540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKPHAILVPYPAQGHVIPMMELMQRLVKHGVKVTFVNTNFTHKLVTDALSEDDKLNDLASLVSIPDGLEAGDDRFELGKLSDAIFRVMPGKLEELIEELNGKGDDCEKVTCIVADTCMGWSFGIAQKMKIRSVAFWPASGVVLASLICIPKLIEDGYIDEKGTLMKKQMVRLSPTMPAISSSDFTWLSIGDLKTQQSLFDLIQKANEFMKLADYLICNSAYELETSTFTSFPDILPIGPLLASNRVAKQIGHFWKEDSTCLTWLDQHPIGSVIYVAFGSFTVFDSRQFDELAVGLEMTNMPFLWVVRPDMFEDMKNEGFDDRISKRGKIVGWAPQQKVLNHPSVGCFVSHCGWNSVLEGVSSGLPFMCWPYFADQFINRVYVCDVWKIGLEFDKDESGIITREEIKNKLEKLLEDDEYKVRAVDLKEMAAVAVGTGGHSDKNFSSFIDWIEERDT >cds-PLY74904.1 pep primary_assembly:Lsat_Salinas_v7:3:131081698:131081976:1 gene:gene-LSAT_3X88560 transcript:rna-gnl|WGS:NBSK|LSAT_3X88560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPTGRHLLLHFQHRTITTAEIGTTKQPLMALVVSINEDRLTAAAVVYSDEKTKSLIVVTAEDKDGAPPAIASVAHSSTTPLVPSLVIHFKP >cds-PLY86537.1 pep primary_assembly:Lsat_Salinas_v7:2:208668016:208669626:-1 gene:gene-LSAT_2X128820 transcript:rna-gnl|WGS:NBSK|LSAT_2X128820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g25270, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25270) UniProtKB/Swiss-Prot;Acc:Q9SB36] MAVASIIQCQILNAFSFNKNQNQIKKLSLAKLSPTQLLVNQKRLPQTKLEALDNVVDDLEAAVKNGIQIDDPSMFSSLLETCFRLEAVEQGIRIHRLIPKTLLRRNVGVSSKLLRLYASNGYIEEAHQVFDYMYGRNSSAFAWNSLISGYTETGLYEDALALYFQMVEEGVDPDGFTFPRVLKACGGMGLIHVGQQVHREIIRCGYVNDGFVLNGLVDMYAKCGDIVKARKVFDKIPSKDIVSWNSMLTGYVKHQLLFDALVIFRFMIQDGYEPDSISISTILTAELSQKLVSQIHGWVLRKGTEWNLPIANSLMLVYSNHGRLDLARWVFDEMPERDLVSWNTIISVHKNHRNALSYFNRMLNSNTSPDAITFVSVLTACAHLRLVKDGEMLFCNMTEKYAIIPSMEHYACFVNLYGRAGLIVEAYKVITDKMQFAAGPTVWGALLHACYVYKNVEIGEIAAENLFELEPDNDHNFKLLMQIYGKVGRVHDVERVRVMMVTRGLDC >cds-PLY68382.1 pep primary_assembly:Lsat_Salinas_v7:MU044599.1:68006:68583:-1 gene:gene-LSAT_0X22380 transcript:rna-gnl|WGS:NBSK|LSAT_0X22380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHERLSGNDGGAALDQTVRMIDRRISRHHTIDQPIWADPADASSFLDNVDELVAVIHFLDKDGGAAKTAPSLADINHTRKLGVWLCLTETSGEEEEEGCHGRQLRRERKRIQWVFGTCFSHQNKKGKRDGGRWRQERAVRVAIGGLLAPPVSFSVSIIET >cds-PLY92410.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:2208851:2210499:-1 gene:gene-LSAT_0X25680 transcript:rna-gnl|WGS:NBSK|LSAT_0X25680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGDVLCEPAKHWFLGSSLSTGHYGSVAHRRHASDSSFPIKLVVPATDCVDRRSIVNGLNFSDSGVSASIWHAILPAGNDRGRHNPSPVGEGSWNVAWDVRPARWLHRPHTAWLLFGICAYLAAPPVEFTESVSDSVVADDDDINGICTTGVLENSVNYRVTGVPADGRCLFRAIAHMVCLKNGEEAPDENRQKLLADELRIQVVNELIKRQKEIEWFIEEDFDAYVKRIEKPFVWGGEPELLMASHVLKTIISVYMLESGSSKLSKIATYGEEYENGENSSIKVLFHGYGHYDILEDI >cds-PLY93875.1 pep primary_assembly:Lsat_Salinas_v7:4:270373847:270374490:-1 gene:gene-LSAT_4X138720 transcript:rna-gnl|WGS:NBSK|LSAT_4X138720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHLAGMDAITLTKLILDAHTRAAEHSDACKDLFRRVKFVGNLLVELRVRDLNVSAIRKPLVDLEEALRRTYLLVAKNQEHNYAYKFALGWKINRKFKKALKEIDTIISIITLIFFVDYNRPPQITNSTDKEILLQEGYCGDKVDDGEVIQNVSCDDFECSVDDVELTWNVSEEDVRERR >cds-PLY96640.1 pep primary_assembly:Lsat_Salinas_v7:7:45277345:45280614:1 gene:gene-LSAT_7X33221 transcript:rna-gnl|WGS:NBSK|LSAT_7X33221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAFIRLSIGSLGLRYPETNPKSPKSQIQTFSSPCTCEIRLRGFPAQIASIPLISSPESTPDSHNIASSFYLEKSDLKALLTPGCFYNPQACLEIVVFTGRKGSHCGVGVKRQQVGTFKLDVGPEWGEGKPVILFNGWIGIGKMKQETKKFVAELHLRVKLDPDPRYVFQFEDETKLSPQIVQIQGNIKQPIFSCKFSQDRVQQVDPLNNYWSSSGEASDQEIERRERKGWKVKIHDLSGSAVAAAFMTTPFVPSTGCDWVARSNPGSWLIVRPDAFRPENWLPWGKLEAWRERGGVRDSIFIRFHLLSDGQDGGELLMSEILINAERGGEFFIDTDRQATSNSNIPSPQSSGDFAGLSPAVGGFVMSCRVQGEGKRGKPMVQLAMRHVTCVEDAAIFMALAVAVDLSIEACRPFRRRVRRGNRHSW >cds-PLY98512.1 pep primary_assembly:Lsat_Salinas_v7:7:126073703:126073906:1 gene:gene-LSAT_7X75801 transcript:rna-gnl|WGS:NBSK|LSAT_7X75801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPVDVPAPPVDPVQVDDPHPDVDVPAQPVATRQRIRKYSERITKIGLRRKVLKKEGSTGHNPMVLE >cds-PLY64898.1 pep primary_assembly:Lsat_Salinas_v7:1:166724229:166724531:1 gene:gene-LSAT_1X111920 transcript:rna-gnl|WGS:NBSK|LSAT_1X111920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKIIKVLIKQAEDEAFALADVENDFYLNQQTKADIQASMSRGPTYLNYLFIDKLVVDNTYEASRVMQTIYKLKREAEMRMEELKKELKKLPEDDRPYVD >cds-PLY92530.1 pep primary_assembly:Lsat_Salinas_v7:3:253465679:253467222:-1 gene:gene-LSAT_3X139781 transcript:rna-gnl|WGS:NBSK|LSAT_3X139781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELKNILHMNVGNGESSYARNSFLQETVIRKTLPVLKSAVKGMANLDTVLSKCFVIADLGCSNGPNTLLAASIIIDVVLERCREDNHHKAPQFQVFLNDLYGNDFNAIFQSLPNFYANFKKEKGENFSCFVSATPGSFYGRLFQDGSLHLVHSAYRKQFHTDMKMFLQMRSSEIVRGGCMVLTFLGRSSVDPTSDDCCSLWGLLGQSLHNMIKEKLVQESDINTFNAPVYFPCEEEVRNVIHDEGSFSLDTLNAFQLNWDPYDTDYTSMKAFNEQCYIHGKNTAMFMRAVTESLLVSHFGDLMNTDVLFKNMEKQVAEYLTRKKTRHFTLVISLTRK >cds-PLY85313.1 pep primary_assembly:Lsat_Salinas_v7:5:326339404:326346065:1 gene:gene-LSAT_5X181080 transcript:rna-gnl|WGS:NBSK|LSAT_5X181080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPTKLMGSDKDLKVLENSATVFNLALVVLSLLIVLVRKIAVYTWHNRVSKEEADEIGSRVSAVETQFLNVEIGKVYKASVCCCFYVLLLQAVVLCYDGVCLITKSAQGEKGVSLEPLFLPASQFFAWFVLSFSTLSCKVKTLERLPLLIRVWWVTSFMISLLTLYLDGKEFAIEGLEHLKNSHLLANLAATPALGFLFLLGLRGATGIHIIRGSGLQEPLLVEEDAGCLKVTPYSEAGIFSLATLSWLNNLLSIGVKRPLELRDIPLLAPEDRSKFNYKILNINWERLKAENQASLAWALLRSFWKEAAKNAIFAGLYTLVSYVGPYMISDFVDYLGGIEHYPHEGYILAGVFFVAKLIETLTTRQWYLGVDILGMHVRSALTAMVYRKGLRLSSSARQSHTSGEIVNYMAVDVQRVGDYAWYLHDIWMLPLQIILALAILYKSVGIACIATLIATILSIVITIPLAQVQELYQDKLMAAKDDRMRKTSECLRNMRILKSQAWEDRYKVRLEEMRSVEFKWLKKALYSQAFITFFFWSSPIFVAAVTFGTSILIGGQLTAGGVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRITGFLQEEELQEDATIVLQRGMSNVAIEIKDGEFQWDSSSPRPTLSGIQMKVERGMRVAVCGSVGSGKSSFLSCILGEIPKTSGEVRICGSAAYVSQSAWIQSGNIEENVLFGNPLDKAKYKRVLHACSLKKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYILTALATKTVVFVTHQIEFLPAADLILVLKEGQIIQAGKYDELLQAGTDFNTLVSAHQEAIEAMDIYNHSDDESDDNHGLIKNCESAVSSIDTTTMVPNESMSASDKKAIKEKKKAKRSRKKQLVQEEERERGKISMKIYLSYMAAAYKGLLIPLIIIAQTLFQVLQIASNWWMAWANPQTEGDTARVSNLVLIAVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFMKMLTNVFRAPMSFFDSTPAGRILNRVSVDQSVVDLDIPFRLGGFASTTIQLLGIVGVMTQVTWQVLLLIVPMAIACLWMQKYYMASSRELVRIVSIQKSPIINLFGESIAGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSIAAIEWLCLRMELISTFVFAFCLVLLVSFPRKAIDPSMAGLAVTYGLQLNARLSRWILSFCKLENKIISIERIHQYCHIPSEAPPIIEGNRPPPSWPVEGKIEIIDLKVRYKESLPVVLRGVTCEFPGGKKIGIVGRTGSGKSTLIQALFRLIEPESGRIIIDNIDISTIGLHDLRGRLSIIPQDPTLFEGTIRGNLDPLEEHTDQEVWEALDKSQLGDTVREKEQKLDAPVLENGDNWSVGQRQLVSLGRALLKQARILVLDEATASVDSATDNLIQKIIRMEFKDCTVCTIAHRIPTVIDSDLVLVLSDGQVAEFDSPIRLLEDKSSMFYKLVTEYSSRSNGIPDYS >cds-PLY89432.1 pep primary_assembly:Lsat_Salinas_v7:9:65982511:65984936:-1 gene:gene-LSAT_9X55320 transcript:rna-gnl|WGS:NBSK|LSAT_9X55320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPLWKLVFLMEPAPVTLILTAIAVTFGSALRALNYGKEMEKNRDLSEASITLDRSQALMIPVMSSCSLLMMFYLFSSVSQLLTAFTAIASISSLYFCFSPYISHVKSQFNLSDPFISRCCSKPLTRIQSLLLFLCITTVAAWLVSGHWVLNNMLGISLCVAFVSHVRLPNIKVCAMLLICLFVYDIFWVFYSEWFFGANVMVAVATQQASNPVHTVANSLSLPGLQLITKKLELPVKIVFPRNLLGGVVPGNTATDFMMLGLGDMAIPSMLLALVLCFDHRKENISLSPHKGYKYIWYATIGYAVGLVTALAAGILTHSPQPALLYLVPSTLGPVVVISWTRKELKELWEGSSPNLTEKTHSTEV >cds-PLY65667.1 pep primary_assembly:Lsat_Salinas_v7:5:274854884:274857315:-1 gene:gene-LSAT_5X145741 transcript:rna-gnl|WGS:NBSK|LSAT_5X145741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc2 [Source:Projected from Arabidopsis thaliana (AT4G26180) UniProtKB/Swiss-Prot;Acc:F4JU70] MGEKVQNDKDGVSYDTNTTPREGVVEGMPLFAKELIAGGVAGGVAKTIVAPLERVKILFQTRSDFHNIGLLASFRRIAKTEGLFGFYRGNGASVARIVPYAALHYMAYEQYRRHIDFNFPGMGSGPVVDLLAGSLSGGTAVLFTYPLDLVRTKLAYQVVDTPKLNIKEAMSSEHAFRGIRDCFSRTYRDAGIRGLYRGVAPSLYGIFPYSGLKFYFYEKMKSHVPGDYRKNMMVKLACGSVAGLLGQTFTYPLDVVRRQMQVQRLQGSSSQLKGTMGTLVMIVQKEGWKHLFSGLSINYLKVVPSVAIGFTVYDTMKVYLKVPPREKVIVEMATNKRETQTTTLPSSQ >cds-PLY86151.1 pep primary_assembly:Lsat_Salinas_v7:6:156789941:156791559:1 gene:gene-LSAT_6X94780 transcript:rna-gnl|WGS:NBSK|LSAT_6X94780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEETGVAMSEKTDTTSSGDSASMESTMERLSHLENLYFPRAQQSSALLPSQRKSILQDLYSRDVPVFLERYGSLLTLEELKEFDTLSDDYEINWHLNHLRSLINPTSEDLKSRSAKIKNRRRAYMDKLMFDGKFFSEDAMRDREPYLHHEFVGKFQDQSGRRMARPGERWSETLIRRAEEALLVEKIRREQQRLGVDEREWVGNERQQEEQEEEEEEEEEEIEEGNDGDDNVNGKMHQHEVLPDDKGNLVNAEASEATSILSTEEMEDRMDQFTHVMQQKFLAGEDDEHFNYLSIDEDETLDDHWMKEANYDAEEKYFADV >cds-PLY62938.1 pep primary_assembly:Lsat_Salinas_v7:2:212455079:212456191:1 gene:gene-LSAT_2X132121 transcript:rna-gnl|WGS:NBSK|LSAT_2X132121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNLNTLNLQNNLLSAIPISLGNLSRLQSLDLSSNILKGPLPHTIGQLSQLEALNVSHNNLSGVVTEAHFTNTSLLKQLDATSNHRLSFKFSPDWKPHFQLAAILLGSCTIQSEFPQWIRTQRSLYTLDLSNASIFGPLPGWLRELPINNSIDLSHNYLEGPLTNLPLSRISDFPRVLLMNNLFNGSIPDSLCSITDLVILNLSKNKLSGSIPECIGNLRELVVMILSSNRLSGVIPSSLGNLCSSLIWLQLNNNSFYGQLPNTLANCTLLHVLDLGENQFSGKIPKWIDEKFKMLRVLRLHKNSFTGQIPVELCESSNLQIMDVGDNNLTGTIPPCFMKFSQMRGGDPNFDSLAGFEHSLNQVIKGVTL >cds-PLY61711.1 pep primary_assembly:Lsat_Salinas_v7:5:216898341:216898619:1 gene:gene-LSAT_5X100301 transcript:rna-gnl|WGS:NBSK|LSAT_5X100301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAIGVKIGTTLNWKETELREAALEGDDEIAERKREGHRSCRNWTRTDGRSPVARSEEDDRLWVVVGSRESRGKDRFSSLDASSVGQNWN >cds-PLY90350.1 pep primary_assembly:Lsat_Salinas_v7:2:198694799:198696865:-1 gene:gene-LSAT_2X119861 transcript:rna-gnl|WGS:NBSK|LSAT_2X119861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQETVLPPHVLIFPLPLQGPVNSTIKLAELLCLSGLHITLLLTHHIHTRLLKYSNIQSRFSSYPGFHLETIPDGLPEDHPRSIDRFIEVLESLKTKSSILFKDLLTSGKLSSDSRRPVTCIIADGTMGYTCDVANEIGIPIMYIRTISVCCLWVFFCLPSLIQSRELPFSGDDLDTPIKNIPGMEEFLRRRDLPMFCRFNLSDPNVQTYLSEGTENPRAHGLILNTFDDLEGPIVSQIRTFCPNLYTIGPLHAHLKLNLESFSSSPPSSNSLWKEDMSCIPWLDSQPPKSVLYVSFGSLAVMTKEQYMELWYGLVNSGSRFLWVIRRHSVLSDVTEIPPELSKGTEERGCIIEWAPQEKVLAHGGVGGFLTHSGWNATLESVVEGVPMICWPYFLDQQVNSRFVGEVWKLGLDMKDTCDRVIVEKMVRELMEERKDEFRKSAEEMAKLAKQCFMEGGSSYCNLERLINDIKAM >cds-PLY92935.1 pep primary_assembly:Lsat_Salinas_v7:3:114782557:114788355:-1 gene:gene-LSAT_3X81240 transcript:rna-gnl|WGS:NBSK|LSAT_3X81240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIEAVDGLHKAQVTVVRNEQGGNSMTTVLLRGSRDSILDDLVRAIDDGVNTYKDSRIVHGVAATKIELARKLKEFSFSETGVDESE >cds-PLY80951.1 pep primary_assembly:Lsat_Salinas_v7:8:145265380:145268292:-1 gene:gene-LSAT_8X98461 transcript:rna-gnl|WGS:NBSK|LSAT_8X98461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAILHITSLQSNTPSFLLHSLTPSTPSLRHSSLKSKGHILFQRRKRSIAFTCQSISDDSDDGYLLDAPVSAGDGFSFSGGKYSDGPNPADEWFKTGKYMKAHPVYGTGEKAKDPIFGLTMGGNSQTSTDLFRWFCVESGNADKPSVVLIHGFPSQAYSYRKVLPLLSKDYHTIAFDWLGFGYSDKPQPKYGFDYTLDEFVSALGSVIDELGVNKVSLVVQGYFAPIVVKYASKHQEKLNDLILLNPPLTAKHANLPSTLSIFSNFLLGEIFSQDPLRASDKTLTSCGPYKMKEDDAMVYRRPYLTSGSSGFALNAISRSMKKELKNYVEEMRKILMDDDWKVKTSICWGQRDRWLDFDGVEDFCTASKHRLVELPTAGHHVQEDLGEELATVIAGLVSRKVRI >cds-PLY65208.1 pep primary_assembly:Lsat_Salinas_v7:8:20883892:20884155:1 gene:gene-LSAT_8X38261 transcript:rna-gnl|WGS:NBSK|LSAT_8X38261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGRHDDNCGGSPQRRQRRDISGSKSETLMTDLSANVGGRRSRRQGIVGGGTSGEVAVDGAGCGGVYQRWLKEVKGYLFCFGRIMKK >cds-PLY95493.1 pep primary_assembly:Lsat_Salinas_v7:4:77496231:77497584:-1 gene:gene-LSAT_4X53920 transcript:rna-gnl|WGS:NBSK|LSAT_4X53920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENYSPRPLDTKNPSPNSHSNSTNSNTSTTTNHHHHHQPSPPATPIARSDPNNPYPTTFVQADTNSFKKVVQMLTGSSETAKHAANTRSDAPAPIRNPIPPMKTGPNKKPSKLYERRNSMKNFKISPLVPGFVNQSGFFGSPRRPGTPEILSPGILNFPSLVLSPVTPLIPDLFNRSPVTGDSPNLNVEAEDKAIAKKGFYLHPSPASTPRRESEPRLLPLFPVTSPRVSGSS >cds-PLY87735.1 pep primary_assembly:Lsat_Salinas_v7:1:5117045:5118050:1 gene:gene-LSAT_1X4541 transcript:rna-gnl|WGS:NBSK|LSAT_1X4541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHVLEPGAAFSEFDDPSVGRVGPLPCGYIKLVSWDEGEYLTSDKPMPRGEVIIGGHSVTVGYFNNEEKTNEGYKVDETRMRWFYTGDVGMFHPDGCLEIIDRKKDIVKLQY >cds-PLY71866.1 pep primary_assembly:Lsat_Salinas_v7:3:58268924:58270841:-1 gene:gene-LSAT_3X45480 transcript:rna-gnl|WGS:NBSK|LSAT_3X45480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPPKKTIHVISSWIQRQPPKVKAFLAVVAGMVALVLLRAIVHDHDNLFIAAEAVHSIGITVLIYKLSKEKTCAGISLKTQELTAMFLAVRLYCSFVMEYDIHTLLDLSTFAATLWVIFMIRFRLKSSYMEDKDNFAMYYVAVPCAILALLIHPSTSHHIINRIFWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDSRGHLLTALGYGLWPSMVLISEIVQTFILADFCYYYVQSVFGGQLVLRLPSGVV >cds-PLY64443.1 pep primary_assembly:Lsat_Salinas_v7:3:16486322:16489253:1 gene:gene-LSAT_3X11741 transcript:rna-gnl|WGS:NBSK|LSAT_3X11741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKAPFHTSKPSPTRWFYRIYTLVYTIAIFALVYHHCCNLIHSPSFTTIFLLIADLVLAFLWATWQAFFLNPVFRQVFPENLAQVAKENEYPGLDLFICTADPFKEPPIGVVNTVLSVLAYDYPSEKLSIYLSDDGGSQLTLFAFMEAAKFARHWLPYCKKYNLMDRSPEVYFGSNPSFFPETSEIQEMYKNMRATVKKVIDRGTIDLDQMNCDRTIKAFSKWTPGFTRHDHPAVIEILLKNNVDKDVMGHYMPNLFYVSREKNRGTPHHFKAGALNTLIRVSGVMTNAPIFLSLDCDMYSNDPNTPLRMLCHFLDPNVDPKLAFVQFPQRFHNINKNDTYGAEHVLETRACTVGMDGLGGTFFMGTGGFFRRKVLIENPKESQKIWNEPIQSKDVSTLAHHVASCTYEDNTKWGQEIGFRYGTLVEDIYTSFRLQCLGWKSVTCNPTRAAFLGNMPIALNDILAQNNRWYMGMLQTGLSKFSPMTYGIKFMNPLQALCYAHYHFRAFWSIPVIIYALVPQFTLVNASSIFPKVSDPWFPLYVFLFIGAYAKDFLDYVMNGSTFKRWWNRQRIWLILGCSSYPFSIVDWLLTSLGMSTFEFNVTSKVSDSEISKRYEEGVFEFGVESPLLLSVNIVAVLNLFAFSIGIKHVLINAGTFEELFVQLFITGFAVLNSWPLYEGMILRSDKGKMPPKTTLKSVCVVLVIYLAFF >cds-PLY87065.1 pep primary_assembly:Lsat_Salinas_v7:5:260037906:260041881:-1 gene:gene-LSAT_5X130141 transcript:rna-gnl|WGS:NBSK|LSAT_5X130141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCVVAVARQRGIDVVLNDESKRETPALVCFGEKQRFLGTAGAATSMMNPKNTISQIKRLIGRPFSDPELQQDLKALPFSVTEGPDGFPLINARYLGETKSFTPTQVMGMVFSNMKTIAEKNLNAAVVDCCIGVPIYFTDLQRRAVMDAATIAGLHPLRLMHETTATALAYGIYKTDLPENEQLNVAFIDIGHASMQVCIAGFKKGELKVLAHSFDRSLGGRDFDEVLFHHFAEKFKTEYKIDVLQNARACLRLRAACEKLKKVLSANPEAPMNIECLMDDKDVRGFIKRDEFEQISAPILERVKKPLEKALLEAKLTVDNIYAVEVVGSGSRVPAVIKILTEFFGKEPRRTMNASECVSKGCALECAILSPTFKVKEFQVQESFPFSIALTWQGSSQESQNGNVENQQSTIVFPKGNPIPSVKALTFYRTGTFTVDVQYADVSELQAPAKISTYTIGPFQATKGERAKVKVKARLNLHGIVSVESAQLIEEEEVDIPVTKEATKMDTDKAPADVASGNETDVNMQDANVAENGATETGDKPVQMETDTKVEAPKKKVKKSNIPVSEVVYGAMLPADMQKAVEKEFEMALQDRVMEETKDKKNAVESYVYEMRNKLYDKLQEFVTESDKEALIGKLQETEDWLYEDGEDETKGVYVAKLEELKKIGDPIEQRYKEHTERGSFVDHLVSVITSYRQAAASGDPKYEHIDLNDKQKVLNECSEAENWLREKTQQQGSLPKHADPVLLSSDIRRKAEAIDRACRPIMSKPKPAPPKAATPPEAPHSPAPEQQAGSENPNPKAADSNDNSDAMEMEKPEAAA >cds-PLY94230.1 pep primary_assembly:Lsat_Salinas_v7:8:230599566:230600826:1 gene:gene-LSAT_8X139661 transcript:rna-gnl|WGS:NBSK|LSAT_8X139661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein SLD5 [Source:Projected from Arabidopsis thaliana (AT5G49010) UniProtKB/TrEMBL;Acc:A0A178UAX9] MESGSDKGTGGSSAMDDYETLISTTDADLLKRSWRNEKASPEILQFESSLVQRSREQIQLMEETVEEFMNNGEDPLTVSLYQMDIDRTMFLLRSYLRIRLQKIEKFMFHIQKTAELWTRLSRQEQKFAKRSIEDTKQHLDESVLSKLPDRYKSHLKQSVISEEDDMVMEPKLDAFVICRSRKFLGGLPVDDR >cds-PLY75870.1 pep primary_assembly:Lsat_Salinas_v7:9:195426352:195428356:-1 gene:gene-LSAT_9X120260 transcript:rna-gnl|WGS:NBSK|LSAT_9X120260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARDKNQQPAVRVYTVCDESKYLIVRNVPALGCGDELRKLFEGYGDIDEFIPLDDEDCEPFTDVYWIKFHQVNNARFAKRKLDESVFIGNRIQVSYAPQYENLSDTKEKLEGRRTEVVARLNPGRSKGSGVMNMRAVSCSQPKLSSTQSQTNFISQPLNIQHRDPLEPHAQHTVHSQTLPSTVSSNQDYFPLESMNQTVRLVREKLNKIESDTETLKAGSSKKPRIDNRRRI >cds-PLY86867.1 pep primary_assembly:Lsat_Salinas_v7:8:50417702:50418190:1 gene:gene-LSAT_8X37580 transcript:rna-gnl|WGS:NBSK|LSAT_8X37580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHHYNLWMPPSINIDEDDFFSNHTSEHFTQPPPSAASPSGNPNKRAKPSTPRPRAPSASPDPPSCTSPKAFITADDLALEMQKALRHSTQGPTIPQCLEKLELLELDLVDPLRFAEYHIFGGTMNIRELWVNLPNDPKILRRWIEMTATSLGVLKDGKIVC >cds-PLY92801.1 pep primary_assembly:Lsat_Salinas_v7:2:149521559:149522140:1 gene:gene-LSAT_2X75520 transcript:rna-gnl|WGS:NBSK|LSAT_2X75520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFGDDGDEDNLGGFTYSPFQIRTDSEDEASVTKGQLNDINEKLDQLFLASKASSSEAYSKATVESLFERITKKHEENAKKMNATVSASVEVCKSTTEKVDKLIFETTNFMETYQTTYNNNTSSVNEALQNLGAMFKNEMLNLEKIRTGLQQDHASFQTSLTSKITKIQDDLAMENKIMDTLGKKSEKDKVHY >cds-PLY78366.1 pep primary_assembly:Lsat_Salinas_v7:9:9398422:9403103:-1 gene:gene-LSAT_9X6020 transcript:rna-gnl|WGS:NBSK|LSAT_9X6020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTGEYGYPKFNFEQQAHTVSIPPPQPFIKSLKNTVKETLFPDDPLRQFKNQPPSRKFILGIQYIFPIFYWGSRYNLSFFKSDIIAGITIASLSIPQGISYAKLANLPPIFGLCKLFKLLRYSSFVPPLVYAMMGSSKDVAVGTFAVASLLIGSMLGAVVNANENPKLHLQLALTATFVAGVLQASLGIFRLGFIVDFLSHSTIIGFMAGSAIVVSLQQLKGILGLQHFTQSTDLISVLRSVFTQTHKSTKRPKLFWISAMAPLTSVILSSVFVYLTHAHKHGVQVIGELKKGLNPISIKELSFGSEYLFTAIKTGTVTGVIALAEGIAVGRSFAMLKNYNIDGNKEMIAFGMMNIAGSCTSCYVTTGPFSRSAVNLNAGCKTAMSNIVMAITVMITLLFLTPLFYYTPIVVLSSIIVSAMLGLINYKEAIHLWSLDKFDFVVCMSAFLGVVFWSVEIGLVIAVALSLLRVLLFVARPKTYALGHIPNSSIYRSIDQYQNAKNIPGILILQIDAPIYFANAAYLRERILRWVDEEENKLKSLGGNSLQYVVLSLSAVGNIDTSGITMLGEVKKLMERRGLKLILANPGGEVIKKMNKAKLIDVVGEEWIYLTVGEAVGACNFMLR >cds-PLY77379.1 pep primary_assembly:Lsat_Salinas_v7:7:9266867:9268617:1 gene:gene-LSAT_7X9741 transcript:rna-gnl|WGS:NBSK|LSAT_7X9741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSINNDTGGGGCDGEDRIDALGRLLTRILRHMASELNLKMRSDGYVKVQDLLRLNMKTAANVSITSHTFDDIKEAVRLDNKQRFSLLEENGELLVRANQGHTLMLVETKRLLKPILSPKEYPVCIHGTYKEKLNSILESGLKRMKRLHVHFSFGLPTDNEVISGMRRDVNILIYLDVRKAIKGGMKLYISDNKVILTEGFEGVVPVKYFERIESWPDRKLIPF >cds-PLY85272.1 pep primary_assembly:Lsat_Salinas_v7:3:71375192:71375665:-1 gene:gene-LSAT_3X54800 transcript:rna-gnl|WGS:NBSK|LSAT_3X54800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSKPFLSTLFHFIEVINLFNKKMASKQLLSSLILLLHLTINSPPSTVAQCPYPCYPPPTGTGGNTNTPPVPQTGNYPPPAAIYTPPSTVYPYNPPTPTFYGGAPPPPDPIVPWFPYYYKDPPRNPNKSSSADLPRGSTVVSFMIHILVFRLFLLLS >cds-PLY75515.1 pep primary_assembly:Lsat_Salinas_v7:9:32021107:32023039:1 gene:gene-LSAT_9X28700 transcript:rna-gnl|WGS:NBSK|LSAT_9X28700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTPAIPNLGEQCSVNDCKLIDFLPFTCDCCNKVFCLEHRSYSTHECPNANKNDITVVICPLCAKGVHLVPNQDPNITWESHVNTDCDPSNYEKATKKKKCPVRGCKEILTFSNTIKCRDCTIDHCLKHRFGPDHTCPGPKKPEPTFPFWGYGNPDRKKQNPPPKQATHGSGSGSGSKWANGFLKAASSVKASAGAGIVKWGNDIGQVFQGGKDGEGGSSGGDRVGQMEVCPVCNMKFSRVGDLIDHVQKVHEKKEVMKVTLDVCPKCSKGFRDPVALVEHVEREHRGTSKA >cds-PLY62048.1 pep primary_assembly:Lsat_Salinas_v7:5:331657527:331660913:1 gene:gene-LSAT_5X184780 transcript:rna-gnl|WGS:NBSK|LSAT_5X184780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTGLIQDQNLEKQIEKQIGCMSGFLHIFDRQQILAGKRIYSTTKRLPPSTGIMASPEPVKSVQSTAIREMKKPEPAQAEAVVGVPESPDRFKPSPKANSNAYETATPPRSPLPLPMFDLKEGSIKTSWKFCKEMPRLSLDSRAMVDSKGSLCPREIRIDNGTNAIDEAYDKQRRSPSVIARLMGLDQLPSSDPPSSPETVKKPELRRSASESRVHRDLFHSRFVDCNNSQTNQSNLANKQATDTNLTHNTSVGDNVGNVSSRNAQNGRVMESIKYSSRNQKTESPKTSQWRSPQQRRSFFDSADFFPEPKQTTAPMYGISDKNLKLRGLDEQSNDLESLKHILEALQLKGLLHSKRPPNRDSQRNFVYDPSFSSDDSQIVLMKPWRSPASGRRLRNDSGGMNRYAGESLPSVSPRKERGVLDRSGRSPVRARNSSSLSNSKNSNTIVKRKPLSVETQRSFHESSESRRSSPINSPKLTPKKNGSDYQSVTNRSPRSRKTAGMGYSKEKIGRSFVMEDESSSISESTGSTTSQSDPERSKMEEYREGKSLLERCDRLLHSIAEMNIVSSESQPSSGSVLPSPVSVLDPAFDKDESSSPSPVMKRSIDFKDLTVVDLEDDIWSSIISPATSTKDEDFISDDSDFAYISEILRASKYLPDDSSVFFFLEKQQYFNGNDTSKASKLQRELVFDVVAEILDRNSQLPPWNAVSESINSLHQICSEFQKIRERFTNDNLLDPICGVLKRDLAGNNGWEDHPVEMSETVLYIERLVFKDLVSETIRDLADFAAKSSVLAPRRKLVF >cds-PLY98703.1 pep primary_assembly:Lsat_Salinas_v7:8:9179202:9181193:1 gene:gene-LSAT_8X6881 transcript:rna-gnl|WGS:NBSK|LSAT_8X6881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAPIRGGRGGSGGFRGGRGDGGGRGRGGGGGRGRGGGGFSGGRSAGGRGGGRGRGGGRGGRGGGMKGGSKVIVEPHRHDGVFIAKGKEDALCTRNMVPGEAVYNEKRISVQNEDGTKIEYRVWNPFRSKLAAAVLGGVDNIWMKPGSRVLYLGAASGTTVSHVSDLVGPTGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVSMVDVIFSDVAQPDQARILALNASYFLKSGGYFVISIKANCIDSTVPAEAVFASEVKKLQAEQFKPMEQVTLEPFERDHACVVGAYRVPKKQKSSS >cds-PLY92597.1 pep primary_assembly:Lsat_Salinas_v7:7:160870347:160874680:-1 gene:gene-LSAT_7X94520 transcript:rna-gnl|WGS:NBSK|LSAT_7X94520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDGAGLPLNAGDLLPTLVKLTKKVTEQVRLLAGHYVPQLASLILSENKKTNGTNINLCGIAIGNAVIDDNATDEGIYDYYWTHALNYDETNAGINEYCGYGSGNFSAEFGPDIAFVREEFGRRSATSMFSGKKPVAAAVRFDNFYSGAIALPPRSISMPSVAHKI >cds-PLY83369.1 pep primary_assembly:Lsat_Salinas_v7:5:94514502:94515080:-1 gene:gene-LSAT_5X43320 transcript:rna-gnl|WGS:NBSK|LSAT_5X43320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALKALHCELMIMNAKNIQATNSSGYFFVRCYLSAGNNKRVRLDSREVSPNKEFSMNESFSLDCIGTKQSMDMISHGTIVLELRWRSNTAAMFRGSQLVGRTELSWRDVFESPNMQMERWVILKSKKKDVKAPSVRIAMKIETPFGCGVDLIERKRKNKWDERCGCCRSDCSQNTCLDGELFAIGAALDAF >cds-PLY89983.1 pep primary_assembly:Lsat_Salinas_v7:8:207262064:207262642:1 gene:gene-LSAT_8X131020 transcript:rna-gnl|WGS:NBSK|LSAT_8X131020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTELSGGIEESLESLEMCQNSEDPTSKFESGDLVDGFRSQVVHTESILTYNLVKEICRRQPQPRLEDRNGNSNLPLLRTRNDGPLLSVFYAMLEKKPKPLLQTRKLTWGRSDSSVRRSHCLTRKKEKKEMKRGNGRREGNLEKGNQLKKGSFKCKWEAQAVMMMKTICTDASVASIAARKPSPPNACPDLS >cds-PLY64142.1 pep primary_assembly:Lsat_Salinas_v7:1:2209846:2211881:-1 gene:gene-LSAT_1X1800 transcript:rna-gnl|WGS:NBSK|LSAT_1X1800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEVSGGVKPIIVGGGVERVNSERDQVRVKRKTLEAVLDQCQRALELLSTTGCIEDDDESGDEVVDDGDSAGTSSGCRGSYPQSQDGEADLLCSLLKSRVECPDFLQKLESAQVSVPQGSAEENNSWDVVSEKDLWEGGNMVSDEDYVLVRQDDIVDGIACFMAAYLLSLKETKDLSPKQLQDALCKTFSMKKQKGKLRKAWDGSKVIYNVASWGATAIGIYQNPAILRAASAAFWTSCNVISKLF >cds-PLY80419.1 pep primary_assembly:Lsat_Salinas_v7:4:361501473:361503944:1 gene:gene-LSAT_4X177360 transcript:rna-gnl|WGS:NBSK|LSAT_4X177360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATDMPETSKRQAWFCTTGLPSDVVVDVGDVTFHLHKFPLMAKSKKLNEMMAEQELKHTVKATDLSESEEDEHEEIQEEKMAGTAAAEEYCHVTLPDFPGGSETFETAAKFCYAVKIELSSLNVAPLRCAGEVLEMSEEYCEDNLISKTERFLSQTVLRSLRDSIKTLKSCEDLLPLAESLGIVQRCIDSIVSKASSSDPSLFGWPVNEASNSRAGAGAVSSRETSSRRKGVAITGGTGGGDRWFDELVFLSPPLFKRLLLAIKSRDLNPEIIENCLISYAKKHIPGVNRIGRRSSSSSVLSEIEQKELLETIITNLPEEHGLRTSPEVMIFFGMLKAANILNASDHCRATLEKKIGLQLEQATLDDLLMPSYSYLTETLYDVDCVQRILSHYLRSLDQIPPADANVIENDNGGAGENHARSVTIMLVGKLIDGYLSEIASDTNLKPEKFLELAFALPEQARLFDDGLYRSVDVYLKAHPWIKEDEREKICGVMDCRKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRQAITGTLLSTSSAADIQVDQEESDVEVVGVTMTSAVRENQVLRLDMDSMRTRVNYLERECSTMKKAIEKIDKEGPPSVPRGWREKFGCTFKTQVCDSHEPSFVEAPKGRTRIRTRIQNHRHQKQH >cds-PLY87816.1 pep primary_assembly:Lsat_Salinas_v7:5:129366048:129367149:-1 gene:gene-LSAT_5X56580 transcript:rna-gnl|WGS:NBSK|LSAT_5X56580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFKNYYLDLIMIPLSFIISISYNLWLWHKVRTEPLSTVIGANAHGRRLWVSTIMKDNDKKNILAIQTIRNTIMGSTLMATTSIVLCCGLAAVVSSSYSVKTPLNNTIYGAHGQFMVALKYVTLLVIFLFSFMCHSLSIRFISQVNFLINCPEDSTIVTSTYVSELLEKSFMLGMIGNRLFYSALPVMLWIFGPVLVFLCSSTMVPVLYNLDFLFENSKNGKMMA >cds-PLY93113.1 pep primary_assembly:Lsat_Salinas_v7:8:252963951:252964484:-1 gene:gene-LSAT_8X149060 transcript:rna-gnl|WGS:NBSK|LSAT_8X149060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSNLLGKKKDLFLMYPMFLQMIFNEKYPQIERTYDTLDMKALAPNTFGPMKQSRKAVKFAYQGLKELVKFGAFVEVEDTPTVSSINAEVVDEHMVPKPKFQFSFEEIDVSDDEEDQEYEENELTENEFEDFIQQSISNPEEDAAVTPPVVSERECDTMVQSSIPTLKQMDALITEL >cds-PLY70769.1 pep primary_assembly:Lsat_Salinas_v7:3:136011435:136016573:1 gene:gene-LSAT_3X90761 transcript:rna-gnl|WGS:NBSK|LSAT_3X90761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeaxanthin epoxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67030) UniProtKB/Swiss-Prot;Acc:Q9FGC7] MANCNVFCSSINPTATLHSKTHLPIEFLHSIHSKHHQFRSGSSSSSSLFKKLGVSSNVKAVLAESPPQAAERGGEKKKNVRVLVAGGGIGGLVFALAAKRKGFEVVVFERDLSAIRGEGQYRGPIQIQSNALAALEAIDFGVADEVMKAGCITGQRINGLVDGVSGNWYCKFDTFTPAVERGLPVTRVISRMTLQKILADAVGEEIILNGSNVVDFEDDGEKVSVTLESGERFEGDLLVGADGIWSKVRKNLFGPKDVTYSGYTCYTGIADFIPPDIDTVGYRVFLGHKQYFVSSDVGGGKMQWYAFHNEPAGGSDKPNGKKERLLEIFGGWCDNVVDLLLATDEEAILRRDIFDRTPKFTWGRGRVTLLGDSVHAMQPNLGQGGCMAIEDSYQLALELDKAWSRSIESGARVDIATSLRRYEDARRLRVAVIHGLARMAAIMASTYKAYLGVGLGPLSFLTNFRIPHPGRVGGRFFITIGMPLMLSWVLGGNGANLEGRPQQCRLTDKANDELQNWFRDDDAIERILGGEWFLLPVGSQNVGSDPISLSRDEKKPCIVGSVPHTSIPGNSIVISSPEISKLHARISCKDGAFFVTDLRSEHGTYITDNEDRRYRVPPNFPARFHPSDVLEFGPNKKVAFRVKVMREPPKMSKEGENRILQTV >cds-PLY62015.1 pep primary_assembly:Lsat_Salinas_v7:5:116603466:116616522:-1 gene:gene-LSAT_5X52200 transcript:rna-gnl|WGS:NBSK|LSAT_5X52200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHILQSTIPFDDTAVLEDDLATQLMDPDDETQVVNFYDDTQVVNLETEIEEMDILDSLERNATQLFNDSDTEELVDTDLEDTEKIEVVDDSDEDSSRRDCKHTQHTSGGNFRNFTSIRAASIRASGLAARNKASQRKKLNDQPETGSRCGFGRSTARKLFAEETLPETKEGNDNNDLCGKANLPLETDLAGLNYLDSQEPGEASQQNALDFVDNFLKVNIECSDKCETNKSSERKSKPVLSAKGIQTLAKSSNLINAVGEIEIFEWDNTREDEAGGEFIRRKRESLFAYGGRKLKSSSFSKGGRKLEESKGKKQMHSHVIVSGLASSDSKLVLTNRKLTDVQETTDVRFDTQMAAEAMEDLCFGLHTNSDDSTKEGENGTNKQKGSHKSHKAPPLTNGVRTRQSKLKRSDENERETHGTVPLKEPKRAKSAAKKNVSSDVGKRGKLTLKRKEVDTEEGQLTSVDQIPVKKQCIQSVIPVARRTRQSMSDNKSEKVKDASNNLTEEINIFHPKGKRTSRNLSSVSRLTRSTAAEGGKESPGVEGSERETIEPIATTCTTPLNHATPLKESSPICMGDEYLKQSWKKSSLRSSIIQEPDSLTPTRVEFSSPMKDLRKRRDLSMIHVLFSRHLDADIVKQQKKILSRIHASEASSMSEATHFIADEFTRTRNMLEAIALGKPVVTHLWLESCRQSHSHIHEKNFILRDFKKETELGFNLPSSLTRARKHPLFKGHRVLITPNTKPGKEILASLVKAVGGVVVERLGRTAVKDDKVPEGLILSCEEDYALCLPFLEKGAAVYSSELLLNGIVTQRLEYERHPLSADFILLVPLASIPAVLICCDTSLPGLRLYIGNFPLHMDEVLVKTTKSRRIRMVERKTRKRSVGKPKTKHLEARNTMERPKKKKRSVTLIRDHSKEYLSNLTVEFDHFGRAIGPNRFKFTSYRGVTTRKMISILIDSWDLVDQCEKDQLWLNIKNYWHIRDDDHKAQVLRDCNTHWKAYKSELLKLWDNGVNPVKKYPYLDKAMWKNFLVLKSTEEFENIWKDKKPFSSEELEERVKTWMRTFGDKVKPFCKAYNESTTNGKERLEVTKGKERLQM >cds-PLY99889.1 pep primary_assembly:Lsat_Salinas_v7:4:44969904:44971433:1 gene:gene-LSAT_4X31980 transcript:rna-gnl|WGS:NBSK|LSAT_4X31980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITDFPAAIIAATRKKISAFLRRDFIKPAAYFILLFLTYYLGYLSAVSKNPLCEPHRHYSTTTTTNNTTNMIKPPSQAAAVDHYQFKTRCGDPIPSQLIRQSILNRVFNGTSPYHDFPQPHIKPLLRQQRIKGWGSTGAVFKNLINKVRPKTIIELGTFLGASAIHMAELTRQLGLDTQILCIDDFRGWPGLPNQFRDIKMVNGDTMLMYQFMQNVVQFNATEAIIFMPFSTGSALETLCAWGVTGDLIEVDAGHDFHSAWSDINRAYKLLRPGGVIFGHDYFTVADNRGVRRAVNMFARVNGLRVKADGQHWVLGSL >cds-PLY62297.1 pep primary_assembly:Lsat_Salinas_v7:5:166671781:166673519:-1 gene:gene-LSAT_5X75460 transcript:rna-gnl|WGS:NBSK|LSAT_5X75460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSERQKALKREDGIDFISSMPDAILLSILSRLSSTEETIRSSILSRRWRYLWTAVPSIDIHYGGKLKENEFKEFMYWVFVNKSVDLNTFRLSCSNSYSMSTVWRWIHAAVTRNVKKLALTFFPKQYNEDIEMPHCLVSCDSLEELELYFSGRGLRLPDIKVFPALRVLDLTCVDLLEDDDLVKHFLESCLLLEDLSLDYCIINKLNLFSISCPKLKKLTIYNGDEDLGLCGGIKVSCPKLVHMDITGYIAIDFFFECLDSLKEAVIDPKFEENTNTKSVLFPGISHVESLHIDFYFFSQCINAAACDPSLPNLKTLELTTPIDAFTFDKFIRILNYYPKVESLELVIKQQFYGPEEWELHEDDKRRIMTPDLESVEFFEFKGEKPKLDLVWLEITCLEMFFSWG >cds-PLY97951.1 pep primary_assembly:Lsat_Salinas_v7:3:18496982:18499151:1 gene:gene-LSAT_3X14300 transcript:rna-gnl|WGS:NBSK|LSAT_3X14300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSIAALASKYFVFTPSIPAIHSLKASSYPFSSTITRNTTTITATMSANPLNPRPHPSLEVSGGALDSFLPAFTTLDRPYDPYPLVCSNTHLETVFAHFFRSKPDVRYRRECLRTKDNGAVALDWVAGDDRNLSPNSPTLILLPGLTGGSGDGYVRHMLVRARKKGWRVVVFNSRGCGHSPVTTPQFYSASFLGDMNEVVAHVSSRFPEANLYAAGWSLGANILVNYMGQESGSCPLSGGVSLCNPFDLVIADEDFQKGFNKVYDKALSSSLQEIFKRHALLFEELEGDYNIPAAASCRSIREFDESLTRVSFGFKSVHDYYSKSGSSGSIKDVAKPLLCIQAENDPIAPARGIPRQAIKENSKCMLIVTPQGGHLGWIGGDEAPFGAPWTDPIVMDFLQYLERTKSTSVPISKSDQNTHTVEATVK >cds-PLY62095.1 pep primary_assembly:Lsat_Salinas_v7:6:95961172:95961654:-1 gene:gene-LSAT_6X64401 transcript:rna-gnl|WGS:NBSK|LSAT_6X64401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSISVGSKSNNRSRRQALRETCFCEDPVGKWTSWRPTNPDRRFIGCPNFRDEEKDCKYFAWVDPPLPNNWYRNMLMDFHNNGIQVDSEFVEEFVGKAMDFHNNGIQEVPLQGEGEKWKIGFFLCLLVIVWIMLK >cds-PLY81069.1 pep primary_assembly:Lsat_Salinas_v7:6:130344971:130346718:-1 gene:gene-LSAT_6X78541 transcript:rna-gnl|WGS:NBSK|LSAT_6X78541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLQPPCNIFSTSYHRSPSVFRQCSPSRNTASYAANKYRRSTTSSELQVSPEVPRSQRISVASSAPRHKVTVHDRQRDVVHEFYVPEDQYILHTAEAQDISLPFACRHGCCTSCAVRIKSGGLRQPEALGISAELKAKGYALLCVGFPTSDVEVETQDEDEVYWLQFGRYFARGPIERDDYALELAMGDE >cds-PLY70170.1 pep primary_assembly:Lsat_Salinas_v7:9:478178:478420:1 gene:gene-LSAT_9X5141 transcript:rna-gnl|WGS:NBSK|LSAT_9X5141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVKDNLQDNKEAIGFGMMMVVVVVWGAQELYGYRSAGDECCGYVALERPIFDPVQPTVALVGPNALLASYGSPEIQK >cds-PLY63264.1 pep primary_assembly:Lsat_Salinas_v7:4:200418742:200419933:-1 gene:gene-LSAT_4X112160 transcript:rna-gnl|WGS:NBSK|LSAT_4X112160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWWGSGNPGQDQYNNDPYNQSYNDYPYDDDCWWTDESTQNQHHEAYYFPDDQESEKSSFSKKLDQMLDMLNETFNKEEDNSKSISAIEDQLERITKKLKQQPPGNPSDTTQVNEISCREGAIDMIFGKHKLRLLLFGPTNDPSINGDLFVINTIDDYVYEHTANMLYDTTHDLEKPLPCNRLIELNEIWCQQVKKKLQTTKHDVALTHKSKMKVFNDTKHQVKKSINKNYAWMFKSRFRRHHGKIKDNMVYLYKVKYGMPHDLVIQVKVNNKTVDFQIIPRTYDPP >cds-PLY95540.1 pep primary_assembly:Lsat_Salinas_v7:6:174509859:174511714:1 gene:gene-LSAT_6X106280 transcript:rna-gnl|WGS:NBSK|LSAT_6X106280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPENLGCVLVAVDGSEESMNALNWAIDNVKLRPEGSIVIIHVQSPPSIAVGLNPGAIPFGGPSSVEVPAFTEAIEAHQRRITDAIINHAMKICTDKNMEVKTHIVIGDPKEKICEAVEELHVDLLVMGCRSFGPIKRMFLGSVSNYCSNHVSCPVMIVKGTT >cds-PLY72538.1 pep primary_assembly:Lsat_Salinas_v7:2:138931687:138939267:-1 gene:gene-LSAT_2X66140 transcript:rna-gnl|WGS:NBSK|LSAT_2X66140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFLRNPNAARTKRPSNSFVSPVSHPSKIPKPTAASTVNVSNSVHIQNDDSIAPPPKPSSETLNSIDKMASILADAGCTLLNTSGPPCLPADLHKLRHHLQSRLSSDSSVRSQLLEGFSSYIDNQNDLRRVLLPSQRYSSSNVRSESLVRVLLLVPSIQLDLQNMLLEKLPEYFDNDNCGHSSSSTMRLDEDIARLILNQFRWLDFLVDSEAFTENLFQVLSICPPHLKREIIGSLPEIMGDTNNKSVVESLEKVLQDDSTATVAVIDCFSSLNLDELLQDKVITIALSCIRTIEAEKLPYLLRFLLLSATSTNARRIISQFREQYKMVGVFDTPAQHNKLKGKSIINNVEASVLDALGSSFRFKNILCQEILKELQYIDKPQDHKVIDIWLLMLIYKNCESMQKSVEKLLKKKIIEGSIQDVLFEQCIFGNKELVKDHFSSFLLMSEYLLACKEQKASKFGIQMYKYLFEGFPDTYSRQEVLGALVTHVGSGIMFEVSSALEAMVLLASKYSQELIPICSYITGILDYMEGFTTENLHKVYDVFCFLSRSTRSTQSNKESFGSSIANELFMIVRKQVSNPEFKYKKMGLIGTLKILSHLGDTNEEALELLKTSLDSCQQLPISLILFYDELSAILDSRTLHPAIMERVGHLVGEFESMFLSDLEDGKLPASDLYCGLEGELWMNLDGDISPICMNILSLASSSSRLTSLQTLPANFRLLSVMERLGNQGSLGGIDALLGCPIHLPSSKLFLESWHSLNRKQKHVLCLSLYYAINWIRELLNAFGTQVAGRFDCVSQATKEDTVTKILKRLKNLIFLESLLNHLLQECPLSLPELYHHIEPTRSEKNTTNKDSLQGNNRKDKKSSKGLKNSVTQRSSATQLTLTETWKKSGVINSNDVLNEKSTGVPSRSSPSESATCSTSNLNESVDTDIEISEAVKLLEAQRYKFRPLLIDCFSILSYSKDHDSCCADPTCELPVYLYLLRDLYSKIESFCPKPTPFRSMSTPPSFSEISTVEFINKIQTLFPTLRRHLDSAISLLEQGSETCQTHWRDQSSLSGNPEIPNMVVSVPFVSSSVVKETLHCFSKMLTCPDLILRKYPALIDLLKSFQPKKESSSSSSSSTGDIGLLYGGVYAFLEGVLDVAVCFSFMLASEVVLTLESIVVSLEKFLEKPIDGSSSKHIVVDIRELIATMRKKLSSSAKQLLTHDWHKDVENGWKGKGEMVQKIVHVYLENCESTSQTLDELACSILPMVSLSSRTTAEERSHGFTTLCSSTFSVWYKELHEQNLVILGKLVKGVHISKSRATPQPKDVQLIMEKLHQSVNVAVSLINICRTHDKVNVRTMAVKYGGKFVDSFLKVFEFLETHFQGHDQLIIQLFKDFQKGTRTIQTLCSEAKGLKQTGITSKIPATKRSLERLLFRVKALLHSSSSGCKFWMGNLKHKNLIGEVVSSQAYVDNDGNNGEDFVENVDEDQVMRDVEDSEGEAE >cds-PLY94291.1 pep primary_assembly:Lsat_Salinas_v7:7:165657638:165657922:1 gene:gene-LSAT_7X97761 transcript:rna-gnl|WGS:NBSK|LSAT_7X97761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASYLNHFSLLQTISLFYFLVTLICSGSSLTHDEECSTLYLFKQSLIHQDDEFCATGWFQTFQSWKPRSIASDAGFDCCSWYGWSAAMTMRMVM >cds-PLY77554.1 pep primary_assembly:Lsat_Salinas_v7:2:165187009:165198934:-1 gene:gene-LSAT_2X89521 transcript:rna-gnl|WGS:NBSK|LSAT_2X89521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIREKSCDPSPISAPYFLSLTPTTTLSAVAQVVHDKEEASEEDEAEQAYSSLDPYAHRQHYQRWTLVVEMATKEVVYALKDDDKQELQKQLGCMNGIFQLFDRRYLLGQRRRHNQKRLPPPSGQSGNGDKDFSKPSEQTKEKNSKVAMKEKQRVSVELPRTSVSSSTSSNALSSLDCCKRVQTEQSSSCQSVVSEPSSSPFLTRKQPDFSIQSPDIRDVVRDSMTREPRVVSIKAMVGPPMKHIDSPRPFPHKKTSQSDENGRNLREIPWSPKEVKETTRRSCDGRESRYSLKSNMKIKELPRLSLDSRQSCISNSAIDSRRSLLGDMESAARTNKRPSSGVVARLMGLESLTAAIDESATLKTPCSSQESVSKSKSRKEEELKRGVGHVITRIPLETAPWKQEGSNRGAQKPPFKSKEDPEKSAPASPSIYGAIEKRLTEHDFKSSGKDLRALKQILEAMQKTRRRLEYKDHALDNNSSSTIDDHKPVSPTIKGISPPRKHEFLKVDIKPATLTSDSSSIGRGRLKDSIRRDKKSTSRPLSTSNFPDYISTSSRYSGPPGPRIQRSKNGVDKPLKHGPSSELSRTRKQPGMQVGSRTRKPKAKSMDTLQETRTFSQQSDTVSFRSESNSVASQSDSEVMSTEWMQEVNSPYRPKENHRGNIAERLTEEKSMVDHAKHTMEQPSPVSVLDAFYTEDPPSPVKKKSNAFNDEENLHFEEAQYSEFSSMKLENIKNLVHQIELLNTKADDASVDHTPCVGETGDERYVREIILASGFLKDLVRITTIVQIHPTGGLINPELFHVLEKTKGCTDFNHKSKSKDKIRRKMVFDTVNDVLGHKLGMLGPFGPKGRILNEDKLLKEVCSEIDSLQNKSKRVVYDEDDDEVINIINADVNKRSQDWEEYCYEVPGLVLDIERLIFKDLVSEVVNAQVNSLQDWSVRHRRQLFPM >cds-PLY84692.1 pep primary_assembly:Lsat_Salinas_v7:2:150066416:150068436:-1 gene:gene-LSAT_2X76000 transcript:rna-gnl|WGS:NBSK|LSAT_2X76000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQFSMAILTLSFISSFNSITSSTFTLTNQCNYPVWAGLLSGAGTAPLPTTGFPLQPSQSNSVEVPPGWSGRMWGRTLCSADSTGRFSCVTGDCGSGTIECNGSGAAPPTTLAEFTLNGANGMDFYDVSLVDGYNLPMMVVPQGGTGGNCNVTGCMVDLNKNCPSALRLTATGGSDESVACKSACEAFGDPMYCCSGAYSTPQTCSPTSYSEYFKTACPTAYSYAYDDGSSTFTCSSANYVITFCPTPANSRKTTGAGQPPADSSGGSSLAEALGGHSVMVIAVLLLTMISLKCPRCFIFLLLG >cds-PLY92090.1 pep primary_assembly:Lsat_Salinas_v7:4:106189951:106191399:-1 gene:gene-LSAT_4X69300 transcript:rna-gnl|WGS:NBSK|LSAT_4X69300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRELGIELNVYTFSCLLKSLGGSSALYQGLKTHGLLIKNGFIDDSIVTTCLIDMYFKCHKIKLARQLFEEMCEDHRDVVLWGAMIAGFAHNRLQIEALDHFRLMLKEQIAPNSVTLTTILPVIGEISSRKLGQEVHAYIIKTKEYSKQLPIQSGLIDMYSKCGDLGSGRTVFYNSNERNTISWTALMSGYAANNRFDMALKSIVWMQQERFKPDVVTINTVIPVCEKLKALKSGKEIHCFAIKNNFVKQIGVTTSLMMMYSKCGVHNYSIRLFDHLERRNVISWTVMIESYMESKRFDDAIRVFRSMAVSKHRPDSVAVSRIFRVCSELRAVKLGKELHGHVLKKNFDSIPFVCSEIMKFYGVCGEISTAIRVFEGVPVKGSMTWTAVIEAYGYASCYQEAVGIFNEMMTRGFLPNRFTFKAVLWICERGGLVDDALRVFRLMTRRYKVEATEEDYLSIIRVLKNLGRTDEAERFSRLRASL >cds-PLY95530.1 pep primary_assembly:Lsat_Salinas_v7:6:174729068:174732491:-1 gene:gene-LSAT_6X107340 transcript:rna-gnl|WGS:NBSK|LSAT_6X107340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVASDHADTTKICSHCDRAIPSSNIDLHYAHCSRNLEKCKICDDMVPRKHADEHYSTTHAPVSCSLCNEMMEREILAVHKGEKCPKRIVTCDYCEFPLPATDLSEHQEVCGNRTELCHLCNRYIRLRERIAHEVTCNGVPNNTAEIPRATREAEREHAARRPPPPPPQDFSTRRLLFTIAITGIAILLGSLLFKENQPPVN >cds-PLY87048.1 pep primary_assembly:Lsat_Salinas_v7:5:265594528:265599249:-1 gene:gene-LSAT_5X136720 transcript:rna-gnl|WGS:NBSK|LSAT_5X136720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPISKQKKKSSPATTVSKDNDADLLQSEIANFASSLGLSSSVPSTGFDDSDFRKRAPIKKNPKVDIKKNDDKFKDNNNKKNTDKFKNSNKKEKEEPPNPQPKPKAQIHFSVDNSKMFDKFKNLPKLPLIKASSLGVWYDDAAELEEKLIGSGVNKKKVEFKNVEEWKGLVEKKKELAERLLAQYVHDYELSRGQSGDIKMVLATQRSGTVTDKVSAFSVLVGDNPIANIKSIDALVTMVTSKVGKRYAFTGFEALKEMFISSLLPDRKLRTLFQQPLNHLPDSKDGNSLLLFWHWEECLKQRYERYIFALEEASRDVLATLKDKALKTIYALLKSKSEQERRLLSALVNKLGDPENKAASNADYLLSKLLSDHPNMKAVVVDEVDNFLFRPHLVLRAKYHGVNFLSQIRLSHIGDGPKVAKRLVDVYFALFKVLISEAGGKTKEKKTKEDYKKPKDKDAKKDEDPHVEMDSRLLSALLTGVNRAFPFVASNEADDIVESQTPMLFQLVHSKNFNVGVQALMLLDKISSKNQIVSDRFYRALYSKLLLPSAMNSSKEEMFIGLLLRAMKNDLNLKRVSAFAKRLLQVSLQQPPQYACACIFLLSEVLKSKPPLWNMVLQNELADEELEHFEDIVEEQDKPGGSGDAVLSNNGVHDDDDDDDNDMPEDQGGSPAASSDDDLDKDDDLLGFGGLTKLRESQRKSGDDKSQPRVQTLPGGYDPRHREPTYSNAERVGWWELMVLASHVHPSVSTMAKTLLSGANIVYNGNPLNDLSLVAFLDKFMEKKPKERNWHGGSQIEPAKQLDMSKPLIGADILQLDEMDVAPEDVVFHRFYMNKMNSTKKPKKKKKKGAEDEAAEDLIGEDGEEEDEMEDESDNEEIEAILDSTNSALNADGEYDYDDLDEIVDGDDEDLVGGDSDDEGMEFPTDIEEEDDDDEGGDVDVDIGDAEDGSDDEEDVFEVKPKKRKAGASPFASLEDYEHLLEDDDDDDEDNGKDTKKQKKSKKAKGSKPKRKKKASNE >cds-PLY72575.1 pep primary_assembly:Lsat_Salinas_v7:3:199175031:199177310:-1 gene:gene-LSAT_3X120760 transcript:rna-gnl|WGS:NBSK|LSAT_3X120760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDYAKNRLLRVQENQARLKDVGFKSIAYSLTSLVESQKSKKKQVKPLYTGARDLDYIPDFGDNNDGDYHEVARSVEVSKKEQMKKCEHLENESDVVDPYMIVMKKDNDGCCRLYGRGVTNRLIKKVGGDASYMISVRLMESFKANELGINELIEMRKEIQENHEKYRLN >cds-PLY68524.1 pep primary_assembly:Lsat_Salinas_v7:2:215037948:215041136:-1 gene:gene-LSAT_2X135100 transcript:rna-gnl|WGS:NBSK|LSAT_2X135100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRSQTSSSSSSSSNSSNSSSSWIHLRSVLFVVASSSPASCSTSDRGRLKSPWSRRKRKHALTPQQWRNLFTPDGKLRDGVKFLKKVRSGGVDASIRSEVWPFLLGVYELNTCKEERDKLRTQGRKKYEKLRRQCRKLVGESSSNRDSHSQGMESAESEEVVSARESLSSEEINSSPSPMNSGVLLEEEEATPGSVSTRFIDPNISDSESSDSDSSQDPPEPSQSQTFPFPSSENEESSAVQSSEDFSTWQRIIRLDAIRANGDWIPYSQPQAEVSEERAVRSAEAVGLKDYEHLEACRIFHASRLVAVLEAYALYDPEIGYCQGMSDLLSPIIAVMSEDHEAFWCFVGFMRKARHNFRLDETGIRRQLNRVSKIIKSKDSHLYKHLEKLQAEDCFFVYRMVVVLFRRELTFQQTICLWEVMWADQAAIRAGIGKSSAWNRIRQRAPPPTEDLLLYAIAASVLQRRKQIIEKYSSMDEIIRECNNMAGQLDVWKLLDDAHDLVVSVHDKIETPTTTMSSSSSSSLHHK >cds-PLY96557.1 pep primary_assembly:Lsat_Salinas_v7:4:368828075:368829590:1 gene:gene-LSAT_4X181040 transcript:rna-gnl|WGS:NBSK|LSAT_4X181040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTNQSLLGMTRGTLTALYYHIVKPLTNTTVKASCQFHIKLSENMSIVSHLTVRFNSEICGVVCRKNSTWMTLAARFVVVIQTPWSSPCDCPQHCILASSSPTLGLNLIGEGTKSQFRIARAHFCSSSVLELGSDPVYSIERLREENDAVVLAVGATKPRVLPVPGRELSSVHFAMEFLHANTKSLLDSNLKDGNYMRGTKRSALYSHLFSNYNNILPFS >cds-PLY98489.1 pep primary_assembly:Lsat_Salinas_v7:3:225684430:225684990:-1 gene:gene-LSAT_3X127641 transcript:rna-gnl|WGS:NBSK|LSAT_3X127641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDNINSQLDRQGQTDNIKEIGGNGPSHGIEPISLLNSGCFGPFSSRWINSPEYSRPNSTPQFNVGGSTLKRRRTDDTTFRFFANQIRPMGNLDSDEVKIGTSVPLPNENIVPMDRSEDESSIDLNRGCRENSQKVSGAELNDCISSLLEAENTATVGKKMGFEMEMDNPILSEVLGDVGANRVIY >cds-PLY98748.1 pep primary_assembly:Lsat_Salinas_v7:1:8789314:8792320:1 gene:gene-LSAT_1X8980 transcript:rna-gnl|WGS:NBSK|LSAT_1X8980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYYYKLHPVMVLLIFLSFGVTTLIVLSLYTSHFQTTSNYRKFDTSLDNAYPFPKLRNLVMVAGHSIYTSSSCEKIDKEDSWFLESYQKNPGQASTFVAHIKEGIEIAAKDDDALLLFSGGETRKDAGPRSEAQSYWIVADSKGWFGNREKVRWKALTEEHARDSFENLLFSVCRFRELTGSYPQNITVVGYDFKKERFVNLHRSAIGFPEARFFYMGTPAATTSKEAALKGEALVRTQFQNDPYSCLGSLRRKKIGRDPFHRSIPYPNGCPEIEGLFRYCGGAFYPGSVPWA >cds-PLY94181.1 pep primary_assembly:Lsat_Salinas_v7:5:35529741:35533716:1 gene:gene-LSAT_5X16921 transcript:rna-gnl|WGS:NBSK|LSAT_5X16921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGKSDEPAPHPPKDQLLGVTYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNEEKAQVIQTLLFVAGWNTLLQTLFGTRLPAVIGGSYTFVAPTISIILNNRWNDPDPIEKFKKTMRAIQGALIVASTLQIVLGFSGLWRNVARFLSPLSAAPLVALAGFGLYEFGFPGVARCVEIGLPQLIFVVVLSQYLPHLIHSGKGIFEKFSVVASIAIVWIYAHLLTVGGAYNHTAPKTQTSCRTDRSGLIDAAPWIKIPYPFQWGAPSFDAGEAFAMMMTAFVTLVESTGGFMAVSRYASATPLPPSILSRGVGWQGIGILLSGLFGTVTGSSVSIENAGLLAVTRVGSRRVVQISAGFMIFFSVLGKFGAVFASIPAPIVAALYCLFFAYVGSSGLSFLQFCNLNSFRTKFILGFSIFLGLSVPQYFNEYEAINGYGPVHTSGRWFNNMVNVPFSSEAFVAGVVAYFLDNTLHKDSSIRKDRGKHWWDKFRSFHTDSRSEEFYSLPFNLNKYFPAV >cds-PLY79998.1 pep primary_assembly:Lsat_Salinas_v7:9:45554116:45554834:1 gene:gene-LSAT_9X41980 transcript:rna-gnl|WGS:NBSK|LSAT_9X41980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVFLFTCSQSHTALSTFEIRANIVLVTMTSLSFTKTFFFFLITLLFSISNVYSSPPYEFVNQVCSKQDNPNLCLEVLKSDSRSEFAQNITIVTQIVVDFAVKNATKTRDYFRGVTSGPPGVMKSLGDCMDAYNNTITSLKICMSEDDCSLTSYDIHSAGDEVKRCQDIVDSNGAHGSFITSSNTIIGNVCWLCESLASLMCKD >cds-PLY80477.1 pep primary_assembly:Lsat_Salinas_v7:2:136978029:136979786:1 gene:gene-LSAT_2X67041 transcript:rna-gnl|WGS:NBSK|LSAT_2X67041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQPMKPQMGEEAQEHHNNASAHIQEHKDLSIKAMDNIETSVSARRAATNEPSNGGQVGGKSSQQASPLAAEAIFERGNITIGEALEATALTAGSRPVDYSDAAAIQAAEVRATGRTNIVPGGVAAAAQSAATRNARVARDDDKTKLSEILAEATSKLPADKPVTRRDAEGVIGAELRNDPNLTTRPGGVAASLAAAARINQINQTPHSQSPHSQHSPSPRNKD >cds-PLY81209.1 pep primary_assembly:Lsat_Salinas_v7:5:339502044:339503121:-1 gene:gene-LSAT_5X190620 transcript:rna-gnl|WGS:NBSK|LSAT_5X190620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEDRNRSDRRPPGVGRGRGRGGGHGHGPDDASGRGGRGGRGGGRTGGPARGGGRGR >cds-PLY76368.1 pep primary_assembly:Lsat_Salinas_v7:6:170393862:170396103:-1 gene:gene-LSAT_6X102901 transcript:rna-gnl|WGS:NBSK|LSAT_6X102901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSPDQITDDKYYSSSVLFVAAEMGNTAFVVELIRQYPEQVLALNDNKQSIFHVAVTHRYRGIYSLLHVLGSMKESIVDLEDENGNNMLHLVGILSKTTRSDYLLKDIPGPAAQLLTDLAWFQSVCGILPPSLREKKNKAGIRPRELFNENHKELVARGVEWTKKISSELMVVAALIATISFAACITFVGGYNQDTGKPVFTENKHLNSILLSNDLSLRAPSNHKSHFNYETRI >cds-PLY77962.1 pep primary_assembly:Lsat_Salinas_v7:1:21459199:21462635:1 gene:gene-LSAT_1X17900 transcript:rna-gnl|WGS:NBSK|LSAT_1X17900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPPVEGVAGGGTAYGWGDGSLQIQDQSLLRGTIDPTRVPSSELVHVWSMPSTANVGPQEMPRPLEPVSLLAARNERESIQIAIRPKVSWSGTNIAGVVQIQCTDLCSTSGDRLVIGQSVTLRRVVPILGVPDALVPIEMPISQINLLPGETSAIWVSVDVPNTQPPGQYDGEFIVTATKADAEYAAQFLGKSEKHQLYRELKNCLDVIEPIDGKTVEEVVERVKSANTTLRRVLLSPSFSEFFSENGPLDMMDEDANLSIRLKLSLTVWDFVLPETPSLPAVIGISDTVIEDRFGVKHGSAEWYDALEQHFKWLLQYKISPYFCKWGNSMRVLTYTSPWPADHPKSDEFFSDPRLAAYAVPYSPVVPCGDLTKDYLQKEVEILRSKSHWKKAYFYLWDEPLNVQQYDAIRHQASEIHAYAPDARVLTTYYSGPSDAPLAPDNFLKVPGYLRPHTQIYCTSEWVIGNREEMVKDIIAEIQPENNEEWWTYVCMGPPDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYDKATVASAEIKFRRGLPPGDGVLFYPGEVFSSSHEPVASLRLERLLSGLQDIEYLKLYSSRYGREEGVGILEKTGMYLGPERYTLEHTPIDMMRGEIFRTCRSL >cds-PLY65270.1 pep primary_assembly:Lsat_Salinas_v7:MU045421.1:169622:169942:1 gene:gene-LSAT_0X12000 transcript:rna-gnl|WGS:NBSK|LSAT_0X12000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSGNVVRGCFCSSWEGRTLFTSLLLLPQPMSSSSRYCRLRLLLVAENDGCGFVLCSVWTADSLLFMMGLYGLIHEWECVSDHVCVWMLDWESRESPPPTHGGGXY >cds-PLY66854.1 pep primary_assembly:Lsat_Salinas_v7:7:20260310:20261882:-1 gene:gene-LSAT_7X16200 transcript:rna-gnl|WGS:NBSK|LSAT_7X16200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKCSFKLEHPLEKRKSESSRIREKYPDRVPVIVEKAEKSDIPDIDKKKYLVPADLTIGQFVYVVRKRIKLSSEKAIFVFVKNMLPPTAALMSAIYEENKDEDGFLYMSYSGENTFGSFEM >cds-PLY84498.1 pep primary_assembly:Lsat_Salinas_v7:1:30441600:30444551:1 gene:gene-LSAT_1X26300 transcript:rna-gnl|WGS:NBSK|LSAT_1X26300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVINQQLDDDNNREAGGSTRSSIDEFARANASKEYTFEFDKINLKMAVLNQLPSEDEKNHEAGGSTSSVDELAMVSTSTDRKAEMVIRICKETLWPMICAEINAKHQNILSMVKEKYGLDLMTGRTWNSGNTASTSGSPVFQFQFLNGISTPVSTSKNIEGKDDKPFVVALVDQSSGQIVTTGTEAATEIEIVVLEGDCNDFETENWTSYEFNNKIIREWKGKKVLQGNTFLKLKEGIVYVNKISFTHNSTWKGKRICRLGARSKDAIFGTRVKEAKTESFLVKDKRNFKYNKHEHPSSSDEVYRLHGINHRSDCFKHLIKANIKTVMDLRTLNAINPEKLKDILKVRPNDWKIIMDHAHKCKDDNGIYLYLNSRDDQNKHGVAFNVYGHLVGLVSDSLFLPLDELSNEKKADGEKIVVSASEQWREVVFFEDEASLINHLQPPTITTLNSFPYGDLNLVIPQKNNPTRLITTIESHRKSNFIVGVTPMGGQSSQNRKRLASEHAISNSPKKPRDETDDEDFMQYLNFSQSQAQKWKTSVWCVVGWISIFSKVRNPSTVTNGLCSPIQHVQI >cds-PLY69781.1 pep primary_assembly:Lsat_Salinas_v7:5:232991082:232995141:1 gene:gene-LSAT_5X114320 transcript:rna-gnl|WGS:NBSK|LSAT_5X114320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSAFEWTQNMENSLSSSNGCLSVCFSLGGVGFCLFFACFVVLCFLYSLIQYVASELATDIVINIGDAKFYLHKFPLLSKSGRLQKLVANTNGGIGDEIVIHDIPGGPAAFEICAKFCYGMTVTLNAYNVVTARCAADYLEMHETMEKGNLIYKIDVFLNSSIFRSWKDSIIVLQTTKSMMMLAEELKLVSHCIESIASKVSVDVSKVDWSYTYNRKKLPEENDNRMLTGGLRTITVPADWWVEDLAELELDLYKRVLVHIKNRGLVHDEVIGEALKAFASRRLPGFNKGVIPSGDLVKTRSIVDTIVWLLPSEKGAVSCGFLLKLLKMVILVDSGEMVKMDLVKKIGQQLEEASVHDLLIRAKEGGIDSTIYDVKTVQKIVEEFMVRDQDRNGEFEADGNEIQEVRRSTGGILSEASKLMVAKLVDSYLAEVAKDPNLPLSMFVDLAETVSVFSRPAHDGIYRAIDMYLKAHPGISKSERKRICRLMDCKKLSVEACMHAVQNERLPLRVVVQVLFFEQVRAAASSGSSTPDLPKAIKDLNSTSHGSSRSTNTNTEEDWDAVATTEELKALRGELASLRLERNGGGHAKSPLADRSSVHKLKGLVATKRILTKIWSSKGGGTGENSGSDSSGSLGSGNRDEHVKMVSTPSRKGRHSVS >cds-PLY68403.1 pep primary_assembly:Lsat_Salinas_v7:8:22628720:22629545:-1 gene:gene-LSAT_8X16880 transcript:rna-gnl|WGS:NBSK|LSAT_8X16880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNALHLIKKGLLADTHVAQFTVTADATKKGDAKAQALKTAKAVKTGSTFKKKAKKIRTKVTFHRPRTLKTDRNPKYPRISAPPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKAAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >cds-PLY90298.1 pep primary_assembly:Lsat_Salinas_v7:2:197456544:197457315:-1 gene:gene-LSAT_2X118241 transcript:rna-gnl|WGS:NBSK|LSAT_2X118241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLCSSDPPSAPISGAINIVTSDPRSVEVVIPCNLRSTICRSCNPFLRFHTTQHLQYIAIISKTPGNYSDDSPCSWKVVCCGNVGDSTVNLGLIEYLRNLDLSNNSINGFILSLYNDSELTFLDFSNNLICGMLQELVRWWVGLQFLNGLGNLLTGELPESLGGLWNLTVVSLKNNYLHGKITIGFDSLKFLDMSANFINESLPKDFGSGDLV >cds-PLY96523.1 pep primary_assembly:Lsat_Salinas_v7:5:337622322:337626002:1 gene:gene-LSAT_5X189341 transcript:rna-gnl|WGS:NBSK|LSAT_5X189341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C1 [Source:Projected from Arabidopsis thaliana (AT2G47830) UniProtKB/Swiss-Prot;Acc:Q8L725] MGFRPLGVNLLKKFHNSSARSIPPSFAHKKPVYPLIPRLYDRSPPYPENHSLKISKRWHVGHSHHHHEQTSEEGERIFRLGLLSDIGLTAGKVLTGYLSGSTAIIADAAHSLSDVVLSGVALVSFRASRVPKDKEHPYGHGKFETLGALGISGVLLVTAGGIAWHALDVLVGVLYSAASDQHIGGGHDHGIDMNHPVLALNTTIVAIAVKEGLYWITKRAGERSGSGLMKANAWHHRADAVSSLVALIGVGGSIVGVRFLDPLAGLVVSGMILKAGLQTGYESILELVDAAIPSHHLDPIRKTVLQVKGVEGCRHIRGRRAGSYLYLDVKIQVDPLCSVRTAHEIGETVRYQIQNCHPQVSEVFIHLEPNNKLSQPTTTTTTTHVHAQQQENRIEEMITDMLTSNFSQKMNVKHVRKQKLQGQILVQIEVSMPQDKTISDAIKVAEEAKRHILQLLDTSDSNPNPNPIPTQVQVTFILQLA >cds-PLY75338.1 pep primary_assembly:Lsat_Salinas_v7:5:52389588:52391418:1 gene:gene-LSAT_5X26221 transcript:rna-gnl|WGS:NBSK|LSAT_5X26221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASASCIILSSCFHTLFLASLLYPTATALGGSWSLLKQSIGISGMHMQQLPNDRVIIFDRTDVGASKIALPDGKCREDKNDLTLKKDCTAHSVEYDVASNSVRPLMILTDTWCSSGALMPDGSLMQSGGFNDGERVVRVFKGFCNKCDWVEMPNGLIQRRWYATNHILPDGRMIVIGGREQFNYEFFPKTAKTQKTYDLPFLLQTHDAKIENNLYPFVFLNPDGHLFVFANNGAILFDYSRNQVLKKYPEIPGGDPRNYPSSGSAVLLPLSLVNGKVDSVEILVCGGAPKGAFVNAKKGIFNEALDTCGRIKISDPDPKWSMEKMPMSRVMGDMLLLPNRNVLIINGGSKGTAGWGYGRNPVLNPVIYRHDNPINSRFEVQNPSTIPRMYHSTAILLRDTRILVCGSNAQEFYTFTNVVFPTELSLEAFSPSYLNPNLHGTRPKIISPATQTRIRYNERIIIRFIVENKVDPNSILVTLVAPSFNTHSFSMNQRLMVLDGGNTVNAVGKLTYEVNAMAPPSGNIAPAGFYMLFVVHQEVPSDGIWVSMK >cds-PLY65251.1 pep primary_assembly:Lsat_Salinas_v7:8:231768445:231771940:-1 gene:gene-LSAT_8X140801 transcript:rna-gnl|WGS:NBSK|LSAT_8X140801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVFCSQQEKLALLNFKHHVKDPSGMLSSWVGNDCCLWEGIQCDNLTRTVESLHLRGGFLVGNEVNSSLAQLRNLKYLDLSGNDFRGSRIPKFIGSFKLLSYLNLSHSGFQGIIPSHLGNLSNLKVLDLSVNEELMADDMAWTLGLLSLEHLVLSLVDLGGAQNWDILYTNPLLKELNLWGCKLSNTDLGPFLNSTGILPSIKYLDLGFNYFIGPLPGFLQNLTSLTFLDLSHFYPSLAWNFGNLLNTNPSLSVLLLSQCRLDKTFLSSPHLNSSTHSNIQHLDLSMNRIDSISPSVLTNMSSLRVLDLSGNIIYSSVPIMPSLLELDLSANSFKKIEHLGIWRWCHLKQLSASFNHFEIEMIESLMNISECAQYALERLDLHGSLNGTIPEPLGRFANLRDIDLSVSELTGSIPESLGGLRFLEVLDLSDNHLTGSIPIFLGKLSKLDLSFNQLNDSIPESFEKLTALTDLNLESNQLTGSIPRFCGKLVKLALSFNKLNGSIPDSFGKLTFLTQMNLAFNQLTGPIPTFPVKLSKIDISFNQLNGSIPESLGKLTALTDLNMKFNRLTGPIPTSIGRLLSLQFISLSSNLLNGTIPVSVGQLAKLNFLDISNNCLEGVVFEAHFSNLSKLKHLDTSFNTMLIFNVSHEWIPPFGLIYLNLSSCNIANGFPQWLRNQRNLNWLVLSNATISGPLPTWLRRMPIISFLDLSHNKLNGPLTNLPNGGDFNELGYDGPLKALYMEYNLFNESIPRSLCRWTYLQLLDLSGNRLSGKIPKCLGNLKNLYFMRFSSNELSGVIPGSIALISSSLMWLGLNNNNFIGELPRELGNLRELRVLDLGDNKLSGYIPECIGELRFLMVLRLHNNNFTGRISSSLCRNSNLQILDVAQNNLMGTIPHCFRQLNAMVSSMQPPDNELRYDEKVIQVMKGVDLEYGRSWTIVFNMDLSSNKLVGEIPLELTALSMLMGLNLSNNHLSGRIPDSIGNMRKLESLDFSNNKLIGTIPPSMAALNFLSHLNLSHNNLSGPIPTGNQLQTFTDPSIYAGNKDLCGAPLPNNCSNHEDSMTSIKKRYEEGDERMKVWFYADIMSGFVTGFWGIIGVLLFKKQWRRKLFMFAEETIEKIYVAVVVRVSKIKRRREAA >cds-PLY77810.1 pep primary_assembly:Lsat_Salinas_v7:3:35283263:35284404:1 gene:gene-LSAT_3X25961 transcript:rna-gnl|WGS:NBSK|LSAT_3X25961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTEIIIRATRTQNVLGEKGRRIRELTSLVQKRFKFPENSVELYAERVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMENGAKGCEVIVSGKLRAQRAKSMKFKDGYMVSSGQPVKEYIDSAVRHVLLRQGVLGIKVKIMLDWDPTGKLGPKTPLPDNVIIHMPKDDVVVHPPKEVEEYRPPLVIADEPIPMSVPVPV >cds-PLY81583.1 pep primary_assembly:Lsat_Salinas_v7:1:133965198:133965515:1 gene:gene-LSAT_1X100720 transcript:rna-gnl|WGS:NBSK|LSAT_1X100720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKELGAYTDHWVVARDEKEAEGEAKKVFLGKKFELAQDPDVLDTWFSSWLFPLSVLGWPDDTQDLKTFYPTAVLETGHDILFFWVARIVMVGMKLGGDVPFQKVS >cds-PLY66511.1 pep primary_assembly:Lsat_Salinas_v7:4:341209585:341213389:1 gene:gene-LSAT_4X168981 transcript:rna-gnl|WGS:NBSK|LSAT_4X168981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNTNLVIIWILIITIIVPIKSNKGTDRPTNVGLANYCTILSIDGGGVRGLIPSTILAFLESKLQEIDGPNARLADYFDVIAGTSTGGLMTTMLTAPDYRNRPLYAARDINGFYFQHSPKIFPQLSRIKFLNSVAGMFGEVAGPKYDGKYIRSLTKTLLRNMTIKQTLTDIVIPAFDIKHLQPTIFSTAQAKEIAWKDAQLADVCISTAAAPTYFPPYYFETRDTDGTKHTFDLIDGGVAANNPTQLALTHIHKEVLMGKYRFAGATSNHVDAKRMLVLSLGTGMQKFTERYTAQKAARWGLLRWIFDNGSTPILHIYSDASSDMVDIHVSTLFRALNAEKNYLRIQEENLTGDQTSVDISTMENMKALENIGKKLLQKNVSRLDVESGKFLEVKGAGTNAEALTHFARQLCAERKRRRCTN >cds-PLY78872.1 pep primary_assembly:Lsat_Salinas_v7:5:307883247:307883806:1 gene:gene-LSAT_5X167180 transcript:rna-gnl|WGS:NBSK|LSAT_5X167180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTCIADDARVPVRATYVNLYKWPDSDREFVRSVSRNSHRKNGESQGHPRLVDSISCRQLYLRSYTFSRKESLNERTMNCIGRVKERAAVRGKQKKSPTSSAGGGRQRDGGKRRRRRKCTVVMKAKEASYAALASIFRRLLSCTTKIDVVD >cds-PLY84520.1 pep primary_assembly:Lsat_Salinas_v7:1:30770527:30771953:-1 gene:gene-LSAT_1X26721 transcript:rna-gnl|WGS:NBSK|LSAT_1X26721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQGHTIPLLYLSRILSDRHISVTLITTPANYSTIRATVKNDSISIIEIPFPDNIVGVPPGVEVTDKLPSMSSFISFVEATEKLQPRFEEVIRNLPPVTCIISDGFLMWTQDSADKLGIPRLVFYGINNFSTAMCNIMAKFKPHAAVSSDDEPFPVPNFPRLKLTKNDFEPPFNELNPKGPALEFILRQHESMAKSHGMVVNSFYELEPEFVDYWNQNYAPKPWCVGPLCVAKPPGTEMFVEKPTWVQWLDAKLTANEPVLYVSFGSQAEATPEQLREVAVGLERSNVSFMWVIKSKQLMLIEDGFEERVKGRGKVVTEWVDQVEILNHEIVHGFLSHCGWNSMLESMCAGVAVLAMPMIAEQHLNARMVVEEIGMGLRAWPRGMVARGIVGAEEVERKVVELMEGEGGRRVRKRVKEVKESAYDAMKEGGSSWRTLDSLISHVCGHMHSTI >cds-PLY69612.1 pep primary_assembly:Lsat_Salinas_v7:5:314161666:314162230:-1 gene:gene-LSAT_5X171920 transcript:rna-gnl|WGS:NBSK|LSAT_5X171920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEIFKGTKDFKKEVFDKVQLELNQFELLIYNANEAANQAKIDVSEAKMKRHRGKDRGKKEEIKVKTEVNVFENQKEAEVAEANAELMMKNAKWAKDSQVTKVESKKAVTLREAEL >cds-PLY81223.1 pep primary_assembly:Lsat_Salinas_v7:4:304513398:304516670:-1 gene:gene-LSAT_4X153821 transcript:rna-gnl|WGS:NBSK|LSAT_4X153821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVPNNTVGCLLGKGGEVIKRLRGETGAVIRLLPAEQLPTCAMETDELLQISGTPDVTRKALAKVSTLLHQNPRKDEPPSNSRPVGPPRAFQPGAPPPPERGPYTYGAPPLPWREPPRYGPPAIDPVQIEETPIEFPMKILCSEARIHGVIGRNGISLRQFQQETGTSIHVEDPLLGSDERVIVVSSFDALQKPRSRTIDAILLLQDKTSEHDEKGIITTRVLIPSSKVGCILGQGGMIINEMRRRIKADIRVYPKEDKPKCAAENEELVQVSGSYGVAKEALAEIASRFRARYLRDTKPVTEVVPRGGRYEPFKREYNPHQHQHQHQHQHPPPSYLAPPPPPREYQPHTYHAPPVEYATHAYPVPSHASGYPSAVDMNVANSGQASVPGPPGVHNPSEVAGTMVNSQDPYAQPVAVAATHGVVDVYQAYGGAAGGQTHPVQVTYAENYGTQQDPYSSAHTSYYTTTTTAPATTTTAPAATVPTYPPQQGHYTTTQTSQQYGH >cds-PLY79318.1 pep primary_assembly:Lsat_Salinas_v7:4:633825:634885:-1 gene:gene-LSAT_0X35300 transcript:rna-gnl|WGS:NBSK|LSAT_0X35300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQWLWVNRDLMGHGFQKTREFPDCIPKLLGINLTLVKRLGILTIVGMNCEDSILVAAGMSVAWRARGKMAQLYVARCEVQAGRATAPIRKRRSLRVVSSSDEETKSDDMGLHPLKVGKSVSMVLLLGSIRDVLGNKFSTSVQKDKAVIPDSVMSPSPSFTIAFLIDLSSNSTFGSALASPRGFIQPMKPLVEGEIGNAPRSTSSKAYAPGWAITVDSLLSDDASA >cds-PLY70447.1 pep primary_assembly:Lsat_Salinas_v7:1:71967058:71968081:1 gene:gene-LSAT_1X61961 transcript:rna-gnl|WGS:NBSK|LSAT_1X61961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKKLEELRKQIHQEREKADFRSKNDLNSYTIQDYPQRRTVQIRGSRLLNLFPLKRNLNPPHLQTRCPNSSFKFLLHPKLEIIECLRIMVNNKYICVTGFEEANIDVEKEENIENEINVVIIQ >cds-PLY76642.1 pep primary_assembly:Lsat_Salinas_v7:4:117063378:117071665:1 gene:gene-LSAT_4X74240 transcript:rna-gnl|WGS:NBSK|LSAT_4X74240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSVFFVRPNQHPLSSPFTSCISPTISLRPELFGPEFRRPSRRRKCCSLGLGLGLGVHFHSPSIRTKRRLIFRTSAALDLQTVVVVVSFVAVSALTVYYFSYSNKKHDDRSNKKSKPLHHTDSQQSSSTVNHTFNEQNSSNLVLENEKCDEGSKYIVMEVKDISHDLANESTETQFNGTTASSILESSVSSDVKSSFKKDFSMLDSSFEEPPNMMELSSQESLSMLLGSGDVMCIPPTERNVVCFDEKHESEHDSELPKLVVETELIAASVPSNSMVAGGNVIGEKTHGLTKEHDASRYNFPDKKFTHNGLHSFYETNHYAMSGMENLSSTKAMPGISSFGDKFFSSSANNSIFHPERFSAKEFLHAAEKFEAKSPNGKYENGTPNGRRNFWKKGEEKAMLSKDDLKILFPVSQVKKQASNQDYSSQQLRAYHRFLKEGRLTDCLEKLEYLERKGLLDMNNVYHMGFLRVCRIQKAVKEAFRFINLIPNPTLSTFNMLMSICAHSQDLDGAFEVLQLVQKAGLKADCKLYTTLISTCAKSRKVDTMFKVFHEMVNLGVEPNVHTYGALIDGCAKAGQVAKAFGAYGIMRSKNVKPDRVVFNALISACGESGAVDRAFDVLSEMNSETHPIEPDHVTIGALMKACVNAGQVERAREIYGMIKEFNIKGTPEVYTIALNSCSGHGDWEFACSVYNDMTKNGIIPDEMFFSALIDVAGHAGKLDASFEILQEAKKGGIDVGIVSYSSLMGACSNAKNWKKALELYEYVKSMRMKPVVSMMNALITALCDGDELPKAVEILSEMKKLGLRPNSITYSILLVASEKKDDLQVGLMLLSQAKKDGVSPNLVMCRCLIALLYRRFEKACMIGEPILSLDSGRLQINSEWTTLVLMVYRETVKGGSIPTIEVLSQVLGCLRLPQDSSLKTRLIENLGVIADDTSKGSNLCSLIDGFGEYDPRAFSLLEEAASLGIVPCVSLKESPIVVDVTKLPIYMAEVYFLTVLKGLKHRLAAGVKLPNISILLPIVKTQIKAPMGDKTMNVAGRVTQAISSLLRRLRMSYIGNESFGKIRINGVVVKKWLSPKLSSPYGGKPTGFSSSLGKGITNQQRHIRSTNLSFE >cds-PLY84316.1 pep primary_assembly:Lsat_Salinas_v7:5:191343260:191344692:1 gene:gene-LSAT_5X84521 transcript:rna-gnl|WGS:NBSK|LSAT_5X84521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEHHPSPPNIITELPHDVIFYNILPRLPVKYATSLRCVSKQWNSFLNTRLFTNMHLDHHQNHHKLLLFSDAKPCTHFRSVHCEALEDGFSDSRSLPFVASSSKNITILGSCNGLVCVGVTKEGREDEYYSFMMLWNPLTGEYKRMCGDYSDAKCYKVTGSASELYYKASADDYMVLRVTEDGDAYIYSLKADSWRKLYCMGDLTRKRTKLVWGASILHNGNIYFIDEGVRRIRSRLSFSVIKFNTKKEKFTVMETPRFGDVTTDFLNSMVLEKEGKLHLCVTYKRNSTDQLGGKLWRMDGDVFRRRKVVRYRIPGKFYYLKPLHLMKNGNWVTVCRSGCHVNELDPGRDFEKSSYATTKKDYKIDFRKKGIYIETLVSPNGKMK >cds-PLY64761.1 pep primary_assembly:Lsat_Salinas_v7:7:192543196:192546432:-1 gene:gene-LSAT_7X114561 transcript:rna-gnl|WGS:NBSK|LSAT_7X114561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIGLAPINHCSKEHQKIYQDWFVCADSDDDGRITGIDATKFFAMSNLSRQDLKQVWAIADSKRQGFLGFQEFVMAMQMISLAQAGHTLTVDLLNKDVDTEELKPPAMEGLDALLATSGEKQAKPILFDASFLLLFLGGASQIEISSSSKHAKNLPLKSVTSIVDGLKKLYIQKLKPLETMHRFNEFVSPALTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKTGYPGAHIGPEPTTDRFVAVMNGPDERSIPGNTVAVQADMPFNGLTGFGGAFLSKFQCSQMPHPLLDHITFIDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVISSLKGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVMRVYIGSFNDKPINVSDDGPMGAELFEKEQEDLLADLKDIPKKACDRRINEFVKRARAAKIHAYIMNQLKKEMPSWIGKAKAQQRLVDNLEAVFQKIQKDHHLPAGDFPNVETFRMSLNGYNIDRFERTKPKMIQAVDDMLAYDIPKLLKSFRNPYE >cds-PLY91462.1 pep primary_assembly:Lsat_Salinas_v7:MU039433.1:431848:435852:1 gene:gene-LSAT_0X26320 transcript:rna-gnl|WGS:NBSK|LSAT_0X26320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFRNLIVFIWLTGSIIKTVSSQSASTQCPLEFKSLTTSQNCEEGTWDDFLKDKCCKSPFNSYLDALAIRANETGKIYLNSTEQTSCLKKMKENVTTDVFTCGIDKLTAGINGCAAFSVHDVSNRLRDEVLGLSKGCGSIIGVGGYWNQSCGECVKSWEDIKGVISGDSDDDLCRFAVLTTLTGSRIDDLIWKENVYRCLGGQVISNGMKRDLPKKSRYLKVPIKEILYATNNLDQSNYIGEGTAGKVYRGILSNKQHVAVKHIIDDGFMETFLREVRNLALVRHPNLVALLGYCDNEDECFLIYELCTNGNLSEWLFGKNKVLSWIQRLEIAIDCARGLWFLHTYSEGCIVHRDIKPTNILLGPNYEAKLSDFGLSKVIDIGKTYASSEVRGTFGYVDPEYQTDSQVNSAGDVYSFGIVLLQILSGRRVINMNASKPMPLEKMAKSLTKGGSIVGFADPKLEGKYSAEAFELTFQLALSCTGHKKERPSMGQVVERLEETHEISISVMDLNLHKT >cds-PLY63270.1 pep primary_assembly:Lsat_Salinas_v7:4:201287731:201288254:-1 gene:gene-LSAT_4X111620 transcript:rna-gnl|WGS:NBSK|LSAT_4X111620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPSPPSPIARLQTSNISHMPSPDSPPPPTASFMPPSSPGPSGSPSASSTGKVVVMVVKCRNGVCVGGVRWFRKE >cds-PLY96994.1 pep primary_assembly:Lsat_Salinas_v7:2:35459803:35462173:1 gene:gene-LSAT_2X16521 transcript:rna-gnl|WGS:NBSK|LSAT_2X16521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHRCPPSLLSLAIDAALFNLHNISDLSFLPEHILIDLFLRTLKAGKLNPRILKVFVATGNEEILSMIRALNIQLVLTPILPTRCSDKF >cds-PLY97977.1 pep primary_assembly:Lsat_Salinas_v7:3:18547205:18552249:1 gene:gene-LSAT_3X14340 transcript:rna-gnl|WGS:NBSK|LSAT_3X14340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGTIQNTHFYSSSSSSKKRMTPDDFNRDDDIITKKLPAATFEDPVSALANARHEFGEHGGVNMSIEASATFTVMEPETLSRMFTGELGPDRDFFIYSRHFNPTVLALGRQMAALEGTEAAYCTSSGMSAISSVLLQLVSSGEHIVASPTLYGGTHAFLTHFLPRSSNIKTTFVGIRDLKKVEEAIVEGYTKVLFFESMSNPTLTVANIPELSRIAHEKGVTVVVDNTFAPMVVSPARLGADVVVHSISKYISGGGDIIAGAVCGAASLVNSMMDLHQGTLMLLGPTMNAKVAFELSQRIPHLGLRMKEHSQRTLIYAQRMKKMGLKVIYPGLEDHPDHHLAKSIFNKEYGYGGMLCLDMKTEVRANKLMNGLQNKTRFGLMAVSLGYYETLMSCSGNSTSSELDEKEQALAGISPGLVRMSIGYTGTLEQRWGQFQEASLNLI >cds-PLY89708.1 pep primary_assembly:Lsat_Salinas_v7:7:42114982:42124124:1 gene:gene-LSAT_7X29400 transcript:rna-gnl|WGS:NBSK|LSAT_7X29400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein [Source:Projected from Arabidopsis thaliana (AT2G34680) TAIR;Acc:AT2G34680] MEGEEGSLDKVPISVTKTQSSAESVKAARSVTKPTVSSSLKVSGSITGTTRKKIEPKGASNMVKSTTRKPTVDHGNTTSNSAPAIRRNSTGSLPEKQQQLPVKKQISSGGGSAVGRKISSLTTTEPLRRSLPEAHKSSQPSSPIVRASRTMGSKSEASKGQSSLTSNVKSSPSLSSSSSSRIPSTSIDSTASSTTRKSISKLSSSAVSSGSKSGSLSSSRERMSTSSSQRKAATPEIRISRLIMLPQVETKANDDVRLDLRGHRIRSLKAGMNMSPNLEFVYLRDNLLSSLEGIEILKRVKVLDLSFNDFKGPGFEPLEACKALQQLYLAGNQITSLTSLPALPNLEFLSVAQNKLKSLSMASQPRLQHLRLEENPVLEMPHVEAASILLVGPTLKKFNDRDLSHKEITFAKNYPPQTAVCIAKGWEFCPRDQALESTFHFLVEQWKDHFPPGYMLMEASVDQPFEEDACFCHFLFTKDKINNDESELVLHYQWFIGSTPLSNFTPIPNATAEVYWPKRDNVAKILKVECTPVLGDTKYPPIFAISSPVSPGTRCPKVLKLDVRGELVEGNIITGHPEVAWCGGTPAKGISSWLRRRWNSSPVVISGAENEEYLLTLDDIGSCLVYMYTPVTEEGTKGEPQYAITDYIKPAAPSVNNVQIIGDIVEGNAISGTGVYFGGKEGPSKFEWLRENKDTGDFVLVLTGTTEYNLSKEDVGSRLAFVYHPVNFEGQEGKSTSALSPIVKRAPPKVTNLKIIGDLREGSKITVTGNVTGATETSSRVQWFKTFSSILDGENGLEAVSTSKLAKAFRIPLGAVGCYIVAKFTPVNTDGESGEPAYIISDRSVENLPPNLNFLSITGDYSEGGILTASYGYIGGHEGKSIYNWYLHEVETEPGVVIPEASGRLQYNVTKDAIGKFISFTCTPLRDDGIIGESRTCMGQERVQPGSPRLMSLQVVGTPVEGTTLSVNKQYWGGEEGESVFRWFRTSLDGMQSEVADAATSSYLLSLDDIGFSISVSCEPVRKDLARGPIVLSEQIGPISSGPPTCKSLEFRGSMVEGGQLTFIASYSGGEKGACFFEWFRVKDNGSKEKLHSEADHLDLTLEEVGTCVELVYTPVRADGVKGSPKSTISSPISPGDPTGVKLVIPDCCEAQVVVPLKTYFGGQEGEGNYIWYRTRSKLDALTLMDISENAGDDVATCGKTTIYTPSLEDVGAYLALYWVPTRSDGKSGEPLVSICNNPVGPAFPEVSKVGVKALSLSSYSGEGEYFGGYEGESIFSWYRKTIEGTIVLIDGAHSKTYQVSDSDYTCRLLFGYTPVRSDSVVGELKLSEPTDVILPEIPRIEMLALTGKAVEREVLTATEVIPKSDAQQNVWQKYKKHVRYQWYICSEENKSFEPLQSQCSCSYKLRFDDIGSRFKCECIVTDTFGRSSEPAYSETAPVSPGIPKIEKLEIEGRGFHTNLYAVRGNYSGGKEGKSKIQWLRSMVGSPDLISIPGETGRMYEANVDDVGYRLVAIYTPVREDGTEGCPVSASTEPITVEPDVLKEVKQKLDLGSVKFEALCDKDRSSKKVSGVSSLERRILEVNRKRVKVVKPGSKTSFPTTEIRGSYTPPFHVETFGNDQHRLKIVVDSENEVELMVQTRHMRDVIVLVIRGFAQRFNSTSLNSLLKLDA >cds-PLY63334.1 pep primary_assembly:Lsat_Salinas_v7:9:133967208:133970721:1 gene:gene-LSAT_9X86541 transcript:rna-gnl|WGS:NBSK|LSAT_9X86541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTERNLKGKVGKPARLEDWITTITPLTDGESTYKVTFEWDEDTEVPGAFLVQNHHHSEFYLKTLTLEDVPGHGHVHFVCNSWVYPTKRYKKDRIFFTNKAYLPSETPEFLRSYRDEELEILRGDGTGMLEEWDRVYDYAFYNDLGNPDKDPDDARPVLGGSSEYPYPRRGRTGRPPTKSDPNTESRLPLLMSLNIYVPRDERFGHLKLSDFLAYGLKSIVQFLLPEFQALCDTTHDEFDSFEDIYKLYEGGFKLPGGPLLDRIRENIPLELLKIVLETDSDGIAKFPKPQVIKEDKSAWRTDEEFAREMLAGVNPVKICLLKEFPPTSKLDVKIYGNQNSSIKPHHIEKNLNGLKVDEVLKANRLFILDHHDSLMPYLRRINATTNKIYASRTLLLLQNDGTLKPLVIELSLPHPDGDNLGAISNVYTPAENGVEGSIWKLAKAYVAVNDSGIHQLISHWLHTHAVVEPFVIAANRQLSVLHPIYKLLYPHFRDTMNINAFARQILINGGGILELTVFPGKYSMELSSVLYKDWVFPEQALPVDLVKRGMAIEDSDSHHGLRLLIDDYPYAVDGLEIWSAIKSWVEDYCKFYYKNDDMIQNDTELQSWWKELREEGHGDKKHEPWWPKMDSCQELINICTTFIWVASALHASVNYGQYPYAGFLPNRPTLSRRFMPEPNTPEYDELKENPDDVFLKTITPQLQTLLGIALIELLSRHSSDEVYLGQRECPEWTMDAEPLKAFEKFGKKLKDIEEKIVKMNNDEKLKNRIGPVNVPYTLLYPTSEEGLTGKGIPNSTSM >cds-PLY84526.1 pep primary_assembly:Lsat_Salinas_v7:1:32167988:32169116:-1 gene:gene-LSAT_1X27520 transcript:rna-gnl|WGS:NBSK|LSAT_1X27520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLECGMSKKRNKKRHLHLMQRPLRLLKIRNINNFLRYVHAELHGSSSTVIKNHKPDNPVPPLTLNQAGYFRVSNTTATGEYLPGFGIVFWLDETSLGSHMNERRVRDEEGGINDSEDNEPFKELFDSGSENESPDSEYHVNVSNLSTNNQKIMDLTSEVGSLCEITTSGINNTNSQEVSIPTVSPELLDLLEKALELKYGATSVKKYGLDALEMKSKNNNEEEKEQ >cds-PLY85597.1 pep primary_assembly:Lsat_Salinas_v7:2:120720779:120721538:-1 gene:gene-LSAT_2X54780 transcript:rna-gnl|WGS:NBSK|LSAT_2X54780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRLVIERYYSKMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKTDRVEVDKETIELLASLGMSELPGIVLKEDTPLVSTTIPGGYGGGRGGYGGGAGRRY >cds-PLY66728.1 pep primary_assembly:Lsat_Salinas_v7:4:79973163:79974681:1 gene:gene-LSAT_4X54580 transcript:rna-gnl|WGS:NBSK|LSAT_4X54580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELNSTRNKKRSLFAFISLVFLFVLSFNGASIFSVKIPSLDRTDQSPPKLGRHSRNLISVSSSSSSSPLMHAVKEETPSEISIPHLTLQANSEETEVTGTGDPINFVSVPFFKHPVQQRREKMAIGKQENLNPHVIPNTHLPHSRKSHSFTIESEDSSSTRNKKLLKMLTAFGSSRGRRGIDFPARVKEFFMKKNDGGSCKVRFFMTWIAPVNAFNERTFHSIESIFKTNPNGCLLIVSNSLDSIKGNRILKPFSEKGFRVTAISPDFDYLFKSTMAESWFSKLIRGHVHSGDVPLGQNISNLLRLCLLYKYGGVYLDTDVIVMKSFSKLKNSIGAQTVDQNSKNWSRLNNAVMVFDKMHPLLYKFIEEFALTFNGNKWGHNGPYLVSRVVSRLHGRPGYNFTILPPMAFYPVNWDKVRILFREGKNETDARFLRAKLEQIRDQSYTVHLWNKQSRGLRMEDGSILKKILSDHCVFCNSSASDYIVSTMG >cds-PLY95155.1 pep primary_assembly:Lsat_Salinas_v7:1:94536372:94539697:-1 gene:gene-LSAT_1X78600 transcript:rna-gnl|WGS:NBSK|LSAT_1X78600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 12 [Source:Projected from Arabidopsis thaliana (AT5G23580) UniProtKB/Swiss-Prot;Acc:Q42396] MSSSSTSTSTATQPAKPPILKKPIPPPSSNPKPNWVLKQKTPNLQQLYTIGRKLGQGQFGTTHLCTEKSTGIPYACKSIPKKKLICREDYEDVLKEIQIMHHLSEHTNVVRIKGTYEDALYVHIVMELCAGGELFDRIVQKGQYSEREAAKLIKTIVGVVEACHSLGVMHRDLKPENFLFSTTHEDATLKATDFGLSVFYKPGETFSDVVGSPYYVAPEVLRKHYGPESDVWSAGVILYILLSGVPPFWAETEMGIFRQILQAKLDFESEPWPAITDSAKDLIRKMLDRNPKKRLTAHEVLCHPWIVDDKMAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFEELKEGLKRVGSELMESEIKDLMDAADIDNSGTIDYGEFLAATVHLNKLEREENLVSAFAFFDKDGSGYITIDELQQACEEMGLGEVHLDEMIQEIDQDNDGQIDYGEFAAMMRKGNNGGIGRRTMRGNLNLNLGEALGVVAPQEPITKNHTTEKVDI >cds-PLY71441.1 pep primary_assembly:Lsat_Salinas_v7:8:42569079:42571454:1 gene:gene-LSAT_8X33281 transcript:rna-gnl|WGS:NBSK|LSAT_8X33281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVKTMAKPNKKQVLLFYCVEAEELARKIAAQSDHIRLQSINWRSFDDGFPNLFINNAQDIRGQHVSFLASFSSPSVIFEQLSVIFALPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTMARILSNIPISRGGPTSLVIYDIHALQERFYFSDNVLPYFETGIPLLKQRLHQLPDADKVVIAFPDDGAWKRFHKLLDHFPMVVCAKVREGDKRIVRLKEGNPEGCHVVIVDDLVQSGGTLIECQKVLAAHGATKVSAYVTHAVFPKNSWEKFTHKNGENSSKGFAYFWITDSCCPTVKSIEKKAPFEVLSLAGSIADALQI >cds-PLY75936.1 pep primary_assembly:Lsat_Salinas_v7:9:198680656:198690815:1 gene:gene-LSAT_9X122760 transcript:rna-gnl|WGS:NBSK|LSAT_9X122760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDTSLHVAYNYVSGLHTCRPDRATWDLIAMVENGKHEGPATYSVTFVWNGHEGENVELIRGFAGNWKEPVKAIHKGGPQYEPEVRLAQGKSYYKFIANGNWRHSTTSPTEKDDRGNVNNILEVGDVANVRPSIQHPTKAAKVAEVEDTPVVSSINAKVADEHVAPKPKFQFAFEEIEVSDDEEDQENQEKELTENELEDFIQQSISIPEEDAAVTSTIVTGRKSDTTLQSSMPTPEQMDGLIAKLQRIGRKAPQMVLVDIEPPSESDIEDSANALLPRKRKRIDRRSGVLIIDLVHKQSTPIEPGSMAHNIQTPFTETSLVIQDIPSPIPEPIPMDQDKQEINTRVYT >cds-PLY79362.1 pep primary_assembly:Lsat_Salinas_v7:9:53947970:53948780:1 gene:gene-LSAT_9X50080 transcript:rna-gnl|WGS:NBSK|LSAT_9X50080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVSELACTYACCILYDDNIAITSEKIATLVKAANVNIESYWPGLFTKMCEKKNLDDLIMNIGAGGGAGAVAVAAPAGGGAAPAAAAPAAEEKKEEAKEESDDDMGFSLFD >cds-PLY87871.1 pep primary_assembly:Lsat_Salinas_v7:3:44398642:44404727:1 gene:gene-LSAT_0X9300 transcript:rna-gnl|WGS:NBSK|LSAT_0X9300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40C [Source:Projected from Arabidopsis thaliana (AT3G19840) UniProtKB/Swiss-Prot;Acc:Q9LT25] MEPSSPVEGKSSTPSTPTTAPASVLSTPTLKDADTVSTESMHGSVASIPSLSYNVPQNANRNSESPHHSSSNTAMINSPGSSFPRPPVPGISSSSAPSFSYNIPQTEVAVSGGQSFHSRVVVASEVPKNVSVSPTSLQPPVSGQLAHPSSFIRGMPPPPPVAVPRDTSSNAANFSYNGHHQSVSSAPPVPHSTLGPTSTNFSPTFWMPSGPPFQQPPGRAASALPPPPNTTVASSQQPIYGPYASNPPQGVWIQPAPIGGLSRPAILPYPPPAAFPGSFSLPAQRMPPPSDAQPPGSSTGVPGIVSDSMPSVGSVMPLELPPGTDNSKHVNAVGAEQLDAWSAHRTETGILYYYNSVTGQSTYQKPPGFKGEPERVYAQPTPISWEKCVGTDWSLVTTNDGKRYYYNAKTKLSSWQIPMDVAEQRKKQESDVLNLKEQSMSVPNTSLTEKGSGPLSLSAPAIHTGGRDAISGVPVTSSSALDLIKKKLQDPTAPATSVTPPGGEKSSELNGGDQVGSENGKDKEMLKERGVAPFSKWEKELPKFVFDPRFKLIPSYSARRAIFDHFVRTRAEEERKEKRAAQKAAIEGYRRLLDEAKEDINHHTDYQTFKRKWGHDPRFEALERKDREALLNERVIPLRRSVEEEARAKRAASVSTFKSMLKDNKDISSNSRWYKVKDILRNDPRYKSVRHEDREAIFNEYISELKVCEDEAESIAKAKRDEEEKLRERERALRKRKEREEQEVERVRSKARRKEAIESYQALLVETIKDPQVSWTDAKVKLEKDPQGRAANSYLDQSDLEKLFREHVKSLHDRCAHEFKALLSEVITSEASTKEYEDGKTVLTSWSTAKELLKDDTRYNKMPRKDRESLWRRHVEDLLRRRRKSTVDQQDASEKHGDDRTTAVDSRKYVSASRRNYDPRR >cds-PLY67578.1 pep primary_assembly:Lsat_Salinas_v7:6:113870064:113875315:-1 gene:gene-LSAT_6X70500 transcript:rna-gnl|WGS:NBSK|LSAT_6X70500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase C, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G06500) UniProtKB/Swiss-Prot;Acc:B9DFA8] MGSCSCIGLSSSKPFCRRILITYKRSSLFGFQIPISNHSAVINLPKSRFKLLGSRGSHTCKSKVLGFREGAIDPNLGFRDVSDSNQKGFCGSALNWGRQPRVLLNGCLAGGIDRRFSRLVSKVASDYRNHSTSVESHVNDTSWERIYIKGRLNVKPLVIEEVERKDENEEKVLDYSHSSVNKESLDTVLGDERKESEIEKEAWKLLRGSIVNYCGTPIGTVAATDPADKLPLNYDQVFIRDFVPSALAFLLNGEGEIVKNFLLHTLQLQSWEKTVDCHSPGQGLMPASFKVRSVALDGKSGEFEDVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYSLQERVDVQTGIRLILKLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMVKVNESTQGLAAAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSTDAINKFNIYPEQIPSWLVDWIPESGGYLIGNLQPAHMDFRFFTLGNLWAIVSSLGTPKQNDGILNLIEEKWDDLVANMPLKICYPALDYDEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMKRPELARKAIALAEKRLSLDQWPEYYDTRYGRFIGKQSRLYQTWTIAGFLTSKKLLENSEMASKLFWEEDYQLLENCVCGLGKHGRKKCSRSAARSHFVL >cds-PLY69778.1 pep primary_assembly:Lsat_Salinas_v7:5:232723711:232725290:1 gene:gene-LSAT_5X114100 transcript:rna-gnl|WGS:NBSK|LSAT_5X114100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSYCRRAVNGSRSLFSSMISSSQTRSKHSISRAQSVSSERPLRQFVSGKDKSVGRNSKGRITSFHRGGGAKRSQRTIELKRNTSSVGVVERIEYDPNRTSRIAVVRWVEGAAVDCPRKVNTLAKINFTPPPKIIPSITMKDHVVVGLPTLSSQSQSQTGTHMTDVKDVFLSAFSSSNGKRHNAPLPYSLGIPRMALAGAKPEFFVPRMKDDVKENKSLLLNEVKKWNKESVVWGHKMKQKAAVSWGSLRQRAILGLVNESKSKSKVKELEKEKTDGIFGVDCSAVSYILATHQMEAGKMVMNCDLTKQQSNDELLLHRY >cds-PLY86023.1 pep primary_assembly:Lsat_Salinas_v7:3:74110530:74111025:1 gene:gene-LSAT_3X61181 transcript:rna-gnl|WGS:NBSK|LSAT_3X61181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTGSMTSGFQNVNVNFRNRNNPMVLYRCGVEASLSISWTDKNPGRKFRGCTNYKDPSRYCKFFMWLDPPLPSEDYKNLMYQMHLALVSMADGNAQLEQVNVDQNRRLMLMMKLMFIMVMLFAVMLVTGTGLLVRL >cds-PLY87127.1 pep primary_assembly:Lsat_Salinas_v7:5:259609176:259610207:-1 gene:gene-LSAT_5X129721 transcript:rna-gnl|WGS:NBSK|LSAT_5X129721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEPQANEDQQADTKTNEDVDVANKNIDVGNVNTKVEDDGYQSPPNDVHQPPDFTRSPPSGGNTSINHPLFPSGPPQSNMPPLSSQSPHNQTLALTLQTHSQVDDAKKEENLSNSHTEVDTYVIVEYIRDCSDIDDKVYFMDLSFMESHFR >cds-PLY75489.1 pep primary_assembly:Lsat_Salinas_v7:7:75102327:75107001:-1 gene:gene-LSAT_7X54081 transcript:rna-gnl|WGS:NBSK|LSAT_7X54081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTAVTTPPDSVPTSNDDQPRLKFLCSFNGSILPRPQDGKLRYVGGETRIVSVPRDINFEELMGKMKELFDGASVLKYQQPDEDLDALVSVVNDDDVTNMMEDYEKLGSGDGFTRLRIFLFSSIEQDDSIHFVERDERDNERRYVDALNSHNDFPEVQGMTPVDDVQLIDQYYNQLNIDNNLQNQRNFEMPMMGVNLRHVNIPHQHSPTQKYPDMESPWSPAYYSPRHEFPNSPSSSRYHSPYTDQVDRVPQEDYFRQQQHFNHQPQYDQQSPLPQNPVWMPPTNGFPGNILPINEALDIPNIGNGFPHVAEGGIWHGNYPNAYGNENLYHPSSHGHVPPNAFWRNGQTPSHGHGHGIPPYEASQENGACNIGYIRTNRESSPRIPVGMENQNLWVDSSPKVTPINGGVSFTDHSYGHRIPTEPVPFVPPPVQFMPEPVQLSPDPVQIIPDPVHFTPEILSNLKEKDGFGGENLNLPINQVNGDESSHKDKTPSLKSEEHEVHDLSNAPQNIESVNKSQLEGAKEVEIEAQDDVNDAKVCFFIGDVDTNTNTNTQVDLDRDSGSDNQSNSKIEETKAEEEAKSRGLQTIKNDDLEEIRELGSGTYGAVYHGKWKGSDVAIKRIKASCFAGRPSERERLIADFWKEALILSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDASFGMEYLHGKNIVHFDLKCENLLVNMRDPHRPICKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKNHMVSEKIDVYSFGIVMWELLTGDEPYGDLHCASIIGGIVNNSLRPTIPTWCDPEWKALMASCWSSDPTERPSFSQISHKLRTMAAAINVK >cds-PLY90605.1 pep primary_assembly:Lsat_Salinas_v7:6:50390900:50391343:-1 gene:gene-LSAT_6X36481 transcript:rna-gnl|WGS:NBSK|LSAT_6X36481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPVFIQVDVHFQGFFSKYPIRYIGGFTKRLSNIDFVGMDKIGCFLKDLTLISNELDYANFITIVYECGVILHMYVDHFGNTNMQEWLEEHKEEVVDNIVEDVLDGAGLVKEIETCHLDDEDENENEDEDEDEDEHGAKDIDVDEYE >cds-PLY67527.1 pep primary_assembly:Lsat_Salinas_v7:6:70979100:70981919:-1 gene:gene-LSAT_6X51600 transcript:rna-gnl|WGS:NBSK|LSAT_6X51600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMHPCVFIIFSLVLLRLQNTTANQLLAVEGGGGDDKCAAKKCLDKERDALLQFKANLQDPDGSLSTWRPEDDDCCAWEGVICDDQTGHHVTGLHIPSAGLEGEISHSLVNLTYLNRLHLSFNSFHGTIPRSIGFMTELRYLDLSYNSFYGTIPPEFGNLTNLQRLSLEDVGICRSESLEWLSHLSHLEELAMGGISLAKANQLVNMISTLPKLSFLSLDGCELSLVVHPYSSSFLNSSSSSIHTLSLRNNNLTSSMYRWLFPLTSNKLRFLSLSGNMLDGLPKYLGNLCSLEGLYFNYNSDVVNFPSFLYNLSGCTSLTLQSLYAERSQFTGSFSDDIQKFSSLIRLLLADNHINGTISKKFWELSNLKHIDLSQNHLSGAIFENIGNSMASIINLSKNPLQGVPSTDHMSNLSYVKQLDLSSCNLGPHFRRWIQKLEKLTRLDISNTRISDTVPPEFWNMQFRYLNISFNNISGQVSDLSSRDFAKTIDLSSNSFYGPIPHLPPCLASLNLSRNKFSGGISFICQFVDGLLQFLDLSHNSLIGQIPDCLWHFKELKVLNLGHNSLSGRLPPSIGSLIELEVLYLYKNSFSGQLPLSLKNCTNLNFLDLGANRFSGNLPAWIGENLSGLYALILRSNNFFGTIPLQVCQLPNLQILDFSRNNLHGSIPSCLSNLTRMAQEGLLPPPNVHPYTAPSYSHRYLSYTPKMYNGTREEYDEEEYVDHAMIEWQGDEREFTRNLGLLKSIDLSSNNLTGNIPHELTNLHELLALNLSKNALLGEIPQQLGEMKNLLALDLSRNSLSGGIPTSMSQMTSLCYLDVSCNNLSGRIPSSTQLQSFQPSRYDGNAGLCGPPLSRKCPGDEESQFGKSEGDEEDIDEDWGWFYIGGGTGFATGFWIACGALLLNRRGRHAFFQFYDNFKDWVYVKVVVFIAKLWWIAHM >cds-PLY77035.1 pep primary_assembly:Lsat_Salinas_v7:8:151882252:151882821:1 gene:gene-LSAT_8X102320 transcript:rna-gnl|WGS:NBSK|LSAT_8X102320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix (bHLH) transcription factor, Axillary meristem formatio [Source: Projected from Oryza sativa (Os01g0831000)] MDFLLHYRNPNFHSSSVVVTSNKVNNRVNGREGEKKKNKRNNNVSTKVKLSTDPQSVAARERRHRISERFKILRSLIPGSDTRNMDTVSMLEEAIQYVKFLKAQIWLHQTMISFENFHDYDNANRNYHHYQCSHEDLLQSDNFYNDFHHLSSLPQMENEVVPQLGFEDGSCFKVEDDDNKFSRSHGIIM >cds-PLY86301.1 pep primary_assembly:Lsat_Salinas_v7:8:57440342:57440548:1 gene:gene-LSAT_8X42181 transcript:rna-gnl|WGS:NBSK|LSAT_8X42181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENQRRDSDDDDFDDDDICGGDQIAPHEFLARRRMTSFSVHEGIGRTLKGRNLSRVRNTMWEKTGFED >cds-PLY98493.1 pep primary_assembly:Lsat_Salinas_v7:4:361821599:361822948:-1 gene:gene-LSAT_4X179381 transcript:rna-gnl|WGS:NBSK|LSAT_4X179381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQELFGGGATGLLNRDRDLPVSGAFEPSPSPSPSSSTTNTATTNAPTAANPTTSDNQKLRCPRCDSANTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKGTTIATTIGKPISSNGKLKAVVSSELGKSGFINGFEHEFTHNPILWSAPPQTSHLLSLLRATQNPNPNFASNSVTHIKDQGFMVGSHMSNLGFEPLGQASSLGLCSSLWRNNQVNQQAQQNHHEQHQQGMMNSGHEVQNTENNQGQRYQRLRSSSPVNYFHHDQTTPLILGSNVANTSSSISTSTILDSSPVLASGELGFWNQSLPWSDLAAANGAYP >cds-PLY90650.1 pep primary_assembly:Lsat_Salinas_v7:6:53880899:53883151:-1 gene:gene-LSAT_6X40481 transcript:rna-gnl|WGS:NBSK|LSAT_6X40481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGKKQKNQRIQRSASYYLEDDERQTYPSNNAAPSSQSDPNLTLSDEESESDDKNDQNPSPDDDNSLPSKFSLYQQSVQSPKGDISYLQKFFLTYVGGRAPLHLQEDFCGTALLSTEWLRSDSRRTAVGLDLDVEALDWCMENNVNKVGADLSSRIVLYHGNVLQPHEAKVVKSSFQNTMHNVTLEDDENGIESVANSEKLPPRDIVCAFNYSCCCLHSRQELVSYFKNALSCLSKKGGIFVMDLYGGTSSECELRMQRKFPNFTYTWEQAGFDIIQRKTRISLHFTLQKHQKKKLRHAFSYSWRLWSLPEIKDCMEEAGFRSIHFWIRQMPDSENIKSIEGFGGGKDVKYEEVTSFQQKDSWNAYIVGVS >cds-PLY90624.1 pep primary_assembly:Lsat_Salinas_v7:6:54457642:54457955:-1 gene:gene-LSAT_6X40840 transcript:rna-gnl|WGS:NBSK|LSAT_6X40840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLYKTSTPSTRNGAVDSNVKSNPRNNLIYGHHHRDESLPQGIEGEVISVYTNHNHRIRPESKCINLSSKYGLQIKFRISG >cds-PLY78108.1 pep primary_assembly:Lsat_Salinas_v7:1:6378163:6381339:1 gene:gene-LSAT_1X5420 transcript:rna-gnl|WGS:NBSK|LSAT_1X5420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulating factor 64 [Source:Projected from Arabidopsis thaliana (AT1G71800) UniProtKB/Swiss-Prot;Acc:Q9M9G6] MANSQHRCVFVGNIPYDATEEQLREICEEVGPVVSFRLVVDRETGKPKGYGFCEYQDEETALSARRNLHGYDINGRQLRVDFAENDKNNERSKEQGRGGPGMATNTAPQKQIGGPAGHGDPSVHQSFGVQDAMAAAIVMAGALGGGQNGIRSQPIPGTDPLTLHLAKMSNTQLTEVLTEVKALATQNKEQARQLFLANPQLSKAVLQAHIMLKTVPPQLLHMAGIGRQVPGPVAQQSGAQPLSGLLLPPLAQNKMPLGFIMPQAQSQSQSSHSSVPTHYATSSVPLQPPPRFQTPPPHPTLLGGVSGTLQSLHPQQPSISIPTPPHSLLQDNVFKPSSSIGPSILNNGDKDHHHHHHHHHVLPLHHNVPTGGAWGHNNHPIPNNNTASKLQENGHGRDNSNSNSDQINHHPPKLLKMEDGKAAPFSPTPHMNQNHFSKPGPIDSQNQKLEVLPSGSESELLEQVMNLTAEQLSSLPPEQQQQVIQLQQMLIRQKSTY >cds-PLY91858.1 pep primary_assembly:Lsat_Salinas_v7:8:198892622:198894369:-1 gene:gene-LSAT_8X127140 transcript:rna-gnl|WGS:NBSK|LSAT_8X127140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPGPYSGTSTLALVARVSAFSVGLVYGSLKLKYLQSKANSQRKAAAKAHH >cds-PLY82017.1 pep primary_assembly:Lsat_Salinas_v7:9:158326572:158327488:1 gene:gene-LSAT_9X99241 transcript:rna-gnl|WGS:NBSK|LSAT_9X99241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGPLYLAWTSGKPSEREGIQLLIYFLGLSSEEHQEYAVWLLALLTEQIDDSKWAITAAGGILPLVHLLETGSNKAREDAAYVLWNLCCHSEDIYACVESAEAIPVFLWLLKIGGTKGQEASGKALRKLIRKADAATINQLLALLWGDTPKSKAHKIEVLGHVFTMASHYDLVQKGSDAYKGLRSLVQILNSSNKETQEHAASVLADLFSIRQDICDSLATDEVVHP >cds-PLY97222.1 pep primary_assembly:Lsat_Salinas_v7:4:95859557:95860835:-1 gene:gene-LSAT_4X62300 transcript:rna-gnl|WGS:NBSK|LSAT_4X62300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVHNLILDWSIALASLLYCHGVGMFIGEGTTRFLALFPVICLFLFLPLNLHTMFFCGLTSFFISWLGSFKLMLYAFGKGPLCSYPHLPISHFVFTACLPIKIIRNREKVSNQITKNKKSPKDYVPRVLLFIVTLKAYSYKDRFHPLLTTSLYAYYLFFMLELLLALTASLARTIVGVELEPQFDEPHHATSVQNFWGKRWNLMASSILRPTVYHPSRVIFSHLVPERWVSVPAVFATFLVSGVVHELIFYHLGRLRPTGEFTSFFVIQGVCVGIEIVIKKTMGQRLQPSPVVARTLTLSFVMITSFWLFFPPFLRIDPYAKSCREFMAFIGFLKSGQILSPNEYACPIM >cds-PLY90317.1 pep primary_assembly:Lsat_Salinas_v7:2:198497731:198498137:-1 gene:gene-LSAT_2X119601 transcript:rna-gnl|WGS:NBSK|LSAT_2X119601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKVGRNLSEQLDTWNILAKARNEGRLFANIGWPRDPDINEQVKRLHLLLKMMDSAANVPKNLEAGRRFRLHIQVQFK >cds-PLY73208.1 pep primary_assembly:Lsat_Salinas_v7:5:102431771:102431980:-1 gene:gene-LSAT_5X48800 transcript:rna-gnl|WGS:NBSK|LSAT_5X48800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERLIVVALLSVGNNNRETGFGVWHRSWRQIPTLGILLVSWGRSELWSLRWRYCFVPPVLKVSAKEATM >cds-PLY98551.1 pep primary_assembly:Lsat_Salinas_v7:1:37472225:37475669:1 gene:gene-LSAT_1X31661 transcript:rna-gnl|WGS:NBSK|LSAT_1X31661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDDNWVTAAMDDTDLVVRVLFTLRQPASASHHKSPPLGWSIRQRRSRPQVVVAPAAVKKSPAARASPTTPLSWSGATSISGGGGIEESSKPIQNRSDISRSKVARPNETTPTKRPRKKKTLAELKEDESLLLKERKQLKRQLATLQATIEKQRNENESLKKMKMDMQLQQQGEGDEFVLPDLNIPAGEDE >cds-PLY97425.1 pep primary_assembly:Lsat_Salinas_v7:4:16843759:16845718:1 gene:gene-LSAT_4X10341 transcript:rna-gnl|WGS:NBSK|LSAT_4X10341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGCASSSRPNKKSRSGKFFFCSGKWYRRVSSSAPITAVCQEETWFDSVSILGSDSDDDFISVYGDFISLGNANDQCPDNNENEKLFISRNGYKDSKGSTVFDKSMLDDDLSCLTTVFVLSVNQKPSDGDEKTEICQAKRFLFRPRSGLVVPGSLNDKLSPGCWSIVSPSVFKLRGENFFRDKRKHPASDYSPYVPIGVDLFVSNRKIDHIAQHVELPCVDVHKKVPSLLIVNVQLPTYPASLFHGDSDGEGISLVLYFKLSESFDKQVSHRFCESIQRLVMDEMEIVKCFTKESLVPYRERLKLMAGVVNPEDLQLSSAERKLLNAYNDKPVLSRPQHAFYRGHNYFEIDLDIHRFSYISRKALDAFRGRLKHGILDIGLTIQAQHPEELPEQVLCCVRLNKIDFSDHGQIPRILIPNSD >cds-PLY78759.1 pep primary_assembly:Lsat_Salinas_v7:9:51552805:51553551:1 gene:gene-LSAT_9X45861 transcript:rna-gnl|WGS:NBSK|LSAT_9X45861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSKVKIWDVFNSRKCLRTYMGHLKAVRDISFCNDGSKFLTAGYDKNIKYWDTETGQVISTFSTGKIPYVVKLDPDDDKQKILLVGMSDKKIVQWDINTGKITQEYDQHQGAVNTITFFDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNGNWLAAQSLDNQILIYSTRERFRQNKKKRFVGHIVAGYACQVNFSPDGRYVILGDGEGKCWFWDWKSCNIFRTSECHNGVCIGA >cds-PLY75413.1 pep primary_assembly:Lsat_Salinas_v7:7:73838035:73839233:-1 gene:gene-LSAT_7X51421 transcript:rna-gnl|WGS:NBSK|LSAT_7X51421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARNDGKPVPLGHCTYYEGMPDYRLARVPKNVWRRLCDHWSTDKWRKNSKIAQQNRKVADANGSIARHTAGSIGFDEHRNNLEKMMGKPPTQFDVFMKTHGTAEAKKRYFAGDHENLEYFSLTAKEAQVTYLLSFMYIHCLSIFVHDNDTVLEMYLQEMVKKHEEDSSNHKDDARVWEEIQLRRKGKNKGDIYGIGASDIHFVDRLRAQVSTMEQQQQQMKEQMEMVMRMMNMSRNQPRAPPDNPPEDN >cds-PLY80427.1 pep primary_assembly:Lsat_Salinas_v7:4:361316599:361316999:1 gene:gene-LSAT_4X177520 transcript:rna-gnl|WGS:NBSK|LSAT_4X177520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRGMGWLTKILKGSSSSHRISEGRYHDRYENEEIWEEPPSTEDALSDFDQEEIDRAIAFSLVEEDERSALSLVEDDKKSARSVYMKKKALFLNLQ >cds-PLY81880.1 pep primary_assembly:Lsat_Salinas_v7:8:122852919:122855053:-1 gene:gene-LSAT_8X85300 transcript:rna-gnl|WGS:NBSK|LSAT_8X85300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGRGGEPQLPKPDGLARQGSLYNLTLDEVQQQLGDLGKPLSSMNLDELLKSVWTAEANQGMMTLTGGQADYTHHGVGQGQPSSLARQSSLTLSHDQRMRTVDEVWQDIQQGHKSKSNNNNADKKGSRERRPTLGEMTLEDFLVKAGIVAGSSPGKKNTGGGGSVIGGVDSNVGHGHQQAQWMQQYQVAPIPQHVYMTGHHPVQQSLPMMEMGYPETQMAISPSHLMHNLSDTQTPGRKRVASGDVMEKTVERRQKRMIKNRESAARSRARKQAYTHELENKISRLEEENERLKRQKEAGNVIPSAPPPEPKYQLRRTSSAPF >cds-PLY73368.1 pep primary_assembly:Lsat_Salinas_v7:7:64661167:64663016:1 gene:gene-LSAT_7X46360 transcript:rna-gnl|WGS:NBSK|LSAT_7X46360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAHVVSFSFDGEEVSLNSTSFESIESINMVVLHPNQTELIHGDQNGNIRVCNEIIDSDVGWKLGSCCKQQRNLLCLEALTWDIAIHKLQAQDGYILKCLLSPEFCEPQRASICLV >cds-PLY76718.1 pep primary_assembly:Lsat_Salinas_v7:3:139977174:139977578:1 gene:gene-LSAT_3X92421 transcript:rna-gnl|WGS:NBSK|LSAT_3X92421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDKMTTTGDEEKHFVLVHGACHGAWCWFKLKPLLEAAGHRVSAFDLSASGTNTKVIQDVATLADYSMPLLEFMATIPPEKKVVLVGHSLGGMNLALAMEKFPEKISIAVFLTAFMPDTAHTPSYVLDQVKKYF >cds-PLY68148.1 pep primary_assembly:Lsat_Salinas_v7:MU044714.1:30319:32139:-1 gene:gene-LSAT_0X32980 transcript:rna-gnl|WGS:NBSK|LSAT_0X32980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSDSGLVLLGLCNNRRSHFTLTSSPVSIGSPPYVHPPRPSSQNKEETSLISPLKPSTTSPNSNFTLHYLGYRLKNLSLKPGNNEKDPMKMTTNHDLFTRGIDIQAVNVVINFDFPRNVETWGRSGRFGHLGLAVNLITYEDRFNLYMIEQELGTEIK >cds-PLY78166.1 pep primary_assembly:Lsat_Salinas_v7:6:109143974:109144960:1 gene:gene-LSAT_6X68341 transcript:rna-gnl|WGS:NBSK|LSAT_6X68341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETVGRSIAAPLLFLNLVMYFITLGFASWCLNRFINGQSYHPSFGGNGATEFFLEFAILASVLGIVSKFAGGNHLRVWRNDSLAAAGSSSLVAWAVTALAFGLACKEINVGGHRGWRLKMVEAFIIILAFTELLYVMLLHAGLYSSRYGPGYRDGDYGVGGHHAEEGVMKGTRV >cds-PLY90436.1 pep primary_assembly:Lsat_Salinas_v7:1:143328029:143328229:1 gene:gene-LSAT_1X104300 transcript:rna-gnl|WGS:NBSK|LSAT_1X104300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGWVEWFKEIDSGDIAEFHYERIAWIKISGLPSELWSEANFNAIAATYGYVVVPFVVEQTETNLS >cds-PLY71795.1 pep primary_assembly:Lsat_Salinas_v7:3:175421393:175421815:-1 gene:gene-LSAT_3X105360 transcript:rna-gnl|WGS:NBSK|LSAT_3X105360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWFLVVSWLFAPFLFNPSGFEWQKIVEDWDDWSAWIRNHGGIGVPANKSWESWWEEEQDHLRSTGTVGRLTEILLSLRFFIYQYSIVYHLKVVQNDKSILVYALSWLVIVFVIALLKIVSMGRKKFSADFQLIRAQSSGV >cds-PLY87463.1 pep primary_assembly:Lsat_Salinas_v7:2:134396534:134398380:1 gene:gene-LSAT_2X63501 transcript:rna-gnl|WGS:NBSK|LSAT_2X63501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKYLMFVFLLLLVTPIAEGTTSGIIESLKNWKCVLRKENGKDAYCCTNPAHIMCYIGIGNKDLCDRACNRLGWSIN >cds-PLY73372.1 pep primary_assembly:Lsat_Salinas_v7:7:63491174:63492852:1 gene:gene-LSAT_7X47280 transcript:rna-gnl|WGS:NBSK|LSAT_7X47280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSDFLFLSSPPSSTEDLQQWRKDITKALQNLLSSKTILPVCIFKLPETLTSEKPDAYVPQHIGLGPIHHFRTDLYSKQEKLKIVTANIILQPCNVTTEFEKILQENLINIVPVAEYCYDLSFDIDDDVLACVFAIDALVLLDVLSKVRDGRSTAYMEDVMMLENQIPLVILIELLNALDVSTGDSANLFLTNLLLNFCQTRAIFKFIMPKNQFDLGIDNRFHLLDCMHHLIVNHTIPPTPSARINFSVGINLENVENVVQTAGELFPCLNVFFKPILLLLKLPWDKIGSLIKKMLGDPPEVLEIDIPSVSLLTRIAKIGFSTTIGGIRDIKFDEENLTFCLPILDLKHDSEVILRNLVAYEELMFKSGIATNLDFTEYVDFMCGIVDSVKDVEILREKEVIVGDMEDEEVVKLFNGITKSSVKVNGQKSKLQNTIEKVNNHYGNIPRIKVFRFLKKLFLASWKTLVVVFSILGLLLAIYVGVCEVYECKDRFGLGEGRLVIPYVTMNNKLLDF >cds-PLY89937.1 pep primary_assembly:Lsat_Salinas_v7:4:4462756:4463955:-1 gene:gene-LSAT_4X2821 transcript:rna-gnl|WGS:NBSK|LSAT_4X2821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWPSLRQRTLSLSSEAIHQWMPGLNRSFCSSVINATNTNGKSGNVVELLNLEEVEKILKDVRADDVRVIPVRKQSEFTNFVVVATGRSQWHVRNIAQALIYKVKQKQTGAKRMLLPSVEGQEGGNWIVIDSGSLIVHALDEKARAYYNLEQLWTSKESIKEQSQSQSKDLDQAFVKVRRKNNSKKPQKECA >cds-PLY89228.1 pep primary_assembly:Lsat_Salinas_v7:5:311448964:311449832:1 gene:gene-LSAT_5X169140 transcript:rna-gnl|WGS:NBSK|LSAT_5X169140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVPVFLNQHILFCPKEIGLSIIATVIDFDLNECRYFFYCCDCSKKVSKNDDDTNDEPFTCDGCGGVSDVYSK >cds-PLY76467.1 pep primary_assembly:Lsat_Salinas_v7:5:198524473:198527044:-1 gene:gene-LSAT_5X89061 transcript:rna-gnl|WGS:NBSK|LSAT_5X89061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMAYLVGSVSGRPIPITKNPCLWSQRLLSSRASTSIRNNNIAPVVQSICGHGSSSIHTFKAKAMIREEPERRFPPQHQHGQPGKEYLMDPRPIFSNPDYKPTNKLKGKVALVTGGDSGIGRSVCYFFAKEGATVAFTYVKGVEDIDAKYTLEIINDAKMSDAGDPIAIPTDVRYDKRCKKVVDEVVAKYGRIDVLVNNAAVQYNAYTLDDITEERLERIFRTNIFSHFFMTRHAVKHMKPGSSIINTTSVLGFSGSPKFIDYASTKGAIVNFTKSLAIFLIDKKIRVNGVAPGPIWTPLEAAALDDEDIASLGSQAPMNRAGQPFEVGLTYVFLASNDASYYTGTFLHPDGIIYRQRYMHLNTYIHTYHTTDDNCLFSSLEVWVDHDVVIFSVRWITRQ >cds-PLY97781.1 pep primary_assembly:Lsat_Salinas_v7:4:375780146:375780750:-1 gene:gene-LSAT_4X185000 transcript:rna-gnl|WGS:NBSK|LSAT_4X185000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDWAAPIVAAALFAFLAPGLVFQMPGNESPIGFMNMKTSVISMLLHAVLYVSTSVLSTVNNGESVASSNL >cds-PLY86850.1 pep primary_assembly:Lsat_Salinas_v7:4:202507239:202509107:-1 gene:gene-LSAT_4X115220 transcript:rna-gnl|WGS:NBSK|LSAT_4X115220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTFSPSLLYHHLLLSLLVILLHARLCPAAGGSWSVLLPSIGISAMHMQLLPNDRVVTYDRTDFGVSNISLPNGKCRPNSTDCSAHSVEYDVGSNTVRPLMVLTNVWCSSGTLMPDGTLIQTGGWADGYRRVRTYKSCRTCDWKEIPDGLNQQRWYATNHLLPNGRQIIIGGRQAFNYEFYPKKSATENSPSFPFLVQTNDPNVENNLYPFVFLYPDGNLFVFANNRAILFDYSKNQVVKTYPTIPGGQPRNYPSTGSAVLLPLRIKKGVVDTVEVLVCGGAPTGAFVNANNGKFDGALDTCGRIKISDPNPQWVMETMPMARVMGDMLLLPNGHVLIINGASAGVAGWELGRNPVLSPVIYTPDNQIGSRFEVQNPSTKPRVYHSTAVLLRDGRVLVGGSNPHDKYVFTNVLYPTELSLEAFSPSYLDSNSSSLRPRIISPKNKFNIRYGKRVAITFTVSGPVDLKLVTVTLMSPSFNTHSFSMNQRLLVLDSTNSAKAVGKSTYKVGVVAPPSGNIAPAGNYMLFVVHKDIPSPGIWVQIRR >cds-PLY84252.1 pep primary_assembly:Lsat_Salinas_v7:7:79163886:79165377:-1 gene:gene-LSAT_7X55361 transcript:rna-gnl|WGS:NBSK|LSAT_7X55361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLLAEVDKPRSLINNYVTQLICYLIDVRYWHHYSVHIVPCWPGTSVRYIPKFYNLRALITITSPYALVELSHPNVELRLLKVFYHKIYKMYTIKHPMTATFLSNYGNALYANVIFNGKSYFLPAWSVSILPDSKNVIFNPRESS >cds-PLY89234.1 pep primary_assembly:Lsat_Salinas_v7:5:310935905:310938283:1 gene:gene-LSAT_5X169701 transcript:rna-gnl|WGS:NBSK|LSAT_5X169701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVIEAGWTYLITHFSDFQLACVGSFILHELVFFLSGLPFIYIERAGWLRKYKIQAKNNSSEAQEKCITRLLFYHFCVNLPVMLASYPVFRFMGMRSSLPLPSWKVMSTQILFYFIVEDFIFYWGHRILHTKWLYKHIHSVHHEYVTYATPFGLTSEYAHPAEILFLGFATIFGPAITGPHLITLWLWMVVRVLETVEAHCGYHFPWSPSNFLPLYGGSDFHDYHHRLIYTKSGNYSSTFVYMDWIFGTDHGYRKLKALKTEEEVAEFKEN >cds-PLY85369.1 pep primary_assembly:Lsat_Salinas_v7:5:243044575:243046780:1 gene:gene-LSAT_5X120581 transcript:rna-gnl|WGS:NBSK|LSAT_5X120581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADQMLEGSQPVDLTKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKNQQW >cds-PLY92130.1 pep primary_assembly:Lsat_Salinas_v7:9:110750414:110752206:1 gene:gene-LSAT_9X79241 transcript:rna-gnl|WGS:NBSK|LSAT_9X79241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQELLPLLIMVLVQFEFAGMNILSKLALDSGMNPYIHVAYRQMFASVTLGPLAYFIESESGIDVNIMKNQITKDSNGDTQGNLIGPILIIISAFTWAIWFIIQARMGNIYPAPYSSSALMMALATFECSVFGLIMEPHLHEWSLVPAIRAISCIYSGVVCSGIGVCMMSWCIDKKGPFFVSVFSPLLLVIVAALSWALLREKLYLGTLLGSLLIVLGLYCVLWGKTKEMEFVQKEEESQDTKEDMEMQ >cds-PLY69642.1 pep primary_assembly:Lsat_Salinas_v7:5:110985537:110990185:1 gene:gene-LSAT_5X49361 transcript:rna-gnl|WGS:NBSK|LSAT_5X49361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSSVPSDTNLPYPRCRQVSVVGNSVHALRNNYAILGLISSASNNDFTYKDDEDDGLVVVVDRDWRSYGSSTSSSNGLIELGSHQDLRLVRRIGEGPWRKGSRVEMWSMVLSGSHRNLVNLGIKNPVFSLYLAYDIMATMVHEGSYFDEAQFDSKMKELSKKSSNNRRYTKTGVYVENLTEESVSSIKDVTKLLKKGLSNRRTGATSINIESSRSHNVFTCVVESRWKEEESRMDERLTYNCKCSFLEIYNEQITDLLYPSSTNLQDSLGGNSKTMIIANVSPSICSATETLNTLKFAQRAKLIQNNVSKSHNFDLIQSTLFKLFILFSVSKVNEDASGDIMVLQQQIQLLKEELAILKRNNISRSLAFGPKVIEEATEEHENDCNGHDNKILKVSSKQLKSLETSLTGALRREKMSEASIKQLEVEIEQLNRLVRQREDNNKSTKMMLKFREDKIHDQIQNEAAQDNKENNSVRLENTPTKSVNKPPNPGLSQGGSSRPPISGGVRRNGSFAALSRGLQNTYTTVNIVEVPHIDLKEDPSFWMDHNVQVLIRIRPLNNMELNAQGYNRCLQQESAQCLTWVGHPETRFTFDHVACETIDQETIFRMVGLPMVDNYLSGYNSCIFAYGQTGSGKTHTMLGEINELELKPTPQRGMTPHGADSFGDNKIL >cds-PLY70853.1 pep primary_assembly:Lsat_Salinas_v7:8:116492165:116495248:1 gene:gene-LSAT_8X79561 transcript:rna-gnl|WGS:NBSK|LSAT_8X79561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNRCSPEALLSIILGMSKEQKESVRSMGFGALLKMKITDIPLKLGFYVLQKFDSERMVIDIEGKELKVTAESVHDMLGIPIGGTKLTQLDQWPKDDTSYDEWKQQFKKDSIIRLSAIKNVIVSTTQADFNFKLNFLVLFVNTFCESTSMGRCNLFPLSYISRKTDINNIDWCSYVLDCLVRTKNSYIPYSDNSFFVGPSSFLVLFYADNIHSEALTVTRKRPTICYWSSEKIRYRETFEQEKGRFGVGELNEEFVNEQNEGDTDLEDSDSDKDEDYSVKEELNSKLNHAMAKFPEKESFRIFKEKMKNMIVEEKSESTTLFNFPINEIGVEGINLTPIMGQKTNDQTENEDKEGNGEEDSDNGASQPEVDYLLDSNEADNEGIKNDADKNKKEETIQQTENQNLLDKVVDNIVDNVLEIGVSSLNSQEDEIWNHPEMKTIFDNIDIGSPMSTGKTNTLAEKEKSEGVHEQGTKVEKTKGDDTGKENSEDRNEGGIEAKNTKDGVLYLSGKKKSENQNKKGEKADKTKGNKGDTHPSFSLGLSQDSDQTSIGPSKHDLDQPREKKPADAFKSPFKCRRTDTKPKLTHQESIVCEWLFNLQGNTSDVVVQTKYGQIAERAVMESLYANTEIF >cds-PLY92364.1 pep primary_assembly:Lsat_Salinas_v7:3:123699907:123702057:-1 gene:gene-LSAT_3X85560 transcript:rna-gnl|WGS:NBSK|LSAT_3X85560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESEGRTSSIDECSQTLLKKEDSRSQTQHLNTLDRVNHHNNEFTNATKIFVGGLPADLTKDEFKAYFEKFGSIEDVVVMSDKETNKPRRFGFVTFDSQDTANSVLKNRFYELKNKRVEVKKAVSKERMTRNFGSYYDTYNNAMYNGTTLPYATATSYGVYYYGMNSYGYGAYSGIGCEGLPYFYYPYTNPSYYDNTCSYQNPYYYADTYSYMSPYSYHGNNPKHSYKYRNRSTTTPVVIKKKSHFKNGDGDHDVRKNTNVSSEVNDEESSVTRDALENGDGDHAETSDSLSVCCDGEGGSGGCAEGIQALSLYSDGSVEQMGADGESSSCKTDASQPHC >cds-PLY90549.1 pep primary_assembly:Lsat_Salinas_v7:6:51292984:51293689:1 gene:gene-LSAT_6X37000 transcript:rna-gnl|WGS:NBSK|LSAT_6X37000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCPMRFLLVFFSAVLAAYIAWKSVPTSSEPTQDMFSDDDSSIKKQESNIIKMAQAGFWGFVDMASGKYLWRNLNQIKQDSKVKSS >cds-PLY88328.1 pep primary_assembly:Lsat_Salinas_v7:6:47799710:47801009:1 gene:gene-LSAT_6X35281 transcript:rna-gnl|WGS:NBSK|LSAT_6X35281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRKEGDDWYKVRRDHSMFKKEKLGHGDHDRRHVKEAPGWTPLFVFGCSKVGYDVNDDNDLLEEEGRVHSLNDNENHSSDPFCIYDIIEKMKEQDLMGEATFGKDGLNSHVHENSPVHEVDIGVVDPIYCSQQEDGPAPTS >cds-PLY79123.1 pep primary_assembly:Lsat_Salinas_v7:2:16173299:16176360:-1 gene:gene-LSAT_2X8701 transcript:rna-gnl|WGS:NBSK|LSAT_2X8701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-associated protein [Source:Projected from Arabidopsis thaliana (AT2G34730) UniProtKB/Swiss-Prot;Acc:O64584] MAFHSTDGVNDMNGHTSFANGFEPKQAGIGVEHSDSFNVEFLEDLDSMMEDIDNRLRVARVVSDSVIKGMVSAVEQEAEEKLKAKDLELAILKRSLQFHNMNGEEDQNESWLLQGTNEQETIQVSSEDAYVKDDELKESLVFLRNSAKDEFKKLRKQIEGVKGCKLKRNGSCHEIVGLGGILKEEKYENGVGLEKTMDNLEMVMNNACGLVDKFSKTLLSKSQQEHELKRKLEDMVMQTSIRSIWDQNMDLAEKFSNISLLRNELDALTKLLPHHESANLLSHGSFDFDNTHGNSLRSQLSSRWEESGKSTEGLDVPDSFDAAQLSHMNKEQLVKFFNNTISKMKREHESEIQKKTEAYISLKGKYLSERRSFVLPKEFETLKRKIPEVVSKLDGILSESDEFPGKGDSVVSIDILNKTLNTLLRENNHLKDALIVKNDEVKHLESQLSSSDTHFQKMVKTHESLITDACIEASIVEDVYKCVVGGLNCQFQDMKEESEVEIIAMHDIYEALLGSGAEDSSVSAVEDTFMESLFMEELLQTVFKESLTDAEKKIETLHEEYIRINKKKEKEREAEMILVGEERAKLVEEKERVARELTKEKEQFELALNECNNLRKQANWHETLMLKKNKEVNEINDKFSKAQEKVLSQRMEINSLKEKVDLSMKEIKALNDYKIMVLDVSNEKQSLMSLIEMKEKEHRKQMEAIVVLVDELSSKIGDFERRVTWDISDNNKRLEKSRSELSSFIKEVSVLKKTGILYKQKFETKCNDLQMAEDEVDLLGDEVETLLGLLEKIYIALDHYSPVLQHYSGVKL >cds-PLY69706.1 pep primary_assembly:Lsat_Salinas_v7:2:39971905:39973587:-1 gene:gene-LSAT_2X17480 transcript:rna-gnl|WGS:NBSK|LSAT_2X17480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQIHQICRPIPSTSSSISSLPSCFAKQSIVAGDIHQFPSLMIANKKNGNRKGLSSSMTVRSSLETAGPTVVVGQVTEVSQDTFWPLVNAAGDKMVVLDMYTQWCGPCKVIAPKYKQLAEKYLDVVFLKLDCNQDNRALAKELGIKVVPTFKILKDNKVVKEVTGAKIDKLVGAIEEVRSS >cds-PLY63509.1 pep primary_assembly:Lsat_Salinas_v7:5:326868572:326871911:-1 gene:gene-LSAT_5X183180 transcript:rna-gnl|WGS:NBSK|LSAT_5X183180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISSSESLAVEDCSSGAYSSQATGENDRRPDTGNIEEAESSLRENGSLNFEEARALLGRYEYQKGNIEAALHVFEGIDIATVTPKMKISLSQRGEPRRRVSHNYGDPPLSIHAVGLLLEAIYLKSKSLQILQRYKEAAQSCKVILDIIESSLPEGLPENLGADCKLHETLNHAIELLPELWKLEGSPQEAVLSFRRALLHHQSLNLETTAKIQKDFAVFLLYNGGEEAIPPNLRSQMDTSFVPRNNIEEAILILMILLRKVSLKMIKWDPSVLYHLSYALSISGGLGALFSQIEELPPGVLDKKERCLLLALCYHGQGDDLSALNLLKSIYKQEDPHCGLALLLASKICGENSDSIEGVSCAKRAIQVFETRCDEMVGVAYCFLGISLSNDCRFAISDFERAEKQSEVIRCLEMAGRLTGMSDSGVVYFLSLENAEQRKLDVAFGYAKRLVKLEGGSSVRGWILLARILSAQKRFSEGEIVINAALEQTGKWDQGELLRTKARLQVAQGDIKNAIQTYTQILAVLQVQSKSFRSQKKNHEMGYGKHQLKSLEMETWHDLAMVYMKLSQWRDAEACLLKSENIGYYNASRLHITGLLYEAKGLEKEAQQAQELALDVDPGHVNSLVSMAVMFRRAGGGGKSAAAAKSFLTEALRLDRLNSSAWYNLGLLYKDDGPMFLKEAANCFEAATLLAEKEPIEPFR >cds-PLY66026.1 pep primary_assembly:Lsat_Salinas_v7:1:124264057:124271538:1 gene:gene-LSAT_1X95260 transcript:rna-gnl|WGS:NBSK|LSAT_1X95260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDCVHIIIATPGRLIDMLESHHTNLRRVTYLVLDEAYRMLDMGFEPQMKKIVSQMRPDCQTFYWSATWPKEVEQLARQFLYNPYKVVISSQDLKANHSIQQHVDIVTQNQKYNKLVKLLDDIMDGSRILIFMDTKKGCDQISRQLRMDGWPALSIHGDKTTDVKDVKYVINYDFPGSLEDYVYRIGRTGRVGAKGTAYTFFTATNDQFAKELIAILQEAGQKVNPDLAAIGRGAPPPPSGSFGRDLDAFTAAIVVSIPFSFIPAGAVVSIQTKLRAMVARDEFRRRRNKATTIVQVLWMNYVCKVFKKMIRNKGEFVQIS >cds-PLY66152.1 pep primary_assembly:Lsat_Salinas_v7:8:302237364:302243636:1 gene:gene-LSAT_8X166381 transcript:rna-gnl|WGS:NBSK|LSAT_8X166381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMVMTETDERSPLLQNSSHSAGEITAFASPSPEHHGGPSSATRPTDPKQRLVSLDVFRGLTVALMILVDDAGGAFPSINHSPWFGVTLADFVMPFFLFSVGISVSLVFKKVSSKPAATKKVLLRTIKLFLLGLILQGGYFHGRDDLTYGVDVNQIRWMGVLQRISIGYLLASITEIWCVNNNEVNSAITFVKKYYIQWLFVFVLGVLYMSLLYGLYVPEWSFEVSEYGKETQIVHCGVRGSLEPPCNAVGLIDRLLLGESHLYKRPVYKRTQECSINSPDYGPLPPNAPSWCLAPFDPEGLLSSLMAAITCFLGLQYGHVMIMASSLNGLSVGDPLPENLMDSPARSDSMDELPLQYSPMSEDLDEFRYCESNACSPSPSPSQTDSQPTSPVSPYRFQKLPSTFSPGPTTTTTTTSSGYYPPPPPTSQGRQRGSDSEGRFPSSPSDICHSADLRRAALLRSVQMRTQPSQFEEPLPLPLPLTCPFNVKSLVHEIDTECSMHGKSCRVLTMNIKGDDDS >cds-PLY67031.1 pep primary_assembly:Lsat_Salinas_v7:5:282617493:282618899:1 gene:gene-LSAT_5X148381 transcript:rna-gnl|WGS:NBSK|LSAT_5X148381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATDGKNFPRQKQDTQPGKEHVMDPIPQFINPNYRPADKLKGKVALVTGGDSGIGRAVCYCFAREGATIAFTYVKGREDKDAADTLKIINDSKTKDSQEPIAIPTDLGYDAHCKSVVDEVVGKYGRIDILVNNAAEQYMCKTVEEIDENWLDRVFRTNIYSYFFVTRHALKHMNEGSSIINTTSVNAYKGNASLLDYTSTKGAIVSFIRGLALQLAPKNIRVNGVAPGPVWTPLIPASFHEEKTSKFGSECPMGRAGQPYEIAPSFVFLASEDSSYFTGQVLHPNGGMIVNA >cds-PLY66501.1 pep primary_assembly:Lsat_Salinas_v7:5:184350992:184357383:-1 gene:gene-LSAT_5X80480 transcript:rna-gnl|WGS:NBSK|LSAT_5X80480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCCRGSLSSSYLTSSLDDAPLHCGLVSPELPYLSSSSGNVFLKGKEVEQQHNEAPPQVLETTADDSNHTTTQSWPNLFNHLLEFESHNRGFGPTQMLPSSTDPLQAELEKLSELKNTVNNFYEAIKVKLKVEYEKERAEMFARLNLKYDDKSHKAEQAFHTKKNEIDINFNKVVRNKILADAFRSKCRDLNPFDHSQIQSEFISSDLSSTQVGFGRLQKASKRAGWGVMASYKERRNREYFYCRSFSWLVNASEDRRRVGSSNCLCGSKVPSSISNSGIGMICNVFPKTLTRTLVALCPNITSSGI >cds-PLY71800.1 pep primary_assembly:Lsat_Salinas_v7:8:246105561:246105971:-1 gene:gene-LSAT_8X146180 transcript:rna-gnl|WGS:NBSK|LSAT_8X146180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKAIPKKGSRGRIGSRKSTRKIPKGVIHIQASFNNTIVTVTDVRGRVVSWSSAGTSGFRGTKRGTPFAAQTAAGHAIRAVVDQGMQRAEVMIKGPGLGIDATLQAIRRSGIQLTFVRDVTPMPHNGCRPPKKRRV >cds-PLY83339.1 pep primary_assembly:Lsat_Salinas_v7:1:61730899:61735353:-1 gene:gene-LSAT_1X53021 transcript:rna-gnl|WGS:NBSK|LSAT_1X53021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSATLLPWLTSSTNNLDSSLFAGKLGLTLPRRRPPHMLKFTSPRFIMNELKKDEIFSHKEVKVLYVPDLSDCLPSTDAWRDQWLSHKKAIAEREHRYALKREIARGKKEGLKAIGLSPEDWIQVPPA >cds-PLY88940.1 pep primary_assembly:Lsat_Salinas_v7:8:128843057:128844192:-1 gene:gene-LSAT_8X89640 transcript:rna-gnl|WGS:NBSK|LSAT_8X89640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTQGQTVMYARGGRRRKVLDVDLNDTPPNEDHEQVEGPLLSLTHGGQLQAVDHNHNQLPVISLTPGSSPPVPIDVEELDDDVIISSPRAFEQARNSSRRIARRTSVIDVESEEVGNHRNQRRRVEPQPQVMSGGLYVILEGSSSSMEERAVVPPPPPEPTFNCPVCMGPLVEEVTTKCGHIFCKGCIKAAIAAQAKCPTCRRKVTNRELIRIYLPSTS >cds-PLY66923.1 pep primary_assembly:Lsat_Salinas_v7:7:17242060:17247005:1 gene:gene-LSAT_7X13561 transcript:rna-gnl|WGS:NBSK|LSAT_7X13561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIF subunit alpha [Source:Projected from Arabidopsis thaliana (AT4G12610) UniProtKB/Swiss-Prot;Acc:Q9SU25] MSFDLMLKPSCDGCRSTVELYGSNCKHMTLCVTCGKTMAERKDKCRDCGTTITRLIREYNVRASSASEKNYFIGRFVTGLPSFSKKKNDNKWSLQKEGLQGRQITDTLWEKFKNKPWLLEDETGQFHYQGVLEGAQTATYYLLMLQGKEFVAIPAGSWYNFNKVAQYKQHTLEEAEEKIKNRRKTADGYERWMMKAANNGAAAFGEVERPDDKEGGGGGGGGRGRKKNNADDDEGNVSDRGEEDEDEEFARKNRLGLNKRGGDDDEEGPRGGDLDFDDDDIEKGDDWEHEEIFTDDDEAVGNDPEEREDLLAPEIPAPPEIKQDEDDEEENEEQEGGLSQSGKELKKLLGKNNGVNDSEPEQDDDDDDDDDIEDESSPVLAPKSNNAPSKRMNLPKEEPVDNSPSKTVSTGSARGTPNSSKSSKGKRKSNDEAKPANGSKKVKTETEVKGVKEEPAKSSAPTKGASASKASAAGAPQTASTNTNTGPVTEDEIRAVLLHKAPVTTQDLVAKFKSRLRSKEDKSAFAEILRRISKIQKTNGPSYVVLRDK >cds-PLY94073.1 pep primary_assembly:Lsat_Salinas_v7:4:172862471:172862773:1 gene:gene-LSAT_4X103020 transcript:rna-gnl|WGS:NBSK|LSAT_4X103020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAKRSRVASSNNAARQTTKQPVRQSEPNVPMDFNPQYQTLHEHQDDILPIVYKVTYPITGFVEYLMERPSFIGYNIVDTFDRYGWVKCWTLILHRFMLI >cds-PLY86324.1 pep primary_assembly:Lsat_Salinas_v7:8:27297591:27299725:1 gene:gene-LSAT_8X17620 transcript:rna-gnl|WGS:NBSK|LSAT_8X17620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQALQKVYLEALLAQHDQQYSSPLFGRSGSLNHLYGNPTYNHGIPYQGNLLENSTRSSVGSRQLNSVGGVSGSWNSEGDMSLDKRYVSSLLDELKNNKNKSFELSDVVDHVIEFSTDQYGSRFIQQKLESATVEEKNIIFPEIVPHARSLMTDVFGNYVIQKFFEHGTKSQRRELAGQLIGHVLPLSLQMYGCRVIQKALEVVEVDQQTEMVAELDGSIMKCVRDQNGNHVIQKCIECVPQDRVQFIVSSFFGQVVSLSSHPYGCRVIQRVLEHCDDRNTQAVMDEIMNSVCTLAQDQYGNYVIQHVLQHGKPYERSAIINKIAGETVKMSLQKFASNVVEKCLTYGSPDERQLLVNEMLGSTDENEPLQAMMKDPFGNYVVQKVLETCDDQTRELILYILDIFPLFKSFIKFSLLDTELDERMHLPFTIDFLIEALYFENDPPGTGKTQTILGLLSAILHTNLVS >cds-PLY71156.1 pep primary_assembly:Lsat_Salinas_v7:9:82105683:82110538:1 gene:gene-LSAT_9X64821 transcript:rna-gnl|WGS:NBSK|LSAT_9X64821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSNHVSFEEESSLPTLTSQSSNCQAILNPSKYPEPLQIMVECMKCSFLSRALSTAKEVPLRIVTLAFTTAIVNKVNDTISFEIQGGKRTTISKTNFAKLLCLPTQGPYITPTSEELIDMFNSMGHEPYMKKVSDFKKSKLPAVWSLLFGFILRGLTSRTGGLDAGPKELLSLMYGLYKGVKVDFATVLWGEFVDSIKHSKRATELSSHRFWGLIVSQAYEFHKIPIEVSEVPKMVVHQISIPTKVDQSHFSFVGQIPEEMLSLIKCPNKILDQYRASLIIPYPVRPAPKEGEDVKLTKMIRKKRKTPLVRSQLGSTNDKTEFMKKSKRVKKPRIVEEEDMQQHQGGLNFEEDISITTALTIVTSLVETILMSTVTIPVETTLIETVPISEPVVENSISEPMSIFEHLTTSETHISTSDHSEATISERPLKNTLGNVFIDEEEEVIDGYVFKAGEDPFFDDVVDDFEMAALGEDFVASDEEDDEDDNQLMSKRDFKKLNRKLNVVLRSLDSNTQSAQHSNQEKMLADWSVTLSDQNKKIDSLTNGLNLFKDHINIETKSQMKKVQEVMFNECKKLLDEISKMREENEKSLNKAFSDLKSEHENSLKSLYESLTEAKQREITLQNELTKALAHIEFLRSYTNVVNPEELAKQVETQMVASHLKSLQPVIETYPNSVPKVSLSPSKQGGGESQQFNIMTPEIILISSEGTSSVPSSEATFLATLPSTISNTVAFPVSTQFTKSIPLPLSKPLSIGSTAVTTMLLSSSEPNSSKGKENMEVLSKEELRQRRAEERNRSQEKLDAEHVKGFAEEENVGAENRVNLIRSLGFSENTVFDLVPKESCSVINSLEKQFDFPISPRAYGYPIMSPKTNEKVGDLSYNERLVRFYALVGKPSEYSWSPKIIRSVESVIETESFENVYQNFKFIVRRDIMDDITFTIADFPNLNPHDLIVLLKLLKDPNSVAMHSIKTFLCYYYRDVARTDIVLAGAINQKVKLPNKEAEGIDNIGAGEIVTKPTWGSTYSVKVAGGRSKKVFFRMNEKERFPNNVLEGIIQRIMLNSKNSESVRKKAVDMLRWWLKIREVLLELVPVLFPDLMKD >cds-PLY80409.1 pep primary_assembly:Lsat_Salinas_v7:8:171748554:171751173:1 gene:gene-LSAT_8X112521 transcript:rna-gnl|WGS:NBSK|LSAT_8X112521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPGTDVLPQCTSLENGYSKECVPVNSMEDDDDEAEDSDNINQSKAAKPPRNYQPMRHCISQAMLAGTSDSKSTNEGTKSPQNERSQYSPIFRSGSCSEMGPKPYMEDEYVCVDNLREHLDAQPDYSLYGAFYGVFDGHGGVDAASFTKRNILEFILEDSSFPKAVKKAVKNAFSKVDHALADTRSLDNSSGTTALTALILGRNMLIANAGDSRAVLGKRGRAIELSTDHKPSCSSEKQRIEKLGGVIYDGYLNGQLSVARALGDWHMKGPKGSDGPLSAEPELEEVTLSEEDEFLIIGCDGLWDVMSSQYAVTIVRKELMLHNDPEKCSRELVKEASKRNSCDNLTVVVVCFSGDPPPKIEVPKIHRRRSISAEGLDVLKGVLGDV >cds-PLY66788.1 pep primary_assembly:Lsat_Salinas_v7:3:66978267:66980834:-1 gene:gene-LSAT_3X52920 transcript:rna-gnl|WGS:NBSK|LSAT_3X52920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGVYDRFNRGLSNGIRVVDAGERMIANEQQQQQQSLWIQQQAKDQEELRIIRTKLLEMVKIMEMHRQNQIKREEETEAEIANMKKAKWRQIYYSTLKEKKAVNEEEEESLEESEPELEPNTTPQLPLSLLTTPPTPLPSFPSPPLLEQELLKEGTMGFILNPKELHKKRNMPPLASPPSTPPRPQPSSPQSLGSKYSSGAAILNTRGVNRYDRKMEVHMFDSYRPPPPTPPPPLSGIQSMRKGTIDSMPDQAGMNLLLRWVFSQDGMRTECQLRYWEITVPLKLGHYRYGLKKNIWAEIRMLRMGLHKLGHTTASTMNDERKLSPKESKLAVTNSALQLETSYFSLAPATQLPAAASDSRKIFESITTLHYQWCFYRELLKLLGESGLKDAGSCGISPKYPTKQEGQLSPQPSWHSILCCLGLSRQLEGFIRDVAFYPTMWSTPGLVPLQLYPLTDKRTKHVVSIGENHRIVDIPVSNCLNNISKFYVVTKINSATHNRHLSCVYASNRGIGKDEGLVEVVAAQQILKNPNEFLDTINEVGWNLELHEEKNVLEYQLLAGNHVYLMNYRRFIQTRRETNADTSVLILPTHEKHAIGFGLTVIDEERDKEILDNVSKGKYVVIKDLMLRWLQDKFPRTNGSGSEATLGLEAELASLGSKGFNALVTFGGVSLYITLNPYENGLEVMKKGEYAQVISEVPHKFKNVFEVMKTPREGLSFQYHYFPSGVMSNTNGKADEVVKMILEELEPMGVVELVEEVIVGHATKQGFELGETEGEQQQQESLKKKFAEISIYSFKLLMLHVQFELLRVYLSFWQPHLNRNTRAAHFFLHLEDKVKVWAAGIDKPPHFDYLFGQG >cds-PLY89418.1 pep primary_assembly:Lsat_Salinas_v7:4:63284606:63289147:1 gene:gene-LSAT_4X42741 transcript:rna-gnl|WGS:NBSK|LSAT_4X42741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDINRFREEKGNNPEIIRESQRQRFADVEIVDEIIHLDKKLRKRQYKHEQLLKVFNNINKEVAKLSIAGEDASSKIKNTEEIKDSIAKKGAKVQEARAALYSKLSMVGNLVHDSVPVSNDKANNVVVRTWGVKRTEPKLKNHVELMELLGIADLENGAKIAGKGGFCLLGDGLHLNLALIKFGLDFLEERGFTSLQTPSFMTENIMGKCTHSVHFDKELYKVTGEGEDKYLIGTTAQPLCAYNIDGRVHPTELPIRQVGYSTCFRKNAGSDGIFDPSQCEKVEQLCITSPNGNDSWDMHEEMIKNSEEFYQMLKLPYHIVSVVSGALNNAAAKTYDLEAWFPASNNYRQLLSCSNCTDYQSRKLEIKFGQKTSNEQMKQYCHLLNCTLTEAEKTMCCILENYQREDGVEVPKVLQPYMGGKTFMAFQAPPSDKETKATQTQNRSFVHPTGQTELTQLHQDLHRTAGEEETKDLIAKKVVEVQEARSALCSKLSKAGNRVHDSVPVSNDEANNVVVRTWGEKRTEPKLKNHVELVELLGIADLEKGVKVAGGRGYCLKGAGEGDDKYLIATAEQPLCAYNIDKWIHPQQLPIRYAGYSSCFRKEAGSHGHDTRGLFRVHEFQKVEQFCITSPNGNQSWDMLEEMIKNSEEFYQMLKLPYRVVSIVSGALNDAAAKKYDLEAWFPASSTYRELVSCSNCTDYQSRKLEIRFGEKKSNEQTKQYYHLLNSTLTATERTICCILENYQREDGVEVPQVLQPFMGGKKFIPFQAPPVKATKGKKSK >cds-PLY68652.1 pep primary_assembly:Lsat_Salinas_v7:5:156346904:156352890:-1 gene:gene-LSAT_5X68320 transcript:rna-gnl|WGS:NBSK|LSAT_5X68320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNNRTGGGKVSPKWIIIFSIFSFVLGMLFTNRVWDPIESDGRIMRRKEQETKFVTDDCITNKDNDLLGEVHKTQQAIQSLGNSISELKLELPSNQNSSKEVKDDNLISNKTKKVEVEVEVEDKIERRKKAFMVIGINTAFSSRRRRDSIRETWMPRGEKLLQLEKEKGIVVRFMIGHSATSKSILDRAIDSEEAQHKDFFRLEHVEGYHELTAKTRIFFSTAFAKWDAKFYVKVDDDVHVNLGMLATTLGRYQSKQRVYTGNVKYHEPEYWKFGEEGNKYFRHATGQIYAISNDLAAYISTNQPILHKFANEDVSLGAWFIGLDVEHIDDRNMCCGTPPDCEWKAEAGNVCIASFDWSCSGICRSVERLKDVHKRCGEDPAALWNAQY >cds-PLY98626.1 pep primary_assembly:Lsat_Salinas_v7:1:39196545:39200945:-1 gene:gene-LSAT_1X33701 transcript:rna-gnl|WGS:NBSK|LSAT_1X33701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKKGGSFEKIRRFIRTLHFLIVMLASLLILWLPILVAIGDILVPSVLISSFTCFRCYSFKEHLRRYDFRSSLIDVPVVSAIRSLIITCVYMMYDGPVLSHGQYLGTVTLCSIFSVLVLSVKACVFTVNSQLEAEASSSLSKQRLHLKRSWGMHVLFLSSVVFALGHTVIAYRTSCRARRKLMFNRVDPESVFLSQILFSIGYAKVSRSSTPAADRAQKSDNEIRRKRVGHSHGEFPVRLLADVDSLFMVWNDLSIHYKLSTPIPPSRTLSSTTLLENPSLRAISKTQHHLRRSYSIQIQDSSLYAPLLDGTSSPVFSEDNEGTNEDRFGQKVCEVNRQFGIVLVHGFGGGVFSWRHVMGLLSRQVNCIVASFDRPGWGLTSRPRREDWEANKLPNPYMLDTQVDMLISFCKEIGLSSVVLVGHDDGGLLALKAAQKVRSSLSSVDVEIKGVVLLTVSLSREVVPGLARILMRTSLGKKHLVHSLLRTEICQVFNRRAWYDATKLTTDVLSLYKAPLCVEGWDEALYEIGRLSSDTVLSEQNASLLVKAVKDTPVLVIAGAEDALVPLKSVQAMSSKFVDSTLVAISSCGHLPHEECPKVLLAAMLPFISKLLSKTDK >cds-PLY71887.1 pep primary_assembly:Lsat_Salinas_v7:4:377047482:377047745:-1 gene:gene-LSAT_4X186001 transcript:rna-gnl|WGS:NBSK|LSAT_4X186001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKNTPIKILRWWRRLREVNGQQQQQLLVFDFNGCRAAASGFRRSQHRRRGGYSRFIANDRSSGGTAASNDGSGTVGSGGSNKMVGG >cds-PLY91684.1 pep primary_assembly:Lsat_Salinas_v7:8:11960371:11964384:1 gene:gene-LSAT_8X8160 transcript:rna-gnl|WGS:NBSK|LSAT_8X8160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 3 [Source:Projected from Arabidopsis thaliana (AT5G51600) UniProtKB/Swiss-Prot;Acc:Q9FHM4] MSTPLNDPLLQVETTCGSLLYELQIIWDEVGESDAERDKMLLELERECLEVYRRKVDLANRSRAQLRQAIADSEAELATICSAMGERPVHIRQSDQNSGSLKAELRAIIPELEEMKKRKCERRNQFIEVLEHIQKIKMEIYATSFKTVLDESDLSLRKLEELRAQLQALEKEKSERIKQVVDHMSTLNSLCVVLGMDFNQTIQEIHPGLSESEGTKSISDDVIRRLAVVIERLHEVKIERMQRIQNLASSLLELWNLMDTPVEEQQVFQSVTCNIAASEQEITEPNMLSIEFINFVEAEVSRLEEMKAGKMKELVYKKRSELEDICRKTHLLPESDTSMEIALQAIESGAIGPDNLLEQIEVQIGRIKEEAFSRKEILEKVEKWMAACEEECWLEEYNRDDNRYNAGRGAHLTLKRAEKARALVNKLPGIVEALAVKTIAWENERGTEFTYDGIRLLSMLEEYKILRQEKEEERKRQRDQKKLQEQLIAEQEVMFGSKPSPMKQSGKKGPRMSCGGASNRRLSMGGAMHAPSKTDFHSIRATPNNNNNNTRVTKKNDRHLNNKDDGFGALSAGRRGLDIAGLPANRKHSLSEIEQPQSLFRKPFSPISSTESSKSLFEDFNRKHEMLQKTIQINTTPFATPSKPTASLSVPDDENRTPKHNMIPMIPCTPSTVSVPMQTSMTPCLKEMLIPEEIIEYSFEERRAGFVLPRAHLKTVITAI >cds-PLY67740.1 pep primary_assembly:Lsat_Salinas_v7:9:167972967:167975359:-1 gene:gene-LSAT_9X104040 transcript:rna-gnl|WGS:NBSK|LSAT_9X104040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCISVFLWQAHPLYPFLLLLNRDEYHIRPTEPLYWWEGGKILGGRDVTADGTWLASSREGRVAFVTNVRELNSISAAKSRGDLPVRFLQSKKNAMEFAEEIAKEADEYNGFNLIIADLLSMNMVYVTNRLKGDKCYVTSVSPGVHVLSNASLNTPWPKAQRLEHGFKDVLDEYGEGEIPITKLIDKLMRNVVKDDISILPGIYDLDFEYELSSVFVNPVPPKDYGTRSTSALAVKASGEVFFYERHLENGLWKENTETYMIEKMK >cds-PLY95794.1 pep primary_assembly:Lsat_Salinas_v7:7:92314251:92317543:-1 gene:gene-LSAT_7X64360 transcript:rna-gnl|WGS:NBSK|LSAT_7X64360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MLLQALLWKFPFSCNTRTRIIPPTLLLTSPSIGSNQRSSKRTLNNSICSSIAPITSSSTISTNEEVGGFVADQHLTLRNICEGRVPDHVLRRIEELGFLMPTDIQRQALPILFSGQDCILHAQTGSGKTLAYLLQIFSVINTQRSSVQALIVVPTRELGMQVAKVARILAAKPDQPVAEDKACTIMTLLDGGTLRRQKSWLKAEPPTIIVATIGSLCQMLEKHIIKLDSMRVLVIDEVDFMFNCSQQVSSLRKLLISYSSINTRQTVFASASIPQHRRFLYDCIQQKWTKANVVHIHANPIHPMPPRLHHRFLICNRKERHSALLWLLKSDAPQSAIIFVNEQSEKSKKAGNDPATNIVMDALKNSHKGEHEILLLEEKINFNSRAASFSELRQGGAYILVATDIAGRGVDLPDTTHIYNFDLPKDAVHYLHRAGRTGRKPFSESKCVVTSILTSEERFVLQRYENELMFHCHEMFL >cds-PLY85564.1 pep primary_assembly:Lsat_Salinas_v7:2:196636905:196640093:-1 gene:gene-LSAT_2X117861 transcript:rna-gnl|WGS:NBSK|LSAT_2X117861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFCIAFLSSSLVMFVICGCKGDNETDFGALLSFKSMITSDPYGALSSWGNTTSFHFCEWSGVSCGKRHRRVTALWLNSQGLQGSLSPYVGNLSFLGKLSLFNNSFQGSIPHELGHLSRLRSLNLGQNKFNGLIPTNLSRCSNIERLGLYHNQLLGSIPKEMSFLSKLKLVGVEDNKLTGGIPAVLGNLTSMKIFSAARNPLGGSIPDTLGHWKSLTTLYLDGCSLSGTIPHSLYNLSLLTNVSLAENQLTGSLPSALGAMLPHLESLQLHHNQLTGPLPPSISNSTKLRVLEMSDNNFGGKLKIDFSRLEDLHSVIIGSNIYGFGEADDMKFIDTLKNCSRLTMLHLYNSSFQGVLPKSIGNLSRQLRFLQLGGNQFSGNLPSSIDNLVGLTVLLLQYNQFTGTIPSAIGKLQNLRVAVLIDNQFSGPIPDAIGNLSSLILLDLGYNRLEAHIPTSLGNCHQLSELYLDHNNLSGRIPKQLLQLPSLTISLDLSRNNLTGSIPTQVGELKMLASLDLSDNKLSGNIPRALGACTSLLFLSLQGNSLQGMVPPSLSSIRGVETLDLSNNNLSGQIPRFLERFSLQSLNLSFNDFDGEIPMLGVFANASAFSVFGNSKLCGGLPDLGLPKCNEAHDKHKKRFPLFVIFILIASTLFTVSCLVYACCKKIKGKPSQSLTNGQFMKLSYAQLLKATNGFSEANLIGEGGVSSVYKGILDDHDDTCVAVKVVHLQNRGAHKSFLAECEAWRSIRHRNLLKIITACSSIDFKGSDFKALVYEFMPNGSLHDWLHSSATTSRLNLLQRIKIIIDVASALDYLHNHSLPTIVHCDLKPSNILLDDDMVAHVGDFGLARFLGTNSNQNSTSGIRGTIGYAPPEYGVGSEMTSSGDVYSFGILLLEVMTGKRPTDDIFNEDLNLHKFADMALPDNVTDVIDDCLLDILQEDAIATQHTLAYANKIEDCLASTIKIGVSCSVDSPPQRMDVENVVHELQHILEKLQHI >cds-PLY77281.1 pep primary_assembly:Lsat_Salinas_v7:4:163994150:163994926:1 gene:gene-LSAT_4X97481 transcript:rna-gnl|WGS:NBSK|LSAT_4X97481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AHP4 [Source:Projected from Arabidopsis thaliana (AT3G16360) UniProtKB/TrEMBL;Acc:A0A384KT90] MERNQMHRQIASIRKSFFDQGYLDEQFIQLEELQDNSNPNFVEEVVTLFYRDSARFLYNLDQALEKRPLDFNKLDSLMHQFKGSSSSIGAKKVKTECTNFRAHCNAQNAEGCKRTYQHVKREYTTLKKKLEAYFQVFFLFKNLYFRT >cds-PLY99595.1 pep primary_assembly:Lsat_Salinas_v7:5:241200647:241202514:-1 gene:gene-LSAT_5X118821 transcript:rna-gnl|WGS:NBSK|LSAT_5X118821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATASHPPSATIQIEQPSKLPDFQASVKLKYVKLGYHYLITNLFTLSLFPLIVVTAVHSTQLNLEDVKNLYAHLQSHLFAVFAVICTAVFGVTTYRTTRPTPVYLVEYSCYRPPDHLKVPYHVFMDHSKLHGAFNESSLEFQRKILERSGLGEETYLPAALHAIPAVPSMATAREEAEEVMFGALDNLFNSTKINPKNIGILVVNCSLFNPTPSLSSMIVHRYKLRGNIKTFNLSGMGCSAGVIAVDLAKDMLQVHRNTYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGCAAVLLSNKSADKRRSKYKLLHVVRTHYGSNDTAFKCVYQDQDDAGNTGVSLSKDLMTVVGGALKSNITTLGPLVLPISEQLLFFVNLVARKLINSKIKPYLPDFKLAFDHFCIHAGGRAVIDEMEKNLQLTEEHVEASRMTLHRFGNTSSSSIWYELAYTEAKGRIKKGNRVWQIAFGSGLKCNSAVWVAVRTVAPSTDNPWEDSVDKYPVKMVY >cds-PLY99088.1 pep primary_assembly:Lsat_Salinas_v7:8:109233519:109237732:-1 gene:gene-LSAT_8X153700 transcript:rna-gnl|WGS:NBSK|LSAT_8X153700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPATEVTADALSASGRTSEKLSLPTLQSKMKCDPEGYETELNLIYSQFKSSVELFQQQAALNFTSVVSGGVGSDPTVAKDLGDRAMFLAHVTPFYPNQLLNYPKELVEFLRSSARVLPSSLRVTVTQALILLLNRKIVAIKETLALFMELQVLTDKPLKELAFSHIIHSIKRMNQKHKNETENRALQSILFSMLQEEDEKKAMRSLVTICDLHRRKVWFDDRTANAICRACFHPSSRIMIAALSFLLDYEKIEQDNDSDESSDEEEAIQQHHVVVSKEAIYKANNTGTTSSKKKKKAKLQRVIRSMKKKQRISSERNDNLNYYSPLNALTDAQGFAEKLFSRLQTCSERFEIKMMMVKVVARTVGLHRLILLNFYPFLQKYVQPHQRDVTNLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDKSRTESIAVGLNVVREICLRIPLLMTEDLLQDLVLYKKSHEKAVSSAARSLISLFREICPSLLIKKDRGRPTDPKAKPKAFGEVTVPSDVPGAELLLDDDVSNASDEDAESIDADISTQGGSESEEEDDDEEMGSEEDEVSDEEEEEEEVDGMSIDSRGSEKRKGQKRKFEDFDEELDGANQSLRALKRLAGARLESEAITEEPTTDGILSNEDFQRIKELKAKKDAKVALANHGILKKSSDPKSTVFKVPTSDQLSLKRVDGYSLEANIRKKMTKEERKALIKAGREDGEKYQSRAATKQKKTGGLSNQQKEHKKAMPLAAKRSKIERSRRQKKLKAKTAGKQFRGRKAWK >cds-PLY70124.1 pep primary_assembly:Lsat_Salinas_v7:3:13204914:13205861:-1 gene:gene-LSAT_3X9420 transcript:rna-gnl|WGS:NBSK|LSAT_3X9420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVQLTRQYITKYGQITERAIMESLYANTEIFGEVLDTWSDLLNHQELERDFGNSPYRLFLKVGVSTAYLNSTLSHERKYEKFKENFHDSTNGAHIFVIVFNLKKPSIKILDNSAVDWDYEGKYGVILKPLKNLFVRYFEEINHPRANAISKESIKPQ >cds-PLY83666.1 pep primary_assembly:Lsat_Salinas_v7:4:40975246:40975479:1 gene:gene-LSAT_4X28141 transcript:rna-gnl|WGS:NBSK|LSAT_4X28141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRWKLWVCVSILLLSQTNARPLVEPFENRKSLTAPFKAMFEQKVVGLGYQVRDAKDQVSGYTPSRVSPGGPDPKHH >cds-PLY82027.1 pep primary_assembly:Lsat_Salinas_v7:9:158288233:158288592:-1 gene:gene-LSAT_9X99320 transcript:rna-gnl|WGS:NBSK|LSAT_9X99320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSVSGCGMRFAFKHVRDNHEKSGSHVYTMGDFVEGDDEFRSREKGRVKRKLPGVIDSLMRKRVLPINESDCIQVSDYIQWLMSTGDED >cds-PLY74289.1 pep primary_assembly:Lsat_Salinas_v7:3:127613643:127614558:-1 gene:gene-LSAT_3X87541 transcript:rna-gnl|WGS:NBSK|LSAT_3X87541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVFCNNKIPLFFVVLLATLTTLAQGQGTQNGFYQTSCPRVEAIVQAAVAAADRANAGVPPGLVRMFFHDCFVNGCDACILLNGRSTERTAPPNSLLRGFEIIDAAKTELERVCPGVVSCADILALDARDSVLLSPVEILCLLGLKLVLILFFQSLCRLGELRTGKGLNAQDLVTLSGAHTIGTAACALFSYRLYNFNNTNGPDTSIDQAFLPTLRNLCPNGGDGSRRVALDTGSQNRFDKSYYANLRNGRGVIEFDQVL >cds-PLY62407.1 pep primary_assembly:Lsat_Salinas_v7:5:309810735:309812823:-1 gene:gene-LSAT_5X168741 transcript:rna-gnl|WGS:NBSK|LSAT_5X168741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPDSVKSTLQTLAFGNVMAAAARDYQKEVAAHEKAQSSYSVNEEVDLDDLMDDPELEKLHADRIAALKREAEKRQSLTKKGHGEYREITEGDFLSEVTTSVKVICHFYHREFYRCKIMDKHLKSLATTHLDAKFVKLDAENAPFFVTKLGIKTLPCVILFRKGIAGDRLVGFQDLGGKDDFSTRKLEALLIKKGIIEEKKKDEDEEAEYDESRRRTVRYSGIHDSDSE >cds-PLY96105.1 pep primary_assembly:Lsat_Salinas_v7:3:98529078:98529405:1 gene:gene-LSAT_3X72741 transcript:rna-gnl|WGS:NBSK|LSAT_3X72741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVASNSLLVESNELCVASAKAKSSSGMWLLLMKIHSFHTNKIMECDLQKTFVAMRDCAHEANMVLSAFESQYSHF >cds-PLY63506.1 pep primary_assembly:Lsat_Salinas_v7:3:225132735:225136364:-1 gene:gene-LSAT_3X129561 transcript:rna-gnl|WGS:NBSK|LSAT_3X129561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable mitochondrial import inner membrane translocase subunit TIM21 [Source:Projected from Arabidopsis thaliana (AT4G00026) UniProtKB/Swiss-Prot;Acc:Q1G3L1] MIRSSSRVLYKLRTQCRSSSSVPSCRTLLSSPITGTAVRRFTADVATGNKRETGNFSSLVSLRSRFQVSRINGGGNASVSDSRSFASRASRSTSEKASESRKDVTTVEDPFDAPTYNIPEKPMTFTEGASYSVVILVGLGIAAAAGYGVFKELIFEPKEYKVFNKALRRIQDDSQVRVRIGSPITGYGQESRNRAARQRIPNRVWKDEDGVEHVEVNFYIRGPHGAGKVFTEMFKDKEDKKWKFMYLQVEIHSPSQSRMLLESYIPA >cds-PLY82129.1 pep primary_assembly:Lsat_Salinas_v7:1:13996077:13997786:1 gene:gene-LSAT_1X12120 transcript:rna-gnl|WGS:NBSK|LSAT_1X12120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHLTSNNSQNGSRAYLHHDSSIFSTEKSLPMIDTFTSESHHTVLHHLPIACHHCIATIGQGHNSYISSMVIGGQFLYTGSSDKEIQLWNRNGLSSHMDQEYLADNMVIKGNGAVKSMVISGDKLFSAHQDHKIRVWKISGHETQQYKLTHLATLPTLGDRALKLLTPKNHVQVRRHKKSTWVHHLDTVSALALSNDGLLLYSVSWDRTLKIWRTSDFKCLESVANAHDDAINALALSSNGDVYTGSADKTIKVWRKASEGENHTLVAILEKHKSGVNALALSIDENMLYSGSSDRSIMVWEKNIDDGNTVAVAALRGHTKSILCLTVISDLVCSGSADESIRIWRGIGRFYICLAVLEGHRGPVKCLTMERNQEYDDDPSDHTSSYFIYSGGLDRDIKVWRISIPST >cds-PLY77149.1 pep primary_assembly:Lsat_Salinas_v7:7:183363075:183365156:1 gene:gene-LSAT_7X109521 transcript:rna-gnl|WGS:NBSK|LSAT_7X109521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVSWKSWLLLLLFHICNAQDDGETPITLPKEVDACNGIFLQYNFDSRQKEYPRLKNATAQPWAFKSQLTVVNTGATELKTWQAFIGFQHDEILVSVDGATILNGDSFPMKVGKNGTHLTGYPQADLRTAIDTAGDLTQMAAKVKIKGTMFGVKLGGNVMPSNIKLENEGFKCPKATTKGRQSMHVCCTKDPKFKPKKKLLKFFPRRKADLSFTYDIIQSFKGNYIAQVTIDNHHPLGRLDHWNLTFEWMRNEFIYDMRGAFTRKKDPSECLYSAAGGYYQDMDFSKVMNCQKKPIISDLPSTMKDDDHVGKLPFCCRDGQILSKIMNATKAKSVFQMNVFKLPPDLNRTAINPPQNWNIKGVVNPHYKCSQPIRVDPTETPDPSGVEATISAIASYQVTCNITKPKPKMAKCCVSFSAYYADSVVPCNTCACGCEDEDTRKCDKDARALPLPAEALLVPFANRTIKAKAWAKIKHIDLPKKLPCPDNCPMSVNWHLDSDYKTGWSARITMFNWQRRPFEDWFLAVQLKKAFVGYENVYSFNGTKLGKVDRMIFMKGFPGLNYLIGLTNGSKPGEPPVPGKQQSVISFLKKNTPGIRVAGGDGFPTKVIFNGEECALPRRLPRRSVGSRQSPVGLLPAVIIVFSTFILINFFLPSMAPFL >cds-PLY79874.1 pep primary_assembly:Lsat_Salinas_v7:8:16122245:16124240:1 gene:gene-LSAT_8X12941 transcript:rna-gnl|WGS:NBSK|LSAT_8X12941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLKTPPTLNFFNGATADNNLGCFRFSSSSLSTPSPVVCAAVKGGSSNHSPLAGVVFEPFEEVKKELSLVPSLPQQSLARQKYADESEASINEQINVEYNVSYVYHALYAYFDRDNVALRGLAKFFKESSEEEREHAEKFMEYQNKRGGKVKLNSILMPLSEFDHEEKGDALYAMELALSLEKLTNEKLLNLHEVANRNNDVQLADFVESEFLGEQVEAIKKISEYVAQLRRVGKGHGVWHFDQMLLNEGAAV >cds-PLY65824.1 pep primary_assembly:Lsat_Salinas_v7:5:136526739:136527038:1 gene:gene-LSAT_5X60281 transcript:rna-gnl|WGS:NBSK|LSAT_5X60281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILFPRIIQAKQILRRSLSNGSSTTKSMETPKGYLAIYVGEQEKKRFVVPVWLLSQPAFQELLDQAEQEFGYVHQMGGLTIPCSEYTFSDIASQLGAL >cds-PLY70319.1 pep primary_assembly:Lsat_Salinas_v7:4:98672302:98676630:-1 gene:gene-LSAT_4X63801 transcript:rna-gnl|WGS:NBSK|LSAT_4X63801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNINGREDGGGAGGDGGSTSSIGNDVAAQDANYIARTGDDGEFMGHSPPPSPRVSGSPLMFTPQVPVAPLQRPDEMQPNNSWMHTSSSYEDMMSEQGIPTMITWSYDGKEVAVEGSWDNWKTRKALQRSGKDFIILKVLPSGVYQYRFVVDGQWRYSPDVPWTQDEAGNTYNILDLQEYVPEDIQSISGFDPPQSPDSSYNNLQLGCEDYAKEPPLVPPHLQMTLLNAPSPHMEIPPPSSRPQHVVINHLYMQKGRSSDSVVALGSTHRFLSKYVTVVLYKSIHQ >cds-PLY95659.1 pep primary_assembly:Lsat_Salinas_v7:2:116249271:116251133:1 gene:gene-LSAT_2X54180 transcript:rna-gnl|WGS:NBSK|LSAT_2X54180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQALALILLLLIVPYVLSIVYWNRKSGSNNINLPPGSFGWPFIGETLSLLRAALNGTPERFVRERIKKHRSPLVFKTSLLGDSIAVLCGPAGNKFLFGNENKLVALWWPSPVTKLFGRCLITIRGDEAKWMRKIMLSYLGPDAFASHYAATMDIVTRRHIQVHWRGKEEVNVYKTVKLFAFELACRLFLSLEEPNHIEKLGSLFYVFLKGVGALPLNFPGTRFYRSKKLAEAIRKELMAIIKERRVALKEGKASSSQDLLSHFLTSCDENGRFLTEMEIVNNILLLLFAGHDTSAISITLIMKSLGEYPDVYDKVLKEQMEISKGKVAGEMLRWEDIQKMRYSWNVASEVMRITPPVGGSFREALVDFEYAGYTIPKGWKLYWSAVTTHRDESNFQDVTHFDPSRFEGVGPTPFTYVPFGGGPRMCVGKEFARVEILVFLHNIVTNFNWDFLVPNEKIEYDPMATPIKGLPIRLHPHQA >cds-PLY90028.1 pep primary_assembly:Lsat_Salinas_v7:5:186592648:186595393:-1 gene:gene-LSAT_5X83141 transcript:rna-gnl|WGS:NBSK|LSAT_5X83141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLSRGTGRAYGFDLENIVKSSSATSMRTSHSSSPSSNLSESSNSPMAVCTRKPRTQRKRPNQTYNEAAALLSTACPTIFSINKNNNNQSTLPNQRSYFFNEPPQLILPFPVTERPIKMKPSSSIELKDRSPIDSNSNSMEPSEGYQENFDTDSMLDEEIEAGIDSIMGKSNSIVGGDEISNAKSCFSFNFDFNYNTCYGYPMGLGFGGNLEFNFGLGLRNGVRALRNVDERNWWSFPAVNVMCISPPDSVKLKKAPTEKKKKVEESMKMELELGNPIPGERNLSLENWPRLLLKLNYEKVLNAWSDKGSPLPEEMSGSESPGSDIHARLAQIDLFSDNGGLREASVTRYKEKRRTRLFSKKIRYQVRKLNADQRPRSKV >cds-PLY79317.1 pep primary_assembly:Lsat_Salinas_v7:4:921267:922111:1 gene:gene-LSAT_4X1260 transcript:rna-gnl|WGS:NBSK|LSAT_4X1260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPPNMAAITASLQTSLQNCSLDTNTAGGAGFATSSPSSSSISNHHSSSSSDATLELNSSRSLPYHWEQCLDLKTGEVFYIHWKTRMKAKEDPRMADHGFYSDDDDDNEENQDEEEGFYDEEGSSSESSSPVSSSNKHNQNNVLVVAGCKSCFMYFMVAKQVEECPKCNGKLLHFNRSIHNA >cds-PLY80956.1 pep primary_assembly:Lsat_Salinas_v7:8:145270775:145271895:-1 gene:gene-LSAT_8X98481 transcript:rna-gnl|WGS:NBSK|LSAT_8X98481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAASTMASHLKGSFTTSFTRDLVTPKGISGTPFRILPSSRKSSFTIKAVKTDKPNYQVIQPINGDPFIGSLETPVTSSPLIAWYLSNLPGYRTAVNPLLRGIEVGLAHGFFLVGPFVKAGPLRNTEYAGAAGSLAAGGLVVILSICLTMYGIASFKEGEPSIAPSLTLTGRKKEPDQLQTADGWAKFTGGFFFGGISGVIWAFYLLYVLDLPYYVK >cds-PLY88282.1 pep primary_assembly:Lsat_Salinas_v7:6:126169676:126176599:-1 gene:gene-LSAT_6X76801 transcript:rna-gnl|WGS:NBSK|LSAT_6X76801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQLSKFLNENGSPFTVNIYPFISLYIDSNFSVEYTFFDRNTTSVNDGGTTYTNMFNAKYDTLVCSVQKNGFTNMRIVVGEIGWPSDGDRNASNQLWFMQGFMSRIARNKGMLWTTGLINAYLFSLIDEDGKCKKRVVDGGRKSSDSSSRVGMLVSSGEEGGGSGGAMVE >cds-PLY82234.1 pep primary_assembly:Lsat_Salinas_v7:1:68381186:68381365:-1 gene:gene-LSAT_1X60161 transcript:rna-gnl|WGS:NBSK|LSAT_1X60161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHHLAIDHPPLPQVGPSVLPPSQPVHDGADPSGTHHWDIDDNSEDGTEDEEGEYESNEE >cds-PLY69724.1 pep primary_assembly:Lsat_Salinas_v7:2:64577858:64579220:-1 gene:gene-LSAT_2X28420 transcript:rna-gnl|WGS:NBSK|LSAT_2X28420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPKLYRDISPISFTPRLVSIGPLHRKDTNLQKFEVQKSTYLHNLLALCGSEPKETLEDCLRKVSMKIDDIKKCYEESATYYGDEELARIMVIDGCFILYFTHLVSGKAGRYMGNRLTMPLIFNDILLIENQIPFFVLEDIFESTIPLFKPNPSLADHLEILLKGYNPFQEYNVTDNINLSGSPDHILGLLHNCLVPMHLPVSPNFLQNGGSILKQERHSAIELDRAGVNFRANKDVNWPLAMKLELPRFSCFPWFWYKPTLLMPKLYVGDSTELILRNLILYEQSSTIPECVTSYMWAMDMLVDTPEDVARLVKSRVLVNYCGANENAANMINSICQYVSLSGFYYHQEWEDLDTYYKSCWPNAAAALKRKYFGSPWSIIALFAAIVLFVLTLVQTVYTINHPNP >cds-PLY94792.1 pep primary_assembly:Lsat_Salinas_v7:2:178070185:178070529:1 gene:gene-LSAT_2X97661 transcript:rna-gnl|WGS:NBSK|LSAT_2X97661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSHVMPHRLEMPWRTINNSIDCGVFTMRHMETYMGGGMNEFKAGFKNESSAQDDQLVKLRTKYLYKILTHEYNVQNDYVLQKVDEFHKIPSKQRSQMLAIAKEEIHRRLDVLS >cds-PLY80210.1 pep primary_assembly:Lsat_Salinas_v7:9:191912131:191913958:-1 gene:gene-LSAT_9X118381 transcript:rna-gnl|WGS:NBSK|LSAT_9X118381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAENSRVLLPVFLLMLLLVQKGESVWLNLPRSGNKCVTEEIHNNVVVVGDYVVISDDHLHPTPTISAKVTSPYGNILHHKENITHGQFAFTSSEAGQYVTCFWPDHPNQGGALSVNIDWKIGVAAKDWESVARKEKIEGVELELRKLEGAVEAIHDNLLYLKGREAEMRGVSEATNARVAWYSIFSLGVCILASCGQLWYLTRFFQKKKLI >cds-PLY65710.1 pep primary_assembly:Lsat_Salinas_v7:5:270687569:270687850:1 gene:gene-LSAT_5X141160 transcript:rna-gnl|WGS:NBSK|LSAT_5X141160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCFVAGEESGRDALHKELVVRMFDAHTASSTSSHTGEMMDVIDLFVTYDYATLIKLGSLEINGLRQLCVDDDSGGAGLSGTAKITGHDRGMGK >cds-PLY78202.1 pep primary_assembly:Lsat_Salinas_v7:4:252119860:252122305:-1 gene:gene-LSAT_4X131761 transcript:rna-gnl|WGS:NBSK|LSAT_4X131761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRREVEDVVELMHRIQARLAVKEAARTSVLSKSWLHAWSTIPTLRFYVGRGKSMKLVDVDHTLIRYLRDNIPIESFELKMDMQNQESASHAEKWIGFVATKTSLKEFSLSVYLKGASSFTLPDELLLGENLTKIRVGASWGTDISVRMTTSHHPVIKCVSLRELHLAGVHISEEALNDILSSCSSLEKIRLSNIDFDSCEGFKTIKVINLPRLYELSITLDAALEISNVPNLAVFSYDLLRSGQLRFSANVHSLSLSNVTQLMLGGVVRDNVCLDMIKSRFPFLESLTLDMKSWMLGSFHFTCASIKILSLTSHKKLFDVQVCAPKLLFFSFSGDSILPNLLFPVSSLRQIKLSLSLDLPLDASFFLKLREALMLSRKCDLCISITNNSDSSMPLDIDIDELRRRLLFPPAMNVQELEFETDEDECLWERSPFFDAFFEICHPKHIYARPDRHYRHNNHFCRLMLREVLEKKKTTAIWPHRLQHVLIRPLPHKKWRTLTNSQRTFLQASTPVDFKLKWR >cds-PLY62247.1 pep primary_assembly:Lsat_Salinas_v7:5:166024699:166030185:-1 gene:gene-LSAT_5X73301 transcript:rna-gnl|WGS:NBSK|LSAT_5X73301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCTANDLLGWKDFPKGLRVLLLDEDIDSANVIKSKLEEMDYVVSAFYSENDALSAISDKSKGFHVAIVEVRAGNEDGRFKFLERAKDLPTIMTSDVNCISTMMKCIALGAVEFLEKPLSEDKLRNIWQHVAHKAFNAGAKDENELLKSEEALIRLEKVGIKQEIIQNKEHNEEVSVESDKYHAPSTPQLKQGMRHVDNEDQTNLSTEKECVEHDGESKFVEITCDDHLVVDNVMETNFSKSLEEGINKPSSNECCPDPKDGKKQNEASEHPISRSSKSGRRKVKVDWSSELHKKFVQAVEQLGVDQAIPSRILELMNVEGLTRHNVASHLQKYRLQRRHILPKESGRKWPQTRHHSTPRNYYPQKPIMAYPPPYHSNQVYPTWPPPHSYPPPPQTWGPPYYPTWHSSVSWLWNPYQETQAETWGCPTIPSHPSFPQVSMLFLMG >cds-PLY79241.1 pep primary_assembly:Lsat_Salinas_v7:9:182574687:182575904:-1 gene:gene-LSAT_9X112460 transcript:rna-gnl|WGS:NBSK|LSAT_9X112460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADKEKMVYDSSSSSRDQSNVGEEDLMAFYGSESGWVEARTHCDHLDTLSADLTHIPTPDTPCNRCQHPTENWMCLSCKDVLCSRFINKHMLEHYQQQNHSVALSYSDLSVWCFSCNSYLDAQVILPLRSVHETAYILKFGEAPPFRTIECLEVGDNKVNGSTSGN >cds-PLY67272.1 pep primary_assembly:Lsat_Salinas_v7:5:134659253:134660711:-1 gene:gene-LSAT_5X58641 transcript:rna-gnl|WGS:NBSK|LSAT_5X58641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVNLTAGAIWMLSTGEWQTMDLKPVLQVIDILAFQTQTPTEGGEENMRDRYRVLLSDGYFHRQGVLSANRSELVTSQQLQKGSIVKLTKFLCITIRERILIKIIDLNVILGGCDIIGDPKPFPHELPSNNQFIWKTLTQIKDEKLGTFGNTDYITVNATIWYIKRDNFCYTTCPIMLGDRKCSKRVVNNGDGRWICKKCDQIVDECDYRYDLQLHIHDHTGLTWVNAYEETGEEIMGVSAKDLYLLKHEDEDEDAFMEVVHGVLFSEYKFKLKVKEEFLGDEARVRSIVVKAEKIKYSSNTKNLLVRVSSVSNV >cds-PLY82291.1 pep primary_assembly:Lsat_Salinas_v7:1:65927713:65928006:-1 gene:gene-LSAT_1X56441 transcript:rna-gnl|WGS:NBSK|LSAT_1X56441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKAFESMRLLEAHFGVDTALAPEELTRLYNSVFLSFDEDQNDTIDLEEFRSEMKKTMLAIAVGLGSSSIQMAVEDDDQSFLKKAADLEAAKISESS >cds-PLY98169.1 pep primary_assembly:Lsat_Salinas_v7:1:140194619:140200037:1 gene:gene-LSAT_1X101600 transcript:rna-gnl|WGS:NBSK|LSAT_1X101600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSELSVSEGSSSSSSTQTHRYDIFLSFRGVDTRHSFTNHLYNALMHANITTFLDDEEIETGEDLKPELESAIKASRASIIVLSKNYATSTWCLDELVLILEQRMTSNHIVIPIFYHVEPTHVRKQEGSFGDAMAKHRQKMEAESNLNKRSQWAEKIELWNKAIREVADLKGKDANGRLEVEFINEIVKDIFRRLHISSRFPLPQLIGMEVSISFLTSWLKDASSPTTDIVTILGIGGIGKTSLAKYVYALHSHEFDTSSCIIDISRRCDKKYNGMIDVQKQLYADISKPSSIVQVHDVSIYTSMIEKVLANKKVFLVLDDIGSLDQLDALVGSKGFRPGSKILITTKDAWLTQSCSLFKMKIKPRYAEHKLEGLSTTESQKLLCFHAFMCNNPKPGYEEVSENLVNYCEGHPMALEILGRSLHNREVTYWEGYIDKLKKENDSPINNVLRMSIDSLASESDKNLFKYIACIFIGMDRDVTRTILEACDIETKTGITNLIDKCLLSVGDTNELMMHQLVQEMGRFLVREESLYKPWERSRLWGHESFRVLKQEKGTENVLGLTLDMRMLEKEKLHGSLELKTDALSKMDRLMLLQLNYVQISGSYKKFPEELRWLCMHGFPLKYIPSDLSMENLVALDMSYSNIESLEICYSYPQRLHKRLKQMIGSCSKDKRLLGSLKILNLSFCEQIRSLRGFDHLPKLERLILKGCIGLLEVCESIQQCLELALIDLSYCKKLKKLPKSLGKLKKVKTLLLNGCHLGESQIRIRDMDSSEMLKANNISINTITSSSTVVEVMPSYSKFSVISLPRSLVSLSLENNNLSSESFPMDFSCLPMLKELTLDKNPIVSLPSCVRTLPRLEILSMSDCKMLTTFEHPPHTLTHLNLYSNKCFLRKVVFDPQMSPLKLALGRRILVHSSSEFEGVIKIQPMAGVEEKVLHRLGWTKLDFLNGRHVTTSSTYGESEESEIQMYYEFGIFSTIYGGEEMPNWIIDRSMGPSISFTISSSTNKLTGLNFCCVLASPFPDEGLGLVDDVLLDLPVIKINNITKNLTWIYDHYIDSVLDVGGKCLTLLSHWMFGMNEMECGDHVTIRVMWEPDDIGDAVIKECGVGFVYDDGNMDEKQDVLGYYKSWNHIIGRDLTGFQTTTGEYILNKDRILWPGLDIVRLNYYYLCGEGAHFIGRCHSYISTTF >cds-PLY96248.1 pep primary_assembly:Lsat_Salinas_v7:7:182524289:182526716:-1 gene:gene-LSAT_7X108460 transcript:rna-gnl|WGS:NBSK|LSAT_7X108460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDELYSKLKRPFNGTWDSVESDVGAFENILIRLPVKDILIFKSISKLWYDVMSTKYFIILHRLLSKENTKFLAFYDPGLLEDGIVRGIHLMESNGTYTESYTLPGFENVHHPLVISSFNGLICCINDVSKYRMLYDIELRICNPATREVVLLPNSYMSVYMPVFGVLYSNKFHIYKIFKFFSDPIHFGMGFSQCEVYSSETGEWELLGSVPSHPLMNLRRTLASNHVCINEKLYWFLSDEEEFDLPSSILMVDMDCNVKEILLPTAAEISFLIDFHDRLCLVDWMCGIIVLWLYDEARGSWHSKKVPPFPGNWLEVAQFDSVVAHKYAILFVYRDVAGFRHEILYNLVHATWEEFRITEDDKKAPIVVFPFFETLVPCNPAGRKVTGGRVAAVVVVFLKNCV >cds-PLY83135.1 pep primary_assembly:Lsat_Salinas_v7:3:95648529:95649089:1 gene:gene-LSAT_3X71421 transcript:rna-gnl|WGS:NBSK|LSAT_3X71421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSMRSYGLIDADTRCLFMINAQPGEHLHLDQLIGLNLCPIQALKIEEGDEDLWCLLIDHEPAYSTFWMEVTMNRGSIATARFVDGSQEFDDEETMEIAEIVVEYDKYSRYLRKISELPVTIIGKNKADGSKEGEGEICVVCQEEYEAGLTIGTLKCGHVYHEKCIKKWLVQKNLCPICRSTALS >cds-PLY94006.1 pep primary_assembly:Lsat_Salinas_v7:3:179573815:179575483:1 gene:gene-LSAT_0X14160 transcript:rna-gnl|WGS:NBSK|LSAT_0X14160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGDWTKVLTYGPMSFFLWSQSLLHVMRNGNWLIQNEVDVYVLDMKKHTKEMVFTCNPIYAQRMSKEAYDRMGSKNITPRGKYIETTVSPNKYSLYARKWKFKINSLEWCTVGSWAEYEAYERVMIEKHANDVTQNPEEDPQILSSPQQEPLWGVWELESEQKERQDMQDQLAKEIEMEPKERKDMQAQI >cds-PLY64965.1 pep primary_assembly:Lsat_Salinas_v7:8:160112507:160117538:-1 gene:gene-LSAT_8X106740 transcript:rna-gnl|WGS:NBSK|LSAT_8X106740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASVENRQFRHELGVSAAGVVRSFSPNHRRSDSPIRGYNYPAAAREFMTPKKLPPETYDSSEDEEDEGHYRDAIKKSNSELESSVFDSRDQGTADQWIERNPSMVRLTGKHPFNSEPPLTKLMQHGFITPAPLHYVRNHGPVPNATWEDWTVEICGLVKRPARFSMAQLVNEFPSREFPVSLVCAGNRRKEQNLTKQTIGFNWGAAGISTSVWKGVPLVSILKRCGIYSRKKGALNVCFEGAEDLPGGGGSKYGTSIKIEVAMDPARDIILAYMQNGEKLLPDHGFPVRMIIPGCIGGRMVKWLKRIIVTTPESENYYHFKDNRVLPSHVDAELANSEGWWYKPEYIINELNINSVITTPCHEEILPINSWTTQRPYTLRGYAYSGGGKKVTRVEVTMDGGETWNVCTLDHKEKPTRYAKYWCWCFWSLEVEVLDLLGAKEIAVRAWDETLNTQPDKLIWNLMGMMNNCWFRVKTNMCKPHKGEIGIVFEHPTQPGNQSGGWMAREKHLEISSELAHPTLKKSVSSPFMNTTSLTFTMSEVKKHNSADSAWIVVHGHIYDCSSFLKDHPGGSDSILINAGTDCTEEFDAIHSDKAKKLLEEYRIGELITTGYSSDSAASSPNTSVHGATNYMTSHLATIKEIAPTRNVALVPREKIPCKLVSKTSVSHDVRLFRFALPSSEQVLGLPVGKHIFVCATVDDKLCMRAYTPTSTIDEVGYFELLVKIYFKGVEPKFPNGGIMSQYLESMEIGSSLEIKGPLGHIEYMGRGTFSVHGKQKFARKLAMFAGGTGITPIYQVMQAILKDPEDDTEMYVVYANRTEDDILLREELDAWADKYGDRVKVWYVVAKSIREGWKYSEGFITEDIMREHIPEVSEDTLALACGPPPMIQFAINPNLEKMGYDIKNSLLVF >cds-PLY99881.1 pep primary_assembly:Lsat_Salinas_v7:4:45127688:45133294:1 gene:gene-LSAT_4X32040 transcript:rna-gnl|WGS:NBSK|LSAT_4X32040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVDMSFSSSANLEIFQLDFQSDDRKLPLVGAIPISEPFNRLSWGKSPTSGSEEFSLGLVAGGLVDGTIGIWNPRILISSEEKEGALVQQLTRHKGPVRGLEFSGLSPNHLASGAEEGEICIWDISKPSEPTHFPPLKGSASAKQGEISYLSWNRKVQPILASTSFNGTTVVWDLRKQKPIISFSDSVRRRCSVLQWHPDFATQLIVASDDDSSPSLRIWDMRNTMSPLRELVGHNKGVVAMSWCPSDSSYLLTCAKDNRTICWDTGSGEIVSELPAGTNWNFDVHWYSKIPGIISASSFDGKIGIYNIEACARYGAGENYYGQAPLKAPKWYQRKAGVSFGFGGKLVSFHTTGSSGPSEVNVHDLVTEHNLVNTSSEFEAAMRSGDRSTLRVLCDQKSQESESEDDRETWGFLKVMFEDDGTARTKLLHHLGFTLPVEATETVQDLTQDVGALSFDEHEGAKDGFMGENQALNTPTDYGEDFFNNLPSPKCDTPVSTPGRNEELVSFEDALKEGDVGNDPSFNDAVQRALVVGDYKGAVAQCIAADKMADALVIAQVGGASLWETTRDQYLKKNRSPYLKVVAAMVNNDLVSLVNTRPLKSWKETLALLCTFAQREEWTLLCDTLASRLVSSGNTLAATLCYICAGNIDKTVEIWSKNVTTEHKGESYVSLLQDLMEKTVVLALATGQKRFSASLCKLVEKYAEILASQGLLTTAMEYLKLMGTEDLSPELVILRDRIALSSQPEKEANGSADYGHSQPQMGAVYGANQHTNSVVEPTRNYYQDDNSYQRESYQQPPAPLYNTQYQQPQHPSMFVPSPAAQIPPTTGFNPAPVATQAAPKVFVPSTPPIMRNADQYQQPPTLGSQLYPNQVNANPGYQAGPPGPVSVGPVPSPMVPTSGPKITQGVAPPVRGFMPVNNNNNNNTGLQRSSSNQMQPPSPSHPAPPPVAPPTVQTADVSNVPVQQRPVIGTLTRLFNETSEALGGGNAVPAKKREIDDNSKKLGALFAKLNSGDISKNAGEKLVQLCQSLDRGDFATALKIQVDLTTSDWDECSFWLATLKRMIKIRQTAR >cds-PLY67556.1 pep primary_assembly:Lsat_Salinas_v7:3:53840365:53841562:-1 gene:gene-LSAT_3X41380 transcript:rna-gnl|WGS:NBSK|LSAT_3X41380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYIQPHFRFLQVTILGYGCMGLTGIYNNPLPEEEGSKVLKEAFNRGVTFFDTADVYGVQHANEILVGKGKFLVDLTCHGDKLFQVVFKERTIGVISKIDQASFDPKVLAVVQALLLGQGSRIHRVLADIVSASANATPGLGRYPPFEREQFDNADVALLCAIVLTRW >cds-PLY97095.1 pep primary_assembly:Lsat_Salinas_v7:4:75596818:75597372:-1 gene:gene-LSAT_4X50841 transcript:rna-gnl|WGS:NBSK|LSAT_4X50841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAKIDKKTFSEATKSRLQTRLLAIAVTIDLKNPSNGSGNRPFLFHAGKQEISRFPIFNKFLAFFRLVEKLMWVLRFIDYFFQLKMKNVISYAIQEDSAKLPEDLSSRCK >cds-PLY77073.1 pep primary_assembly:Lsat_Salinas_v7:3:220598238:220602269:1 gene:gene-LSAT_3X131760 transcript:rna-gnl|WGS:NBSK|LSAT_3X131760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVTRFFIILTLLPLITHSIPFVVFHGVSDSCTKKGVKHFTDLLSEWSNSTGYCIEIGNGVWDSWFMPFDEQTEIACEKVKNMSELKEGYNIVGLSQGNLIGRAVLEFCDGAPPVKNFISVAGPHAGEASMPFCGSGLMCVFFDSLMKLAIYSESLQNHLAPSNYIKIPTDLEAYKEGCTFLPKLNNEFEKNATYKERFSSLQNLVLIMGDKDSVLVPKETSWFGYFPDGALEPILPAQETRLYIEDWIGLRTLDEAGRVKFVNVTGGHLDITDDDMKKYMVPYLVDEEAEASQNPILIESKSDSDATSFLMRKLGGQQDLQLNINILHRL >cds-PLY91916.1 pep primary_assembly:Lsat_Salinas_v7:8:200091850:200093948:-1 gene:gene-LSAT_8X127040 transcript:rna-gnl|WGS:NBSK|LSAT_8X127040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAITLNSGFKMPIIGLGVWRMEGKDIKDLLLNAIKIGYRHFDCAADYQNEVEVGEALAEAFKTGLVKREDLFITTKLWNSDHGHVLEACKASLKKLQLDYLDLFLVHFPIATKHTGIGTTDSALDEDGVLDINTTISLETTWHAMEETVSMGLVRSIGISNYDIFLTRDCLAYSKIKPAVNQIETHPYFQRESLVKFCQKHGIAITAHTPLGGSVANTEWFGSVSCLDDPDLKGLADKYKKSVAQVVLRWGIQRNTIIIPKSSKKERVEENFKVFDFELTNEDMELIKGKDRKYRTNNPAKFWGVDLYA >cds-PLY62034.1 pep primary_assembly:Lsat_Salinas_v7:5:129694616:129696011:1 gene:gene-LSAT_5X56401 transcript:rna-gnl|WGS:NBSK|LSAT_5X56401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHDGVGALRTRSKVAPDWTVEESLILVNEVSAVEADCGDTLASFQKWKIIVENCNALGVNRNLNQCRRKWDSLLSDYKKIKQSGSKKVSFNSELFKVIEWYVRDYEGGYDTDPDSDPEALPEPVLASFLQSASKKQRSKIIPQKRTIEETPKPKKPIKTEERKVEEYSSIPTNNTLNIISRPKEINHEDQEQIMAENLRENAELIEAIVKQDLINGGSNEELTRVNGDKLIVCLSNLVVALDRLSKFV >cds-PLY69124.1 pep primary_assembly:Lsat_Salinas_v7:5:284017495:284019429:-1 gene:gene-LSAT_5X149741 transcript:rna-gnl|WGS:NBSK|LSAT_5X149741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQNLKFHGSSSVIYPLHRVKSLNLSLLLFDVDVEVDIVIHSLQVFLNKEITRIPFYYNLNVLATINKQVDEMSYLQVTAVRPSSREYNTIRGSAIQCISPVKLSNLHNALKPTIGFDSSKKCTKLMKCHVMQRTAASRFQPCTPVCLFGGKGGESKNGDEGSPWKSMEKAMSSFKKEQSLEDVLRQQIEKKDYYDGGSDGGGGGGGGGGGSDGFGGSEDEGFGEMLDDILQATLALIGLIVMYLYMVANDQVTLFLRSVMKFVSGKKGPRLRFIMYNIGLLYQSFNTRIAYDPYWLEKEILTTPTLYDHPRRYEKLLKPFKRAIKGDGNIDLDAVRNLVINAQGVVGVDTGAGAGADVDNDTDNKSFSDDDDDDDNGNAYTINEDSDDY >cds-PLY93941.1 pep primary_assembly:Lsat_Salinas_v7:1:158735884:158737027:-1 gene:gene-LSAT_1X109801 transcript:rna-gnl|WGS:NBSK|LSAT_1X109801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKLGLRGPSFRVGEISSEVIVPDVRRKKLGVRRPNKKSTTKFQDEVNNQVPNEVAQAAALNDVPLVNEMIEEEETEVSVKVPEPIFKEVHLVNNQVPVVNEVIQLPAVNDVPLVNEEIQEEDGIMVIEEEVVDVPVKVAQDLKQSLDEVGDAIDQILGSGNASDASDVPLVNEGGVEPEFTEGHASDVLPDKMKISVEDIANLLEAGYSMGEIESMGWLEIELDDTPPVEMDLNEDEPDVDEGEADFVNDVLNDGGVIEGEGEGVENQDDGDVIEGEGVNQGNEAVGDVLNNEVADDGNVADDEGHLMVPKTRKRKQSERITKLKLKKAVFDKDGGGSTCSNPVNLE >cds-PLY95915.1 pep primary_assembly:Lsat_Salinas_v7:6:106023701:106028219:-1 gene:gene-LSAT_6X68241 transcript:rna-gnl|WGS:NBSK|LSAT_6X68241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTPKRRIRNPKYSSPSSLPPSPMRVLSSIEPPPSLLPSKTEIFKLVAVVSIAVSVAAACNIVVKFFNRQPKPFCDTDSESDYYLSEVCDPCPSHGICYEGKLECTSGYTRHGRSCLEDGSINEMAKNLAKMVESHVCESYSEYLCKGINKVWFRGDELWNNMDKVKLLAEDFGKGNATYLFAKNRAMDIVDNLLEMRDSNIGIKEFKCPELLVEHYKPLSCSVRLWLLEHALFLIPFCVLLVGCVWMLLRVHQRHYLSVRAEELYEQVCDTLEEAALVSRSINGEGEGEPWIVASWLRDNVLTPKERRNPMLWKKVEELVQEDSRLDRYPKMLKGEPKVVWEWQVEGSSMRSSGKKKSEVKKQKSSDLGSNQDQSLKPMEPLKW >cds-PLY75133.1 pep primary_assembly:Lsat_Salinas_v7:4:61487063:61491278:1 gene:gene-LSAT_4X41620 transcript:rna-gnl|WGS:NBSK|LSAT_4X41620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANEGINQENYPIKTIVILVQENHSFDHMLGWMKSLNPEIDGVTGKESNPLSTTDPDSTRIYYSNDSDFIQPDPGHMYQEVYEQIFGVPWTEESSSSGIPPTMEGFAQQAESVEVGLSKVVMSGFKPDDVPVYQELVMEFAVCDRWFASVPGETQPNRLYLHSATSNGAISNDTSRLIQGFPQKTIFESLEERGLSFGIYYQYPPSTLFYRNLRQLKYIDNFHQFDLNFKQDCEEGTLPNYVVIEQRYFDLQILPGNDDHPSHDISEGQKFIKEVYEALRSSPQWNEILFLVTYDEHGGFYDHVPTPVSDVPSPDGIASPAPYNFQFDRLGVRVPTILISPWIERGTVLHGPSGPYPSSQFEHSSIPATVKKLFNLKDFLTKRDEWAGTFEGILTRATPRTDCPVSLPEPEKMRDGEANEDAKLTEFQVELVQLCAVLKGDYKDGISLEKLVENMKVKDATGYMDVAFKQFCDDCRKAKEEGKDDSYIVCDKELSSCLISTKHFVGKICSCFLCEN >cds-PLY75582.1 pep primary_assembly:Lsat_Salinas_v7:9:34379971:34380360:1 gene:gene-LSAT_9X31840 transcript:rna-gnl|WGS:NBSK|LSAT_9X31840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDEAARALTNISSQDIINMYPNEDMNKLPPPLQKSKGSTKKVYIQCKNPSTANNIRFAITSTTNIESIKSTLTSPANVPVTPSTTMDQTNKRKTKTQTTATSHRSGKQLCYEETGKQHHTTTYIAKDI >cds-PLY97730.1 pep primary_assembly:Lsat_Salinas_v7:8:40890765:40893313:1 gene:gene-LSAT_8X30800 transcript:rna-gnl|WGS:NBSK|LSAT_8X30800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAPWICSLFFGFFFIFSSIAAQADYIGTANLSTKWTNDESSLPIINFFDGSRIRVILSVSKFMCGFFCKGACKSYLFAIIINQNDLPSVIWSANRDNLVKEGAILNLTAAGQLVLKEVDGSLVWATNTAGKSTSGMNLTEEGNLMLFNGHSSPAWQSFDHPTDCLVLGQKLLQGQKLMPSVSPTNWAAQKDLYSMEFTKKGLFFYVGSDPPKDYYSILVNGLTEDGYLELRNLSLCSVISPSKSNNCMDVIAIPPAFSPKYIKLMPAGYFKAFGMDTLRDGKMIDLPLGNLEYCSFPLACGRNGICSSEQQCSCPKSSSPGTDYFRAVNYRQPNMGCSQVTPITCNATKDQYFIEVRNVKYSIFTSDMKDVDIATCKHECLNRCSCKAAVFKYGSNSSGDCYLPSELYTITSLELDDEAPPQNMLVFIKVQNVGSTHSSKKKNNVATVVGSTIGSLLLLIVVVCLTKFVVKKRNMNSESEEAYPDQVLGISIRFTYDDLVTATDNFSRKLGQGGFGSVFEGTLTDGSKVAVKYLQGTRHVEESFLAEVESVGSIHHVNLVQLRGFCVHRSERLLVYELVSKGSLDEWIYNENRKLVLEWTCRKKIILGIAKGLTYLHEECRQQIIHFDIKPQNILLDEDFNAKVSDFGLSTLVDKDQSQGLTRMRGTPGYMAPEPSGSTITEKVDVYSFGIVLLEMLCARKNFDKSQPEDDWHLLSVFQRCWEQGTLLDIVDKYIEDMQEHGAEVVDMMKLASWCLQLDSTKRPSMSSVVRVLEGAITVESNLDYNFLHPRPQDTTPEYEQSSRPCDSVLSGPRTIKLLVM >cds-PLY75178.1 pep primary_assembly:Lsat_Salinas_v7:4:270893131:270897470:-1 gene:gene-LSAT_4X139241 transcript:rna-gnl|WGS:NBSK|LSAT_4X139241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRLRYLKQNSIRSLISPLNNNNPFNYSTITSSTTSNALSLNPLFPSYSSPLHKLQAEDLALTFREWFKSSGNPFLDQIHQILSSNGSISENEDFVVQHEAIDAALSSLNLRLTESLVLDVLSYVKDVLSCTKFFDWAGRQQGFHHTRATFNAIFKILSRAKLMSKMFDLLERYNKHRGGHMPNFHNILVMGYAVAGKPEIALQLFGRMRYQGIDLDDFAYHVLLNALVEEGYFDEVESVARQIKIRGFESEITHSILVKGFCRKREFDKAESYLRGVINSGIKIKSSGYIVGALVDALCKNDEFEKAGKLVDEFGEFHVYDIWIRELVRARKLDGAMEFLQKTRNQKTAVYVPDVFRYNSLILRLLRENRLEEVCDLVIEMMKNNIPPDELTMNIVLCFFCKAGMVDVALRLYDSRTEIGLSLSSMAVNYLMNTLCRDGSVIDAYRILNNSIDQGYFPGKIPFSILADALCNVENLEMMKEFFHVALEHGILLSNKFYEKYINALCSKGKLEDGYIIHGELTLLDKVTTGCAYNTLINGFIKHNRGDIATRLLIEMKEKGRTPGRELFRGVVQSVCEMENPEKQFEKLLEMQLSLHEPNCTFFNYFIEGAGLAKRPDLAKEVYEMMKRSGISPNLGSDVLMLKSYLKSGKTSDALMLFYDILKRRKIGRKICNTMVVGLCKANKPDVALSIFLEIREKGKMVKPSIECYEELIYVLCKYKRYDKVMDVINDMIQVGCPLSSFIGNSLLLYSLKDQNLYSTWVDSLPTESTESTQSSPMWKLGELVGLFSDRFRDDIDINELEEVVGKCFPLDIYTYNMLLRKLIKKQVDDASRLFRKICEKGYKPNQWTYETLVHGFYRHGRAAEGKVWLEEMQKLGFTPSEATTVLL >cds-PLY64796.1 pep primary_assembly:Lsat_Salinas_v7:2:101909305:101914619:1 gene:gene-LSAT_2X46361 transcript:rna-gnl|WGS:NBSK|LSAT_2X46361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sucrose-phosphate synthase 3 [Source:Projected from Arabidopsis thaliana (AT1G04920) UniProtKB/Swiss-Prot;Acc:Q8RY24] MAVNEWINGYLEAILSSKPSNIEDSKVNLRDQGHFNPTKYFVEEVVTGVDETDLHRTWIKVVATRNTRERSSRLENMCWRIWHLTRKKKQLEWEDYQRIQKRKFEREKGRSDVREDMSEDLSEAEKVDILGEPATVSGESPKKTYQHNASTVEVWSDDKKEKKLYIILISLHGLVRGDNMELGRDSDTGGQIKYVVELARALAKTPGVYRVDLFTRQVSSPEVDWSYGEPTEMLTAGTDDPDDDVGESSGAYIIRIPFGPRDKYLRKELLWPYIQEFVDGALAHVLNMSTVLGEQIGGGHPIWPYVIHGHYADAGDSAAILSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSAYRIMRRIEGEELSLDAAELVITSTKQEVDEQWGLYDGFDVKLEKVLRARDRRGVNCHGRYMPRMAVIPPGMDFSKVIQEQENDNDDLSLTTEGNSPKAVPPIWQEVMRFLTNPHKPMILALSRPDPKKNITTLLKAFGECHSLRKLANLTLIMGNRDDIDEMTAGSASVLTTVLKLVDKYDLYGQVAFPKHHKQNDVPEIYRLAAKTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDIHRALNNGLLIDPHDQQGIANALLKLVSEKNLWQECQKSGWRNIHLFSWPEHCRTYLTRVASCRMRHPAWKTDTPADELPRDESLNDSLRDVQDTSLLLSIDVDRFSSVNDSSDGGDIQDQVKRVMSRMKKPSSEKVGEVSNNNSKYPMLRKRRRLIVIAVDCYDVNGAPEKSMIGMIQETFKAIKLDAQLGKVTGVAISTAMPLSELMEFLKLGKIKVNEFDAVVCSSGSEVYYPGTCEEGGELYPDPDYASHVDHRWGSDGIKKTIWKLMNGDEEGKILKVIEEDVKSSNSHCLSYLIKDVKMAKKVDDLRQKFRMRGLRCHLMYCRNSTRMQVIPLLASRSQALRYFFVRWRLNVANMYVVLGETGDTDYEELIAGTHKTLILKGVVKNGCEELLRSRGSYLKEDIVPEESPLIAYVNGTNAEEIANALTKVSKCDA >cds-PLY98449.1 pep primary_assembly:Lsat_Salinas_v7:1:10077772:10077978:1 gene:gene-LSAT_1X6661 transcript:rna-gnl|WGS:NBSK|LSAT_1X6661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANGNCSQPTSSSPREAACDPIPSSIWVTVSRCGQIQGVASFLRSIRHPVEVVTAACYLIIKTLPQP >cds-PLY86911.1 pep primary_assembly:Lsat_Salinas_v7:5:259587486:259588561:-1 gene:gene-LSAT_5X129701 transcript:rna-gnl|WGS:NBSK|LSAT_5X129701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLWEYFGIEDGATLEATRKFTDLLFPSGNNAFCEAAFEYMKLLSEIHNRVLRMVFDSYGVESKHCDQLTDSALYLSRFMKYRSPNEGEGAIGLPAHSDKSFLAILDDNDVKGLEVEMRNGDWIHHEPSPSTFVVVAGEPFMAWSNGRVYAPLHQVAMRMREKEVVRYSLGLFSFMRATVEVPDKLIEDDENCLIFNPFNHLDFLKYVVTEEGRASKCAIKSYTGVTSDVTMEP >cds-PLY77065.1 pep primary_assembly:Lsat_Salinas_v7:8:172411911:172414395:1 gene:gene-LSAT_8X113380 transcript:rna-gnl|WGS:NBSK|LSAT_8X113380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFFDSTPVGRIVTRVIFPALDIRVKNVDRTYSLEHEGESVIFDQLFTLLDSDMQNEESFRRELASCTCALQTSINQHMSKEEEQVFPLLVEKFSFEEQASLVWQFLCSIPINMMAEFLPWLSASVFSEERHEMRNYLCKIIFTWMDGANGFKKRKSSEECEEGHYCPCLSSRPKKRESFLRSIDDSTDSLPDRPVDEILHWHKAIIKELIGIAETARRIQLSGDFSDISAFNKRLQFIAEVCIFHSIAEDKVIFPAVDVELSFAQEHTEEESDFDKFRCLIEAYKKREQIHLHLNFVQSYAHMLIISCPSLRNISK >cds-PLY67177.1 pep primary_assembly:Lsat_Salinas_v7:6:162225220:162228335:1 gene:gene-LSAT_6X99320 transcript:rna-gnl|WGS:NBSK|LSAT_6X99320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIMLVANGEEQRTREIGLGVILDIDSHVGKSIWVSILMAIEDFYGDTNNSTTVIVPHFRDSKYDNVEAVSAAIDLLKNTQVMAILGPQKSSQAAFVLDIAQRSKVPMISPATNPDLSPIRNPYFIRIAQASSTQAQPIAALVKSFGWREVVFVYEDTDFGRGPIPFLFDAMVNIGTQVKFRTLLSPSSSDYEILQLLYKLKSMQTRVFVVHMLPALASRFFKKADEAGMMAKGYAWIITDVLTGLLHQLDPQDMDSMQGVLGVKPYIPPSNQLKKFERRWRRRFRKEYPDIDRVELDMFGILSYDSIVGLAMALTRVGSELSTIFKRAKKASTDLAAIGTSDMGSKLLPMIQNISLEGMRNRDFEVVNGQLQMSRYQIVNVIGKGEKHIGFWSSRNGISNQLISQNQSSDYTTNKDDLGTIIWPGDTPRFPKGWEIPTGGDNILRVGVPAKGGFVEFIEASIDPKTKVVNASGYVIDVFKAVIDAMPYDVRYKFLPYENPDGQRIGDYNDLVYQIVLDKFDMVVGDVTILWNRSNYVEFTLPYSESGVSMLVPAKVDDSKNVWIFMRPLEMELWITIGGFFIYIGFVVWVLEHRVNKEFRGPPHQQVGMIFWFSFSTLVFAHKEKMISNLSRFVVIVWIFVVLVLTSSYTASLASMLTVQKLQPTLMNMSELKARGDYVGYQDGSFVVNMLKDMGFHGDKLKKYSNFKEYANALSNGSTKNGVSAIVDEVPYLKMLQAKNCNKYVMVGPTYKTAGFGFAFPKGSPLVAEFSRAILKVIEEQMRNISDKWIRDEADCPGKNEDVEPFDKLTLESFKGLFFVAGLSSTCALLIFLFMFLYENKEILVSHDSMHHKLTAIIQNFDKKKDLVPSETETVNDSNDYIEENIIGGSPMSPAISVYHQGEGVFSPASPM >cds-PLY97039.1 pep primary_assembly:Lsat_Salinas_v7:1:188393619:188394874:-1 gene:gene-LSAT_1X119601 transcript:rna-gnl|WGS:NBSK|LSAT_1X119601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIKVENAKDEQAPLLAVTLLPESVEKTLIQKAISQTFESTAHLANLLPTGSVLAFQLLSPIFTNQGDCDPVSRAMTAALVALCGFSCFLLSFTDSFMDGKGHVCYGFATTRGLWIIDGSTILPPELAAKYRLRFIDFMHAFMSLMVFAAVALFDQDVVNCFYPSPSDETTELLTTLPVAFGVVFSMLFVAFPTRRHGIGFPISTS >cds-PLY92241.1 pep primary_assembly:Lsat_Salinas_v7:2:209002962:209011127:1 gene:gene-LSAT_2X129340 transcript:rna-gnl|WGS:NBSK|LSAT_2X129340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDGENIREGNIVEKVVRDNIGESSIVTPKHNPKETGDNSEGNDEDGELKGNEEHILDEKGKKGQNVNERGKMKVTNPDIFRSPFVNRVIDLSEKVSTEQEIMAQIMFRCVADKDPMKMLFETESGDIMDRVHFEGMRPKHKIHPFVIDCWAAVLNFEEENLRNKKSPPRVFFNTQIMTEKLLDSSIPFVERSRLFDEAVNNYLYDIERKVDFNSINLVFFPIHNRGHFYCILFNLTNPEHIIIDNIRYIKKVEDVYGEIPKLVQMYFSKFLDNNRRDKVSLFKSMKPKKMKMAWQTKTKTNDDDDESYEEIYVKKRAGDRKYVEGVTKIKEAKRKRNRGDNRAAIKKQKTVKEQKTVKDILKELASINTRSTPGLMTDAVSSLTSEQKDWVKRMGFKAFLKINTKSIPLKLCHFVLKHYDEGTNSILIKGKRIKITKENFHKVFGLPKTGKSLFDLDKVSEDHQVFNGWMKELEDGKANATNYKNIIQKSEQVDMNFKLGFIALFVNMFAESIPMGTNNLVPVRALVEVDDISKIDWCTYLLYCVKNSKRRWRPDNPKCYYIGPMLLLFLKERQSFEIEAGGFGVGNLIEQSSNLEREKNEDQVNENQDTRIEEYKDNFDKMFNKVSSIKEDMYGIVFDCISKFRDVDITNELKEKFIKLFSDPIFSSADNQNNENKKKGSHERVESQNGDERLESQNGDTGENYISSYKSPYMDKAVNLFDRIDLQNVLLIQVLIRCAQEKNKMEVLFETNTGEIMHRQDFESMRPEHVIHHRVIDSWAAVLNYEEQKSKSKPYRLFFNTKIMSSELLDETKSFDERFLTFETRVDKFLSNVKANVDFNDLKLVVFPIHNGDQMYAVVFNLTYPQVHIIDSIQTKSLEKTYGMTPTSLKLYFIRYLEKTTFIINNIEGLRSTTVKMMKIDWNTKELTTENGALLMRHMEKYCGEKQGKWNVEMEKGSDVQAVQFVKLRALYAVKIATHEINNHKERVIKEAIEFGKFDHATRKKMLEEGIQRMDELEMGNRI >cds-PLY87151.1 pep primary_assembly:Lsat_Salinas_v7:5:257526460:257527489:1 gene:gene-LSAT_5X132800 transcript:rna-gnl|WGS:NBSK|LSAT_5X132800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable histone H2A variant 3 [Source:Projected from Arabidopsis thaliana (AT1G52740) UniProtKB/Swiss-Prot;Acc:Q9C944] MSGKGAKGLLTGKTNVLSNKDKDKKKPTTRSSRAGLQFPVGRVHRLLKTRVAANGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >cds-PLY75086.1 pep primary_assembly:Lsat_Salinas_v7:2:93236734:93237879:-1 gene:gene-LSAT_2X42020 transcript:rna-gnl|WGS:NBSK|LSAT_2X42020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFLIFYTHIHPTPPPLRNQQLWPAPAISQPFFPLNPTPTFPRRIHTKARRWDSNAETFRTQSFDFNSGDDDDDDDFEDDDANQWLDILEDFIDGVWIFKVFRSFGWFLPAIISSLLLTSGPKAFLMAMAIPLGQSALSMLFQTVWGRPKNKTRRRGKSKSEGKRKPPPPPRRGGASYVDMDEEEYPRGERKRAPGYQTWVAGDGGASDNKSGGSSASFGGWEELDRKSGSFTDGKRKPLKSKLSRRERRSTTPLFFRLLIAVFPFLGSWTRML >cds-PLY71937.1 pep primary_assembly:Lsat_Salinas_v7:3:25420593:25421995:1 gene:gene-LSAT_3X18900 transcript:rna-gnl|WGS:NBSK|LSAT_3X18900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRRVETSDNSSSCSSSTTSNDDYRENTVKSSAMPSKFVLTYGEMAGASAVVLGFAVSLIGVYLSLPVSDYSFLKLPRTLEDLHVLRDNLERYTCDYTIQVLVGYCTVYIFMQTFMIPGTVFMSLLAGSLFGVFKGLALVVFAATAGASSCYFLSNLIGRPLILSLWPDKLVFFQNQVAKRETRLLNYMLFLRVTPTLPNAFINIASPLVNVPFHTFLLATSLGLLPVAFITVRAGMALGELQSLGDLYDMQSISILFFIGLASVIPTMISNTSEP >cds-PLY81163.1 pep primary_assembly:Lsat_Salinas_v7:9:20736459:20737102:-1 gene:gene-LSAT_9X19761 transcript:rna-gnl|WGS:NBSK|LSAT_9X19761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFFRSEAQLSNFKHATGCCLPGVLRRLSCFRGLAATQHHRIKESTELRCTSYNPGIVAKLMGLESIPQRITSVHRQQDHRNSNSRSQSISKTPMVLELEKRKFFILGLEAGCKGKGDSRSDSRRKLLEHDGSKKKSNGVLKEGANVDFDLEFFDQMVLELVYIF >cds-PLY66848.1 pep primary_assembly:Lsat_Salinas_v7:7:18963765:18967052:-1 gene:gene-LSAT_7X15121 transcript:rna-gnl|WGS:NBSK|LSAT_7X15121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQKQQHKMHTMKKKVLGFKIASNRSRLAFGILLAFFILMNFWMLSRIQDSGPVKGLKLRFLKSNSSTVSLPEELRKISKGKKPHKTAYARLLYKAAHALAENQYKSIEPKDLWLEPYHAVAASWQPCSDQRVWKPSEGNNGYIMVTANGGINQQRVAVCNIVAVARLLNATLVIPSFMFSSVWRDISQFGDIYQEDYFIDYMKPDIRIVKELPKQLKSLDLEAIGSVVTDVDIAKEAKPSFYLKYILPLLMKNRVVHFVGFGNRLASDPIPYHLQRLRCRCNFHALQFTPKIQATAALLIQRMRQNASHSGLLDENLVGSFAKSKGKIKKDFRYLGLHLRFEIDMVAHSLCDFGGGEEERKELEAYREIHFPGLTELKNTTKSPSPERLKADGLCPLMPEETVLMLAGLGFKRETRIFLAGAQIYGGKSRLKALTTLFPNMVTKENLLSSSEIQPFLNFSSQLAALDFITCTASDVFAMTDSGSQLSSLVSGYRVYYGGGKMPTIRPNKRRLADIFLKNNTIEWKMFETRIRKAVRQNKRVFARPVGRSVYRYPRCSECMCNTQEATLHIRPR >cds-PLY78195.1 pep primary_assembly:Lsat_Salinas_v7:4:252179133:252180815:1 gene:gene-LSAT_4X131820 transcript:rna-gnl|WGS:NBSK|LSAT_4X131820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMAAASSLGVSEILGNQLKFSGTSKTAPSASSPAASFKTVALFQKKKPAPKAKPAPVTPASDELAKWYGPERRIFLPEGLLDRSEIPEYLNGEVAGDYGYDPFGLGKKPEDFAKYQAFELIHARWAMLGAAGCIIPEAFNKYGANCGPEAVWFKTGALLLDGNTLNYFGKNIPINLVLAVVAEVVLVGGAEYYRITNGLDFEDKLHPGGPFDPLGLANDPDQFALLKVKEIKNGRLAMFSMFAFFIQAYVTGQGPVENLASHLSDPFGNNILTVISGNIERTPTL >cds-PLY72251.1 pep primary_assembly:Lsat_Salinas_v7:7:87892824:87894047:1 gene:gene-LSAT_7X60681 transcript:rna-gnl|WGS:NBSK|LSAT_7X60681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRQYDHVHPEHLHTLNAITTTIIDLTNMRLIRRFLNVLQVRGGSKGFYMDLMKRALTFRFSYLLVTQTSFKEVGILVL >cds-PLY89880.1 pep primary_assembly:Lsat_Salinas_v7:3:70876332:70894225:1 gene:gene-LSAT_3X55121 transcript:rna-gnl|WGS:NBSK|LSAT_3X55121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGKRVAKIKVKCDGSVFHQCLKDRPVELPSLSPTTFQNCKTQEGEAGTVGCVHSWNYFHDGKDRVIKTLTQDLDEAKKLVTFKALEGDLLELYKTFVTHVQVDTNGSNNLVTWTVEYEKLNPNVPDPDTLLEFYKEVTKDIETHQLRN >cds-PLY72170.1 pep primary_assembly:Lsat_Salinas_v7:7:57033317:57033827:1 gene:gene-LSAT_7X41280 transcript:rna-gnl|WGS:NBSK|LSAT_7X41280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRDSAVARHASLVRLLKKKLVLAKGKMKKAEKALAKVQEYLQPSQLPTDLETLTDEERFSLRKIRLSMKPYLELGRRGVFDGTIENVHQHWKYREIVKIIMEILCFCCWR >cds-PLY74361.1 pep primary_assembly:Lsat_Salinas_v7:5:56645458:56646924:-1 gene:gene-LSAT_5X26640 transcript:rna-gnl|WGS:NBSK|LSAT_5X26640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDSIKERVQICGPLLDENQVRSIVEEIKQVITASSVRRNERGEIVKAEDFDAEEGEMLKEENEQEEELFDQVGDCLGEEDATCSSTFESHVDWSITSLEKELVFVHVNDAILVEGIPLSPALQILQFGIAFLMELAKELSTNTQIMLLVLLPQKKIAILLHLVDWVGEVFIWDLEAAVTPVTKNTETPAVTVSSNGNSVPVTSLRAVSSSNVITSLTNTPQGYVPISAKGHKESVYALAMNDTRTLLLSGGTKMVDSSFQNILP >cds-PLY69930.1 pep primary_assembly:Lsat_Salinas_v7:4:70234408:70234698:-1 gene:gene-LSAT_4X48340 transcript:rna-gnl|WGS:NBSK|LSAT_4X48340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPSMDMMSPPWLFLVTVSFSILVPPTLILFHYCRRPLSVIHNIEAHKHPQIAYQQSNPPSDFLTLFESHFKNLVNRIYYHHRHITRKRLISKNML >cds-PLY86711.1 pep primary_assembly:Lsat_Salinas_v7:4:7735717:7736396:1 gene:gene-LSAT_4X4901 transcript:rna-gnl|WGS:NBSK|LSAT_4X4901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDLVAFCSLLFQAYIEVAEVMLEDDVASNKAIGSYGSSFIQIQQKESKKFPVLTHCNTASLATAEFGTALGVIRALHDDRVLGRAYCTETRPFNQGSRLTAYKLVHDKIPATLIGDYAAAALMKTRSIHAIIVGADRVTANGMLLLFYPQIFFYF >cds-PLY74038.1 pep primary_assembly:Lsat_Salinas_v7:7:10782003:10782398:-1 gene:gene-LSAT_7X8620 transcript:rna-gnl|WGS:NBSK|LSAT_7X8620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSLLRYWRSNGGDGSANANVKTSAASPTATTIVTAVSTQTSDTGDDENDHGPFFDLEFNLPVEGGDDEDTKDGGTGNDVDYGEEEEDEEDEEESDERELKFTLLSGSNGNSTNDANVSVVFQRRFRSC >cds-PLY70189.1 pep primary_assembly:Lsat_Salinas_v7:9:1793544:1796554:-1 gene:gene-LSAT_9X3680 transcript:rna-gnl|WGS:NBSK|LSAT_9X3680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RAN GTPase-activating protein 1 [Source:Projected from Arabidopsis thaliana (AT3G63130) UniProtKB/Swiss-Prot;Acc:Q9LE82] MEEIGGGRAPGSPLIVSAPDYDFELPSSYCKGFSSLPAEQRAAPDGFQQEKTSSVAVSLSYPPAPPPVRLIWSLLHQINCAQLNCGLQAMAPGLFLCNEEAEEEAKQIESSAFAIANQHFEKEPDGDGGSAVQTYAKESSKLMVEAVKRGPKPKTDQEPEPIPDTVTPHHETFFDISGGKRAFIEADEAQELLKPLSEPGNKYTKICFSNRSFGLDAARIAGPILSSLKEQLTEVDLSDFIAGRPEADALEVMTIFASALEGSNLRYLNLSNNALGEKGVRAFEKLLASQSNLEELYLMNDGISEEAAKAVCELIPSTNKLKVLHFHNNMTGDEGAIAISGLVKESPILEDFRCSSTRVDSEGGVALSKALGTCPNLKKIDLRDNMFGIEAGIALSKAIPVFTSLTEVYFSYLNLEDEGTLALVNAIKDSDSPLEVLEMAGNDITSESASALAAVITAKKNTLIKLVLSENELKDEGVIVIANSLKEEFGQLTEVDLSTNGIRTVGARALSQAVVGKPGFKLLNINGNFLSDEGVDNVKEIFKSFPSVLGPLDENDPEGEEYNDDDDDDDDEDGDDANEDELQSKLKDLEIKQEE >cds-PLY64897.1 pep primary_assembly:Lsat_Salinas_v7:1:166202693:166205340:-1 gene:gene-LSAT_1X111560 transcript:rna-gnl|WGS:NBSK|LSAT_1X111560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRFCGDMCHLFSILVLLLKIYATKSCSGKPTFLSITCISSFPRVLPGLKINLPPKVTPVVYDRDLAEDAGAVVFIGSSVAIVCCMRWHHAVKRSYDRDLDTFKYQFLVLACFLLALVLHEKFTFLEICWAFSIYLEAVAILPQLVLLQRSGNVDNLTGQYVFFLGAYRALYILNWIYRYLTQPHFNGWISCFSGLIQTALYADFFYYYFISWKSNSKLQLPA >cds-PLY79780.1 pep primary_assembly:Lsat_Salinas_v7:1:182040653:182056534:1 gene:gene-LSAT_1X118421 transcript:rna-gnl|WGS:NBSK|LSAT_1X118421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKSKSSGKRGRRTPSWNSIGSHSWSFDEVFVTANHSRSSSHVGEDEEALKRAAMEKLPEFDHLRASITESCVLKQVKEKDEKIENQLFIDKLLNVVEEDNGKLLKKIRERYDKAEVTLPTVEVRYEHLNVEADCFIGDRALPTLGNTLRNIAESALTFLGIRLSKSTKLTILSDASGIIKPSRMTLLLGPPSSGKTTLLQALAGKLDSSLKVEGKVTYNGHTLKEFVPQRTCAYVSQHDVHVAEMTVKETLDFSARCQGTGSYNELLAELERREKVDGILPEPEVDLFMKAIAMEGTDNNLITHYILKILGLDICGDTIVGNEMIRGISGGQKKRVTTGEMMVGPTKTLFMDDISTGLDSSTTFQIVKCLQKIAHLTKATIFISLLQPPPETFHLFDDIILLSQGHIVYHGPREHTLEFFESCGFKCPERKGHADFLQEVLEAMAIDIYDTTNGWGTVQASDNVTELGVAVLRTFDVTPDKNRFWIGVAVLLGFAVVFNFLFTLSLTYLNPLGKAQAVISKETLNEMETILQERDAKENSSISATNPIDSTRGIVLPFTPLIMSFSNINYYVDMPPEMEVQGIKDDKLQLLQEITGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGLIQGDIRISGFPKKQETFARVSGYCEQTDIHSPQVTVYESLIFSAFQRLPKEASKEVKLHFVSEIMDLVELDNLKDSIVGIAGVTGLSTQQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTIRNTVDTGRTVEIPGVPKLKSQYNPATWMLEVTSDSTESRLGTDFSEHYRSSPLYKANNILVEQLSKPTSRAKDLIFKTKYSQSTWGQFKCCIKKQWSSYWRTPDYNLVRFFFALVTALLLGTIFWKVGTKRDNSTDLATIIGAMYVAVMFAGFNNCVTIIPVASLERTVFYREQAAGMYSALPYAMAQVIVEIPFVLVQTIYYTIIVYAMVSFEWTVAKFFWFFFISFFTFLYFTYYGLMIVSITPNHQAASIFAAAINVLFNLFSGFFIPRPRIPKWWIWYYWICPLQWTIQGLIISQYGDLNETINVPGMSYDPTIQWYVQNYFGYQADALGSVATILVVFTVFFALMYAYCLHKLNFQIR >cds-PLY82155.1 pep primary_assembly:Lsat_Salinas_v7:1:15545356:15545541:1 gene:gene-LSAT_1X13980 transcript:rna-gnl|WGS:NBSK|LSAT_1X13980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSEKDQPLRQQWRCNNPENSSNDQSATGERGREEKNMTGWWWLIVIRSNGDGLAYNSDS >cds-PLY63478.1 pep primary_assembly:Lsat_Salinas_v7:4:82079675:82086713:1 gene:gene-LSAT_4X54441 transcript:rna-gnl|WGS:NBSK|LSAT_4X54441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAPEITVIGRNDFLGGFCRGENGEEWWWFHVIPTGGLTGNRVFVLKVGGVLMEVRGKRRRRRMGKNGPTGVFWGVKLMVKHGQHLGQQKSTPHTDVFWSTRRPREGFNFPIDFYPKPRSKSRFLDWSLQFVTNTLDWSQQLTQETEPVHEEDATNEEEEEEAESYSEPPEEAKLFVGNLPYDFDSEKLANLFNSVGVVDITEVIYNRDTEQSRGFGFVTMSTVEEADKVVEKFNGYVNLIFFSIYPS >cds-PLY91555.1 pep primary_assembly:Lsat_Salinas_v7:1:10733931:10737462:-1 gene:gene-LSAT_1X9041 transcript:rna-gnl|WGS:NBSK|LSAT_1X9041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNTNNAAACAERATSDMLIGPDWAINIELCDLINMDPGQTKDALKILKKKLGGKNPKIQLLALFVLETLSKNCGENVFQQIVERDILHDMVKIVKKKPDLNVREKILILIDTWQEALGGRGGRYPQYYEAYNDLKSAGVDFPPKEENSVPLFTPPQSHPIVHPTSPYEEAAIQASLQTDAAGLGLSEIVNAEGIADVLMDMLNALDPKNREGVKQELIVDLVDQCRSYQQRVMTLVNTTSDEELLGKGLALNDTLMRVLRLHDDIAQGGPTQPVVTRTSESTVVPLVNVTHEDDESDDDFGQLAHRSSRDTLQGNGRNQNAPPRAAPLLPPPPASRKPVSGDSGLMVDYLSGDAYSSAERSSVSASGPTVRPSTTPPKPSALPLPDDDYINPTATMFIDQASYDEPKSRDPSPVPVGQYGAPPVSIPPPPAKQSQRQQFFNQNQHGSHSSSGSGSGSSFDGLVGQTQNLSVNPSMPAKKEKPEDALFKDLVDFAKAKSSSPSGSSSYPNRSF >cds-PLY70523.1 pep primary_assembly:Lsat_Salinas_v7:1:73577516:73578428:1 gene:gene-LSAT_1X62640 transcript:rna-gnl|WGS:NBSK|LSAT_1X62640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRNILMPKPNPQQLLRDWQRRLRQECRNIERQIRDIQREEKNVQKAIREAAKRNDMGSARALAMEIVRSRKTVNRLYENKAQLNSISMHLGESVAIARTVGHLSKSAEVMKIVNDLMKAPQMAVTMQEFNKEMIKAGVIEEMVNDAVDSALDTDDMEDEIEEEVDKVLTSIAGETAAQLPEAARKERLKQPATVXXXXXXXXXXLLF >cds-PLY77567.1 pep primary_assembly:Lsat_Salinas_v7:2:165463312:165464802:1 gene:gene-LSAT_2X89820 transcript:rna-gnl|WGS:NBSK|LSAT_2X89820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPTTSGSGVTTLDKKTLGRIAQIIGPVLDVAFPPGKMPNIYNALVVKGRDTAGQPINVTCEVQQLLGNNRVRAVAMSATDGLTRGMDVIDTGAPLSVPVGGATLGRIFNVLGEPVDNLGPVDTSTTFPIHRSAPAFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEKNIPESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYDTAQEVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVGLAETIRGFQLILSGELDGLPEQAFYLVGNIDEATAKAMNLEMESNLKK >cds-PLY64224.1 pep primary_assembly:Lsat_Salinas_v7:7:1639969:1645800:-1 gene:gene-LSAT_7X2860 transcript:rna-gnl|WGS:NBSK|LSAT_7X2860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRIRAVTFLLVKNGEVSRKVDQDCVFFGKKSSKFNLTKMMSQSTKQPSLKNLKYLSLVLEVYTELESHLEFRHIVLAESITEICRKCEMVDEANGKLKENGVDMPNYFDHSLLKIIHAILPPKMKSKYDTKTNKMDDNGLKSSSCFEYWDTKDMVRDWNGRLRWKRERGGNKTVVKRRR >cds-PLY69898.1 pep primary_assembly:Lsat_Salinas_v7:4:67839970:67842255:-1 gene:gene-LSAT_4X46240 transcript:rna-gnl|WGS:NBSK|LSAT_4X46240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHEPVPGVPPLQQDDYKLKDTSPQLGERLATTYDLVEQTYHLYVRVVKAKDLPVGSDPYVEVKLGNYRGRTRHFEKRPNPEWNQVFAFSKDRVQSSTLEVYVKDKETFGRDDYIGKVVFDLNEIPTRVPPDSPLAAQWYRLTDRRGQGEIMVAVWMGTQADEAFPEAWHSDASSAHGEGVFNVRSKVYVSPKLWYLRVNVIEAQDVISNDRSRVPDVSVKAQVGNQTLRTKISSVRTMNPLWNEDFVFVAAEPFEEHLVLTVEDRVHPSRDEVLGALSLPLTMFEKRLDHRPVHSRWFNLEKYGLGETRFSSRLHIRVCLEGGYHVLDESTLYISDQRPTAKQLWKQPCGVLEVGILGAEGLLPMKMHDGRGSTDAYCVAKYGQKWVRTRTFLDTLNPKWNEQYTWEVYDPCTVITLGVFDNCHLGAEKSDTGRDSRIGKVRIRISTLEAHRIYTHSYPLLVLHPSGVKKMGELQLAVRFTTLSLANMVYIYAHPLLPKMHYLNPLTVNQVETLRYQAMNIVAARLGRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMALLSGLFSVSRWFNNVREWKNPITTVLVHILFLILLWYPELILPTICLYMFLIGLWNYRFRARHPPHMDPKLSWAEAVHPDELDEEFDTFPTSRPPDVVRMRYDRIRSIAGRIQTVVGDLATQGERIGSLLSWRDPRATSLFVVFCLCLAVVLYVTPVRVVALGGGLYMLRHPKFRSKLPSVPSNFFKRLPAQTDSLL >cds-PLY90726.1 pep primary_assembly:Lsat_Salinas_v7:1:167340:172596:1 gene:gene-LSAT_1X1361 transcript:rna-gnl|WGS:NBSK|LSAT_1X1361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGTTQKCMACDKTVYLVDKLTADNRIFHKACFRCHHCNGTLKLSNYNSFEGVLYCRPHFDQLFKKTGSLDKSFEGTPKILKPQKTIDGEKPMANKVSSMFVGTRDKCLGCKNTVYPTEKNIVFPGGSTMFKDFHRRLQREIKKIVGARVHASEAKFSNEVKACHTKAEYDGASICIINPVFKINAKGADVGLYGGVHGCKSTCS >cds-PLY64847.1 pep primary_assembly:Lsat_Salinas_v7:2:30836024:30837618:1 gene:gene-LSAT_2X15160 transcript:rna-gnl|WGS:NBSK|LSAT_2X15160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSITSFPNTLTIPTSWFNFISKNQIPDYVDQCPGLLRLGTSNATHIYINPNIRETTTLLDRYKQVATINDQCDSMTVTLSDNAAKKLFGTTSDMLIAEDDPDHRKNLPPIINDSKGVMKKMTLRMIKTLNNSNIRFILTEIEQSPTITTPHPHTPTTFDITNKPTTSSSQQQPVKVRKTIMFEEAG >cds-PLY73993.1 pep primary_assembly:Lsat_Salinas_v7:1:32681422:32685387:-1 gene:gene-LSAT_1X28420 transcript:rna-gnl|WGS:NBSK|LSAT_1X28420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVFPWLKSLPLAPEYHPTVAEFQDPISYIFKIEKEASKYGICKIIPPVSTSPKKTIISNLNESLSSQNPDSSPTFTTRGQQIGFCPRRHFRPVQKSVWESEEHYTLAQFEAKAKSFEKNYLKKGSNPKRCLTALDVETLYWKAYMGKPFSIEYANDMPGSAFDQTVGRLKQGKKEIGDVMTVAESQWNMNAASRAKGSLLRFIKEEISGVNSPMVYISMLFSWFAWHVEDHDLHSLNYMHMGASKTWYGVPMDAAVAFEDVVRTHGYGGEINPIVTVAMLAKKNTVMSPEVLLKADVPCCRLVQNAGEFVVTFPRAYHSGFSHGFNCAEATNIATPGWLMVARDAAIRRVIINFAPLVSHSQLHYDLALSLSANGRKSIRPEPRSSRLKDKLKGEGDALVKRLFLQDMMQNNKLLDLLGQGSPIVVLSEEALTSWIPSNSSSDLSLGLCIQNDERLSSRRKSSFDSFDSPRKKKRVIVKNGIHKPDPASVFSCVTCGILCYSCFAIVQPSKEAAGYLMSTRGVNGLVAANATSYTNAVDLGSSSEWEVLKRDCDHLVDVPNKSEDDGSGTTLVAKPQDIVALALQLVAIAHGDCSEFEERDDSDRSKSSKYFTESDGSMSRSEYTEEPHINTKPEDCARTHIFCLHHAHQVEQILQSVGGVHMLLLCHPDYPTIDEEARSMAKELGNYQNWTDLGYRDINEYDKAKIRLALDNDETEHGNQDWVVRLGLDIFYSARLSQSPNYAKQMPYNSVIHNAIGRIPPPSTESTISEPSGKQKKPVVAGKWCGKVWMSNQVHPLLVVRDLEQHDETSRSVLSMVEGKPEIENSRKRKMKAVIVESSLSPSPPPASPSPPLLIGGVRRPRRRSLRKGTTIPNLNKKPRESDSDSDSDLDSESDDDYRPTTTGRRRRRTKSPQKKAKKGGVCEDEKEKEKEKEGEFGCDVVGCKMRFGSEEEAMAHKRNVCTVEGCGKIYFSHKYLLQHGRVHLADRPIRCPWPGCGKTFKWKWSKTEHIRIHTKARPYMCKQEGCGKKFRFVSDFSRHKRVTGHSVVKKKK >cds-PLY85371.1 pep primary_assembly:Lsat_Salinas_v7:5:244010223:244011066:-1 gene:gene-LSAT_5X120701 transcript:rna-gnl|WGS:NBSK|LSAT_5X120701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METMVKARSQFKEPPVNQSPPPAPVQSSGGGSIFGGIGSTIAQGMAFGTGSVVAHRAVDSIMGPRTIQHEIVGATVLDASATNTSVSDACGMHSKAFIDVFLHSNC >cds-PLY69965.1 pep primary_assembly:Lsat_Salinas_v7:5:118709651:118717416:1 gene:gene-LSAT_5X52080 transcript:rna-gnl|WGS:NBSK|LSAT_5X52080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARRSKSKDSTEESLSNSSEEEQVNDQEEEDEEELEAVARPVDSDEDENATPAVDATGEEQDDEEEDEDDNDEANNEISHREKARLKEMQRLKRQKIQDILDQQNATIEADMNSKGKGRLKFLLQQTELFAHFAKSDASASQKKGKGKERGRHASKLTEEEEDEEYLKEEEAGAGHTRLLVQPSCITGKMRDYQLAGLNWMIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMNEIKRFCPILRAVKFLGNPDERKYIREELLVAGKFDVCVTSFEMAIKEKTTLRRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGENDQEEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLVTNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYLYCRIDGNTGGEDRDASIDAFNKPGSEKFVFLLSTRAGGLGINLATADIVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTADLYDFDDDKDENKVDFKKIVSDNWVEPPKRERKRNYSESEYFKQTMRQSGPARPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMVAQQKNQVKDSIEVDEPEVEVGDPLTAEEQEEKEKLLEEGFSTWSRRDFNTFIRACEKYGRSDVGSIASEMEGKSEEEVERYAAVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLVERENQEYDERERQARKEKKLSKNSTPSKRAIARQTESPPSTIKKRKQSSMDDYVNSRIAILQGKKGK >cds-PLY72347.1 pep primary_assembly:Lsat_Salinas_v7:8:80374016:80376072:-1 gene:gene-LSAT_8X57560 transcript:rna-gnl|WGS:NBSK|LSAT_8X57560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRMFDEFANLWMKKKIQVRTKEELDSKQYIFRPRAFDIKNVIEMDVSTLKSLIANEAFLEWKELASEEVSAESEKAVFVQVADSDRLGSFSDSYVMGTRALSALQFLLNRVRNLQETVSKLDLILMLASYGFHYTRFLGKFGRFYSDPVCIFLTGIGQRATNVESSNQANVDLLMLFLYNVVLLQVVGIGAKVGC >cds-PLY99916.1 pep primary_assembly:Lsat_Salinas_v7:7:15903608:15903802:1 gene:gene-LSAT_7X13020 transcript:rna-gnl|WGS:NBSK|LSAT_7X13020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDMEYGWGVGSVVAMLFVVGCLLFLPLVMGPVAPPSFPVLLIIPVVLAAVLIFLIHASKPHDH >cds-PLY76570.1 pep primary_assembly:Lsat_Salinas_v7:5:222680367:222681016:1 gene:gene-LSAT_5X103860 transcript:rna-gnl|WGS:NBSK|LSAT_5X103860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVEILMGKLFYIQVGNNATVLDLKKEIGAQEKLPVDRLILLMYEKLMNENESSLVDYGVEDGSHLYLFFDTLKDGLTHQSPLTTSESVN >cds-PLY87224.1 pep primary_assembly:Lsat_Salinas_v7:4:309277865:309278210:1 gene:gene-LSAT_4X154661 transcript:rna-gnl|WGS:NBSK|LSAT_4X154661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSSSGSIAKKEELCDCQLPVRICTSKTKDNPNKKFKVCPNSVKPGKKCKYWEWIDEPVTGNPNLKQELEAVKVDVACLKK >cds-PLY97916.1 pep primary_assembly:Lsat_Salinas_v7:4:83413505:83413666:-1 gene:gene-LSAT_4X55621 transcript:rna-gnl|WGS:NBSK|LSAT_4X55621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVDECDSTMGCDAIHDYQPPCPNNIVDASKAVWKALGVSESNWGDLDITWTE >cds-PLY71658.1 pep primary_assembly:Lsat_Salinas_v7:5:128718505:128723447:1 gene:gene-LSAT_5X55220 transcript:rna-gnl|WGS:NBSK|LSAT_5X55220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHTSLLDHNNPFLLTPQSLYLLPRRISNFPPVSVSILSSRRNLTVHSSAIEQRPSNLGNLIPALATSAALLFLTLGGVRSSACFAATSGRLPPAVAVLNDETLVDHQPVQDTADDGEDENEELKAAFESYKSKTYALTVPLRIVALRNSVPPLWIKNFIQSQGKRVKFRLEFRATLEDIFSELSTTIGKGKTTSKSALGADLVSLGDTWINFAISKKLIEPIQGVDDQEWFHTLSDKWKVYLRRNNEGKSDTKGKIWGVPYRWGSMVIAYRKTKFQQRNLAPIQDWGDLWRSDLGGKIGMVDSPREVIGVVLKYMGASYNTSDIDSQVIGGRNAVKNQLDVFRKQVRLFDSANYLKAFSVGDIWVAVGWSSDIIPVAKRMSDVAVIVPKSGASLWADLWAIPSVSNVDNEELGGRIRGPSPLIHEWIDFCLQPQRSLPFQKEVTAGASPLALDINNNNTTHVFDYEILGKNKAKLDTNLIAGVPPPDILKKCEFLEPLSDSALVDYEWLIGSMEKGNFGIMCKLRDCVSWALEKVKVKL >cds-PLY97928.1 pep primary_assembly:Lsat_Salinas_v7:3:18616213:18618466:1 gene:gene-LSAT_3X14400 transcript:rna-gnl|WGS:NBSK|LSAT_3X14400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIQNTNVFSSSKKRMNPDDSNQDDDIIAKKLPTKPTWEDPVMALANARHEFGEHGGVNMSIEASATFTVMEPETLSRMFAGELGPDRDFFIYSRHFNPTVLNLGRQMAALEGTEAAYCTSSGMSAISSILLQLVSSGENIVASQTLYGGTHALLTHFLPRSSNITTTFVDIRDLEKTKEAIVEGRTKVLYFESMSNPTLTVANIPELSRIAHEKGVMVVVDNTFAPMVVSPARLGADVVIHSISKYISGGADIIAGAVCGPASLLNSLMDLHQGAFMLLGPTMNAKVAFELSERIPHLGLRVKEHCHRALVYAQRMKKMGLKVIYPGLEDHPDHHLLKSISNKDYGYGGMICLDMGTEAKANKLMDLLQNYTQFGLMAVSLGYYETLMSCSGSSTSSELNEEEQALAGISPGLVRMSIGYSGTLEQRWAQFEKALSRLQEPGLFGKN >cds-PLY89323.1 pep primary_assembly:Lsat_Salinas_v7:2:51809740:51813637:1 gene:gene-LSAT_2X24101 transcript:rna-gnl|WGS:NBSK|LSAT_2X24101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLRSSQFVTLTSNTPMELSLYPYKPSLLTPSLSSITTSKKGSPHKIRIAFSCNCSSSSSEASVATDAPFFQIEGRRAFMNCFLATAAGFCVTGVADAVSTSRRALKGAKVPESEYTSLPNGLKYYDLKVGNGVEAVKGSRVAVHYVAKWRNITFMTSRQGMGVGGGTPYGFDVGESERGNVLKGLDLGVQGMRVGGQRLLIVPPELAYGSKGVQEIPPNATIEIDIELLSIKQSPFGTPVKIVEG >cds-PLY76441.1 pep primary_assembly:Lsat_Salinas_v7:5:199573633:199574863:-1 gene:gene-LSAT_5X90120 transcript:rna-gnl|WGS:NBSK|LSAT_5X90120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNTYCLQLLKEVLFSLFSMPVYFISVHIKMGEEMPEVFAFMKLALEQAKIAFDSLEVPVGCVIVMDGKVISCGRNRTNETRNATRHAEMEAIDFLLKEWKERELTKHEIAEMFSKCSLYVTCEPCIKEVYCGCGNDKFGGCGSILSLHTNTSDGGEDVGRKSYKCTGGIMGEEAVSLFRNFYELGNPNAPKPHRQPIQQP >cds-PLY71384.1 pep primary_assembly:Lsat_Salinas_v7:8:207193963:207194367:-1 gene:gene-LSAT_8X131281 transcript:rna-gnl|WGS:NBSK|LSAT_8X131281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEEIHTETVQSSIPTGRVKRIMKLDKDINKINADALFLISNATELFVKFLAEKSSEVAVEKKRKTIKLEHLRIAVKRHQPTADFLLDSLPLPPPPAAAQTSSKPDRPHKRSDNNPVPVGTRRIDSFFQKEP >cds-PLY98909.1 pep primary_assembly:Lsat_Salinas_v7:7:48875981:48876457:-1 gene:gene-LSAT_7X35420 transcript:rna-gnl|WGS:NBSK|LSAT_7X35420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFMGYVESVSNLQTSEIRCKWLARLVGGKFKLPSMEKMLEQITTEMEIMKNTTRFYKRTCISTFSINHNDEICEEMGWNSWRKKSWLAEAFSPYNSQDYQDQI >cds-PLY76387.1 pep primary_assembly:Lsat_Salinas_v7:8:84286298:84288124:-1 gene:gene-LSAT_8X62201 transcript:rna-gnl|WGS:NBSK|LSAT_8X62201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVRRSWHSDRHQSIRGSIIQQIFRVVHENHSTSTKKNREWQEKLPLVVLKSEEIMYSKANSEAEYIDPDTLWDRVNDAINTIIRKDESIETGEFLPPCVEAALNLGCVPERTSRSQRNSNTRSYLSPRNQAPDAKSPKTIDTRNQIQISTSGPSFYPPLMENQASVASSSVYPLYYGVHFQPRNPITGFQTTHEDSNRIIVGKPVFQTGHERLPLPFTNFSGFNLNKTVGEEQQPPSSPVECDLSLRLGLVSSSYGCGKGLTLVNDVDSGQKKEFSFFPLNSEGEHVENLAADVRKRKAAAAAVNNAGGDGGRRWWQLEPDINQKRRGL >cds-PLY86593.1 pep primary_assembly:Lsat_Salinas_v7:1:132910696:132911055:1 gene:gene-LSAT_1X98281 transcript:rna-gnl|WGS:NBSK|LSAT_1X98281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQVVVADASQKHITDRVFQLWVNDLQDLAYYIDDVLDDVDTKALRRKLNNDAHANTSIGNVLKFIPKCCTNFSPLNVLYGQQMSSKLEEITTKLHDLVDQKNDLGLMVNVERSSIRAA >cds-PLY75459.1 pep primary_assembly:Lsat_Salinas_v7:7:74135612:74135869:1 gene:gene-LSAT_7X51540 transcript:rna-gnl|WGS:NBSK|LSAT_7X51540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNIEFPHGLRIIVDDSNYMDFIEVGYACGYVVSVNMDNLGANLSEWLKDEQDEVCSSEDNLYGVGEAHEELQGDIDIGIDIDDL >cds-PLY65585.1 pep primary_assembly:Lsat_Salinas_v7:MU045302.1:303906:304340:-1 gene:gene-LSAT_0X18361 transcript:rna-gnl|WGS:NBSK|LSAT_0X18361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNQKRTKTVDRVDLVDPTEVSPESTPVYLFPGEILEPVFSLINSHKDRSSVSVAEIVAGRFPQIRSMTLKGKPRFSNFNLVPEDWGADVHPWLSALAKAYPFLEDMRLKRMAVCYSL >cds-PLY72549.1 pep primary_assembly:Lsat_Salinas_v7:2:141096827:141098592:-1 gene:gene-LSAT_2X68981 transcript:rna-gnl|WGS:NBSK|LSAT_2X68981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLVLALITAAIIAMAADHTSATPPSLVTFIFGDSLTEVGNNNYLQYSLARSDFPFYGIDYKNGKPTGRFSNGRTIGDIISEKLGIPSPPPYLSLHPNDDVILKGVNYASGGAGILNDTGLYFIQRMSFDDQIDYFENTTKVIKKKIGERAGNNLLNEAIYFIGMGSNDYINNFLQPFLPDGQQYTPDEFLDLLRLKLAEQFTRLYLLGARKMIFHGLGPLGCIPSQRAKSTSNQCLHQVNEWVLQFNSKIQKMIKVLNLKLKHVQLTFADTYQDVLDLVDNPTKYGFKVSNTSCCRVDTSVGGLCLSNAHVCRNRKEYVFWDAFHPSDAANEVLADRFFSKLFSNLNGSAASAPNHQG >cds-PLY63600.1 pep primary_assembly:Lsat_Salinas_v7:8:189722393:189723806:-1 gene:gene-LSAT_8X121520 transcript:rna-gnl|WGS:NBSK|LSAT_8X121520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQKPRWGELEEEDDGGDYDYLLPPKQVIGPDEHGLKKIIEYKFNDEGNRVKITTTTRVRKLANARLSKRAVERRSWPKFGDAVQEDVGARLTMVSTEEIIFERPRAPGTKAEDSNASGDPLAQMSKGGAVLMVCRTCGKKGDHWTSKCPYKDLAQPTESFSENPNPSDSSATGATGATKGAYVPPTMRAGAVRTTAGADMRRRNDENSVRVNNLSEDTREPDLLELFRPFGNVSRVYVAMDQKTGMSRGFGFVNFVRREEGERAIAKLNGYGYDNLILSVEWAAPRAN >cds-PLY77996.1 pep primary_assembly:Lsat_Salinas_v7:MU042436.1:341415:341690:1 gene:gene-LSAT_0X11381 transcript:rna-gnl|WGS:NBSK|LSAT_0X11381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLLLMKECEDSQALKEAKDVHEYLKRSVLRSHCDAYIYSQILEMYSKCGSMEETYMVFNEMPQRNLTSWDTMITWLAKNSHAKMQLKY >cds-PLY78490.1 pep primary_assembly:Lsat_Salinas_v7:9:43582316:43582769:1 gene:gene-LSAT_9X39480 transcript:rna-gnl|WGS:NBSK|LSAT_9X39480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPKGRGWKCIDSCCWLIGYMCTTWWLLLFLYHCLPTHLSGFRSPKSPGVRLKNEGLTPLHPVVLVPGIVTGGLELWEGRPCSHGLFRKRLWGGSFAQILQRPLCWLEHLSLDNET >cds-PLY87390.1 pep primary_assembly:Lsat_Salinas_v7:4:12591598:12591873:-1 gene:gene-LSAT_4X9481 transcript:rna-gnl|WGS:NBSK|LSAT_4X9481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANPQLIVPLIGPSAAVGTAARPKMSGAGNYAVFVISMLGPAFSFDVQMDNFNVVINLAVTLAACVVLTEVCSFLFETSNSVRNIALSWSN >cds-PLY66105.1 pep primary_assembly:Lsat_Salinas_v7:1:109697194:109698601:-1 gene:gene-LSAT_1X85560 transcript:rna-gnl|WGS:NBSK|LSAT_1X85560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPGLINYCVLAGDAYSKALEIARDINQKGPVAIQMAKRVISHGLEMEIGSGLLEEECYEEILFTDDRLEGLNAFSEKRKPLYKGE >cds-PLY70860.1 pep primary_assembly:Lsat_Salinas_v7:9:15446365:15447284:-1 gene:gene-LSAT_9X15080 transcript:rna-gnl|WGS:NBSK|LSAT_9X15080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDTYLDLLQSDYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNSNLTPCFYIKNSFPHYI >cds-PLY96419.1 pep primary_assembly:Lsat_Salinas_v7:4:344719728:344722047:-1 gene:gene-LSAT_4X170261 transcript:rna-gnl|WGS:NBSK|LSAT_4X170261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIITKQYRCIHSASCICTKGHLSEDVIFLLLQHLNWNPKIIATLSCVCKWFDDLVKRVLWKEFCRTRAPKMMHDLQSSGSHSVDGNWSALGKLLIYCSGCKKDGLFNKIQIPGHFAHRTRFSRTSGKSFLLPNCRTDVLYVSDPCEHLDQGEEGDVGFFRGVFKSFATSKVRKMLIRRGAKFHESEFCPYCKAKLWSMLQAKMIPQSASCRLGAYEDCIEYFVCLNGHVLGVCTLLPLSDSEEVSELD >cds-PLY68042.1 pep primary_assembly:Lsat_Salinas_v7:5:293183200:293185810:1 gene:gene-LSAT_7X61700 transcript:rna-gnl|WGS:NBSK|LSAT_7X61700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVVGIKCKDGIVIGVEKLIASKMMLPGSNRRIHSVHRHSGMGVAGLAADGRQIVARAKSEAQNYESTYGEPIPVKELAERVASYVHLCTLYWWLRPFGSGVILGGYDRDGPQLYMVEPSGVSYRYFGAAIGKGRQAAKTEIEKLKLSEMTCRQGVIEVAKIIYGVHDEAKDKTFELEMSWVCDESNRQHQKVPEALLEEAKAAAKAALEEMDAD >cds-PLY78385.1 pep primary_assembly:Lsat_Salinas_v7:9:10321625:10324136:1 gene:gene-LSAT_9X8080 transcript:rna-gnl|WGS:NBSK|LSAT_9X8080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLTMKIQPIDSTTPECFESVKTVPKSRLRRLFDFSSFLRGSAVADRSVAGDSQCGKDCSDEFEPSSVCLDKMVQNFIEESNEKQSVAGTIKCGRHNHHQCNCVNGNNFCSSDGSEDEFDSFNCFGNSNNHSSSTDACDLLKSLVICETVSERNLLADVSKIVEKNKICKRKDEISRKIVADGLVASGYAVSVCKSRWEKTSTYPAGEYEYIEAVVEGDRLIIDIDFRSEFEIARSTKSYKGVLQMLPHIYIGKADRLQKIINIVSDAAKQSLKKKGMPLPPWRRADYVKSKWLSPCTRIINNTPTDNNNNNNNNNNTPSMVMNDPISDPKDPILMDEFEVIGGATKEEEGLKEWEPLEIKPKVPKTGGKVVSGLASVIEGN >cds-PLY66659.1 pep primary_assembly:Lsat_Salinas_v7:1:53427510:53428190:1 gene:gene-LSAT_1X46620 transcript:rna-gnl|WGS:NBSK|LSAT_1X46620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLVYVFNVRISKSIKLKKRTPFITHVTGDKLEKIEEFEVNADSLGMWKFIGREDDDQEDVSSDTNMELETFEREFADEEAYCAIFDIGYNKIVTEKGSMEKVLIGGLKKFPDHEFVNY >cds-PLY70087.1 pep primary_assembly:Lsat_Salinas_v7:4:203854655:203859092:-1 gene:gene-LSAT_4X115781 transcript:rna-gnl|WGS:NBSK|LSAT_4X115781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHLISYILFEDIFTDDFIRNEGMIVLEGGHTDHKAISNTIPISHLSNREPEINIHDPNDQNLIQGFNGDMSLQDMYNKEGDFDDEDDSDWEPLEKHLTIVKWFCVNCTMVNVDGAFYCHMCGEHKDSGILRHGFLASSSEEADSIQNASLKQNGKSEASCSKTLAPHKSTAVGFDDRMLLHSEVERKSLPHPERPDRLRAIAASLATAGIFPGRCQPISAREITREELQMIHSHENIESVELTSQHVASYFTPDTYANKDLALAARLAAGLCADLASTIYSGRAKNGFALVRPPGHHAGVRQAMGFCLHNNAAIAASAAQAAGAKKVLIVDWDVHHGNGTQEIFEQNKTVLYISLHRHEGGKFYPGTGAAHEVGSMGGEGYCVNVPWSRGGVGDNDYIFAFQHIVLPIADAFAPDITIISAGFDAARGDPLGCCDVTPAGYAQMTKMLSSLSNGKLLVILEGGYNLRSISSSATSVIKVLLGEKPESMLENVVPSRSGLQTVLEVLSIQSNFWPTVGPVFSELQSRWGSYIFQEIKKRKRSRFVVPIWWKMGRKKFLHHILTEQQLRFNSKRRKKILWL >cds-PLY78158.1 pep primary_assembly:Lsat_Salinas_v7:9:119731443:119734192:-1 gene:gene-LSAT_9X77921 transcript:rna-gnl|WGS:NBSK|LSAT_9X77921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGKIKQYTNVLDKPLSKGRQEVTLSGFAYLFSELVQYNQTQVDNIGELERRLEDAGYAVGVRVLELLCHREKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGSFNCGAFVAGIVRGVLDNAGFPAVVTAHFVPVDGQQLPRTTILIKFAEEVTS >cds-PLY85978.1 pep primary_assembly:Lsat_Salinas_v7:8:247953434:247954046:-1 gene:gene-LSAT_8X146800 transcript:rna-gnl|WGS:NBSK|LSAT_8X146800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKEDDDQTLLKALKDGALLVLKRPLTGDRLRLLRQHVIRKRIQNLNNPNVIDKFRRIENLGNPNITYNFSHVPNIRNVNVANAFPWVMKKEPIMYDGDATECKSVCSKRKSKMRLVWTPELHERFMDAIGQLGEGRCIPKLIHEQMGVPGITRAQISSHLQVSYSIIYTLI >cds-PLY75589.1 pep primary_assembly:Lsat_Salinas_v7:9:34148725:34149825:-1 gene:gene-LSAT_9X30401 transcript:rna-gnl|WGS:NBSK|LSAT_9X30401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTMVTDAITQYTGLSPAAFFTISAMMVVVYKVVSGMFVAADDYMAVKREQEYVQREPVQVGNITEDELRPYNGSDPSKPLLMAIKGKIYDVSRSRMFYGPGGPYALFAGRDASRALALMSFEASDLTGNIEGLSASELEVLEDWEAKFEEKYVKVGQLVSEETTSTGVTQDQTKVE >cds-PLY73370.1 pep primary_assembly:Lsat_Salinas_v7:7:62846372:62851671:-1 gene:gene-LSAT_7X45041 transcript:rna-gnl|WGS:NBSK|LSAT_7X45041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLVDMGVCFLAFFLFICVDSKGENGRVVIDGKSRIAETDNDFVCATMDWWPPEKCDYAFSPLKIRLGGTLQDDVIYQTKNHHHEPCKPFVKNTTALFGFTQGCLPLSRWDELNRFLQDTGAVYTFGLNALTGKTVLTNGSTIGAWDPTNAEALIRYTVQKNYTINGWELGNELSGNGIGTSISSSQYANDTITLSNIVNRIYKGIKPKPLIIAPGGFYDPKWFKEFLADTSKTLNVISHHIYNLGPGVDKNLTAKILDPSYLDNAHDTFKQLESTLNTSKSSAAAWVSEAGGAYNSGQDLVTNAFVFSFWYLDQLGMSSVYDTKTYCRQSLIGGNYGLLNTTTFEPNPDYYSALLWHRLMGRKVLSTRFVGTKKIRAYSHCAKESKGILILLMNLDNSTIVDVKLSVNSTWRLHKHRSHAHHDHKMKHTRLKSAHTKIRKTRIRTREEYHLTPKDGNLHSKVMMLNGKELRVNSSDEIPSLKPLFMNYSEPIIVAPYSIVFVHLPHFTLYACNS >cds-PLY78239.1 pep primary_assembly:Lsat_Salinas_v7:6:7583456:7586240:1 gene:gene-LSAT_6X5120 transcript:rna-gnl|WGS:NBSK|LSAT_6X5120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATASSDNHKIHLQKKPTTSNKFRRRRIKETTISSTITNHHQHPSVVSPENSSWCCPSAVSSYKHPPQPQTTTVNPQRCSDSPVVSASRESLIEEPSLSVEKSVILSSDSPVNRDKTTMTTTTAVYGGGANHHETFPSSFTNFNSALTAGLLNPMSPPPPTSDKTRSSPTLFEMMANEPDCKIPNNSNANGNVSYPTPKKPSNHMIPPPIIIDKQALMQQRLLDLLSCRSPGSQFNDPNSSDVKLTLTSKDGMIVSMNAHRQILVGHSRFFASKLSDYRWKGGQQPYIVEISDCDDIEVYIESIRLMYCKDLRRKLMKEDVPKVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEEKVSSLLSELQLEGVGAIEVLKRVSVDFTSGVDHGDNNEEVLLKLLHIVLEGKDEKARREMKVLVSKMLHENSSRNDLRKESLYSACEKCLLLLKKHFLKASNGDLQDVAQITRQADNLHWLLDILTDRQIAEDFLRSWVSLTELSEVHSKVPPLHRYEISRVTSRLFVGIGKGQLLASKDLRCLLLQTWLVPFYNDFAWMKRASRGLDRHLIEDGLGNTILTLPLSWQQEILMSWFDRFLNSSDDCPNIQRAFEVWWRRAFCKKDREQYRITNGNFKNS >cds-PLY71023.1 pep primary_assembly:Lsat_Salinas_v7:9:69916995:69924290:-1 gene:gene-LSAT_9X60160 transcript:rna-gnl|WGS:NBSK|LSAT_9X60160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G40690) UniProtKB/Swiss-Prot;Acc:Q949Q0] MATLFETPWSTSLFSNNSSSYSRSPSQVKLLHHNFSPAPKFEQTILLLHYSTSKHTYTVCGAAVDPPPPPEQNQSPPGDANVSLEVASTTRDRRKIVKVAWEKLVRWSRSLRSKAKTDVLERTNKVVVLGGGSFGTAMASHVAGRKMQMEVNMLVRDPQICQSINQNHCNGKYFPDHKLPENVIATTDAKTAFTGADFCLHAVPVQFSSSFLEGVAEYVEPGLPFISLSKGLELNTLRMMSQIIPQTLRNSRQPFVALSGPSFALELMNKLPTAMVVASKDKKLANSVQQLLASRNLRISTTSDVTGVEIAGALKNVLAIAAGIVEGLNLGNNSMAALVAQGCSEIRWLSTKMGAKSTTISGLSGTGDIMLTCFVSLSRNRTVGVRLGSGETLEDILCSMNQVAEGVATAGAVIALAQKYNVKMPVLTAVARIIDNELTPQKAVFELMNLPQWQEATKITGGVLVAEEKLQQLVCQSWLKVDVDPRYNLQDQGWKHLWCDIKHNYPGLI >cds-PLY95417.1 pep primary_assembly:Lsat_Salinas_v7:9:189461981:189464879:1 gene:gene-LSAT_9X116920 transcript:rna-gnl|WGS:NBSK|LSAT_9X116920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLNRTYFGLDHEFDDFDTCSGVNWEHRTGSYKFKILLKVMKDGQVTQSEKYEELLMAGTAFEEFVNAHKDIREADISRLSFAVELAEEFYGRVKVVPNTRSRSSMGETTDGGLYRK >cds-PLY89399.1 pep primary_assembly:Lsat_Salinas_v7:4:122440505:122442811:1 gene:gene-LSAT_4X77180 transcript:rna-gnl|WGS:NBSK|LSAT_4X77180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPSPRKMRILSYVMTKIPSFKRRQLQELENEKQIRASYLPETYSELSERESYTELAERVAQLTDDDILATIRSVVVEVSQIRSVLKTLGERPDPEAVDSARVKLHEMDSPFAQQLDEIAMAEGGVEEVESRRKAVKREKQMFRALISLDEMHDSYANLLIVAERRLQKLYETAKAAGKLNVLDRRASSMLPTIAEEVKEEMADILQDALINGVERIDLSRRRLPFIPEAFGKLRTLVSLNLSSNKLMAIPDSVAGLTNLEALDVSANLFESLPDAIRSLKKLQILNVSRNKLTSLPDGLCKCRSLVEFDASFNKISYLPANIGYELVNLKKLMMPLNKLRTLPTSIGEMVSLQILDVHFNELKGLPSSIGKLTKLEIINIGSNFNDLTYLPDSIGNLTSLRELDVCNNQISELPITFARLVNLNRLVVDHNPLMDPPPEVVAEGVEAVMVYMSKKLYDKIVEEEKRIMLEREEQLQAGWFTTLFFGPVAGPGATYPYLTHRL >cds-PLY95059.1 pep primary_assembly:Lsat_Salinas_v7:5:227241087:227242230:1 gene:gene-LSAT_5X107040 transcript:rna-gnl|WGS:NBSK|LSAT_5X107040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSAAVLNGLGSPFLTGGKRSHTLSAPLNAATSATSAPRRLVIAAAVAPKKSWIPAVKGGGNLLDPEWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGIPWFEAGADPGAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPESQSVEWATPWSKTAENFTNYTGEQGYPGGKFFDPLGFAGTLQNGEYVPDTEKLDRLKLAEIKHARLAMVAMLIFYFEAGQGKTPLGALGL >cds-PLY71721.1 pep primary_assembly:Lsat_Salinas_v7:3:45357567:45360182:1 gene:gene-LSAT_3X35581 transcript:rna-gnl|WGS:NBSK|LSAT_3X35581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLWRQLAPPLGPLPSQSIVQIGQSLHILSLQLGLTVTDLSLLSLIDDKAEFGAMPEGITSENLMNNIMDTLSDKLQKQNSGSFFVEEKSHSHTVSSQFNKLFGRQKPIHHILGGGKSADVLLWRNKKISAGVLCGATAVWVLFEWLDYNFLPLVCFGLVICIIGQFIWSNLLNRAPPRVTLPDELFVNIARIVGDEVNRTLGYLQYVGSRGDIKQLALVVGGLLTAAIIGTWCNFWTVIYIGFVAAHTLPVVYEKYDDQIDSAVYNLFGKVQNHYSKLDTSLLSRIPKAKAKKFA >cds-PLY79352.1 pep primary_assembly:Lsat_Salinas_v7:9:54930249:54931567:1 gene:gene-LSAT_9X49781 transcript:rna-gnl|WGS:NBSK|LSAT_9X49781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPRRSKYVFEKNPLFSSSSSSSSDDNLFLTTFFLAAKAIVKSTKVLLGDTTSSSSSRNELLEDTASSSCNSLLQDTATSKRKVIIIASLIALAELKMDVATSTMETDAPEKNLNKWDFTCDVEVDCKSEENAYIIYSTLNVDKELQPDKVRRLMSVSNGKLSVRFEAVEARFLRASFSAFMDALTLATKTIEQFGKDMEL >cds-PLY65444.1 pep primary_assembly:Lsat_Salinas_v7:9:185038290:185039441:-1 gene:gene-LSAT_9X112700 transcript:rna-gnl|WGS:NBSK|LSAT_9X112700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVIRGAMMLQTSMEHLIRSLSADCIFSDMFFPFTVDLEIPRLLFYPSSIFYHSIFDSLKVHKPHEKVKSESESFVVPNLPDKITMKRSQVSDLFKYKTQMGEMMETIKQSEKKSYGIVHNTFYEIEPDYADHLKKINGTKIWHIGPLFQYFISDCRRTSEKHGCLKWLDCQNPKSVIYVCFGSMVNFPEAQITEIALALEDSKQPFIWVVRKKFGDEEIGGLPEGFQERIGKENKGLIITEWAPQVEILQHPAVGGFLSHCGWNSVLEAVVSGVPLMTWPLYADHFYNEKLVELLGIGVGLGVDVWNSSSVITSPIIGKQSISDAIEILTGRSAIAESIRRNSKEAAMKAKHVVEEGGSSHNSLMALIEDLKASKLSPKP >cds-PLY75887.1 pep primary_assembly:Lsat_Salinas_v7:1:172292289:172292570:1 gene:gene-LSAT_1X114501 transcript:rna-gnl|WGS:NBSK|LSAT_1X114501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNIVADQMNYCCCSLSCTRDGTSDAPALHEDDIGLAMGIQGTEVAKENSDIVILEDNFASIVKV >cds-PLY86494.1 pep primary_assembly:Lsat_Salinas_v7:8:134911803:134913848:-1 gene:gene-LSAT_8X91621 transcript:rna-gnl|WGS:NBSK|LSAT_8X91621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEAANRAAVESCHKILSLLAQPQDQVQYKNLMVQTGDAVVRFNKVVSLLDNGLGHARVRMVKKISTPVPQNILLDTPTTITTHHHPPKPLQLLLDSHPIQEVGSNVVKSTLSLANHHHPSLELNSNGKCSIQVSQNTPHPSSNYHFLQQQKLKQQAEMMYRRSNSGISLNFDSSTCTPTMSSNRSFMSSLSIEGSVANLDGSSFRLIGSTRSADQASYQHKRRCSVRGDDGSVKCGSSGRCHCSKKRKHRIKRSIKVPAISNKLADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEDSTMLIVTYEGEHNHPRLPSQSANA >cds-PLY61839.1 pep primary_assembly:Lsat_Salinas_v7:6:57893188:57894309:-1 gene:gene-LSAT_6X43460 transcript:rna-gnl|WGS:NBSK|LSAT_6X43460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPNYCFSNTFEDVLASSLQSPTNDSIVFNLSILRDKAQQVQTIADMFFTQTQTQSMTSSNSSTSVNMENLAGLLQEIIVIASNVMFACQNIGSTPVTNQIDNTHEFDHQFDQPNDVFKWSGGGLEKSFGIVNFNTRNEDNLLEKRKELQVQRDRHSGTGYDIIEMDAADLLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKSTAALSNPLNGGTTVKPARKYSCPQEGCRWNRKHEKFQPLKSMVCMKNHYKRSHCPKMYSCKRCQQKQFSVLSDLRTHEKHCGDVKWRCSCGTTFSRKDKLMGHVGLFIGHTPADVDTSSSNKIQ >cds-PLY96594.1 pep primary_assembly:Lsat_Salinas_v7:4:6799197:6801028:-1 gene:gene-LSAT_4X4000 transcript:rna-gnl|WGS:NBSK|LSAT_4X4000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGRKTPLPKPSVVCLLVILATRLVNATISKSSCDFPAVFNFGDSNSDTGGLSAAFGQAPPPNGETFFHGPVGRYSDGRLVIDFIAQSLGLPYLSAYLDALGSNFTHGANFATGGSTIRPQNTTRHQSGLSPISLNVQSYEFNDFHLRTQTIRKAGGIFKELLPKPEAFSRGLYTFDIGQNDLTGGLLLNLSTQQVKASIPDILGQFKTVVKSVHDKGGRYFWIHNVGPIGCLPYVLEHLPITAQQMDKVGCGNPFNELAQFFNSKLKDLVDRLREELPEAAITYVDIYKARYTLINQASKYGFEHPLRACCGHGGKYNYNTHVGCGGEVKSCKDPSVMIIWDGIHYTEAANRWVFDHIANGSFSDPSLPLQMACHRNSDQ >cds-PLY65374.1 pep primary_assembly:Lsat_Salinas_v7:6:41608283:41610450:-1 gene:gene-LSAT_6X29440 transcript:rna-gnl|WGS:NBSK|LSAT_6X29440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMVKPCWKPSVEGDVRKTGDQSGRTDGLLWYKDLGSHVSGEFSMAVIQANNLLEDQSQVESGPLSSMNSGPYGTFIGVYDGHGGPETSRFVNENLFPNLKKFASEDQEMSASVIKRAFLATEEEFLSVVREQWRICPQIASVGTCCLVGVISNGLVYVANAGDSRVVLGKAEKGVRGVSAIQLSTEHNASFASVRDELRSLHPDDPKIVVLKHNVWRVKGLIQISRSIGDAYLKKAEFNKEPLLAKFRVAPFSKQILNPDPSIFIHKLNPRDQFLIFASDGLWEHLSNEEAVDIVHNYPRSGIARRLVKAALQIAAKKREMRYSDLKKIDRGVRRHFHDDITVVVMFLDPPLTNRGLTRGLPLSIKGGQGLPRVNKS >cds-PLY71829.1 pep primary_assembly:Lsat_Salinas_v7:3:60171291:60172632:-1 gene:gene-LSAT_3X47540 transcript:rna-gnl|WGS:NBSK|LSAT_3X47540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTLVCTVSLLAGGLYWFVCILGSAEQKGKHASQLTGGSIQRENVQDNYNEYWSFFRRPKEIEKTENVPAFVDTFYNLVTDIYEWGWGQSFHFSPAIPGKSNLESTKIHEQMAVDLIRVKPGQKVLDAGCGVGGPMRAIAAHSGCNVVGITINEYQVSRAKAHNKKAGLDGLCDVVCGNFLEMPFEDNSFDGAYSIEATCHAPKLEDVYGEIFRVLKPGSMYVSYEWVTTELYNGEDPEHVEVIQGIERGDALPGLRSYSDIAEVAKKVGFEVVKEKDLAKPPSHPWWTRLKMGRIAYWRNHILVMVLETLGVAPKGTVDVHEMLFKTADYLTRGGDTGIFSPMHMILCKKPEKPQES >cds-PLY76590.1 pep primary_assembly:Lsat_Salinas_v7:5:222618161:222619033:1 gene:gene-LSAT_5X103840 transcript:rna-gnl|WGS:NBSK|LSAT_5X103840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKLKMELITKEKTRNATYHKRKQGIIKKANEFTILCDVNTVIIIYPPNSDKAEIWPDNPDQIKKAIASYKAKKDDNGKRTYNLNDFFEDRKKRIEDELLKARKRNMEAKYATWFDELDGLSEVQLRQFAMELENKENLVRRLEFQKRSMQMTMTLPLQPLSSRFELENKQPIFHYTGSHPSLDHVQMMSHHLMNHHDQLGWTTFNDPTTASFIPLKRELGAYGYPVFEGGLVYDNLNPWQFQPAPVVQCGMTPELATQELASQVNINGEYDGSGDFVMVDHQGRFLG >cds-PLY96427.1 pep primary_assembly:Lsat_Salinas_v7:4:343610657:343611621:1 gene:gene-LSAT_4X170360 transcript:rna-gnl|WGS:NBSK|LSAT_4X170360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSTRCAVCKYFRRRCPSDCIFSPYFPPNNPQRFTCVHRIYGASNIGKMLEELPVEDRATAVETLYYEAKCRIQDPVYGCVGLIPWLQQELNIAQSQLAKIRAEIAFHYANAAIVEPILGSTEQSLQQHGVDHGLDDHSYSWFW >cds-PLY88677.1 pep primary_assembly:Lsat_Salinas_v7:5:69016347:69017965:-1 gene:gene-LSAT_5X31860 transcript:rna-gnl|WGS:NBSK|LSAT_5X31860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSLGLGLGLTQEFQEGDESSEDEHMKKEIKDEKLDEGESYDSEETECDNNILRIEDDEDKKRETKRGYVLGRGMIETMQIGLWVHAHVIDAWTDILNYEEKLKSNSTVNRYFFDTSIVVFLPVHQKNYFYIICINLEEPAVDVIDNRNSNAKFSRAYRDAPNELKILFSRYLMRVNHKSALTLEGVEPERVNMNWRTRDNHVDYGVFCMRHMKTYMGDKIVNWTCGLPKELKQQQSMLNDLRIKYLTKIVLSDANTHKLKIIAQTTKFAKKTNEEIKDFLDKG >cds-PLY84654.1 pep primary_assembly:Lsat_Salinas_v7:9:103060085:103062423:1 gene:gene-LSAT_9X75000 transcript:rna-gnl|WGS:NBSK|LSAT_9X75000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKIRWFSTSFSKEPSMVPWISPLVYAKSRSQKPDPSTTITQTPKNPKYISHESAIRLIKREKDPHRILTIFKTIANQRGFNHNHSTYAITLHKLARSKHFKEVDSILHQMSYETCKFHESIFLDLMTHFSKSSLHKRVIEMFDKIQPIVREKPSLKAVSTCLNLLVDSNQVDLARNFLLDSKKTLDLHPNTCIFNILVKHHCKNGNLESAIEVIKEMKLSEVSYPNLITYSTLMEGFCQSGKLEEAIDLFEQMVSKERITPDPLTYNILINGFCKSGKVDRAMKIVDFMTKNGCNPNVFNYSTLMNGFCKIGDLKEAKNVFKEMKRVGLRPDKILYTTLINCMCRAGEIDEGIRVLKEMEENDCKGDTITFNVILVGLCRFDRTYEAFEMLERLSYEGGVYLDKSSYRIVLNSLIKLGDLEKATGLVGVMLSRGFVPHFATSNELVVGLCEGGRGEEAAAVVAALAVMGFNPELRVWGRVVEVVCRGRRLLDTFEVLDGLINRGNQE >cds-PLY97537.1 pep primary_assembly:Lsat_Salinas_v7:5:237864786:237865774:-1 gene:gene-LSAT_5X112681 transcript:rna-gnl|WGS:NBSK|LSAT_5X112681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHYDISGTTLSVALFTEVANSKELLELMQAGTLEPEIALLNASLIPDIFPVLAAAHKTLVSKSRDSLTTRTLHSELVYNYSGSKHISESLKRCGISDSTTYILAARFNASEEELNAMKTLIKGKEIDLEELEGRANKSQILKQYKITGVELGISTIGDAITCRIAARDAL >cds-PLY95467.1 pep primary_assembly:Lsat_Salinas_v7:9:160666980:160667735:-1 gene:gene-LSAT_9X100700 transcript:rna-gnl|WGS:NBSK|LSAT_9X100700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSHWLLFGLLVAGCSLAFASDPSPLQDFCVADQNSTVFVNGLVCKEARLVQPDDFFYSGLQLMGNTSNAVESAVTPVTVEELPGLNTLGISMARIDFAPQGINPPHTHPRATEILTVMEGRILVGFVTSNPQNRLITKELQKGDVFVFPEGLIHFQKNVGNGYAVAIAALSSQNPGVITIANAIFGSNPDIAGDILAKAFQVDINVVYQIQSKF >cds-PLY67160.1 pep primary_assembly:Lsat_Salinas_v7:8:205513279:205519823:-1 gene:gene-LSAT_8X129860 transcript:rna-gnl|WGS:NBSK|LSAT_8X129860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIVCPKLCGFPARCRQWKLPRIQFLEKNMYTVWENQGFNSCHQKSIKTPRALASEVSFKASTPLNDKDSMLANYVPIYVMLQLEIITNDNVLKDKEGLEKQLKQLKDAGVDGVMCDVWWGIVESKGPKEYDWRAYRTLFQLVQDCKMKMQVVMSFHQCGGNIGDVVNIPIPHWVRDVGVTDPDIFYTDRVGNRNVEYLTIGVDNQPLFGGRTAIEMYSDYMKSFKENMVDFLEAELFTDIEVGLGPAGELRYPSYPQSQGWAFPGIGEFQCYDKYLKADFEEATTKVGHPEWEFPNDAGEYNNKPNETGFFGSKGYLSEKGKFFLTWYSNKLLIHGDEILEEANKAFMGCKVKLACKISGIHWWYKDETHAAELTAGYYNLNDRDGYCPIARMLSRHYAAFNFTCLEMRDSEQPTSAKSGPQELVQQVFTAVKREEGIMAGENALERYDYKAYNQILLSVRPNGINRKESPKLKMEALTFLRLGDDLLLKKNYNLFKRFVKKLHADQEYCSDLRKYSTVVPLERSKPKIPIEELLEATERIKSFPFDDHTDMSVGGQLDDFIDGFFNMIPFF >cds-PLY91759.1 pep primary_assembly:Lsat_Salinas_v7:9:17085771:17088056:-1 gene:gene-LSAT_9X16700 transcript:rna-gnl|WGS:NBSK|LSAT_9X16700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQVGSCKNITEEYLSSLGLLVFQSYQQNRFSEPMPWIGVYIALASLFCILAMVADLLHGLKNRQLWFPCKCFTMNAASLTVIAVAMKLPVDLNNQMPGYVDQAAKLGSMGFMCTIMANLLPSLATMDKKELVSNIIAVGILVITFVVNVCIQITTGLLSFHGDEAAEAFGQVLSGIFPAGVYLTAHRFIPAIYVSVLLMLLIIYACSSLAILKSKQILESKYQSAHETILKDQELLQQPGRLLTVEKLTQHVSNYWVMAGTGSPQFMTVCSATTSASGVICAFTTVLHILIMISVTGSLKDFQSDYKWSMLVILVIQFMGTILGTIAPLCRCFAVLSFKLSVKWIWNHIKVSTVETYWTEKLSDWKQNSIPFPWGSRKCKIVIENVKILILNVCIGFQKTVVVTCKMIAVIPLLFVICVLSCFRCWKWLKAMFFSAPSIVLVKNPEQLDKDKDLSRCVLQLQDDMEFPERTLKGILKSVNRLIQKAEKQQPNNLMNLLKESRGFEGVEKFDSHLVPPLLSKEYLTSWSLTLVSLTTIAISLPNIQNNIVDCLLSSVSEGLVYVTLVEETLNTTNDHVTIQKAAKTLWLEVEVYHKWLGNKLTKPEPQANTVGQILRWLRDTAKNIVTDLETIDIGSRNNNDKSICRFISANSMYRIIETTMLSYNDDVDLVTQEELFAQLLSMIADILAACLINLPQVIAVKCHASTIEKRETSVHVAAQLLGETMQIINTLKDRQLPSLNLDELAYIEKWCAYFTPPFP >cds-PLY68767.1 pep primary_assembly:Lsat_Salinas_v7:2:211479820:211481477:-1 gene:gene-LSAT_2X131460 transcript:rna-gnl|WGS:NBSK|LSAT_2X131460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21190 [Source:Projected from Arabidopsis thaliana (AT4G21190) UniProtKB/Swiss-Prot;Acc:Q8LG95] MLRYYSPPDFIGRLPFLKFPKTVSNCVVCAAKGPRPRYPRVWKVKPKIGTVSKSLKLVECIKELSNVKEEVYGALDSFIAWDLEFPLITVKKALKTLEREKEWKRIIQVTKWMLSKGQGRTMGSYYLLLYALAEDGRIDEAEELWTRLFSDNLESMPRIFFDRMISIYFRRHLHDKMFEVFADMEELGIRPTVSIVNMVGEVFQKLGMMDKYQKLKKKYPPPKWEYRYIKGKRVKIRSQNLREPPENYKKEVDETTESNSDESQLDASEVSEVDDDELDESEISPSES >cds-PLY95054.1 pep primary_assembly:Lsat_Salinas_v7:5:226216155:226217533:-1 gene:gene-LSAT_5X109580 transcript:rna-gnl|WGS:NBSK|LSAT_5X109580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPTPLTLLCALLLTLPLAIVFTINTDLTTITTTVSPPPQLQKPNNRFMDEEMDDKLLFHLASRLNPNPSPAGAPKKLAFMFLTTDRLPLAPLWELYFNRTKAKHLYNIYIHADPNLRYDLLLQGVFSNRTIPSKPTRRNTPTLAAAHRRLLARALLHDPANYMFALLSPSCIPLHSFDFTYKTLMSSKKSFIEILQNEVGAWGRWTARGETVMLPEVSYERFRIGSQFSVLTRHHARVVVADTRLWSKFKLPCLKENIGRCYPEENYFPTLLSMVDRRGCVPATLTYVDWKRGQHGHPYTFQASDVGPEFIKTLRGVRPRYGDEEMNGSDASVIRRNDPFLFARKFGADTVGALLDIADDVVLRE >cds-PLY87687.1 pep primary_assembly:Lsat_Salinas_v7:6:46359024:46361107:-1 gene:gene-LSAT_6X34321 transcript:rna-gnl|WGS:NBSK|LSAT_6X34321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPYVVEDCQGIVQIFSDGSIHRHQNIDFTAFQIKDDGSVVWRDYCYDKLHDLHLRIYKPKSTTTSVTKLPVIYYLHGGGFCVGSFAWPNIHNCCLRLSSALHAIVVAPDYRLAPEHRLPAALDDSLVALKWLQALAINPKDGQQSADMWIGDAVESFDFDRFFITGDSSGGNIAHHLAVRLGPGSPELTPVKIRGYVMLGPFFGGKERTLSEAKGLPEKWLNVDILDTFWRMALPMGTTADHPFANPFGPKSPSLESINLDPILLIVGGDEIMKDRVKLYANGLKELGKTACYIEFEGKQHGFFTNDPYSDVSDSVFKLIKDFIVEHSS >cds-PLY85277.1 pep primary_assembly:Lsat_Salinas_v7:3:72027677:72028793:-1 gene:gene-LSAT_3X54060 transcript:rna-gnl|WGS:NBSK|LSAT_3X54060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SOLDAT10 [Source:Projected from Arabidopsis thaliana (AT2G03050) UniProtKB/TrEMBL;Acc:A0A178VYV9] MIIRFIHVPPQASIPPQSPQNLAKTHHKVLRRASINPKNGVLSTTAPPPPPPLVTTDSGLLFREKILYLKALKVNPTKALQKNPNFRSTSLQSLKSVENCLSSMGIQRSEFGRIFDMYPQLLSCDPHSDLYPVFDFLLNDVGLPYFEIHKSILRCPRLLISSVEDQLKPALLFLRELGFVGPDKITSQTTLLLVSSVKGTLMPKLDFLIGLGFSYEEVVNMVLRSPGLLTFSIENNFKPKVSYFLNEMKGDLSDLKRFPQYFSYSLEGKIMRRHRLLVEEGLCVPLSDMLKISDGEFTARLIEARLRLGDKR >cds-PLY88414.1 pep primary_assembly:Lsat_Salinas_v7:8:87686951:87687599:1 gene:gene-LSAT_8X63081 transcript:rna-gnl|WGS:NBSK|LSAT_8X63081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDARKISLKDKIKQSLCFSCCFTGHRVETLRSESTSSTSNGDNNNKQRPLYLIGASSQWIKSRSPVDLPEIKDKCRNIFGRIGCSNARQNHRRRRSSTDFRYDPLSYALNFEEDSYANLEDDAPLKDFSMRLPLSPPQSSSAPSLTTADHQPTLHVAAIS >cds-PLY87087.1 pep primary_assembly:Lsat_Salinas_v7:5:266537452:266540003:1 gene:gene-LSAT_5X137321 transcript:rna-gnl|WGS:NBSK|LSAT_5X137321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALSASVFLPTFPDSHSIQPAASLRISLLSSPKTTLVAAASRQLSAEGEDDQQIPFHNVFDFVPQEASSSSYPGASSETYSKEELNKKSDVASSRTDKRASLFRTPISGGVQSATCVHDLPRPPLAVRNLMEQARFAHMSTVMSRMHQRSEGYPFGSLVDFVSDAMGHPIFSFSQLGMHTRNLLANPRCTLVVQIPGWSGLSNARVTIFGDVFPLPEDQQEWAHKQYITKHQQAPLQQWGNFYYFRMQNIRDIYFIGGFGTVAWVNVKEYEGLQPDDIAVDGSEQNLKELNVMFSKPLRELLSLEGEVDDVSLISIDSKGTDIRVRQGAEFNIQRLVFEEWQGIKTVVEAKAALWKLIKRGGVYTVHK >cds-PLY76242.1 pep primary_assembly:Lsat_Salinas_v7:4:51310489:51314064:1 gene:gene-LSAT_4X34820 transcript:rna-gnl|WGS:NBSK|LSAT_4X34820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGWMKQFVGAVTSEAAQHRWCWSLKRVIAHAAFHLTAVGIVLWRRKQVLPKRLVVVKIGIESGGTMLIQEVEEHEMENKLSFNGRSMSLSRIA >cds-PLY65693.1 pep primary_assembly:Lsat_Salinas_v7:5:275881109:275882919:-1 gene:gene-LSAT_5X146481 transcript:rna-gnl|WGS:NBSK|LSAT_5X146481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPTCLFRLLTFVVSSEVPKNVSVFPTSLQRPVLGQLPHQVAWRCGVPGSKTVAAASYFSCICSFSSPSRLPVLNHNTGPPMLPRPCPAPSAPGSSNFVHGTNLSSASSLVGEALI >cds-PLY88673.1 pep primary_assembly:Lsat_Salinas_v7:5:69598863:69599949:1 gene:gene-LSAT_5X32681 transcript:rna-gnl|WGS:NBSK|LSAT_5X32681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVLKQFEHLKIQLEAIESATNNFSKESCIGKGGFGKVYKGELLHSMGHTTVAIKRLDRSFGQGDSEFWKEVIMLSVYRHENIVSLLGFCDEKGEKILVYEYSSRRSLDLHLNNKDLTWVRRLTICIGAARGIAYLHNPAGTQQRLLHRDIKSSNILLDDNWNARISDLGLSKFGPANQNYTFLVTNNRVGTIGYCDPLYLESGILTKESDVYSFGVVLFEVLCGRLCFESYDKPQSFTQLVRKHYRQKNLNEIIWGDIKEEIHPTSLEVFSAIAYQCLKNDSEKRPLMEDVVTKLEIALEYQVTSCSFSSFIRKKK >cds-PLY78221.1 pep primary_assembly:Lsat_Salinas_v7:8:79369053:79372856:-1 gene:gene-LSAT_8X57061 transcript:rna-gnl|WGS:NBSK|LSAT_8X57061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREENCNKNKISWPKTLKKWFTFKNKAEDFHADEFNHRVEIIQLLTTYPFSDYDFYDKEVCIINKNRRRERSSKKYAPQVRDVNDYRIFVATWNVAGKSPTSSVNLEDWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNGPAKKWVALIRRTLNSLPRTSSELNSDFEGSKTEKNPSFLNGPSSCSMRIMKSEMGNPQPRLTRGYSVNGYDPNLGSLDDDNDPDSIHSHLPYCGGNSSYYCLVASKQMVGIYLTVWVKNDLRDDVRNMKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICSHLTSGQKEGDELRRNADVIEILRKTWFPRVEGTKDEKSPQTILEHDRVIWLGDLNYRIALSCRSAKALVEARNWRALLENDQLRIEQRSGRVFGGWNEGRIDFPPTYKYSNNSDRYACDDIHPKEKRRTPAWCDRILWYGRGLHQISYVRGESRFSDHRPVCSIFIAEVESINRCKFKKTTSCSSRIEVEELLPYLHGY >cds-PLY74005.1 pep primary_assembly:Lsat_Salinas_v7:1:32672636:32674085:1 gene:gene-LSAT_1X28461 transcript:rna-gnl|WGS:NBSK|LSAT_1X28461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYIGGVPRNDPMQVSTAQRKPRILLAACGSVAAIKFGHLCSCFSDWADVKAVVTQTSLTFVDLASIPKNVMLYTDEHEWSAWSKIGDSVLHIELRRWADIMVIAPLSANTLAKIAGGLCDNLLTSIVRAWDYEKPIFVAPSMNSYMWRNSFTEKHIMAIDEFGINLIPPVSHGESGTGAMAEPSFILSTVRMFLESRRKASTSSSSSRT >cds-PLY95656.1 pep primary_assembly:Lsat_Salinas_v7:2:113547939:113548441:1 gene:gene-LSAT_2X52400 transcript:rna-gnl|WGS:NBSK|LSAT_2X52400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISILIMQLRHEKHHQAYTTNYNKALEQLDDAIMLIDRMKLTKEEAKESLDFLLQDENELLIIAYLVLLRSRGETSWEAIDLIWLLR >cds-PLY79009.1 pep primary_assembly:Lsat_Salinas_v7:3:7667199:7670755:-1 gene:gene-LSAT_3X4300 transcript:rna-gnl|WGS:NBSK|LSAT_3X4300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPQNVGILAMEIYFPPTCIKQDTLEDFDGVSKGKYTIGLGQDCMAFCSEVEDVISMGLTAVTSLLEKYEIDPKQIGRLEVGSETVIDKSKSIKTFLMQIFEECGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVSTDSAVYAEGAARPTGGAGAVAMLIGPDAPIAFESKFRASHMSHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYKGYCQKYEKLQGKQFSMVDADYFVFHSPYNKLVQKSFARLVFDDVARNVSSVDESAKEKLGQFTSLKGDESYQSRDLEKAAQQVAKPDYDKKVKPATLIPKQLGNMYTASIYAAFASLIHNKSDSLDGNRVMMFSYGSGLSATMFSLHLSEGKGPFSLSNIAKVLNIDHKLKTRTEVVPEKFVELMHLMEHRYGGKDFVTSKDTSHLAPGTYYLTEVDSKYRRFYAKKTTELANGH >cds-PLY97539.1 pep primary_assembly:Lsat_Salinas_v7:5:239657488:239659035:-1 gene:gene-LSAT_5X118161 transcript:rna-gnl|WGS:NBSK|LSAT_5X118161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein PDV2 [Source:Projected from Arabidopsis thaliana (AT2G16070) UniProtKB/Swiss-Prot;Acc:Q9XII1] MEEDGIGMVLARASELRSKITNCIHNASSIDATTLEGGTEYKEEADDEEEEAESLLNIRDSLEVLEAQLSSLQSLQQQQWYEKETSLTEIDYSRKKLLQKLKAYKGEDLDVIREATAFASSTVEKENNDLLLPPYPTRPSPSLDPDTGYLSHFSLTPKTLTNGPPNGQPKGSFHHSEPKTSLQGLRQIIVAAAKRVLPIVGFIAALHLSGFEFGRRGSLPKVLGMSQEQRNQEKGEMTVECPPGKVLVVENGESRCLVKERVEIPFKSVVTLPDVNYGCG >cds-PLY94293.1 pep primary_assembly:Lsat_Salinas_v7:7:164880938:164881234:1 gene:gene-LSAT_7X96601 transcript:rna-gnl|WGS:NBSK|LSAT_7X96601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQLPYQFGRSGMDRLNIPLGSLVLTFLCGIHSRSALGITSNSGGNNSQNPTTSPTSLPPTLSRTSIETEWFHVLSSIGYSFPFVSLSPISVSISSQD >cds-PLY88955.1 pep primary_assembly:Lsat_Salinas_v7:8:129606086:129608448:-1 gene:gene-LSAT_8X90001 transcript:rna-gnl|WGS:NBSK|LSAT_8X90001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKAKLTGDAVAVMEVSSSLGVRTRAKTLALQKLQASKTTDTPPLEDNHELSYLQLRSRRLEKPPLQQSTCCRQENPNPSMGTSRLVIRSGGSVSVGSGSCNENHRVLRESKIKADEETEAYCDFGTEETSFGENNTDFDGRERSTRESTPCSFIRESNTNNTPGSATNRHASNRVIQGIMPSAQEIEVFFARHAHEQQRRFSEKYNFDIVNEKPLEGRYEWVKVQP >cds-PLY65553.1 pep primary_assembly:Lsat_Salinas_v7:9:143601338:143607081:1 gene:gene-LSAT_9X91880 transcript:rna-gnl|WGS:NBSK|LSAT_9X91880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSIASIQKSFTYDVFLSFRGEDTRTNFIDHLYNALQQQGIYTYKDDEAIRKGKRISDELISSIKDSKFYIIVFSKNYASSAWCLDELVMIMGCHKTTEHTAYPIFYDVEPTEVRKQSGAVGKAFAKHENDEAAGKWKETLKEAADLAGWELKNTANGHEAKLIQKIIEEISLQLRSIDFNADEKLIGMETRVKDAVSSLEIGTDDVRVIGIKGMGGGGKTTLARAVFDQISFRFEAKSFVENVRENSNTSSSGLKLLQNQVLKDALFDQGINVSSVHDGKIMMKKKMRNKKTLLVLDDVDHIDQLEALAGDLNWFKSGSRIIITTRDEQVLVAHRVKLILNVNLLSRKEAIGLFSRYAFGGDIPIQGYEELSEQVVRYAAGLPLTMKILGSFLCGKNKSEWIDALERLKTISLKETLQILELSYNNLEEDYKEIFLNIACFLKRWSKHTAIDVLESCGFHATNGLKVLEQKSLITIVDERGSMHDHIEEMGRNIVRRLHPDKPEKHSRLWIDDEIKDILANDLGTKATRYIQFQLMGGNFEMVMKGLRKMKELRFVHISQNTRYNFAEERTYDNVSQYFPNALQYLRWYRYPFWSLPNTFQANDLVTLKMEWSNIKQLWEGGERKVLNKLKILDLSYSELTTLDLGSSPNLEVLSLRGCRDLVQLDTLNGCRKLKSIDLSNSKLKTIDLRPAVNLELLHLQDCNALVELHMPGKCLNLVSLTLTSSQLRTLHIGQTPNLEYLDLNNCYDLEDLHMADKCLKLTSLNISRSKLKTLDLGLTPNLKKLDLKECKNLVKLHVPIGCLEDLVYLDLTGCLRFTSFLFDERKDASSRRYESIKVGPLAELHLFAKSQETCPLHPDNTLRKFQFECFYKDDPTLTGNLAKLLSFGLCACTNPMTLSGSICGLRRLRKLKLEGYLEAPKDLDQVECLKELCFSMTNMKHLPDSICMMKHLEILRLKDCWSLEKLPEDLGRLKCLQSLTLSSAKIKRLPDSICMLKHLVFLELSDCFYLETLPEDLGQLKCLKTLYLSYAKIEHLPYSICMLKRLRKLTLLRCCSLKTLPKDLGKLERLAGLYLSSSIIKHLPDTICMLKHLKKLVLDDCSLLEKLPEGLDQLERLEQLDLGECKILQDIPIGSICKMKCLKWLDVAGTSISRLPRDICLLKGVCILGSRDLLQSCGFTSEIKTIHDKDTCFVDV >cds-PLY97354.1 pep primary_assembly:Lsat_Salinas_v7:4:286463512:286463904:1 gene:gene-LSAT_4X145880 transcript:rna-gnl|WGS:NBSK|LSAT_4X145880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACSSTSSIQKSFKYDVFLSFRGEDTRTNFVDHLYHALHQKSIHTYRDDVRIKKGKRISDELIGSIKDSNTTEHTAYPVFYDVEPSEVRKQSGAVAEAFAKA >cds-PLY79875.1 pep primary_assembly:Lsat_Salinas_v7:8:15135694:15138256:-1 gene:gene-LSAT_8X11301 transcript:rna-gnl|WGS:NBSK|LSAT_8X11301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METTGLSVDTTNSDAYETDNLASSNSLTPRITSRSSSSRRMTPSSSFSVIRFLQAPVTTVLEYSGVLRPRSNNDYHESESLIHDHHHHHDARSSSDSDTATSSNGDNGEVSIRIIGAVDPEEQHGGEGGEPVALNGGDNDGGEREMADSTDVDGENGRSGSHSDSSYQQRYDMQQVSRWIEQILPFSLLLLIVFIRQHLQGFFVTVYVTAFMYKSNDILRKQTALKGERRLSVLGGYFIVFILHVIGVYWWYQNDDLCYPLFMVPPRAIPPFWHAIFTILVNDTMVRQTAMAFKLVLLMYYKNGKGHNFRRQGQMLTVIEYALLLYRAFLPAPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSIVEKVGSFWTALKALSRKEVHYGSYATPDQVIEAGDMCAICQEKMQAPVLLRCKHIFCEDCVSEWFDRERTCPLCRAVVKPAEIRSYGDGSTTLFFQLF >cds-PLY71833.1 pep primary_assembly:Lsat_Salinas_v7:3:58219754:58220257:-1 gene:gene-LSAT_3X45560 transcript:rna-gnl|WGS:NBSK|LSAT_3X45560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRPTAETDAAERLHFRFSRSTLSFGPEEFFLVTGLYMGRCPKSRIEFSTNPPPHVDHPILGEKMDEEVKKMLLQREADVDKAFEDYRFQRNLLDNYWDDIDCKRNWIIRIREEIQSTESSIRSSEEIFRKLKTEIELREYYLEKKKEVIAMKKEYPYEFPKWEEDE >cds-PLY82544.1 pep primary_assembly:Lsat_Salinas_v7:2:188421704:188421991:1 gene:gene-LSAT_2X110260 transcript:rna-gnl|WGS:NBSK|LSAT_2X110260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLRKRSQGKLEHRVVPLHEVLPPPRRLSAPIVALPQLMESSSTTGDQPALEVSLSPALIPRPSGEPLTEDVVDSKDWMISNVLHFEEKRKAT >cds-PLY98120.1 pep primary_assembly:Lsat_Salinas_v7:1:140785715:140792049:-1 gene:gene-LSAT_1X102041 transcript:rna-gnl|WGS:NBSK|LSAT_1X102041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSELSEGSSSSSSTHGRGYDVFLSFRGVDTRHSFTNHLYIALMHANITTFLDNEEIETGENLKPELKSAIKSSRASVIVLSKNYASSRWCLDELVLILEQRMSSNHIVFPIFYHVEPTDVRKQQSSFGDAMAKHRRMMEAETDANRRSKWAQKIELWDKALREVAGLKGKDANGRLEVELIDEILNDIFRRLHISSRFPPPQLIGMENSIKFVTSWLKDVSSNTTDILTILGMGGIGKTSLAKYVYALHFLEFDTSSFIEDITRRCDKKSNGMLDVQKQLYDDISKRSSVQGHAHDDSIYTSMIENVVACKKVFLVLDDIGSLDQLDALLGRKGFHPGSKIIITTKDTWLTKSCALFKMNVKPKHETHKIKGLSEIDSHKILCFHAFMCNDPKAGYEEVSKKLVEYCEGHPMALKVLARSLHNRDVTYWEGYIDRLKKENNSPINNVLRMSFDSLPSENDKELFKHIACIFVGMDKDFTVTILEACDIETRSGITNLIDRCLLSFKWNKESKNIELVMHQLVQEMGKFVVRKESLDKPWERSRLWGYESFKALKQKKGTENVLGLTIDMQMLEKEKLHGSLELKTDALSKMDRLMLLQLNYVQITGSYNEFPEELRWLCMHGFPLKSIPPDLPMENLVALDLSYSNIESFGICYNYPKGLPKRLKQLTGSCSEEKRSLRSLKILNLSFCEQLHSLGGFDHLPKLERLILRGCIGLLEVCESIKQCVELFFIDMSCIKLEKLSRIICMLKKVEILLLNGCYLGESRIKIRDMDSMEKIKANNIGRNTRTSSSAVLKAISADLKFLTIPLPRSLVKLSLANNNLSTESFPMDFGWLFMLNELHLDGNPIVSLPNCIRSLPRLGLLSMRNCNMLMSVEDPPHTLRVLDLHFDSEKHLLRKVVFDPQMSPLGFILDWNMLATSSFEFQGLIKIQPMEGVEEKVIRSLGWTKLDFLIGRRVGTYLVNQREKSEIQMYYEFGIFSTIYEGEKMPNWISDRSTGSSMSFTIPSSPNKLRGLNFCYVLTSQYLGYKTFNLPVIIISNITKNHTWKYKHYILNVDVVGKCLTLLSHWMFGVNDMECGDQIIITLRPEPFHVECGVSFVYDDGTEDALSYYKSWNHIIGGDLTIFQLTTGEYILTIWRFLLFENQIREHSYGSLFGDGVHFKGR >cds-PLY77734.1 pep primary_assembly:Lsat_Salinas_v7:9:18210913:18211080:1 gene:gene-LSAT_9X13340 transcript:rna-gnl|WGS:NBSK|LSAT_9X13340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKIPVKIKAVVYGLSPFQQKVMPGLWKELANKITHKVTENWLSAILLVGPVVGT >cds-PLY75015.1 pep primary_assembly:Lsat_Salinas_v7:1:112875947:112876417:1 gene:gene-LSAT_1X87421 transcript:rna-gnl|WGS:NBSK|LSAT_1X87421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYEQGIVRQINTPRLLTDWVFLFLEPDDFSASSSCFVELKPNSRICIRKKEFKKHPKQRNQHPPSLFPLFLFLDFLLVCTISTIDLLLLNGMYDQGIVRQHVSTTSLSGGSASSVALPAKLSTTPMLALPAPDGLVQPVGGDPFAVSLSVPLPAYV >cds-PLY73458.1 pep primary_assembly:Lsat_Salinas_v7:4:180453923:180456629:-1 gene:gene-LSAT_4X105340 transcript:rna-gnl|WGS:NBSK|LSAT_4X105340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIVFFTVVFKTFSEANSLPHLCIYMVAGDFTLIRDLDVLKDIFTMKLRVIRLWTLDNYYNKNELFSIEFILIDEEGNKIQGYVPKAYIYKFKKLLKEGEAFIIKSPNLAKMQERSFQLTNQLQKLALNLDSIVTPCDNFSGSVNGFDFVDYRAIIDGTVPDNMSLDRRSVNMYYDVSKFIINSDIDDINVFKKSLDQDGPHENSKSTFTYMKSNRSSENDDFLLNNDLKTIADIFEPLEVTQNLKDIYGIIAFRYLFFNTTYIPFLSFYSTRKKIYVIVAAIKGILQNKDWYYPACTNCNTRAFSDTPSNEANVIGSFQHAKYECRNPKCTKTVTSVIPRFMITVRVQDHTGSITLTMFEEDAKKLLKISAKDLIAKTARLGFGTGLYPSEINVLKDTKLAFIVSISKYNLERKNNQYSILRSSDDDKLIQQLEKNFVVSEGCNSQSFDVGTTDYESQDNKGIKDVISQTDDNVTPTNVFKSTATSPKKKFDTSKGLKRALEDVFVLDVNDKMSSSKATKVGGEDGQVKYLKVNLEK >cds-PLY62402.1 pep primary_assembly:Lsat_Salinas_v7:5:309920642:309921350:1 gene:gene-LSAT_5X168900 transcript:rna-gnl|WGS:NBSK|LSAT_5X168900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRIQILFPFLLFCLFLLLLLPFPSKVHATKPVDFKVRSAHHSLNPDSIYGSTQKEEKKAYKQPSGPNPVGNHGPPSRR >cds-PLY77557.1 pep primary_assembly:Lsat_Salinas_v7:2:164136380:164137512:1 gene:gene-LSAT_2X87020 transcript:rna-gnl|WGS:NBSK|LSAT_2X87020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSHRRLSLPENPVNFIKIILSDNTHSIGIRMPKRFTEKHGKDLLERVILKVPNGDVWRVDLQKSGDEIWLKNGWWEFAEHYSLKYGHLLMFKYEGFSIFGVVIFDTSATEIVYPPMKKDPLRNSTKDVARNNPQEVKLTKLETVKLEEETCSSSEDEKTRIKINVGGGSLRKRREDGRRAVERAKANFKSHKHFFIAYIQQSYVTGSRGLPVAVEFRKKCWRGRKKHRKYLLKLVNDDGRQKTWEVIAGDNRLHGAGWVAFVKDNGITFGDICVFELIHEHQNVLGVTILKCSP >cds-PLY92485.1 pep primary_assembly:Lsat_Salinas_v7:2:152566080:152568655:-1 gene:gene-LSAT_2X76741 transcript:rna-gnl|WGS:NBSK|LSAT_2X76741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTDLDNSSAVNESTVSGEASISSPGNQTAAPPEKPVKRKRNLAGMPDPDAEVIALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSKEIRKRVYVCPEPSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDQCSKKYAVQSDWKAHLKICGTREYKCDCGTLFSRRDSFITHRAFCDALAVETAKSQPEDEDPKPQSVESTPQSTSTASPPTPPPPPPPTATPTPPVTSSVLCIAKSPDLPEISTSNQDSRPVLDNSPPIVVAAVSGSCSGSCTSTNTGSTNSSVFASLFASSTASKILHPQTQPQPQTIGFKDLIRSMNQSTTQTPDLTLPSSSQEPISLCLSTNQGSSIFRTAGPELRQYAPPPQPTMSATALLQKAAQMGASASGASLLRGYGLDGGRLDDSDVGGGGGGGGGGATIGLGLGVGYDGRSGLEELMMGTPSVYGPKHATLDFLGLGMAAGGGTTNGLSALMTSIGGSLDVTRAVSSFGRGGGGGGEFTGKDMGKQ >cds-PLY96969.1 pep primary_assembly:Lsat_Salinas_v7:2:33385804:33386283:-1 gene:gene-LSAT_2X16680 transcript:rna-gnl|WGS:NBSK|LSAT_2X16680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTKKGWVPLSIPTHLHEVKTQSTKKKPDRKQNQGIAKVEEGKIGNYPVKEDTDRRLPTTEKACNWQNLSPRLHLVQNPTKNRINSNNATPDGMTPPKDTNQTTGVGEWKRLKIIFRRTIAQETASRVKANIRELVSTGKAQSKKDQEEEELISMTRET >cds-PLY63385.1 pep primary_assembly:Lsat_Salinas_v7:7:147294564:147295567:-1 gene:gene-LSAT_7X87201 transcript:rna-gnl|WGS:NBSK|LSAT_7X87201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDKIVKEIRGIIRVYEDGRFQKLTGTEVLPAGIDPSSGVQSKDVIISPETNLSARLYLPKTATKKLPLLIYFHGGGFIIESPFSPLYHNFSNLVAAESNVVIVSVDYRTAPEHPVPTCLNDSWEAIKWVTGNCPEPWINDYADLENVFFAGDSAGATIAHHMAIRVGSENPRLSINLRGIILLHPYFWGADRIGSEGEHPWKPFMEDVWMFAHPGTSGLDDPLINPDKDPKVSDVGCSKVLVCVAEKDILKDRGWYYKDILGKNGWNGDIEVIEDKEVDHVFFLFYPSADNAVTLRKRICTFINNNPNM >cds-PLY74409.1 pep primary_assembly:Lsat_Salinas_v7:2:14226589:14228022:-1 gene:gene-LSAT_2X6840 transcript:rna-gnl|WGS:NBSK|LSAT_2X6840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMSFQPFNVIEPWVFETITPSQFITFTMPNPLNHRRLLHALVIRVAVLDSPIATADDEVPLIAAMIVPNHRETDWNFCTESGHLQLLYDSHNVSRLILIGNNPPPNPEPSIYIRPQVTGPLEKQRLENELKPLLMALHPKVSFHRRLPETIILTYEDDVVYRVTIAKFVGPVVGEFVVEDVEMEGNRDRSKMLRRRLRFKRMPNLIQSQVPLIPVISDNEATTHVDLESLRKIVNAKFDVDTSVLVHPYLTPMVAGLFLIASHLNEQIQQGFTPSALCLGIGGGVLLSFMKTQLGFDVVGVEADKVVLTAATKHFGFNKSGSIRLIVGDAIEVIQSFPSQKIKGDTDGLKVNNDVLDTKFDVVMVDLDSNEAQNGISAPPPEFVKKPVFKAARSLLDDCGVLIINVVPLNELFYTTLVKDLKDTFYTVYGIDVGNQGNFVVVATVSPTSSNDHDNDFLKKLTSVIPGTYKDTIVEL >cds-PLY74255.1 pep primary_assembly:Lsat_Salinas_v7:1:78620224:78621756:1 gene:gene-LSAT_1X66120 transcript:rna-gnl|WGS:NBSK|LSAT_1X66120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIKPFLPNLSSQQLAQIKAHVLKSSEPHALNTFLASFVNSHTPQNAFVLYNQMLQNSNTHNHFSFNYALKACCLTNSFNKGQEIHAHVIKSGHFSHTYIQNSFIHFYVIRNDIAYAYRVFKTIAHPNVVSWTSIISGFAKCGLEDNAIFMFSWMDVDPNANTLVSVLSACSNIRELNLGKAVHCYALKNSIQRNAILDNALLHFYMQVGSLENAQYLFAKMPKRDVVSWSTMVGGFVQRGFCEKAIHVFNEMVKVGEVKPNEATVVNVMAACASLGSLSLCGWVHSYIQERQDIQLEGNIGNALVNMYVKCGNMRKAIHVFKKLRIKDIITWSTMINGVAINSLGHHALPLLSLMLVHGVEPDDVTFISLLTACSHGGLVNEGLMLFKAMVDSYKIVANERHYACVVDLYARGGRVKEAEDFVRGMSIEPDGYVWGALVNGLRVHGNEAMIERIGCVLVEKGVSGGTLALVSNSYVGLSRWDESIEIRNEMNSLGLKKMVGRSWIELDV >cds-PLY86060.1 pep primary_assembly:Lsat_Salinas_v7:3:194904743:194907488:1 gene:gene-LSAT_3X117840 transcript:rna-gnl|WGS:NBSK|LSAT_3X117840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQADEDVGKIAMAVPLLVSKALELFLQDLCDRTYEVTLQRGAKTLNSMHLKQCVQRFNVFDFLRDIVGKVPDLGGSDAAGDERSATKRRKVADDDHNDGDEDSKRDKPIDPLHANGSSRGRGRGRGRGRGRISRAERESHLAAAASSHEHDSDSANKQKPIPDSIEDTDSKRNHITGIVKTEYTVKVRNFDLNLDLDENGDTPVVSPTPVIPADPIPAGPPPEPEYPGWSLAEVEKMAIDPVQLANLNSGVDEDEEDYDEEG >cds-PLY78163.1 pep primary_assembly:Lsat_Salinas_v7:6:108651762:108652115:1 gene:gene-LSAT_6X66820 transcript:rna-gnl|WGS:NBSK|LSAT_6X66820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLGDDDDMVIDDTPPKSPGDNTPLPPPASSNPPSPYHLPPRTPSPPPGSPPQSDIAKKGENSQGSPDQQTKMVVIASTLSQPKMYEAGRVETDSQKEFIIAGQDNNIPDADATTND >cds-PLY68670.1 pep primary_assembly:Lsat_Salinas_v7:7:85597687:85608271:-1 gene:gene-LSAT_7X58481 transcript:rna-gnl|WGS:NBSK|LSAT_7X58481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTIQAEEISNIIRECIDQYNREVKIVNIGDVIARIHALDEVMAGELVEFEEGTIGIALNLESINVGFGATRPDSSSLRADSPSTRQSSSDSPCCSSNSSSSCPSSSDSTSCSSNSLSSSSSSSYSPRSSVKATRRIAQIPVSEAYLGRVINALAKHIDGRGEISSSEYRLIESPAAWIISRRSVYEPVQTGLIAIGNRQTGKTAVETDTILNQQDKNVICIYVAISQKESFVAQVVTNF >cds-PLY61958.1 pep primary_assembly:Lsat_Salinas_v7:5:160327464:160330475:1 gene:gene-LSAT_5X70100 transcript:rna-gnl|WGS:NBSK|LSAT_5X70100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEINCTTNRNLAEGTGDDVEALAEATSDDVEEKGSRKKHRWLAGKIEKMDEEERKKTNQAASNSSRKDGSKPKYYAKNLVPDENGNPIQVPSNWCHQCRRSDRGRTVQCQKCSSKRYCEPCMTRWYPNMTEEMFAECCPFCHDNCNCITCLRNVDPKGKEKIDFKPNDDQKVQYSMYILHVLFPILKRLNEEHIKEKTTESIIQGSSLSDVQLKDANNCCKTSIFDLHRSCPSCHYDLCLQCCWELRDGNLQGNKEEVIIEYRDPGLKYLHGKNACYVEMAAEDPAPKEKQTHDWKSLDDGRIPCPPESMGGCGGGILELMHVKPLDTVSRLLNEAQELLKMHKPEDMREILEQHCSCSDFVKESNGCDQQLRKAASRENSNDNYLYCPRAINIQHGDLKHFQWHWSKGEPVIVSNVLETTLGLSWEPMVMWRAFRQLKSTKNAAINCLDWCEVDISIHNFFAWYTDGQYDNEGWPKILKLKAWPISNLFEDGLPRHGVEFITSLPFKEYTHPRDGYLNLAVKLPEKSLKPRMGPKMYIAYGVHQELGRGDSVTKLHCDMADVVCFFYLVLLNFYMQFPV >cds-PLY90125.1 pep primary_assembly:Lsat_Salinas_v7:7:14243182:14252597:-1 gene:gene-LSAT_7X11501 transcript:rna-gnl|WGS:NBSK|LSAT_7X11501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHEIQAKLLRRDDEEEGDLKGRIWNESRKIWRVALPSVISRVCAFGTIVVTQSFVGHISDLDLAGYALVQTLSVRFVNGILLGMSSATETLCGQAFGAGQHHMMGIYLQRSWIIDLISLTVLIPIFIFATQIFKFLGENEFVAKSSGHISLWFIPFVYNFVFSLTIQMYLQAQLKNMVIAWLSIFQFGIHIPLSLLFVYKLNLGVGGAMIALSMSSWLLVIGEFVYIFGGWCPYSWRGFTSTVFKDLFPVVKLSISSGVMVCLELWYNAVLVLLAGYMENAEVSISAFSICLNINVWEFMISLGFLGAACVRVANELGRGNAKATKFSIKVLLGTSIAIGVFFFILCLTFGKKLAYLFTDDEIIADIVSDLSLLLSFSVLLNSISPVLSGVAIGAGMQGTVAIVNFVCFYLIGIPAGALLGYTTNLKVKGIWIGMIGGVVTQTLALIYMVWTTDWDDQNLLLVLKLWCHLHKHHFNHGNRRLGRKDISKARWKQDLGMAEIVSNKGKLWTTTGIVRGGKLYSFLEDTLFLAEIGALHLLDDEDKCIPLEDIYKKVAEGVGGSSWESFEVYRHLKSLGYIIKRHGVCWSIKRGKSEENSSIMEVTELFSSMEINEESKRLVFDVYPPNSKFRKSNPGNPIFILCIISGNPPTKKQIEEVEGQCEGIPLKFCYVEHGRVSFFSFNQIELPVLP >cds-PLY73598.1 pep primary_assembly:Lsat_Salinas_v7:6:128688085:128689391:1 gene:gene-LSAT_6X75820 transcript:rna-gnl|WGS:NBSK|LSAT_6X75820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITLFVQKICETNKILAYISALKKLCNHPKLIYDTMKMFSGRQKLQDGSTSTSIIQKLVNCFNDPSKDEFAFLFSSKAGGCDLNLIGCCKSLKGWAEEESIHL >cds-PLY96647.1 pep primary_assembly:Lsat_Salinas_v7:7:45908874:45913274:-1 gene:gene-LSAT_7X33840 transcript:rna-gnl|WGS:NBSK|LSAT_7X33840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDMVAGKGSSSTVALGRGSVFFYGVGHMLNDITAACWFTYLLVFMTDIGLSPRNAAIVMLSGQIADGFTTIFAGELIDRFGHFKVWHAAGSILVAISFSSVFGGCVPCIIFGNESTWLQTIGYSFFAAVFNVGWAATQVSHMSMVNCITLNSTSRVVLTSCRNAFSMVANLSLYAVAFLVFHIWPSKTTKEVENQYRWIAYSSIFIGCCFVVVFHIGTKEPRLKEDFHGEHGYQKIAWTYWFKKVLYYQVALVYVFTRLITNVSQAFLAFYVINDLRMVQSSKALIPAMIYICSFIVSILLQELTWTGQRLKVFFSVGGVLWILCGSTIFFMPSNTKKMMYLLSLVIGIANALITVTGVSMQSYLVGEDVKGCAFVYGSLSFLEKMSCGFALWALESYQNTSQPLKECNPMYSCFSVSRYGFGLVPAICAVAGVVVTCTMKLERPRLRPLKVPLLE >cds-PLY79559.1 pep primary_assembly:Lsat_Salinas_v7:8:118342299:118356755:1 gene:gene-LSAT_8X81981 transcript:rna-gnl|WGS:NBSK|LSAT_8X81981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRMEIDSSSSSEPNTPPLSPKDHILKRLVLIGVPEDRLDHQESGLVSYVKENKHRIPDIVSALLPTDVEMETAMEVQSEPPARSKIEDLLHESMIWLQWLMFDGDPSEVLQRLAIMNIGQRGVCGAVWGHNDIAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIIYTGGGCCDCGDVTAWKRSGFCSKHKGAEQIQPLQQDVANTLSPVLDSLLVFWKNKLLFAESIHQDRDRPTLDDNVAEQKKVADELTSAVVGMLLEFCKCSESLLSFVSGRVCSLVGLLDVLVMAERFLSTEVVRKLQELLLKLLSDPFFKYEFAKAFLRYYPTVVNEAVKECKDSIFRKYPLLPTFSVQIFTVPTLTPRLVKEMDLLAMLLDCLTNIFSSCSREDHRLQVSKWGNLYETTHRVVEDIRFVMSHSTIPKYMTCDRRDISRTWMKLLAFVQGMSPQKRETNIHIEEENENMHLPFVLGHSIANIHALLVAGAFSNKETEDESVIDEQDSLRHAKVGRLSQESSVSSVTGSGRGSDSFTVLTSISWLTFECLRAIENWLKVDNTSGDLNSRGTNASGSNFFQLKRTLSKFRKGKTIFKSPSSKTMGGGVHQRLTGSNETISAGLIDHQMEGDYTNELESLSVLSLSDWPEIEYDVSSQEISVHIPLHRLLSLVLQRALKRCYGESESESESGLPAVYGDFFGHVLGGCHPYGFSAFVMEHPLRIRVFCSEVHAGMWRKNGDAAILSYEWYRSVRWSEQGLELDLFLLQCCAALAPADLYIARIIERFGLSSYLSLNLERASEYEAVLVQEMLNLIIQIVKERRFCGLTTAQCLQRELIYKLSTGNATHSQLVKSLPRDLSKVEQFQQILDTVAEYSYPSGIKQGMYKLRLEYWKELDLYHPRWNSRDLQVAEERYLRFCSVSALMNQLPKWTKIYPPLNGLAKVATCKIVLQIIRSVLFYALFTDKLIASRAPDGVLITALHLLSLALDISQAQIESQSGGFDNSIPLLVFAAEEISTGLNDGYDDQSLLSLLVSLMRINKKENAYNYVESGGFDLSSLIKNLLQKFAELDAGCLTKLQMLAPEVVNQLSYSGDVSNNSAPVSDSEKRKAKARERQAAIMEKMKAQQSKFMENMTEDTGLDDTNDPEESLPDVTNDSDVQVVCSLCHDANSKIPVSFLILLQKSRLVSLLDKGPPSWEKEAQRSGKEQVNEDTLTVKSSETVNSQLMEVIQTAINEFASTGQPREVDAFLEFIKTRFPSLNNINIPPQTAHDSSQSQQTTASASGDAFEEDMYTLILDVMENNVGESDVLIDEVDFSIPGSSSYSSYDTNESLLLGKYIASLSHEVVNNPSSSSSSSSENVGSPNGKPQIGASVTSRLPYDGFGPSDCNGIYVTSCGHAVHQGCLDRYLRSLKERYTRRIDFEGGHIVDPDQGEFLCPVCRGLANSVLPDLPREGTIMKESVASKIPNLSPMDAANYESSEHLLLLKQSLSLLQASADVSRRNEFLKSFPVKHKGGTGANLESVVHLLHEMYFPGNDKISGSSRLSDSMIMWDTLKYSLVSTEIAARSEKTSHATNYSVSSLYEELRSSSGFILSLLLKIVHSIRSQNSLDVLLRLRCIQQFAKSIHADTLNELPGHTNRVEENMMSILENADMGIRFPDVQFWTMASNPVLASDPFSTLMWILFCLPVPFMSSEKSFLPLVHMCYVVSITQAVITYFGKNESAMDDFSYHESLITDIYKFMGEHVLIRQYFVSNYIDSSRDIKETIRSLSFPFLRRCALLWKLMNSSKLEKMFKIPTLENIVNDEVSRSLVLKWLNHFAREFEVGVLYKTPVVPFKLMVLPYLYQDLLQRYIKQKCVDCGAVQDEPALCLLCGKLCSPSWKTCCRNNKCQTHAMSCGAGTGVFLLIRKTTILLQRSARQARWPSPYLDAFGEEDVEMHRGKPLYLNEERYAALSHMPRISYEKEDTLFSENTIPTISTICHHGLKANVNMVEEALASIPLSFKRQEETSESILVIEKFYHHKSSGRMFRSLVEVEKFIKYEIYPPKPQRPTKNALKARS >cds-PLY75175.1 pep primary_assembly:Lsat_Salinas_v7:2:45694421:45696431:-1 gene:gene-LSAT_2X20701 transcript:rna-gnl|WGS:NBSK|LSAT_2X20701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRIAVPMVVVAALQYLMQMVAVIMVGHVDQLALSSLAIATSLTNVTGFSLLSGLVGGLETLCGQAFGAKQHHKIGIYTCSAIISLLLVCIPISISWIFLDKFLILIHQDPEISHEARKYSIYLIPALFFGAIVKPLVRSLQTQSLTLPLLVSSAVVLCFHVPLCWALVFKSKMGGVGAALAFSVSNLFYLILIVFYLKFSSMCKNTSVTISMDAVLGIKEFFRFAIPSAVMICLKWWSLEILILLSGLLPNAKLETSVLSICLTISTLHFTIPYGFGAAASTRVSNELGAGNPQAAKLAVHTVMFVIVIEAIIVSATVFGCRNYIGKAFSNETEVVSYVASMSPFISLSIITDSLQATISGIARGSGWQHIGAYVNLGAFYLFGVPSGIVLGFPVHLRAKGLWIGIVIGSIIQSTCLSLVTGLTDWQKQAIKAKERISKVSSIVDDDIETRE >cds-PLY77348.1 pep primary_assembly:Lsat_Salinas_v7:2:97378675:97379909:1 gene:gene-LSAT_2X42161 transcript:rna-gnl|WGS:NBSK|LSAT_2X42161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARMNLCKVVKAFKGVSNGSLRPSFVNKKRAFVTASKPLQKDSSDEKVEETCDQVTQAAEAVKKGATQVTNMTKDVRGKLSDATDSITQKAKDDVVDVAGKKLKQTVIDEKK >cds-PLY80808.1 pep primary_assembly:Lsat_Salinas_v7:5:240223902:240226924:1 gene:gene-LSAT_5X118580 transcript:rna-gnl|WGS:NBSK|LSAT_5X118580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFSGKTTSTEDSISLINSKTAILGQKLYVVIAVTVAGIAVVFLLIFRFLLHKHKSKRRNRRMLVNQGSEVLHLASETVNVEANTKCLDQTDEKATKSLISMFEMDDDDEGYESKLIIKVVEGKLDDDTWPSGSNESSTGTSGSGSDVASSSVSAESLKNIGWGRWFSFNELEIATNRFAAENVIGEGGYGVVYRGVLHDGSTVAVKNLLDNRRVFHFDSGLQKFKLFGCLFMIYSIFSNINNLGQAEKEFKVEVEAIGKVRHKNLVGLIGFCAEGAKRLLVYEYVDNGNLEQWLHGDMGPTNPPLTWEIRMKIAIGTAKGLAYLHEGLEPKVVHRDVKSSNILVDKNWNAKVADFGLSKLLDSDKSYVTTRVMGTFGYVSPDYASTGMLNEGSDVYSFGVLLMEIVTGKSPVDYSRPPGEMNLVEWFKGMVGSRQGEELLDPKINVRPSPRALKRALLVCLRCIDMDASKRPKMGQIVHMLDSNEFPFRGVSIFFLVLVFIYCKMLGLNELFCDSLYYVSLFALT >cds-PLY72849.1 pep primary_assembly:Lsat_Salinas_v7:6:22305993:22307834:1 gene:gene-LSAT_6X17021 transcript:rna-gnl|WGS:NBSK|LSAT_6X17021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRAIRASKTAAEERVVVRKECASIRASVSDNDNDYRHHNLAKLMFIHMLGYPTHFGQLECLKLIAPLGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQYALVFLHSYLSYILCSSNIVDLRIFFFIICRDLNHTNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNILIQLCTDLRNLNEEALEFFRKICTEVLVKVLKDVVNSPYGPEYDVSGIADPFLHIRLLRLLRVLGHGDADASDSMNDILAQVATKTESNKNVGNAILYECVETIMSIEDSSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAISVDDQAVQRHRATILECVKDSDALIRKRALELIYLMVNEMNVKPLTKELIDYLHVSDQDFKGDLTEKICSIVEK >cds-PLY92070.1 pep primary_assembly:Lsat_Salinas_v7:5:324649569:324653658:1 gene:gene-LSAT_5X179521 transcript:rna-gnl|WGS:NBSK|LSAT_5X179521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNRKRDNFFSRFILTITAIMISLSCSCVNFSHAASSTSSSSSSVHTNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNSRNKYPAQVFNNENHRLNLYGDNVEVDYRGYEVTVENFLRVLTGRHENAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSDELQSHDLADAVKQMKEKRRFKELLIMVDTCQAATLFSQLHSPGVLAIGSSMKGENSYSHHLDSDVGVSVVDRFTFYTLAFFERLNMYDNASLISLFGSFNPNLLLSTAYYRTDLYQQELEKVPVTNFFGSVMKTIHTGSAYRVKPKSDVSSDPTPRITTTTSHSEKQVDHLETNVVKVKHGNGSCPHAELWDMMVDKLQTFKNVDTIVNYGLLGLLTLVALSTWQSSSSSSSS >cds-PLY88146.1 pep primary_assembly:Lsat_Salinas_v7:5:220048520:220048846:1 gene:gene-LSAT_5X102680 transcript:rna-gnl|WGS:NBSK|LSAT_5X102680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVDDTPPNSPSDNPPPLPPPSTNLPPLSHPPPRNPSPPPNYPPQSDAAKKGENNQGVPQPMQMEVVIRQTPSQPEMTGRVEANTEKLIVVVDVDATTNQPIPDTSDQ >cds-PLY70985.1 pep primary_assembly:Lsat_Salinas_v7:9:70519757:70521984:1 gene:gene-LSAT_9X59661 transcript:rna-gnl|WGS:NBSK|LSAT_9X59661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMLRAPSSQGCFTRYRLCQKLLRSQIPILLFADCHRFLFTISGTQPPLRCSKAATTQTIFGFTDYSFPTRVFAELALGPITAKDEMVFFNGRSLAEFFRSTIPLLATSRARSWCALVQTWFGPIEPYGSMAGLPLNKPSRILNFEEVGKSSVYVWTNDFLLLDC >cds-PLY79142.1 pep primary_assembly:Lsat_Salinas_v7:9:90532730:90536678:-1 gene:gene-LSAT_9X70220 transcript:rna-gnl|WGS:NBSK|LSAT_9X70220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARLFRARRVSVTAGALAVAVGGSYMTLRDTSVSASDRGGGTALEALRRRITDPAAVVXXXXXXXXXXXXXXXXXXXXXXXGCGVALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALLERKQVIDNAPHLCHALPCMTPCFGWFETVYYWVGLKMYDLVAGRHLLHLSRYYSAQESSELFPTLARKGNDRSLKGTVVYYDGQMNDSRVNVGLACTAALAGAAVLNHAEVVELLRENGKGRVIGARIRDNLSGKEFDSYAKVIVNAGGPFCDSVRKLADKDAKSMICPSSGVHIVLPDYYSPQGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTKITMLPEPREDEIEFILDAIRDYLNVKVRRMDVLSAWSGIRPLAMDPNAKNTESISRDHVVCEDFPGLVTITGGKWTTYRRCSFNENMAEDAVDAAIKSGKLNPLNKCLTSNLCLVGGDGWDPAFFTVLAQEYVRMKNTQNGTLVRGVMDTAAAKHLSHSYGTMSQRVASIAQNENLGKRLAHGYPYLEAEVAYCARNEYCESAVDFIARRSRLAFLDTDAANYALPRVIELLAAEHNWDKSRQNEEMETAMKFLETFRSSKNAHFHDGWHT >cds-PLY67811.1 pep primary_assembly:Lsat_Salinas_v7:9:7107565:7108325:1 gene:gene-LSAT_9X581 transcript:rna-gnl|WGS:NBSK|LSAT_9X581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSMSNVVLDHNLTYAATQAMTYLTAGSRHVQQFDELEHAHSVLSTSEAGLQDEVSGLGATVRRLEGENKSIVSEKMVLENVRSALEGQVDSLTKANEGLMIHNESLERDLVDRVQELEVDAGTYDPSASDLRSSHSSALDDALLVFATMDFAGLLGLGHLDVDGVCALCAFDEGEDTMEDLGVGDGEAGDGVKVDDVGGAGGGGKVDGASGDDGV >cds-PLY62834.1 pep primary_assembly:Lsat_Salinas_v7:4:28737278:28737772:-1 gene:gene-LSAT_4X19401 transcript:rna-gnl|WGS:NBSK|LSAT_4X19401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSVNIDEDDYFSNHTSETFTQPPPSVASPSAYSPYATSPSGKPNKRAKPSTPRPRTTSASPDPPSSASPKVYITADDLALEMQKALRHLTQGTKIPQCLEKLELLELGPVDALQFAAYHIFGGTMNMREMWVNSNNPQILRGWIEMTAISLGVLKDGKIFH >cds-PLY66156.1 pep primary_assembly:Lsat_Salinas_v7:2:19376803:19378913:-1 gene:gene-LSAT_2X8861 transcript:rna-gnl|WGS:NBSK|LSAT_2X8861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGKPTGRFSNGKNIGDFFAEGLGVKEYLPAFLDPSLQENDLLTGVSFASGGSGYDPLTSTVSAAIPILDQLNLFKQYIGNLTRIVGEEAVTNIMKNSVSVVCSSTNDLVISLPARSVLIDVPTYDRMLVNLTLNFIQELYKLGARKIVVFSAPPTGCLPIERTLNGGILRMCDQKENEAAQLYNNMVKEQLPVLASSLPKSRIALVDFYNPLINIINNPQQYGIHNIHYLIFA >cds-PLY82919.1 pep primary_assembly:Lsat_Salinas_v7:6:133317856:133326880:-1 gene:gene-LSAT_6X80921 transcript:rna-gnl|WGS:NBSK|LSAT_6X80921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEINHFSHENHPLKLINSKTIVGVSFDGGDITPQVIGCYACEKPISSGFAYACIQCCYFLHKACAQLPHTINDPSLYYHHHPLTLTDMKNTDSRSRQCDVCRIRKTPGVFSYIFIKDNKYTFVACIDCCVARISLKAEVDAIKEEPKIKVQHEGHPHHTLTLQLRPAALRCDACNSKDEGLFYECDSCDFWIHKTCASLASTINLPHHPNHPLVLVYSLSEKFFNFPYYCEICNEYILRNEWLYQCANCRYFAHIKCALHAELPSTPRDGVSTVDEDESGLLHFPMSGPFTDPLKLLYFEKMTQYDDETTNINHWSHEHPLILHVQPQPKPNNMSSCSDPIEVCHGCVRPLTLPYYSCKDGCSITLHKYCAELPLKLQHPLHPNHLLDLINTRQHRNYYKCVGCRSFSNTFLYRCETCEFNLDVNCAFLPNTIKHKSHKHHLIQVIDLKLICNTCNKWFKGISYACKACSFTLDMYCAMRMSDSLGHRYCKGHEIPLTYPPVMDHPEDFYCDICEKEMHPKFPLYYCNKCKNSFHLDCISRIDYYANILREGTLNDSCHKDPLTFVWRKKTPKYVCSNCNQDINGYLILECRARVCNFSICYDCYNNY >cds-PLY98001.1 pep primary_assembly:Lsat_Salinas_v7:4:192517229:192520829:1 gene:gene-LSAT_4X110341 transcript:rna-gnl|WGS:NBSK|LSAT_4X110341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFAFKALLDAKVNRNRQSSNSKLMKKFTIASQRMVSRKKDYLNENLVKVDCMVNSRDNPIEIDDDEVSEGKKFLSVEVKKENYVSDSDFEVRSITRSKKLVNTSVRKVSKTFVSEQEFSSDSDFEDGSSSSVKRVDKIADKKVKKKMELNCAKIKSLYSRVSLHSIYGVVNSMNHKQKECVRSLGFGSLIDMKTQSIPAKLCYFVVDSFDPLEMVIKTEVSNILVTREDVNRVLGLPMGVDQLNSVDLRGNEEWYEIWKDQFKKPLSLITPNNVVYKIIERCEADMVFVANFIILVCTCFGSCNKQGACNLKLLPYLSESYKLDNFDWCTYVLNCVKEEKLIWGRSDIKTFFNGRSVFLTLLYVDRIQCRQMLMVRRYPVINNWTLEQLKVREMNEISNGGFGRPTATVESVDEVSRGSILSSGKSSSCDDKSNEFRDAGQSINNSVGGNKYGSAYSFGKSGFVESKLHVDCEGGHKEKCVDEGIPSFNLGIEDDMHTPPKVSPGIEDDMYTPPKVNPGIDSYVSKNFVSVGISSDSVKGNEPKSRDETEKVKILEKDMISSRPKRSQTLPLVLRSPFVVRAVEIDSNLMKEENIKFNWLFSLCGNPTDDLFHSINGQRGERYMFESLCPGEFLFSGTIDCFVEVLNYDERARNLDTPSCFFFKTAVLDPAYMHSEACKYDDVYENFKENVFHCLGESKERRNLKGIDLVFFPACANSHYFVFVFYFKNRKAVILDNILYRSSEKPYPHLTQNLKYMFGRYL >cds-PLY70713.1 pep primary_assembly:Lsat_Salinas_v7:8:166453120:166453506:-1 gene:gene-LSAT_8X108361 transcript:rna-gnl|WGS:NBSK|LSAT_8X108361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHMQKLADYVGLPCRIARGCKYCVEDHRSSCLVKIQNDKSTREYVMDLIGQPGNMYNPDSSINGDILSSVPSPFQSSHLKEVEQVYVDRASISQVKSTWLLIVN >cds-PLY70025.1 pep primary_assembly:Lsat_Salinas_v7:8:146321055:146323480:1 gene:gene-LSAT_8X99841 transcript:rna-gnl|WGS:NBSK|LSAT_8X99841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTQVKNTDSLSLDTNTTEHGVLSEDIPTDIMVHVGDAKFPLHKFMLVAKSNYIRSLVVESGVPDLEMIDLSNIPGGAEIFEKVARFCYGVNFEITVNNVAALHCAAEYLEMNDHGNLASRTYAFLAKVALTRLCGAITVLKSCEDLLPIAEKLNIVNQCVEVASAKACDEAYFPSRSPPNWWTEELSIVGINFFQKIIHSMKSRGAKALIIAVAIITYAKRTLPDLVRYHSVAAVKSPISDHSSVSRIKQRNLLESIVALFPIENQQAVFSINFLCCLLRTAIFLEANVDCKKQLEKRISVILDQATIDDLLDLSYTFDRERLCDMESVRRIVTGFVEKEKSVVVFNSGDFGEAPSPAMLRVAKIIDAYLAEIAKATELSISKFNGIANLMPKNAREVDDDLYCAIDRYLKSHPNLDEIEREKVCSTMDPLKLSTEARTHASQNKRLPLQIVLHTVYYDQLQIRGDIDGQSTPGAQSMRCQVQADVALAKENETLRSELLTMKMYISDLQKNQVGATSSKTKKMKKPTLFSSVSKTLGKLNPFKSGSKDTSNIDDGIDHVKPRRRRFSMS >cds-PLY84056.1 pep primary_assembly:Lsat_Salinas_v7:6:187595620:187597572:1 gene:gene-LSAT_6X114740 transcript:rna-gnl|WGS:NBSK|LSAT_6X114740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKKEIDDIIRGPPMEVKRQEGIVKIYDSQVLFCAAKAGNTRFIIKLIRSYQDLIWKLIAIKRRHANIYNLLYEIGSMKDMITPIKDKNGNNMLHLVSKTAKPKRLENVSGIALQMQRELLWFNVSLSFQDYEWSFFLYMPEVERMIPPSYRKCKNNDGEEPYDLFTINHEKLVKKGENWMKNTASQCMVVATLIATIVSLLLSHFLQKPTVIIFVIANAFSLVFSSTSVLVFLSILTSRYAERDFLEMLPKKLMLGLATLFFRSWVPIILANLVALPVILFAILDFRLLGDVYHSVYESRHLFKPKKRILYY >cds-PLY82956.1 pep primary_assembly:Lsat_Salinas_v7:1:18040487:18041537:1 gene:gene-LSAT_1X15720 transcript:rna-gnl|WGS:NBSK|LSAT_1X15720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSMHFHWSNKVSNEDQETPTPINPCHKPNVSHAEPHKPPPPTPSPLPRKKLQAVTVARFRSVLTAIRQNRANLQNTLGPKVVGTLFGSRRGHAYFAFQKNPTAQPAFLIELQTPISGLVKEMASGQVRIALECDKEEEKTKKVGNSRKLLEEPVWRTYCNGKKCGFAVKRECGEKEWRVLKAVEPISMGAGVLPAEKTVAGEDEETMYMRAKFERVMGSRDSEAFYMTNPDSNGAPELSVYLLRV >cds-PLY80674.1 pep primary_assembly:Lsat_Salinas_v7:5:247132787:247133264:1 gene:gene-LSAT_5X123060 transcript:rna-gnl|WGS:NBSK|LSAT_5X123060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSYSISSSLNRSSKKSTVNDPKTCDCGFPARILTSTTPKNPGRHFMVCNEMPLMEVVEGMKAELVALKTEVEKVKEDMEQMKKEKYSDAIAMKEKLYKFTIGFLFLIIVYMMK >cds-PLY75199.1 pep primary_assembly:Lsat_Salinas_v7:2:202784516:202785223:1 gene:gene-LSAT_2X124221 transcript:rna-gnl|WGS:NBSK|LSAT_2X124221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQQQGRKISDQVSEQEKKELDKRAAEGETVVPGGTRGKSLEAQERLAEGRSKGGQTRREQLGTEGYKEMGKKGGLSTEEKSGGERAEEEGIPLDESKYSNKISKADEDK >cds-PLY69422.1 pep primary_assembly:Lsat_Salinas_v7:5:302228035:302231539:1 gene:gene-LSAT_5X161241 transcript:rna-gnl|WGS:NBSK|LSAT_5X161241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTAQIANGVGIGIAIGNNALSRRATSASPSNHSISLTPNSLLLALTCRRTTTLRFDSVVNIGGRFCSHRRSLPPSSLRVSASSADQPTSSGEGVENLVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGITGPDLMDRMRRQAERWGAELYQEDVEFIDVNNSPFTIQSSDRKVKCHSIIVATGATARRLNLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEAIYLTKYARHVHLLVRRDQMRASKAMQDRVVDNPNITVHFNTETLDVVSNTKGQMSGILIKKHDTGEESVLEAKGLFYGIGHSPNSQLLEGQVDLDDSGYILVKDGNAKTSVEGVFAAGDVQDHEWRQAVTAAGSGCIAALSVERYLVSNNLLIEFHQPHTEEVKKELTPRDVQEGFDITLTKHRGQYALRKLYHESPRLICVLYTSPTCGPCRTLKPILGKVIDEFDQNVHFVEIDIEEDPEVAEAANIMGTPCVQFFKNKEMIRSVSGVKMKKEYREFIEANK >cds-PLY97908.1 pep primary_assembly:Lsat_Salinas_v7:4:91001715:91005623:1 gene:gene-LSAT_4X59541 transcript:rna-gnl|WGS:NBSK|LSAT_4X59541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDIGNENCVIAAAKNRGIDVLLNDESNRETPAVVSFGEKQRFLGSAGAASATMNPRSTISQVKRLIGSEYKNPLVQDDLKLLPFETSEASDGGVLIHLHYLNEKHTYKPVQILGMLFAHLKQMAEKNLETPVSSCVIGIPSYFTDLQRRAYLDAAHIAGLKPLRLMHDCTAIALGYGIYKTDFSDSRTTNVVFVDIGHCDTQVTVAAFEQGHMKVLSHAFDNHLGGRDFDEVLFKYFAEKFKQQYNIDVYSNTRASIRLRTACEKLKKVLSANAEAPLNIECLMDEKDVKGFIKRDDFENLCSELLERISSPCYKAVNDARISADKIYAIELVGSGSRIPAIMRKLTSLFGREPRRTLNASECVARGCALQCAMLSPTFRVRDYEVQDSFPFAIGLQSDEGKTIALPNGALFSKGHPFPSYKMLSLHRHNTFYLEAIYTNENDLPLGVSSKLTHFTIGPFSSGEKSKVKVRFHLNLHGIVTIESASLIEEDHPHSPSEHMGTETHMSNGDSTPSTTHTGDEMRKNKVKRHDIPVSEYVFGGTTRDELIEAQETERMLANQDIYVEQTKEKKNTLESFVYDTREKLLSTHRSFATEEEREGISRNLQETEDWLYEDGDDESQQAYIQRLEDLRKLVDPIENRYKEEDARIQATTTLSNSIKHYRKAADSLPPSDKDAVYTECNKAEQWLLEKSQLQDSMPKNADPVFWSTEINRKAEVLER >cds-PLY64016.1 pep primary_assembly:Lsat_Salinas_v7:4:295974491:295975846:-1 gene:gene-LSAT_4X152860 transcript:rna-gnl|WGS:NBSK|LSAT_4X152860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >cds-PLY89517.1 pep primary_assembly:Lsat_Salinas_v7:4:149302153:149304862:1 gene:gene-LSAT_4X92360 transcript:rna-gnl|WGS:NBSK|LSAT_4X92360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQIKDFFAGILHSGKNINLSNKRKKLFLTAFASILLLSALIGIVIGVKSRNSTTESIRVSAAHAVVKSSCSATLYPELCYSTITSHPDMIKKVKTQKDVIELAVNITTTAVERSYFQIKKLTTRKGLTHRQITALHDCLEMVSETLDDLHDVIKDLEEYQTNKSIKQHADDLKTLMSSAITNQETCLDGFSHDEADKKLRKSLEKSERRVEKMCSNALAMICNMTRTDLANERKLNGRNLKEEQNNAWPEWFSTGDRRLLQSGTVKANVVVAADGSGNYKTVAAAVAAAPSKSKTRYVIRIKAGVYRENVEVPSSKTNIMFMGDGRKNTIITGSRSVKGGSTTFDSATVAVVGDGFLARDITFQNTAGAANHQAVALRVGSDFSAFYQCDMLAYQDTLYVHSNRQFYINCFIAGTVDFIFGNAAVVFQNCDIHARRPGPGQKNMLTAQGRTDPNQNTGIVIQKCRIGATSDLLPVIGSFPTYLGRPWKLYSRTVVMQSTVSNVIHPAGWFEWDGNFALDSLSYREYQNTGAGAGTSGRVKWKGFKVITSSSEAQGYTPANFISGGSWLSSTTFPYSLNL >cds-PLY93541.1 pep primary_assembly:Lsat_Salinas_v7:2:173714125:173718241:1 gene:gene-LSAT_2X95521 transcript:rna-gnl|WGS:NBSK|LSAT_2X95521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQNSDPTKRPKPGPWPPGQDSNDAPAMPPSSWAKRTGFRPRFSGETNASDSGQITRPPVDLEAGRVRPVPAVNGTAPPPPANAQAQVQSDKDQSVKKKREAESGPARLPPKHGANRPPAHNAVAVETPAAPQPSQRVVRNENGENALPQTVDDEFVSRHSHMKYELRDTPGLVPIGFYGFQHYLSMLGSLVLIPLVIVPAMGGDHEDMSMVVSTVLFVSGMTTLLQANFGSRLPLIQGPSFVFLAPALAIIKSPEFLGLNGNNFKHIMKELQGAIIIASAFQAFLGYSGLMTLFLRLINPVVVSPTIAAVGLSFYSYGFPQMGACLEIGMVQILLVIMFSLYLRKVSILGHRVFLIYAVPLGLAITWAMSFLLTEAGAYKYDGCDVNIPTSNMISNHCRSHVARMKSCRADTSHALNSSPWFRFPYPLQWGTPVFNWKMAIVMCVASLITSVDSVGSYHASSLLVASRPPTPGVVSRGIGLEGVSSILAGLWGTGTGSTSLTENVHTIAVTKMGSRRAVELGACVLIVLSLVGKVGGFIASIPQVMVAGLLCIMWAMLAALGLSNLRYSEAGSSRNIIIIGLSLFFSLSIPAYFQQYALSPNSNSAVPIYFQPYTVASHGPFRSQYRGLNYVMNTVLSLHMVIAFLVAVVLDNTVPGSKQERGVYVWTEPEAARREPGVAKDYGLPFRVGKMFRWVRWVGL >cds-PLY68268.1 pep primary_assembly:Lsat_Salinas_v7:1:27361239:27362925:1 gene:gene-LSAT_1X23821 transcript:rna-gnl|WGS:NBSK|LSAT_1X23821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLPFLFVSFLLILTSYLFTCFFRRKFSNLPPTVFPSLPIIGHLYLLKPPLYRKFAKISAKYGPIFCLQLGFRRVVVISSPSLVEECFTKNDVILANRPKMLFGKIIGVNYTSFAWAPCGDNWRNLRRIATVEILSIHRLNEFHDIRAEEGRSLIRKLVSSSSPVTMKLVFYELTLNVMMRMIAGKRYFGGDNPELEEEGNRFREMLKETFILAGTSNVGDYLPILSWLGVKGLEKRLIALQEKRDVFFQGLIEEVRRSKGNETGNKRKTMMEALLAAGSDTSAGTMEWTMALLLNHPGVVQKAQNEIDQIVGKDRLVDESDISNLPYLRCIINETLRLKPAGPLLVPHEASEDCVIGGYSIPGGTMVLVNQWAIHHDPKVWADPEAFKPERFEGVEGTRDGFKLLPFGYGRRSCPGEGLAVRVLGMTLGTMIQCFDWERISEEMVDMSEGSGITLPKAVPLVAKCKPRLEMQNLLSLFETS >cds-PLY81378.1 pep primary_assembly:Lsat_Salinas_v7:4:36284196:36285108:1 gene:gene-LSAT_4X22981 transcript:rna-gnl|WGS:NBSK|LSAT_4X22981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNLILCLGGKRHECSLVELSWRLKLYKQSKAMSARFPIFLDHFHKHLPQVIDEAEWWTTIANGSYTPISASERCIRSFIHRFIHRLIAFSFSQKKDGDNIPMLDIFFLWCITTQSNFCHLPYCVADFHIDRAVKDRTGAPIYGGILVTKLACAHIVLDWGGGGNYVVLNDDPVEGRNVRPRVEEEEPIRDEPMIDELPMDPYHVMAWRYDDDVGRGLNYVGYSLEGIMCHLAIIPSVRMPDRYNYIPTWEESWANNHGGAGISGAGDRDDEEED >cds-PLY93760.1 pep primary_assembly:Lsat_Salinas_v7:6:144903343:144906805:1 gene:gene-LSAT_6X87540 transcript:rna-gnl|WGS:NBSK|LSAT_6X87540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPNQEAIETFMSITGSSESVAIQKLTEHGGDLNVAVNAHFTEGDRNIRQATSIAAPPEDFMDIDDPTLPAQRPPLSLFPSARDININPFSLLDPNFTRSIFDSGPGFRGSEPFVSHPREVRQIPIEVKDGPSTESDHSGHAPRIEDVTETTTENVPETRAHVIIDDDDDDEDFPTSLPSRAGVNTRLTASAPGIGDSHDHGIEEEMIRAAIEASKQDSQMSQRDVAVPNLRQLEDPELAQAVSLSLKTAEQEKALRQLGSEVGPSEPRGSKFEVEEVDTLSASNGRLDVGSSSLIPDEVEDVEDQPLVRNRIRFMASTSTDSAKDKDIEEVNLSSPSSPQHQPIINPPPNNANEFHEWGGISSLEHDEAVMLEAALFGGIPEGTGYSVNVPYAPHQFMQNGLIDGHGGGLGSYPRPAPRPPSPSLTAQRLIREQQDDEYLASLQADREKELLEQSAKEAAMEEERRKEEEARIKLEEEQEIERQLAAKEASLPHEPSPDDENAVTLLVRMPDGSRRGRRFLRTDKLQYLFNFIDVARVVKPGSYRLVRPYPRRAFSDGESSLTLDELGLSSKQEALFLESI >cds-PLY91064.1 pep primary_assembly:Lsat_Salinas_v7:5:171571417:171571921:1 gene:gene-LSAT_5X76240 transcript:rna-gnl|WGS:NBSK|LSAT_5X76240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFLMDIRAKRPAICCSSSSAPRSRRSRRLDFFSALPRYVDSGDCSCVCEFCGAYFWYLERVAMFSTPAHPRYSHCCQDGGVVLPYPPAFDPDFVALYENWRIEGFQLYQSFVTVSTSGGIGAGQGVLVIPAT >cds-PLY95734.1 pep primary_assembly:Lsat_Salinas_v7:2:116903841:116904671:-1 gene:gene-LSAT_2X53700 transcript:rna-gnl|WGS:NBSK|LSAT_2X53700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLIYYDLYQATCLEKFVTAYGQLLKASGEELVTWKRASSMDEVLPTTDVLNGLYMVPTKESKAICDII >cds-PLY76547.1 pep primary_assembly:Lsat_Salinas_v7:8:91103508:91105614:1 gene:gene-LSAT_8X63660 transcript:rna-gnl|WGS:NBSK|LSAT_8X63660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATHLIKRITSNPSHSPFSLRLKTTSSQYVASRIRDATFEKFMDKYKNLLKVISIQDLILANPNNPPSVSVDFLNRLSQKLHLNRGATAFLRKYPRIFEIFYDTSLSQPYCKLTPLAMQISKEEHEAITKTHPLVINRLVRLLSMSLSKTLPLRAIFKVWRELGLPDDFEDSIISQNPNLFLVLDAHEPNTHLIKLIDTNHGHDLTTAVENWRVMECCKDDCSVDRTEIRFSFKHSYPPSMKLKKNFRAKVKEWQKLPYIGPYEEVRGVKKRSKSGVMEVEKRAVGIVHEFLSLTVEKTVEVEKISHFRKWFGIDLNVRDLFLDHPGMFYLSTKGKRHTVFLREGYERGGLIDPNPVYCVRRKLLDLVVLGRRGLNSGDYKLSESEIESEEDDDDVEEMEND >cds-PLY65069.1 pep primary_assembly:Lsat_Salinas_v7:1:119756109:119758890:-1 gene:gene-LSAT_1X91760 transcript:rna-gnl|WGS:NBSK|LSAT_1X91760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTNNFQNGEVSIDRFDLHLGSECNEEEPVVLGVDGGTTSTICVCMPLLRISNSHNHLPDPLPVLGRAIAGCSNHNSVGETLARDTLEQVMMEALSTSGSKKSAVQAVCLGVSGVNHPNDQERILNWLREIFPRHVKLYVQNDAVAALASGSMGKLHGCVLIAGTGSIAYGFSEDGKEARAAGGGPVLGDWGSGYGIAAQALTSVIRAHDGRGPHTMLTNSILKSLSLSSPDELIGWTYADPSWARIAALVPVVVTCAEDGDDVANQILNDAVEELALTVKAVVHKLGLCGQDGSGEFPVVLVGGVLIPNKNWDIGQKVVDRLIKTYPGAFPVLPKVEPAVGAALLGCNFYMKEKERNGVI >cds-PLY65258.1 pep primary_assembly:Lsat_Salinas_v7:8:231339534:231342901:1 gene:gene-LSAT_8X139460 transcript:rna-gnl|WGS:NBSK|LSAT_8X139460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNQRGSLGLHLIFVCVFLFATACTCLGVGKMSVVCSEQERAALFKFKHSVKDDFEILSSWVGNDCCLWEGIQCDSVTGTVESLHLRGDWGYFSLSEGYFDYVGEGYLVGDEVNSSLAELSHLKYLDLSRNYFKGSRIPEFIGSLKQLVYLNLSNAGFQGIIPPHIGNLSNLKVLDLSSNYGMKADDMAWTFGLPLLQHLDLSSLDLSGTKNWNMVYIIPSLKRLSLSRCRLSSIHLSPSFNSSRVLPNIRHLDLGFNYFKGSLPGFFQNITSLVFLDLSNFGLNYAWKFANLLNVIPSLLELHVSGCGLHNTHLSHPHINFSVVSNIQHLDLSANSIGDVFPSVLTNMSSLRVLDLSRNMLNSSVPVMPNLLELDLSSNRFKQIEQVGIWRQCYLKKLSASKNPFDIEMIESLQNISECTRYALERLDLHESLYGTIPQTIGRLANLRGLDLSRSGLTGPIPKSLGRLRFLEVLDLSSNELTGPIPTFHAKLAKLDLSYNHLKGSIPESFGNLSGLTYVDLSFNRLMGPIPASLGRLVSLQAISVSSNFLNGTIPVSVGQLADLRSLDISNNSLEGVFSEAHFANLSMLKSLDTSFNTKLIFNVSRDWIPPFQLVVLQIRCCNIANGFPQWLRNQMKLRELVLSNATITGPLPTWLKKMPIVPFLELSHNKLSGPLTNLPNGKTFDVYGYFMARVLFLQDNLFNESIPRSLCRRGDLDDINLSRNRLTGKIPKCLENLQSLRTMAFSSNRLSGVIPNFNSSSLRWLQLNSNNFIGEIPQALRNLQKLFFLDMADNALSGNIPQFIGEKLPSLIVLRLRQNNFNASIPQSLCKASTLHILDVAHNNLTGTIPPCLGELNAMIVSSKVVSRRKDYGTGETLVQFMKGVDLEYINAWAMVFNMDLSSNKLVGEIPDELTALTMLMGLNLSNNHLTGGIPDTIGNMLKLESLDFSRNELNGVIPPSMAALTFLSHLNLSHNNLSGQIPAGNQLQTLDDPSIYVGNKDLCGPPLLKNCSNHENPITRMPKKQYNAVGEPSELWFYVDIMSGFITGFWGIIGVLLFKKKWRQNLFTFAEETMDKILIAVIIGVAKMKKVREGA >cds-PLY62108.1 pep primary_assembly:Lsat_Salinas_v7:4:160217842:160218009:1 gene:gene-LSAT_4X96300 transcript:rna-gnl|WGS:NBSK|LSAT_4X96300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREVRWSEESDVDLGDVIIEELMATDGKLAFASRSIIEGRVLVTNQSIDFSIFSD >cds-PLY96306.1 pep primary_assembly:Lsat_Salinas_v7:8:156225050:156231230:1 gene:gene-LSAT_8X104541 transcript:rna-gnl|WGS:NBSK|LSAT_8X104541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGASRTMLLVVSGDEDRWLMEQINRFKVQIGGVLWFHAAMFSGDIWCFLVAAADLQRRRTEMREKDMRGVGFWVGPISISTLCALQTLSAEPVVEPLFQGIPVDFEVMRSYPKARINVEEQLNEENFYLPTEEGEQGRLPVLILSMKECTQSKRPAVVFVHPSNANKEWLRPSLEDYASRGYIAVAIDSRYHGERAKTPTAYQDALVSAWKRGDIMPFIYDTVWDLLKLADYLTTRDDIDHSKIGITGNSLGGMHAWFAAFVDTRYSVVVPIIAVQGFRWAIDNDQWHARVDSIKPVFEEARIDLGKETIDKEVVEKVWNRIAPGLASEFDSPYTVPIIAPRPLLIINGEDDPRCPVEGIDVTISKTRKAFEDAQCFNHFKVIIEAGIGHDVTSSMLKEASDWFDNFLKP >cds-PLY71162.1 pep primary_assembly:Lsat_Salinas_v7:9:82416151:82417356:1 gene:gene-LSAT_9X65900 transcript:rna-gnl|WGS:NBSK|LSAT_9X65900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRWKVPMEHINNSKKRNLTFQTRKNGIIKKALELSTLCDVNVSMVIRTDHQEPEIFPPDPHKFTSLTELYKRNRIMDAGKIRYYTLADFFKEKTMKVEEELAMAKKKNLEAKYPTWFDFLNNYKEVELRELALKLESKINDVKNKVESLKDISKIHNQDNYTIHPTAEVNADSSSMMPSSNLCGGDYHDLSLDHEELIGDENNDCYPQENFTLPPYFSPEKLERHEPTSGDEQNKSIMQASNPYSMMKLPLSEDYNYYYKQNQEHNRIYPTTVPNIQISSIMPPLNHCPRAEELGFNPIEPLSDQYNGNYHHENLSVQPTFSPESLMPPINHCPRDDDELGFDPTQLLNELGFSPDILPPFSDQNSMILHASELDSMMKLITSEDDNDHCDHESFNLQLA >cds-PLY74672.1 pep primary_assembly:Lsat_Salinas_v7:5:178194982:178196274:1 gene:gene-LSAT_5X79021 transcript:rna-gnl|WGS:NBSK|LSAT_5X79021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEPHPFPNTIKTELRTEILLFNILQRLPFKSLRRFMSVCRQWYSLITTPLFNILPRLPAKSLCRFMSVCKQWHSVITTPAFAKIHLHHLTNNDDQNHYKFLVLSLSEPYRFHTMDCETPKDPSPATRFVPFEGASWETFSIVTSLHGMLCVGITKSSREDAEYSDLILWNPLMGEYKTLSKAGSDTICYMKTKSPFGLYYSSSDNDYKILRVTGYHGGYIYSLKSDSWRKVVIETYNFEPRLYGWFSYTWEPTALLNEKLYFLKQECYGNCIRNSYAIMMFDTKTEKFTAIAAPSFKYQLTTCSSFMVHRDRIHLCVTISGGNCVFNDNIELWRMDGDGDWTKVVNYRPMSIFLWHEYPMHLMRNGDWLMHSRKIGGGILQLDMDKQTTDVVYPNMNTGIYIPLRGKYIETIVSPNQYTKKHLHQTLLT >cds-PLY90512.1 pep primary_assembly:Lsat_Salinas_v7:2:42937351:42937575:1 gene:gene-LSAT_2X20360 transcript:rna-gnl|WGS:NBSK|LSAT_2X20360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDRPDSTSSGAIRGTLQQTADTSAQADEASPVARMKNLEGPEGTPHVATVVRDQNIVTGKFVSDEERTYKAKR >cds-PLY91068.1 pep primary_assembly:Lsat_Salinas_v7:5:171110313:171110747:1 gene:gene-LSAT_5X77100 transcript:rna-gnl|WGS:NBSK|LSAT_5X77100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGHEFSMGYLETMRAVQNYGTHWGILGSDDDNGGEQQGDQPAPQPRPRRRNVRGRGERGQLVHPPAPMVGSHIGDDMIGYFDQLSLSVNRIGGTMENMVQHFNVEQPPYLGYDYRIRPRMSEYRGQGGDRADTSGARDEEEDD >cds-PLY70735.1 pep primary_assembly:Lsat_Salinas_v7:8:168160413:168164767:1 gene:gene-LSAT_8X110480 transcript:rna-gnl|WGS:NBSK|LSAT_8X110480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGSCLGRFVVEKNSLRVTSPDNLKDTYECAIGNFGVPQYGGTLTGAVHYPKSNQKACQSFESSLKSEPAGGLPIFALLDRGECYFTLKAWNAQKAGAAAILVADDRPEPLITMDMPEEEKAQADYLQNITIPSALITNALGNSIKKALTKGDMVNIKLDWTEALPHPDERVEYEFWTNSNDECGAKCDSQINFVKNFKGAAQILERKGYTQFTPHYITWYCPEAFTLSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQACFHKVANETGKPWLWWDYVTDFSIRCPMKEQKYTKECADEVIKSLGVDLKKIDECVGDTEADVDNSVLKAEQEAQIGKGTRGDVTILPTLVINNRQYRGKLAKQAVLKAICSGFEETTEPAICLSDEIETNECLENNGGCWMDKAANITACRDTFRGRVCECPVVQGVKFVGDGYKHCEASGALRCEVNNGGCWKDTKLGMTYSACIDDHTKGCKCPPGFKGDGVNSCEDIDECKEKTACQCPDCKCKNTWGSYECSCSGNLLYMKEHDTCISKSGKSEVSWGFVWVIILGLAVAGTAGYAFYKYRIRTYMDSEIRAIMAQYMPLDNQGEVEVHGTHHGNV >cds-PLY92490.1 pep primary_assembly:Lsat_Salinas_v7:2:152191016:152195811:-1 gene:gene-LSAT_2X76440 transcript:rna-gnl|WGS:NBSK|LSAT_2X76440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQHVEEIYLEVWSDLSLLPDALALAGQAILASSYSQKNYGEARRVIDRVLKIGLISGGSLAFVLFLFTTDSQVLNIERSGTLEKDGQCMYGLILYTLDRLYRAVERHIKATSEWQRLILLHGQ >cds-PLY66394.1 pep primary_assembly:Lsat_Salinas_v7:4:119211020:119211352:-1 gene:gene-LSAT_4X75340 transcript:rna-gnl|WGS:NBSK|LSAT_4X75340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQQSSWLLDQLLKLCPITRSKDLYVLKQRFKPTKNNPKGLSDLPGIDIFVSTTDVEKEPSLVTANTILSILVVGGGIRWQWWSTIGKEALKMVIVTRDDDEVPFDHFQ >cds-PLY89279.1 pep primary_assembly:Lsat_Salinas_v7:2:50295800:50296000:-1 gene:gene-LSAT_2X24020 transcript:rna-gnl|WGS:NBSK|LSAT_2X24020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLPWEWRGIQKAKKEASDYNLNWRCGCEERQCLTVKAKHGDNNEVYGAAVAVKLRGGTGEQEERR >cds-PLY83318.1 pep primary_assembly:Lsat_Salinas_v7:1:63262184:63263082:-1 gene:gene-LSAT_1X54200 transcript:rna-gnl|WGS:NBSK|LSAT_1X54200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSCMTTVFIIFLLLAVPGFSKVKHGLGSEVYDIDYRGPETHSHRPPPNRNRPGGVRHGNLNKHPHSHLTQKRHKQGKKA >cds-PLY75134.1 pep primary_assembly:Lsat_Salinas_v7:4:61592866:61593030:1 gene:gene-LSAT_4X41780 transcript:rna-gnl|WGS:NBSK|LSAT_4X41780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGDLKLRRSISLLKCAEMNQRPWKIVTEGSPMKAGDDGEELELQIKNEPYEEM >cds-PLY76735.1 pep primary_assembly:Lsat_Salinas_v7:7:61417101:61423018:-1 gene:gene-LSAT_7X44381 transcript:rna-gnl|WGS:NBSK|LSAT_7X44381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMEVILLSFLLLIQIPIIFASGVLPLKGCNTYNIQFSSNYNHKLFYLNGELVSKDSFCKSLKSNHANDCFINTNLGSHCHEVDQSSEGRRFLKDQKITKDEKNNSEFKTKYYIMGGSGILILCCGFLCPCFHTRKKDTAHTVLKDPSSMDSISSIEMNSVSEKVTASPLRVPPSPARFSMSPKLDRVGSVHLTMSQIARATQNFSPSLKLGEGGFGTVYKAQLPDGQTVAIKRAKKEQFDALRSEFRSEVELLAKIDHRNLVKLLGYVDKGNERLIITEYVPNGTLREHLDGVHGNFLDFSQRLEISIDIAHGLTYLHLYAEKQIIHRDVKSSNILLTERMRGKVADFGFARVGEAETDNTHVVTKVRGTVGYLDPEYMRTYQLTPKSDVYSFGILLIEILTGRRPIESRRSPEEKVTIRWAFGKYNEGEIMDLVDPHMKMKEAVDTEIFSKMLGLAFQCAAPTRADRPEMKVVGEQLWVIRMDYLRHGKRG >cds-PLY96472.1 pep primary_assembly:Lsat_Salinas_v7:2:124475072:124478596:1 gene:gene-LSAT_2X57801 transcript:rna-gnl|WGS:NBSK|LSAT_2X57801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDETPVLDVCRRMAARRVNVAIFTDANSLLCGIVTGKDIATKVVAEKLSPHQTIISKVMTKYPMFVTSDSLAMDTLQKMIQGKFRHFPVVENGEVIASLDIKKCLYDAISGIEKTVERESGNNLTAPSTFVETLSDQKFQSSLSTIITENSKVATVMASDPVYVAAKRMKELEVSSVIIMAGNIIQGILTSKDLLMRVVARNLPLELTFVEKVMTPNPKCATVDTTILEALHIMHDGKFLHLPILDKDGSVVACLDVFQITPVAISMPLNGFAFEWFERLICFPLPPIESEMALFGSEQI >cds-PLY68005.1 pep primary_assembly:Lsat_Salinas_v7:4:257982823:257992052:1 gene:gene-LSAT_4X135940 transcript:rna-gnl|WGS:NBSK|LSAT_4X135940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSGSEFDYLFKLLMIGDSGVGKSSLLLSFTSDTFEELSPTIGVDFKVKHVTVGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRDTFTDLSDIWAKEIDLYSTNQDCIKMLVGNKVDKEQDRVVTKKEGMDFAREYGCLFIECSAKTRVNVEQCFEELVLKILDTPSLTAEGSTTVKRNIFNQKPPSTSDASASGCC >cds-PLY90643.1 pep primary_assembly:Lsat_Salinas_v7:6:51601661:51604338:-1 gene:gene-LSAT_6X37621 transcript:rna-gnl|WGS:NBSK|LSAT_6X37621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVKWKLVFPVYSSTKEEHIPAKTFESQMTESNMVGPESPLPEPLMDRDLAALKLQKTYKSFRTRRQLADCAILVEHRWWKLLDFAVLKCSSVSFFEIEKPETAVSRWSRARTRAAKVGKGLSHHAKACKLALQHWLEAIDPRHRYGHNLHFYYVKWLHCESKQPFFYWLDIGEGKEVNLERCSRSKLQQQCIKYLSPIEREDFEATVEDGKFIYKLSRKLIDTREPTGVKWIFVLSTSNILYVGMKLKGKFQHSSFLAGGATLSAGRLAVWPHSGHYLPTKENFEAFISFLEQHQINIQTIKKSPDGDEEVPNEKMTGYEMRNSVSEPDFSRATEETESKYRNDSKPQRERSGTCPPFSNPKIPTIEIPTKEDIILSFQTSESQTGPTPEPKPEPEPSHSSDEGDDHDETAEKLLSSIELMVSKRNLFDSGEEGYEFPVSEEKIMERINSHKETKSFQLAKQLSCRWTTGVGPRIGCVRDYPTELQFRALEVCLSPKNSLWRLGFKNCEISNRRKKSYSLANIEEFSRSHQSLD >cds-PLY64411.1 pep primary_assembly:Lsat_Salinas_v7:7:83033485:83033703:1 gene:gene-LSAT_7X59661 transcript:rna-gnl|WGS:NBSK|LSAT_7X59661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNVVLKSKRKSSRGSKPSDDNSASKLLKEWSTWTMKKAKVITRYGFIPLVIIISMNLEPKPSISQLLSPV >cds-PLY75750.1 pep primary_assembly:Lsat_Salinas_v7:3:57935755:57936494:1 gene:gene-LSAT_3X45161 transcript:rna-gnl|WGS:NBSK|LSAT_3X45161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMGIIKIANAKQKLRRSLTPKKNGFLGAMDSPKKGYFAVYVGETHKKYFIPISTLDHPLFRELLHWAEEEFGFDNSEGGLKIPCTEDYFEGLISLISSV >cds-PLY81975.1 pep primary_assembly:Lsat_Salinas_v7:9:153479902:153481417:1 gene:gene-LSAT_9X96601 transcript:rna-gnl|WGS:NBSK|LSAT_9X96601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFDMSVKSRNMNSMGYAFPIFVGNQFYNSYEALDSNFSPWQADTSPMNISYEISFRMLANSTSESESGIPSLSLTKNGRVEISAEGFYDSETGRLCMVGCRNLPSSEKNSKNESFDCEILVQFQFPSTNGNNGSFLIRGSIESLREKTDVLYFDNLNAVSLAYTKLEAMESIWRMDLEIILDLISRTLSCLFIVLQLFHIKKNPEMIPLISVLMMVILTLGYMVPLVLNFEAMFSNTRYPQNIPLGGSGGLLEVNEVIVRIVTMVAFILQFRLLQLTWTKKLGNGDKNGNGKSHWNHEIWTLVLCFPVYIVGGLMMFLTNWKNNDYIISSNRSILGDLRSYAGLTLDGFLFPQIVLNIFQISKGNALSYLFYIGNTFVRLLPHAYDLYRGQENISHQFHRFYLYANPGADFYSPSWDVFIACGGFVFAVIVFLQQRFGGRFMLPKRFRETVGYERVPVVSNE >cds-PLY76816.1 pep primary_assembly:Lsat_Salinas_v7:MU042712.1:1864117:1864822:1 gene:gene-LSAT_0X3100 transcript:rna-gnl|WGS:NBSK|LSAT_0X3100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESQIKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDVLTLLESEREARRLR >cds-PLY83540.1 pep primary_assembly:Lsat_Salinas_v7:1:64435903:64437139:1 gene:gene-LSAT_1X55101 transcript:rna-gnl|WGS:NBSK|LSAT_1X55101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDSIVLEEPSSSIAHGGSGRAIDRHNPIIRDEKRLGQGRIFPSSPASTTVDNHHQNLKPDAEAGDQKRKSIAFAYGDGDGDSISPASSSRCLLSDRPTFNFSSDFHDVLPPPPSPPLEPLKFQYRKSLKKDDDLLCSPPPTQQPPPSPPPYEALSFLNLDDDSPTSSPPKPPPSPPPPHESLKLHNRKASDKKHHDQPTLISSVHKLSSPPPPPSSSDQVVVLEVSLHCRGCERKMKKHISKIEGVTSFKIEFAAKKVTVVGDVTPLAVLTSVLKVKNAKLLTPVTISSSSPIEPSHLTK >cds-PLY76824.1 pep primary_assembly:Lsat_Salinas_v7:3:5520215:5521202:1 gene:gene-LSAT_3X3901 transcript:rna-gnl|WGS:NBSK|LSAT_3X3901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPEIAAKAFMDTVKSCELFEGSSVAELISAMAAGWNAKLIVETWSRGDVTTTSIGLAVASTHTCGRHVCIVPDEDSKSEYTAAMEKAGMSPEVVVGEPQEAVKGMTIDFLVVDSRKNNFTRIIKEAKFGHRGAVLVCKNASLTTASDFRLRSLFDGGSRRIVRSVFLPVGKGLDIAHVAAGESGSGSDKVKKSRWFRRVDRQSGEEFMIRK >cds-PLY91146.1 pep primary_assembly:Lsat_Salinas_v7:4:160536849:160538303:-1 gene:gene-LSAT_4X97180 transcript:rna-gnl|WGS:NBSK|LSAT_4X97180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVSHVTQNTQFNILQAETPRAIGSAKEDNEWDLLFEEQRRKIIKLWDECNIPLIHRTYLFLLIQGGPSDPVYIEIELRRLSFLQKAVDHASRFSYTYES >cds-PLY92537.1 pep primary_assembly:Lsat_Salinas_v7:3:253380831:253382858:-1 gene:gene-LSAT_3X139581 transcript:rna-gnl|WGS:NBSK|LSAT_3X139581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:WTF1 [Source:Projected from Arabidopsis thaliana (AT4G01037) UniProtKB/TrEMBL;Acc:A0A178UW54] MASSLTTLPFFILHQTSRIQKPRFSLENPVSVSKTPLEKTPFLGKSLNLQAGSFGGNFGKNHVRISPIQAIVKRRKELPFDNVIQRDKKLKLVMKIRKILLSQPDRVMQLRDLGRFRKALGLQKRRRFIALLRKFPGVFEIEEEGVYSLRFKLTPEAERLYLEEMKVRNEMEDLLVVKLRKLLMMSLDKRILVEKIAHLKNDLGLPLEFRDTICQRYPQYFRVVRTDRGPSLELTHWDPELAVSFQELEEEDKLAKEAEKRDLIIDRPPKFNRVRLPKGLQLSKGEMRRISQFRDMPFISPYSDFSSLRPGSAEKEKHACAVVHEILSLTVEKRTLVDHLTHFREEFRFSQQLRGMLIRHPDMFYVSLKGDRDSVFLRDAYRDSQLVDKNRLLIIKEKLRALVSVQRFRGRVVKSDEGDGGDEEDEVEEEDWSDLDELVSNEMDDDDDDDDGDDDMPPDFDEDDENVKMSQGKEANQDEEKVLDPVFPDGKPRERW >cds-PLY99167.1 pep primary_assembly:Lsat_Salinas_v7:6:130057185:130057427:-1 gene:gene-LSAT_6X79181 transcript:rna-gnl|WGS:NBSK|LSAT_6X79181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVVPGLLNYYLLDVYNLVTDRLVMEHGVIEITKELVHKMTGLPMEWEDLNRMSYCETGNEILEEWKAQFVDKKFHGKQM >cds-PLY82761.1 pep primary_assembly:Lsat_Salinas_v7:2:145930362:145932508:-1 gene:gene-LSAT_2X72041 transcript:rna-gnl|WGS:NBSK|LSAT_2X72041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGACCSKTNPISSTPTPLELKIKPNLQQQPEKRTQASVAIVATAKEQEVAKKEAFVVKHRKSHEIDRHPDQETRKSMDRKKTPISSPTTDVSQSAAVVVNGESGKAVGATVRTSSCTKEEVDAILIQCGRLSRSSSGVKGGETPTRGRRYSGSKRSFDFDMEMGSKNEEDEVIVDGDNHRRRPQREHRVSSPSSRRRSRERDPQQQRSGSKERGSGSGNGGGRRVSRSPNRRSESPNPNSSGSNGNVAAGGNSNRPGKMVSVPATDKSNNPGGVEVVAGGVKKISVKRNAGEALGSRTAASPRSKSPARANLRVLNENQNQQPLTLSRSNSRKAEHSPYRRNPLAEIDTNAAGSDQPNTKAQLQKPNVEKTMNNKTTTVVVTKNTIAKEYQVIDEAKIAVENLKNNQLVTRTRSSRLSRDLDINPETLLNSNPPSYASLLLEDIQNFHQKNPTTATATTVATTPQPPGFSLPACVSKACSILEAVADLNSANNSNERQFKKKDNLVESEMVVDDDLTEPSLHKYVTVRRGAGDADADEHESSGSNSFAGSQQMSWMSSSWEPNSGDSTSCYTSSRSNEVQKSGEYPRSGIGRGRVGVHGRSAYSLPN >cds-PLY74476.1 pep primary_assembly:Lsat_Salinas_v7:7:34315258:34317717:1 gene:gene-LSAT_7X25281 transcript:rna-gnl|WGS:NBSK|LSAT_7X25281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRLPTWKERENNKRRERRRRAIAAKIFAGLRVYGNYKLPKHCDNNEVLKALCNEAGWIVEEDGTTYRKGCKPAARVEANGGSGSTSASPCSSSYQPSPSPNPSYNLNPNSSSFPSSVSSLYTNSNPDPNSLIPWLKNLSSGSSPSSNFPHHLYFPGGSGSISAPVTPPLSSPTCRTPRMTDIPGQAGQHYPFLPCSTPQSPGRQTPTGSGWLSGVQTPQDGPSSPTFSLVASNPFGLLSGTGSRMWTPGQSGTCSPAVIAGFDQNADVPMSDVISAEFAFGSNMKGLVKPWEGERIHEECVSDDLELTLGNPSTR >cds-PLY82249.1 pep primary_assembly:Lsat_Salinas_v7:1:66977445:66978158:1 gene:gene-LSAT_1X58041 transcript:rna-gnl|WGS:NBSK|LSAT_1X58041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKAYDAAAKTTTYPIMFPVILYDGKRQTNVGNMTIRQGLAINEFKTTLSQLIGIHYDDLTTYLVENRKSTPSTEPWKILITDKVNFDVMVRLRNCYFFVVLNRPRTECRMIPGNQSDLLLMHLNLLDSNTNNAQTSAYSYGPSPYCYNYSDGFSDLVMHRENYLNMVLNSGYGFVSPSNVNLPKIEEAESSSNRPLCEDCTTAQKQGKTAEFHLCVYDEVIHGSFRSPAGHISRRR >cds-PLY63893.1 pep primary_assembly:Lsat_Salinas_v7:1:124736532:124744126:1 gene:gene-LSAT_1X93820 transcript:rna-gnl|WGS:NBSK|LSAT_1X93820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSELVNPKLSEGSSSSSSTHGHSSSIRGHGSSIVGHRYDIFLSFRGLDTRLNFTNYLYEALVEANINTFLVDEEEIETGEDLKPELETAIKASRGSIIVLSENYATSTWCLDELELILEQRMTSNHIVIPIFYCVEPTHVRKQKGSFGDSMAKHKQTMEAETDVNKRSQWAQKMDRWNKALTEVANLKGIDVKGRFETKFIEEIVKDIYRRLHVSLRSAQPFLIGMDNHSNFVTSWLKDGSSHTTDILTILGMGGIGKTSLAKYVYGLHCRDFPTSSYIEDISRRCDGKFNGLIDLQKQICNDLLKTNSIQIHDVSIYTSKIENLLARKRVFLVLDDIDSLDQLDALLGSKGFHPGSKIIITTKDTWLTESCALFKTKVKPKHAKHILEGLNWIESEQLLCFHAFMCKHPKDGYEEVSDKLVKYCQGHPLALEVLGKSLHNRDVAYWEGCVEGLKKETDSCVNNILRMSFHSLPSNNDKELFKHIACFFVGIDRYVTQTILNACDINTRAGITNLIDRFLLSIGWNNEFEMHQLVQEMGRFEVKKESFDKPWKRSRLWCHKESFKVLKQKQGKGNIVGLALDMRMLEKEKLGGSFELNTDALSKMDNLMLLQLNYVHMNGSYEKFPDELKGLFMHGFCLKSIPSDLPMENLVALDMSYSNIESFVGSYSNPQRLQKRKKLDGPCLKEIRLLRALKILNLSFCKELRSLGDFDQLPALETLILRNCIGLLEVCESIEQCVELVLIDLSYCNKLEKLPRSIHMLKKVKTVLLDGCNFGESRIVNRDIKLPEMYSGINTRTSSSSFVGDIPNDLKSFTLSLPGSLQRLSLANNKLSVESFPMDFNCLSMLKELYLDGNPIASMPDCLRTLPSLEILGMRNCDLLKSVVYPPRTLKKLWLNSRHEYDETVIEKVVFDPQMSPLRLSSNAIDFVPWSYEIEGMLKIQPMGGVEENVLCSLGWTNIDFLNKRRVETNSWESKIQMIYEFGIFSTMYGVEEMPSWIRDRCLGPSISFFIPSTPNKLRGLNFCYVQMLRFLDDQAPPSPIITISNITKDHTWIYERFMDRYCINRKCWVVLSHWMFGMNEMEAGDHVTITVTVTDPYEERIKECGVSLVYDDGDKMDDEEEDVLGYYKSWNHIIGGDLSPFQTTTGECILHNKRFFEYGVDLFPYHRKLVGEGSSYQGRCHLC >cds-PLY99147.1 pep primary_assembly:Lsat_Salinas_v7:2:11683035:11684879:-1 gene:gene-LSAT_2X4960 transcript:rna-gnl|WGS:NBSK|LSAT_2X4960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQFTAPHSFKASSTLFHDNSTVNFSLTSDLRSPASPDLVRPPIPGDASYCIAFFRSPGTDLKVSMAKVIEKFFVTSMLMWAVPIAILYAFNNNLFPGSADMSPYSVTLISGFLAVVSVNIVIAFYIYLAMKEPSEKHEPDPKFVSEAEASVKHLVVPSQTQETESTQESESTHKKEE >cds-PLY72118.1 pep primary_assembly:Lsat_Salinas_v7:7:59484509:59487261:1 gene:gene-LSAT_7X42521 transcript:rna-gnl|WGS:NBSK|LSAT_7X42521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNFTKIIPLVAEVAFMRGIKYEANAKKQWEKKSDRKSVKIDYITSLVINMSLGGPSLGSGGRTARRAFEFGQTHVVKPKGKHLATIVWLHGLGDNGASWAQLLETLPLPNIKWICPTSPSQPLTLFGGFPSNAWFDVSDLSEESKQDVDGLDASAAHVLSLLSAEPPDVKLGVGGFSMGAATALYSASCFAHGKLGDDNAYSAHLDAVIGLSGWLPCAKDLSSKVEGDEAAARASSLPILLCHGKVDDVVLFRYGDKSLEKLTSTGFKNLTFKTYDSLGHYTMPEEMDEVCSWLTSKLELKCES >cds-PLY70407.1 pep primary_assembly:Lsat_Salinas_v7:9:124215283:124215471:-1 gene:gene-LSAT_9X83140 transcript:rna-gnl|WGS:NBSK|LSAT_9X83140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWLVLPQFRGVAFIYNKFVKEKVIKRYYPRIGGGEHKSSSSSPNGKKKNKPADLMTTKKSS >cds-PLY63772.1 pep primary_assembly:Lsat_Salinas_v7:6:24792006:24792290:-1 gene:gene-LSAT_6X19920 transcript:rna-gnl|WGS:NBSK|LSAT_6X19920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTVGYTTLTMILESLYKLPTSPEYLYQEESIAQRRSWGENLIYYTDIGYLSGAVVGAGKGLVEGVKASEARYTMKLRVNRNEIRGGWISD >cds-PLY75976.1 pep primary_assembly:Lsat_Salinas_v7:2:15503974:15508777:1 gene:gene-LSAT_2X7780 transcript:rna-gnl|WGS:NBSK|LSAT_2X7780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSDSSPSAEGTSGSGANSYSQRNCLPSPWAQVVRGGGEPDPVAPRSPSASQSSVLPEQNLVVSDQLTVTEPQQAAAVETLLEASEGSSNGNASGLKKSAWNKPSPNGVVEGSSTPVMGAASWPALSELTRALPKSTSFTSETSKHTSDGSVTVSQAPVISQQPQRPVRPNASHHANQNHVNPVRQRSMKRGGSSGGGYNRPPPPPPPQPPTPPPMPRFPLYDMSYRGYVPAVLDTSVRDQSPYNGNSFAPRPMGHSNAMNDHHSSNRRRNNFGPRPRGDGGPFLNNGHGGRRDHHDRDWRGPQNHAAIQPPMAPPPPPPPRGYMQQAHLGPAPFIAPQPIRPYGTPMGYEAYRNAPILPRAPPPPMFIPLVDTPLPVKILQQIEYYFSEENLKKDDYLKSNMDEEGWVSLSLIAGFRRVQSLTSDIQLILNSLRDSTVIEVQGENIRSRRDWQKYAKGPLLEASLQNLTLTESTPGETSTSSSDPAHAASPNGDQSSMPNSNQDL >cds-PLY84197.1 pep primary_assembly:Lsat_Salinas_v7:3:102734432:102735631:-1 gene:gene-LSAT_3X74761 transcript:rna-gnl|WGS:NBSK|LSAT_3X74761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQYGDQKYEGEGPQTDEYGNPIPKTDAYGNPLHSTTEKTKGEYGVTGHQGLGHATGATKSYQNKPSATPYSGSDIGTGTGAGYEGLGVAGHKQSSASTGMGTDIGTKTGTGYDQHSEEGYEKLVGAHSTDDHQKVSTTKAGGFAGGYGEGGETEEKKGVMENIKEKLPGCKNTDEHQRVSTTTVGGVGGGGYGEGETHEKKGVMEKIKEKLSGGQNTDGHQNVSTTTAGGIGSGYVEGGETHQKKGMTEKIKETLPGGHNTEEHQRVSSTTGGVGGGCYGEGGERHEKKGVMEKIKEKLPGNH >cds-PLY63751.1 pep primary_assembly:Lsat_Salinas_v7:6:24421767:24422489:1 gene:gene-LSAT_6X19520 transcript:rna-gnl|WGS:NBSK|LSAT_6X19520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANVSSWELEIKSSIAASKVFNEFILKFFEFLAQNVIPKEFGITFTTLEGDGGVGSIKLMSFLDDQFPSVKYKVKGIDLENFTYNLAMIKEENGNEYESTLSEMKVISSTDGGCVFKQKNIDFEAISEDEIETQKEQLAQYFEVFEAIVIQEDSTDS >cds-PLY88301.1 pep primary_assembly:Lsat_Salinas_v7:5:22658658:22664930:-1 gene:gene-LSAT_5X11101 transcript:rna-gnl|WGS:NBSK|LSAT_5X11101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVMLYVIPFLVLVVSFILFMLSDPATSSKGVGPSMLEGRSGYGSTMQDSPKFTSGDYPVATQKYGQKGEKMLTDYPSGREIDSHMLKEQVHILGEICKMSHLFGLLIPLLLVINTRCSINTKPITAPCPFIKWMVIGEVCIGLFAIRDIKIMIHILGFTYPVIHIPGFTHPVRAHFLEDYGQEKLWKTPPVVQEVFNEEEEPGIGDTNTLTVTAVKVEIPASFFVPINIKHEFFKAEKEVDVVQESTSLPAEPFTRIKSVKTKIKGVSTIELFTPDGFNATCVKPGSIKYVRYLMIEEMMGGKQIILVQIVIWKKLKEESGCLYHRVLYLEQNIYLEPYSFPGAEALVVRVVSFVDKKLEVKQQFLEIFQEENYPVEFGYKSKVVLLFQKIEGVEVCLFGMYVLEFGEECPQPNHQRVYLSIWIQSSLVDVHNVKQSNSDVVKVGNKFL >cds-PLY91926.1 pep primary_assembly:Lsat_Salinas_v7:8:197794172:197799174:-1 gene:gene-LSAT_8X127401 transcript:rna-gnl|WGS:NBSK|LSAT_8X127401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 14b [Source:Projected from Arabidopsis thaliana (AT5G45600) UniProtKB/Swiss-Prot;Acc:Q9FH40] MPQHSSKLHSSNQQPDVTPSTPKSQGTKMVRSSDDNEKKNLVKKLKDIEFSVPIVYGNIAFWLGKKASEYQSHRWTVYVRGATNEDLSVVVKRVVFQLHSSFNNPMRVVESPPFELSESGWGEFEIAITLHFHNDVCEKPLHLYHHLKLYPEDESGSMSVKKPVVVESYDEVVFSEPSEVLFARVQNHPAVIVPRLPPGIILPPVSVEDADKRKKFDPKDNPLTQWFTNFSEADELLKLAAARQQIIRDKSNMGSCALRRTMSPSKEDGCPTAAHDKALLYG >cds-PLY72370.1 pep primary_assembly:Lsat_Salinas_v7:5:6301520:6303387:-1 gene:gene-LSAT_5X3641 transcript:rna-gnl|WGS:NBSK|LSAT_5X3641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYWGLSLLCIFKATCLDVLSTATTPNRNNHAVTHSRSTDPIHRFFFTSLYHLSLDLPFLLTHVSLFKSSPDPDDYAFSSSNYVLILDGSSPSLLPVPVHVVTASIKAKVPIIPYSDFAYSHGESETAAFNCYMFLALDKDMNGTLSKQELREYADGTLTYIFIEEGGKTGRGNAREMEFESFLDFVLALENKGTPEGITYRF >cds-PLY98791.1 pep primary_assembly:Lsat_Salinas_v7:7:24590069:24591147:-1 gene:gene-LSAT_7X20581 transcript:rna-gnl|WGS:NBSK|LSAT_7X20581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETFEQGESVAFYVDELQGHERLKSSLAHIGTHALLKMLLVDNFIHADMHPGNILVRNKSSRKGIFKSKPHVVFLDVGMTAELSGSDRVNLLEFFKAVARRDGDTAAKSMLRLSKQQNCPNPQAFIKEVKESFDFWGTEEGDIVHPADCMHQLLEQVRRHRVNVDGNVCTVMVTTLVLEGWQRKLDPDYDVMHTLQTLLLKEDWAKSLTYTIEGLMAP >cds-PLY73269.1 pep primary_assembly:Lsat_Salinas_v7:3:164054041:164055654:1 gene:gene-LSAT_3X101741 transcript:rna-gnl|WGS:NBSK|LSAT_3X101741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTADDIRFLDSTNRFHHSVRFSKPPFHSTQAEERRGKLDIDGRTVTPIHVGRHELKLQLRKWAKGMLLDERQRALGLKVGTPRPPHMALLGNPGTGTGTGTGKTMVARILGKLFFMVGILPTDKATEVQRTYLVGYFSLINLGFMYKDTINKENSNSVAKLLKCGH >cds-PLY74595.1 pep primary_assembly:Lsat_Salinas_v7:7:36979565:36982427:-1 gene:gene-LSAT_7X27421 transcript:rna-gnl|WGS:NBSK|LSAT_7X27421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin assembly factor 1 subunit FAS2 [Source:Projected from Arabidopsis thaliana (AT5G64630) UniProtKB/Swiss-Prot;Acc:Q9SXY1] MKGGTLQINWHDTKPVLTLDFHPISGILATGGADYDIKLWLIASEEGEKKVPTATYQNSLSYHGSAINVIRFSPSGELLASGADGGELIIWKLHNTDSGQVWKVLKTLSFHRKDVLDLEWSTDGLFLISGSVDNSCLIWDVNKGSVHQILDAHFHYVQGVAWDPLNKYAASLSSDRTCRIYVNKPQNKTKGSEKLNYVCQHLLAKVEPQVVEEVKSAKHHLFHDETLPSFFRRLAWSPDGSFLLVPAGKGSYKLSPTSEPVNTAYILSRKDLSRQDMPALMLPGASKAVVAVRFCPLAFSLRGLTSCCSSFKLPYRLIFAVATLNSLYVYDTEGIEPIAVLAGLHYAAITDISWSPTAKFLAVSSQDGYCTLLEFENNELGSPVSFSENKQVLSEQNIINVDDLESPERIGKGNAMVIDDTQEKETVKPELESEPKPEPELAQPSVVIPTKEKPAVPSKPAKRRITPMAID >cds-PLY88370.1 pep primary_assembly:Lsat_Salinas_v7:9:18099349:18101161:1 gene:gene-LSAT_9X15740 transcript:rna-gnl|WGS:NBSK|LSAT_9X15740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAGEEEQKAPTRSSIKSHIAFNNYPKWKDKLRENCYNRVRADRNRLLWKMRLPDSKDHSLRHEELIKSTFQDIVSDELRKIKETPMESCSETMNPEPETSDDILWEYDGLHAAYEGECEEILLEMQKIFYEDLESEQIGKEPDGYIKVWEEEEDEYLARAVFENMQLNDDKVQKAVWCPICKKGELQQSRHLIFCPLCELKLNGDNEVNLEFVRDRLGEAHGQHLDRGCRLKPKFSIRSKFDMTALYMECQGCNTFEIVI >cds-PLY98513.1 pep primary_assembly:Lsat_Salinas_v7:7:127169765:127170256:1 gene:gene-LSAT_7X77380 transcript:rna-gnl|WGS:NBSK|LSAT_7X77380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKSMTRDQKLINARSKNMIKIYDLWSDLNHDVLFSVMMKFGVVDFLAFKRICESWRSLTLSNKNKFIVSRPPMTLSIPTNANYNECYLYLEHFEGRKFKTILPHSDSKTCVGVSCGYLILLIDETNDFWLVNPIRRHEFHAPFPFDDVHFYIHALGVILIFS >cds-PLY79990.1 pep primary_assembly:Lsat_Salinas_v7:9:45623648:45623836:1 gene:gene-LSAT_9X42060 transcript:rna-gnl|WGS:NBSK|LSAT_9X42060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECLRILLKDYKNEIDQMLVVDKQLQKELVYDILQKYESMKARSTAAKVVGKGVNGNSVIAT >cds-PLY91489.1 pep primary_assembly:Lsat_Salinas_v7:7:142545937:142548247:-1 gene:gene-LSAT_7X85281 transcript:rna-gnl|WGS:NBSK|LSAT_7X85281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit-related protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G49970) UniProtKB/Swiss-Prot;Acc:Q9XJ35] MATSLLLSPLSAPTVDNNRESSSSSALFLKSSFLHNTTHFLHAPSTKDAAIRRRCFRSPVTAADKSLDYIPKQFREGNLKDGLMENYKNVPQYLYGLSPTQMDMFMTEDNPVRKQAESVTEETISSSHNYLNHGGMWTLSGTNNRGPAKYSMSVSMYRGGGGRASGRPRSAPPDLPSLLLDARICYLGMPIVPAVTELLVAQFMWLDYDNPAKPIYLYINSSGTQNDKMETVGSETEAYAIADIMAYCKSEVYTVNCGMAFGQAAMLLSLGTKGFRALQPNCSTKLYLPKVNRSSGAAIDMWIKAKELDTNTDYFLELLEKGIGKPKDEIRKDIQRPKYLQAQEAIDYGIADKIISSRDNAFEKRNYDEILAQSKAARRGAGGGAQAAPSGFR >cds-PLY84806.1 pep primary_assembly:Lsat_Salinas_v7:8:24019223:24020886:1 gene:gene-LSAT_8X18720 transcript:rna-gnl|WGS:NBSK|LSAT_8X18720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cysteine protease RD19D [Source:Projected from Arabidopsis thaliana (AT3G54940) UniProtKB/Swiss-Prot;Acc:Q8VYS0] MGGGGLAYAAAVCVLTCAIHAVHARLVDPVIVQVTENPNNHLLGTPTEHKFAAFIQEHGKRYSTREEYLHRLGVFSKNLLRAAEHQLLDPTAVHGVTPFSDLSAEEFESMYLGMKGGGLDRKKNGLATAPPLDVEGLPEDFDWREKGAVTEVKTQGTCGSCWAFSTTGVIEGANFIATGNLRNLSEQQLVDCDHTCDPSEKDACDSGCSGGLMTNAYNYLINAGGIEAEESYPYTGKSGECKFDPEKVAVKVANFTNIPSDEDQMAAHLVKHGPLAVGLDALFMQTYIGGVSCPLICPKKMLDHGVLVVGYGAKGFSILRLGNTPYWIIKNSWGKSWGENGYYRLCRGKGMCGMNTMVSGVVTLKS >cds-PLY87614.1 pep primary_assembly:Lsat_Salinas_v7:8:111278374:111281033:-1 gene:gene-LSAT_8X78000 transcript:rna-gnl|WGS:NBSK|LSAT_8X78000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRISDPSVQSDMKHWPFKVVPGAGEKPMIVVNYKGEEKQFSAEEISSMVLIKMKEIAEAYLGNPVKNAVVTVPAYFNDSQRQATKDAGAISGLNVMRIINEPTAAAIAYGLDKKASSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNARALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMDPVEKCLRDAKMDKSNVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNQKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAERYKAEDEEHKKKVEAKNALENYAYNMRNTIKDEKISSKLAAEDRKKVEDAVEEAIQWLDGNQLAEVEEFEDKMKELEGVCNPIIARMYQGGAGGPEMGGGMEDDGPSSGGAGPKIEEVD >cds-PLY72755.1 pep primary_assembly:Lsat_Salinas_v7:4:372889726:372890293:1 gene:gene-LSAT_4X183900 transcript:rna-gnl|WGS:NBSK|LSAT_4X183900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKKHQADGDSKEGNKWMIAGITLRAPLKSISTKISNKEDDENSKSGSTTPTSNQSRIPEVLPCPPPPRKRRPVSTCHNNGNMEFFTSPDIDSFFKVFPNSGSSN >cds-PLY68130.1 pep primary_assembly:Lsat_Salinas_v7:8:232051623:232052496:1 gene:gene-LSAT_8X140460 transcript:rna-gnl|WGS:NBSK|LSAT_8X140460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEPPRHILSKSVPFTRMVVEVSTSNTGKHGHVKCHFVAIDIFNGKKLEDIVPSSHNCD >cds-PLY76520.1 pep primary_assembly:Lsat_Salinas_v7:5:12701358:12704662:-1 gene:gene-LSAT_5X7061 transcript:rna-gnl|WGS:NBSK|LSAT_5X7061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFLTWVSLVLAFVLSTYVHQSSASFSPVDRYLIACGSSQNVTFLGQTYVPDSDESSMITLNSHQNSNTLHSNSTVPLPIHQSARLFTTTSSYKFDIKKQGRHWVRLYFYPIPSHNLTSSSFSVVTQNFVLLNNYSFKSYKGSSHLFREYSINVTSDTLLLNFVPSNNSVAFINAIEVISIPDNMIPDEATFVFPSATISGLSDRAFETVHRLNMGGPKLTPKNDTLGRTWENDNKYLHVNGSAANVSISPSMIKYTESVTPEIAPNWVYATAQTMGDANVANLDFNITWVLPVDPDFTYFVRLHFCDIVSTSLYTLVFNLYINSETAFTDLDLSSLTGNLDVPAYKDFICNSSGDQSTLTISIGPDLVAEDTNVLLNGLEILKISNEARSLDGVNSVENLVVFPKKNKKTGVIVGLIVGVSAVIILLGVCFCCVVARRSKMSHPKPSWLPLPLHGNSLTMTKMSTASQKSATASCISLASCNLGRNFTFQEIMDATNKFDENLLLGVGGFGRVYKGTMEDGTSVAVKRGNPRSEQGLAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGTDLPPLPWKQRLEICIGAARGLHYLHTGANQSIIHRDVKTTNILLDENFVAKVADFGLSKAGPSLDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVICTRPALNPVLPRDQVNIAEWAMTWQKKGMLDQIMDGNLVGKVNMSSLKKFGETAEKCLAEYGVDRPSMGDVLWNLEYALQLEETSAALMEPEDNSTNHIQGIPFTPIEPFEHSTSMIDGAQSCTDEDATSAVFSQLVNPRGR >cds-PLY61737.1 pep primary_assembly:Lsat_Salinas_v7:5:215200429:215211968:-1 gene:gene-LSAT_5X98520 transcript:rna-gnl|WGS:NBSK|LSAT_5X98520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHSTDSDSDFVSTAVPNDESDEEIYVKKRTGDRKYVEGVTKIKEAKRKMNSGDNRAAIKKQKTVKEQKTVKDILKELPSINTRSTPGLMTDAVNIKSIPLKICHFVLKHYDEGTNSILIKGKRIKITKEKIHKVFGLPKNGKSLFDLDKVSEDHQVFDGWMKELEDGKANATNYKKIIQKSEQVDMNFKLGFIALFVNTFAESIPMGTNNLVPVRALVEVDDISKIDWCAYLLYCVKNSKGRWRPDNPNCYYRGPMLLLFLIYCDEIECKLQKIEHKTPLVTMWTTDKLKERQSFEIEAGGFGVGNLIEQSSNLELEKNENQVNENQDMRIEEYKDNFDKMFNKVSSRKEDTYEIVSDCISKFPDVNITNELKEKFIKLFSDLVFSIEGLRSTTVKMMKIDCNTKKLTTENGALLMRHMEKYCGEKQGKWNVEMEKGSDVQAVQFVKLRALYAVKIATHEINNHKERVIKEAIEFGKFDHATRKKMLEEGIQRMDELEMGNRI >cds-PLY85679.1 pep primary_assembly:Lsat_Salinas_v7:7:159306259:159306750:-1 gene:gene-LSAT_7X92801 transcript:rna-gnl|WGS:NBSK|LSAT_7X92801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVAHRIIASLVIPREDRSTISALELKILYAMAHPDDNLIPHYGSFLCNKLTRLSTSQSDKISCGGLVSSFAKSAPVRAPYPETHQPIPGETYLTMGVLESMRMFWVEDGSHNWTMGKNHDPRLLITPENRGILALKRPKNFTDWQITSYLFPESFSEEEGEE >cds-PLY81295.1 pep primary_assembly:Lsat_Salinas_v7:7:115188857:115189099:1 gene:gene-LSAT_7X70940 transcript:rna-gnl|WGS:NBSK|LSAT_7X70940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRQRLPSSSPGRWFFCLTQPSGYPTFSLSPLILFFCNSDPDRLVTRFCCFSLFLSLPSFWGMISSPCRGLMQNNFKSQE >cds-PLY68862.1 pep primary_assembly:Lsat_Salinas_v7:3:61421808:61421972:-1 gene:gene-LSAT_3X48700 transcript:rna-gnl|WGS:NBSK|LSAT_3X48700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQRSPTHQPGNVISIPPSQLNSMATPSPPIQQPGIINSIPPMTPPQHPPPT >cds-PLY67708.1 pep primary_assembly:Lsat_Salinas_v7:4:3109568:3111447:1 gene:gene-LSAT_4X920 transcript:rna-gnl|WGS:NBSK|LSAT_4X920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSTHARVKDGFSKRPSEVEKLGLESVGKDKQCGGSKIRIFEIKKRRQLSVLCDVDVAVVVFSARGKLYESHNGGNRCLHHSMPSVFKTAWFLVFQPITI >cds-PLY69503.1 pep primary_assembly:Lsat_Salinas_v7:6:44945689:44947740:1 gene:gene-LSAT_6X31881 transcript:rna-gnl|WGS:NBSK|LSAT_6X31881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLCNSKSPQRFSYGGGDIESGMQSGELNLVEFFRKVQGIEHEFEKLNKLLKKLQDAHEESRSVTKAAAMKAIKKRMENDVDEVGRIARFIKAKIEELDKENLANRQKPGCGQGTGVDRSRTSTTLSLKKKFKEKVTGFQSLRESIHQEYREVVERRVFTGIFATIFPIVVHLQVIDTLAEIQERHDAVIEVERKLLDLQQIFTDFAILVDSQGEILDNIEAQVSSAVDHVESGNKTLEKVKKLQKKSRKWICISIMILLLVIAIALVVVLKGTKLLDSL >cds-PLY61797.1 pep primary_assembly:Lsat_Salinas_v7:6:60925637:60926443:1 gene:gene-LSAT_6X45361 transcript:rna-gnl|WGS:NBSK|LSAT_6X45361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPVPDKIFKERFDQTPQQPPPPPPPPPPSSTDKHQLFVPVQLDPSNNLVSIQPHQNVPPKKKKDRHSKVNGRGRRVRVPALCAARIFQLTRELGHRTDGQTIDWLLHNVDPSLFPPSFAGGSPQTVVPENHQGVSELDLFPNMSFTSLLMQVEEDDVKTKYEKNEDAWKI >cds-PLY72905.1 pep primary_assembly:Lsat_Salinas_v7:1:209283331:209284781:1 gene:gene-LSAT_1X126880 transcript:rna-gnl|WGS:NBSK|LSAT_1X126880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTIEEEVSGGSHGGSAFNNITNNGGDGGGGGGNGRTKLKLACTNFLSKLYKMVDNKATNSIISWGSSSNTFIISDEGRFISEILPCYFKINHLDNFIYQLNNYLKLTVEIQFEKGFKKKEGDHLLEFEHEYFQEGNQLLLKHIKRRNKPLMATNNISTLSVATNELEALFAKVRHSQQQIKTKIHWLKDDIGKTFSEVKSIIEDNSHKLISTFFEGRKRKLVDFNLGTDFVDIEKDFELMYGQCEASMTHIDGFMTLSDLTEKLTKKHNDNNRGSVVGDDRRRIPQFLQKLYDMVQKEETDNFVSWNLPNRDSFIIRDINEFASQVLPMYFTHANFSSFNSQLNIYVSLKLEIYTFLVLKSNLPMLEYKLYNNVFINTNIFLS >cds-PLY71966.1 pep primary_assembly:Lsat_Salinas_v7:3:24934769:24937580:1 gene:gene-LSAT_3X18480 transcript:rna-gnl|WGS:NBSK|LSAT_3X18480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIFELARKEPYGLCHHPPPTPPTLLRSSITIQAFSTRKPPTTSSTLRKNPNWQPHFDVRLEKELFKFLYVAVGFLSHRRGRRRKRTSGQTLLQPKWRRRKRTSRQTLLQPKLSFKKFVPGNLEDFTKNIAVVGEIPPESSKQDTECSEDTKEPLKKGDCVKYVGPFVLVEEDNIPLGTGQRGEIYEISGERVAVILDNTEDNDQSAKPSIYWLLAKHVERDFDTEAEDCYIAMQALSKVLKLVQTFIESFDQISGPVVLTCWQNKVATGSKEKEKFQTMILPNLGRLAQLLSSSTSFEEF >cds-PLY91105.1 pep primary_assembly:Lsat_Salinas_v7:MU039484.1:2161932:2162649:-1 gene:gene-LSAT_0X21761 transcript:rna-gnl|WGS:NBSK|LSAT_0X21761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVQKREVREESIEEEIMVVRVATGSQWYDISIQSTSTFGELKMILSMVTSLEPKEQRLLFKGKEREDGEYLHMVGVREKDKVLMLQDPATKERKLAANLMVAPYRTITI >cds-PLY65659.1 pep primary_assembly:Lsat_Salinas_v7:5:270351413:270352027:-1 gene:gene-LSAT_5X140821 transcript:rna-gnl|WGS:NBSK|LSAT_5X140821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIPTLLILSLLFTTSYASVHDFCVADLSQSDTPLGFPCKDPKKITADDFSFSSLSEPGNTANPINAYVTPAFTPQFPAVNGLWISMARLDMAMDGALPMHTHPGGTEMLYLIKGTTCVGFISYDNTLYAKTIKEGDTFVFPQGLEHFIKNCGTSPVFGITSFSSSMPGMQITRTDIPTEVVSGTTFLDSVQVKKLKGVLGGSG >cds-PLY84917.1 pep primary_assembly:Lsat_Salinas_v7:6:15061034:15069733:-1 gene:gene-LSAT_6X11181 transcript:rna-gnl|WGS:NBSK|LSAT_6X11181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSSRSRVDQFFSSKKKNNKLVSSALKSGIVEQNAKLSLEGSPSGKGSLSSYLVSSPKNEIRPHRSLPTACGSSDRQDQVKRNLTSEIDSSLRNEDVETISSSKGHKSKSSESMHEVENPELQQFAANFLSFYCSELPTTKVNTNKRQGSPCMLDLEDKSIKKRHLSHEEGETVGYNEHQPNPVHQFSLRKCNKTSIESVDVTSCNTPNLAEVKVGGEKTPQSMHGSSVFSPGDTFWREAIQVADGMSYHNKKDLPEQAVEESKGQNGNSMDKEVSPLPVKHFDFSSEDKNMAVDTPSHGIIKNMDRLVECCIADKNLTTTMDMSTTPCNQVQSLSKLQETTTSSCVITKRRAEVLNQTNDDKTSDTPSTDIKTCDVNFLNSVESTPSSSMPPNDRLDISNWLPSELCITYKKRGISKLYPWQVDCLQVDGVLQKRNLVYCASTSAGKSFVAEILMLRRVLSTRKVAILVLPYVSICTEKAEHLEALLEPLDKHVRSYYGSQGGGTLPKDTSVAVCTIEKANSLLNRLLEEGRLSEVGIIVIDELHMVGDQHRGYLLELMLTKLRYGAGEGRVEFSSGESSGSSSGKSDPTHGLQIVGMSATLPNVNAVADWLQAALYQTDFRPVPLEEYIKVGNSIYNKKMELVRTIPKRADLCGKDPDHIVELCNEVVQEGHSVLIFCSSRKGCESTARHVAKYLKQFSVNTLNGENEYPDIASAIDALQKSPAGLDPVLSETLSSGVAYHHAGLTVEEREIVENCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPRIGRDFLDGTRYRQMSGRAGRTGIDTKGESVLICKPEEVKRIVALVGDSCPPLHSCLSEDKNGMTHAILEVVAGGIVQTANDIHRYVRCTLLNSTQPFEDVVKSAKDSLMWLCHRKFLEWSEDTKLYSTTPLGHACFGSSLCPEESLIVLDDLSRAREGFVLASDLHLVYLVTPTNVDVEPDWELYYERFMQLPALDQSVGNRVGVKEPFLMRMAHGAPTRTSDRSRRDVKGLGVSTNNTLTDDQMLRVSKRFYVALILSRLVQEAPVTEVCEAFKVARGMVQSLQENAGRFASMVSVFCERLGWHDLESLVSKFQNRVSFGVRAEIAELTTIPFVKGCRARALYKAGLRTTQAIAEASIPEIAKAVFESSSWDAQDNSLQRRIQLGVAKKIKNGARKIILEKAEEARDAAFSAFKALGVDVPHFSLPKSTTPSVKESDSQHNTKLTTEEKPPQENSDDTTLSKSSANEVISTQKEHERADVGVGNKEKGPMSAVNIPGGFDSFLDMWGSTNEFFFDIHFIKRSEFHSIAPFELLGFAICWEDSPVYYISVPKDLFSSNTKRNKNPNNISSPEDELEMAKQRWSRVGMIMGKTQVRKFTWNLKIQNQVLKLPAVSIQKFGSVIQSKKTMELVNGSHYMFSPVHVKDAIDLCVVVWILWPDEERSSNPNLEKEVKKRLSSEVAASANQNGRWKNQMRRAAHNGCCKRAAQTRALSSVLLKLLTSEKLLEPLVTIEMPLVNVLSDMEVSGIGVDMEGCIQSRLVIGKKLRALESEAYKLAGTRFSLYTSADIADVLYNRLKLPVREGYKGKQHPSTDKHCLELLRFEHPIVPVIKEHRTLAKLLNCTLGSICSLSKLSMKTQRYTLHGHWLQTSTATGRLSMEDPNLQCVEHMVEFKIDSNEKEGDDSDMELYKVNPRDFFIPTQENWLLVTADYSQIELRLMAHFSKDQSLIDLLTKPLGDVFNMITAKWSGKEESLVGPKERDQTKRLIYGILYGMGANSLAEQLECSPDDARDKIQSFKRSFPGVASWLKEAVAICHKKGYVETLMGRKRFLAKIKFGNSEEKSKAQRQAVNSICQGSAADIIKVAMITIHAVIGEGEGVGKSTPSNSIQFAERFHMLKGRCRILLQVHDELILEADPSVVNEAGLLLKLSMENAASLLVPLMVKLKCGRTWGSLEPLTVC >cds-PLY83176.1 pep primary_assembly:Lsat_Salinas_v7:3:95123931:95125914:1 gene:gene-LSAT_3X71540 transcript:rna-gnl|WGS:NBSK|LSAT_3X71540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFANYSSLVHIETSSKHSIIKTSSFAISPKSSLSTSSLFSSHSRNRESRRLSSFLDILRIRRTVAVKPQASGANSVAEAFSEFKHLLLPITDRNPYLSEGTRQAAATTAALAKKYGADITVVVIDEKLKESLPEHDTQLSSIRWHLSEGGFQEFKLLERLGEGSKPTAIIGEIADDMNLDLVIMSMEAIHSKHVDANLLAEFIPCPVILLPL >cds-PLY77184.1 pep primary_assembly:Lsat_Salinas_v7:8:25905884:25907590:-1 gene:gene-LSAT_8X19961 transcript:rna-gnl|WGS:NBSK|LSAT_8X19961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TET5 [Source:Projected from Arabidopsis thaliana (AT4G23410) UniProtKB/TrEMBL;Acc:A0A178UV00] MSRFSNTVIGLLNLFTLLASIPIIGGALWLARSSATCESFLQTPLLVIGFVVLIVSLTGFIGACFHLAWALWVYLVVMLLLIAALLAFTIFGFIVTGAGGGMNVSGRAYNEYHLEDYSPWLRKRVEDPKYWMTIRSCILGSKTCRDIVMWSPVDYLTKDMSPIQSGCCKPPSECNYGMTMMTQDLDCYKWSNDPSTLCYECDSCKAGVLENVRRDWRKLSVLNVIMTLLLIGIYCIGCCAFRNTRRSETDYPYGENQMSKIRPRWDFFWYASHH >cds-PLY78542.1 pep primary_assembly:Lsat_Salinas_v7:1:105168710:105169228:-1 gene:gene-LSAT_0X5100 transcript:rna-gnl|WGS:NBSK|LSAT_0X5100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRQRAIRSRIHFTFPSDEETDQVFQPSIDAFKMKLIVDVPTSNFTMDDPPRNEYVVVGEVPNTTFASYKPPLKNDVAKDVPVSTPILDDPKLDDEEDHFKEIMFEEDNKTVGVIPIPSTFNMLQKPSSSKAHFDFGLSSSSDEMEGKDDQDGNNNTSPYLETKIDGDQEDPV >cds-PLY99106.1 pep primary_assembly:Lsat_Salinas_v7:5:138279129:138286082:1 gene:gene-LSAT_5X59080 transcript:rna-gnl|WGS:NBSK|LSAT_5X59080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHTTNSLLLMYTEFLVFLLLFSTTLLIASSQTIVETLPGYPGPLPFKLETGYIGVGEDEAVQLFYCFVESEGNPEEDPLIVWLAGGPGCATLRSFFFQIGPMQIQYDNYMDNVPALQLHPNSWTKVANVIYLDAPTQTGYSYTKTPEAIRSSDTSSAAQTAEFLRKFVKNHPKFLKNPMYVAGISYSGIVVPIITEELYKGNDEGLEPILNIKGYMAGNPLTDKSLDINSRFEYAYRLALISKDLYESTRKACNGDYANADSNNLQCMSNIYEVNKRVKGIYTQQILEPDCDPATNLLTIIIPIVRGNRRALQANPTNDSICQGYYHEYAIPWANDEKVKKSLNIRKGTVKEWTLCNMDMQYNYGKQSMPSYEFDVLSSVEYHKKLSKRNCRALIFSGDHDMMVSHVGTYNWINSLNLTITYNNWDPWYVKGQHAGYKTTYAHDNYSLAFVTVKGAGHTAPEFKPKECFVMVKRWFAHKPI >cds-PLY76593.1 pep primary_assembly:Lsat_Salinas_v7:5:222240136:222242169:-1 gene:gene-LSAT_5X104100 transcript:rna-gnl|WGS:NBSK|LSAT_5X104100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFQKKRIQFLSFIVGVIVLSFTAEKCRQMVGETGSSQSGKFTIFNCLDGGSGTLACIVKESVKLYTYNIRTLHVEVARNKAIEASLADAISQGMEAKAAAKQAQKDGAKAAKMATRKAKRIIGPIISSGWDFFEAIYYGGTVTEGFLRGTGTLFGTYFVGYLGEERFGRFGYLVGSQFGSWIGGRIGLMVYDIANGVHFLLGFGQFEENVGQREENVGQMEEIVGQLEESVSENGYGGSFLEMVMGYVGSYLSEESQVSEEADVFEAPVYDSFESTEEL >cds-PLY81123.1 pep primary_assembly:Lsat_Salinas_v7:9:66281182:66286900:1 gene:gene-LSAT_9X58341 transcript:rna-gnl|WGS:NBSK|LSAT_9X58341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSAFDPLKKISSISDYDLGFKEDLFKAPEPIIEQPLITLCGEDILFPENKFTYLESLEDENFMSNPFEEYKDILAKETSSSSEFPDFRTDDSISTKEILYPFGTFTKSMSSDSLISVDEDQMRQISMNFSEMDLNNFHGMRMVSSEGYIKPQVTSDQVQETRMQKLSRYRDKKTKRNFGRKIKYASRKALADGQPRIKGRFAKTEEMEFCRK >cds-PLY74695.1 pep primary_assembly:Lsat_Salinas_v7:5:25774231:25777414:-1 gene:gene-LSAT_5X13520 transcript:rna-gnl|WGS:NBSK|LSAT_5X13520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTTVESAGCPPLRTLTFDVLGLIKVTEARSKLGGAPKVVERWGEPESSRCVLAASTNDRKIDPLLAVARKSGVVRFIHQFIHACLGTSIIELISSINGDLRVSLPSGNQAEVQSQEDNIVGLHLFKKQTSESSSRSCTLLTCTTKGLSTLRSFTIPKSPGDSISDDAQVTWNVCAAGNVLCCKVDENENYALFGGKGVEVNIWDLATHTKIWTAKSPPKNSLGIFTPTWFTSATFLCKDDHRKFVGGTNSHQVRLYDMAAQRRPVMSFDFRETPIKAITEDQDGNTIYIGNGSGDLASVDIRTGKLLGCFLGKCSGSIRSIARHPDLPMIASCGLDSYLRIWDIKSRQLLSAVFLKQHLTNVIFDSHFNDEEVAASVAPSEQKEEDELIERDEELPPIVKRKKKKTKSRDVDRDDDDDDDVKRKKSKKSQNDDDDEGPHLKEKKKSKKAKNVDKYEEGLLSVEHEKLKKVKDRKSKKSKGERVDLE >cds-PLY94421.1 pep primary_assembly:Lsat_Salinas_v7:6:10780538:10782815:1 gene:gene-LSAT_6X12941 transcript:rna-gnl|WGS:NBSK|LSAT_6X12941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGTKRLAISESSADTNNLFVRAQFDFQRAESSQQKSIAAPSLDMRRAESSRQHVRALNNQFASWVNTQLQNHPDELWEDGVRDYITHASSILEKFSDVVNWLKSNSTKNENLLEQGSHSPQKKLATESKDNENISTMNGPTSGTTPMFATSGFTASWNTGPLFSNQSSFSFGGQSSISVKPDASNDADGEDDVEQPSSPSVKKTEETGVLVVHEVKCKLYVKSTDSTDKDAWKDRGTGQLSIKCKEGVTKGTKESKPTVLIRNDVGRLLLNALLYPGIKTSLQKNSIVAIFHTVDDSGNDDDSKVVARTFLIRTKSQEDRDKLAAVIQEYAPAA >cds-PLY94495.1 pep primary_assembly:Lsat_Salinas_v7:2:157324011:157325360:1 gene:gene-LSAT_2X80380 transcript:rna-gnl|WGS:NBSK|LSAT_2X80380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFTYTIFSNTLVFTIFLIKFVALPIAYSKPTIFLEDFHVTWSDSHIKQLDGGKAIQLLLDQNSGCGFASKNKYLFGRVSMKIKLIPGDSAGTVTAFYMNSDTDQIRDELDFEFLGNRTGQPYSVQTNVYTHGKGDREQRINLWFDPAVDFHTYSILWNHYHVVFYVDEVPIRVYKNNEDKGIPFPKIQPMGVYSTLWEADDWATRGGLEKIDWTKSPFYAYYKDFDIEGCSVPGPGWCAANPSNWWEGAAYQHLDPVAGRQYRWVRLNHMVYDYCTDKQRNPITPPECKAGI >cds-PLY75079.1 pep primary_assembly:Lsat_Salinas_v7:2:94376306:94376596:-1 gene:gene-LSAT_2X41081 transcript:rna-gnl|WGS:NBSK|LSAT_2X41081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRLACGWVCSLQRELFRKPLFGFSPSGSAVVSGKPPVVRLPSGSAVVFGKPPVVRLPSGVVVAVLRKSMW >cds-PLY66610.1 pep primary_assembly:Lsat_Salinas_v7:4:283012735:283015188:1 gene:gene-LSAT_4X143641 transcript:rna-gnl|WGS:NBSK|LSAT_4X143641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKGRSLLRGTSRRSSSSTLIFSIAIICSFFVLILLALGILSIPNSSSNSPKAHDLSSIVHHTTVDRSESKDDTADHWVEVISWEPRAVIYHNFLTQAECEYLINLAKPHMEKSTVVDSETGKSKDSRVRTSSGTFLARGRDKTIRTIEKKIADFTFLPVEHGEGLQVLHYEVGQRYEPHFDYFQDEFNTKNGGQRMATVLMYLSDVEEGGETVFPSAKGNISAVPWWNELSECGKEGLSVKPKMGDALLFWSMKPDASLDASSLHGGCPVIKGNKWSATKWIRVNEYRA >cds-PLY81015.1 pep primary_assembly:Lsat_Salinas_v7:9:175399599:175402273:-1 gene:gene-LSAT_9X108101 transcript:rna-gnl|WGS:NBSK|LSAT_9X108101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADQVMEGSQPVDLTKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKNQQWYDIYDITYYFDIYVFTPEGSHIFEIQIQN >cds-PLY81212.1 pep primary_assembly:Lsat_Salinas_v7:5:339522191:339524207:1 gene:gene-LSAT_5X190561 transcript:rna-gnl|WGS:NBSK|LSAT_5X190561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGTFYRAPAPGAPAFVKVGFRLRGDALGLFNDDDDVSNAFELLLGLMMDKHQQPTPLTHTLSFSSTFSLTSNIINLLLSYNHHQ >cds-PLY75198.1 pep primary_assembly:Lsat_Salinas_v7:2:202811215:202811688:-1 gene:gene-LSAT_2X124180 transcript:rna-gnl|WGS:NBSK|LSAT_2X124180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKDAMPVTLGMEYKNNWTLCQDLSKDDLGSWFLALTVPLLAVHVLVIEWVLKSSVEDGDFEE >cds-PLY86111.1 pep primary_assembly:Lsat_Salinas_v7:3:171364097:171365406:-1 gene:gene-LSAT_3X105000 transcript:rna-gnl|WGS:NBSK|LSAT_3X105000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASQLLRILQTLPAGADNEICAIDTDEPEANTIRISRCTNPFLCDEENKNSNFWNNPELESSTFENDFTNNQEHKTTGFYNIDKNAVECELPELMVCYQESDFQVKDICIDEGIPHEETIACDSLSVSEDGDDMIEASLDPEFFNHQWLRPSYITDCQEKMNLSTNLEHDVSTESSNISADDVDHQTLQESEAGKVLNDSEMEGSVAFHFDTNKPAAYNSEHYGGMNSETMYALPLETPSTAVNHNHRDVAVVVANHETRYAGESSFSMAGVITELISCSRPITFSSGISISNRSDSSATSTRSFAFPT >cds-PLY65793.1 pep primary_assembly:Lsat_Salinas_v7:5:271474129:271476508:1 gene:gene-LSAT_5X142000 transcript:rna-gnl|WGS:NBSK|LSAT_5X142000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGRGGVYNPRTVEEVYRDYQGRRNGLIKALTTDVERFYRQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMPENDWLSLVAVHSDAWLLSVAYYFGARFGFDKSDRKRLFNMINDLPTVFEVVAVYAKKQTTEKSSISNHSSNKSKSNTKGRGSESQMKYAKMQGKEDEDEMEDEDEDEHGDTLCGACGENYASDEFWICCDICERWFHGKCVKITPARAEHIKQYKCPSCSSNKRARP >cds-PLY78355.1 pep primary_assembly:Lsat_Salinas_v7:9:9389608:9391238:-1 gene:gene-LSAT_9X6040 transcript:rna-gnl|WGS:NBSK|LSAT_9X6040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ANTAGONIST OF LIKE HETEROCHROMATIN PROTEIN 1 [Source:Projected from Arabidopsis thaliana (AT3G63270) UniProtKB/Swiss-Prot;Acc:Q94K49] MKLKKKKVPSSSSTVAAVGGSENRGVDSDWWNCFWEKNSPISGSMVPQDEEEGFKYFFRLSKKTFEYICSLVREDLISRPPSGLINIEGRLLSVEKQVAIALRRLASGESQVSVGASFNVGQSTVSQVTWRFIEAMEERARHHLKWPDNEKLQKIKSEFERSFKLPNCCGAIDATHIVMTLPAVQTSDDWCDQVKNYSMLVQGIVDDKSRFLDIVTGWPGGMTIPKLLKFSGFYKLCEAGERLNGNLKSEFREFIVGGANYPLLPWLITPYNESESESDEMSGFNTVHEGARSVAVRAFSQLKGSWRILNKVMWRPDKKKLPSIIVVCCLLHNIIIDCGDFIEPDVSLSCHHDSGYVEQWCKQVDPLGRKLRENLVTHLENNN >cds-PLY85987.1 pep primary_assembly:Lsat_Salinas_v7:3:132281234:132284389:-1 gene:gene-LSAT_3X90240 transcript:rna-gnl|WGS:NBSK|LSAT_3X90240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTDFQSIPLIDISPLVAKCDDPNVAQDKDVAEVVRLLDQACREAGFFYVKGHGIPDSLIKEVREITHKYFGQPYEEKLKIKLSDKTGYRGYQRVGENITKGIPDRHEAIDCYSELKKGMYGGLGDPLVGINKWPSDPRRFKEVMEEYLALCTELSRKIMRGIALALGGSVDEFEGKIAGDPFWVFRIIGYPGSLSTTDEGLPKNDVGCGAHTDYGLLTLVNQDDDKTALQVRNVSGEWISAVPIPGTFVCNIGDMLKILTNGVYESTLHRVINNSPKYRVCVAYFYEPNFNASIRPLEMCVKKSGGENKFEAAVYGEHLVSKVLTNFTY >cds-PLY94218.1 pep primary_assembly:Lsat_Salinas_v7:3:169482710:169482907:1 gene:gene-LSAT_3X103961 transcript:rna-gnl|WGS:NBSK|LSAT_3X103961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFTVSYSGYVAQNLATASGKSNTCRIFHEVFSRPRIFQNPDRDPVAGYDPAATAGSRCSVSA >cds-PLY99496.1 pep primary_assembly:Lsat_Salinas_v7:4:57521771:57522856:-1 gene:gene-LSAT_4X38561 transcript:rna-gnl|WGS:NBSK|LSAT_4X38561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASALRAAILGHVRIPVVANGSKLLSPLWIHGNTSVRSMSSHDDHLEKSQVIDRILDIVKSFPKVDPSKVTPEVHFQKDLGLDSLDNVELIMAIEEEFKLEIPDKEADKIDSCALAIEYVYNHPMAS >cds-PLY93509.1 pep primary_assembly:Lsat_Salinas_v7:5:325761556:325764901:-1 gene:gene-LSAT_5X179901 transcript:rna-gnl|WGS:NBSK|LSAT_5X179901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKRSKKSKSKRVTLKQKHKVIKKVKEHHKKKAKEAKKLGLNKRPKVEKDPGIPNDWPFKEQELKALEARRTKALEEIEQKKAARKERAKKRKLGLLEDDGDDITNLAEQVSAKEQESGERKAANNSTATRERSFYKELAKVIEASDVILQVLDARDPIGTRCNDMEKMVLKAGPEKHLVLLLNKIDLVPREAAEKWLKYLREELPAVAFKCSTQQQKSNLGWKSSKAAKKTTNLLQTSDCLGAETLIKLLKNYSRSHDIKKSITVGVVGLPNVGKSSLINSLKRCHVANVGATPGLTRTMQEVQLDKNIKLLDCPGVVMIKSGENAAAVALRNCMKIEKLDDPVSPVKEILKLCPAEMLVTLYKIPAFDSVDDFLSKVATVRGKLKKGGVMDINAAARIVLHDWNEGKIQYYTMPPTRNEGEEGMEAKIVSELSKEFNVDEVYGTESSYIGSLKSVIEFNPVEIPPSDPLAFDESMLEDEKESEVTTEKENALEAMAEDAEESEVKKTGSQQNKKVKKAEKKKRKEKAKKSAAVDEDESMDGDYDFKVDYVKKGSSAMDVGEEDDDEDEDEDDVSVKDNGNDKSALPETK >cds-PLY61855.1 pep primary_assembly:Lsat_Salinas_v7:6:60230994:60239125:1 gene:gene-LSAT_6X43901 transcript:rna-gnl|WGS:NBSK|LSAT_6X43901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENSGYGGDGVFRSLRPPLVIPPDPYASMIPFLFRNISSYPNKPALFDSDSGETLTFSEFKTTVAKLSYALNNNLGITKNDVVLIFAPNSIQYPICSYSIIALGAVVTTVNPQLTVEELLTQIQDSKPKIIITVQELYQKVENFGLPVVFLGSKSSRNGCFSYQDLISKSGSVSELPNVSIRGDDTAALLYSSGTIGVRQGVILSHKNFIAASQMVTSDQRLMGEQDYVHLCFLPMFHIYAFGVILYSQLQERNTIVSMGKFSFHGVLKNIDTYRVTHLWAVPPVILSFTKQNVIKKFHISSLKVIISGGAPLGKGLLMECAKRFPHVFVLQGYGMTETTAAISMGSPIIEHGVFSGSTGRLLPGIEAQIVSLHTNNHKSLPPNHIGEMWVRGASMMQGYLNNPQATMLTIDEHGFVHTGDLGYLDDEGYLFVVDRVKDLIKSNGFQIAPAELEARLLSHSEILEAAVIPYPDTERGEVPIAFVVRFPNCFLTEEDVKRFIANQIALRSRLQGRFSNVYLLKKSDLGYKISCVLNLVVRVMISDVKVGGAIVGGDQFLGFGRGRIVLGVEKEGIGSSVVEVRPHWSLTDGSLLSMFKTNVDFTENVLPPGTTSEMAGYSLPDFVGSLRFVASQSAWFFAEGANRLE >cds-PLY74927.1 pep primary_assembly:Lsat_Salinas_v7:3:130008321:130008584:-1 gene:gene-LSAT_3X89040 transcript:rna-gnl|WGS:NBSK|LSAT_3X89040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAASSSPVNYANRGLFMIVGCINWPWRWFGGDWISLSSKIEGRWEVGLKKLGDGDGLLAVAASCCHRSSPVARMRERKQLDRMG >cds-PLY63706.1 pep primary_assembly:Lsat_Salinas_v7:9:86111925:86113004:1 gene:gene-LSAT_9X67881 transcript:rna-gnl|WGS:NBSK|LSAT_9X67881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATAEAQPAKHQEVGHKSLLQSDALYQYILETSVYPREPESMKELREVTARHPWNLMTTSADEGQFLNLLLKLINAKNTMEIGVYTGYSLLSTALALPEDGKILALDINRENYEIGLPIIEKAGVAHKIDFREGPALPLLDQMVDDVKFHGSFDFIFVDADKDNYLNYHKRLIDLVKIGGVIGYDNTLWNGSLVAPADAPLRKYVRYYRDFVLELNKALAVDPRVEICQLPVGDGITLCRRIS >cds-PLY70028.1 pep primary_assembly:Lsat_Salinas_v7:4:266417590:266419456:-1 gene:gene-LSAT_4X137760 transcript:rna-gnl|WGS:NBSK|LSAT_4X137760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLPFGYCEIILAAICYYCLSVFRQRHAMIFEWPLVGMLPALLRRVSRIHDLCIHVLSRTGGTFLVKGPRFLHMDMLGTVDPADIHHIMSSNFLNFPKGEEFKEIFELLGDGIFNSDYELWKSHRKLINALISNMNFLRFIAKMNREKVAKGLIPVLDYVASTGVVVDIQDVFQRLTFDTTCMFVTGYDPGCLSVDFKDVPFSRAMDEAEEAIFSRHILPKNVWKLQRWLGVGKEKKLKEARETLDDVIEGLISRKRKDLSEGIVSKDDSKGIDILTSLITCEPECFGDGFKYDDKFLRDTILNLMIAGRDTTSSSLTWFLWLVITHPNVEKKIREEIKAIIPTSEMKSFKLFETEETNKLVYLHAAFCEALRLYPPVPFQHKAPVQPDVLPSGHRVYPNMKIMFSLYAMGRMKTIWGDDSWEFKPERWISDKHMIRHEPSYKFLSFNAGPRTCIGKHVAFTQIKAVGATILHNYKFEMEEGHVVAPNVSVILYMKHGLKVRVACRWA >cds-PLY82656.1 pep primary_assembly:Lsat_Salinas_v7:5:84766637:84770340:1 gene:gene-LSAT_5X38140 transcript:rna-gnl|WGS:NBSK|LSAT_5X38140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTKAVSDSKKGGRGTPKTTKSVSRSSKAGLQFPVGRIARFLKAGKYAQRVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGSVTIANGGVLPNIHSTLLPKKAGKEKGDIGSASQEF >cds-PLY77460.1 pep primary_assembly:Lsat_Salinas_v7:4:48010223:48014189:-1 gene:gene-LSAT_4X30941 transcript:rna-gnl|WGS:NBSK|LSAT_4X30941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:KS1 [Source:Projected from Arabidopsis thaliana (AT1G79460) UniProtKB/TrEMBL;Acc:A0A178WDK0] MNIAQITSSAMLVPSSHIPHRSWVVNCCMVQYNPSGLRTVDYSIVGLIISGFTFCGSSFPRLNSGFLFAASSQAGQVNPTVMTLDVTKERIRKLFNNVEVSVSSYDTAWVAMVPSPNSPKSPCFPDCLNWLLDNQLDDGSWGLLPHQSPLIKDTLSSTLACVLALKRWNVGKDQINKGLHYIESNFASVTDKNQASPFGFDIIFPGMLEYAKDLDIKLPLNQTHLSVMLHERELELRRCHSNGREAYLAYISEGLGNLNDWNMVMKYQMKNGSLFNSPSATASVLIHHQNAGCLHYLTSLLDKFGNAVPTVYPIDLYVRLSMVDTLERLGIKRHFMVEIQNVLDETYRCWVQGDVQIFMDVVTCALAFRVLRSNGYEVSSDPLAKITKEGDYMNSPEKPFKDVYTSLEVYKASQIIYQEELAFREQNLTSYLPSSNKLSNYILKEVDDALKFPFNGSLERMSTRRNIEHYNLNHTRILKTTYSSSNISNKDYLKLAVQDFNECQSIYCEELKDLERWVVENRLDKLKFARQKTAYCYFSAASFLSSPDLSDARISWAKSSILTTVIDDFFDVGGSMDELVNFVHIIEKWNVNVENDCCSEEVGVLFLALKDAVCWIGDKAFKIQERNITSHVIEIWLDLVKSMLREAIWAKDGSIPTINEYMENGYVSFALGPIVLPTLYFLGVKLSEEVVQSSEYHKLYEVMSTQGRLMNDIHSFKREKKAGKLNAVALYMSDGKSGSVEEEVVEEMKILTKSQRKEMMKLVLETKGSVVPRVCKDVFWNMCNVLNLFYATDDGFTGNAILDVVKEIIYEPVSHELI >cds-PLY93462.1 pep primary_assembly:Lsat_Salinas_v7:9:63673525:63673713:-1 gene:gene-LSAT_9X54160 transcript:rna-gnl|WGS:NBSK|LSAT_9X54160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSNTGQLGLDHVRIASREFGLPDDFEFSIILKHAQFFRLFEDKESKTKYIEIVERDPDLAV >cds-PLY88804.1 pep primary_assembly:Lsat_Salinas_v7:4:93211048:93211640:-1 gene:gene-LSAT_4X61361 transcript:rna-gnl|WGS:NBSK|LSAT_4X61361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLNSFRFSDIIKVENDSDDDGSEYTTVNGFNYRWYVADDRIWDFRFCCFDSLFCSVLSQR >cds-PLY71628.1 pep primary_assembly:Lsat_Salinas_v7:9:136741305:136742050:-1 gene:gene-LSAT_9X88141 transcript:rna-gnl|WGS:NBSK|LSAT_9X88141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKEWKLYDRLMRLETGRGGTRSLVDASPEWWEEKIKENKDYAKFRDTDLSIFDEKYAFLFRDSVAVGDQTMTPLQFQNNSNPNEENMEGKGDSDEINLDDDEPLFTSLNESSSSKRKRSKSVSNNRPTKSKNSIYEEKVDALLDAISSKSTQTYPQNNPSPTIADCMAIVIKFPDFREGSNNFSQALFVFTKKQNREAFMFPTTDEAKMEFLKLLMK >cds-PLY82438.1 pep primary_assembly:Lsat_Salinas_v7:2:188977540:188978328:1 gene:gene-LSAT_2X110801 transcript:rna-gnl|WGS:NBSK|LSAT_2X110801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVFFASVAPRLQPRYYSISSSPKMAPERIHVTCALVYEKTPSGHVHNGVCSTWMKNAVPMTKSEDCSRAPIFVRTSNFRLLSAPKIPIIMIGLGTGLAPFRGFLQERLALKEFGSQLGSFVLFFGCRNRKVGKEIPEK >cds-PLY93898.1 pep primary_assembly:Lsat_Salinas_v7:7:181216836:181218078:1 gene:gene-LSAT_7X107281 transcript:rna-gnl|WGS:NBSK|LSAT_7X107281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKWPLNLDLTSEGEASDNINAVLGNLLQKQSENIKRHRRWEIGKGGKFDHTD >cds-PLY95984.1 pep primary_assembly:Lsat_Salinas_v7:9:40736150:40738239:1 gene:gene-LSAT_9X36480 transcript:rna-gnl|WGS:NBSK|LSAT_9X36480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDRGNNTPAIGIDLRTTYSCVAVWKHDRIQIIPNDQGNRITPSCVAFVDAERLIGDGAMNKAAMNPANTIFDAKRLIGRRFSDSKVQDDIKLWPFRVIAGPVDTPKIVVSFKGEEKEFLAEEISSMILGKMKETAETYLGKPVKDAVITVPAYFNDSQRQATKDAGAIAGLNVVRIINEPTAAAIAYGLDNKTDINGKINVLIFDLGGGTFDVSILTIAEGGTIEVKAVAGDTHLGGEDFDNRMVDHCGREVKRRWNKDLSENKRALGRLRFACEKAKRILSCSTQTSIELDGLHEAIDFSMKFSRAKFEELNMSFFSKCIEIVEACLRDAKMEKSCVNEVILVGGSTRIPKVQCMLQEFFERKELCKSMNPDEAVAYGAAVMAAKLSGNNHKSCQDLLLLDVTPLSLGVEVKGDILSVVIPRNTPIPTKKSKNFCTSEDNQFLTTIMVYQGERTRSKDNHLLGEFTISGIPLAPKGVTKFMDTFEIDANGILTVTSEIISTGKTEKLTITNENGRLSKEQMEKMVKDAEKYKQEDIEYKKKADALNALEDCIYNMKYKIKNMTRGKRSRKMEDAIADTTKWIEHNQAASVDEVQRMKEHLESLCMDEF >cds-PLY67798.1 pep primary_assembly:Lsat_Salinas_v7:9:167634279:167636098:-1 gene:gene-LSAT_9X104220 transcript:rna-gnl|WGS:NBSK|LSAT_9X104220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKSRKSLDPSMEDLPAHLMVDILSRLPVKTIIHCKCVCKKWLDLISESYFADHHLSRSPSGIMIYHNSNEVFRNAEEEQKTGYLRTGILRWVEVEDKLDHHYLHHDPIMSLDLNLAPIFQKSQILPVGSVNGLLCLWQFGPQHDKTYICNPITREYMILPKPQYYLQHNAHLVYCFGVAPLTNEYKVIRIFQGEKIPYFSSNSRPIISQAEVYTLGTGQWRSLGHVPYWLKGMYGPFLNGHAHWTVLDKDSSEKLCVFDFEKETFELFPSPPCEARDENLIFKSLGVLKGCLCRCESYDSQLVVWVMKEYGIKKSWRKEFVVMESENTDLDLLTLETVDLITGFKDGTVLMASYRDTLLVYCPRREIILDTEMFYRYFKGYTFRPSFRRLHNFENERVYMF >cds-PLY89041.1 pep primary_assembly:Lsat_Salinas_v7:9:28923765:28925038:-1 gene:gene-LSAT_9X25661 transcript:rna-gnl|WGS:NBSK|LSAT_9X25661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKRPREYLRVTQQDSDRIGRLNIPRPQAFYCFYTEFNLRGIRVDRFQPGSVTCSFTVPPRLIDRNGNLAVGAISGLVDVIGATLVYNKDESLNVSSDMSISYLSMAKLNDELEISGKLLGRKGGYRGTHVILKKKATGEMIAEGRHSLFCKPTSKI >cds-PLY96456.1 pep primary_assembly:Lsat_Salinas_v7:MU038438.1:417989:421538:1 gene:gene-LSAT_0X14320 transcript:rna-gnl|WGS:NBSK|LSAT_0X14320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADYHFVYKDVEGASTQWDDIQRKLGNLPPKPPAFKPDPFTPAEDEDSKPKDKNWIDNKTEEELEDLEDDLDDDRFLEEYRRKRLAEMKQIVKVAKFGSIIPISGSDFIREVSQAPSDVWVVVLLYKDGYPECGVLMQCLEELATMYSATKFVKIISTDCIPNYPDRNLPTLLVYNNSAVKANYVGLHTFGRRCTPEGVAMILCQSDPVLNDGQSEGEASRDAVLEGVRRRFIEKVVTQHENDDDGSSSD >cds-PLY84239.1 pep primary_assembly:Lsat_Salinas_v7:7:78753937:78757461:1 gene:gene-LSAT_7X56320 transcript:rna-gnl|WGS:NBSK|LSAT_7X56320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTFGSFRKAYGALKDSTTVGIAKVNSEFKDLDVAIVKATSHVECPPKERHIRKIFSATSVVVPRADVAYCIHALYRRLSRTKNWIVAIKTLIVFHRTLREGDPSFREELLNYSHPGQVFLVSNFKDDSSPLAWDCSAWVRTYGMFIEERLECFRVLKYDIESERLTKYGIETYSRRRAMSGDELLEQLSAMQQLLYRLIGCQPEGAAYRNYLVQFALALVVKESFKIYCAINDGIIHLVDMFFDMPKHDAIKALNIYKKAGKQAEYLAELYDFCRHLDLARNFQFPTLKQPPPSFLTTMEEYIKEAPSIGLLSIERLEYEDTEEEEERTEETDSQENENNDEQVEEKEALLVDDLLGLHEINPTAKELEESNAMALAIVENGHDHQSSSMDWSEIQKAPAGWELALVDYNINISVKTSQTRLGGGIDKFLLDSLYEDNAARKQIQLQNVGYNTSYGYNMQYNPFDQQPSPQDPFMMMNQQQQAYSNQYLQLYQQPQLYPQSQPYQQQNMLVPYNNGNARSTSNPFGDLYEYPQSITPSHGNHGLL >cds-PLY78276.1 pep primary_assembly:Lsat_Salinas_v7:1:131868149:131868883:-1 gene:gene-LSAT_1X97081 transcript:rna-gnl|WGS:NBSK|LSAT_1X97081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFSKTDEGPSKKSRKSKKDSKSTPEKPVQEPKPKKPLKNQSNKEEHVSLAETMVIEPNVVETEKEVISLKTVVYKRIKKKSHGSRNSTERSSSFSTKVVCKPHVTQQGVIIREVQAPVSPLSKKRIAEDMAKNISKKIKKRKLVLQKESFDDDKLPETPEVNLSNPVSTPEKTQVIPPEVLLTKLVPKEVQTSDITTHVSDTDVNVNMGEGDSTKELPVSTQGIPEHSTMDSSVSLPSFIIPV >cds-PLY64632.1 pep primary_assembly:Lsat_Salinas_v7:6:37746951:37747432:1 gene:gene-LSAT_6X27240 transcript:rna-gnl|WGS:NBSK|LSAT_6X27240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTPYIEENEHDFEDKMTKVEVKKLEVGEKENKDVDMDMEPELEVKDIENEVEVNNDDVELIIEMDESEYAQVEIDLTMQKERQKMRAKNEPISLLKRRIPSETILKIKISKSEPVSYAEKKSSSRKDMVWCLD >cds-PLY79096.1 pep primary_assembly:Lsat_Salinas_v7:3:8255665:8256347:-1 gene:gene-LSAT_3X5800 transcript:rna-gnl|WGS:NBSK|LSAT_3X5800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSPSGSFSNRRSPVASVKHPSYRGIRSRNGKWVSEIREPRKSKRIWLGTYPTLEMAAAAYDVPALSLKGRDAMINFPDFFGTYALPLLPKPAMIRSAAAAAAELMKSSLDQFTLQFGGDGDTYLPAENKFMDDEILFDMPKLLVDMAEGMLISPPRQHSTNDLSLVGSSDCNNLWSY >cds-PLY85202.1 pep primary_assembly:Lsat_Salinas_v7:9:147345242:147348442:-1 gene:gene-LSAT_0X7001 transcript:rna-gnl|WGS:NBSK|LSAT_0X7001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVLAFPAVSRSNGGNPQNVALDNLINLEEIMDKDTAELVWANCETELMHLIEVFQDLHQSSSGDHKTLEHETILKSLALQDLQVKKAIIDCLNSKTLTFPESGEDNHSQKWYFDYLEYFRFGSTSSRRTRRLAEANSPSPSPAPSPTPSAAPAEAPTIRRPPTPPFFPAEPLDPSSGTTGQAPPVNSFGNTESNNGKNDDTHKKIIIAVVVTATTTFFLAGLMFCCYTRGWGKRRQNDERPLLSLSMSDYSINGVNLSDGSYKPSYNLNSSVHNIGNSGDELVYGNSNVKMDSSIPVGIPLRPPPGRVESSLQPPPGRVESSKRLSANAAPAPPPPMPSAATPPPPPPPSKGAGPPPPPLKGPGPPPPPPKPPAPRPPPPPSGGPPPPRPPVSSLKPPRPTAGTSNSGGDETDASKAKLKPFFWDKVMANPDQSMVWHQIKSGSFQFSEEMIETLFGYNATDKNKDHTRKNSASQDPTFQYIQIIDPKKAQNLSILLKALNVTTEEICDALKEGNELPAELVQTLIKMAPTSDEELKLRMYNGDLSHLGTAERFLKPLIEIPFAFKRLESLLFMCNFQEEEAMIKESFVTLEAACVSLRKSRLFLKLLEAVLKTGNRMNDGTFRGSAQAFKLDTLLKLSDVKGIDGKTTLLHFVVQEIIRSEGIKAARTTRESRSFKTDDLLKETSSSSQETEERYRVLGLQVVSGLSSELESVKKAAIVDADGLTSLVSRLGRALVKSREFLNTDLKKIEEEDEFQRVLLNFVKIAEKEIMWMLEEEKRIMNLIKNTTDYFHGHSGKDEGLRLFVIVRDFLIILDKVCKEVKKEPVKVKPPTTEKNDELQVGTPRKGDDVSAGGTSEPSRQNPFSDHRQMLFPAIAQRRVDSSSSDED >cds-PLY81946.1 pep primary_assembly:Lsat_Salinas_v7:4:284754703:284755467:-1 gene:gene-LSAT_4X145320 transcript:rna-gnl|WGS:NBSK|LSAT_4X145320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVAACISELYDSCHSIHRSREKLKKRNQLQTVEIKIKMDCEGCERRVRKSVEGMKGVSSVDVDPKKSKLTVVGYVDPGKVLYRVRHRTGKKAEMWPYVPYDTVEHPYVPGVYDKRAPDGYVRNTAVVDPEMLDLARGSSPEIRYTTAFSDENPTACVVM >cds-PLY61861.1 pep primary_assembly:Lsat_Salinas_v7:6:58763101:58763449:-1 gene:gene-LSAT_6X44980 transcript:rna-gnl|WGS:NBSK|LSAT_6X44980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIKIIVDALKESGYDIVIVTRTYSCQALLYKKLFGDLRLLLMQELMYFSSDALVLKEDIKHFVKSHLEFLKWKKDQYFTPLEHEEI >cds-PLY73776.1 pep primary_assembly:Lsat_Salinas_v7:8:92800840:92803064:1 gene:gene-LSAT_8X64381 transcript:rna-gnl|WGS:NBSK|LSAT_8X64381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVSLWICETKSMLQIKNMRLRMQSSSSLKKEFMNKWIEGFHMCVSSKKHMNIMEKTKKIKLSADIAMASAKRTETLWSNALLSDARKNEKDMILVDKMLGPESQLKLLKNTDRMITSYHKRVRCKKILKTSRESAGKRMKKAMMGIPRSNLAIIIAKRLVKKKTKMLKRLVPGGQSMDEFSLIKEALDYILSLKVQVDVMRSVVNATEVLRHDKLLKSVE >cds-PLY99729.1 pep primary_assembly:Lsat_Salinas_v7:9:56162086:56164571:1 gene:gene-LSAT_9X47720 transcript:rna-gnl|WGS:NBSK|LSAT_9X47720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNLRAPRHPSISYRPIQPTDLDVLVKIHGDLFPIRYEIEFFHNVVHGHDIVSWGAVDRNRPNGESDELIGFVTARIIMAKESEIEDMLRYDKSRSDQALVYILTLGVVESYRNFGIATSLIREVIKYGSSMPNCRAVYLHVISYNNSAIHLYQKMSFLCVRRLNSFYFINGQHYDAYLFIYYVNGGRSPCSPLELVTLLVTYMRRGLKLVSSRVWKKGEKNVGPKRVKYRDKGTLLPMTQQNKRNISIEGPENDHV >cds-PLY66994.1 pep primary_assembly:Lsat_Salinas_v7:6:140886522:140888177:-1 gene:gene-LSAT_6X86041 transcript:rna-gnl|WGS:NBSK|LSAT_6X86041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >cds-PLY75950.1 pep primary_assembly:Lsat_Salinas_v7:5:248260925:248264321:-1 gene:gene-LSAT_5X124780 transcript:rna-gnl|WGS:NBSK|LSAT_5X124780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TAFII59 [Source:Projected from Arabidopsis thaliana (AT1G04950) UniProtKB/TrEMBL;Acc:A0A178W741] MSIVPQETVEVIAQSIGINNLSPDAALALAPDVEYRMREIMQEAIKCMHHSKRTTLTTDDVDSALSMRNVEPIYGFTSGDPLRFKRALGHKDLFYIDDKDVDFKDVIEAPLPKAPLDTSIFCHWLAIEGVQPAIPENAPVEVITTLPDTKKPEQKIDELPVDIRLPVKHVLSRELQLYFNKITELAVNTPDTDLFKEALMSLATDSGLHPLVPYFTCFIEDEVSRGLNNFHLLFALMRLVWSLLQNPHIHIEPYLHQLMPPVVTCIVARRLGNRIADNHWELRDFSANLVATICKRFGHSYGDLQTRLTRTLHKSFSDRKQTLTQHYGAIQGLTALGPHVVRRYILPDLESYLGFLEEMILENKQKEITRHEAWRVYGALLRASGRSVYNLLKLFPVLPSQHANNVLRSKFRVIGTSETHRGKGNEEEVEEKEKEKGGSPMVVVTEDVEGEMEGESPGVKGGRRKGKGKGKGLRRTPAYLNQVWKDDLNSGKLVVSLFELFGEGIMSFIPSPEMSLFL >cds-PLY72897.1 pep primary_assembly:Lsat_Salinas_v7:6:165338067:165344541:-1 gene:gene-LSAT_6X100640 transcript:rna-gnl|WGS:NBSK|LSAT_6X100640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTKGRLVAGSHNRNEFVLINADEVGRVTSVKELSGQICQICGDEIEITVDGEPFVACNECAFPICRPCYEYERREGNQACPQCKTRYKRIKGSPRVDGDEDEEGFDDLDNEFDLANYSGRDPHNVADMAFSSRLNIGRGPSNASGFATPSEVDAAALNPEIPLLTFGQEDDGISADKHALIIPPFMNRTKRVHPMPFSDTASQVSLPPRPMDPKKDLAVYGYGTVAWKDRMEEWRKRQNDKLQMVKHEGGGHNDGDVDDPDMPKMDEGRQPLSRKLPISSSKINPYRMVILIRMVILGLFFHYRILHPVNDAYALWLISVICEIWFAVSWIFDQFPKWFPIERETYLDRLSLRYEKEGKPSELAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIEPRAPEWYFAEKVDYLKDKVHPSFVRERRAMKREYEDFKVRINGLVTMAQKVPEEGWTMQDGTPWPGNDVRDHPGMIQVFLGNNGVHDIEGNELPRLVYVSREKRPGFDHHKKAGAMNSLIRVSAVISNAPYMLNVDCDHYINNSKALRESMCFMMDPTSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPTKKKPPGKTCNCLPKWFCCCLSSRKKKGKGKSKEKTSKSNKKNKETSPQIHALENIEEGIEGIDSEKSSLMPQIKFEKKFGQSPVFIASTLLEDGGVPPGATSASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRAAFKGSAPINLSDRLHQVLRWALGSVEILLSRHCPIWYGYGCGLKPLERFSYINSVVYPLTSVPLVAYCTLPAVCLLTGKFIVPEISNYASILFMLMFLSIAVTSILEIQWGGVGIDDLWRNEQFWVIGGVSAHLFALFQGLLKVLAGVNTNFTVTSKGGDDGDFAELYLFKWTTLLIPPLTLLIINIIGVIVGISDAISNGYESWGPLFGRLFFAIWVILHLYPFLKGMMGKQSGVPTILIVWSILLASIFSLLWVRVNPFLDRGGIVLEVCGLDCD >cds-PLY98788.1 pep primary_assembly:Lsat_Salinas_v7:7:25241369:25244887:-1 gene:gene-LSAT_7X19641 transcript:rna-gnl|WGS:NBSK|LSAT_7X19641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEQEQESVELEAVEVMEEQPTTDDQSDYSSWPPAIRFDIPPHKTYHFSRHFRASSNPNNFLKGVKWSPDGSCFLTSSDDNTLSLFTLPDYDNCIDEKACSSAAIEDSFPASLALTEGEAIYDYCWYPYMSASDPVSCVFATTTRDHPIHLWDTSTGQLRCTYRAYDAMDEITAAFSIGFNPSGTKIFAGYNKSIRIFDIHRPGRDFQQHSTIQGNKEGQAGIISSIAFSPSHTGMLATGSYSQTTAIHREDNMELLYVLHGQEGGVTHVQFSKDGNYLYTGGRKDPYILCWDIRNTVEIVYKLYRSSESTNQRIQFDTEPYGRYLGTGGQDGLVHMYDLQTGQWVSSFQAASDTVSGFSFHPFLPMAATSSGHRRFVGLDESDEELSLTLRDDENCASVWGFSVYSAEDYAANANLGDSNGQLDQENS >cds-PLY68366.1 pep primary_assembly:Lsat_Salinas_v7:7:83663056:83664074:1 gene:gene-LSAT_7X59781 transcript:rna-gnl|WGS:NBSK|LSAT_7X59781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNFLDPKKVYFDSRLISLTDCPKKHHKTLDVVLVQETVVLIPDDTERIAEGETSEVDAKYRALVAIGSLPSFAGRRLVISSDGVWDALSGGQQPSIVHQVMTN >cds-PLY85909.1 pep primary_assembly:Lsat_Salinas_v7:2:190052024:190052766:1 gene:gene-LSAT_2X112040 transcript:rna-gnl|WGS:NBSK|LSAT_2X112040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTMKTPLFIFIVFSTLSLSSAQSLVPVRDTDGNIIRSGTGYYILPTTRGLGGGIKLVPTTINETCPSDVVQENNDLQNGLPLTFIPVKANKDGNIFESIDLNIRFSGSTACIRSAIWRIDQGVNGQREISSHGSLGNPGPQTISNWFKIEKHEDGYKLVFCPTVCNTCRPACGDIGHTIAKTGRLSLVINNTPLKVNFKKA >cds-PLY99372.1 pep primary_assembly:Lsat_Salinas_v7:7:144653591:144657358:1 gene:gene-LSAT_7X86401 transcript:rna-gnl|WGS:NBSK|LSAT_7X86401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPLLHRFFFFFAIPVLISSVSGLNSDGTILLSFKNSLLSHPSTLLQNWNHADATPCSWSGVTCEPQYGYVTSLLLPSSHLLGSIPDDFGRLQHLRSLDLSDNAINGTLPISLFNCSELQTLSLSSNFISGKLPELIGALQSLQVLNLSHNGFIGKLPKSLSSLKKLSQVSLNNNYFSGSVLGGFDYVEVLDLSSNLFNGTLPLDFGGGRLKYLNLSNNKLSGPVYLTFAENIPANAVVDLSFNTLTGQIPEMLPLYNQKEEHFAGNLDLCGKPLKRMCTVPSSHSIPTNVTSDGSATATATAAIAAIPKTARQSNTHGGQKVKPGKITAIVIGDIAAIVLLAVLFLYAYHFRKTKTNQNKKEINIEEPKGGVATSCSCLPFIIRDETSETATESEPEGDHDNRNHHNKNHMNNEEKERCLVMVDGETELDMETLLKASAYILGSSRGSIMYKAVVGGGGVGEMAFAVRRIGESAVEKMREFEKIVRVIGKFRHRNLVKMRGFYWGEDEKLVIYDYVSNGSLAGAAYKMGGSSASHLSFDVRLKIAKGIAKGLSYIHDKKHVHGNIKPSNILLTSEMDPVISDFGLEWLISGKTSFTTRNYGNRRSTSSHEETTIKNNFALSSHGNTSPYHAPESTKTPKWDVYSFGIVLLELLSGKVFLNNELTQWNMDSSILEDNKTKILKMVDGLTIAEVYEKKDSLLTCFKLGFSCASFAPLKRPSMREALQVIEKIPCSL >cds-PLY76281.1 pep primary_assembly:Lsat_Salinas_v7:8:32770482:32770814:-1 gene:gene-LSAT_8X26820 transcript:rna-gnl|WGS:NBSK|LSAT_8X26820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAHRHQEIKKKGGMRWLTAVAISSPSQRNRTTNLGGSLLVLVPGNCGGWRPRIGDTHDAISFSSLRLMRRPIPVSIGVRYCRRQESFDGVGWSEQATAPKMGEVRVTAA >cds-PLY76483.1 pep primary_assembly:Lsat_Salinas_v7:1:109904056:109921291:-1 gene:gene-LSAT_1X87300 transcript:rna-gnl|WGS:NBSK|LSAT_1X87300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYTRRGSDQQPPGRLLRTQTAGNLGGEAMMDSEVVPSSLVEIAPILRVANEVEPSNPRVGYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLEREDQTTLAGRTKSDAREMQSYYRHYYGKYIQALQNADKADRTRLTKAYQTAAVLFEVLKAVNQIDGVEVAKEILEANTKVEEKTRMYAPYNILPLDPDSSNQAIMTYPEIQASVSALRNTRGLPWPKGYNKKEDEDILDWLQAMFGFQKDSVSNQREHLILLLANVHIRQFPKTGQQPKLDDVAVDEVMDKLFENYLKWCKYLGRKDSLTFPNIQKERQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGSVSSVTGENIKPAYGGEKEAFLMKVVTPIYNTIAKEAHKSKGGKTKHSQWRNYDDLNEYFWSVDCFRIGWPMRADADFFHVPDEVKENEAMIIIAWNGSGKLSSVFDGEVFKKVLSIFITAAILKLGQAVIDLVMMWKARFSMSFHVKLRYILKTLSAAAWVIVLPITYSYSLNNPSGFGQTMKSWFGSGPSSPSLFILAIVVYLSPNMLSALLFLLPFFRRKLERSNYRIVRFIMWWSQLPLYVGRGMHEDPLSLIKYTFFWVILLVAKLAFSYYLEIKPLVGPTKAIMNVHIRRYQWHEFFPQTSNNLGVVIALWAPIILVYFMDTQIWYAIFSTIFGGFYGAFRRLGEIRTLEMLRSRFEQLPFAFNKWLIPAENSEKAKKGLKATLSRRITKITSDKEEAARFAQMWNKIITSFREEDLINNSEMALLLMPYWADLDLNLIQWPPFLLASKLPIALDMAKDSNGRYSELQKRLNSDSYMDYAVRECYASCKNILIFLVLGDREKAVLTEIFNTVDMHIEKGELLKEFDMRALPSLTFQFVKLIEFLMKNEEGDKDGVVIVLLNMLEIMTRDIMMDAPISSLMESNHGGAYGNHEAQQDKQYQKFFAALNFPVTKETDAWKEKIRRLHLLLTEKESAMDVPSNIEARRRISFFSNSLFMDMPMAPKVRNMISFSVLTPYFNEDVLFSMDALEKPNEDGVSILFYLQKIFPDEWDNFLQRVGYKHEDEMKGNPEMEEELRHWASYRGQTLTKTVRGMMYYKQALELQTFLDMAKDDELMKGYKAAESNTEEYLSNNRSLLTQCQAVVDMKFSYVVSCQQYGIQKRAGLAHAHDILRLMTTYPSLRVAFIDEVEETSNEKTKKVVDKVSFYSVLVKAVPKSADSSDPVQNQDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLVTHGVRTPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKIVNLSFNSTLRGGNVTHHEYIQVGKGRDVGLNQISLFEAKIANGNGEQTMSRDIYRLGHRFDFFRMLSCYFTTVGFYFNTLVTVLIVYVFLYGRLYLVLSGLERELSTNKQVVNDKPLQVALASQSFVQIGFLMALPMMMEIGLERGFHKALTDFILMQLQLASVFFTFSLGTKTHYYGRTLLHGGAQYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELLILLVVYEVFGQSYRGSVAYILITISMWFMVGSWLFAPFLFNPSGFEWQKIVDDWTDWSKWISNRGGIGVSVDKSWESWWEKEQEHLVASGVRGTVMEILLALRFFIYQFGLVYHLSLTESKSFLVYGISWLVLIGVLLVMKAMSYWRKTLSADYQLLFRLINGFIVVAFASTLITLVALPHMTIKDIVVCILAFLPTGWGLLLISQALRPFLRRSGLWSSIRTLAQYYEIIMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGGQKKDRSSDNKE >cds-PLY69924.1 pep primary_assembly:Lsat_Salinas_v7:4:69308133:69321758:-1 gene:gene-LSAT_4X47080 transcript:rna-gnl|WGS:NBSK|LSAT_4X47080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFPNDSGEMSPQRKLGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKGTIDRYKKACLDPPSSGSVAEANAQFYQQEAAKLRQQIANLQNQNRQFYRNIMGESLGNMPAKDLKNLESKLEKGISRIRSKKNELLFSEIEYMQKRENELHNSNQFLRAKIAENERAQQQHMSLMPGSSDYDLVSPHQPFDGRNYLQVNDLQPNNNYSCQDQTPLQLVKLLGEGLGSSTIDELQKLEQQLERSVSIIRARKIFPWLRLNKEGNLLVVATVEYKGLLEGLEIAVKLLSKTSRQGLDEFKLAIFGHEP >cds-PLY84435.1 pep primary_assembly:Lsat_Salinas_v7:8:280520926:280523298:-1 gene:gene-LSAT_8X159821 transcript:rna-gnl|WGS:NBSK|LSAT_8X159821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCDAYTRRILTSPAIRPSEKASPATLLSSLITLSDTICSYKSKPFYSNARNAKDSLLLVGNILVFLEDIRRDQTGLSGAMILSLSELHYVFQKLRFLLEDCTKSDARMWMLTRSEKVSNQFRAIFRSITVVLDVLPLDSIHVSVESKELMGLVKNQALSSKLGFRPEDQRVMEHLTSILDQLEHQMVPDRNNLKRVLDYLGISSWTECNKEIKFLDSEIMSERSTTKKSDLGILSSLMGLMIYSRCTVFTTVDIERFTISGDTHESTSSHLNKSLNVDDFRCPISLEIMSDPVTLTTGHTYDRSSIEKWFRSGNLTCPKTGEKVNSSNLVSNLCIRSIIKQYCHENGIPVTESVDSNRNRDTTNTDTGGSVAAEAAIKMAVEYLIDRLVFGTNEVKHKATYEIRMLSKTSVFNRACLVESGVVPSLLDLLCVKNPKIQENAIAALLNLSKYSKTKKVLVENGGLELILEVAQNGLRMEARQHAAGTLFYLASVDEYRDIIGKIPRSIPVLMELVQEGTNRCKKNALVAIFGLLMYPENHWKAVAAGIVPLLVDLLRSPCQHEDLLIDSLAILATLAEKSDGTLAILSCGALHVILDVVASTSSRAAREHCVTLLLAMCTNGGGDVVHVLVSNSALMGPLYSLLTGGSRASKKASSLIRILHEFNEKNASSGTRHPIHFQEQFVDVW >cds-PLY68645.1 pep primary_assembly:Lsat_Salinas_v7:8:97894069:97897257:-1 gene:gene-LSAT_8X68800 transcript:rna-gnl|WGS:NBSK|LSAT_8X68800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNISDLKTDGIGGPLQVRILRKWRHDVRRYETWYLGVDKFGDAIQILGQRTNQSYIESVLNILECYTISYYICPKLDKYQKFLENDFYIDVGLMSVIQRILETETIPKSWFRFLTKTNLIELGETPPYYPDYIGVLSKIRDCTKIGGESFVLLILTDETGAEIAINLWKDCIGNPQKFDRASLHPPPATTVVAVTNLKPSISNGALRHGSSHATHVYVNPDILETISLTNLYKLSVIVADAADTITAAMSETSCRKLLNSTPDNFLSNNPRTDGHSLPHAITNHKEEPKKMSIQMLRGSSPQNIRFIIIDHETLTMTGESSIPTTPAPIQIARKRHNDTSPEPSTAVPKAARSLSYDRSATKKIKKEVSLHYIRRSHPTSITSQVKLKCSVLTATQLPPLAPIKQIQYQSALNQNHTTTMETIMQKLIGYLQMMKTSLTNLQQTYTTVNTEMQTLLHDAPEELPYKELTIATQVIADLDNITVLMLDMFGMM >cds-PLY99533.1 pep primary_assembly:Lsat_Salinas_v7:1:114501632:114505676:-1 gene:gene-LSAT_1X88661 transcript:rna-gnl|WGS:NBSK|LSAT_1X88661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGANLESYKIPLEEIKRATENFSRQRCIGGGGFGAVYKGKLSQRSENRTVAIKRLGKDSDQGEHEFLNELEMISKFHHENIISFIGYCDEGGEMIIVYDYAINGSLDHHLQNRSKMGCISWTERLTICIGAARGLNYLHSGLGEHNKVIHRDVKSSNILLDENFVAKICDFGLSKSGPRNQPDTKLYTKVAGTQFYIDPTYHESRILQKESDVYSFGVVLFEVLSGMLVYHERIIEDEQQFLMNWVRRYHEMEPRMVIDPDIIDQIDSRSFDTYKEVAYQCISYNLIERPTMDVVLRRLEEALTMQMAEHSLSTPVDHSTAESLLCKLNSISTYDQWIASSEISRLTESNHENRVAFAQAGAIPLLSILLESLDSDAQENAVTSLLNLSVYEENKVSMVFCQAERAIADVLRNGSMVARENAAATLFILSVNHEKDVIFGSGEAVSALVLLLSEGTERGKRMATNALFNFCLDEDNKKMAVRAGVVPILMELLREQHGVLKDKAIAILAILSIHLEGRLAIGRAEAVPILVEIIGNGSPRNKENATLVLVELCLEDQKYLVEAQNLGAMEKIMELLEQGTNKGKGKTIKLLEKIKELD >cds-PLY62214.1 pep primary_assembly:Lsat_Salinas_v7:4:275107048:275108711:1 gene:gene-LSAT_4X141140 transcript:rna-gnl|WGS:NBSK|LSAT_4X141140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDLRKQAFPVGTEWHQLDSLSEYKWNFSNLEGAFEEGGVLHGKKVYLFTCTEPQLLSFHDQTKVICIPVVVAVSRGQLNFYFLFLNTSRFAYIPLSYIYLFSNIEQVVSPFPPSDKIGIQSVYRESEEILDMKQMKMDWVPYIPLGKRGSSIERVKSQIFILNCVQRRNLLGKGLEKEKELIVRQEKRGKMLEWQ >cds-PLY72896.1 pep primary_assembly:Lsat_Salinas_v7:6:165301338:165305186:1 gene:gene-LSAT_6X100781 transcript:rna-gnl|WGS:NBSK|LSAT_6X100781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVMGSEALILFLACSIIVLHPAKLIFANMEGDALHSLRTNLDDPNSVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGTLVPQIGLLKNLQYLELYSNNISGPIPNDVGNLTNLVSLDLYLNSFSGPIPVTLGKLSKLRFLRLNNNSLTGPIPLQLTNITSLQVLDLSNNRLSGSVPDNGSFSLFTPISFANNLDLCGPVTGHPCPGSPPFSPPPPFVEPPPISLPGGNSATGAIAGGVAAGAALLFAAPAIAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPNEPPLDWGTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKERKVEMLVDPDLESNYVDSEVEQLIQVALLCTQGSPMERPKMSDVVRMLEGDGLAERWDEWQKVEVLRQEMDLAPHPNSDWILDSTENLHAVELSGPR >cds-PLY74915.1 pep primary_assembly:Lsat_Salinas_v7:3:128792482:128795609:-1 gene:gene-LSAT_3X87981 transcript:rna-gnl|WGS:NBSK|LSAT_3X87981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTPFDMMHAKKKELMSNAMRRTTEWISSQEIPSDVTINVRGISFSLHKFPLVSKCGYMRKLMSNFKESDHIIEINDIPGGPQGFELGAKFCYGINFELTIQNIAMARCVAEFLEMTENYAIGNLIARTEAYINEVGLKSLTSAVSILQSSETFLPISENIKLVTRCVDSIAFIVMKECEFSMSASGGCSSDGLDSSSSSFCHLKVVDWWAEDLIVLKIHTFQRVLLAMISRGFNKCAFGPILTLYAQKCLQDLEILRKGKNKSDPNQENEKRVVLETIVSLLPREKNAISVNFLSTLLRSSIHLETTMACRLDLEKRIGLQLEQAVLDDILIPSFRFDGDTLFDVDTVQRILMNYNDIESTCDDIQKIRKLMESYFAEIASDCNFSVSKFVNLVECLPKQERGMEDGIYRAIDIYLKAHPALGDNERKKLCNSINFQNLTPETLAHAARNDRLSAETVVQVLYHEQQRLEDKKEDDGSGVLNPLPDDLSSLEKENQDLKFELLKVKMKLKEMKISNSDKSPLIRRSFMNTISKKLVKFTPFLRADHGVSPSVSRSTNKLSKDRRHSIS >cds-PLY92334.1 pep primary_assembly:Lsat_Salinas_v7:9:178784693:178785263:1 gene:gene-LSAT_9X109741 transcript:rna-gnl|WGS:NBSK|LSAT_9X109741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCCCIRYDTHFHKVFKVYTKLWKFQQENRQKLVESGLKRWEIGDIASRIGQLYFGQYMRTSQAICLVLNRREMVYQLVNQLKMLVSEIKRAFQVLFVTRAFQELISIF >cds-PLY74311.1 pep primary_assembly:Lsat_Salinas_v7:9:187935989:187938010:1 gene:gene-LSAT_9X114981 transcript:rna-gnl|WGS:NBSK|LSAT_9X114981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLILAYFLLLFIPYAATITFNLTNIGLQNQFKEITMTGAGYITDDGMQVSGPDRNGRAGRATYFKQLHLWDNTSRELVSFTSNFSFSINSNSDPEYGDGLTFFLAENNSVILAGGSMGLPINGLEHTKSPFVAVEFDTFSNPEWDPRNSSYDLIGDHVGINCNSLVSNTSQKWFSNITGGGVCQAWITYDSVSKNLSVSFTGFQNNTVVRQQLHHIIDLRSVLPEWVIFGFSAATGDAFQNNSVKSWAFNSSDLQYNANNVQPPSWSPKAMKGKTRTAVLVSSLVGLFVVISFLVVLGFCLWRRKKRREGKQGFNVVMDNVFEMGTGPKNFSYQQLARSTSDFAEDQKLGEGGFGGVYRGFLQDLSMHVAVKRVSKSSKQGIKEYASEVRIISRLRHRNLVQLTGWCHEKGELLLVYEFMENGSLDMHLFKGKSLLAWGTRYKIAQGLASALLYLHDEWEQCVLHRDIKSSNVMLDSNFNTKLGDFGLAKLVDHEKGSQTTLLAGTLGYMAPECVVTGKATRESDVFSFGVVALEIACGRKPIVYKAQENQIRLVDWVWELYGAGTLLEAVDPRLGSDYEGEEIKRLMMIGLWCVHPDMVVRPSMRQIIQVLNCEASLPILPSKMPVASYLSSPMSYSDGVASIIPTQSSSSTFYMGRS >cds-PLY85103.1 pep primary_assembly:Lsat_Salinas_v7:1:45701253:45704825:1 gene:gene-LSAT_1X40580 transcript:rna-gnl|WGS:NBSK|LSAT_1X40580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLYTCFHGTVADLDQIQQEAQHRWLRPAEICEILTNYHKFQLRPSPPVMPPAGSLFLFDRKVIRYFRKDGHRWRKKKDGKIVKEAHEKLKAGSVDVLHCYYAHGEDNENFQRRSYWMLDKQYENIVLVHYREVKEGYKSSFSRGLNPDSRTLLQNSQSSEQTPHTSYGYDMNSVDKNEQSVSSISNNEHSSQDFGIDSFTTDLLSYKLTDARLDSDTHSQDFLNSGNGFFSDHEVASQVTFQIVSFLNLGCILMAVSMQVNVNTEVINLQDNDAGGLKKMDSFGRWMCKELGGEFDDSLMASDSGVYWNAIETETGNNKTEVSSLSHHMQLNTSPVGPSLAQEQLFTITDFAPDWAYLETETKILITGNFLGDKKRMNDIKWCCMFGEIEVAAELLTENAIRCQVPLHAAGRIPFYITCSNRLACSEVREFEYIDKSTRLTTDHHHHRDDDKTWDEEEFGLVIRLSKLLSLGVKLNKWLDCKVVDCEKCKMKDVFDLILRNKEWCSNRITKELLIQNLLKDKLYERLVYEVHEACEGLRVLDEKGQGVIHLAGALGYEWAVAPITATGVSPNFRDSHGRTALHWASLYGREETVVALVKFGAYAGAVDDPTPMNPGGQTAADLASSKGHKGIAGYLAETDLTTHLSALSVKEKDKNGVKSEVLVDDDDATHSHSLKGSLAAVRRSAHAAALIQDAFRTRSFNQRQQQATKAETEELVAIASSSSLQKVKKTRDYEDYLHLNIAASKIQQKYRGWKGRNEFLKMRERVVKIQAHFRGHKVRKHYKKVVWSVGIVEKAILRWRRKSRGLRGFRPELRAPESESESEYDFLRIGRRQKYVGVEKALARVHSMARNPEGQEQYMRLVGKFEKLSHEHEDSSSSK >cds-PLY70379.1 pep primary_assembly:Lsat_Salinas_v7:4:98054673:98058643:1 gene:gene-LSAT_4X63101 transcript:rna-gnl|WGS:NBSK|LSAT_4X63101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRQQVRSTPLHTSLSLIPLPPDASGSPNLQERGSNSDQIRESPTESASSRDTWPDPLILSKKENDNNTPEHSVIHHISGSDKMSIRDVCRERVDLIAERMQNLPYEFLEKLKNDLRVLIEGSGGHQHREEYISLQKTVLGRGDLTEKTLTIAHRGQLEILVAVKTGIQAFLHPTVSLSQASLIEIFLYKRCRNIACGTQIPADDCSCEICTKRNGFCNLCMCVICTKFDFEVNTCRWIGCDVCSHWTHTDCAIRNGQIGVGPGRNGAGSGSGSGSGEMLFRCRACMRTSELFGWVKDVFYQCVPLWDRDALIRELEYVRRIFHRSEEVKGRKLYFKCEELLEKLKTGLGEGVACKSILSFFQEMDTGSPQETEEGRLIPPQEAFNRIADVVHEAIKKMEMVEEEKIRLVKKARLALEACDQELKDKSREMTSLNMERQKKKHQVDELESIVRLKQAEADMFDLKANEARREAERLQRIALAKTEKSEDDYANRYLKQRLHEAEAEKQYLFEKIRLQESSSRVSQGDGQFEDWCVADEQTPDEELQRAVVWACENGADCSKIQPNQPCFLPNTIKDHASFAFNSYFQRMKAHGATCYFNAAALVTDLDPSKHIILPQFESLHFA >cds-PLY63702.1 pep primary_assembly:Lsat_Salinas_v7:9:86082272:86084842:-1 gene:gene-LSAT_9X67721 transcript:rna-gnl|WGS:NBSK|LSAT_9X67721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNSVPSNSPTDFSFVLHKSPFTTITSAQANITRDNTKFVNGAIVGERNVFQRDFEQQWLSITFSKAIFLGNGLVAILAGLFGNLLVGSLAMGPVNPFDAASIFLAIGMAIIISSWTENYGDSSESKDLMTQFRGAVVAIASALLGAIQSLFKGSMYTFVFLWTPALSPNGEDIPHGFIFATFMLSSMLGSSLASWLLAHTLTYIHIVLCX >cds-PLY96913.1 pep primary_assembly:Lsat_Salinas_v7:2:5819763:5821695:1 gene:gene-LSAT_2X2440 transcript:rna-gnl|WGS:NBSK|LSAT_2X2440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVKSENVEEKVKVEEENVVATKVTVNPDTKLQVEEKKENKKVDTNKKKEAPVTINKELLQGMILLWDIDAGLAQIDKYFHSNDNHVIAGALLGVGVASTIFVDYLDKENASIRIGAITGLGLAYAGTQNEQIHDKLSQILSEPKVGFDVILFIAIALGLVYMETQNKEPAMINLMVVFMRTASSLQPSQTMIGHLLEMEVLDQSTSIAIFIHTCSCLLNQSIYGVRVNW >cds-PLY74645.1 pep primary_assembly:Lsat_Salinas_v7:7:36950809:36952098:-1 gene:gene-LSAT_7X27401 transcript:rna-gnl|WGS:NBSK|LSAT_7X27401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHWGDPKMWYGVPGSHANALEDAMRKHLPDLFKEQPDLLHQLVTQLSPKVLKSEGVPVYRASQCCGEFIVTFPRAYHAGFNCGFNCAEAVNVAPVDWLEHGQGAVEVYSQQRRKTSISHDKLLLAAATEGIRALFEVSFLNKQTSENLYWKRVCGKNGILTNAIKGRVEMEMKRIEHLQTSFQFQKMEDDFDTTNEKECYLCFYDLHMSAATCTCLPDGFTCLKHANLLCSCDPKQRVVYLRYTLDELTTLVNSLEGDSDALQKWASEKFQLDPERAFLEDHKCVSSVPVKEEALALQSDPKQETFDMCVNQEMKVGHGCSIDLNLDNIGSTKVEKNNDEFDDIKVEVVSIGSVAFGKLWCNNQAIFPKGGVTSYNMI >cds-PLY75646.1 pep primary_assembly:Lsat_Salinas_v7:1:99503406:99505165:1 gene:gene-LSAT_1X81301 transcript:rna-gnl|WGS:NBSK|LSAT_1X81301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHMGKLICRNLGALMDVEPKWWRILADVLYDLGTGLEIVSPLCPQLFLQTAGLGNFSKLQEQQDYQFILHLQKEKPSQPCLMYQLLTTVCSSMQGKMVVGSLLSIIHVYSVSEEMRAAPVNTLNPQRSAMIIEDFIKVKVKDVNFQIMLLDLQLANLKWFNFLMILEDKLQLLLLNPSIPYTSNFRPRFPVTVAPTPIVSYYMERYTIVSYSSSIGHILPVTVADIKHCR >cds-PLY65941.1 pep primary_assembly:Lsat_Salinas_v7:4:141506970:141507899:-1 gene:gene-LSAT_4X88220 transcript:rna-gnl|WGS:NBSK|LSAT_4X88220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHQPIDWFSWLSKSGLEPSLIYEYGMAFSRNELEEGDITYFNHEFLQSMGISIAKHRLEILKLARKQKGSRGSHTHPISKLIIAMKKTKRTLASYIQTWIRRDESALVLVSKSYGWKGTMLRRNKRSMTFKQATPPTLLLTNSYHKSDSARINNFASPLVYDLRYDEGKIDGYDGDDHEKYDEEDDGGYWSGSGGGVQEIRWDTMFQNLKPT >cds-PLY62551.1 pep primary_assembly:Lsat_Salinas_v7:4:355667925:355669289:-1 gene:gene-LSAT_4X175361 transcript:rna-gnl|WGS:NBSK|LSAT_4X175361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSAEGPLRTSAERGIFVIYLRGEGHFWYFTFIFSTKPVPPLWSPTGPPFLVIEDESTHRLSAGFSDSIPATDDDDSPTAATDNDDETGFQDPLPAVEMDENLPNILSDGLGSRHLDLNQKAYLTLKGMHIPHGDPLLVHLMMLHEVRTQEVFEMGRFLFDIEGRHLEFGETEYILIYGLKVGRYVDLLYDEKGGSNSSLRARLFPDISNARLRLKDLEDLIMSPKYLEIEDEDVVMLIQLVFVLKGLHGHDVKTCIPAAIYNIANNRDDWNMYYI >cds-PLY95743.1 pep primary_assembly:Lsat_Salinas_v7:5:248577610:248577861:1 gene:gene-LSAT_5X123580 transcript:rna-gnl|WGS:NBSK|LSAT_5X123580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRFHITLSFLFLLFATYSSVITSSSRFKALPPAAFPGQLHATEQLFLPSTTTMPPADSTDLIRSEKRRVPTGSNPLHNKRKF >cds-PLY94215.1 pep primary_assembly:Lsat_Salinas_v7:9:20260295:20261401:1 gene:gene-LSAT_9X18841 transcript:rna-gnl|WGS:NBSK|LSAT_9X18841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYEPDPDDDNSLNDNSLSGIIRTALSYDTKLMLAAIISLLLVILFVLLLHLYTRWYLLQARRRSRSSVTVPRVLGSRLHNRASFTIIDTTTNPGNSSNSPGKFGLPFSTIASLPLFVYKVSSEEDRDSGLDCAICLSAFEEDEVGRKLPGCGHAFHVQCIDMWLHSHSSCPICRASIRCNHSNKNDHLEIQMADQEQESESVGLTISNEEELRLENVAPISDQITEPHETADAAAENDSGVGGSSSSSIGESIKKLLSSSSSCSSSSRSGGKIHPSSDDNGDESEV >cds-PLY77745.1 pep primary_assembly:Lsat_Salinas_v7:2:168945929:168946803:-1 gene:gene-LSAT_2X92640 transcript:rna-gnl|WGS:NBSK|LSAT_2X92640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPFMSSAKSHLLSPIKNFIHADFHGVFQRMTLTDKVLFLNVHGVDKSGIGWHRLPVFLGLSYLAIRRRLHDKYNLVNVGKTPVGVRFNAADFPFRTADGKFTDPFNEGAGGEGSFFGRNMPPVDQKDKKYNQKR >cds-PLY91353.1 pep primary_assembly:Lsat_Salinas_v7:8:46782681:46785248:1 gene:gene-LSAT_8X36261 transcript:rna-gnl|WGS:NBSK|LSAT_8X36261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLSYDRVYATHSGMPGERKLANFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASYTGIRHLFSKCLSQYTRKKVTGPS >cds-PLY95781.1 pep primary_assembly:Lsat_Salinas_v7:3:27406182:27408583:1 gene:gene-LSAT_3X20560 transcript:rna-gnl|WGS:NBSK|LSAT_3X20560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAKDSPKTTWKPYCCSAENKVFHSFSRCRPSKSEFSKNVAPSPSFRRMSFSDLSRSSSMRLNEDIQSFGPDLFDFKLSELRGVTQNFSSNFLLGEGGFGKVHKGYVDETMRSGLKPQPVAVKLLDIEGLQGHREWLAEVIFLGQLRHPNLVKLIGYCCEEEERLLVYEFMPRGSLENHLFKRISVCLAWGIRIRIAIGAAKGLAFLHGAESPVIYRDFKTSNILLDSDFNAKLSDFGLATMGPEGSNTHVTTRVMGTYGYAAPEYVNTGHLTTKSDIYSFGVVLLELLTGRRAMDKKRPKSEQNLVDFARPYLTSSRRVRAIIDPRLGGQYSVKGAKEVALLALYCVSLNPKDRPKMPVIIETLEGVKNLKDMAISSGQWAPPPTQKTARNAVYMPKESKDMNGGGRIYWKQTPVKTVSTKG >cds-PLY63287.1 pep primary_assembly:Lsat_Salinas_v7:3:90525490:90532918:-1 gene:gene-LSAT_3X69821 transcript:rna-gnl|WGS:NBSK|LSAT_3X69821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMERSSSRRDVASSYDDDLPHSEISDGKRSKDAGNEMDSIERIFESKEVPPWQRQLTVRAFVVSFILGIMFTFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKFLNKSGMLRQPFTRQENTVIQTCVVATSGIAFSGGFGSYLFGMSEVVAKQSSENNYEENTKNPSLTWLIGFLFVVSFLGLFSVVPLRKIMIVDFKLIYPSGTATAHLINSFHTPQGAKLAKRQVRTLGKFFTFSFLWGLFQWFFTAGDGCGFSSFPSLGLEAYEHKFYFDFSATYVGVGMICPYLINVSLLVGAVISWGLMWPLINLREGDWYPKGLGSSSLHGIQGYRVFIGIAMILGDGLYNFFKVLGHTCFGLYRQVRHRKSQSQLPVSRNSSPEIPQISYDDQRRTHLFLKDQIPMWIAIIGYLVIAAVSIVVLPHIFHQLKWYHITVIYALAPTLAFCNAYGCGLTDWSLASTYGKLAIFIVGAWAGGENGGVLAGLAACGVMMNIVSTASDLMQDFKTGYMTLASPRSMFVSQVIGTAMGCVISPCVFWLFYQAFNDLGQPGSEYPAPYALIYRNMAVLGVEGFAALPRHCLALCYGFFGLAIVINGMRDAVGKEKAKFIPIPMAMAIPFYIGGYFAIDMCVGSLILFVWSKVNKAKAAAFGPAVASGLICGDGIWTLPSSILALAGVKPPICMKFLSRGDNVRVDQILKSS >cds-PLY89249.1 pep primary_assembly:Lsat_Salinas_v7:5:311059349:311062599:1 gene:gene-LSAT_5X169481 transcript:rna-gnl|WGS:NBSK|LSAT_5X169481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFAAGAGQFLSLHQTRTRPKLHCTPSSASITTNTIRCQQISSQNPNKPLKTRPSKTPVLSVKNLAISAAAGVLFHVAFKSSSLFGFGGGGGGGGASGGGGGGGGGGGGGGGFWKRLLSPVANADENQSPEWDVHGLPADIIVPLNKLSGFKKYKLSAIEFLDRVKSAVVGSEDSFFEMVSLRAGGVYTKAQLQKELETLATCGMFEKVDLEAKTNPDGTIGLTVSFTESTWEEAEYFKCINVGLMAQSKAVEAEENMTEKEKIDYMRNQERDYRRRMERARACMLPSTVHREIVKILGQGNVSARMLQNIRDRVQKWYHDEGYACAQVVNFGNLNTNEVVCEVVEGDITRVVIQFQDKLGNVCEGNTQTGVVRRELPKQLQRGNVFNIEAGKQALRNINSLSLFSNIEVNPRPDEKNEGGIIVEIKLKELEQKSAEVSTEWSIVPGRGGRPTLASIQPGGTVSFEHRNIKGLNRSILGSVTTSNFLNPQDDLAFKLEYVHPYLDGVTNSRNRTLRTSCFNSRKLSPVFTGGPGVDEVPPIWVDRTGVKTNITENFTRQSKFTYGIVMEEITTRDESSHISSNGQRVLPSGGISADGPPTTLSGTGIDRMVFAQANITRDNTKFVNGAIVGERNVFQVDQGLGIGTKFPIFNRHQLTMTRFIQLMKVEEGAGKSPPPVLVLHGHYGGCVGDLPSYDAFTLGGPYSVRGYNMGEIAAARNILEVAAELRIPVRNTHVYLFAEHGNDLGSSKDVKGNPTEVYRRMGQGSSYGVGAKLGLVRAEYAVDHNSGTGALFFRFGERF >cds-PLY68422.1 pep primary_assembly:Lsat_Salinas_v7:2:60432906:60433668:1 gene:gene-LSAT_2X27840 transcript:rna-gnl|WGS:NBSK|LSAT_2X27840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIVEEGQNQPKIERKQRKSQKIIEKGEDDRIYSLPDCLLLEILSRLPTTKHSIRTGILSKRWNHLWTLVPTLIFIHYGSQTSPDFSLSVDKTLTQCRPLKLKKFQVCCRFSIGFESHINNWIRYALRYNVEEFNLTLPKGKQKFLFDQFFFNNTCFIDLKLEDCVFTPTGAISWKNLRSLCISSGESILLQRVLRSWCYLDTWILIIHSMPILSKLMLLIFYH >cds-PLY85971.1 pep primary_assembly:Lsat_Salinas_v7:3:201220205:201222356:1 gene:gene-LSAT_3X119801 transcript:rna-gnl|WGS:NBSK|LSAT_3X119801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTEWRRCQEMPEFFVVNGGEKHVLSFSDVFGWRFQGDFQFRPISICESLPLPSREESGREALHKAVVNGTFDPSAASSTSSHFGEIVEAIDSFIYGEYASMMKLGSFDINGLRQLCVDDDHEGAGPSSNAMIIDLGQGAGK >cds-PLY90919.1 pep primary_assembly:Lsat_Salinas_v7:5:48831584:48832586:1 gene:gene-LSAT_5X22620 transcript:rna-gnl|WGS:NBSK|LSAT_5X22620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINNTYDQEGYAKRQKLSNPNMISRQYAGFQNPRIVRVSQAFGGKDRHSKVWTVKGVRDRRIRLSIPTAIQLYHLQDQLGLTQPSKVIDWLLDVTKDDIDKLPPLQMAQEDFKRFHLPPTIVPHNFNSTQLTFSPLYNSTPINDHQRMEGKEAILSFSPFFNTPSYDHQRTEGKDVIIENKWNSYNYQDSDQQFSNLSLSRSDKKLDTYTSLFPSSSAPPFEPHIFSCFSGPANPSFFPPYVMPFELLSSSSPCVPPNSLVQVPLNLIDTQVKVPFGLNMNSKISSQSNNNNG >cds-PLY82610.1 pep primary_assembly:Lsat_Salinas_v7:8:175238003:175238321:1 gene:gene-LSAT_8X113800 transcript:rna-gnl|WGS:NBSK|LSAT_8X113800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQTHEWQRSPDRDDDDDDDGNTGLQENNVQESVLGAGDVANYVGVDEMGYDMAPSEMTNNDVLGDPMPFNQMESMRQFCN >cds-PLY69145.1 pep primary_assembly:Lsat_Salinas_v7:5:284635848:284637593:1 gene:gene-LSAT_5X151081 transcript:rna-gnl|WGS:NBSK|LSAT_5X151081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSKKLFKISHFALCLWVFFGTTSGQLSANFYARTCPNFRSVITRAVNSAVSSEARMGASLLRLHFHDCFVNGCDASVLLDDTANFTGEKSAGPNSNSLRGFNVIDTIKTQLESQCPGVVSCADILSAAARDSVVALRGPSWNVVFGRRDSTTASQSAANSNLPSPGASLSGLISSFSNQGFTINEMVALSGAHTIGQARCTVFRNRLYNENNINSSFATSLRPNCPSSGGDNNLSPLDASATSFDNRYYNDLINQRGLLHSDQELFNGGSTDAQVRTYSSNAATFSTDFANAMVKMANLNPLTGSSGQVRTNCRRTN >cds-PLY84107.1 pep primary_assembly:Lsat_Salinas_v7:6:186692170:186696498:-1 gene:gene-LSAT_6X115720 transcript:rna-gnl|WGS:NBSK|LSAT_6X115720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKPTGKKKLQAGVEKVVKQNKAERSSKQIDEDTAVFIQMSQELKEEGNKLFQKRDNEGAMLKYEKALKLLPGNHIDVASLRTNMAGCYMQMGIGEYPRAIHECNLALEVAPKYSKALLKRAKCYETLNKFEWALRDVRLVLGMEPNNVTAHEIEDSVKKAIQGKGLKLEDIEAVLSHESNDPSAVKVVKVLKEKPKRRKNIRVDRKDVENGNNSKIEEVTESKSEESKEIKGEDAKDRKNKVKRVRDKRANHVEEKKVEGTNGIKEEIVATRTVKLVLGDDIRSAQLPSDCSIGFVREIVWDRFPGLEGILIKYKDQEGDLITITTTSELRLAEASSDPQGSLRLYLVEVSPDKEPSYEGFTNDGFHNTNSLKSSVSENGNIGQMSQISQIKEVEKVTTCVEDWIVRFARMFKDHVGFESDSYLDLHELGMDVYAEAIEETVTTEDAQKLFDIAGGKFQEMAALGLFNWGNVHMNKARKWVIFAEDGTNESKHEKVKTGYEWAEKEYVKAGRRYEEALKIKPDFFEGFLALGQQQFEQAKLSWSYAVGTKTSLDAKILELYNKAEDSMEHGMQIWEELEEQRLNGLSLYDKYRDDLVKLGLEGFLKEVSTDEAAEQAAHLRSQMYILWGTLLYERSVVEFKMDLSAWEESLAASVEKFELAGASPTDLAVIIKNHCSNGTASAGLGFKIDEIVQAWNEMYEVKRWQTGVPSFRLEPLFRRRVSKLHSHMEMEHH >cds-PLY70154.1 pep primary_assembly:Lsat_Salinas_v7:3:11559674:11560515:1 gene:gene-LSAT_3X9081 transcript:rna-gnl|WGS:NBSK|LSAT_3X9081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTMFDDSYHPLVVPANTDPLISPTAPAPTPPPPLPKNPNSDNYSHIEPLTYTDVNSMPPNTNGEELQSAKNPRGEFEGLVPVSTPPSQSYLKITVSNPQKEVESSTSIVPGIYGPTAVQGRGDIVGSSNGGIPRFFIPPRPDKSVVESQVMQKQEFVEQRRFALEKYLQRLVEHPVIRQSGELRAFLQVQGKLPLLPNSPVTLRMLDGATKSSKQLLGDNLGGGSVRIQPQDVVRPAKSKWDFLRIVKEMNQSVNNN >cds-PLY71429.1 pep primary_assembly:Lsat_Salinas_v7:8:42532446:42534923:-1 gene:gene-LSAT_8X33340 transcript:rna-gnl|WGS:NBSK|LSAT_8X33340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIANVVFKPQNPFLIHLIQIFPLSHTLPHFLLPLYSITRQTNRSHYSSLPSDNLETVNKLISIFTQKQPLSLVSTTELTTFGSKLTTDVVETALKSFKNWKTALRFFNWASTQNGYHHSCYTYNAMASILSGARQNAAMKELSINLIKSKCYMSAGALGFFVRCLGGLGMVNEANELFDQVKELGCVPNNYSYNSLLEAISKSGSVDLMAMRMNEMKDNGWKPDKYTLTSVIQCYCKAGKFEQAFEVFQQIDELNWVDSYIFTILIISLSKGGEVDKAIYLINKMDDFKIILNEKTFYVLIHGFVKEGKVDYALTLFKKMQTLGFVPEISLYAVLIEGLCKREELTKALQLYSQLSKMGIHPDVNLLKALVSSLSDEKEMMSLLKEAKDYLNKTSMTTLCNAAFITLVKSGSIDKAYHLIRSIMKDYDHVPLDASSFEIVIDGLIRKGNLDVALDLFNEMDQIECKRSLLLYNNMIDALSNEKKVEKCHELLKEMKDSGFPPSQFTHNSIFGYYCKVADVAGAIDMLHEMHTHGHQPWIKHYTLLVKQLSRISKVAEASNFLTIMVDKGFLPDMIVYSAVIDGWLKIGEVDYALKMFKGISGNKHCPDVVTYNTIINGLCKAKKVSEANDIYQEMIQKCLIPSVVTYNLLIDAYFKNGDIDQAIGFFKMMSQKGRNPNVVTYTCLIDGLCNVGRSDEALVIWNEMCSCTSPNKIAYMALVNGLCKCRKPDEGLIYFEEMKEKDMNVDSYVYVALIEAFVSVSNAAMGVCVLRKMIGDERVPDLFDKNCGILREVVVKLLDDELVCEEIRRLIEDGSVPIHLVQT >cds-PLY85716.1 pep primary_assembly:Lsat_Salinas_v7:4:216777246:216780818:1 gene:gene-LSAT_4X122180 transcript:rna-gnl|WGS:NBSK|LSAT_4X122180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEESYVNNGGACGFSTSAQFQSCGGGGGGGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVLEAPTGNEEDDDLEFENGHWNSSDLASDDTQKSHRSRNRPHKSSGSSHKTVSRSLSCDSHSKTSFTTSRGSVKVDLSKLEMAALRRYWRHFKLAGAIPNPSKEQLVDIVQRHFMSQTLDELQVIVGFAQAAKKLKTVCK >cds-PLY89697.1 pep primary_assembly:Lsat_Salinas_v7:1:132173250:132178718:1 gene:gene-LSAT_1X96960 transcript:rna-gnl|WGS:NBSK|LSAT_1X96960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSSWNTEVLIESIKQLAPGINWTTIIKNLDHEGFYIPGEATISLLISCYRLASQDPFPLSAVCGNVWKNTEGQLSFLKYVVSVPPEVFTFAHCEKQGSILPSFNLGMQIMHGYALIFWRFYASWLKEALQRRRGVVMAIPMPGHPIARQEEEKVVDDCKRLSDLIKSPDAVLCANANKVTITGALGFDSFLVMRHGAGPLLIRNTSSKAETLVGSSLSTGVEEMSRGQKDSKPRLGLDQIRNQNEVNSEVDDIKNSSTSVDLTVIRNFLSRDYMTLKLWDINMESVPVSTFQVHEYLRPKDNLLKSVETEVVNRYRR >cds-PLY79263.1 pep primary_assembly:Lsat_Salinas_v7:9:5592464:5595578:-1 gene:gene-LSAT_9X960 transcript:rna-gnl|WGS:NBSK|LSAT_9X960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 159, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02510) UniProtKB/Swiss-Prot;Acc:O81283] MTALDDVEDVSIVGLDGDTVQETESLDKDTPDDAAQATEVLDKAVPDEIAQGTEVLGKDLPDDADAKAKHIDIDADSGLENGPADKFVVEDSAEKDDDNEEGYMDASPSDEDTDGVIFGSSEAAKQFMEELEGGSGEGGESSQDRSQMVDGQIVTDSDEDDDEEEEEDGKELFDSAALAALLKAAADGSSEGGNITFSSQDGSRLFTVERPAGLGPSLQPMRAATRPTPTRSSLFNNPSSIMSVSETESNLSEEERKKLEKIQSIRVKFLRLVQRLGLSPDESVAAQVLYRLALIAGRQTGQSFSLDAAKRKAMELEAEGNDDLDFSVNILVIGKAGVGKSATINSIFGEDKTGISAFQPATDSVKEIRGMVGGVAVRVFDTPGLRSSVMDQGFNRSVLSSAKRFTKKNPADIVLYVDRLDAQTRDHNDIPLLKNITNSLGPAIWRSAIVTFTHAASAPPEGSNGIPLSYEMFVTQRSHVVQQAIGHAVGDLRMMSPSLMNPVSLVENHQSCRKNREGQKVLPNGQTWRPQLLMLCYSMKILAEANALSKPQDPYDNRKLFGFRVRSPPLPYMLSSMLQSRAHPKLSSEQGGDGGDSDVDLADLSESENEEDEDEYDQLPPFKPLKKTQLAKLSKEQKKAYFDEYDYRVKLLQKKQWKEELKRMKEMKRRGKDGVTDQGFQEEEGEGDAPAPVAVPLPDMALPPSFDSDNPAYRFRFLEPTSQFLARPVLDTHGWDHDCGYDGVNLEQTLAIINRFPASVSVQVTKDKKDFSINMDSSVSAKHGENGSTMAGFDIQPIGKQLAYIVRGETKFKNLKRNKTAAGMSVTFLGENVVTGFKVEDQMAFGKQYSVIGSAGTVRFQTDSAYGANIEVQRRELDYPIGQVQSTFGLSIIKWRGDLALGFNSLAQFSAGRNSKVAVRAGINNKMSGQITVKTSSSEHLSLALVALIPSFISAYKKIWSGAADRYSAY >cds-PLY91738.1 pep primary_assembly:Lsat_Salinas_v7:9:17107392:17111189:1 gene:gene-LSAT_9X16601 transcript:rna-gnl|WGS:NBSK|LSAT_9X16601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANIRVLSFLLLILLSFQSLLTAHEDPTYKEVQVGVILDMESGVGKVIYRCITTAISDFYSANPHYTTRIVFTTRDTKGEPLSALSSALDLLENTEVKAIIGPESTAESRLLKVLEDKANIPILSFSTTPFLNRNPNLLGIPQDETTQFQGIAGMVDLFKATNVIVICEDTANGREMANYMVSAFQEKHIRITYITLISTFSSNEQVKVELRKLQTMQSMVFVMHTPPSLATDVFSMAKELGMMDEGYVWIITSKTTNYLDSMDAEAIKTMQGAVGFKSYVPASGELHSFVSKWRKEHYALDPFMEFKEVDFNGIWAYDAVYALAMAVESVQTRELASKELGTNIGTSLLLDKMLRVKFHGLGGEFKLMNGRSITKVMEVVNVIGKGDRRVGFWMMSTNGEFVKGIGIPDSISNRGLQSIIWPGGTTTFPKRRILQTNGNKKLRILFPNFGRFPNLVQVTVDPKTNVSGVSGFCGDVFSAAFKALDYGVGIEVVPFKYEVGITYNDLIQKIYRKEYDAAIGDFTITTNRSLYVDFTIPFTDLGVGIAARNTKNSMWIFLDPFSAGLWITSACFFLFLGFVIWFIEHPTNKEFQGSMIQQIGTTLWFAFSTLVYAHREKLQSNLSRFVVIVWVFAVLVLTSSYTATLSSVLTVQQIGMNEISTGFQNLSPVGGYVFNKLKPVDVNLEKLYTPEDYSKALRNGRVDAIVSEILYIKSFLAMYPATDVSLIATASTTNGFGFAFQKGSPLAREVSTEIAKMREDGTLKALEDKWLKRESAMMSKDFSSPSPKILNLYRLRGLFVISGVSMALVLFVSMIHLLHKKWHAKNITKTLRSVLRNTCTR >cds-PLY74406.1 pep primary_assembly:Lsat_Salinas_v7:2:14261584:14262423:1 gene:gene-LSAT_2X6761 transcript:rna-gnl|WGS:NBSK|LSAT_2X6761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g76060 [Source:Projected from Arabidopsis thaliana (AT1G76060) UniProtKB/TrEMBL;Acc:Q63Z96] MRAIHDYEIQHQHPFLNEQLTDEMAATTRKCRNLAISIHSDLHFHHLHRRYFLNNGPDTVEELLDRHVVKKQKNHNDDEEDERLIRQRLTTTRREVLGLYRDIIRATRFFMWSDSHGVMWRDVLRANARKEFEEARFEKDPEVITRLLIGGHDAVQAALDKLVEKQKAQIAKEKADHERR >cds-PLY77159.1 pep primary_assembly:Lsat_Salinas_v7:8:25598911:25601742:-1 gene:gene-LSAT_8X19481 transcript:rna-gnl|WGS:NBSK|LSAT_8X19481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPVAQLLDNGNLVVWDESSTKESPIWQSFDYPGNTLSPGVKLGKDLITGRERFLTSWKSPDDPSVGRYKVWMDTNGYPQVFVGAGQGETLRVGPWTGLGFQGVPVENMDLIYSTEFVVNQKEIYYSYKVKSTTTSWLILVWDGMAQRLQWIKRTQKWVVYANVVVDACSRYGACGPFGSCSMKSYLPCSCLEGFEPKVQEEWKAGDWSSGCQRKKPLDCKTPDFFHKISGVIFPDTQRSWYNKSMSLEECEMACRRDCNCTAYANLDIRNGGSGCLLWFNELMDIREYDEHQELYIRMATSELAEKGQFSFNKKKAVLALVLSVLSAAMLLSTLAYACRKKFKRLNKKGRGSREHTFDKDQVNLDEVPFFSLQKIVKSTNNFNIDNKIGEGGFGPVYKGVLENGQIIAVKRLSETSKQGLDEFQNEVICIPKLQHRNLVKLLGYCIHGNERILIYEYMDNKSLDSFLFDENRGSLLDWPRRFHIIHGIARGVLYLHQDSLLQIIHRDLKACNILLDSEMNPKISDFGLARKFVGQDAMAKTKKVVGTHGYISPEYAVHGRFSIKSDVFSFGVVVLEIVSGKKNRGFSHEAHSDNLLGHAWRLHKEDKSIELMSASLRNSCVVSEVLRSIHVGLLCVQHHAEDRPTMLSVVLMLISEGALPPPKQPAFFTEESYHEVGIVSSLEEYMITLLDAR >cds-PLY83611.1 pep primary_assembly:Lsat_Salinas_v7:6:164899231:164900077:-1 gene:gene-LSAT_6X100221 transcript:rna-gnl|WGS:NBSK|LSAT_6X100221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLRPISFNCSEDTKEQSDSDAPKNNPQYTTVYVGNLGPEVRPLNPKIYLYETSLEKLRAESYNKLKVDGIVPLLMLFETK >cds-PLY82578.1 pep primary_assembly:Lsat_Salinas_v7:2:184316934:184319763:1 gene:gene-LSAT_2X107500 transcript:rna-gnl|WGS:NBSK|LSAT_2X107500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQHLMQMQPMMAAYYPSNNVTTDHIQQYLDENKSLILKIVESQNSGKMAECAEHQAKLQRNLMYLAAIADSQPQAPALHSQYPQGGMMQQPGSHYMQQHQQAQQMSPQALMAARSSMLYSQQQYSSLQQQQALHSQLGMNSGGGSSGLHMLQSDNNNAAGGGTHLGGGGGGGFPDFSRKQDIGISGPTNEGRGGGSGGGDGGETLYLKSPDKGN >cds-PLY84287.1 pep primary_assembly:Lsat_Salinas_v7:1:45238602:45239462:-1 gene:gene-LSAT_1X39580 transcript:rna-gnl|WGS:NBSK|LSAT_1X39580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLEDAEEVSIKKKTRKALGRILLKGDNITLMMNTYVKSFSTSFHTHCIVASFLLPGTHFTNLHLLYYMYSEENNQEHLFA >cds-PLY67044.1 pep primary_assembly:Lsat_Salinas_v7:5:282885265:282887483:-1 gene:gene-LSAT_5X148140 transcript:rna-gnl|WGS:NBSK|LSAT_5X148140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTKEGVLMQRYELGKMIGHGNFAKVYHGRNLETGMSVAIKIIDKSKVMKAGMMTQIKREISAMKLVKHPHIVQLHEVMASKTKIYFVLEYAKGGELFDKLIKRKLKEDAARKYFQQLISAIGFCHSRGVYHRDLKPENLLLDDEGNLKVSDFGLSALDETRRQDGLLHTSCGTPSYVAPEVIDQKGYDGEKSDIWSCGVVLFVLLAGFLPFHDSNLMEMYRKITMGKFKFPNSFPNEAKSLVTKILDPNPETRVSIAEILETPWFKTGLVSEPQKTDKMVSDSLENRSSSFKGSPVEKPNDSTYLTEGTSDSLEKDDNLNAFDIISLSRGVNLSGLFYRNAKDEKEVRFMSGQTRGTIILKLEDIAKSWKLKVMKNKGVLLKMEALEEGINGGLAFDCEIHEITANLHIIEVNRLGDDRFGFWEIVNRHIKPALNGVL >cds-PLY69734.1 pep primary_assembly:Lsat_Salinas_v7:8:117895030:117897430:1 gene:gene-LSAT_8X80761 transcript:rna-gnl|WGS:NBSK|LSAT_8X80761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNSREQQTPKGLHEKTVTLRANRIRKSLDYTKLENPLYVGQRHEPRQNASEDHIHTGEKDESLVKHMRNLPGYLQREEKGEKNIQGKALNFGVLDWGRLENWKSNEKRIPPRYNMKKTSSPSHNHNRKKMNPHRRDQQRSNTTPNSSSSERLPPGVRWPRGQVLKLQIPETSGQMLDDMQTQSVELDAKKGKQSETKIQSKDIDINHGDDVLISSDVHLIEANESRVSDSFSPAEVEFDSVIPYSDVPHSCPFPLSLDSVAEPEVDLPLTPSTDEQSGAKGRHSSPMRRFSFSSLGKMTRSFSFKESSSIPQLSPTYISVKSGPVNLESEDHRWKKGNATTPSRSSPLRRLLDPLLKLKGGAHFSDKVQKPKLDLNDNESIHSKKQYNRSSNVQALLQLTMKNGIPFFKLVIESSSDILAAAVKKLPSGKDDSSLIYAFYSVHENKKKSGSWMMYQGSKEKNYRFGYTIVGQMKISSSYHAEFSGAEKDLYVVRESVLYSTDSVQADQKMLDCMVDRELAGIIVKNLSDESGGDIGRSNSTVVVLPDGVHSLPNFGLPSPLISRWRSGGACDCGGWDIGCQFRVLGPHLNEIGKPPPSPCSSNNSNRVDLSYQGGRKKQCGFSLVSLEDGLYSVEYDQSMSLLQAFSVCVAVVSSHKLTHIFQVNSVPELSRMLTGIDDKVEVVAGKYASKPPPSPVGRV >cds-PLY68034.1 pep primary_assembly:Lsat_Salinas_v7:5:294570139:294572824:1 gene:gene-LSAT_5X157360 transcript:rna-gnl|WGS:NBSK|LSAT_5X157360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine 5'-monophosphate synthase [Source:Projected from Arabidopsis thaliana (AT3G54470) UniProtKB/Swiss-Prot;Acc:Q42586] MESLILQLHEISAVKFGNFKLKSGIFSPIYIDLRLIVSYPKLLRLISETIISTLPASAAYDVICGVPYTALPIATVISTSSDVPMLMRRKEIKDYGTSKVIEGAFQPNQICLVVEDLVTSGTSVLETAAPLRAAGLKVNDAVVLIDREQGGRENLAANGITLHSMVKLTDMVRVLKEKGRVSEETEAMVLKFLEENKKVAPVVAKDTSVKARIPYDDRAKLAKNPTGKRLFEIMVKKESNLCLSADVSTASELLAIADKIGPEICMLKTHVDILPDFTPDFGSKLRSIADKHNFLIFEDRKFADIGNTVTMQYEGGIFRILEWADIVNCHIISGPGIVDGLKLKGLARGRGLLLLAEMSSAGNFATGDYTAAAVKIAEQHSDFVIGFISVNPASWSACPSNPSFIHATPGVQLVKGGDALGQQYNTPNSVICERGSDIIIVGRGIIKAANPVDAAREYRVQGWEAYSKSKSV >cds-PLY80963.1 pep primary_assembly:Lsat_Salinas_v7:9:177112364:177122280:-1 gene:gene-LSAT_9X109540 transcript:rna-gnl|WGS:NBSK|LSAT_9X109540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFCAGSIADDLGLPFIIVRSSSSASSSDSDSESDDEAQAALELQTLETKLSTRSSEAAAQLASLHDAREGPKEYWRSVMKDEPMPKAIQDVLIQDSARSNNNKDRFTRNFDTKPNLIIYHSHVIYNQKDHELASSKMN >cds-PLY73488.1 pep primary_assembly:Lsat_Salinas_v7:2:99930774:99937217:1 gene:gene-LSAT_2X42760 transcript:rna-gnl|WGS:NBSK|LSAT_2X42760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGVRRIKLGSQGLEVSAQGLGCNGMSAFYGAPKPEPEMIKLIHHAIKSGVTFLDTSDFYGPKTNEILLGKRLLKGGARENVELGTKFGIKYEGDAMVIRGDPTYVRAACEASLQRLGVDCIDLYYQHRIDSRLPIEITMGELKKLVEEGKIKYIGLSEASASTIRRAHVVYPLTAIQLEWSLWSRDVEEEIIPTCRELGIGIVAYSPLGRGFLSSGPKLIEKLEDSDIRKCMPRFQPNNLEYNKILYERVNEMAKKKGCTPAQLSLAWIHHQGNDVVPIPRTTKIENLEENIGTLFIKLTPEEMDELESIASSISIKRARNIASMLTYRDIETPLLSSWSA >cds-PLY91220.1 pep primary_assembly:Lsat_Salinas_v7:3:76780186:76781146:1 gene:gene-LSAT_3X59580 transcript:rna-gnl|WGS:NBSK|LSAT_3X59580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIMFYCLFEXNPDDLGYVDSISVVEVGGARVTVIRNEQGGNSMITVLLRGGTDNILDDLERAVDDGVNTYKALCKDNKIMPGAAAIEIELARKLKEFSFSETGLDQYAIGKFAESFEMIPKTLAENVGLNAMEITSTLYADHTNGNVKVGLMKANSSGVRRGKESAS >cds-PLY63067.1 pep primary_assembly:Lsat_Salinas_v7:8:73858855:73860221:1 gene:gene-LSAT_8X51840 transcript:rna-gnl|WGS:NBSK|LSAT_8X51840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARMLLTLEEKDPRRIFEGEALMRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKTGMAKSIHHARVLIKQRHIRVGRQVVNVPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQKAAAKKASGGDADEDDEE >cds-PLY64483.1 pep primary_assembly:Lsat_Salinas_v7:3:14853070:14854126:1 gene:gene-LSAT_3X10220 transcript:rna-gnl|WGS:NBSK|LSAT_3X10220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRGKTWGFVGDSITRNHFQSFICLLSQAEDPVDFFHDKDYKIQKWHFPSYNLTVSVIWSPFLVKAETFEDINHIPSSEIQIHVDILDKTWSQQFDTWDYVLFSSGKWFVRTAIYYENNTILGCHGCEGKNYTDIGFNIAYQKVIKNLFDFIMNSNKQSTIIFRTSTPDHFENGPWSSGGTCDRRVPAKKGEFELGIFNRILREVELPEFAKAKASEKGKKLKLLDVMPLSLVRPDGHPGPYRYFYPFAKDKKAKVQYDCLHWCLPGPIDQWNDLLMKVVVDD >cds-PLY86776.1 pep primary_assembly:Lsat_Salinas_v7:4:296753717:296755969:1 gene:gene-LSAT_4X147740 transcript:rna-gnl|WGS:NBSK|LSAT_4X147740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIRSPEPEVKILVDRDHIKTSFEEWARPGHFSRTIAKGPETTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIRPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITSELQLYCTAIGGLVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQVHVSLPINQFLNAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYADFLTFRGGLDPVTGGLWLTDTAHHHLAIAILFLIAGHMYRTNWGIGHGLKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSLNLAMLGSLTIVVAHHMYAMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWACIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPVFAQWIQNTHALAPGATAPGATASTSLTWGGGDLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGSISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWAFFLARIIAVG >cds-PLY74730.1 pep primary_assembly:Lsat_Salinas_v7:6:116203423:116206752:-1 gene:gene-LSAT_6X69640 transcript:rna-gnl|WGS:NBSK|LSAT_6X69640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-activated RelA/spot-like protein [Source:Projected from Arabidopsis thaliana (AT3G17470) UniProtKB/TrEMBL;Acc:A0A1I9LR76] MEVLMHTRSPYHLTLIFPKSSIKISTKFPFLLQTSTTSRGLHHTSFFVAATSTPLPLPPTSPVSLEQPGGKMVVELVGTFNELTERMKNSNRQLLSTSSSGLLFKTLKLCLPILQTLPLAPDGRSPLSRALSAAILLADLQMDAEVISVGILREVLEANALTMYQIKDRIGISTAHLLHETFRVKHIASKIDIYDEETASALRKFCLTYYDVRALILDLALKLDKMRNLNHLPRYQQQMVSLEVMKIHAPLAHAIGTNSLSLELEDLCFRYLFPYSYLHVNTWLRSHETGSKPIVDILKEQLLQLLKSDSVLGEMVDGISVEGRYKSHYSTMKKLLRDGRKPEEVNDILGLRVILKPSLKLIKENECEKACYRAQEVIRGLWNEVPSRSKDYISQPKANGYKSLHMAVDVNGGGGVRPLMEIQIRTEEMNTFALDGMASHAFYKGGLTNPEEAKHLKTIMIAAAEYAALRLKDFISTNPKNHVIDDGNRNRVFRFLDKNGDGKISIEELTEVMIELGAQGDDAREMMQLLDANSDGSLSSDEFDMFQKQVELMRGLEDRDDEYKTMLNEKLQVTDGSGLIQVYRKELEDRLAIT >cds-PLY84257.1 pep primary_assembly:Lsat_Salinas_v7:7:78484583:78485912:1 gene:gene-LSAT_7X56180 transcript:rna-gnl|WGS:NBSK|LSAT_7X56180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSLAHLGKPETWTTSQDIIYEISLLNHYLLILPLTFLLQPENLLLDSQGNLKISDFGLSALPAEVFQVTSNFVMVDIQKAAGDGAEYLKFYKNFCNNLEDIIWKPPNKQQQHGKSKITKTKSKHR >cds-PLY66032.1 pep primary_assembly:Lsat_Salinas_v7:MU045234.1:4788:5114:1 gene:gene-LSAT_0X43220 transcript:rna-gnl|WGS:NBSK|LSAT_0X43220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCKGGLENSRCNFRRTWGNWVVEIRETNRCSRLWLGTFGSAVEAALAYDEAVRVMYGPCARLNLPNCRTMSEYYSESMVVPNGASSCDSNTLCSHSKNSKNGSGSKP >cds-PLY74199.1 pep primary_assembly:Lsat_Salinas_v7:9:23881280:23884176:1 gene:gene-LSAT_9X21320 transcript:rna-gnl|WGS:NBSK|LSAT_9X21320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLFLLPNSQGNQFFISSLSDDDIIENPVLEALYRRILHAHKEEKCFRVIIVIPLLPRFQGRMRDGGAATVRTITY >cds-PLY85148.1 pep primary_assembly:Lsat_Salinas_v7:9:147205916:147206182:-1 gene:gene-LSAT_0X6841 transcript:rna-gnl|WGS:NBSK|LSAT_0X6841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVICHCGRPAILVTSWTDLNPGRRFWSCSRLGGTCSFFGWFDPPMCPRSTVVIPGLLRGRNNLEARLMMVKWLLCLSWLFFMVCYLFK >cds-PLY97860.1 pep primary_assembly:Lsat_Salinas_v7:2:216911109:216912005:-1 gene:gene-LSAT_2X135380 transcript:rna-gnl|WGS:NBSK|LSAT_2X135380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQQDPEFFSDISIVSNPTGTLPNSVVDDASRDSSGIVSGFHSHPTSRVVQVASLGLPSVASPMILDEIDRESNEISPPDGDEVFLGIYESESESKTTPQTLPPSLTSCLPSPLPLTTVEEEKYGKPMGLVSNQGGIKEKFTIQSTLNLNGKYLNTWIVGMEQPREYEKYFTEIKFGIEKKEAAKQVMIPISRPPPPSPLSPPSPPPPPLLPGIDEKNGGTMMESKQSSWEKTTPFNNHLEWGYLGYPSNGLKNDNWAAIDSWGSQLLNRKIDRVLTRKQNINPLVNKATDQRFVNWN >cds-PLY87513.1 pep primary_assembly:Lsat_Salinas_v7:8:96178711:96179834:-1 gene:gene-LSAT_8X66940 transcript:rna-gnl|WGS:NBSK|LSAT_8X66940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDELNIPNEDSYFFERISLSQFMDQYLPDPSHEWEKSRSNSSGSCKELECIQNDQGEQSSRSSGSSTVDKTYSRTASLTRSTSCSAVIEEMTPEYNVVAESMVTKEVEGDASGRSLQSKSESDIKSSCRQDSDEKGMKQTVGSGGVFIYSPTRTLKKVMRDDLKEEYTSYMAETPRAIGSAKEDNDWDLLFEEQRRKIIKLWDECNIPLIHRTYLFLLIQGGPSDSVYIEIELRRLSFLQKAVDHASRAMDLERAMLSRKLLRKYSAKEREGLFLPNHLQKEAFQHMILILLPLPHAKEWFFAHSLCSHQLQHKE >cds-PLY97846.1 pep primary_assembly:Lsat_Salinas_v7:2:216902444:216905383:1 gene:gene-LSAT_2X135421 transcript:rna-gnl|WGS:NBSK|LSAT_2X135421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKQGVLVVVGHQNPVTQLQAKYKELETGFKDWLAKQSLPVEAVVVTATSSLQGAAIGGLMATFTNDLSSSLAAPPPPGASFNPRAMASLQQAQALSGGPFIQARNFAVMTGVNAGISCVLKRIRGKEDVQSSMVAAFGSGAVFTLVSGMGGGGPNLAVNVVSSGVLFALMQGGLFKLGEKFSKPAVEEDVMYSKTRGMLSRLGLNSYEKNFKTGFLTDTTLPLLTDRQVDALRDVKIPPGPRLLILDHIQRSASNFLSLVLICNSKLYCCLLQSFRGNFNNSCFFL >cds-PLY61790.1 pep primary_assembly:Lsat_Salinas_v7:8:132202895:132203497:-1 gene:gene-LSAT_8X90781 transcript:rna-gnl|WGS:NBSK|LSAT_8X90781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGESSSNLSIIVHKNPSESHLSELGIKSWPKWGCSPGKYQLKFDAQETCYLLRGKVKVYRKNSSEMISEFGAGDLVILPEGLSCTWDVSVAVDKHYKFESSSSS >cds-PLY67984.1 pep primary_assembly:Lsat_Salinas_v7:2:12951803:12956164:-1 gene:gene-LSAT_2X5500 transcript:rna-gnl|WGS:NBSK|LSAT_2X5500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPSFRGKATDDNVAATTEAEEASYNFNNSVVDLENANYNTVWRESSYNFSKDGEKFDFLTSEPPSPPLSRIAESPNNYGTLTPKEVMVYFRDEVIEPQPIRHRRRSNGSGRTSGGGEEVTLCSGNASFKRKSTLMRTKTKSRLMDTPERDKRSGRISKSGILGKGGSEFDEEDPFLDDDLPDEYKQLRYSKWTLLQLFCLILILGGLICTFIIPYFKHKKLYGLVLWKWGVMIMVLICGRLVSGWAIRLLVISIERNFLLRKRVLYFVYGLRNAVQNCLWFGLVLIAWQCIFHEKVNHMTHGKVLPYVTKIWICLLVGTIVWLLKTLLVKVLASSFHVSTYFDRIQESLFNQYVIETLSGLPVIEIQQEQEEVDEMISEVENFQNAGTSLPPDLKANIFKKTGRFIGTPRNNSKSGKFYEVNMPVEDGITIDHLHRLNQKNISAWNMKRLMSIVRTGVLSTLDDQLGKSCDEDESAVQITNEMQAKIAAKRIFCNVAMEGSKRIYIEDLMRFLREDEALKAIRLFDGDSETKGISKALLKNWVVNVYRERRALALSLNDTKTAVKKLHQMFNLAVSIIIIVIWLLILKVATTQFFIFLSSQLLLVVFVFGNTCKTTFEAIIFLFVMHPFDVGDRCDVDGVQMVVEEMNILTTVFLRFDNLKIIYPNSVLATKPISNYYRSPDMGDAVDFCVHVSTPVEKIALMKERITSYIENKSDHWYPAPMIVLRDVDDMNRLKISIWLSHRINFQDMGERWVRRALLVEEMIKVFRDLDIEYRMLPVDVNVRNMQAVSSNRVPSTWTTLAN >cds-PLY68730.1 pep primary_assembly:Lsat_Salinas_v7:5:279498140:279498857:-1 gene:gene-LSAT_5X147521 transcript:rna-gnl|WGS:NBSK|LSAT_5X147521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHITRQSVGYEFSKKICSATPSGVLMLEGNVMSENIVMTRWNEIWISKFCFQTYPKILN >cds-PLY76552.1 pep primary_assembly:Lsat_Salinas_v7:8:91278374:91280633:-1 gene:gene-LSAT_8X63881 transcript:rna-gnl|WGS:NBSK|LSAT_8X63881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARVTTSSIAPPFKQSISVGSLQPKFSSLLLEFPNLNVARGDRNHRITCRYGGGANSRQGDSRRSPQLNSDDDQALDVSTIRSNTVRLIDDQQNMVGIVSKTAAIQMAEEAALDLVILSPDADPPVVKLMDYNKYKFEQQKKKREQQKKSAALRMDQKELKMGYNIDVHDYSVRLRAAQKFLKDGDKVKVIVNLKGRENEFRNNAIELLNRFRNDIGELGVEESKNLRDRNMFMVLIPNKVVVQKEVPKRKGKSTGKEMSPSV >cds-PLY75665.1 pep primary_assembly:Lsat_Salinas_v7:1:101114236:101118177:1 gene:gene-LSAT_1X82180 transcript:rna-gnl|WGS:NBSK|LSAT_1X82180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGENLEKYRIPLHEILRATRNFSSETLIGDGGFGFVHIGQLSEEWNERTVAIKRLNRDGGQGNNEFRNELEMISSFHHPNIITFIGYCDEANEMIIVSEFAKNQSLDHHLQTPDKIRGLTWERRLKICLGAAKGLKYLHSGLGEDKRVIHRDVKSANILLDDNLEAKICDFGLSKFGARNQEDTQIHTRVAGTRFYMDPVYNERSRLTKESDVYSFGVVMFEMSCGTLVYREKCFGDDDKPQYLIDVVRSVYDDEKKAAGPDKLIDPYIKDHADMNSFHTFNKIAHECVNLKLEQRPTMERVIRKIERAFTIQLNHIESSTRSLESFLIPLEEINLATRNFDPETCIGDSEYGAVHRGQLYGRWQNCTVVITRLYPKKYLNWNQDFKKELEMISNLHHKNISRFIGYCEEANERIIVHEYAVNGNVANYIENVKNKLPKLTWAQRLKICLGAARGLQCIHLALGVDNRETGGNINCENILLDENMEAKISFFGLSRQSPTYHRPYDHISDRFSFGVIMFEILSGRRAGDLDKYVDLEEQFDELQECYRNNQLNVFTDDYIRDKIDSPCLNTLIDVAYKCIKRSYPRHVILDKLTRGRGRGRWNRRGGVRPHVTRSRDLHFTMNEVVEMIEDAADLEADGNHP >cds-PLY97302.1 pep primary_assembly:Lsat_Salinas_v7:1:178103290:178106661:-1 gene:gene-LSAT_1X117081 transcript:rna-gnl|WGS:NBSK|LSAT_1X117081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGQIQCSSSPATTTTTGTSHPSNIQYPTLGQAAYPFPDPYYRGTNIFNPYETQSYPAQPYPGQPMVHLQFMGIQQAGVPLPSDTVEEPVFVNAKQYHGILRRRQCRAKAESEHKANKSRKPYLHESRHLHALRRSRGCGGRFEKKDKQQKEKGSNDNNPQSQSQMNINLNSDKT >cds-PLY66063.1 pep primary_assembly:Lsat_Salinas_v7:2:207082881:207083060:-1 gene:gene-LSAT_2X127840 transcript:rna-gnl|WGS:NBSK|LSAT_2X127840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRRSRRAVDHRREGAIGDQKGARWFVARLAIGGGLRGSTRLKKEKDRSSEAAVTSD >cds-PLY71817.1 pep primary_assembly:Lsat_Salinas_v7:3:60312532:60314092:-1 gene:gene-LSAT_3X47220 transcript:rna-gnl|WGS:NBSK|LSAT_3X47220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRHMDVYMEQEEKYTKAILADTDRLQSKLQPEMASRMSSHALHSSISSGALAFLINAVDPLSGLTFVWECEACAHCIIEYFHSNDNHVIAGALLGVGVVNCGIKNDCDPVLALFADYLDKEDASIRIGPITGLGLAYVGTQNEQTAIKALVPGDSGDMGAFSLTKRREGSMGLEGKMVEN >cds-PLY80762.1 pep primary_assembly:Lsat_Salinas_v7:8:138960656:138960844:-1 gene:gene-LSAT_8X105000 transcript:rna-gnl|WGS:NBSK|LSAT_8X105000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDPIVMDPWQHMSKWFQNDDVTLHTQPMGGTFGISSAKTRGLNSSSMKQCPVMLGKLQVSS >cds-PLY82105.1 pep primary_assembly:Lsat_Salinas_v7:1:14500043:14503153:-1 gene:gene-LSAT_1X13001 transcript:rna-gnl|WGS:NBSK|LSAT_1X13001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTISDGITKAEKKSKNRDHKRKLEDPEPELVVESKKDKKKKKEKVEPPQEIVNGNEILNGSDELSEKKKKKKKKQKKEEEEEGIKNNGGEVEEVRETEDNVVVSGKDINDSKYKALSSFSESKLSEELLECCKNFSKPSPIQSNSWPFLLHGRDFIGIAKTGSGKTLAFGIPAMNHILNKRKNKPTKKVTPLCLVLSPTRELAQQIFDVLSEAGKPSGVRSVCVYGGTSKGPQISALKSGMDIVVGTPGRLKDLIEMGVCQLQEVSFVVLDEADRMLDMGFEPEVRSILSKTSSVRQVVMFSATWPLAVNQLAQEFMDPNPVKVVVGSEDLAANHDVMQIVEVLEDRARDERLVTLLEKYHKSRRNRVLVFVLYKKEASRVESMLQRRGWKVVSISGDKQQRARTEALALFKDGSSPLLIATDVAARGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGKKGVAHTFFMKENKALSGELINVLREAGQNVPANLLNFGTHVKKKESKLYGAHFKEISADAPKATKITFDSDED >cds-PLY97189.1 pep primary_assembly:Lsat_Salinas_v7:5:153085457:153091407:-1 gene:gene-LSAT_5X67480 transcript:rna-gnl|WGS:NBSK|LSAT_5X67480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIAALASCLVVKLIQQDHGKTVIASVKDLLSRVIQSFGTSSLPFACISNSLDKPTPLQLDVSLPSFHDIKWGFSRIMYLFNIQLERNVSTFLIVLLLACFSFVLIGGTLFYKYRRNTQSFEDCLWEAWACLCSSSTHLKQRTRVERVIGFVLAIWGILFYSRLLGTMTEQFRHNMQKLREGAQMQVLETDHIIICGVNSHLSFILKQLNKYHEFSVRLGTATARRQRILLLSDIPRKQMDKIADSIAKDLHHIDVLTKSCSLSLTKSFQRAAANKARAIIILPTKGDRYGIDTDAFLSVLALQPISDMESVPAIVEVSSPNTCELLKSISGLKVEPVENVTSKLFVQCSRQKGLVKIYRHLLNYQKNVFNLSSFPHLAGLKYGQLRIGFQEAVVCGLYKKGKINFHTMDNEVLFIAPITGKNKTPISYFSSIKEEDEIDPHKLEVIKKIGEEAPHNALQMAKSRLEKIVKRPAKPGSKASDWSVGPKECILLLGWRSDVVDMIQEYDNYLGPGSVVEILSDVPISDREKTYKFSGQHKIKHVKVIHRIGNPRDYDTLKETIEHTQKSLKKGEDVPFSVVVISDREWLLEDPSGADKQSAYSLLLAESICLKLGVKVQNLVAEIVDSKLGKQITRIKPSLTYIAAEEIMSLVTAQVAENSELNAVWKDILDADGDEIYVKDISLYMKGDEHLSFSELSERARLRREVAIGYVKNNKKVINPVPKSEPLPLELTDSLIVISELEGEQPVLL >cds-PLY70352.1 pep primary_assembly:Lsat_Salinas_v7:4:99843539:99843787:-1 gene:gene-LSAT_4X64980 transcript:rna-gnl|WGS:NBSK|LSAT_4X64980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEEYIYNLLDSYWYKQQILTPNPPSIRIPDAYKEEYGSTPSPKAPKDEFMALTMSNCRNDVEMKGLLRFWAHSVASISI >cds-PLY85489.1 pep primary_assembly:Lsat_Salinas_v7:3:41998766:42004043:1 gene:gene-LSAT_3X32040 transcript:rna-gnl|WGS:NBSK|LSAT_3X32040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II C-terminal domain phosphatase-like 3 [Source:Projected from Arabidopsis thaliana (AT2G33540) UniProtKB/Swiss-Prot;Acc:Q8LL04] MGKDLLVSLDVEEGEISDSASIEEISADDFAVKSPAIGTEIAASKNAVDDDSKQQQQHQKVWTMQDLLKYQNKYQISRNYAPGLYNFAWAQAVQNKPLDDYLTTMNTSTTTDNLKQPLLNDDNNNIEVKKEVMENDDKIVVDISDDGDSEKEEGELEEGEIDLDMEVVNAGKEGGGEDNVEKWINIIRIGLESITINDAKKSFSGVCSRLEDFLDSFSKLLLDNSMSNRDDLGRLAFSSIQLVNSVFCSMSQTHKEQSRHLLSRVLTNVKSNMSVIFSPEQLKEIEMMLLSCNSNNEYSNTKGNNEGDNQEVVFLTEDSVLDAIPDVSSDQKLKQSEILKPQTSTSKNRVLLPLLDLHKDHDVDSLPSPTRETTHSLPLGKALFVGDAEIRPEWPAPRPVPRYETDALKAVSTYQQKFGKSSFFSNDLPSPTPSEDSGDAGGGDTGGEVSSFSTVHTTNSATEPTVEHRPNVQLLANPRNAAPVYSTSSSVIRGPNKTRDPRLRASNPESSLDSSKQPAIEPLDGPAPKRLKNGVADSIVTSGLPSTSSGIGSLHIPVTGVNPTSASLQSILKDIAVNPASWMNIINMEQQKQVDPANYATQLSNQSCSSVASMPTTTTTTTTTAGAQPLVQDDLGKVRMKPRDPRRALHSKSLQKPGNSKQLDPKQLSSSSSSMSSQPDITRQFTKNLKNIADLVSTTVSATVQIPSSQPGQVHSSFVNMKGPPLQPGRLQNGTGPTSEEAGPGPSQPLNGWGDVEQFFGRFDDQQRAAIQRERSKRMEEQKKMFADRKLCLVLDLDHTLLNSAKFAEIEPMHDEMLKKKEEQDREKSHRHLFRFPHMCMWTKLRPGIWKFLEKAKMAKVLDPKGVLFNGRVISRGDDGDSDGDPKSKDLEGVLGMESAVVIIDDSIRVWPHNKLNLIVVERYIYFPCSRRQFGLPGPSLLEIDHDERPDEGTLASSLSVIEKIHENFFKQECLDDADVRNILASEQRKILGGCRIVFSRVFPVGEASPHLHPLWQTAEQFGAVCTNQIDEQVTHVVANSLGTDKVNWALATGRPVVHPGWVEASALLYRRASEHDFAVKP >cds-PLY61893.1 pep primary_assembly:Lsat_Salinas_v7:6:58583508:58585740:1 gene:gene-LSAT_6X45121 transcript:rna-gnl|WGS:NBSK|LSAT_6X45121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAINVEYNELDTLLRATSHPDGDVNCDTGFSPYPGNINQLILVVGPYMDELSKTSDPWLAYAPVKNNPDDDAAKVPNTSGETAVYRSNSVILRKAGIKIDDPVLKVFNGQEVEVWSRVVWKPKWAVTFSDVKKKVKGNNNSVS >cds-PLY94411.1 pep primary_assembly:Lsat_Salinas_v7:6:9159082:9159875:-1 gene:gene-LSAT_6X6360 transcript:rna-gnl|WGS:NBSK|LSAT_6X6360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIFAPLFAVSKWFGDICMWRNPITTVLVHVLFIMLVCFPELILPTVFLYMFLIGIWNFRYRPRYPPHMNTKISQAEGVHPDELDEEFDTFPTSRNPDLVRMRYDRLRSVAGRIQTVVGDIATQGERMQSLLSWRDPRATAIFVTFCLVAAVVLYVTPFQAIAALIGVYMMRHPRFRHRLPSVPVNFFRRLPARTDSML >cds-PLY91762.1 pep primary_assembly:Lsat_Salinas_v7:9:17102410:17102973:1 gene:gene-LSAT_9X16621 transcript:rna-gnl|WGS:NBSK|LSAT_9X16621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYDSVYALAMAIERKQTREYASKDLGSNIDTSLLLDEMLRVRFHGLGGEFKLMNGRIITKVMEVVNVVGKGDRRVGFWMMATGGGFVKEIKKPNSPSNQGLEIIIWPGGTTSINPKRRKLQTNGNKKLRILFPGSSRFQNLAQISVDPRTNLSVLSGFCGDVFNAAFNSLGYIELALRLFHSLIRI >cds-PLY61911.1 pep primary_assembly:Lsat_Salinas_v7:4:219423607:219423993:-1 gene:gene-LSAT_4X120921 transcript:rna-gnl|WGS:NBSK|LSAT_4X120921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEREDENFMEKLHQEKISLEENIKVKSGDVKDLEAKLETMKSGPSEFDQRGNSVFLHSGDVKDLEAKLETMKFGPSEFDPRGNSVFLHSWYSFGSISN >cds-PLY75411.1 pep primary_assembly:Lsat_Salinas_v7:7:74717251:74718145:-1 gene:gene-LSAT_7X51821 transcript:rna-gnl|WGS:NBSK|LSAT_7X51821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQSFHATSLLILFLLQLPNHVSLSRKLTTPAPTPTPWPEQFHALLYMNLTSTHLQLCDLWYDWPRGRNVNIFHKQLDVVLYDIEWSNGTSFYYTFGEDAQCSVVDFGVGIPRPDFLDGARYLGRVVTDGFLCDLWEKVDFIWYYEDVVTKIPVRWDFVGGISSHVMTWEVGAILPDSIVQAPEYCFTKSVNRKTFFA >cds-PLY65473.1 pep primary_assembly:Lsat_Salinas_v7:8:32609422:32611544:-1 gene:gene-LSAT_8X25701 transcript:rna-gnl|WGS:NBSK|LSAT_8X25701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAWAMNVISSVGIIMANKQLMSANGYSFTFATTLTGFHFAVTALVGFVSNSTGYTASKHVPLWELLWFSIVANMSITGMNLSLMLNSVGFYQISKLSMIPVVCVMEWILHSKRYSKEVKVAVVVVVIGVGVCTVTDVKVNAKGFICACVAVLATSLQQISIGSLQKKYSVGAFELLSKTAPIQALSLLVFGPFIDYYLSGRLISDYMKTISSGAIIFILISCSLAVFCNISQYLCIGRFSAVSFQVLGHMKTVCVLTLGWLLFDSELTFKNILGMLVAVAGMVIYSWAVEVEKAATKGGPPHPKHSLTEEELNLLKDGLEKDYEAGESK >cds-PLY68581.1 pep primary_assembly:Lsat_Salinas_v7:2:21635806:21636990:1 gene:gene-LSAT_2X9961 transcript:rna-gnl|WGS:NBSK|LSAT_2X9961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDNIPYEIQAEIIKRLPVKSLVQFRSVSKQWKSLIDCREFLAAYNIYHNQPQRLIVWYEYPADTEEKYVSFVDDETFTKQGFAVTLPVLAKVLNDSRVVGSSQGLLCLHGYYRVPRHSRHNSVREMAVLWNPSIRKSVGVAVPGVLRWGLETILGFGVCPIRSDPMIVKITQVNVNWEMRSRVSVPWVVEIFSLSKGSWRILSCNLPNKSIKLTWSQVVIDRFIFWFAVDKLVAADGGFSTKNMIMLFDMTTEEFRVVDLPENLANQSYLNLSISKVCESLAVLEYATNMMNQVCNVWVKDHGFQNLFKKLFTISAPYASIRALGFTKSGEPMMEVKDYYKEAAALVVYEPSLGYINNIGISGNYGSFFVGSYMETLLLLDQADCSVYSNVDN >cds-PLY67263.1 pep primary_assembly:Lsat_Salinas_v7:5:133960277:133961561:-1 gene:gene-LSAT_5X58760 transcript:rna-gnl|WGS:NBSK|LSAT_5X58760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNRPGLINYRAPAGDAYLKALEISRDINQKGHVAIRMAKRVIRHGLEMEIGSDLLEEECYEEILVTDDRLEGLNVFSEKRKPLYKGE >cds-PLY80257.1 pep primary_assembly:Lsat_Salinas_v7:6:123838439:123839074:-1 gene:gene-LSAT_6X74880 transcript:rna-gnl|WGS:NBSK|LSAT_6X74880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKKESEGIALLSIYGDEDDDIEEDVERDNGSNTNDDSETLNNTNIIKGAVVNLDSGNDNTTPDSIGNLTHPPPAVQQAIGDKLQEKFIKFLLIKKKTGRSFNSELRNRKDFRNPDFLTHVVTYHNIDEIGSCLSKDVFNVHGCDKSDFYDEIEVDFDLKREAKRKDEEKKKIQKIDFLFGGGGSNPVSVAVDMATREGRLNKKSKWDN >cds-PLY86728.1 pep primary_assembly:Lsat_Salinas_v7:MU040588.1:49699:53784:-1 gene:gene-LSAT_0X29401 transcript:rna-gnl|WGS:NBSK|LSAT_0X29401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKYLPPELIINILSMLPSKSLLRFRLVCKSWHSLISSPEFSQTHLHNFNKLNSRNLVRCCLWTEKKEVYTIHHNDQHLTLDAPIDFPFNLLRDEYGTIFYTLIASCKGVVCLYNERSPKNEILLWNPSIRKKITLTPPSYMPELTLVFGFGYCENSDDYKVVRLAYDRNNLIDRPEVEIYTVKTAIWKPIVFPQDFPCYHILSDCSQVFFNGSVHWLAADLGVSHCSILTMDMSKNVFGEIQLPEYLVHYSSMGLTLTVVGDYLGVIYSNRCTVVGSSTYKIWVMKEYKKPISWTLIYDVHYPDTDLGRPLKLRHNGDLITESRRCNLTIYNREAGCYTVAGCCSKGVVLWSFSIDRYEESLALLDVESDDNNEE >cds-PLY98660.1 pep primary_assembly:Lsat_Salinas_v7:5:304320404:304321578:1 gene:gene-LSAT_5X164480 transcript:rna-gnl|WGS:NBSK|LSAT_5X164480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSILAPNSHLLCREIPHRDQQQPHQPNWSNGCCSNPIKTCGFGFDRRKIEQSKKIVKRDCKVEAFWDVSRPAFVEMEPITDSDHLDLILDKANQASQPIIIDWMAAWCRKCIYLKPKVEKLAAEYDTKLKFYCVDVNNVPQTLVKRGNISKMPTIQLWKDGEMKAEVIGGHKAWLVIEEVREMIQNFV >cds-PLY74805.1 pep primary_assembly:Lsat_Salinas_v7:6:117450113:117453633:-1 gene:gene-LSAT_6X70701 transcript:rna-gnl|WGS:NBSK|LSAT_6X70701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWPPPSLFTSRFPDQQGRYPPPSFSIAAITIEAPQPPMASPSSIALVSAYCFVDEGRHSTTCCRRLGRVWPEMACDRCPFRTRHNAAVLFFFFCSIRRQGLTSVAPVMVTTLKGCRVRVVHNLSSWVMENHHGSRPWWLSSQYATGAKVLLGGKRHSLRMTFYEPTIIGDVKNEMLIASQEVFGPVAPVLRFKTEEEAIHIANDTIVAFTIKNSI >cds-PLY94840.1 pep primary_assembly:Lsat_Salinas_v7:2:177353802:177355271:1 gene:gene-LSAT_2X98161 transcript:rna-gnl|WGS:NBSK|LSAT_2X98161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPHPTPFPGNKTQSVKSKYKKISEAPKQKTKEAPPPRHRRTFGTIRNPNVPEKPVSEKPKAKPSNQISLKPPEIAPVPKAEPALKPPQNGSNDRAAVAKKKKSVVFSEKVEGSATKNSPAREVDGVVKTPVRPPFSTKPVRIPGTPYLSAEKCSSCRFDRLETASYWLGQIKSSEFVGKHFVSAAFFRLANDCNAEPTRNLQTELKKYLGRHQYLSTRTEWKDVSRVYGLMGEGCATKSKEDNVNFDYVVTTTQSGSKCVQEQIENENDEVKEIYEGG >cds-PLY71403.1 pep primary_assembly:Lsat_Salinas_v7:4:239560729:239560983:1 gene:gene-LSAT_4X128400 transcript:rna-gnl|WGS:NBSK|LSAT_4X128400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGAPNEDQEMNSCYHLLGDPCYTEEEQEDDPEEDPKEKEELNKEMESSKHKDVDPIHEEKHVTTPLFPPYQPHSGIPRTQKVA >cds-PLY77276.1 pep primary_assembly:Lsat_Salinas_v7:4:164285598:164285789:-1 gene:gene-LSAT_4X99100 transcript:rna-gnl|WGS:NBSK|LSAT_4X99100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSKVEKRQEWKKSQNYRKYIVMQCSKSTTPKFKRSMCYFEDDGVSSAILLLACIACSFPSYL >cds-PLY80100.1 pep primary_assembly:Lsat_Salinas_v7:5:146389573:146389974:-1 gene:gene-LSAT_5X64061 transcript:rna-gnl|WGS:NBSK|LSAT_5X64061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQIERKHKDKLKRLVENFQFEVKELREVAKERHILFLEEVKKVQESVNTKVESLKSELSKELIKLEHSCFSLHNKLVVVYEVIQKLVENFMSLPTKDSQVVTKMEEIMASLKESILKFDTSPKSSISQESLS >cds-PLY65534.1 pep primary_assembly:Lsat_Salinas_v7:9:144093318:144094485:-1 gene:gene-LSAT_9X93200 transcript:rna-gnl|WGS:NBSK|LSAT_9X93200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGGKGGAAASKAALPVTVGSGSGTEIRFRGVRKRPWGRFVAEIRDPLKKARVWLGTFDSAEDAARAYDAAARTLRGAKAKTNFPLTIASTAQHQQNLNDRHPFFDSPEHEIIVPQRPTCSGMSSTVESFSGPRPLTTADVVLPRVHHPQKQPAATDSCCDYCDSSSSVVVDEEDAGDIASSSFQKTMLPFDLNMPPPVDDVPFDSPIGDDLHCTELRL >cds-PLY68060.1 pep primary_assembly:Lsat_Salinas_v7:5:292515620:292517560:-1 gene:gene-LSAT_5X154220 transcript:rna-gnl|WGS:NBSK|LSAT_5X154220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54090) UniProtKB/Swiss-Prot;Acc:Q9M394] MAILQILPSPPSFRSTPCFRSLPTILIPRASINGDHTVSTAPQPARRGRKKSTSTTTTTPTKSSSRMKKSESEVNRIEKEEDLQDFDDGIDFPYEDPPLICCFGAAQKQFVPTVRVSDQQMHPDMYSEWKMLQWDPPEFARAPGGPPSNVAIAHVRLGGRAAFIGKVGDDDLGQQLVLMMNKEKVQTRGVKIDPKEKTGCAYMKITFDGKGKMKAEKVKDAAEDSFMSSELNLSVLKEARMFHFNSEVLLSESMKSSLFKAISWSKKFGSLIFFDMNLPLPLWKSRHETRKVIKDSWEQADIIEVSKQELEFLLDEHYHEQRRNYQPQYYAESHQETKDNTKDFYHYTKDEIAPLWHDKLKFLFVTDGTLRLHYYAPDFDGVVVGTEDVLITPFTCDRTGSGDAVVAGILRKLTSFPEMYWNQDVLERQLRFAIAAGIISQWTIGDVRGFPTESATQNLKEQVYVPSMW >cds-PLY66304.1 pep primary_assembly:Lsat_Salinas_v7:6:83319999:83320462:1 gene:gene-LSAT_0X44201 transcript:rna-gnl|WGS:NBSK|LSAT_0X44201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHDSMPLRQEELVRPTGNFHPSVWGDQFLIYEEQAEEDDMNRIVRDLKEEVRKDILAALYVPMEHTKLLKLIDTIQRLGIAYYFDEEIKQALQHIFEKYGDNWSGNSSFIWFRLMRQQGFYVSCG >cds-PLY70740.1 pep primary_assembly:Lsat_Salinas_v7:8:166565237:166565833:1 gene:gene-LSAT_8X108500 transcript:rna-gnl|WGS:NBSK|LSAT_8X108500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSPTTTEEADKKSLIKSSSSVSNSFRLRSPSLNSVRLRRIFDLFDTDHDSFISVDELNRALVVVGLETNVSELDSVIKSYIQPGNEGLTFDDFQALHKSLGDLFFEDGGGGEEEDAGNEDRSQQEETDLTEAFKVFDEDGDGFISAKELQVVLEKLGFAEASEMGRVEMMISSVDRNADGVVDFSEFKDMMKNMSLK >cds-PLY94474.1 pep primary_assembly:Lsat_Salinas_v7:2:157089616:157093449:-1 gene:gene-LSAT_2X81660 transcript:rna-gnl|WGS:NBSK|LSAT_2X81660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCCSCYDVSTYCSRDEASSSFTSQGPSLFPSSFTSQHNHNPKRTPWMPQLASTSEELMKMLFNMETYKAAMMEFKINMAEMPLGKLSKSNIQKVIPSVHPHVIKDEDEFKLKVKMLEALQDIEIASRLVGFDVDNDDSLDKYKKLQCEMVLLPHDSEDYQLVEKYLQTTHDHTHTRIDVDQILQELQKNRHTQSLDTKFFSLQDGMKNYIYDVIVKVGVAELKVAVEKTGELVVLAKSFGHSVFKDYLLRVFQSGDTDLGLSSKNDKNLPLEQ >cds-PLY66822.1 pep primary_assembly:Lsat_Salinas_v7:7:18597608:18598744:-1 gene:gene-LSAT_7X14921 transcript:rna-gnl|WGS:NBSK|LSAT_7X14921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADKSNSNLNSSNDDVDRYRRVNQAVVDVEFTSDDEGWSSESFGSSRWSVAASLRDLAESMMRREVAELEMMKVREAARIEAENRRLERETELTEMLLKTQLQITSFLCSRTSDRKRKRDEEDDGVSPGSVQREGAMLLSLLQFNLGM >cds-PLY95993.1 pep primary_assembly:Lsat_Salinas_v7:9:40339414:40341555:1 gene:gene-LSAT_9X37120 transcript:rna-gnl|WGS:NBSK|LSAT_9X37120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKHYSIGLWNMKTLFHCSLVMFLVGGLATLVFYRNNNQVAENGNDVKVTLITKTTTTPANENENMNTDTNRIVSDLQRRLKIPPPSLSLTDTCDLFSGRWVHDNKSHYPLYKEDECPYLNADLACLTYGRKDSKYQQWRWQPHGCDFPRFDGKAIVERLKGKRLLFVGDSVNRNQWDSMMCMLHSSIPGKKKLNMGGLNHTLYSFRSIDYNISIDYYWAPMLVESNGDDPSNHRLDHRTIRINSIEKHARHWVDADILVFNTYLWWRLPIIKFLKSPGSLLGGPNQVYDEVNNVRAYKKVLEIWSKWVHSHIDPAKTKMFFMGLTATHSRASDWGGKKQGTCYKETEPVMDDQFWESGTDPKMLQILEASLSKLKAKGVNVQLMNITQLTQCRKDAHPTVHKKIWHPLTDAQKRNPERTSDCTHWCLPGVPDIWNELLLAHIFPVTETATLYKQR >cds-PLY80496.1 pep primary_assembly:Lsat_Salinas_v7:2:137447711:137460809:1 gene:gene-LSAT_2X66701 transcript:rna-gnl|WGS:NBSK|LSAT_2X66701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRWCYCYYRWQMVVVAFMFMVFLTTPVTSQPQTNILITACSQANHTNLNLQNFYANLNSTFQDVRTQLSNNNTYFATAEQTRNSEAVYVMGQCRNYMSTMDCVACFDFADRVIRFCAGANGGRVVLDGCFLRYEGNSFYNQSTTPGNDGLCGNHTSSRQSVFETTVDGLMSDLSIATPKINGFFAATTAPVIGTNTSTAYAIAQCAISITRDDCRNCLQVAYANIRVCAADVTDGRGVDSGCFMRYSASPFFPNNTIIDITPFLRDGYAMEAADLKGAVHYNYNDLQLATNNFGEENILGKGGFGEVFKATLDDKNIVAVKKLHVQHGRVKEDFENEVKLISDIHHRNLLRLLGWSREGSDLFLVLEYMPNGSLDQFLWGSKRGTLDWNQRYEIIFGIARGLAHLHNEFHVKIIHRDIKSNNILLTDDFKPKIADFGLARFLPEDETHVITKFAGTLGYTAPEYALQGVLSDKVDTYSFGVVILEIISGRRSTEVTSDKSTSDYLLEHAWKSYEKKVHMEFIDVTLDLNQHQQEHVMKIIEIALLCTQSPVTKRPTMSEVVLMLQDGQSLGKKELTRPTYVHNHERRIHIGSSKNFVAANTICKNPKQILEANGKLRSERADAKVNVLEEEMNVAKIL >cds-PLY86830.1 pep primary_assembly:Lsat_Salinas_v7:1:16256538:16268384:-1 gene:gene-LSAT_1X13760 transcript:rna-gnl|WGS:NBSK|LSAT_1X13760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYYPLEKKFYFVDDDALSPAPPSPPQFDKVKVEPNSMEDAKSKVNATPTSDSQPPPASEKHRSNSKFSFQGWVGGLSRKQNSNIIVHDPCNVQHMTSNVSSSELISRNKDSPESDLDASNTTQVPTKDEKGVNYLDKRVVSHADDHKTSHDPELPQIDNLDVFPKIKWGNLDDGALVSTVGNTTGIDIKFGDIEVTEDDSASSLPLATEPVREIVPTIEEDTKSREFEEVKEVSSEEVKVVSQSDDVSQELDTGLKIENLESQGSETLKNPTFLKDTDTTTEIPKDSTPIENSDNKETTSILNDLSEVHIMSAIGPGEIEAGESKERFRQRLWCFLFENLNRAVDELYLLCELECDKDQMKEAVLVLEEAASDFKDLNSRVLEFEKVKKSSDNPPITMKSEQRRPHVLSWEVRRMTTSPHRAEILSSSLEAFKKIQQERASMNKDSNKTGFLDLNKRSTRTDRTSNAKEQVKRTGVAIKKNTDPGKNKKETTGSSETEKPGPRKDNLKSTDQPNKKPNFMSSKSMDAWKQKRNWEDILTSPYASTRFSHSPGMGRKSTERARILHDKLMSPDKKKKTSLDLKKEAEEKHARATRIRNELENERLQKLQKSTKKLNRVNEWQAVRSTRLREGMHARHQRSETRHEAFLAQVARRAGDESTKVNEVRFITSLNEENKKLMLLQKYQDSESRRAEKLKDMKSKQKEDMAREEAVLERKKLVEAEKMQRLAETQRKKEEALLRREEERKASSAAREAKVMDQMRRREVLVKAQQEEAELLAQKLAERLRESEQRRKFYLEQIRERASMDFRDQTSPLLRRFLNKEEGGEGALVAGGNVAVQQSLKRRIKKLRQRLMALKYELSEVFIGGESRAAVGTARAKIGRWLQELQRHRQARKEGAASIGLVTADIIKFLEGKEPELHASRQAGLLDFIASALPASHTSKPEASQVTIYFLRLLRVILSLPANRSYFLAENLLPPMIPMLAAALENFIKITASSSSNTAASKTLIENSDAITEVLDGSLWTVAVVIGHSGSDDRQNQMQDGLIELVIAYQVIHRLRDLFALYDRPQVEGSPFPSSILLGINLLVILSSRFGVVGSIEWESYMPETETQETKTSESESKLLQDVPEDRPLDDLCKKEEKNTAVVVVDGGGEQRNGIRAGHKQPVAYLLSAISETGLVCLPSLLTAVLLQANNRLSSEQGSYVLPSNFEEVATGVLKVLNNLALIDINFIQMMLARPDLKMEFFHLMSYLLSHCTTKWGAATDQTGLLLLESLLLLGYFAMFHPENQAVLRWGKSPTILHKVCDLPFVFFSDPELMPVLAGTLVAACFGSEQNKGVVQQELSIEMLLSLLKSCKTQLNPLSSATVTDESAESTQSGPETRKLHGGDNTTSQRSNRMNTRSTRLQSGKTGPALGNTNSNRGLKIRNQKDNKSSSNNNRVCESNYSESCSNLMLHSRFPTSFIDRAEFFFSTESPL >cds-PLY81023.1 pep primary_assembly:Lsat_Salinas_v7:9:174872305:174873975:-1 gene:gene-LSAT_9X106541 transcript:rna-gnl|WGS:NBSK|LSAT_9X106541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLPITLKNQKTWSRSCEIITPKIRNRLPQYCKLTVFSHSSISITSMCINLLYKPRFFSSTSFQADKLLANLLEPLICEVFIEYSQISAWKE >cds-PLY66431.1 pep primary_assembly:Lsat_Salinas_v7:6:152865240:152866675:1 gene:gene-LSAT_6X92521 transcript:rna-gnl|WGS:NBSK|LSAT_6X92521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLANPTTFASSLPRGHHHQASVSVSTFSFSNSRKQRSFKSLISQSILASTSPVTRHTSIVSAATSDSSSSGSATSFHGLCYVVGDNIDTDQIIPAEYLTLVPSKPDEYKKLGSYALIGLPASYETRFVEPGEYQSKYSIIIGGDNFGCGSSREHAPVALGAAGVAAVVAESYARIFFRNSVATGEVYPLESEGRICEECKTGDTPIGDAGPVIEAGGIFAYARKAGMIPA >cds-PLY97483.1 pep primary_assembly:Lsat_Salinas_v7:1:194357725:194358593:-1 gene:gene-LSAT_1X127100 transcript:rna-gnl|WGS:NBSK|LSAT_1X127100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWIFRKEPFFYGHDNQDQLVKIARLELEPQLEAIVRRHCRKPWSKFMNADNQHLESPKAIDFRDKLLRYDH >cds-PLY76301.1 pep primary_assembly:Lsat_Salinas_v7:7:124277496:124277693:1 gene:gene-LSAT_7X75140 transcript:rna-gnl|WGS:NBSK|LSAT_7X75140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACGSTLVVNSLEQREQSSNIINRCSRRAPPVPASISDERRTEATSVMSLIAFANEGCPGSGSRN >cds-PLY69021.1 pep primary_assembly:Lsat_Salinas_v7:9:142159989:142160929:1 gene:gene-LSAT_9X91161 transcript:rna-gnl|WGS:NBSK|LSAT_9X91161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEEAKSIDVPLGTALEAFQQVYRVAEDPFHRVEYAFGSHEQPTIGIFEGEPKQCKGFTFRKQILIGWTEMSLRDVRGFMEMLSQDYTGISYNLITRNCNHFCNDACLQLTGNPIPNWINRLARIGFLCNCIVPASINLTKVGIEDHKVCNEAEIKTKLRSRSNRFTSSSSSLLSSSVDRPRSHARIVLPSSSLLMLDSPSSQAITVCNGNHICNIPKFKAKNIFKKTLLNHSVKLFKHTKRVYLTNHSFISKSLV >cds-PLY65395.1 pep primary_assembly:Lsat_Salinas_v7:1:61267469:61270309:-1 gene:gene-LSAT_1X52140 transcript:rna-gnl|WGS:NBSK|LSAT_1X52140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPFTRLASCCNLVKRSWNLGRVSSLVYTTCSDVSDSSSDTNVLCRALEKLGKFKVINATLLEQSKRERSSALLFIRQLKEPGFKHDLETYMAVVRLLCHWDWGMDARSNNVINDVIDNTNWEVVNCKISDDLIDALTEENLTEAVNGLVEVYASAGKFKEVILTLSEMESRGVPVVSTRTCNFIMCQLIKQGKEDIVELLYRELKSYGMIPDVDTYAFLIKGKTDLAFQLVKKLNKPLLNVYPYYCMIRIMVLQSKLQEAEDVFLDMKIREVVPDADCYGELIRGYCLKGDTDKTLDLCKEMESGGIKTDGKFVRQMMEHLWRIGKLDEALCLFKHFTQQSRVFIDEVSFCIAIYAACKVGKMDDAMGLIDEMKSRNIMPVDRQAQAGFQVQQVEPNEGQGEGVEGVPDACVQYEGVRDGAVQDARDQAAAGYAGQLNTTQQITLALQQLTSLNALHAGILKKFRKSKWQVKMKD >cds-PLY84361.1 pep primary_assembly:Lsat_Salinas_v7:4:215279742:215284443:-1 gene:gene-LSAT_4X121440 transcript:rna-gnl|WGS:NBSK|LSAT_4X121440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENYEILQQIGKGSFDSALLVRHKRESSLLEQVKNLDTKLIDDFVDVADISSNLHFFNISRFMYGSSVSEVADSAAPLLPIEPNHEDEAIETNE >cds-PLY98303.1 pep primary_assembly:Lsat_Salinas_v7:7:169390689:169392614:-1 gene:gene-LSAT_7X101080 transcript:rna-gnl|WGS:NBSK|LSAT_7X101080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRRIFPVMEIDQTHGKHWTATVQILECHHVQHMTKDDSEYKRIMMMDQDGTTVTGLIFSLQNSADYMPMLNQKIYKVRGIVLQCLPSQEHGVDLLTRRDIIIINKEKILLHVTLWKEFDEHEGRMLEAIRQPPLIFGLRLKVTTFNSISLTTRPNTTFMINPPVSEDLQLRKWYLDNRTEIDELLRMENHKNTELLLPYPPDDVILSIGVVTASPNIGMDIHNDIAVALELSEVVAFVRLYDVRFRGVKETKVSIVKAYKGDENRNITIVLPNSPSPTLNKQPAVISVDSTISVPTTRLPDVTSTCCSKSETTLLPTNTEHDPEARDKRPKKKKIK >cds-PLY64327.1 pep primary_assembly:Lsat_Salinas_v7:4:26852028:26853525:-1 gene:gene-LSAT_4X19960 transcript:rna-gnl|WGS:NBSK|LSAT_4X19960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTSESNGCLDGDEVDEDSILLSLSPPGQTQHHDLSKYHNSHHHSNISSSSHYSFHRNPIKDLNLKLNLNNSTTDSCEDGVTVALHMGQPPTASSVGEGDSSSFSTNPNISISVDHLQYWIPTTAQIMVGPTQFSCTVCNKTFNRYNNMQMHMWGHGSQYRKGPESLRGTKPASSMLRLPCYCCAEGCKNNIDHPRSKPLKDFRTLQTHYKRKHGEKPFGCRKCGKPFAVRGDWRTHEKNCGKLWYCVCGSDFKHKRSLKDHVHAFGNGHAPHYINSEDLIEVDENEIVDEEDKRSSNADHDGSFLKFQ >cds-PLY65401.1 pep primary_assembly:Lsat_Salinas_v7:1:61154068:61155998:-1 gene:gene-LSAT_1X52320 transcript:rna-gnl|WGS:NBSK|LSAT_1X52320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTAGSNNQDEVIGDKHPSSAVSRGGGGATRSWGTTASGQSVSTSGSVGSPSTRSEATMAVTPASENTFLRLNHLDIQTDNAGSQAAAGNKKKKRAQRATGGDKGGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPGDGGQTPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRSTLNDIEEIKGERLAIRNRIEKKTAYLKELQDQYVGLQNLIQRNEELYTSGNAPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKLSDQSKHDDVANNVCVDVDGGEGSSISSMFRPSLGPTMPPGRGSKLPSVPGILKGRVKHEF >cds-PLY87507.1 pep primary_assembly:Lsat_Salinas_v7:8:96622044:96622370:1 gene:gene-LSAT_8X67760 transcript:rna-gnl|WGS:NBSK|LSAT_8X67760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENRDMDMPQSPSSCIKTLSRDSSVGQSSCIYYRSTLNEGVPFDWEMQPGTPKHQPREQIIPPPTPPPAMQSLAFSRPNFDLYDEPKESTSWRFWFWKKRSSKNFQRR >cds-PLY95367.1 pep primary_assembly:Lsat_Salinas_v7:8:226428574:226430778:-1 gene:gene-LSAT_8X138380 transcript:rna-gnl|WGS:NBSK|LSAT_8X138380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNLLELDLSVNQFKNIEDVGIWRQCHLKQLFALRNPFEIERIDPPQNVSECSQYALERLDLSGCLNGTIPEALGRLTNLRVLSVWNSSLTGPIPESLGRLRYLEELYLSDNRLIGPIPTFLGNLCTLDLSYNQLNGSIPESFRNLAALKSLYLGSNQLTGPIPASLGRLVSLQAISMSSNLLNGTIPVSIGQLAKLISLDISVNSLEGVVSEAHFANLSMLMYLYVSSNTKLTFNVSCEWIPPFQLRILQLGSCNITNGFPQWLRNQRALKVLVLSNASISGPVPTWLREMPVIYFLDLSHNKLNEPLTNLPNGKNVCEKYVVYVEALILVNNIFNESIPRSLCRRTDLRYLDLSRNRLTGRIPKCLQNLQELQNLILSSNLPSGVIPSHIGLKHSYLYWLKLNDNKFTGKLPRELGNLRSLRVLDVGDNQLFGNIPHWIGEKLTDLMVLRLHGNKFTGEIPESLCKMSKLQILDVAYNNLRGNIPHCLQELNAMVKGAEKQYNVIFDLNENVIQVMKGVDLEYTTNWDIVFNMDLSSNKLVGVIPVELTALCMLLGLNLSNNHLSGMIPESIGNMMKLESLDLSGNELTGMIPPSMADLNFLSHLNLSHNNLSGRIPTGHQLQTLNDDPSIYAGNKDLHGPPLPNNCLNHQDPTTSALKKKHKAAGKLMKVWWFYLDIMSGFATGFWGVIGVLLLKKHWRHKIFMFAEETMDRIYIAVVVTIAKMKRGREAA >cds-PLY89839.1 pep primary_assembly:Lsat_Salinas_v7:4:323758648:323760045:1 gene:gene-LSAT_4X161440 transcript:rna-gnl|WGS:NBSK|LSAT_4X161440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLARIVTGVLLLFVLFTAPSTGEITSVKIRSDNRRFIFFXLVGSSPRVSVFFVEELLWEVGDGDRWLIVSAQVGENWRILRXGFTETGHVSIAISSVSVTSVPVTSNLSQPNPSRIGFYLFSNVVREYMNHEDIPCDLDSKYISLLFTFQDLSPPPRSSFNKSHSVTFPSDYSLYFTNCNPLSYVTMDVRTEFYNTDNGNTKDYLSAELTQLPSLYSNFSLVYLILLGFWILVCFKNKLSVNRFHLLMGVLLVMKSLSLFCAAKIHYHTKVTGNPLHDWNIMFHICQLIKAVLLYIVMVVISDGWFLLRPFLQEKIIHKKVLMVLIPIQVLATVALIVKGESGPFIKGWVTWNSVLLAIETGCCYVIMFPTVSLICSVWETEETENDTKAARKYLAMFSMVFFGYMVIMCYGIVSMSIGLLEVTGLGFYMVMFCMFNPFVKNRYLQPNLKEPGARTMQEEFVLI >cds-PLY77108.1 pep primary_assembly:Lsat_Salinas_v7:7:183661436:183666852:1 gene:gene-LSAT_7X108961 transcript:rna-gnl|WGS:NBSK|LSAT_7X108961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAKLKSVDFYRKIPRDLTEASLSGAGLSIIAAVSMMFLFGMELHNYLSISTSTSVIVDRSSDKDYLRIDFNISFPALSCEFASVDVSDVLGTNRLNITKTVRKYSIDKHLRATGSEFESGPVTNMLKHDDDNDEEYGEGSVALNGRNFDRISHQHSILVVNFYAPWCYWSNRLKPSWEKAAKIIRERHDPEIDGRIIMGRVDCTEEVDLCRRNHIQGYPSIRIFRKGSDVRDENGHHEHESYYGDRDTDTLVNTMEELVAPIAMDSHLALEGKSGKTEENAKRPAPSEAGCRIEGFVRVKKVPGNLVISARSGFHSFDGSIMNMSHVISDFSFGRKINARVMSDLKRILPYIGVSHAKLNGQAYLTDPEDHANVTVEHYIQVVKTEVMRSSHQLIEDYEYTAHSSLMHAHSVPVVKFHFELSPMQVLITENSKSFSHFITNVCAIIGGVFTVAGILDSILHNTLRLVKKVELGKNF >cds-PLY66192.1 pep primary_assembly:Lsat_Salinas_v7:2:168599672:168601794:1 gene:gene-LSAT_2X90760 transcript:rna-gnl|WGS:NBSK|LSAT_2X90760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLKRWVRPEVYPLAAAMAVALGICGMQLIRNISGNPEVRVTKENRAAGILDNFVEGEKYAEHRLRKFVRNKSPEIMPSVNSFFTDPK >cds-PLY84369.1 pep primary_assembly:Lsat_Salinas_v7:4:216068536:216070650:1 gene:gene-LSAT_4X122361 transcript:rna-gnl|WGS:NBSK|LSAT_4X122361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDSTEFPSVSPTTEPDLESRKILGPAGNRVKVKEEEKESLKKKDVLEKKPKRPTIRLQKMTPQIVVRKNNDSLDSSCSLKSSSSSGSSVKIPSVKRKEKHDSNSLKMEGEESPMMTLSLPFKRCDWITKFSDPLHVSFHDEEWGVPVHEDKKLFELLVLSQVSAELTWPEILYKRDKFRKFFEDFNPSSIAKFPEDRLLSTRSNGGALLLSEQKLRAIVGNAVALLKIQQEFGSFSGYCWRFLNNKPIKNVFRYARQIPAKTPKSELISKDLMRRGFRCVGPTIIYSFMQISGMVNDHLVSCFRYMECVNIVKRSPKPKAKQETKIMKDTNLSYE >cds-PLY89804.1 pep primary_assembly:Lsat_Salinas_v7:2:138318428:138319944:1 gene:gene-LSAT_2X67800 transcript:rna-gnl|WGS:NBSK|LSAT_2X67800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSISRSGGQGTSEVGNVDFLRICDGLCYDLSSLQELACRGAWRSVLDKVAQARSKSLLSKPHEHLIYLSYNAIALTKLRRFSEAVAELDLVEKGLDIYRYETYPHHYPNRHGSMAPFVLRWLHAELPSKLGRRQETLDRFYLLLQFIREKLTKNLPNASRQVWSKREGLVINSIISHQLCHKEFGVCLDLMKDLIKRDSTPVARAILMAKLGYIQMQYGDLEGARGTFGVIEGIVNDGNGEIEMKNLVNRNKALMFMVEKDYVSAVREYQECIDRDGSDVVAINNKALCLMYMRDLSDSIKVMENALERIPTAALNEIFVVNLCSMYELAYVNHSDIKKTLSSWIARVAPDDFDTSSTRI >cds-PLY83752.1 pep primary_assembly:Lsat_Salinas_v7:4:43238823:43240417:1 gene:gene-LSAT_4X29041 transcript:rna-gnl|WGS:NBSK|LSAT_4X29041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSKNEDRLSSLPEEVLSHILSLMPTKFAVRSCILSKRWKQIWMMVTDLDFNDFQYISDLEWYLKFVDRTLELCKISQVKLFRLRFARYVMPKSSVSKWIDKAVRLNVCELEVNVQQFDLPLSLFTCKTLTKLKIIGSNNVWQDFIFPSLVMLPSLKTLDFIVNRKASVNAFRLINGCPMLESLSLVINDYNDKEEVYCNFNIPTLKRLQLTTSGYRSIYKVVLNVPNLEYLGVSGDLCALFVIEDLSSLVEATVSFSRGELRFNHLNATELLKGISGAKSISWSIPYLDVVLQSPLPKFPNLKHLELKGSFGSPWLLVFQHLDSSSQLQHLSFQEPEGSCWIEPQSVPSWMLTNLRTLKITRCKGRNCVLRFIEYMLGNAEVLKNLTITCESLLMRDEMRLCARLLTFPRTSRFCQIHFAGKWLNSLRN >cds-PLY62762.1 pep primary_assembly:Lsat_Salinas_v7:5:309142524:309144228:1 gene:gene-LSAT_5X167580 transcript:rna-gnl|WGS:NBSK|LSAT_5X167580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPHEERLVLEYHSKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQEHKRVSSPSSSFSNSSSSSSICTNDPTVQSMPMNETKERSFYDTGGIEMVPASRGKNTNTSMKHNKDTSEEQEKIYSMDEIWKDIDLLENDDLKPSFDHYSDVTPSRIWDYSVNSFWMMDGGQQEFGTDMFFPATSELLTG >cds-PLY71001.1 pep primary_assembly:Lsat_Salinas_v7:9:69986520:69988725:1 gene:gene-LSAT_9X60121 transcript:rna-gnl|WGS:NBSK|LSAT_9X60121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEDTGLVLSHRLDNKHPQLLFQDDPNSSFHCNPPNQRPGSGPDRPAAGAANNNNGKATRELTGFIDHQHRYFQPESTTEFRQSMYNPVSVGIQNWHGGNGRASDSRSGDGSDGNEDEDDVEGLVNSSDNKNNNSSNTSAQSSEKEGNAKLNHLSSFGSSREVPKAGSIIPSRNDLNDARPSSCDNHHHHHQGQTNDYPNAVAVVDRDSDLYYSQYLHGAEGSGTGLKDMLVENGCGFSGRKDNESGDSLRTILSDPLTGSLMDDAMILPCGHSFGSGGMQHIMKIKACYTCSHPVVEGSVASNLSLRAAVSAFRREEESQVHHSSKRRRERLDQDRVNYGDSMFMDTPRGKGLQFPFSVADRVIIKGNKRTPERFVGCEAVVTTQCLNGWYVVKTLDNAESIKVQYRSLAKVSDNSSSQQTSSKITPNWL >cds-PLY66843.1 pep primary_assembly:Lsat_Salinas_v7:7:18491154:18491468:1 gene:gene-LSAT_7X14720 transcript:rna-gnl|WGS:NBSK|LSAT_7X14720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFILLVRASPSKILHGSFSSRAISILATLQILLSAYCTLQSSRLHRRTYSTTNFSSASTRSFHMDDEASSKFFHMKVEVIEEMVGQRWMVGMMVTVDCVEVSLR >cds-PLY97163.1 pep primary_assembly:Lsat_Salinas_v7:2:206115459:206115920:1 gene:gene-LSAT_2X126481 transcript:rna-gnl|WGS:NBSK|LSAT_2X126481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CP12-3 [Source:Projected from Arabidopsis thaliana (AT1G76560) UniProtKB/TrEMBL;Acc:A0A178WDK7] MATFSTSFVGSITYVNNVRSSLFVHKLADPSFYFSGQKMQLAAVSTVVAMGGGARFKGTHDREMKLTEMIENKVTEAKEVCAGHEGSDECKVAWDEVEEISQAKARLRVKLEHEEDPLESFCSGNPETDECSIYDD >cds-PLY71411.1 pep primary_assembly:Lsat_Salinas_v7:4:238170169:238170612:-1 gene:gene-LSAT_4X128081 transcript:rna-gnl|WGS:NBSK|LSAT_4X128081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPNTKIIPSPSEFVGLQERFGFLPEHGVEYPRKGAIISRPLEGKIKVSIPIFEAGMRLPMTDFFDEVMLQYGFRVDNLNPNAVNKIVGFELVCWALEVLPQFWAFKAFFNSSTQSGAQTFSQRQDVHAFIMNQKASKKNWQDRSL >cds-PLY68297.1 pep primary_assembly:Lsat_Salinas_v7:1:26981977:26982516:-1 gene:gene-LSAT_1X23980 transcript:rna-gnl|WGS:NBSK|LSAT_1X23980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase subunit 9 [Source:Projected from Arabidopsis thaliana (ATMG00070) TAIR;Acc:ATMG00070] MERSEHGNSSDTNTDCPFQLLCFLKLHTYTRVQVSIDICGVDHPSRKRRFEVVYNLLSTRYNSRIRVQTSADEVTRISPVVSPFPSAGRWEREVWDMFGVSSINHPYLRRISTNYGFEGHQLRKDLPLSEYVEVRYDDPEKRVVSEPIEMIEDMNRSIDTIEEELRRFLSSFFSDDKSS >cds-PLY82849.1 pep primary_assembly:Lsat_Salinas_v7:1:87373087:87375630:-1 gene:gene-LSAT_1X72481 transcript:rna-gnl|WGS:NBSK|LSAT_1X72481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 23 [Source:Projected from Arabidopsis thaliana (AT4G13700) TAIR;Acc:AT4G13700] MMMVILMSASAKIPTTMDGPFNPETRKFDPSLRRGSDDLPMNHTRLKKNVTSNFPEQIALALSTPNSMWVSWITGDAQIGKNVTPLDPSSVASEVWYGKKSGVYSKKRHGVSVIYNQLYPFKGLLNYTSGIIHHVKIDGLVPGTTYYYKCGDSSIPAMSDERLFKTLPLPSPNAYPSRIGVVGDLGLTSNTTTTIDHLIQNDPSLVVMVGDLSYANQYLTTGGDGASCFSCAFPDAPIRETYQPRWDGWGRFMEPLISRVPMMIIEGNHEIEPQASGVTFESYLKRFAVPSKESRSNTNFYYSFDAGGIHFIMLGAYIDYNKTGAQYSWLQNDLRKLNRSVTPWLVATWHSPWYNSYSSHYQEFECMRLEMEELLYEYHVDIVFSGHVHAYERMNRVYNYSLDPCGPVYITIGDGGNIEKVDVEFADEPGKCPSPSDNIPEFGGVCHTNFTSGPAKGKFCWDKQPEWSAFRESSFGHGILEVVNSTYALWTWHRNQDIYKENDIGDQIYIVRQPELCSVSPQLSQTKQSDATGFGVHDLHGSSSCFLIFVIAFTWLHFL >cds-PLY80247.1 pep primary_assembly:Lsat_Salinas_v7:6:123610581:123610763:-1 gene:gene-LSAT_6X75100 transcript:rna-gnl|WGS:NBSK|LSAT_6X75100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLHFGDVVEEGGCGGDGAMSFGAYEKGPRDLSSGFTIWGDKPQNPGVGFGRRKPTKTV >cds-PLY99626.1 pep primary_assembly:Lsat_Salinas_v7:8:287841208:287842564:1 gene:gene-LSAT_8X161140 transcript:rna-gnl|WGS:NBSK|LSAT_8X161140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLKQIFNTLDHKRTLQSNKRSGHNALRHDWLLSCYQLLVWTRKHTEFVDSCVELRIDMSIAYIR >cds-PLY66067.1 pep primary_assembly:Lsat_Salinas_v7:2:206778083:206779186:-1 gene:gene-LSAT_2X126980 transcript:rna-gnl|WGS:NBSK|LSAT_2X126980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMHIPTYGVEAFPTPNSGELPVFCRSTSFSSLIPCLTENWGDLPLKVDDSEDMVIYGILRDAVSVGWTPFNFSGKEIKAETTPFNFSTKEVKPELIPFNLSRKEIKAESTESETSTPATYTPPSQASPVKIEEAVSTSAAAASPKGKHYRGVRQRPWGKFAAEIRDPAKSGARVWLGTYETAEEAATAYDIAAYRMRGSKALLNFPHRIGLNEPEPVRVTSKRRSPEPSTSASSASVSGSPKRRNIKVTTAEHVEPEVQSRSSNVVDAVNG >cds-PLY99507.1 pep primary_assembly:Lsat_Salinas_v7:1:114707780:114711344:-1 gene:gene-LSAT_1X88821 transcript:rna-gnl|WGS:NBSK|LSAT_1X88821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRVNLQNYLIPLEEINRATENFSQERCIGGGGFGAVYKGQLSERWKKHTVAIKRLGKDSHQGEHEFRNELEMISSFHHENIISFIGYCHEGGEMIIVYDYAINGSLDHHLQNRSKMGCITWIQRLMICIGAARGLNYLHSGLGEHNRVIHRDVKSSNILLDDNLVAKVCDFGLSKLGPRNQLDTQLHTRVAGTQFYLDPTYHESRILRKESDVYSFGVVLFEILSGTLVYHEISTQNDVQKFLMTWVRRYHKNEPHKLIDPQISDQIDRTSFHIFQEIAYQCISFNLSERPTMDKVIERIEEALSVQIQSVRANASPDNMGIVAGDIHNIAKIMEDNRIAIRIYTNQLTIPNSGTQEQSITAILNLLVSEENKGNIVSFGAVPGIVLVLKVGSMEARENAAATLLNLSVIDQNKEIIGAEGAIAPLLLLLSKGSQKGKKVAITALFSLCLDQGNKGRVVRAGVAPILMELLNEPEGVLMEETLSLLATLLSHPEWKLAIGKEEVVPVLVEIISSGSPKTRENAAAILVKLCSREQKYLVEAMEHGLKENLMDLLKHGTDRGKRKARKLLRQDKGPQTKTRRM >cds-PLY82075.1 pep primary_assembly:Lsat_Salinas_v7:8:53943850:53945054:1 gene:gene-LSAT_8X40020 transcript:rna-gnl|WGS:NBSK|LSAT_8X40020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPNKLMRKIRVICYDPDLTDSSDDDEPNKKPFGSKRIVREIKIPVGDGGFSGGCTTVMTATKEQETESSCQDSNNGEKSCGKRKRVSTKTPGQPRPPSASKYRGVRQRKWGKWAAEIRDPFKQRRVWLGTYDTAEEASRAYEVKKLEFEAMAEASKGGSNIVKSTPPNTEASGSGNGVNGRRKFVTRLSNAGYGLELMILLKKRPELMKSKNSSLKPWLKLQRVVPTSSKAPPPPPPPKLRRQLFLSFQNQPSPRNQLVKIISSAGASETCNAESKLANKPEPPPAAVGSPAMEETLTLAQIGEGLDMKLELDSIFFDSFASPLDGFGDLDDFQLCGFEDNESCELPDWDFDELNPEELAWMNTLRVDEPLMNEQPLNIACL >cds-PLY93333.1 pep primary_assembly:Lsat_Salinas_v7:9:62505221:62505889:-1 gene:gene-LSAT_9X54101 transcript:rna-gnl|WGS:NBSK|LSAT_9X54101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAFNDYKNSYLTLSSENPPLGSSSNSNDTRSTGGDAVADSEVKLASQTPKKKAGRKKFRETRHPVYRGVRMRDNGKWVCEVREPNTQFRVWLGTHPTAVMAARAHDVAALAFRGRSACLNFADSVWRLPVPTSNKIEDIQKAAAEAAEAFRYTDTEDAVENVETNELPEVQSYVDEEEIFESSGFYASMAEGLMVPPPPMVGYGNYGDNMDFCDDVSLWSF >cds-PLY81976.1 pep primary_assembly:Lsat_Salinas_v7:9:152757849:152758058:1 gene:gene-LSAT_9X97341 transcript:rna-gnl|WGS:NBSK|LSAT_9X97341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFDGDGADVRGYFVWTLMDDFEWRLGYTPRFGFYYIDHQTLNRIPKLSAKWYQDFLKNNTRALEIQDS >cds-PLY87504.1 pep primary_assembly:Lsat_Salinas_v7:8:96051064:96052699:1 gene:gene-LSAT_8X67081 transcript:rna-gnl|WGS:NBSK|LSAT_8X67081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNVIVLSFMFIAFLFTLASINEIQFDHLSSIKKCATSTSSSSNNSVLPMKSPENDIRILLGIPTMADHHDRRHFLRLIYGTQSVVGAKIDVKFVFCNLTKEDQKVLVALEIIQHNDIIILNCKENMDKGKTYTYFSSLPDMLKPDPDSNSDSDPYPPYHYVIKGDDDTYFRLQKLVDTLIPLPREDLYYGYVIPCPSMDPFVHYMSGMGYLVSWDIVEWIKESEIPKSHLVGPEDKIFGEWMREGRRGKNRFNAKWHMYNYPEPPTRCTHELWPDTVAVHLLKTQEKWIRTLSYFNVTQNLKPSKMYHIP >cds-PLY96334.1 pep primary_assembly:Lsat_Salinas_v7:5:193924250:193928242:-1 gene:gene-LSAT_5X87621 transcript:rna-gnl|WGS:NBSK|LSAT_5X87621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSGPEPTMNKSSSKSKVIKSIRSCSLSGMKVSKDKLRQKITMPEYLRLAISDAIKAKNINAGKHHFDANKLADFSDDGGDNPPPVAPESPLVVFVNSKSGGRHGSDLLSKLPDLMGVEQVLDLQNIKPHEFVQYGLACLENFASLGDTCAKETRERLRIVVAGGDGTVGWVLGCLGELHKQGRDPVPPTAIIPLGTGNDLSRSFGWGGSFPFKWKTAIKRSLDRALQAPLGCLDSWELLISMPAGEELDTPHALKKTEVVHLDQDLDIDGELPEKVSCYQGVFYNYFSIGMDAQVAYGFHNLRNEKPYLAKGRIANKIIYSGYSAKQGWFFTPCMTDPSLSIRSIVALNLHSYASGRNPWGNLKPEYLEKKGFVEANSDDGLLEIFGFKQGWHASFVMVDLISAKHIAQAAAIRFEIRGGMWEEGFMQMDGEPWKQPMDTDYSTFVDIKRVPFQSIMIKGENSRS >cds-PLY96200.1 pep primary_assembly:Lsat_Salinas_v7:3:92610132:92611511:1 gene:gene-LSAT_3X69121 transcript:rna-gnl|WGS:NBSK|LSAT_3X69121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWRNHNAVTRVKNQGMCGSCYAFPTVDSVEGIHSIINGELIELSPKEIIDCANVGGCNGGSPQDSFKYIANNGGLTTEKNYPYKPVVETCTLQKAKDIAVEIHGYQDVPRDETDEHLLKAVAHQPVAVSTSWGDNLALYKEGIVQGPCETTVLHSTLAVGYGTDPDGTKYWILKNSWGEEWGDKGYYKLHRGIPDKKGMCGIAQFAAYPVIEADSGIHASPGAVVKGVKKR >cds-PLY86332.1 pep primary_assembly:Lsat_Salinas_v7:8:28483839:28484972:-1 gene:gene-LSAT_8X23101 transcript:rna-gnl|WGS:NBSK|LSAT_8X23101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDSSKRPRHTKSSDRRRRSPSPPPRSSSPPPSSPPNPAHDGVVCCGPIQAAKLEAFLQRAHSAQQFAHVPSLCELHIYKQVHTLFRNIGWQGLLRVHELSYEVPIAEFLSSLDLDHDVLCFRLMNQDHALSIDQINVIVGAPIEHTFGPNDPIPRYSDLTWWTDLTRQLPYVSSSAKASSLIHLVMKVAHMIIASLVLPREERSTISALELKILYAMAHPDDNLIPHYDSFLCNKMTRLSTPQSSKIYCGGLVSLFAKSDLVRAPYPRTHQPIPGETYLTTGVLESMRMFRAEDGNHNWTVGQKHDPRLLITPENRGIIALRRPNNFTDWQITPYLFPKSFFEEEEEEDEESDRATPQNSPPMGGASLSHHAGQP >cds-PLY99379.1 pep primary_assembly:Lsat_Salinas_v7:7:145933309:145933972:1 gene:gene-LSAT_0X4360 transcript:rna-gnl|WGS:NBSK|LSAT_0X4360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLLPEKVKPRINFGSISTSGRFLKPASCPIGSSTPDLALPEDGPIELPFSIPSFISTDDDPTTLQVATNVLLTDTDTISIFLFRSIRPRAKLAKELEEALESLKAMTSVEANAHPLPLQAFLGGLSVGIIAIILYKFTTTIEASLNRQTIYDKIIPNS >cds-PLY95796.1 pep primary_assembly:Lsat_Salinas_v7:7:94184050:94184624:-1 gene:gene-LSAT_7X63880 transcript:rna-gnl|WGS:NBSK|LSAT_7X63880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGHKSQRKSSFSFLSIFKLKKSERGGGDDTWDDSMKAYKVYPSDQDRAGYWADPRIDSKATVFINTVTTHWNHVEGSA >cds-PLY66642.1 pep primary_assembly:Lsat_Salinas_v7:1:53128855:53129847:1 gene:gene-LSAT_1X46280 transcript:rna-gnl|WGS:NBSK|LSAT_1X46280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEYSDYVLQIDPDVKLSTRILRNALNSINRLLCESEKKDSRNLMKLLEKSTGFNGVVEFDNEQVPPLHLEETQNCWSLVAVTLTAIALALPKIANCHVKGLLSSMREGLQFVKHMEESLNANDELVKARKAARRVWTDVEVYCKWLQIDLQKTARNGKTSKETLQWLGDEAAKIVIQFKIRKNVSLDHSLHKFIAASSMYRISQTILLHCNEQENWPTDEELFEFISTIIADLLCACFTSLPRVITMKCHEDAIEKREESIQIAAQLLGRSKKILKLLKKHQLPNLDMESMGYIDKWHALSKSQIPNGNSARIQPASSSSNASFVVTII >cds-PLY81208.1 pep primary_assembly:Lsat_Salinas_v7:5:339414297:339417580:-1 gene:gene-LSAT_5X190720 transcript:rna-gnl|WGS:NBSK|LSAT_5X190720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFFSDFRIHVRYPSNIDLSPSESSMNSSLKLGSGSTSTRQNYAGKHPYLQNHMPTQGPGGGLLSQNQRTAIPQVSWVSSGQGVTDLPFPKRLGVEFNGIDSPQSAERQISLQTTSAGQSQTRQYPDNVVRPSIAPVSYLMNPQGPRFSHGQSQMVELPNNPRGQAPTTSVDGLSNNSEYYARPYHKRSAVAPPSGAHWVQRQKMSHPTTTHHSMPIRFPTKPAASVTANLAHPSIPIYQSQSQTQQPVRALMNPSIPYTWIFCLVVLELSLVLLFYFFIRLKKEKNMQSLLTFYESGSLILEFADATDRVTSHTKHISYVPMYLSIYSPNGSPGVGFMPHILDAKAGEDVLGKLMWFSQNSTRAVCILSANGAISNVTLQQSATSGGTVTYEGRFEILSLCGSFMVCESDGQRSRTGGLSVSLSGPDGRVLGGNVAGLFTAASPVQMIVGSFVPASQKQRKTEAKIVNTTPVNVGTTSGSSGGGIGSPLVHSNNSNPQGMANMPWR >cds-PLY95988.1 pep primary_assembly:Lsat_Salinas_v7:9:42540062:42540229:-1 gene:gene-LSAT_9X39260 transcript:rna-gnl|WGS:NBSK|LSAT_9X39260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQFARLSLACPWIQQQFERLTYSGISGSMLIFNSPKHFVAYYALPRLWVPRYPP >cds-PLY70128.1 pep primary_assembly:Lsat_Salinas_v7:3:11732760:11734548:-1 gene:gene-LSAT_3X8840 transcript:rna-gnl|WGS:NBSK|LSAT_3X8840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSKIATNDGHGENSPYFDGWKAYDANPFHSQHNPAGVIQMGLAENMLCFDLIQEWIQANPTASICTPQGASDFKETAIFQDYHGLPEFRNSIANFMNRVRGNRVTFDPDRIVMSGGATGAHETLAFCLANPGEAFLVPTPYYPGFDRDLGWRTGVKLLPVVCESSNNFKITSEALEIAYEKAKLANIKVKGLLITNPSNPLGTFLDRETLKYLVTFITDKNIHLICDEIYAGTVTKGDEFISIAEIIKEEPKCNLDLIHIVYSLSKDMGFPGFRVGIVYSYNNNVVNIARKMSSFGLVSTQTQHMLASMLSDNKFVDNFISESRIRLAHRHDMFTRGLAQVGIGSLESNAGLFFWMDLRRFLKEPTFESEMTFWRTIIGDIKLNVSPGSSFHCSEPGWFRVCFANMDDETTMIALRRIKTFVLKNKMLEIKTMRPCWQNNQHFRLSSRRLDDMLTPHRMSPHSPLASPLVRAHN >cds-PLY95615.1 pep primary_assembly:Lsat_Salinas_v7:2:69034719:69038032:1 gene:gene-LSAT_2X31321 transcript:rna-gnl|WGS:NBSK|LSAT_2X31321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFVMSFLSSSFSVPTKRCRYDVFLSFRGEDTRNNFVDHLYSALIQKGIHAFRDDKALDKGKRISPELLKAIEESRFVVVVFSKNYAHSTWCLDELVKIMECQNQVGQKVFPVFYHVDPSHVRGQKGDFDIAFQGHEKMFRGELNKVNNWRKALVAASDLSGWHVSVDNGGESTIVTEIAEKISSDKQPRDGEKNLRGRLMHKLLCRIWNFKE >cds-PLY86787.1 pep primary_assembly:Lsat_Salinas_v7:5:14272444:14274080:-1 gene:gene-LSAT_5X6441 transcript:rna-gnl|WGS:NBSK|LSAT_5X6441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQMVDIEAQSSAQTSSPPSIFNKVDHPVTLKFQEVVYTIKNKKQGWIKRKKNHEPTEKHILKGITGMVLPGEMLAMLGPSGCGKTTLLTALGGRLGGKLDGTITYNGKPFSSIMKRYTGFVTQDDILYPHLTVTETLVFIALLRLPKKLTTQEKITHAEAVIDQLRLTRCKNIIIGGPNLRGVSGGERKRVSIGQEMLINPSLLFLDEPTSGLDSTTAQRIALTLWELARGGRTIVMTIHQPSSRLFYMFHKVLLLSEGNSLFFGKGSEVMDYFNSIGFSPSVAMNPSDFLLDLANGINIENIVSLQP >cds-PLY71382.1 pep primary_assembly:Lsat_Salinas_v7:8:206828728:206830064:1 gene:gene-LSAT_8X131100 transcript:rna-gnl|WGS:NBSK|LSAT_8X131100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKNGLKKGPWTQEEDLKLMEYIQLHGPGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSMLGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRNGIDPVTHSPRLELMDLSSILNSAQLNLSNLLNLHTLVNPEVLRLATLLATSSSQENQDLFFNNQMNPMNQTLHHQLPNCTSIPTSVPSSQLLNQESHSDQYFSPNMVNMNGQVVDGNFMPPCISNDLVTLPIETQSDQYRAQTSETSSFEPSIVENKYNPTNQKAQNFNFDSVLSTPMSSPTPLNSSSTFVNSSSTEDDRETYCSMFKFEIPESFEFEDFML >cds-PLY86670.1 pep primary_assembly:Lsat_Salinas_v7:4:319348876:319351869:1 gene:gene-LSAT_4X159541 transcript:rna-gnl|WGS:NBSK|LSAT_4X159541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEENLPNILSDGLCSWHLDLNQKAELTLKGVVGTVINKYFPRLTQSQRQLFEASLFGIFLGMHIPHGDLLLVHLMMLHEVRTLEVFEMGRFLFDIEGRHLEFGETEYILICGLKVGRYVDLLYDEKGWSNSSLRARLFPDISDARLRLKVLEDLIMSPKYLEVEDEDIVLLIQLVFVLKGLHGRDILETFPEATKFYVRIPTELPRMRCWRSKPALSWENYCRIINVFVPNNQPIQVVANETELMLPFYVQYVNWTLNHEESPPPQQSPPPQHSPVRNSPPVVQSPPRRSMYKSDTCSTESATNASSSQQLEIETRVVKKKKSSTKALVKHLLGVVAELSSKVDRVLEEKDEPNKRFVEDEEEEGEMINEEGEEAYCHDTQFDYAGLEEKIVPTPTEPSPDLGEHDTKIVTPIGRPQRKRAPGWYQLTPFKVVQSTPKVKKIRKTRKKKIEESPKKTNEDIVNAESSDVPSNNLLLDTYCYTEIPVDGKAADKRSVIFVINVVHAHWFLAVLHLDTWKVEIFDSARAAGFLNVQH >cds-PLY66115.1 pep primary_assembly:Lsat_Salinas_v7:7:31226830:31230696:1 gene:gene-LSAT_7X23361 transcript:rna-gnl|WGS:NBSK|LSAT_7X23361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISPTFLPILSLLMLLATYTMNANGCYTSIISFGDSLADTGNLKQLTTRSNSRPPHFMFPPYGETYFHKATGRCSNGRLIIDFIAESLGMPLIPPYEGVKTNGMLEKGQGVNFAVAGATALDSAFHEAQGVINPYTNASLGVQLEWFKDSLPSICGTPSDCRHLIKNSLILMGEIGGNDYNHAVIAGKPIDELKSYVPLVINTIVSAINELIELGAETLVIPGNLPIGCSAAYLTIYYGSDWVRHDNTTGCIIELNKFAEYHNELLQTALNQIREINPNVNIIYADYYNAAMQFFQSPQKYGFTNGALKACCGGGGPYNYNENVACADPLATTCVDPETYANWDGLHLTEAAYNVIYKSLFKGTYTTPQFNSLCNKLIVQPSDASSGSFESF >cds-PLY98232.1 pep primary_assembly:Lsat_Salinas_v7:7:167796953:167797573:-1 gene:gene-LSAT_7X99841 transcript:rna-gnl|WGS:NBSK|LSAT_7X99841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CcmB [Source:Projected from Arabidopsis thaliana (ATMG00110) UniProtKB/TrEMBL;Acc:G1C2W9] MRRLFLELYYKQTFPSTPITSFSPFLSYIVVTPLMLGFEKDFSCHSHLGPIRIPPLFPFPSAPFPRNEKEDGTLELYYLSAYCLPKILLLQLVGHRVIQISRVFRGFPMLQLPYQFGQSGMDRLNISLRSLVLTFLCGIHSRSALGITSSSGGNSSQNPTTSPTSLPPTLSRTSIETEWFHVLSSIGYSFPFVSLSPISVSISSQD >cds-PLY78773.1 pep primary_assembly:Lsat_Salinas_v7:8:61098910:61099993:1 gene:gene-LSAT_8X43920 transcript:rna-gnl|WGS:NBSK|LSAT_8X43920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVWTSNLRDYRLCLHEIKFVDHVLTGCDFAAKVIEWAKMVCFSGSTICYYGGAPGKHYFFADQYCDNTPLMEVVEGMKAELVALKSEVEKVKEDMEQMKKEKYSDAIAMKEKLYKFTIGFLFLIIVYMMK >cds-PLY94864.1 pep primary_assembly:Lsat_Salinas_v7:2:178106269:178113730:-1 gene:gene-LSAT_2X97540 transcript:rna-gnl|WGS:NBSK|LSAT_2X97540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSILKYKNKRWDLMVQPYKYLTGSNYCEKTCYRSLTRQTLVTNQFSGNSIIRRYLSNPSKFSSSSSPRLLAGSYTNSSGSFRFYSSEGDGRNSSEDKHVPLKDTVKSDKGSIGKENIKEDVNHHDAHARLGEQDQKEWLINEKLAIESKRKESPFLTKRQRFKNEFLRRIIPWEKQTVSWDSFPYYIHEHSKNLLVECAASHLKNKKFTTTYGSRLDSSSGRILLQSVPGTELYRERFIRALARDLQVPLLILDSSVLAPYDFGEDNSENESDDEHGESGEEMTSESEVDDEASNEEEWTSSGEPGSDDEDVEARAAEALKKLVPGNLEDFTKNIAVVGEIPPESSKQDTECSEDTKEPLKKGDRVKYVGPSVHVEEDNRIKLGSIATSDGPTNAYTVISRRPLGTGQRGEIYEISGERVAVILDSTEDNDQSAKPSIYWLLVKHVERDFDTEAEDCYIAMQALSEVLKSVQPLIVYFPDSSLWLSRAVSKSNRKEFVNRLQEMFDQISGPVVLICGQNKVATGSKEKEKFTMILPNLGRLAKLPLSLKRLTEGLKPTRRPEDNDIYKIFTNIMSLHPPKEEDPLRVFNKQIDEDRRIVISRSNINELHKVLEENELSCVDLLHVNTDGVILTTKKAENVVGWAKNHYLSTCDLPSVKSDRLNLPRESLEIAIMRLTEQELISKKPAQNLKNLAKDEYESNFISAVVPPGEIGIKFDDIGALEDVKTALHELAILPMKRPELFSRGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIVFVDEVDSLLGARGGGFEHEATRRMRNEFMAAWDGLRSKDSQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDADNRLKILKIFLAQENVAPGFDIRALANATEGYSGSDLKNLCIAAAYRPVQELLDEEKKGKKHDTTPAAALRALNLDDFIQSKAKVGPSVAYDATSMNELRKWNEQYGEGGSRRKSPFGF >cds-PLY83132.1 pep primary_assembly:Lsat_Salinas_v7:3:95582125:95582685:1 gene:gene-LSAT_3X71801 transcript:rna-gnl|WGS:NBSK|LSAT_3X71801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSMRSYGLIDADSRCLFMINAQPGEHLHLDQLIGVNLSPIQALKIEEGDEDLWCLLIDHEPAYSTFWMEVTMNRGSIAPARFVDGSQEFDDEETMEIAEIVVEYDKYSRYLRKISELPVTIIGKNKADGSKEGEGEICVVCQEEYEAGLTIGTLKCGHVYHEKCIKKWLVQKNLCPICRSTALS >cds-PLY88715.1 pep primary_assembly:Lsat_Salinas_v7:7:193841510:193842182:1 gene:gene-LSAT_7X114381 transcript:rna-gnl|WGS:NBSK|LSAT_7X114381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIMKVMFFIIAIAMAAAITITFTGDNNEETLMVTTETPYVKPLPKRVSRFLAEKINNPRAADHCKKDDEICYILEGKNSTCCHNKCMDLSEDKHNCGACKNKCKFTSSCCGGECVNLAYDKRHCGSCSNKCMPGGYCIYGLCNYA >cds-PLY84383.1 pep primary_assembly:Lsat_Salinas_v7:8:79008400:79009306:1 gene:gene-LSAT_8X56600 transcript:rna-gnl|WGS:NBSK|LSAT_8X56600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLFCIWQSLVANEEFQHILRIQNTNVDGKQKIMFAMTSIKGIGRRFSNIVCKKADVDMNKRAGELSNAEIDNLMTIVANPRQFKIPDWFLNRKKDYKDGKYSQVTSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >cds-PLY87986.1 pep primary_assembly:Lsat_Salinas_v7:6:172271194:172275172:-1 gene:gene-LSAT_6X105880 transcript:rna-gnl|WGS:NBSK|LSAT_6X105880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNTGGEEGLNHALLQPPAGGDAKSRFWIESKKLWYIVGPSIFSRVATFTMNVVTQAFAGHLGDLELAAITISTGVIVGFNFGLILGMASALETLCGQAFGAKRYNMIGIYMQRSWIVLLLCCIVTLPLYIYATPILKLLGQSDEVAELSGKAAIWLIPQHFSFAFLFPVQRFLQSQLKTPVLAWVSLVILGIHILITWLFTSVFEFGIVGTVVALDISWWLNFVGLFAYTVYGGCPLTWNGFSMEAFSGLWEFVKLSAASGVMLCLENWYYRILILMTAFLKNATVAVDAVSICMNINGWEMMIPLAFFAGIGVRVSNELGAGNGHGAKYATVVAILHSSVIGLVFCVLIVTFHDKFALIFSSSTVVLEAVDTMAWLLAFTILLNSIQPVISGVAVGSGWQSWVAYINLGSYYLVGVPLGVVLGLVFHLGVEGIWGGMIFGGTALQTIILGLLTIRCDWEKEAQKAAAKYSTLS >cds-PLY86872.1 pep primary_assembly:Lsat_Salinas_v7:8:49468013:49469802:1 gene:gene-LSAT_8X37640 transcript:rna-gnl|WGS:NBSK|LSAT_8X37640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDVLTEQPPPSRFFLEDLNNFTPPSPPLPSPFLFLSSPNSKTTLQPSLLIIALSPPSLSLLHHLSSKTLIGTLILPEISPSGNTITPSPKDKSCNLYAINDSIILANFQYSVPSERTHAIAKTLIGEHILPKRVLILDSIQRRNFRGRISTDDTFAMKLETTAERKEAPLLKSLDYLPSGSVVEGLGAALLGRCQMKGIKGILCVTWPEVGGSVTSMVKSLLLKDVFNGMEVRFDDNGEDEGLKFGLKNHYFDSELYT >cds-PLY66376.1 pep primary_assembly:Lsat_Salinas_v7:4:119704687:119705529:-1 gene:gene-LSAT_4X75120 transcript:rna-gnl|WGS:NBSK|LSAT_4X75120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTIVTHGNALNQGTARGAAVGFRLDSLLLLGLDWVLVDKLPELLDFSKDLDSLEPASKVQLKYLAEEMQAISKGLEKVVQELSMAENDGPVSEKFLKLRLMIIIKQKPCVGVGPLCNAQQVSMMA >cds-PLY92847.1 pep primary_assembly:Lsat_Salinas_v7:5:329002924:329004342:-1 gene:gene-LSAT_5X181820 transcript:rna-gnl|WGS:NBSK|LSAT_5X181820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPRAAELTTLLESRISNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRSTSESETLYCVYVAIGQKRSTVAQLVQILSEANAMEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVIPITDGQICSETELFYRGIRPAINVGLSVSRVGSAAQLKTMKQVCGSSKLELAQYREVAALAQFGSDLDAATQALLNRGARLTEVPKQPQYAPLPPWEGNNSWKRLLIPRRLRSKRRNPPEEGLASD >cds-PLY70959.1 pep primary_assembly:Lsat_Salinas_v7:5:137935231:137935555:1 gene:gene-LSAT_5X59500 transcript:rna-gnl|WGS:NBSK|LSAT_5X59500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVALFKVILFATFVVLITCVLGVHVENQIQSKTSIPFEGGTCVGVPDCHNLVCPPGMIPWCLAGICRCIR >cds-PLY96378.1 pep primary_assembly:Lsat_Salinas_v7:2:53196966:53198203:1 gene:gene-LSAT_2X22780 transcript:rna-gnl|WGS:NBSK|LSAT_2X22780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKGNSKPSVALIVGVTGMVGVTLTEALKMPMALGGPWTVYGVSRRALPTWFQSSLLDKHIILDALNHKNTQKILSPLSTQITHLFWVALQANESEELNISRNSTMLSNVLSALTSSPNSKLSHVTLQTGTKQYVGPITDSVISTQLVPHEPPFREDYPRLPFPNFYHDLEDIIFSYSKAFTYSIHRPSIIIGASSRSSYNFLLTLSVYALVCKHENYPFRFFGKKYNWGHFCEASDARLIAEQQIWASTTKCAKNQAFNCTNGDMFTWKSMWIVLCDVFGLEFVAFDEKDNFDCVDFMKDKGEVWDRIVEENGLYKTKMEEITCFGLLDNVLKLDIQNVCSMNKSREFGFFGHANTLESIAVWVEKLRQMKILP >cds-PLY70544.1 pep primary_assembly:Lsat_Salinas_v7:1:71168067:71171577:1 gene:gene-LSAT_1X61720 transcript:rna-gnl|WGS:NBSK|LSAT_1X61720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHPGCNKTFRQNMKSTRLFGLFFLVTLVFGHGTDRKHYIVYMGQHSHPSSESVVTANHELLASLLESYNGAKEASIHHYTKSFRGFSAMLTPDQATRLSENEDVVSVFESKMNQLHTTKSWKFLGVDSIPQYNKLPMDIKSDVIVGVVDTGVWPESESFNDYGLGPVPMKFKGECVVGQNFTQSNCNKKIIGARYYSKAFEAIRGPLENFNRTFFRSVRDTDGHGTHTASTIAGSKVSNVSFYGLASGTATGGVPSARLSIYKACWFKDCEEADILAAIDDAIHDGVDVISLSLGPIPPQPIYFEDVFSIGSFHAFEKGIVVCASGGNSIFPSTVGNVAPWILTVAASTIDRELSSYVVLGNHKQLKGFSVNTTPDQGKQYRLIFGTHAAAFGIPSRNASFCKRNTLDSNLIKGKIVACTLETLFDDKNEKAITIKEGGGAGMILVDDPLGKDVLFQSALPSVSIGQEEAQELQSYMNTFKNSTARIYQTVTHVGIKPSPVMAIFSSKGPNIITPDIIKPDITAPGVNILAAWSPFATDVTAGNSLDYNMISGTSMSCPHVAAVSALLKSVHPNWTPAMIKSSIMTTATITDNTGDLIKNEDSTEATPFDYGSGHIDPIAAVNPGLIYDFNTSDLINLLCSSGATVSQLKNLTTTPVYCKNPPTASYNFNYPSIGVANLTGNLSVYRTVTYIGEGPAVYHSILEITGVKASVYPNVIRFQKSGEKMTYRIDFEAYKSSNGSFEFGSLTWMNNLHRVRSPIAVNVVQI >cds-PLY72099.1 pep primary_assembly:Lsat_Salinas_v7:7:58308396:58311495:1 gene:gene-LSAT_7X40721 transcript:rna-gnl|WGS:NBSK|LSAT_7X40721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKLESDVTSLGGSSTSSSTSPKRDRSPTTSYNYYVQSPSRDSHDDVDNKSSTNSQTTPTHSSPNDSPSHPSHSRPSSSSASRVSGPYRAFAVTGKHRVHSSFRKRKGWPPLFSVIDEENEDYEDDDYFRDREFMRQCRIMMVLMGLVMSFTAICFITWGASRPYKLQVHMKSWKVNNFYYGEGTDYTGVPTKFLTVNCTVKMNIENPATFFGIHVSSSSLNLFYSQIVVGSGQFVNYYQPKKSQRTMSVNVEGRKVPLYGAGIRLATSDNNNNNSVPLKLEFEIRSHANLVGWLVKTKHRRQVFCTMEIDSENNKVINFKRESCSYT >cds-PLY83516.1 pep primary_assembly:Lsat_Salinas_v7:8:188113573:188115099:1 gene:gene-LSAT_8X122301 transcript:rna-gnl|WGS:NBSK|LSAT_8X122301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTIQADEISNIIRERIEQYNREVKIVNTGTVLQVGDGIARIHGLDEVMAGELVEFEEGTIGIALNLESTNVGVVLMGDGLLIQEGSSVKATGRIAQIPVSEAYLGRVINALAKPIDGRGEISSSEYRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQQGKNVICVYVAIGQKASSVAQVVTNFQERGAMEYTIVVAETADSPATLQYLAPYTGAALAEYFMYRERHTSIIYDDPSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSSLLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFASDLDKATQNQLARGQRLRELLKQSQSAPLGVEEQVLTIYTGTNGYLDSLEIGQVRKFLVELRTYLKTNKPQFQEIISSTKTFTEEAEAILKEAIKEQRERFILQEQAA >cds-PLY70221.1 pep primary_assembly:Lsat_Salinas_v7:9:1508204:1511269:-1 gene:gene-LSAT_9X4200 transcript:rna-gnl|WGS:NBSK|LSAT_9X4200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRWAYFVACTNRNTQKNTGDRLTIVVTCNIRIPKIPLHISTKTLKYQYSFSLWISMDEHVVQNDCDLQLSSSTLEGNFNYAPHLGESSLYQHITKAEDCDSYSAPQWIGYQQMPPYYVEYLVGNGISDMQGEIPHDTNGIGCSFTSEESFLSMEAHQVRQSRCQHEEILANNFRNTQHQICYQKQGLDTAAQHKPNMSGITTSRRTYMRQKATDADRRRRTRIAASLDALEDLLPQPKEGNKTNIVDDCIEYIKYLQLHMKELSQNRLVGEPTSNHLTYLEGYGAYLVDEKTATASGPLEEMLGNLMETSPSAAIKLLESRGLYMMPINARSS >cds-PLY78625.1 pep primary_assembly:Lsat_Salinas_v7:4:151228939:151232155:1 gene:gene-LSAT_4X92880 transcript:rna-gnl|WGS:NBSK|LSAT_4X92880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNKKLQSGTTPDDEEESDSLVQSHGSSGVERVSSRLIQAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGITYLLKRQGNLHVSSVYGGEESLQLTGPEIVSELKYLLDLLTLCWHFSKKTFPMFLEETGFSPEDVLIQEPKAGILKPAFTILINHKTKTFLLLIRGTHSIKDTLTAATGAVVPFHHTIVHEGGVTNLIVGHSLGGGTAALLTYVLREQKELSATTCVTFAPGACMTWELADSGNEFITSVINGADLVPTFSAASVDDLRAEATSAWLNDLRTQIEHTRILRTFYRSASALGSRLPSMATARAKVAGAGEILRPVSSGTQHKAWLRRHPHGPARLSTSHHGPAWAPAGDRRXXXXXQPSSTTETTARALELPVSSSDETNVSGSRSADVAGTHEDRMTEFELWEQLEHELYEQSEGEEADVAKEMREEEEAAKVSPENSAPDTKEAHRFFPAGKIMHIVTAPEVVEERENIATSSSETDDDKVDDEVVEDKVGIYLAPRSLYSKIRLSHSMITDHFMPVYRRQMEKLLRELEKEDKVSLKSHNSSSEEIELVL >cds-PLY69694.1 pep primary_assembly:Lsat_Salinas_v7:5:211252562:211255012:1 gene:gene-LSAT_5X95980 transcript:rna-gnl|WGS:NBSK|LSAT_5X95980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIKITLLIIVNLSLILIPVAKSQLQSGFYSSTCPKAEATVRSTVETHFKNDPTVAAALLRLHFHDCFVEGCDGSILIKGGSAEINAVPNLGLRGFEVIDDAKSQLESLCPGVVSCADILALAARDSVDLSSGPNWAVPTGRRDGRVSLASKASNLPSPLDSVDAQRQKFAAKGLDDRDLVTLAGAHTIGQTDCRFFRYRLYNFTTTGNSDPTLSQSTLTDFQKQCPRSSDGTERVALDKDSQLKFDVNYFKNVRDGNGVLESDQRLWGDPTTRDIVQKYAGTLRGLLGLRFDFEFKKSMVKMSSIEVKTGTQGEIRKICSKFN >cds-PLY76003.1 pep primary_assembly:Lsat_Salinas_v7:5:320141500:320143164:-1 gene:gene-LSAT_5X177000 transcript:rna-gnl|WGS:NBSK|LSAT_5X177000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKQNGDSKKNTKKVKTQSQSVLEKISGFTKRKRTPVKRRKLSDIFASLDRQATINQQSVVLPPKSNGKEPKKRRGRPNKSALKQSRIKKTSSLTCEGRLDYGVDQTKETSPDGGGGSNRSDQLRPLLSLLPQRRRAKSPPSINMGSCITNHKGKEIADDETDNDDNVAVADDHINSSEEDVVIWDLYFGERNNLSTHRTSCNSTWMMQGGATTLPPQPRNDKVVKIQEPPKLLLTSNALDEMQQQLQPSSEGGHGHLPSAAVHDPSEFRLENCVESLYGKEFFLKREPPVIGLHTAMMVIAQNPHCHDALKALINTTTRLQSPLPTDPTTMITTNPTHNHQLLSPPPADEVGLNPNLLFQSYSWAPTNANPNLFVWPDIYGRVQDPPADAMLPQQHHQVPPQMFMPMTVLPQVPHQMMMFGFPNFTGQYLQGDPGSSSNGASMSGNSGYRGAPPSGV >cds-PLY89606.1 pep primary_assembly:Lsat_Salinas_v7:9:39513834:39515053:1 gene:gene-LSAT_9X35601 transcript:rna-gnl|WGS:NBSK|LSAT_9X35601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNVAEKSANSVQLKVFIDEKKKKVMFAEAEEDFVEILFSFLTLPLGTIASLNSKDIKVGSLTSLYESVQNLNKKLFFKYWYKDCLLNPMNSSANVCEKLKVNLNGTKSIPYQPDAIFFKKKGRFVITDDLNILPLVMDTSIALLNSLGVESIDLLHEKTIYFGLKEFSYLLKWSLLTNNPLTNLVFGGRKSCSSLSTYSTPCNYSPFMSGNFTQTTVKLLLQKSKKKVLCAQVENPFVELLFSFLTIPLGAYELLTKDIASSPILGISNLYNSISSLGERKFLKSEDVKSMLLCPKLATNYLCVTDLLPIYEDNIRPGRFLKEQATFIVSDDLKVTASQSIATISNFNAPGVPIADMEFLDLYIGEEEVIKLALGN >cds-PLY94455.1 pep primary_assembly:Lsat_Salinas_v7:9:23290776:23292510:-1 gene:gene-LSAT_9X21960 transcript:rna-gnl|WGS:NBSK|LSAT_9X21960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAMETVVSRSPACSGSGSGSSRWCPTPEQVMLLEGMYRAGLKTPTATQIQQITSRLSLYGRIQGKNVFYWFQNHKARDRQKLRKKLMAIYQHQRLSSPYNSPYHHPYALQGDFGVVEDTSICKDSVNKRKVDQTCSKEVICDCPLMPVMMMESTSQYCTRVPPKTLQLFPTTTTATSLKDDLCTTSKP >cds-PLY76044.1 pep primary_assembly:Lsat_Salinas_v7:5:318871806:318875981:-1 gene:gene-LSAT_5X174761 transcript:rna-gnl|WGS:NBSK|LSAT_5X174761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVPVLVPHVRLYSQKPFFRSGLNSKMRDSDLYFQAISKVKVKTTSEEETTSFHTTTTRRKLGGPGSSPPRCAWKCGRCTPCKPVHVPVPPGTPVTAEYYPEAWRCKCGNKLYMP >cds-PLY92774.1 pep primary_assembly:Lsat_Salinas_v7:2:149297901:149302630:-1 gene:gene-LSAT_2X75361 transcript:rna-gnl|WGS:NBSK|LSAT_2X75361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADNASAKVVFLHGDLYLQVIEAQNLPNMDVVTGHILRCVSFDSCPEDTSTAGSPDKKLRSSGRKIIASDPYVKVSVPQAAVARTRVLKNSKNPRWNERFIIPLAHELVHLEFEVKDDDIFGADLLGFVHIPAEEIATGKVISGWFPLKNSRKDDASLRLEMKFVPCETNPLYRHGIAGDPELKGVRNTYFPVRKGSEVRLYQDAHIMPGSMMPKIELDGGKVYEHNSCWEDICEAISSAHHMIYIVGWSIFDKVKLIREPTRELPRGGDLTLGELLKYKSEEGVRVLLLVWDDKTSHSKLFINTTGVMQTHDEETRKFFRHSSVHCVLSPRYGSGKLGLFKQQVVGGAFTHHQKCVLVDTQSLSYHNNRKITAFLGGLDLCDGRYDTPEHRLFKDLESVFRDDFHQPTFPAGTKAPRQPWHDLHCKIDGPAAYDVLLNFEQRWRKATKWREFALVAKRMAHWKDDALLKIDRISWIASPKYPVPEHGDHTIVPEDDPMLHVSSEEDPENWHVQIFRSIDSGSLKGFPKTVDVAEAQNLITAKNMVIDKSIQTAYIQAIRSAQHFIYIENQYFIGSSYAWSYENAGADNLIPMELAQKIASKIRQNERFSVYVVIPMWPEGVPTSATVQEILYWQRQTMQMMYNVVTQAIKSMQLKAHPQDYLNFYCLGKREELPFKMNQLFSDDKVSDSQKFMRFMIYVHAKGMIVDDEYVILGSANINQRSLAGSKDTEIAMGSYQPHHTWASKNQHPYGQVYGYRMSLWAEHLGALKKCYMEPESLECVNSVNKIAEDNWKRYTDEKYTALEGHLLRYPLQVNGDGKVSSLPGFENFPDVGGKVMGSHSPALPDILTT >cds-PLY73192.1 pep primary_assembly:Lsat_Salinas_v7:9:35025338:35027413:1 gene:gene-LSAT_9X31660 transcript:rna-gnl|WGS:NBSK|LSAT_9X31660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEKDAALAAVASDSPTIFDKIINKQIPANVVYEDDKVLAFRDIAPQAPTHILLIPKVRDGLTGLSKAEERHCEILGHLLYTAKVVAKQEGLEEGFRIVINDGPNGCQSVYHLHVHLLGGRQMNWPPG >cds-PLY64180.1 pep primary_assembly:Lsat_Salinas_v7:8:125458923:125459998:-1 gene:gene-LSAT_8X87560 transcript:rna-gnl|WGS:NBSK|LSAT_8X87560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNIIATINFIALICSIPIIFTGIWLASKPDNQCIRSLPWPIIFLGVLFLLLALTGFIGAHWNNQGLLSFYLFCNAALIVTGIILLILAFIVTHPSGAYSVPGREYDEYRYMGYSEWLREHITDPENWRSIKACLASSSICSKMVRDSYTAPQFFNARISSLQSGCCKPPTVCGYQYVNPITWINPTNPIGDVDCIIWNNDPNQLCYNCDSCKAGVLGNLRKEWKEANVILIVAIVALIFLYLIAFNAYKNSQAGPKK >cds-PLY70515.1 pep primary_assembly:Lsat_Salinas_v7:1:72012619:72018331:1 gene:gene-LSAT_1X61921 transcript:rna-gnl|WGS:NBSK|LSAT_1X61921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPEVVKRERRGRKRKRKDGQDADKTAKKTAGGAKPKVLVGRYVKKEFSGVGVFIGKIVSYDAGLYRVDYEDGDCEDLESGEVKGFIVEDKDMNAGFRKRIKVLDERILKKYAKEKAVQSEKVRNDNGRISNGVELANAEKDENSVRGGAFELSGAQTEVDNESSSEISDYDLSGNIVEVESPSTPPPPPPQLPPSTGNFGVSEEHVSFLLSVYSFLRSFSVCLFLSPFGLDEFVGALNSSVPNTLLDAIHVALIRALKSHVEILSSEGSELASKCLRSMDWSLLDSLTWPMFIVQYLTTMRYAEGQEWKEFYVNVLEKDYYTLSAGRKLIILQILCDGALDSAELRAEIDNREESEVGPDPDAVLAFTEPVKDTPIKRRGRPPKNPLPKDQEPVKDIPIPAPNSSVPKVTGSHVGADVDEDGNVDECRLCGMDGILVCCDGCPLAYHSRCIGIMKMFMPEGAWYCPECTMNKIGPNVTKLTSLRGAEIFGIDPYEQVFLGSCNHLLVMKASRSSGSHVRYYSPVDIQKVLHALTDADEYKALYLNICKGILEHWEIPESVFSLPETNGIDKELPNKTVDADISTPSTILPMESSNGVEDLTSKDVVAASACISENGNCNIKSEMTMTCEMTNAPLVTKEAPKLPFFGDGCLYKGSSFKPQAYQNVYIHGDFAASAAASLAILSSEEKLGSQPQPLSSHRKFMSANYSLQTKAFLLAAKRFFWPNYEKKLVEVPRERCGWCLSCQVSSSSKKGCLLNSALSNAIKGPSKVLSSIRHSKNTEGSLASIATYLMFMEESLCGLTIGPFLNGNFRKQWCKKVEEAATLGEIKAALLEFEKNTRSIAFSADWIKLVDDMGLEKQVNTSVKNVVGSSQKRPGRPGRKPAVIVPEVTDNDGKDASVDFSWWRGGMLSKHIFQKGILPQMMLKHAARLGGSGKLNGVQYADGVTVPKRSRQFVWRAGVEMCKTASQLALQVRYLDLHVRWSELVRPDQPIQEGKGPETEASAFRNACIVDKKASGNKISYGVVFENQKHLPSRVLKNVLEVEESGDNKDKYWFVEMRIPLYLIKEYEMEKGILPNSDNKHVITLKKKQLKSKSSGKNIFSFLLDKRDNPGKCLCTLCQLDVPFKIAARCSVCEGYCHEQCAIESSVQMEKHVEFLITCKRCYQEKYVNVKPQVQIQINGDSPTSPLLLQGQESQHSTTSIKVEKQNGFEIRQHHVPTISNQSAQKNHPTPSQPKTSKSGKKSSKNILPTQSVDQIQSSSKKPKNNNSSKKRSKVTGAKLTLGLIWRKKNPDETGIDFRLKNLLLKNNPNGHLLAPSCHLCNKPYDSSLMYIHCEGCSKWFHADAVELKESTILDLVGFKCCRCRRIRIPVCPYTDPETRRKLEAKKKPLFKKKTLESDSNSETNEEQVNEWELDANSNTPVFDLDEAVNFQEDNKSLYSFPVVDPVNDRNRNIPIPKLEPDVGPGIGPVPKKLPVRRHVKSERELENPGFELPTSYNVGNADPESSTPVVEWNVSTNGFEDDMMFDYGDLNYEDMEFEPQTYFSFNELLADDGGSGGGQPQPGPQGGDPVDSANPDEYQMEMGMGMGYDMKQEPMFSVDSGVDMMPCRVCSQADPCPDLYCQTCGLWIHQHCSPWVDDDSPWSPETGWKCGNCREWV >cds-PLY92486.1 pep primary_assembly:Lsat_Salinas_v7:2:152424287:152426746:1 gene:gene-LSAT_2X76281 transcript:rna-gnl|WGS:NBSK|LSAT_2X76281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARRKILAEMGYDFTIMTADIDEKSIRKEKPEDLVVALAEAKAEALISRLGIAGHKEENAHPTLLITADTVVVYEGTIREKPSSKEEARHFVKGYSGGCAIVVGSVVVTNLTTGIKKRGWDRSEVYFHDIPDEAIDKLVDEGVTLNVAGGLMLEHPLTAPFVDTVIGTSDSVMGLSKSLTQKLIEESLESS >cds-PLY72423.1 pep primary_assembly:Lsat_Salinas_v7:3:190970081:190976347:1 gene:gene-LSAT_3X113201 transcript:rna-gnl|WGS:NBSK|LSAT_3X113201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCITSNKNKNVKKKKNNKPNAFSESYSIKPQAENGIKFQVLKDATGHNISQNYNLGRELGRGEFGTTYLCTDVETGEKFACKSISKKKLKTSIDIEDVKREVEIMKKLPKHSNIVTLKDTYEDDNAVHIVMELCEGGELFDRIVARGHYTERAAAAIMRTIVEVVQICHMHGVMHRDLKPENFLFANKKETAALKTIDFGLSIFFKPGEVFKEIVGSPWYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAEAIIKSVIDFKRDPWPKVSENAKDLVKKMLNPDPKLRLTAQQVLEHPWLFNAKSAPNVSLGEVVRSRLKQFSVMNKLKKRALRVIAEHLSVVEVADIKETFDMMDIGKKGKINLEELRNGLFKLGQLMNDEDLQILMESVDVDGDGALNYGEFVAVNVHLKRMANDEHLHKAFSFFDKNQNGYIEFEELRHALSDDENVQDTEGVINAIMHDVDTDKDGRISYDEFAAMMKAGTDWRKASRQYSRERFNNLSLKLVKDGSLQLANE >cds-PLY85446.1 pep primary_assembly:Lsat_Salinas_v7:3:42412785:42413811:1 gene:gene-LSAT_3X32561 transcript:rna-gnl|WGS:NBSK|LSAT_3X32561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAYENVVTGKLKLKGKALDVKAGGTKKAKKKKMNKVLSNEITQTIENEFSEGMELEETTNDGKKSRDGSGSYVDNLTPAEKRYMDQRQKIDMQKMAKTSNKSHRDRISDFNQYLANMSEHYDIPKVGPG >cds-PLY99286.1 pep primary_assembly:Lsat_Salinas_v7:3:94059805:94060777:1 gene:gene-LSAT_3X70580 transcript:rna-gnl|WGS:NBSK|LSAT_3X70580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSALPPTRSISLPSRLHPTSFRVEAELNKLRLWEVRSCSSSETLTAETIQTGLFSLAEVYRCVEELVYSSLTQQAFVSHGKVLEDALERSVKLLDTCGIVRDTLQKMKEQAQRLQSSLRRRGTELRFEDDISCYIRLRKKLKKEASKCIRSLKHGERKFTLVPSLEDYHLTMVVKVLREVNMMTSIVLRTLLLFLSSSGTTIKNRHLGCSLISKLRSSEKGKKSTNEVGSVDDVLFCVLGGTEEMTTADRKLENLVISVNCIEAGLDVVFRRLIHYRVSILNVLTR >cds-PLY83120.1 pep primary_assembly:Lsat_Salinas_v7:3:241346728:241349005:-1 gene:gene-LSAT_3X133660 transcript:rna-gnl|WGS:NBSK|LSAT_3X133660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAIPPSDAPVLPSSSMSSIDLEDQNQFSSRKSKDEKGEGLSDDVFVGCFLSMSSTAVVVKYLVKKNSNNALNGQVTIGTLLFQTNELYQLDVVAFCLLSAWVELIRNLFAALFLSSIGMLIHVQFLWKHVDILLASAILVIVVKTTVFAVMRKAFGCYVKTSFLKTVKDALNSTLVEEMAAGSSIFIMGEEVTS >cds-PLY89921.1 pep primary_assembly:Lsat_Salinas_v7:8:68633865:68634321:-1 gene:gene-LSAT_8X48881 transcript:rna-gnl|WGS:NBSK|LSAT_8X48881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGGECRETFINWEKCVEEGEKNDEDIVNKCFDATSALKKCMEAHPDYYGVILQAEKDAEQEVHNQLDQEKEEKVAVDSKSEDQQQKQESQ >cds-PLY96520.1 pep primary_assembly:Lsat_Salinas_v7:5:337196888:337197196:-1 gene:gene-LSAT_5X189001 transcript:rna-gnl|WGS:NBSK|LSAT_5X189001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKIKSLVSKNGVVIFTKSTCCLCYAVTILFHELRVNPVVYEIDKDPQGREMEKAFLKQGCSSSPVPAVYIGGMLVGSTNEVMSLHLSGSLIPLLKPYQSLS >cds-PLY77749.1 pep primary_assembly:Lsat_Salinas_v7:2:169246020:169248051:-1 gene:gene-LSAT_2X92340 transcript:rna-gnl|WGS:NBSK|LSAT_2X92340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTRHPHFVLFPFMSKGHTIPLLHLAQLLLSRGVTITLFTTKANRPFIAHFFHRHSDSISIVDLPFPIQVEGIPQGIESTDKLPSMSFFTQFATSTKLMQPHFEQALEKIPHVTCIVSDGFLSWTLESANKFGIPRLSYFGMSGYSTAVTQQVAGNRLLSGPETDDDLIAVTGFPWIKVTRNDFDEVFHQRNPMGPQQDFVMEVIIAMANSYGQIMNSFYELEPEFLDYLNRESKAKAWSVGPLPLAVTESPPNVDQKPKWISWLDQKVAQGCSVLYVAFGSQAEISPKQLKAISNGLEESQVNFLWVVRKYETHVVDELQERVGERGMIVREWVDQLEILKHESVKGFVSHCGWNSVLESICSEVPILAWPMMADQPLNARMVVEEIKIGLRVETCDGSVKGFVTSEGLKKMVKELMEGEKGKEVRKKAKEIGEAAKEAMAEGGSSWRILTELIDDLQVVRNSKIGDFPRSEGDATSATSNTEA >cds-PLY77245.1 pep primary_assembly:Lsat_Salinas_v7:4:178046124:178059102:1 gene:gene-LSAT_4X104681 transcript:rna-gnl|WGS:NBSK|LSAT_4X104681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDLSTSPHLTESLRVNKLKAYVDHMIFEAELVSMSMILSLSFFDKVNIEPKEFQRTTERLIYDLQIAAIRTSNFYTVSTRKLETGGNAMVYGIAQYHLNLSRSSCLQCLKWRSKCLYDCLPRTSSWAIDYGCFMRYFTTPVFGHTQTFDLTSLLWDDMLTGSTELLQGPTSYSYNDLKAATDNFSDENKLGGVFGEVYKLVTDVESNTIHPTGKAILEAAKAAKCPNVKANDGTYMEEPGSGAVTSIGTLEWVRRYNHLAKGSPWLSGINPTEMEHLKSPFRGIAHDVRGRALCYKQDWNAGIRSGIGILAPTTYMFFASALPVIAFGEQLNRDTDGRLSTVETLISTAMCGLIHSILGGQPLLILGVAEPTVIMYTYLYNFAKGRQNLGQELFLAWAGWVCTWTAMMLFILAIFNACVIINRFTRIAGETFGMLISVLFLQEAIKGLVSEFKVPKNEDANKVKYQFQWLYTNGMLAIVFSFGLLYTALKSRKARSWWFGTGRLRSLIADYGVPLMIIVWTAVSFSVPGKLPSGVPRRLFSPLLWESESTYHWTVIKDMGKVPPAYIFAAFIPALMIAGLYFFDHSVASQLAQQKEFNLKNPSAYHYDILLLGFMVPIKKLVASAKESIKQKATNSEIYNKMQTVFIEIDSSPITTTIVKELKDLQEAVMKVEDGEENTKAKFDPEEHIDAHLPVRVNEQRVSNLLQSLLLAGSICAMPLIKLIPTSVLWGYFAYMAIDSLPGNQFWERILLLFIPSGRRYKVLEKVHASFIESVPFRSIVVFTVFQIVYFLVCYGVTWIPIAGILFPVPFFLLISIRQHILPKLFQLHYLRELDAAEYEEILGSPPRVPSFNLRESVSDHGGSIEGEVAICDAEILDQMTTNRGELKVRNLSFREERRTQQIYHGDYIQPE >cds-PLY77859.1 pep primary_assembly:Lsat_Salinas_v7:1:25404004:25406537:-1 gene:gene-LSAT_1X21441 transcript:rna-gnl|WGS:NBSK|LSAT_1X21441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYSFPMANHIFSVWLLSISLSKILLVLADSHTYIVHMDLSAMPLSFSSHHSWFSATLDSTAATTTTTTSTVSSSSSPSKLIYSYTNAINGFTAILSPSELETVKSSHGYLSSIKDTTVQLDTTHSTQFLGLNSKSGAWSVADYGKDVIIGVVDTGVWPESGSFNDDGMGAIPSRWKGECEEGFQFNSSLCNTKLIGARYFNKGLLSHHPNLTLSMNSARDTIGHGTHTSSTAAGSYVQGATYFGYGTGTATGVAPRARVAMYKAVWEEGAFVSDILAAIDQAIMDGVDVISLSLGADDIPLYQDPIAIATFAALEKGIFVSASAGNNGPYLRSLHNGTPWVLTVAASTIDREFTGILKLGNGVSVTGLALYPGNLNPSQVPIVYVGACENEVDANKTDRKIVVCLDKNDTLSEQFYTIQSSNALGAIFITNNTDLESFMQSTYPVLLLNPQSGKIVIDYIKKLEHSEAKASMYFHGTRLQTKPAPRVSSYSSRGPSYSVPIVLKPDLTAPGSLILASWPDSLSSASIKTGNEELFSKFNLLSGTSMSCPHASGVAALLKAAHPEWSPSAIRSAMMTTSENLDNTFKPIQDIGDNNKPATPFAMGSGHVAPNKALNPGLIYDVNAQDYINLLCGLNYTQNQIQTITRSSNFSCSNPSLDINYPSFIAYFDGNDTKSTDKVVKEFKRTVTYVGVGSSTFTAKLTSISGLNVTVTPKKLSFQSKNEKKSYKLRIEGPTTIQDQVVYGFLSWIESTRKIVVRSPIVATSLASTVGTF >cds-PLY77059.1 pep primary_assembly:Lsat_Salinas_v7:1:159973958:159974182:1 gene:gene-LSAT_1X109620 transcript:rna-gnl|WGS:NBSK|LSAT_1X109620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKGQKKKQGYFWSMLSQRFEDCDSCLDRWINSLFFVMTQLKVGAVIVEESHVGYDKVVTKRTKGKVKAYGLLV >cds-PLY66725.1 pep primary_assembly:Lsat_Salinas_v7:6:79708438:79711314:1 gene:gene-LSAT_6X55280 transcript:rna-gnl|WGS:NBSK|LSAT_6X55280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEDERIPLSQRPEWSDITPVPQDDGPNPVVPIAYSEEFAETMDYFRAVYLADERSHRALQLTSEAIHFNAGNYTVWQFRRVILEALNADLHDELEFVEHLASKNSKNYQLWHHRRWVAEKLGSEAAGRELEFTKNIFLNDAKNYHAWSHRQWVLQNLGGWEDELAYCDKLLEDDIFNNSAWNQRYFVITRHPGLGGIEGIRDSEVNYTIKAILTKPENESPWRYLRGLYKNNMKAFVTDSKVSTLCLKVLTSKVNCVFALSLLLDLLVHGFEPTQDLKNALESLIPESNNLDSDVAARVCLVLEIMDPMRVNYWRWRKSSLSSHVEDGVKNLSVH >cds-PLY95916.1 pep primary_assembly:Lsat_Salinas_v7:6:106420916:106423275:1 gene:gene-LSAT_6X67201 transcript:rna-gnl|WGS:NBSK|LSAT_6X67201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLPGYLLTCLYSGKLALLAILVSGGIVLQILACVLYNNWWPMLTAIMYVILPVPLILFAGADTSVLFAESENSWVDATKFLTGASAVGSIAIPIILKHADVITWGAFAMEISSLFVFVTAIFCFIGLHNSDDGGGELTMWQVLLHWLQVTVTWVCLERAYAYNFLQ >cds-PLY79415.1 pep primary_assembly:Lsat_Salinas_v7:3:80219465:80222332:1 gene:gene-LSAT_3X62720 transcript:rna-gnl|WGS:NBSK|LSAT_3X62720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRFLSQYKSNPEALYLPPEGPNSGYLVIQDEESETYSFFGLYKNKYLLSLPFPQNKALTTRYSSGTGKRKRVSHNEVLFIPVLDQPLSSNLYYAIKPHGSHKGEAFACSKEEDMMSCCLCNCVIDVNPRPLDPYDVYQQFEIIPYETFFKGDGSFYAKSIAEDGYPPNFLRRKGWEIYTKTPTNYELHEAKGIDDALRSRLPEFSFPHSTKTSNSVVVGKWYCPFMFIKEGKLTDQVKTSIFYEIALEQKWELVFEQKHDHNNKGNVVHVKVAFPSEVVFIGEGWREAVWDEGNMVNGVLQFISRGDNNGNEEIVGLNREVFERMRWEEERFGWGGGREKTQKRIESINRKEKFEGIGGWKRFGCYVLVERFVLKRMDGSLVLAYDFYHARHIRTIFD >cds-PLY84881.1 pep primary_assembly:Lsat_Salinas_v7:8:145121602:145122198:1 gene:gene-LSAT_8X98261 transcript:rna-gnl|WGS:NBSK|LSAT_8X98261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWTNKMDNSLVEALVDEHEIYNHVNGTFTSQTYANMIAVMSKEFNMSFTKDHLKNRMKTLKSNFGKCYDLFRGTSLSGFFWNSQTKYIEAEEEVWAQFINVRVGFTFDLLFYIFFTINLLIIFRIVYKQANPNAIAFKTKKISNYDYLDMLFSDDRASRSKVETTKEKNARFSKSIEIKIEKVVDVDELMENNEVIEK >cds-PLY95807.1 pep primary_assembly:Lsat_Salinas_v7:7:95722969:95725456:-1 gene:gene-LSAT_7X63920 transcript:rna-gnl|WGS:NBSK|LSAT_7X63920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAKLPYLKLPGRISLGAHLNAYLSSVSGANYIQTNPRTDQNPPNSAEYEAKIQSLKSKLHPETLIHILSSTNDLNSSLRLFKWASLQNRFQNTSDTYYHIIMRLGMASRVDEMEGFCNEMMKAQFPESEQALLRLLDNFITYHRLDEALRVLSVLNSFSYKPSISFVNRLLGALVEEKKGLKSILFVYKEMVKTNILPSIETLNHLLEALFDADRVDAALDQYNRMQKKGCCPNSRTYDIMVSSLIGKNLMDEALLVLNEVLDNVCDLESKFFSHIIPLLFKNNQHKIGLRLFEKMKTSKILSDVSIYETLIHYFSKNLSMDDALNLLQEMINLDLKPSDCVFLDLVNGFCTLNKFNEAKTFLEDNQVTEANPYNVLLKCYCECESGNFLEAIDLFQEMMKKNITNTLSWNIFISHLTKNPTSNTLYKALSRMIVSGSTPDSLTYSALIIAKCNPKEINHALNLFHHVREEHWVIDSSSYATLIENLCKNNKILEAIDVFHYMSLNKCTLNPSSFSILIMRLCQNGKLNKAIDMIPLAYYSGMYCSNSDYNIILKSLSKIPKKNYLLIVFARMVIEGCCLDSEGYNELIKSTIEHNQVTKCGFFLNKMVDEGLWPSMEILEDSVSFLGRNCHLHMVLEMINKLVCEYEVVNPKIYGVLINGLWKEGYKDEGRWLLDVMLEKGWVPDGGTHRLLINSGGSEILVKGDTQDEIGNILSEGFGE >cds-PLY90769.1 pep primary_assembly:Lsat_Salinas_v7:3:36452574:36454190:-1 gene:gene-LSAT_3X28201 transcript:rna-gnl|WGS:NBSK|LSAT_3X28201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKRKNEKLEIGMNVEVKEVVGKGKKVVNDPQSKQSPKRKNGKKEYENVNVKKMEGKVRKVSKEHPEGLRKLATRMNLGRLVYVYNMKFSNVKLMKRVLFVRHVMGDVLEKIEKLEISMGGFGRKLQEDFEDVYVDDEIMDENEMLDGLKRDYGDEELWNNFVRKKTIQMALKVGMENFPDSMLLKEWYEKNNELFNEVKNEGSGGRKDKEHGFDDDANKGADDDGNEGGISPVRALVVYWDKKDDGGSFL >cds-PLY66690.1 pep primary_assembly:Lsat_Salinas_v7:6:79879922:79882289:-1 gene:gene-LSAT_6X57280 transcript:rna-gnl|WGS:NBSK|LSAT_6X57280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSVILRERSQESSNLHTHDTFKEEDDEFAATLRKAESLDYNNCMWLSSGDASSSSPSSCFHDNSLSCYTDVKIDAWFISLLSTKSRDILSTKATFFDGSIANPIEEASLVLDERKEFEFFHENLEEKEVVSWLANDESSSVSSITDTTDHDLSFSSCSSSEYSSEVVDMEEIGTNKPLFWPSDWTSDWDSETKCDLFIMSPRKNMYKLDSEKGCNRRLLFDTHQKSSTNFDLKNKMKNKPSRFKKPTKTSTKIVPLDDEIDFGRLTEAKAVNESGPMDTKTKTKTPVLNEDLRLQVLNGKKDLDKGCSRKLEFSIGDEDDDTSEVVEVKPLTMKSVRKHRIVLEDLLLVDKLNVEGAIEEALGLDEFDGLEGLGSEFNKDGFWLIENF >cds-PLY64223.1 pep primary_assembly:Lsat_Salinas_v7:7:2670649:2672329:-1 gene:gene-LSAT_7X1541 transcript:rna-gnl|WGS:NBSK|LSAT_7X1541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFDKKHEATSSSNAPPRSVPREDSPLLGNHDDSLSSTPKTFANVFIAIVGAGVLGLPYTFMRTGWVTGFVMIFAVSGLAYRGMMLLIVTRRKLESPLTYSKINSFGDLGFAVCGPIGRLAVDVMIIASQAGFCVGYLIFIGNTLANLFHATPTESPHYLNTSPYIWGLKAKTFYIWSCFPFQLGLNAIPTLTLLAPLSIFADIVDVSAMGVVMVEDVTVFLKNTINVHAFGTFPMFCYGLGVALFSFEGIGMALPLESEARDKKKFGAVLGWAMMIIAAMYGFFGVLGYFAFGENTRDIITANMGKGVLSSMVQLGLCINLFFTFPLMMNPVYEVMERRYWEGRYCLWMRWVLVMVVSLVALFVPNFTDFLSLVGSSTCCILGLVLPSLFHYNAFKNELGKEELMWDLVMIVLGVILGVLGTWFSLVEILFKA >cds-PLY95992.1 pep primary_assembly:Lsat_Salinas_v7:9:41825572:41828003:1 gene:gene-LSAT_9X38121 transcript:rna-gnl|WGS:NBSK|LSAT_9X38121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMPMLPFSPSPSVISAYARVDKATSEFLNCPDWRINIGICDTINSRQWLAKDYIKALRTRLQHKNPNVQLLSLTLLETLVKNCSENIHGQMAERKILHEMIKIVKKKAHMRVREKILVLIDTWQEAFTGRGGKTAQYLHAYEELRRYGVDFPRRSSNSVPIITPPISHGHSQASSSSRHDPTASSSETLSFSTLDAMRSVMDVLSEMLQAVDPKDRMAVKDEVIVDLIDQCRSNQKKLGQMLASTTDEELLRQGLQFNDMLQQTVEKHDAIASGSPIPVNHPPDSNNSRMKQVENTQVNSNPIVHGEIEEEGNDSASMATRHNGTQNEEKPVADPPLTMAMVLSDPPPPPPAHKKSSEDMIDFLSITLSPASTSPSNVGQMPPDSPVLENQTQVPSSSYVVPWASTQGQPEFDAQVPTFQASQQQSDYIPPPWAPTPGYYCNPYSPTTCSGVRTASYYNTSPTGSSPGSGLNQYIPSYRLFEDLNVLGNVRTTGAPGTSGPSMVRK >cds-PLY82194.1 pep primary_assembly:Lsat_Salinas_v7:1:15800025:15801398:-1 gene:gene-LSAT_1X14281 transcript:rna-gnl|WGS:NBSK|LSAT_1X14281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFLKSSSLIHFHRVFPKFSLTKPSQIHSHGQTRSRPNEVLKEYLKANATTKAIRFLRDLIRKSISSIDSYSLLYIIKVCTRKSSPYEARQFHTLVVKLSYNSIIFVQTSLINYYSAVANLADARQVFDEIPTKNLVCWTALISAYVDNQKPNTALKLFREIQMNNFEPDEVTLVVALSACADLGALDIGKWIHNFIRRSKNLNKDLPLYNALLNMYTKCGDIKSAKMLFNTIKNKNVTTWTSMITGHAIHGQAKEALALFTAMTDSKIVPNDVTFIGVLMACSHVAMVDEGKHYFKIMSEEYGLKPKVSHFGCMIDLLCRAGCLQEAKDFILEMPERVRPNAVMWRTLLGACSVHGDVDLGEEVRWRLGEFEENWGGDDVLMGNMYASRGIWEKKEMSRNCVDKRIPGCSLIEVGSEIYEFVAADSDHPFGCKIYEVIQSLFGNMRASSYGCTFDL >cds-PLY74568.1 pep primary_assembly:Lsat_Salinas_v7:7:41809074:41812299:1 gene:gene-LSAT_7X31501 transcript:rna-gnl|WGS:NBSK|LSAT_7X31501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAQEFTVDLDKPLVFQVGHLGEAYQEWIHQPIVSKEGPRFFKSDFWEFLTKNEWYYIPSIWLPVVCWYTSKSFKMGLSLPQIAIILVLGIFIWSFMEYSLHRFLFHIHTKSYWGNTLHYLLHGCHHKHPQDGLRLVFPPTATAILLVPLWNVLKLISTPTVTPALFGGILLGYVMYDVTHYYVHHGQPKSQVPRSLKKYHLNHHFRIQNKGYGITSSLWDKVFGTLPQTKSAEKEMKQMQMQ >cds-PLY91401.1 pep primary_assembly:Lsat_Salinas_v7:3:56370742:56372157:-1 gene:gene-LSAT_3X43800 transcript:rna-gnl|WGS:NBSK|LSAT_3X43800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTGTPSFLDASINESFGNLLAKFSQTNNLRAIKKVHAHLLRTGIIFISYTLQTNLAYAHTNCSQENNIQTLTKFFNSSILTHPVPFNSILSCFVRNGHPSFALKTFTFMHVNGVPIDTYALCSSLTASCLTRDIGFGKQIHTHVLKSGWGSSVFLGSALVDFYSKSMAILDAAKVFDEIPLKNTVCANALLSAYCDAKMWDNGIKLLRSMPGLHLCYDNWTFSMALSICSGMYAIELGSQLHAKVIRTIHNAKSDVFLLSSLIDLYGKCGLLIKAKQVFSMAESPDVVLWTSMLNVYGKNGHHKEVIQLFKNMLTKKVKPDGVAFVTVISACGHTGQIDLGTEYFKSMGRDFGLNPDPEHYGCLVDLFCRAGELEKAWNVVKNMPSKATQSVSVWGALLSACCDHGHVDLGKFAARRALELDPMNTGIYVLLSNMYAKCGLWDEIQQLRELMENKGLKKDTGCSWNVGLR >cds-PLY75270.1 pep primary_assembly:Lsat_Salinas_v7:7:66406152:66406445:1 gene:gene-LSAT_7X47741 transcript:rna-gnl|WGS:NBSK|LSAT_7X47741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDEECEVFREGNVAGVVTVVDRTKANEHERDGGKDGAISNLKMHNTSHLVRSDADMVIYNANMMVHRSKTEKEMDVVVDLAKRRRKRNKATSIF >cds-PLY68843.1 pep primary_assembly:Lsat_Salinas_v7:3:62583345:62584347:-1 gene:gene-LSAT_3X49121 transcript:rna-gnl|WGS:NBSK|LSAT_3X49121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID REDOX INSENSITIVE 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10522) UniProtKB/Swiss-Prot;Acc:Q9XIK0] MASTSSLIIFSTVPFSSSSSKWVSIPMSYYQNNTCFKRFYLHKSSSKYTINPSRPTTRNPKTLIVRAAEYKFPDPIPEFAEAETEKFRSHLIEKLSKKDVFEDSVDEVVDICTEIFGKFLHAEYGGPGTLMVDPFWEMADTINESELPGGPQAARAAVKWAQNHVDNDWKEWNGN >cds-PLY91327.1 pep primary_assembly:Lsat_Salinas_v7:4:245714164:245714857:-1 gene:gene-LSAT_4X130500 transcript:rna-gnl|WGS:NBSK|LSAT_4X130500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYDFTYVENVAHAHVCAERALASDGSASKRAAGEAYFITNMQPIKFWEFMSLILVGLGFEWYILDFWFVFKAYFGAGLSGVLAWCVRVGMNQPNAPGVLAPSIFTSYVSRLI >cds-PLY84681.1 pep primary_assembly:Lsat_Salinas_v7:2:150214938:150217470:-1 gene:gene-LSAT_2X75840 transcript:rna-gnl|WGS:NBSK|LSAT_2X75840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKLLQEERNFMFTNEVQKLLDVNNTSSSRKAKQKVGLKSDMIFNVKPSSDGQSNKVTFNLTPEMIHQVTCSIRGDLRSACNAAGMIDRYILGTDHLYQKPAYRNLKECNISKSGQVSKSAPSWCYAPFEPEGILRYTSVAFFISIVSCSTNDGKLLIKEMEEAA >cds-PLY79530.1 pep primary_assembly:Lsat_Salinas_v7:1:33643539:33646284:1 gene:gene-LSAT_1X33221 transcript:rna-gnl|WGS:NBSK|LSAT_1X33221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TITAN-like protein [Source:Projected from Arabidopsis thaliana (AT4G24900) UniProtKB/Swiss-Prot;Acc:F4JRR5] MKEPSETKHPNTTERNSKTKGKNNNFEFCKVCKLNHNLGRRHNYLPNHVKSLSTFLSRFQSKLSDVRLFLKNPNLLRPELASRNRFWCVFCDSDVAENDSSFACENAISHLASADHLKNLKSFMWKHGGGMDRIDGFRISEADIAKYEKKCISMKKECANEQSHGPMIGPSNDIHNELKFDYVDNFDRHNSSFLNGVSPLQYHTNEKYQVSHSDMSGGYDDKLLLLGSNAKRSSNLKGNAGKSCLNEGYPDNKPKLTRISSTVHETDTGNVHSGAPPPWFNAKNGIHVDPGMETDPVIKPVKSKLNPKRVGAAWAEKRKIEMEMERNGELPAKRFDGSWLPNFGRVWQSGSRKDSRKEFQVETKKPLKDEKNHSDDSSLQLQPYISKRMRREANE >cds-PLY90529.1 pep primary_assembly:Lsat_Salinas_v7:2:42277946:42278491:1 gene:gene-LSAT_2X19900 transcript:rna-gnl|WGS:NBSK|LSAT_2X19900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHRPCHSPDRSSSFSPKVVSQITRKPQIIRKGAVILEIPTPVSPASKKRQDEDMAKTISNRKKRVVVEDEDEIVTESPIHDNDTKVLSPTRDSPVKSTVVETGGLDGSVKTSIVDTTINQGDHSKVSTPEKTIVKPPKVSNAKSVVEEVRISGTPVNISHMDANVNMGEGVLNNEAQGNI >cds-PLY96959.1 pep primary_assembly:Lsat_Salinas_v7:4:174507137:174515195:1 gene:gene-LSAT_4X102620 transcript:rna-gnl|WGS:NBSK|LSAT_4X102620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVGVWSFTSALNNRGEQVKDMNNALSKKRLMLVQNDMIKFEDTYEITLDYTGLTRRLSSPSSLSSMEESLFLQASLDSSYTFSERSPREPTKPPFYFKSMSTPNVFHAALRQKEGELQSYMSRLVSMESIRDSLSEELVKMTKECEKLRSEVALLPSIKAELEALRIRHSAALELMGERDEELEELRADIVDVKEMYREQVNMLVNKIQKVEPNPFA >cds-PLY83367.1 pep primary_assembly:Lsat_Salinas_v7:5:94488285:94488497:1 gene:gene-LSAT_5X43341 transcript:rna-gnl|WGS:NBSK|LSAT_5X43341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQEVNEEDELLKNLKGEWENGVFDVVVGAFKEMNEYSLSRRYVMNELWNFQDNRKATLKEVIKYIFKNL >cds-PLY67491.1 pep primary_assembly:Lsat_Salinas_v7:6:73819908:73821913:-1 gene:gene-LSAT_6X51940 transcript:rna-gnl|WGS:NBSK|LSAT_6X51940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSVTNNEVLRIGQSPAAAASWINKNVAAFVPSTNITAIAVGSEVLSTIPNAAPVLLPAMNNLHKALVSSNLNYQIQVSTPFSMDMIPRPFPPSAATFNTSWDSTISGILRFLSTTKSVFMLNAYPYYGYVQSNGIFPIEYALFKSLSPVKQIVDPNTLFHYDSMFDAMVDATYNAMSAYNSSGIIPIVVTETGWPWAGGGNERDATVENAEIFNNNLIKRVLNGSGPPSQPMIPMNTYIYELFNEDNKRGPESEKSYGVYFSNGSSVYALGLDISGGMTVNASSGGFCVARKGADVSSLEDGLNWACGPGQANCSAIQSGQPCYMPDTIENHASFAYNDYYQRMRSVGGTCDFSGTAVTTMVDPSYGSCIFTGSTNASIGGLVPPAFGPVGPPGASISWRQLPQIWCLILGTVVLSVL >cds-PLY76466.1 pep primary_assembly:Lsat_Salinas_v7:5:199686632:199691463:1 gene:gene-LSAT_5X90041 transcript:rna-gnl|WGS:NBSK|LSAT_5X90041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSSPPPYLPSLILSLCFSFFLDGSTAPASLWYYSSASLSPPSIQAINKDRKLFLVSLYYLIWGEAANVRFLPECICYRFHHMAREFNAILDHGQASPAQTFICEDNSVSFLAHVIQPIYNTLSKEAERNNNGKAAHSAWRNYDDFNEYFCQQLGKSHKYFTTSGVAARKFQIDNEAGQIALPHE >cds-PLY87406.1 pep primary_assembly:Lsat_Salinas_v7:4:12691481:12691762:-1 gene:gene-LSAT_4X9561 transcript:rna-gnl|WGS:NBSK|LSAT_4X9561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQRVTKVVRDVVATKELMALGGDDDDDDDSPPNFQGDNPPPPPSTNIPPPPLPHLILPLTLLHRIQVLLPDLMLPKRARVFKGCLISKSTWW >cds-PLY89897.1 pep primary_assembly:Lsat_Salinas_v7:8:68877692:68881620:1 gene:gene-LSAT_8X48680 transcript:rna-gnl|WGS:NBSK|LSAT_8X48680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSPSAPAFSFRSWKYHVFLSFRGEDTRNSFVGHLYSALEQNGIYTYKDDETLTRGESIGPSLMKAIEESQIAIIVFSENYANSSWCLDELVYIMNCKNTRGQIILPIFYDVDPSELRKQKGKYAEAFTKHELENKTKVESWRKTLVDASNIAGWESKDIANRHESKFIKEIVNTILPMLHPVTSSVNDNLIGIETRMQRLKSELKIGSGGVSMIGIWGVGGGGKTTLASSIYSEISNNFDGCCFVENIREKSSKYGLENLQEKILSGVLKQNKVKVGSIEEGKRMIVDRLCRRKVLIVLDDVDHLVQLKALAGSHDWFGEGSRIIITTRDKHLLNAHRVKLMHNIRLLNDDEAIKLFCKLAPQDNRPKEDYEQLSKDVVSYAGGLPLALTVLGPFLSDKDIDEWVSALARLKQIPNDDIVGKLKISFDGLTKVEKDLFLDIACFFRWEKKDRAMEILDACGFHPGIGVKVLVQKALITILDGRFDMHDLVQELGHHIVRGEDPNYPELHSRVWKREDVLTICAMDATTEVDMIEAIRYDSGTIYGLSHLPPVVANTKNLRWIDWQGDLASPLLTKFPQRKLCCLILHDSLQKQLWEGYKNLPTLKIIELYGLDNLLITPDFRGLPNLERFKLIASSHLEEIHPSIGHLERLVFLSIETCSRLKRFPPITRLKKLKTLSFSECPGLFKLSEIQQEKMDNLPHLHLDSSGVTEVQKPGKGINVKYWLEGSSLPRNNMNHIRLCFFRRDLRTLNLSLCNLGDEDISSSVWELPNLQELDLSANRFSRLCFSLLRLPRLKFLNVTNCLSLAELSELPSSIAILMADECLSLERFGDISKCKWLWKLSLHAGYKVGPLGGDLLLHSMLQVWLYIHF >cds-PLY80998.1 pep primary_assembly:Lsat_Salinas_v7:9:177131155:177131840:1 gene:gene-LSAT_9X109501 transcript:rna-gnl|WGS:NBSK|LSAT_9X109501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFASSFLYAFLLLQFCLKSNAHEIIKEPIRNVHNNDEGLDPALNVFFRVNDLYLGKKMSIYFATNDNSTPTHLLTREEADSIPFSSSRVPYLLKFFSFSNKSPQAKAMETTLKQCELKPKEGEIMFCATSLESMLDMTRGVLGMVKLKILTTKILNSNHTLFQGYTFGEAIGDLCS >cds-PLY93491.1 pep primary_assembly:Lsat_Salinas_v7:9:64781422:64782627:1 gene:gene-LSAT_9X55661 transcript:rna-gnl|WGS:NBSK|LSAT_9X55661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANRRLFLFLILMLLSFQTLLTTAHEDPSYKEVQVGVLLDMESWVGKIVYGCITQAISDFYTENPHYRTRLVFTKRDTQGETLRALSAEDETTQFKGIAGMVESFEAKNVIVICEDTTDGREMAAYMFSAFQEKRIQVKHTSLISTSASKEQVEEDLHKLQTMETMVFVVHTQPSLTAHLFSRAKELGMMGEGYMWIITSKTTNLLNSMDAEAIKSMQGAVGFRSYFPASRKLYNFASKWREEHYALNPFKEFKEVDSYGIWAYDAVYALATAVEWVVKYSSNQLPEVK >cds-PLY91476.1 pep primary_assembly:Lsat_Salinas_v7:7:142921682:142922749:1 gene:gene-LSAT_7X85540 transcript:rna-gnl|WGS:NBSK|LSAT_7X85540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRILRKGCNENCILRQSLCAIESAQAQANATLFVAKFFGRAGLMSFLSSVPEHQRPGLFQSLLFEACGRTVNPVNGAVGLLWTGNWHVCESAVATVLRGGSIHPMPELFSVGTTQVTTESDNSSEAINCNFDEAAKLNPEDLKLGLTRSAFMADARRSSGDGGSSNQWTEKLIKVTSTDVSETTTLESSSRSNCSEKKLLRLFM >cds-PLY91788.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1075947:1077511:1 gene:gene-LSAT_0X921 transcript:rna-gnl|WGS:NBSK|LSAT_0X921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNTTFSLLFGLILLGVSHLNQINALSSNYYDQTCPQAESTITSVVKKAMLNDRRVPAALLRMHFHDCFIRGCDGSVLLNSTANNKAEKDGPPNISLHAFYVIDHAKKAVEALCPKTVSCADILALAARDAVTLSGGPTWDVPKGRKDGRVSKATETRQLPGPTFNISQLQQSFAQRGLSIDDLVALSGGHTLGFSHCSSFQNRIHNFAAKQSVDPTLQSSFAASLKSVCPAQNTPKNAGANLDSTPTTFDNRYYKLLLQGKSIFSSDQSLVTMANSRTLVSKFASSKQEFEKAFVKSMIKMSSINGGQEVRLDCRVVN >cds-PLY90029.1 pep primary_assembly:Lsat_Salinas_v7:5:186138625:186143068:1 gene:gene-LSAT_5X82840 transcript:rna-gnl|WGS:NBSK|LSAT_5X82840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAAQAWRIGMKDTQILPLPRHRARLKKPTWIIILVVFVCVFLIFAYIYPLQNSGACYIIMSSSCNTFSSWLPPPARELSDAEIASRVFIKNILNTPSIESSNPKIAFMFLSVGSLPFEKLWHKFFQGHDGRFSVYIHASREKPVHSSRYFINREIRSGKVDWGKISMVDAEKRLLANALKDPDNRHFVLLSDRQPPPPLSLSHASYCVPLRDFDYVYNYLMYTNVSFIDSFEDPGPHGSGRYSEHMLPEVEKKYFRKGAQWFTMKRQHAIIVMADSLYYTKFRDYCRPGMEGRNCYADEHYLPTFFHILDPKGISNRSVTHVDWSEGKWHPKSYREQDISHQLIKNITSITKSVHVTSEGKKKTMIMPCMLNGINRPCYLFARKFLPETQNSMIDLFTHQTMF >cds-PLY80035.1 pep primary_assembly:Lsat_Salinas_v7:9:46670669:46670905:-1 gene:gene-LSAT_9X43321 transcript:rna-gnl|WGS:NBSK|LSAT_9X43321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIATSALAEAYVMRKHHQEKMKKTTTSIAHITTKQSILDNDHDDASMTIGCFPTLFKKIHPSTTISDCKNDAQPKIL >cds-PLY63418.1 pep primary_assembly:Lsat_Salinas_v7:7:152900592:152908407:1 gene:gene-LSAT_7X91001 transcript:rna-gnl|WGS:NBSK|LSAT_7X91001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGIGGANTASEATLDQWRQYSRLFQYYLDKTTPHAVYRWITTATLALLFALRVYYLQGFYIVTYGLGIYLLNLLIGFLSPLVDPEVDPADGALLPTKGSDEFKPFIRRLPEFKFWYAITKAFIISIMMTFFSMFDVPVFWPILLCYWFVLFAMTMKRQIMHMVKYKYIPFSIGKQRRVCMRRLMETIDVSIDPTSSDNHATNSPNSSNPTSPMMRRISSSSSSPRAMPSSLFPIICFLQAPVTTIIEYSGVLRPRSNIDYHELEILISNHHHHDHNSSGSSTASNRSSNGESSNGEVSIWITGDEDQEEEHGEPVEVNHEDGGGEREAVDSGDGSGGNGGSNNNVDSAYQQRYDLQQVSRWIEQILP >cds-PLY89734.1 pep primary_assembly:Lsat_Salinas_v7:7:42157414:42161747:1 gene:gene-LSAT_7X29460 transcript:rna-gnl|WGS:NBSK|LSAT_7X29460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTLSLSGLRYHTHLLSTQVETILDKENFTLEELLDEDEIIQECKALNNRLINFLRERTQVEQLVRYIVEEAPEDAEKGRTFKFPFIACEIFTCEVDIILKALVEDEELMNLLFSFLEPEHPHSALLAGYFSKVVVCLLLRKTVPLMNYIQAHQEIIKKLVDLIGITSIMEVLIRLIGADEHLYTSYVDSMQWLEDTDVLEMIVDKLSSTDCPEVHANAAEALCAITRYAPPGLAAKISSPSFIARLFRHALEDSRPNSVLVHSLSVCISLLDPKRQTSGTYYMYNRQSTHGPAASAKPETVEGMLESLGNLLKLLNVSAEVNVLLTTYGKLQPPLGKHRLKIIEFISVLMTVSSEAAEKELIRLGALRRILELFFEYPYNNFIHHHIEQIIDSCLESKNSSLIKHVLEDCLLVRKILDAEKNCALDSDPKNPTVPAEGRTAPRIGNVGHMTRIANKLIEKGTNNSFIESYLQGDSEWVEWHTDVLLKRNTLENVYQWACGRPTTLHDRGRDSDDDDYQDRDYDVAALANNLSQAFRYGIYENDDNDEGHGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFEEERAEQEQSTSAVASSPSPTTEAAGGGGSGGGDDDVTSDKNDKDVVDAATSEVPESKPGPDDTVIGKSTEDLKPSESDKPPEWIEWRESVEPSGEPPIEPTTVESAETSVSLPNGNLDDDIVPKEEEKVSLPSAATGKPDANDGKTDAGGGGPE >cds-PLY80782.1 pep primary_assembly:Lsat_Salinas_v7:1:70566384:70571924:1 gene:gene-LSAT_1X58960 transcript:rna-gnl|WGS:NBSK|LSAT_1X58960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAENINEGDDAVIGLPPGFRFHPTDEEIVTHYLTPKVLNRNFTASAIGEADLNKSEPWDLPKKAKMEGDQKEWFFFCQRDRKYPTGMRTNRATESGYWKATGKDREIYRPGGNLVGMKKTLVFYRGRAPKGEKTNWVMHEFRLEGKFSYYNMATPSQDEWVVCRVFHKNTGSQKSSNTDHGFSSFVEDLLDGPSMLPALTDPSGLNVDPTFDTEPFYLSYDQHEIHRKEDYKNISVSSYNYNFDANSYQTSPFMNEHLYKNVHTRVYPGSKCTRKNSTSSSLRNELPRLGFSESTPDFTSKDLRSKVEIRVLFSQSLDDDVVKQQRKVLQFV >cds-PLY70926.1 pep primary_assembly:Lsat_Salinas_v7:9:16606552:16608743:-1 gene:gene-LSAT_9X17941 transcript:rna-gnl|WGS:NBSK|LSAT_9X17941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:HINT3 [Source:Projected from Arabidopsis thaliana (AT5G48545) UniProtKB/TrEMBL;Acc:A0A178UAY8] MEAAQGRRLSVIASHLRQIPIPPTTTNVSSSIVSPSHCNSSDSEKLRNLEADCVFCKIIRGDAPALKLYEDDTCLCFLDTNPVSPGHSLIIPRSHFPSLVATPPSVVAAMCSKVPYISKAVMKATGSDSFNLLVNNGVDAGQVIFHTHIHIIPRRARDCLWASESLKRHPLKVDKEVMHLVNGIRQELSFVDGFEDSSSKDHGTTLIGS >cds-PLY82944.1 pep primary_assembly:Lsat_Salinas_v7:1:17941112:17942189:-1 gene:gene-LSAT_1X15581 transcript:rna-gnl|WGS:NBSK|LSAT_1X15581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGSDSEVEKSAHKEKEKKKLQALAPIAKPLAGKKLSKRTLKLVRKAAEHKCLKRGVKEVVKSIRRGNKGVCVIAGNITPIDVITHVPILCEEAEIPYVYVTSKEDLANAGATKRPTCCVLVLPKPTKGELGEEIQQKLKTEYDEVATEITSLAASMF >cds-PLY67268.1 pep primary_assembly:Lsat_Salinas_v7:5:133776597:133779841:1 gene:gene-LSAT_5X57621 transcript:rna-gnl|WGS:NBSK|LSAT_5X57621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKETLDDSSSTGGPTSESPETEIFVDRLSQVGLRYRSGTEKKVDAWKNKKSGKKSGGSSVSRANMYLPPMPLKEPISSPKCKGDDSRHSAEWNVVDNSTSSDGRLIFRLPVVFIPSRVPLISVHQGGVYCYASGGGRINACLEFKEMVKDLHLARIEVIMDVVYNRTNEVDDKYPYTTSFFGITRISTMYWDDKYGTHGVSSETEMMSDAFKGKTNHASKKVIFVESLWSFQTSLPLLLSFVTCLNSVEHSGGQRVCLDLQKLDRFSLFPGQLLSSVPTPVELSLAPEAPSKSSIFLLAPLPEI >cds-PLY66594.1 pep primary_assembly:Lsat_Salinas_v7:6:167140087:167141603:-1 gene:gene-LSAT_6X102041 transcript:rna-gnl|WGS:NBSK|LSAT_6X102041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRICDKLIEVFMVDKPNPVDWRRLLAFSKEWETIRPHFFERCQDRADKEDDPGMKHKLFRLGRKLKEVDEDVQRHNELLQVVKNSPSEIGDIVAKRRKDFTKEFFMHLHAVAESYHDNEEEQNLIAKVGNTCLAAVEAYDTATESIEAINAAELKFQDIINSPSVDAACRKIDNLAEKNQLDSALVLMITKAWSAAKETNMMKDEVKDILYHLYMTARGNLQRLMPKEVRIVKYLLTIEDPERLLCALNDAFTPGDELEGQDFDNLYTTPEKLHTWIKAVVNAYHFSREGTLVKEARDLMNPKIIQKMEEIEKLIRDKFM >cds-PLY90834.1 pep primary_assembly:Lsat_Salinas_v7:6:154898148:154900164:-1 gene:gene-LSAT_6X92721 transcript:rna-gnl|WGS:NBSK|LSAT_6X92721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKRYLITIANEKAINVTPHFWDSTSLWPDSSSRDEISSTFLKRRRRLDPKQKKKIQAGEIPEQQQLASRAIHTVACSRGTSASKGKSSG >cds-PLY84647.1 pep primary_assembly:Lsat_Salinas_v7:9:103049493:103051712:-1 gene:gene-LSAT_9X74961 transcript:rna-gnl|WGS:NBSK|LSAT_9X74961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPENLTREQYVYMAKLAEQAERYEEMVKSMEKLVVATTPAAELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEESRKNDDHVMLVKDYRSKVEDELSDVCSGILKLMDSHLVPSASTGESKVFYLKMKGDYHRYLAEFKAGDERKASAEATMNSYKAAQDIALVDLAPTHPIRLGLALNYSVFYYEILNSSDKACSMAKQAFEEAIAELDTLGEDSYKDSTLIMQLLRDNLTLWTSDAQDLDEP >cds-PLY73650.1 pep primary_assembly:Lsat_Salinas_v7:5:203342663:203344450:-1 gene:gene-LSAT_5X92600 transcript:rna-gnl|WGS:NBSK|LSAT_5X92600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVEPILIPKSEESEWYQQISMIFYSQLDINLENPSTSIFLVPENIIKHKPEAYEPQQIGLGPKYHFQPWPYKKMEQRKLTAAKRLLPDWKGHKFHKHVIQKLYGLIPTIRACYETFLQDDNYYLAWILAIDGLFLLYHFHSYNNGVDYDHEERNEVEEKKNESHEHIISLEVDPTRRLFAQDLMMVENQIPFMLLQELDKALHPSSGDSIDSVYNFSPSIYRSFCDTHSPLELCSPSQAPSLVDHLLHYMYCSIVTNIPEKVNQLPHKRPTFVYRMGEAGASHSKEEKGVLLPSGEPLIDTSLGKLNIPIVNFVQKIPWEKVFPLYEKTDIPSVSKLHEAGFKFHLLPKDEGFWKIDSKGKDISLPCITLHTDSEVILRNLVAYEMIKANSNNFPLTEYVGLMCGLITNVNDVKLLKRQKIIGGDLREDEVAKFFIGMRTSTQTVKTRKKSELQEKIVEVNRVYESRRRMLYLLMRKVSYWLLVVLRAVSIFAGASLKILAFIISLATVFLLTSQAYCEAYGCAKTKVSLLTLST >cds-PLY88971.1 pep primary_assembly:Lsat_Salinas_v7:8:130500401:130501898:-1 gene:gene-LSAT_8X90401 transcript:rna-gnl|WGS:NBSK|LSAT_8X90401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVDDTEAHDLPNIRRNSSSNQREVAEYPRRCCGDFAAKTSEYAFFKKIKQTTSSNIHIHPKSHDNQVNNVQQRDPSTIKEIPNVQKEGVKYARSSLPVEKELDDVHYSFLSPPFCGSKNSDVNNVLEAGGVNVLKVVTPRKYNQLSHPLKTSGNKGVFSVKREKLRCRVADISLNEYDDVNELSSKGLD >cds-PLY81694.1 pep primary_assembly:Lsat_Salinas_v7:3:32229703:32231995:1 gene:gene-LSAT_3X24040 transcript:rna-gnl|WGS:NBSK|LSAT_3X24040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGIVHKTISVNGLDMHIAEKGEGPIVLFVHGFPELWYSWRYQIVYLADHGYRAVAPDLRGYGDTTGAPVNDPTKFTIYHLVGDLVGLIDAITSEGDQKVFVVGHDWGAVVTWHLCMFRPDKVKALVNLSVPFLPWNPMGDMVKMMIAAYGEDHYMVRFQVPGEIEAELAEMDTKTVVKKLLTFREPGPIYIPKGKGFKHSPIDAPVTLPPWLSEEDVEYFASKLEKTGFTGGVNYYRVLRLNWELSASWSGAKVIVPSKFITGDQDLVYHMPGVKDYIHNGGFQNDVPLLEEVVIMEGLAHFINQEKPDEINKHIIEFLQKF >cds-PLY65574.1 pep primary_assembly:Lsat_Salinas_v7:5:338980839:338981583:-1 gene:gene-LSAT_5X190881 transcript:rna-gnl|WGS:NBSK|LSAT_5X190881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKEWKLYDRLMRLETGLGGTRSLVDASPEWWEEKIKENKDYAKFRNTDLSIFDEKYATLFRDSVAVGDRTMTPLQFQNNSNPNEENMEGKGDSDEINLDDDEPLFPSLHESSSSKRKRSKSVSNNRPSKSKNSIYEEKVDALLDAISSKSTQTYPQNNPSPTIADCMAIVIKFPEFREGSNEFSQALLVFTKKQNREAFMFPTTDEAKMEFLKLLMK >cds-PLY62137.1 pep primary_assembly:Lsat_Salinas_v7:6:46818878:46822724:-1 gene:gene-LSAT_6X33521 transcript:rna-gnl|WGS:NBSK|LSAT_6X33521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATYYNGNSEIQGGDGLQTLVLMNPGYVYYSDAQQSQPQGNFVFLDSNHPGNNPVNNHNHNHHHHISQTPPQQPPPPSGQTQQFVGIPLSASPPSSVHSQHDVSSLHAFIPRAQYMYNPAEMVAAPPREVTPFQQGLSLTLSSQQSRYGSQAARVTSISPTGDDGRAAVGGGGGGSTSSASGISNNGVHNMLLNSKYLKATQEILEEVVNVGKGVLKNSDQLTKNLKTPVIGDGGSSSPVTGEGIRDDQSGSKSGGGAELTTAERQEIQMKKAKLVNMLDEVEQRYRQYHHQMQIVISWFEQAAGIGSARTYTALALQTISKQFRCLKDAIMGQIKAASRSLGEEDSLGGGGGKAEGGGSRLKFVDNQLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEVKEQEQNGLDDNKTNKNEQNEENSSSKNEKSVSPETSNRGGIGFHSTKQENLNSFNPPPPMAVPATVSTSPTTTTTRINFQNPSGFSLIGSLEMEGITQFSPKKPRNNDRNQDSDAGFTLTGGNPTDFMGGLGGYPIGEIGRFTTDQFQQQYSGNGVSLTLGLPHCENLSHHSFLPNQNIQLGRGAELEENEFGAMNPSSSSHSAAMYESMNIQSRKRFAAQPLPDFVA >cds-PLY94610.1 pep primary_assembly:Lsat_Salinas_v7:8:180926779:180929660:1 gene:gene-LSAT_8X117161 transcript:rna-gnl|WGS:NBSK|LSAT_8X117161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit E [Source:Projected from Arabidopsis thaliana (AT3G57290) UniProtKB/TrEMBL;Acc:A0A178VL03] MAMAKYDLTPRIAPNLDRHLVFPLLEFTQERELYPNDQILKAKIELLNNTNMVDYAMDIHKSLYHTEDVPQDMVDRRVEVVARLKSLEEAAAPLVTFLQNPSAVQELRADKQYNLQMLNDRYQIGPEQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNAERSLSALWGKLAAEILMQNWEIALEELNRLKEIIDSKNFASSLNQVQSRIWLMHWSLFIFFNHDNGRTQIIDLFNQDKYLNAIQTNAPHLLRYLATAFIVNKKRRPQFKEFIKVIQQEQHSFADPITEFLACVYVNFDFDGAQKKMKECEDVILNDPFLGKRVEESNYTVVPLKDEFLENARLFIFETYCRIHERIDMGVLAEKLNLNYEDAERWILNLIRTSKLDAKIDTQTGTVVMEPNHPNVYEQLIDHTKGLSGRTYKLVSQLLEHSQAQTAR >cds-PLY89522.1 pep primary_assembly:Lsat_Salinas_v7:4:158122315:158126842:1 gene:gene-LSAT_4X95100 transcript:rna-gnl|WGS:NBSK|LSAT_4X95100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFQVILRLITFLILFVGGDSLALRSADHGVPPASSPTKIHATKWMKRGFRPFSSTSFQRYHHERSKINHIAPTPLYQLQPPTYAPQGPFAPSPSPVDPTNRFGPTISPSSYSLTKNTAPPPTPVFTLPPPPPNEDCTTVTCVQPLTYTPAGSPCACVWPIEVRLRLLISPYTFFPLVSELAKEISTSLSLNVSQARIMGANVAGEQLDRTIVLVNLVPLNQNFDPATAFSIYQKFWKKQVSINRARFGAYEVESISYPGLPPSPPSLPSNSDVTIGQSDSVNSDRGRPLKPIGVDIPRNGKEDKSKPNGSIIAVVVLSSVTAFVVLIGALWLFLLKCGCCSSNSRTDKDPRGLTKPSGESLIVGSRKSSTSMSFSSSLAAYTGTAKIYSLSEMERATDTFDSSRILGEGGFGIVYSGVLEDGRKVAVKVLKRDDRQGSREFLAEVEMLSRLHHRNLVKLLGICTDDHFRCLVYELVPNGSVESHLHGADKIPPLNWCARMKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLENDFTPKVSDFGLARTALDGHKHISTHVMGTFGYLAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDLLQPPGQENLVAWARPLLTNKESLESIIDHDIINSNTPFDSILKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNEFDEIREMTPRSRSHGEEVDFEMMDYSEETGREMTSGYEYDMEIGFQGTDLRGGRIENVEGLESESFRRQFNSAPLKMERKKQFWRRLRGLSRGSMSEHEHERERDHVFSSNL >cds-PLY63050.1 pep primary_assembly:Lsat_Salinas_v7:8:75187707:75193027:1 gene:gene-LSAT_8X54080 transcript:rna-gnl|WGS:NBSK|LSAT_8X54080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFLGLRSQQTAAQVSQPLPPQPLPPQAQVETSNKKPTTTLEDLVAEDPFPFVSLSDKFDGRSEGSESENGAFGGLNTKNGAPVVDKHIDVCEKEGWITIPRKELPDSWNEEPDMLSFKAFDRPFVFPGEQVHILACLSAYKQDTEIITPFKVAEVMSKNGIGPNAKKQNGEVNGSPVSAATSPDAHGTPQNANDILDEKTDPKKDLSTGESLLRMQDHRRQTEMILQRFRNSHFFARTAESDEPLWSKRKTQETFRESTEMIGGKFTTYDVDSNKGSEKKALLNTSVDRGSLDGSTSGGVARNGFKCSSLANGDIVVLLQVNIGVDCLKDPVLEIIQFEKYQESTLNHSTTESIIPSSQDPCGDLLKWLLPLENSIPSPSPSLTPPQMNSSSIRTSSTKVNPSATSASPIFSFGHFRSYSMSAIPPSAVPVSPSSSMSSIDLEDQDQFSSRKSKDEKGEGEGLLSFRGVPLEPERFSVRCGLEGIYIPGRRWRRKVEIIQPVEISSFSADCNTEDLLCVQIKNVCPAHVPDIVIYLDTITIIHEEASKGGPPLSLPIACIEAGNDHSLPDLALRRGEEHSFILKPATSLWRNSKSQSDRNLRSTQSHFGHATSSGHVERGDKYAVLVSCRCNYTESRLFFKQPTSWRPRMSRDLLISVASQMSRPNLGSDERVLQLPVQVLTLQASNLTSEDLTLTVLAPASFTSLPSVVSLTSAPATPLSPEEKSTKVNVDRHNIALKRLSSALIENQRRNDEIGRQTGTGAFSFNEQPISITDVLPRSDLGCTHLWLQSRVPLGCVPSKSTATIKLELLPLTDGIITLDSLQISVKEKGVTYIPEHSLKINATSSISAGIL >cds-PLY64085.1 pep primary_assembly:Lsat_Salinas_v7:MU045731.1:109136:111292:-1 gene:gene-LSAT_0X33361 transcript:rna-gnl|WGS:NBSK|LSAT_0X33361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFESNNISEDNNNNHGWQKVTYAKKNRKNQPKQQVPQPKALPNGSVVAGNDNVFTAIEKKSEERRKVIEAQRLSIYDPAPPPVKSSRKKNYSDYEDNDEEVANGVAGNDDVEEKKKKPKKVKKPKVTIAEAAEKIDVDDLASFLLEVTTSFEAQQDIQLMRFADYFGRAFSSVTASQFPWVKLLRESPVAKVADNPVSHIPEAVYKTSVDWINKLSMEALSSFLLWSLDNILADFAIQQGGSKGSKKVAQKTPSKSQVAFRCWCQACWQYSVEYPLSSILNSDVT >cds-PLY73734.1 pep primary_assembly:Lsat_Salinas_v7:8:170794776:170795891:-1 gene:gene-LSAT_8X111480 transcript:rna-gnl|WGS:NBSK|LSAT_8X111480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVFPNRSFPMDISTFTQIDTFHWLLDMNTFVGEAYDSIREVCIFLLNNFTLPPDKALAVYIQSPGSPFQFVGAVTLARPSAVLSLPWPDPGGEGLHKQLTAPDAAPLSAKIGVSVEDLASLPSLDVAAEKRIERLAMKVGENLFNFMQSFCGVDGSKLVVPMDILDRWFKKFQERAKRDPEYLKGFAL >cds-PLY84528.1 pep primary_assembly:Lsat_Salinas_v7:1:30167584:30170028:-1 gene:gene-LSAT_1X26001 transcript:rna-gnl|WGS:NBSK|LSAT_1X26001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEILKTAAAASEAPVAAAEVAVVPEAEKAEATVVYEKDGPPEPEAVVEEPVKVVEEEKEKITESASFKEESNVAGELPDPQKKALDELKQLVQEALNKHEFTAPPAPVKEEKPAEEKAAKAVEEEAKACDETAAKEESTPEPETVVVESKEEKAVPPTAETSEPAAECKEEEKITPAPLAPAAEVPEPVKEVTEVVEKAETCVDEDGAKKIEAIQETIVAVATSSEPPKTEEAEQVAPPETATEETPAAPPPPPEEVSIWGIPLLADERSDVVLLKFLRARDFKVKEAFTMLKNVVAWRKEFGIESLLEEDLGTEQEKVVYMHGVDKEGHPVCYNAYGEYQNKELYNATFSDEEKRTKFLRWRIQFLEKSIRKLDFSPDGICTIVQVNDLKNSPGPFKRELRQATNQALQLLQDNYPEFVARQVFINVPWWYLAFYKMINPFFTQRTKSKFVFAGPSKTAETLFKYIAPELVPVQYGGLSREGEQEFTSSDTVTEEIIKPATKHTIEFPAPETCTFVWEARVVGYEVTYGAEYVPAAEDGYTVIIQKSRKITATADEPVICSSFKCGEPGKVVLTFDNQTSKKKKVLYRSKTKVSSD >cds-PLY90870.1 pep primary_assembly:Lsat_Salinas_v7:9:162057126:162058865:1 gene:gene-LSAT_9X101301 transcript:rna-gnl|WGS:NBSK|LSAT_9X101301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARDEVPVIDMQKGDGLAEEIMKACEEWGCFRMVNHGVPAGLMAEMKAVIASLLSLPDEIKKRTVHSEQGKGYLQRNPGTPFFETLSIDDIFSPDEFYDLLEASPHQRDIINTYMKATRDVAKLLGQKLMEGSGLAGDLFDRYCCQMRMNKYHYCQESVGLSGVGMHSDPTFLTILHDDDKVNGLQVVDKYSGEIISVDPVPGTLAVNIGDIGKAWSNGRFCSVKHRVWSFEPKTRYSIVLFMLGPKDKKVEAPPELVDSEHPRLYVPIDFKEYRHVRTSHRLHTGGALELFRTTNTMA >cds-PLY98480.1 pep primary_assembly:Lsat_Salinas_v7:5:209062624:209063564:1 gene:gene-LSAT_5X94641 transcript:rna-gnl|WGS:NBSK|LSAT_5X94641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLPISVKLEGNTVIIELSIVLFHNQLFLFCVAVVGGLQSYASQMALTDKDKLISNLNIIQDYMCSFTSQGSEVANISTTTFPQTLFWLHNHLRQRIEQGISSASKENVGQHDET >cds-PLY92082.1 pep primary_assembly:Lsat_Salinas_v7:MU039340.1:72088:72699:1 gene:gene-LSAT_0X29380 transcript:rna-gnl|WGS:NBSK|LSAT_0X29380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNQTTAYESPTHSSTGTRSEPRATPTAWELTVSCSISLPDGGSFHPSLTVLLCYRSPRSILPCKLLDFRHLGYNILLLRLAARRLYCSPTTLFSRFRLLPFRSPLLRESLLLSFPLATKMIQFARLSLACPWIQQQFERLTYSGISRSMLIFNSPKHFVAYYALPRLWVPRYPP >cds-PLY66129.1 pep primary_assembly:Lsat_Salinas_v7:7:30701377:30701550:-1 gene:gene-LSAT_7X23700 transcript:rna-gnl|WGS:NBSK|LSAT_7X23700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLFSSACVFIGSWILQLIMDPITMFAPLFFRNNLGRSLQDGYGITYGEVIKEGERI >cds-PLY85915.1 pep primary_assembly:Lsat_Salinas_v7:2:189563641:189565792:1 gene:gene-LSAT_2X111241 transcript:rna-gnl|WGS:NBSK|LSAT_2X111241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAIDILCASQAATSIVDYMDSNNIHQQPSSSSSGTSAGGRAIDRHNPIIQDQKRLSSSTQPTTTPNKNKKNPKNSDQKRKSIAFADENNDQQLIMNKNSYVKNPSSSSTTVVRRQGGGTLLGWGCTRPGDFISPATSSRFLLADKALSDQFDPLLKQVSPPPPPPPEKPDKFENDDSVKKKDDIRLSSSPPKTTPRSSSSLSSRSSDQQVVVLRVSLHCKGCEKKMRKHISKMEGVKDFSIDFMAKKVTVIGDITPLAVLTSVSKVKNAKLLTPTTISSPAVPAIDSDFSEIKKQLGIVL >cds-PLY77127.1 pep primary_assembly:Lsat_Salinas_v7:7:183473637:183477096:-1 gene:gene-LSAT_7X109260 transcript:rna-gnl|WGS:NBSK|LSAT_7X109260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPDVHETESEKIVYTYNIDDLVMEESQSSGQCMTNMEDSIATVEAILKYKFNNKSLLEAALTHSSYSGSHSQSYQRLELLGDSVLGLVTANVVYLAYPDLDPGQISNLRAANISNEKLARVAVCSGLYKYVRHKNISLNDKVREFVIAVEEEDGMVVYGGKMKAPKVLADIVGSVAGAVYEDCGFNLQILWVVREFVIAVEEDEMVDYGEQMKAPKVLADIVESVAGAVYEDCGFNLQKLWVVIRELLEPMIMLNVLEKQSQPVTMIYEACEKEGKIVDTKKGRKEKKENVKLHAAEVALSKLTRLKSTDAVSLHMDVDCGEATEIKGAKLKIHQFCNRRKWPKGTYRIEQELGPAHNRRYIASVQIELSDKIFFTKGEERILMNV >cds-PLY79672.1 pep primary_assembly:Lsat_Salinas_v7:5:253323883:253326891:-1 gene:gene-LSAT_5X128980 transcript:rna-gnl|WGS:NBSK|LSAT_5X128980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKFSKTASYASLILILLAVKATGRVLHYTASELISDGADDQTGDQGASFLHLKGIDDFPEEHCEQMYGFLPCSENLLGHLFLILVYEYLLFHGESYVSSGGKRIFQILGPGIFGASAFQVLGFLPESLILLVSGLSDTKDGAQEYVLTGVGLLAGSAIFLLTLLWGTCVMIGSRKFSSESASSSSMINDPTQNQFRKLISLCTGSGVTTDEETSKAAKIMLLSVIPFTFILIPGLFGANLSRGYIFTIALPGSVLFLIVYFIYQVFEPSIQKRRLSYVKHEHLVVDILKHLQEHTADKILNEDGSANLATIKGLFSKIDQDGDSYISFPELKAILQDIKFRKLTWSKDRTLEEIMKEFDTDGDSKVTMDEFVNGFINWLDETKNAANKRSFRSINSWKDLYQIIQPWVQSKKKEEEMMKILVSELIRHVQRLPLGNFYNEDGTPNISAIKGLFERIDLDNDNFISQSELKRLIMEVDFQKAPENVDEATAKIMQDLDESGDQQIDLDEFINGFRNWLNSSNGEIIPISPGSKTDASEKPWERWVDDDGVDRSRWAWTKAITSLVLGITMLALLAEPLIHSVHDVSTAANIPSFFISFILVPLATNARAAISAIQTASQRNERTTSLTFSELYDGVFMNNVLGFSVLLAVIYFRGLTWDFSAEVLIVLIVCIIMGTATSFRSKFPIWTSFIAYLLYPLSLVFVYLVNRF >cds-PLY94090.1 pep primary_assembly:Lsat_Salinas_v7:5:82888773:82888964:1 gene:gene-LSAT_5X38720 transcript:rna-gnl|WGS:NBSK|LSAT_5X38720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATARTNPIAATQQLERHRNSQKRQRTTTEDVNGCNNPLEPVVDSSTADATGRRAAHGG >cds-PLY90093.1 pep primary_assembly:Lsat_Salinas_v7:6:17445001:17445267:-1 gene:gene-LSAT_6X13561 transcript:rna-gnl|WGS:NBSK|LSAT_6X13561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTATNVKDVKYVINYDFPGSLEDYVHRIGRTGRVGAKGTAYTFFTATNARFAKELIAILQEVGQKVNPDLAAIGRGAPPPPSGSFGRV >cds-PLY93415.1 pep primary_assembly:Lsat_Salinas_v7:9:59457247:59458931:-1 gene:gene-LSAT_9X52461 transcript:rna-gnl|WGS:NBSK|LSAT_9X52461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITHVGKESHGGENDINWWCGTTPHPEHCKHSLGGNNSQPPQSRDDIRIVALEAALKLADHTRGFTRNLEKLCRSKRKKAVWKDCYKLVNNTIIQLNQTLIGLKDHKISDFDAQTWLSTALTNLQICFSGSGELNLTNFVAPIKTSNLTKMISNSLAINLYFLKQTQASEKVEDFPTWVTSKDRKLLQTGSIYSRANVTVSQAKGSKFRTIQSALDHAASIKRGDGRYIIYIKRGVYKENIEIGNDLKNIMFLGDGLRYTIITGDRSVAGGFTTYSSATVGVDGTGFIARGITFRNTAGPEKFQAVAFRSASDLSVFYACSFEGYQDTLFVLAQRQFYKLCYVYGTIDFIFGNAAVVFQNCMILARKPLNGQANMITAQGRGDPFQNTGISIHNSRVMAAPDLKPSRVXFLGRPWQEYSRTVYMKTFIDTHVNPQGWSPWGNTDFAFKTLYFGEYACFGPGATTQNRVEWPGYHDIKTQSEASQFTVENLISGRGWLRATGIPFIVGL >cds-PLY83452.1 pep primary_assembly:Lsat_Salinas_v7:9:88178227:88180203:-1 gene:gene-LSAT_9X69300 transcript:rna-gnl|WGS:NBSK|LSAT_9X69300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRGQNGIQLLLAAEQEAQQIVTVARNAKLARLKQAKEEAEKEIADFRAQMEADYQRKLTETSGDSGANVKRLEKETDEKIEHLKKEAERISTDVVEMLLKHITSVKY >cds-PLY98882.1 pep primary_assembly:Lsat_Salinas_v7:5:20622056:20625476:-1 gene:gene-LSAT_5X10440 transcript:rna-gnl|WGS:NBSK|LSAT_5X10440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMFLIYLLPMLLIPTLSSPLTKRNAFLLLEWLHNLRTSRDGLPDRFLSSIENGSWLKISLSGNPGYRPPSESFMLKSSIGNLLQNGSVLVDIPLVDEKFYGVELKKYEDELKKIGVRFQDTEACNLLYLGVHYISTRELIESIRKEKWLRTSRGVMTPTSCVLFSQEWNAASQISDIPFLDRDYYGSEILSFKKELDLLGVGVKFNGNYQLVSDKLKSSYSLTSLSSEALLLILNCIKNLPSSDKLVQAIKNNKCLKTNLGHRCPSECFLSNPESEWGCLLKVFGSFPVLDEKFYGRSIFSMLYELKKIGVMVDFEDASKEFTRTFKQQASLSSIKKEHVLSFLQCYGKLRKLKVKFPSELKKCIREEKWLRTRLGDYRSPNECILFGKDWEPVIPISLLPFIDDSNNFYGIGIHDYHAELKDLGVVTDFKDGAKFVASCLFLPQDCSSLTALNAFALLDSVKKLKESRTDFPDGFLEKVSKKNWLKTHFGYRHPDECLLFNSFHDSFLKCNDGPFIDEGFYGSRIVSYKDELNALGVTNDINKECQLLASYLECHYNFETISRIYNFLSTYKWVPVDEENKRIWIPKGTENGEWVMPQACVLHDKNNLFGEQLKVLENCKYENTILDFFAKTFDVRVHPSVEDYCKLWKSWESSEGRQITHKECCAFWEFVVRNWSPGTEDTFKNNLSKLPVLDPASNVIFLFDKCDVFIGDDLFLTDLFTKTFSRPIFVWFPQPSQKTLTRAKLVDIYTKLGVRILSESAHKNISDVDHAGFEPVNLKEKINKKGLFKLILSFLADPNLKIEPEKRHEAVSRVLAIEAFETPEKMSVRYSLTFSCGEIVDVEPRRMIHWDKQLSKLYMQKMERSSGHKNVIEYASHFAEEIAEGVLWDNEELVPDLCELIRLGFLLEFDEEAVEFLMKIKNLQIFLEDHDYLTSTFSS >cds-PLY63011.1 pep primary_assembly:Lsat_Salinas_v7:8:184499436:184502679:1 gene:gene-LSAT_8X120640 transcript:rna-gnl|WGS:NBSK|LSAT_8X120640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSGEMVAFPLLITPIETNYRACTIPYRFPSDNPKKPTPTELSWINLFANSIPSFKKRAESDDTVSDAPAKAEKFAQRYTELLEDFKKDPESHGGPPDCIHLCRMRELILREVGFRDIFKKVKEEENAKAITLFKEVVELNDAIEDESKRMENLVRGIFAGNIFDLGSAQLAELFSKDGMSFLASCQNIVPRPWVIDDLDAFISKWSKKPWKKAVIFVDNSGADIVLGILPFARELLRHGTKVVLAANDLPSINDVTYHELLEIISKLKDENGKLLGVDIQNLLIANSGNDLPVIDLASVSQELAYLASDADLVICEGMGRGIETNLYAQFKCDSLKIGMVKHQEVAQFLGGRLYDCVFKFNEVATC >cds-PLY75791.1 pep primary_assembly:Lsat_Salinas_v7:3:70062108:70063357:1 gene:gene-LSAT_3X53301 transcript:rna-gnl|WGS:NBSK|LSAT_3X53301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLDGCFVKYDGTSFLGVEDKMEVFKKCGSSIGYNSDILTRRDVVLAYMAANNGQYFRVGGSGSVQGVAQCVQDLSLSECQDCLEEAGGRVKSECGASAWGDVYLGKCYVRYSERGFHSRSDDDDGDMDKTLAIIIGIIAGVAVIIVFLSFLTRICDRKEGK >cds-PLY88714.1 pep primary_assembly:Lsat_Salinas_v7:7:193635573:193638181:-1 gene:gene-LSAT_7X113861 transcript:rna-gnl|WGS:NBSK|LSAT_7X113861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRIKRVPTILSNYQKEGVEEGGAARGCGRNCLRNCCLTGAKLPLYAFPKSNKVDLCHNNEAPFAFLDSLLLGEWEERMERGLFRYDVTACATKVIPGELGFVAQLNEGRHLKKRPTEFRVDKVLQPFDENKFNFTKVGQEEVLFQFEASEDGEVQFHPNAPINVDGSPSVVAINVSPIEYGHVLLIPRILERLPQRIDHESLLLALYMAREAGSQYFRLGYNSLGAFATINHLHFQAYYLGLPFPIEKAPTRKITDFNGNGNGNDNGGVVISEILNYPVRGLVYEGGSGSSLEDLSNAVADSCICLQDNNIPYNVLISDSGRRIFVLPQCYAEKQALGEVSGELLDTQVNPAVWEISGHMVLKRKEDYEGASEEKAWRLLAEVSLSEERFQEVVAIIFEAISITEKMLTEDEQDVDQVDGGPREAAMAPGNQECLVQY >cds-PLY63878.1 pep primary_assembly:Lsat_Salinas_v7:1:125567869:125568510:1 gene:gene-LSAT_1X94261 transcript:rna-gnl|WGS:NBSK|LSAT_1X94261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSKLPEGSSSSSSLHGYSSSARVHTPSTHGHNSSTHNHRYDVFLSFRGVDTRHSFTDHLHKALIDANINTFLDDEEIETGEDLKPELESALKASQASIIVLSKNYASSTWCLDELVLILEQRMTSNHIVIPIFYHVEPTHVRKQQSSFGDAMDKYKQTMEAETNANKRSQWAQKMDRWNKALTQVADLKGNDINGRSPLSYPYSYPYAFQ >cds-PLY75482.1 pep primary_assembly:Lsat_Salinas_v7:7:75326459:75327165:1 gene:gene-LSAT_7X54320 transcript:rna-gnl|WGS:NBSK|LSAT_7X54320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRQRDMIGEDNMLGRRTTTRTTTFLQWVCYRHHHQGFQPHTSLAHAPSSQAVSPSLLFFQSPFSFSTRSTYTSLEPEKVPAATNPHLTFLLPFPTKLNQGTINALTIHTPPNAKYTDINALANWVIWNFDERVITNGTFDRLFEITWGDDHADIHEEGELLTLRLDKRSGSGFRSKDEYLFGKIDMQLKLVEGNSAGTVTAYYVKT >cds-PLY77811.1 pep primary_assembly:Lsat_Salinas_v7:3:34972171:34973911:1 gene:gene-LSAT_3X26221 transcript:rna-gnl|WGS:NBSK|LSAT_3X26221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVESSMEMVDNSSDTPSVEANEECCYPSGAKAEGLPWTVAKGQDTFTPISSIVRFSFLIDGEIRQKGSTVDMIFKIPFFISHIISIMTLLEGDVILTATDMMNMMPPEVLQKMSKMALNGSGSNTESEGQERDFSTFNEDIGESSTSRGVSNSRNTPQPSFPGSSFDMQEQLKNQMKNPAMHEVS >cds-PLY77247.1 pep primary_assembly:Lsat_Salinas_v7:4:178146130:178148204:-1 gene:gene-LSAT_4X104600 transcript:rna-gnl|WGS:NBSK|LSAT_4X104600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQLTSAPGFRRIGRFVSGITRQRQTPNLSNVNVQSKLTDFKAEDGSRSGAIRVFTLLGAGISGFLSFTTIAYASDEAEHGLESPNYPWPHQGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSMREGLYYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGFKWIFVLSLALLQAGYYRRLKWSVLKSRKLVLDVVN >cds-PLY82829.1 pep primary_assembly:Lsat_Salinas_v7:1:87665073:87665882:-1 gene:gene-LSAT_0X4740 transcript:rna-gnl|WGS:NBSK|LSAT_0X4740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKLRNIFKVSEDLIFNDTSRLSFSRRPRAFVTSSKPLLKNTDEKVEETCAEVTEAAEEVKEGFEQVSKMKKDVKGKVLEAKGGLVKRARENVVDVAAQKAKEKNRYSTCYGEP >cds-PLY69187.1 pep primary_assembly:Lsat_Salinas_v7:7:103487970:103503979:-1 gene:gene-LSAT_7X68120 transcript:rna-gnl|WGS:NBSK|LSAT_7X68120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRLKAFRPTNDKIVKIQLHPTHPWLVTADASDHVSVWNWEHRQVIYELKAGGVDERRLVGAKLEKLAEGESESKGKPTEALRGGSVRQVSFYDDDVRYWQLWRNRSAAAEPPSAVNQLTSAFNSPAPSTKGRHFLVICCENKAIFLDLVTMRGRDVPKQDLDNRSLVWQEAGDSDILTHSVLSCSCSMEFLSKSGGGDGPLVAFGSSDGVIRVLSMITWKLVRRYTGGHKGSIACLMTFMASSGEALLVSGGSDGALVLWSADHGQDSRELVPKLSFKAHDGGVVAVELSRVSGSAPQLITIGADKTLAIWDTMSFKELRRIKPVPKMACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLVPPQVLASHKKLRVYSMVAHPLQPHIVATGTNIGVILSEFDPRSLPAVAPLPSPPESREHAAVYVVERELKLIQFQLSNTPNPSLGSNGSLTDAGKLRGGDSSSEQLNVKQIKKHISTPVPHDSYSVLSVSSSGKYVAIVWPDIPYFSIYKVSDWSIVDSGSARLLAWDTCRDRFALLESAVAPRMPILPKGGSSRKAKEAAAIAAAQAAAAAASSATVQVRILLDDGTSNILMRSVGARTEPVIGLHGGTLLGIAYRTSRRVSPVAATSISSIQSMPLSGFGSSALSSFSTMDDGFSSQRTPAEAAPQNFQLYSWESFQPVGGMLPQPEWTAWDQTVEYCAFAYQQYIAISSLRPQYRYLGDVAIPHATGAVWHRRQLFVATPTTIECVFVDAGVAPIDIETKRRKEEMKQREAQARAVAEHGELALIAVDTPQTAAQERISLRPPMLQVVRLASFQHAPSIPPFLTLPKQSRGDGGDDAGLQKFAEGKRADEIAVGGGGVAVAVTRFPAEQKRPIGPLVVVGVKDGVLWLIDRYMFAHAISLSHPGIRCRCLAAYGDAISAVKWASRLGREHHDDLAQFLLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALQCLLTMSNSRNIGQDAVGLDLNDIMSLSMTTANTKKEDIVDAVDGVVKFAQEFLGIIDAADATGQGEVAREALKRLAAAGAVKGALQGHELRGLALRLANHGELTRLGGLVNNLISVGFGREAAFAAALLGDNALMEKSWQDTGMLAEAVLHAHAHGRTTLRSLVQSWNKTLQKEMEHGASTKTDAASAFLASLEEPKLTSLADAAKKPPIEILPPGMPSIDAPLPGIQKKPQPQPQPQPAKPLQIGGPPSESVAAAAAADAPAPTPEPGVPVPPSESEVAAATTVTDTESGAPTTEMEPQPSGDALVEPTESTTTADVALENPTPDSSLPDPVVAVAGKDIIASVDSSLTAPLTPMDPPPSQKPEPSIPRPTGVRQELPMMDWTM >cds-PLY91348.1 pep primary_assembly:Lsat_Salinas_v7:8:46542630:46542896:-1 gene:gene-LSAT_8X36380 transcript:rna-gnl|WGS:NBSK|LSAT_8X36380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLFSGQACRFSRRRGLLSPAIMIVVLASHVVNHLELKLPLSFPFRSSVLVEEFVVCVNDHRINLLDGGLASPENRVNVRCFSGEFQL >cds-PLY69397.1 pep primary_assembly:Lsat_Salinas_v7:5:302087731:302092866:-1 gene:gene-LSAT_5X161340 transcript:rna-gnl|WGS:NBSK|LSAT_5X161340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKRGLTFRVKIEEEEKENFNSYNKRKRSFNYKKKNGKSKLIHGYLFLKILKRGRKKNKMRRICEAYSFSEREAEEQAEREKMRLLKSLIVIGMVQDIEESCNLIALQDTE >cds-PLY71634.1 pep primary_assembly:Lsat_Salinas_v7:9:134572580:134580076:1 gene:gene-LSAT_9X86800 transcript:rna-gnl|WGS:NBSK|LSAT_9X86800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:recovery protein 3 [Source:Projected from Arabidopsis thaliana (AT1G67500) TAIR;Acc:AT1G67500] MADSQPDLKIFSVRIVSIDYYMSPPIPGLDLCYSSFQGGKVNEVPVIRVYGSTPSGQKTCLHIHRALPYLYVPCSDIVHQPDQEGDACARIVSLALERALKLKASAGSKRQHVHDCSLVRARRLYGYDASEQLYAKLYLYHPQDVSRAANLLLGGSVLEKSLQPIESHIPFLLQFLIDYNLQGMGHLHLSKMKFRHPVPHVFTKRKATYYPQHKQHVDNSSMASTSQAGLSSDVSLESPIWLSSTIPDSWIWHSSTQDLENDLVKRQSVCELEGDAGIDDILNQKSKLYSSLSQTLSDVRMVQSLIPIWEEFERTGMHMETISSDPGKPSPHDVLNILSNKVELENKLLELCEVEDSLPCTPVETERMNSQCIRSPVADAEALRLLDWLASSQAAEDINSDDELRREIILSPLMPSTTINEVIEKADMEFTNASQQECQDILDSVQEVQESSPQSPTKKEIPQVDNISKIEKNPIKERVKIDSCSSFRRKDRRKRSLWSTLPFSLPKNTNDSEFGTETCPDSEKGLSKSGSTIVGCSVRDLMRRKRCHRGETSKVEISHITPDNNSIFAPEERSDQQDTGPNPPTSSNSRCCSDDDSQAVHVKDMNFEASASQECGKAFPYILILSFLIYLSLPVSSFLAIYVVVEDTDIDDSPPPPSIDGNSQEATHTEPKIDDSIPNQEQVIGAPTHYHNNGSSFYMLTPVFSPPSVDDVNTWLLHQDVVRENTNALTEESSSPKGKVFLVDSQEFCNDNKTNLDHGKNTSQSQDISQISGPEGKNLTPLSQSGFRDPASIGAGQQLTMFSIEVQAESRGDLRPDPRFDAVNFIVIVIQEDDESLINSYVLLRSETTSVSRNLDAITGCKLLVSTQEKQLFNNFMEIINNHDPDILIGWEIQGGSLGFLAERAAYLGIGLLNKISRTPSHPISNPVKSTMTDLPPEAINSDIDPSNDAVIEDEWGRTHASGVHVGGRIVLNLWRLVRSEVKLNIYTAEAVAEAVLRRKIPFIYFKTLTKWFSSGPGKARYRCIEYVLERAKLNFQVMNQLDMINRTSELARVFGIDFFSVLSRGSQYRVESMLLRLAHTQNYLAISPGSQQVANQPAMECLPLVMEPESGFYSDPVIVLDFQSLYPSMVIAYNLCFCTCLGKVTPSKPNTLGVTSYTPDPNVLRGLMHEILLTPNGVMYVPSKIRKGVLPRLLDEILSTRIMVKQAMKKLSPSEKVLHRIYNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRRTLEAAISLVNTHEKWKAKVIYGDTDSMFVLVPGRCVSEAFEIGNEIASAVTKMNPNPVVLKMEKVYYPCFLLTKKRYVGYSYEKPDQVKPFFDAKGIETVRRDTCEAVSKTMESSLKNYFENQDISKVKSYLVRQWSRILSGRISIQDFIFAKEVRLGTYSSSRISSLPPAAIVATKAIKADPRAEPRYAERIPYVVVHGEPGARLSDMVVDPLDLLSLDSPFRLNDLYYINKQIIPALQRVFGLIGVDLNQWFLDMPRMVREFGGKNQGYNYNGLNSHRTRIDYYYLSKHCVMCGELVQASSSVSPLCGKCVRNESAFAVAVTGRTSKMEREMQHLAAVGQDMPAFCVIHKVKTGQDMI >cds-PLY67404.1 pep primary_assembly:Lsat_Salinas_v7:4:212820171:212820425:1 gene:gene-LSAT_4X118620 transcript:rna-gnl|WGS:NBSK|LSAT_4X118620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNVWTWAENKLFENALAKYDKDTPDRWQNIAKITGKTVKEVKIHYKRLVDDVNAIEDGQIPLPDYEKTEAKACTKPDEKK >cds-PLY96812.1 pep primary_assembly:Lsat_Salinas_v7:2:170896973:170899925:1 gene:gene-LSAT_2X93700 transcript:rna-gnl|WGS:NBSK|LSAT_2X93700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAQHTEPRDPVQVDALLDGTQPIEVEDGGGAALNGIPTGGGKGDILDGQKTGDMADLDVGGGDGSSNMAKKRRIVEVVDENPRCNDLQLEHVKPYINNVSLVNKGGPQWSRVLCGSCTVEEQEPDFSYFNDRIRNLWKAHDIEIEYIMKKLKGFYIFIFNIDEGMLDALEKGPWTIGDVQIVLKRWGPGHFLDKHKEDKKNPVWIRMYDMPCGLWKLDVVKIMSSMFGIPIGVDVHTRHICEIGSHTAEYARVLVDVDTTRDLVGGISVSFPNGNGYLLSDLRIEYPISRCSFCKAFDHTVRNCVGAKDKANKLNEMHLKKEQQDATIKVAVARDQDLVEQIGKDIYFDLVDHNKVWSFCVEKQMPFNKVKEEVARAFGVPVECQRFWTWAKRQNHTYRPYRPLTRLEETKSVGELRVAYNKIGNAEAELNLFLETTVIGPDSRPLPPPHISKEDILVFFKLYDPDKLQLRYVGRLFVRSSGTPTEIISKLNEIVGFSPDEEIELYEEIKFDKYQELEFGSCVMCERLDKRISFRSSQIRDGDIICFQKLSQIEYKYADVPSFLEHVKNRQVVRFRSLDRPNE >cds-PLY92131.1 pep primary_assembly:Lsat_Salinas_v7:3:143109349:143110672:-1 gene:gene-LSAT_3X93260 transcript:rna-gnl|WGS:NBSK|LSAT_3X93260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNISESTRKPQETTSSNTTTNDAGDFECNICFELAEDPIVTLCGHLFCWPCLYKWLHIHSRSQECPVCKALIQQDKLVPLYGRGKTQTDPRSKPLPEFEIPHRPSGQRPETAPPAQDNATNFPNFGFGGFVPMASARFGNFAMSSGIGGLFPSLLDMQVHGFQNPLQYGPIRHGYGPRFSSGFHGGHHVHEIFHSRRGNQEYNLLKTLLLMIGIFIVLVMIL >cds-PLY61986.1 pep primary_assembly:Lsat_Salinas_v7:5:159648045:159650309:-1 gene:gene-LSAT_5X69441 transcript:rna-gnl|WGS:NBSK|LSAT_5X69441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:IMP4 [Source:Projected from Arabidopsis thaliana (AT1G63780) UniProtKB/TrEMBL;Acc:A0A178WDJ3] MLRRNVRLRREYLYRKSLEGKERLLYEKKRKIKQALEEGKPIPTELRNEDAALRQEIDLEDERTAVPRSTIDDEYANANERDPKILLTTSHDPSAPLKQFVKELKFVFPNSQRMNRGGQVISEIVETCRAHDFTDLILVYEHRGVPDKIVISHLPFGPTSYFQILNVVTRHDNKDKKEMGTVSEAYPHLILNNFSTKIGERTATILKHLFPVPKPDSKRIITFANQSDYISFRHHVYRQSGGPKSIDLKEVGPRFELKLYQIKLGTVDQDEAQTEWVLRSFMNTSKKQKVLGE >cds-PLY72678.1 pep primary_assembly:Lsat_Salinas_v7:6:28674450:28684499:1 gene:gene-LSAT_6X22121 transcript:rna-gnl|WGS:NBSK|LSAT_6X22121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSAAARSAISARSSLCLVLLPAAVANRSNQQQGSKMEVMNYYDYEGGVEKVSRRFVRKKIGSKICEEEEEDASRKQKRASPFCSSVHESSQKVKKVKPGSQRRYVSCDSYKKVDNNNNSLNGYYDEMEKRKRKRISEPNDESDTDSDQCSVGSCSVVPNPSDDNSDGESLNISSDDVAVSIHRLELHAYRSTLEALYASGPLSWEKEALLTNLRINLHISNDEHLIELRHLISSGVN >cds-PLY92345.1 pep primary_assembly:Lsat_Salinas_v7:9:178203794:178205170:-1 gene:gene-LSAT_9X110080 transcript:rna-gnl|WGS:NBSK|LSAT_9X110080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SCO2 [Source:Projected from Arabidopsis thaliana (AT3G19220) UniProtKB/TrEMBL;Acc:A0A178VCM9] MLPINPNPIFTKPPTTTYLTPPPTLLRTRAASDNLNWSFSFSAAADSTGKLNNNEFVSPPMAVEKKRTRNLGNDSNYSSTTKVNAKERWSRDRESYLTDDDDPLPLPMTYPDTSPVSPDVIDRRLRCDPLIEDCKEVVYEWTGRCRSCQGSGYASYYNKRGREVTCKCIPCQGIGYVQKITARKDIDVMEDLENGKPP >cds-PLY83949.1 pep primary_assembly:Lsat_Salinas_v7:8:36664111:36664792:1 gene:gene-LSAT_8X28501 transcript:rna-gnl|WGS:NBSK|LSAT_8X28501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAITPTTLFQNCFDVGTEKWIEGVYQDKIRRYLTVANGFRMENSGGGDRERVNCNYFPR >cds-PLY69932.1 pep primary_assembly:Lsat_Salinas_v7:4:67486820:67490704:1 gene:gene-LSAT_4X46541 transcript:rna-gnl|WGS:NBSK|LSAT_4X46541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFYRSVSLLSKLSNRAVQQPSLSNSVRWLQIQTSSDLDLRAQLKELIPEQQERLKKIKSEYGKVQLGNITVDMVLGGMRGMIGLLWEISLLDPDEGIRFRGLSIPECQKVLPAAKPGGEPLLEGLLWLLLTGKVPTTEQVNALSKELRTRAIIPDHVYKAINALPITAHSMTQFTTSVMALQVQSEFAKAYESGIHKSKYWEPTFEDSLSLIAQLPVVAAYIYRRIYKNGEVVAADESLDYGANFAHMLGFDSPAMQELMRLYVTIHTDHEGGNVSAHTGHLVASALSDPYLSFAVALNGVVGPLHGLANQEVLLWIKSVVDECGENITKDQLKDYVWKTLNSGKVVPGFGHGVLRKTDPRYMCQREFALKHLPADPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNYYGLTEARYYTVLFGVSRSIGICSQSTWVAT >cds-PLY75871.1 pep primary_assembly:Lsat_Salinas_v7:1:172162431:172162814:1 gene:gene-LSAT_1X114601 transcript:rna-gnl|WGS:NBSK|LSAT_1X114601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLIRSNVIENPLFISDLGLFVTFRLGEQTPFHFLNSDKPEIKQGFNSHPGLYGHNLPTFLSLITSFLSIKLTGEIDNCWCLEQMMCHINSDGMKGVIHGRIITSKENGDSKSKEEDTPVEWKISTL >cds-PLY88226.1 pep primary_assembly:Lsat_Salinas_v7:8:150171149:150173807:-1 gene:gene-LSAT_8X101181 transcript:rna-gnl|WGS:NBSK|LSAT_8X101181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFSRKLHLFLFLFGLAITQSTSQSTTTNTTTADDATTITKAASIAKPGCQSQCGNVTIPYPFGIGPGCFLSYWFEMTCNTTFNPPKPFIGGLPILEISDSTFRITNKVANKCYNPLGNLTEDNPVSTSLGWTSPYTFSQKNQFTLIGCDDFALFLGPLQINFTSGCITLCSRPEEVLNGSCTGVGCCQTSIPKGLKYYYTSVGSMVSNHTTVWPFNPCTYSFMGEKERFTFRGVSDFMDGDFITRTRASVPILVDWVVGNLSCSEARNAGVLACQANTHCIDSDTGAPGYRCICNKGYQGQPYLDPGCQDINECEDPNSNLCEGICTNTPGSYSCSCKDGYVVDEFSNGRGCLVQTSEFPVIKFSLGMGFGFMALLVGITWLYFAFKKRKLIKLRQKLFQQNGGLLLKQRIISTEGSVDSTKVFTAVELEKATNNYAEDRILGRGGYGTVYKGIFSNGQVVAIKKSRVMDESQIEQFINEVIILTQVNHRNVVKLLGCCLESEVPLLVYEYVSNGTLFNHIHDKGTNWLSLENRLRVAAESAGALSYLHSATSTPVIHRDVKSANILLDENYTTKIADFGASRLVPIDQTQVTTLVQGTLGYLDPEYFHTSQLTEKSDVYSFGVVLAELLTGRKPLCMERTEEERNLTTYFVMALKENRLFQILDPRVVREGSLDQLQEIGELVKRCVKLTSDERPTMKEVATQLEGLRKFTQHPWANQGDEENTSLIHTENEQEDLYGESINPYSTTVELSSGFSIDSSLVYSTHMPR >cds-PLY93830.1 pep primary_assembly:Lsat_Salinas_v7:6:143543249:143546159:-1 gene:gene-LSAT_6X86541 transcript:rna-gnl|WGS:NBSK|LSAT_6X86541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTWLIGFKGPSGFSAKHTAEDVTEGVDGKGLTAIVTGPTSGIGLESARVLALRGVHVIMAARNVESATKCKENIIKGCASATIDVMQLDVSSLESVRMFANEYISKGLPLNILICNAGVMTPPFGLSKDGIETQFATNYLGHFHLTNLLLDTMKSTAQKCGIEGRIVIVTSKLHGMTYKEGIRFDKLNDEKSYSSLSAYGQSKLANILHAKELARRLKEEGANVTANALHPGVIATNLSRHSTFSACFYGVFGIFQKNIPQGASTTCYVALNPKVKGVSGEYFAGNNVDKPSSQACDAELAKKLWECGMELTSSK >cds-PLY89873.1 pep primary_assembly:Lsat_Salinas_v7:3:252247335:252250628:1 gene:gene-LSAT_3X139201 transcript:rna-gnl|WGS:NBSK|LSAT_3X139201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEMSYDMNNSSIPVKHKQMKMFVKIVVGLILMGFAYRLYFSTYVQIPHVIVVFGDGYRSTSTPSVVESNFTLSGQVPVNDTSSRKCDIFTGKWVEDLTGPRYTNNSCNTIEHHQNCMKNGRPDMDYIYWRWKPRNCNLPIFDPKIFLNFMRQKSMAFIGDSISRNHVQSLLCILSQVEKPVEVYHDEEYRTRRWFFESHKFTLSVIWSPFLIESKIFEDNDGHASSAVQARLDESDPEWANEFHNFDYIEIGAGKWFLKTTFYFENNEIIGCHNCKKENVPELGFYYAYRKALQTTLDFITKSDHKVYTLFRTTTPDHFENGEWNTGGYCNRTGPFEEGDIELRDIDMVMRGIELEEFEKAVRVMDGSRDGSILKLFDTTRLSLLRPDGHPGPYRAFHPDTNGEVQNDCLHWCLPGPIDSWNDLLMNMLLRG >cds-PLY71018.1 pep primary_assembly:Lsat_Salinas_v7:9:72505678:72506427:-1 gene:gene-LSAT_9X58600 transcript:rna-gnl|WGS:NBSK|LSAT_9X58600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METQHFYHPLVAKTSTISLLNVRGTSNLGFDATFIKPHKHKQHSFQSGCGDYYKIKHGNCRMTRSYRKVASIVAKVSNLEEYKCVQEVVMTNQSKFQKTLMIWAEYKCVSAIITAIARVMISQPKLLVMLAMAGMTMSINFVFILWRESAPDFPPLWFKALTATIPFLPVLLNFLLIPKTTTVRYTVAASILGQTLGLVALKRFYANRTFSHRL >cds-PLY66461.1 pep primary_assembly:Lsat_Salinas_v7:5:327709353:327711477:1 gene:gene-LSAT_5X183661 transcript:rna-gnl|WGS:NBSK|LSAT_5X183661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSDIGAKLPPGFRFYPSDEELVCHYLYKKIANEDVLKGTLVEIDLHICEPWQLPEVAKLNSSEWYFFSFRDRKYATGYRTNRATKTGYWKATGKDKIVVDPKTGALVGMRKTLVFYQNRAPNGIKTGWIMHEFRLETPQTAPKEDWVLCRVFYKAKGENRNEFHSQNNTITQVTSSNTDTYPLRTSDSQPQSCGCYHQITTVSSHQSPPLDHHYNQYDYCHPNFPQPSHNTSFEDSSNQTTFMDLSNSKRQDHESGLFSECGFEFGLPSSMGFLDDDDDKMDNGIVFF >cds-PLY81588.1 pep primary_assembly:Lsat_Salinas_v7:2:17431930:17435055:1 gene:gene-LSAT_2X9140 transcript:rna-gnl|WGS:NBSK|LSAT_2X9140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSRLATTARAAASFSASATSRRAIDFETTLYGSRCSTYSNAHHSHCFSTLDKAIGGNISYSSIASAARELHEKDLPHSNTNYAPKDVVLYQYQACPFCNKVKAFLEYHDIPYKIVEVNPINKKEIKWSDYKKVPILMVDGEQMVDSTDIIDKMFQRMHPDSVSEDEETQKWRGWVDNHLVHVLSPNIYRSPSEALESFDYITNHGNFSFTERLVAKYAGAAAMYFVSKKLKKRHNISDERKALYEASEAWVDALNGRQYLGGLEPNLGDLAVFGVLRPIRYLKSGKDMVENTRIGEWFTRMENEMKPHRDTSFQEA >cds-PLY65105.1 pep primary_assembly:Lsat_Salinas_v7:4:4897225:4900442:-1 gene:gene-LSAT_4X2361 transcript:rna-gnl|WGS:NBSK|LSAT_4X2361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:Projected from Arabidopsis thaliana (AT1G67710) UniProtKB/TrEMBL;Acc:Q0WRT0] MAEGINGFSSPRADVFPAGLRVLVVDDDPTWLKILEKMLKKCSYEVTTCGLAIEALDLLRERKDGFDIVISDVNMPDMDGFKLLEHVGLEMELPVIMMSVDGETSRVMRGVQHGACDYLLKPIRMKELRNIWQHVYRKKIHEVRDSESHECLEEILMMRNVSEQSDDGYLLSGGDITPGKKRKDAEHNNYSDKDFIDHSSIKKARVVWTVDLHQKFVKAVNHIGFEKVGPKKILDLMNVPWLTRENVASHLQKYRLYLTRLQKDDLKSTDSIKMQQNEGVQNTNSRIHEVDLKTTTTSLQTIESKKVLTGYAAKGQAQHNKASSSNIGIGNNHSFGSTQYPTFNSVLPTQYSWDGGFTEIQFKQEHNNQHFEVENGSGLLPTTVIQQHNQERGKRIANSNSGIKSSFGSSSYINIMPTFQPPDPWSLKDDHHHHSINNLDLSSILLQGQQLSSSTNLDIQKKGVAEYNDPEFPPSLYDALRFDYEYEYPPDSLEYPVIDQGLFIV >cds-PLY67859.1 pep primary_assembly:Lsat_Salinas_v7:9:144655447:144656547:1 gene:gene-LSAT_9X92441 transcript:rna-gnl|WGS:NBSK|LSAT_9X92441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTMRVHLQPSDLRFTPAGENTTTVVRPYAMQGNPYVINDITPVTITSSEPEPPTCDHNHQHPAVIFSSGGYTGNIFHEFNENIIPLFITSRLFRSKVHFIVVDHKASFIQKYRRVFSRLSDHEIIDPAVDSGVHCFPGAVTGLKFHKFLGVNTSDNPQGYYSMPDFREFIRQTFRLKTRSVSETQNPPVLLLISRQTTRKFLNQAEMVKMMEEVGFRVIIASSAKEMSNVEKFSRVINSCSVMVGAHGAGLANEIFLPDGGVMVQVRPLGFQWDVDSFYSEPGPEMGLKYLEYRIEPEESSLADVYGLDHPVLRDTASVAAKGGYEAAREMYLDKQDLRIDLDRFRETLIEALRIVGRRIDVSNA >cds-PLY82749.1 pep primary_assembly:Lsat_Salinas_v7:2:147924554:147926405:1 gene:gene-LSAT_2X73761 transcript:rna-gnl|WGS:NBSK|LSAT_2X73761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFQNDNKNSEASSSSHVLNLPRETSPLLNSKSLHQSSQFKTFANVFIAIVGAGVLGLPYTFKRTGYATGTLTVFAVAYFTQHCMMLLVKTRRKLESLNGFSKINSFGDLGFVVAGPIGRSSVDIMIVLSQAGFCVSYLIFVSNTLVNIFNFTSPSNPTTPNILGLSAKTFYIWACFPFQLGLNSIPTLTHLAPLSIFADVVDLGAMGVVMVEEVIIYLNSSPVLQAFGGFSAFFYGIGVAVYSFEGIGMVLPIETEMENKNKFGKVLGITIAFIAIMFASFGIFGYFAFGDETKDIITTNLGQGWLSSVVQLGLCLNLFFTFPIMMNPVYEVFERMFCEGRYSLWVRWVTVLVVSLVALCVPNFADFLSLVGSSVCIVLGFVLPALFHLMVYRNELGWIGWVKDGALVVFGALLAISGTWSSLLEILGAKA >cds-PLY64836.1 pep primary_assembly:Lsat_Salinas_v7:8:265460203:265462727:-1 gene:gene-LSAT_8X154821 transcript:rna-gnl|WGS:NBSK|LSAT_8X154821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQFIPNFVRTMEIIGTLLRTSRNGTALTGTGPDSNYGLAQCYGDLSSQDCILCYAEARTVLPSCFPNNGGRIYLDGCFMRVQNYSFYHEYTGPNDKLVCGNTTAKSGLFGAAVRQAVGNAVVEGSRNWDYFARGESVVNVNESVFVMAECWRSLTPAECRACLENASAAISKCLPWSEGRVLNTGCFMRYSDTDFLNPIVVATGSSNRGKIIAIVVSVVSSVTVLTVALMIILYIWKQRYIQKKRKGSYDAKKLSKMLNDSSLNFKYSTVEKATGNWDECNKLGQGGFGTVYKGILSDGREIAVKRLFFNNKFRAADFYNEVNMISSVEHKNLVRLLGCSCSGPESILVYEYLPNLSLDRFIFDATKGKTLNWNKRFFIIIGTAEGLVYLHENTKNRIIHRDIKAANILLDLRLRAKIADFGLARSFQDDKSHISTAIAGTLGYMAPEYLAHGQLTEKADVYSFGVLLLEIVTGMENNKSKTTEYTDSLVSIAWKHFQEGTVDEIFDPNLMMHLYPNTNYHKEAKKVVQVGLLCTQEAPSLRPTMSMLLKMLAKDDEPLPLPSNPPFIDEKTMELNDISEKLQNYSYTDSSSSVATVSQSHFYPR >cds-PLY74599.1 pep primary_assembly:Lsat_Salinas_v7:7:33968429:33971224:-1 gene:gene-LSAT_7X25540 transcript:rna-gnl|WGS:NBSK|LSAT_7X25540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPTVDNKRQAYGLEYNELREYTHGFFSNVAEILEDGMIQLVGNLLTLFLLKDGTEGSTSGTTLIFNVFLGSMTLGTFLMAFLKKKDNEETEHQHI >cds-PLY66836.1 pep primary_assembly:Lsat_Salinas_v7:7:19357252:19359468:1 gene:gene-LSAT_7X15520 transcript:rna-gnl|WGS:NBSK|LSAT_7X15520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNFTLDTSEPLVLFNKTSRWNPFSMVPGGAAADVVAEGQDNRRNVLSEMDLFSTRNHSHNRVVVKKENFLGNDLDLNTGLNLVTMVSNRSKMGDDISTTGEDQTIDKLKDIQNELERMNIENQRLREMCLQVSNNYNALQTHFVTLMHQQEQEMRNENIQNLDQNANDHLSHDQVSVAVPRQFMELRQSTHDHLISRDSSSEEKTIDRDTKTIAREDTPDSDVWTSNKVPKLVVPENIEHTNDPTMRKVRVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRWADDQTILVTTYEGTHNHPLPPAAVAMASTTSAAATMLLSGSTSSVDGFMNSNLLAQAIRPPNIATISASAPFPTITLDLTHPPNSTPIFEGYNNPAASQFAMPLQAFHQGGSGAMVYNQSRFSGLHLSNNELSNQVLQYQQTAPPQHHQSQPHASFGHSLSAATAAITADPNFTAALAAAITSIMGGNGSTISPKNEENNNREMG >cds-PLY67853.1 pep primary_assembly:Lsat_Salinas_v7:9:145517944:145518605:1 gene:gene-LSAT_9X92701 transcript:rna-gnl|WGS:NBSK|LSAT_9X92701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPFLHLLDSNHLQTRWHGYPSFPFCTLIPNQTSPWKQSQPISFNTVKPSKLTPRFTTIKVAATGAVPTKEAPMGFIPPELDPNTLSPIFTRKHRVFPAGRGGSEHGMNRHHNLRKLHQTEASSPLKPKASICSRYPNANYIDPPSASSTTVK >cds-PLY86276.1 pep primary_assembly:Lsat_Salinas_v7:8:58910019:58912371:-1 gene:gene-LSAT_8X42821 transcript:rna-gnl|WGS:NBSK|LSAT_8X42821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLVMLSLLLTPLLFSFSNAALDPDSVYQSFLQCLPLQTTESADDLSSIVFSSTANSSAYTAVLQAYIKNQRLNTSSTPKPSVIITPTTESEVQAAVLCSKKVGVQIKIRSGGHDYEGISYISSDPDFIILDMFNFRDIDVNIADETAVVGAGAQLGELYYRIYEKSKVHGFPAGVCPTVGVGGHLSGGGYGTMLRKFGLSVDHIIDARIVDVNGRVLDRKSMGEDLFWAIRGGGGASFGVILSYTVKIVQVGEVNTIFRIMKTVAQNASELVYKWQSVMADIDDDLFIRVLLQPVTVNRQRVGRASFIAHYLGDADSLVALMTKNFPELGLRKEDCVEGSWIDSVLYWANFDNTTAPEILLNRHSDNINFGKRKSDYVQTPISVSGITSIFNKLVELGRLGFVFNPYGGKMNEIAPDATPMPHRAGNIFKIQYSIGWSDPDPELERNYLNQTRVMHDFMTPFVSKNPRGAFLNYRDLDIGVMTGDNYSEGKVYGDMYFMGNFERLVKIKTAVDPDNFFRNEQSIPTLPGKSSGKSRKMMK >cds-PLY68002.1 pep primary_assembly:Lsat_Salinas_v7:4:257876074:257876330:1 gene:gene-LSAT_4X135880 transcript:rna-gnl|WGS:NBSK|LSAT_4X135880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGINVNGNAVVKRKRGRPRKYASDVSTAPTAALYGPEAAQSESPEFGNWMFLLE >cds-PLY88603.1 pep primary_assembly:Lsat_Salinas_v7:5:126783572:126796921:1 gene:gene-LSAT_5X53841 transcript:rna-gnl|WGS:NBSK|LSAT_5X53841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLGCSSSTNSLLVASYVINIIHGLCEEKNRRTPQFELYLNDLFENDFNTLFRMLPKFYSGLKKENGEHFGHCFVSATPGSFYGRLFPNKSLHLVRSTYGVHWLSQVPVGVENNTSNIYIAKSSPPNVLKAYQNQFDTDFSTFLQLRSEEVIKGGCMVLTILGRSINDPTSDDCCSFWELLAQSLVDMLKEGLIQESELNSFNVPYYSPYVSAAYFLWQDNFERGTPVEFRNEIQTLSKIEHLNLVRFYGYIEHGEDRMILVEYVANGTLREHLDGKCGSGLETGERLDIMIDVAHAITYLHTYTDLPIIHRDIKSSNILITDKLRAKVADFGFARISVEDPGATHISTQVKGTAGYLDPKYLSTYQLTDRSDVYSFGVLLVEMVTGRLPIEINKAPNEKLTKKWALQRLKGGEVVLAMDPKLRRNPTALMVVENVLKLARQCLAPTRQLRPSMKRCVDILWRIRKDYHEYTEVMEAANHSVQVHVLGAGDFQLGKIEVLRDHRADDIRFNIFGIPTYCANQREFDTHDFRVVLDKELGLILKLRDMMKGFRASSDTLMTSY >cds-PLY85233.1 pep primary_assembly:Lsat_Salinas_v7:1:148796063:148796563:-1 gene:gene-LSAT_1X105600 transcript:rna-gnl|WGS:NBSK|LSAT_1X105600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKHTEILDAFRIVCRFHSQCPQTARKYYHPPAENHSHGGDGKPVGRNGGADDAKESWNNGAINTFDVISVF >cds-PLY86479.1 pep primary_assembly:Lsat_Salinas_v7:2:81395701:81405103:1 gene:gene-LSAT_2X35961 transcript:rna-gnl|WGS:NBSK|LSAT_2X35961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor E2FB [Source:Projected from Arabidopsis thaliana (AT5G22220) UniProtKB/Swiss-Prot;Acc:Q9FV71] MQHQQNHNHSFLKRQLPFTSMKPPPFGDYHRFSSTTAAHHESEGIVVKTPPLKRKGDATNEQSQSPTPGNTLATTTSLQTPVSGKASKPQKIPRIGKCNRAGSNADSPSGNNLTPVGPCRYDSSLGLLTKKFINLIKHAEDGILDLNKAAETLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDASKGEVDESIASLQEEVENLSVEELRLDEETRWLFVTEDDIKSLPCFQEETLIAIKAPHGTTLEVPDPDEGVDHLQRRYRIVLRSTMGPIDVYLVSQFEEKVEEMNGGIPPSSLGMVENPTKETTMDERQGTDAGAHTSEDFVSAGIMKIVPDIDSDADYWLLSEADVSITDIWTTESSVEWNDLDMLHNDYTMPMPLPGVTVTTPPTSDANPPTPTPTPTGTDCLG >cds-PLY80675.1 pep primary_assembly:Lsat_Salinas_v7:5:247161557:247165185:-1 gene:gene-LSAT_5X123141 transcript:rna-gnl|WGS:NBSK|LSAT_5X123141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPRTPVELVHHLRNLLPYTAPNPDVRQSKRREKISELRKVILEMRTILYGSDRSEPAEEPCAKLTQEFFREDTLRKLLASLPNLDPGTRQDVTHVLANLQRQRPNGRCIASEYLEHNTYVLDMLLPGTDDSELALSYGAILRECIRHQVSAKYILESDHIKKFFKSIQDPSFDIASDAASTFRELLTRHKSTVAEFLSKNYDWFFQEYNSLLQSPNYITRRNAVKLLGAMLLDRSNTPVMVRYVCSLDNMRILMNLLRDSNKTIQVEAFHVFKLFPANQKKPPEIVNVLIANRSKLIRFFSDFSLEKADAQFESDKAEVVKEIATLELKGIPCSTLKQCEEISC >cds-PLY94145.1 pep primary_assembly:Lsat_Salinas_v7:5:34062425:34065527:-1 gene:gene-LSAT_5X14620 transcript:rna-gnl|WGS:NBSK|LSAT_5X14620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYSKSSDAAVEVPEASDAAEKYTGAKSEMMLFSNNLFTCVETLRDETMRRQSTMVKFHLSNSLLTNALVTWMLVGTKLQHVNATLALESVANTGGFFAERRLKPRDEPFWFKKPELLLNLIHFNLFQMGMNYKATLIPQSIRDTIHGWGKDARRRRRWLGIYGDDSMVHTDTSTVISVEELELREPVPTCVYMYPFHTMKPLIILLHVSF >cds-PLY94593.1 pep primary_assembly:Lsat_Salinas_v7:8:180640969:180641691:-1 gene:gene-LSAT_8X117400 transcript:rna-gnl|WGS:NBSK|LSAT_8X117400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLGLNTETKFQIQKDPVTPEENVNDLGGSVYNDRVAEVLKMKKILDKSGDESQTELVVCALLTKLQSMELSIETLEETMIGKTVSARQKHASGKVRQSAVTLVKAWKRTVDEWVENESNVEEPKSLQLKSTNVNMNSEKKQSNVVEPKSLQIKSMNVNMNSEMKLGGPEKAPKIGIDRTVYSWQKLEALKRKMREEYERIENMKKKSRIQVIELDAVTKKAPRPHAHGEYNRRKVYRRG >cds-PLY99072.1 pep primary_assembly:Lsat_Salinas_v7:6:151712114:151716518:-1 gene:gene-LSAT_6X90880 transcript:rna-gnl|WGS:NBSK|LSAT_6X90880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRPEFIEDATIIGGLIGVQFIYAGNSILQKYLMSEGLPQSPYIISLNFITFLILSPLAFFFERNAWPKRLSPKLSVQLVLISFSGVTLFQSLMLKGINLTSASMATAMPNLAPGLVFLIAWAFRLEKVNLTCTYSRVKIVGTFLCVVGAITMTIMQSTIRKTVAKDIFPNDALSPPTDHFYIFDRDHIIGCLYLIAAVIVLSSNVILQAITLRDMPTPISLCAITSLIGVMLTVIVEFIQDQEIGKEWQVWCLKQLMWYALLVGTVSGFCVSFNGYAMRKRGPVLVSMFNPIGTVITVVISRFLGHSIAIGSLTGMFVMFTGLYFVLWAKGKEGFLQKEENEIRREYCDVETPLLQ >cds-PLY78620.1 pep primary_assembly:Lsat_Salinas_v7:4:151607209:151607830:1 gene:gene-LSAT_4X93140 transcript:rna-gnl|WGS:NBSK|LSAT_4X93140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTFDYGSIQSSSQRRGVAMVLAMVTAVMLSPLYVNGRQKESHLETRLWSSGFVLPMVLAGLIVAIKTTSSSSSSSSDALCEDDTSSSWMLRVGSSSWGLAGVLGMFLFVVYWHDTVQHLFWR >cds-PLY99744.1 pep primary_assembly:Lsat_Salinas_v7:2:131736218:131739467:-1 gene:gene-LSAT_2X61580 transcript:rna-gnl|WGS:NBSK|LSAT_2X61580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPGSLLWPVTEPRLQFYFFLGLVYSTITPILFPFIIVFFAFDYMFFHHQTMDLVGGPQKIFHKISQNDHMILLLLWHILYLILKLIYCVWEKMRAIESYLIANGIVKTYEDLNLDRVKYLGIVVDSDEARETSKVIELLEWLSDIGVKKVCLYDREGDSGVIEEETTKELDDTLLQDSIDKDLYELNKRLEHKESDVPFFIVGVVGLIL >cds-PLY73259.1 pep primary_assembly:Lsat_Salinas_v7:8:211066564:211068084:-1 gene:gene-LSAT_8X131821 transcript:rna-gnl|WGS:NBSK|LSAT_8X131821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTQDEVGCNADAMVAGQAQILRYIYGALDGMAIRCCVELRIADIIHNHGHPITLSEIANGIASPSINLDGLRRLMRFLVHRKIFQVGDGEGGNEDVYSLNHCSKWLLCDADVTLAPMVMMRTDPSMVLPLHVLSRSITEGGTAFKMIHGEEMFDFSSSNSGFNRVFNEGMACTAKITINAILASYKTGFLGTKGSVVDVGGGTGVAISEIVKAYPHLNGINFDLPHVISMAPRYDNGVTHIGGDMFEAIPPAETIFMKWILHDWSDDDCIKILKNCRKAIPKESGKIVIAEIVNHPTGDDPFVDTQLTYDLVMFSHFSGGRERNESEWKRILNEGGFRRYNIIKIPSLQSIIEAFPE >cds-PLY83753.1 pep primary_assembly:Lsat_Salinas_v7:4:43476038:43476835:-1 gene:gene-LSAT_4X28800 transcript:rna-gnl|WGS:NBSK|LSAT_4X28800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSSFVGQAVKAAPSGSEIIGNGRVSMRKTAVKKVAPSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWATQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVANNAWSYATNFVPGK >cds-PLY90869.1 pep primary_assembly:Lsat_Salinas_v7:9:161830812:161832169:-1 gene:gene-LSAT_9X101360 transcript:rna-gnl|WGS:NBSK|LSAT_9X101360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKGNGTHEEASSPGWGASFLVQTAEDASGTAVTPPSPSPTTSVVLFVYPPDKQLPLRSKDLLSLCFSSWIGGAVELSEPNVNRAYQRQKEAYSSYNTFFLEFHK >cds-PLY66222.1 pep primary_assembly:Lsat_Salinas_v7:1:175686713:175690741:-1 gene:gene-LSAT_1X116121 transcript:rna-gnl|WGS:NBSK|LSAT_1X116121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSGTFCSGTINQGSQQYSYPSHVNASSFLSVKLSSKTNYTRWQEQMMCLVESHDMLSFIDGTFRNPKKNVDSKKKVDITAIEDKYREWKRSDTLMKGWIFGSLCEDVMDTVIGLHTANDVWRKLKSTYSNSTPPSYSPTTNTKAILRGDWKEAGDIFNKDKDALLVKLNDDNDTPLHVAIGTCKNIQFVENLLKEITSESLPNMVNIRKSNPLHYAAFVGNKRASEMLVAKNPYLLFIADGSNCFPVHRAIFGSHTETFLYLLKVTKDNIILSEQEGYHSPFKGKQSVSLLTRVIGASLWDVAYGLIKEYPEMATKKKENSIPLKSVAGKLDGYFSGKGYNFYERFIYSHVLVEDGELNRGHEIQDIENQETNNANFIPKYRRRLHPVIQWIYVRFWKVSLQHVPHIKQIKEEKVNHNKALLLLKCICEQVSKINEFCKIREHYHEAITTAVEHDNPEAVEEIIRYFPQAISTKDNEYPLIQLAILNRCERVYNFLVHQITTDKHSQKVRVDEYGNNLLHLAGQLAPIHKLNLVSGAALQMQRELQWFEEVKSFACPWHEKSKNKNQETPIMVFRREHKKLRKEGEEWMKKTADSYTITAALIITIVFAAAITVPGGNDSDTGKAIYAPKPSFIIFAVSDAISLFTSTTSLLLFLSILTARYAEEDFLYKLPKRLILGLAMLFLSVSSMMVAFSATLYLTFGQGKAWILIPIATLTCLPIASFVTLQLPLLVDLIFSTYGCRIFVKHNDCRIKKS >cds-PLY86773.1 pep primary_assembly:Lsat_Salinas_v7:4:296773063:296773668:-1 gene:gene-LSAT_4X147961 transcript:rna-gnl|WGS:NBSK|LSAT_4X147961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLTILLYLLTCSAGSVTQDLWSLPGPNEENGITPYGLVENDSGLVRGLLEVEGALVGSSQTCSQFDKDRRFLYEKDESEFEEGDDQQQIEEDLFDHIVWAPRIWHPWGFLFDCIERPNELGFPYWSRSFRGKRIVYDEEDELQENDSEFLQSGTVQYQRRDISSKEQGLFRIS >cds-PLY89524.1 pep primary_assembly:Lsat_Salinas_v7:4:158261429:158261782:-1 gene:gene-LSAT_4X95261 transcript:rna-gnl|WGS:NBSK|LSAT_4X95261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQLNDYNDIIKKFLPMFMEEEYPPKTETQDGAEEIILETQPESEEEEEGINDTQELPVHLRIVKRRRPSERIVKTKLKKIGCVGTSANSALELD >cds-PLY87846.1 pep primary_assembly:Lsat_Salinas_v7:2:66127626:66135194:1 gene:gene-LSAT_2X29581 transcript:rna-gnl|WGS:NBSK|LSAT_2X29581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTRTKQPDCTSCDVKNHMETNNIRNLEIHVRARVTGWLKDVEKIKEDAQIILSTGNGCFNLKMRYQTRRNTFRIKEEMGSLIEENKRNFKDALKFLQQDNTSQVIALYGMGGAGKTTMTEQLKKAAEDNKLFEQAVAKNIGQPLKETSITATVGRIHIRFGKMFEGQKKVLVILDGFLPLAVKLIELSYTYLKEEEEKRIFLPCGLFPDDFYIPIEEITRDLSPYISLSPYISKQRSCIFNTPNPPTYVDPLNITAMACPALPLCPLAITKVERGISDLLKRVRAVFEKVGLPYNESIVVRVTGCPNGYARPYMAELGLFGDGPNSYQLWLGGTPAQTTLARTFMNKGFKKLQEIVDKWEGVPKSSSRYYLKLFTDKETFEAVDALARVENKSAHQLAMEVICNFVASQQNGKSQ >cds-PLY86844.1 pep primary_assembly:Lsat_Salinas_v7:1:16354611:16357083:-1 gene:gene-LSAT_1X13660 transcript:rna-gnl|WGS:NBSK|LSAT_1X13660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKSNQNSVQQSDRELMKHMCKLCDKSFPCGRSLGGHMRSHVINSTDHHHKMKLSSVNNNDRKNMMDNNNTSVLNGSSNSNDLGYELRKDPKKTLKAKDSSEPHDDCLVVLDKLCKECGKGFQSWKALFGHMKCHSDKVLNTKTAISNQDSKISQSGNDNSGIKIRQMKKSRSRNGTTKKSIVTCATTPTVTTTTTTTTTATASSSVSMNANHASTSVVSDMDQEQEVEIAMCLIMLSRDVGKWGKKITGNGYKMKKLAESEVGYEILGRSEVGFDDFEKKTINEDEFDDQNKRKFECTTCNKSFHSYQALGGHRASHNKLKGCFDWNNDHKNKIESKPVLDHEDMINGCCEKTSDNHHSTSSFNLGGSLKNTLVLGAHECSICFKIFSSGQALGGHKRSHLIAEAKLNQQNPSLVEKVAKPVQEIRGFIDLNLLPDPTEEEEEEQQQEIMMGDTSTTGTEYNPWCYNHASTLLGLLSTS >cds-PLY90330.1 pep primary_assembly:Lsat_Salinas_v7:2:197813886:197815229:-1 gene:gene-LSAT_2X118400 transcript:rna-gnl|WGS:NBSK|LSAT_2X118400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGTADFFYREAQRLGYVARSAFKLLQIQKQYKLITPGSSVVDLGCAPGAWLQVACQSLGPLKNGGVVVGIDLKKVKVPSTHCDSRVSTVCADVMNLPKHQLLNLSPQKKGFSVVLSDMCPLVSGITTRDAALSMELGMRALDLALGKTEKQPNQEEEEEDDVGVLKAGGHLIVKLLESEDTKELSKICKPLFRKSSWLRPKATRSNSREIYLICQDLQH >cds-PLY94199.1 pep primary_assembly:Lsat_Salinas_v7:5:322331442:322331934:-1 gene:gene-LSAT_5X178781 transcript:rna-gnl|WGS:NBSK|LSAT_5X178781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDVIGNFLSDGLQPSETMIGHIVEMEMDYINTSHPNFVCGSKVVEVTLQQVKSSKLATTVSRQKDGVESEKAPQSERGIKSRAILSRPVNGIVIEQVLFFAFNF >cds-PLY68821.1 pep primary_assembly:Lsat_Salinas_v7:3:61473756:61475076:1 gene:gene-LSAT_3X48581 transcript:rna-gnl|WGS:NBSK|LSAT_3X48581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHHKVCVTGASGFLASWLIKRLLLSGYHVVGTVRDPANEAKLAHLWNLEGAKERLHLVKAELTEEGSFNDAIMGCEGVFHTASPVLGHITNPREEILKPAVDGTLNVLRSCKKNPSLRRVVFTSSSSTVRVKDKFDPEKPVDESSWSSVEICEKYQIWYVLSKTMAEKAAWEFCNENGINLVTLLPSFLVGPRETERFKWHGRMGYVHIDDVALCHILVYEHEKAQGRYLCSSTVIDNDELVSILSSRYPTLPIPKRFEQHDRPYYEFNTTKITSLGFKFKSIQEMFDDCITSLVAQGHLSIP >cds-PLY80253.1 pep primary_assembly:Lsat_Salinas_v7:6:124697383:124698457:-1 gene:gene-LSAT_6X74320 transcript:rna-gnl|WGS:NBSK|LSAT_6X74320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKISNTGYSGIPSSTAVSSPSTSTLPPGSPSTIFARARFHTENFVAKRRPWRDFFDFSAISRPISYEDAMSRIRQNLNYFRVNYAMVMLLIIFLSLIYHPISMIIFLIVLVAWFFLYFFRDPRSPIMIFNRVVDDRVVLTCLSLVTIFALAFTNVGMNVFVSLLIVAVIVGLHAAFRSPDDLFLDEQEAVDGGLLSVVGSDSSSRAAYSFN >cds-PLY68611.1 pep primary_assembly:Lsat_Salinas_v7:2:72384650:72385201:1 gene:gene-LSAT_2X32981 transcript:rna-gnl|WGS:NBSK|LSAT_2X32981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPPLSESNHENQRTAGKRTAGTFEEGQASGAAPASDMDIDKLSFLLEQNVRLNGQIWHVSDELSNMQGQSIKTKEEMARMNQRQGQYQILQDNLCQEMDYRHNSWTYFDSRVTDVETQNQITFSVAYGVKESHAMLEEKHETLEEKHKILEEKYDDMSRSVDKFFSFYKLIIRVSEKLANFG >cds-PLY96077.1 pep primary_assembly:Lsat_Salinas_v7:3:98776643:98777829:1 gene:gene-LSAT_3X74521 transcript:rna-gnl|WGS:NBSK|LSAT_3X74521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METPSSSSRTMTRSQALGKSEESEKGVLKSRTAMIDITNDSPIVGLAIGSLKTPSSTFSKKRTFIQNSELIKQPDTPGSGEALLRGQVKTLLQKVEEEAVFSKISFEPRNLIREEEEEGFVHSPIYLIAPTPANTPQVSEFCENNNGLVSPIVAESNSFSQILGQQEENDETSETNLISKLFMDFPENLEDYDSSVDNEDDASVWSVQVNVSTSDEELEEIHEDELCEVLSKICVNDGGVKFNGKHQIFVYDSDSELGGEEVV >cds-PLY92617.1 pep primary_assembly:Lsat_Salinas_v7:7:160879743:160880511:-1 gene:gene-LSAT_7X94500 transcript:rna-gnl|WGS:NBSK|LSAT_7X94500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVELLDGATIVGFVEDDEAFNGSIQDRFANLDTNHDGLLSYSEMLKELQCLRMIETHFGVDVKTDPEELSHVYDSLFVQFDRDSNGTVDLEEFKAETKRMMLDMANDLGFLPVQMILDEDSFLKKAVEREFTKLQSNTSA >cds-PLY85957.1 pep primary_assembly:Lsat_Salinas_v7:5:108127927:108128457:-1 gene:gene-LSAT_5X47420 transcript:rna-gnl|WGS:NBSK|LSAT_5X47420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHSLKITYDGIEEVRANQKNSLIRRESLDEIWAHQTDKVKNSEKIKEMDLRSLFRNLRNYKQNKAQRKESVRDMKDKLVALMSKNIKIVKDILSDDDDVPTDNNSKEYGEFKRFAELSSQAALKLQENQEI >cds-PLY92496.1 pep primary_assembly:Lsat_Salinas_v7:2:152517254:152520887:-1 gene:gene-LSAT_2X76621 transcript:rna-gnl|WGS:NBSK|LSAT_2X76621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPAPEAAPAPTSPPPSDYSPPPSPPEDSGGTPPPAAPLQPPSNPTSSRKKRRPSSTFTPPNLYPPRGSASNRDPDNKLPKNSNRKSPYKSNDSDSNSDSVATTSITIVAGVAAALVVLLALVIVCLLCNRKKKKPYYLDDSHEKPPGVGGGGLHYHGKPDHVVKFGGGPGGSSNWGQSPPVNTGRASSGARMSATSVMSLAFRSQFSYDELAAATGGFAGYNILGQGGFGYVHKGVLPSGQEVAVKSLKAGSGQGEREFQAEVEIISRVHHRYLVSLVGYCICDAERILVYEYVPNKTLDFHLHGKSQLVLDWQTRMHIALGSAKGLAYLHEDCHPRIIHRDIKSANILLDHEYEAKVADFGLAKLTSANDTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLEILTGRKPVDPSNDYIDDSLVEWAKPLIAKALEDGDYNELVDPRLKGNYEQKEMARMASCAAAAVRHSARKRPKMSQIVRALEGDASLDSLNENTTKGGTPISNGETRVYDTKDYNDDMMKFRKMVMSSQEFKSNEYSSRSSMSNETNKS >cds-PLY66392.1 pep primary_assembly:Lsat_Salinas_v7:4:118884128:118884702:-1 gene:gene-LSAT_4X74941 transcript:rna-gnl|WGS:NBSK|LSAT_4X74941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVEIVISAVLLVAGIALFVLIHVCIVGRTFGSITSGTLVQRSGTMMISRSGSMSQEDIKKLPSYDFNLEAQKSMGDQCVVCLERFKIGENCKLLPNCNHSFHGECIDLWLINNGVCPICRAFVDMGREETSFSSQVAFELR >cds-PLY70219.1 pep primary_assembly:Lsat_Salinas_v7:9:866711:868295:-1 gene:gene-LSAT_9X1861 transcript:rna-gnl|WGS:NBSK|LSAT_9X1861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRKQGGSSSIYSRASLLEMKNERIANRARVYDFLKSIGIEPDELDGLELPVTVDVMKERVDFLHTLGLTIEDINNYPLVLGCSVKKNMIPVLDFLGKLGVRRSTLTDFLRRYPQVLHASVVVDLAPVVKYLEGMDIKPNDIPRVLEKYPEVLGYKLEGTMSTSVAYLVGIGVARREIGGVLTRYPEILGMRVGRVIKPFVDYLESLGIPTLAVARLIETRPHILGFGLEQKVKPNVNSLKQFGVREASISSVIAQYPEIIGLDLGSRLLKQQSFLNSIIDFSHEDFGKIIEKMPQIVSLSNTPVIKHVDFLKNCGFSLDQVKKMVVGCPQLLALNMDIMKLNFDYFESKMGRELDELVDFPAFFTYGLESTIRPRHRMVAKKGLKCSLAWLLNCSDAKFEERMNYDTIDMEEMEDDSSFDMNTLMEPRNDGSDSDFDEDSDEEYL >cds-PLY89345.1 pep primary_assembly:Lsat_Salinas_v7:5:113493510:113494654:1 gene:gene-LSAT_5X50301 transcript:rna-gnl|WGS:NBSK|LSAT_5X50301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVRFRRGQEVDSEISNQRIGLMIYLSIEDDTKDLYLFINSPGGWVIPGVALYDTMQFVQPDVHTICMGSAASMGSFILARVNFIVEPVMIHQPAGSFSEVATGEFIMEVGKLLKLHESLTRVYVQRTGKTLWVVSEDMEIDVFMSAT >cds-PLY76413.1 pep primary_assembly:Lsat_Salinas_v7:9:126472318:126474811:1 gene:gene-LSAT_9X82461 transcript:rna-gnl|WGS:NBSK|LSAT_9X82461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIGARTMNQEEEIRNKKQQEDDDDDHENDMVMPGFRFHPTEEELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPALAAIGEKEWFFYVPRDKKYRNGDRPNRVTTSGYWKATGADRMIRTDNSRSIGLKKTLVFYSGKAPKGIRSSWIMNEYRLPHHETERLQKAEISLCRVYKRSGVEDHPSLPRSLQTRSTSRTSNYHINQQSQNSPSFNCFEGQSSHHTDEKPSDTTSCTPTAIVGTSLGLSNPLNSSYNNVIATPSSTIFPNSVDDLPRIISSQRVLDTCPPSQLLNIHNYHLGDSSNYSPHLFDNMLPQPQQQQQLQPQLSSQALLALNNNLAGAGAGAVHVTFPERIWEWNSMLSEGSKDLTAPFK >cds-PLY69058.1 pep primary_assembly:Lsat_Salinas_v7:3:206312372:206313523:-1 gene:gene-LSAT_3X121100 transcript:rna-gnl|WGS:NBSK|LSAT_3X121100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATVASVVSGYDRQSELKAFDQTKTGVKGLVDAGIRKIPPIFFHPRDTTPKISTAAVEIPVIDLQSTHRASMVEMIREASANLGIFQVVNHGIPVSVMEEVVQGVRRFHEQTDEVKKGFYTRDLSSTFVYNSNYDLYSSPALNWRDTFFSFMAPSPPPPEKLPEVCRDIQIEYSNQVMKLGGVLFRLFSEALGLNANHLGDLDCGKGLLFSGHYYPACPQPELTMGTSNHTDDGFLAVLLQDQIGGLQIRHQNQWIDVPPTPGALVINTGDLLQVYHSIYDSNYENSKLESDSISVFQMMSNDKLTSVEHRVLANEKGPRVSVACFFGTHLTPSEKVYGPIKELLSDENPARYRETTVHDYSRYTVSKGLAAGATRLHHLKL >cds-PLY64918.1 pep primary_assembly:Lsat_Salinas_v7:8:133044500:133048942:1 gene:gene-LSAT_8X92240 transcript:rna-gnl|WGS:NBSK|LSAT_8X92240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PSAT1 [Source:Projected from Arabidopsis thaliana (AT1G04010) UniProtKB/TrEMBL;Acc:A0A178W9Q7] MKSDLKFIAVVFVLVGVLDRTSVVNGGQFKGDYSKLSGIIIPGFASTQLRAWSILDCPYSPLDFNPLDLVWLDTTKCLSAMNCWLKCMMLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWIKWCIEFGIEANAILAVPYDWRLSPSKLEERDLYFHKLKLTFETALKHRGGPSIVFAHSLGNNVFRYFLEWLKLEIAPKKYIQWLDDHIHAYFAVGSPLLGSVQTVEATLSGSTFGLPVPEGTARLMFNSFGSSLWMTPFSEYCRSENVYSKHFSTGRKKKHNIYHCDDLEYRLNYSGFPTNIINIEIPSVVEAYPSFGDELQANLSNMECGVPTQLSFNAREIADGTFFKAIEDYDPDSKRLLYQLDKLYHGDPVLNPLTPWERPPLKNIFCIYGVDSRTEVGYYFAPSGKPYPDNWIMTDVVYEFEGTLYTRSGNLIEGNPGAASGDETVPYNSLSYCKNWLGPKVNITRTPQSEHDGEDVQVHTDVEHQIGADIIPNMTRSPRVKYITYYEDSESLPGQRTAVWEIDKANHRNIVRSPILMRELWLEMWHDIHPDKKSKFVTKAKRGPLRDEDCYWDYGKARCAWAEYCEYRYVFGDVHLGQSCRVKSSSADLLLKYV >cds-PLY76213.1 pep primary_assembly:Lsat_Salinas_v7:4:54098413:54099063:1 gene:gene-LSAT_4X37000 transcript:rna-gnl|WGS:NBSK|LSAT_4X37000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLLDSPTIDCVQQCTKRKLEDFIYNSLSSSDLVSVRMRKDDPNPDSPSSTITLNSFPDQITTHHPHFHARDYSSSICSFPNFTQFCVRMILRGKTLVLHGNHEDKIVRYTKRDIVTDEEIQKRCFKSLELEDLDGILHGSESDVYVDGWKANT >cds-PLY76580.1 pep primary_assembly:Lsat_Salinas_v7:5:223590645:223595590:-1 gene:gene-LSAT_5X105780 transcript:rna-gnl|WGS:NBSK|LSAT_5X105780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWPAVRSSPSSYLAAALLISSFLFISSPVFASEYDHKYQPDEPITLWVNKVGPYNNPQETYNYYSLPFCRRPGNPGHKWGGLGEVLGGNELIDSQFEIKFQKNVEKTTICDLKLDEANVKHFKEAIDNNYWFEFFMDDLPLWGFVGELHSDRNSDNKHMIFTHKNITLQYNKDQIIHVNLTQENPKPLEVGKTLDMTYSVKWTETNITFARRFDVYLDYHFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVSEESGWKLVHGDVFRPPRNLVLLSAVVGTGAQLALLILLVILFAIVATLYIGRGAIVTTFIVCYALTSFVSGYVSGGMYSRHGGKNWIKSMILTASLFPLLCFGIGFVLNTIAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPDKKWYLTPSVVSIMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFIILVIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAIYVYLYSIYYYSVKTKMSGFFQTSFYFGYTAMFCLGLGILCGAVGYLGSNLFVRRIYRNIKCD >cds-PLY76096.1 pep primary_assembly:Lsat_Salinas_v7:9:30338718:30340643:1 gene:gene-LSAT_9X27981 transcript:rna-gnl|WGS:NBSK|LSAT_9X27981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42220) UniProtKB/Swiss-Prot;Acc:O48529] MASISCCCSSALSSRSNLGTLSLKFNRVNTTRPLRRSLRINAEIDYINAEEAKRLVAEEGYSIVDVRDRIQFERAHIKSCNHVPLFIENKDNDPGTIIYRQLHNNFSGLFYGLPFTKPNPEFVESVKNQFSPDSKILIVCQEGLRSSGAANKLEEAGFKNIACITSGLQAVKPGMFDVVGSKELKDAGKGGLVQVQGKISAVLGTVLICAYLFIMFFPDQAEKIIALVPSS >cds-PLY76692.1 pep primary_assembly:Lsat_Salinas_v7:3:140350158:140352598:1 gene:gene-LSAT_3X92201 transcript:rna-gnl|WGS:NBSK|LSAT_3X92201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTMSDTATQPLDTSTPNTQTMNYCDSTPKLSSDYEKCREQRIKENLERLQKLGIHDLSLKLKSMKPNTNRRNNKPYKTPNRCISPFPSSVPSRRSSRLQNTPIVSYTEVDLSKKAKDDTNVWMEEHKRPEIYTEEHEKLLGDTKMEWTLFVDGYGKDGKKIYDQVRGKTCHQCRQKTLGHRTHCIKCNLVQGQFCGDCLYMRYGENVLEAMRNPDWICPVCRGICNCSLCRQAKGWAPTGVLYRKISSLGYKSVAHYLIQTHRADSNSEKSEKTENSVCAKRSLPFSKEDVEAQKVIEIQSSDESGGKNVKLESGQDVSAHKNPEPEIVSGNGIATNEGIKDKEPPELEFDEGLSPESECTILENTNPEMECKIPETGKDENKNTNSELEYKILEREVDEGEDGNKKTLNKSKDNKTDNEGKIVITPETKPGSRKKRQMVMVENSIAGRLRSRRRCI >cds-PLY95291.1 pep primary_assembly:Lsat_Salinas_v7:4:298078013:298080743:-1 gene:gene-LSAT_4X152681 transcript:rna-gnl|WGS:NBSK|LSAT_4X152681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCASVPYPVRTECPTANKFSSIVKVHPYRNKCGLSRRDFATKGIVAAGASVIPSTLLSQSAQGLERLPFKNDGYNFWTWRGHKIHYVEEGEGFPIVLIHGFGASAFHWRYNIPELAKKYKVYAVDLLGFGWSEKALVDYDALVWRDQVADFLKEIVKEPTILVGNSLGGFTALVAAEAMKEQVVGIVLLNSAGQFGSPNDEENEAQESPFQKFLIKPLKEIFQRVVLGFLFWQAKQPARVESVLKSVYKNTSNVDDYLIQSITRPADDPNAGEVYYRLMTRFMSNQRKYTLDSVLSKLSCPLLLVWGDLDPWVGPAKALRIKEFYPNTSIVNLQAGHCPHDEVPELVNKALVDWLATLPSTSTSTTPQIL >cds-PLY97994.1 pep primary_assembly:Lsat_Salinas_v7:4:192206922:192209035:-1 gene:gene-LSAT_4X109841 transcript:rna-gnl|WGS:NBSK|LSAT_4X109841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSFNISKTMIHPQGIHPCSFLNPKPNADDKLKPMSPLDVDPCAASRTDVITYTNTSDLTPSEHAGGSFTEPLMAPMASNSPANSETSPPQIVNDVVETKIESKKSQSIRCEICNVFCNSEEMLREHKEGKKHLKNTQKLSVSSSIIQETPPPVADANSYHESDKKKKKKEDFLQNVPSIESLFTCTIPDLVCHDRNIDTEHLHGEKQETQVNNGTLVQSSNNGTEKLEEEVCKVTCNSEQKLVEHNPAKNHLKNLKDSETIQTPPSTTPTASMAKTPVSKHVQKVEDSKEVKFRWCEVCKIDYSSDTFYRHLRGRNHKRNLQESKKMTGLPSTHTDSGKLTKGEVVNPNEGSSTRCELCKVCCNSYDELNRHLSGKKHKKAEYKTGKRMKGERVLQDMVNGEGKVVISEKGKRKANDSLASEEDGDVKRKKMMKEGGTASGALITCTVCNVGWTSVVDYMDHLKGQEHCAMVLKQV >cds-PLY69181.1 pep primary_assembly:Lsat_Salinas_v7:3:204215587:204216120:-1 gene:gene-LSAT_3X121841 transcript:rna-gnl|WGS:NBSK|LSAT_3X121841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSFTKIFIFFLITLLFSISNADFSPPYKLVDKVCNKLQDTDVCFQILESDDRSKFAENITILTTIAVNQTIRHANSLRNDFRELKTGPPGLLKSLKSCSVAYTHVISNMKILMSEENCSLIGYHIQAAVDNVKRCQRIVDSNGAHGSFMTTGNSVTLDLCKLCESLANLMCKS >cds-PLY70318.1 pep primary_assembly:Lsat_Salinas_v7:4:99617220:99621096:-1 gene:gene-LSAT_4X65220 transcript:rna-gnl|WGS:NBSK|LSAT_4X65220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKSSTLAPLPEDNQDQPQSDHAAPSAFSSKPIRPPTQTSSQKYSPIDWKDYFDQEDDVCIPDTNDVFHIYTAGKEGPIVFCLHGGGYSGLSFAISAGIIKEKAKVVAMDLRGHGKSSTENEIDMSIETLCNDVQAVVKKMYGDAPPAIVLIGHSMGGSVAVHVAAKKMLPSLAGLVVVDVVEGTAMASLMHMQKILSNRVQHFPTLEKAIEWNVRSGSLRNTDSARISIPGTVKYDDSQQCYRHRARLEETEQYWRGWYEGLSEKFLSSPVPKLLLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPDEFASLILNFISRNRIGPHGVEIPGIRRPSQPHA >cds-PLY62220.1 pep primary_assembly:Lsat_Salinas_v7:5:163970079:163970450:-1 gene:gene-LSAT_5X71881 transcript:rna-gnl|WGS:NBSK|LSAT_5X71881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSRCNCGAEVVIHTSWSKNNPEKRYYACSHMILALLNVSTLALQAPGCKFIGWVVEDQKCACMNIRMKLEQQNLKLKLYLAISWFLFVSIIVYKV >cds-PLY95266.1 pep primary_assembly:Lsat_Salinas_v7:8:137330753:137332763:1 gene:gene-LSAT_8X94641 transcript:rna-gnl|WGS:NBSK|LSAT_8X94641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVKSGQGSSYRDRSQEFSSIAERLRKSHPISDATETTVNSRGAGSGAGGSSKTAGSRSTVAVQSEFNKRASKIGYGIHQTSQKLAKLAKLAKRTSVFDDPTMEIQELTAVIKQDITVLNSAVVDLQFVCNSQNETASISSDTTTHSTTVVDNLKNRLMSATKEFKEVLTMRTEHLKVHENRRQLFSATTSKENANPFVRQRPLANKGTSSSSNSPPPWANDSSSSSALFPKKTQLTNFCFFRKQADAESQPLIQDQQNQQQQMVPLQDSYMQSRAEALHNVESTIHELGNIFQQLATMVSQQGELAIRIDENMEDTLANVEGAQGQLMRYLNSISSNRWLMIKIFFVLIVFLMIFLFFVA >cds-PLY84203.1 pep primary_assembly:Lsat_Salinas_v7:7:79130263:79130546:1 gene:gene-LSAT_7X55300 transcript:rna-gnl|WGS:NBSK|LSAT_7X55300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSICWPNRAEGRIKRKQCLVKKEIDPIITQDDMKNAPKIDQGIYMLHNLNKLVHFFFLQL >cds-PLY88908.1 pep primary_assembly:Lsat_Salinas_v7:4:64435500:64441300:1 gene:gene-LSAT_4X45140 transcript:rna-gnl|WGS:NBSK|LSAT_4X45140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALYLDGVLMLFVNSCNESHRLQGMAKKRNMTRNQNCDGSSSSSWKRFNDGVAPWSDLDHNLLFLVMMQLGVIDFLAFSGVCKKWRSLALGNKKIFMASRPPMLMSISDPSYKKKECKCCLEDFEGRKFKTILPHAAGRICVGLTCGYLILFGGETKDFWLVNLITRHELHFPCFPYNASSDVARIRGILVFSPSVSGWVFVVLRKFSRQIWFSIAGTRAWNRISSTSLAIDLHAFKGKIYTVNIRCRLCEMRLTPEPKLTLLQTKNLPQPGFLFPEFVNLGEKLYVIDRGLKDSYTVHELDFEEMKWVSSEISELGVDHRALYKRDGYFLGTVDTSRKRRCLPSYIWYFPNDCLNVNRLDE >cds-PLY71065.1 pep primary_assembly:Lsat_Salinas_v7:3:161142056:161142797:1 gene:gene-LSAT_3X101480 transcript:rna-gnl|WGS:NBSK|LSAT_3X101480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSHLYNHKSHQSNPFPHNHHALSIILFTFPLVIPTSPSSNPSPPTLLFSQPLIRHYHQSKQSVDLDSILKKIVVGTV >cds-PLY64163.1 pep primary_assembly:Lsat_Salinas_v7:2:20684037:20688177:1 gene:gene-LSAT_2X9041 transcript:rna-gnl|WGS:NBSK|LSAT_2X9041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFILFCLYTSLYFHCNKGTVYLPKNVSVAAIIAFGDSLLDVGNNNYINTMTKSNFLPYGKDFVGGKPTGRFSNGKTLADFFGVKEYVPAYLNPFITDKDLLTGVSFASGGTGYDPLTATLSSVIPVKIQLDMFKQYIWRLKRKVGEEAMKNIITNSVFFVSSSSNDFLVNYYSVPIRRLQYDIPAYSNKLVKWAISFVQDLHKLGARRIVVFSAPPLGCIPIERTLAGGSQRKCVDKYNKAARFFNNKLKENIRFLESTLPQSKIAFSDFYNPLISIIENPRQYGFEVANQGCCGTGKLEMSYLCNELSTTCQNDSTYFFWDSLHPTEKGCDIFSKIILPDLVKSLF >cds-PLY94879.1 pep primary_assembly:Lsat_Salinas_v7:2:179638874:179640240:1 gene:gene-LSAT_2X101660 transcript:rna-gnl|WGS:NBSK|LSAT_2X101660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKTLLLLILTLLAVVSSTTALSDDPTPTVYDILDKYGLPTGLLPDSVKSYSFSPDDGSFVVELQKPCYIQFDYLVYYDSKITGKLKVGSITDLDGIQVKRLFFWFDVDEIRVDLPSSDNIYFTVGFINKKLDIDQFETVHSCTDNALVACAQSSNPISQLPITVDEVEMLITE >cds-PLY71024.1 pep primary_assembly:Lsat_Salinas_v7:9:70112212:70112478:-1 gene:gene-LSAT_9X60000 transcript:rna-gnl|WGS:NBSK|LSAT_9X60000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLWKFPPPILASMVIPSVTLLQSRFSTGKSLRILFHHPIIVMYVYSVICTDYQLIDMSEDGFV >cds-PLY87084.1 pep primary_assembly:Lsat_Salinas_v7:5:266841431:266853457:-1 gene:gene-LSAT_5X138480 transcript:rna-gnl|WGS:NBSK|LSAT_5X138480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLKDGMSPYESGGAEERFRKMPFRPGIYEEPQNVSHEAGRDNSTGASEICTHHVGNFASISSDSEISELEQMLKQMTFTRSVTQRLILLLHSRTIEESPTPLLRLEASPTSGSMKRPKHGDERDNFHASIVGSRVLEEEIAQDSKFISNNGTCLPNPITSTTSRQQPATMENLPHELLSNIFIRLFAKQLAQMRSVSKSWNALLSHPSFIKSHLHRSIHHNDQILLIFQHEEYFSDFKPFTAKPSRSPCLELNNFIKLPVNPQPECTNGINVIGSVNGLICSSYGDSVIHIWNPSLSSVLTLPPYSTPSNGYNSFKIHFRFGFDPKSNDYKVVKLTGLTGPYKNVVTWWLQVEIYSMRKGSWKLITERFPSHITTITNDDYVCVDGHEGHLHWLGYNYNSESTNPKTIVTFSLGTETFCEIPLPDCILNDDRENVLGVLAKKLCVMTYVGVDGTCDVWVMEEYGVAESWVKRHVFSQFGVGACLFGFTSRNEFLIENGRYLVLYDPNANLQKLFEDYCPEDSVVHNVAEYVDSLVWVAPAKQEMVDDGRQN >cds-PLY97793.1 pep primary_assembly:Lsat_Salinas_v7:7:120602465:120606254:-1 gene:gene-LSAT_7X73501 transcript:rna-gnl|WGS:NBSK|LSAT_7X73501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARSPIHFTLATLALFFISSAVAEFLTLTQDNFEKEVGQDRDALVEFYAPWCGHCKKIAPEFERVGNGFKKAKSVLIGKVDCDEHKDVCTKYDVTSYPTIKYFPKGSLEPKLYDGERNAEKFAEYVNSQAGTFVKVSAVGSNVVALTSYNFNTIVMDKTKHVLVEFYAPWCGYCQNVAPIYESLGDAFKNEDDIVIASINADIYKRPAERYNVETIPKFIFFSKDNKDGEDVPGKPTLESLLNFVNDKCGTSRDGEGQLTSNAGIIEMLDVLVKEFKSAAGEDDKQEVYAKIEEEAANLNGSLARYGKIYIKAAHSYMVKGADYAKNEIQRIERLLSKSISSVKADEFTLKKNILSAFT >cds-PLY64221.1 pep primary_assembly:Lsat_Salinas_v7:7:4347072:4351394:1 gene:gene-LSAT_7X3401 transcript:rna-gnl|WGS:NBSK|LSAT_7X3401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIIKGLAILVFVGYLFLWVMTPTYVFKQKWLVRVRAHTTSTYFGTQGPTMLLYSFPVLFMAVMGSVYLHLRKKSNQNRNEGQKEATNSNLTIWRRPMIVKSLGIVSKIELAFFIMFIALLVWSFTTYLHVSFAKITPQSAAKKGEKVWESKLDSVALRLGLIGNICLAFLFFPVTRGSSILPLFGLTSEASVKYHIWLGHIMMTLFSSHGVCYIIYWIATNQTSEMLKWAKTDISNVAGELSLLSGLVMWATTFPQIRRKMFEIFFYTHHLYILFIVFFVFHVGIGYTSIMLPGFYLFLIDRFLRFLQSKENVRLISTRVLLSETLELNFSKSQDLSYTPTSIMFINVPSISKTQWHPFTITSSSNLEPEKLSVMIKGEGSWSKKLYQILSSPNPVDRLDVCVEGPYGPISTNFLRHDILVMVSGGSGITPFISIFRELVFTVETLKCKTPKILMISVFKDSSDLTMLELLLPAFGAPMDFSKLELQIEAYVTREKQPAIDDKKQVRTIWLKPNSSDGPITPILGQNGMLFEQKESNVGVLVCGPKNMKHEVANICSSGLASNLHFESISFNW >cds-PLY79204.1 pep primary_assembly:Lsat_Salinas_v7:5:126148099:126150863:-1 gene:gene-LSAT_5X54441 transcript:rna-gnl|WGS:NBSK|LSAT_5X54441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVLKHFEHLRIRLEVIKSATNNFAKESCIGRGGFGKVYKGELLHSKGHSMVAIKRLDRSFGQGDSEFWKELIMLSVYRHQNIVSLLGFCDEKGEKILVYEYASKRSLDLHLDNKDLTWVQRLTVCIGAARGLAYLHDPGETQQRVLHRDIKSSNILLDENWNARIADLGLSRFGPANQKYTFLVTNNTVGTIGYCDPLYLETGLLTKESDVYSFGVVLFEVLCGRLCFGNNGSFTQLVRKHYKRNNLNEIVWGNIKDEIHPSSLKVFSTIAYRCLKCDNEKRPLMKQVVTELETALEYQLSAASVSTPTYQPGFEKLKQEKVDLREHGRHQDQYKWLEAHGVEEENLVHNLPKDPRKDLKRHICVDLVKRVPLFKDMNERQLHDICQRLKPRLYTDNTYIIQEGDSVDEMLFIIRGHLESTTTYKEKNSVFNNGYLGDGDFTSEELLTWAIDPKSGANFPSSTRTLKALSEIEAFALLANELKFIVHHFGIPQKQLQHTFRFYSKRWRTWSAFFIQTAWRRYSKRKVLKQRRMEEEAELNTCINGGGVGGTSSSVGATFLALTFAAKVILGVYRNRNLKIAREMMKLQKPPEPDFNAHAD >cds-PLY82948.1 pep primary_assembly:Lsat_Salinas_v7:1:17054312:17057237:1 gene:gene-LSAT_1X15261 transcript:rna-gnl|WGS:NBSK|LSAT_1X15261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAADYRRRVRRKISIWIWVFLGLFSVAGFVVIVLQHNYQDQDRVETHVLERKARNVQVPHMKLNLTNEIARPNSYTRQLLEQMTLAKAYVVLAKEHNNLHLAWQLSSKIRTCQSLLSKAAMNEEPIMEEEAEPLITTLSSLILKSQDHHYDIATTMMTMKSHIQALEERANAATVQAAFFGQLAAESVPKNLHCVNVKLLADWFSKKTIRDFVEEKKNSPRLLDNNLYHFCLFSDNLLAVNAVVNSTVSNTDHPKQLVFHVVTSEPNYATMEAWFVTNDFKGSMIEVQKFQDLTWLNASYSPVVGKLTQKNESLLNYLRFYIPEIYPHLEKIVFLDDDVIVQKDLTPLFSLDLHGNVNGAVETCLDGFHRLYKYLNFSNPVLSSKIDPQGCGWAFGLNVFDLVAWRNANVTGVYHYWEEQHSNVGSNLWKLGTLPAGLLAFYGRTEPLDRRWHVLGLGFDVGIDNRLIESAAVIHFNGNLKPWLKFAIGKYKPLWERYVDMGHPYLVDCVTS >cds-PLY82061.1 pep primary_assembly:Lsat_Salinas_v7:8:53025052:53025561:-1 gene:gene-LSAT_8X38940 transcript:rna-gnl|WGS:NBSK|LSAT_8X38940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFTFVEKLPPGPSFLSSTFLLLTNFIQELVPIIRNLKLKHGPLVTLSIGSRQLIFVGNQDLAHQLLIQRDSGVSGRPSAMATLNITSATYYPSWRVLHRNLATEILQPSRVKSYSLARK >cds-PLY68367.1 pep primary_assembly:Lsat_Salinas_v7:7:83661037:83663054:1 gene:gene-LSAT_7X59801 transcript:rna-gnl|WGS:NBSK|LSAT_7X59801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALHIATHFFQIHIADDVFTTTFWNPTNRLFSLTDRSYSSSSSDLIRATLFPDDGIGPEIATSVKQIFNVAEVRIEWEEHYIGTEVDPRTQGFVTWESLESVRRNKVGLKGPMATPIKKGHCSLNLTLRKELNLYANVRPCYNLPGYITRYDDVNLIKIREKTEGEYNGLEHQVVRGGVKSLKIITRHASLRVAEYVFHYAKAHGRKRDSTIHKANIMQKTDGLFLKERQRKEVHLWECQKNQSIKSKAYGKCIKSEAYGDAVRFYTGSMPTFKDCKKASEEVIPVIINKLKDWLSRAKSWAKFNATAGLGVIHGGHLQQGRSLMAPYLPKSGAGVGGGGGSPYLEGAALYALALIHLQQGITILCS >cds-PLY69671.1 pep primary_assembly:Lsat_Salinas_v7:5:211182485:211184816:1 gene:gene-LSAT_5X95820 transcript:rna-gnl|WGS:NBSK|LSAT_5X95820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSNQIEETLPEWRDKFLSYKELKKRLKLIKPQDKSGDDCNRPAKRTRVSCEDCSIAGGEEMSSEEVDFLELLEQEVEKFNSFFVEKEEEYIIKLKELQDSLMKSQDSHEEMIKIRKEIVDFHGEMVLLENYSALNYTGIVKILKKYDKRTGALLRLPFIQKVLQQPFFTTDLLYKLVKECEAMLDRHFPLIESPVPSVDGTGDGNTGGSDEPTTSVAASEGPLKAKELAELEYMKSLYTKSTISALRALKEIRSKSSTVSVFSLPPLQVSGIDETWSKVPFHEQLAK >cds-PLY85927.1 pep primary_assembly:Lsat_Salinas_v7:2:189570765:189574190:-1 gene:gene-LSAT_2X111220 transcript:rna-gnl|WGS:NBSK|LSAT_2X111220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERDKRKKSKMPCLFGGNGDNLKRKTVQPRSQTGDIIEHNLFTFEVGQTSSKQSYGTPMKTLLAKEMLNETSAKKRSPSLIAKLMGLDGLPSPQSIHKQQRKLSDNKRNEKPLSHQFNKKTTIEQQQFKDVYEDPEASHSGNHHYPSPPNSKRRSTKQEFGYIQERCDEVLRESIAFKSKLERVDSNSDLMLTFLQKPDSLFVKHLHDQQSIPHGSLCNQITVLKPSNSVMHGSKKEEKETLIYRHRRSSRILERKDDIALSPTRIVVLKPNLAKIHNDRTYVLHSPEMYKGPLGEVRSSRHKSREAREIAKQITSQMKEGFECGDINLFHSGYRGYDDMDEFDRPRRSPMSESSVIREAKKRMSQRWKTHGYKDANMIGKGSTSTLEEMLSVPNTEMRQDDDIINGEYLRSSTSKSRSRSVPPSFNNRSHKTSDYHEAHSELKNMVHNEQVHRFKNKEVKSNFNHREDSRSKNVRYTKRCNACSPEFDDYLPECHSTRKPTEQDLLISNSSNIYDPHPDPAITINEERLISQEQWSAKSGGTISIQLSGPEPESSEGSKEVDQCGQLSSVLEASPTEDVSSGSDCFEGVSTRLLELRKQLHLLKMESESTYDSQNDEEVEQESSLTILESENWESMYFIDFLKGCGFYDHDTYTFMCTWYNNNSQDKDNGNPTETDTWLFDYLEKKYCEGWSVSRSERRLFYDRIREALFDICKTRVSCWWVGVAERGIQMKLTEIGFEDQVQKVLGKQEKEAMEDFEETCIDKDLDWFVAVNEIDVVGKKMVEMLVNDLVLEIVNL >cds-PLY69230.1 pep primary_assembly:Lsat_Salinas_v7:1:79936388:79946569:-1 gene:gene-LSAT_1X68521 transcript:rna-gnl|WGS:NBSK|LSAT_1X68521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNVGNLWERLVRAALRRERTGIDAYGRPAGGIAGNVPSSLSNSRDIDPILRAADEIQDEDPNISRILCEYAYTLAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREGGSIDRSRDIARLQEFYKLYREKNDVDKLREEEMELRESGTFSGNFQELERKTVKRKRVFATLKVIGTVLEQLAKEVSPEEAQGLISEELKRMMESDAAMTEDLIAYNIIPLDAPVVTNVITSFPEVRAAVSSLKYFRGLPKLPANFPIPATRSADMLDFLHYVFGFQKGNVANQRENIVNLLSNEQSRLGTPDEHEPKLDETAVERVFSKSLDNYIKWCTYLNIPLMWSNSDINKEKKILFLSLYFLIWGEAANVRFLPECLCYIFHRMGDELCGLLSEQIAKPATSCVAENGVSFLEQVITPLYDVIAAEADNNENGKAPHSSWRNYDDFNEYFWSQSCLKLGWPFDTNSSFLLKPSRKSNNILNASITKRRGKTSFVEHRTFFHLYHSFDRLWIFLFMMFQGLTIIAFNNGKLNDKTIREVLSLGPTFFVMKLFQSALDIVVMYGAYSTTKTLAVSRIFVRFIWFTFATIFICFLYVKALQEKTSSNSESIIYKIYIIVLCIYAGSHLFWSSLARLPACHRLFNRCDNSPVVRLIKWIHQEHYYVGRGMYEATTDYILYMLFWLVVLGGKFSFAYFLQIRPLVKPTRQVIKIEDVRYSWHDFVSKNNHTALTVASLWAPVVCIYLLDIHIFYTIISAVVGFLLGARDRLGEIRSLSSVHKNFERFPAEFMDNLHIPLSNRNSLKVTGQILVKDKFDAARFSPFWNEIVKNLREEDYITNLEMELLQMPKNTGTVPMVQWPLFLLASKLFLAKDIAGESDTQDELWDRISRDDYMQYAVEECFYTIKLILTSIFDKEGNEWVERIYGDIKASIVQRTIHFDFQLKKLSLVMQKVTALTGILKEGGSPELEIGAVNAALDLYDVVQLDFFSGNMRQNYTTWNNVIKARKEGRLFSKLKWPKDPELRSQIKRLHSLLTIKDSAVNVPRNLEARRRLEFFTNSLFMSMPITRPIREMLSFSVFTPYYSETVIYSMRELLKKNEDGISILFYLQKIYPDEWKNFLARLGHDENTPESVLIENEEDNLELRFWASYRGQTLSRTVRGMMYYRKALMLQAYLERMTVGDVEAAVPANEATETKGFEFSPEARAQADLKFTYVVTCQIYGKQKEEQKPEAADIALLLQKNEALRVAFIDEVETLTGGKVQKEFYSKLVKGDINGKDKEVYSIKLPGNPKLGEGKPENQNHAIVFTRGNALQTIDMNQDNYFEEALKMRNLLEEFHEYHGIRPATILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLASPLKVRMHYGHPDVFDRVFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYFTTVGFYFCTMLTVITVYIFLYGKTYLALSGVGEDIQSRSEVLENTALNAALNTQFLFQIGVFTAIPMVLGFILEQGFLRAVVSFITMQFQLCTVFFTFSLGTRTHYFGRTILHGGAKYHATGRGFVVRHIKFSENYRLYSRSHFVKGMEVVLLLVVYIAYGYNSSGLVGYILLSVSSWFMAISWLFAPYLFNPSGFEWQKTVEDFRDWTNWLFYRGGIGVKGEESWEAWWDEELSHIRTFGGRVMETILSLRFFIFQYGVVYKLDVQDTNTSLSIYGFSWLVLVALTILFKIFTFSQKISVNFQLILRFVQGVAFMLAVAGISVAIALSPLTVTDVFASILAFVPTGWGLLSICVAWKPVLKKIGLWKSVRSLARLYDAGMGMLIFVPIALCSWFPFISTFQTRLMFNQAFSRGLEISLILAGNNPNSGI >cds-PLY62547.1 pep primary_assembly:Lsat_Salinas_v7:4:355480269:355480496:-1 gene:gene-LSAT_4X175181 transcript:rna-gnl|WGS:NBSK|LSAT_4X175181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKASEARDTMKLRVNWNEMRGGWISDWGVVVGLATGVLYKTAAGPRSTAAAGAIGGIAVRLVMTGKQILKRYVPI >cds-PLY97698.1 pep primary_assembly:Lsat_Salinas_v7:8:7599366:7602043:1 gene:gene-LSAT_8X6060 transcript:rna-gnl|WGS:NBSK|LSAT_8X6060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHKRVVYELTQGKEFAEELKIHLNNPSSSQETQEILIHNILNSYENSLSLLTNHVLTTNGSNDEPHLHGKKGIIRKMESQVPFSESPCSEDSDPEFKDLDPNDFGAKRKRNGGIEKWKKQVKVRGEMEFEEALDDGYNWKKYGQKDILGAKHPRGYYRCTYRQLQGCLATKQVQRTNEDPNIFNMTYQGIHTCHPATATDTPPTLPLPSSSSPPPKIQHPPPQNQLLGSPKILQTDRKAITKNLETHYSAHFPSTSNNNFVFPTPNSTSDGNETPSFITPTTLGSTYFMMSQPQVSMCEINENIVGVESELNDIVSAATSSTSFHNADLPLPFGELEFGSTFSFDNSIFFD >cds-PLY68103.1 pep primary_assembly:Lsat_Salinas_v7:8:33806821:33810904:-1 gene:gene-LSAT_8X25860 transcript:rna-gnl|WGS:NBSK|LSAT_8X25860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHSTALIFLLTVLGIVFYYLQKQHEEDNGEQKNSSLSSSSDQQSLHEEQHVKKKDSSTSASSDKLCRKFSLAEIKLATNDFDDAFVIGKGGFGKVYKGKIDFGEEGIDVAIKRLNLDSNQGVTEFKAEIEMLSKFHHSHIVSLLGYHEGSDKREMIIVYEYMPNGSLEDHLHKRKVSGSNSSLLTWIQRLQICIGAARGLDYLHSGTSLQSTVIHRDIKSSNILLDENLAAKVSDFGLSRIGPANLVGTTNVYTDQIKGTFGYMDAEYFSTRRLTRKSDVYAFGVVLLEVLCGRPALDFTLDEQQHSLAVWAKSCIKEGKIDQIIDPCLRGQTTPNCLQEFGQIAYECVLARSKDRPTMTKVLARLEFVLASALQKHGGVTIAEKMWSIFSIKTPAVHAKNYRNRRKWSNDKKSKTVIPDKTATTVAEGSSEGKDNSIILVDPTQAAAEGNNDNIMEEPIVTAEEESSDCITTTTVECQGVAIKSNAQPLSCQMGTHKLKIFTFDELRRATRNFRPSAMLGITDGESIYKGWMDRDSYTPSVAGVRIAVTIKIINKDIQRLKEGQAEVEYQGMFSHPNLVKLLGYCSEGGRLFLVHEYIPKRNFLDIIRQGEKPLTWETRIKIATGAAQGLAFLHTTKNNEFNAKLSDLGLAKLGPVNGESHVSTRVIGTYGYAAPEYIATGHLYVKSEVYNFGVVMLEIITGLRVLDVNRPYSQHNLVDWATPFLRSIKKLRKIMDPRLEQVYPSKGAMKVAELILNCLEEEPIHRPSMEEVVARLEKINTIKI >cds-PLY75073.1 pep primary_assembly:Lsat_Salinas_v7:9:21811197:21813028:1 gene:gene-LSAT_9X19301 transcript:rna-gnl|WGS:NBSK|LSAT_9X19301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENRSFDHVLGWIKKSRPDIDGVTGEEHNHVNAADPASSKVYVSDEAVFVDSDPGHSIQAIREQIFGSNLTHANPAPMNGFVQQANTMGVDGLDRTVMSGFKPELLPTYTELVNEFAVFDRWFASVPASTQPNRFYVHSATSHGASSNVRKDLINGFPQKTIFDSLDENDLTFGIYFQNIPTTMFFQSLRKLKFITKFHDYDLMFKYHAKKGKLPNYVVVEQRYFDVNLFPANDDHPSHDVAVGQAFVKEVYEILRASPQWDEMALLITYDEHGGFYDHVPTPVDHVPNPDGIIGPEPYYFQFDRLGVRVPTLLISPWIDKSTVIHEPSGPTPYSQFEHSSIPATVKKLFNLDSDFLTKRDEWAGTFESYFNLRDTPRDDCPETLPEIKVSLRPRGPNEEQSLTEFQIELIQLASQLNGDHTLNTYPEIGKYMTVGEAHQYAHDAITRFLEAGKAALRAGANESAFVTMRSSLSSRSSQRRIQSF >cds-PLY94175.1 pep primary_assembly:Lsat_Salinas_v7:5:33136233:33137070:1 gene:gene-LSAT_5X14961 transcript:rna-gnl|WGS:NBSK|LSAT_5X14961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAETKIVSDQLTEDAMKLMEDADYIFLIFLIIGNVISETLISFVFIITKGFENLAHARGEGASSSSGNKQPNSSGMSDYGLNGIPSTDNTSNNEDDSFDMFAEDDDKSTVDPSAGIGSTENDYVFDESSGYYYSISLGYYYDPSSRLYCSAASGQW >cds-PLY73684.1 pep primary_assembly:Lsat_Salinas_v7:5:204545231:204548959:1 gene:gene-LSAT_5X93061 transcript:rna-gnl|WGS:NBSK|LSAT_5X93061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNFQMGVCILVLLISFSCNLVDSQTEAVTDVVEGILVINGKSSIAKIDSDFICATMDWWPPEKCDYGTCSWGHASLLNVDLNNKIFQNAIKEYDIQKPCNQFSKNNSMLFGWTNGCLPLSRWDQLNTFFKDTGANIIFGLNALSGKTIQADGSATGAWDSANSEYLMRYTVKKNYTMYAWELGNELSGSGVGTRVSASQYAFDTRTLANIVQEIYEGVEIKPRIIAPGGFFDANWFKEFVDKTPEILDIITHHIYNLGPGVDQHLVEKILDPSYLDGEADTFRQLESILKTSVTSASAWVGEAGGAYNSGHNLVTNAFVFSFWYLDQLGMSSVYDTKTYCRQTLIGGNYGLLNTTTFEPNPDYYSALLWHRLMGRKVLSTNFTGTKKIRSYAHCAKESTGITVLLINLDNTTTVDVSLSSYMNWKREHKLRSLVHHHHHKVNNPRNNKTGGIGTREEYHLTPKNGDLHSQVMKLNGKELEITSSQEIPPLEPIYVNSSMPIRVAPFSIVFAHIPHLTFYACSSSD >cds-PLY69789.1 pep primary_assembly:Lsat_Salinas_v7:4:245506821:245509691:1 gene:gene-LSAT_4X130781 transcript:rna-gnl|WGS:NBSK|LSAT_4X130781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVANVSSPTITSNPKVLSRGPPPPAKAKRAPLVPSEADNNGPPVPRRPKSRDVSSRYLSSTVSSSSISTTMTSTSSSSSFSSTTTSSSSNSTGTPRRRFPSPLVSSANLMTPASTVNNKRPQSADRRRPGTPRIGEMSTTAKMLTSLPARSLSVSFQGESFALQVSKASKPAPTGANIGSRHVTPERRASTPVKKSVNSKPVDQQRWPARSRQGNFLTRSVDFTNENMKLNGSGTASSVRDLQKSMISDCDNSDRTVSDAESVFSGSGGTPGNNRGGTPRGVVVPARFKQETVNRLRRVQPEPLSPPLSRNHKHLKDGPTLSPRGLSPSPVRGSVRPASPINPVRGALRPSSPSNSVRGALRPSSPSNSVRGALRPSSPSNSVRGAARPSSPSNSIRGAARPSSPSKIVPTPSRGMPSPTRSRNGLGFSSNLGNTPSILSFAAETRRSKVGENAIVDAHVLRLLHNKYLQWRFANARADAAMSVQRVTAQKSLYNAWVTTSKMRQSVISKQIEIQQLRHNLKLHSLLKNQIPYLKVWEQGERDHSLSLSGTIVSLESATLRLPLVEGAKVDVQSLKDAICSAVDVMQAMASSICSLVMKVEHVNALASELATTATNECFKINECKDLLSILTHLEMHNCSLRTHVLQLQRLSL >cds-PLY96319.1 pep primary_assembly:Lsat_Salinas_v7:5:191837314:191838063:1 gene:gene-LSAT_5X84801 transcript:rna-gnl|WGS:NBSK|LSAT_5X84801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTFSNVVFLFCVVVSIIFASADAAYGVAKLPEIPHKMKEKEIPKPIAVQGLIYCKSGSKFIPLKGATARITCLARNQKGLELAPFSVSSCPADDKGYFLAKLSPPSTKFLKNAQWELKECKAFLESSPLKECKVPLDINGGVKGAHIISSSAHRLLKNANLYSLKPFFYTSDKPHTVADNNKY >cds-PLY71376.1 pep primary_assembly:Lsat_Salinas_v7:8:270687334:270688614:-1 gene:gene-LSAT_8X156380 transcript:rna-gnl|WGS:NBSK|LSAT_8X156380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQPVHNQDQEYITIEDDEITKPDSDSESNTKVAVPSYWQSGIFRNNNQLMLRRVEKGTSEYVNVARSFINGMRSFENHVDVVAIHRKNYKWSVMDEARAEAFRRFATAVANRNGGDPNIRFGWYGGFREEIRDILCYGFRRLEKSSSSYGRGVYFSPVNNSNASQKSIIADSDGAMHVLLCRLILGKLEPIPFGSQIDHPTSTEFNSGVDDLSSPKRYIIWEPYMNTFVLPLFIVTFKANSLIGVRKERWHAMMKILLTNFPKYLSSSKMAFIKKLHYDFYKKKISGETFVRNLRIIAGDDIIREIIRVHNTS >cds-PLY62493.1 pep primary_assembly:Lsat_Salinas_v7:1:84069241:84069633:1 gene:gene-LSAT_1X69140 transcript:rna-gnl|WGS:NBSK|LSAT_1X69140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNISIITFSIVILFSSFVANGIPGGRTKVTDVKSNKELQELGKYSVEEYNRLQRISSGKNDGDLTFLKVVEAEKQVVSGMKYYLKIEVVSKKSEDPKVFEAVVVVKPWLRSKQLQSFSPSSSVISQLIW >cds-PLY82165.1 pep primary_assembly:Lsat_Salinas_v7:1:14436427:14440584:-1 gene:gene-LSAT_1X11920 transcript:rna-gnl|WGS:NBSK|LSAT_1X11920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVGRDLLFEALGRTYTQDEFEELCFDFGIELDDVTTEKAIIRKEKHLKEDEDIGGDEEIIYKIDVPANRYDLLCLEGLVQSLRIFLGLDSVPSYTLADINQQSMLKMHVKPETSEIRPYVVCAVLRGITFNEARYNSFIDLQDKLHQNICRRRTLVAIGTHDLDTIEGPFTYEALPPSKIEFKPLKQVETFRADKLMEFYKSDMKLKKYLHIIEDSPVYPVIYDKNRRVLSLPPIINGAHSAISTKTKNVFIECTATDLTKAKIVLNTMVTMFSVYCERKFEVEPVEVIYSDGKSYICPELSPYDMEVSLTYINGIAGVTLEANKVAGLLNKMQLHAKQSVTKNDECFFTVSVPPTRSDVLHACDVAEDVAIAYGFNEIPKRKPSSIKPLPLNQFSDLIRMEIALSGYSEVLTWILCSYKENFAMLNRKDDKSTAVVIGNPRSADFEVARSSLMAGILKTVAHNKDHPKPIKLIHGLVDRIMQVTGTPFVSPGDNTGYHIVRSDEPEFLSGRQASIIFKGQPIGTFGIVHPQVLDNFDIPDPCSFVELNLQSLL >cds-PLY72616.1 pep primary_assembly:Lsat_Salinas_v7:5:44538082:44539589:-1 gene:gene-LSAT_5X21220 transcript:rna-gnl|WGS:NBSK|LSAT_5X21220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKALIKVGSIKAGSFWVSKKAKEEISNISQDLSTLSNTVEKKAKWVFDKLKGKPSKPLPDFLREYNLPPGLFPQNITCYEFDEAKLKLVVHLPSPCEVTFKDQSVIRYATRVKGLVSRGKLTVIEGMKTKVVVWVKVSSVVVENYRSDKVWFTAGVKKSRSRDAYETPRDAIRVDEF >cds-PLY89533.1 pep primary_assembly:Lsat_Salinas_v7:4:158410569:158411119:-1 gene:gene-LSAT_4X95361 transcript:rna-gnl|WGS:NBSK|LSAT_4X95361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELESENRASLIKNQDTKENKKEEDGKFVILDGNKGKNAEGIHYKMSTPSQLYVVLRTMILFM >cds-PLY67800.1 pep primary_assembly:Lsat_Salinas_v7:9:166667689:166669368:1 gene:gene-LSAT_9X103620 transcript:rna-gnl|WGS:NBSK|LSAT_9X103620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAITQGKWIKLNQKGTGPGARSSHAITIVGEKAYAFGGEFTPRVPINNSLYIFNLTTQTWSTAETTGDIPPPRVGVTMAAIGTTIYVFGGRDATHAELNELYSFDTCTNEWALLSTGDNGPIHRSYHSVATDDRRMYVFGGCGVAGRLNDLWAYDVVEKKWIEFPLPGDNLKGRGGPGVGVVGGKIWVVYGFAGMEVDDVHFFDPDSGKWVEVETTGQKPTPRSVFSSVVIGKYIFVCGGEVDPSDLGHMGAGRFTHEVYALDTAALTWWRVEDGFGGGDGGGDHPGPRGWCAFSGGRFGGEDGLLVYGGNSPSNDRLDDIYFFTPCLD >cds-PLY83383.1 pep primary_assembly:Lsat_Salinas_v7:5:95329754:95332641:-1 gene:gene-LSAT_5X43841 transcript:rna-gnl|WGS:NBSK|LSAT_5X43841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKVQGVAYWCCCGQIEAIESKCDTRMNSNLGNHDCLNPMNDILDTIQYLSELREVKKQGRKERRHKEAQDVLAAATTAAAASSRLSSFRKDTPEESAHHENCYFALRNNCHKRITLPYTGDKYLLHKQSTKWITVMTMAPPRLEKGAGVLDKPVIEKTTPGRESEFDLRKSRKMAPPYRVMLHNDNYNKREYVVQVLMKLTRIGRDAVLYVESLIESIMGGLEGLINILDSEGASTRSQLKLQMAFDGQERYMKRSWEPSDKADLHFVYKDVEGVSTQWDDIQRKLRNLPPKPSAFKPDPFTPAEDEDSKPKTKSRIDNKTEELKDLEDDLDDSCFLEEYKYLQLP >cds-PLY66104.1 pep primary_assembly:Lsat_Salinas_v7:1:109716115:109717419:-1 gene:gene-LSAT_1X90040 transcript:rna-gnl|WGS:NBSK|LSAT_1X90040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSLVLAITLAILYISSPATATGVESGGTFSIDLIHRDSIKSPFYDGSMTFSQRLAHALQRSFINAKRYKENATTYQTQIIPDHGEFLVNISFGNPSHKVMAIADTGSDLSWIQCKPCIKCYKHKGTLFDPKKSSTYKPLDCDSTICKGIDTVDISCSPTKTCEFFESYADGSFSNGSVSTETLGLGDRFLPNIVFGCGFSNGGIFRSTWGGVIGLGGGDVSLVTQIRSFVPGRFSYCLIPYPLNDDLLNKSSKMTFGDIDFGPNIVSTPLVPKSPKTFYYVTLEAVSVGSRRMNIHDGLNFSKPVPKGNMIVDSGTTLTMLPHRLYKNVEMAIKDSLNLRTMKDPQKQLNLCYRATKVIDVPKVIMHFDGADVELTRDNIFVTVSKHIICLAMGSTTDLPVFGNLAQMNFMVGFDLDNKKVSFKPTDCEKL >cds-PLY86945.1 pep primary_assembly:Lsat_Salinas_v7:5:255051433:255054784:-1 gene:gene-LSAT_5X127880 transcript:rna-gnl|WGS:NBSK|LSAT_5X127880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSQTGSQTQRSTPAIIPRFSGDTDHDPKDISLRQWLDNSERSIDPLENMHIFMQIVKIVSLAHSQGLLVHNIRPSCFVMSSFTHVSFIESASCSDSSSDSEQDVSSSQTDSYKNLPNDFRNTSENKQVIVKQEKEVEEETKHVFPMKQILHMEMKWYTSPEEAAGGPTSCSSDVYRLGVLLFELYCPCSSPEEKNATMSTLKHRLFPPQFHCKWPKEAFFSMRLLHPDPASRPKIHEVLQSEFLSEPKEKLDEREAVIELEEKIMEQDMLLEFLLEVQQRKQEALDYLHRDITFLSSDLQEVTNSQTSIRKKGGSNSVFEVSPSQNDNSRKRIRTQENQENGIPKCSRLMKNFKKLESAYFSTRHKTSKPNSNPFLEGLSKYMSYNNLEVKAELKQSDLLNSSNLVCSLGFDRDGEFFATAGVNKKIKVFEYDSILNENRDIHFPIVEIPTRSKLSSISWNRYIKSQIASSNFEGLVQIWDVTQNQVSAEMREHARRVWSVDFSVDPTLLASGSDDGSVKLWNINQGGSIGTIKTKANVCCVKFPCDSSNFVAFGSADHRVYYYDLRNLSIPLCTLFGHKKTVSYIKFVDSKTLVSSSTDNTMKLWDLSDCTSRVLDRPLRSFTGHVNMKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPALSYKFKATDPISGNEVDSNEQFISSVCWRNQSSTLVAANSMGNIKILEMV >cds-PLY80541.1 pep primary_assembly:Lsat_Salinas_v7:6:13745436:13746168:1 gene:gene-LSAT_6X11880 transcript:rna-gnl|WGS:NBSK|LSAT_6X11880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSEAVCGQEALELLNCVTNSSFDQEKCIALLNSLRKCVLDKKVKKFSLAEEKQAKTHNIAEKQG >cds-PLY99032.1 pep primary_assembly:Lsat_Salinas_v7:6:151230606:151232978:-1 gene:gene-LSAT_6X91420 transcript:rna-gnl|WGS:NBSK|LSAT_6X91420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPIISYMLLLTCTTIFNVSPTMADNELKTYIVHLTSPQGQDFSQPQDREEWYKSLLSESASISNEKSVMVHMYHHVMTGFAAKMSEHQAEVIKNMKGVLSIRPERVYQLHTTHSPNFLGLHQNSGFWTDSNYGKGIIIGIIDSGITPRHPSFNDEGIPPPSSKWKGKCEVAGCNNKLIGLRNFDSFSKTPVDEDGHGTHTSSTAAGSQVHNANVFGNANGTAIGMAPLAHVAMYKVCSSGCSGSAIAAGMDAAIEDGVDVLSLSLGGGPSHFYDDVIATGAFVAMQKGIFVSCSAGNGGPRTSSLSNEAPWILTVGASTIDRRIRTTVYLGNKKLFDGEAINQPKDFDHKLRPLVYHAKDTISAAYCLNGSLDHIDVKGKVVLCDQGSSSGLDKSMVVKAAGGAAMILANHKIFGKTTIIEPNVIPASIVGYAEGVQIKNYLNSTTSPVATILFRGTIVDIDTAPEVASFSSRGPNLESPGILKPDIIGPGVNILASWPISIDNNTKTKATFNVISGTSMSCPHLAGIAALLKSEHPDWSPAAIKSAMMTTASQVNLKGHAIVDQKDARFIHADVFTIGAGHIKPSKSNDPGLVFDIQPNDYIPYLCGLGYTPIQIEMITKKKVSCSTTIPEAQLNYPSFVVSLKKGDTKTYSRTVTNVGMANSTYTIGEISVPHGVKAEVASFSQELMFTAVHHKLTYNITFTRDSTIEVKGHYGQGHMTWVSGKYSVRTPFAFKFE >cds-PLY83058.1 pep primary_assembly:Lsat_Salinas_v7:3:81615620:81617501:-1 gene:gene-LSAT_3X63081 transcript:rna-gnl|WGS:NBSK|LSAT_3X63081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLETEHPIKAYGYAAKDTSGTFSPLTFSRRATGDNDVRFKVLYCGICHSDLHYAKNEWLASTYPLVPGHEIVGVVTEVGSKVEKFKVGETVGVGCLVGSCRSCQNCSNNLEQHCPKMIMTYASTNFDGTLTYGGYSDHMVSDEHFVLHWPDNLPLDSGAPLLCAGITTYSPLRHYGLDKPGMKIGIVGLGGLGHVAVKFAKAFGAEVTVFSTTPEKKEEALERLKADHFIVSKDVEQMQAATGTLDGILDTVSAPHPIAPFIDILKTAGKLVLVGVPEKPHELPAFPLILGRKIIGGSSIGGLRETQEMLVFCAMHGITSDIEVISIDYVNTAMDRLLKSDVRYRFVIDVANSIKAPLQT >cds-PLY76854.1 pep primary_assembly:Lsat_Salinas_v7:3:6048086:6050229:1 gene:gene-LSAT_3X3441 transcript:rna-gnl|WGS:NBSK|LSAT_3X3441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQMPLRRRIPVSRRIRPPHPSPSTHRKVAIHRSSNWKKQYSKSINRCNSEPTLSVTGISLADAAVHVGDDHRYMTPPGDSCSIFRTRISTDVFSSSPELLPNSPEKSKSYNKDAKVVVKVMVEGSPGPIRALVKLGSSVDETIRLVMNKYNAEGRSPRIHQDVTSSYELHHSYFSLKRLDKSNLIGEIGSRSFYMRKSVNDKEDMSSNGSVDSKIVESTTNDSPTSSLNIFLRELVCQEFKKIITMTNKICRFLGCFDG >cds-PLY66869.1 pep primary_assembly:Lsat_Salinas_v7:7:19587597:19589077:1 gene:gene-LSAT_7X15740 transcript:rna-gnl|WGS:NBSK|LSAT_7X15740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQPQSKLDVVIESPTSKHQEHAVKPYNIENQEKTQKKASVIANINASYFRICISLAGQALLWKTLSEDTNMGPTFRNLFLKLPSTAFFLLWCLSLCVLVSLSILYVLRCIFYFNMVKAEFHHHVGVNYLFAPWISWLLLLLSAPSFILRHKYSCEYLWWLLIIPVVGLDVKVYGQWFTTEKRFLSMVANPTSQLSVIGNFIGAHAAIKMGWRESGTFLFTLGLTHYMIVFITLYQRLSGSNHIPSKLRPVFFLFVAAPSMAALAWKSIKGSFDIQCKMLFFLSLFLFTSLASRPMLFKKSMKEFSVAWWAFSFPLTFLALAATAYAQQVKSLTANGLAVVLSTISVLVFLILLVFSTLKIDSLLHKPILKFSNDSMSYNLN >cds-PLY88222.1 pep primary_assembly:Lsat_Salinas_v7:8:150039506:150039703:1 gene:gene-LSAT_8X101120 transcript:rna-gnl|WGS:NBSK|LSAT_8X101120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLFLVEFGMGIEFWWRSRNMNIIDSMVVGEKAEASTVRDDRFSEIPSTPSQGEFEYERGLEEQR >cds-PLY83468.1 pep primary_assembly:Lsat_Salinas_v7:3:205554410:205555215:1 gene:gene-LSAT_0X19281 transcript:rna-gnl|WGS:NBSK|LSAT_0X19281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEERAATNLNNLNNFIVGIMNKKGEFIDPLVEDQYTHHIADSDGDPDTIDWIPIFEKVLNSRRVHVRGIGPKHLSTASTRATSQWHSRSQAPQPTQDVDFNGFLQHSAFVTAIGDTTRLFKNQVKQR >cds-PLY68721.1 pep primary_assembly:Lsat_Salinas_v7:5:279260885:279262687:1 gene:gene-LSAT_5X144420 transcript:rna-gnl|WGS:NBSK|LSAT_5X144420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDKGYINHQEITTITINQQTKQIETFTRLKMAGSQALVMAVLVMGIAFHSTLIDATIAKSMYFNWGAHHSSILGNGDDLRLVLDQTSGSGIQSKRAFLFGSIEMLIKLVPGNSAGTVTAYYLSSTGAKHDEIDFEFLGNSTGEPYTVHTNIYTQGQGNKEQQFKLWFDPTSGYHNYTIHWNPTQVVWYVDSMPIRVFRNYESEGIAYPNQQGMRVYSSLWNADNWATRGGLVKIDWTSAPFVASYRRFRARACKWNGPVSISLCAIPTPGNWWMSPVYKQLSNGQQAQLKWVRDNYMIYNYCTDFKRFKGQIPPECSKPQF >cds-PLY67335.1 pep primary_assembly:Lsat_Salinas_v7:4:19468468:19469382:-1 gene:gene-LSAT_4X13661 transcript:rna-gnl|WGS:NBSK|LSAT_4X13661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDPKAPLSVFFIAELDLKEKIINQNQDDMEVLQKKLVEREKEISDLKEKLKEKYMKKKIFSFVSVQTEDIPEESSSSRNSCFHPDEHQGSSHTDKSDISKLQFEKVHIVTSSRNAKTSDTEKSVLPDKVVHAGKPVHVSKSVYADKSSYTAKPSQVVKTPSHHIKTQVPSPNIRRAQAGKFSNVCSCLSNSFYRANHTRKMKSSRIKTKKMWVEKKASPEPKNIKQVWFPKNLSSQSKRKPCREVIRKKWNLKCLGKFVEESYTKKKGVQNCGIDISSCNQRHSQKGRYVSKPILKWVPKYFC >cds-PLY76177.1 pep primary_assembly:Lsat_Salinas_v7:4:51542884:51543404:-1 gene:gene-LSAT_4X35181 transcript:rna-gnl|WGS:NBSK|LSAT_4X35181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTKFMFVFGLLVAINIMHTKASVSLLENGGLVCVSVRCHTDEVCETTCKAQGFAGGGVCMGRSFETHRCCCL >cds-PLY84764.1 pep primary_assembly:Lsat_Salinas_v7:5:229279515:229279757:1 gene:gene-LSAT_5X108980 transcript:rna-gnl|WGS:NBSK|LSAT_5X108980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIGLPWWFPRFSSQQQAGTHTRRRDEQGKRTPITATLAAAHGGQKKRSDGTASLWLNRRWRRRLAALMTTDGEQQQRD >cds-PLY78075.1 pep primary_assembly:Lsat_Salinas_v7:3:255818721:255820257:1 gene:gene-LSAT_3X140341 transcript:rna-gnl|WGS:NBSK|LSAT_3X140341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYDSIECVSTSDGLGNEEIPHHHHHFTSSKTHNVNVIPSKIHPTSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVENRCPTCRQELGDIRCLALEKVAESLEFPCKYFPLGCPEIFPYYSKLKHELICNFRPYTCPYAGSECSVVGDIPFLVTHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFNCFGQYFCLHFEAFQLSMAPVYMAFVRFMGDEEDARNYSYSLEVGGNGRKLIWEGTPRSIRDGHRKVRDSHDGLIIQRNMALFFSGGERKELKLRVTGRIWKEPPNPPDAAGGPCVPNLYT >cds-PLY97870.1 pep primary_assembly:Lsat_Salinas_v7:2:216175618:216177271:1 gene:gene-LSAT_2X136541 transcript:rna-gnl|WGS:NBSK|LSAT_2X136541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PTAC15 [Source:Projected from Arabidopsis thaliana (AT5G54180) UniProtKB/TrEMBL;Acc:A0A178U8Y7] MVHLFTFSMNKSLSPSPTSFLCACGPDIINPPPPSPKAASLNPFSSIRHGTCWFSTLNLSPKSSLLVLPLSRRRRLFECNVLQATPTHDVDSATSLFALFLEMGISKKGTDAILENHPALRVAPFESIRTQIHGLQNLGINGPVLFRLIKKRPDVLIAKEIHSLVCFLSHDLIKDTNMIEAAPLARLLTATDPKLLSGFEAKVRLLLDLGIPTERLAHVLNNVNLTKALCLKSYEEIKRMMSFLNGFGGVELILRRPAILNFDLDSQLIPRVEFFLNMSGGDEVATTTVLLKLPFILAYTVDHYTNHVDFFRSFAGLTDEEIFKIILVYPSLFSASRERKLHPRIEFLKQCGFNSNDIYKLLIKAPLFLSLSFDDNLAYKLVLLVKIGYEHRTKELGLAMGWVCRTSCKNMQEVISLFLNYGLTCEEILAMGKKHPQVLQYNHKSMKLKLDYLTQEMGYEVKEMLAFPAFLGYGFDGRIKHRFEASGKNSGEGMSINKLFSMSTARFSKKHEKKLPVPVTKAR >cds-PLY71958.1 pep primary_assembly:Lsat_Salinas_v7:3:23732851:23734722:-1 gene:gene-LSAT_3X17641 transcript:rna-gnl|WGS:NBSK|LSAT_3X17641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEETNYCRVTQAFYSLLYIFQKRPVITKEELIHTIISCRLEIVDRSQKPENPLLFLGQYSDEELEEESGKEINHDTRENSSAELDEQVKTDNHEGTEVDKDENLTCEKPDQEKKEHSSSLDVEENGVMILPSNKDMDEVEQTTVSWTSDTKTENMD >cds-PLY87685.1 pep primary_assembly:Lsat_Salinas_v7:6:45801180:45802077:-1 gene:gene-LSAT_6X34001 transcript:rna-gnl|WGS:NBSK|LSAT_6X34001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKPKLVLRRSPEERRQLLKEHASCKSKRMGEVVGGAAAEVTAVCCCFPCAFVDFMVLTMYKVPTGLCRNAIRRRRSRMNHRNGAGGGERRNIDEELAMHPAVTAAAERFVAPEVDSDVAALENEMWEKFYESGFWRSSSGRMEIDNVFNDGKNAVV >cds-PLY90996.1 pep primary_assembly:Lsat_Salinas_v7:7:129699929:129700862:-1 gene:gene-LSAT_7X78700 transcript:rna-gnl|WGS:NBSK|LSAT_7X78700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWCKGRENKAGELEDANVKVMAEKLVFPVDKNIESHATPVTNTIIEKTPIINKASINETVTRVMAKATKITVESKSETTNSHPKTKDTHSPKLSQGCIFILT >cds-PLY86277.1 pep primary_assembly:Lsat_Salinas_v7:8:57483841:57484779:-1 gene:gene-LSAT_8X42040 transcript:rna-gnl|WGS:NBSK|LSAT_8X42040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLQNQLTDVSSDSLPIFLLAVIANGVCYIRSLVFTFLHSLGISRYRSDEVDDAMLFDAVGSGLAGLILLADQLNLNRVFSYKYSLCSDPRPDPASSECVVCLNRLTDGEQVRKLDCHHVFHKECFDGWLDHLNFNCPLCRSPLVSDERVAITRRRVTDDVVDWFSFR >cds-PLY77988.1 pep primary_assembly:Lsat_Salinas_v7:MU042436.1:330409:330582:1 gene:gene-LSAT_0X11441 transcript:rna-gnl|WGS:NBSK|LSAT_0X11441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAEMASESRAGIEGGDTQGTRESGTTQVTRERGNVVLPQVKRRKKSERIIKKKLAT >cds-PLY91862.1 pep primary_assembly:Lsat_Salinas_v7:8:197960885:197961184:1 gene:gene-LSAT_8X127680 transcript:rna-gnl|WGS:NBSK|LSAT_8X127680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILMPHITQAKEILKRSFSNGSSTTKSMDIPKGCLAVYVGEQEKKRFVVPVWLLMEPTFQQLLDQAEEEFGYSHPMGGLTIPCSEYMFMDLASRLGTL >cds-PLY85059.1 pep primary_assembly:Lsat_Salinas_v7:7:7267915:7271019:-1 gene:gene-LSAT_7X7980 transcript:rna-gnl|WGS:NBSK|LSAT_7X7980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTRSMARNQNDDAAASSSREMPKTCEHWSDLDHDLLDSVMMRLGVVDYYSFRGVCKSWRLLACSNENKFMASMPPMTISICCHAHDKTCFLEDFERRRFKTILPNSAVAACVGLTCGYLIFFVKKTHSFWLVNPITRKELHFPNFPSDYNPGIGRVILVFSPSIYGWAFVLLLHSCREIWFSIEGKGAWNHVSSYPFCIHDIYAFKGKIYALSDQMHRLFELRLIPHPKLTMLEIKKLKYFFYATRFVSSGERLCVRPPISKFLNELHELDFGEMKWVKPCEKTFEEYAFFYSDLNHGADVAVKWESLGRFASFPNTDDDGNITFFTAKMWYFPHQSMDVDRIDE >cds-PLY82661.1 pep primary_assembly:Lsat_Salinas_v7:5:84831035:84833219:-1 gene:gene-LSAT_5X39020 transcript:rna-gnl|WGS:NBSK|LSAT_5X39020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLSVGVAIAREIPLDFRRETGGAIAVATKRVSEDGDGCGVRRRRASLRMVDRQLAKGNFKLALSLVKQLQRLPPPAGLRGFAAARQVPRRVLSVEELDFSVTEKSALQSLHDSILDSIKISLQSSPLDEISVTCKDNDLNLEDDIIQHKQVVQHEAGHFLVGYVLGVLPKTYKFSIMEDVKQDKVVDASVKFVGFEFLRELEDELPSKKTLHDVKPSHRVRLLFSMYCSSPIIVGLIDYT >cds-PLY68565.1 pep primary_assembly:Lsat_Salinas_v7:2:21464909:21465286:-1 gene:gene-LSAT_2X10060 transcript:rna-gnl|WGS:NBSK|LSAT_2X10060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLERADILQLAKSRIEIFINKVFIFSVDEKIFVNGYDANEKTIIYSKYNKEISPPDEQLFLAFQNSLLKPDLIGEHMAYICKKLHKSTNRYNCQCSIIQVASTTKDNNGKAVIKEDSSTSIK >cds-PLY94796.1 pep primary_assembly:Lsat_Salinas_v7:2:177962215:177964595:-1 gene:gene-LSAT_2X97820 transcript:rna-gnl|WGS:NBSK|LSAT_2X97820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGDHRSLLLATVLISSLLLSAFVHDTRAEVITLTESTFSDKVKEKDTAWFVKFCVPWCKHCKKLGSLWEDLGKTMEGEDEIEVGEVDCGTNKPLCSKVKIGSYPSFKLFYNGEEVARYRGAKDVESMKTFVLEETEKATLNAQLEDDKDL >cds-PLY61865.1 pep primary_assembly:Lsat_Salinas_v7:6:58464420:58467134:-1 gene:gene-LSAT_6X45200 transcript:rna-gnl|WGS:NBSK|LSAT_6X45200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSCVFIISSLLLLLLVTTTGSQLVAAGGNDMKQCLDDERDALLLFKAPLHDHHEHLSKWTAEGDDCCNWKGVTCSNQTGHVTGLDVIGYWLEGEISHSLLNLTYLNILDLSYNSFRGTIPTIIGSLTELRYLDLGWNHFNGTIPPEFGNLTNLQHLHLNSVGRCRVKSIEWLSHLSHLQSLRMGGISLAKQNHWVDVILSLQKLSDLSLGGCELSQVMYPYSSSFLNSSSSSSIVYLYLQNNNLTSSMYRWLLPLTSNKLRFLYLSSNMLDGIPKYLGNLCSLEYLEISNNSAAVKFPDFLNNLSGCTSLSLQELSAQGSQFTGSFSIEIQKFSSLMYLYLTDNHLIGSISEKLWGLPRLELLDISFNNLTVPSTYHLSNISYVKNLDLSSCKLLGPRFPKWIIQTLKNLTFLDLSNTGISDTIPLEFWDSWPSQLIYMNLSSNNISGKVPDLLSKFDKDYSAIDLSSNSFHGPILNVPSTLSILNLSRNKFSGGIAFICQIVDGFLEFLDLSHNSLTGQLPDCLWHFKYLRVLNLGHNNLFGRLPPSIGSLIQLQVLYVYDNNFSGELPLSLKNCTGLISLNLGANKFSGDVPVWIGENLSGLYVLILRSNNFFGTIPLQLCQLPNLQVLDLSMNNLHGSIPSCLSNLTSMLHQGGFPQDTQYFITLGGLNIIYKRGTYVDHAMIEWQGDEREFSRTLKLVKSIDLSSNNLTGQIPNEITNLSDLIALNFSKNALSGEIPQHIGEMKKLLTLDLSRNNLSGRMPSSMSQMSLLNYLDLSFNNLSGRIPTSTQLQSFEPSRYNGNKRLCGLPLTKKCPGDEEPEVPPLIGKGEGDGEDTDDDELWGWFCIGVGMGFATGFWIACGALLLNRQGRRAFFQFYDSFKDWVYVKVVVFVSSFQKSR >cds-PLY74279.1 pep primary_assembly:Lsat_Salinas_v7:8:164638205:164642447:1 gene:gene-LSAT_8X109421 transcript:rna-gnl|WGS:NBSK|LSAT_8X109421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSCDHDALILGLLIGFCVLFQCSATLWDTNALLVVNASKSSTRKMPESLFGVFFEEINHAGAGGLWAELVSNRGFESGGQHSPSIIAPWSIIGDDSMLKVSTDFSSCFKQNPIALRMEVVCDDHGTNICPDGGVGIYNPGHWGMNIEEGKTYNLVFYIRSSGSINVSVSLTDSIGVETLATTNIIASDVSNWTKMETKLEAKGSNPNSRLQLKTDKKGIIWFDQVSLMPTDTYKGHGFRNDLFKMVADMKPGFIRFPGGCFVEGEYLRNAFRWKETVGPWEERPGHFGDVWMYWTEDALGHFEFFQLAEDLGAAPIWVFNNGISHKESVDPSNIMPFVQEALDGIEFARGDPNSTWGSVRAAMGHPKPFDLKYVAIGNEDCWLKNYRANYLQFYAAIQKAYPDIKMISNCDGSNSPLDHPADMYDFHIYANASTVFSMANTFDHTSRVGPKAFVSEYAVTGNDAGSGSLLGALAEAGFLIGVEKNSDVVEMASYAPLFVNTNDRRWMPDAIVFDSSQSYGTPSYWMQHFFKESNGATLLKSTLQSNSSTSLEASAIVYRDTEDDKNYLRVKVVNFGSNTVNLKIMIDGVDANLLDTSGSKTVLSSTNVRDENSFANPNKVTPVKSLLETAGKNMDVVLLPHSLTCFDVLMKSDITQMTSDDKSYVSSM >cds-PLY98378.1 pep primary_assembly:Lsat_Salinas_v7:5:314323764:314324289:1 gene:gene-LSAT_5X171820 transcript:rna-gnl|WGS:NBSK|LSAT_5X171820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNLWYHSLRRIIPEVDANNSDHWELPDHPWIRNAKNALNDSLDETVISRLKQFSHMNTMKKRA >cds-PLY94692.1 pep primary_assembly:Lsat_Salinas_v7:6:20196517:20199859:-1 gene:gene-LSAT_6X15301 transcript:rna-gnl|WGS:NBSK|LSAT_6X15301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKKWLLLAFFLFTTVLSDTTDITNLIAFKNSLGNPKPLSDWNPDVEPCNLDKENWTGIICGKNGSVLGLQLENMGLTGTINMDILSEIPSIRTLSFANNGFGGSMPDVRKIGLLRSIYLSNNNFSGVIGNDLFAGMSSMRKIEVQSNKFSGRIPESLTGMSILVDLQMQDNEFEGEIPNFEQKRLKVNFANNRLNGPIPRGLMNQNATSFAGNNLCGEPLTNLCKISKHKHTLKTFIVAIVAIIIVLTIIVLSLLIIRSKTKPKNNYKYQQTTKLNNNPYKFNTNEIQMHHHEEDEEKNPKRSENGGKLQFVRGDRERFDLQDLLRASAEVLGGGSFGSSYKASLLGGPAVVVKRFREMNNVKKEGFYDHMRRLGNLSHPNLLPLVAFYYKKDEKLLITDFAENGSLASHLHGKRKPNESGLDWPTRLNIIKGVAQGLDYLYEELPNLSLPHGHLKSSNVLLNKTFNPLLADYGLTPVVNKHHGQEFMVAYKSPEFTHHDRTTTKTDVWCLGILILEMLTGKFPANYLKQGKGGSSDLETWVNSVVREEWTGEVFDKEMKGMKNCEGEMLKLLKIGMCCCEWSIDKRWDLKVAVEKISELKERGSDEEYSSYTSDGDAYSSRAMTDDDFSFSANLKPT >cds-PLY86288.1 pep primary_assembly:Lsat_Salinas_v7:8:58028217:58032129:-1 gene:gene-LSAT_8X41720 transcript:rna-gnl|WGS:NBSK|LSAT_8X41720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATGRDEMEEGLIVKETVDGITWRMLADEMKKLGFIAGPMVAVTLSQYLLQVITVMMVGHLGELSLSSTSIAISLSSVTGFSLILGMSSALETLCGQAFGAQQYKKIGTLTYTAIFSLIIVCIPLSIFWKYTGNLLLFIGQSPSISQEAGKFITWLIPALFASAILQPLVRFFQMQSMLFPMLISSILALCLHIPLCWTLVYKTGLGNIGGAVSMGVSMWLNAIFLVFYMIYSPSCAKTRSPISWEVFHGIRQFFGFAIPSAVMICLEWWSYEFLILLSGLLPNPELETSVLSVCLNTIATLYAIPYGFAAGVSTRVSNELGAGNPKGARVAVKAIMALAVVETTIVSSTVFASRNVFGYIFTNEKEVVDYVTKMAPLLCLNIIMDSLQGTLSGVARGVGWQHLGAYVNLAAFYLFGIPVAALLGFCTSLRGEGLWIGILVGATIQVTLLAVVTICTNYEKQAIKARERFIEEENRLG >cds-PLY73418.1 pep primary_assembly:Lsat_Salinas_v7:4:181830978:181831196:-1 gene:gene-LSAT_4X106801 transcript:rna-gnl|WGS:NBSK|LSAT_4X106801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVGIRKAKHLHQSLPDSSGIDELLEVLGYKVRSMDMTDVAKKLEQLEMVMGEDEILQLFNTIHYNPSNLFG >cds-PLY75659.1 pep primary_assembly:Lsat_Salinas_v7:1:100001428:100002426:1 gene:gene-LSAT_1X80901 transcript:rna-gnl|WGS:NBSK|LSAT_1X80901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRVDLEKYRIPLEEIIRATRNFSSETQIGDGGFGMIYKGQLSEHRKNHTVAIKRFNRNGYQGNSEFYKELNMVSSFHHPNIIPFIGYCDDANEKIIVYEYAIYGSLDSHLQDPNKWRCITWTQRLRICLGAAKGLKYLHSDIGKNTVIHGDVKSANILLDEILQAKISNFGLSRFGTRNRPHTHLVTKASGKTFYLDPIYNERDEALKVSDIYSFGVVLFEISSGMPAYRARCFVDDKEQYLLDLVRSYYDEDESGVFKKLVDPVIKDRIDMESFHMFNDIAHRCINLDSKKRPTMDKIIAKIEKALIIQVSLLSNLRKLHHWLVYGAH >cds-PLY65150.1 pep primary_assembly:Lsat_Salinas_v7:9:158858633:158863289:-1 gene:gene-LSAT_9X100240 transcript:rna-gnl|WGS:NBSK|LSAT_9X100240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRNLEKLASIDAQLRLLVPGKVSEDDKLIEYDALLLDKFLDILQDLHGEGLKETVQECYELSAEYEGKHDPKKLEELGSVLTSLDPGDSIVIAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFGDEANATTESDIEETFKKLVHKLKKSPQEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRDCLAQLYAKDITPDDKLELDEALHREIQAAFRTDEIKRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANMYFSQIEDLMFEMSMWRCSDELRVRAEQLHRSSSKRDVKHYIEFWKQVPPTEPYRVILGDVRDKLYNTRERSRHLLAHDVSDIPEELVYTNVEQFLEPLELCYRSLCACGDRVIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLEAITQHLGIGSYRDWSEAKRQEWLLSELSGKRPLFGKDLPKTEEISDVLDTFHVIAELPSDCFGAYIISMATSPSDVLAVELLQRECHVRHPLRVVPLFEKLADLDAAPAAVARLFEIEWYRNRIDGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELINVAKKYGVKLTMFHGRGGTRFCAATLEHGMNPPVSPRPEWRALMDEIAVHATEQYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKYAIEKDIKNLHMLQEMYKTWPFFRVTIDLVEMVFAKGDPGIAALNDKLLVSEDLWSFGESLRANYEETKNLLLRIAGHKDLLEGDPYLRQRLRLRDSYITTLNVCQAYTLKRIRDPNYHVTLRPHISKEYAEPSKPADEYIKLNPKSEYAPGLEDTLILTMKGIAAGMQNTG >cds-PLY66891.1 pep primary_assembly:Lsat_Salinas_v7:7:18919336:18926190:1 gene:gene-LSAT_7X15080 transcript:rna-gnl|WGS:NBSK|LSAT_7X15080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSEVLKAHAKHLEDSHDQLLQSTLDEGAYNKLYSYKHIVNGFSVHTTPTQAKKLKKAPGVKFIEKDRGVKMMTTYTPNFLGLPQGVWTTEGGDSNAGEGIVIGLVDTGINPFHPSFAYDPWNGYPRNRTQFPGVCDVGPHFPKSSCNGKIVSARFFAAGAQATGKLNASIDILSPYDSVGHGSHTASTAAGNHGVPVVVKGSYYGKASGMAPRARIAVYKAIYPSIGTRTDVIAAMDQAIKDGVDILSLSIGPDEPPEKTITMLSMFDIFMLFAHKAGIFVVQAAGNRGPGPYSTVSYSPWAVGVASCDTDRTYPSSLVLGNGQRFNGIGLSGPSFGAGLLKYKLVLAKDAVVANGNFPRTPQYIDECQHPEAFDPVIVRQSVVICMFSTGFSNGTSTLKDIANTGRALGFIGFVFAANPRVAIAYHGRAAIGEGRFAKYNTKAPIVSRSSSRGPNFIDIKRNPIDLLKPDILAPGHSIWAAWSPMSVKTPILEGCNFGLISGTSMATPHIAGVAALIKQRHPSWSPSMIASAMATTATTYDNRGEPIMAQGRELYKLHRSTPFDHGAGLVSATNALDPGLVFTSGFDDYMSFLCSIPNTDPDFIKTTIGEPCSHSFRSPSDLNVPSVTISSLKGSQLVRRIVKNVANAVETYVYAVVPPHGVAIELNPPWFTIVPQGTQDLEVKLIVTQTNDSFSHGEIILTGSLKHIVRIPISVLPISM >cds-PLY97938.1 pep primary_assembly:Lsat_Salinas_v7:3:18184510:18186025:1 gene:gene-LSAT_3X13860 transcript:rna-gnl|WGS:NBSK|LSAT_3X13860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKVGYSKHIHATLSYYMDVCHEVVGRREYQNEILHLLLELYRDTEPQDSFDICRLLMFLGEPDDISTLLETHLRSESRDDAIIGYQLAFDVVEIENDSFFSHVRDWLPDPNVVGEEIYAKRNARIKMIFCSNYGIVFVNKQGGSIHHQQNSPVSGHEKLCLPWCKHLCACINACRYFFGWLFSRKPGRQCYVHNNNIHGLQDELTSPKDCCCYYCYVKNGWAWQKGWQNSTRSLGLVSFIRTTQKVKSLMNRAGNGLVGPPYLQGGALYALGVLNENDDGKTKVFIMDILKESEIEVCLVALGSVDDNTYDEILGVASCVSVDGDGTTVVDSGLVAGISLGLVNAGILSERTYTMVTHAHETQHENQIRGLALGVALTVYAREEEAGELVDIMIRDGDSIIRCGGIYALALP >cds-PLY95178.1 pep primary_assembly:Lsat_Salinas_v7:1:170712501:170721285:-1 gene:gene-LSAT_1X113320 transcript:rna-gnl|WGS:NBSK|LSAT_1X113320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVKHIPVLLSTTVLCILSCSAALDIVSAHQRIKDGNTIVSAGETYELGFFSPGKSKNRYLGIWYKKISPCMVVWVANRETPISDPSGVFEVTTEGSLRILSDDNTVIWSSNSTIPVTNVNPVAQLLDSGNLVVWGSSEKENLIWQSFDYPGNTFLPGMKFGKDLITGRERYLTSWKSPDDPSVGRYKIWIDTNGYPQLFVGDSLFRRSRLGPWNGLGFRGLALENLNPVYYAEFAVNQKEIYYRYKLKSSIVLRRVLMHDGNLVQFNWIERTQEWVMYGNPILVDNCSGYGSCGPYGSCSVKLPPCNCIDGFEPRVPKEWNRGDWSSGCQRKKPLDCGSGTQMDGFQKISGVKFPDTRRSWYNVSMSLEECEIACRRNCSCTAYAELYIKNGESGSGCLLWFDALMDIREYDDNQELYIRMATSELPAIGESRFNNKKGVLRVVVSVSLAAVLLSVVVYVYRKKMKRSHKKGRAKATDNFNIDNKIGEGGFGPVYKGVMEDGQVIAVKRLSEASQQGLDEFQNEVLCIAKLQHRNLVKLLGYCIQGNEKMLIYEYMANKSLDSFLFDSRLQIIHRDLKAGNILLDSEMNPKISDFGLARKFVGQDVMAKTKRVVGTLGYIPPEYAVHGRFSIKSDVFSFGVIVLEIVSGKKNRGFSHEAHSDNLLGHAWRLYKEDKSIELMSASLRNSCVAFEVLRSIHVGLLCVQHHAEDRPTMLSMVLMLISEGALPQRKQPAFFTDESYREPDSVSSVDEYMLTILYAR >cds-PLY89220.1 pep primary_assembly:Lsat_Salinas_v7:5:310940523:310941274:-1 gene:gene-LSAT_5X169680 transcript:rna-gnl|WGS:NBSK|LSAT_5X169680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGDISGLLSAHPQTPLVSLHHLDAVEPLFPAMNRQQSLNHLMTSAKIDQSRILQQSIGYHHPKNWSFSLSWGYSVHIYEKTLPSSFLQVPLQTFGEWQKGAKPAFMMNTRGLSSDPCEIPHVFYFHSVEESGGGWRREVVKTYVRRLPRRLSPCLANGNHSADYLDMIHVISPVKRHVTEGSRRECCDIIQVEKGNSTTIKLRPCMKYELIG >cds-PLY97547.1 pep primary_assembly:Lsat_Salinas_v7:5:237927754:237928005:1 gene:gene-LSAT_5X112760 transcript:rna-gnl|WGS:NBSK|LSAT_5X112760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTLPSQQRPPPLSLATTTTTILLLRSYVPFNHPSSGKPTTTTAPVPLLFILIFSLTSTATSMLCRRIHQVHVRSHNQSHQAP >cds-PLY81157.1 pep primary_assembly:Lsat_Salinas_v7:9:69072757:69075085:-1 gene:gene-LSAT_9X55100 transcript:rna-gnl|WGS:NBSK|LSAT_9X55100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSILCGLPLLECVYCIGCARWAWKRCLHTAGHDSETWGIATAEEFEPVPRLCRYILAVYEDDLRCPLFEPPGGYGINPDWLILKRTYDDTHRRAPPYLLYLDHDHADIVLAIRGLNLANQKDYVVLLDNKLGQRKFDGGYVHNGLLKAAGVVLDAEYETLKKLLEEYPNYSLTFTGHSLGSGVAALLTMVVVQNRHKLGDIDRKRVRCYSIAPARCMSLNLAVRYADIINSVVLQDDFLPRTATPLEDIFKSVFCLPCLLCLRCVKDTFTSEERKIKDPRRLYAPGRLYHIVERKPFRCGRFPPVVRTGVPVDGRFEHIVLSCNATSDHAIIWIEREAKRALELMLEEDPIMEIPVKQKMERQQTLAKEHGEEYAAALQRAVKLAVPHAVLPSQYGTFQDSSDGEVSGGESSRRVMVGGSWDELIESLFEKDESGHMVFKRPHSSIV >cds-PLY96718.1 pep primary_assembly:Lsat_Salinas_v7:6:76867134:76870429:-1 gene:gene-LSAT_6X56060 transcript:rna-gnl|WGS:NBSK|LSAT_6X56060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIKSPVFVLFVLSSTLVLRSAAIPLNDLLGIAPEDEKYYAGLWSSGTIKCKDGSKTFTKSQLNDDFCDCFDGTDEPGTSACPAGKFYCRNAGHRPLTVFSSRVNDRICDCCDGSDEYDGKTRCKNTCWEAGKVARDRLMEKIKIYVEGVSIRDNEVEQAKLSFEQDKENLLKLKHEEKTLKGIVQNLKGTSIYHKDRIEKAVKKERIQKEKEEQQKKESELKEHKGEEKVDVAEKESTKSKKDDKDGILNNSPSGQNLNKDPAADVAQNAHTSHDNVQQHAAKEEESSDVTHATEDIDSLSKEDLGREIGSRWTGKKTEHQNHDDETPVNVHNEENNGDNTETDDDDDDEHYEEDLDDHRDVQEDTHDDSSSSHDYDPDEYEDISDIESASSPSLIEKIQQTVRNFLLAVNPFQTPVNTSEAESVQKEYDEASEKLSKVKTKISRITKKLANDFGPEKEFYLLHGRCFETKQNKYVYKVCPFKQATQEEGYSTTNLGRWDKFVDSYSVMLFSNGDNCWNGPDRSLKVRLRCGSKVELSDIDEPSRCEYYSFYLFFMFPAIINPKLSKIVHVFFTFL >cds-PLY99187.1 pep primary_assembly:Lsat_Salinas_v7:6:130092813:130093013:1 gene:gene-LSAT_6X79200 transcript:rna-gnl|WGS:NBSK|LSAT_6X79200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIITTHLLHHRETIQNHYMVHYHQSHAGRGSPHKEVPLGFTQPKLDPNTLSTIFVRSVGWLLRKF >cds-PLY87850.1 pep primary_assembly:Lsat_Salinas_v7:1:188659472:188661086:-1 gene:gene-LSAT_1X120200 transcript:rna-gnl|WGS:NBSK|LSAT_1X120200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGKLLRLGRRQLHTIISRETIKPSSVTSSHLHTYNLSSIDKLAQHTYMPLILYYSNNDNSNLSAYDKAQKMKKSLSQSLARYYPFAGTLQTPTTPYVDCNDEGVVFVEAKNDSQLETFQHCISEQDETVEQLFADGLFCDNSPHSKSLIGVQLNHFSCGGVIVGLSMSHKIGDGCTLVSFISHWASVTRYGSTEHEEVQPLNPRFLHSPSISSILSEAQVISQGYDNRVTKKFVFPNTKLSDLKNKVNALSLAGSTSPIKNPTRVEVLTSLLYKTAVAAATSKAGCFKPSYLFMPVDIRNKFVEKLPQTMVGNFVGIMMIPTRKASETSLSVMVEEMKKEKSQLEGIPSVEHAAENFKTLKLKLGNEDIEDVIQRSYWCSSLCGFPYNKVDFGWGKPMGASLAVRSADRIGFLLTDTPDGDGIEALVVLEKEDMEIFENDKEMLSFCQII >cds-PLY94217.1 pep primary_assembly:Lsat_Salinas_v7:3:169483064:169485337:1 gene:gene-LSAT_3X103941 transcript:rna-gnl|WGS:NBSK|LSAT_3X103941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPFFQGSKWLPCNEINSTEVDKGGTSLTKSNQTTTDCVKNCNVESTKIIVERNNWFSKLLSVCSDDAKVAFTALSVSILFRSQLAEPRSIPSASMSPTLDVGDRIMAEKVSYIFRKPEVSDIVIFKAPPILQEFGYSSGDVFIKRIVAKAGDWVEIIEICGQLIKEKSSLVKEILPSQQLPENNFSVEGISRAGLLYSVFLAETLDVVQDIDWVISLGNAFAKQYELYTYDDEHSALLHRYELIIIIITFF >cds-PLY67350.1 pep primary_assembly:Lsat_Salinas_v7:3:154035305:154035616:-1 gene:gene-LSAT_3X97841 transcript:rna-gnl|WGS:NBSK|LSAT_3X97841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLKKGMRRSSGAAVGSMNASEKRGVNGEEIPVIADTWTEVCSDNLLFFLWSGNPTTRGREWQQKIEIGKCLFFFVCQERKSMKMGLLAIDTEGRKEAAKQQV >cds-PLY71297.1 pep primary_assembly:Lsat_Salinas_v7:3:192528440:192528808:1 gene:gene-LSAT_3X114901 transcript:rna-gnl|WGS:NBSK|LSAT_3X114901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTLQPGVFADGRGVTLSSSASITVIRLDRLRLLGGDTDARSILERSTKNLKALQSFISLAYRSISYERLYNIVGDSDSDEGIGFERSQIKCQQFHLRFSISSTIRVLIDCSKLPISIWVCF >cds-PLY94246.1 pep primary_assembly:Lsat_Salinas_v7:8:69358182:69362080:1 gene:gene-LSAT_8X50621 transcript:rna-gnl|WGS:NBSK|LSAT_8X50621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPSAPAFSSHSWNYDVFLSFRGEDTRRTFVDHLYTALEQQGINTYKDNVALPRGESIGPSLKKAIKESYIVVIIFSKSYADSSWCLDELAHIMTCRDIRGQIVMPIFYDVDPSEVRKQKRRYKEAFTKHERENKTKVKSWRKALVDASSISGWETRNIANGHESQGIKQIVVEISQKVQQVASSANDNLVGIVARMQRLKSELQIESGGVLMIGIWGIGGAGKTTLASSIYDEICRQFDGCCFIPNIREESSRHGLGKLEEDILSKMGVNRVGGGRCLINNRFHHRKVLIVLDDVDHLGQLKALAGSRDWFGEGSRIIITTRNKHLLVAHKVNVIHSIRLLDNDEAIKLFRKHAPRDNRHVEDYENLSKEVVSYAGGLPLALTVLGSFLCDTEINEWNSALVRLKEIPDNDIVEKLKISFDGLKPVEKELFLDIACFLRGYKKDNAMRIFDACGFHPIIGVKVLIQKALITISEDGKFDMHDLVQEMGHHIVRGEHPNNPEKHSRVWKVEDVVKICSMDATTELDMIQAISFKYSSEGQLKRNKLQRNFRYGSENQLKRYKRLLHPIVANAKNLRWIEWEGDLASPLLTNFPQRTLCHLVLHNSLQKQLWEGNKVTTNRGWGHRLHQFEKGKNMQEAEKVWCERSVAETGDPVFLTSSFLPWYLTQQWDCGNEGKTTMTKGGRYATLVSSKGGMGGRGWS >cds-PLY65382.1 pep primary_assembly:Lsat_Salinas_v7:6:39528759:39531289:1 gene:gene-LSAT_6X30881 transcript:rna-gnl|WGS:NBSK|LSAT_6X30881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRYVKFDADVETPEPNTPQPLKKDDPKITKKLSRVFSEDYAKTKNTILDPRGTTLNQWNQVFLIAALVSLAVDPLFFYLPIIKDGMCLDEDITLKISLTIIRSIVDIFYAIKIYIRFRTAYVAPSSRLLGRGELILDSSSISQNYLRGEFWLDLMATLPVPQVMTWFHIIEKTEMISTKTSVLYFIMFQFVLRLCLTFRLGSQISKQAGLVANVAWVGAAYNLVLFMLAAHVIGAMWYLLAIERQGLCWVEICDKETECMHNYFDCRNVNLPPREAWFPNSNVSVICTNADIFQYGLVEDSVRYSIASANFFKKYSYCLWWGLRGLSSAGQELQASPFIAETHFCILIGITGLVLFALLIGNMQQYLESRTKRLEEYRVKRMDTEQWMHHRHLPQEMRERVRRHDLYKWITTRGVDEEEIIRALPVDLRRDVKRYVCAELVRRVPLFDQMDERTVDAICERLKPVICTPGTCLVREEDQTNEMLFIMRGRLDSYTTGGGRSGFLNQCEIGPGDFCGEELLTWSLDPRPSVIIPLSTRTVTAISEVEAFALTSKDLKFVATQFRKLHSKKLRHTLRVHSHQWRTWAACFIQAAWKRYKTRKESAMLKAKETPLTSKSRKQTGLSLLATSIRKGRAFDVDDVIRSPVPKPKDPEFLDDKNE >cds-PLY62367.1 pep primary_assembly:Lsat_Salinas_v7:8:113407982:113409580:1 gene:gene-LSAT_8X76941 transcript:rna-gnl|WGS:NBSK|LSAT_8X76941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSKCGSIIEACMVFEEMPSKDEVSWNSMINGYAKNGYNEEALISFKKMLTEDVIIDQHLVCSTLCACGPLKAYNIGRSLHSFVTKLGFEQHISVGNALMDMYCKLGDMDSGSQLLNINSKCTNIVSYTSLIDGYVESDQIEKAFTIFIELKRQRVEPNEFTFSSLIKACANHATLEQGVQLHALVLKYNLDQDPFVSSIIVDMYGKCGLLDHSLQAFDKISKPNEYTWNSLIGVFAHHGLGHKAIDVFEKMLLHDIKPNSVTFINLLNACSHSGLLTEGLSYFNSMETIYGVKPKSEHYSCVIDLLGRSGKLKEAEVFIKSMPFEANAYAWCSFLASCRKYGDKERGEFASKSLKIIDPLNSGAHVLLSNIYAKEQQWEDVKSVRKMMKDENVKKLKGCSWVDVDNRVHVFGVEDLCHGDKKEIDLKLDDLLRKIIEVGYVPDVEYVPFDLDYDMKVNILNHHSERIAIAYALIRMPIGKPIIVKKNLKVCVDCHSAIKLMSKVEGREIILRDNSRFHHFVDGSCSCKDFW >cds-PLY79031.1 pep primary_assembly:Lsat_Salinas_v7:3:7395855:7397448:1 gene:gene-LSAT_3X4481 transcript:rna-gnl|WGS:NBSK|LSAT_3X4481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSNHLSLIWVFLILLSLPSRLVLIEGQGIKSTRLLDLVIRDYTFKSYSKYFKTGTPYNINLPSNLTGIMVYTSRYRCGSLKRYGANIKEFHLKVGVDVHPCIERILIVTQNLGNNWSNIYYDNYDQLLGYQLVSPVLGLLAYNSGDDSDLNTQFEVKIQSPDKSGIQIDFTNYTSYGNSTLDGRIKMCATFGGDGKVTLEKEVAPNICGATTHGHFGLVVQSPLLPVRKKMRRWTVAFGCSVGAAIAVFLVGLLLIAMFMKVKKKARLEEMERRAYEEEALQVSMVGHVIRVHTASSTRTAPRIEQTLRPPR >cds-PLY90181.1 pep primary_assembly:Lsat_Salinas_v7:7:13795999:13799491:1 gene:gene-LSAT_7X10920 transcript:rna-gnl|WGS:NBSK|LSAT_7X10920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTNQVLVKESSHVRTIILNRPKQLNALSFEMISRLLELFHAYEEDPNVKLIILKGEGRAFCAGGDVAAVVQDINKGNWKLGANFFSKEFTLNYLMATYTKPQVSLLRGIVMGGGAGASMHGRFRVATDNSVFAMPETAIGLFPDVGASYYLSRLPGFFGEYVGLTGARLDGAEMFACGLATHFVPLEKLSLLEDALCKENTGDPKIICNIINDFSYTPKLKDKSQYFRLKTINKCFSRRTVEEIISALEKEGDKKMDDWISWTLESLKKASPTSLKISLRSIREGRLEGVGECLIREYRMVCAVMRGQVSKDFLEGCRALLVDKDKNPKWEPSKLEFVSDRMVDHYFEGLKVEDWEDLKLPIRSNLPSHAISKL >cds-PLY91230.1 pep primary_assembly:Lsat_Salinas_v7:3:76986389:76991073:-1 gene:gene-LSAT_3X59761 transcript:rna-gnl|WGS:NBSK|LSAT_3X59761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIDLHFAGLFTRYPMISYSDGVEQRFEDVDFVGMDKNEFLVFIQRFANETCVDVYFCMPDIEFPEGLRIIATEKDYQEFIEVGYDYDCVISVYMDHVGVHVHQWIIDEMDEVCTPEDKFSGVGEVVEDLHVETEGGIDLDEVQVQGDLDNNIEDGDCIPMNKTLNDVFLNKLCPKEQPTPDTPPQEDPSHEDPFDQMDEHDPIHEEKIVCKSFKYIPSLELIELLEFIVSGDGGRVGSMEDSEREHEYSIFVFRVVFVQKW >cds-PLY97721.1 pep primary_assembly:Lsat_Salinas_v7:8:7589314:7589677:-1 gene:gene-LSAT_8X6021 transcript:rna-gnl|WGS:NBSK|LSAT_8X6021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSDVWGSISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLSGHGYWQELIESIVWAHNKLKVALATQPRALSIVQGRVVGVTHYL >cds-PLY64946.1 pep primary_assembly:Lsat_Salinas_v7:6:57030884:57034551:1 gene:gene-LSAT_6X42921 transcript:rna-gnl|WGS:NBSK|LSAT_6X42921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAVVSVVLQKLTDMLKGHSQSQNKVIVYDVQEIIKSLNSLKKLMEINQQPEEYLDAVYNIEDGIEKFTLIVACRRDVFGFLTNHIFFFNNLKSCYNIHQKVKKITTQLMYLRDHQPHQRTPIIEVSNEEKADKEPVGENHTTVKNHTPSQGSSYTSETQETNHVGCMDELSFSYSCNEEEIQIVGVKERFQQRRRISSFSYKEEDLGIFGLKEDVDILVDHLTKNSTEHVVSIIGERGIGKTTLARIIYKNKDIKRHFQFCAWVSVLEEYSTKDILLNLFKATQSITDKPINMDDEKVVKLKLSSYLKNKRYLVILDGLSTCNNLEVLKETLPDEKNGSKLLLTCLQTIETPQMKIVSHRMMPLTEDDSWNMFREKIGKEQSWALVPEKSKLAILQSCKGLPLNVVLLAGFFSMKDPSSWSQVFCCEQNSVNILSLCFNDLSDHLKVCLLYSVLFPKGFDIPVRRLLRLWLAEGFVKQNSTKVFPEDIAQTYFEELVNRNMIQISKLRSDNSPRRCRVVGVLHDYLLPKAQETNLFHNYRKLVNSEEVDFPNVRRIVEYDSPKREQGNDRREIIGKENVAYSSSSNYFSSFTSNESPSSPKKSQPKSSLFNPTHLRTYTSFNYQKTDYMHAKTIGNLLGHIISNGFGLLRVLDLEGVYKPILPENLGNLCNLRYLGLRSTYLDTLPSSVGELTHLETLDLKHTCIDELPCSIWRLKKLYHLNLNEMRLNMHPKYSSLRLWTLWGLSLDEKVPIKDGLDRLNDLRELGITFQLSSNQYDLMEWISKLTELRSLRLRSKDSLGRPSKLMFKSMSELGQLSHLNLLGNLEKLPDLNEFPPTLKVLTLSISLLKQDPMQTLGQLPCLTVLRLLGQSYIGKEMVSHKGGFSKLRVLKMWMLKELESWFVEEGSMQSLKHLDIRCCDKLRNIPIRLLQQQQLEHLVLTGMPQIFTTEVERMKSDHTSITINDWKFPTLPVSFLYKLFSIFASWV >cds-PLY97685.1 pep primary_assembly:Lsat_Salinas_v7:8:7998504:7999190:1 gene:gene-LSAT_8X6240 transcript:rna-gnl|WGS:NBSK|LSAT_8X6240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEASESLSIESFSYSWLVNLKPSFETSFRASFDDDSNFIEMDPKLSASKRFLVFHQDFDFDLPTLQPNCVHADELISNGILVPLFLKPLDIGMGIYDSVSSSTPVSPVSSATHGRSRSLLSRRYRRLPKSVVRKYLNLVRLLWLRMRRGRADADSGSGSGSGPSTGIGISSPRETETYSSNNWLRSCDSDSAKILTFEGTSEADNWRRSCDSESSIYEAVLHCKKTIG >cds-PLY75107.1 pep primary_assembly:Lsat_Salinas_v7:2:37590754:37591353:-1 gene:gene-LSAT_2X18200 transcript:rna-gnl|WGS:NBSK|LSAT_2X18200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSHSSKNHPQVDQSNPDSYSSFSSSNPLAPSMYPSLETKDLIEKLFPNNNQSHEQEQQSTAFESSDEFLIKIHGLIVHSIDKQQSIQLASGVFEIIRIRQGDTFITALVRVVYEIQWSLAKDEAVMKLDSLMVTENSSDELDRVLEEYSVFSVKEAERDVAVEGWGCPKLYV >cds-PLY72480.1 pep primary_assembly:Lsat_Salinas_v7:2:138722705:138724454:-1 gene:gene-LSAT_2X66480 transcript:rna-gnl|WGS:NBSK|LSAT_2X66480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYGKKPHAVLVPYPAQGHVNPFMKLAKLLHSHGFHITFVNTEFNHHRLIRSKGPESVQGLPDFQFQTIPDGMPHSDRDATQDIPMLCDMTRKTCLVPFKDLLQKLNSLAGTPPVSCVVGDGVMSFAIKAAKDLGIPEVQFWTASACAFMGLLHYREFIKRGIVPFKDDKYLTDGTLEKTIDWIPGMSNIRYKDVPSFIRTTDPDDIMLDFMGEEAQNNLNAPAIIFNTFDALEHKVLEAITSKFNYPNIFTIGPLPLLAKYVPDDSPVNSLNSSLWKPDSSCLQWLDQKKEGSVIYVNYGSVTTMTDTHLIEFAWGLANSKQSFLWVVRPDVTMGDSAILPEEFLKETKDRGLMVSWCAQEQVLAHPSIGAFLTHCGWNSTTESISEGVPVICWPFFSDQQTNCRYCCVEWGIGMEVNHDVKRNEVEVLVKEMLQGEKGKEMRRKAKEWKRKAKEANDIGGSSYKNFQRFIKDALLSGEVPHV >cds-PLY90371.1 pep primary_assembly:Lsat_Salinas_v7:7:186924944:186936894:1 gene:gene-LSAT_7X111801 transcript:rna-gnl|WGS:NBSK|LSAT_7X111801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLKKIGAAVRVINAMFSEWGIPESSATEMGWNISGNPCTGAAVDSSDFGADTYNPGIKCDCNIPNSTTCHITQLKVVAMDAVGPIPEGLWTLTYLIDLNLAQNYLTGPLSPSIGNLSRMQYLSFGTNALSGQVPPELGQLIDLRSLSFGTNNFTGSLPSELGNLRRLEGLYIDSAGVGGEIPPSFANLQNLQIVGASDNGFTGRIPDFIGNWTQLQELIEGNSFEGSIPSSFSRLTSLQDLRIIGLSNGTLDFIRDLKSLSVLTLRNNGLSGSIPNDIGEYLDLVALDLSFNNLSGEIPRGLFTLTEMSFLFLGNNSLTGTLPNVKSRTLNNIDLSYNELSGTLPSWATDPNLQLHILVNNFTLNDSGLNCLQRDFPCGRGSPRYSNLGINCGGPQITSSSQIVHEQDNNPLGPATYYLTPERRWGVSNVARRDIPSNSIDPTQRYFNNTIASSVNQFTNTIDSGLFQTARLSAGSLRYYGLALENGNYTVKLQFAEIVIENGPTWRSLGRRLFDIYIQGNQVIKDFDIKREAGGASFSPVTREVTVDVINNYLEIHLLWTGKGTCCVPDQGTFGPLISAISATPNFIPSVRNNPPSNNKNNNTGLIVGILVPIGAVSFLTLLALYILRQRRKRKDTSGNYDEEFLGIDHTRPYTFGYGNLRDATDDFSPANKLGEGGFGPVYKGTLDDGRVIAVKLLSVASHQGKSQFVAEIATISAVQHRNLVKLYGSCIDGEKRLLVYEYLENKSLDQALFGSSRLSLKWSTRFDICMGLARGLTYLHEESRIRIIHRDVKSSNVLLDSDLNPKISDFGLAKLYDDKKTHLSTRVAGTFGYLAPEYAMWGHLTEKADVFGFGVVALEIISGRPNSDSSLEDEKIYLLNWAWKLHEGNRELELVDEELSEFNETEVKRMTKVALLCTQTSPTQRPSMSRVVAMLSGDIEVTGVITRPEYLTYFEFDDSSTFKSATPTSTMN >cds-PLY83754.1 pep primary_assembly:Lsat_Salinas_v7:4:39634144:39637364:-1 gene:gene-LSAT_4X26360 transcript:rna-gnl|WGS:NBSK|LSAT_4X26360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGVRVLLRAINRNRSFSSRTTPSQSQSQSQSQILLMDSSERYCYNPTLRWNPQVEEYFTNAYGAEVFSRISKALTRPSCYSCIRVNTLNTTTEAVIEKILEIQCDKRLQDTVKNGDIESLSVLKCQIPGLDYVVFVKGSGPHDIQYDYQQDRPPKEIIVSRKCAEAVLRGAQVYVPGILACSAHVEKGDLVAVSVGVEQPSRDNGWAIGITRGIVLQGLKTDPQYLERDGLYIGQGTTTMSRAGIFRGLSGLGVDMTERVFRLTSFNDVMKGDIFLQNLPSIITAHVLDPQEGERILDMCAAPGGKTTAIASLMKDKGEVIAVDRSHNKVLEIHNLAAELGLTSIKAYKLDALKAVCENNLVTQSCGQSQASEEAESMSCVTKDLPILEKVNNNNNREDEGMKVGCYKSKAEERKERRRMKNGPGRNQSTGGRVDKCEGFLSNSFDRVLLDAPCSALGLRPRLFAGEDTVESLRRHGKYQKRLFDQAVQLVRPGGVLVYSTCTINPGENEALVRYALDTYKFLSLAQQDPRIGGPGLVGHCELSNGYFEEWLRAGEEDLVQRFDPSGPHDTIGFFIAKFTVGPKD >cds-PLY85578.1 pep primary_assembly:Lsat_Salinas_v7:5:44332377:44335871:1 gene:gene-LSAT_5X21580 transcript:rna-gnl|WGS:NBSK|LSAT_5X21580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATDEESSAGFSLEGIEDVEDFVLGDQEESLDTGRSTHLLDLMKMANKAFRVNRYEEAVNYYSRAHNIKPDDPIILSNRCAAYLKIGHFLKHRPAAASELRPLSGLDPTTHASLALKDAEHFMNLQNHTVMAYILKANALILLERFEQARDVILTGLQLDASSNALKNLERFTVDIFGKRTHGQIQTPRTDDFDCTLCFKLFYDPITTPCGHTFCRSCLFQSMDQGNRCPLCRTVLFISPRTCAISVTLKSIIERNFPVEYAERKLENESLSKMGPDLLPLFVMDVVLPCQKFHLNIFEARYRLMVRRIMEGNRRMGMVILDTSTGSVADYACEVEITDCEPLPDGRFFLEVESRRRCHILRNWDQDGYRVAEIEWVQDLSPTEGTKERSDLQDMTDIVAEYARSWIRLAQEAAQGDQMRLTELHKAQGMMPSTRDLESFSFWLATLSNRRPQERLDLLRMKDTTAVHFLNSFLSFYTTCQLSKCQLLITSMNY >cds-PLY88216.1 pep primary_assembly:Lsat_Salinas_v7:8:149679651:149681028:-1 gene:gene-LSAT_8X100641 transcript:rna-gnl|WGS:NBSK|LSAT_8X100641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVENSKVAGFIRVGKWGRQSGDPQNVWSFELEKDHKLVKITVDHGDVIYSLMFTTQYKDALHNSDKFGGWNGGDTVSEVLLDEDEEIVEVGGSVGSKSGYHVISSLYFKTNKTTHGPFGHATEDVFSLPWHKGSLVGFYGLAGYYIDAIGVYLKAYEEIIQVGTWGKNEPGTPQNVWSFQLEKKHHLKKITIDHGDLIYSLMFTTQCGDSTHTTPMFGGWNGGEKVSEVIFEADEEIIGISGTSALSRGSVPDLPIISSISFITNKKTHGPFGNIRGTPFPVPWDVGSFVGFYGLAGYYLDCIGVYLKA >cds-PLY80495.1 pep primary_assembly:Lsat_Salinas_v7:2:136957692:136960913:1 gene:gene-LSAT_2X67061 transcript:rna-gnl|WGS:NBSK|LSAT_2X67061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLILALSCYSFSSPSYSKTDTLQQGQILKDWDELISPNTVFFLKFFSFGTSISPYLGIFYNPSSGIEIRNVYSNVYDTDKPVWVANRNNPITDIYGKLMIDVHGKLSILSGGGTVVDLFSPTTPGRRNASATLLDNGNFILQELYPDGSVKRILWQSFDYPTDTLLPGMKLGIDHKTGHRWSLTSWRRKNLPADGSFTLTGDSNGTGQMVIVRRGNIHWRSGSWKNGGFINTGLQYTGPDVRPYYVSNETELSFAYLAKTYLLSPALTMLLDGRLKGSTLNLDVKCRSISDISCAEYEFEELSCRKEYFVDKRYGSIYGDHKYEYDESHNLSLYDCQRICWNKCSCMAFTYATTDREGCTTYGPKIYNPQETETYRYPSRQRIYNLQEAEKQYYIIVYNYHGRVKKELILTIGIGSLASLVSCYFLYKKLRIKDKKMNTELHHFTFQSISSATNNFSSTNKLGEGGFGAVYKGKLVDGQEVAVKRLSRNSEQGVKEFKNETELIAKLQHTNLVRLIGCCIEKKEKILVYEYMPNHSLDFFLFGSRKKGPLDWNNRFVIIDGIAQGLLYLHRFSRLRVIHRDLKASNILLDDYLKPKISDFGMAKLFGINESEANTSRVVGTRGYMPPEYMLEGSVSTKTDVFGFGVLLLEIVSSKMNHGSYDTEHPLNLLGHAWELWNEGRGLELMDPLLEDSCAPKEVMTCIHVGLLCVQDHAMDRPTMSEVISMLTNENMHLPEPKRPAFFIERHEVDSAIDDNLRNGSINGQSVSILVAR >cds-PLY88969.1 pep primary_assembly:Lsat_Salinas_v7:8:130532077:130532995:1 gene:gene-LSAT_8X90440 transcript:rna-gnl|WGS:NBSK|LSAT_8X90440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASCSMASAASRFLVTPNVTSNTTTVKCHMVSLPFQNKRVSRLVVRAAEDTEPPAATAEPAKAETPKPKPPPIGPKRGAKVKILRKESYWFKGVGSVVTVDQDPKSRYPVVVRFTKVNYANVSTNNYALDEIQEV >cds-PLY64247.1 pep primary_assembly:Lsat_Salinas_v7:7:2841755:2845105:1 gene:gene-LSAT_7X1760 transcript:rna-gnl|WGS:NBSK|LSAT_7X1760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCEETTACKPEKPSSPPPPPVTEHQQTSVHAYPDWAAMQAYYGHSMAVPPYFNSGHPPPAYMWAPPQHMMPPYAAMYPHYPHPAGVPLASPMSIDSPAKSSGNSERGLMKKLKGFDGLAMSIGNGISHSGDTEASSQGSDGNTDTRKRSREVSPAAEAGKISGHFFHKEPNGVTALTVVTSDSEIKKSPTAVTMMTSATAAMMPNQAQQQNERELKRERRKQSNRESARRSRLRKQAEAEELAIKVDALTSENLTLKSEINRLTDNSQKLKLQNAKLTEKLKNVQEENDTEDKSVSLSTANLLSRVDSCTSEEGGSGNDDEEEMVYTSSSNNNNNQNSNATAKLRQLLDASPRADAVAAG >cds-PLY77285.1 pep primary_assembly:Lsat_Salinas_v7:4:164208620:164209940:1 gene:gene-LSAT_4X99301 transcript:rna-gnl|WGS:NBSK|LSAT_4X99301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATGKFFRDIELPQQKPQDNGVYFPAVLSPNHNTGSTAVEELHDFEEAIRVQKPWLESLLQKSGAILFRGFPVATPSDFNNVVEAFSYAEFPYLGGIATRTKVVGRVYTANESPLHMGIPFHHEMSYARDFPTKLFFYCDEEPGEGGETPIVLSHIIYEKMKERHPEFVMQLEEHGVTYTDFASDENDPSSFTGRSWKSIFKTNDKNVAEERAAKLEVKVEWIGNGAKLTTATLPAIRLDKESSRKTWFNSLAVGSDAVVEIGNGDPVPDDAVEDYLKILEEECVAIPWKKGDVLLINNLMVLHGRRPLLKPPRRVLASLCK >cds-PLY88699.1 pep primary_assembly:Lsat_Salinas_v7:5:66160169:66161250:-1 gene:gene-LSAT_5X31040 transcript:rna-gnl|WGS:NBSK|LSAT_5X31040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEEEYDWADLEANLYHWTKSLCPVQWHPGHIGKTEREPKEQLKLMNVVIKVRDGRIPMSISQPMMDSWLGNRKIMLVLNREDMISLADRNAWAVAAEVNMKRRARGLLPHALTEVPLVNFNIQFLYES >cds-PLY98653.1 pep primary_assembly:Lsat_Salinas_v7:1:39476841:39479391:1 gene:gene-LSAT_1X33980 transcript:rna-gnl|WGS:NBSK|LSAT_1X33980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSNKYDAKEFAFILKGMILCFQVPIIKKMKKSEMDLKKLRNKEHRKGLKAEDGDQEIDVCNGEIDDDFSEN >cds-PLY92795.1 pep primary_assembly:Lsat_Salinas_v7:2:149114046:149117250:1 gene:gene-LSAT_2X75020 transcript:rna-gnl|WGS:NBSK|LSAT_2X75020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDTTELLCPENDTMNPALTMTTQVSAILVLSHVFQIFLKPLGQPAPLAQILAGFVLGPSGLSRSPAIHVFFFQNFAVDFYESMALYCRISIMFLMGLEMDIPYLMRNIRPASIIACGGCLMCSLFAAAISFFVYEETGSRGSRFTLALVITVILANTASPIVFRLAADLKFATSDIGRLAISSSLIGDMYAVFILVIISGEKHKRTVNGWALMAFCSLLLLVGIIIFTTHLVNWLNRRNRNHKNLQAVEVMLICSVVLVAAMTFETMGFSSLIACFLMGSMFPRGGKSARTLLAILTYTVHNFIFPIYFGYTGFKADTSYLNELKRVAIVLMVIVLSIGGKIFGTLAACKYLKTPLNEGVLLAVLMNMKGHVDILTLTTAMQNKVLTSSIFYNLMVSAVVISSVISAALIGFLVRRESDTIGIKHIPMEYHRPDKELKLLACVHSRHPVNTMVRLIVSLRGSDNVPISPYLMHLIELPEKIKKTSASYEQLKDDESSDDEEYNYNKNDVVEINEAVDIFIEETNMMIHQVQTVSPFKSMYEDVCDFADDIRASFIVLPFHKHQRIDGKFEKDKDGIRTTNQKVLRNAQCSVAILVDRGHTTTGTQAAGSESLQQIATLFFGGPDDREALGFSKRLSTHHHTSLTIVRFLPTSAKDPNVGIDVSQKEDNVLVAIDNESEVEEADSSALANFYHRYVTSGKVRFVEKYVENGVQTGMILRDMAETYSMFVVGKGGRGDSLLTTGISDWEECPELGVVGDFLASSEFDISGSVLVVQQHR >cds-PLY87253.1 pep primary_assembly:Lsat_Salinas_v7:1:50823160:50826301:-1 gene:gene-LSAT_1X43741 transcript:rna-gnl|WGS:NBSK|LSAT_1X43741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLILHMLLLLLTVVCFSSKMVVSLSSSVETSSVVEGSVNINGMTSIGFIDEDFICATLDWWPQEKCDYGSCSWGNASLLNLDLNNQILFNAIKAFSPLKVRLGGTLQDKVMYQRTGDQGPCDNFTKNTSLMFGFTNGCLTMSRWDQLNIFFKNSGAKVVFGLNALTGRQIGYDGTTFGSWDLSNAEALIRYTVNNGYVIYGWELGNELSGRGIGTSVAAKQYASDTISLQNLVQKIYNGSQEKPIVLGPGGFFDANWFNVYVTEASGSLQVITQHIYNLGPGVDAHLVEKILNPSYLDGGSQPFRDLQNILKKSRTSTVAWVGEAGGAYNSGRNLVTNAFVFGFWYLDQLGMASTYNTTTYCRQTLIGGNYGLLNTTTFVPNPDYYGALLWHRLMGRHVLSTNFSGTNKIRSYAHCSKTSTGITLLLINLDGIKTTNVGISFINTIKIITQTTKKEPKEQKRTKFSKMRRNPKVNEVIREEYHLTAKNGDLHSQIVLLNGKELIVNSSGIIPSLNPIKQNFSSPINVAPYSIVFVHIPSVRFPACI >cds-PLY76784.1 pep primary_assembly:Lsat_Salinas_v7:4:187318172:187320844:1 gene:gene-LSAT_4X108500 transcript:rna-gnl|WGS:NBSK|LSAT_4X108500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSIPEKRVFSSRLLSFLIFISMFFILISSSLLFRIGDNSFVPKSIYNLIVVNSVANEPKSISCKEKCPIKPVASCDPNQAILKVFMYDLPPDFHFGLLGWTGKQNQIWPNVGNFSEIPKYPGGLNLQHSIEYWLTLDLLSSITQNVPRSCSSILVDNSSQADIIFVPFFSSLSYNRHSKIQGNEQNSTNDILQERLVEYLKGRDEWKRFGGKDHLIMAHHPNSMLIARWKLGSAMFVLADFGRYSNEIANVDKDVIAPYKHVVRTIDAKKSPSFEERPTLVYFQGAIYRKDGGVIRQELYYLMKDEKDVHFTFGSAIGGGVRTASTGMSSSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDEIELPFEDILDYSKFSIFVPASEACKKGYLLKLLRGVKKEKWTEMWENLKQIAPHFEYEYPSKPGDAVDMIWKAVSRKISSLHYKTHRKNRYNRSQKFLNAR >cds-PLY68582.1 pep primary_assembly:Lsat_Salinas_v7:2:22973146:22975570:-1 gene:gene-LSAT_2X9641 transcript:rna-gnl|WGS:NBSK|LSAT_2X9641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQYGNQIGSKFWEVICNEHGINSTGRYTGSTPELQLERINVYYNEASGGRYVPRSILMDLELGTMDSIRSGPYGQIFRLDNFVFEQSGARNNWVKGHYTEGAELIDSVLDVVRKEAENYDLLQGFQVCHSLGGGIGSGMGTLLISKIREEYPDRMMLTFSIFPSPKVSDTVVEPYNATLSVHRLVENVDECMALDNEALYDICFRTLKLTTPSFSDLNHLISSTMSSVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFSPLTSRGSQQYISLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRSKMSTKEVNEQMINIQNKNSSYFVEWIPNNVKSSVCDIPPTGLSMASTFIGNSTSIQEMFRRVSEQFTVIFRNKAFLHWYTGEGMDEMEFTEAESNMKDLVAEYQQYQDATVDEEEYEEEEEEGEGEYEG >cds-PLY87638.1 pep primary_assembly:Lsat_Salinas_v7:5:45082503:45083654:1 gene:gene-LSAT_5X23780 transcript:rna-gnl|WGS:NBSK|LSAT_5X23780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHSLSGQFSSIDLFKEYQNVAITYIASIGEEKSERNPKILQFIGWLRENIPFEDSSGLIILFSILMRNLEAHDRVIGILDWELSTLGNQMCDVANSCLGNASGGQNMMINGLKIKR >cds-PLY66429.1 pep primary_assembly:Lsat_Salinas_v7:6:152673300:152674273:-1 gene:gene-LSAT_6X92141 transcript:rna-gnl|WGS:NBSK|LSAT_6X92141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPALDRSLDEEQQNLARWGQESIKEGNLKHIIDSGIKGEISQKCLKKFVQVVEICLRGNPKQRPTMAEVVVTLESVMTIQEKINRSLQDAGKTIFGRMLVGVKMGINNDVTEADESKDFVSPWGENFGVSFYKQEWIEQHQHGVYITLRTLRD >cds-PLY86556.1 pep primary_assembly:Lsat_Salinas_v7:8:276879037:276880874:-1 gene:gene-LSAT_8X158140 transcript:rna-gnl|WGS:NBSK|LSAT_8X158140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVFIFALLLKVSTALSSQNITHLFSVVLIFGDSTADSGNNNYINTPLKADHPPYGEDFPGKIPTGRFSNGKLEYIKILKKVVGIKVEGALVSISAGTNDFTISYYNLPSRRDNFSMDDYHDYILKKLQNFVNELYKIGRRTMVVSGLPPMRCLPIQMLSRFSRTCLTDQDTDARVYNLKFMKALPQIQSSLKESRIMYTDIYTPMTEMIRNPRKMVDLDLGNYERFLDVRLPRDNNITTGKIYQLRGQIQMRNWIPILILMIMILRHNLHL >cds-PLY79066.1 pep primary_assembly:Lsat_Salinas_v7:3:9672474:9680328:1 gene:gene-LSAT_3X7501 transcript:rna-gnl|WGS:NBSK|LSAT_3X7501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLYGKIDPKSFGDRAYRGRPAELDEKITKSKKKKEREPSSLASAEAGNNRQSKRRRLQEESVLTSTEEGVYQPKTKETRAAYEAMLSVIQTQLGGQPLNIVSGAADEILAVLKNDTLKNPDKKKDIEKLLNPIPSQVFDQLVSIGRLITDFQDAGSDAAGSNAANGEDALDDDVGVAVEFEENEEDDEESDLDIVQEDDDEEEDDVLDRDGNNAMQMGGGMDDDDSQEANEGMTLNVQDIDAYWLQRKISQAYEQQIDPQQSQKLAEEVLKILEEGDDREVETKLLVHLQFDKFSLIKYLLRNRLKIVWCTRLARAEDQDQRKQIEEQMMGLGPDLAAILEQLHATRATAKERQKNLEKSIREEARRLKDESGGGNGDRGRRDVADRDAENGWLNGQRQLLDLESIAFQQGGLLMANKKCELPLGSYRNHSKGYEEVHVPALKPKPLAPDEKLVKISTMPSWAQPAFEGMNQLNRVQSKVYETALFKADNLLLCAPTGAGKTNVAMLTILQQIGLHMNEDGTFNHNDYKIVYVAPMKALVAEVVGNLSNRLKHYGVTVMELSGDQSLTRQQIEETQIIVTTPEKWDIITRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNYDDVALFMRVDLEKGLFHFDNSYRPCPLAQQYIGITVKKPLQRFQLMNDICYEKVIGVAGKHQVLIFVHSRKETTKTARAIRDTALANDTLGIFLKEDSASREILHEHTELVKSNDLKDLLPYGLAIHNAGMARADRQLVEELFADGHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQFDTYGEGIIITGHSELQYYLSLMNQQLPIESQFVSKLADQLNAEIVLGTVQNAKEALNWLGYTYLYIRMVRNPTLYGLSADALTRDLLLVDRRADLVHSAATILDKNNLVKYDRKSGYFQVTDLGRIASYYYITHGTIATYNEHLKPTMSDIELCRLFSLSEEFKYVTVRQDEKMELAKLLDRVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVFITQSAGRLMRALFEIVLKRGWAQLAEKALNLCKMINKRIWSVQTPLRQFHGIKNDMLMKLEKKDLAWERYYDLSSQELGELVRAPKLGRNLHKCIHQFPKLNLAAHVQPITRTILKVELTITPDFQWEDRIHGYVEPFWVIVEDNDGEYILHNEYFLLKKQYIDEDHTLSFTVPIYEPLPPQYFIKVVSDRWLGSLSVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPLYEALYQEFKHFNPVQTQVFTVLYNTDDNVLVAAPTGSGKTICAEFAVLRNHQKVSEGVMRAVYIAPVEALAKERYNEWKKKFGDGLGLRVCELTGETATDLKLLEKGQVIISTPDRWDALSRRWKQRKHIQQVSLFIVDELHLIGGQGGPVLEVIVSRMRYIASQGHNIRIVALSTSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGIDIANFEARMQAMSKPTYTAIVQHAKNGKPAIVFVPTRKHARLTAVDLMTYSSAESTYENGEKPLFLLQSENEISPFIERIKEPMLKETLVYGVGYLHEGLTTTDQDIVRTLFETGCIQVCVMSGTMCWGVSLRAHLVVVMGTQYYDGRENAHTDYPVTDLLQMMGHASRPLVDNSGKCVIFCHAPRKEYYKKFLFEAFPVESHLHHHLHDNLNAEVVVEVIANKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSQRHLSDHLSELVENTLSDLEASKCVTIEDDYDLSPLNLGMIASYYYISYTTIERFSSSLTTKTKLKGLLEILASASEYEQLPVRPGEEDLIRRLIHHQRFSFENPKYADPHVKANALLQAHFARQTVGGNLGSDQQEVVLSASRLLQAMVDVISSNGWLSLALLAMEVSQMVTQGMWERDSVLLQLPHFTKDVAKRCQENPGRSIETVFDLVEMEDDERRELLQMSDPQLMDIAKFCNRFPNIDLSYDVVDGDNIRAGEEFTMVVTLERDLEGRTEVGPVDAPRYPKGKEEGWWLVVGDTKSNQLLAIKRVSLQRKAKVKLDNITAPSDAVGKKSFTLYFMCDSYMGCDQEYSFSVDVKAGTAEENE >cds-PLY84354.1 pep primary_assembly:Lsat_Salinas_v7:4:215177961:215178623:1 gene:gene-LSAT_4X122000 transcript:rna-gnl|WGS:NBSK|LSAT_4X122000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTHLVVSFLTHLKWALDFLTHLIFFHHRMVSLPEDHYHHGGGSAASFDEVECGVCSRKIEDDDEMRESRCNHFFHRHCLDVWIACRRTTCPLCHDSLTKIDGGRMIGGWEVLYFDYCNARSDSDYGSWWLR >cds-PLY67429.1 pep primary_assembly:Lsat_Salinas_v7:6:69463566:69468494:1 gene:gene-LSAT_6X49560 transcript:rna-gnl|WGS:NBSK|LSAT_6X49560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNTQINRTYTLSKLLPLHKPFVTGDYTTHSSSCAYSPAILRQPTTTKKSNATRTRSTSVGKIKAINIPFLNKTNVKGVITIQPTVSSAIAGVGIGGVVDGISDLLGMSFLLELVSNDLDPNGKQKTVKAYARYDALDFDINVYTYKCDFDVPEDFGEIGAILVENEYSKKMFFKKIVLNNDIIFTCESWVASKHDNPEKRIFFTDKSYLPSETPEGLKSLREKDMESLRGNGQGERKSSDRIYDYDVYNDLGDPDQSLSLARPVLGGNDHPYPRRCRTGRPMSTKDPLSETRTLLPFYVPSDEDFSEIKKANFGARALYSVLHAVVPALDAIITDKDKGFPLFRTIDMLYDEGVNVPPPDNGLKTILPRLVKGAVDTVDAVIQFETPETIDHDTFSWFRDEEFCRQMLAGLNPYSIQLVTEWPLMSKLDPQVYGPPESAITTEIVEQEIKGLMTLEQALAKKKLFMLDYNDLLLPYVNKTRELNGTTLYGSRTLMFLTPTGTLRPLAIELTRPPSDDKPQWKHVYTPTWDATGSWLWKMAKAHVLSHDSAYHQFVSHWLRTHCVMEPYIIATNRHLSQMHPIRRLLLPHFRYTMQINSLARLALINAGGIIESTFSPGKYSMQICSDAYDQQWRFDHESLPADLISRGMAFEDPNSPHGVKLTIEDYPYANDGLLLWDAIKQWVTSYVNHYYPKANLVESDVELTQWWDEIRTVGHGDKKDEPWWPQLKTQEDLIRIVSTIMWVGSGHHSAVNFGQYDFAGYFPNRPTMARTKMPNEDPTEEEWQSFINRPEDALLKCFPSQIQAIKVMSLLDVLSSHSPDEEYIGGYIEPAWAAEPTIKAAFEEFRVSLEKLEEIIDSRNVDPKLRNRSGAGLVPYQLLKPFSGPGVTGRGVPNSISI >cds-PLY74933.1 pep primary_assembly:Lsat_Salinas_v7:9:14465491:14470730:-1 gene:gene-LSAT_9X12800 transcript:rna-gnl|WGS:NBSK|LSAT_9X12800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLRFSALCLFLVYMLFLSVNGVSSMEEETELQSLIDNSTMADRRNENIAIEKNDKAVEENPERVASMVDMSIRNSTERRKLGFLSCGTGNPIDDCWRCDRNWQRHRKRLADCAIGFGRNAIGGRDGRYYVVTDPNDDDPVHPRPGTLRHAVIQDQPLWIIFKRDMVITLKQELIMNSFKTIDARGVNVHIANGGCITIQYITNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDGISIFGSSHIWIDHNSLSNCADGLVDAIMGSTAITVSNNYFTHHNEVMLLGHSDTYDRDKIMQVTIAYNHFGEGLVQRMPRCRHGYFHVVNNDYTHWVMYAIGGSADPTINSQGNRYLAPVNPNAKEVTHRVMTAGRWRHWNWRSEGDLMLNGAFFVPSGKGAGASYARASSLSAKPSSVVASLTSGSGALVCRRGREC >cds-PLY80960.1 pep primary_assembly:Lsat_Salinas_v7:4:294918678:294919193:1 gene:gene-LSAT_4X149240 transcript:rna-gnl|WGS:NBSK|LSAT_4X149240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRTPGTNISPIYHLDPAAQVSRPTIGLLGKRTRPSEPSWLIDLLSRRDATDLPPQFEVGESSRAPLMFNPEDDPIPCLMTRTDQTEDRIRSLEQFVRGPGSTSLDHRVETLEEEEKENSDAIQILYHLSGADHDAVNALSAQVRAQNYRIQIIESELAAQRNQLVISAQE >cds-PLY76917.1 pep primary_assembly:Lsat_Salinas_v7:2:199825985:199826499:1 gene:gene-LSAT_2X122100 transcript:rna-gnl|WGS:NBSK|LSAT_2X122100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRINGNFIDKTFSIVANILLRIIPTTSGEKEAFTYYRDGLGLKEWLGQQTSISFVFWIPV >cds-PLY90771.1 pep primary_assembly:Lsat_Salinas_v7:3:35627668:35632743:-1 gene:gene-LSAT_3X27140 transcript:rna-gnl|WGS:NBSK|LSAT_3X27140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPSVAATRQLSVLIRLPLAFFPLCSNYRHGGEAVPVHIVTNASQLPSEFLQPSSDNKLVIGFDCEGVDLCRNGTLCIMQLAFRDAIYLVDAIEGGNSLIEACKPALESSHITKVIHDCKRDSEALYFQFNIKLHNVFDTQIAYSLIEEQEGGTKVPDDYISFVSLLADPRYCGVSYLEKEEVRVLLRKDPNFWKYRPLSELMIRAATDDVRFLLYIYDKMVVKMNEKSLWYLGVRGSLYCRCFCISDNNYADWPPLPPVPENLVVNENDLEEEILSVVDVPPGKMGLVIGKRGASILAIKQACNAEIFIGGAKGPPDKVFVIGPVRQVRKAEAIVRGRMVEVYY >cds-PLY79000.1 pep primary_assembly:Lsat_Salinas_v7:3:8026734:8027266:-1 gene:gene-LSAT_3X6000 transcript:rna-gnl|WGS:NBSK|LSAT_3X6000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSWGWVSGWFSRHHLLSRGFDTFACMYVQYKTSVGQERAEALEEIRETLYERAMVDFHVHMIGVLLFGSEKASSILYAPVPPRMMDASECFISRIDFFHDHCGMVADYGSNISLAITNICLRLVGYYIFYGYIELTVSL >cds-PLY86752.1 pep primary_assembly:Lsat_Salinas_v7:8:185562091:185563581:1 gene:gene-LSAT_8X121420 transcript:rna-gnl|WGS:NBSK|LSAT_8X121420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAICHHHQPSFTIPSSFPFTTNLSNKSQLHLPSSFRCNLSVTTNLEPEPVYTAVKSFAPATVANLGPGFDFLGCAVDGIGDYVTLKIDPQVHPGEVSITEITGTGNSANKLSKNPIWNCAGIAAISVMKMLNIRSVGLSLSLEKGLPLGSGLGSSAASAAAAAIAVNEIFGGKLPALDLVLAGLESEAKVSGYHADNIAPAIMGGFVLVRSYDPLELIPLQFPVDKNLYFVLVNPEFEAPTKKMRAALPKEITMSHHVWNSSQAGALVAAVLQGDLKGFGKALSSDKIVEPRRAPLIPGMDAVKKAALEAGAYGCTISGAGPTAVAVTDNEEKGREIGEKMVEAFMAEGNLKAVAMVKQLDRVGARLVSSISR >cds-PLY81445.1 pep primary_assembly:Lsat_Salinas_v7:5:334971782:334972836:1 gene:gene-LSAT_5X188280 transcript:rna-gnl|WGS:NBSK|LSAT_5X188280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIVYQGLQSCLEPQVIESFVLRQTLSPPPPPPPPKIQEPQNGGERNSGWSFLKALENPSMASKNFDESDEVYVHPMVKRSASALSTKSLEMCTESLGSETGSDVSESGDEFCALSMEERERMRGIQRSKHQNFDRKIRRSDFPPPLTSISGSDGTVKVRHHREGGRLVIKAVSFSNCGTNFQTERTNGRLKLSLFKDSSVNYESERVEMEQYEEGGEMESDDEDDDDDDDEEEEVVVVAEAEEEEEEGGAGGSRRWDIDGNRLKVAGNGELRRLTRCKEGGNGNKVFANWGTRYWVAIS >cds-PLY75032.1 pep primary_assembly:Lsat_Salinas_v7:1:50252602:50254559:1 gene:gene-LSAT_1X45601 transcript:rna-gnl|WGS:NBSK|LSAT_1X45601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPTGLAPTSHQQPQQPAISNAQQPPPAAPNPQQPPPAAVNFRQPPPPAAPNARQPPPAASNTPVPPIPPLPPRKLTTSQTFLRRPPLNLPCEDLISVREDYIDTCVPLYEASINGDWETAHRIITKRKELVRFSITVNGETALHIATSAQNTKFVEAIVGLMEEEDLELQNKSGNTALCLAAAAGNLQMTKIMVNKNKSLLTIAGSQKMMPLYMAALFGHHDTVSYLYDNSHKMDGDFWTPQDRNWVVLRCIEADLFDIALKILVDLPELAKSGSLLGVLARKPYAFEVKKPHFYKKIFNSILTLVRVKRGPKEKETDAMKLLKLNWKNIMKLPTVDVDNLLRGPKDMIMKDGKSILQLDKNGNPTYSSRILFVAAEMGNTKFVVELIRLYPDLIWKQNDNSQSIFHVAVSHRHESIYNLLYEIGSMKDQITPLKDSDGNNMLHLVGKYAPKNRLQDVTGVAFQMQRELLWFKVYFFSFSLVLLHLDKLIIFPKVFHI >cds-PLY74956.1 pep primary_assembly:Lsat_Salinas_v7:8:102565071:102573130:1 gene:gene-LSAT_8X71901 transcript:rna-gnl|WGS:NBSK|LSAT_8X71901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGGDDEWLTTTNNHVGRQHWEFDPDAGTEEERTEIEKMRLHFKLNRFQFKQSADLLMRTQLRKENAIDENKIPKAIKLNETEEVSNDAVTTTLRRAISFYSTIQAHDGHWPAESAGPLFFLPPLVIALYVTGAMNDILTPAHQLEIKRYIYNHQNEDGGWGLHIEGHSTMFGSVLSYITLRMLGEEGNSVAEDMAVVKGRKWILDHGGAVGIPSWGKFWLTILGVYEWGGCNPMPPEFWLLPKFFPVHPGKMLCYCRLVYMPMSYLYGKRFVGRITKLVHSLRQELYTNPYDEINWNKARNTCAKEDLYYPHPFVQDMVWATLHNVVEPILTRRPFSTLREKAQKVAMEHVHYEDKSSRYLCIGCVEKVLCLIATWVEDPNSDAYKRHLARIPDYFWVAEDGMKMQSFGCQMWDAAFAIQAIFSSNLVEEYGQTLKKAHEFVKASQVRDNPPGDFSKMYRHTSKGAWTFSIQDHGWQVSDCTAEGLKVALLYSQMSPELVGEKLETERLYDAVNVILSLQSENGGFPAWEPQRAYAWLEKFNPTEFFEDVLIEREYVECTSSAVQGLTLFKKLHPGHRTKEIEYCISKAVKYVEDIQESDGSWYGCWGICYTYGTWFAVDALVACGKNYHNSPTLQKACKFLLSKQLPDGGWGESYLSSSNKVYTNLEGNRSNLVHTSWALISLIKAGQAEIDPTPITNGIRLLINSQMEEGDFPQQEITGVFMKNCNLNYSSYRNIFPIWALGEYGRIVQNV >cds-PLY74639.1 pep primary_assembly:Lsat_Salinas_v7:7:33925419:33925775:1 gene:gene-LSAT_7X25561 transcript:rna-gnl|WGS:NBSK|LSAT_7X25561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLITDPVHKKSTPIELGSMAQNIQSPFTETSPVIQDIPSPILEPITVDQDFQCPIVEEEVIPSEGAQALGCSFEILELDISKGKCKLPESELVDVVLLSNKVFDLEQSSVEKDLIIGK >cds-PLY85079.1 pep primary_assembly:Lsat_Salinas_v7:7:6018808:6021292:-1 gene:gene-LSAT_7X5121 transcript:rna-gnl|WGS:NBSK|LSAT_7X5121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALMETAASMIFTDYSSSSSSTARLLHFTSSRVQKFPNTISHFRRSNTLKFGISNPKFPRTIITYCSSASPSAVQVTEEASATIIDGKRVAKDIREEVAVEISRMKDKIGIVPGLAVILVGDRKDSATYVRNKKKACDSVGINSYEVRLAEDSTEEEVVNHISKFNDDPSVHGILVQLPLPRHMDEEKILDAVCIEKDVDGFHPENMGRLAMRGREPSFVPCTPKGCIELLHRYDIPIKGKRAVVIGRSNIVGTPAAFLLQREDATVTVVHSRTENAEEITREADIIISAVGQPNMVRGSWVKPGAVIIDVGINPVEDPDCTRGYRLVGDICYEEARKVASAITPVPGGVGPMTIAMLLSNTLLSAKRIHNFS >cds-PLY86525.1 pep primary_assembly:Lsat_Salinas_v7:4:198390862:198391824:1 gene:gene-LSAT_4X112480 transcript:rna-gnl|WGS:NBSK|LSAT_4X112480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGLTVTDIVSDSFESGGGEVENGPDMDRVRDYDDETSLHLCWDSFHLDDDNTTPNPNTNINGDFDWEEVDEHERIDGRELSMFFGAEADDDASVLPGIPPRNHESHEEEEEEDRDGSDWEVLLNAQNFEQNPDVSGEYDEYNYTEYEMFFGQFADSDVSSLGRPPASKKAVENLSSVVISEEDVEKNTTTLCAVCKDEISVGEIAKLLPCNHRYHGDCIVPWLEIRNTCPVCRYELPTDDADYERRKLERGVGVH >cds-PLY81003.1 pep primary_assembly:Lsat_Salinas_v7:9:174845064:174845807:-1 gene:gene-LSAT_9X106481 transcript:rna-gnl|WGS:NBSK|LSAT_9X106481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLILLSVVALTFSYLALAYEPSSLQDFCVADPNSSVKVNGLTCKNPMQVQADDFFFSGLHLRGNTSNQLGSKVTPVFATQLPGLNTLGISMVRIDYAPWGLNAPHTHPRATEILTVLEGTLQVGFVTSNPDNRFITKVLQKGDVFVFPVGLVHFQRNVGNGYAVVIAALSSQNPGAITIGNAVFGANPAIPADILAKAFQVDKSVVDQLQAKF >cds-PLY89590.1 pep primary_assembly:Lsat_Salinas_v7:9:39361862:39362233:1 gene:gene-LSAT_9X35841 transcript:rna-gnl|WGS:NBSK|LSAT_9X35841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENTPRKTNLIPSRKRSASHPSSPVAPKNSCPAYDVGESSRVPPPVHVLETLVEEAIYVLVPRTTQHSKRIHTIEEELRSMGSEVRHLFGRIMSLEEDYDLKEMSLQNSYDQLEVAQSYVQAY >cds-PLY63003.1 pep primary_assembly:Lsat_Salinas_v7:8:183487441:183493239:-1 gene:gene-LSAT_8X118500 transcript:rna-gnl|WGS:NBSK|LSAT_8X118500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine:glyoxylate aminotransferase 3 [Source:Projected from Arabidopsis thaliana (AT2G38400) UniProtKB/TrEMBL;Acc:F4ISY3] MHKFATSLRRRTSGRDQFRRCFSQLAQRQSSIEESGGVPIIPAFDYSPPPYTGPTAEEILRKRKEFLSPAMFCFYKKPLNIVHGKMQYLFDENGRRFLDGFGGIATVNCGHCHPDVVKAIINQTTTLQHSTILYLNHSMADFAAALAGKMPGDLKVVFFTNSGTEANELALMIARLYTGCQDIISLRNAYHGNAAGTMGATAQSNWKFNVVQSGVHHAVNPDPYRGQFGSDGEKYAKDVDDLIQYGTSGNVAGFIFEAIQGVGGIVELAPNYLPPVYKTIKKAGGLMIADEVQAGFARTGTNFWGFQNQGIVPDIVTMAKGIGNGIPLGAVVTTPEIAKVLTRRSYFNTFGGNPVCTAAGHAVLKVIERENLQENAHVVGSYLKQRLTSLKDKHEIIGDVRGRGLILGVELVTDRKLKTPAKTEILEIMETMKDLGVLVGKGGFYGNVFRITPPLCFTKEDADFLVDVMDYSISKL >cds-PLY63787.1 pep primary_assembly:Lsat_Salinas_v7:6:27112712:27114779:-1 gene:gene-LSAT_6X20280 transcript:rna-gnl|WGS:NBSK|LSAT_6X20280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRRSELNSFSLLLLLAQVCFLSLSCSSSLAIATGNGGGYTINGRVKIPGLMAKGFGLPVKANNAKVILNGGQHVTFLKPDGYFSFHNVPAGTHLIEVDAIGYLFSPVRVDVSARNPGKVQAALTETRRGLNELVFEPLREEHYYEVREPFNVMSIVKSPMGLMIGFMVVVVFLMPKLVENMDPEEIRKAQEEMRNQGVPSIANLMPGSGRSN >cds-PLY93005.1 pep primary_assembly:Lsat_Salinas_v7:4:193363567:193364544:1 gene:gene-LSAT_4X110961 transcript:rna-gnl|WGS:NBSK|LSAT_4X110961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVSGGLGGEVFVWDLEAAVTLVTKISGMTTVTDSSNGNSMPVTILCVVSSSNGITSLTNTPQGCTCLGLKKWFKDDEATPDDDLLSTQ >cds-PLY87703.1 pep primary_assembly:Lsat_Salinas_v7:9:116122404:116123475:1 gene:gene-LSAT_9X79401 transcript:rna-gnl|WGS:NBSK|LSAT_9X79401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTLIGVGGAMLLSMYHGPVVPIGESGIDVNIMKNTIAKDPHGDTQGNLIGPILIIISAFTWAIWFIIQAKMGNIYPAPYSSSALMMAMATFECSAFGLIMEPHLHEWSLVPAIRAISCIYSGVVCSGIGVCMMSWCIDKKGPLFVSVFSPLLLVIVAALSWALLREKLYLGTLLGSLLIVLGLYCVLWGKTKEMEFVQKEEESQDTKEDMEMQ >cds-PLY80043.1 pep primary_assembly:Lsat_Salinas_v7:9:44618944:44620777:-1 gene:gene-LSAT_9X40541 transcript:rna-gnl|WGS:NBSK|LSAT_9X40541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQLANILHSNGFSITILHTNFNSAYTSNYPHFTFRPILDNDPKINNLSKLGSRGAGDILSGVVLLNQYGDESLRQELDQMLMASKQEQEPIVCLITDALWYFTQSVADSLKLPRIVLRTSSLLCFLVFASVPFLDDQGYFKQVNSLFDEEIIDSDLKNIILASDENKFKKAGSSKSVKDLEERVLEIPVLKVKDISKMKIKGQTDPSAKILANMVTQTKASSGIIWNSFKELEELELEKIHKEFPIPSFLIGPFHKYFPASFSSLLKPDRSFFTWLDEQAPNSVLYISFGSASQMEKQDFMEVAHGLASSKQKFLWVMREGFVKGSEWIEGLPDGFLDLVGERGRIVKWAPQQEVLAHRATGAFWTHSGWNSTLESICEGVPMICSPFWGDQPINARFISDVLKVGVYLENGWEREEIEGTIRRVMVEEEREGIRERAMCLKEKVNVSLMKGGSSYESLGSLVGYISSL >cds-PLY88691.1 pep primary_assembly:Lsat_Salinas_v7:5:68180696:68181441:1 gene:gene-LSAT_5X32261 transcript:rna-gnl|WGS:NBSK|LSAT_5X32261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKSQLEYLQSSLPQSTFCYVDYFNISRELIVNHLQYGFRVVDKSCCGTGLFETALLCNKLSPLCHNDSTFLFWDSIHLTDEGYKLVANHALPELRRCLL >cds-PLY91116.1 pep primary_assembly:Lsat_Salinas_v7:3:82775283:82777077:1 gene:gene-LSAT_3X64241 transcript:rna-gnl|WGS:NBSK|LSAT_3X64241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELKNMVAMVARTGRQLQRYNKGHRQIVGCIPYRIKSNKGKNLEDSLEVLVISAQRKGKGMLFPKGGWESDESIKEAALRESIEEAGVFGTVERILGKWLFKSKSNENYYEGFMFPLLVKEQLDFWPEKDIRQRIWVSVPKAKEVCQQPWMKEALDLLVNRLESSQTIEEESV >cds-PLY77982.1 pep primary_assembly:Lsat_Salinas_v7:1:22351838:22352280:-1 gene:gene-LSAT_1X19521 transcript:rna-gnl|WGS:NBSK|LSAT_1X19521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTWVLTFGSIDPAFVTKSGGRVGGQAFRSTPNQSTPHSSSLRINNSRTNIYINPSVAPPLIGGYGYGYGGCGWSSFSFFTPGPSVAVGVGSGFDTLVLFMLLGVVASIVRRLFKSRNDYGDEDY >cds-PLY99184.1 pep primary_assembly:Lsat_Salinas_v7:6:129029588:129031586:-1 gene:gene-LSAT_6X78121 transcript:rna-gnl|WGS:NBSK|LSAT_6X78121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSNYGRSNQSGSLNNFDFDLGINSNRSKPLNAQNNNNTFTQPKPYTATPSWQPNKPSWTHQPAAPAPSSTLGGPLNGPTSMVGDITGRSWASSAPQRPSNTSNIGIASKNPNLFSDLLGSNLGAGKGNNSNVPLKNVAPASSQTASRSAYSMGGMANSLPKPGNTMNSSGGWGSSSSQGSGNYNTSGYGNKNQNLGGSSMKSSTMSGIGGGMNSNKDPFGSLVDFSSKPASGTKSGNKTSKPTKSGDDMFGSFQNASKDSKPNTNPSFTGSSMGSHSQPKVDDFGFGFGNISNPPPVQSSKLDDFGFNGKQNQPPVQSSTGNDFDILFASSSKDSENLVSQQFAGAEDWGFESDIGGGDVGGTTELEGLPPPPAGVTSSSAKNKGMDNYKAGQYPDAIKWLSWAVILLEKSGDNVATMEVLSSRASCYKEVGEYKKAVADCSKVLEQDKKNVSVLVQRALLYESMEKYKLGAEDLRTVMNIDPGNRVARSTIHRLTKLAS >cds-PLY75196.1 pep primary_assembly:Lsat_Salinas_v7:2:202899863:202902718:1 gene:gene-LSAT_2X124101 transcript:rna-gnl|WGS:NBSK|LSAT_2X124101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G40190) UniProtKB/TrEMBL;Acc:W8PUX5] MVILILIRIFVVSTILTKVIRFIWALIVARFDRKKAVGFFHPYTNDGGGGERVLWCAVKAIQEERPDLDCVIYTGDHDASPDSLILRAVDRFGVKLLSPPKVVHLQERKWIEETTYPRFTMIGQSFGSIYLSYEALTKFVPLYYLDTSGYAFTYPLARLFGCKVICYTHYPTISLDMLSRVHSRSSMYNNDAIVAKSVLLSQLKVVYYKFFSWMYGFVGSCANLAMVNSSWTQSHIEKLWRIPNRTKRVYPPCDTTGLQELPLERETTPPKIISVAQFRPEKAHAVQLQAFALCIKKLNVETRRPKLQVVGSCRNDADETRLQNLKDLAIELMIEEDVEFHKNVSYRELVRLLGGATAGIHSMIDEHFGISVVEYMAAGAIPIAHNSAGPKMDIVLPEEGKQTGFLAETVEEYADAILEILRMSESEKHDMAAAARRRAGSFSEQRFYHDFKAAVRPILNQ >cds-PLY96167.1 pep primary_assembly:Lsat_Salinas_v7:7:114178582:114179906:-1 gene:gene-LSAT_7X71280 transcript:rna-gnl|WGS:NBSK|LSAT_7X71280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAPHMTLNPSQFLPNRDMIDHQPNAVTYGIAPLPMIPMYGAGMTDSFPTPAFKADSGLTSSLPRKRPRHDAHVSSFTPPFSLPNAPIVNQNEHGTFAFFGEDIASHIYQQQLEIDRFVSHHTEKVRTEIQEMRKRNSRRLIAAAQEGIMKRLKAKEDEIVKIGQMNWSLEEKVKSLNVENQIWRELAETNEATANALRNNLQQVQEQLQLQQHYSLDFNNNCASIDDAQSHCGSNHELDRMLAEESGGERKNSSRYGGMNRRCRNCGKEESCVLLLPCRHLCMCTICASSISICPVCKSKKSAGVHVNMS >cds-PLY76677.1 pep primary_assembly:Lsat_Salinas_v7:4:116335026:116335927:1 gene:gene-LSAT_4X74720 transcript:rna-gnl|WGS:NBSK|LSAT_4X74720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANPKVFFDMTVGGAPTGRIVMELYADTTPRTAENFRALCTGEKGKGTSGKPLHFKGSCFHRVIPNFMCQGGDFTRGNGTGGESIYGNKFADENFIKKHTGPGILSMANAGPNTNGSQFFICTAKTEWLDGKHVVFGRVVEGMDVVKAIEKVGSGSGSTSKPVVVADCGQL >cds-PLY96488.1 pep primary_assembly:Lsat_Salinas_v7:5:337070651:337073903:1 gene:gene-LSAT_5X188880 transcript:rna-gnl|WGS:NBSK|LSAT_5X188880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSIIQDMKGEFGSISRKGFGLRSRSLKLVEDESMSIVDALKQSCWANMPPELLRDVLMRIEASECTWPPRKNVVSCAGVCRNWREIMKEIVKNPELSGKLTFPISLKQDMKGEFGSISRKGFGLRSRSLKLVEDESMSIVDALKQSCWANMPPELLRDVLMRIEASECTWPPRKNVVSCAGVCRNWREIMKEIVKNPELSGKLTFPISLKQPGSRGSLIQCYIKRNQSAQTYHLYLSLNQASNDDGKFLLAAKKCRRATCTDYIISLNPEDVSKGSSTYIGKLRSNFLGTKFTIYDAQPSNGGVLATKCRSFRPGSMKRVSPRVPVGNYPVSHISYELNVLGSRGPRRMQCVMNAIPASAMEPGGVAPTQTEFLVNKTDSFSSLPFFRSKSARMGMDSCESSLHSETKLLMLKNKAPRWHEQLQCWCLNFNGRVTVASVKNFQLVACSGEENGEDVIVQFGKVGKDAFTMDYQYPISAFQAFAICLSSFDTKIACE >cds-PLY94784.1 pep primary_assembly:Lsat_Salinas_v7:2:180123902:180124707:-1 gene:gene-LSAT_2X101981 transcript:rna-gnl|WGS:NBSK|LSAT_2X101981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANDALRTGFMTVFVVSGSVVLLAHQFHKHLLSDFMKKMEFELGPTKSQTKKKVRFSNEASKVLSASYKESQWSKVAMVDMEDFCGDKKKCRKTMPLNWQVMYKGIIDYRNSIYIQ >cds-PLY77031.1 pep primary_assembly:Lsat_Salinas_v7:6:175796197:175797275:1 gene:gene-LSAT_6X107601 transcript:rna-gnl|WGS:NBSK|LSAT_6X107601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEDLLDLSKMFNAVKSLVRVPSVDPKYKIALLASKQDHCLVDLLHAWQDGKLPVQISSVISNHDRVGNTHVMRFLERHEIPYHYLSKSKEKNVEDEILGLVEDTDFLVLARYMQAFEAGVKLIGATTHFVTEELDGGPIIEQMVERVSHKDNLPSFIQKSENLEKQCLMKAIKSYCELRVLPYQHNRTVVF >cds-PLY83917.1 pep primary_assembly:Lsat_Salinas_v7:5:9831985:9834265:-1 gene:gene-LSAT_5X5681 transcript:rna-gnl|WGS:NBSK|LSAT_5X5681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18f [Source:Projected from Arabidopsis thaliana (AT5G54730) UniProtKB/Swiss-Prot;Acc:Q9FH32] MMRKIDQKQRQGGGGGGRIGSNNGFIPSSFRSLSSYSRIVSYGASTVASTVRSAASTAFTIVDRDNDAVPNQALWARFDKLECEGDISRKVLLLGYQFGFQVWDVEDANNVRNIVSRYDGAIGCLLGKMQFTYCCCFTISTDAYSGSIVALPNTHVSPQHLTHSKTFFAPGSNGSIVAHYAKLSSKQLAVGIVTLGDIGYKKLSRYYSDFLPDGNISQQLNDRKDHVASNGRLPDAENVGMVIVRDVVSKSVIAQFKAHDSPITSLSFKPSGTLLVTASVHCHNFNVFHIMPRISCNSSGSETSASYIHLYKLQRGFTNADISFSVDSNWIMISSSRGTSHLFAISQECLKYDATNKSSKSGLSPNSGPQTLSVVSKIRSGNSAWKNVVTGAAVAASGRVNNSYSGVISSTFYKCKGNSNNIGPDLGFIMAKYHMLVFSSSGCVIQYALWLSSEVDSVPKTVMFGLNNAYESCSDHDSRLIVEPIQKWNICHKQNMQER >cds-PLY80583.1 pep primary_assembly:Lsat_Salinas_v7:6:12498835:12499569:-1 gene:gene-LSAT_6X10220 transcript:rna-gnl|WGS:NBSK|LSAT_6X10220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCTSNTTECMSPPSYYFLNQKTKSKQAKFRMRNQVRIHRLNRVDKFIEEKIKQEMELKNLKLYMENMSILEENERLRNKATMLHQENLALLSLLENKRFNL >cds-PLY79449.1 pep primary_assembly:Lsat_Salinas_v7:9:100262932:100265950:-1 gene:gene-LSAT_9X73441 transcript:rna-gnl|WGS:NBSK|LSAT_9X73441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTSRFIGAPLISRRPSFSSTAFAVNPTSLASFAKKKDLLSCSVHGFNSRNLQYHPMFTIARRNFVVCSNITPPPGVPLPSGSPSGSTKSWVLGIVLTFVLPFFTHKWGPLILIKNKVDTVVDTAEYIVEAIEDVAGKIDKVIDNITDDLPENSKLRKTLGAFDELVEGVEKAAHIADDIIDKVEEAEDKLESLILSEAKEEKVSKQVVENVEVPTQEPSALKME >cds-PLY81279.1 pep primary_assembly:Lsat_Salinas_v7:2:26477258:26477473:-1 gene:gene-LSAT_2X13380 transcript:rna-gnl|WGS:NBSK|LSAT_2X13380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEQGDSSGEDSGDEGISETWINRDTMEGLEDGEINMKGSETHEIATGIAIGDRNQLAGMGEISKGRSERE >cds-PLY79057.1 pep primary_assembly:Lsat_Salinas_v7:3:8062219:8069199:-1 gene:gene-LSAT_3X5900 transcript:rna-gnl|WGS:NBSK|LSAT_3X5900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase IV subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G63020) UniProtKB/Swiss-Prot;Acc:Q9LQ02] MDNEVYVEQQVPSGVLTGIRFKVLSEEDAEKASVKEISVPNEVTDPALGFPNPASQCHTCGAKDYRTCEGHIGLIKFPFTILHPYFLPEVAQILNKICPGCKNLKKDKAKKTDMESIVQMPKICKYCDRGFRDVYPPMKFKVSMKDVFGKTAIVVESSRKLISGKSLPPDYWDFVPSDPQQEDSFSSFNRRVLTHAQVYQILKDVNPSFLKGSLGKKNKIFLQSFPLTPNCHRVAEFGQNLTFDERTRAYRKMIGFRGTANELSACVIECIKLSKIRAEKPSFRMNTNGNNDDNPSKMHGLKYIKEVSLGKRTDFCFRMVCVGDPYIKLNEIGVPHHIAETMLVSEQLNSLNWEKINASCGLRILQRGETFIRRRGGLVPVRYGDQLRIGDTVYRPLHDGDIVLINRPPSIHSHSLIALRVKVLPIDCVLSINPLICSPLRGDFDGDSLHGYIPQSLESRVELAELVSLEKQMVDKQSGKNLLSLSHDSLTAAHLMLEDGVFFNRPQLQQLQMFCANQKIKLPAIMKKLKHSQMFKSCLWTGRQLFSLVLDKDFDVGVNGTEIKKGEFVSVLNPSSCLQEGEENLYSYLIKNYEGKEVLEFLHSFQELLIEWLSMRGFSVSLLDLYLSSDSQTNMNDEVLYGLLEAERQAHGQLLMVDPHQDFLTGNLPENEAYNELDSNKLCHDQQTSAALSRASASAFKEVFRDIQNLIYNYATKENSFLSMLKAGSKGNMLKFVQHSMCVGYQHSLVPLSFHFPRDFSCISWNDHKRAVSSFPLGIDRYVPYGVIKSSFLSGLNPLELFVHSVTNRDASFGGHADISGTLFRKLMFFMRDIYIGYDGTVRSCYGNQLVQFSYGQSESLLEEAECGAPVGSLAACAISEAAYGALDQPISALENSPLLNLKKVLECGVRKLSGNKTASLFLSQKLKRWTNGFEYGAIDVKNHLEKLLFQDVVSLVTIFYSPQTGKRAHVSPWICYFRISKEAACRKQLKVQSIINALKLKCTDSIKLKKLKLTLPKLQIASKNYPEDDAMNENDENIFITAQIIEDSSSSLDLLQDVVVPYLLETVIKGSSNVKKVDILWQDYPKTSKSCRDSSGELYLRVFMSENCERRNFWRFLVDDCIQIMDMIDWERSHPDSIEDVILAQGIDAARNHFLSTLKSAIADTGKSILPEHLALAADCLSATGEFVPINAKGLSIQRKQMSASSPFTQACFSNPSDCFVKAAKSGASDKLEGTIDALSWGKVPALGTGSRFDIIFSGKEHEIDKPEDVYSLLSKSVEIDDEVAVEVNDDNKQQSGNKTPAPLFQYHKFAAEGGTDIMKMVLRKHISAEDIKRLSKDLKHILYKYDVNHKLSQDDKLVAWKALLLHPRSDEKIGAGPYEIKGEDVLQLLFAASTEICLRRRTWRGSLSGSSNEKLGTIK >cds-PLY82796.1 pep primary_assembly:Lsat_Salinas_v7:2:146690065:146693477:-1 gene:gene-LSAT_2X72921 transcript:rna-gnl|WGS:NBSK|LSAT_2X72921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSCNTIFLGFFVYLSLAHLTIFISANKVIYSLISLVFCFLLLFFFNFILFQVYVVYMGSSDNQDPDHILTRNHQILTSVHSESVEEAKASHLYSYKHGFKGFAAKLNDDQALQISKMEGVVSVFENKKRKLHTTHSWDFIGLGEEETMEIPGFSTKEQVDVIIGFIDTGIWPESPSFSDSDMPPVPPEWKGICQSGEAFNATNCNRKLIGARYYHMGYEAEQQQQQQQKHAKLNQKHLENTDDPKKKLSFRSPRDSNGHGTHTASTAAGRYVAMSYNGLAGGGARGGAPMARIAVYKTCWDSGCYDADILAAFDDAVRDGVHIVSLSLGPDAPQGDYFSDAISIGSYHAVSRGITVVSSVGNEGGKGSATNLAPWLITVAASSTDREFTSKLQMGNGVNLKGESLGVYEMKAPARIISASIANRGFFTPYQSSYCLESSLNHTKTKGKVLVCRHTERSTESKLAKGEVVKAAGGVGMILIDETDKDVAIPFNFPAAIVGKRMGNRILSYINSTRKATTRIFASKAKIGSQPAPRVASFSSKGPNALTPEILKPDITAPGLNILAAWSPAIGKMKFNILSGTSMACPHVTGIVALIKAVHPSWSPSAIKSAIMTTGKIKELKNFYLEIGIKESDIKNKKMQRQYLTRKETR >cds-PLY69901.1 pep primary_assembly:Lsat_Salinas_v7:4:67919295:67922237:-1 gene:gene-LSAT_4X46160 transcript:rna-gnl|WGS:NBSK|LSAT_4X46160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGVNGTSSDAISRDMNSLLHSSTFKHPADGEFAKIKQLISLDPYPDYDQQTQSQNQQDENQSSLLRYRSSPSSFFSNLLNENGDEEFPDPVTAASNHEPEERFFKQQKKSEYDSREFLDFLDYASPSSTPMKQERRETSIQNQDLPHPSSTAVMHGSTSRRLPDSGSYDYTNQSNLDCASTFRATDSTSPNLIRQNSTPAGFLSSLNVENGFTGVKDVRKGSSSSTSNNHISFSLGPSSSSRFLPQIAENENELHDSTFNGLKRSRDGGLKISHSQNGESGNHTPSLLHHMSLPKTSSEMAAAAENFLHFQQESSVPWKTRAKRGFATHPRSIAERMRRTRISERIKRLQELFPDMDKQTNTADMLDMAVEYIKDLQKELQILNDARARCKCSSKQLQSGSTM >cds-PLY74888.1 pep primary_assembly:Lsat_Salinas_v7:2:155100829:155103167:-1 gene:gene-LSAT_2X79301 transcript:rna-gnl|WGS:NBSK|LSAT_2X79301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSPNLSPDDRGSSHAANFRHTPLQIIHFIGNFLRIWSVYSMYSYLSQTGASVVLFIFSCLVPSSILFLILQKPWKGRPLSNTQVVPSLINGVITALYYILWGIGLKSCGPVRAILAEYSGAVLGVLSAVLYGRKGHIWKKVGGLFAMLASFYFLSQGWATATYSPFSYNDSDDTEGKTQEILNMKSMIAPISAGVLSALRRVITRRISLKNQLKRRLHAITIASATCFLFPVAMWDMIVGSSSTNVELPFSVWAFSSTILFGVILIFYVDSIAEERLHMVFSSPRHLMVAGGCLILMEIFYKMDFSLPGFLICCILLGFGIYEATSLERGKKDNLNNIDVGNGLFDDQSQRSPLPT >cds-PLY62233.1 pep primary_assembly:Lsat_Salinas_v7:5:162023877:162024702:-1 gene:gene-LSAT_5X70921 transcript:rna-gnl|WGS:NBSK|LSAT_5X70921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISEEKKKSKLGLGKGSRKALNDITNKPKIHQHQETSTKKKNSQKEKEKEEFNIAEERFLHDHNKCIQAQQTITESMFWDIVLPGHYGNLPLEAQLSDPLKIDHYTPVELEMSEFCDWLELGPPSESPLHLESTPCSPRAWDFEEVEFFLKPEIDI >cds-PLY70811.1 pep primary_assembly:Lsat_Salinas_v7:4:59329643:59330559:-1 gene:gene-LSAT_4X39661 transcript:rna-gnl|WGS:NBSK|LSAT_4X39661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVMDKLKMFVAQEPIVAASCLLGGVGLFLPAVVKPMLDSFESSKQVRQPALNDVVAGITGKKK >cds-PLY79260.1 pep primary_assembly:Lsat_Salinas_v7:9:182346228:182348221:-1 gene:gene-LSAT_9X111580 transcript:rna-gnl|WGS:NBSK|LSAT_9X111580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGANQYTRIDTLELKALFHKKLGQQRADKYFILLYKYLNCQLAKNNFLKFLILTIGRENLPLHNRLITSIVKNATLSKTPPPTFKKFTKSLNVKIARGQRNNLQSYVPSSPRKSRSPRDQKSKDRFSPLGPNGKSDFHQSPTELHSLGSRPPIEVLSVEDGEEVVSGSPGIQSRSPVTAPLGISLSKTRKSLYRASVHGPANASRAHMCQNNFELPDSRYLRGCLRQKLEGEGLSVSEDCANLLNVGLDAYLKRLIEPCISQARSRLGQSQSQSQSQTASVVNGPRVVSSVLDLSVAMECDPRRLGVDWSTQMEKLRFSTFEE >cds-PLY83131.1 pep primary_assembly:Lsat_Salinas_v7:3:95225198:95225434:-1 gene:gene-LSAT_3X71220 transcript:rna-gnl|WGS:NBSK|LSAT_3X71220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTYDPEASDSRSSHTMWLDDALVSFTTVDYVSLLRLRQLDMVGIRPLYIHEDVDEPIGDPSGEEDACGDGAGDGCGVV >cds-PLY80670.1 pep primary_assembly:Lsat_Salinas_v7:5:246915372:246915742:1 gene:gene-LSAT_5X122840 transcript:rna-gnl|WGS:NBSK|LSAT_5X122840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGYLVYCSFDFCNASLLIKCIDFQVQVGGSPQYKVERKLGKGGFGQVFVCRRVSGGTDRISGLGATEVALKFEHRNNKGCSYGPPYDWQV >cds-PLY95466.1 pep primary_assembly:Lsat_Salinas_v7:9:160756787:160760373:-1 gene:gene-LSAT_9X100580 transcript:rna-gnl|WGS:NBSK|LSAT_9X100580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITLLPAIVHSHFSHVKTQNTTNHRLRTPPSPMTPSHQPPPPPPPPSSPVSTASKHITTSNSASTSSPTPSDVWNYVWIPFLISLCKEVSSAKPSSESTNVLTSDSVSRLSSTCPTTDSKLNFRPIIGILSHPGDGASGRLNNAANASYIAASYVKFVESAGARVIPLIYNEAPEILQSKLNLVNGVLFTGGWAKSGLYAEVVEGIFKQVLKKNDEGDHFPLLAICLGFELLTMIVSKNNKILEQFSASDQASTLQFMTNIEGTVFQRFPADLLAKLSTDCLVMQNHKYGISPETFQENTKLCNFFKILTTSIDQDNKVYVSTVQSQRYPITAVQWHPEKNAFEWGLSKIPHSDDAVQVTQHVANFFVSEARKSLNRPPVRKVLDNLIYNYSPTYCGKAGKGYDEVYIFTENLARI >cds-PLY90703.1 pep primary_assembly:Lsat_Salinas_v7:8:141314724:141315852:-1 gene:gene-LSAT_8X96061 transcript:rna-gnl|WGS:NBSK|LSAT_8X96061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFGTGSVVAHRAVDSIMGPRIIQHETIGVAIPDASVTNTSVSDSCGMHSKAFTNVHMKSRTRMLLFSWMGMKREISKQNNVHMKLTTFYWNRILNSDSVIIES >cds-PLY68015.1 pep primary_assembly:Lsat_Salinas_v7:8:248413880:248416484:1 gene:gene-LSAT_8X147300 transcript:rna-gnl|WGS:NBSK|LSAT_8X147300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGVTDMNSSQLIIHNAMIVTMDKELRVFLNGGIVINNDRIIAVGQSSDIIRQFSSNSQEIIDLHGQFLLPGLINTHVHTSQQLARGIADDVDLLTWLHHRIWPYESNMTEEDSYISTLLCGIELIHSGVTCFAEAGGQHVSGMAKAVELLGLRACLTESIMDSGDGLPSSWGIRTADECIQSQKELHKKHHDTADGRIRIWLGIRQIMNSTDDLLLQTRDTAKQLNTGIHMHVAEIPSENHVVMQTREVDHGTVTYLEKINFLRSNLLAAHSVWVNEKEIGFLSSCGVKVSHCPAAAMRMLGFAPIREMLDANICVSLGTDGAPSNNRMSIVDEMYIASLINKGREVYATGTTNPTILPAETLLNMATINGAKALLLENDIGSLQVGKKADMIVINPSSWSMVPIHDCISNLVYCLRTENIVSVMCNGHWIMKNQKIMNVDEAEIISMAKNAAAQLLKRAGIQIPKRMNFI >cds-PLY79754.1 pep primary_assembly:Lsat_Salinas_v7:1:181707604:181708216:-1 gene:gene-LSAT_1X118640 transcript:rna-gnl|WGS:NBSK|LSAT_1X118640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDRYTHQQLRIGLVSPQQISTWSKKILPNGEIVGEVTKPYTFHYKTNKPEKDGLFCERIFGPIKSGICACGNYRVIGDEKEDPQFCEQCGVEFVDSRIRRYQMGYIKLAYPIRNEKLSSHLIQSGCPVPDMFLGRSNMKLRIRDVFKTLPKKGIDLWSIS >cds-PLY87361.1 pep primary_assembly:Lsat_Salinas_v7:1:97284845:97285379:-1 gene:gene-LSAT_1X79001 transcript:rna-gnl|WGS:NBSK|LSAT_1X79001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKSSLLLLMAMVVASMEFEGSTAREYFVGESKGWTVPQNPGFYVRWSLFHIFKINDVLVFNFTNGVYNVAEVTQEAYTNCDGQNPISLQTTSPARFTITNAANHYYICTIGQNCMHSQKLAIKVSTADNNSSAMSLH >cds-PLY90631.1 pep primary_assembly:Lsat_Salinas_v7:6:55135158:55137768:1 gene:gene-LSAT_6X39441 transcript:rna-gnl|WGS:NBSK|LSAT_6X39441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPNVVNDYDLLKRFCNSSEPEYLSRLWKLVYNSNQQNLYSKPMPWIGIYVALASLFCILAMVADLFHGLKNRKLWFPCKYFTLNAASLTVIAVAMKLPMDLSNLMPGYVDQAAKIGSTCFMCTMLANLLPSLATMDSKELVSNIIALAVLVITLAVNVCIQIYTGIFFYSSYDAENFYTEIGFFERVIAILKSKEILESKYQAAHQKALKDHELQQPGRVLTLEMLKQHVSNYWIMAGTGSPQFMSACSATTSATGVICALNAGFFTLLVIINIRYLGKPESDYKWSVLAIFIIQFIRVILGTIAPLARCFAALSFKLSLKWIWKHVKVSKVESFWTQKLYDWKKSSIPFPSSSRKCKIVIQVLKILSLSICIGFQKTVVVACKMIAVIPILIAVIPIFFVICFLYCLRCWKWLKAMFSASSVVLGQNPAQLGKNKDLRGYVLQLEDDIEFADRTLKGMLKSVNRLIQKAEKQQPTNLMKLLAESRGFEGIEKFESHHVPPLVSEEYINCWSLPLVTLTSIAMSLPNIQKNKVDCLVSGVSEGLVYVKLVEETLNATDDHVRIQKAAKTLWVEVEVYHKWLGNKLPISKPRMNTPEHILQWLRNTAKNMVIKVESMDIRGQNDNSKYSSICANSMYRITETILLSYHENIDEISQEELFALLSSMIADILAACLTNLPQVITMKCHTSAIEKREANVRAAAQLLGEITKIINSLQDRELPSLNPKDLAFIDKWHSYLKHPFP >cds-PLY96095.1 pep primary_assembly:Lsat_Salinas_v7:3:102533660:102535181:-1 gene:gene-LSAT_3X74860 transcript:rna-gnl|WGS:NBSK|LSAT_3X74860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTHSITTFMVLISILALANASNLYPQFYDHSCPQAKNIVRSVVTKAVAQEARMAASLLRLHFHDCFVKGCDASILLDNSGSIISEKGSVPNRNSARGFEVVDQIKASLEKACPQTVSCADALALAARDSTVLAGGPNWEVPLGRRDSLGASLSGSNQNIPAPNNTFQTILTKFKLKGLDIVDFVTLSGSHTIGNARCTSFRQRLYNNTGKGQPDFSLDQSYAAKLRQNCPRSGGDQNLFFLDPVSPTMFDNSYYKNLIASKGLLSSDEILFTQNQQTMQYVKQYAANQELFFQQFAKSMVKMGNITPLTGKSGEIRKNCRKVNS >cds-PLY73126.1 pep primary_assembly:Lsat_Salinas_v7:9:69316154:69318264:-1 gene:gene-LSAT_9X56360 transcript:rna-gnl|WGS:NBSK|LSAT_9X56360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILSDYEEDDQKQTKTPESVKKPFNAVLDSSDPLRFLQTAFEFVARETDLFKTDSVVKDVNGLVRTVKEKLDADERKRKEKAVSAASNGATSVKHDNKRAKEDSSSSLPAQPAVSVKEPEDKKEESNDDNKKGLRAPNKGNGLDMENYSWIQSLQEVTINIPVPPGTKSRFISCEIKKNHLKVGLKGQPPILEGDLYKSVKVDDCFWSLEDQKSVSILLTKQDQMEWWKFLVKGEPEIDTQKVEPENSKLADLDPETRSTVEKMMFDQRQKQMGLPTSDEMQKQDILKKFMAEHPEMDFSRAKIN >cds-PLY95126.1 pep primary_assembly:Lsat_Salinas_v7:1:96584468:96588031:1 gene:gene-LSAT_1X79780 transcript:rna-gnl|WGS:NBSK|LSAT_1X79780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLMFYFNMFNLKAFAGFDKNEAYELVGEWKKSLKPGQGTSSIGFSTRCIACGRGHENIIKNKLEEKHGGMFDKGIKHNYIVNTRENVDGSNNTDSNNGKNNMIGSGSNNAGINGSRNTNGSYHLPGSGNNGPIIVSVGNQNGNGNDNISTGSGDGEDIGRK >cds-PLY63435.1 pep primary_assembly:Lsat_Salinas_v7:7:151315108:151315860:1 gene:gene-LSAT_7X88860 transcript:rna-gnl|WGS:NBSK|LSAT_7X88860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESRLSFFALFFLIMQITVTVYARPNPEEYQQDSHVRQGSLVSTDPTKRSPCNQRKSSNEDFQPRPNISVYDNSAGLKDKKIFPDDFEPRPNISMYENGASVKGKKMSDEEFEPRPNISVYDNSATLKGKRTFDEEFEPRPSATAYKG >cds-PLY80847.1 pep primary_assembly:Lsat_Salinas_v7:MU041859.1:418728:419237:1 gene:gene-LSAT_0X31161 transcript:rna-gnl|WGS:NBSK|LSAT_0X31161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNGLILCCWRSPTPFVYYICDPLTRQWITLPSRKPRLMGFISDGFITRVNEDHVLIGYTIVMVELLKSRWNYIGLEMFSSETGKWISYKLLLPCPFPIRLKKVIDGPIYCHGALHWPVSYDGGIHGLLAFGLILTKIQNLFTLFHSQMTEISRVSVTTLRFTVMFRGS >cds-PLY77663.1 pep primary_assembly:Lsat_Salinas_v7:5:175109414:175110472:-1 gene:gene-LSAT_5X77781 transcript:rna-gnl|WGS:NBSK|LSAT_5X77781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTITSSMSRNQNHNSSKRIKTCDNCDVAPWSDLNHDALFIVMMRLRVADFVAFSEVCKSWRSLALPNKKRFMASKSPMLMRISTCASNKKWICLEDFEGGKSKTTLPHSGGRFYLGLTCGYFIMFGRETKDFWLVNPITRHGLHFTPVPIFYCESRIRAILVFSSIISKWVFVILYRFDHFIWFSIAGEGAWNHVSSTSPIIDIHAFKGKIYTIDYDYDYNSDRGEARHLCEMRLNPDPKLTLLKTKNFPKNDLFLPKFISSDENLYLIDSFPNNLWKVYELDFGEMKWVPFEETRDEYAFFFNGFKHGAAVKIESKDQRYDDHDESGNGRFIAGNMWYFPHECLNVNLLHE >cds-PLY82587.1 pep primary_assembly:Lsat_Salinas_v7:2:185750895:185751077:1 gene:gene-LSAT_2X106981 transcript:rna-gnl|WGS:NBSK|LSAT_2X106981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLTSWIDHNRGRQFWSCSRRGFLGWVDLPMCRKALVVIPCFIRSMNRLEERGVTTRAVL >cds-PLY91509.1 pep primary_assembly:Lsat_Salinas_v7:7:142203939:142204106:1 gene:gene-LSAT_7X84980 transcript:rna-gnl|WGS:NBSK|LSAT_7X84980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRPANRKNTLPPLERFLVVSEPLVYKKPFSSPKRTCQAWFHQNRSSAKTIETDT >cds-PLY98279.1 pep primary_assembly:Lsat_Salinas_v7:7:166983600:166984878:-1 gene:gene-LSAT_7X99121 transcript:rna-gnl|WGS:NBSK|LSAT_7X99121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKITDIPLKLGFYVLQKFDSERMVVDIEGKELRVTAESXVIDIEGKELKVTAESVHDMLGIPIGGTKLTQLDQWPKDDTSYDEWKQQFKKDSIIRLSAIKNVIVSTTQADFNFKLNFLVLFVNTFCESTSMGRCNLFPLSYISRKTDISNIDWCSYVLDCLVRTKNSYIPYLDNNFFVGPSSFLVLFYADNIHSEALTVTRKRPTICYWSSEKIRYRETFEQEKGRFGVGELNEEFVNEQNEGDRDLEESDSDKHEDHSVEAYESKISKMLNSFERMKEKLNSKLNDAMTKFPEKESFRIFKENMKNMIVEETLKAQHFLIFQLMKLEMKE >cds-PLY97713.1 pep primary_assembly:Lsat_Salinas_v7:8:7719010:7720006:-1 gene:gene-LSAT_8X5841 transcript:rna-gnl|WGS:NBSK|LSAT_8X5841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCISCYSLLVCLHFLSGTTGALDSVNITMGAEDELKHAVGVVRPVSVAFQVINGFHQYTGGVFTSDVYGNDPMVREIHVYLIFLIVFVLIVFMMRRFMIIDIKCNKISNMHDHGFSCSLVIHPFVSMSATE >cds-PLY67671.1 pep primary_assembly:Lsat_Salinas_v7:4:1405553:1408168:-1 gene:gene-LSAT_4X101 transcript:rna-gnl|WGS:NBSK|LSAT_4X101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWGLIRQEVGARGLSALVSGSYVQSLRPVAATRRHYSSATKEMTVRDALNSALDEEMAADPNVFIMGEEVGEYQGAYKISKGLLDKYGPERVVDTPITEAGFAGIGVGSAYHGLRPVIEFMTFNFSMQAIDHIINSAAKSNYMSAGQINVPIVFRGPNGPAAGVGAQHSQCYGAWYGSVPGLKVLVPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPISAEALDSSFCLPIGKAKIEREGTDITITAFSKMVGYALKAAEILEKEGISAEIINLRSIRPLDRATINASVRKTNRLVTVEEGFPQHGVGAEICASVVEDSFAYLDAPVERIAGADICFVLGIEHEHDDMVCVVLQIEDIVRAAKRVCYRSVPMAATA >cds-PLY96503.1 pep primary_assembly:Lsat_Salinas_v7:5:337841312:337842280:-1 gene:gene-LSAT_5X191541 transcript:rna-gnl|WGS:NBSK|LSAT_5X191541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYEDGVVSFERYVFSVRDIVGTDLLIESIGIGKGKRDLSDRRAKKPVKKRILHPEYSSLNTEQIIDLLNYQGDELNTPKLSEVFWEAVWPRLLARGWHSEKTINYAFQNSKRPSVFLPPGIQKFSRRALEKGVQYFESFKDVLDKVASEPELLEPDEAEPIPDSPVNSPVRQDDIQCRIVDVSFAHEEDGIVKTTKLRSMMSDTESDTEPTDHVQDSFSSCVSGETIQDYSNSPAATHDSDGGIVLHETLTNQPDHQLKDIDGADTDQEVVPNARNRVPTAKALEALSTGSMNPKKKKRDIEDETPRQVRAKTATDSGPTGN >cds-PLY77356.1 pep primary_assembly:Lsat_Salinas_v7:4:254727976:254730300:-1 gene:gene-LSAT_4X135621 transcript:rna-gnl|WGS:NBSK|LSAT_4X135621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSHTRLAQQISMKIAIEGCMHGDLDNVYATLLHLQEVERTKIDLLICCGDFQAVRNEKDLESLSVPPKYRSMNSFWKYYSGEKVAPFPTIFIGGNHEASNYLWELYYGGWAAPDIYFLGFAGVVKFGGIRIGGISGIYKSRDYRSGHFERAPYNNSDIKSIYHVREYDVHKLMQIEEPVDIFVSHDWPLGITDHGNWKDLVRDKPYFQKEIEERSLGSKAAAELLEKLKPPYWFSAHLHCKFAALVQHQDGGQLTKFLALDKCIPRRKFLQIIEVESGPGPYEIEYDEEWLAITRRFNSIFPLTKMPMNFGGVEFEMEECRNWIKSRLKSRGSSKPFEFVRTVPCYNSSQTLANHSFSGHIHNPQTESLLEFLEVEYLLDKSGPTHSQSPASVDYDSEDGPIEEDADEIQLPEEEEEEEDDVKM >cds-PLY85144.1 pep primary_assembly:Lsat_Salinas_v7:9:147934856:147935869:-1 gene:gene-LSAT_9X94581 transcript:rna-gnl|WGS:NBSK|LSAT_9X94581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSKNSKPKGGKYSQSTSSCSNNQYGGYPSQPSSSTPAYGAQPPKPQKKLEKRYSRIADNYNSLEQTELISDDQNGNILVWDLIANSHFKMEDGVIHVYASEKGKSVN >cds-PLY97958.1 pep primary_assembly:Lsat_Salinas_v7:3:18266097:18267646:-1 gene:gene-LSAT_3X14061 transcript:rna-gnl|WGS:NBSK|LSAT_3X14061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSLAPFSYACNSSTLPKLKPLYTLHHHPYKNVNQKRRIRNGKCRAELMHDAPFVVAMGSCVVNSLVFPLPGGPNDIEDGESAIDSADARFAVMGIISFIPYLNWMSWVFAWLDTGDKRYALYAIVYLAPYLRTNLSLSPDESWVPIASIVLCILHIQLEASIKNGDLLGFRLFNSTFSKKDLRISEQGRRKDEQNGKLLSSNDEIRDMIRGWGIPKKHARKAEHLGDNGEEG >cds-PLY79594.1 pep primary_assembly:Lsat_Salinas_v7:2:166639423:166642965:1 gene:gene-LSAT_2X90260 transcript:rna-gnl|WGS:NBSK|LSAT_2X90260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIDEIFGDTPPVVSPTKSALYVWGYNQSGQTGRKCEDQNLRIPKQLPPDLFGCPAGANSRWLDVTCGREHTAAVASDGSLFTWGANEFGQLGDGTETSRKHPKKVKLLQNEFVVSVSCGAHCTAAIAEPRENDGTISSRRLWVWGQNQGSNCPRVFWGAFSPNTIIRQVSCGAVHVVALSEEGLLQAWGYNEYGQLGRGVTCEGLQKARIINAYAKFLDEAPELVKITQVSCGEYHSAAISEDGEVYTWGLGSMGQLGHYSLQSEDKELIPRRVVALNGIFVKSVASGGVHTCALTSKGGLYAWGGGQSGQLGLGPQNGIFSCDSVTMFRNIPVLVLPFGVKQVACGHSHTLICTQDGRIHGWGYNSYGQAANQKCTYAWYPSPVDWCLGEVRKLAAGGGHSAVLTDACSLKELCEFQIADCLTPWNASMIEDVAYRTGSDALVRLCERLREGHSDGGTCKC >cds-PLY81039.1 pep primary_assembly:Lsat_Salinas_v7:4:347292444:347292797:-1 gene:gene-LSAT_4X171521 transcript:rna-gnl|WGS:NBSK|LSAT_4X171521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLINRRNPVFFTSALLSLSIILTMTIIRNCDGSMAACNGSAMVDCSQVIEDEEQDFLMDTEEHMRIMEVAKGLPITYRALQRAYPGCKGKGCAGDKKRYLRRECTTYNRCKKSPSPS >cds-PLY93034.1 pep primary_assembly:Lsat_Salinas_v7:5:2652442:2655908:1 gene:gene-LSAT_5X1561 transcript:rna-gnl|WGS:NBSK|LSAT_5X1561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRLWTSGVIREASRIGVVMELPTEQDKEHGPRNPPPKAITISSSHPTPSGTHATPITVPTPSHNLTTSSVPPSPSPVRGILDNSVLVSTIPSSPINKEEEVGGFPVRKSSPALSESGLRNLGRGNLTSLTSLTSQSSVTVPINPTNRNIISTNNALGVGQASEMAKRPMLGTDEIMVQQHPPVSSLSSRMMLPQSGVKGTDGDNGNGGEGGGMGTRVFSPSGVPSIQWRPASSFQTQHEGHQIKERNVCRDSNKYNKEATPFLECLNKVEALEDLVIIGPPGLIKLMERRGYGQEYINCYKMMTSSILNFSSAVSKGLEKVVQELSMAENDGPVSEKFLKVKLMEEMNMKPLDLNLAALSARCSKDLELNLAKSLLSEMGQRTTAYPYNQLFGALVLKNYERKDATLLSWNLMYIVD >cds-PLY69609.1 pep primary_assembly:Lsat_Salinas_v7:5:313896207:313898700:1 gene:gene-LSAT_5X172361 transcript:rna-gnl|WGS:NBSK|LSAT_5X172361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIETYKCKNTNRSPIQDLKGGSYKVINCEVGKEVCLIGSLSTLYMVPMDFYPRKHVALHRGIEGNLKESLRSIDPRPVNIRSKVMDSVELIDLDYVLVSGPLGDTSSVASVSKVSQKAFKSGIPPVYSRVNIHSTPSAPLPIIGGTSSKIRLTGRFESQCSASSGTSHGSVDIVDALEKPSTDSMTKIKSLHDCASAISDLVNEKIESGNRIEAFSIQLVILAIWKQALDICHTQAASAIKGSPNLDISTSNKISERERDNTNINECLENAKFPEDVCSHIERIFLGEVETVEELTKVIEPDEKQAMDHNLQQLFTHVVKL >cds-PLY71614.1 pep primary_assembly:Lsat_Salinas_v7:2:104536347:104538511:1 gene:gene-LSAT_2X45860 transcript:rna-gnl|WGS:NBSK|LSAT_2X45860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 20, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13830) UniProtKB/Swiss-Prot;Acc:Q9SDN0] MEDLSFYQLLGIPETVTSVEIKQAYKQLARKYHPDVSPPGRAEEYTQRFIRVQEAYETLSDPNRRALYDRDMAMGIHFGFSSRNHLRNDEHMVKSEWRGQWQSQLSELRRRSMHKEYGKNSSWASRMRKQREESSS >cds-PLY75862.1 pep primary_assembly:Lsat_Salinas_v7:9:195281712:195282692:1 gene:gene-LSAT_9X120460 transcript:rna-gnl|WGS:NBSK|LSAT_9X120460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWFYETPYLTTFSNLHLYLAKPITALSSSDEEEENDIPDSPKPPPPPPTMSQWAISQTLASTAQLANLLPTGTLLALQTVTPIFTNHGSCDAVTRPLTAILLIFLTAACFLASFTDSFKSSDGQVFYGFATFKGMWLFDYQTAASSTSGLPDLRKYKLTAVDWIHAFVSAFVLITLALRDRSVVSCFYPRPSHEAQEVLDIVPLGLSLGCSLVFVIFPSKRHGIGYPVSH >cds-PLY92877.1 pep primary_assembly:Lsat_Salinas_v7:4:197841571:197842109:1 gene:gene-LSAT_4X111260 transcript:rna-gnl|WGS:NBSK|LSAT_4X111260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISIYKYPLSTLYEIFYSSKFPSSSTVLHLSKKMALPVSMISSHCIIPNVEYVEMLREKYGLQLEDGLLVPLDRAYFLNPLWEWVNVYDITPNLVNKVVDFEMLHRSLGFLPRLLMFKNFFHFSTASDKFTFYARKNANILVPDGKSSLQN >cds-PLY63425.1 pep primary_assembly:Lsat_Salinas_v7:7:148610264:148610512:1 gene:gene-LSAT_7X88080 transcript:rna-gnl|WGS:NBSK|LSAT_7X88080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATMVNVWICELSKLREKIQVEKKKTKQHNLSIKSVSTQQHEEEEEESRTSSVQSASGLPVTAEKKLSEETIFWLMDRFAPC >cds-PLY81943.1 pep primary_assembly:Lsat_Salinas_v7:4:284677053:284678912:-1 gene:gene-LSAT_4X145360 transcript:rna-gnl|WGS:NBSK|LSAT_4X145360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKNEYTLDEEDQRVQDVIMKPNPSNTDDVAVLRRSLSFSYPDLPFNEFIKKENEKLHMELQHSQSYLDVSQCEMIQNLMEIVDVFASNSQYEKNMEYYPYVISNKEHEDHNEVQKNVLSTSRSISSVSSRQDLLSSRGSYREEAWHSDVLSCCSEPMMCLKTFLFPCGTLSKIATVATNTHMTSADACNELMAYSLIASCCCYTCCIRRKLRNTLNIRGGWCGDFVLHLCCCCCALVQELREIEMRGIHVEGPQKIKTIPPSTQYMES >cds-PLY83077.1 pep primary_assembly:Lsat_Salinas_v7:9:106774261:106774548:1 gene:gene-LSAT_9X76300 transcript:rna-gnl|WGS:NBSK|LSAT_9X76300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVSSIIVEGSAAAVAPSAEDGDGNGSGAIDLPHTISVELRPPTLTFFFKSLGTTVFVSFKMTVVRVVGGAKGWRLVEVTRVEAVGPPTMVAAP >cds-PLY93890.1 pep primary_assembly:Lsat_Salinas_v7:4:270423808:270424461:-1 gene:gene-LSAT_4X139080 transcript:rna-gnl|WGS:NBSK|LSAT_4X139080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome C assembly protein [Source:Projected from Arabidopsis thaliana (ATMG00960) TAIR;Acc:ATMG00960] MLLRWRFAFSSLWTGALVDTGREQAKRIVRNGKKETTTLLLCWIAGANTVVSDQDQEPIRILILTCRWFLTVGILPGSWWAHHELGRGGWWFRDPVENASFMPRVLATARIHSVILPLLHSWTSFLNIVTLPCCVSGTSSIRSGLLAPVHNFATDDTRGIFLWWFFLLMTGISMIIFSQMKQQASVRRTYKKEMVVARSTLVHLRHSARAQPRPVML >cds-PLY91273.1 pep primary_assembly:Lsat_Salinas_v7:3:76426878:76427832:-1 gene:gene-LSAT_3X59401 transcript:rna-gnl|WGS:NBSK|LSAT_3X59401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTIRVICHDPDLTDSSDDDNPNKKPYGSKKIVREIKIPLIGDGNICTESSCQGSNNGENNTGKKKKGLSKTLSKPSSPPTPAASVSKYKGVRRRKWGKWAAEIRDPFKGRRLWLGTYNTAEEASMAYSIKRLEFDKIAESLKINSNNPDHALVSQPEKNTVFEDSGSSISAVCCNIKQTDGDGDEMKKIETTNFPEIDQEFDLGLELDAAFLDKILPPGNQFGDLDDFELIGFDGDEASNLPDWDFEEFDQEELAWMNTLKIDEPLLNEFCS >cds-PLY79320.1 pep primary_assembly:Lsat_Salinas_v7:4:811612:811797:1 gene:gene-LSAT_4X1661 transcript:rna-gnl|WGS:NBSK|LSAT_4X1661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIADWRRFQANLEGFVADGDDVVAGCDVAGGVGGRGGGRLVADRLAGLQIGDAMGMERHVL >cds-PLY90627.1 pep primary_assembly:Lsat_Salinas_v7:6:54753479:54756012:1 gene:gene-LSAT_6X40261 transcript:rna-gnl|WGS:NBSK|LSAT_6X40261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYNFNDYFKLVDGVASLNNNTAEYLAHLWISSYEADQQNRYSKPMPWIGMYIALASLFCIFAMVADLLHGFGKKKLWFPCKYFTLNAASLTVIAVAIKLPMDLTNLMPGCMDQVTKLGSLGFMCTMMANLLPSLATMNSKELVSNIIALAVLVITLVVNICIQLNTGVLFYHVVDDGAGYNLHGYVKSLPSYVPERHNRFTAAIYVGMLLMLLIIYVCSSLAILMSKQILESKFQATHQKVLKDEELKQPGISTIEKLKRHVSYYWIMAGTGSPQFMTTCSATTSASAVICASSVVLHILLLLFYVDDLRDYKSDYMWSMPVILIIQFFGIVVGTIAPLSRCFSALSFKVSIKWIWNHLNVCNVESYWTRNLYDWKQSSISFPSSSRKCKIFMENLKTLILNICIGFQMTVVVACKIIAVVPFFVVICAVYCIRYCKWLETLFSTSCLVLRKKPKEHLRIDKDLSGYVLQLQDDIEFAKRTLKGMLKSVNHLIRKAEKQQPNNLMKLLARSGGFEGVGKFDSHHVPLLHSEEYINCWSLPLITLTTIAMSLPNMEKNIVDSLLSGVSEGLVYVTLVEESLNATDDHVIIQKAAKTLWLEVEVYHKWLGNKLPKPKPKVNIPRQILQWLRDTAKSMVIEVESTDIRGRNHNSKVSSICANSMFRITETILLSYHENIDQVSQEELFEVLSSMIADILAACLTNLPQVILMKCHTSAIEKREMNVHAAAQLLGQTTQIINSLQDRELPSLNPDELPFIDKWCSYLNHPFP >cds-PLY83765.1 pep primary_assembly:Lsat_Salinas_v7:4:44340026:44342570:1 gene:gene-LSAT_4X29780 transcript:rna-gnl|WGS:NBSK|LSAT_4X29780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDAYHCPYYLEQKYLNFLFSFSLRQPLSLLLSSRRRQLLPLPTFLLAPAPSAPPSSAFQRHPAGGAPPLRQRTTPPVPFGKAAFFPGKLIHTNEFLVLLGEGYYADRTSKQTIEILKRRGKDLESQIENLNAVIKDLKYEASFFDETATEAAEGVVEIREDYVDEVSDEEEKDTPTPGEDDFARILSRIDELEKEEMESEMAEEAEDEDKPDLSHLLSHTHIEPEVIHSEPKESSALPVSKNSDLDLSSNIKAPTSSASNNTLPRAVEQKKLESLPNTPVSKNEVLSTRNDSSKAFTGSIVEHTHNTEKSQTGQPPAPASKPVSRFKMRKK >cds-PLY62819.1 pep primary_assembly:Lsat_Salinas_v7:4:28579614:28580359:1 gene:gene-LSAT_4X19240 transcript:rna-gnl|WGS:NBSK|LSAT_4X19240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRLSVMHVANNHVGISIPLGIGLFVVVSAIVALCANHARRASRKDQGSTDDIGGTTEKMCDSSREDNYQEKFAPRSPLRSPKQLITTISNKAMTSFIVNHKRGKGHDGGGGDAAVEEGFGQGGLWQKEILMGVKCQPPEFSGVIYYNCDGHQVSEFPPRSPRSPRVAHSPDFTFPMIKSSV >cds-PLY74342.1 pep primary_assembly:Lsat_Salinas_v7:MU043248.1:153411:153650:-1 gene:gene-LSAT_0X25060 transcript:rna-gnl|WGS:NBSK|LSAT_0X25060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHSGIEPTMEEFKSLQLRFGFMLEHRVHFPRKGATIYQSPLGKVGVPVQIFEEVLRLPTIDFSDEIMRQYRFLGTI >cds-PLY69393.1 pep primary_assembly:Lsat_Salinas_v7:5:301198014:301201813:1 gene:gene-LSAT_5X162301 transcript:rna-gnl|WGS:NBSK|LSAT_5X162301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMAVGIHNSITPTLNPNQKGFPLSSPFLRTQLSVGRTLSSYSFSKIKSPTFSRSRRNPLPSFIKCSVSEAEATETTTEKKVTLVRRNDIRNIAIVAHVDHGKTTLVDAMLKQAKVFRDNQVVEERIMDSNDLERERGITILSKNTSITYKDTKMNIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGLAVVVVVNKIDRPSARPEFVINSTFELFIELNASDEQCDFQAVYASGIHGKAGLSPDKLADDLGPLFESIIRCIPGPRIKKDGSLQMLVTSTEYDEHKGKIAIGRLHAGVLNRGMDVRICTSDDACRFGKVSELFVFEKFYRAPAESVEAGDICAVCGINDIQIGETIADKNDGKALPAIRVEEPTVKMAFSINTSPFVGREGKYVTSRNLRDRLYREIERNLAMKVEDGETADTFLVSGRGTLHLTILIENMRRENYEFMVGPPKVINKRVDDKLLEPYEIATVEVPEVHMGSVVELLGKRRGQMVDMQGLGSEGTTLLKYKIPTRGLLGLRNAILTASRGTAILNTIFDSYGPWAGDMSTRDLGSLVAFEDGVSTSYALISSQERGQMFIKPGMEVYKGQIVGIHQRPGDLSLNVCKKKAATNVRSNKEVSVVLDTTLDYSLDDCIEYIQEDELVEVTPKSIRMCKNPKMSKKGR >cds-PLY97859.1 pep primary_assembly:Lsat_Salinas_v7:2:215834207:215834617:1 gene:gene-LSAT_2X135561 transcript:rna-gnl|WGS:NBSK|LSAT_2X135561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDHEVHFLRKGAIISRPPLGKVRVPVPIFEARLRLTMTGFFNEILHQYGFNVDDLNPNVVNKFVGFELACRALSVLPNFGCSRLTSTHPHNLVFTPYLRGEASMRSSSTRMCLRRNYRTNSCGSIATWLVLVIPG >cds-PLY94802.1 pep primary_assembly:Lsat_Salinas_v7:2:180243373:180249968:-1 gene:gene-LSAT_2X102201 transcript:rna-gnl|WGS:NBSK|LSAT_2X102201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVPHTELLEGRRPVQGPVAFDGTPPIEVPQPMEVAGQEVASTGENQAVDEPQTSRFTWTIENFSRQSNKKLYSDIFVVGGFKWRVLIFPKGNNVDHLSMYLDVADSSSLPYGWSRYAQFSLSVVNQIHNRLTVKKDTQHQFHARESDWGFTSFMALSDLYDPGRGFIMNDTCIIEADVAVRKVIDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHMPYFRKAVYHMPTTENDIPSGSIPLALQSLFYKLQYNETSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHNMNYIECINVDFKSTRKESYYDLQLDVKGCRDVYESFDKYVEVERLEGDNKYHAEAHGLQEAKKGVLFIDFPPVLQLQLKRFEYDFTRDTMVKINDRYEFPLELDLDRENGKYLSPDADKSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDKWFKFDDERVSKEDVKRALEEQYGGEEELPQTNPGFNNAPFKFTKYSNAYMLVYIRESDKEKIICDVDEKDIAEHLRIRLKKEQEEKEDKRRYKAQAHLYTIIKVARDADLLEQIGKDIFFDLVDHDKVRSFRIQKQMTFNLFKEEVAKEFGIPVQFQRFWIWAKRQNHTYRPNRPLTAQEETQSVGQLREVSNKNHNAELKLFLEIETGPDLLHPLPPPEKSKDDILLFFKLYDPVKEELRYVGRFFVKSSGKPTEITSKLNERAGFSPDEEIELYEEIKFEPCVMCERLDKRSSFRSSQIEDGDIICFQKVSEAGAGDKYRYPDVPSFLEYVKNRQMVHFRSLDRPKEDDFCLXXSKLHTYDDVTERVARQLGLDDPTKIRLTPHNCYSQQPKPHPIKFRVAEHLLDMLVHYNQVSDILYYEVLDIPLPELQCLKTLKVAFHHATKEEPVIHNIRLPKQSTVGDVLNEIKTKVELSHPDAELRLLEVFYHKIYKIFPLTEKIENINDQYWTLRAEEIPEEEKNLGPQDRLIHVYHFTKEAAQNQMQVQNFGEPFFLIIHENETLEAVKVRIQHKLEVPDEEFAKWKFAFLSLGRPEYLQDSDIVSSRFQRRDYGAWEQYLGLEHSDTTPKRSFTANQNRHTFEKPVKIYN >cds-PLY69363.1 pep primary_assembly:Lsat_Salinas_v7:7:81391419:81395446:1 gene:gene-LSAT_7X57180 transcript:rna-gnl|WGS:NBSK|LSAT_7X57180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSMVFCHNNLLFSNQRSTSLKPLYFDSPVHVIGVGRRRIRRLIPNAKKKHNRNRSWWQKFFFDEDGNWFGLKDDEMLEAEEEFGKDSSDDEEVSEGEKFEAWKRRAEAIVELREAQEGVQNEEQKRWEDWLLDGTNNGSNGSSWVQEPRVEDDQEDLSDIIPGRGLAESVRDLVFGREDDDILYQDRVFRYASVNSAKFLAVLIIIPWALDFLVHDYVLMPFLDRYVKTVPLAAEILDVRKHQKLEMVKELKVEKERYKFEVEIGKSPPISEEELYLELRHKALELRDEWRLINRSAFANIWSDMVFGISLFLLLYFNQNQVALLKFTGYKIINNVSDTGKAFLIILITDIFLGYHSESGWQTLLEIIVEHYGFDVDQSAIVIFVCLIPVILDACVKLWGGSRIVIQEGKERG >cds-PLY80303.1 pep primary_assembly:Lsat_Salinas_v7:3:207667562:207670168:1 gene:gene-LSAT_3X122320 transcript:rna-gnl|WGS:NBSK|LSAT_3X122320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELGADHIDVELQLSWQPSTIVLDWHLLAEDIVYVFLCCYCGFRKILAYWSNCMYLT >cds-PLY67850.1 pep primary_assembly:Lsat_Salinas_v7:9:144338665:144340915:1 gene:gene-LSAT_9X92560 transcript:rna-gnl|WGS:NBSK|LSAT_9X92560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYLDPEKESNEGEDVVVFLCLIDFSPFATTHSSHQKLCCPHRLLYQIPITPPSSIHQFSSPSTTVLLYRCFLDVPVTNTGGRRRRWIGGTIPSAYTVSNSLRWPRTPYQIDSYPIGVRKRSKQFPDRKSVRSRDSSKSVPGTSLILQSSSFKTRFWVQFMKLKWEPVSMQQRHGSSIVEVCRIIEETVDQFFALKIPMRSGEMNSLFRGIDNALQVYSKHVVDNLANMEDIVPPVPILTRYMSESGFKAFVKKELNDSSSRMTDMRKSRDINDIREPFIENLYRPSVSESRLETTLIEPLDVEPNQLCDIIVEPLRDRIVTSLLQASLDGLFRVLLDGGPSRLFMPFDAKFLEV >cds-PLY73687.1 pep primary_assembly:Lsat_Salinas_v7:5:207256452:207257092:1 gene:gene-LSAT_5X96721 transcript:rna-gnl|WGS:NBSK|LSAT_5X96721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVFGVYDRPLLEPNVVLLREIAVRDDGSWFVVKPTFEDIDKQVEISKASHDKKK >cds-PLY75590.1 pep primary_assembly:Lsat_Salinas_v7:9:34491020:34491773:-1 gene:gene-LSAT_9X32101 transcript:rna-gnl|WGS:NBSK|LSAT_9X32101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAISPLPTLSASNKPSVYGRTTSPSPTLQFLNQNQKKRGSLTVVSAVGDVSSEGTTYLIAGAAAVALLGTAFPILFSRKDTCPECDGAGFVRKSGVTLRANAARKDQTQIVCARCDGLGKLNQVDK >cds-PLY87988.1 pep primary_assembly:Lsat_Salinas_v7:6:171413765:171419169:-1 gene:gene-LSAT_6X105700 transcript:rna-gnl|WGS:NBSK|LSAT_6X105700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGQYCSSRPMRIGVATPKKASTLQQYEQQQQHHHHKGSNNGNTCRLHQTEGAMEKERKRKQWSNTMKRDNTMAYRRDFVDRPRDGSSPGFDEAKKEGWEKLRGCLRPLLALRCERGKVRWGKSVTEEGKCRPPESD >cds-PLY99656.1 pep primary_assembly:Lsat_Salinas_v7:6:82986741:82990109:-1 gene:gene-LSAT_6X58941 transcript:rna-gnl|WGS:NBSK|LSAT_6X58941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAGLGSRKRKHQSLKSGGIFTRSNSQIYVRRRRSGYARSNSVRTINPIMSLEATTNSPMDQDPWGSTAHPITSEVLVKDLRVRRIFSPMTVPEEDSSVGAVDLKADFDVRKTNDGNPNVSSSEGDPGHCDLGVSSSVVIDPKHRLQNIVDSFLVSENNVMEADEFLLTPPRVSDSDQNNVAAENNGFISIPNEVGQSSLTSSRSKKKVFINRGSYNSYRRLLPYLTDIGTDGTYATQLLLYLYIHISISCVIPLASANSLHSFPSDSPSFEIVEATMTKQQKSSTIVPNMVASKDKDVDAVPNLNNLADCAFKSQEDAVIDKLDECEQTTPPDSVSVSKMVLKQSSRMKMLQTPTSFSHRRLLPYLTSVSEPEKTSEENQQDISNSLQSTTVNQKSDSSYPTLTPVKPLFESITTITPVSNDNLLDSNRTDIKSIESTSQLAVKLQAEEQESRNKDLKCIEETSPDINDNQKPVNESTHESLLQIPPLNSPLINRDNSRNGISVANEIIDTQGSMVKIQSMVTNLHSKKKVFISPKSFSYRRLLPYLTEPGTNDSPNFEIVEATLPKVQKSSNMVPNKDHEIDAVPTNSKSGLSDSIQKSHEDALNDSMEKCLQMKMLQTPTSFSHRRLLPFLTSVSGDDSGNIIVDESQTTADEKSDSPTSTLTPIQTSSESTTTVAQVSNDNLVDTHGPDTKLTESTSHSGMKLQAEADSLMKVEQESPIKDLKLVQVTTSDLEIVPSVDTNDNQKAVNGSTHESLLQIAPLNTPLINRDISKNGILKRTPRGCRGICNCLNCTSFRLHAERSFEFSRNQMHDAEEVALELINDMNSLRNILERTGADSNEVKEVFAKALYKEEVARAKLAQMNEDLNNQCRSMGK >cds-PLY68570.1 pep primary_assembly:Lsat_Salinas_v7:2:21119380:21121028:-1 gene:gene-LSAT_2X10340 transcript:rna-gnl|WGS:NBSK|LSAT_2X10340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDYIPFEIQVQIIKRLPVKSLLQFRSVSKQWKSLIDSSEFIAGYRFRQTHPQRLLLWYKDPVDLKQNYVSFLDDDAFAQQELAPTVPPMLPEHLFRSEAPRSSQGLVCLSGFYEYPVAPLCKIRTKMIVLLNPSIRKSVLIPFPGFLNFEIVDGFGVCPITNDPTIVKIKNVCTDLGTKVSSPIVEVFKLSTGCWRSFCSNLPKKSIHFMSPNVAIDSYIYWVAFDNDFLDNDSQILKPLIISFHMTTEEFRVIDLPNTLAYEIDEEFRVIDLTDSLPYASLSLSKLRDSLAVLKYVTRNDPGTQSEFEVCDVWIMDNDVLHSFTKLYTINPRYDIIRILGFTNSGEPMMEVLKTQSILGSWGCHTEPASVVVYEPNSKRIRDIGIRAEYASSLVSSYMETLLLLDQSNCNVSLWPDQIARGVAYTGLVVL >cds-PLY79794.1 pep primary_assembly:Lsat_Salinas_v7:8:275760613:275762344:1 gene:gene-LSAT_8X157821 transcript:rna-gnl|WGS:NBSK|LSAT_8X157821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGQVEHVKAESNLLAEVDNNCIVKLYCSFKDNEYLYLITLLIRKDTLTEDEIVVHWKRRISPQGIMSPIQQVRAILLFLNAHNKNNCSIVRKIGLLYISLMMGNSKSFSIHMAGDGMGHLTFGENIIAASGVGVATAITTNPLWVIKTVLQLSDHTTTNDLSPGKLAITSSMSKVLA >cds-PLY91403.1 pep primary_assembly:Lsat_Salinas_v7:3:55768459:55769638:1 gene:gene-LSAT_3X44281 transcript:rna-gnl|WGS:NBSK|LSAT_3X44281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >cds-PLY76132.1 pep primary_assembly:Lsat_Salinas_v7:9:30957253:30959083:1 gene:gene-LSAT_9X28200 transcript:rna-gnl|WGS:NBSK|LSAT_9X28200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADVQGYLILFLIGTITTIFIRALFKSNSAKGKSRLPPTPFALPIIGHLHLLGPSPHQAFHKLSLKYGPVFRLFLGSKPSVVFGSPETGKELFKTYDNVFLDRPYNSSMDYIAYGGRGFIFATYGPYWKFLKKIVVSELLNAKTLDSLRPVRNEEINRFLRFLSEKAKVGKSVELEGELIKMANNIISRMLMSKRCSDEDDDAGDIKNIVTDIGELMFTFNLSDHIWFLKNIDVQGIGKRSKEIRGRFDAMIEKIMEKREEARKQNYEKAEVKDLLDLLLDISEDENMEIKLSRDNIKAFILDIFGAGTDTSAITTEWALAELVNHPHIMKKAVEEIEKVVGKDRLLQESDIPNLPYLQAIVKESLRLHPTAPVIQRISTEDSTIGGYHIPANTTIFFNIWSVSRDPAYWENPLEFKPERFEEKQLDVRGQHFELLPFGSGRRMCPGTSLGLSVVYVTLGCMIQCFDWKAGKDGNLTSVDMEEGIGITLPRANPLVCVPVARLHPLHLSV >cds-PLY78695.1 pep primary_assembly:Lsat_Salinas_v7:9:50700405:50704971:-1 gene:gene-LSAT_9X46640 transcript:rna-gnl|WGS:NBSK|LSAT_9X46640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRETRSMQKRKNAKDKKETKVPKKMKHQSRKSPSKRKTPEKQQLQDSDSDFESSHPSKKAKKKSHQSKKKKKTMVKEFYSMKNRCSPEALLSIILGMSKEQKESVRSMGFGALLKMKITDIPLKLGFYVLQKFDSERMVIDIEGKELKVTAESVHDMLGIPIGGTKLTQLDQWPKDDTSYDEWKQQFKKDSIIRLSAIKNVIVSTTQADFNFKLNFLVLFVNTFCESTSMGRCNLFPLSYISRKTDISNIDWCSYVLDCLVRTKNSYIPYSDNSFFVGPSAFLVLFYADNIHSEALTVTRKRPTICYWSSEKIRYRETFEQEKGRFGVGELNEEFVNEQNEGDTDLEDSDSDKDEDHSVEAYESKISKMINSFERMKEKLNSKLNDAMTKFPEKESFRIFKEKMKNMIVEEKTESTTLFNFPINEIGVEGINLTPIMGQKTNDQTENEDKEGNGEEDSDNGASQPEVDYLLDSNEADNEGIKNDADKNKKEGEIRVKEKDAKRNENQNDEEEKDDHAEETNNHEETIQQTKNQNLLDKVVDNIVDNVLGIGVSSLNSQEDEIWNHPEMKTIFDNIDIGSPMSTGKTNTLAEKEKSEGVHEQGTKVEKTKGDDTGKENSEDRNEGGTEAKNTKDGCEEKQTEIEKGNAEDRVLYLSGKKKSENQNKKGEKADKTKGNKGDTHPSFSLGLSQDSDKTSIGPSKHDLDQPREKKLADAFKSPFKCRITDTKPKLTHQESIVCEWLFNLQGNTSDVVVQTKYGQIAEREVMESLYANTEIFGEVLDTWSDLLNHQELERDFVNLPYRLFLKVGVSTAYLTSTLSDERKYEKFKENFHDSTNGYKKILNIKDIDMVFFPVVRSAHIFVIVFNLKKPSIEILDNSAVEGDYEGKYGVIMKPLKNLFVRYFKEINHPRANAISKENIKPQRLEMSWRTIKNKVDCGVFAMRHMETYMGQPLSKWKPGLHKESAVQQTTLEKLRQRYAHIMLTSEINMLKAKVLDLAEKYQKVEFKVRTDHAYKAMQTIQKRLKEY >cds-PLY68521.1 pep primary_assembly:Lsat_Salinas_v7:2:213501446:213506770:-1 gene:gene-LSAT_2X134420 transcript:rna-gnl|WGS:NBSK|LSAT_2X134420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSIFTKSPLKTTRRSSLFHRKSTLQLASAIFNGLLGTLYICLGIWTLKTTILPLHQWLTFLFHGVTWSTVSLTISLTGKHFSKIPVRLLSIVSVLFAGFFGGLSVLDHSMSIKMAMDTTSFLGAVLLLACTYKGFNYEEINDNNNSLYTPLNGNTNGTKVIHNENLTPLAKAGFFNVMSFWWLNPLMKTGSQKTLEDKDMPKLRTEDRAESCYSNFLDRFNNEKQSQPSILRTIVMCNLTELLVSGFFALLKIITVSAGPLFLKAFIRVAEGNESFTGEGYVLAIALFFSKTLESLSQRQWDFRCRLIGIRVRSLLTAAIYKKQLNLSNSAKITHSAGEIMNYATVDAYRIGEFPNWLHQTWTTSLQLIFALAILFQAVGLATFASLGVIIFTVICNAPLAKLQHKFQSKLMVAQDERLKAISEALVNMKVLKLYAWEIHFKGVIEKLRAVEDKWLSAVQLRRAYNSFLFWSSPLLVSTATFGACYFIGIPLNASNVFTFVATLRLVQDPVRTIPDVIGFIIQAKVAFSRILNFLEAPELESGHVRRKVKNEGLDCNILIESASLSWEGNIVKPTLRKINLQARLGEKIAICGEVGSGKSTLLAGILGEVPIIEGALQVYGSIAYVSQSAWIQTGSIRDNILFGSSMEDQRYQDTLEKCCLVKDLELLEYGDLTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTASSLFNGFVMEALSSKTVLLVTHQVDFLPTFDSVLLMSDGEILAAAPYHQLMASSQEFQDLVNAHKETAGSERLLEVTSSRKQIPSIKDIKNTHTNKLPVASGDNQLIKKEEREEGDTGFTPYIQYLSQNKGYIFFSIAAFAHVTFMACNVCQNSWMAANVDNADVSTLKLILVYLAIGVIAIMFLLVRSLFTVALGLQSSKSIFSQLLVSLFRAPMSFYDSTPLGRVLSRISVDLSIIDLDIPFNLIFAVAATTNFYTNLGVLIFVTWQVLFVSIPLIYVAMRLQRYYFSSAKMLMRINGTTKSLVANHLAESVAGAMTIRAFKQEDRFFAKNLKVVDINASPYIHSFAANNWLIQRLEIISATVLSASGLCMVLLPPGTFTSGFIGMAMSYGLSLNMSLVMSIQNQCTLVNYIISVERVNQYMHLPSEAPLVIEENRPPSNWPDEGKVEIQDLQIRYRPDAPLVLRGVSCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPVGGKIIVDGIDISTVGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLCQHTDQQIWEVLGKCQLREAVQDKAGGLDSIVVEDGTNWSMGQRQLFCLGRALLRRSKILVLDEATASIDNATDTMLQETIRSEFADCTVITVAHRIPTVMDCTMVLTMTDGKIAEYDEPMKLMRRDDSLFGQLVKEYWSHSQSAQLV >cds-PLY71610.1 pep primary_assembly:Lsat_Salinas_v7:2:103898767:103902732:1 gene:gene-LSAT_2X45560 transcript:rna-gnl|WGS:NBSK|LSAT_2X45560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSIRVPSLTLILLIISSILCLQSKADGTGSVYLLDSSTHHYFQSHSSESKSLSLPEVGAAISVLLGFAPPSTLSSASSEKLNEVLTPNPFVRPHAVFLLEVNGLGDKQLELNSDGDVFSRGFKSEVAIENTEIQVSEDELSLVSLNEPLESTEKDLINFALWLGGEYINNAAEPLTGELTIPLSNNVQITLHMSKKADREFTISLVSLFHNAQKAVQLHEDLAGSKLTPSELIKGKFDGFKAFQEHYGTDDIVHKGAELLVTSISMIYNSFQTTYKGQIVGVVVFNGSPTKESDSMLTIKLTTRQHPRWLEEKSLPDFAKIAEVALVRKTLAWITGIILIVSTLMGICFLFNMPITRDTLLYSNVKLD >cds-PLY82199.1 pep primary_assembly:Lsat_Salinas_v7:1:13635021:13636169:-1 gene:gene-LSAT_1X11821 transcript:rna-gnl|WGS:NBSK|LSAT_1X11821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGTKSSWSRLRSKLKTALLVATRSTMVNQPNNLQSLEGISEDDMKLVEEMKLEAMKISVVEGQQVLLTMSTLTKEGVTVFHVAMPKPCDQKERHVCIPESILEAKAMEAEKVKRKLEMDNENENGGAGVYSASLKKHYLLADDEWKEDNMYAILDAHNAFDFIDQDILQMLEELEKEEGLLQEQGDGEDEEMEGEDLTPKQQKEHNKIRKKKSILILERRIKKSTAEDRPIFPRKFDKDKRFTS >cds-PLY99672.1 pep primary_assembly:Lsat_Salinas_v7:9:57340211:57340573:-1 gene:gene-LSAT_9X48861 transcript:rna-gnl|WGS:NBSK|LSAT_9X48861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSGPARRRDDESLLTRAVDSVFTFIRFAEFEILFVLFFVVAFIIFKDLVSPLR >cds-PLY93150.1 pep primary_assembly:Lsat_Salinas_v7:9:3458979:3459681:1 gene:gene-LSAT_9X4661 transcript:rna-gnl|WGS:NBSK|LSAT_9X4661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:UPB1 [Source:Projected from Arabidopsis thaliana (AT2G47270) UniProtKB/TrEMBL;Acc:A0A178VV95] MKIMGDQDFQQTLGDLLEIKSKCMKDSSKNLLWKKVMEAQVKKTWLGGWKTKIRRCPTRNNTLTMRKRQRVRRAASQGGSRRATTMVIERKVRTLKKLIPNGESSIGLDGLFIETAEYITNLQRRVRIMQAVVDALSCAE >cds-PLY86246.1 pep primary_assembly:Lsat_Salinas_v7:8:56662326:56662673:1 gene:gene-LSAT_8X41320 transcript:rna-gnl|WGS:NBSK|LSAT_8X41320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFDKNNPVPDRVDVTLTDSDNEDGVLGDAESVEVPHVQASKVDKPLLQEVDIIGVSGSKNAGVQRNGCVSPTIKCLPALILEFMYTFLVLQSGKHQKSKGVLLYSRIGLNIKAC >cds-PLY84264.1 pep primary_assembly:Lsat_Salinas_v7:8:115396295:115403822:1 gene:gene-LSAT_8X80200 transcript:rna-gnl|WGS:NBSK|LSAT_8X80200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFTAVLRALLSVNPQQPTLRIQQRHQGSSLPIGIIVPPFHQSSSVLVIDASVNGTLQRRNIEGSFSSTAKPHIEDNRWLGLIRLDKKPEGTSNDQPHDEFSGDVEKIYRILRKFHSRVPKLELALQESGVIIRSGLTERVVNRCGDAGNLGYRFFVWASKQPGYTHNHDIYKSMIKSLGKMRQFGAVWALLEEMRKENPQLITPQVFVVLIRRFASARLVKKAVEVLDEMPKYGCEPDEYVFGCLLDALCKNGNIKEAALLFEDMRVRFPPTIKHFTSLLYGWCKEGKLMEAKFVLVQMREAGFDPDIVVYNNLLNGYAVAGKMVDAFDLLKEMRKKGCDPNATSFTILIQSLCGQEKMESAMQLLMEMERCGCEADVVTYTTLISGFCKWGKIKKGYEILDHMIQKGHTPNQTTYLHILNAHEKKDELEECLELVNEMQKIGLFPDVYIYNTIIRLAFKLGDVKEGVRVWNEMERNGISPGIDNFVNMIHGLIGQECLVEACDYFKEMVGRGLFCVPQYGVMKDLLNSLLRGDKIEVSKDVWSCIINKGCEVNVYAWTIWIHALFSKGYVKDACGYCLEMMDAGVMPQADTFAKLMKGLRKLYNRQIAVEITEKVREMAAERKISFKMYKRRGERDLKEKVKAKNDGRKRRARRRLVRMAPAISGDLGKDAKEVVSLRILESLFPQTGDEAVVDADAGQNGKITFDSSERCEDVLNKILREQTPEHSARFEKEKWDVRSFLTHKRSSLPKCVLKKLKDMLLESSHPLLTSLKEKSKLVIPNASQNTSPVNDENLDVQDTVAKDDTNILNPRKYNDKFQENELQKADQQQLHFNNEKSPQDTHEKRVSVDNMENLESAVKLKDNKNVESNALDEDEHLNKDENSMEQDGDQTDSLMNLCVKCNEGGELLVCSSDSCPLQVHESCLGFAITFDENSNFFCPFCTYSRAISEYQEAKRKASQARNDLQAFSSFTVKKGSRPNESFKKPSEFEKNENRKQTGAFGETSEGNVANQSMREDEGKKKVKVNGNVNESDLVSRHVDKDIPQPSTPKRKFKEKESQMEIIESSSSRELRKRKAQYTPPVVVPLLRRKPIPWTKSEEETLKEGVERYSSVNDKKIPWKEILDFGHKVFHKGRTTIDLKDKWRNICKGTK >cds-PLY94065.1 pep primary_assembly:Lsat_Salinas_v7:4:172914295:172914651:1 gene:gene-LSAT_4X103040 transcript:rna-gnl|WGS:NBSK|LSAT_4X103040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPVSKTSLRSVDQFASPPLYTKTSSQEHEQEQPSDDIWLTVSEIPPFIKPTKAPPPSRPPPSIPRHTLKSERGYSGKYSQIHNPFQASPLDELETFAMGGSGTQDNSVDHVNGEEMD >cds-PLY90601.1 pep primary_assembly:Lsat_Salinas_v7:6:52497457:52504220:-1 gene:gene-LSAT_6X38521 transcript:rna-gnl|WGS:NBSK|LSAT_6X38521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKKATQTTRHWRTITVIIAVEGPISSPYEHIFNSSSVKSKNCKLNKIDPKVKIAITFPFACTCLLITRTTKPSPSADDASASASGQRRLCLRSSVEDTDEVSYSALQLLPPPPFTLFTELTTSPIAAASLGQELRIGSSTFSSGPKDSETIPISYNIWKDKKPFSSEELDERVKTWMRTFGDKAYTELATKGKERIVGMVIHVFHSIHEVELVD >cds-PLY93543.1 pep primary_assembly:Lsat_Salinas_v7:2:174530017:174533580:1 gene:gene-LSAT_2X96860 transcript:rna-gnl|WGS:NBSK|LSAT_2X96860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALTTPISSPSQLIHLPVGHRPSPSSYAPFRGYTLIRSKFQVIALRNTGNSLFPVLPKFSSTVRFRCYGKSTEEREVDTLTSDNDDDSRENSHQSPNNVEKAEDGGSRRNVSSLSDALNLGIREPVYEVVEVSSSGMVSTRKINRRDLLKSSGLRPRDIRSVDPSLWLTNTMPSLLVRENAILLNLGPLRAIAMQESVFIFNYNRRAGKAFIDALLPRLNPKSSNGGPVMPFELEVVEAALHSRIQRFEDRLMELDPRVQALLEVLPNKLTADILEQLRISKQTLVELGSKAGALKQMLFDILEDSHEIRRLCIVGRNCILSRNNDLECSVPQEKQIAEEEEEEIEMLLENYLQRCESCHNQAERLLESAREMEDSISVNLSSRRLEVNRFELLLQVGTFCVAVGALVAGIFGMNLKSYLEEHVFAFWLTTGGIIFGAVVAFFVMYTYLRTRKIL >cds-PLY98636.1 pep primary_assembly:Lsat_Salinas_v7:1:36049844:36050420:-1 gene:gene-LSAT_1X30501 transcript:rna-gnl|WGS:NBSK|LSAT_1X30501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTTGKITREVEIKCDCHLIYELYKHTPHDSAVVDPEKVEACHLVSGQRGAPGSVIQWHYYHDGKLETAKEIIEEVDDELHKIVFRVIEGNILEVYNPFIITLKTEDVGDKKSVIWTLEFEKVNASIPDPTQYLDLLCGIAGNMDAHFLKQS >cds-PLY69061.1 pep primary_assembly:Lsat_Salinas_v7:3:206879964:206880390:1 gene:gene-LSAT_3X121360 transcript:rna-gnl|WGS:NBSK|LSAT_3X121360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSSRSSFNGGTRMRNKKVIRCDCGDVCGVSVSRTPDNPGRKFWGCPNYQVKGGNYGFFKWADEELGQNMEMCHTEKIKPLLEVIIGLLVVISLMLGIVVIKM >cds-PLY87905.1 pep primary_assembly:Lsat_Salinas_v7:2:28075557:28076164:1 gene:gene-LSAT_2X14780 transcript:rna-gnl|WGS:NBSK|LSAT_2X14780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKLSFTLLCFLILGTVHTNHSQNSQQDYLDAHNTARAQVSVGNMVWNATVATYAQNYANQRIGDCNLIHSQGPYGENLAEGTGDFTGTTAVNLWVAEKTNYDHSTNTCASGQVCGHYTQVVWRNSDQLGCARVQCTNNGGWFVICSYYPPGNILGQSPF >cds-PLY89984.1 pep primary_assembly:Lsat_Salinas_v7:8:208677493:208682242:-1 gene:gene-LSAT_8X131340 transcript:rna-gnl|WGS:NBSK|LSAT_8X131340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEHLHMIFEEKLSSVRNTLLKMNQSKKDIYKIIVYELQREPRNNELTSLRKYFDYVFNVKSLDLIKERTLVEIGLELEFFVLEETLELIGMEFALLGEIDGLMEKETLSELRLWESFSLLRKKAIPSPQIELSLPHVAGSFGRTCLVLVSSSHRWSSGFY >cds-PLY84191.1 pep primary_assembly:Lsat_Salinas_v7:MU041266.1:154:1313:-1 gene:gene-LSAT_0X46081 transcript:rna-gnl|WGS:NBSK|LSAT_0X46081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDFRTKLGVEGGGYYYYGICTAGGMFSAGATHLAVTPLDVLKVNMQVNPIKFHSIASGLNILWKEEGPSSLWRGWSGKLFGYGVQGGFKFGLYEFFKRRYTDVLTDERQSIVYFLSSASAQVFADIALCPFEAVKVRVQTQPNFAKGLSDGFPKLYSREGISGFYKGLLPLWGRNLPFSMIMFSTFEHSVDLIYKKIVQKRKEECSRGQQLGVTCLAGYTAGAVGTVISNPADNIVSSLYNKKANHTVLQVAKSIGMVNLFTRSLPIRVALVGPVVTLQWFFYDTIKVLNGLPTTGGVSLGVEGDA >cds-PLY66530.1 pep primary_assembly:Lsat_Salinas_v7:4:339593762:339594016:1 gene:gene-LSAT_4X167700 transcript:rna-gnl|WGS:NBSK|LSAT_4X167700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKMAKCYSTILCILILLGSEFVYVHGRCLKFKRCTRCFVKVEKLKSGAKMTSRQVVTSKIATVEDFRPTAPGHSPGVGHDIHN >cds-PLY88496.1 pep primary_assembly:Lsat_Salinas_v7:5:46222400:46224297:1 gene:gene-LSAT_5X22561 transcript:rna-gnl|WGS:NBSK|LSAT_5X22561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYPPIPSVPAITDLHYHQESSSPSSNSYYNQTNCHGILYTAALSILAALFVLYLGFHLKKNIKKLNHRRSHVMIAYYLLLWFSAILNLTWCCLQAWQCTPEKKISWNLLSLVTESGTLCLEISLVAFLLQENYASGLETLAHTFSISGLIVGADILLKAIFVFGFHVPLFMDGETSNGGKWGMWTFDELLLTCTYGYILFVHYSKWRDKLPPRPAFYNYVVVMFIVNSLALLACALAASGVGFGFWFYSFIGFCYHTLYLPFLYITFLGDFFQEEDLLLDNAYYSEMRDAGFFDADWE >cds-PLY85426.1 pep primary_assembly:Lsat_Salinas_v7:4:209390435:209391554:1 gene:gene-LSAT_4X117861 transcript:rna-gnl|WGS:NBSK|LSAT_4X117861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSYGFLDSIQVEKAHALSRYKQFNNISRIFKLIEVFVAVALISWSSTRLPTVFKVSGEYLYACSSYILNQHVVFLIGNFIVVLCYVFSGHMEVGNESIDHEISDENKKRSTNHYKTSDAASDGELLLTPVEELPVIHTAMEEPQEKVIGFENSIVKTESEVAAEMAIKQAAKQIERFQRTQSAKLKREISMKQRTELRRSMTERRRSVVLTSGDGDSPATSSETVERLSNEEFRLAVEAFILKQQSFLKQQTLDKKTYYY >cds-PLY81952.1 pep primary_assembly:Lsat_Salinas_v7:9:153612544:153614187:-1 gene:gene-LSAT_9X96540 transcript:rna-gnl|WGS:NBSK|LSAT_9X96540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLGGTITNDSTYDSLSLNKMLNIYPLARGFTAIGSGGDDFLQSMVVAVESIPLGLKLQGRPDKGQGGGIGAVPMRGNSWAGVVLD >cds-PLY67818.1 pep primary_assembly:Lsat_Salinas_v7:9:7155582:7156293:1 gene:gene-LSAT_9X541 transcript:rna-gnl|WGS:NBSK|LSAT_9X541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYKSSKSDMGRRAWNILRLALLWTRKGGVFALKKKLMQLQLQLSHSNKGVGSLQDGDRQLSFDATPIIHVRMHRPNSMRFHLPHIPCINPQAADAFDAYDFDDQSDIDYSYHQPRRSFLITSGEEHEQNDEEMCEEEGIDVKAEEFIANFYHQLKLQRQISYLQYNHD >cds-PLY72104.1 pep primary_assembly:Lsat_Salinas_v7:7:57505670:57509023:1 gene:gene-LSAT_7X42020 transcript:rna-gnl|WGS:NBSK|LSAT_7X42020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVSKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADVFVLAFSLISRASYENVLKKWMPELRRFAPNVPVVLVGTKLDLRDDRGYLADHFGSNVITSAQGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRKEAVRQKRRKTSGCSIMGIMCGGCAA >cds-PLY75457.1 pep primary_assembly:Lsat_Salinas_v7:7:74818280:74818663:-1 gene:gene-LSAT_7X55061 transcript:rna-gnl|WGS:NBSK|LSAT_7X55061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWAPEEDAKLKDYIEKYGTGGNWIALPQKIGMHLHLLLTIFRFLARSFF >cds-PLY70008.1 pep primary_assembly:Lsat_Salinas_v7:8:146416971:146419654:-1 gene:gene-LSAT_8X99800 transcript:rna-gnl|WGS:NBSK|LSAT_8X99800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEMCTKIRASVYSRDFQRFESKLKEDQSFYIRSPTIAPNRYTFKISDVKDKLNLHGRTAMNKCLHFQSKTTYEFSCASFERIISASTTSNESIDVIDEVVSLGMLDSCDVNKSRHRLPLQIRNIDLVSLRGLQNPSSSLTVDTSQSYSKYDDFLKKHNVKNIVDLLEPQEVRKFIIVGTICGIRQDIDWYYDACTNCGKKVDARNVFSGLESGDANVVGNSANVDDTEIASHETKSLKDAISQTGDNLTPSYLDKIEATSPFKYNLPPMVKKRNVGDSIDVDEYDNVNSSTKVPRLNSKVDGNTGLLIPKLEK >cds-PLY86121.1 pep primary_assembly:Lsat_Salinas_v7:6:84318936:84322655:1 gene:gene-LSAT_0X8301 transcript:rna-gnl|WGS:NBSK|LSAT_0X8301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHTKLLKLIDTIQRLGIAYYFDQEIKQALQHIYVKYGDNWSGGSSSVWFRLMRQQGFYVSCDIFNNYKKKNGAFKESLTNDIHEMLELYEATFMRVKGEVVLDEALLFTKTHLEKLAKDPVRCNSTLSIHIQETLKHPILRRLPRLEALHYIPFYQKQASCNESLLQLSKLGFNLLQSLHKKELSELSKWWKGFDVPNKIPYTRDRLVELYFWALGVYFEPQYSRARIFLTKVLAMSTLIDDTYDAYGIFEELEIFTETVQRWSTTCLDMLPNYMKPIYQGLLDVYKEMEEIMADEGNAYRVNYAKELMKEFIKSYMSEAKWVNEGYIPTIEENMSSRFKSGGYSMLTAASFVGMGDIVSDESFKWVLTDPPIVKAACVICRLKDDIASHKQEQERIHVASLVESYMKQYDVTEEYVHDLLYKQVEDAWKDISLETLICKDVPMPLLTRVINLARVIDVLYENKNHFTNVGEELIEIIKSLFIHDMSM >cds-PLY71334.1 pep primary_assembly:Lsat_Salinas_v7:7:185000178:185001455:1 gene:gene-LSAT_7X111181 transcript:rna-gnl|WGS:NBSK|LSAT_7X111181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIWKKGPIIGRGSSATVSLATTVTGDLFAVKSVELSSSQFLQKEQEYLSKLKSPHVIDYIGFDVDYDDNIPMYNLFMEYAPGGTISDVIKKQGGCLDEGLIRSYTRQILLGLNYLHSNNLVHCDIKCQNVLVANDGVKIGDLGCAKLAEIGGVASSVFSGTPVFMAPEVARGEQQGFAADVWAVGCAVIEMATGSNPWPDLNNPVSALYRIGYSGDLPLFPTSLSVEAKDFLNKCLRTNVEERWTANRLLQHPFVKSNSDFQKIQNFPKNSPSSILDQGFWDCFEASEPSPPPTRFMNFSGESPIVRIGQLIEGTPSRLPIWVDEEEWMTVRTNQIDNEELEEDNTESTRMNSFSEEAFFSRPVRTNLESSVVEMSGASVVVSRFLDCKNMNNNNNCFLIDSKLSYSLLALTVFWFNFWYIILVF >cds-PLY79436.1 pep primary_assembly:Lsat_Salinas_v7:3:80524608:80526080:1 gene:gene-LSAT_3X62760 transcript:rna-gnl|WGS:NBSK|LSAT_3X62760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRETRSMQKRKNAKDKKETKVPKKIKHQSRKSPSKRKTPEKQQLQDSDSDSESTHPSKEAKKKMSHQSKKKKKPMVKEFYSMKNRCSPEALLSVILGMSKEKKELERDFGNSPYRLFLKVGVSTAYLTSPLSDERKYEKFKDNFHDSTNGSSHIFVIVFNLNKPSIEILDNSAVEGDYEGKYGVILKPLKNLFVRYFKEINHRRANAISKESIKPQRLEMSWRTVKNKVDCGVFAMRHMETYMGQPLSKWKPGLHKESAVQQTTLEKLRQRYAHIMLTSEINMLKAKVLDLAEKYQKVEFKVRTDHA >cds-PLY75672.1 pep primary_assembly:Lsat_Salinas_v7:1:100077940:100081371:1 gene:gene-LSAT_1X81560 transcript:rna-gnl|WGS:NBSK|LSAT_1X81560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSNFLLFSPTLVIITLFLLQNFVYASLEEAHALLKWKASLQIPNNSSVSSWLPLPMNPSASVPCTSWVGVVCNADGNIQKLNLSSSGLNGTLHQFSFSLLHNLTHFDLSVNNFVGPIPTEIRILSKLVYLDLKKNKFSGVIPPEIGNMVSLELLSLSSNNLSGSIPSSFGSLTSLNVLTLHQNQLFGSIPIELGNLKSLTKLAMSENQLSGSIPSSLANLSNLRFLYLYDNKLSGLIPTEFGNLKSLIILSANTNFLNGSIPSSLANLSNLQYLYLDENKLSGLIPIELGNLKSLIELEVSNNQLTGSIPSSLANLSNLQYMRLYKNKLSGLIPIELGNLKSLSILELSHNQLSGSIPSSLANLSNLQYLHLYENKLAGIIPIELGNLKNLMHLVVNNNQLSGSIPSSLGNLSNLQLLYLYENRLSGPIPVELGNLKSLSDLEVSENQLSGFIPSSLGNLTNLQWLYLKDNNFSGPIPMELENLKSLIDLVVSRNQLSGSIPSSLGDLTSINHLYLQQNQLSGPIPIELMNLKCLIDLKVSHNQLSGSIPQEFGNLTQLQRLDLSLNHLVGEIPKEFGKMKSMLYLYLSDNQLSGTIPLELGFYELLEVLDLSRNRLNGSIPKSIGQWAQIHYLNLSNNKLSEKIPYEIGKLKQLTELDLSHNSLMEQIPSEVQSLQNLEKLDLSYNRLSGSIPNAFANLPRGIDINLAYNELSGLVPRYAVFVNASIQLLQGNPGLCGDVTGLKLCASQIIMKKNHPFHHRVILVVMLPLLGAALLGFFMCRLIAYQQQKKKVPQKGLDEESGDYFFIKSLGGRVVYDDILMGTNNFNEAYCIGTGGYSIVYKVELKPNNVVAVKKLHSSPENVDRNGFLNEVRALTNIRHRNIVKLYGYCFHAHHSFLIYEYLEKGSLGSILRSDVLVKELDWLKRVNIVKAVANGLAYMHHNCSPPIIHRDISIANILLDSDYEAHISDFGTSKLLKLDSSNWTAIAGTYGYIAPELPYTMIATEKCDVYSFGVVALEVIMGKHPGELITSLPTLSVDYLVPGIIGDSRIPPPSSQVEKQLKSVLSLSRACLKSNPHERPTMHRVSNMLMKDQL >cds-PLY70791.1 pep primary_assembly:Lsat_Salinas_v7:3:136156531:136159056:-1 gene:gene-LSAT_3X90861 transcript:rna-gnl|WGS:NBSK|LSAT_3X90861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAQRMGSQMMPTPGFNNSNTNQSYMKTDMSKNVVGLSTIDSTMVSQPVNQKQQVGGQNSRILHSLGSHMGGGIRSTLQHKAYVFSNGSINNGLGMMPNNSPRMNASGTSESYVTPSHYGNMNVHQQQMSQGFVEKSNIVVLPCLLPSMAVIIVSHLTIRFNSKICGVICKKNSTWMTLAARFVVVIQTPWSSPCDCPQHCILASSSPTLGLNLIGEGTKSQFRIARAHFCSSSVLEIGSDPVYSIEHLREENDAVILAVGATKPRDLPFPGRELSGVHFAMEFLHANTKSLLDSNLEDGNYMRGTKRSALYSHLFSNYNNILPFS >cds-PLY91254.1 pep primary_assembly:Lsat_Salinas_v7:3:78200519:78203554:-1 gene:gene-LSAT_3X60121 transcript:rna-gnl|WGS:NBSK|LSAT_3X60121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSPVPYLASASLDEGNALLKWKESLQIPHNSLLSSWLPLPMNSSASVQCTSWYGVVCNSDWRVHRLNLTRSGLKGTLHQFSFSLLHNLTHLDLSVNNFFGPIPPEIRFLSKLVYLNISFNTFSGVIPREIGNLHQLTDLDFDKNNLTGSVPQEIGNMVSLKFLSISVNNLSGLIPSTLGNLTSLNTLFLFYNQLYGHIPNELGNLKSLTELALSLNQLSGSIPSSLANLSNLQRLFLGANTFSGSIPQGLGRLDLIKLELDDNQLSGNLPDDLCHGGMLQKLTVSGNQLTGPIPRGLLNCTSLIRVRFDHNQLSGNISNGFGVYPSLAYLDISHNHFHGELSKNWSKCKNLTVLNMGYNSISGYIPLEFGSATQLRKLNLSSNHLIGEIPKELGKMTSMLYLSLADNTLSGIIPPELGSLHDLLAIDLSSNRLNGSIPRSIGNWTYIYNLNLSNNMLSEKIPSEIGKLVQLTELDLSQNLFKEEIPSEVQSLQSLQKLNLSHNRLSGSIPDAIKSLPRGTDIDLSYNELSGPVPTNTNFVNVSIEGNPHLCGNFTGLKFCASQISNKKNDPFHHQLILVIMLPLIGAILLGFFMCGLIAYQKRKSHSSQKPLDEKGRDYFFITSFDGGVAHDDILKATNNFDEAYCIGTGGYGTVYKAEIQPNNVVAVKKLHSSSSENVDHNGFLNEIRALTNIRHRNIVKLYGYCSHTRHSFLIYEYLEKGCIGSILRSDVLAKELDWLKRVNIVKAIANGLAYMHHDCSPPLIHRDISIGNILLDSDYEAHISDFGTSKLLKLDSSNWTAIAGTYGYIAPELAYTMVATEKCDVYSFGIVALEVIMGKHPSELPTLSADYLVLENVGDSRIPLPSPQAEKQVKLVLSLSRACLNSNPNGRPTMRQVSNLLMKDLL >cds-PLY91363.1 pep primary_assembly:Lsat_Salinas_v7:8:47015048:47017909:-1 gene:gene-LSAT_8X35940 transcript:rna-gnl|WGS:NBSK|LSAT_8X35940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADALAVHLAELLKLLESQDHMEDIIEAFNVLMNYLKEMMRGYENNEMYVLFTKIEQWKTSIRHWYYFEPESTPKDMIIENCEKLCDIRFSSNIDGDEIVVGFDDEVQTLLDQLTRTSTKHFQVISITGMAGLGKTTLARKLYKNPLIEYMFDIRAWTCVSQVYSKRDLLLGILRSFIHDCIDETYTMRDEQLGEKLYRLLKGRKYLVVFDDIWDCMAWNDLKIYFPDDKVGSRVVFTSRDIDVSLHVRASITAHILRLRTENESWDIFQKNVFRIGICPSWLEVVGREIAKKCQGLPLAIVITAGCLQNKWSLFSWIEVSRNLHSLMVRDPSQYMDSLVLSYENLPPHLRHSFLFLGVFPEDYEIPVTKLIWLWIAQGLIHPIGNRVVEDIADGFLRELIKRSLLMTTKKTANGQIKACRIHDLLRDLCLRKAKEECFLPQIYMRVPSQRPSLVPAIHLSWAMFKLLDQLVSERYESLMILDMESVPISIFPSGVSQLSNLRYLAIQARDGSPEASISKLVNLQMLIILSRKNIVMPKTIWDMANLRHICIKGGENLIEEKNGCCSGLGSLQTLSQVSPHSCHNIFSRTPNLRKLGFCGPLISNLGDLEFPNIRSLKHLQKLKLSNTIPYPELTRSCNPLMFPSNLKKLTLSNIGLDWDETWTFAWLPNLEVLKLRVHACVGEKWETSDTVFQRLRVLKLHDLDLRQWVSSCDTFPRLQCLVVRHCLNLESIPMGLGKILTLDMIEVSGCSSSAHYSALEIQKKQEQEGNCFLKVNATNNVSGSRSEDLTEQPTEF >cds-PLY93381.1 pep primary_assembly:Lsat_Salinas_v7:9:62232605:62233564:-1 gene:gene-LSAT_9X53921 transcript:rna-gnl|WGS:NBSK|LSAT_9X53921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMNSYNNVNGYNYDGYGYGNGYGYGCGYGYGSSPPEMEGGGESSGTSEEVMLASSHPKKRAGRKKVQETRHPVYRGVRQRSSGKWVCEVREPYKQSRIWLGTFPTAEMAARAHDVAALALRGRSACLNFADSAWRLPTPASLDPNDIRKAAVEAAERFRQSEDGNGDQSAEGVGVEMGYGGNVGYIDEEEEFGMPGLIVNMAEGMMLPPPPSYAGGEEAEYGGDMSLWSY >cds-PLY89237.1 pep primary_assembly:Lsat_Salinas_v7:5:311766788:311767144:1 gene:gene-LSAT_5X169241 transcript:rna-gnl|WGS:NBSK|LSAT_5X169241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHCMDKKWKLSKEDPCNHSSKSSSLLRSISQKTSTKSPLLRSFSQKSSSTNSKSSFFSRSSSQKNKCAPSDLSRSSSQKCANFTRKCGSLAKEQKARFYIVKRCVTMLVCWKKHGDS >cds-PLY77546.1 pep primary_assembly:Lsat_Salinas_v7:2:163930645:163934556:-1 gene:gene-LSAT_2X86861 transcript:rna-gnl|WGS:NBSK|LSAT_2X86861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSCKLSLRKYDVFLSFRGEDTRKTFVDHIYSALHQKGIVTFQDGEKLERGKSIRPELLKAIGESSIAIIVFSKNYASSSWCLDELVEIIKQHKETNQIVYPVFYGVDPSEVRKQEGLFGEGFAIHEGGKVEVWRDALVEAANLSGWDTKAIVNGHEAECVKAIIKHIQGSLYHKYSIIEEKLIGIIPRVEKVKSLLRMELGEVRMIGIWGMGGSGKTTIARYVFKELSHHFDNTRFVENVREIAEKSGLHSVQQELLLSMDRNLDMHQGTQTIRKTFSRQKVLIVLDDVDELSQLDALAGDCTWFGEGSRIIITTRNERLLLAHGINEDIYNVDLLNTDEALQLFSLYAFRMNTPTKDFEQHAHLVVQYAAGHPLTLKVLGNSLRGRDMKKWITTLDRLKEIPKTETLETLKVSFDALEDIEKEIFLDIACFFKGWHSVEVYKILDCCGFDTEKWVSDLVQRALVSISSERLDMHDMIQEMGQYIVCGKSYKDPQKHSRLWKPQQVLDVLVANKGTEEIQAIVVEGFYSAESLNFSLAFKNMTNLRLLHLDTKGMHFIGPEYLPSSLQYLNWKNYTANSLPSSFGSGNLVGIHMHSSSLVELWKGVKYLHNLKFIDCQESKKLTQTPNFTGIPNLERLELSGCSSLVKVHESIGCLENLKSLDLSNCYELKSFPSYIMMKSLETLSLRHCNNLRKFPEIQGKMDRLSHIYLHHTAIEQLPESILNITNLSVLSLLGCINLTSLPNTISMLQSLTYLDVRRCIKLATLPKDLGNIASLEHLLVSTDTQLPSSIINLKNLKTFSAVEPPSSIPHKKGLLDWFFEHKNSKKNSNLSRHSLYQVLPSLSSLTSLKELGLCNLHEGMTLPVDIGTLSSLEYLILCDNQFTKLPFSISQLSVLKRLDVSNCPRLEELPELPPTLALLFANNCKSLRTITSLSSTLRQVSFLGCRRLEDQHTVLHKALQGYNVMKHQTSVLLPGIEIPKWFQSERTGIGKTVIELPGNWNNVITGISVCVVADLMGLTRPISLTLSTKQIPFTNRNGMCHSDRLHLWMEYVSFDLLQCSYEGILRDDWITTTPGLILTVSTETSGVKICGVRFVYKDVNLEETSSVDSCVMKKESKFLRCFKEMEFDGVTYSLQPGVKYVSDGDATFDRLSWNEKHECYRSGRSLIKVGMNILD >cds-PLY87294.1 pep primary_assembly:Lsat_Salinas_v7:4:331780748:331781528:1 gene:gene-LSAT_4X164580 transcript:rna-gnl|WGS:NBSK|LSAT_4X164580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEARGGGGPCNTSTGKKKTDQKSYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVYYLRGPSARLNFPELLASDGLLGELSAASIRKKAIEVGARVDAETSCKSLHMSGTQEPTFELKPCSCGFREKPDLNKEPEPEDPDGDYS >cds-PLY70282.1 pep primary_assembly:Lsat_Salinas_v7:2:90464374:90466356:-1 gene:gene-LSAT_2X39061 transcript:rna-gnl|WGS:NBSK|LSAT_2X39061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDGIPKYLGNLSNLEVLKLENNSAVIKFPDFLKNLSGCSSLTLAWLYASRSHFTGSLSDDIQNFSSLEFLYLSENQLNGTISEKLWELPMLGIVDVSFNYLRGGISEKIGNSKIFVIDLSRNSLDGVPSTDNISSLSYVEHIDLSSCKLGPRFPKWIQTLKNLTNLDISNTGISDTIPLEFWNMWPSRLHHLNLSSNNISGKVPDLLSSFDDNPVIDLSSNRFYGPIPNFPSTLKSLNLSRNKFFGGISFLCQLVHGYLEFLDLSHNFLAEQLPDCLWHFKELKVLNLGYNNLFGRLPTSIESLFKLEVLYLYNNDFSGELPLSLKNCTSLTSLNLGVNKFSGNVPIWIGENLSGLYVLILRSNNFFGTIPSQLCQLAYLQVLDMSMNNLHGIIPSCLDNLTSMVQEGFSEKQNIQKYSSTKNTTGVVNLAYNGRYVDNAMIKWQGDEREFINNLRLLKSIDLSSNNLTGQIPYELTNLFELLALNLSHNALFGEIPWKIGQMKKLLTLDLSRNNFSGGIPISMSQVTLLSYLDVSFNNLSGRIPSSTQLQSFEPSRYNGNKELCGPPITKICPGDEELEVPPVIGESEGGGNGISELWRWFYIGGVTGFATGFWIACGALLVNNRGRHAFFHFLDSFKDQVYVNVVVFVVKLQRVVRA >cds-PLY65803.1 pep primary_assembly:Lsat_Salinas_v7:5:270892548:270893126:-1 gene:gene-LSAT_5X141381 transcript:rna-gnl|WGS:NBSK|LSAT_5X141381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSRLNGLTSLNLTLHLSFAQLLHSRSSSLTFTHMLHSRYRSKEDLREYAVHPEHVHVVNENKLIIDDVMPIDWMSNGASVSPKPGSAMRVTFLKLKENLGENEKARVLEVIGGIKDQFQAIEQLSLGKNFSHERAKGFTIASIAVLPRQADLEALDSNSEIVNSQKEKVGDSIESVVVVDYLIPHPQVANL >cds-PLY78552.1 pep primary_assembly:Lsat_Salinas_v7:1:103794103:103805129:1 gene:gene-LSAT_1X83801 transcript:rna-gnl|WGS:NBSK|LSAT_1X83801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRDRGGPSSRSEMVGGGGAGPLDRKRINDALDKHLEKTSSPSTSRVLNTSNKEKEKFSMPSTSTAGGGKSFHLDNRSSSTIANTKSKCSDEESETASEESEVSGSDEDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYFDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTTKGMSAMLEKYKHYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNFGLLKLREKEDMLSYSNMAPEWVKSDHVTPEGRCLQFWNGFVGDCDRGS >cds-PLY82307.1 pep primary_assembly:Lsat_Salinas_v7:1:67097937:67099621:1 gene:gene-LSAT_1X57120 transcript:rna-gnl|WGS:NBSK|LSAT_1X57120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACSSASAALLSSTNPRNSSYISPKSSSNLSQTLSFSNSSSINFRSKSLRSNLPARASTTRHSGFVVKAGLPLVGNVAPDFEAEAVFDQEFIKVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRHAEFDKINTEILGVSVDSVFSHLAWVQTDRKSGGLGDLNYPLVSDVTKSISKAFNVLIEDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETLRTLQALQFVQENPDEVCPAGWKPGEKSMKPDPKLSKEYFAAV >cds-PLY98822.1 pep primary_assembly:Lsat_Salinas_v7:7:26285632:26285973:1 gene:gene-LSAT_7X20060 transcript:rna-gnl|WGS:NBSK|LSAT_7X20060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVIERLVWMNTCSKKQCRSLLWRMRAAMKKAVKKKHTFFVAFYGGPKRQFNFQYDPSSYALNFDDGTHYHYHHNVVEHHKITEVPLPKQQQESHPSSTTTSAWVYVIWVGSF >cds-PLY81337.1 pep primary_assembly:Lsat_Salinas_v7:4:37580523:37581262:1 gene:gene-LSAT_4X25020 transcript:rna-gnl|WGS:NBSK|LSAT_4X25020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPEKLTITVDDILNQIVSAVTNFFQYLTGAFNKDFPPDTPRPWFDVAAPYLIAAAAFITCLCVSHCLFWCISAVLIRCFNALCSLGRCLCCLRRSDSDEYMMMIAPGRSPLMLPRAAFEANPRGYFRDLRGQPNNFSYSV >cds-PLY87917.1 pep primary_assembly:Lsat_Salinas_v7:4:33438608:33439851:1 gene:gene-LSAT_4X21960 transcript:rna-gnl|WGS:NBSK|LSAT_4X21960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPHHSLRTIYPYIIILILSLNSMGCKAQLSPTFYDSTCPNALTVIRTSVRTAVSRQRRMAALLIRLHFHDCFVQGCDASILLNDTPTTERTTFSNVGVGGYEVIDAAKSAVENICPGIVSCADILAVAARDASVSVGGPSWSVGLGRRDSTAAYPSQADSDLPRADQDLQSLISDFQVKGLSERDMVALSGAHTIGQSRCVAFRGRIHGNGSSDIDANFATTRRRNCPLTRGEGDDNMAPLDLVTPNSFDNNYFRNLVQRRGLLQSDQVLFNGGSSDSIVLEYSNSPSRFASDFAAAMXTEHMD >cds-PLY73199.1 pep primary_assembly:Lsat_Salinas_v7:4:263535787:263537808:1 gene:gene-LSAT_4X136781 transcript:rna-gnl|WGS:NBSK|LSAT_4X136781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRFPAKSDYEDMRNARISENQARLASLGLQKTISELRLITTPAKSPKRKWQKVDYSSVPLRRSNRLKQVNPNSSDASISVGRSLRFLADPDTELSDGDVSEEDEKRPANAPLVDWRLCRSAEVSSERRCMSKGRGSVYDPVFGICCHFCRQKKLCGEEDCKRCNDLDVDQPCLGKTDCSVCHSTNGVLCRACLKIRYGEEMEEVRNNKQWKCPHCIEEEGSKPYWICNSSFCLKKRKMVPTGIAIYRAREMGYESVAHLLMDELQRKDKR >cds-PLY88511.1 pep primary_assembly:Lsat_Salinas_v7:2:155078384:155081053:1 gene:gene-LSAT_2X79441 transcript:rna-gnl|WGS:NBSK|LSAT_2X79441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKGVELTPELQEILNANMDCVQERRTAREAFKDIQLNIDHILFNTKYEGLKTKESYETNSKGIEIFSKSWFPGAQPPKAVICYCHGYGDTCTFFFEGIARKFASCGYAVFAMDLPGLGLSEGLHCYIPSFDALVDDVVEHYSKVLENPEIRDLPRFLLGQSMGGAVALKVHLKQPTFWTGAVLVAPMCKIADDVVPPWAVTKFLIGMAKVMPKMKLVPQKDLGDMAFRDPKKKHLPNYNVIGYKDKPRLGTAMELLKTTQEIESQLENVSLPLLILHGKADMVTDPSVSKALHEKAKSPDKKYNLYDDAWHSLMDGEPDEIITRVLTDIITWLDEHTTKK >cds-PLY89854.1 pep primary_assembly:Lsat_Salinas_v7:4:324117350:324121740:1 gene:gene-LSAT_4X160760 transcript:rna-gnl|WGS:NBSK|LSAT_4X160760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENYLNENFGGVKPKHSSEEVLQRWRDMCGLVKNPKRRFRFTANLSKRSEAAAMRRTNQKLRVAVLVSKAAFQFAKFAEPSDHTLPDEYKAAGFDISADEAGALVENHNPEKLKFHGGVHGLAKKLKTCTINGLAMDDKDLSCRQELFGTNEFTEREQRSFWVFVWEALQDMTLMVLAACAIVSLIVGIATEGWPKGSHDGLGIVASILLVVFVTATSDYRQSLQFRDLDKEKKKISIQVTRNGYRQKLSIYELLPGDLVHLAIGDQVPADGVFVSGFSVSIDESSLTGESNPVKVNSENPFLMSGTKVQDGSCKMLVATVGMRTQWGKLMATLSEGGNDETPLQVKLNGVATIIGKIGLFFAIVTFTVLVQKLFSTKLIEGTQWNWSGDDALMLLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRNLAACETMGSATTICSDKTGTLTTNHMTVVKSFICMKTSTNDIPTTALNILRQSIFTNTGGEVVSNKDGKREILGSPTDTAILEFGLSIGGDFETERQVAKIIRVEPFNSTKKQMGVVVELPGGGARAHCKGASEIVLGNCDKLINENGEVVNLDEESLTLLKKKIDEFADEALRTLCLAYIDLESGNSGESPIPSSGYTCIGIVGIKDPVRAGVKESVALCRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPEFREKSLEELMVLIPKIQVMARSSPLDKHTLVRHLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNIVALIVNFTSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRAPVGRKGNFISNVMWRNIFGQAVYQFAVIWMLQSKGKSFFELNGDDSDLVLNTLIFNSFVFCQLFNEVNSREMEKEDVLDGIWKNKVFVSVIAATAVFQVIIIECLGTFANTSPLTAKQWFFSVFTGFLSMPIAKYLKRVPV >cds-PLY78930.1 pep primary_assembly:Lsat_Salinas_v7:8:2780268:2787245:1 gene:gene-LSAT_8X620 transcript:rna-gnl|WGS:NBSK|LSAT_8X620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQVDTGSDLLWVNGVGCQKCPRKSDHGASRPLYDPKASTTAKIVSCDQGICSSILKGATGNCKVGTRCSYSVNYGDGSSATGYFVRDIVQLDRVSGDLQTTSMNGSIAFGYGSQQSGELGSSEQPLDGMLGFGQADSSMLSQLASAKKVKKIFSHCLDGSKGGGIFTIGEVVYPKVKTTSMSHTEHYNVELKEIQVGDDVLQLPKDFFGVGTNQGTIIDSGTTLAYLPDLAYKQLIKKINAAQPNLKSHIVDQQFTCYKYSGNVEKGFPVVTFHFKNSLSMKVYPHQYLFEVEDQEWCIGFQDSNLQTKDGKEITLLGDVVLTDKLVTYDVEKKTIGWIEHKCSSTIKVKDEESRKVYTVRGDKLSHSSRVHTSSGISIACFFFVMSITFNNPRLN >cds-PLY79151.1 pep primary_assembly:Lsat_Salinas_v7:4:218155302:218155553:1 gene:gene-LSAT_4X122640 transcript:rna-gnl|WGS:NBSK|LSAT_4X122640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAYVAPDAFFGFFTSNRDIEYMSPLPPIKLRNDCYPSAFPCEYAPISHNVKLLKRPLSANPTDSPTPLNWIPRCSSHRLLRV >cds-PLY69944.1 pep primary_assembly:Lsat_Salinas_v7:4:68567366:68573048:-1 gene:gene-LSAT_4X47640 transcript:rna-gnl|WGS:NBSK|LSAT_4X47640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENSSIPGILEKVGLINSTDNLSGPAVSGTSLLGDNETQSSLSSPSLSATETQQKRHKNRFAVGTPDYFAPEILLGTGHGTSDDWWSVGLILFDLIVGIPLFIAEYPQVKQHLYFRDIKWDTLARQKVAFVPSSESALDTSYFTSRYTWNNSEHMRNIVLSAFPRNMRQPDPSTPNLKIDLLAEISRSPRILSEVDASLKTKRMKNDVDEYLKEAIQEHITTVLLERLIVNRPHPCGLLITLVEHIKVCTGD >cds-PLY74589.1 pep primary_assembly:Lsat_Salinas_v7:7:35166573:35167897:1 gene:gene-LSAT_7X26920 transcript:rna-gnl|WGS:NBSK|LSAT_7X26920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSDKAPDVMPVGDGSVPPGKPMTVGDKVVDKSAGMLQTLKPIKQISQHVCTFSVYSHDMSRQIEAHHYITRLNQDFLQCAVYDSDDSSARLIGVEYIVSDRIFDTLPTDEQKLWHSHDHEVTSGLWVNPRVPEMLHRTELENIAHTYGKFWCTWQVDRGDRLPLGAPALMMSPQVVNMGVVQPELVLVRDNKYKIKTDELRKSRADIPVSKPGNARASDFWMQNGKGYAIDIEPTEMKRLEGPKFP >cds-PLY63874.1 pep primary_assembly:Lsat_Salinas_v7:1:125530519:125532747:-1 gene:gene-LSAT_1X94380 transcript:rna-gnl|WGS:NBSK|LSAT_1X94380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGQKEASNLRDEIVGPGRGVLERSNLMLQDEELPTYETMESLSPYQSHMRKVSSLNHDEEDFEEEEHEVDSFRDENIGSTFHVGEDSQQFITKGGCVVRDHAKFDGTTWKNQPDLLKYDIITKCTENSTYDPSCRSMVRAIDTQLANQHKNRQYRLHLHFKKFSTKEEAINHPPNGVINVDWVKLYQKFASEEFQKVSIRNKKNKSFNQVPPAVDTISIARIVDMVSYFFNLNLSLHCQSFLPFENIKKKEGEEVSFIERYKIGHFSKKKNQMVNEMAGEIWNELLNEEASSSCSPAEICMKKLHRIPGYIKGRSVSTKQVLGTEKLQMDLELEKEKSKAVEEEIPVIKEEQLQFQEEQIKYREEQNKKMEFMMSELSRLSQLH >cds-PLY83741.1 pep primary_assembly:Lsat_Salinas_v7:4:41491832:41493411:-1 gene:gene-LSAT_4X27720 transcript:rna-gnl|WGS:NBSK|LSAT_4X27720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTPVSLDHHDDQHLQDLIESARPFLRDKPESVDTKLPALLAILRSAGAGECWHRLGTFLDHLYHVYRILKLWNAPDSVCLFGLFHSVYSNSYHDLAIFDPVTDRETVRNHVGPVAERFIHLFCVVPRHPLIHDDLVFRYTDSELREHLQASEQSLRDVKDNGCWNREERWRKKLQTIVPATGIKVKHIRTGEPVVVPRRVVAVFLLMTIADFGDQYYGYQDALYDNTDGRLEFIGNSNFYTLWPGNGKPGLWMNLISKMAAIYTLLVREEEMYAEERRRADGHVNGIVADNRDEDIELVIPPVFERCTKILDPSEQIVARDLYWEAVNDEGSKKEKGEEMLLKCIEKNPFVGEPHVLLSQFYLSRGRFEEGEREAEKGLSLLLEWGCPWDKRISWEGWVSWGRVLLSKAKERSWPHTSWGIISLGLVK >cds-PLY70864.1 pep primary_assembly:Lsat_Salinas_v7:9:16499119:16499316:-1 gene:gene-LSAT_9X17801 transcript:rna-gnl|WGS:NBSK|LSAT_9X17801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMEEEKEGSSIAMVVVDMMPTVLEIKWRKRWFFYGVVSVTAKIMVMATMSRVYGDNEGEGDEMW >cds-PLY82309.1 pep primary_assembly:Lsat_Salinas_v7:1:68229445:68230471:-1 gene:gene-LSAT_1X60041 transcript:rna-gnl|WGS:NBSK|LSAT_1X60041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARGFRKKGTIHLTTYLRTYHVGDYVDIKVNGAIHKGMPHKFYHGRTGQVWNVTKRAIGVEMNKQVGNRIIKKRIHVRVEHVMPSRCTEEFKQRVKKNDVLKAEAKAKGVVISTKRQPLGPKPGFMVEGTTLETVTPIPYDVVNDLKGGY >cds-PLY77919.1 pep primary_assembly:Lsat_Salinas_v7:1:23635468:23636547:1 gene:gene-LSAT_1X19921 transcript:rna-gnl|WGS:NBSK|LSAT_1X19921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPTMDFDFSSSSCTGGYYTSAPSSPTRLTELYCGFDELLISAGTHRSSSLATVPFAWEEKPGVPKASANLFEDDFSFDVSFHLPRDSISAEDLFHGGVIKTGDPPREKTTERERGRERGVSPSSSRLPSSRSRRTRSMSPIGGSDNRRQQQPIAMDTKPPSISVSSSTPDCSSENGSRKWSFRDLFLFRSASDGRAMDKYPLKKYSTIFRKQDQDFSNSSKSGSISKRRGQVSAHELHYNMNRAVANDMKKKTYLPYKQGILGGLSFNA >cds-PLY99487.1 pep primary_assembly:Lsat_Salinas_v7:4:58132438:58133951:1 gene:gene-LSAT_4X38780 transcript:rna-gnl|WGS:NBSK|LSAT_4X38780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPEDLNDDDRISRLHDDLIHHIYSFMDTRFAVQTSLLSRRWRETWKSYRFLNFKIERPIDHKTGIKFPNFIHRFFSDRDHKSEITTIDFQSNSIKLSNLKETIIYAMSHRTQKVNIEFLSDKLTRRGGLDISLFRSQFLQDLHLSIDFELMISPSLTWDLPSLTSLNLEGVTFTLNPPPPPTDSKCKSIDLFSHFPNLKTLVLIGCRLSNIDTFIITSNSLHNLSLIDLNHNSEFILTAPNLSSFTYHGMARFSLSVKNLDSLQTVNFHTIYYRALQKQPELVELMIKAFEQLHKAKFLAINSDVVRFLSVFPEVVERRRCPFRSLTSLTLVEHRLPPSSIVFSDVIDYFRSSSPGVKVNVEIGVAASWKICWVDCL >cds-PLY92856.1 pep primary_assembly:Lsat_Salinas_v7:5:328217746:328220669:-1 gene:gene-LSAT_5X182440 transcript:rna-gnl|WGS:NBSK|LSAT_5X182440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIIAFVEHQVCSFILISITNHKLLVEYFFLNFFTYDFILGAFLIINPSWNNPSGDWHVGYKPVYELLTDTLITCLKQKERKKKWDEKNQETIVEAVKQLEQFDKNYEMERPLAPKALRVYSPYWLTIVRCPPITFRLVDMSAKKAKRNPFKLKKTNEVILEEITEEEFHEGYIITSTLNFKLLDQLASIIENGNDHFGDVIDLSPLADMDGLLGVFAYDANKNCMQILVSSKATPYQVVPTKVISVRPFMTFTNRLGQDIYLKLSSKDEPKLLQAYDVRVSFVYCETDQHSKLQIRAEGT >cds-PLY97719.1 pep primary_assembly:Lsat_Salinas_v7:8:6164365:6166743:1 gene:gene-LSAT_8X5401 transcript:rna-gnl|WGS:NBSK|LSAT_8X5401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRWSLGFGKNCKFPCNHHSNLGFRHNQRYSLVNVKLKWVKDNVLDSVVTGEKELRAAWVILSVIAADPGCCLPIYRLSGRRRGQLGLPEDLKVSTFMRRYPNIFDEFHLPDTGGTLVPWFRLTPDAIRLNQESMAVFQQNQKDLLDRIIKLLLLTKDTILPLHTIDQLKWDLGLPYDYLDSFISKYENMFSLIPLPHNRLALKLISPPNELPLSQLETNAEVDDVKNGRLSFNVGFPRGFGLNRKSMKWLEEWQRLPYTSPYSDSSHLDRRTDVSEKRIVGVFHELLHLTIEKKTDRRNVSNLRNPLNLPQKFTKVFERHPGIFYISKKNNTQTVVLREAYDRHRLVQKHPISEIRERFRCLMKEGFLDKSRGLYKKQTAANSNSGEDVCENGFESEGHMFSDYESDETAHLFRLCE >cds-PLY83837.1 pep primary_assembly:Lsat_Salinas_v7:3:51530453:51531202:1 gene:gene-LSAT_3X40481 transcript:rna-gnl|WGS:NBSK|LSAT_3X40481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSRAWMVAGAVGVVEALKDQGFARWNTTIRTIHHHAKSNLRSIAQAKILTSPAAMASSRDVEEKAKQSEESLRKVMYLSCWGPN >cds-PLY84696.1 pep primary_assembly:Lsat_Salinas_v7:2:150633953:150635723:1 gene:gene-LSAT_2X78761 transcript:rna-gnl|WGS:NBSK|LSAT_2X78761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSDKVRASHILIKHEGSRRKASWKDPEGRIISNTTRDAAVSQLKTLRDDIVSGKSKFEDVASRYSDCSSAKRGGDLGSFGRKQMQKPFEEATYALKVGEISDIVDTDSGVHIIKRTG >cds-PLY63899.1 pep primary_assembly:Lsat_Salinas_v7:9:69433543:69435893:-1 gene:gene-LSAT_9X58420 transcript:rna-gnl|WGS:NBSK|LSAT_9X58420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHTAEQDQEHEVYGGEIPDEGEMDTDFEMSRDEAEDVDNNSSKDLEDMKKRLKEIEEEAGALREMQAKVEKEMGSVQEDPSGATATQAEKEEADARSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVELESVQNSLLLNESELHGRQLKVAAKRTNVPGMKQFRGRRPSPYLGFRSRRPYMAGPPMFPPYGYGRIPRARRPMWYRPY >cds-PLY84493.1 pep primary_assembly:Lsat_Salinas_v7:1:28934012:28934167:-1 gene:gene-LSAT_1X24461 transcript:rna-gnl|WGS:NBSK|LSAT_1X24461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPKCVLRLIFALDISTDGGEIRSQEKISFPDGFHFESNLNPETTMIHTKF >cds-PLY90576.1 pep primary_assembly:Lsat_Salinas_v7:6:51429713:51432009:-1 gene:gene-LSAT_6X37381 transcript:rna-gnl|WGS:NBSK|LSAT_6X37381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKIDKGGGCKQQAETTTDLFLRWGNKKRLRCVRVREPDDTADTSFAVSGRRRIRRKINSHFVTFSSDNENREPSLQPPSTRLTRTAEASTTLRSESNRKSSSDKEDKYTTRGCAAAGMVEKPKVSSLDGGGGVDEGCCKSKHVWPKLYIALTSKEKEEDFMAMKGCKLPHRPKKRAKIIQRTLLLVSPGAWLTDMCQERYEVREKKSTKKKPTGLKAMGSMESDSE >cds-PLY73441.1 pep primary_assembly:Lsat_Salinas_v7:4:179385054:179393723:-1 gene:gene-LSAT_4X106301 transcript:rna-gnl|WGS:NBSK|LSAT_4X106301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKKIVTRRELLDRWRTIEEEDDEENVFDHSKQRHIQHLKEIWFSDAFNFLIYLSKDNHIWCGNWDIMGPLLETFYNYFKDDRQDSPLKLLWKRISEELQDCMQCICQHHQAKDTYAMEYELSSIGPLLDVLCRLDEERVTNQLKEMNTRIGSGKYDAVKDSAKVITVMYEVLMFPVLLDDQSLVNEFQTFIEAVDDSHELTLAGHQQYPGVYALLFLKTRRCRSIGFRLAGYMGKLRNAADLEPLQPLLKKCISFLETEAIPVNSESSRPRMQLDRITVWLGIKALLGFLDPHAFEEGILERHPSFLNIVLNHICDDSLEFSYAINCLRLLFDMLGCKLWLRATLSPSVMRDTLLGQCFHTKDEKSHKEIFDLFPPFLQACFSVFSLEALQDEEHKKQRRHFLYFLLHQVTVSSNFSNLMRKKACQIALLIIHRGYKMNPSCPPFECAHMWGPSLLASLKDSSLYSSLRQPALDLIQTIIVSDASALVSSILHCQIHKTVDRNIIDFKDEDENEGLFADDTEEKDTSCWNGFSQQSQTTSSEYGGWMCIPMLWFDVLVEIDPMILPISFSKAVLWGLSRFSMVEPETIADMALPVGNWLTTRAPEVSCLFGWKAPSGSDDGGDGKESKNSVKVSTMCIPLIRTFRRFTSYFITRMEQGELQKQWSWEPRMAESLILLLVDPDDNARQVGRRILEQVSNTRGLLSCLQFLCSCSASISAVFLGLRHARELLLLDSVLQRFQSLHHFFFVLCKILTEGVQSGKSDGNSNLKFSSQGGFLQQPTFESMDASIDGNLSKFNSEHWKKFGCLLSEVAWPSFCICLSEGKTFIDYKISQMTCVRILECLPVILQRLQQSMNRIPGDPDILVSKSVGYKWLHDLMYWGKSTLAVVIRYWKQAVLSLLDLLKESCNDKTSDIMAIEKLIQSEDIPVDELTSQISCLSVSLKNAYGETNFNSTLLNRRNSSSEKVEALDLEILDSDFSAKTERESVIILSDDEIDVKDSIDTVSVSSHSSLDVEEAMGSKTAEKASQLNAAKNISFKVPTSTTQVEAENNVDVERVRSSSISIEKSVQGTSKGKSSDVQKKPCLTKSSSENLTSKMENSVPYQRASSLKNVSDETRVNQGIKKIPPKSDNRAIKELVFDAKDDPWEFALKSARHHQSHLAKLSGPKRQVIQLNMPFENRASQNRMRVGQQRFKPLRLDDWFRPILEMDYFATVGLVSSTVEKNQTGKLKEVPVSFQSPDDYVAVFRPLVLEELKAQLHSSFTELTSSDEMSCGSLSVMSVERVDDFHVVRCVHDDKDLEGSRSCVENDLVLFTRHPFQKSSNDVHMVGKVERCEKDYKRRSSILMIKFYLQTGSSRLNRAKKLLMERSKWYIHRIMSITSQLREFQALSSIHSIPLISTILNPNNQPNVVKSRTNLSKLSPPLQKVLESSFNDSQLQAISSVTRSVGLKSDDVHDLSLIQGPPGTGKTRTIVAIVSALLSLTKVNNTGTRTESGVNVSRPKGQMSQSVAIARAWQDAALAKQLKDVEDKNSRPTNGGGGRVLICAQSNAAVDELVSRISCHGLYASDGTMYKPYLVRVGNSKTVHQNSLPFFIDTLVDQRLTEESNAGGDVKSDDADSHSSNALRLKLEKLVDRIKFLEAKRANLSNGNPDSKQVLEGDDDVDVKEMSDVEIGVKLRSLYSEKKSVYIDLAAAQSREKKSYEKTKALKLKLRKSILKEAEIVITTLSGCGGDLYSVCSESMSTHKFSTSSESSLFDAVVIDEAAQALEPATLIPLQLLKSKGSKSIMVGDPKQLPATVLSDVASKYRYQCSMFERLQKAGHPVTMLTKQYRMHPEICRFPSLHFYDGNLLNGDEMSTKEKPFHKTKGLGPYLFFDVVDGQELHGKNSGSLYNECEADAAVELLRFFRRSYPLEFVGGRIGIITPYRSQLSLLRTRFLNAFGSEIMEEMELNTVDGFQGREVDILVVSTVRAAGPPSGTKETNSKSIGFVADVRRMNVALTRAKHSLWILGNVRTLQTNKNWGALVNDAHERNLVLSVKKPYVSVFNSLKSSSNYVPDSKHDVSRQVTKNGKKANRHDEQRKRFSNDGNFSLGVDKEKKKAKKQYDSSSSAEKKRNDEDGVTREKGGNMGVDKKSRGGCSEVEKKRVSVEAVSKRKHQREAVEALLPSGFISTTTKKPKPMKTVPQSDRRSTPPVIKPTKTRKGLVLFS >cds-PLY91736.1 pep primary_assembly:Lsat_Salinas_v7:9:16804399:16807187:-1 gene:gene-LSAT_9X17160 transcript:rna-gnl|WGS:NBSK|LSAT_9X17160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLFQHCETTGRTIHIVNLDPAAENFDYPVAIDIRELISLDDVMEEYGLGPNGALMFCMEHLEENLDEWLSEELENYMEDDYLVFDCPGQIELFSHVPALKNFVEHLQRKNFNVCAVYLLDSQFITDVTKFISGCMSSLSAMIQLELPHVNILSKMDLVTRKRDIEDYLTPEPTTLLSELNQRMGPQFQKLNKALIDLVDEYSMVSFVPLDLRKESSIQYVLSQIDNCIQYGEDADVKIKDFDEDEDQD >cds-PLY80946.1 pep primary_assembly:Lsat_Salinas_v7:8:255735816:255739098:-1 gene:gene-LSAT_8X149721 transcript:rna-gnl|WGS:NBSK|LSAT_8X149721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLNKGASAIDKNLSTTGKAKTLNPDAAEFVPFSLRSPSGNTRSSDTSNFGNFSASTPGKSILKRSESSVSNNSDDEAHQFWRHQLPDDITPDFHVVGGEEESNGNGSNSISISIPFSTLSIMDVNGSSRFPEQHELSPHQMNGCRFGNFHQMHGDQLLGGIIDEPLPPYNGDNGQGYLDDMLNEGTEVNSLGFLASQFPGFAAESLAEVYYANGCDLNLTIEMLTQLELQVDNGLNQNPNSKVLSSPNLSALDFPALSPTNNHHIAPFSGQQNVNSYLSLEKDLLMFKSSSTSPSLGATDFASAVRKMASQDSSIWKYDRNPSPNSTIGSSRSSYSSGYGPRSAPVWLETGDAVANMYSEMRGEARDHARLRNAYFEQARQAYLIGQKALAKELSVKGQLHNIQMKAAHGKAQESIYYQRNPVSPEMQGNGRGGQERIIDLHGLHVSEAIHVLKRDLMTWRNIARSADQRVQVYICVGTGHHTKGTRTPARLPVAVQRYLLEEEGLHYSEPQPGLLRVVLY >cds-PLY73961.1 pep primary_assembly:Lsat_Salinas_v7:8:114451082:114452043:1 gene:gene-LSAT_8X74741 transcript:rna-gnl|WGS:NBSK|LSAT_8X74741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPAGSGNFKIVCLDIFDLCCVVWEIVIAIAHPSLQHLLFRKNMLHNERSVGIDKFSHTIYLCYFLYAPLYIVGPIISLNAFASQKSYTLKQVAWYGFRWVLSLLLMEIMAHFFYYNAFVISGIWKQLSLMEVFITGYGVRLNFTTSLINTYINCLMLIASFTTYKS >cds-PLY77070.1 pep primary_assembly:Lsat_Salinas_v7:3:220523296:220531813:-1 gene:gene-LSAT_3X131701 transcript:rna-gnl|WGS:NBSK|LSAT_3X131701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLRPIKQQHNKNRNTMEYSCSHHHCSLIFHVRICTEPDTKFGCRIQISLLLWCYFGDNSSLANDIRKEMKGSRCLLSGDHLQLPPTIRIVEAEKKGLGNTLFKRLADLYGYDVMSMLTVQYSMHELIMTWSSKELYNNKTKAHASAVRHTLYELVGVEKSSSSTEPTLLLIDIAGVLRTKDDKLKELEISNVDGLREGKISDYYFNGYVKFIESGAVREISNFAMASNESKYMLVPHKHKINFYKTTKVRVSNDFVDTIDPYHFISFPDLLARNFDTRVAFDFLGEVVSTDPMRVIVEYGREKRLMNLVAQDLSGTRIAVALWGSFAMKLNTYISQHNNDTAPVIILLRLAKLKIWGGQPQEVGLTIIATIIGFDIDDGWYSFYCRDYSKKVTKNDDVDAGPFHCNGCGFVSDVFGKIRIVVRVQDESGSSSFVLFERHVKDLIHRRNQWLMDKIAKHQGRQQIPDEFKILLNKKFVFKVQNSMFNLQNNYRAYTVHKLTDDERVLAEVTKRSPNHQHDNINDNRTPIHKPNKENTNSVHDDNLDIVDLEAVTPSSGTGKRPIEIDANTDSLEWSSSKTCAVRDTLKIPKLD >cds-PLY77469.1 pep primary_assembly:Lsat_Salinas_v7:4:49067521:49068985:1 gene:gene-LSAT_4X33140 transcript:rna-gnl|WGS:NBSK|LSAT_4X33140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAYAYVFTKTLFYLLVIPLFSSAQPNCPLSYCGQNLNNVRFPFRLIGQQPQTCGFLGFDLRCVRPSTLLVNISSSGEFAVRSINYRSQAMRISDPANCLPARLLNIDLFNSPFSAASYMQNYTLLRCPNDATLSGYRFTTVDCLSNSSFTTLAIASMNDVRSLSGNNTGCQIVGLNVRLPVTSEQSNQGFTTRIDDDMILIWSKPDCETCEAAGVSCGYANISSSETRCFYNNGVPKPSHIFKIIAFAMAIPAMAASIMIACLMCAKDRRRGDFFSTQRTTTTVAPVDDGVVPPTIVGLDQMTIESYTKVVLGESKRLPGHDDAVCPICLSEYHVKESVRCIPECLHCFHAECIDEWLKMNGSCPICRNSPSPVHVDQS >cds-PLY63096.1 pep primary_assembly:Lsat_Salinas_v7:8:74627985:74628224:-1 gene:gene-LSAT_8X52181 transcript:rna-gnl|WGS:NBSK|LSAT_8X52181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPTLADMEFIEDEEPLEGDPHDEQTGGNPGDSSPYPDSSSHGDRYPETQEEGTLESEPSSESLASYHPSSVYASGP >cds-PLY93488.1 pep primary_assembly:Lsat_Salinas_v7:9:61146838:61147032:-1 gene:gene-LSAT_9X53001 transcript:rna-gnl|WGS:NBSK|LSAT_9X53001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLRSSPVVGSKEDQGEDPPVLIFVGLRRRSTIGIRNREAPPVIHRFEGGGGGGKYRFHEIVYR >cds-PLY98136.1 pep primary_assembly:Lsat_Salinas_v7:1:138845653:138853454:-1 gene:gene-LSAT_1X99400 transcript:rna-gnl|WGS:NBSK|LSAT_1X99400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQLHEILEGSSSSSSTHGHGYDVFLSFRGLDTRHSFTNHLYNALIHANINTFLDDEEIETGEDLKPELESAIKSSKASVIVLSQNYADSTWCLDELVLILEQRRISKHVVIPIFYHVEPAHVRKQEGSFGDAMANHIQKMEAEANTDKRSKWAQKIDRWSNALREVADLKGKDANGSYDVILTNSFQTKEANSRACHLAKQAFDETINELDSLSEESYNDITRLEVEFIDEIVNDIFRKLQIPSRFPLPEVIGMEDSINFVTSWLKDTSSHTTDILTILGMGGMGKTSLAKYVYALHFHEFDTSSFIENITGRCDEKFNGLLDVQKQMYHDISKRSSVLVHDVSTYTLMIENAVALKKVFLVLDDISSPNQLDALLGSKGFHPGTKIIITTKDAWLTKICALFKTKVKPKHTTYEIELLSEIDSQKLLCNHAFMCNNPKEGYEEVSKNLVKYCEGHPMALKVLGRSLYNRDVTYWEGYIDRLKKENGSPINNVLRMSFDSLPSENDKELFKHIACIFVGMDINFTVTILEACDIETKTGITNLIDKCLLSIRWNNELVMHRLVQEMGRFVVREESLYKPWERSRLWGHESFRVLKQKKGTENILGLTLDMRLLEKEKLHGSLELKIDALSKMDSLMLLQLNYVQITGSYKNFPEELRWLCMHGFPLNSIPMDLPMENLVALDMSYSNIESFGICHSYQQRPSKRIKQLIGSCSKGQRLLRSLKILNLSFCEQLRSLGGFDYLPTLEKLILRGCIGLFEVCESIEQCAQLIFVDLSYCNKLEKHTRILRMLKRVETLLLDGCYLKQSRIKIRDMDSSKMLPDNNTDINTKSYSTAVVESIPSDLKFLANSLPRSLVVLSLANNNLSIESFPMDFSCLVMLKELCLDGNPIVSLPNCVRSLPRLENLSMSYCNMLTSVERPPHTLKRLKLFGDSDGNPLLHKLLFDPQMSPLIFLVEWQLFAPSSFEFEGLIKVQPMEGVEKKVLRSLGWTNLDFLNERRVRTNVRFRGSEESEIQMYYEFGIFSTIYGGIEMPNWITDRIKGPSISFTVPSSPNNLTGLNFCCVLASPFQHDRLEFDLKPIIFRNITKNLTWVYIHYIEKVGGNCVILLSHWMFGKNEVECGDHITVTVVEKPNDYFSAVCKECGVSFVFDYGEADALDYYKSWNHIIGGDLTATRFQSGEYLLQNMRFITGVFLQSYWTLSVGLYVFCAISKSA >cds-PLY70987.1 pep primary_assembly:Lsat_Salinas_v7:9:71003664:71005640:-1 gene:gene-LSAT_9X59340 transcript:rna-gnl|WGS:NBSK|LSAT_9X59340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSPPEPKPSSLTRLNTFVGKSRIGKRFKLNERKTTFTTELRAGTATFLTMAYILAVNASILSDSGGPCSVSDCIDLCSDSSVAVSNCTGPNLRVIQPDDTCKFSPVNPGYTECLGRVRKDLIVATVASSLIGCVIMGTFANLPLALAPGMGANAYFAYTVVGFHGSGNISYENALAAVFIEGMIFLLISAVGLRAKLAKLVPKPVRISSSAGIGLFLAFIGLQSNQGIGLIGYSSSTLLTIGACPISSRASLAPVITFPNGTVTLLPGGSVSGDIMCLHNRMESPTFWLGVVGFVIIGYCLVKNVKGAMIYGIVFVTAVSWFRNTQVTAFPDTPAGDAAYDYFKKVVDVHKIESTAGALSFSSINKGYFWEALVTFLYVDILDTTGTLYSMARFAGFADENGEFEGQYFAFMSDATSIVVGSLLGTSPVTAFIESSTGIREGGRTGMTALTVAGYFMLAFFFTPLLASIPAWAVGPPLILVGVLMMRSVVEIDWNDMKQAIPAFMTLILMPLTYSIAYGLIGGIGTYLVLNLWDWGEGLLSKFGILKGVGSDRSVNNSSVGGNRVIIGNGMDGNDKRVEV >cds-PLY87343.1 pep primary_assembly:Lsat_Salinas_v7:1:97921180:97923029:1 gene:gene-LSAT_1X79620 transcript:rna-gnl|WGS:NBSK|LSAT_1X79620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVHSCNNLQGKSGIFLGLAAEYDGNRFFTVVNGAWKATPLLLPVPVIELSDIAFAVDSIPVVFGVTRDPFIVFSSNLFAILDKKTESMAELEYLQAIIQNG >cds-PLY73039.1 pep primary_assembly:Lsat_Salinas_v7:9:37224141:37226773:-1 gene:gene-LSAT_9X35380 transcript:rna-gnl|WGS:NBSK|LSAT_9X35380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVLSGCGIKPFSQSLAIPTRGFIHKNNNNPVILLPSKDPIAIFSSKTTTPDRFSKWEVKVSAPFKIPSIDGENSGRERGERISSDEEIVKEEEKFDAGAPPPFKLADIRAAIPKHCWVKDPWRSMSYVIRDVACVLGLAAAAAYLNNWLVWPLYWVAQGTMFWALFVLGHDCGHGSFSNNSKLNSVVGHILHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKTFKSLDWMTRTLRFTLPFPMLAYPFYLWNRSPGKSGSHFDPSSDLFVPVEKKDVMTSTICWTAMFALLLGLNFVVGPMQMLKLYGIPYWINVIWLDLVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTIDRDYGWINNIHHDIGTHVVHHLFPQIPHYHLIEATEAAKPVLGKYYREPKKSRGLPFHLLGELIASLKKDHYVSDTGDVLYYQTDPKLTTSQNR >cds-PLY68300.1 pep primary_assembly:Lsat_Salinas_v7:1:27251111:27252361:-1 gene:gene-LSAT_1X22640 transcript:rna-gnl|WGS:NBSK|LSAT_1X22640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKFHQKKSLANLKVFFPVLRQKHIYLIVINLKKPDFEVINNSADDADFDDKYGSVFNLLKSFFLKYLKEINHVKANEMADKNLIPVRLIMKWRTVYNKMDCGVFAIRHMESYFGEKCSKWKCGLPKQGLSQEKKCEEVENEICSNNFNF >cds-PLY92025.1 pep primary_assembly:Lsat_Salinas_v7:8:239603526:239603762:1 gene:gene-LSAT_0X18880 transcript:rna-gnl|WGS:NBSK|LSAT_0X18880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKVLSALDSAKTQYYLFKAIIIAGMGLFNDSYDLLYIPPIMRLIGRIYYPKFNENMQEKHLFEVPGIIASIMFSVA >cds-PLY71675.1 pep primary_assembly:Lsat_Salinas_v7:3:47639824:47640141:1 gene:gene-LSAT_3X35841 transcript:rna-gnl|WGS:NBSK|LSAT_3X35841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEQQESHEAINHVLRHLTSKDEIQKWWLRDDCLDLDILFVAILCLFAASQMEVKEVEDRAAPQQPPKTLPVIGFPLYFLSLVLLIIFLSPARPTTALTTMPLPH >cds-PLY81395.1 pep primary_assembly:Lsat_Salinas_v7:8:32006520:32009209:-1 gene:gene-LSAT_8X25181 transcript:rna-gnl|WGS:NBSK|LSAT_8X25181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFQVGGQGSRPTFFEMSAAQQLPSSLRAALTYSIGVLALRRPFLHKVLDYEDEFFAALTLILETHSLRTTDASFAESLYGLRRRAVNIHMKKEDLRMETGNRSQHSGIRKHQKLLSVVFLVVLPYLKSKLHSIYNKEREAALQASLWGNVNETFDEMDTSFASTSSLDTHTELSVRTQFRKRMHKIVATCYPWVHAGSEGLSFAYQLLYLLDATGFYSPGLHALGLHVCRATGQELMDTSSRISKIRSNERERLRGPPWVKAVQGVLLTCGYAVLDYAQTGLIAAVFGFKMMEWWYQSAEERMLAPTVYPPPPPPPPPKVAKEGIPLAADRTLCPLCSQKRANPSAITVSGFVFCYACVFKYVSQYNRCPVTLVPASVDHIRRLFHDL >cds-PLY79012.1 pep primary_assembly:Lsat_Salinas_v7:3:10209534:10211149:1 gene:gene-LSAT_3X6800 transcript:rna-gnl|WGS:NBSK|LSAT_3X6800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTWISIFTGKSGFAASSTAEDVTKGVDGTGLTAIVTGATSGIGLETAHVLALRGVHVVMPVRNMESGKKVKESIVEKIPNAKLTGIRSTICITVLFKGVSIEHLDTVKESGKEGRIVILSSEIHMMTYKEGIRFDKINDEKSYSAFSAYGQSKLANVLHAKELTRRFQEEXITFFYGVFNRILKNIPQGTATTCYVALNPQVEGVSGEYFADSNLVKASKHAQDAELAKKLWDFSMNLTKSN >cds-PLY81737.1 pep primary_assembly:Lsat_Salinas_v7:3:32256190:32257498:1 gene:gene-LSAT_3X24060 transcript:rna-gnl|WGS:NBSK|LSAT_3X24060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESIEHKTVSANGLDIHIAEKGEGPLILFLHGFPELWYSWRHQILYFADHGYRAVAPDLRGYGDTMGAPVNDHTKFTIHHLVGDLIGLLDAITSEGEKAFVVGHDWGAFIAWHLCMFRPERVKALVNLSVPFLPRNPKRDMVEMLTDAYGEDHYMTRFQEPGEIEAELAKLGTRTAVKKFLTFREPEPWYFPKGKGFHYSPGDAPVTLPPWLSEQDVDYFATQLQKTSFSGGINYYRAFHLHWELTAAWQGAKVMVPSKFIVGNLDLVYHMPGIKDYIHNGGFQKDVPFLDDVVVMEGAAHFINQEKPHEINQLIIDFLHKF >cds-PLY74969.1 pep primary_assembly:Lsat_Salinas_v7:3:154684990:154686868:1 gene:gene-LSAT_3X98221 transcript:rna-gnl|WGS:NBSK|LSAT_3X98221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKLNFDRCGHLMPSSKPIYGQYYFEDSDDLVKFIKLIKQAGVYAHLRIGPSDYVECNFGGFPVWLKYVPGIAKSNMSEIFFQISCHGEMKSEEDCIHNEEFQSMVDGSGSDSVGGDGEAYGEEETRQISDKKRRLSNHKV >cds-PLY99221.1 pep primary_assembly:Lsat_Salinas_v7:4:367988734:367992758:1 gene:gene-LSAT_4X180280 transcript:rna-gnl|WGS:NBSK|LSAT_4X180280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAIPTTTSWRPVTADNPYTPFTHRSLISTPRLCRRPSTIICSSSLKSPNSTEEKRELLKQYGLDPDEFLSEPTPSKSRRSKEHQKTGRGKQVGAPHEQAKPRETHKLLQVLGGTARRKKLLSPKSMDVRPMMEVVKGAAFGILQAAGGCPTSLRPGRWLDLYSGTGSVGIEAISRGCSQVHFVEMDPWVVSDVLRPNLEWTGFLDNSVIHTVRVETFFERIKESSGKDGPFDYISVTPPYMEVDYEILMKQISESSVIGDDSFIVVEYPFRTNMLETCGGLVKLADRRFGRTHLAIYGPTWAQKKRRS >cds-PLY85932.1 pep primary_assembly:Lsat_Salinas_v7:2:189766662:189767153:1 gene:gene-LSAT_2X110661 transcript:rna-gnl|WGS:NBSK|LSAT_2X110661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTESLRHTKQHGSWPKMNVRRWLNLKKGTDDFHSVNVAPDRSERRKSCSDDGRYAVVPEELSGTTTLNDFLYLCVTALQITSVVLQPF >cds-PLY71892.1 pep primary_assembly:Lsat_Salinas_v7:8:278978405:278978794:-1 gene:gene-LSAT_8X159760 transcript:rna-gnl|WGS:NBSK|LSAT_8X159760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPLKALWWLTNRIVTKDTNIPQFRRFSGAHEVSGGEVVEALDGEGAAFLVVYGSTAMAASPNINHCCFVRPVLVVCYVQLEAQSRLVLFAHGVVGSRWQPGLIIIVSRREVVVGESQFEEGDGSIHER >cds-PLY88946.1 pep primary_assembly:Lsat_Salinas_v7:8:128519084:128519747:1 gene:gene-LSAT_8X89060 transcript:rna-gnl|WGS:NBSK|LSAT_8X89060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGICFLINIIFSTITLMSNLLSRLLFNVTAYLLVIAIQGLKVPGEALQAGMEQISGLIKSCVEYLFGIIMEVMSEIVGLAFDLVKEVVFGSVTATGAAAGGLVEKMRSGFALVVEDIPAVMEGAMEMVTTMVTDLWNNYIEAQSYVTENA >cds-PLY67229.1 pep primary_assembly:Lsat_Salinas_v7:6:134418626:134418829:1 gene:gene-LSAT_6X81441 transcript:rna-gnl|WGS:NBSK|LSAT_6X81441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNERLEAVKPIQLRRPASTGNTLAPVTVDVGVLGGIMRHLLLIILNGRNRILGVKETQRLAHESCR >cds-PLY93444.1 pep primary_assembly:Lsat_Salinas_v7:9:64227035:64228349:-1 gene:gene-LSAT_9X56200 transcript:rna-gnl|WGS:NBSK|LSAT_9X56200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFLKLVLVVYLCKLVLSVFMQGKASSMVVVALGPKPGWEFTPRLKGVLSQALPILGNVKDQHRPIFANAFRCWCQACWQYSVEYPLSSILDSDVT >cds-PLY94814.1 pep primary_assembly:Lsat_Salinas_v7:2:179339253:179339522:-1 gene:gene-LSAT_2X101181 transcript:rna-gnl|WGS:NBSK|LSAT_2X101181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRVAVMGGVLWQLRCLSIVFYRCELRENGARKMAGGDEGFSVVLEVWVVLGREEVRGRDSGGGVVYARVGTSEMKKRRLGLWLVNK >cds-PLY73579.1 pep primary_assembly:Lsat_Salinas_v7:4:320530664:320531359:1 gene:gene-LSAT_4X159680 transcript:rna-gnl|WGS:NBSK|LSAT_4X159680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNRRRFLSLPMVIGAVVIGIVSGKAIFGPPVDEYWKKKLEEETAKKENDTASS >cds-PLY93511.1 pep primary_assembly:Lsat_Salinas_v7:5:325586281:325587303:-1 gene:gene-LSAT_5X179721 transcript:rna-gnl|WGS:NBSK|LSAT_5X179721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLRTLTKKEEVDSMIRDTIDKLLVLRFGRSSDSICLQLDDILSKSEREVSKFTSIALVDVDSEEIQVYIKYFDITLIPSTVFFFNAHHMKMDSGSADHTKWVGAFHRKQDFIDVVEEIFRGAMKGKLIVNCPLPPERIPKFQLLYKDL >cds-PLY98510.1 pep primary_assembly:Lsat_Salinas_v7:7:127063367:127064095:-1 gene:gene-LSAT_7X77361 transcript:rna-gnl|WGS:NBSK|LSAT_7X77361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRKYGQKEILNAKYPREYYRCTYRNTHGCCATKQVQRSSDDSSIFEITYLGKHTCPKISKTNHPSSTTESGYGLINFSNTSKTVSTTSRTSDPSATQSSSSITRNSFELSNWPQNHHQY >cds-PLY70655.1 pep primary_assembly:Lsat_Salinas_v7:5:72833990:72834307:1 gene:gene-LSAT_5X34340 transcript:rna-gnl|WGS:NBSK|LSAT_5X34340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFKSNNISEDNNNNQGWQKVTYAKKNRKNQPKQQVPQPKALPNGSVVAGNDNVFTAIEKKSEERRKVIEAQRLSIYDPAPPPVKSSRKKNYSCVNLSPSFFSLL >cds-PLY64910.1 pep primary_assembly:Lsat_Salinas_v7:8:133116823:133119589:1 gene:gene-LSAT_8X92380 transcript:rna-gnl|WGS:NBSK|LSAT_8X92380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNATRGIEREITALQLEEKKLVAEIKRTAKTGNEAATKILARQLVRLRQQIANLQSSRAQMRGIATHTQTEMMSDAIDDVLDDDEAEDETDDLTNQVLDEIGVDVASQLSSAPKGKIAGKNTEDASSSGIDELEKRLAALRG >cds-PLY64702.1 pep primary_assembly:Lsat_Salinas_v7:7:139058134:139060932:-1 gene:gene-LSAT_7X82800 transcript:rna-gnl|WGS:NBSK|LSAT_7X82800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKESLDRFKKQQEKCQSTLKSIAAGSKTTTKTTTPAPRVVPANTSTKLPSPPIKFSNDTERLQHINNIRKGPVGAQIKRVIDLLFESRQSFTAEQINEACYVDVKGNRAVFESLTKNPKVSYEGKRFAYKSKHNVRDQKELLRLIRTFAEGIAVADLKDAYPTVMEDLQALKAARQIWLLSNFDSQEDIAYPNDPRVPIKVDDELKQLFRSIELPRDMLDIERDLQKNGMKPATNTAKRRVMAQNGNIYNKPKQKKKKTEISKRTKLTNAHLPELFRNLNG >cds-PLY78562.1 pep primary_assembly:Lsat_Salinas_v7:1:102688257:102688556:1 gene:gene-LSAT_1X84181 transcript:rna-gnl|WGS:NBSK|LSAT_1X84181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRYADPDQPKSILHDMMQYLIVALKERGWIMVLVSAVMQHLGMDYPPFPKAGPSIPPPSQPQNAGHDGAGTSGIHLGDTDVDNEDTEDEEAEYKNSDE >cds-PLY92575.1 pep primary_assembly:Lsat_Salinas_v7:7:162790841:162792337:-1 gene:gene-LSAT_7X95781 transcript:rna-gnl|WGS:NBSK|LSAT_7X95781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAWKEACAATAPSSVLIPAGTYLANPPLDLKGPCKAAIEIKATGATLKAPPDTTVFKNDYWISIVGVDKLTMTGGTYDGQGQQTWKSVKCHDSKATCQIPVNIRLTKVTNSVVNGVTSANSKYFHMNVLHCNNTRLDHVTIDAPGNSVNTDGIHIGRLTGLNITNSVIKTGDDCISFGDGSKNVHIEKVTCGPGHGISVGSLGKYPNEESVQGIFVKNCTITNTTNGVRIKSWPSSPPNTATDIHFEDIIMNKVATPILIDQEYCPWNACKKGAPSKVKLANVTFRRIKGTSTTKVAIRLICSSGFPCDTVELADINLTYSGGAATAECAHIKPKIVGAVVPPACPAGAKHLHDDDVNHRLAKQI >cds-PLY76979.1 pep primary_assembly:Lsat_Salinas_v7:6:66022824:66025046:-1 gene:gene-LSAT_6X47340 transcript:rna-gnl|WGS:NBSK|LSAT_6X47340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADQNQHSSTISKFTTQLHLSQDLRSRYGSFQNPSTRQFTYGNYTNSGFAITNPSPVFIQAPSEKGFAGFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGIGECFSRTIKEEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLGSGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYKKTLASDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGNLQDSFFASFGLGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFTQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGA >cds-PLY81217.1 pep primary_assembly:Lsat_Salinas_v7:4:103933142:103933509:-1 gene:gene-LSAT_4X67861 transcript:rna-gnl|WGS:NBSK|LSAT_4X67861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLTTIVCLISKSNPKALCLKITIAVFPFTHFPFSPAAPPNSVQCFGRKKTVVSVTHCKAGPGLIKINGVPIKLVQPEIL >cds-PLY69291.1 pep primary_assembly:Lsat_Salinas_v7:4:105773422:105774060:-1 gene:gene-LSAT_4X68360 transcript:rna-gnl|WGS:NBSK|LSAT_4X68360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQSTNTKPIHFQSSTQTNKMDTPKQRSRKCLKICCGLMVALIVIFIIVSIILYFTVFKPKDPRITAHPTKLENVQFQLIPNVSINATLVLTLTIDNRNYGGFKFKDSIANVYYRGMLIAEVPVEHAQVPARGSFTLTTSANITGGKMATDPNFYSDIGSGWLNFTSTSTMYGKVTLLKIIGVKAKVDNLCDISVDILKLEVDPKCHSKVEF >cds-PLY68291.1 pep primary_assembly:Lsat_Salinas_v7:1:27171962:27172135:1 gene:gene-LSAT_1X22701 transcript:rna-gnl|WGS:NBSK|LSAT_1X22701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRYESNRFMNYLKGFMLGYFYFYFLLKVKGLTVRIICLENILVCHICVAIYFQITF >cds-PLY75378.1 pep primary_assembly:Lsat_Salinas_v7:6:178461427:178473803:1 gene:gene-LSAT_6X108340 transcript:rna-gnl|WGS:NBSK|LSAT_6X108340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein knotted-1-like 1 [Source:Projected from Arabidopsis thaliana (AT4G08150) UniProtKB/Swiss-Prot;Acc:P46639] MEDYMGQMRENNSSNNTGTPSTTFLYGSGGGSVLSPDTTNTSLYGRGGGNTIGDESNPGGFNHLQYHHHHHHHNHPVVKIESGGAQKFHNYPSVMSFHNEDEALKAKIISHPHYSNLLQAYMDCQKVGAPPEVAGRLTAVRQDYEERQRANLLDSGCRDNYKDPELDQFMEAYYDMLVKYKEELTRPIQEATEFMRRIESQLSTLTISSSSTNASPGRTFISPDDSKCEVIGSSDEEQENSGGETELPEIDPRAEDRELKNHLLRKYSGYLSSLKQELSKKKKKGKLPKEARQKLLSWWELHYKWPYPSESEKVALAESTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLHPQNVSTTLYMEGHYMGEGPYRLGP >cds-PLY67220.1 pep primary_assembly:Lsat_Salinas_v7:6:135684343:135688361:1 gene:gene-LSAT_6X81860 transcript:rna-gnl|WGS:NBSK|LSAT_6X81860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESFAFVSNFGVMGKTTTGSVLGFIGKFVNGVLSFLVFSVLDVLDVVLCYVYKIIDFFMEDEWKPCYCSSPKETIINSGNILVSEKGEVEKIVCLTSTKLHLEEISDTLYSRPSLAVEVSKTTVKRRKVVVTSVSKTKNVQSHSPPFMVNNTIVEMLQEKIGRRHKPHRVPRWSDCDCDTCNSWSHSSCKDTLFVHIGGRKDNVSENVLFIHGFISSSAFWTETLFPNFSRSIKSKYRLFAIDLLGFGKSPKPHDSLYTMKEHLDMIERSVLEHNKIESFHIVAHSLGCILALALAVKYPNSVKSLTLLAPPYFPTPKGEEATQYMMRKVAPRQVWPLIAFGASMAAWYEHVSRTICLLICRQHRTWEFLAKLITRNRIRTYLIDGFCCHTHNAAWHTLHNVICGTAAKMGGYLDTVQNQLNCSVNVFHGEDDELIPVECSEHVKNKVPRANVKVIKKKDHLTIVVGRQEAFARELEHIWKNAKTR >cds-PLY96909.1 pep primary_assembly:Lsat_Salinas_v7:4:170605687:170609381:1 gene:gene-LSAT_4X100901 transcript:rna-gnl|WGS:NBSK|LSAT_4X100901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIRASSSLHHCSLISPASNTSSLILGLFVQDLTKENQTRAWVDDSPLFVGFPTIIDILGFFFDEFVYYFWCYKHEFLKDFFLSTGQLHDVAYLLWKESWELAKNPMATRGCPLHTPLIKLIEKAQNLFAEVYLTSTFSS >cds-PLY62130.1 pep primary_assembly:Lsat_Salinas_v7:6:47311941:47315877:1 gene:gene-LSAT_6X35461 transcript:rna-gnl|WGS:NBSK|LSAT_6X35461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLRDRAVTMRDCLARSQIITDSMTSILGSFDLRLSALETAMRPTQVKTHSMRKAHENIDKTLKFADAILIQFELTKQAEAVILKGPQEDLQSYLEAVGQLKTIVRFFSTNKNLKTSIGVITHATTLLQKASMMLEDEFRQLLSSYSKPVEPDRLLDCLPASLRPTPPTAHGEGVRKNPADHHKDLENVVYRPPTLIPPKVTPLLHDLAQQMHQAGHQQQVFMIYREARSACMESSLRKLGVEKLHKDDVQRMQWEVLEAKIGNWIHFVRIAVKLLFAAERKVSDEVFEGMKSFMDQCFAEATSTSVSLLLSFGEAIAKSKRSPEKLFVLLDMYEIMKEVQPEFNTLYESISGAEMRESVSALTKRLAETAQETFVDFEEAVEKDATKTAVLDGTVHPLTSYVINYVKFLFDYQSTLKLLFLEFEQIDPDAQLAKITTRIMQALQNNLDGKSKQYKDQALTQIFMMNNIHYIVRSILQCLTVQVAGNDGTSTAGVSRATVKERFKTFNNQFEELHQRQSQWSVPDSELRESLRLAVAEVLLPAYRSFKKRFGPMIEGGKNPSKYIKLTPEDIERMLAEFFEGKTLHDQKR >cds-PLY89560.1 pep primary_assembly:Lsat_Salinas_v7:4:366815485:366817433:-1 gene:gene-LSAT_4X176860 transcript:rna-gnl|WGS:NBSK|LSAT_4X176860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGDNLVLPPGFRFHPTDEELVMHYLIKKCASQTISVPIIAEIDLYKFDPWQLPEMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPIGKPKAVGIKKALVFYAGKAPRGVKTNWIMHEYRLANVDRSAGKRSNNLRLDDWVLCRIYNKKGVLEKHLNAETKSIQFSEVKIETKPDITAYSPVAKTSSFQAPLSSVPHHVMDDGFNFETSDSLPTLHTDSSSEHEREVQSDAKREDFQYNYIDPFADDAFTPQSQYYDYQLSPLQDIFMFMPKSFQM >cds-PLY95928.1 pep primary_assembly:Lsat_Salinas_v7:9:42893696:42895597:1 gene:gene-LSAT_9X38921 transcript:rna-gnl|WGS:NBSK|LSAT_9X38921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVLGRSNALSSRKLVSTPDPNGSSNAIKDDPSSTSINDKKLNPSPTETTKPVDSPNTNPSNVDSKGLNDDKTKINSENPPDKTVEKEKGKDGKEKAETQTQMETFATKSCNGNPVCTDQGKTMIACIQDFENVLILFPIYLSTFNHLLLAGSNNVTLIVENEGILDLKVNITSDTSINDILAPFEIPGHTTKKVNFILSGDKTTKLILNGDCELQLKTTTINTPPKPKDPKTPQKPKDENDPANTPLNPKEDNNPPKPNNAPTNPKEIKEPPIPIPDTPATSPKDINTTPATSSKDIDTPATSPKDIPPPESVDVPISQNNLLDQLTFYSKQVTPMHGAYVAFLLALVVGGSWALCSFRKRRNDGGIPYQELEMGLPESSNAVNVDTVEGWDQDWDDDDWDEDKAIRSPGGGVTPKTISSNGLTSRKKDGWDADWDD >cds-PLY78610.1 pep primary_assembly:Lsat_Salinas_v7:4:151247338:151248721:1 gene:gene-LSAT_4X92920 transcript:rna-gnl|WGS:NBSK|LSAT_4X92920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDSPIASRKVVVHLRATGDAPILKQAKFKIAGTDRFSKVIDFLCRQLHRETLFVYVNSAFSPSPDELVNDLYDNFGFDGKLVVNYACSMAWG >cds-PLY70279.1 pep primary_assembly:Lsat_Salinas_v7:2:92247449:92249338:1 gene:gene-LSAT_2X39760 transcript:rna-gnl|WGS:NBSK|LSAT_2X39760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSTMQHRKLLSTKTLLPKKPKSQERGCLPPIEPSSEQPEFKKSNNEEAAVLSASRPASTVVHAANATEVSSNLLKLFEPRPPLEYRPPPEKRKCPRYTGMAQFVSHFAEPTDPEYAPPVVKGETPGQRRVRIHWLRTNFEFITLTLSLWLTFVHFNDRDLSYNFCNGSIPESLGGLTSVRILNLNGNSLSRRVPAAVGGRLLRRSSFKAQVVGWPPVTSFYKNILATNSNNNDEVDGKPGPGALESTYRQNH >cds-PLY96142.1 pep primary_assembly:Lsat_Salinas_v7:3:97977917:97980873:-1 gene:gene-LSAT_3X73300 transcript:rna-gnl|WGS:NBSK|LSAT_3X73300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSAIVSLPSSKSAAISTRTSLITQERVSFTKVPYYKNVSSNVKSLSIRAQVTTEAPAKVEKISKKQEEGVVTNKYRPKEPYVGRCLLNTKITGDDAPGETWHMVFTTEGEIPYKEGQSIGVIPDGIDPKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNDAGEEVKGVCSNFLCDLKPGAEVQITGPVGKEMLMPKDPNATVIMLATGTGIAPFRSFMWKMFFEKHEDYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEMKPDNLRVDFAVSREQTNEKGEKMYIQTRMAQYDRELWELLKKDNTFVYMCGLKGMEKGIDDIMGTLAAEDGIDWVTYKKQLKKEGQWNVEVY >cds-PLY73791.1 pep primary_assembly:Lsat_Salinas_v7:8:150602561:150603952:1 gene:gene-LSAT_8X101401 transcript:rna-gnl|WGS:NBSK|LSAT_8X101401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGTGVVAVYGNGALTESKKSTFSVKVGLAQMLRGGVIMDVVNAEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTLADDMNHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHVRSVMGDIRVLANMDDDEVFTFAKKIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPARRARAIVQAVTHYTDPAMLAEVSCGLGEAMVGLNLDKNVERYANRSE >cds-PLY68729.1 pep primary_assembly:Lsat_Salinas_v7:5:279126316:279127748:1 gene:gene-LSAT_5X144340 transcript:rna-gnl|WGS:NBSK|LSAT_5X144340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIPASRLPEFHEIPVAQPKKLLQIIILIIIISSHPTNSQSFSSSPADDLSDSPDTATNFQPSLAVVIGVLAIMFALTFMLLVYAKCCHRPSSLQYLNQENLGELPRPLSRVSGIDKTVIQSLPFFRFSTLKGWRNGLECSICLSKFEDIEILRLLPKCKHAFHIDCVDQWLEQHSGCPLCRCKVSEEDAMLFANSSSLRFLNSDRKREDSNLGLFIEREGSTRFGSQRSYGKMEHEMKDQEILEDEDTLHKFNHRIIISDYDYDRMMKSRWSNLNSSDLLFLKSEMITSLSNKVLNRHGDQETVAPMSNNTLDSYSTVKTDGNYRTDDHDHQVIKVKEELKRKREFEKKIKKFSNGESDMKSAKLVSQRSMSEIIVHPRFLGVESSNEVGNFGEISAKEERLKRLWLPIARRTVHWFANRDQQLQVNTKSQV >cds-PLY74396.1 pep primary_assembly:Lsat_Salinas_v7:6:153967495:153967968:-1 gene:gene-LSAT_6X93201 transcript:rna-gnl|WGS:NBSK|LSAT_6X93201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSTIDNLADKEEVVVVEIELPSEVCHGTFTFALAFLLKCIGYIVSLLELLGRLWMEYYPRIFGEIKLPPPLKFIIIGLAAFAEMKSQGSEFPFKSHPRSMNVAVTSLLFYGLASVVEHLISTSFLGPASVYAMVAHSGRIGSLCVLVATVASLFYL >cds-PLY91749.1 pep primary_assembly:Lsat_Salinas_v7:9:16948490:16949962:1 gene:gene-LSAT_9X16861 transcript:rna-gnl|WGS:NBSK|LSAT_9X16861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLQLKTGLTSSLTTTRPVAPKGLSGSPLRLFPSSQRSSSTIKAVKTDKPTFQVVQPINGDPFIGSLETPVTSSPLIAWYLSNLPGYRTAVNPLLRGIEVGLAHGFFLVGPFVKAGPLRNTEYAGAAGSLAAGGLVVILSICLTMYGIASFKEGEPSIAPSLTLTGRKKQPDQLQTADGWAKFTGGFFFGGISGVTWAFFLLYVLDLPYYVK >cds-PLY71074.1 pep primary_assembly:Lsat_Salinas_v7:3:161469071:161469958:-1 gene:gene-LSAT_3X101100 transcript:rna-gnl|WGS:NBSK|LSAT_3X101100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNSSSTRMRTRQQETMITALIEKIVTMVSRKLPIDAFKFQLVCKLFRDCTTSDAIYQNMDTNRLRFHPFSVDMEEVIHKCRNLNNLHILFNDGMAKYFLLGEDEARKQLLQDVADKGQLDAIFVMGMLLMAEGSERKQEALIMLNNAYISTRISWNLRQTFYKVQSHLVRGRRSKQTQFHGLHKSCAKHPSVSRYGRPFMHEYSWLFIYDICLWDECLVEFDKKFDIRLD >cds-PLY92420.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:185785:188743:1 gene:gene-LSAT_0X14580 transcript:rna-gnl|WGS:NBSK|LSAT_0X14580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSRATVVSAAAPQRFLSNFKRISSVSIPSSFGFPLINDHGEKKINTTIGWSNYSYRMDKKKNLAFTCAAAASVAAQPLENADALIDSVETFIFDCDGVIWKGDSLIEGVPETLELLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAAAAYLQSIDFPKDKKIYVIGEEGILIELELAGFSYLGGPEDGGKKIELKPGYLMEHDKDVGAVVVGFDRYFNYYKVQYGTLCVRENPGCLFLATNRDAVTHLTDAQEWAGGGSMVGALVGSTQREPLTVGKPSTFMMDYLANKFGITKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSLSMLQNPNNSIQPDFYTNKISDFLSLKAATV >cds-PLY66455.1 pep primary_assembly:Lsat_Salinas_v7:5:321127552:321135043:-1 gene:gene-LSAT_5X176200 transcript:rna-gnl|WGS:NBSK|LSAT_5X176200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEISSHASMNLANTTPNNTISDVVTMAARNITLISYLDNMRDDYTLKVCIIRLWGSFSEYDSTVVKSIEMVLMDEMGTKIRASVYRKDFQRFDSKLKEDDAIYIRSPIIAPNKYTFKISDVTSKLNLHGQTTVHECLDVIGEVVSLGKLDSRDVSISKHRLTLQIRNLEGLQVNVTLFADFAYQMISYLEAHKQVGKFIIVGTIYGIRQDIDWYYDACSNCGKKVDRRNVFSGPDSGEASVVVECSTEKCKKKEISLAPRYKIHIRVQDDFGTITLTLFDGDAYRLVKQRASDLIEKIKQV >cds-PLY63700.1 pep primary_assembly:Lsat_Salinas_v7:9:86712257:86713364:1 gene:gene-LSAT_9X67221 transcript:rna-gnl|WGS:NBSK|LSAT_9X67221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METKMTRHRRSRTQFSDHVCGNGNKIIKKSFNEPTKRPIFNILTCTIHFPPFFAAAFVTFAPVGSFFSTLLITPTATVCLISLTANRPSGGYSENVSTTMGFVGIILIIPASPFLRNFGSFSSSFPDRLSIFVKISANFTAICDV >cds-PLY95157.1 pep primary_assembly:Lsat_Salinas_v7:1:93291186:93293935:-1 gene:gene-LSAT_1X76981 transcript:rna-gnl|WGS:NBSK|LSAT_1X76981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSTRLLLLPLLTPTGAATITAASRLSSSPSPSSSSSSSSSSFSLKVSGPQTLFTRKPWLCGKRHQAIGCLKIEDGNEVQMFGSDDEFGSQLPTQAQTIVEGSGAVLVSEYKPAPDVDYLQELLAIQQQGPRNIGFFGTRNMGFMHQELIEILSYAMVITKNHIFTSGASGTNAAVIRGALRAERPELLTVILPQSLKKQPPESQELLSKVKNVIEKPHNDHLPLIEASRLCNMDIISQVQQVICFAFHDSRLLMETCQEAKNMRKIVTLFYLD >cds-PLY90173.1 pep primary_assembly:Lsat_Salinas_v7:7:15205813:15206151:-1 gene:gene-LSAT_7X12060 transcript:rna-gnl|WGS:NBSK|LSAT_7X12060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQAIVTAVPLSVVYPDSYFEGEIPQGTNNDIDSDDDQLNPRKRKASFSGGANNDEDGSSSDTGDPSAPPPTKESKLNVDLNDLAKEWDIIVKEVKEIMIKNNVAFQARKKS >cds-PLY74103.1 pep primary_assembly:Lsat_Salinas_v7:9:13722795:13723922:-1 gene:gene-LSAT_9X12041 transcript:rna-gnl|WGS:NBSK|LSAT_9X12041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGEMKNFVLVWTTVLASLLFCHKISKVIAPGTTRLLAFIPVFCIFIYLSLLLTAVNLTSPTSFFETWLANFKLMLFAFDKGGASKKGKKSPINYAVKFMVLVLLLKIYEYGGQLHPLMKMALFCVHIYVVLDVGLAMVAYLARAIVGFELEPQFDEPYLATSLQDFWGKRWNLMVTGILHPTVYLPVRLISGRFLSRDLASLPAVTATFIVSGLMHELIFYYLGRLKPTWEVTWFFVIHGVLVSMELVVKRAVGERLCLPPVVSAPLALGVVMATSFWLFFPPFLRCETELRSCKELAAFMELVVRGRFVGPNDISCPYY >cds-PLY66711.1 pep primary_assembly:Lsat_Salinas_v7:6:80656750:80657379:-1 gene:gene-LSAT_6X57660 transcript:rna-gnl|WGS:NBSK|LSAT_6X57660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMQAVQVAHMVATPVPPPMAGPPSAACITSNAAYVRIAPPGEVGSWQRECKKDKAVEEEIEVDPDVAAMMGFGGFVSSKK >cds-PLY63792.1 pep primary_assembly:Lsat_Salinas_v7:6:23352756:23353349:-1 gene:gene-LSAT_6X18261 transcript:rna-gnl|WGS:NBSK|LSAT_6X18261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAAALSATKGLSRVQAERAAANATRNVNAYGQKEEGPSRWQEKKELKTQMYLKSTEKQATLNQKKCQKCQQIGHWTYECQKPEKTKLDIKALMSRDLDKMEIKKEEEITKKKEKGSKGRKKKRKERRRSSSSDSDSDGEEDRKRKRKRHSRK >cds-PLY91472.1 pep primary_assembly:Lsat_Salinas_v7:7:143683603:143688858:1 gene:gene-LSAT_7X85901 transcript:rna-gnl|WGS:NBSK|LSAT_7X85901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIDIRTLKDRYIESCNACETVPNKAVLSAFFKAEIKKSNNEESTFVIFLDDLKSIDFHPLYNIFLQIDLSEIDTVDILQKSSCSLDADSVLLLLHAINQKLRIVDLQDRTFGKDFLMGISLGGLSCQILNLKFSHFRKINMIGKFLQIRTLNLDFSASLTNFGKDCFSHMPNLKSLSLCETKVSNLWTTSASLAKLPSLIELRFQSCLCCDDTRVCPRSFGPKDNESTSSTLLDHGIEKITPFLTTEDTSLFSNLEDELFNYNTVHETVSESDESTDSEVDFSNTHPGIKLLEDFTYGPSAWNGLLDLPNEIFPNTWGLEETEESFPSSSISRPISIPRKKHLSYHPSPICYEKHYREYLISSFPNLKVLDNIPIKKTEKEKASIIYSQKFEHLPYKRTNKENVVTILQNREIKQKGIYGKSQYSYPRSLDASKVGCAVLPCLHPLSLSCSNNVIIQRGDGRKFRPRQFEYHPNNPSLMVIGTLDGEVVVVNHESEKIVRFIPSHGEMNSVLGLCWLKKYPSKLISGSDNGLLKLYDIQHSDSDSGFPRTPESNSFDEFDQLTSVHVNSSDELFLASGYSKHVALYDINTAKRLQVFTDMHQEHINVVKFANHSPSMFATSSFDHDVKMWDLRQKPIHPCYTSSSGRGNVMVCFSHDDHYLLASAVDNEVKQLLAVDGRLHLDFDIAPTGSSQNYTRSYYMNGRDYILSGSCDEHVVRICCAQTGRRLRDISLEGKSSGSSMFVQSLRGDPFRDFNLSVLAAYLSPSSSPEIVKVNMLTSKDAEKELLDDEPMRVGIGMGGA >cds-PLY80139.1 pep primary_assembly:Lsat_Salinas_v7:3:40539951:40546157:-1 gene:gene-LSAT_3X30720 transcript:rna-gnl|WGS:NBSK|LSAT_3X30720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRQPHQDDQQSRVFYELSALILNLLRHPPTPIQFSDEVSTSTRRQPRPAPLSQITPAGFASLLLGISLALMLCGSITFFIGFLLMPWVLGLVMLFYVAGIISSISMLGRAIFCHTLSPSSPRKSVPGYDIRDILEEAQVRWLKAGEVLFILQNYDEKQLSREAPQQPPSGSLFLFDRKVLRFFRKDGHSWRRKKDGKAIGEAHERLKVGNVEVLNCYYAHGEENPNFQRRSYWMLNSAKEHIVLVHYRDTSQTTPGRNIAGPLSAFSPGTSSNLVQSSNSYASQLTSNETNGHYYENTSSPSCIEVSSDSITKAKGISHEILMKTETVTGSPNSEVDQALKRIEEQLSLDEGHLEEIDALYNDNENSHDFGLTMDEQSYDNIFKGYQASMFLPHSLEDLKFSSNKYGSDSDYSTMFDQEHSQTPPGPDSSLTIAQEQKFTIREISPEWGYANESTKVFFIGTFTCDSWTCMFGDTEVPVQIIQEGVICCQAPPCLLPGKVTVSITSNNQEPHTEIKEFEYRDKPSSYKHNSSETESHKSLEELLLLVRLVQMLQFDQKGESTETEDSWSQLIEALLDGSLASSNAMEWILEQLLKDKLHQWLSSRLKNNSALSKREQGMIHMVSGLGFCWALSPILNSGVSINFRDINGWTALHWAARFGREKMVAALLACGAYAGAITDPSKQDPTGKTPASIASACGHKGLAGYLSEMTLTGHLTSLKLQETEVSRTCDDVEAERTVNSLGVHDDHIYLKDTLSAVRNATQAAARIQSAFRAHSFRRRQQKESEGSEDEYGLLSSDIEGLSKGSKLTFGNAHDHHAALSIQKKYRGWKGRKDFVSFRQKIVKIQALVRGHQVRKSYKVICWAVGIVEKVILRWRRKGAGLRGFRQGSAEEDEDDDEDVVKAFRKEKVDVAIGEAVARVLAMVDSKPARQQYHRMLQNHRQAMAEHRGFGGGVASMEIDD >cds-PLY83830.1 pep primary_assembly:Lsat_Salinas_v7:3:52300844:52308122:-1 gene:gene-LSAT_3X39660 transcript:rna-gnl|WGS:NBSK|LSAT_3X39660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSARALRKVLNEQESAKNQDIILSDDDESESPSNSPRPSSINPFDLLNEEEEQEKEQEQENDASDVDTSSTVKNEHNLLPSKKNTATNAISSSQKSKKKKKKKKNKATTGTVDSLDAILEDLSFGVNSSGGQSQSQTRSSRKKSENVNDEGDRHRSGKGCTTNILQVDPRFLSAENELRRIFGSKVVSSFERSNQNQAGSSRQQSRTGRRGAHTHRKTIIVSPSEHWPRWDGSLSMELLENKDGCTYFRYVPSSTYSLAQRQFEAAKASHDLNTIASILLHHPYHIDSLTTLAEYFKFSGELQMSADATSKSLYALECAWHPLFNPLQATCKLQYKHETNQQLFTTLFSHMKNMDRRGCHRSALEICKLLVSLDSDDPMGALFCIDYFALRAEEYKWLETFSEEYNSDNSMWLYPNFSYSLAICRFYLEREEKEKEKEQDKDKSSSSDLMKQALMLHPLVLKKLVGKVPLKEQVWTKITNHAFFGMDQSGSESLDHLINIYVERSYIIWRLPELHNFLKDTALSVIEKMEISRSEAKDWECVRKEAFASDKNEYSHLMVSDFSDSTPTIPPENLQNFMIDPRLVDMHNNNNNVNVVGDNQENIPGLGPGPARAPREVANRNALAVLFESMLPWIDYGTREDDDVQDDED >cds-PLY86729.1 pep primary_assembly:Lsat_Salinas_v7:8:203780760:203781951:1 gene:gene-LSAT_8X129960 transcript:rna-gnl|WGS:NBSK|LSAT_8X129960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEARVNDGGCFIPTGARKDPPGDFHPKGLVVELGRQDVENRGCVRGNIFSGVCSLDQEEHNGGVGIARGCQSWPKSGEMVVVAPGIAAMDHTGFWVGFIELEKWERMAVVSGNLDRWFDGKSSFSGGYGSVLMEFREEE >cds-PLY92088.1 pep primary_assembly:Lsat_Salinas_v7:4:106143206:106143484:1 gene:gene-LSAT_4X68541 transcript:rna-gnl|WGS:NBSK|LSAT_4X68541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPERFLESCIDFVRHNFELLTFGAGRRSCPGRIFAMAINEKVLANVLYRFDWALPQGVRPQDVDMNETFGLANHRKVPLLALGTPFHSPHNN >cds-PLY83532.1 pep primary_assembly:Lsat_Salinas_v7:8:188157321:188159925:1 gene:gene-LSAT_8X122281 transcript:rna-gnl|WGS:NBSK|LSAT_8X122281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIVGTEVAKEAVDMVLADDNFSTIVAAVREGKSIYNNMKAFIRSYYVSFRDKTELCRLVFLITAISCFKRLICIKVVPLCIGQQRGIFEACVVLQHSGTKQELMVKDSAGFTPAQIAAHRGHHHVSLILVTAVVRLWGWTVVILSIAYLLMVIRCSSKDSGYVNMSGGIKNNADAEGPFLTIDLTNTAYWSANWSQLCPTCKVLDIPAYEA >cds-PLY95562.1 pep primary_assembly:Lsat_Salinas_v7:6:173834965:173839953:-1 gene:gene-LSAT_6X105041 transcript:rna-gnl|WGS:NBSK|LSAT_6X105041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNFEALDLKEESDVPEPAVRIMSKVENLNDTVDQCTFMETKQFPEPDLEKIEDEAIPDSVDAISVIQELPVCHSGSGINLSGPDVNAVPEAEILVCTSSALPSNCNLNSSEPDEAANVDPHEHGNLYQRSLSTSCGIAEDDGVFFGSPSDHCTDELEMDTEDLAVIFYPDHMVYGDSYCTDCVLTFTSSCIKIEGSGSTVDGDDKIFKLQWDIQDLVHIKSHWYELVEMAMVTIHVLTEDTLQPENVECTSGAELKFAIMGTNWFGKQEAITTLNVAYKTLWSSMLELEDTVLGQIKAPFTKYFPNFDQPFEEVIYPKGDVDAVSISKRDVDLLLPDTFVNDTIIDFYVKYLKNKIRPEERQRFHFFNSFFFRKLADPEKEPLDALEGKTAFQRVRKWTRKVNLFEKDFVFIPVNYNYHWSLIVMCHLGEVATYKDEEDVTELLKVPCVLHMDPIRGSHTGLKGLMQSYLKEEWKGRQQEASEDISSRFDNLRFISLELPQQPNSFDCGLFLLHYAELFLEQAPINFNPFKITKSVHFLNADWFPPADASLKRVVIQRLVYDLLQQPYDEAEAPSSMAAANKVATAVNFFGEIHRHNSPSRNGQTSQAADADDGIEISLLPSLSITSDVEVDPTISSKPGFEQGSFLAMRFPSFNETTFDGYKDPLMPPIQEDVETSVGEFVYAPTGIGIGIGIGIGQENGITPEVSSLQYSSQDFEHQNNKFETSPETSISGCEDSLEIMNGRSNGNGIDEQQLNFKEIRDEQRLPLMEPVEMLDGNIDADAGGLTFSLHHRSEMETETETNGCTGSGESSGMESDEQHPAKRMRTTESFVEGGSACN >cds-PLY95761.1 pep primary_assembly:Lsat_Salinas_v7:3:27914832:27919095:1 gene:gene-LSAT_3X20441 transcript:rna-gnl|WGS:NBSK|LSAT_3X20441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSQGGFGQSKEFLELVKSIGESRSKAEEDRIVLHEIETLKRRITEPDIPKRKMKEYIIRLVYIEMLGHDASFGYIHAVKMTHDDSLLLKRTGYLAVTLFLNEDHDLIILIVNTIQKDLKSDNFLVVCAALNAVCKLINEETIPAVLPQVVELLGHPKEAVRKKAIMALHRFYQRSPSAVTHLVTNFRKKLCDNDPGVMGATLCPLFDLILIDVNSHKDLVASFVNILKQVAERRLPKSYDYHQTPAPFIQIKLLKILALLGNGDKQSSGQMYTIIGDIMRRSDTTSNIGNAILYECICCVSSIHPSPKLFTAAADAIAKLLKSDVHNLRYMGIDALGRLIRISPEIAEQHQLAVIDCLEDPDDTLKRKTFELLYKMTKSSNVEVIVERMIEYMISINDYHYKTEIASRCVELAEQYAPTNQWFIQTMNKVFQHAGDLVNPKVAHNLMRLIAEGFGEDDDDDDTEDSQLRSSAVESYLRIMGEPKLASTFLQVICWVLGEYGIADGKYSASYISGKLCDVAETHPSDDTVKAYAVTAVMKVCSFEKAAGRKIDMLPEFQSLMESLTASHSTDLQQRAYELQAFINLDPDAINNIMPFDASCEEIEIDKSLSLVNGYIEKSLKDGAQPYIPEQERSGTLNINNFKNQDACPHSLKFVAYEVPKPVIPRMPPKVLSSAELLPEPDPFYQREVHRSPSVGSISDTQQLRLRLDGVQKKWGQPSTTGPSTSEPESYLVNGSSEVEVSEEKQKLAGLLFGGISSRGEKKQSQSGGQTKGSKVPRHVVDQTGQVKPAPDLLDSGSSMASSSSSMVDPFKQLEGLLLDTSQKGPDFMCINSDTCNQNQNHDGSSDGSSNLNNRVGPLPTKGPNLKEALGKDALVRQMGVTPTTQNPNLFKDLLR >cds-PLY79641.1 pep primary_assembly:Lsat_Salinas_v7:5:253563429:253566518:-1 gene:gene-LSAT_5X128581 transcript:rna-gnl|WGS:NBSK|LSAT_5X128581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNKLMSIIVIFTICLFKIPFIVKIDAKSIRSNSSDPFVIGAIVDMSSRVGKEARVAMEIAIDDFTAKTNQNLTLYTTNSKGNIVQAIQEATYLIETHKVEAILGLHTMEEVVSVAEVCNEAQVPTFSLFDSVPQWALDRWAPFLFQASPSQFPQMKAFVAILESYGWSRFTFIYEDINSASTQIIPHLMEAIKESSTVEISSIVKLSPLSSSFSLPKELERISKEQCRVFLLHSSLETGVRLFQNAKNMGMMEKGYVWITTSLITDLLHTVNSSTFSTMEGVLGIGSFFSQFDEFTTKFQKKFKLEQPEEENNIPGVFAAQAYDATLIVASLQFVDQKPLASHKFPIINVIGKYYRELGFWSEGLGFSEVINDKTTYDPSLKSLGYIFWPGKPLHTPIGWAIPTNANPLRVGVPTMAMFKKFVEVKYDHKNHNLTYKGYSIELFKETIKLLPYYLPYEFHPFNGTYDSLVKQVYLKKFDVIVGDVSVVSRRYKYAEFTHPYTETGLVMIVPVTSYHGQWLFVKPFTLSMWALTILINIYNAFVIWLIEHKNTPELGGSALNRTGILLSLAFTRMFFTHGDEVHSNLTRMTTVAWLLAAIIIGQCYTASLTSMLTVKRLIPKVADFETLKNGNAVVGYGQGAHVASYLVDVLGFKNRNIRAFTSPEGYARALKNREISAVFLEAPFTKLFLAKYCKSFITAGPTFGDGGFAFVLPKGSPMVADFTKALLNVSESGTLQEIERRMIGSEKCVEMDSDHDEYESLGLGSFWSLLVLTSIWTLVWTNWKKEEQSLSSNSNTMMGMPFMHMMDINKNNSHHAVM >cds-PLY77693.1 pep primary_assembly:Lsat_Salinas_v7:9:18962512:18967186:1 gene:gene-LSAT_9X14000 transcript:rna-gnl|WGS:NBSK|LSAT_9X14000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHFTPPPALAQPVPTPDPEAIPNEKWVAGEDPILEVLNCVYPTLDSEDKRKDVIEYMQKLFRTSLGVEVFTYGSVPLKTYLPDGDIDFTAIGAPDRGYTLPRDVLHLLQAEEHNGNNEFEVKDVTYIDAEVKLVKCIVSGIVIDISFNQLGGLSTLCFLEQVDRQIGKDHLFKRSIILIKTWCYYESRVLGATYGLLSTYALAVLVLYIFHAFGASITNPLMALFRFLDYYGKFDWDNYCISLNGVVKKSSLLNIVVEYPLNERTGAFLSDAFLNTCMETFILCSNESTSNVSNFMWKHLNIIDPLKETNNLGRSVQQANSYRILSAFRYGASTLREVLELQNENIGDGIKKFFANTLQRHKPKCIPDVPLTFDTLSLSSYSETEYIDDVDSKLSYDDLDDVSYVGSGEASDLDVFQDLSPRTTAKMVKGGGGHDDVAHDLRNPDVIGGFGEMESLNPFADLTGDYESSIRNLLYGQFHHGNGLNGSLLMGHVVQQQYEEAAAPARYFWSSWFRWSSPPSPQVNSNSVVYQNGQTSRQTGQQFRLEERNTVRGTGSYIPATNIFRGGAGSRRGWRPGARNRQQEQSHRGKLKQVMYSQRKLVFGAFGANATNPEESTSGSQSQAPPPKYVSCGRSQGSGQAEHLFLKNEEEFPPLAT >cds-PLY98117.1 pep primary_assembly:Lsat_Salinas_v7:1:141517604:141518660:1 gene:gene-LSAT_1X104380 transcript:rna-gnl|WGS:NBSK|LSAT_1X104380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSIINESDERSMKMILNQPSCPKSLIFHVQTSDGRLHAVMVNLIILSSAWHNTGVPKTNGVICCQIFRERKGTDGDTSIREAQIVLLYKPGYLLSCTA >cds-PLY76390.1 pep primary_assembly:Lsat_Salinas_v7:8:85922123:85923180:-1 gene:gene-LSAT_8X60981 transcript:rna-gnl|WGS:NBSK|LSAT_8X60981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSKRLTALFFISLFMVSSANPVLDCGSCDKPKPPTEKPPVVKPPVKPPVTLPPVKPPVTLPPVVKPPVTLPPVVKPPVTLPPIKPPVTLPPVKPPVTLPPIKPPGEPCPPPKGASPPVASPPGASETCPIDILKLGACVDLLGGLVNIGLGDPAVNKCCPIIAGLAELEAAVCLCTTLKVKLLNLNIYLPIALQLLVTCGKTPPPGYTCSL >cds-PLY89646.1 pep primary_assembly:Lsat_Salinas_v7:8:221487775:221491529:1 gene:gene-LSAT_8X136841 transcript:rna-gnl|WGS:NBSK|LSAT_8X136841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase 5 [Source:Projected from Arabidopsis thaliana (AT4G28490) UniProtKB/Swiss-Prot;Acc:P47735] MPPPPLPSRPPPSPPSLLLLCLLLLSTTTSLSLNQEGLDLIQIKSTLIDPSGILSDWNPADDKPCNWFGVTCSRSTVTSIELPSASLAGPFPIGLCRLPSLSIVSLSDNSLNSTIPATISTCRNLTSLDLSANYFDGLLPSTLSDIPNLVYLNLQDNSFSGEIPRSFGLFRRLESLILINNYLNGSFPVILTNVTTLKELSVAYNYFLPAPIPPQLGNLSNIEHLWLSSCSFVGTIPETFSKLQKLSDLELSYNSLTGPFPAVIFQLRKLYQLELYNNSLSGDLPKKDWSNLPELRKIDLSVNSFTGTIPVELCKLPLESLCLADNKLQGLIPESLARSPNLYDLRLFDNSLTGPLPSDLGSNSSLKTLDVSFNKLFGELPSSLCEKGELFDLVLIGNSFSGELPVSLGECKSLGRVRLSSNKLSGEVPGVIWGLPHVYLLDLADNLFSGNLSFAISGGVNLSSIIVSGNTFSGGIPDEIGSLNNLVEFIAGDNKLTGGIPESFFKLNHLGTLDLSGNDFSGQIPAQIGSLKQLNELNLANNRFTGEIPDEIGDLPVLNYLDLSGNSFNGNIPSGLDNLMLNSLNLSNNHLSGPIPSAYAKDVYRDSFLGNPDLCGGFTRRCPQTDESKTNHNLWLLRLIFVFSGIVLVIGVSWFIFKYRSINNTKNESPISISKWRSFHKLGFNEFEIIHRLNENNVIGSGASGKVYKAILSNGEAVAVKKLWERSIKTNTITDSAQKDEFESEVETLGKIRHKNIVRLWCCCKSGNSRFLVYEYMPNGSLGDLLHSSKGGLLEWPMRFKIVLDAAEGLSYLHHDCVPPIVHRDVKSNNILLDEEYGARIADFGVAKFIELGNKGSESMSVVAGSRGYIAPEYAYTLRVTEKSDIYSFGVVILELVTGRKPVDQAFGERDLATWVHTTVNQKGYDHVIDPELEYESKDQICRVLDIGLLCISQLPMNRPSMRTVVNLLQEAAADGKLKATMNKDVKSSPYYEEDSSDQASLV >cds-PLY64759.1 pep primary_assembly:Lsat_Salinas_v7:3:186837566:186838249:1 gene:gene-LSAT_0X18740 transcript:rna-gnl|WGS:NBSK|LSAT_0X18740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFSSFSLDHPHPLFLLRSIHDQMVEDDTPVIEVQYNGMFINKPFIYFDPDKKEIMYADFRENGYVQFVAYLNVITTGMCKDVYYFLDGQTLCQGLATLQNKCDYHEFIECLHEKKKVIVYVDHFHEPLFDWIEMEDLEVDDDTNSNTDEDVDSMFLNKLCPKVEEEEESKVEQLPPVCPVHNSEQQWDEMAPPLGM >cds-PLY71873.1 pep primary_assembly:Lsat_Salinas_v7:7:120931864:120932959:1 gene:gene-LSAT_7X74080 transcript:rna-gnl|WGS:NBSK|LSAT_7X74080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANRLNMLPEDCVFTILSLTSPADACRVILASSSLRSAAESDIVWGRFLPSDLAYILSRTHSQINFSSMKELYFQLCDSVLIDGGRKSFSLNKISGRKSFVLSSRALSIYLSNEQNHWTWSTHSASRFSEVIELKSISSIDIEGRISTQDLSPNTTYSAYLIIQVSDRAFGLDSIASEISVSMNECSVSNTAYLCPLDERKQLLGSLLYMNRRRMMEKLVVEGDGQRPSKREDGWMEIELGEFFVGEEGEEVIMKLMEVKGYQLKGGLIIEGIEVRPKC >cds-PLY94407.1 pep primary_assembly:Lsat_Salinas_v7:6:10299336:10299521:1 gene:gene-LSAT_6X7280 transcript:rna-gnl|WGS:NBSK|LSAT_6X7280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTANHPDGSPTMIGRLYPKTDGGYGAVVIDGWIIVVEEEVGYRVVATGGRERGVAPMRVA >cds-PLY75306.1 pep primary_assembly:Lsat_Salinas_v7:3:156481051:156484842:1 gene:gene-LSAT_3X99721 transcript:rna-gnl|WGS:NBSK|LSAT_3X99721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSETFEGCERQYCELSASLSKKCTSATLLDGEQKKQKISEIKAGIDEAESLIRKMDTEARSLPPNVKAVLLAKLREYKSDLNNLKSEIKRIASSNLNQAARDELLESGMADATAVSADQRGRLLTSTERLNKSSDRVRDSRRTMLETEELGVSLLQDLHQQRESLLRAHGTLHGVDENISKSKKIMTNISRRMGKNKWIIGSVVAILIIAIILILYFKLKK >cds-PLY94399.1 pep primary_assembly:Lsat_Salinas_v7:6:10776853:10778406:1 gene:gene-LSAT_6X12961 transcript:rna-gnl|WGS:NBSK|LSAT_6X12961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGEDHIRTLFGITLTDKPTWQQFLICSSGFFFGYLVNGICEEYVYNRLKFSYGWYFTFVQGFVYLTLISLQGFTTKQMVNPWKMYVKLSAVLMGSHGLTKGSLAYLNYPAQLMFKSTKVLPVMMMGAFIPGLRRKYPPREYFSAVLLVVGLILFTLADANSSPNFSIIGVVMVSGALVMDSFLGNLQEAIFTINRDTTQMEMLFCSTVVGTPFLIPPMVLTGELFRAWNSCFEHPYVYGVLVFEAVATFVGQVSVLSLIAIFGAATTALVTTARKAVTLLLSYIIFTKPMSEQHAMGLILIAAGIMMKMLPDHKIPQKLRLPDAIVKQVKSYPRDEKNEEQDEEKRSLV >cds-PLY73500.1 pep primary_assembly:Lsat_Salinas_v7:2:101023991:101030585:-1 gene:gene-LSAT_2X44360 transcript:rna-gnl|WGS:NBSK|LSAT_2X44360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSVINLEKCRIPLNEIICATKNFSLEAVVGDGGFGMVYRGQLSNHWENQLVAIKRLDGNLYQGNKEFHNELKLVSSFNHTNIIPFVGYCDDGKEKIIVYKYATNRSLDYHLQDPNMRRCLTWAQRLKICLGAARGLKYLHSGVGEHRRVIHRDMKSANILLDESMEAKICDFGLSRLGPRNQPQTYIRTKPSGTRYYIDPIYNERGRLSKESDIYSFGVVMFEMSSGTMAYEKRFGDAKERYLIDIVRSHYDDHKLVGGLDKLMDPFIRGHINMSSFEKFNEVAHECINLDLRKRPTLDRIIKTIGEAMNIQCFQLDRESGKRCYMLGAKELSIAWKDEPRYWDWQHIPESRFAEVCILKHVCWLAIHGKISSAMLSPNNPYVAYLIFRTTSDCRGLSVPAKTRVSFGGIRMETEGVYLLRPRVSSRILNLEETCMYPYKRKDGWMELKLGEFACNEGDDGEVEMAFEEVKHLNWKNGLIVEGIEIRTNRIHNESYFLRDFLLVSLFMCCLYMLPIYNLF >cds-PLY64677.1 pep primary_assembly:Lsat_Salinas_v7:7:135482374:135482667:-1 gene:gene-LSAT_7X81061 transcript:rna-gnl|WGS:NBSK|LSAT_7X81061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSESGKVEVDCQKTIVVTEQKSNILDANARKYDQQILYIGDQSETHDYEGFLDLGFMEQADVPIVPLSIVFPDSYFEGEIPQGTNSDIESNDDQLNP >cds-PLY72053.1 pep primary_assembly:Lsat_Salinas_v7:2:205199058:205200457:1 gene:gene-LSAT_2X126340 transcript:rna-gnl|WGS:NBSK|LSAT_2X126340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACNYEEDVPHVLAVDDNLIDRKLVEKLLKSSSCRVTTAENGMRALEYLGLKEHHQQNNGSKVNMIITDYCMPGMTGYELLQKIKESSVLKEVPVIIMSSENIPTRINECLEMGAHMFMLKPLKLSDVKKLRHQLTNVEVEKR >cds-PLY68771.1 pep primary_assembly:Lsat_Salinas_v7:2:211546807:211547595:1 gene:gene-LSAT_2X131341 transcript:rna-gnl|WGS:NBSK|LSAT_2X131341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNGDWVSFSHHHGLVEICDGLPTSIKYWKEEFFFVHASAFSGSMAYDATTDRSADPVPELTFDEQLITKMLSDNFVRWMDPDEAILGMAGMSPHWNCLGKKPMEMLEGKYVTLLDRLHRRRILNSALVTEDVIAPDSRLDVSRAEGSRRISPTFRSKGSKLDSNFGKPPTVFMRATSKGFILKKRSESAQDDQLIRMSPPKRKRSGCELKSQSLNDLPDPPVSDVESSKRALKDLVSAVFHECLIESDSEMKYVEDDAKVS >cds-PLY94537.1 pep primary_assembly:Lsat_Salinas_v7:2:156185571:156186055:-1 gene:gene-LSAT_2X79940 transcript:rna-gnl|WGS:NBSK|LSAT_2X79940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDQAHLDRIFKRFDTNGDGQISSTELGEALKALGSVSGDEVLRMMGEIDTDGDGFISYQEYMDFCNANKGLMRDVAKIL >cds-PLY83488.1 pep primary_assembly:Lsat_Salinas_v7:3:234087734:234088736:-1 gene:gene-LSAT_3X129940 transcript:rna-gnl|WGS:NBSK|LSAT_3X129940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENACKVNLLSSSSCTSTSTSTTTTTTTTTTVSTITPMGSKPQKRSKRTQEGNNVSDQKKPHHPSYRGVRMRSWGKWVSEIREPRKKSRIWLGTFLTAEMAARAHDVAAIAIKGRSAYLNFPDTVHLLPQPATTSPKDIQEAAAKAAAACGGDEPQPPSNTVLSHSNSSNTLSSSDNTQDSVASTVEDDDAFFQLPDLSLNTNHRSDMFGYYHSSWHLGPEVDIDFRLQAEEPYHW >cds-PLY85394.1 pep primary_assembly:Lsat_Salinas_v7:5:243150018:243151611:1 gene:gene-LSAT_5X120381 transcript:rna-gnl|WGS:NBSK|LSAT_5X120381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRTTRKTLDSYTIKGSNKSIKPGDCVLMRPSDSSKLSYVAKVEKLESDAKGSNVKIHIQWYYRPEESIGGRRQFHGTKELFLSDHHDVQSADTIEGKCTVHTFKSYTKLDSVGNDDYFCRFEYNSSTGAFNPDRVAVYCKCEMPYNPDDLMVQCDGCTDWFHPACIDMTPEDAKQIEHFFCQNCSSEEQKLLQNSHATSRHTDVKVGTKRRRR >cds-PLY64230.1 pep primary_assembly:Lsat_Salinas_v7:7:4257998:4258885:1 gene:gene-LSAT_7X3701 transcript:rna-gnl|WGS:NBSK|LSAT_7X3701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVERVAWIRIIGLPLYLWGERNFASIIEGFGKTIAPYEDLSNRVDLSCPKIGILTSRKTRINEELQVVIDGRVFKIGIIEFDEDWFPFRFDKSEDYYETQDEGDEEDSEYGNEEEDGISDTYMGNPDDEKEEGEISPELQTGRNVVQSEGGKATNIEIGDEFPTRQPTVRSEVIETAAEVQRTPDEQMTVRDSMLTEYVASPRILEALMDNGNIPGLIHNSKDKCPGPGMESNPNLNGLSYELPPIGCFGPFKSPIVSNKGIRQSSEVCSSLGKRRRLEHDEPRRPYPFNKSDD >cds-PLY68914.1 pep primary_assembly:Lsat_Salinas_v7:2:193807386:193809533:-1 gene:gene-LSAT_2X115161 transcript:rna-gnl|WGS:NBSK|LSAT_2X115161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADGPGSPAGGSHESGGEQSPQSNSNIREQDRFLPIANISRIMKKALPANGKIAKDAKDTVQECVSEFISFVTSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIDPLKAYLSRYREGDTKGSARGDGSSKKDTVGTQLVPNSQYTHQGSFSQGMNFLNSHV >cds-PLY92629.1 pep primary_assembly:Lsat_Salinas_v7:2:161840795:161842474:1 gene:gene-LSAT_2X85780 transcript:rna-gnl|WGS:NBSK|LSAT_2X85780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDYFDAEQKALRTVSPSLFRRRTLPAARLLSLAIPQWNLHNFLFFYYSQINLMEPSSEEEYETFIEKVERTIYLDNISNQVTEAVLKTALDQFATVKNVQFIPTYFNSCTIYAALVEMETAKQAQEIVKEISDSPFMISGMPRPVRAQKAKIEMFDDRPKMRREKIVCYWMDPSHPNFDVAKKLKNLTKKHAVEASFLMEKQMEEEEKLHNQQEVSLKANFKKYELIDSAQGDGTFRRLGARYGSSYNDF >cds-PLY70260.1 pep primary_assembly:Lsat_Salinas_v7:4:205696233:205700476:1 gene:gene-LSAT_4X116221 transcript:rna-gnl|WGS:NBSK|LSAT_4X116221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARKLRFFKDQMFKAGLTPSTKSTHVSEISLDELEVKLGEQELELIEMNANSEKLQRAHNELLEYKLVLKKAGEVFNSAQRSATAQQREHEHRRVERSLDSPLLLEQEITDLSKQVKLGYVSGLIQRDKSMAFERILFRATRGNVFLKQYSIEQPVIDPVSEQKVEKNVFIVFHSGERAKNKVLKICDAFGANRYPFTDDIGKQQQMVTEVTGKLSELKTTIDVGKHHWRHVVHAISHQYEQWNNLVKKEKSIYYTLNMLCFDVTKKCLVAEGWCPVFATSQIQKALTKATVDSNSQIGAIFEILTSKESPPTVAKYQEANPGVYTVVTFPFLFAVMFGDWGHGICLFLATMYLILREKTYSSQEKTIPSSLYEYTKKLGDIMEMAFGGRYVIIMMSIFSIYAGFIYNEFFSVPYELFGQSAYACRDPSCRDATTIGLIKVRETYPFGVDPIWHGTRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNAKYQFVPQMIFLNSLFGYLSVLIILKWCTGSQADLYHIMIYMFLSPMDDLEDNELFIGQKYLQLLLLFMALVAVPWMLIPKPFLLKKQHEERHRGGAYQPLHSYDENLEQERNNGRREEFEFSEVLVHQLIHTIEFVLGSVSNTASYLRLWALSLAHSELSSVFYEKVLVLSWGYNSVTIFIIGIIVFIFATVGVLLVMETLSAFLHALRLHWVEFQNKFYQGDGYKFYPFSFALISDNEE >cds-PLY94801.1 pep primary_assembly:Lsat_Salinas_v7:2:179462795:179464969:1 gene:gene-LSAT_2X101380 transcript:rna-gnl|WGS:NBSK|LSAT_2X101380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRRIVETSVYALSTTTLAANHCQIVKLGTIRDTYTANILLGGYLKIRELRIACKLFDEIPQKDTVSWNSLIAGYVNYGDLESAWEVLIRMKRCGFCFDGYTFGSILKGIASDDCLFAGQQLHANIIKTGYDENVYSGSALLDMYAKCGGVVDARKCFNCIPNPNSVSWNALISGYVETGDHVNSFQLFKSMHREGVRLDDGTFSPILTLFDKPEFHELTMQVHCAIIKHGMESDSSVLNATISAYSKCGSIKDAKGVFDGGDDFWDIVSWNAMLAACLEHDERVLAFNLFSKMQILGFEPDIYTYTSMISSCFEEEIRNQGQSLHALVIKRGVEHLTPISNSLMAMFSGPPGNYMEYAIRIFKHMEFKDRVSWNSMLTGLSQHGFSENALKLFQIMQSGNLEMDYYAFSAVLRSCADVATLQLGQQIHGLTIRSGFESNEFVTSSLVVMYSKCGIIEDARRSFETSHKQNSVTWNSMMFAYAQDGQGHVALDLFSQMRERKVKVDHITFVAVLTACSHIGLVEEGYEFLKNMESDYGISPRMEHYACVVDLLGRAARLKEAKQVVGSMPFQPNAMVWKTLLGACRLCGDVELAMEVGNFLLKSEPYEHCTYVLLCDLYGHLRRWDEVAVLKRIMKEKGVKKVPGWSWIEVQNQLHAFNADDHSHTQNQEIFQMLTKLTNDIASLKIFYDLDTLMHDCDCVIMNRSPTMLQDSEASFSMECY >cds-PLY86653.1 pep primary_assembly:Lsat_Salinas_v7:4:278943681:278946345:-1 gene:gene-LSAT_4X142780 transcript:rna-gnl|WGS:NBSK|LSAT_4X142780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALTEAMSLSSSSPYYRWKHDVFLNFRGADTRKNFVSHLYAALIAQRIKVFKDEKTIRIGRNIFLSISKAIEESRISVVVLSQNYGESVNCLTELEKIFECSRSKGRYVIPVFYHVQPAEVKHQSGCFREGFAVHGNHMKLSVWRNALIEATNIAGIEVQGNESDCITKIVETVMRELGPRRFPLGSLLAKAPVYWCALNFLTFKRNTGARKLGSAGTLSTSHRKKPNKETTEVYDPLYKSVHIGSLNKEVVAIREINVSAMRESDSSFLEEVLSAMDNVGSLVDPKLNGEFTSREAEKLAFTMLLATMDQPSDRPDMNTIVEYFKKLLAVE >cds-PLY64982.1 pep primary_assembly:Lsat_Salinas_v7:8:160922625:160922858:1 gene:gene-LSAT_8X107241 transcript:rna-gnl|WGS:NBSK|LSAT_8X107241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAQMVVVMVGNVSKRPTGSLSFAKRPLGARIATDKTFFGGSVGMSDQDIEQRLAAVSVFALSNSSEEFVVVSGQNL >cds-PLY67202.1 pep primary_assembly:Lsat_Salinas_v7:6:133397687:133399599:-1 gene:gene-LSAT_6X80561 transcript:rna-gnl|WGS:NBSK|LSAT_6X80561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVFIFALFLKVSTALSSQNITHLFSAVFIFGDSTADSGNNNYINTPFKADHPPYGEDFPGKIPTGRFSTGKLMSDIWASLLGIKQTVPPFLQPNISDFDIRTGVNFASAGSGHDDMTTQISQVIPVTKQLYYFKEYIKRLKKVVGIKEANRIIEGALVSISGGTNDFTISYYDLPSRRDNFSMDDYQDYILKKLQNFINMFPPVELEESIKKTGGYPGRSEKEPPSTLMWTMFYLAQVCRTTVPPPFSIQISDPTISE >cds-PLY83509.1 pep primary_assembly:Lsat_Salinas_v7:8:189281446:189281610:1 gene:gene-LSAT_8X122680 transcript:rna-gnl|WGS:NBSK|LSAT_8X122680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRKKRGEGGHKTPITLAPQERGAVFTPMKQLDINHVCVVISIHFNLSKIKV >cds-PLY72890.1 pep primary_assembly:Lsat_Salinas_v7:4:273701949:273705090:1 gene:gene-LSAT_4X140001 transcript:rna-gnl|WGS:NBSK|LSAT_4X140001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSASSTGRAISSVAISYSPLLGFLKPNHRHNHISYGFNSKYSSMAIHCSSSENGRSSDSRAFSLNENGKARGSVKWQRVLLKVSGEALSGDGEQNIDPKVTMSIAREVADVTRLGIEVAIVVGGGNIFRGASWAGSSGLDRSSADYIGMLATVMNAIYLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAIRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDEDPRNNPEARLLDSLTYQEVTSRDLSVMDMTAITLCQENNIPVVVFNLGKPGNIAKAIKGERVGTLIGGVCVSTATRT >cds-PLY63624.1 pep primary_assembly:Lsat_Salinas_v7:4:129571268:129572791:1 gene:gene-LSAT_4X81240 transcript:rna-gnl|WGS:NBSK|LSAT_4X81240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 30 [Source:Projected from Arabidopsis thaliana (AT5G63480) UniProtKB/Swiss-Prot;Acc:Q9FMV4] MEETSSTISTSQKSTQELAMEGQKHLEDTIESAFQILSSMNDELCNPNLWSTSSPPPNVNTTNGHHGPSNGVSNGDATSSDAAHHFEMGGGALDEARLRYKASVAALRSVLIAIPNSKKAKAYDIDPMLTDEMDAEKLEDRASALRKELEDKNKHLKILIDQLRDLITDISSWQSPIVV >cds-PLY84067.1 pep primary_assembly:Lsat_Salinas_v7:6:192048665:192051940:1 gene:gene-LSAT_6X118061 transcript:rna-gnl|WGS:NBSK|LSAT_6X118061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPGSLLWPVIEPRLQLYFLLGLVYSTITPILLPFIIVFFEFAYMFIHHMKTSQDLNLMPSVDLKNAISVNRNLEDSMNSRKIMFLRFVHLLLISWLCKLLGFLYVNLVMFKILCSGDIPAATEEDVNVLWMLXDVNVFVDAAHKALQISSQESIFNHGSCHTRTDTSNSRCFFVNVCRKKPDQNIHLESKVLGLTAVTFSSKHDVSCFAPDINVPSQFMRNLIDDNSSSELLEGTQIQDLYDLLEIKLVNFQINLFVSFYPSYYFPILEKLNASSALALCIVQDESLLKAMEVYVVVAPFSVGHVNFRFHLRKVSILLTDEKWSSNGPLLEILKGSLLFHGIITANVMKGSVESEPQLNYNNIHKVLWESFLEPWKFQVSLRRQQGKSALQNSPVMTDVHLESTMNLNINVTESLIEVAFRTLVMVSSYFL >cds-PLY97649.1 pep primary_assembly:Lsat_Salinas_v7:5:236453235:236454854:1 gene:gene-LSAT_5X116101 transcript:rna-gnl|WGS:NBSK|LSAT_5X116101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGLHAIPLSICITLLIAINGEAVTPRETLEIIIGGGGASPLPPAPEYQDCPPPPPPPCPPPPSPKPPSRKPPPPPSPSPSPPPPRPPKPSPPGGFFDGFANMLQQRDFKVIQAFKNKVTRDPTGMTKTWKGKKICDYQGFICDTVPDVKLRGIAGVNFNNRNLYGPNLTLTDFLTGLKDIAFFHANSNNFTGSIPEDIGTLRYLYELDLSNNKFSGNFPYQVLRAKKLVFLDLRFNTFSGVVHPDVFLLDLDLLFINNNNFKQTLPECLGSTTALYLTLANNYFVGGIPKSIGRAANTLLEVLFLNNTLTGCLPYEIGLLKKSTVFDVGFNDLTGPIPHSFQCLEKMELLNLAHNKFYNEVPEAVCNLPNLSNFTVSYNYFTQVGPKCRELIKKGVLDVKMNCILDLPNQRSPTDCARFFSNLPSCPDKKSLTYIPCSKEFSGNDQLEASNVQWATPSPAPAPLWRSYGALSPH >cds-PLY95936.1 pep primary_assembly:Lsat_Salinas_v7:9:41447235:41456876:-1 gene:gene-LSAT_9X38241 transcript:rna-gnl|WGS:NBSK|LSAT_9X38241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGEITAAAEVGSHGGGSGCSNYHTEHELLRYISKLQSKDLSLCHSMIPLGSCTMKLNATTEMMPVTWQPLQICILLLLPNRHRGFRWYHFDDAHVTAVSEGDIRTSAAYLLFYQRVKTTAKTKTKPNNGNGNGSVSVSVGESSSHSHSLEEDF >cds-PLY95193.1 pep primary_assembly:Lsat_Salinas_v7:8:61760263:61760442:1 gene:gene-LSAT_8X44981 transcript:rna-gnl|WGS:NBSK|LSAT_8X44981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTGSDPNSIIGVGINWGTMTSHQLSADKVVEIMRENGFKKVKLFKAEKRIMEALIG >cds-PLY74339.1 pep primary_assembly:Lsat_Salinas_v7:6:287014:292727:-1 gene:gene-LSAT_6X1600 transcript:rna-gnl|WGS:NBSK|LSAT_6X1600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANNNNSKNEIPPTEELLKKIHDLEQSHAHLKQEMSKLKISDDQQKSERQRSSSVSPRRPRRKHMGGASGMFEGGAVAAFKMGSASFRHSSPLRRETRSVDVSYSNDHNHNTSVTSAADGPSAVKLTETQYLNILQSMGQSVHIFDLNGHIIYWNRMAENLYGYTSSEALGKTAHELLVDSNDYTLAEAILQRTAKGESWSGQFPVKNKLGEKFIVMAANTPFRDENGTLIGVICVSSDTRPYQEMKPLATISAPRRIASAKLGLDPQQPLQTAIASKISNLATKVSNKVKSKMKPGENFTDHEDSDHKEDGYSSGASTPRGDLPQSPFAGGFSSKDQITGKPLGDSGDEGENKPGIHKLLSSKAEAWMGKKGITWPWKGNDNREGGSDPKTGRFGLHWLHNNNNDQEHESGQQTKVESQPWENTNRNEASGSWSSSFNVNSTSSASSCGSTNSSAINKVDVDTDSLDYEILWEDLIIGEQIGQGSCGTVYHALWYGSDVAVKVFSRQEYSDDVILSFRQEVSLMKRLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNTARLDWRRRVHMAMDIARGMNYLHHCHPPIIHRDLKSSNLLVDKNWNVKVGDFGLSRVKHETYLTTKTGKGTPQWMAPEVLRNEQADEKSDVYSYGVVLWELVTEKIPWDSLNSMQVIGAVGFMNQRLDIPTDVDPQWASLIESCWCSEPQSRPTFQEILDKLKDLQKKFTVQLQASRTTTTTTTTTTTAAAATTTIAAGENDGQKES >cds-PLY71409.1 pep primary_assembly:Lsat_Salinas_v7:4:239521321:239521641:-1 gene:gene-LSAT_4X128361 transcript:rna-gnl|WGS:NBSK|LSAT_4X128361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNADEITNNQHIPDAVDQSEVDDYEGFLDLGFMPQAVVPIVPLNVIYPHSYFEVEVPQGTNRDNDFNNDHLKPRKRKASFSGGAHNIEVGCSAIASDPSAPPPSC >cds-PLY76333.1 pep primary_assembly:Lsat_Salinas_v7:4:73403:74342:-1 gene:gene-LSAT_4X1701 transcript:rna-gnl|WGS:NBSK|LSAT_4X1701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISRLHLGNQKQRCLNSPFVKLFRSFHLPHKSSAKWIERGESFLLIHSMEALLNGIKWMVPKNYTR >cds-PLY65222.1 pep primary_assembly:Lsat_Salinas_v7:8:20210246:20211731:-1 gene:gene-LSAT_8X15501 transcript:rna-gnl|WGS:NBSK|LSAT_8X15501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGATGSGKYHLAIDLTSQFPIEIINADSMQVYEGLDVLTNKVPLDDQKGPIDFSQENKLIMHPYHFTSQCLRSFFLNALRYKVDCIPPVVEPVPSIESEDEEGIT >cds-PLY91251.1 pep primary_assembly:Lsat_Salinas_v7:3:76627479:76628319:1 gene:gene-LSAT_3X59460 transcript:rna-gnl|WGS:NBSK|LSAT_3X59460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSSNKKRLRSDSDESEFNSPEAKRIRDDLLDTLDDSDVCTVGDDLDSFIKSFEDEISPPPETLDQASESGDSRPDLEFLLEASDDELGLPPTETTPTESERIVVSTESVELGEFSWVDNEIPNYESFEYGFDYAAGDVNVNNGNNNQNGEYVALEGLFDYTDLGFGSADMSRRPESLPAQ >cds-PLY65384.1 pep primary_assembly:Lsat_Salinas_v7:6:39493467:39495957:1 gene:gene-LSAT_6X30921 transcript:rna-gnl|WGS:NBSK|LSAT_6X30921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAASKPHVLKHSFFSNLARISNSQHPGLCFFCSSSSADTPTNEETPKTEAPDFSAESHPEKPVSTHEEAQPGTIQNREPGIPRGKHRNPEKIEDIICRMMANRAWTTRLQNSIRTLVPVFDHNLVYNVLHSARNPDHALQFFRWVERSGLFKHDRETHYKIIEILGKASKLNHARLILLDMPKKGLTWDEDLFIVLIDSYGKAGIVQESVKIFRSMEELGVPRTIKSYDTLFKVIMRRGRYMMAKRYFNKMLAESIIPSRHTYNLMIWGFFLSSRVETANRFFEDMKTREISPDVVTYNTMINGFNRVKKIEESEKFFMEMKARNIEPTVISYTTMIKGYVTANKIDDALKLYEEMKSFGIKPNSFTYSTLLPGLCEAEKMSEAHTIMKEMTHRHLLPHDNSIFLQLISGQCKSGNLDLATDALKSMIRLNITPEAQHYGVLIVNLLNSGVYDEAVKLLDQLIEQEIVLNTKGTLELESNLFNPMIDYLCNNNMTSKAETLFRQLMKMGVLDSVSFNSLIQGHSKEGTPDSAFELLKIMMRRNVSSDKTSYKMLIESYLKKQEPSDAKTVLESMIENGHDPDSLIFKSVMESLFEDGRVQTASRVMKMMIEKGVKDHKDLVSRILEALLLRGHVEEALGRIELLMNVGFGPDFDGLISVVCEKGKTIAAVKLLDFVLERDYGVEFSSYDKVLDALLKAGKTLNAYSILCKIKEKGGVTDKSSGEELIRVLKEQGNTQQADVLSRMIMGKEKGKKVKKQASVL >cds-PLY88041.1 pep primary_assembly:Lsat_Salinas_v7:6:181135506:181135787:-1 gene:gene-LSAT_6X109481 transcript:rna-gnl|WGS:NBSK|LSAT_6X109481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNQSQRCVQLFFVILVSFSLLVSGAEKVTTVVGNTRNVQYDSRILEKQQKKEKEWKEKCQQKDTRHSSSDDHGLYSSKRRVPNSSDPLHNRR >cds-PLY74112.1 pep primary_assembly:Lsat_Salinas_v7:9:13288122:13290625:1 gene:gene-LSAT_9X11440 transcript:rna-gnl|WGS:NBSK|LSAT_9X11440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLTTPLFLLVLSICSSTSSYADLTTFVYKGCANQKFEDPSGISSQNLKSLYANLISQSSTTNFYNTTTGNAQLTTSITGVYQCRGDLSNSDCNTCVKKIPETIEKVCGGDTIAARVQLDGCYMRYEVVGFPPASATELLYKQCASNRASGSGFDERLESALEQIEKGIGNGKGYYAAEYQSVAVLGQCEGDLGNADCVNCVKTAAENGRSACGSSLSGRIYLQQCYIGYTYYPNGVPGSGTGSGGGGGGGIDTGVMETGGGGGRHNTQKTVAIVIGGLAGLFLGIAFLLVLKSAFKKKKDKYSHSHGVSGQNSWALIEEQGELREKKRWQPFEFIHLFMPLNPSQVSLTNLSYWHMHALRINSS >cds-PLY62119.1 pep primary_assembly:Lsat_Salinas_v7:5:38942422:38942850:-1 gene:gene-LSAT_5X18900 transcript:rna-gnl|WGS:NBSK|LSAT_5X18900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAITRALGRDLITEEWESFEFRFGFVPKHGVQIPIPDASLYSSPEGKVGMPVAFFEEGVRLPITDFFNLIIREYRFLVKELTPITINKIVGFELLCRALGRLPTVMAFKDFFNTSTQSGTRTLSRRQGVPTLIHNKKSKKNW >cds-PLY65730.1 pep primary_assembly:Lsat_Salinas_v7:5:270408914:270409606:-1 gene:gene-LSAT_5X140901 transcript:rna-gnl|WGS:NBSK|LSAT_5X140901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICNGSWREASSSENERTVLDDSVKTLEDGDDSKPDYTPIDRPSKPSLSQAYTAAGLELLSSKYKPSEDEKDTTTSLESSPSCPTPSHRSYRTSMDTQEEAIGSCFEVGESSTSPPPTPATPLEQVISHMVSLTAHHSDCIGATYTEVFLLRMALMQLHREGILLGGGKGLNGSADLTHAGSAAGGIG >cds-PLY76218.1 pep primary_assembly:Lsat_Salinas_v7:4:55738090:55762412:-1 gene:gene-LSAT_4X37761 transcript:rna-gnl|WGS:NBSK|LSAT_4X37761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIMFDPSSILSCILTFLSTILFFLVTGIQAQAKILPRDEVNALREIAKELGKEDWNFDLNTCDGDPSWNTNVQDPSSQYNNSVVCDCSYPGSVCHVVEISVKGQDLAGVLPPSLAKLPNIKIIDLSFNYLNGTIPPQWASTKLKFISATANRLTGSIPTFLGNITSLLYLGLENNMFFGAVPAELGKLKNLTSLVLSANNLTGKLPEELNSLTNLIELRISSNNFSGKIPNLGNCTKLQKLEIQGSGLEGPIPESLYFLRNLTELRIFDLSGEVSRFPNLSRLTSLNKLMLRSCNITGIIPGYISQMFNLRHLDLSFNNLNGGIPDLSELNLEKMYLTGNSLDNSFPTWITGNLVVDLSYNNFSKNTVPRVCGESLNLFRSYSSGNDSDLGKCLSTRPCLKDYYSVHINCGGPQVTIGNKTYEADEDSGGPANFVPSSDHWGYSNTGSIWSANESVANYIETNASVLTMNGYELYMKARHTLLSLTYYGRCLANGKYTVTLHFAEIVFRDKQSYQSLGRRVFDVYVQGVNILKNFDIKIEAGGVDKEVRKTLKGIRVTNKTLEIRFQYAGKGTTAVPIRGVYGPLISAISMEAEFKPPAKGKKYTFVIIGAVAALLCLSLIVLGIAWKGGYIGDQNSREKDLRGLDLQTGAFTYRQIKAATDKFADSNKLGEGGFGSVYMGTLLDGTPIAVKKLSSKSKQGNREFVNEIGMIAGIQHPNVVRLYGCCVEGNHLLLVYEYMENNSLAHALFEHNNSKLESDWPTRQRICVGIAKGLTFLHKDSVLKMVHRDIKASNVLLDADLTPKISDFGLAKLDEEKNTHITTRVAGTIGYMAPEYALWGYLSYKADVYSFGVLALEIVAGENNIKYRPTEDYFCLLDWAVVLKQKGSLIDLVDPRLGSGFNKKEAVRMIKIALLCTNKSPALRPTMSEVVNMLEGRIKIKEPNMKVTMSEEQLVIGEIGEKFKEMKPHESVQTVISIEATASSSNSQPVSQ >cds-PLY62143.1 pep primary_assembly:Lsat_Salinas_v7:1:211305700:211310034:1 gene:gene-LSAT_0X23101 transcript:rna-gnl|WGS:NBSK|LSAT_0X23101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGEQISMPSSPKNSEDHSVDEPIPKAKIGRKKEFDAALRELRGKDVDISEEANEIQDYIETLQKLPKAKIFDLFQRRYLQYVSVYGLEADIWSAGVILYNLLCGVPPFLGGKSKGIKD >cds-PLY88154.1 pep primary_assembly:Lsat_Salinas_v7:5:220753096:220755260:-1 gene:gene-LSAT_5X102980 transcript:rna-gnl|WGS:NBSK|LSAT_5X102980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICSPFLCAFHRVLTSHLPSLSQLQLIELGSPMASRKEIYINGDKGVLIYSFHGFGRKQCSNSDVYDVSWKEGVHEGNGKYTRCNGDIYMGGWKGRLMYGRAVMKRLNGDLFDASFFRQEPFSDNVSFIVKCERKVQQLDSSYLEIACESYNCLKLVAC >cds-PLY61725.1 pep primary_assembly:Lsat_Salinas_v7:5:218798235:218802034:-1 gene:gene-LSAT_5X100420 transcript:rna-gnl|WGS:NBSK|LSAT_5X100420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGKSAKREIKFTKLFINGEFVDSISGNTFETIDPATEEVLATVAEGREEDVDLAVKAAREAFDNGPWPRMSGEARRKILLKFADIIDENADELATLEVIDGGKLFGPVRYFEVPISSETFRYFAGAADKIRGATLKMSSSIQAYTLREPIGVVGHIIPWNGPAYMFATKVAPALAAGCTMVVKPAEQTPLTVLFFAHLSKLAGIPDGVINVVNGYGKTAGAAVSSHMDIDAVTFTGSTEVGRLVMQAAATSNLKPVSLELGGKNPIIIFDDADLDKAAEFATFGNLTNKGEMCVSGSRVFVQEGVHDAFVKKLEVMVKHWATGDPFDLATRHGPQNNKQQYEKVLSLIEQGKKEGATVVTGGKPFGTKGFYIEPTLFTNVTDEMTIAKEEIFGPVISILKFKTAEEVIKRANATKYGLASGVMTKNIDVANTVSRSLRAGAVWINCYLALDRDAPHGGYKMSGFGREQGLEALDHYLQIKTVATPIYNSPWL >cds-PLY98465.1 pep primary_assembly:Lsat_Salinas_v7:4:248642082:248644724:-1 gene:gene-LSAT_4X132200 transcript:rna-gnl|WGS:NBSK|LSAT_4X132200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFCLMKSLSRESTKSLSAALRLRSFDVIGSKSAFYAQGLLYSTKRVKKEEIIATSEIPAPPPDDDFPTSGISRPISEILKELNKKVPDSLIRARVEANGFSIKYIPWHIVNRIMNLHAPEWSGEVRSINYSPDGKSVSVTYRVTLYGTDAEIFRESTGTSSVDDVGGYGDPVQKAEAMAFRRACARFGLGLHLYHEEL >cds-PLY92128.1 pep primary_assembly:Lsat_Salinas_v7:9:192766068:192766618:-1 gene:gene-LSAT_9X116120 transcript:rna-gnl|WGS:NBSK|LSAT_9X116120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKLAKPEQRPPFMTPEMMAALQLIQLSGDADVDIHGHELHDSTGDVRTTTNRRRRKEVGDDGESEGSSTSDMTSAMRFLTPLFHDEDEADDVAGSRRKRKKLRSVVEIYEISNY >cds-PLY95773.1 pep primary_assembly:Lsat_Salinas_v7:3:27352856:27354853:1 gene:gene-LSAT_3X21200 transcript:rna-gnl|WGS:NBSK|LSAT_3X21200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENETQIKKRKLQITTMEVTGEIFVVPFFGQGHLFPAMELCKNISAHNFNVTLIIPSHLSSSIPSTFSNHSPLIHVTDISVTASPPPETADEPGSEKEVQSSGRRGNPLQEQNLQMGKGIKSFLSTRSGVRPTCVVIDNMMSWCKEIFVDHEIPVVSFSTSGATASAMGYGMWKAEVENMKPGEIREIPGLPKEMSVTFSDLSRGQRVRPQRRSRPPGDHAKPDGHTGPPNRMRPHGPRGGGGGGGSAGPGQKPRWVDEVKGSAALLINTCENLEHVFIEYMAEQTKLPVWGVGPLLPEQFWKSAGELLHDHEMRSNHKSNYTEDEVVQWLESKPHGSVIYISFGSEVGPSIEEYKELAKALEESEQPFIWVIQPGSGKSGIPRSFLGAAAITDDSEEEEGYYPEGLDVAVGNRGLIITGWAPQLLILSHPSTGGFLSHCGWNSTVEAIGRGVPILGWPIRGDQFDNAKLVAYHLKIGFVMSRGDSDNVRPGKFKKDDIAAGIEKLMSDEKVHKQVKELSKEFEGGFPASSVKALGAFVEFVSQKAT >cds-PLY85633.1 pep primary_assembly:Lsat_Salinas_v7:5:76767206:76768549:-1 gene:gene-LSAT_5X35600 transcript:rna-gnl|WGS:NBSK|LSAT_5X35600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGAFLNHVARESSDIDRLANFYQEIFGFERIESPKFDCKVIWLKQSPSFCLHLIERDSNTKLPEGPWSSNDAVADPKNLPRGHHLCFSVSNFDSFVKTLKEKGIETHEKAQPNGKTKQVFFFDPDGNGLEVASE >cds-PLY68202.1 pep primary_assembly:Lsat_Salinas_v7:8:119199299:119200946:1 gene:gene-LSAT_8X83020 transcript:rna-gnl|WGS:NBSK|LSAT_8X83020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVSQRRSTLNPDAPLFVPAAVRQVEDFSPEWWQLVTTSTWFHDYWLSQQQGEDGFFGNTYTPDAYDSSDIADMLPDSIDTDEDILSMEAQYEQFLLSSEMETANAFSPNAYKQMPMNSLEAEAKYPRKGSEVA >cds-PLY98087.1 pep primary_assembly:Lsat_Salinas_v7:4:79578999:79580509:1 gene:gene-LSAT_4X52441 transcript:rna-gnl|WGS:NBSK|LSAT_4X52441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEAYERVKGGRLTFKGGTLASRSKSIDKKKKKKHKKSSDENANVTEEILTGDAATATAEDGGGSAAAEGGSGGGDDGIYTIDAAKRRKYDDLFPVEAKKFGYDPKAKVKSVEDALDDRVKKKADRYCK >cds-PLY77280.1 pep primary_assembly:Lsat_Salinas_v7:4:163924715:163927639:1 gene:gene-LSAT_4X97521 transcript:rna-gnl|WGS:NBSK|LSAT_4X97521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNSRTCPSRGGGVKLFGVRLTDGSIMKKSASMGNLSALYHSSSPAAVSPNPSSPATSDPVEGYLSDDPSAKRRADRKKGTPWTEEEHRLFLLGLQKLGKGDWRGIARNYVLSRTPTQVASHAQKYFIRQCSATRRKRRSSLFDMAPDTAAAEPPEDHFMLPPAAATATATDHAENSKCSLKLSLKPDSDQSMEMKHEMKEEESSATATANVTVTGSELPPIIPAFYPAYIPVPFPVWPSTMTPVEEGNGKGGGANESHHRVVKPIPILQKEPLNLDELVGMSSQLTLKETADHHHHMEPSPLTLKLLAEPSRQSAFHASSGVNKRDGNAFRAV >cds-PLY87177.1 pep primary_assembly:Lsat_Salinas_v7:5:154636011:154641936:1 gene:gene-LSAT_5X68040 transcript:rna-gnl|WGS:NBSK|LSAT_5X68040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAMVVAYRDEVVVIRMERMVAILQVAVVVLDKRLPFIGHINGAKLLEIQRLEISLGGFGRQFWDEHDDVDMGDEIGAEEQQMLCFKRDFGDAEAYAAVIEHSYEVILTEKSTMEVALKDGLEKFPHSVVLNEWMEKMNELFKEVFEGAGNKKVHESACFNEVNMNDIGDGGEGNSSPVGGLILNEVNIEKEVNYTTPVDTTSLTMTQFHRLPGVNEEIIKLLDETELQVYRKKKRMSVISGDNLVGRNIGEAVDNAAGYDDNDKREKRIPKKAKIFHSPFIERIVKDEIWDIGTGHLLHQGFAYQFNHGMFFHSKIIDCWAAFLNKMENYKDESSLSRFFFDTTIVTEDILNELKSEDLKCRLFATLLRIYTKKFDVKPSFRDVALVFFPIVDDGKYYLLIFDLRSSLYYIVDHVKRTGTLERKYGMIPNLVKKLFCNYLTSQHHPMAKTLTFKAGRVMNISWLVEKAGTECGIYLMRHMETYMGENEGRWEYGLTGTMPADVSATIKLRTKYMAXRLLRFQ >cds-PLY99751.1 pep primary_assembly:Lsat_Salinas_v7:2:130158043:130161137:-1 gene:gene-LSAT_2X61140 transcript:rna-gnl|WGS:NBSK|LSAT_2X61140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDLFSAASIPILKVLIITALGSFLALDSIDILGESTRKQVNNIVFFVFSPALVGSNLAKTITLESIISMWFMPINILITYIIGSTLGWVLLIITRPPQHLKGLILGACAAGNLGSLPLIIVPAVCKEKGSPFGDPNVCREYAMAYASLSMAIGAIFLWTYVYNLVRVFSSDYQDSGNNGVKETVAMQEGLSESFLPSSSSIIHMKGKAKVMLDTMKQHLVSFLRGIDLKMVLAPSTIGAIVGFIVGTIAPMRKLLIGTTAPLRVIQDSASLVGDAGIPTMTLIMGGNLLKGLKGSGVSLSTVFGIVAVRLVLLPFFGISIVKGALYLGLVHADPLYLFVLLLQFALPPAINIGTITQLFGAGESECSVIMLWAYGLASISLTLWSMFFMWLVA >cds-PLY85860.1 pep primary_assembly:Lsat_Salinas_v7:9:128844520:128846590:1 gene:gene-LSAT_9X84201 transcript:rna-gnl|WGS:NBSK|LSAT_9X84201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTTIALENLLEHRNPSTNSSTLKSQQPSTNKPNYPQNHVEQNDEAKEEEKLKARKRLNHIYISPALYTTPEPTPILDYSSSGSVSSSPYVFNRKGRGGGNSANRRVDGFEVQGSGAQSVGNEAETLLDGEDELVESSLIGGGGENGNRGEAEGESADDDEDFVDPRCDSFSVASSSDLNDSGRLGLENMSVISNQIGEFYDAIEDFSSDGSIMSLASCSRNLESELHTTRITLIEEIEKRKIAEQELARMHTQWQKFSKILLSQTGFKFLETPSSEGGCMQFDINEMKQFSQEVVYARFVGEAMAKAEAQAEAELAAEVVIGSKDKEISRLKDRLQYYEAMIHEMSQKNLESMEVARRQREKKKGYRKWLWSCIGMSIVIGASVIAYSYAPPTTTNTAESESGHD >cds-PLY71063.1 pep primary_assembly:Lsat_Salinas_v7:3:162327966:162331659:-1 gene:gene-LSAT_3X101000 transcript:rna-gnl|WGS:NBSK|LSAT_3X101000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGSEDGTVRIWHSTTYRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTINIKSVGADHEVSDGERLPLAVKELGTCDLYPQSLKHNPNRRKYHPKNDGPKVSFFSDDEETTSTPKADALFIPRENPRALVIRPLKQWPGKSSAEKLKNASSPAQTNGDYTGFASSPPLNGRSTENGNRIHSENGTLKEQTPIKTPQKQNGGCDGGGMEDGFEFIVKNKGINTEVAYPYQATDGTCNTKEEAVHAATIIGYEKVPANSESALLQIVSNQPVSVAIGASGMGFQFYSGGVFTGDFGTDLDHGVTSLLNQMELSVVVYLFAILGLDSLQGIMLDQGSCIDTCCTFCRKVWIAYKESRWISAFFWILSLLSFWRESWYEKLQRWDDALKAYTAKSTQATSQRLILDATLGNSYCSVFIPNPKFFGWRKGSQELSEQGFNISLKEV >cds-PLY67028.1 pep primary_assembly:Lsat_Salinas_v7:5:283106784:283107310:1 gene:gene-LSAT_5X148021 transcript:rna-gnl|WGS:NBSK|LSAT_5X148021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACDGEDEDADLDFVTRTCNDDGDGDGDGKPVGFMSKTNKTRKGGRRMEGVHRSAQPIRHLEPLCEMNMTFFFEFGLYKIKGV >cds-PLY68349.1 pep primary_assembly:Lsat_Salinas_v7:4:191850812:191851611:-1 gene:gene-LSAT_4X110061 transcript:rna-gnl|WGS:NBSK|LSAT_4X110061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAAATFAIHSLEEKAISQFQRKEKSREEVEASMKTKMSQADKAPSFSRPSRPREAYANRSLSIHGSGNTKVDAWEKAELLKIQNRYEKSNLTILQWENEKKARAKRRVEEKKKELEQRRSINWQHYQNKLARIDHVAGGARSQAEDTRRNHEKKVKERAREMRSLGVTSPKYCFLC >cds-PLY92258.1 pep primary_assembly:Lsat_Salinas_v7:2:209375668:209377430:1 gene:gene-LSAT_2X129780 transcript:rna-gnl|WGS:NBSK|LSAT_2X129780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan galactosyltransferase XLT2 [Source:Projected from Arabidopsis thaliana (AT5G62220) UniProtKB/Swiss-Prot;Acc:F4K6F1] MLPLFDTPPSPHKPQNPELDRKSSFGSLKPYLPRTWLIFFVLFLQIILLLIARNYHISTAVIIPQHLPEVAAITAVDGGDTXXXXPPWAAIPNARPLAGVIPESLTGAWYWTDQFASEIIYHNRMLNYRCRTLDPESATAYYIPLYAGLAVGKYLWTNNYTAEDRDLHCHTMLKWVHDQPYWKKSNGWDHFITMGRITWDFRRSKDDDWGSRCIYLPGMRNITRLLIERNSWDYFDVGVPYPTGFHPTSPSDVETWQEFVRTRTRTTLFCFAGATRGLIKNDFRGLLLNQCYNSSGSCRVVDCGGSKCSNGTSLILESFLGSDFCLQPRGDSFTRRSIFDCMIAGSIPVFFWNRTAYSQYEWFLPGQPESYSVYIDKNDVLNGKSIKGVLESFGKDEVRKMREKVIQYIPRIVYAKPHQGLKGMKDAFDLAVEGVLNRIKDQEREGFKW >cds-PLY75110.1 pep primary_assembly:Lsat_Salinas_v7:2:37524954:37528195:-1 gene:gene-LSAT_2X18240 transcript:rna-gnl|WGS:NBSK|LSAT_2X18240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPCIMLLGAAGIGGPDLGAGELDNSASYCVKAMKTTQKGKNLSKLKQMLSMFDVAMEMEDQVFDIPSLWADVFSGRGQEHQADSSKDLSSKISLAHFFISNALFVGLMKANSSRVRSGKESSSRKR >cds-PLY73747.1 pep primary_assembly:Lsat_Salinas_v7:8:270081957:270083100:1 gene:gene-LSAT_8X155641 transcript:rna-gnl|WGS:NBSK|LSAT_8X155641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVDIDETIMIREVWSENLESEFEFLRSVIDQYSYISMDTEFPGVVFRRSVDPAKPYTHRQPSDHYKLLKSNVDVLNLIQLGLTLTDAAGNLPCDGETHRRFIWEFNFSDFDLACDQYAPESIDLLKRQGIDFERNRSEGIDSVRFAELMMSSGLVCNDSVSWVTFHSAYDFGYLLKILTRRELPRGLDEFLEILKVFFGENVYDVKHLMKFCRKNLYGGLDRVANILEVNRVVGKCHQAGSDSLLTWHAFQKMRDAYFVDVRTEKYAGVLYGLEVY >cds-PLY94040.1 pep primary_assembly:Lsat_Salinas_v7:7:100292383:100293033:-1 gene:gene-LSAT_7X66320 transcript:rna-gnl|WGS:NBSK|LSAT_7X66320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSSASLLNHTSCYDVFLSFTGEDTRNSFTDHLYTALVRAGLFTFRDNDEIDRGQQLMPEIERAIKESKASIVVLSEKYANSRWCLDELLLILEQRRSFNHFVLPVFYHVDPSDVRNQRQSFAINVEGVEGSKWTEYNVNRWKEALTEVADLTGMVVSGYAYSLILWKLFLINATDSVNMIINRNSGMLLEKISHGILYCSYCFKIYAKSITR >cds-PLY96840.1 pep primary_assembly:Lsat_Salinas_v7:2:109759113:109764496:1 gene:gene-LSAT_2X51240 transcript:rna-gnl|WGS:NBSK|LSAT_2X51240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIDLVPGSSGLIDAGGDKKITYFSNKYVLGLTVIAGIGGLLFGYDTGVISGALLYIRDDFKAVDQSSVLQETIVSMALLGAIIGAATGGWINDTHGRKSATLIADVIFAVGSFVMASAPDPYVLIFGRLLVGIGVGIASVTAPMYIAEAAPSEIRGGLVSTNVLMITGGQFLSYLVNLGFTQVRGTWRWMLGIAAIPAILQFSLMLLLPESPRWLYKNRSSSEAIAMLSKIYDPDRLQDELQQLSAALDEERRNRNSVRYRDVFKIKEIRLAFVAGAGLQAFQQFTGINTVMYYSPTIVQMAGFGSNQLALQLSLIVALMNAAGTIVGIYLIDHVGRRKLALSSLTGVILSLILLAVAFFLESSGHANMGWVAVLGLVLYISAFAPGMGPVPWTVNSEIYSESYRGICGGMSATVNWVSNLIVAQSFLSIADAVGIGMTFLILAGIGVVAVGFVVVFVPETKGLTFEEVEKLWRERAWGCGNNGNVTQSLLEDDEA >cds-PLY92919.1 pep primary_assembly:Lsat_Salinas_v7:3:112773126:112773389:-1 gene:gene-LSAT_3X80261 transcript:rna-gnl|WGS:NBSK|LSAT_3X80261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKGKQGGLDGGRLIAKRREKGPPVLGSIKERRSMEAIELLSILKGVARGCSSSFSTASLLLPEFNTKGKEKAGPRGEMQAPTTV >cds-PLY98368.1 pep primary_assembly:Lsat_Salinas_v7:5:314282706:314283548:-1 gene:gene-LSAT_5X171761 transcript:rna-gnl|WGS:NBSK|LSAT_5X171761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQKVIIRGRELTGTTVVQSTVLAEVREATLIPNLINLCSEEGFSNIKIRYVGGLWVVVSFEPAKACKNFSSNAGIQNIFCKFRGITKDFVISQRVVWLEILGLPMCAWNPCVFKKIASVWGSILFSDDDENNCMSTGKVYVKEVAPWEPDVTQDGSFVEEDNNFVGLFDEGEGESFGLNSDKENAEHDQNREDVNVNEVNSKPDFIQEVENINAAGNDEIGNNNSFHNVHVQPMGVFGIREGRTPLNTPRSGGV >cds-PLY73413.1 pep primary_assembly:Lsat_Salinas_v7:1:40130235:40136759:1 gene:gene-LSAT_1X34600 transcript:rna-gnl|WGS:NBSK|LSAT_1X34600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNDLKTPPETAIQLHNDQTPTTKVAAEAETGTMSGSLRPLVSSSSTTKFSRNYHVMYIGLRLVCFSASLISVVVMTTAKQKSSISVFGFDLPLNSRWSLSGSFQYVVGVSSVVGVHSLLQLVMTISRMLRKSLVFSSRSHAWLIFASDQVLAYAMISAGSAATGVTNLNRTGIKHTALPNFCKPLHSFCERVGVSIGFAFFNCVLLATSAFLDVVWLSS >cds-PLY91956.1 pep primary_assembly:Lsat_Salinas_v7:2:20500369:20501898:-1 gene:gene-LSAT_2X11361 transcript:rna-gnl|WGS:NBSK|LSAT_2X11361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDELPFHIQEAILKRLPIKSLIQFRSVSRTWKSLIDSSEFIAAHSISHTQPQHLFVSYTDTQVHKYVSFVDDDSFPQQRFVPSLPLSIRQPQIVGSSYGLLCFQGDSASIYRKRIAVLLNPSIRKSIAIALPDMLYTNHKNVLGFGGCPVTIDTKIIQITQMRWGWGNELKSEIGNFWEVKVYKQSSGKFTSLSGNLPSISIHIIGPQVVIGKCIYWCAVDCATVDSMLKARNLIMSFDLTNEKFEVVDLPDRVAILRLSQFSVSKLRESLVMLEYNRRGYDASNVEQVCCTVWLVERGVETSFTKLFSIKAPGDLLTIVGFTRKGGPITELQEYVFDSTEELVVYEPNSEQSNHLISGSLFIVNSYTETLVLLDSSDCSSY >cds-PLY76320.1 pep primary_assembly:Lsat_Salinas_v7:5:296478605:296482214:-1 gene:gene-LSAT_5X158440 transcript:rna-gnl|WGS:NBSK|LSAT_5X158440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 2 [Source:Projected from Arabidopsis thaliana (AT2G41290) UniProtKB/Swiss-Prot;Acc:Q9SLG8] MLNSKLFLSVSTVILLISLLLSLGIFLSNNHSIYKDVEVIPIDGGAIGPESFDFNPVDGTGPYTGVSDGRIIKWIATEGRWTDFAITSPNRKGCGGPEMEHICGRPLGLKFDNSRGKLLIADAYFGLLSLGPNGGLATSLVSKDQGLPLMFTNSLDIDQTNGVVYFTDSSQRYTRRDHMLVVLTDEKSGRLLKYDIESRKVTVILDNLTFPNGVTLSQDGNFLLVAETTICRIMRFWLKTSKAGTLEVFANLPGYPDNIKRNQKGEFWVAIYSKESKILRWVHSKPWIVYVLSKIIPINFVRVSSHIAKGKGEGLAIKLGVNGEILEILEDVHGKKWKYASEVMERDGNLWIGSVENNAAIKLKMQY >cds-PLY84474.1 pep primary_assembly:Lsat_Salinas_v7:7:127841737:127844770:1 gene:gene-LSAT_7X76501 transcript:rna-gnl|WGS:NBSK|LSAT_7X76501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKEREAQSDDDDSSKSRTKRTDSDKLVVKPQLLPSMIKNKDKRSAVYAKIKHEKKVEKRKNAKAREALESRALELGEELPPKKIPRTIENTREADETVCKPDDEELFAGNDADEFSSILTSERAPKVLMTTCRFNSTRGPALIKDLLTIIPNAEYYKRGTYDLKNIVEYANNKEFTSIIVVHTSRREPDALLIIGLPNGPTAHFKLSNLVLHKDLKNHGNPTSHKPELVLNNFTTRLGHRVGRLIQSLFPQDPEFHGRRVVTFHNQRDFIFFRHHRYVFETKENKQIDANDKSKDTEDGKKQLKGKIIARLQECGPRFTLKLTSLQHGTFDTKGGEYEWVHKPEMDTSRRRFFL >cds-PLY90888.1 pep primary_assembly:Lsat_Salinas_v7:1:57479438:57494197:-1 gene:gene-LSAT_1X48000 transcript:rna-gnl|WGS:NBSK|LSAT_1X48000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAEPKPSKGGWITFPFLIATMAGLTLAAGGWANNIIVYLINEFNIKSIDAAQIANIVNGCTTLFPILGAILADSFFGSFSIISVSSLISLAGILLLTLTATLDLLKPAPCENGSNLCVGPSKSQLAILYTSLALSSIGLAGTRFTLATMGADQFDNPKDQGVFFNWHFFTMYAGTLVSVVGIIYVEDNVSWGLGYGLCVAANLIGLMIFVVGRRKYRLLKPQTSPFTGLACVVVAAFRKRKVLLSLKSEDYCQEQQGGGTKSVRTTPTNSFKFLNHAALISQEDTINKPWNLCTLQQVEDLKTLIRISPLWSTGILLCTPIAIQMSLIVLQALAMDRHLGPTFQISAGTMMVFVMLSTSISLALIDRFLLPTYQKLTNTSLTPLQRIGVGHALTVSSMAISALVESKRLAVARSHDIHGNSVVPMSVFWMVPQLVVVGVGEAFHFPGNVSLYYQEFPKSMKSTAAAMVAMFIGIAFYLGTAVVGFMRKTTGWLPDGINDGRMDNVYWVLTILGLINFGYYVLHTNFAAMDTKPSVTPTKGGWITFPFLMATMAGLTLAAAGWSTNIIVYLINEFNIKSIDAAQIANIVNGCTTLLPILGAILADSFFGSFTIILVSSLISLAGILLLTLTATLDPLKPAPCENGSELCVGPSKRQLVILYMSVALSSIGLAGTRFTLATMGADQFDNPKDQGVFFNWHFFTLYVGILVSVVGIIYVEDNMSWGLGYGLCVAANLIGLVIFAVGRIKYQLLKPQASPFTRLACVVVAAFRNRKLLLSLKSADYCQEPYAEGTKLFLTTPTNSFKFLNHAALITSQGDTTSDNSSIKKPWNLCTIQQVEDLKTLIRISPLWSTGVMMSTPLAIQMSLIVLQALAMDRHLGPTPTTFQISAGTMIVFAMLSTSIFIVLIDRFLLPTYQKLTNTSLTPLQRIGVGHVLNALGMAISALVESKRLAMARSHDLKGNSVVPMSVFWMVPQLVVIGVGEAFHLPGHVSFYYQEFPKSMKSTAAAMVALFIGVAFYLGTALVDLVRKTTGWLPDGINDGRMDNLYWVLTGIGLTNFGYYLVCSWMYEYQNVEKMELDDSSNAPC >cds-PLY70996.1 pep primary_assembly:Lsat_Salinas_v7:9:69816129:69818973:1 gene:gene-LSAT_9X60281 transcript:rna-gnl|WGS:NBSK|LSAT_9X60281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFDGISSVFDSLESEENTNTDMEEEEEEEEEAYEATIKKDISRKKRKKNSEQKVNVEITSEDVVQESEHEHEHDDAEASKKEGHAKYVPPQLRSMSKNELEEHAQIRKRVRGLLNRLSESNVEGITMEMSSIFHSIARGVGAQIISEEILASCAGGPRGNEQYASVFSALVAGLACLVGIDFGAKLLASLAKCFEDEYLKEDNLSLRNLTLLLSYLYIFGVCSSDLIYDFMMMLSSRLTEVDVSTILTVLNCCGMRLRSDDPATMKNFIVSIQNKVAELKAMSDNQAKSKRMEFMLETIFDIKNNKKRAKEDTLPHTRIKKWLQKLRVESILVRGLKWSKLIDPNKKGQWWLSGDIISSSNNNNNIENVAKKIDKETSEAQKMLQLAAKQRMNTDARRAIFCIIMSSEDYIDAFQKLLRLDLQGKQDREMMRVVVECCLQEKIFNKYYCVLASQLCTHDKNHKFTLQYCVWDHYGELESMQLMRSMHLAKFIAEMVSNFTLSLAVLKKADLHDTTQLTSKKSMHFRIFFEAVFEYPDNVVWNIFKRVAGDPQYETLRTGIKFFIERYVVGIDKEPFVGKYKIAKKALKSVEEDPFS >cds-PLY63935.1 pep primary_assembly:Lsat_Salinas_v7:4:76708803:76709342:-1 gene:gene-LSAT_4X51841 transcript:rna-gnl|WGS:NBSK|LSAT_4X51841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSRNRKECSEIQLKFKFVIRVFDYHKSLSYPQLDILRDPNLIRSFAGKRIRIGKWGEPNLLRLCNSLEAPKTKRRHVQARYAATSVFIITFIVAPPLDIVGIREPVFGSLLYGNNIISDAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGM >cds-PLY94741.1 pep primary_assembly:Lsat_Salinas_v7:2:178498001:178498354:1 gene:gene-LSAT_2X97021 transcript:rna-gnl|WGS:NBSK|LSAT_2X97021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQLNDYNDTIEQVLPMSMEEEYPPQTETQDGAEEIIPETQPESEEEEEGINDTHKLPVHLRIGKRRRPSERIVKTKLKKMGCVGTSSNSALELD >cds-PLY82643.1 pep primary_assembly:Lsat_Salinas_v7:5:84457154:84462650:1 gene:gene-LSAT_5X39581 transcript:rna-gnl|WGS:NBSK|LSAT_5X39581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASYSTSSIYKSFKYDVFLSFRGEDTRTNFVDHLYYALQQKSIHTYKDDDRIRKGKRICDELIRSIGDSKLYIIVFSKNYASSSWCLDELVKIMECHKTNEHTAYPVFYDVEPSEVRKQRGAVEKAFAKHENEEAAEKWRDALKEAADLAGWELKNTADRHEAKFIQKVVGEISLELRSIDFSFDEKLVGMETRVYDVLSSLRTSLNDVRMIGIKGMGGGGKTTLARAVFDQISFQFEGKSFVENVREVSKASLSGLKSLQNQILSDVFNDQSISVSSVYDGKNMMKRRMGGKKVLLVLDDVDHIEQLEALAGETNWFKPGSRIIITTRDEQVLVAHGVKSIHNVKLLSDNEAICLFNRYAFVRETPDLTYKELSRQVVHYAAGLPLTIRVLGSFLCGKNELEWTDALKRLKTIPLMETLKKLELSYTSLEEDYKEIFLDVACILKGWSKALAIEALESCGFHARNGLRVLEQKSLITVNHNGYLGMHDHIEEMGKNIIRRSHPDKPHKHSRLWIDEEIEDILANDLGTEAIRYIRFYACKLSPEIVMKGLQNMKELSLLDVSVGSLDARKSDYFFRYWKSNKFPNALRYLCWNYYPFRSLPMTFHADNLVSLYMTNSRIVQLWEGGERKVLKKLKFLDLSYSMLRTLDLGLTPNIETLNLKGCGDLEEVHMIGGCLKLITIDLSFSTLTTLDLGLVPNIELLDLRNCSGLIELHMPSRSLNLKSTKLKNSKLRTLDIGLSPNLEHLDLTNCLDLEEIHLANGCQKLTSLDIMSSKLRNVDIGLTPNLERLDLKNCYNLVELNMADDSLKKLVYLGLSGCLRFRSFIFHIEDHASHTEENTSCAEEDASCAEDESLEIGPLAELHLTAISVHGCPFHPESNLPKLQFTCLYEEDTLLFTRNLEKLISIGLCVCTNLDTFSKSICGLQRLRKLKLEGDILEVPKNLDQLECLEELDLSNTKIKHLPDNICMLKHLRSLKLRSCRLLEKLPKDLGRLECLEELTLTCGIIKDLPDSICLLKHLESLELYDCSCLEKLPKDIGRLECLKKLTVSSAQIKDLPDSICMLNKLESLELSYCWLLTKLPEDLGRLECLENLSLKGCKFLRDIPNIIRKMKGLKNLNLCNCIQVKTLPEELGDRQCLEQLDIEGTCIRHLPQSMFLLKGLIIYGSRRVLQSCGFTSEIQTSEYGMFCYVKV >cds-PLY77305.1 pep primary_assembly:Lsat_Salinas_v7:3:213527850:213531479:-1 gene:gene-LSAT_3X125660 transcript:rna-gnl|WGS:NBSK|LSAT_3X125660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:3-dehydroquinate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66120) UniProtKB/Swiss-Prot;Acc:Q8VYV7] MKVSATSAAPPVIETSKSSSDEVPTVVDVDLGDRSYPIYIGSGLLNQPDLLQRHIHGKRVLVVTNTTVAPLYLDKVVHALTVGNPNVTVESVILPDGEKYKDMDTLMKVFDKAIESRLDRRCTFVALGGGVIGDMCGYAAASFLRGVNFIQIPTTVMAQVDSSVGGKTGINHRLGKNLIGAFYQPQCVLIDTDTLNTLPDRELASGLAEVIKYGLIRDAPFFEWQEKNMQALMSRDPDAFAYAIKRSCENKAEVVSQDEKESGLRATLNLGHTFGHAIETSYGYGHWLHGEAVAAGTVMAXVIPPWLDRRIHSQTSQLHLKTSQTANHPSRDDDRRYVQICHGRDECVLFYNDNRFMGWKWIGLNKNACFILFHFMRQKIALYVIDMSHTLD >cds-PLY64805.1 pep primary_assembly:Lsat_Salinas_v7:2:101631001:101634898:1 gene:gene-LSAT_2X46701 transcript:rna-gnl|WGS:NBSK|LSAT_2X46701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRVNLEKYRIPLAEIIQATKHFSSETLVGDGGFTMVYRGQLSNHWENQQVAIKQLNVEGSQGNNKEFHNEVKLVSSFNHPNIILFVGYCDDANEKIIVCKYATNRSLDYHLNDPDRRSRLTWEQRLKICLGAARGVNYLHSGLGKHCRVIHTDFKSENVLLDENMEAKIGDFGLSRFCPRNQQDTHVRTRPCGTRCYIDPVNNERGRLSKECDIYSFGVVMFEVSSGMMAYKLRPLKDTNELFLIDIVRSYYDDHGLVNGLDRLKDPTIKDQIDMRYFHKFNEIAHECINMDRKKRPTMDKIIKAIEEALDFQMSGISEGINSVKYYDDFWERLLPQDYQEIIARAVPPLDFGSKKQLYFCLSDSHTFLDDGYLEKRWVDGDQVGEFINNNEGDVGEVEMAFDEFKHLNWKRGLIVEGIEIRPKSTFTDQHSPFENHTYTHKHRESEMIKEVAIRSKLPEPR >cds-PLY85916.1 pep primary_assembly:Lsat_Salinas_v7:2:190160886:190161710:-1 gene:gene-LSAT_2X111800 transcript:rna-gnl|WGS:NBSK|LSAT_2X111800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLSYVLLLLFIITTQLLISSTTTTGTAAATEDIVKDSEGKEVLDNVPYHIGPVITGTGTRGRIKLTDTMYNKKVCPLDVVQDPSEDNLGGQFMFTLIGKEKYLLTSRILGIDSGSPKGECEESTFWTIPDVEEPPPSNLITTGGGFDQSFTCFQVVEYPKPTSPEVPSYMLQHCPSFCGAGPQTCFNISIYTDNGVRRLSSITGGYPFEFAFYKVKRTHDTL >cds-PLY90053.1 pep primary_assembly:Lsat_Salinas_v7:8:82299964:82301566:1 gene:gene-LSAT_8X57420 transcript:rna-gnl|WGS:NBSK|LSAT_8X57420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQHPVLGGNNGGGEVNTNPQKSTPLLPPINRGTTHIFYKTRICQKFLEGNCRNGDSCTFAHGSKDLREPPPNWPDLVKDNRGQNWNDDQRIIHQMRICHKFVNTGECPYGEKCNFLHESPSKFKAQTERTRDSSVIKIQTMVDRGQPNGSQQRLHNNINNMNPIKVTTLSSDPNATFWKTRICSKWETTGQCVFGDKCHFAHGLSELNTPVARVEVQGSIAASLLHLPAAELPPANSAMAVPLEQGEGRGFAKLRLSHKKINRIYGDWIDDEEDEQDC >cds-PLY74457.1 pep primary_assembly:Lsat_Salinas_v7:7:40903415:40904171:1 gene:gene-LSAT_7X32141 transcript:rna-gnl|WGS:NBSK|LSAT_7X32141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKVIDVSQLDYHWHMNYDIEILVMKAIDLSCGELIDFSIEGGIEINHLFDYAVKRSSKMKRLYIKSCDPELVTGGFIWAIKKLSQLEEFHLSRTYPTAKDIEDIGQNCPQLKSFSMNQVCQHPQNDDTALAIANNMPELRHLQVIGNCMTKIGLQAILNGCAHLESLDLRMCYYLRFGGKFEKLCMARIKDLKSPHDSMENCEFHQDYDDCDIYD >cds-PLY88864.1 pep primary_assembly:Lsat_Salinas_v7:5:54376475:54379341:1 gene:gene-LSAT_5X25621 transcript:rna-gnl|WGS:NBSK|LSAT_5X25621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISSSVSVRQPPSSSSFLGAALFPHELHTSTSHCPFPLNHRWNPHGSFHNHSRYPTLKCRATKPKDSSSPTLESLVREPHKYFDEVIITIRAGDGGHGATLSMPNSKPSSKSLTKQEKEKVRIRGSYKRDFDGSLILPMGGHGGDVVIYADEGKDSLLEFHKKGRYNAKRGGNVDTMGGLTSLVRNGLSAPTLRIPVPLGTVVKHKRGKFLADLASHGDEILVARGGQGGISLLEMPEHKKKKIMNLTTNVMRDESDKVLILGQPGEEVSLQLILRVVADVGLVGLPNAGKSTLLSAITLARPDIADYPFTTLMPNLGRLEGDPSLGAGKFSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRMLVHVVDAAAQDPVYDYKTVKEELRMYNPEYLERPYIVVLNKIDIPEAMERLPVLVEEIKKIGSEDLLLSDEKDVISNEDKKIKEIEEYPLPVAVVGVSVLKGMNISELLREIRSALRKCRESEETIYLS >cds-PLY86842.1 pep primary_assembly:Lsat_Salinas_v7:1:16423547:16427864:1 gene:gene-LSAT_1X13541 transcript:rna-gnl|WGS:NBSK|LSAT_1X13541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVADDKRHLMQNLFGDQSEEEEEEEEVESEHESNRQPDYASDEGDIGLKPEAEDVVEGQGEADLGNDGELHEINDDQIESEGERDHNSQEVDLGDQRDESEGNDSRSDQREDYSQRVVTSRIRDVVESESERSEENHYVGNADEEVDHATSPRSPDEAHISNTAPELRDVFGESDDEEAEEYNVVQNHLKDNSNRSPMEEEGYENDLRPEDMLADEEGRYYSEEDNIEIKTKEKPVGPPLELGIPLRPPPSHPEKMNMIKVSNIMGIDPKPFDPKTFVEEDAFVADESGHTKRIRLENNIVRYRAVRNPDGTKSYESNARFIRWSDGSLQLQIGNEVLDISGILQSQGRVLRKMRFMPSSLSSKSHRLLTALVDSRHKKVFRVKNCVTAIDPEREKEQKEKAESQTIRANEVLSRKKEKVNRKYTHSVRRERQLSPGFLEDALDEEEELDYYESRPSARRRFEEDLEMEARAEKRIINAKRSQGHKDIGRKSSASSMKSSRRPVDDYEEESEYETEEEERSPQHIRGEEPQQYDDDDDDEEEPQFNKHKGSGGGRQKHKRKEMDSDEEELESPSPPRKATTSSSHRRKALVYDSDED >cds-PLY64987.1 pep primary_assembly:Lsat_Salinas_v7:6:96589342:96591065:1 gene:gene-LSAT_6X64721 transcript:rna-gnl|WGS:NBSK|LSAT_6X64721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWQWIPKLEDSTTGYWDTGSGGLGGVNVDDVRVTVAAPAARGEANNELLEFMGNVLGLRVGKMTLQRGWNNKCKLLVRGSWVMKRNFRIPLEEELRRMVTLENVCAFESMLAVMYRLELLGISMTHPCGFSSAMNQLPTKAIALAAASHIERELQITPWNLSSNFVVQSEETLKDWRLMVLVIHPVED >cds-PLY97580.1 pep primary_assembly:Lsat_Salinas_v7:5:233431447:233433017:1 gene:gene-LSAT_5X115460 transcript:rna-gnl|WGS:NBSK|LSAT_5X115460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAVSLFRNFYELGNSNVVTFDYVLGRQVQCGVGESLEVMRKNVSSIHVKPVVVVFIFKI >cds-PLY81233.1 pep primary_assembly:Lsat_Salinas_v7:4:305101484:305102092:-1 gene:gene-LSAT_4X154121 transcript:rna-gnl|WGS:NBSK|LSAT_4X154121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRMGYTTRVHPCDHFDLVILAIVGNKKGIDGQIGTKFDDQFTPHEKFLLLPLNLKIAKLKEKLTTSREIQSSMVEIEDRNTSFNGLPEWIGAFEVSFVLNKLIGVSYKFEDTRSGDELTEKYREFVLHFEIPGTPFKIYGKAKGKTKRSPRSVWMLYTISIHLEDMVVLLGWGIVMHQLLQYMIDKANMGNSTNKRTLGTV >cds-PLY75046.1 pep primary_assembly:Lsat_Salinas_v7:2:67458923:67459505:1 gene:gene-LSAT_2X30880 transcript:rna-gnl|WGS:NBSK|LSAT_2X30880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYSWHGCAEDTILMRDADVVTPTRLSIIVIGANMQHFLPVKCQPQCHAFLENTGAISSTNL >cds-PLY97418.1 pep primary_assembly:Lsat_Salinas_v7:4:14375180:14376983:1 gene:gene-LSAT_4X10101 transcript:rna-gnl|WGS:NBSK|LSAT_4X10101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 23 [Source:Projected from Arabidopsis thaliana (AT5G41920) UniProtKB/Swiss-Prot;Acc:Q9FHZ1] MLQSLLLQHPLTTTNVSSPNSSPVTVNASTMNTNTSKRAINEGNNDVDDDPSSHHHKRRNLEDKPEEHEDQSILVEAESSGLRLLGLLLQCAECVAVDNLDHANNLLPEISELSSPFGSSPERVAAYFAEALQARIISSYLGTYTPLSIKRLALVQSQKICNALQSYNSISPFIKFSHFTANQAIFQALEGEDGVHIIDLDIMQGLQWPGLFHILASRPRKIKSLRITGVGSSIELLEATGRRLHDFANSLGLPFEFTPLEGKIGNINSDLTTQLGVRPGETMVVHWMHHCLYDVTGSDFGTLRLLALLKPKLITIVEQDLSHGGSFLGRFVEALHYYSALFDALGDGLESENVERHTVEQQLFGCEIKNIVAVGGPKRTGEVKVERWGEELVRVGFKPVSLAGNPAAQASLLLGMFPWKGYTLVEENGCLKLGWKDLSLLTASAWQPSDFQ >cds-PLY93508.1 pep primary_assembly:Lsat_Salinas_v7:5:325579449:325586202:1 gene:gene-LSAT_5X179700 transcript:rna-gnl|WGS:NBSK|LSAT_5X179700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKAINRQRILLQHLQPTASSNQSHESTISASSCLAGGSSGDDVVIVAAYRTAQCKSKRGGFKDTLPDDLLSTVLKAVIDKTNVNPSEIGDIIVGTVLAPGSRRAIECRMAALYAGFPDTVPLRTVNRQCSSGLQAVADVAAYIKAGFYDIGIGAGVESMSVDQIGPLSTVNPKADKFSQAKDCLLPMGITSENVAQRFGVTRQEQDQAAVESHKRAAIATSSGKFKDEIVPVYTKIVDPKTGEEKAVTITVDDGIRPNTNIADLAKLKPSFKKDGTTTAGTASQISDGAGAVLLMKRSVALKKGLPILGVFRSFEAVGVDPAVMGIGPAVAIPIAVKSAGLKLDNIDLFEINEAFASQFVYCCKKLQLDPQKVNVNGGAMAIGHPLGATGARCVATLLHEMKRRGKDARFGVVSMCIGSGMGAAAVFERGD >cds-PLY87141.1 pep primary_assembly:Lsat_Salinas_v7:5:257130808:257131966:1 gene:gene-LSAT_5X132420 transcript:rna-gnl|WGS:NBSK|LSAT_5X132420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRISIKGGVWTNTEDEILKSAVMKYGKNEWSRTSSLLVRKSAKQCKARWYEWLDPSIEMSEWTREEDEKLLHLAKLLPSQWKTVAPMVGRTSCECIDRYEKLLDTACVRDDDPRKLRPGEIDPNPEAKPARPDPVDMDDDEKEMLSEARARLLNTRGKKAKRKAREKQLEETSRLASLHIAKMGLPALRDQFSQGTGTTCRNF >cds-PLY83193.1 pep primary_assembly:Lsat_Salinas_v7:4:288981779:288981952:-1 gene:gene-LSAT_4X146880 transcript:rna-gnl|WGS:NBSK|LSAT_4X146880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFFYRVFHTAPLNPDYGGGETAPPRMEEGMGNGGAGENVGSERRAKWWRKWLACCK >cds-PLY68583.1 pep primary_assembly:Lsat_Salinas_v7:2:23109260:23116510:1 gene:gene-LSAT_2X9700 transcript:rna-gnl|WGS:NBSK|LSAT_2X9700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCTGGTLKRSTAADYGSDKSSTGFSGKLRSVKSFNHQQKKNDYDNGNDDSVLSSSYTQDNDVYHRKTTSYDSGELFFSISRELKPSTPARVASKVPHVTTFLGKAGNVGLEVLDTLGSSMTNFNSHSGFVSNSALRGNKVSILAFEVANTIVKGSNLMQSLSEENIQILKKEILHSQGVQLLVSTDMKELLSIAAADKREEFEVFSREVVRFGDMCKDPQWHNLDRFFVRLDSDPITNKQLREEAEITMQELANLAQHTSELYHEYHALDRFEVDYRRKIEEVESLHLPRKGESLMILQSELKHQRKLVRNLKKKSLWSKNLEEVVEKLVDVVTFIHQAIVDAFEENAANSIKNGKDVNKKTERLGGAGLALHYANLITQMDNIASRPTCLPPNMRDTLYNGLPASVKAGLRSRLQALDSKEVMTMPQIKAEMEKTLHWLIPVATDTTKAHQGFGWVGEWANTGSDFGKKTSTSNNIIRLQTLYHADKQKMDRYILDLIIYLHRLISLVRYRDKIPKYTPTRSPNSNPNPNPKRVEISSEDKNLLEEVTKRKTGVRGVSKSQELVMVKKKRGEVFVSSRSMGSSPRRDLKFENANMLDVLDGLGTTF >cds-PLY97158.1 pep primary_assembly:Lsat_Salinas_v7:3:139189920:139190714:1 gene:gene-LSAT_3X92680 transcript:rna-gnl|WGS:NBSK|LSAT_3X92680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFMLIALGLFLTYALTRATLSIFQVGKPKNLPPGPTPLPIIGNLHLLGDQPHQSLAKLAAIHGPIMFLKLGRISVLVISSAAAAKEVLQKQDLAFSSRHIPDALTAHNHSTYSVVWLPVATQWRSLRKFLNTNIFSSSSLDANQHLRSLKVQELVAYCRKASHSGDSVNISRAAFRTSLNLLSNTFFSKDLTDPYEDSGKEFKELVGNMMEEAGKPNLVDFFPVLKKIDPQGIRRRLTLYFGKAFEIFEELIEERLGKRIQMR >cds-PLY98063.1 pep primary_assembly:Lsat_Salinas_v7:4:79294991:79298208:-1 gene:gene-LSAT_4X52780 transcript:rna-gnl|WGS:NBSK|LSAT_4X52780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELCNLFFITTPTKLKHSYPNLKTQARLVKCSADTTNRRLKLAESLQDETFRILEWPSVCTQVSSFTSTTMGTSAARDGLVPIGRSPEESRRLLNQTSAAFALPFPPDFSGIEDVSDIIESSVARQLLSIREISAVKRTLRSARDLFEQLKKISLQSERYYPLLEILQNCNFLTDLEQKIEYCIDSKFSTILDRASEDLEIIRSERKSNMEDLDSLLKDISTKIFLSGGIDKPLVTKRRSRMCVGIRASHKSLLPNGVVLDVSSSGATYFMEPKEAVDLNNMEVRLSNAERVEEQAILGLLTSEISQSESEIKYLLDRVLAVDFAIARAAHARWIYGVCPLICGSGSVDIEGIRHPLLLEASLKQGVIDHQSFDSFPFPVPIDIKVRDETRVVVISGPNTGGKTASMKTLGLASIMLKAGMYLPAKNQPTLPWFDLILADIGDHQSLEQSLSTFSGHLSRICKMLEVTSKQSLILIDEIGSGTDPSEGVALSTSILEYLKDRVNLAVTTTHYADLSLLKEKNSQYENAAMEFSLETLQPTYKILWGSTGESNALTIAKSIGFDEKIVERAQTWVKRLMPDKAEKRKGLLYQSLMEEKNRLEIQANRAADIYSNTMTLYNEIRDEADDLVGREAALKAKETQKIQKEIATVKSQLEKIVEDFEAGIRSTSIDQLNTLLKESESAISSIVESHKNAEDSSVIKTDRSTFTVKLGDQVIVSGLGNKQATIVEPPGTTDGTALVQYGKIRVRVNLNTITPLPNSDIIQTANSKSNTKKQGGTRRIKSLKNLSEGSNSEVVSYGPVLQTSKNTVDLRGMRVEEASHNLNLAINITGSNSVLFVIHGMGTGVVKECALQILKKHPRVVKFEQDGPTNYGCTVAYIK >cds-PLY84273.1 pep primary_assembly:Lsat_Salinas_v7:8:115246394:115249138:-1 gene:gene-LSAT_8X80041 transcript:rna-gnl|WGS:NBSK|LSAT_8X80041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDALRRPNLDLSNSSPISSIYTKTPQTPTSSTLPYKYRFSSSNFNGYHSKCKVPTPPQALPKANSNESVESNKKLVDSIAKGLIGLAAAVSVCLDSPALAESLTIAFPASRTHEVNAVQRTLVETWGLIRETFVDPTFNHQDWDSKFQQTMVEMLPLRTADAAYSKIKGMLSTLGDPFTRIISPKEYQSFRIGSDGNVQGVGLFVNTEPETGHLVVLSCVEGSPAARAGIHVGDELIEINGERVKGISGEAAAQKLRGHVGTSVTVKVHNGKKLAIDSSFREVKLPREFIRLSPISSAIIPHRTPNGHVSKTGYVKLLAFSQTAATDMKHAIRELENQGVESYILDLRNNPGGLVKAGLDVAQIWLDGDETLVNTIDRDGNMLPINMINGHALTRDPLVVLVNEGSASASEILAGALHDNGRAKLIGNRTFGKGKIQSVTELHDGSALFITVAKYLSPALHAIDQVGIAPDVQCTTEMLNSSKESATFMRKKGVASSSLEGDSCILVAEHELDNQESKGSAS >cds-PLY93031.1 pep primary_assembly:Lsat_Salinas_v7:5:2627014:2628557:-1 gene:gene-LSAT_5X1580 transcript:rna-gnl|WGS:NBSK|LSAT_5X1580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVSFDLQTFILRARVLKLYRQALRVAKRAPPHTKGELMQTVRQEMEKNKDCNDKQKIRYLISEGMERLKGLDEMLDMQGH >cds-PLY90655.1 pep primary_assembly:Lsat_Salinas_v7:6:51305377:51305976:-1 gene:gene-LSAT_6X37221 transcript:rna-gnl|WGS:NBSK|LSAT_6X37221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKGIHLAYEVLSNKTTRQKYDNTLINKRHLFTQLLKFRFFSIFLLKTIGAKLLLAFSSLMALLDPKLHGGYKLGLRYCMGVGG >cds-PLY83450.1 pep primary_assembly:Lsat_Salinas_v7:9:89509960:89510437:1 gene:gene-LSAT_9X68441 transcript:rna-gnl|WGS:NBSK|LSAT_9X68441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSYSISSSLNRSSKKSTVNDPKTCDCGFPARILTSTTPKNPGRHFMVCNEMPLMEVVEGMKAELVALKTEVEKVKEDMEQMKKEKYSDAIAMKEKLYKFTIGFLFLIIVYMMK >cds-PLY64775.1 pep primary_assembly:Lsat_Salinas_v7:2:102721386:102722936:-1 gene:gene-LSAT_2X44720 transcript:rna-gnl|WGS:NBSK|LSAT_2X44720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFYTEFELLQGGFLGVDFNGDHDHGHHHLHQHQQHHNQQFMVKNTYENGNQMVDYLINNPIQQLPNSGGFCSSNSLDKLSFADVMQFADLGPKLALNQNKTSHHHDEDQENGIDPVYFLKFPVLNERNIQEDHQSLLAPLGDDENETRVVIDGGERDEEGRVSEGTSVRLQFIGEDAHKTLVGDGKNKRKRPRTIKTSEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRLYGDTPRVVGDSSSLPILQQAQQGPPGVFYPPPDDQMKLVEFDGGLKEEMAESKSCLADVEVRLLGFDAMIKILCRRRSGQLIKIIAALEDLQFNILHTNITTIEQTVLYSFNVKVASEPRFSAEDIANSVQQIISFVHANISS >cds-PLY95190.1 pep primary_assembly:Lsat_Salinas_v7:6:169233322:169237196:1 gene:gene-LSAT_6X103800 transcript:rna-gnl|WGS:NBSK|LSAT_6X103800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCSFFGVFHDLKSFPSPPIDGLTFWSPTVVLPENQDQSNKRCIHLITLSNPLIVKNCLPVEVSVMIESGGVSHSMLLSEVETSFYHIDSSHDHSLTFDIRGFRASVLNRRRGFRWDEANLGEIEANKHVRQNITEPKAPYHPMMHDTDGSLSPIGGSDSFLEGDDNSNIRLNADAIRSTLNEMASSSSNSNSHSGWTSSDDEDEADVMDHDLDDEGGKSARSFR >cds-PLY67588.1 pep primary_assembly:Lsat_Salinas_v7:5:75041999:75045745:1 gene:gene-LSAT_5X34720 transcript:rna-gnl|WGS:NBSK|LSAT_5X34720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLWFASPDQAKCALLELKDGVEVFYISLHRHEGGKLYPGTCAAHKVGSMGGEGYCVNATSIHCFVMIWLSLQKIPLQNRSNDVERLLNKPDPLIEALEAVVGDQAYLDGQLEAAQSDEEIQRPPSCIDSTCAKALELEEEKKAQAKRDKMLQMQVLHSSFQLLVKRIVD >cds-PLY63105.1 pep primary_assembly:Lsat_Salinas_v7:8:76341381:76346938:-1 gene:gene-LSAT_8X54360 transcript:rna-gnl|WGS:NBSK|LSAT_8X54360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDQTFTTSTSHQNKHTNRLASEHSPYLLQHAHNPVNWYPWGEEAFEQARQRDVPIFLSIGYSTCHWCHVMEVESFEDDEVAKLLNDGFISIKVDREERPDVDKVYMTYVQALYGSGGWPLSVFLSPDLKPLMGGTYFPPDDKYGRPGFKTILRKVEEAWESKREVLVKSGTFAIEQLSEALSATALSDKLSEGLAQTALHNCTKSLAESYDPKYGGFGSAPKFPRPSEPQAMIYESKKLWDLGKTSEAEQHLKMVSFSLQCMARGGVHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQLANVYLDVFSITKDVFYSCVARDILDYLRRDMIGPNGEIFSAEDADSAESENSTKKKEGAFYVWSNNEVDDIVGEHANLFKEHYYIKKSGNCDLSSRSDPDKEFKGKNVLIERNSADTIASKYGIPLENYHQILGASRKKLFDARSKRPRPHLDDKVIVSWNGLVVSSFARASKILKNEPDVTKFNFPVAGTDPQEYMEVAKRSLVFIKENLYNPQSRRLQHSFRKGPSKAPGFLDDYAFLISGLLDVYEYGGEISWLSWAVELQETQDEVFLDKTGGGYFNTTGEDDSVLLRVKEDHDGAEPSGNSVSAINLVRLASMFTGERSDCYKQNAEHLLAVFEKRLKEAPVAVPLMCCAADMLVVPSKKQVVLVGKKASSQMENMLVSAHASYDPNKTVIHIDPSDEEEMGFWEEKNPNISAMAKSNAVTENVVALVCRDFACKAPVMDPKSLGALLLSGKA >cds-PLY77487.1 pep primary_assembly:Lsat_Salinas_v7:4:51071428:51072990:1 gene:gene-LSAT_4X33981 transcript:rna-gnl|WGS:NBSK|LSAT_4X33981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSERGRASFSNLGGNTGGNLHRVVSSPDSNLGGSMGGNLQRGRASLPNLGIANPQTDFAVVFSQVTPHGLQMIGGHAEEGSDNYYIQDDDLWFADHSIHGLIVEMAWDCLDHAWPTYKSIPREVVKQWFARFMTRYRWNSQEEGGIFDCFESVLKVRFRDIMGAFKKTSSRMARKAGHTIHEINDSYQILQNFAPRPIHEDVWRRLCVKKLTDKNPDWVDVFMKTRLTTESKAKYFNGDGEGLQYITSTAQQAYVSYLLSFSYRLFWFSVQLKWCCFDKLEEAYRRDLVERYGDDPTQQRTNDPELWAATQMQR >cds-PLY75408.1 pep primary_assembly:Lsat_Salinas_v7:6:180290537:180293263:-1 gene:gene-LSAT_6X110541 transcript:rna-gnl|WGS:NBSK|LSAT_6X110541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIIIFVLFYIFFPVHGLTGGSFLSVENNDDILVSPNGLFIAGFHQVGQNAYCFAVWFSDELITEGRTVVWMANRDEPVNGINSRLSLFKDGNLVLTDADQYIIWSTQTKSTSSSLYLQLHDTGNLVLHEREQTIWQSFDYPTDTLLPNQPLTKNTQLVSSRSSTNCSSGFYKLYFDIDSILRLHYEGPESTTVFWPDPGLLPWEVRRYQYMYNRSATLDSDGRFNSSDGFGFLSADFGVGPQRMMRIDYDGDLRLYSLIEHQGRTKWEIQWQAVSHSCRIHGICGANSLCIYSSGRRRCTCLHGYKMVNSKDWSSGCEPDFEVCKPDDEAFVELRHVEFYGFDMRYHDNYTLDACKKDCLQDCNCKGFQFGYNDDDVGTYYCYIKTSLYNGYQMGFYNSMYIKLPKSLVSSFVPKAIEKSSLRCTGGKVTQIIRSYEKKHESKILKFVLVLGCVIGFIEIIGILYFWYKSSKRSVTASEQVYFPAATAFRKFTYSELKKASCNFSEEIGRGGESVVYKGRLPDNRIAAIKKLKNTNHHNEDEFQAEIDTIGRLNHMNLIETWGYCAEGKHRLIVYEYMENGSLAGNLLIGKLDWETRFEIAKGTAKGLAYLHEECLEWVLHCDVKPHNILLDANCNPKVADFGLSKLLDRDRTEISNFPTIRGTRGYMAPEWVFNLPITSKVDVFSYGVVILEMITGRSPGRRSHENGDTGLSVTEWVRDRIQEFDESGRESWVELIVDPSIGGEYDRSTMENLVKVALQCAEEDMEARPSMSQVVNMLLHPEKDL >cds-PLY86455.1 pep primary_assembly:Lsat_Salinas_v7:8:3915404:3919004:1 gene:gene-LSAT_8X3401 transcript:rna-gnl|WGS:NBSK|LSAT_8X3401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLKSQAMFLFLALLMLKNVALQAHARTFFVFGDSLVDNGNNNYLATTARADNRPYGIDYPTRRPTGRFSNGLNIPDLISEAIGAESTLPYLSPMLTGQKLLVGANFASAGVGVLNDTGAQFANIIRMPMQMANLEQYKRRVSDIIGPQETEKLISQSLTLVTLGGNDFVNNYYLVPFSARSRQFALPNYVVYVISEYRKILMRLYELGLRRVLVTGTGPIGCVPAELAQHSRNGECSAELQRAAGLFNPQLQEMLDDLNREVRSTTFIGVNIRQSSIDFISDPGRYGFVTAKIACCGQGPYNGIGLCTPLSNLCPNREIYAFWDPFHPSEKANRLIVKNILVGTTDYISPMNLSTIMTLDAAEKV >cds-PLY90633.1 pep primary_assembly:Lsat_Salinas_v7:6:54524234:54524389:-1 gene:gene-LSAT_6X40800 transcript:rna-gnl|WGS:NBSK|LSAT_6X40800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHIEFILPSFVAWLTSPGIGLFRSTGSFIATAITGSKAAYIHIEVLTWKL >cds-PLY73245.1 pep primary_assembly:Lsat_Salinas_v7:8:44622804:44624892:1 gene:gene-LSAT_8X34180 transcript:rna-gnl|WGS:NBSK|LSAT_8X34180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARPDQQPQSQSDEPHAQTQIQLSESYQTEDHSQVEIVNHSESQVTNQTPISTVQVLAIVSLTIRAATWICLLASLIVLASDTSTIKGLYRDVKIGFNDIYSYRYMMSAIVIGFTYTCVQLPIEIYQLSMGKRVTIGNGLPMIIFFGDKFLLSMLATGVGAAFGATFDLKKNLDDLDNYLESIGEPLISQLRTKLDNFFNMAYVSSGLLLIAFLCSIASSILSSFSFQKK >cds-PLY81151.1 pep primary_assembly:Lsat_Salinas_v7:9:68466477:68469212:-1 gene:gene-LSAT_9X57461 transcript:rna-gnl|WGS:NBSK|LSAT_9X57461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVITIFLGYIMIWVMMPTNTFWLHWLPRIHSATNSSYFGQQQGGNILIYTTPILLIAIMSCIYLHLQNKVSHHQSTPNNLWGQLAIVRGPLGIISWTEVSFLIMFVALLVWSFSAYLHAMFANITTQSASKMGEKVWEVKLDSIGLMLGLIGNICLSLLFFPVTRGSLVLRLLGLTSESTIKYHIWIGNLTMMFFIAHGLCYIVFWGTTHQISQMMKWEKIGISNVAGEVGLFIGIVIWLTSVSRIRRNIFELFFYTHHLYILFVVFFILHVGFSYIWISLPGFYLFLIDRILRLLQSQQKVRLVSARVLPCQVVELNFSKTRGLKYNPTSLIFVNVPSISKLQWHPFTVTSCSDFDDEKLSVVIKSKGSWSQKLYEKLSMPSPMDHLQVSVEGPYGPVSTNFQQYDKLVMFSGGSGITPFISIIRELLHMENNTPQILLIPSFKKSEDLAMLQLILPVSPTTLDISRLKLQICPYVTQEIGHTTEEQHLHKTIWFKSNPLDAPISPILGQNNWIWLGMIIVFSFIISLVFIALFTRFYIYPIDKGTNMIYSYSVRSVCSMVFICTAISVTVSIAFLWNKRRNLKEMGQIQMTDLPSPLASPGLSSWCYNEDREMESLSYESLIGASTNVNYGERPDFKKILTECEGSRIGVLVSGPKMMRDDVAAICSSELSKKIVFQSISFTW >cds-PLY85227.1 pep primary_assembly:Lsat_Salinas_v7:1:148395472:148396989:-1 gene:gene-LSAT_1X105180 transcript:rna-gnl|WGS:NBSK|LSAT_1X105180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKFVSILLVFSLAYTSVVSAASPPTPTEGLLPNGDFEQPPKASNIRKKVLIGKNALPKWEISGIVEYTQGGPQPDGMYIPVAHGVHAVILGNEAKISQTIPVKAGSLYAITFGASRTCAQQQMLRVSVPPHSGDLPLKTLYCADGGDVYAYGFRANSSSVRLTFHNPGVEEDPKCGPIIDAVAIKELHPPRLTSHNLVKNGGFEEGPRLLVNSSNGVLLPPQQQDHTSPLPGWIIESLKAVKFIDSKHYNVPHGDSAVELLAGRESAVTQILRTVPNKLYTLSFAIGDSKDWCLGDMIVEAFAAKEKLKVPFKSEGKGEWKMVSMKFKATSSRTRVSFHSSYYHTRADDTVSLCGPVIDEVRVLSVRA >cds-PLY92621.1 pep primary_assembly:Lsat_Salinas_v7:2:160426073:160428757:-1 gene:gene-LSAT_2X84561 transcript:rna-gnl|WGS:NBSK|LSAT_2X84561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDWLFPYTGVMEISLLKTLVKNISSFLHLSPLDNGLCCEIVEKYYTTIEELLKLIKPILESIINTPVASDEQQLQNEFTDMSQSVDELKELFEDCHPLMSKVYFVLKAESLFTKVQTHCLEIFELLQSSCELNSSSLEKMKHMGYEKPSAIVLKAIREQVEGSDPSSETRSKIADLLSLRTNQELLIEAVALENLKENAEQAEKIGDLEYIEEMIALVTHMHDCFVEMKQSESSNPVPIPPDFCCPLSLELMTDPVIVASGQTYERGYIRNWIDLGLNVCPKTMQTLVHNNLIPNYTVKALIANWCESHNVKLPDPVKQPLRLTLNQPTSPRSNGIHQEEASSPVHRHVHSSSEDSGKGNEFNTVESGEKSLDSGGPGPGPSGVDEGSPPEAPAIESSSSTPATAYNSDASGELAAEPQAAIAASRHAVSPRFGNRARNQIWRRSSFGPRVVSSATEARPDLTELETQVKKLVSDLSSASIDTVRNATGELRLLARHNMDNRIVIANCGAITLLIGLLYSSDQKVQENSVTALLNLSINDINKAAIANADAIEPLIHVLETGSSEAKENSAATLFSLSVIEDNKIRIGRSGAIGPLVDLLGHGTPRGKKDAATALFNLSIFHENKARIVQAGAVKYLVELMDPAGGMVDKAVAVLSNLATLPEGRAAIGQEGGIPMLVEVVELGSARGKENAAAALLQLCTNSSRFCNIVLQEGAVPPLVALSQSGTPRAKEKAQSLLSFFRNQRHGNGGRG >cds-PLY76497.1 pep primary_assembly:Lsat_Salinas_v7:4:175483856:175484143:1 gene:gene-LSAT_4X103701 transcript:rna-gnl|WGS:NBSK|LSAT_4X103701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVALAKESADWFRPEMEWVSKTSCVLKEKRESGAKISRANLQKMSAMQLEPKTKTKAPQAKPTTTAAEGMGATTDWSKGEASLMVGREKRGCALK >cds-PLY87865.1 pep primary_assembly:Lsat_Salinas_v7:3:44070443:44070709:1 gene:gene-LSAT_0X8860 transcript:rna-gnl|WGS:NBSK|LSAT_0X8860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFMEEFDHLKIVLKDIISATDNFSYHKRIGRGGFGVVYKGELEGKMMAAFKCLDGSIGQWNIEFWKEVMMLSKYRHENLVPLMHFCI >cds-PLY83555.1 pep primary_assembly:Lsat_Salinas_v7:1:65018083:65020938:-1 gene:gene-LSAT_1X55680 transcript:rna-gnl|WGS:NBSK|LSAT_1X55680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIDVSTSVDVNNCHGLYIYTPESTQNLSVDKLGNNVTQFLNVQDDKDGVPGCKPPHGKYEKYFSNPTTFICSIESTLSPGASVEAITVNEPTPCDNLKSVHPTCSHPPSLPTAWKPVSAMKGSREKRGVTPPQKLTVKWAPDVYDPVPTSVSHTVTNRNNRPQRQTKKNTESKQKNGNKSSRGSGSKYKDKKQGRKRGGDSSTAGFKPPEQEEEVMGDGDYGLPPPATMEFHVGNPDQFCGTSFLNRYDTNQICVYFW >cds-PLY70167.1 pep primary_assembly:Lsat_Salinas_v7:9:1566452:1567941:1 gene:gene-LSAT_9X4161 transcript:rna-gnl|WGS:NBSK|LSAT_9X4161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASAFQFLIFSVFLFLSLSFAQTSFRPDALVVPVRKDSATNQYVTRINQRTPLVAENLVVDLGGRFLWVDCDNNYVSSTYQPARCRSAQCSLAGANGCGDCFSSPRPGCNNNTCGVSPDNPVTRTATGGELATDLVQVPSTDGSNPGRPVNVSRFLFSCAPTFLLQGLANGVSGMAGLGRTRIGLPTQLAAAFSFDRKFAICLSSSTSSDGVIFFGDGPYRFLPNIDASQSLTSTRLFINPVSTASSSTQGEPSAEYFIGVTSIRVDNKSIPLNTSLLSIDNEGNGGTKISTVNPYTVLEASIYNVVTAAYVREAAARNITRVTSVAPFDVCFSTTNVISTRVGPAVPSIELVLENENVVWMITGSNSMVQVNNNVLCLGIVNGGSNPRTSVVIGGLQLENNLLQFDLATSNLRFSSTLLGRQTTCANFNFTSSA >cds-PLY68763.1 pep primary_assembly:Lsat_Salinas_v7:2:211687871:211691502:-1 gene:gene-LSAT_2X131160 transcript:rna-gnl|WGS:NBSK|LSAT_2X131160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLELLNHINVDLKWNILKKGRRSMARRPRKTGADTFELGNKKQDPTAFETKKVADTPLKRRRLVHESPSTPPQISCLHGNGVLPPGPQTPCVHYEDPSQRYHSSLSQNLKTKSRTRLRNSNKSNPTKKVDFSGIELLAAAACSSFIQDNADYVDDQKVLKEDTTPGADSCAVDIKETLISTESDEAENKVALRLHWDLNTVMDEWEEPCDGLLVKSQPQENCSEDVKMKLEEGYESRCTEGVSVNQLPLIESCNDDSFEKTHESIEDLGIKTITSKTSDCESSVSKSDTQEETNVKGSDSDSDQQQAVCEEVIIEGGCESPKVLKSYCEKKGGSEDPCGSNSNVTEDEHEVEMEKAEEVGYDSPFEDGELRERVYYESDNTYKDKDKDKDELGSIENPLSEEVDHNGEGESSLLLADSVSVEESRKNVGRNYNSSNCRSWWDTNKSSSHEHNRPRNVGRDYYSYSPRDSGYRAHNRRRPSSSSSSSERNNGDDSYNHRAPNRYRFHSREEHHYHYHYNYLERERKVGCYSRSRSGSPIAWHFQKPKNLDVVTQRQRQRQRSLEFDQPRPHSSSSSRYTDKRHSDDHHNYKDKNGYTCTRK >cds-PLY64544.1 pep primary_assembly:Lsat_Salinas_v7:6:36758432:36759871:-1 gene:gene-LSAT_6X28101 transcript:rna-gnl|WGS:NBSK|LSAT_6X28101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGWKEVRRRKTAEKRGSEITIFFVTNVPRDATKREIYEAFIRFGRLTDVFMGLRKGKNGRYYAFIRFTDVKNVKHMENQLDGTVVRGTRLEVNLALHKRKEIPPITKKKFNSNYSVRHNDHFTTKQPAQGMWGRPRDNRTEVDATDEENEDKEGVSDTWMHEDGGEMEEGEISPLPVVMEEPLQDIRSPETEKPVATPVKSTMPIRVTQETPGIPQRLETSPRKSGGPSQVLGIVGNGIIHSPQCNDEMIGPSDVITTPPLKPI >cds-PLY89688.1 pep primary_assembly:Lsat_Salinas_v7:8:42328614:42332385:1 gene:gene-LSAT_8X33541 transcript:rna-gnl|WGS:NBSK|LSAT_8X33541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERTPAACAMDWSIHLDKALRSNNPGKRMEAIREVGDRLERWNKEPELSMAEFDMFGLVPGEDKLFANAILLRLADTFMSGDKQTKLCVVKIFLSELKHRKTKGFNRKNKGILLKNKVENHLELLRRVKVCFNSGDEDVRALALALFGCWSDFAKDNADIRYLILSSVVSCHFLEVKASLFAAGCFCEFSDDFARVLLEMLVNMVSSPEMPIASRVAGVRAFSKLGRSSTLNSKAYEEGLKLILCSMEDDIVTNMMISLSIIASRSSILISRQVDLLLSFLSQDKAIPLQATSLRCLHILLSRTRFCFSPPTDLITAIFTMLNGKLPPPMQSDALHIFYEFLLSKMLTFSCSEMKDSFTKLLTVVEIMMQSPLLSIRLFAIYALSDISGKFTRRAMSYDEVGSKTMASQAISFLMTRITLLANSQSDMEIDQEILGLLKTIFLLVNKCPNLDEFALNEIHLFINRILNKDDGVFILPCHLMSKLVVRISKVVNLCLKKLMKTGPLSIQVQNVVKLLIEDVCGSSYLDCYVHILYYLLLHPHTSSNFDESYLIKNDILALEKAKELLTKDKWSAYRIGKYAACKGAWFTAGFIFGELVTMVSFDSFHHWLTSLTLFTYSEMKIQYFSSPKQHSLLLNWLNSNRSSSSPDLSETNIENLDGVCKLLQSSKNILSTNGVMPSHQHYFHIQFLSLRSKVMETVAHIFKLLGTLTVSFQPLEQLSKRLLKLAHEYDLFATSFIDMDHTSRMLISSHALSCSVLAFIINDSQKLQIDFDAMLIHDLMSRIWNIDRETCKELMLLLETSFGQCVPQSKSLENVYEVTSIIRICLNVAKRRCDNNDRLEFVVDTVKNWLKISLRTPKYLFRVKPCVSCELFAMNRDSGNGGRICVMPGYHLHLDLCLQLCDISPEYRTRLTKLYCILQCKMSYELPGQSRGDKSQTDDDDDDDDEMVELSEKLAKYVNNGDRVGGYDKDREIVERIVCFRVNGEGQGFGSCMVDVCRFGLGCYEIKWRAGCLDVDGSFWSLNSSNSALFFTVEKK >cds-PLY63111.1 pep primary_assembly:Lsat_Salinas_v7:8:75677753:75679255:-1 gene:gene-LSAT_8X53380 transcript:rna-gnl|WGS:NBSK|LSAT_8X53380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISTNFLLLLVAISAMMLSAFIISPAAASSGGDEFIWMSKQGGGGCKGSIAECLGSGEMEMESESTRRILATTNYISYGALQGNNVPCSQRVRKLISYKKAKAQKKAKEKGIVAAVMDSVITKSKTNVKGQYMMTFYSYTIL >cds-PLY66571.1 pep primary_assembly:Lsat_Salinas_v7:6:166174654:166177289:1 gene:gene-LSAT_6X101640 transcript:rna-gnl|WGS:NBSK|LSAT_6X101640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGRFFSSSASGYSNGLNLLFLGHKKEEKPMKVTPWTQYHLVDQEPDPNPNPNPNPNQLAANKNNRCGCGCASLACFGRPDTTAARPAREVVKTASDLEKIEENFGNLDCVESEEGNSNGINVNSLKSSLKRRGVGVGVGVSVSVSNDDEVGPVENERRSVHWTDVTGGELCAIREFEPSEHSDSDDEFENSSGKTCACRIM >cds-PLY99578.1 pep primary_assembly:Lsat_Salinas_v7:7:189899275:189899949:-1 gene:gene-LSAT_7X112840 transcript:rna-gnl|WGS:NBSK|LSAT_7X112840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYKGPINGFLNGPDLVSKFAASMALKHPQNIDYSVQLLDQCENPSVFDLNSLIRVYSKSSTTEKIFDFYKRSVKSNHKPDNYLFTFLIKSTAQLVDKNFGLAVHGTALKYALDQDPHAQSGLINLYAEMGSLRDL >cds-PLY82861.1 pep primary_assembly:Lsat_Salinas_v7:1:87682335:87683177:-1 gene:gene-LSAT_0X4700 transcript:rna-gnl|WGS:NBSK|LSAT_0X4700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTIIIETTSKTYSSSKPTNHLKMSKHSSTTTIFTILIVIAIIHPRILNVSAIDPTPEPGVHVFELYMHDILGGSNPTARPVTGLLGNIYSGQVPFARRIGFRAPSGGIAIPNANGAIPTINPNGIPLGTGLVGTQFANLNNNNNNNNIQNQIAAQLGPDGLGLGFGTITVIDDLLTANAKQGSQTLGKAQGVYVASSADGSRQMMTFTAVMEGGEYGDSINFFGVYKIGSTMSRLSITGGTGKFLHACGFAEVRSLIPAGQIVADGVESLLRLTVHLSY >cds-PLY91181.1 pep primary_assembly:Lsat_Salinas_v7:8:186529996:186530398:-1 gene:gene-LSAT_8X120360 transcript:rna-gnl|WGS:NBSK|LSAT_8X120360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGCFSCYVARDIITTGQNISDGSDYLESPEKKFQMGFFSREESSEVRRYVGVWYTMDPKTVVWVANRDKPVLDSTGVLAVSEEGSLKLLDGNGVEYFSTETAKRAKTF >cds-PLY76070.1 pep primary_assembly:Lsat_Salinas_v7:9:30298034:30299000:-1 gene:gene-LSAT_9X26881 transcript:rna-gnl|WGS:NBSK|LSAT_9X26881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPRNKPRLPKANPNGDEEPKSDPASIILSSDNKADDDLILSLWKKRKERKKEKLDDNDVEDVEPKDSGVTLETNPTEKSDLLQLRM >cds-PLY67068.1 pep primary_assembly:Lsat_Salinas_v7:5:282903263:282905471:-1 gene:gene-LSAT_5X148120 transcript:rna-gnl|WGS:NBSK|LSAT_5X148120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNKEGVLMQRYELGKMIGHGNFAKVYHGRNIETGTSVAIKIIDKSKVMKAGMMKQIKREISVMKLVKHPHIVQLHEVMASKTKIYFVLEYAKGGELFDKLIKGKLKEDAARKYFQQLIGAIGFCHSRGVYHRDLKPENLLLDDEGNLKVSDFGLSALDETRRQDGLLHTSCGTPSYVAPEVIDQKGYDGEKSDIWSCGVVLFVLLAGFLPFHDSNLMEMYRKITMGKFKFPNSFPNEAKSLVSKILDPNPETRVSIAEIMETPWFKMGLVSEPQRTDKMVSNSLENRSDSLKDSHVEKTSDSIYLTKATTDSLEKDGELNAFDIIALSRGVNLSGLFYHNAEDEKEVRFMSRQTRGVIILKLEEIAKTWKLKVMKNNGVLLKMDGLEEGINGGLAIDCEIHEITANLHLIEVKRSGDDRFGFWEIVNQHIKPALFGVL >cds-PLY89450.1 pep primary_assembly:Lsat_Salinas_v7:8:30859346:30868054:1 gene:gene-LSAT_8X22220 transcript:rna-gnl|WGS:NBSK|LSAT_8X22220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDAIATVPLFMKIPVRMLAGDRMINGVNPNLNDLTTHFFRVHDGHGGYQITGRDQGISQNIKEILEYTY >cds-PLY67974.1 pep primary_assembly:Lsat_Salinas_v7:2:13254865:13259236:-1 gene:gene-LSAT_2X6581 transcript:rna-gnl|WGS:NBSK|LSAT_2X6581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPEEKKIPVHQNDYTIAGTTMFPSALLPDSGEHRKDIGKGTNTNLPFTKEARLASYSFSSVIDQQYPNTVWRDSSYDFSKDVDDLVFLPSAMTSPQESGVLSRIAESPNNYGILTPKEVRVSFHEEVIEPQPARLRSNGSGRSSAGGGVTGGEEVVLCSGNASFKRKSNLMRTRTNSRLIDPPEIDQRSSTPSKLGKVGSEIDEEDPFLDDDLPDGYRQLRYSKWTLLQLFSLILILGVLVCTLTIPYLKHQKLYDLVLWKWEVMISVLICGRLVSGWVIRILVILIERNFLLRKRVLYFVYGLRKAVQNCIWLGLVLIAWESIFDQKVEHMMKGNVLPYVTKIWVCLLVGTIVWLLKTLLVKVLASSFHVSTFFDRIQESLFNQYVIETLSGPPLIEIEHEREEEDRIIAEVQKLQNAGATLPPDLKANIFKKSGRSIGLSRTSTPVAGRSGLFSGANTPKKIDEGITIDHLHRLNQKNISAWNMKRLMNIVRTGVLSTLDEHLRSTCDEDESMVQITNEKQAKVAAKKIFCNVANRGSKRIYLVDLMRFLRGDEALKAIRLFDCESETKGISKAILKNWVVNVFRERRALALSLNDTKTAVNKLHQMLNMAVGLIIIVIWLLILKVATTHFLIFLSSQLLLVVFVFGNTCKTTFEAIIFLFVMHPFDVGDRCEIDGVQMVVEEMNILTTVFLRYDNLKIIYPNSVLATKFIANYYRSPDMGDAVEFCVHVSTPVEKISLMKERITSYIEKKSDHWYPAPMIVLRDVEDMNRLKISIWLSHRINFQDMGERWQRRALLVEEMIKVFRDLDIEYRMLPVDVNVRNMPNVTSNRLPSTWTNSAN >cds-PLY61975.1 pep primary_assembly:Lsat_Salinas_v7:5:159615585:159625637:1 gene:gene-LSAT_5X70161 transcript:rna-gnl|WGS:NBSK|LSAT_5X70161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGSKSGGAAAAAGGRLLVDESSSDVQNDRKRFQIELKPGETTIVSWVKLLKESGIPVDQSPPPSPEPPKWFHEEDEPRREYSNIILKKEKEEQNYRSSTIEHCQPKKQRTDTSQVLERVNVVQLTKNTKMGHARVKSSTDVNYHYDKKNKSGSPCGMTSDWAAVCVDLSEEHSVNNGRNELPDLNVPYTMQTTSTSSRDIKDESCGLMNGSMLESTILEMETMVAESRQLHGDVQDSVHSVASKSHLPPELKKKLETVARLAHSSQGRISDELMMRLMSILGHWLKPRTLKRCLRDIVSSSTCGLDDIRFIQIKKEVVEMIKLRVPSMETKVAHNAKYSMDHEMEDKICDFYDIYVQAMDEIKNSEIRKFYIQLAALWPKGSMDNHGIRNAICRAKERRRTLLQEKGHEKKPTAGVDNNLHEEANLVAEQGKTVNDHNAPVVNFPDTVVPGTPELDRKLGAPAKRFSPPSAGDC >cds-PLY92659.1 pep primary_assembly:Lsat_Salinas_v7:2:159988308:159989950:1 gene:gene-LSAT_2X83861 transcript:rna-gnl|WGS:NBSK|LSAT_2X83861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAVRHCSRIDTFDLKLEMERRLGSQKAEKYFNLLTRYINLKLSKSEFDKLCIRLIGRENLRHHNELIKAIIKNATLSNTPPPKQVKPDIPLTLKDPNNTIDPRSNLQSLCRDVFPQSPRKGRTPVHRERKYKDRPSPLGLNGKTHMAEETGLQLICTRIPITAPFGISIHSKETRKLVCNSGSSYYTETCHYTRYLPHTNSLENRLKQKLKMEGLDISMDCVKLLNNGLDSYLKSVIKPNLELAHSRSLHKQEISMLDFRVASEVNPKILGENWRIKLEKIGL >cds-PLY93270.1 pep primary_assembly:Lsat_Salinas_v7:4:303728596:303737296:1 gene:gene-LSAT_4X153081 transcript:rna-gnl|WGS:NBSK|LSAT_4X153081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Acclimation of photosynthesis to environment [Source:Projected from Arabidopsis thaliana (AT5G38660) UniProtKB/TrEMBL;Acc:A0A219HZL6] MTMKALSVSIGGGGNIICSQRLNLSGNHQFISLNQFSPLNLRRSSSSLTNQDHFLERPKTYLKFKLVIARAADSTQAPVPAAVTGGKSIVEDEEFSLAKISFGVIGLGLGLSLLSYGFGAYFNILPGSEWSAIMLTYGFPLTIIGMALKYAELKPVPCLTYSDAQILREKCATPILKQVRDDVIRYRYGDEQHLEEALKRIFQYGLGGGVARRNAPILTKIREEVTEDGKYCLVLVFEAKALELADFEKRQEKFASFFGPGITAEVGKGEEEKTYDVRLISNTTLGEDTRTNFVDHLVHTLQQKSIRTFKDDKRIKKGKKISDELIGSIEDSKFYINYASSSWCLDELVKIMECHRTTEHTAYPVFYDVEPSEVRKQSGAVREAFAKYEMEEAAGKWRVALKESTGPCWVGVEEDH >cds-PLY85478.1 pep primary_assembly:Lsat_Salinas_v7:3:42825991:42827051:-1 gene:gene-LSAT_3X33121 transcript:rna-gnl|WGS:NBSK|LSAT_3X33121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRLVWLCLCVLAMADIAMGAAPKKPVAVPFGRNYVPTWAFDHIKYFNGGSEIQLSLDNYTGTGFQSKGSYLFGHFSMQIKMVPGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKAYHSYSVLWNLYQIVFFVDDVPIRVFKNSKDLGVRFPFNQPMKIYSSLWNADDWATRGGLEKTDWSKGPFVAAYKSFHIDGCESSVNAKYCATQGKRWWDQKEFQDLDAYQWRRLRWVRQQFTIYNYCTDRKRFPTMAPECKRDRDV >cds-PLY93866.1 pep primary_assembly:Lsat_Salinas_v7:5:332361221:332362513:-1 gene:gene-LSAT_5X185701 transcript:rna-gnl|WGS:NBSK|LSAT_5X185701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPFFSVVKEEYPSSGGGGGGSDGVRRPTAIQAPLPQPMEGLHDAGPPPFLTKIYDMVDDRSIDHIVSWSRGGQSFTVLDPHAFATNLLPRYFKHNNFSSFVRQLNTYGFRKIDPDVWEFANEAFVRGQRHVLKNIKRRRAPSSSSSSSSAASQGCPESFGLDEVGRLKHEKQVLMMELVNLRQQQQNTRAQLQAMEVRIRGTEKKQQKVMSFLAKAMQNPEFVRKLVQHGRRQDLRDAIRGFDGVESGESSRTRNKLIKDEPEEEEFSQVSELEALALEIQGFGRAKRNQEEEEEEVVEEEEEANEMCEEKELDDEFWEELFSERSGVEDVNFLADKLDFLGSNPK >cds-PLY69231.1 pep primary_assembly:Lsat_Salinas_v7:1:80496754:80496993:1 gene:gene-LSAT_1X66720 transcript:rna-gnl|WGS:NBSK|LSAT_1X66720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCKSRLEDEKKEERGKGAPTVSDEAQKSLFSASVKSTSGEGGNMLPINGGRGRWHRQLAEIIGILELGMNDQRKNVEP >cds-PLY79892.1 pep primary_assembly:Lsat_Salinas_v7:8:17467660:17469319:-1 gene:gene-LSAT_8X12261 transcript:rna-gnl|WGS:NBSK|LSAT_8X12261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase CPRD49 [Source:Projected from Arabidopsis thaliana (AT3G11210) UniProtKB/Swiss-Prot;Acc:Q9SRM5] MIGPGRPQIVLFGSSIVQLSFSNDGWGAILADVYARKADIVLRGYYGWNSRRAIKVLDQVFPKDAAIQPSLIIVYFGGNDSMGPHSSGLGPHVPLPEYIENMRKIVTHLKGLSDTTRLIFLSCPPVDEVKLSENTSSIFSELVRTNELCRTYSEACVELCNEMGVKVIDLWTAFQKRDDWMTACFTDGVHLSGEGSKIVVAEILKVLKEAEWKPSLFWKSMATEFSEDSPYDLVYTDGKTTLNPSDWTFHREIQWD >cds-PLY67468.1 pep primary_assembly:Lsat_Salinas_v7:6:73743430:73744608:1 gene:gene-LSAT_6X52021 transcript:rna-gnl|WGS:NBSK|LSAT_6X52021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCLSKSWNVLLSESSFIKSHLHRSIQTNNNDRVLLHFSKLFSFDSKPFTARPYRIPHLELNNFIKLPVKPQSEDSRGKVIGSVNGLICFKYGSNHKPKYNVCQPDPDSYFIYGSDHPYEYIYIWNPSLSSLLTLPPYSMPSHSTQQFFRFGFDPKTDDYIVVKITQRLLISPNTVVFMEWLPVEVYSMRKGSWKLITQRIPSRLRNISDFDDLCVDGHNGHLHWQSYYYRGKNGFKKKTILAYDFGAETFREIPLPDSLTGGNAKDVWIKLGVMAGKLCVMSTVPGVERQLWVMDEYGVAESWVKHRFFPPFRGYIYPYGFTLQNEFLFQVSVSVLGYDHGLYDPVAATTKVIKLGYNVRGKVIDYVDSLVWVSPAEQRERNCCSISQFQF >cds-PLY90578.1 pep primary_assembly:Lsat_Salinas_v7:6:52161172:52162796:1 gene:gene-LSAT_6X38280 transcript:rna-gnl|WGS:NBSK|LSAT_6X38280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGHQIVELTSQTCDSREIIELELKQRGYKIPNHCSPTTRFSYACPLTLIFDNKFHNALIVLDEMLQPGSISELCSIRSIIIRMNIASAWLFFDQSVSWVAKFRKKVKKV >cds-PLY88801.1 pep primary_assembly:Lsat_Salinas_v7:4:91748135:91749147:-1 gene:gene-LSAT_4X60620 transcript:rna-gnl|WGS:NBSK|LSAT_4X60620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRKLTTSRSELLLGNYGYGGNTIQTGGDDSEELREDDVWSMVDGMVNRSDHDWNSRATMESNTRSRHRNPVPRGDSSVGGLSSAFEDATGKVMSSPRIVHQFPNGDSFPSPRGRHVATSAPVNVPDWSKIYRVDSVESMHDSDDITDDPDSDMVPPHEYLARAYERSHNSAANSVFVGVGRTLKGRDLSRVRDAVWSRTGFNG >cds-PLY66653.1 pep primary_assembly:Lsat_Salinas_v7:1:53381584:53382384:1 gene:gene-LSAT_1X46520 transcript:rna-gnl|WGS:NBSK|LSAT_1X46520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEYTGYVLQIKPNAKLSKRILQNALNSITRLLQESEKKEPRNLMKLLDKSTRFNGVVEFENDGVPPLHPEETQNYWSLVALTLTAIVLALPNIANCHVKGLLSSMKEGLQFVRNIEEILNANEELVKAREAARHVWTDVEVYCKWLQIDLQKKTRNGKTSKEILQWLGEEAAKTVIQFKTRKNVSLDHSPCKFTPASSMYIISQTILLHCNEQENWPTDEELFEWIATIITDLLCACFTKLPRVITMRCYDDAIEKREDSIRTVG >cds-PLY76216.1 pep primary_assembly:Lsat_Salinas_v7:4:51696906:51698795:-1 gene:gene-LSAT_4X35321 transcript:rna-gnl|WGS:NBSK|LSAT_4X35321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDADWDLHAVVRGCYSAVSSSSSTTTTAAATLNWPVDAYNQQKPTFYGENLMGFSSDLFQPRNENSIEQFLNNLYNPLHFSNLQKPPPSPQNFPISPLSVLGGLPDPPYHHYHHHQQEKQFQGKQQSLSITRCTNSHAQGTTKFKKRKNQIKKVCQVPAEGSSSDLWSWRKYGQKPIKGSPYPRGYYRCSTSKGCMARKQVERNRSDPGMLIITYTGEHSHPTPTQRNSLAGSSRNKPTTSSDDDNINKHTPTSPVSPTMEKMEEIERDDTDDDNNFDAAGVVIDDDIFDGLDELIGPATGDSFSTSKTGAPFRGCQITWPPQPPPLPAGVDF >cds-PLY75180.1 pep primary_assembly:Lsat_Salinas_v7:2:203278097:203278604:-1 gene:gene-LSAT_2X123840 transcript:rna-gnl|WGS:NBSK|LSAT_2X123840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMVAISSPGDLDFSGLVIHRLDVPDAIGKVRSNGKRKREENEEEGCKYLRLERRPPQKLITVAGDVWKRKFKKSQLQVAFGG >cds-PLY94149.1 pep primary_assembly:Lsat_Salinas_v7:5:35539516:35541760:-1 gene:gene-LSAT_5X16880 transcript:rna-gnl|WGS:NBSK|LSAT_5X16880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASYGGTTQKCKACEKTVYLVDELTVDNKVYHRACFRCHHCKSTLKLSNYSSFEGVLYCQPHFDQLFKLTGSLDKSFEGTPKTVRVDRSSDQGQSNSKVSSMFGGTQDKCVSCKKTVYPIEKVGVDGNAYHKACFKCSYGGCHISPSNYVAHEHQLYCKHHHAQLFMAKGNFSQFDKQHEPNHGVPNGVTENTTEV >cds-PLY79704.1 pep primary_assembly:Lsat_Salinas_v7:8:124957874:124963748:-1 gene:gene-LSAT_8X86180 transcript:rna-gnl|WGS:NBSK|LSAT_8X86180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKFDLSTVSPDRPLYNSAQRGSYTSASLDRSSSFRDNNNNNMENPILSSLPSMSRSTSTVTQLDVTNFLQCLRFDPKSMAVDHKFNRHGDFRRLASAVLGSPDESPSSKTKLPNSSPDDLKRLKAGLRESTIKSRERVKAFSETLSVINKFFPSIPSRKRSRGPDGLPGDRSTGLLLNRAPMGTPKMGAHTQTHSLSNSFDFDQQKVEERGKNVIPNKRTRTSMEVRPNTPARSSGNVDRDKEPLRLPTSNEDRASLPIVADGWEKSKMKKKRTGIKVDASPSPSSGSTKPIDGYREPKQGMHPRHVPDGITRLNDSHGFRPGAANGVAGGGKAEVMRPSIPRPEIENTSLLQRDRSTSSEKERTKRSNVREEFASGSPTSSTKLHTNARGPRSGSNVVPKSSVSMGQRATNNDWELTHGTVTNKNPGQFGSGNRKRTPATRSSSPPVTQWAERRPQKMSRTARRTNLLPILPTNNNDETPGLDTSDVTGGSPSGPSGFSKRFASSPQQFKSKGDHFASSTLSESEESGAAEIRSRDKGKKCDEEGKGEQNIHNKMSTLVLPTRKNKIVNGEDIGDGVRRQGRTGGRGFGSVRAGAPHAVDKIRNVGTAKQLRTARVGFDKSESRTGRPPTRKLSDRKAYTRQKHTTAINSAADFLVGSDDGHEELLAAVNAVINPNLALSSPFWRQMEPLFGFVSDVDMLYLKQQGSIQAAVIDSGGALSNGTESRSPEHFASPGEMQIPLCQRLLAALISEEGNIDEHNYHVYGSGSGFEFETDMESNHRSTFSGHGHRINNHVMSIPDSGFDTSYNGLLSDLAMTPGSATTLSEYQYSNMSVNERLLIEIQSIGIYPELVPDLPKGNEGISAEISRLEEKHHEQVSRKKSLLDNLMEFTNETRELQEKEFERHCLEKLTAMAYQKYMSCWGPHGPGGKGVGSKMAKQAALGFVRRTLDRCHEFETTGKSCFNEPLYKEMFHNRSSHLNDTQIDNEFDKIYASGVQVQSPSLNNNNHNHNHDIYSSDSFHLPDQTIGKDDVWSSRVKKRELYLDDVVAGTSSSSGIPSGFGATILNSAKGKRSERDREGKGSGVISRNGPPKIGRPASVKGERKTKTKLKQKTTASLNGPVGRTNLPSAVVKLENSIIVKEKEEYCEEPLDFSHLPLPEMDVLGVGDDLGEQGQDIGSWLNIDDDILQDDDFLGLEIPMDDLSDLNMMV >cds-PLY91645.1 pep primary_assembly:Lsat_Salinas_v7:8:12341628:12344338:1 gene:gene-LSAT_8X9021 transcript:rna-gnl|WGS:NBSK|LSAT_8X9021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCIQQFIKKQEESMSRTMDPEGLKEISLKVGGFVGSSEKSYELSFKVFRSCYLSYHRRKGGERELVLKKHDTVTFYVFGKNMKGFSILVGAKTFLVTLLQNSDIF >cds-PLY64276.1 pep primary_assembly:Lsat_Salinas_v7:7:3872584:3873988:-1 gene:gene-LSAT_7X2621 transcript:rna-gnl|WGS:NBSK|LSAT_7X2621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGVVEMVKHLFSDTVESIEKPEARVTDVDLKGVGAHSVTYLAKVNVSNPYCIPIPLGEIRYLLKSSGREIASGTIYDTGSLKRKGDTLLYVEIDVANSVLVTLVKDIAVDWDIDYELKVTLIIDFPLICDISIPVTRNGQIKLPSLADVLKKKK >cds-PLY87725.1 pep primary_assembly:Lsat_Salinas_v7:1:5386295:5394070:1 gene:gene-LSAT_1X4241 transcript:rna-gnl|WGS:NBSK|LSAT_1X4241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGKPLFDLNEPAAEEDEESDGVFIFQPQRAVPSTTHTSDLFTKSNSPRRLINNNAFSHASSVSGFQPFIRSKVEQTSEVDEDKKRAIDIRPVVEKEEGEWSDAEGSADEVPEKPTSALENDDKSQVKQEAVLMDQRDSDVASVKDENIQNNNNASFGVDGDSIDRKSSGSRNSEGDIAMDSQEDSTVAPKQKDIKGVEAIHALKIANNPGKRPKIDHQKEAMLGKKRSRQTMFLNLEDVKQVGTIKTTTPRRQNFPPPVTTRIVKESRLQPSTNGDKQIQPPVAESSGFMESTDTKSESNNGDMSSGILGRPKRSVSSTDLALKQTKNAQVPGRKSGLLSQSSIDLKTGSKKLPSKKPLTMTTPQYQDTSVERLLREVTNDKFWQHPEEAELQCVPGTFESVEEYVKVFEPLLFEECRAQLYSTWEESTEMSSRDLHAMVRIKTVERRERGWYDVILVPANECRWNFKEGDVAVLSTPRPGTVISKRNNNSNMGEESEVSGRVAGTVRRHIPIDTRDPTGAILHFYVGDSYDSNSKSDDDHILKKLHPKGIWYLTILGSLATTQREYIALHAFRRLNSQMQTAILQPSPEMFPKYEEQAPAMPDCFTPSFFDYLHRTFNAPQLSAIHWAATHTAAGTTTGSTKRQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTALLKKLAPESYKQTTENLVSDNAPAGSIDEVLQSMDQNLFRTLHKLCPKPRMLVCAPSNAATDELLTRVLDRGFIDGEMKVYRPDVARVGVDSQTRAAQAVSVERRTEQLLVKSRDEVYGWMHQLRAREAQLSTQIAALQRDLNVAAVTGRSQGSVGVDPDVLMARDQSRDSLLQNLAAVVENRDKVLVEMSRLFILEGRFRNGANFNLEEARASLEASFANEAEVVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVGVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPQIREFPSRYFYQGRLTDSESVTNSPDEPYYKDPILRPYVFYDITHGRESHRGGSVSYQNIHEAQFCLKLYQHLQKSVKSLGIPKVSVGIITPYKLQLKCIQREFEEVLKSEEGKDLYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRAKRALWVMGNASTLVQSDDWSALIADAKSRECYMDMESLPKDFLVSKGPHPPPPQARFSNMRGLKRPGLRHRSYNDHMESSRSGTPSEDDEKSNLSYPSRNGNYRSFKPPFENSNSLDDFDQSGDRSRDAWQQGIQKRPNSTTVPGKRDP >cds-PLY89320.1 pep primary_assembly:Lsat_Salinas_v7:2:49907679:49907933:-1 gene:gene-LSAT_2X23521 transcript:rna-gnl|WGS:NBSK|LSAT_2X23521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAELRVAVMGGVLWQLCCLSIVFYRRELIESGARKMAGGDEGFSVVLEVWVVLGREEVKGRESCGGVVYVLVGTTEMKKRRFGL >cds-PLY79879.1 pep primary_assembly:Lsat_Salinas_v7:8:15565014:15567286:-1 gene:gene-LSAT_8X13440 transcript:rna-gnl|WGS:NBSK|LSAT_8X13440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 52 [Source:Projected from Arabidopsis thaliana (AT5G05340) UniProtKB/Swiss-Prot;Acc:Q9FLC0] MGFSSFDILMKSVLVMLLIGVVSAQLSPTFYSRACPKLLPTVKSAVQSAISKETRMGASLLRLHFHDCFVNGCDGSILLDDTSSFTGEKRAAPNFRSARGFEVVDNIKSAVEKACPGVVSCADILAITARDSVAILGGPSWNVKLGRRDSRTASQAAANNSIPPPTSSLSQLISSFNAAGLSSKDMVALSGSHTIGQARCTNFRARIYNETNNLDASFATSRRSNCPRATGSGDNNLAPLDIQSPTSFNNDYFKNLINQKGLLHSDQQLFNGGSADSTVRQYSSNPSLFSSDFAAAMIKMGDNKPLTGSSGEIRKNCRRTN >cds-PLY89357.1 pep primary_assembly:Lsat_Salinas_v7:5:70075561:70075875:-1 gene:gene-LSAT_5X33740 transcript:rna-gnl|WGS:NBSK|LSAT_5X33740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKTEKGKEGATVDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLFSKEATGGKNSFRVRGIKGISRKMKVQGFLLERLTCSFKLPIIPLMQIILL >cds-PLY69594.1 pep primary_assembly:Lsat_Salinas_v7:8:10028213:10029881:-1 gene:gene-LSAT_8X8320 transcript:rna-gnl|WGS:NBSK|LSAT_8X8320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVELLETLKEAITAYTGLSPNAFFTVLAAGVAIYYVVSVLFGGSSDHQPQHRPRSFEEEVQPLPPPVQLGEISEEELKAYDGNDPEKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEDKDLNGDLTGLGAFELDALQDWEYKFMSKYVKVGSIKNSEAPPAESTATDSAAGPTESTVAEPVHVSEPTEEDKEAKEVKDE >cds-PLY98584.1 pep primary_assembly:Lsat_Salinas_v7:1:36419916:36426533:-1 gene:gene-LSAT_1X30741 transcript:rna-gnl|WGS:NBSK|LSAT_1X30741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKYPVGAEHYELYEEIGQGVSASVFRAMCIPNKEIVAVKVLDFERSNSDLNNISREAQTMILVDHPNVLKSHCSFVNDHNLWVVMPFMPGGSCLHILKSCHPEGFEEVVIATILRETLKALEYLHHHGHIHRDVKAGNILICDTGAIKLGDLGVSACLFDSGDRQRLRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKMIASCLVKDPSKRPSAKKLLKHSFFRQARTNDFIARKLLEGLPTLGDRLQALKRKEEDMLVQKKIPDGQKEEMSQNEYKRGISGWNFDLEDMKAQASLIQDEDPIPDKDQLEKSISFNGTGAGERELQHQLSYMSRAFTSTDVAGSVPFVNSIASSSMGKCESGDIGSSSNGHVTLRTCSLNINSDFSGKALNGVASLSQKRVASSGCIAAMDGGKLQGQTANCNGSHSLQITDGLTSESISKVSSKTTLTNDEQDEKAKCPVVQQKGRFKVTSENADNDKVVSSPGMLKSPSMRIIIEHPNGPTSMQGDATDQRVSLDAVDDREKELLNEISELQRR >cds-PLY84143.1 pep primary_assembly:Lsat_Salinas_v7:6:187023155:187025891:1 gene:gene-LSAT_6X115341 transcript:rna-gnl|WGS:NBSK|LSAT_6X115341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSIIFKLIILVLISCWVLEIVDGYYLPGSFPNRYYVGDQLSVKVNSLTSIDTEIPYGYYTLPFCKPSEGIKDSAENLGELLMGDRIENSPYRFNMFKNESEIFLCKTNPLSSNEFKMLKKRIDEMYQVNLNLDNLPAIRYMEKDGFFLRWNGFPVGARVQDSYYAFNHLKFTIFVHRYEHNNVAGVIGTTDGDELITPANETTTNEGYVVVGFEVVPCSVNHDEKLLKNIKPYSKYPSQIKCDPLSVGMNVKEGKPVIYTYDVSFVMSDIKWVSRWDTYLKMEGAKVHWFSILNSLMVITFLAGIVLVIFLRTIRRDLAHYEELDKEAQAHMNEELSGWKLVVSDVFRSPNNPEFLCIMVADGCRILAMAIALIFFAALGFMSPASRGTLINGMLVFYVLLGFLAGYIAVWLWRTLSIGERQGWFSVSLRVACYFPGLSFLILTLINSLLWGSDSTGAIPFTTFLVLILLWFGISVPLTLIGGFVATKAPYLEYPVRTNQIPREVPAQRFPSWILVLGAGTLPFGTLFIELFFIMSSIWLGRVYYVFGFLFVVLILLVMVCAEVSLVVTYMHLCVEDWRWWWKSFFASGTVAFYIFLYSINYLVFDLKSLSGPVSAMLYLGYSLFMVIAVMLATGAVGFLTSFFFVHRLFSSVKID >cds-PLY93340.1 pep primary_assembly:Lsat_Salinas_v7:9:63413364:63414967:1 gene:gene-LSAT_9X54521 transcript:rna-gnl|WGS:NBSK|LSAT_9X54521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKRSMSVSSMENRDHAIHSSETKKNKIIWTPDLHKKFLEAIEKIGVEEAVPKKILELMKVDGLTRDHVASHLQKYRIFLKKIADANNRVQIASKPSLLESSMINEDPWRNSSLIPNQQKNFTPYSGMHEMMNPPLLDTSSFSFPILEASSSNPITSSQVAHSHALPNQGYSMQASTMLQQTALKSLDDVAKLGIVSSGCVSSSSCMQNLDGFMYDNGGSTTYVHPYVSSEMGSFGGRYTNNELQMSWINHEGSNGFENNYSSHGEVVETGPLYSSNSNMEMSNYLYNEMKMNNDLGSNIQNVIGEDDLVLDVSYIASLWETFFPEESYVDSYGEYGSTSNNLPIIYEPSYQDVYSLNLPNMVSFDSFHNEDHVNKKQRSNEHVNEQLMESVEDDVTLQPLFLNSYVQD >cds-PLY71392.1 pep primary_assembly:Lsat_Salinas_v7:5:46717339:46717865:1 gene:gene-LSAT_5X22961 transcript:rna-gnl|WGS:NBSK|LSAT_5X22961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLDYLFGSGGEPKPTAVSTGNTESPPTQVHVAATEPPPPPKTAAPITPPDITKQIPAGIQSSKLNNYIRADGQNTGNFITDRPSTKVHAAPGGGSSLGYLFGDGKK >cds-PLY99203.1 pep primary_assembly:Lsat_Salinas_v7:4:367252126:367254521:1 gene:gene-LSAT_4X180061 transcript:rna-gnl|WGS:NBSK|LSAT_4X180061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRRSHHSCAACRFSRRCCPVDCPFAPYYPADQPQIFRYVHRLYGTGKILRILNLLNDNVQKQEAMTSIKYESYIRHINPVNGCYGVTVYLQQKLVNMMRQLQYIRLLLDFHRRNNHDQIQDPPVKNEIINDQSVGGSSNTTTDQTIYENDQGFYFVGSSDRNANEVVSRIMESPSKNLDDDQEITNDDLMRILSDFD >cds-PLY89203.1 pep primary_assembly:Lsat_Salinas_v7:3:176625858:176626337:-1 gene:gene-LSAT_3X103841 transcript:rna-gnl|WGS:NBSK|LSAT_3X103841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILEEEEEEQEKEVVSSEYETYSKEEKMVLETIHKDLQIQKNLEVVANTAEIEADNKLNETEEYKARKPREYTRIKIDREDRDEILRKGKRLKKLGICLRKREENGRERI >cds-PLY71584.1 pep primary_assembly:Lsat_Salinas_v7:1:115906868:115911215:-1 gene:gene-LSAT_1X87821 transcript:rna-gnl|WGS:NBSK|LSAT_1X87821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFGKLSVNHMYQLFKFLFTPFDDASNPIIAQAAFLATLTEVEIAEATASAAVKALTEINYETSKEILESSSDDAKDQDLWVIEFFAPWCGHCKKLAPEGKKAAKNLQGKVKLGHVNCDDKKSLMSMFKVQGFPTILIFGADKESPITYKGARTASAIESFALGQLETNVSPSEVIELTSSDVMEEKCGSAAICFVSFLPYILDSKAEGRNKYIEILLSVAVKFKRSPYRCLGVCFITVLLDDVSYFSHKSNGELANEKQLVDHYSSQHPKEKPPSNSE >cds-PLY93315.1 pep primary_assembly:Lsat_Salinas_v7:1:136126642:136127166:-1 gene:gene-LSAT_1X98840 transcript:rna-gnl|WGS:NBSK|LSAT_1X98840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCTGVGYNVNYGVSIPIHGLSSKIINAGSNDGHMHLTQNMDGVDDEDDVADDEQHELHADMEDASTQGIINPPQSMNQHIQFSSTCTSSTTLSIEAIRQQRLSPPQVETIDPLIQALNSPRPASRPPQAEIVPPMPNLIHPISIF >cds-PLY80076.1 pep primary_assembly:Lsat_Salinas_v7:4:348236249:348236596:-1 gene:gene-LSAT_4X172441 transcript:rna-gnl|WGS:NBSK|LSAT_4X172441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPVVTAPSTLERGKPLLITTSGAGGGLTSAPMRMNYVRIKVPDVIRNVKIVVHSVYLPFPHINPVVAAYDCILEGSEVSGGDQTMEGTCAALDGHGPFIEDKSISNPYEVLP >cds-PLY63842.1 pep primary_assembly:Lsat_Salinas_v7:7:102292713:102296892:-1 gene:gene-LSAT_7X67341 transcript:rna-gnl|WGS:NBSK|LSAT_7X67341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFYATNPGPIDDSVLYDQEKHVSSAVWEGQCWSYFRLNIGRPKLNHDPRHDRFPFVFRWKGKHTGPTTNRDVAFYRKALDSLKPSEVEWCPYVNISDAMLPEDIRNNLILGRSNTMLICFDKAERHLPDRCLRQFGIRQPIPLDVQQWERKSRGVDGGVDLSAKMETELSEWSDRHLRVVDVDEDVEETEYLHWYLKITRKLVGRPIPISSEFQRMNAALRDIANVADMLSTHGMDDQQMHAVTRIRYLAHECLRDQIGSMMIADVTDSEQNEVDKKIRGKERIRRKGMGMGMGVKRRRKDDSGQFLTEFCLPSPINMVENNDVEICLPPGDADDDSQLCYMPTKVDQTHSLSNGIHDSAFCQEPADANADMPQDGLVSSADIPQQNENVYSVLV >cds-PLY63960.1 pep primary_assembly:Lsat_Salinas_v7:3:172932583:172939145:1 gene:gene-LSAT_3X105260 transcript:rna-gnl|WGS:NBSK|LSAT_3X105260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAARKNLTTTNHLRHLESMATHPSGAGKIPRLNAVILGESLASEEDDLVFPSHDFSQQAHVPSPQKYLEMYNRSIVDPGGFWSDIASEFYWKEKWGQQVYSENLDVTKGKIKIEWFKGGITNICYNCLDKNVESGNGDKVALHWEGNEPDVDGSLTYRQLFEKVCQLANFLKDNGVKKGDTVIIYLPMLMELPITMLACARIGAIHSVVFAGYSAESLLQRIMDCKPKIVVTCNAVKRGKKVLNLKEIVDAALSESSQNGISVDSCLTYENESAMKKEDTKWQKGRDIWWQDVVPKYPTTCDVVWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMIYTATTFKYAFDYKESDVYWCTADCGWITGHSYVTYGPLLNGATVILYEGVPNYPDSGRCWEIVDKYKVTIFYTAPTLVRSLMRDGNEYVTRYSRKSLRVLGSVGEPINPSAWRWFFNVVGDSRCPISDTWWQTETGGFMITPLPGAWAQKPGSATFPFFGVEPVIVDEKGKEIEGECSGYLCVKSSWPGAFRTLYGDHDRYETTYFKPFPGYYFSGDGCSRDKNGYYWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEVKGQGIYAFVTLVEGVPYSEDLRKSLVLVVRNQIGAFAAPDRIHWAPSLPKTRSGKIMRRILRKIASRQLDELGDISTLADPSVVDQLIALADS >cds-PLY76507.1 pep primary_assembly:Lsat_Salinas_v7:5:12570315:12571553:1 gene:gene-LSAT_5X6900 transcript:rna-gnl|WGS:NBSK|LSAT_5X6900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQFTLGSFEDPSMQSVYTTQPKSGGKQMGPKEKKAAVHEEIKKVNQLPAHSTYATHRMRVLNKILQLLSVQRTTSQDEELELLFAGLSI >cds-PLY93728.1 pep primary_assembly:Lsat_Salinas_v7:3:169741790:169742659:1 gene:gene-LSAT_3X104040 transcript:rna-gnl|WGS:NBSK|LSAT_3X104040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGYIAVYNFERIAWLKITGLPPKLWSEENFSKIAGTIGRVIVPFEVDTSSVNLVYGKVGVITNSLSPISTYLTVEIKGKATKIRADEVDLDWAPFKQFDQSLEDTSSSDEDEDEVDDLVGSDDEDHVSDTFPMQYEKEEPEEGEIREEDGMEINSQLHMAGKHNNTVMGPPPAITAPAGTVGGSQTELHRESQRTQMNAINNEPTVSGMEHGEAGNVAPESNNLGHTDSSNGPLNTLSLTGCFGPLPSNTVRSEPFSGQTNNNFKGAYDKRRRILTPILESNMESQN >cds-PLY64909.1 pep primary_assembly:Lsat_Salinas_v7:8:133375549:133377449:-1 gene:gene-LSAT_8X92521 transcript:rna-gnl|WGS:NBSK|LSAT_8X92521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVESSSIKVNGMQFGYSGDNPIFVDFNLKISPRSRCLLVGANGSGKTTLLKILAGKHMVGGRNVVRVLDFSSFHDTQLVCSGDLSYLGGSWTKTIGSAGDVPLQGDFSAEHMIFGVEGIDPIRREKLIDLLDIDLQWRMHKVSDGQRRRVQICLGLLHPFQVLLLDEVTVDLDVVARMDLLEFFKEECEQRGCTIVYATHIFDGLESWATDLAYIQDGDLKRYEKLADLPEMKNSSNLLSVVESWLRSETKNPKKKPVNSSPFRNSSRHMAYYR >cds-PLY65041.1 pep primary_assembly:Lsat_Salinas_v7:1:119440915:119445848:-1 gene:gene-LSAT_1X92120 transcript:rna-gnl|WGS:NBSK|LSAT_1X92120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSAVPEGSSSSSSTHGYSPSTHAYRYDVFLSFRGFDTRHSFTDHLHKALIDANITAFMDDEEIATGEHLKLELESAIKASRASIIVISKNYATSTWCLDELVLILEQRMTSNHIVIPIFYHVDPNHVMKQQSSFGDAMSKHKQKMDEETDENKRSQWAQKIEQWNKALVEVASIKGNDVNGRVETEFIEEMVKDIYRRLHVRSVRPLLTGMDYSINFITSWLNDGSSHKADILTISGIGGIGKTTLAKHVYGLYCHEFDKSSCIEDISRICDGKFNALLDLQEQLCNSISKTSSVNAHDVSIYTAKIENALTRKRVFLVLDDISTVTQLDALLGTKGFHPGSKVIITTKDRWLTESCALFKTNTKPNHVEHFVEALHETKSRQLLCSHAFMSNHPKAGYAEVSDKLVKYCQGHPLALEVLGKSLYNRDVSYWEGCIEVLKKEVGSPVNNILRMSYNSLPSKNDKELFKYISCFFIGIDRDVSETILEACEINTRSGIRNLIDRCLLSIGKNNELKMHQLVQEMGRFEVHQESPDKPWKRSLLWSHKESFRVLKQKKGKGNILGLSLDMRMLENQKLGASFELKTDALSNMDSLMLLQLNYVHMHGSYENFPGELRGLCMHGFHLKSIPLDLPIENLVALDMSYSNIESIFASYSNPQPLEKRKNLDESCSKEKRLFGSLKILNLSFCKQLHIVGDFQQLPALERLLLRNCIGLLNICESIEQCVELVLIDLSYCKKLEKLPRNIGMLKKVKTVLLDGCSLGDSRIQIKEIGSLELCKTSNIYIKKRPSFSSFVGAIPSDLKSFTMSLPRSLVRLSLANNNLSHKSFPMDFSYLFMLKDLCLDGNPISSMPSCVRTLPRLEKLSMGKCKKLKSVVYPPHTLRELSINPYDVYYVEKVVFDPKMSALQLPFVNWVAYAPGSYEIEGIIKMQEMVNVEEKVLHSLGWNNLDSLNNKHMRTNPLKSKIQLFYEFGIFSTRYEAEDMPSWFSYRSVGPSISFTIPSSSSPNKLRGLNFCFVQRWKGLDKRSTYKYGQTPVWPMMTISNITKNRMWIYERHKDIVAESKMCWVVLSHWILGMNEMEGGDHVIINVTPRYDKLIKECGVSLVYDDGEEKEEEDALGYYKSWNHRIGGDLSPFQTSTGQYILNHKLFFTTMIGLLPYHREFVTEGAEFQVKEKGWFRALSPRKPDILGPACEDASK >cds-PLY71566.1 pep primary_assembly:Lsat_Salinas_v7:3:53360298:53360852:1 gene:gene-LSAT_3X39061 transcript:rna-gnl|WGS:NBSK|LSAT_3X39061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIGSHHPHFCDIIIKRFHKAIGLRTGGAIRCGGLITVISRSLAAQHVRGYTFFTGNTFRLTQQNLRAMHMLRTTPGGYVWMQGRSNCFKVTGPDDIALADPIRETVWVHRSNIPPPARPHRPQQAPRPHSNRPSSSNMHPDYTEATPICYSQPDPLQTDFQDQPPPTQPSHPHLNSLHLPTLS >cds-PLY62790.1 pep primary_assembly:Lsat_Salinas_v7:4:31858714:31865926:-1 gene:gene-LSAT_4X21341 transcript:rna-gnl|WGS:NBSK|LSAT_4X21341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MNLQIKLHSSPSSFLHFHTITFLPSKTNITIPSRNRFTFLRQRRNAPNFKIVRSSMQVNEVKFMEAEEAELLLATCITRTLPPALTLESGLEKIRDSVEDLKSKPRAKAGMYRYQIAVSPGSRALSLFCSQDPSLGVFPQFFVSTEFEKPTNKFLSFTTSHGVFGIGVAVFIKTPFSSTSIENISFRRYQSLDSTHLKAYGLFGHNSVKYENISPYMFIPQIELVESDGLSILSATLAWNDSSLYPYDKAFDVIIYLTTALTRPIANECLNKSINAVLKKFNMVEDKHAQMVYTDALLLREGCLDHMELENTSSSYQFSLRLSATVVVCNNMLDQFRDIAHSLKQSSNINTLWASLIIEECYRLGLTYFCIAPGSRSTPLAIAATSHPMTNCIACFDERSLAFHAIGYAKGSQKPAVVITSSGTAVSNLLPAVVEASQDFLPLLLLTADRPPELLDTGANQAINQVNIFGSFVRQFFNLPVPTDDISARMVLTTVDSAVNHATSSPCGPVHINCPFREPLENTPKEWSQSCLKGLEFWVSSSQPFTNYFQSHDSFTHGDVADVLGVIQGAKHGILLIGAIFKEDDIWASLLLAKHLKWPVFADILSGLRLRKYKNSVLKLNESILFVDHLDHLLLSPEVRNRINPDVILQIGSRITSKRVSQMIQDSSPCQYILVDNHPNRHDPSHVEWEISFLIHSENNLSEPYVAHVTSEAIEFGSTMFIGNSMPIRDADMYGSSHGKGSHGKITKLPSGFPFTWIQVAGNRGASGIDGLLSTAIGFAVGHNKKVVCVIGDVSFLHDTNGLALLKQRISRKQMTIIVINNHGGAIFSLLPIANKAEEKILSQYFYTSHDVGIHNLCNAHGVKHVHVSTKSELQKALLNSQHEESDCVIEVESSIDANKNFHSNLRQFSCQAAGNSLSIISKLSVLNSIKVHKLEFFQYRIQLCAPLTTPVVNDNYREGFVLTLYLEDGSTGIGEVAPLGTHKGTLQDAQEQLQFLTHVIKGSTLHSSLPLLNGSFSSWIWTNLGIPPDSILPSVRCGLEMAILNAIASVQGCSSSMLNILHPSAPKQESPQKSSNITICALLDSNGTPSEVAYLASKLVEEGFTTIKLKVARRAHPIEDAQVIQEIRKKIGFHIQLRVDANKKWSFNDALQFGSNVKECELQYIEEPVNDEDDIIKFCEESGIPVALDETIDNLQENPLQALSRFTHDKIVAVVIKPSFVGGFEKAAYIARWAHHHGKLAVVSAAFESGISLSAYVQFSCFLEMKNKDICKIMNKEIVSDVAHGLGTYKWLKDDVIITESLCFTKSQASVFDAGQTLTNFKINRNSVFRSFESEKLWNYTQKVDVEGMTFSINVKELGDKRNDNVIVFLHGFLGSSEDWIPIMNAMSASSRCIAIDLPGHGGSKMDAIDDVAQGVELSMQVVTDMLEKLVLVLTTKKVTLVGYSMGARITLYMALKFKNMIKGVVMISGSPGLDDVAKRKSRSVKDDSLACALVSYGVELFIETWYSGEMWESLRSHPQFEQIVADRSKHDDVSGLVKTLSGLSTGRQPSLWEDLKHVKSPVVVIVGERDEKFKKIGERMCVTLGKSRVVEVPNCGHAVHIESPLLVIRSITEFLTRLDS >cds-PLY92285.1 pep primary_assembly:Lsat_Salinas_v7:2:211050881:211058363:-1 gene:gene-LSAT_2X133060 transcript:rna-gnl|WGS:NBSK|LSAT_2X133060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGFSTADEDGSDPAKNSRKRSPGLGELPENCLAMVLSYLDPPDICNLARTNRAFYHASSADFIWESKLPENYRILARKLVLYDGSLACFGKKDIYAGLCSPVRFSSGTKEVWIEKKSGGMCMLVSWKGMKITGIDDRRYWSHIPTLQSRFYSIAYLKQMWWLEVEGDVEFEFPPGSYSLFFSLQLGKPCKGKNRQCSSITPQVYGWNIKPVRFQFSVSNGQHVTSEQFLNEQGKWLRYHVGDFVIEDLCNPTKIKFSMTQIDCTHQKGGLALDSLFICRNQLASGR >cds-PLY89267.1 pep primary_assembly:Lsat_Salinas_v7:3:250423836:250426191:1 gene:gene-LSAT_3X138401 transcript:rna-gnl|WGS:NBSK|LSAT_3X138401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVVESSSATTADQSNVKGVLTHGGRYVQYNVYGNLFEVSRKYVPPIRPVGRGAYGIVCAATNAETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMEHENVIAIKDIIRPPQKENFNDVYIVYELMDTDLHQIIRSNQPLADDHCRYFLYQILRGLKYVHSAHVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEILTRQPLFPGKDYVHQLRLITELIGSPDDASLGFLRSDNARRYVRQLPQYPRQQFSARFPNKSPGALDLLEKMLVFDPNRRITVDEALCHPYLAPLHEINDEPVCPHPFSFDFEQPSCTEEHIKELIWRESVKFNPDHPNH >cds-PLY71438.1 pep primary_assembly:Lsat_Salinas_v7:8:42694043:42696249:1 gene:gene-LSAT_8X33141 transcript:rna-gnl|WGS:NBSK|LSAT_8X33141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNTTTMTTSSPATSRHFFPEIQIIELLAALLVFIVIHSLRQRKKHGLPVWPFLGMLPSLVLGLRVDMYEWISQVLCRQNGTFTFRGPWFTNLNCIVTSDPRNLEHLLKTRFQAYPKGPYFRNALRDLLGNGIFNADDEIWQRQRKTASIEFHSSKFRNMTTESLLELVHRRLLPVLNESVDRSDQIDLQDILLRLTFDNVCMIAFGVDPGCLSPGLPEIPFAQAFEAATEATILRFVTPTLVWKIMRHFNLGSEKRLKDSIKGVDSFADEVIRTRKKEISLLQADDKKQRSDLLTIFMGLKDDEGQPFSDKFLRDICVNFILAGRDTSSVALSWFFWLLDQNPTVEEKILGEICRIVDERNDESEMGDLIFRPEEVKKMDYLHAALSEALRLYPSVPVDHKEVVEDDVFPDGTVLKKGTKVVYAIYAMGRMEAIWGKDCRDFRPDRWLRDGRFMSESAYKFTAFNGGPRLCLGKDFAYYQMKFVAASILYRYKVVVVENHPVAPKLALTMYMQHGLKVKLCKRSESELQMYHKY >cds-PLY87759.1 pep primary_assembly:Lsat_Salinas_v7:5:200679166:200686096:1 gene:gene-LSAT_5X91720 transcript:rna-gnl|WGS:NBSK|LSAT_5X91720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVVIGGDDGDNHGASDEHMLSYIHDRWRMRWTEDKGAINNKKTIQEHKDDLFDCCFTTDAWEEEDYKSYVGNTISQLKDHYPDASVLIFNFGDVKSSQIASALSEYDMTIMDYPRQYEGCPLLPMEVIHHFLRSSESWLSLGQQNILLMHCEQGGWPVLACMLAALLIYRKQYAGESKTLDMVYKQAPRELLQFFSPLNPLPSQLRYLQYVSRRNVATEWPPLDRALTLDCVIIRMIPDIDGNGGCCPIFRVYGQDPFFPGDKTPKLLYSTPKGNKNVRHYKQAESELVKIDINSHVQGDIVMECISLHDDLIREKMMFRAVFNTAFIRSNILMLNRDEIDMLWDAKDLFPNDFRAELLFSDMDTTAVSTVPIDSTYFEEEGLPIEAFAKVQEMFNSVDWLDPKSDAARNVLHQIVASDIVQEKLSVEAHLPSNQESEEVAESKVKLKPTKTPLIPPSILLPSPSLKDTKEDTKSPSSPSILHRSQLKRDKTDSKIEPFQSLSSPQYPATPPSKDKRDTILQPSPPPPPPPPPLKDKPVIRAGPSPPPPPPPPPVKEGKDERVASPVPPPPPPPPASRISSGPPPPPPPASRISSGPPPPPPPPASGNSSGPPPPPPPPPASGNSSGPPPPPPPPPASRNSSGPPPPPPPPPASRNSSGTPPPPPPPPPPASRLSSGPPPPPPPPPPASQISSGPPPPPPPASRISSTPPPPPPPTFSSGNVKKGVVPPPPPRKAGTNPGAPSPPPPLIKGRSLSKTTSLKTQHSKKLKPLHWLKITRAVQGSLWAETQKSGDLAKAPEIDISELESLFSASNPNPDQGAEKSKSRAVVANKPERIQLIEHRRAYNCEIMLSKVKIPLNELMHHVLALEDSAMDSDQVDNLIKFCPTKEEMELLKGYKGETDKLGKCEQFFLELMKVPRTESKLRVFSFKLQFNTQTRGSAKLKRVMQTILSLGNALNQGTARGSAIGFRLDSLLKLTDTRARNNRMTLMHYLCKVLADKLPEVLDFSKDLQSLEPAAKMQLKYLAEEMQAITKGLEKVVQELSMSENDGPVSENFRKALKDFLCSAEGEARSLASLYSTVGKNVDALIIYFGEDPVRCPYEQVVSTLVKFVRMFNQAHEENTRQLEADKKKAEKEASNEILKQRISIITQSPVKSSK >cds-PLY72708.1 pep primary_assembly:Lsat_Salinas_v7:6:29129245:29130644:1 gene:gene-LSAT_6X21681 transcript:rna-gnl|WGS:NBSK|LSAT_6X21681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLCLSSSSSLFSKSFNSNTKSVIPRFTASADVPDFLSVDWLESRRKRPFGPRLSFSAEESVHYQLDALMFNDQPRPDYGVEVMYRFAGFDPFERSLYFGPSFDLGQFERFRRIFHHSTYRVLLNHKERKILSTLNVEENCYKQRVWIRGARPEEEETFQFTMVQRVGGFWDGYWLTESLLHDGDSFSGGVAY >cds-PLY83622.1 pep primary_assembly:Lsat_Salinas_v7:9:101151060:101156118:1 gene:gene-LSAT_9X74901 transcript:rna-gnl|WGS:NBSK|LSAT_9X74901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) UniProtKB/TrEMBL;Acc:F4HWI4] MSTATLTAEGQDRVAQLRKATKKDEDNPKRKKYRRHLLPVESVCGHEALKGRHRIVHSRDFYNIGLARKPTDFANMRMLLLKRCIHATEFHSSKEGDYYQVLGVPQNASRDDIKKAFHALAKKYHPDANKNNPSAKRKFQEIREAYEILQDSERRAEYDEMKQQSFKGRNDTGYSSRGFKRAYKSTDFSDSFQKIFSEIFEEETDNVTSDVQVEVSISFMEAARGCTKHLSFDANILCDSCLGHGYPVNAKAKSCPTCEGTGTVFIPPFMARCNTCKGSGHIIKEYCRSCGGSGVVEVVKEVKIPIPAGVDSGDIISVPRAGNVGKRSQPGTLIIKLKVAEDPVFQRDGADLYVDSNIGFTQAIIGGMVEVPTLNGKTQVKIPKGVQHGQLSILRGKGMPRKGLFVDHGDQYVRFRINFPMVVNDRQRAILEEFAKEEMEMEHTHNMSRDGNWLDEQLSTG >cds-PLY80414.1 pep primary_assembly:Lsat_Salinas_v7:8:171989415:171990147:-1 gene:gene-LSAT_8X112340 transcript:rna-gnl|WGS:NBSK|LSAT_8X112340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDGNTARQQADAGGHGNARPNSSTPPSSPSQPPRRPRRAGATTPSKLSQAASSSTNLPPPPTPTPTPPTPSADGILLGTARRPVICPICKKDMYHEKALCGHIRWHTQEERLAASRDIARALSANVVSGQRGDGEQGPSKRFKLPDLNEPPPSED >cds-PLY64407.1 pep primary_assembly:Lsat_Salinas_v7:7:83098418:83098678:-1 gene:gene-LSAT_7X59600 transcript:rna-gnl|WGS:NBSK|LSAT_7X59600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRKAVNGSSFLSRRRQQRRSRGRSPGAHLCRIKEEKHDWNQKSGSTSSASPIRRRRRKISIPGEPSLTIIGRNRGKRVGNINSSL >cds-PLY77100.1 pep primary_assembly:Lsat_Salinas_v7:MU042616.1:469375:473778:1 gene:gene-LSAT_4X76660 transcript:rna-gnl|WGS:NBSK|LSAT_4X76660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAICRFYSLLFLTISWSFFFANAIQPSQKQVLLQLRKQLEYPKQLDIWLDTSNDFCYVSSPQVNVTCENNFVSELKIMGFASDQTPKKVNSGSFHGFPIPSQTLSQNFSIDSLIATLSRLTSLKVLSLVSLGIWGPLPNKIQRLNTLEYIDLSYNFLYGSIPPTLSRMVSLQSVNLDGNFLNGSFPKGVDLLSSLTSLSLSENGFSGDLPDLQDLPNSLIILYLNNNSFSGQIPVKYSQLNHLQELDLSFNSLSGVPPFSLFSSSSITYLNLTSNKLSGSLPVHLQCGNKLANVDISQNRFTGSLPLCLTNESNNKTVKYDGNCLMIDVTRQHPASYCVEEARGVEVETKDFKGSEHRRNPVIIIGVILGSIVFLVLLVLGFVILSKKLCARGVSEQKLLHKSVQDYSVTGYPSELLTSARLVSEAAKLGTEGIPVHRLFSFEELKEATNNFHRSTLIGEGSTGKIYKGKLENGTDIAIRHLTVSKKYTIRNLKLRLDLLARLRHPHLVCLLGHCISGEEQDNGESNKVYLVYEYVHNGNYRSLLSGNGSENVVLKWGDRLRILTGVAKAVHFLHTGLIPGFFSNRLKANNILLNEDGMGKLSDYGLSIIAEDIKQDANGEGVESWQMKNLEDDVYSFGFILLESLVGPSAASRKDEFLMNEMASFGSEEERKKVVDPVVLDSCSQESLSVIISITKKCFCGDSWARPSFEDVLWHLQYAAQIQAGTQQSAEKVLFMGC >cds-PLY82048.1 pep primary_assembly:Lsat_Salinas_v7:9:156016486:156020869:1 gene:gene-LSAT_9X97840 transcript:rna-gnl|WGS:NBSK|LSAT_9X97840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANVEGGGHGNGGCGGCWWWKKVLDWGEAKIQISFSLPMLLTNVAYYCIPLVSVMFAGHLGEAELAASNLANSWATVTGLSFMVGLSGALETLCGQGYGAKLYRMLGIYLQSSCLISIFFSILISIIWVFTEPILLLLHQDPKISKMSALYIKYLIPGLFAYCLLQNILRFLQTQSIVAPLVIFSLIPLILHIGITYVLVRVTSLAFIGAPIAVSISLWIAVIMLAGYVLFSKRFKVTWNGFSKESFKHVVTCLKLALPSAAMVCLEYWAFEILVLLAGILPNSEITTSLIAMCVNTEAIAFMFTCGLSAAASTRVSNELGATNIKKAKEAMAVTLKLSFILALAVVLALGFGHDIWAGLFSDSRVIINKYASMTPFLMISIVFDSIQGVLSGVARGCGWQHHAMYINLAVFYLIGMPIAVALAFFVKLYAKGLWIGLICGLSCQTVGLLLLVYLKKWTQVELKDSDSFGDPIPV >cds-PLY90385.1 pep primary_assembly:Lsat_Salinas_v7:9:173021543:173022280:1 gene:gene-LSAT_9X107481 transcript:rna-gnl|WGS:NBSK|LSAT_9X107481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSFVALTFSYLALAHEPSPLQDFCVADPNSPGLNTMGISMVRIDYAPWGLNPPHTHPRATEILTILEGTLQWGLFISNAMWVMDMLWLLLAFSSQNPGAITIGNAVFGANPAIPADILAKAFQVDKSVVDQLQAKF >cds-PLY84895.1 pep primary_assembly:Lsat_Salinas_v7:8:67643867:67649752:1 gene:gene-LSAT_8X48241 transcript:rna-gnl|WGS:NBSK|LSAT_8X48241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSQPWEYHVFLSFRGEDTRQNLVGHLYKALVEKGVYTYKDDETLPRGESISPALMKAIEGSQLAVIVFSENYADSSWCLEELSHIMECKETRGQIVMPIFYDVDPSYVRNQKEKYGEAFAKHELKNTDKIKSLVQAFIIDPCGWFFAPFERKREYDEEYTKQHSEIKTKVESWRKAIVDASNISGWFIANRDESKAIEEIVETISQRLHPTSVEDDNLIGMKARMQDLISKLQIEFGGKRMIGIWGVGGGGKTTLASSIFDEISSKFDGCCFLKNVRGESSKNNGLENLQQKILSDVLKQKQVEVRRVEEGRRMIKDRLQHRKVLIVLDDVDNLEHLEDLAGSRDWFGEGSRILITTRDEHVLTGHKVDVVHNIRLLSNDEAMKLFCKHAPQGHIRLEEYEQLSRDVVSYAGGLPLALRVLGRFLCDKEMDEWRSALARLKEIPDANILEKLKISFDGLTLLEKELFLDIACFFRGEPNNEEVMMVLDACGYYPVIGIKVLVQKALVTISEYGAFDMHDLVEEMAHYIVRGKHPKNPEKHSRVWKEEDVATICDVDATTNLDKIEAIYSRTNKAQRVLEVAASMNKLRWIILGNPPGSDERTFLMSDSFPRRELCCLSLHGFNAKQLWEGYKYLPNLKIIGLTFMRNLMKTPDFEGLPNLERFMVDGSALLEEIHPSFGHLEKLVYVAIEGCYNLKMLPHITRSKKLETIVLSKCEIVFNHSKMEQNMENFVPDNMNHIGLWFSCGWLKKLDLGWCNLADGHMIRSTAVWELPNLEKLDLKGNRFVQLNFSLLQLPRLKWLDVSYCFNLVELLELPSSIAAVLADGCMSLETFGDISNCKWLWKVALWGDNKLGPLDGDILLNSMLQGNAKYYFINVKLNCMDIWRGPSVVWVKWVKTYNMLLPHDWYDHFSGILMFFQNKRFNGNPHITINLGVHDIFQSESNETLEAHSEETTYVGYVSFSSLMHITCLKSTYNFISFSSEDGSMYADSCRFRVVLIPKDDPMQTTKVTIDSSEFWDKKDINGKTFTIEHDSNASIKILWRPLIY >cds-PLY88368.1 pep primary_assembly:Lsat_Salinas_v7:9:18074024:18077157:-1 gene:gene-LSAT_9X15641 transcript:rna-gnl|WGS:NBSK|LSAT_9X15641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAFIPQPPATASPAEAIKPEKVDYMDLPCPIPYEEIHREAMMSLKPELFEGMRVDFTKGLNQRFSLSHSIVMGPTELPSQSADIIKIPTANYEFGANFIDPKLMLFGRILTDGRLSARVKCDLSENLTMKANAQLTNEPHMSHGMFNFDYKGSDYRTQFQLGNGGLLGASYIQSVTPHLSLGGEVFWAGQHRKSGLGYAARYNTDKMVASGQIASTGMVALSYVQKVSEKVSLATDMMYNYMSRDVTASFGYDYILRQCRLRGKIDSNGCASAFLEERLNMGLNFILSAELDHRKKDYKFGFGLTVGE >cds-PLY80942.1 pep primary_assembly:Lsat_Salinas_v7:8:255806964:255807914:1 gene:gene-LSAT_8X149780 transcript:rna-gnl|WGS:NBSK|LSAT_8X149780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKNYLKMSNDPTLSEATSKLISSDLKDIGEATRKLADHVIKIGVSGGFITTCLQWIACFAAIYLLILDRTNWRTKILTSLLVPYIFLTLPEWLFGILHGDIGKWITLVGVVLRLFFREHYPELPGSLLLLVVVAPNFVTGYVRIGWIGVIVYLVIGCYLLQEHIRASGGFKNAFTKSNGISNTIGIVLLFIFPIWAIIGLLF >cds-PLY90216.1 pep primary_assembly:Lsat_Salinas_v7:9:20562166:20563491:-1 gene:gene-LSAT_9X18960 transcript:rna-gnl|WGS:NBSK|LSAT_9X18960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 14 [Source:Projected from Arabidopsis thaliana (AT2G30040) UniProtKB/TrEMBL;Acc:O64741] MNNYRRKSNWVRGKCIGKGSFGVVSIGVDESDGVVFAVKSVENSSDAFIGCLENEIRILKSLSSPYVVTYLGDDVTVESSSVYRNLHMEYMPGGTVTDLVKHGGVNLRSYTRCITSALSYIHARGIVHCDVKGKNVLIGNIPGIAKLADFGSAIESGSPVTGIRGSPLWMAPEVIKGEYQGPESDVWSLGCTVIEILTGKPAWQDRGADTLRQIGYSEELPEITVPIPEDLRDFLNKCLRRERSERWSCDQLLQHPFLVSCSPPSPPQITIDTCKFSPRCVFDWSVSSSSNQSTLEIYQFDVKNSNAKQRICKLASNSGANWESEGWEMVRHATALEYSDSVDYEESVSGSGRANWEYAESNACYNYDHESTTNDACGTSTTADSIHRDPVDIYSCYLFVLIKKLFPIFFFILNNIQHISYTHFVVILSHLKDYIILHDLV >cds-PLY87806.1 pep primary_assembly:Lsat_Salinas_v7:4:292920912:292922595:-1 gene:gene-LSAT_4X152040 transcript:rna-gnl|WGS:NBSK|LSAT_4X152040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTMTYDKYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKFELDNLLKEERLSGASLLVFANKQDIQGALSPNEIAKVLNLDAMDKTRHWRIVGCSAYTGEGLLEGFDWLVQDIASRIYVLD >cds-PLY68700.1 pep primary_assembly:Lsat_Salinas_v7:7:85326762:85327898:-1 gene:gene-LSAT_7X58341 transcript:rna-gnl|WGS:NBSK|LSAT_7X58341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDHVPFHIQQEILKRLPVKSLISFRSVSKAWKSFIDSSDFITAHSLLHAQPQHLLLRHRDWHHPLKKIYVSLFNEATFPQQRFVQTFPRSIRRFDSPKIIGSSHGLLCVHDSHSEVVVWNPSIRKSISIQLPSMRYDRFLFFLSFGVCPVTLDPKIIKITQFGQWCEEKRKISKYWKVIVYTFSSGEWRKLSNNKERRKSVLHRHTGPQVIIDRFIYWSALYPPRPQYRNLIMSFDLTNESFEVIDLPHNLACHPHNQLSISKLRESLVILESSNNMENKLVFVVWKMENNVQRSFTKLFTIEAQHESIMKVLGFRESGQPIIEVKDDVSKKSKLVVYEPNSKNFSDLEINGVSDEFYVNSYEETLLLLDRSDCISY >cds-PLY72498.1 pep primary_assembly:Lsat_Salinas_v7:2:138925720:138927377:1 gene:gene-LSAT_2X66161 transcript:rna-gnl|WGS:NBSK|LSAT_2X66161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 17 [Source:Projected from Arabidopsis thaliana (AT2G22420) UniProtKB/Swiss-Prot;Acc:Q9SJZ2] MKKAMIREPRSGASVMRLQFHDCFVNGCDGSLLLDDTPTMLGEKLSLSNINSLRSYEVVDEIKEALESACPRIVSCADIIIMASRDAVALSGGPDWEVKLGRKDSLTASQKDSDDIMPSPRANASSLIDLFARFDLSVKDLVSLSGSHSIGNGRCFSIVFRLYNQSGTGRPDPTIEPKFRKKLDKLCPLGGDGNVTGDLDFTPHVFDNQYFKDLVNGRGFLNSDETLFTFPETRGYVRRYSEDESEFFRDFVDGMIKMGDLQSGRPGEIRRNCRVVNDPPQVEVVVGEFRDRKILSK >cds-PLY75033.1 pep primary_assembly:Lsat_Salinas_v7:1:50170389:50171424:1 gene:gene-LSAT_1X43921 transcript:rna-gnl|WGS:NBSK|LSAT_1X43921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSAKAHRNGIKKPRKHRHTSTKGMDPKFLRNQRYARKHNKQSGESATEE >cds-PLY96754.1 pep primary_assembly:Lsat_Salinas_v7:2:171073693:171079399:1 gene:gene-LSAT_2X93920 transcript:rna-gnl|WGS:NBSK|LSAT_2X93920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TPLATE [Source:Projected from Arabidopsis thaliana (AT3G01780) UniProtKB/Swiss-Prot;Acc:F4J8D3] MDILFAQIQADLRSNDALRQSGALLQALQQSAAGRDISVIAKSAVEEIVASPASAVSKKLAFDLIRSTRLTTDLWEIVCTGIHNDLEFPDPDVTAAAVSILAAIPSYRLGKLITDSNKEISSCFDSTSDNLRFSITETLGCILARDDLVTLCENNINLLDKVSNWWTRIGQNMLDKADNVSKVAFESVGRLFQEFESKRMSRLAGDKLVDSENSVAIRSNWVSSMVEFVWKRRNALMARSLILPVESFRSSVYPLVYAVKSVASGSLEAFQKLSRSSKKTNTSNLDSSKAERFVGVSDVVTHLAPFLSSSLDPSLIFEVGINMLYLADVPGGKPEWASASIIAVLTLWDRQEFSSARESIVRAVVTNLHLLDLSMQVSLFKRLLLMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPLSGTDIASLFEDTRIKDDLNTVTSKNLFREELVATLVESCFQLSLPLPEQKNSGMESRVIGALAYGTGYGALNWTEPALEVVEVCRPCVKWDCEGRTYAVDCYLKLLVRLCHIFDTRGGVKRVKDGASQDQILNETRLQNLQRQLVNDLLEVNTPRIAARLIWAISEHIDLEGLDPLLADDPEDALNIIISKIHKILFNADSSATETNKLQDVQAVLLCAQRLGSRNARAGQLLTKELEDFRADPLTDSVNKHQARMILQRIKYVSSHSDDKWAGVSEARGDYPFSHHKLTVQFYEGSAAQDRKLEGLVHKAILELWRPDPSELTLLLTKGADATTSLKVPPNAHTLTGSSDPCYVEAYHLSDPSDGRITLHLKVLNLTEMELNRVDIRVGLSGASYFMDGSPQAVRQLRDLNSQEPVLCSVTLGVSHFERCALWVQVLYYPFHGHDIAGHEGDYAEEDQQIIRQRRNLKPELGEPVIMRCQPYKIPLTELLLPHKISPVEYFRLWPSLPAMVEFTGTYTYEGSGFKATAAQQYGSSPFLSGLRSLSSKPFHRVCSHIIRTLCFAAKTWHGGFVGMMIFGASEVSRNVDLGDETTAMICKFVVRASDAAIIKEVGSDLQSWCDDLTDGGVEYMPEDEVKVAAAERLRVSMERIAILKAAQPPPKAPKIEEEEVKDDVDSDDDDVVDKKESGEDGKKKHKGPTTLFELTPEEVEHRALQTAVLQEWHALCKDRNTKVK >cds-PLY92060.1 pep primary_assembly:Lsat_Salinas_v7:5:324275083:324278863:-1 gene:gene-LSAT_5X180620 transcript:rna-gnl|WGS:NBSK|LSAT_5X180620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKNQLYDIMSHMKDDKAFVTRSLKDSEQVQGILGPCASLEKKGALCFDTSIGQGGTTAWKVLQHTLAYNNVHKLRK >cds-PLY71446.1 pep primary_assembly:Lsat_Salinas_v7:2:148379325:148380464:1 gene:gene-LSAT_2X73161 transcript:rna-gnl|WGS:NBSK|LSAT_2X73161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKPPPTESGRVLMSLDNLYDSSSVQLQNRVHNGLVLGDAVLVVAYDFGIKNNILRRLASYGCKIMIVPSTWPTSETLKMKPDGDTFQQWTR >cds-PLY68104.1 pep primary_assembly:Lsat_Salinas_v7:8:33776210:33787101:-1 gene:gene-LSAT_8X25940 transcript:rna-gnl|WGS:NBSK|LSAT_8X25940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKGSLDMHLFREGAKPLPWETRIKIAMGAAQGLAFLHANNEFNAKLSDLGVAKYGPVNGESHITTFIEGTPSFLAPEYALTDIYNKDCDSTTGCLYIKSDVFGFGVVMIELITGLRIYDLYSASEPSWLTRLERESLETGSVGWFGEPFIDMNKLQQIMDPRLEQVYPLNGALKLAKLILNCVEPEPIRRPSMEEVVAHLEEINSIK >cds-PLY87081.1 pep primary_assembly:Lsat_Salinas_v7:5:264522486:264523932:-1 gene:gene-LSAT_5X136520 transcript:rna-gnl|WGS:NBSK|LSAT_5X136520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKGDVSDSSFTSVTSLTLKCPKKIPQQYVLPSFQRSNHSLLDHISTEFPIIDLSMLNHPAHMPKLVNEVGAACKKLGFFQVINHGIPSWVMKDAIDSAEELFRLPTDKKMCFASADVHAPVRYGTSMNHVIDKVLFWRDFIKHYANPISEWIHLWPSDPPSYREKMGSYAKAANTLQKQLMEVIMESLGLNADYLHDDIEEGSQVMDVNCYPACPEPDLALGMPPHTDYGTLTILNQSQQGLQIMDQDKKWHAVPFVQGALIVRLGDQFEVLSNGRYKSIRHRAILDTHKQRLSIASIHSMPMEKKVGPAPELIDEQHPIAYKEGSFSGFLDHISVKSVSEEDTYLDTLKIHEA >cds-PLY78323.1 pep primary_assembly:Lsat_Salinas_v7:2:181082046:181084531:-1 gene:gene-LSAT_2X103220 transcript:rna-gnl|WGS:NBSK|LSAT_2X103220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALNSSSYYSSRSCSISTQFRKNPANPHSVSPRSAYFGLTSSSSSLNSDDTAKPSLSVSADSSSAPKARFVARRTESVSVRPLERPLLEYMSLPASQYSVLDAQRIERIDDKTFRCYVYKFKFFAFEVCPVLLVRVEEQPNGCSINLLSCKLEGSPIVVAQNNKFEASMVNQISCDTNNQSNSSLQKLTSDTVIEVSIEIPFPFQAIPIGTIESSGTQVLEQILKIMLPRFMAQAYYKAWASGDTSRQPLGNGGI >cds-PLY98803.1 pep primary_assembly:Lsat_Salinas_v7:7:22723967:22724781:-1 gene:gene-LSAT_7X18061 transcript:rna-gnl|WGS:NBSK|LSAT_7X18061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSIISIAFLLQAIINALNLVTAQFPTDPAFRCRDTGNYTPSSDYSRNLKAALDAVGNMNKYNGGSFSSSQGKKETAYVMALCSGVSMNWGGNCKDCIYQLTTSLAVTCKLQKEAVMWGSNCMLHYSDRKISGVLDDWVRILLPDKKGSPANKPDQFDKALSDLTKKLQGLAAEGTDKKSAFGTAVYEKKLVYGAMQCTADLSKDLCTKCLQNIIEAHHTCCSGRMAARMLLPNCFFSYSGEHYSKWKS >cds-PLY78302.1 pep primary_assembly:Lsat_Salinas_v7:MU042319.1:67197:67418:1 gene:gene-LSAT_0X34220 transcript:rna-gnl|WGS:NBSK|LSAT_0X34220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSRMARFVSEVAPPQFVSLMRHRTTKMLDTITEDEREAETSISSVPLNQASGGSSMHFRKQAQRKFSVFGN >cds-PLY83090.1 pep primary_assembly:Lsat_Salinas_v7:5:88731646:88735074:1 gene:gene-LSAT_5X41421 transcript:rna-gnl|WGS:NBSK|LSAT_5X41421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFSTEQTKPHWSLRFEFPASGGFLMLLHLPSEKLKSLTTHYIESSLFIEMADSDDIQPIICDTGTGMVKAGFAGDDAPRVVFPNIVGRPRHTSVMVGMGLKDAYVGDESMSERCMLTLKYPIEHGVISNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKENREKMTQIMFETFSVPAMYIAIGAVLSLYTSGRTTGVMLDSGEGVTHTVPIYEGHVLPHGILRLNLGGSDLTDCLMRILTERGYMFTTTAEWEIIRDIKEKIAYVALDYKQELKTSKTKSSVEKLYELPDGQIIKIGAERFRCAEVLFQPHLIGMEAAGIHEMTYNSIMMCDVDMRKHLYENILLGGGSTMLPGIVDRYIKEITSLAPSSMKIKVIAKPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGASIIHRKCF >cds-PLY81951.1 pep primary_assembly:Lsat_Salinas_v7:9:152871453:152880591:-1 gene:gene-LSAT_9X97200 transcript:rna-gnl|WGS:NBSK|LSAT_9X97200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSPTIPLCFFFLFSVSAPLFEAFNNGDGEFISEEKEEPHIKRSDFPPGFLFGVATSAYQVEGAYLEDAKSLSNWDVYCHSIGCGENSENGDIADDHYHLFLKDIEMMHSLGLKAYKFSISWARILPRGRFGEVNPAGIMFYNKIIDNLILKGIEPFVTLFHNDYPHELEEIYGSGSWLNPEMQKDFVHLAEICFKFFGDRVKYWITINEPNVFIELSYEFGLFPPSRCSKPFGNCNVGNSDVEPLIAMHNMLLAHGKVAKLYHETFKPKQGGSIGLVVHCYMYKPLTDSELDHEAAKRVFAFNVGWTLDPSIYGDYPEEMHKYLGSKLPSFSLEEKKFMKNSIDFIGINHYSTIYAKDCINASCTPFANRAIRGFVDIVGERDGMLIGVEGIFVVPKGMEEIVNHMKIRYNNKPMFITENGYSSPYVHEERINEIFNDVKRIEFHSKYLASLAKSIRRGADVRGYFLWSLMDSFEWMQEFPDGLRIIAIEKDYQDFIEVGYDSGCVIQVYMDHLGVNVHQWIVDDQAEVCSREDKQSSVGGIMRSYIA >cds-PLY84464.1 pep primary_assembly:Lsat_Salinas_v7:7:128548899:128553652:-1 gene:gene-LSAT_7X79160 transcript:rna-gnl|WGS:NBSK|LSAT_7X79160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWSTVHSFSGLTFVTVFIASFFLISSPVFASDYDHKYQPDDTVDLWVNKVGPYNNPQETYNYYSLPFCRPPGHAGHKWGGLGEVLGGNELIDSQIDVKFQKNVEKTTICELELDEPKVLQFTHAIEHNYWFEFFMDDLPLWGFVGELHSDRNNDNKYMLFTHKNITIRYNKDQIIHVNLTQENPKALEVGRKLDMTYSVKWSETNITFGRRFDVYLDYHFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPPRSLVLLSAVVGTGAQFALLILLVILLAIIGMLYVGRGAIVTTFIVCYALTSFVSGYVSGGMYSRNGGKNWIKSMILTASLFPFLCFGIGFVLNTIAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSIMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFIILIIVTVCVTIVGTYFLLNAENYYWQWTSFFSAASTAIYVYLYSIYYYYVKTKMSGFFQTSFYFGYTAMFCLGLGILCGAVGHLGSNLFVRRIYRNIKCD >cds-PLY88818.1 pep primary_assembly:Lsat_Salinas_v7:4:92937794:92938976:-1 gene:gene-LSAT_4X59300 transcript:rna-gnl|WGS:NBSK|LSAT_4X59300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATFLITLHFLIFTLCTLVKSQSNLCRTSCGDTQINYPFGIDDGCGSPYYRNILVCSESNHLELRTPSGKYPVRRIDYSDPHILVTDPSMWNCQDATHFRPPRPFSLDTSIHFSLSPQNDYLFFNCSEKDVIVEPKPVVCERFPDRCDSTCDSASYLCRHLPECTHAVGASTSCCSYYPKATESLRLMLKYCETYTSVYWRNLGESQTYNIPPEYGIRIDFDIPVTTRCLQCQDGSKGGGTCGFDVGTHDFSCLCDHGNVTTYCKDHSQHGKSNRVVAGTATAVSVAGAIGIGAGVWYFRKVKAQAPVTHGVQSNENRLF >cds-PLY92939.1 pep primary_assembly:Lsat_Salinas_v7:3:115775788:115776118:1 gene:gene-LSAT_3X82641 transcript:rna-gnl|WGS:NBSK|LSAT_3X82641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQVYGPEAAIWSAGVILYILLCGVPPFWGESENEIFEEVLRGKLDFSSDPRPSISESAKDLVRKMLIKDPKR >cds-PLY96661.1 pep primary_assembly:Lsat_Salinas_v7:7:46494667:46496095:1 gene:gene-LSAT_7X35900 transcript:rna-gnl|WGS:NBSK|LSAT_7X35900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVFLSCNARPTHSTKLGFHQIPCSSADSSSRNLHLSSIFRFKNGAKLMTKRKLPLLHASNKSSEEPIGKTEAITSSDTGNAQGPPFLTILAGIVVFALLLWVVGSLVSWVFGLFGLIFSK >cds-PLY96291.1 pep primary_assembly:Lsat_Salinas_v7:6:158214384:158218993:1 gene:gene-LSAT_6X97661 transcript:rna-gnl|WGS:NBSK|LSAT_6X97661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVTKNTTSMEEATLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGSTRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSMDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLQSGGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDAFYSREATN >cds-PLY94856.1 pep primary_assembly:Lsat_Salinas_v7:2:178346513:178346887:1 gene:gene-LSAT_2X97081 transcript:rna-gnl|WGS:NBSK|LSAT_2X97081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTTSNVDIFENQKDLAADSAKKIADVEAKIDKVVADVEEITSYMKKFMVDFQTSSNKNVVEVNKFIEGFGSSLQSEKESPSILCCGLQHDNVDHHMSITNSILRMQTDLAHENKTMDALTEST >cds-PLY71467.1 pep primary_assembly:Lsat_Salinas_v7:7:191428585:191429754:-1 gene:gene-LSAT_7X116241 transcript:rna-gnl|WGS:NBSK|LSAT_7X116241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEMKDLHHHLVEIPVDGEHQKQIISAINAISAIQDHPLMEISESPGHLLLLKLLQREEDLSGRRIARKETRLDTLRREIFQLCLFFFTFHGLFLTILFTSSNNDVGVDVCQKWWIPMTTSLSFCVVMVFLVQMKLYRYWKVNGRIHQEKSDNRGITRCIQELRMKGVSFDLSKEPGNGKRMKSSSVEIKWKPITWCSQYLVTICLVLASGLSLPICKFMVCA >cds-PLY71151.1 pep primary_assembly:Lsat_Salinas_v7:9:79966348:79967007:-1 gene:gene-LSAT_9X64561 transcript:rna-gnl|WGS:NBSK|LSAT_9X64561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKGTVFTDPKLKWYEPLGYMLGSEYFLMLMVQFMLSLQMLQACFRMFSNEDVTIDAWMLAMNVNHEDNRQLCQIECTLTSIVVWDLPKCSGLCNPEKKMLELHQKESCAISPTLPAAEED >cds-PLY67499.1 pep primary_assembly:Lsat_Salinas_v7:6:71581204:71583513:-1 gene:gene-LSAT_6X51200 transcript:rna-gnl|WGS:NBSK|LSAT_6X51200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQQINNEGSWWWEVMSGNKDQLTQLAVATISATILAILWHILKSLSSSHGAPPLPPGPRSLPIVGNLPILGVDMHKQFSNLAHIYGPIFKFHLGSKLHVVINSPEIAKVVVREQDDIFANRNPSIAAFTMSFGARDVIWSDNNSDWRNLRKLFVHEVLSNKNLEACRYFRRDEVRKTIKNIYSKIGTKVDISGIAFLTEVNVLTSMVWENTSDPNAKGSHFVAELKKIVSNVVKLMEQPNISDIFPSVAWLDLQGVLRKTKRLLHQVDQIFTSIIDDRIKLNSRKPKDAVGHEGKKDFLQILLELMDHNDATSISITQIKALLLDIMVAGTETTTTLIEWAMAEIMQNHDIMKRIQKELADVVGLDNIVEESHLPKLQYLDATIKETFRLHPVVPLILPRSPSQDCIVAGYTIPKGCTVLLNIWAIHRDPQYWDNPLEFNPERFLTNKYDYKGSNLNFFPFGSGRRLCPGVQLAEKMQMYILASLLHSFDWSLPEGEEHDLSEKFGITLKKKEPLSAVPSQRLSNLNLYI >cds-PLY67218.1 pep primary_assembly:Lsat_Salinas_v7:6:135402203:135403839:-1 gene:gene-LSAT_6X81701 transcript:rna-gnl|WGS:NBSK|LSAT_6X81701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSSSFLLLILVAFISIATAEIRFTEIRSDPRPIIPFDEFGFTHNGRLELNVSKLSFSTADPDLSKLGFFLCTRDSWLQVLQQIEDAEIACALQSNIIKEVYNLNSIAKDAKGFDHYYLQSDADQYTLVFANCLPQLKISMDVRSAMYNLDGKSNTRDYLSAGITVLPRVYFLFSLIYITMAAAWVYFLYKKRLTVFGIHFFMLAVVVLKALNLVCEAEDKSYIKRTGSAHGWDVLFYIFSFLKGITLFTLIVLIGTGWSFLKPYLQDKEKKVLMIVIPLQVVANIAQVVIDESGPYGQDWVTWKQVFLLVDVVCCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALETITSYKYLWTSVVAAELATLAFYVFTGYNFKPEAHNPYFAVDDDDEEAASEQLKLEDEFEL >cds-PLY83996.1 pep primary_assembly:Lsat_Salinas_v7:8:38156911:38157389:-1 gene:gene-LSAT_8X30540 transcript:rna-gnl|WGS:NBSK|LSAT_8X30540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVCRVIAGKVKRVVGNAPPEEDGAYDSMAGYPGSYSNIEELYVCNPRAILPCFVVIYKAMES >cds-PLY79658.1 pep primary_assembly:Lsat_Salinas_v7:5:252165683:252168049:-1 gene:gene-LSAT_5X127360 transcript:rna-gnl|WGS:NBSK|LSAT_5X127360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGRWSGLGVRTVLSWLIDFGVICVNEVIQYRNPVDDLVVKDGLVTKNGILCKCCEKLLSVSEFKVHSGFDLKSPCLNLFMESGKSFTLCQLEAWSAEYKVRRGATRTVEVEEIDENDDSCGLCGDGGELICCDNCPSTFHLTCLCVQELPEGNWYCSKCSCWICGNVVNDNEPSSLGGLKCLQCEHKYHEECHGETGIERDLMLSTTWFCGESCKEVYSGLHSRIGIMNSISDGFSWTLLKCIHGDQKIHSAQRLVALKAECNLKLAVALTIMEECFLPMVDPRTGIDMIPHVLYNWGSEFARLNYEGFYTVILEKNDIILCVASLRIHGVNVAEMPLIATCSKYRRQGMCRRLMDAIEEMLKSLKVEKLVVSAIPSLVDTWRDGFGFTALESHEKKSLTKTNLMVFPGTIWLKKPMYYQHTIQSADQHTEVDNIQIQ >cds-PLY69102.1 pep primary_assembly:Lsat_Salinas_v7:5:276232650:276234875:1 gene:gene-LSAT_5X144840 transcript:rna-gnl|WGS:NBSK|LSAT_5X144840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCDIDGSGQHLSSAAIFGTDGTVWAKSTSFPQYKSEEINAIIKEFNEAGHLAPTGLFLAGAKYMVIQGEAGAVIRGKKGAGGICVKKTTRAMVFGLYDEPVAPGQCNMVVERLGDYLLDQGLEW >cds-PLY81622.1 pep primary_assembly:Lsat_Salinas_v7:5:338039894:338041492:-1 gene:gene-LSAT_5X190441 transcript:rna-gnl|WGS:NBSK|LSAT_5X190441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFENRVLVVISIGFLILSISVKCSDLSQFWSIADEVKDAFGPVLNEAEDGIGPVSSFNLDKAEKALGPIPSLDDARQGLGPISSLDFDKARDALSPVVDEAKEKLGPISPSTVEKAKSVTTKALDKVEEGFDSIASWISQNKVDVSSYLEIAQKEFGPVSSLDLDKAKEVLAPISPSLNEALPPISPSTVDEAKDALGPVISPSVVDEAKDALGSEAIDEAKKKLGSITSLFSQKQNTEEISEAEKESSPVASTSTELDKDEAKEAVGSFSHKALDEAKGKFGSLSSLISQNKEEISNAPSPASPSTLDEAEVAIGSISSEALDEAKEAFGSITSFFSKNKVQISSQLAPIYSPVSPSTLDEAKDAIDSFSNKALDEAKDKFGSISSFFSQNKEEISSFSSSSIYIDHDVALAIESLIKQGLSNSKLAIEEARKLLSVTETIASPDRATCVKNCMDNYVSCFDSFNKAMEELTARNAEQMTDDVTSVEGDISACQKCFLENNEVKQSSLKDLEEAILKSTRECLNVLHHSG >cds-PLY77974.1 pep primary_assembly:Lsat_Salinas_v7:1:26240313:26241913:-1 gene:gene-LSAT_1X22101 transcript:rna-gnl|WGS:NBSK|LSAT_1X22101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDVDMMDSDAPVTSSSPVPPGTPELSSVSQQIEIARGLLTVARQLIDQGKPSQALQAVLTAMRAKGGEAAAVQTLNRANELYRNRVNERAADELASLFAECAIEEALPAIPTTTTTTTTTTNHPSIEPDAGGTSILTETGRKQIMVDAFSDGSSFVCVQCGGLVSNDRRDEHYAFWCGRV >cds-PLY84857.1 pep primary_assembly:Lsat_Salinas_v7:8:171374469:171374858:1 gene:gene-LSAT_8X111720 transcript:rna-gnl|WGS:NBSK|LSAT_8X111720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSGPPPIAGRCARYDKGPWEKPMKSQGRASEPAQAKIGTRRLEEQPEKGKAVETADSPVRHTVRLTTADREVTIPVFLFQFPGVNVGSADGGSGVNIQPKASWGSAIAKHYGHHSSIYVWLAYNLQAS >cds-PLY63937.1 pep primary_assembly:Lsat_Salinas_v7:4:76231883:76234230:-1 gene:gene-LSAT_4X51560 transcript:rna-gnl|WGS:NBSK|LSAT_4X51560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTKSKSGSHQIFKDRAKNRVEDLHGMFTDLQSARKESRSIDVAVLEEQVNQMLKEWKNELNEPSPASSLQQGASVGPYSPDISRLLQLCDEEDDATSGLAAPKPDPDNGLQGFTVNHTSQEQSFQLLDQCKGSPSGVNNTDITNQLDYFSFDLPHDFEQNFFTGIDGMGLCTRGEDTSSPQITTFLPTLSPPPSAFLGPKCALWDCPRPAQGWCSDRPVQGWFPDYCSSLHAAIAQNEGRFGMTPVIRPKGIELKDTLLFAALSAKTQGKDVGVPECEGAATAKSPWNAPELFDLSVFEGETIREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVINESGWLKRSYYMDPQPMKFLEWHLYEYEISKCDVCALYRLELKLVDGKKSPKGKIANDSLADLQKQMGRLTAEFPLDNSYNNKRSVKGRGKGNLKDKSGGATVYPMVASDDGAPFNYLVDDVGGYYLT >cds-PLY69216.1 pep primary_assembly:Lsat_Salinas_v7:1:80476637:80478661:-1 gene:gene-LSAT_1X66661 transcript:rna-gnl|WGS:NBSK|LSAT_1X66661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDTGKFVIFGSNSRKIWDSFDYPADTLLPSQVIERGGGMNSTISATNFSGGRFQLRLLQDGNLLLNTRNILSGNPLVDYYRSGTSDDSNSSTSGERVIFDATGYMYILRRNGERLYLTQRGSVPSGDYYHRATLDSDGVFRQYYYPKNSTGNASWEVVLYIPDNICEDIRGNIDSGACGFNNVCNLQDGRPNCKCPRGFSIVNPDDPNGDCKPDFTPSCYHEGRFDNGGDMLGFIELNNTDWVFSDYGNLDPSSEQTCKSICLEDCFCAVAIYRDAKCWKKRLPLSNGIMGATDNVKAFVKYRIAEGPFQNPHRLPRERKDQTSLVLVVSVLLGTSVFVIFVLIGVICVGFFVIYKKKPRNTYPISKAVETNLPPFTYQELVEATDGFKDELGKGGFGIVYKGVIGKKIVAVKKFNTVVHDSDKEFKTEVDSIVKTHHKNLVQLLGYYNDGDQRLLVYEYMSNGTLAMFLFGDTRPTWRQRSYIAVGIAKGLVYLHEECSTQIIHCDIKPQNILLDDYFNAKISDFGLAKLLMMNQSHTNTGIRGTKGYVAPEWFRNTPITVKVDVYSFGVLLLEIVSCRKSLAFETDDEGVAVLTDLAWDCYQEGRLDAFVENDLEALNDHKKLATFVTVGLWCVQENSSLRPTMRKVIQMLEGVIDVAEPPCPCSLSITSY >cds-PLY81327.1 pep primary_assembly:Lsat_Salinas_v7:4:37099317:37103747:1 gene:gene-LSAT_4X24520 transcript:rna-gnl|WGS:NBSK|LSAT_4X24520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDMVFPIEVIDNVVVGVEEPWNNHWLPFTNLDLIVPRFEIGSFLCFKKPSNGSFSTIVNTLKASLSRALTVYYPLAGDIIWNQAVEEKQIRCNYQGVNFVTAVADVQLKELNFYNPNNEGIEAKLMPKKPRGVFAVQVTELKCGGIVIGCLFDHRIADGYSADMFISSWANITRTGTDLPMFPSFERSYLNPRCPPIYSTSIDNMFAPFLPPSNTINDQNDDNGDHSLVNRTFYVEGEQIKTLQLLACENGCMRSKVEAFTSFLWKKIALSMEDSGKHNGVCNVVVAVNGRRRLSEGDGEEKEKLMASYFGNVISMPFGSKRAQELRGMSLANVATEVHEFLQTATNKEHFLDLIDWVEEKRPQPLTSKAFAGEEMAVMVSAGQRFHTMGGIDFGWGKVAFGSCYVPSESTDFLVMIMPGPVNDEDWIVYMHLPLKHINYIEIDASDVLKPLNVHYLKL >cds-PLY86848.1 pep primary_assembly:Lsat_Salinas_v7:1:16292594:16293111:1 gene:gene-LSAT_1X13721 transcript:rna-gnl|WGS:NBSK|LSAT_1X13721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAVPPPTRTTVLSLFRSFLRSARQFPDYNIREYTKRRTIDAFHSNKTLSNPSSVAAAFADGNYQLQVAKRQALVYSFYSPKLKSIMDIKH >cds-PLY72834.1 pep primary_assembly:Lsat_Salinas_v7:6:22561186:22563356:-1 gene:gene-LSAT_6X17681 transcript:rna-gnl|WGS:NBSK|LSAT_6X17681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSLFSRFSVSRNGHRRSQTTLDEREVLPPDTEVAESSTVVGATATTNHGIEVVIEFKPVDHPTEPLDHDQPVHCPLPEPSILNDGRIWKERVSAGVQRRTDLSIVQEGVGPTPTPSPPSLPIPPPEPQPAAAKSQRRTNRVILPSISAPEHNILKLLEESGM >cds-PLY76856.1 pep primary_assembly:Lsat_Salinas_v7:3:4807642:4808007:1 gene:gene-LSAT_3X2500 transcript:rna-gnl|WGS:NBSK|LSAT_3X2500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPTEPLLVAAPTAGKGNNRGPSIQGRETGSSKSRLPPPVAVKINEVAISFSSGATVVDEVTNQPPMVFVVVPNRCHSSSLILFIPSPATLWVEELLAFPAITGEWWYGLRLVCNVGVLWG >cds-PLY92353.1 pep primary_assembly:Lsat_Salinas_v7:9:178358305:178358754:-1 gene:gene-LSAT_9X110000 transcript:rna-gnl|WGS:NBSK|LSAT_9X110000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRELAGLLPIEGGNVGSSGPASSSQPGVGATFPSSSPTSASADIPVVGQAGKSTTPIRRKQSVCVVMSSDEETQSDDVGLRPRKRRNTVSVPELLGGIGDVFGDGFSAYEQKEIVVVPSSSKTSPSTFIDSLMAEPDACSILGGALGVS >cds-PLY65998.1 pep primary_assembly:Lsat_Salinas_v7:4:137865442:137866005:1 gene:gene-LSAT_4X86540 transcript:rna-gnl|WGS:NBSK|LSAT_4X86540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSISHLVLDSVPHTKNSSSTSFYAIPYHPGIIPDLDLYPYDPWDLDGTYFQFLSFFNISIEINNVKPWWKGKSGIITSCKRTQNRITTNGYCKAWDCDEQIISSSSSKRVGVKKYYVFHIGEAPDGVKTNWIMQEFRLSDGSNSSIAIVAVAVVNQKEKATPK >cds-PLY64498.1 pep primary_assembly:Lsat_Salinas_v7:3:15026051:15027345:-1 gene:gene-LSAT_3X10461 transcript:rna-gnl|WGS:NBSK|LSAT_3X10461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQNSQYNDIGSSLSNLILSGGNGSNTLDSIFTHCSSSVPSNSIHRPLGSSVYLKQRDLLHKFSEQNFGKKSLSNLSTTTPIGYPRCLDYSINPYKKKLYRGVRQRHWGKWVAEIRLPQNRMRIWLGTYETAETAAYAYDRAAYKLRGEYARLNFPNVQDPSQLGLIGDGQRLNALKSAVDAKIQAICQKLRREKANRKKNKNKNKKKSESEDDEREREKIIKIEYSSSISCVGDELMSSTSMSEDGFWKGESSQCSGSGESKVVAVGGGESEFEGCSLARLPSYDPDLIWEVLAN >cds-PLY76075.1 pep primary_assembly:Lsat_Salinas_v7:9:31696295:31705610:1 gene:gene-LSAT_9X27541 transcript:rna-gnl|WGS:NBSK|LSAT_9X27541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQSPKLKVVLEKSALKLKLLSMREVSVSSKPYDPLVKKILSVSKESQSKGVNKDARIRGASEIVEGVRFSFMTDEEVRKHSVLKITNPILLDSVGRPMPGGLYDPLLGPMDEQAPCKSCGQQSFQCPGHCGHIDLVSPVYNPLLFDMLFTLIRKTCFFCRHFRAGKEEVNVCTSKLMKIANGDVSGAKDYVINNSDSKDIDLEDNDFSHGSSSTLHSGSQSESPEQTQHDFWTSVQYIEARNLLKEFLANPSKSCKNCEAKNPKISKPTFGSFRMEMTVADAEKNYMKGYKLGSIQDEELEDGEDDKTSEVINVNELSEDERVDTAGTNSFSPSSSNKKKIKKKKSKVPSDFKELKNNYTGTLLPSRVISIVKDLWENEADLCAYLCNIQQERLTGSFKTTGYTMFFLEALLVPPTKFRPAAKAGDAVMENPQTVLLGKVLQSNISLGNAHINKLEGSKIADRWRDLQQSVNVLFDSKTATNPNLKNANTGICQLLEKKEGIFRQKMMGKRVNYACRSVISPDPYLAVNEIGVPPYFALRLTYPERVTPWNVVKLRDSIINGSDIHPGATHYADKVSTVRLPQSKKMRISISRKLPSSRGTAIQSKNSSDYDFEGKIVYRHLQDGDIVLVNRQPTLHKPSIMAHVVRVLKGEKTLRMHYANCSSYNADFDGDEMNVHLPQDEISRSEAYNIVNANNQYIVPTRGDTVRGLIQDHIVSSVLLTMKDTFLNRDQFNQLLYASGVFNGGNSRHGKTSFTDKGCFVKPVLPAVWKPKPLWTGKQVITALLNHLTRGYTPCIVDNKVKIPEQYFQGKEDKNKKNKKRKKEVVELKNEIDETRLLIWKNELVSGVIDKAQFGKYGLVHTVQELYGPDIAGLLLGAFSRLFTNYLQFHGFTCGLDDLMVSPDCDKEMKMELEGEDVGEKVHRKFVNLENQKTGTRELQLETEKIIRSNGATASASLDNLMQTELRDKGSMISKKWLPKGLMKPFPQNCISLMTISGAKGSSVNFQQISFLLGQQELEGKRVPRMVSGKTLPSFSPWDFTARAGGYIIDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKNLESLKVSYDYTVRDADGSIVQFYYGEDGVDVHQTSFLNNVQVLKANREIVCQKLNEPLEFNSYIQELPQGIQDKVKSYKQKGKKEKNDLLMLVRQKYLSSLAQPGEPVGVIAGQSVGEPSTQMTLNTFHLAGRGEMNVTLGIPRLQEILMTAAANIKTPIITCPLLQDKSMEDAERLVEKVKKLTVAELMQSVQVSKLPLVIHNNRPCRIYKLMVKLKQPRHVSLHNCQETLRNVFLRALEDAIERHVVLLSRINGIKSVRSSKTERSGEDDDASVDDGGDHVDGDDDDDDDDDRDETADDLGSEYNKRKRQNTDSVEYEDGSEEEDEEEEASSDLDENGGADVANADMATGTDDETEEQEQEQEQEQGDADKASTKSTEEFVERKFDRSIFVEVKDLTLEVHFRFTNEPEILLAEVAQKAAKKVYIKGSGKLDQCQPVKYSVNVKQVCWNQKNMETAKDKMCALQASGVELPAFWDMEDELDVDHVYSNNIHTMLNTYGVEAARTGIILEMKNVFGSYGVEIDYRHLSLIADHMTHSGGYRPMSRFGSISESVSPFLKMSFETASKFIVEAASHGMMDNLETPTARICLGLPVKVGTGSMELMQKLDI >cds-PLY94081.1 pep primary_assembly:Lsat_Salinas_v7:4:173212077:173221518:-1 gene:gene-LSAT_4X103241 transcript:rna-gnl|WGS:NBSK|LSAT_4X103241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKLEMKRIEDKSSRQVTFSKRRSGLNKKARQLSILCDAAVAVVVFSSNGKLYEYCSGGTNRVEDIISRYHKSSLDAEERTTQEGACQNMGINNTCTRFQTCNELLHSVRRLDEKGNVISVSDMTELEEELSAALMHTRARKTQLMMERISSFHEQERKLSEEKEELKQQLQVASAANPNDDVDVGGQRGRLEDTADNQYCGQTTPPRRLITLPLFKD >cds-PLY84848.1 pep primary_assembly:Lsat_Salinas_v7:1:60143423:60145430:1 gene:gene-LSAT_1X51900 transcript:rna-gnl|WGS:NBSK|LSAT_1X51900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTNRLWFWSSVTAIALLSFVLSFYTTNISITGIEVIFIKRIFEQSGIYEFFYFIGKSLGLTRSGVTCDASKWKSALVAKYKVELVLTVDQNGCGKFNTLQKAVAAVPDNSPKPTLIILDAGTYKEKVLVGVKKINLIIQGQGSQKTTIAWNDTAASSKGTIYSYTVCIDAKNFIAYDIGFKNTAPRPAPGAIGGQAVALRVRGDQSAFYNCGFFGFQDTLNDAEGRHYFKKCHIEGTVDFIFGNARSLYQDCEINSVPSGAKAIGAIAAQQRASTKEKTGFSFVNCKIGGSGKVWLGRAWGVYSTIVYLNTFMSAVITPDGWNDWRDPKRDQLVYFGEYGCSGPGAVNLLRVKYAKKLTKAEAAPFMDISYVDGSTWIK >cds-PLY78052.1 pep primary_assembly:Lsat_Salinas_v7:4:183622111:183623123:-1 gene:gene-LSAT_4X107280 transcript:rna-gnl|WGS:NBSK|LSAT_4X107280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLWPTVVIGLLPLVGWLLWWWNDVWYGFVMATLRSSKGDTKLPPGKMGLPILGDTISFLYYFKFLRRPDDYINSKRHKYGDGIGMYKTHLFGKPSVITYLPVTNKLGFTTCCKSNF >cds-PLY77875.1 pep primary_assembly:Lsat_Salinas_v7:1:21662445:21664038:1 gene:gene-LSAT_1X18261 transcript:rna-gnl|WGS:NBSK|LSAT_1X18261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFVTKKGLKSIVPLRLKGKSATRFCFFPKIKAEYGPGNTPVYLNVYDLTPMNGYAYWAGFGIFHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRRSILIGTTCLNPSEVREFMEHHAANYNGDTYHLIVKNCNHFCNDICYKLTGKQIPKWVNRLAKLGSAFSCVLPEALKVSTVQHDPRCLAYQGEGEGESEMRRLRSSSFSCLASVSSRQRHLSTSSLLLQSPLKGCLPPWELRRSTNNSCAQ >cds-PLY97795.1 pep primary_assembly:Lsat_Salinas_v7:7:120241692:120242410:1 gene:gene-LSAT_7X73760 transcript:rna-gnl|WGS:NBSK|LSAT_7X73760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNETKSCVIPPTPNTLATRLIHPIGLLMKTSIPLKNNVGFISNYVTAVQAGLDSWQAEQINRKLYYLSFLSIIFLLLSIITGVFGMNVGGVPWTGQRDPKIADGFRNVMLVCVATLALVLLSFLFPFLYSRITAWRKQRALKRSWSLNKRSFLRRTGGERGGYLRL >cds-PLY95203.1 pep primary_assembly:Lsat_Salinas_v7:8:61511685:61512992:1 gene:gene-LSAT_8X44661 transcript:rna-gnl|WGS:NBSK|LSAT_8X44661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPCLNRVLVEKIVPPSKTTAGILLPEKSSKLNSGKVIAVGPGTRDKSGNTIPVGLKEGDTVLLPEYGGTEVKLGEKQYHLFRDEDILGTLHD >cds-PLY93063.1 pep primary_assembly:Lsat_Salinas_v7:9:25710780:25713405:-1 gene:gene-LSAT_9X22941 transcript:rna-gnl|WGS:NBSK|LSAT_9X22941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDLGRNSTPHHLRLRVYRTISDLLLTSTSLPPPVTSLSSTPIGSSALRRSTSFPINDVVESKLYSNQLHILSFICIVYRGFGDELLVVGGQQETRELKGQRFKEPIEVVDDEIVLAARKSNFSMDKDPEFGKDDVCKDGGILMRVVKNGEHTGQPGDLDESLMLVNPVKYVVKLPDRVIISESPKEGVEVYLKDGK >cds-PLY82784.1 pep primary_assembly:Lsat_Salinas_v7:2:146432296:146436809:1 gene:gene-LSAT_2X72540 transcript:rna-gnl|WGS:NBSK|LSAT_2X72540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:Projected from Arabidopsis thaliana (AT5G37020) UniProtKB/TrEMBL;Acc:A0A178UFA9] MKLSTSGLGQQPHEGLIYFSLPMKGEKKCLNSELWHACAGPLVSLPIVGSRVVYFPQGHSEQVAATTNKEIDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDTFLPVELGTPSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTIFYNPRASPCEFVIPLSKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGIGDLDPVRWQNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWYPGASSFQDSRDDGVNGMTWLRGEPGEQGLNSLNFQSVGMFPWMQQRVDPSFLQSNLNQQYQAALAAGLQNLGSGDALKQQILQFQQQQQQQQQQIQYSPSGTSNPLFQQSQQLIPQQFVHGQTQIQQVNTQPEEHPQPQQQQQSSYPESYLLQHHERPQSHAFMDPNTKFAPPSMQNMLGSLITSEGSGNLLSFSRAGPTLTEQHPSNQNQNQQSWVSRFAQSQPNLSSSSPAPSLSYQEKNVEEASSLDTQTQGLFGGTNIDSSVGLLQETDIATTTTTTTMTMAMASPASGFQNNSSYFGYMQDSSELLHATTGAQIDPSNPNRTFVKVYKSGSVGRSLDISRFHSYPELKEELEQMFNVEGLLQDPQRSGWQLVFVDRENDVLLLGDGPWEAFVNSVWYIKILSPEDVLKLGKQELESFTQNSGGERIGNDGHGHGHGGRELSGLPPSMGSLDF >cds-PLY93958.1 pep primary_assembly:Lsat_Salinas_v7:8:110543125:110545868:-1 gene:gene-LSAT_8X74320 transcript:rna-gnl|WGS:NBSK|LSAT_8X74320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLLTNKTNGMMVAYVFIRVSFTMMQHEMESQIHYLEQVAYSSVLRAFKAQSDALSWEKEGLITELRKELRVSDDEHRELLSKVNTDDIIIRIREWRTSGGTRTTMLNIPQSIHDPISLSPTISASRKRQKTTMPLSLPTQSFPGLGAGIQTPKPSPRYPLMGRTPRGMLSNHGSSTIAIPNEASDVVVGTARDQLIGRKLRTRWPDDNNFYEAVIVDYNPVQGRHALLYNKNTPQESFEWVNLKEIPPEDIQWIGEEQGSHGGPGHGFGRGRGNSKEQSRKGYPNPNPNPPLQNGDTKASDEIEILHTDTLLKEVEKVFDASHPDLLEIEKAKKMLKEHERALIDVIAKLADASDSDSGGDERFMYGRSDGPGMPRGPGDNQAEEYVDII >cds-PLY78856.1 pep primary_assembly:Lsat_Salinas_v7:5:306409749:306412135:1 gene:gene-LSAT_5X166320 transcript:rna-gnl|WGS:NBSK|LSAT_5X166320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAFELTKSKQQVSTMLKQGFISDFFLSPPPSSFSSSPSNPTQPIQSPIRSAPSPTLFEMMTEEQTRDSSRPSTHLQSFDTARMRIEERVSRVLSGAPFRNPSDWGLGFGDVKLTITARDGFSVSMDVHREVLASRSQFFKEKLGRRSGSHHSVEICECDDVEVYLETLVLMYCDDPKKKMMGEGVSKILGLLKVCDSLKFNDGISSCLEYLEAVPWSEEEEERVISNLQDLNLHNDHDVLQRVALEPSTSSRIDDIFLRLLTGVLQAKDEKARKEMKTLISRLLKEDNNSTYNRLDISKETLYTICHKCLTSLFHCLSEATSCEENRGMMSEIAREADNLEWVVEILIEKRIGEEFVKLWSDQNELGVLHSKVPVMYRHKISRITAQLCIAIGRGNILVPKETRVSLLSTWLEALYDDFGWMKRSSRSVDRRLIEDGISQIILTLPLLQQQAFLMSWFDRFLEKGDDCPNIQRAFEIWWRRAFVRQPVVEPQLQITVCDYPS >cds-PLY99271.1 pep primary_assembly:Lsat_Salinas_v7:5:300823603:300824399:-1 gene:gene-LSAT_5X162680 transcript:rna-gnl|WGS:NBSK|LSAT_5X162680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLLNPLHALSIFLKETRPQSGGLEAETRYGTITFHAQSWLRSKGIKIGSKLQENIFLFQGVEISSRMVPFIISIISKKSLPDIKRGKGTRVILDVRCGVASFGGYLFEQDVFTVSFAPKDEHEAQVKFDLERGIPAISAVMGTQRLPFPSKIFDAIH >cds-PLY66169.1 pep primary_assembly:Lsat_Salinas_v7:4:34749327:34749803:-1 gene:gene-LSAT_4X24401 transcript:rna-gnl|WGS:NBSK|LSAT_4X24401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAESNTEEDNDQYYRKFFLLSSGTMRKVQLPEAHWQRCMSTHGWLLTTGEEEFYAKLIHSLSRTQIDLPELYMFEELYFDQDEWMYYGHCMRKVVFTSSNPLLLDPDFRVIIIWGQTIGFCRLGDVSWTRITVCDGHLLDITYHNMRKRLYTVTTIG >cds-PLY71199.1 pep primary_assembly:Lsat_Salinas_v7:2:132789770:132790717:-1 gene:gene-LSAT_2X62980 transcript:rna-gnl|WGS:NBSK|LSAT_2X62980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERVLKSTSGSFIKFLPSSTHNRGWFRGFFHLYTNDGGARERVYGVQLMLFKYVIYQPHPGFRTNLFSNNLCSDPIPSLFLIQYPHSSLDQRIQFPSTLLQLDRTRLTYLYPLEPIKSMIVTAGECRIDGIRPSFRASR >cds-PLY89851.1 pep primary_assembly:Lsat_Salinas_v7:4:323887772:323890225:1 gene:gene-LSAT_4X161620 transcript:rna-gnl|WGS:NBSK|LSAT_4X161620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNFKAFQLPLISLLLFTSIFTPNPSVFASPFVRNQTFTRPDPLRHFRLYKGGYDIRNKHYWASAAFTGIHGYAMAGIWMLFGLTFGSYLIIKSFHGGFHPFINHPNSYFLACFALIAIFASIAIIFSSLIVVANQTSLHRSKNLMNTILGAANSMKQTIESVTQGLIKIQTLLRPYDVETYDLLDHITNQMQKETISIQNFVKEARQASNHAIKAVYIANLVLVTANFVVLVAGCVVVFLHWHPGFIIVIIVCWILTTVSWILTGFDFFFHIFGGDTCSAFENFERNQNPESNSIMSILSSCSNSSNSDKFMAQIGYTVHKYISESNSEITTLAHKMIQPNEEGDDSFAMERICDPFSTAPNYNYAPGDCPQDSIQIHDLPNILSTLTCEKNIPTKICKAQGRFFPESSYGKTMAYIQSIENLIATYPDLQNLTECTPIRVAISDVALRQCKPFKTSIRLLWVCVLSLSIDLMILTSLLIVKAYQEKGKELSLCSIVPSRHSC >cds-PLY80476.1 pep primary_assembly:Lsat_Salinas_v7:2:136458813:136459530:1 gene:gene-LSAT_2X67561 transcript:rna-gnl|WGS:NBSK|LSAT_2X67561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLELAAMESRDRSKAGTVSQKPTHIHIACLLHITRYNMRSTSLLSLLFLILILSHVNLNAKPDKGSMNSVHLINEESCGKTMKGHQQGSENKSDKGGFEDEDYIYTQSVP >cds-PLY75980.1 pep primary_assembly:Lsat_Salinas_v7:1:41644425:41644682:1 gene:gene-LSAT_1X35961 transcript:rna-gnl|WGS:NBSK|LSAT_1X35961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPFPPCESLETCCQPNEVCMRQEWPELLGVAEKEACLAIEKSNTKVRAVPLLLNEIHTTDFCCNRVWVFVDKHGGVVIQAPMVG >cds-PLY97867.1 pep primary_assembly:Lsat_Salinas_v7:2:216384085:216386155:-1 gene:gene-LSAT_2X134960 transcript:rna-gnl|WGS:NBSK|LSAT_2X134960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSIVEAKQEEEAFLFAMQLASASVLPMVLKSAVELDLLETIAKAGPGAYVSPAELAAQLPKVENPEAAVMLDRICRLLASYSVLTCTLKDVAGDGVVQRLYGLAPVCKFLVKNEDGVSMAPLLLMNQDKILMESWYHLKDAVLDGGIPFNKAYGMTAFEYHGKDARFNKVFNSGMFNHSTMTMKKILDMYDGFNGLTTLVDVGGGTGASLSMIVSKHPSVKGINFDLPHVIQDATSIPGIEHVGGDMFESVPEGDAIFMKWICHDWSDAHCLKFLNNCYKALPEKGKVIVAECILPEAPDTSLATQNVVHIDVIMLAHNPGGKERTEKDFEALAKGAGFSGFRKACCALNTWVMEFTK >cds-PLY64123.1 pep primary_assembly:Lsat_Salinas_v7:1:1733055:1734160:-1 gene:gene-LSAT_1X961 transcript:rna-gnl|WGS:NBSK|LSAT_1X961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKLGLRGPSFRVGDISSEVTFPDVRRKKLAVRRPNRKSTTKFQDEVNNQVRNEVAQAVALNDVPLVNEMIEEEETEVSVKVPEPIFKEVHLVNNQVPVVNDIPNNVAQLPAVNDVPLVNEVIQEEDDIMLIEEEVVDVPLKVAQDLKQKPEFTEGHASYVLPDKMKISVEDVANLLEAGYPMAEIESMGWLEIELDDTPPVEMDLNEDEPDVDEGEADFINEVLNDGGVIEGEGEGVENQDDGDVIEGEGVNHGNEAAGDVLNNEVADDEGHLIVPKTRKRKPLERITKLKLKKAVFDKDGGGSTCSNPVNLE >cds-PLY65977.1 pep primary_assembly:Lsat_Salinas_v7:4:139325227:139329378:1 gene:gene-LSAT_4X87161 transcript:rna-gnl|WGS:NBSK|LSAT_4X87161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein, Metal ion transpor [Source: Projected from Oryza sativa (Os06g0676000)] MTSSQQSQFMTNAPLIKSSDPNQIVVPDKTSWKNLFAYMGPGFLVSIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLAANLGVVTGKHLAEHCKNEYEKGTNYILWILAEISIVACDIPEVIGTAFALNMLFHIPVWCGVLLTGFSTLGLLALQQYGVRKLEFLITFLVLTIAACFLVELGISKPKASEVLYGMFVPQLKGSGSTGLAISLLGAMVMPHNLFLHSALVLSRKIPRSVSGIKEACRFYLIESGIALAVAFLINISVISVSGSVCSSSNLNPDDKKSCQDLDLNKASFLLKNVLGKNSSKFFAIALLASGQSSTITGTYAGQYVMQGFLELRMKPWLRNLLTRCLAIVPSLIVALIGGSAGAGRLIIIASMILSFELPFALVPLLKFTSSETKMGSYANSKIISAITWVIGSLIMGINIYFLVDHLISLLVHGHLPLVGKIFIGFLGFSGLLIYLAGIGYLVVRKNRESSHLLALKTPEQRSASASSYGQPREDIASMQLPHTRNNHHNHNQDQDDDAT >cds-PLY91118.1 pep primary_assembly:Lsat_Salinas_v7:3:83237009:83237383:1 gene:gene-LSAT_3X64360 transcript:rna-gnl|WGS:NBSK|LSAT_3X64360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSPMVEQIARKGGLISGRLPLVHYLRLCQPPIVERKGTKAAVMPSSDSDGCSFFYPTTIMQGGKDFGPQLIGEERNTKIVRKWLMTLNRLQGRDAIGGGKSLIFFSVMVMFQAGNEGEGLGFP >cds-PLY71929.1 pep primary_assembly:Lsat_Salinas_v7:3:25685727:25686549:1 gene:gene-LSAT_3X19120 transcript:rna-gnl|WGS:NBSK|LSAT_3X19120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFAFAAGTTDGPRAFDFKQPATLPIQILQIGQLVILSVPGEFTTMAGRRLRDAVKEVLASFGEEEEKEEFHVVIAGLTNTYSQYVTTIEEYQIQRYEVSISYLTFLSNI >cds-PLY77820.1 pep primary_assembly:Lsat_Salinas_v7:8:13587937:13590709:-1 gene:gene-LSAT_8X9940 transcript:rna-gnl|WGS:NBSK|LSAT_8X9940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSVVSPQWQEKASGFFSSSGTKLKEAGQSAGTFVGEVAKDAKGNVSEAAGKFGSVVKNRWSLFQQPSTRQAMQEKLVNAAATTSFFLRKGVSETKEKVVVGKTKVEEVAKKTAQKSKTLLTDIERWQKGVASTDVFGVPIEVTVQRQESSKPVPFLLIKSADYLVLSGLNSPDLFKSEGNKKAIQQLVSLYNQDLNAPLPENVNPIDVAALVKCYLASLPQPLITPDLHNEVRGARSSIPLMRSILKKLPTVNYMTLELITALLLRVSKKSLLNKMDAGSLAMEMAPIIMWQKGQPPETYKQFWNQPSKTQSNANLDSVQNYNEWDMLADESEDSDVSSAIPLDDGVRIDFSGIEVLQCLIEHHNAIFTDANETVWR >cds-PLY66062.1 pep primary_assembly:Lsat_Salinas_v7:2:206714956:206715288:1 gene:gene-LSAT_2X127061 transcript:rna-gnl|WGS:NBSK|LSAT_2X127061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRSVSSSRVSDDTYYSIYSHSPTSKAVSPALRALALEANELPQYESSSPFSTPSKKDKLGRLRFSEKAVHLIPLVLLLCALVLWFFSNPGHSHSHASQSEITSKLKLYQ >cds-PLY72196.1 pep primary_assembly:Lsat_Salinas_v7:7:58296967:58299210:-1 gene:gene-LSAT_7X40760 transcript:rna-gnl|WGS:NBSK|LSAT_7X40760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEQTSEVPGKETMVVEQSADVKSTESTSDLENSTKPETAKEKPKTDLEQMREKFSKLLLGEDMSGGGKGVSSALALTNAITNLAASVFGEQHKLGPMKEDSKKRWRKEVDWLLSITDHIVEFVPVQHTARDGSNMEIMVTKQRKDLLMNIPALKKLDKMLTDCLDNFKDQKEFWYVSKDANESEKGVQRNDKWWLPTVKVPPGGLSEESRKWMQKQKDCANQVLKASMAINAEVLGEMEIPENYIETLPKTESIYDRFPFLQTLASMFQWLASWVVHRNARDSLGDVTYKSLTVEFFDPGQFLASVDLSSEHKILDLKNKMEASVVIWKRKMHQKESRSSWGASAKMERRELFEERAETILILIKQRFPGLPQSSLDICKIESNRDVGLAVLESYSRVLESLANTVMNRIEDVLYADDLAQNSSENNTKSSPSDQTQGNKTPNGTPTTISDIMGWGSDQGETEITEEFLDNKLMGETTNDMFTRKPTSILTNHIWKS >cds-PLY82111.1 pep primary_assembly:Lsat_Salinas_v7:1:14193955:14194806:1 gene:gene-LSAT_1X12440 transcript:rna-gnl|WGS:NBSK|LSAT_1X12440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSQQMKLYLKERSESLKTLKIEKLEPIKRVKKVQKCMNAKSSGIMSTVWKFHKKENK >cds-PLY67776.1 pep primary_assembly:Lsat_Salinas_v7:9:167472409:167473594:-1 gene:gene-LSAT_9X104001 transcript:rna-gnl|WGS:NBSK|LSAT_9X104001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDLPVEVMVDILSRLPVKTIIHSKCVCKKWLDIISDSYFANLHLSRSPTNLVIHHNLGFDTNGYKKPGTLTWVDLEDELDHHDPRMTLDLNLSPIFQNSQILPAGSVNGLICLWQVCPKRHNIYICNPITREYMILPRQRNCIIVYCFGVGLLTHEYKLIRIFQRGMPPYSTSSSRMGLFEAEVYTLGTGQWRSLGCVPYWLSGSSGLFLNGHAHWILVDRDSPEKIYAFDFDKETFDLFPSPPSSEAMRGSLGVINGCLCQCESFNSKLTIWVMKEYGVKKSWHKEVVIERSISPGLPLWCVEPVYVIEGFKDGVILMGSFRGVLFVYCPQRKTIVDFVKFDRSFKFNAWVYRPSFHRLHNFENERVDVF >cds-PLY66477.1 pep primary_assembly:Lsat_Salinas_v7:5:328110451:328112028:1 gene:gene-LSAT_5X183381 transcript:rna-gnl|WGS:NBSK|LSAT_5X183381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNGNLQVLNALDGAKTQWYHFTTIIIAGMGFFTDAYDLFCISLVTKMLGRIYYHTPGSPKPGSLPPNVSAAVNGVALVGTLAGQLFFGWLGDKLGRKKVYGITLMLMCVCSIASGLSFGSNPKMVMSTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGGFIAAVFAMQGFGILGGGVFAIILSSIFDAKFKAPSYEVDPIGSTVPEADYVWRIILMVGAVPAILTYYWRTKMPETARYTALVAKNANQAAMDMSKVLQMEIQSEQDKVMEGSNNSFGLFSKEFLRRYGKALLGTTSTWFLLDIAYYSQNLFQKDIFSAIGWIPAAKKMNAIHEVYTIARAQTLIALCSTVPGYWFTVFLIDKMGRFKIQLLGFSMMTIFMFALAFPYNYWIQPGNNIGFVVMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAASGKLGAIVGAFGFLYLAQNQDPAKADAGYPAGIGVKNALIVLGVINFLGTLCTLLVPESKGKSLEEMSGENEEQPNVGEV >cds-PLY69946.1 pep primary_assembly:Lsat_Salinas_v7:4:67466720:67467381:1 gene:gene-LSAT_4X46601 transcript:rna-gnl|WGS:NBSK|LSAT_4X46601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSESDLSFLDSIQHHLLYDSQISNLFPETSSGSSGSSATLGDVVASGGFDLPVVKLETSEENQEMEKQEVEAGRETHAPQEFRKFRGVRRRPWGKYAAEIRDPAKRGARVWLGTYETPEDAAVAYDQAAYKIRGSRALLNFPHLIGTNMAEPVRVTQRRKSVMEAGSPPSSSSEDGGIKRSRKSGTVDDYYLPY >cds-PLY72767.1 pep primary_assembly:Lsat_Salinas_v7:4:374295364:374295549:1 gene:gene-LSAT_4X184820 transcript:rna-gnl|WGS:NBSK|LSAT_4X184820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAARKGGEPPDDGAATRGGGGPPDDSAVGVLATSNDAIGCIGLCGGSGGSPVVLFVMWLG >cds-PLY78917.1 pep primary_assembly:Lsat_Salinas_v7:8:2285234:2291046:-1 gene:gene-LSAT_8X261 transcript:rna-gnl|WGS:NBSK|LSAT_8X261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRTEQPSAGESSEPQVASAGRGTVAQRPPPQQQQPQQPQPQPQGGYQGRGRGGQGAQGGQPQGGRGWGPRGGGSTVGGPQRGGMTPQQYPTGPVQQSPRGTAPFQGGGGDVHGGSGSGPSKAPTPELHQATQVPQQAESSVGSSSNQPVDEAAAQLKQLSVQQQQQQEVAVQAAPASSKSMRFPLRPGKGSSGTRCIVKANHFFAELPDKDLHQYDVTITPEVTSRGVNRAVMAQLVKLYKDSHLGKRLPAYDGRKSLYTAGPLPFISKDFKITLLDEDDGSGSARRERDFKVAIKLASRADLHHLDMFLQGRQADAPQEALQVLDIVLRELPTNRYTPVGRSFYHPALGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVSARPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELNFPVDERGTMKSVVEYFRETYGFVIQHIQWPCLQVGNTQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPHEREEDILKTVAHNAYDKDPYAQEFGIKISRNLASVEARILPPPWLKYHDTGREKDCLPQVGQWNMMNKKMVNGGRVASWICINFARNVQDNTARGFCHELAQMCNISGMAFNPEPVLPAFSGRPDQVERVLKARFHDAMTKLQPQKRELDLLIVILPDNNGSLYGDLKRICETDLGVVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLLDAISRRIPNVSDVPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLYKEWHDPQRGKMSGGMVKELLISFRRATGQKPKRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPDYQPPVTFVVVQKRHHTRLFANNHRDRNATDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFAADALQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMTSGRGAGTRSTRVPGANVAAVRPLPALKENVKRVMFYC >cds-PLY66442.1 pep primary_assembly:Lsat_Salinas_v7:5:321199226:321199477:1 gene:gene-LSAT_5X176141 transcript:rna-gnl|WGS:NBSK|LSAT_5X176141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTENRQGIPLITGRFDSLEQLDEFSRSF >cds-PLY81198.1 pep primary_assembly:Lsat_Salinas_v7:MU041763.1:201:1837:1 gene:gene-LSAT_0X45760 transcript:rna-gnl|WGS:NBSK|LSAT_0X45760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPRLGSNHVDAGVRVLVSRDFLEAVAKNVFSQRPSWRVTDADVNTQCDYALLISDHSVFPQALRDEEFSISVEIKVSQLSRYDPLDMFSGSKERILKSIKDLFSTPQNNFRVFLNGSLVFGCLGGGKYRTNVRYDRALEDALKFVIQADDGMRTTCFLELVSEAVFRSGLLDRLLQVQKLDVFDIEGAIHAYYDVVSQPCVVCRELGEESDRYTSLHTIPLDESLKIVKEYLIAATAKDLSLMISFRTREKEDPKSAYNVILHESIGQSFDYKVSFIDLDMKPLGKMMHYYELDQKIVRCCMKMMKNEISANVEEKTSYQDKSIVVEDDYPTRELAGNLTHLYHLLLLLFLFSFLTS >cds-PLY92651.1 pep primary_assembly:Lsat_Salinas_v7:2:161506004:161507892:1 gene:gene-LSAT_2X85580 transcript:rna-gnl|WGS:NBSK|LSAT_2X85580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNIGMMDAAYFVGRTEILAWINSSLQLSLSKVEEACSGAVHCQLMDAAHPGIVPMHKVNFDAKTEYDMIQNYKVLQDVFNKLKITKHIEVSKLVKGRPLDNLEFMQWMKKYCDSVSGGGHHNYNPAERRESCKGGKDVSRKSAPTQPTRGSTGAPKHHSTRRGDPSSAHSAAPPVKTSKPPSATPNVSVYDQQITELKLTMDSLEKERDFYFAKLRDIEILCQSPNIANLPIIDAIQRILYAAEEDPSIVEEAQAMLLNQEKQGVSLTPISEGVEEESKVKFDSQKRKSIINLEVDLAASNTLSPRQRVSDASDVHCSGSPLMTY >cds-PLY73605.1 pep primary_assembly:Lsat_Salinas_v7:5:204590198:204595599:-1 gene:gene-LSAT_5X93000 transcript:rna-gnl|WGS:NBSK|LSAT_5X93000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTILSDLGTEIIIPICAVVGIIFSLFQWYLVSQVKLSLEKPSADDKNGYTEALIEEEEGINDHTVVQKCAEIQTAISEGATSFLMTEYQYVGIFMVIFAVLIFVFLGSVESFSTSSKVCTYDTTKMCKPALMTAVFSTVSFILGAVTSVISGFLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAANGLLVLYITINLFKIYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTAMMYPLIVSSVGILVCLITTLFATDFFEVKTVKQIEPTLKNQLIISTILMTIGVALVSWVALPSSFTIFNFGTQKVVKNWQLFLCVCVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIFVSFTFAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAEITTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGKAALKMVEEVRRQFNEIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGVSDHAKSLGPKGSDAHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIFE >cds-PLY96524.1 pep primary_assembly:Lsat_Salinas_v7:5:337401448:337402063:-1 gene:gene-LSAT_5X189620 transcript:rna-gnl|WGS:NBSK|LSAT_5X189620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKIKSLVSENGVVIFTKSTCCLCYAVKILFQELGVSPVVHEIDQDPHGRDMEKALLKQGCNSPPVPAVYICGKLVGSTNEVMSLHLSGSLIPLLKPFQSLS >cds-PLY63089.1 pep primary_assembly:Lsat_Salinas_v7:8:73118502:73119847:1 gene:gene-LSAT_8X53241 transcript:rna-gnl|WGS:NBSK|LSAT_8X53241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRKLTNQAADIQNQKDQNYPLRKKSEDEEIGVFGAEKYFKGEIEDVDNKNDRRFIDSALANTASKMYHEEEKIEELDHGMSGKERPDRINMHTPSVRSNVSCNSRSGLLPRTMQTPGKSEKGSKTRIFLSRFGCNCIDKKSTQISEKRFIHSKEISNKKTDSSSSKLSEKSTRNDYFSFPVLNSNDYNSNSSSNSKSGNLAGKIHGDNNGGRLSLGRKISLLNDWDVDIPTEDEMYIPSRVKYNHDVDSDSSSDLFEIESFSTTGNSSFLPHRASESDCYAPSEVSIQWSVVTASAADFSVASDYEEVRTGVGGWRNSGRKSQVTDHIHKDEQKKRPGILSGCTDHKAVRVAGGEYKVSGGGGRRRSADCMAVGSMFRGVNTLTRFDPIRGNYGSDVCPIS >cds-PLY81569.1 pep primary_assembly:Lsat_Salinas_v7:1:133819193:133819735:1 gene:gene-LSAT_1X100600 transcript:rna-gnl|WGS:NBSK|LSAT_1X100600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDATKFMFVLCLLFAINIIHMKAFVSSEEVDGLFCTGILKCEDDEKCKAACKADGFKGGVCDHTRHCCCRSPL >cds-PLY77447.1 pep primary_assembly:Lsat_Salinas_v7:4:310011798:310014696:1 gene:gene-LSAT_4X157601 transcript:rna-gnl|WGS:NBSK|LSAT_4X157601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHFQGLLRVHVHKGVNLAVRDVRTSDPYVVIQMGKHKLKTRVVKKNINPVWDEALTLSVSEPLPVKLEVYDRDTFSLDDKMGDAVFNIQPFLESVKMHLDDLPNGTIITTVKPSKANSLAKESQIIWADGKVIQKMILRLQNVECGEVEIQLSWIDISGSRGV >cds-PLY67064.1 pep primary_assembly:Lsat_Salinas_v7:5:280560258:280563444:1 gene:gene-LSAT_5X147040 transcript:rna-gnl|WGS:NBSK|LSAT_5X147040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEVRPITRKRGQRGIAAFKKNYRPEEYNVKFNENNIPNGGMSTQFMSWFGMNVQHRFPIDEDPGKVKDKYFEDLWLEAKKQWNIESDDMKDYMKRRAVKLASNFKSRLVSKFAKSAKAKKNSAKKKSQNHVGRGGWPGLEKKAPIIWPQLVAKYEFLESIQNERSKLYLMSFAKKDKETKMYDLPQTAIENFKFLVMTTCDLLLKVADTELKVASGMAWPTSETVIHSKPVNEGCVKVQVDEIVEIYENLPVHAVTQTDEVEFVKHLLHSIVQWPRYALKLANKTPSKSNSGTRMGSNHSSPQIHVDDTTTSFYRPQFEENQFPYHHQMDANEPFQGGLVDMILSMNPQQIDLNALGSGPGPRLKRERNPDLDPDPEPDSEPDSEPEPEIEPFTCVDDALYISLSKCYKQKGSWECGYIVIKHMREFVETIQHDFQNKFWKKKMFASPHKLEDMVLELIPHLIKMVNDSRKRTQ >cds-PLY91028.1 pep primary_assembly:Lsat_Salinas_v7:7:179283398:179284656:-1 gene:gene-LSAT_7X106541 transcript:rna-gnl|WGS:NBSK|LSAT_7X106541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSTTVVRATPFLGQTRPSGLNSLRDVVSMPSAKFTMGNDLWYGPDRVKYLGPFSAQTPSYLTGEFPGDYGWDTAGLSADPEAFAKNRALEVIHGRWAMLGAFGCITPEVLQKWVKVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGFRINGLEGVGDGNNLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVANNAWVYATKFAPGS >cds-PLY82112.1 pep primary_assembly:Lsat_Salinas_v7:1:15008743:15010505:1 gene:gene-LSAT_1X12600 transcript:rna-gnl|WGS:NBSK|LSAT_1X12600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDSIGVKKGPWTPEEDIILVSYIQQHGPGNWRSVPTSTGLLRCSKSCRLRWTNYLRPGIKRGNFTEQEDKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKRLHNYRSNSDQENHHHQQGASTSSSSSETITTAKGQWERKLQTDIHMAKQALSDALSLHTKPTTSWQQPPTPTAPIDHQPELPPPPPVIFNASSYALSSENIARLLPNWLKKSGKSSQTSSESIGTFNQQFPSPPTSEGLKNYGNSEVSETTSLFQAESKPNIMEYQIPSLTFLEKCLFDDAAQGHEGFMDMSLEESDSLF >cds-PLY70784.1 pep primary_assembly:Lsat_Salinas_v7:3:135841608:135843975:-1 gene:gene-LSAT_3X90681 transcript:rna-gnl|WGS:NBSK|LSAT_3X90681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVCYSPQLGSTTKNPSSFRHSGAYTSPGTPDYGDNNVGSFQKGWYSERVALPSNSSRRHISAAALMPFNSGRTLPSKWDDAERWITSPVSGFGVCKTTTTTTSTTTAPPPRRPKAKSGPLGLGGTTTTSTTPGGVHNYFSNYSPALLPENGSSKNFLTTGVLVPDHALPFHHGVVQSPNIPGWSELLIGSPYPDSQDENGVSRVVSRRDMATQMSPVSSPETYTPPPVEGVSHHSSRSEVRDVQVDKGVTITKKSKRHKMKVKKNNRVKESNNELSLTWNTTEGAMKLSKLQKEEARITAWENLQNAKAEASIRKLEMKLEKKKSASMDKILNKHRDAQMKAQEMRSRMSESVAPRDSWKFKRFFKNSIGGCFHCHHHDHD >cds-PLY91077.1 pep primary_assembly:Lsat_Salinas_v7:9:125142897:125143340:1 gene:gene-LSAT_9X81421 transcript:rna-gnl|WGS:NBSK|LSAT_9X81421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTVTKLSGVDEVSVDLKKEMLVVIGDVDPVCVATSLRKKRKVANIVSVGPYKKKDKEVNKPVGFPMMYCNTPYYDGYGRFVYGYPPTHDAGGCNIL >cds-PLY68748.1 pep primary_assembly:Lsat_Salinas_v7:6:1294769:1296106:-1 gene:gene-LSAT_6X1340 transcript:rna-gnl|WGS:NBSK|LSAT_6X1340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLIPNPVTNNTDRARDSSKRRKRKKIQRQSTVNGRDQISQNLNSCNNHITPWKSEVQQQVYSSKLLQALRQVRQTSGIGTGASPTKSPGRGRAVREAADRVLAVTAKGRTRWSRAILTNKLKLKFMKSNRRQRGMVATATGNSRLKKPRVSILRLKTKNLPAVQRKMRVLGGLVPGCRKQSLPVVLEEATDYIPALEMQVRAMAALVNLLSGGSSSTPVSGADSFSRPPPNL >cds-PLY76063.1 pep primary_assembly:Lsat_Salinas_v7:9:30792290:30793279:-1 gene:gene-LSAT_9X28081 transcript:rna-gnl|WGS:NBSK|LSAT_9X28081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKLIFFSITFVFLLSLPTLSRFQRCNKDDENVLFKIKKSFGNPYLLASWQKTLDCCKWYNVECDANTSRIISLTIFSGNISGQIPDEVGDLPYLQTLVLRKLTNLTGEIPSAITKLTHLTMLRLSWTNLSGPVPSFLSKLTNLNFLDLAFNDLTASIPPELSTLKNLNVIHLDRNRLTGVIPESFGTFTGNVPDLYLSHNQLTGTIPKSLGYLNFSTIDFSRNQLTGDISMFFGTNKTIQTADFSRNSFEFNISEVVFPASLTSLDLNHNKIYGSLPAMLTGLNFQYFNVSYNRMCGQIPQGGDLQTFENTSYFHNRCLCGSPLPACT >cds-PLY66699.1 pep primary_assembly:Lsat_Salinas_v7:6:78821534:78828206:1 gene:gene-LSAT_6X54640 transcript:rna-gnl|WGS:NBSK|LSAT_6X54640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICDTQIHLQLATEADLGLAGAYINGDFSFVDKTEGLLNMAMIFIVNYELKTYSSRSSKRGWWTPMLLTSIIGSAKYFFHHVLMQNSVTQALRNISHHYDLSNEFFSLFLDETMTYSCALFKSEDEDFKVAQMRKISSLIKKARVDKDHQVLDIGCGWGSLAIEIVKQTGCKYTGITLSEEQLKYAETKVKEAGLEDQIKFLLCDYRQLPDTSKYDRIISCEMIEHVGHEYYEEFFGCCDSVLAEDGILVLQFISVLDARYDEFRRSPGIIKEYIFPGVCVPSLSRLTSAMAASSRLCVEHVENIGAHYYQTLRNWRKNLMQNQSKILALGFNEEFIRTFECYFDYVAAGFKTKTLGDYQVVFSRPGNVATFGDPYKAVISTY >cds-PLY64633.1 pep primary_assembly:Lsat_Salinas_v7:6:38282515:38284209:-1 gene:gene-LSAT_6X29361 transcript:rna-gnl|WGS:NBSK|LSAT_6X29361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFHFRCFSFLYAQNARETTTVDVFHTANSRFVDASRMNQTVAPNLNISPTLRNFTLSELKTATKEFHASNKIGEGGFAIVYKGTVKSLQHRSFLIEVAVKQIKPGQLGYRTWLTEVNVGEINHDNLVKLIGFCNEDSQLLLVYEYMPKKSLDGHLAANSNTPLSWGRRLNIAKDAATGLEHLHKAKIVFRDFKPSNILLDNNWNAKLCDFGFARDGPQDGRTHVSTMVVGTKGYAAPEYVQTGRLTSKVDVWSYGIFLEELITGRPPITQNNPENSSQCLRLVCCYAGAEKSKLIVDPRLQGYSERSMQKVTLIAKKCLEKDPKMRPTMSEVLEMVTDAIASENQQVG >cds-PLY67291.1 pep primary_assembly:Lsat_Salinas_v7:5:135616811:135620505:-1 gene:gene-LSAT_5X58841 transcript:rna-gnl|WGS:NBSK|LSAT_5X58841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGSEDGTVRIWHSTTYRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTINIKSVGADHEVSDEERLPLVVKELGTCDLYPESLKHNPNRRKYHPKNDGPKVSFFSDDEETTSTPKADALFIPRENPRALVIRPLKQWPGKSSAEKLKNASSPAQTNGDYTGFASSPPLNGRSTENGNRNHSENGTLKEQTPIKTPQKQNGGCDGGEMEDGFEFIVKNKGINTEVAYPYQATDGTCNTKEEAVHAATITGYEKVPANSESALLQAVANQPVSVAIDASGMGFQFYSGGVFTGDFGTDLDHGVTSLLNQMELYVVVYILAILGLDSLQGIMLDQGSCIDTCCTFCRKFFKLSPEESSKDPLYLLRDMDAMGYKRGHFVLIAKIIISALGYLMLGTFIYVLIVDGSTFNANVLSRSQELSEQGFNISLKEV >cds-PLY89051.1 pep primary_assembly:Lsat_Salinas_v7:9:28417892:28423679:1 gene:gene-LSAT_9X24981 transcript:rna-gnl|WGS:NBSK|LSAT_9X24981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRSSPNSSFIWIKYMAFFLSLNEVEKARSMAERALKTINIREKSEKQNVWVAYFNLENEYESPLEDAVVKIFQRALQFLFSNYMLRASKVDKYAAKECLLLDPDARPIMSEVVQILLTIAPEKSKRRNFSVDCFQVYTIRMERGLQQLLSSRSFSSESSLLVHTPHENEEPVIVTGPGSHYALVRLLIDLTGLGDLDLYDKGHQGNFSKNEGSGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIQPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNNSMSRPDQEAKKSEAGVIWNIELEGLGQNSYHTSYQFEYKTDLEHRIGRIGTKLAINTN >cds-PLY67075.1 pep primary_assembly:Lsat_Salinas_v7:5:280179374:280181709:1 gene:gene-LSAT_5X147300 transcript:rna-gnl|WGS:NBSK|LSAT_5X147300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMIVMDGMMEKGVLDDIIRRLLDGRGGKQVQLSEAEIRQLCVNARQIFLSQPNLLQLHAPIRICGDIHGQYQDLLRLFEYGGYPPIENYLFLGDYVDRGKQSLETICLLLAYKIRYPDKVFLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALVDEKILCMHGGLSPELENLRQIREIERPTEIPDNGLLCDLLWSDPDPNIEGWSDSDRGVSCTFGADKVVEFLAKNDLDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDKSLVCSFEILKPLDYSKKPGTSKLPLKKPPKTGTA >cds-PLY94209.1 pep primary_assembly:Lsat_Salinas_v7:5:321827700:321828678:-1 gene:gene-LSAT_5X178501 transcript:rna-gnl|WGS:NBSK|LSAT_5X178501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNRNSSLCFLVLTFAYLVLLTSPVHSLSCSSQKFTNKKLYTKCTDLPTLGASLHWTHIPKNSSLSIAFVAPPAKSEGWIAWAINPTQTGMAGSQALVAFKDSNGSMTVKTYNISSYSSLVEGKISLEVPESSAEYSDKSMKIFATVKLPKTMTEVNHVWQVGGSVEEGMPVKHEFQPANLKAMGKLQLQLTENAQSNGTATTPVGSPSIAPTTSITPSPSPRTNSGSRNTISYAFFMLMIRVLILN >cds-PLY95303.1 pep primary_assembly:Lsat_Salinas_v7:4:299791636:299792282:1 gene:gene-LSAT_4X152261 transcript:rna-gnl|WGS:NBSK|LSAT_4X152261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDCFDYSSDDTEDDDQYGEEDVNCNEYDDDDFYKSDDQFDGRNQISDYASEGTNRTDSEEESFNSNVVDSPGGKTNVL >cds-PLY64192.1 pep primary_assembly:Lsat_Salinas_v7:7:4318956:4319288:-1 gene:gene-LSAT_7X3460 transcript:rna-gnl|WGS:NBSK|LSAT_7X3460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEKGVVRVIDKFIESPEFNSGIQGLREAYEALGFEKGKQLVGCSTIVGESGVTDHGCVARRVEEVDADLSSLAKTDFASLLLLGKLDYDGFRHFCRRPSLGGSSSDSDG >cds-PLY62333.1 pep primary_assembly:Lsat_Salinas_v7:4:87065301:87069576:1 gene:gene-LSAT_4X58221 transcript:rna-gnl|WGS:NBSK|LSAT_4X58221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDRRRWRGCSPTSLIVVSSLSPIAMIRKGIRAAATTTIEVGCLGLSSNEAKEIEQPIKYSQQLQEIRNLLKHVQDKPLNPVDMVDVLQKLCINHHFEEEIDSILKTHYTKISNGHVSDLDQSLYEVSCNFRILRQEGYYVPADVFARFKQKNGMFLEEMAEDVKGLMALYEASQLSIEGERILEEAADFSSHALIEIMPFLDEDEAIMVKNTLEHSYQRTSSTFMVNKFIKHYTGTTMSQLAEMELAKLQALHRTEVTQISRWWKELGLAQELKLARSQPLYWYLCPMASLADPSLSEQRLDLIKPIALIFIIDDIFDVYGTLDELVLFTEAVIRWDINSLERLPYHFRICIEALYNITHEISDKIYKQYGFNPIEYLKKTWINLYEAFLVEAKWFASGHLPNADEYLRNGIVSSGAEVVTVHIFFLLGCATNEDSATIIKDNPGITFCLAKILRLWDDLGSAKDENQDGHDGSYVTYYMRENEGCSLENAQGHVMAMISDTWKQLNQECLFPNKFSATFIKACLNLARMVPMMYNYDENHSLPLLKDYMNSMF >cds-PLY67493.1 pep primary_assembly:Lsat_Salinas_v7:6:68903921:68905899:1 gene:gene-LSAT_6X50360 transcript:rna-gnl|WGS:NBSK|LSAT_6X50360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRYWIVSLPVHSSATSLWSRLQESISKNSFDTLLYRFNIPNLRVGTLDSLLALSDDLLKSNTFIEGCSHKIRRQIEDLEKASGILASSLTVDGVPVDSYLTKFVWDEAKYPTMSPLKEIVDGIHVQVAKIDDDLKVRIAEYNNVRSQLNAINRKQTGSLAVRDLSNLVKPEDIVTSEHLVTVIAVVSKFSQKDWLSCYETLTTYVVPRSSKNLHEDNEYALYTVTLFNRDADNFKIKARERGFQIRDFEYNSETQEGRKQELEKLMQDQESLKSSLLQWCYTSYGEVSAYLETFGPLIPSSLTLCCAFLTRVKN >cds-PLY99226.1 pep primary_assembly:Lsat_Salinas_v7:6:184703579:184705912:1 gene:gene-LSAT_6X113080 transcript:rna-gnl|WGS:NBSK|LSAT_6X113080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPQSNNNIIQTPPQHYATGDEGTFQSFSPGVVTDSGVLPGYDPDQIASSLPPSIDVDEDVCSAFSSLNISPTTHLHSPKLLPENLQGEFRGFGSPISIGEGSVHPFRSDSGLRGGNTGNSSRRIYSSVGHAHPFTVDPRQHQQQPLWRNRDVFHEVRSSGFDFDQSADLLHTEQLNPYFFCSSNSSAQILDETFLPNTIHVNNSSFPRPVSDNHNLISTRFRNHQLLGFLSLNELRGRIVSLAKDQNGCRLLQSKFENPTNDEIETVLYEVLDSITDLMKDQFGNYLVQKLISFCNDDHKLRILLSLTDVPVQMVIVCMNPHGTRAMQKLLENLTDPYQIALAVAALRPGAARLANDPNGHHVIQYCLIHFPSEVNEPILNEIANKCFEVATDRSGCCVLQACVEHAHGEIRNRLVSQILADALHLAEHPYGNYVLQHMVGLNVLDFTTHLVRELQGNFASLSRNKYASNVVEKCLKASGKDISSVIVMELITSPNPSMLLVDPYANFVIQSALTVSTGFVYECLLEVISDNMSSMRSNLYGKKILAWFEKRRILGI >cds-PLY75841.1 pep primary_assembly:Lsat_Salinas_v7:9:195409639:195410939:1 gene:gene-LSAT_9X120281 transcript:rna-gnl|WGS:NBSK|LSAT_9X120281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRAPKKDKLEMPLAKKRLQNIDALMAEKHVMNDEPEKITSVHMETENPRTTTSATIRSRQTDLEIGKIGYGEMTGYPKALGDIIVTVLKEISKEAGGLANLTKSLVDNSISNGQMEQPHADMDLEEKESQHHQNPEYNQEELDAALTVIKKTMKLDAADPFNRPVDPVELGIPDYLDVIDTPMDFGTICNNLENGLKYMNSADVFKDVQYIWYNCVKYNKKGDYILELMKRVKAPFMKYWKAAGLQTAQSPPIIESSILKEKDHPLSPPANNVTLPPQNKAGPIQVAEIDPIPINIQNRSQSQSQGQVQNQTPQNSTPQSSSEQDDDPQYCIPG >cds-PLY73957.1 pep primary_assembly:Lsat_Salinas_v7:MU043359.1:15111:15884:1 gene:gene-LSAT_0X39220 transcript:rna-gnl|WGS:NBSK|LSAT_0X39220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEPPLVNLSSIDEVLHDGSVTSNLALVSAVDSTLSSHKVPPPNFDAMITLDLVWLLSSSRLHFYFCCATSTDVPSTASVIRLSTWSKLDPANTIDMVSLIPCIDTTGFDSTDPPPTISAPSKLFPPTRTALMSTSLPVLVSVESIFQSFLHPFISIKFSQLPSLGRMTSEEGIDSARTHILEGLHWMNEVSL >cds-PLY77967.1 pep primary_assembly:Lsat_Salinas_v7:1:24132801:24135215:-1 gene:gene-LSAT_1X20761 transcript:rna-gnl|WGS:NBSK|LSAT_1X20761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAEPQSLLQPPRYGNLITILSIDGGGVRGIIPSVILEFLETELQKLDGKNARLADYFDVIAGTSTGGLVTAMLATPGEDNRPIFAAKDIKDFYLQHCPNIFPPRDISIHRRFRAIKKAIKALWGPKYDGQHLHKVIRETLKEKQLHETLTNVVIPTFDIKHLQPTIFSSFQRHPSPNAKLSDICIGTSAAPTYLPPYKFHTKESKGKPPIEFNLIDGGIAANNPTLVAISEVTNEITRGSTNFFKINATEYGRFLVLSLGTGSPQAQAKYDATESSRWGVLGWLASGDSNPLVEVFTQASDDMVDYHIYSVFQALRSQENYLRIQDDTLTGDLISLDLAAQENLENLVKVGQDLLKKRVKRVNLHTGIHEPCHPHTNENTNEMALIKFAKVLHEEKKIRQQRSPYTNRGRSTQTSR >cds-PLY72176.1 pep primary_assembly:Lsat_Salinas_v7:7:57387514:57389432:-1 gene:gene-LSAT_7X41741 transcript:rna-gnl|WGS:NBSK|LSAT_7X41741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLLKLSLNFCEIDYTLLIFKCINFPDTFCVNTVIKAYASGSLPTKAVGFYFEMLEEEGVLFKPNSFTFPSMISSCTKTKDSVLGEKCHGQAVKVGVDRTLHVENALIHMYSGCGLNNVAWKVFDEMSMRDLVSWNSIVDGYVKVNDMEAAHKLFDKMPERNVVSWNAMMKGYLDVKNPGLVLKLFRKMVTTDGKGNDTSIVTVLTACGRSCRSKEGRSVHAAVIRMFMGVTLIIGTSLIDMYSKCGRPDVAHVIFNTMLIKNIVCWNAMISGESIHGKSPKHALHLFDEMLKLVDITPDEVTYIGVLCACARESLLTEGQNHFLEMTKKFNIKPSFAHYWCMANLYASHGLVKKAVEFLKNMPVDVGLSPQSSLWAGLLGSCRFNGDVAIGEQIAKALIEDDPSNNSYHGLLLIIYAVAGRWEDVTKMKCMMEERGVSVPGFSLVELTEIVHSLKVEDNISMLHKYG >cds-PLY71036.1 pep primary_assembly:Lsat_Salinas_v7:5:43098397:43101492:-1 gene:gene-LSAT_0X21360 transcript:rna-gnl|WGS:NBSK|LSAT_0X21360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGFILDLDPIVVPWMLLPKPFILKAHHNRAHQGDSYVALERTDESLVERGHHGSHDHEEEFEFSEVLRFEKLGQIGGGGGCKCGENVGPTTKSALLALAAHATDPDEAERLKSLASRAGKDEYAQWIVSSQRSLLEVMEAFPSAKPPLSVFFASVAPRLHPRYYSISSSPKIHVTCALVYEKTPSGRAQKGVCSTWMKNAVPMTESEDCSWAPIFVRTSNFRLPSDPKIPIIMIGPGTGLAPFRGFLQERLALKESRSQLGSSVLFFGCRNRKVSSDFVSKVVDIAVKELIAVATNREVLGDTKGAGVSDERQGSDSKSVVELTNGSSENTKEKILQRIFFFCFAEDTPHIFPNHPFLYNVKLMEERNMKPLDSNLAALSARCSKDLELNFAKSFLSEMGQCTTAYPYNQLLGALVLKNYERQDATLLSWNLMYRVD >cds-PLY91393.1 pep primary_assembly:Lsat_Salinas_v7:8:45475007:45477880:1 gene:gene-LSAT_8X34800 transcript:rna-gnl|WGS:NBSK|LSAT_8X34800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAFTLPQNSSIQCLRSSLLPHKPQYLNKPSQKLLLPVNLSDVKLHGIGIRSKGARIVPKICHAISEKASLGGVLPKNNKPVIVIDNYDSFTYNLCQYIGELGCNFEVYRNDELTVDELKKKNPRGILISPGPAAPQDSGISLQTVLELGPDIPLFGVCMGLQCIGEAFGGKIVRSPYGVMHGKSSLVYHNEGGEDSLFSGISNPFIVGRYHSLVIEKESFPSDALEITAWTEDGLVMAARHKIYRHLQGVQFHPESIITTEGKTIVGNFIKLIETKEEEAQAISRN >cds-PLY65075.1 pep primary_assembly:Lsat_Salinas_v7:1:116278637:116279380:1 gene:gene-LSAT_1X86381 transcript:rna-gnl|WGS:NBSK|LSAT_1X86381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSQYQHENIVSLLGFCDDNNEMILIYEYASHGSLDTYLRNTPVSGGPSWPQLLNICIGVASAINYLHNHVAEKHRIIHRDIKSANILVDENWNAKLADFGLARIGLANQQNTFVITNLAGTHGYCDPQYERTGFLTKESDVFSFGVVLFEVLCRRLACVYDYHDERRFLYHLARTCYKNGELHKIIDHRIRKDIKPTTLLKYSAIAYQCLQENREDRPTITEVVYQLKEALKIQVSLNIFCQFRRY >cds-PLY94980.1 pep primary_assembly:Lsat_Salinas_v7:4:109109973:109112534:-1 gene:gene-LSAT_4X71301 transcript:rna-gnl|WGS:NBSK|LSAT_4X71301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHHNTNQAYSVLGHKTANIRDLYTLGQKLGQGQFGTTYLCTENSTGINYACKSISKRKLISKEDVEDVRREIQIMHHLTGHKNIVTIKGAYEDTLYVHIVMELCNGGELFDRIIQRGQYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLINRDDDFSLKAIDFGLSVFFKPGQIFKDVVGSPYYVAPEVLKKSYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKAHIDFESDPWPLISDSGKDLIQKMLCSQPSKRLTAHQVLCHPWICENGVAPDRSLDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFKSMDTDNSGAITFDELKAGLKRFGSTLKDTEIRDLMDAADVDNSGTIDYGEFVTATMHLNKLDREEHLVAAFRYFDKDGSGYITVDELQQACIDQNMTDFFVEDIIREVDQDNDGRIDYGEFVAMMTKGNAGIGRRTMRNSLNISMRDVQQGDQ >cds-PLY81159.1 pep primary_assembly:Lsat_Salinas_v7:9:67676959:67678577:-1 gene:gene-LSAT_9X56980 transcript:rna-gnl|WGS:NBSK|LSAT_9X56980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESVVVMLPSLGIGHLISMVELGELILTHDPTFTIIILLTPAHYETKSTANYIKNVTSTTPSITFHNLPTLPHPPDTSTHFFDHVFQISKLYKPIIRDTLQTISEKSTIKAGILDFMSNDAFEVCTSFNIPTYYLFTGSAFGLNIMLYMRTLHENVSESFKDLRTYIQPPGTPPIYSLDMPMTMYDRNSNSYKSLLSVSENMAKSSGIIVNTFAKLEPKVMKAIADGECIPDGPTPPTYYVGPLIRDNSDDNSGDKCLKWLNLQPSKSVVVLIFGSMGKFKKDQLKEMAKGLEKSGQRFLWVVRSPPPENEKGHEFALKEPDLDDLLPAGFIERNKEKGLVVKNWAPQGEILRHESVGGFVCHCGWNSVLEAMHNGVPLVAWPLYAEQKMNRVYLVEGIKVALPLKMGEDGFVTAEELAERVRELMEEDSGKKLREHVSAISESAKAAVMEGGSSRVAVAEFVESMKSVRV >cds-PLY92042.1 pep primary_assembly:Lsat_Salinas_v7:5:324338294:324339433:-1 gene:gene-LSAT_5X180460 transcript:rna-gnl|WGS:NBSK|LSAT_5X180460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDKETASDRIQNRNIVDMLRDNKASGSKKNWKAFRDTLRLKRAGRAWTSTVPIPASDLPINTNSSTNRMMIRRGSSRYPSDPNVDELDGEATRQLGYMPDRQRSGRLLPLETDPEEESDNNPPDGGETEPEQQMSLMSLLSDHGSHYNAGPDEHVEEHHEEPEPEEEKKEEEEEEEEEEEEIGDHNICGSCKGKHKNTAFGPCGHTFCKNCTKELHVSIGNCPTCSNYMLEILDIY >cds-PLY81772.1 pep primary_assembly:Lsat_Salinas_v7:3:30532118:30535271:-1 gene:gene-LSAT_3X22800 transcript:rna-gnl|WGS:NBSK|LSAT_3X22800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEEGKDNEIYNKEEQVEADENGSPKRGIFVGINIRGPIDWLRMLSRETHWSSVVAVVVVYGINQGFGGSLARVGTEYYMKDVQKVQPSESQAFTGITNIPWIIKPIWGLLTDVVPIFGHRRRPYFVLAGIVGILSMFFLSFHEKLHIVLALAALTAGSAGVAIADVTIDACVAQHSGVHPSLAPDMQSLCALSSSIGALIGFSLSGVFVHLIGPKGVYGLLSIPYALVVLVGVVLKEPQSPSFAYRQIREKFVDAAMVMWTTLKSEDVWRPCLYMYLSFALSLNIYEGLFYWETDSKAGPSFSQEAIGFVLSIGSVGSLLGAILYQYTLKTHPFRALLFWTQLFFGLSGMLDLVFILRLNLKLGIPDYFFAVIDESVFQLVGRLKWMPLLVLSSQLCPPGIEGTFFALLMSIDNFGLMSSTWLGGLLLHVLNVTRTQFDNLWLAILIRNVLRIVPLCFLFLVPKSDAESLGFSRMEVLNVLEDSENGIQVSSSLEEDSEILLKHAEEVELTPLVTSVRS >cds-PLY64113.1 pep primary_assembly:Lsat_Salinas_v7:1:3001990:3004692:1 gene:gene-LSAT_1X2380 transcript:rna-gnl|WGS:NBSK|LSAT_1X2380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEDDGGCGGGKLRNSVTGAGPEPGEFFTPYPDQVLENVLENVLIFLKSRRDRNAVSLVCKSWYRAEAYTRSDLFIGNCYSVAPRRVTNRFKRIRSVSLKGKPRFADFSLLPADWGAHFSPWVSAMATAYRSLEKIYLKRMSITDDDLAMIAHSFPNFKELVLVCCEGFGTSGLAIIASECRNLRVLELVEDDVSDDEVDWISFFPPEGTTHLESLSFDCVETPFNFEALERLVARSPLLKKLRLNRYVSIGQLYRLILRSPQLTHLGTGSFSTLGVIGQQQEPDYTSAFAACRSIVCLSGFREIAPEHLPALLPLYANLTSLNLSYASIEPHQLRPAIRHCHKLQVFWALDSICDEGLQAVADTCKDLRELRVFPIDASENVEGPVSEVGLLAISIGCSKLESILYFCQQMTNAAVVAMSKNCPDLVVFRLCIIGRYRPDRVTGEPMDEGFGAIVKNCKKLTRLAVSGLLTDRAFSYIGQYGKLVRTLSVAFNGDSDTGLKYVLEGCTNLQKLEIRDSPFGDSGLQSGLHHFYNMRFVWLSSCRVTRQGCMEIARILPKLVVEVFRRDEDTDEEEDRVRGDFVDTLYMYRSLDGPRPDAPQFVNIL >cds-PLY77772.1 pep primary_assembly:Lsat_Salinas_v7:2:169937733:169942018:-1 gene:gene-LSAT_2X91520 transcript:rna-gnl|WGS:NBSK|LSAT_2X91520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELKVPAHEPGRSPSVSESNPNEKEISDDDDDDRNHKHRRRDDARSQSLERDSMEQVFTNKPYRRGNKPFENGHIYREQGSHSNDTWKNYNFNPMDKRRQGPLDLNQRIRDPGPMRGRGNWNQHDPRFNSVDIASRIAQQGSVPPGMFGGRGLPSVSNAYGPSWSAFGLVPGIPNGGIDTLHTLGLQGTYRAINPSMNMGMGLPRQRCRDFEEQGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSLQNANLPGAPSGAGSLPAPGAPTTSFKASRGKTRKSGVSGNANNAHELNDALIDPSPSVGADFYDPDQPLWGNDSQTSPALQSINQSNVKENRPLLDPGPSGSDEHMVSKITSTKNKETKENIISKPIPSNFETIEKQDPSNSGQAVHLHKRNDINGKSVRKPTQKAQRTVFVSGIPLQQNKRESLFSHFHKFGEVIDIHIPINTDRAFVQFSKGEEAEAALTAPDAVMGNRFIRLFWANRDNVPPINGYNNSNVPIPPRGPPVSSTSHNGTSAPSSDLPKPVIINTPKVPPPSQKKLENLEVLKEELRKKQEMLDRKRNDFRRKLDKLAKQATGVKGETEGEQVAKRQKLGSVADNSEKAATSSSIDPEKAIDNGSKSMESGVQQSCKSSNAIAMQETPVLKPSLRPLMPINPSVVTNRFKLDNRPTAFKIVSTLPNDLANVAALKEHFSQFGDLSKVELDDVVGPSDGGNNDNGRARVYFTTRHSAEKAYLSGKSWNGHNLQFSWMKSSSSNQSPLSTSKGNSDAEKPGDGESEKMEIDKESDESKNKE >cds-PLY68310.1 pep primary_assembly:Lsat_Salinas_v7:7:97586112:97586330:1 gene:gene-LSAT_7X65160 transcript:rna-gnl|WGS:NBSK|LSAT_7X65160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTAAATGDVSREEKQPQGAMSTAAAQASHFGAERGKKKWWQLRKPRLRPRQLTTATVTPPTAPATQCDVKH >cds-PLY94583.1 pep primary_assembly:Lsat_Salinas_v7:8:181587045:181589895:1 gene:gene-LSAT_8X118280 transcript:rna-gnl|WGS:NBSK|LSAT_8X118280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILVFLNVYSGWIPVMNLSFQTPSDESVLDMERSCCNHEETPPLQSFATTSAGQLSETNATYFSWPTSSRLTDVVEDRANYFDNLLKGVLPQRIGQLPTGEQAVTLLELMMIRAFHSKILKKVSLATAIGFRIRGGLLTDIPAILVFVARKIHRQWLTRIQCLPCALEVEQGPGGVWCDVDVVEFSYYNVPEVTPKEKFCTELVDGLRGSFPCIGSGSQVANQSTYGTMGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPMPPSMGPGVYLGAVERATSFITDDLWYGMFAGTNPDGAFIPFSEDFDLADVTTSVIGIGEIGEVKAIDLQSPVNSVIGQQVTKVGRSSGLTTGTIMAYALEYNDEKGICFLTDFLVIGENQQTFDLVGDSGSLILLKGQNGEKPRPIGIIWGGTANRGRLKVKDGQLPENWTSGVDLGRLLDVLELSLITTNEDLQVALQEQRNASASASALAAPGTDSTIRESSTRQPLESNDQNAPLQHEFHVERCTKPAPNIEHQFISSIPGTFDFDFDNKSFSPFTNSKYNAIIGSEDISISLQLGEPGPKRRKQCGALLIDENAK >cds-PLY71861.1 pep primary_assembly:Lsat_Salinas_v7:3:58932796:58935075:1 gene:gene-LSAT_3X46280 transcript:rna-gnl|WGS:NBSK|LSAT_3X46280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDSAATGKSSEVTDPPSKPLLSSYIDEFNQLHHSPPPSESDPSSSSHYPTNITYNHQSPRPIKDITFLILFLLFVISTFAFGIFASINKNPNSSSVSAFSYDISSDSCIKRRSSSVIGDRDLFLNLFRSDSDYILKNLILTLVITLILSIPISLFVLFSLKHYAKQLVYVSLPFFVIVPVFLDVYWFITCTINPTCSQDFPLAYRILVLVFVLLIIGLIVWIFVINWSRIELTVNIIRVSSGALSNNLGLFLVLPALILGLLVYYVPIVVFLVFARLNGEIVPREKQGKVFCVWKQDSWVPAYFALAILTMLWSATAMVEAQVYVISGTIAQWYFTKDDSKAKKPIRSSLRNAFGPSFGTICFSGLLIGIVRIVRAAVDTAANEDASGMVNLILRCFVNALLSAFEFLNKFTINFSAITGEAYCSSARLTYELLRRNLLSAVIVQTISTRLLAAIIFVLSAIYAIVVCVILNAASRLGVDSYFIAAMAFLVLIVVLGFLVNVLDNVIDTVYICYAIDRDKGEVCKQEVHEIYVHLPINRNHNPAYLNATTPLLV >cds-PLY75181.1 pep primary_assembly:Lsat_Salinas_v7:2:203296570:203298633:1 gene:gene-LSAT_2X123761 transcript:rna-gnl|WGS:NBSK|LSAT_2X123761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVTLPPPLMISQGFLPTDSLLESLIQISNEVTTIEKIPITQSKNVSTMIRRIKLLSSLFEEIQETNTQLPPSSILCLTELYSVIRRVKSLIQACEQGSCLWNLVRAESISNQFHVIVKEMSRALDILPLSLLKIGADTREQVELLHRQVKRVDLMTDSKEVQRREELLQVMMASTKSKSRSKAVIDFEKLKVIMTRIGLKTPFDYEEEISKLEAEAEKQAGTGGLIVVSNINNLISLVSLSKSIVFSKHDHAIAQEEDKNSQSRRYSFSQSMVINIPDEYRCPISLDLMRDPVIVASGHTYDRVSIAQWINSGHHTCPKSGKRLIHMALIPNYALKSLIHQYCDENNLPVDENAPATSSTHSFEKNPSVKRKLPEKAIDHISATKSAMDAVKMTAEFLVGKLAMGSVDIQRQAAYELRLLAKTGMDNRRLISEAGAIPFLVTLLSSHDPRIQEHAVTTLLNLSIFENNKLLIVAAGALDSIVDVLGSGKTMEARENAAAAIFSLSLIDDYKVVIGSRPKAIPYLIGLLKDGTTTGKRDAATALINLAVYSVNKVNVVVSGAIPVLIDLLMDDKAGITDDALAVLSLLLGCCEGRDEIRKSKVLVPILIDLLRFGSPKGKENSITLLLGLCKDGGDEVARRLLMNPRSIPSLQSLAADGSMKAQRKADALLRLLNRCCSQSYRNPFE >cds-PLY64390.1 pep primary_assembly:Lsat_Salinas_v7:4:25309284:25313368:-1 gene:gene-LSAT_4X17961 transcript:rna-gnl|WGS:NBSK|LSAT_4X17961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSRPLLHLAVVLLVSSCIASITSSSSSSKSGPAPIGNGYRLISIHETSDGGLVGQLQVKQKNNIYGPDIPLLQLYVKHETDDRLRVHITDAQKQRWELPYNLLPRQQPPETPSKQTKTPATTTEFAGSELLFSYTADPFSFAVKRKSTGETLFNSATDKSSPYNSLVFKDQYLEISTSLPKDASLYGLGENTQPHGIKLYPNEAYTLWTTDQSAINLNMDLYGSHPVYMDLRNVGGEAKAHGVLLLNSNGMDVVYRGTSLTYKVIGGIFDFYFFSGTSPLAVVDQYTQLIGRPAPMPYWSLGFHQCRWGYHNLSVVEDVVQNYKKSKIPLDVIWNDDDHMDGHKDFTLNSRNYPRPKLLNFLNKIHSRGMKYIVIIDPGIGVNSTYGTYQRGLANDVFIKYEGKPYLAQVWPGPVNFPDFLNPKTVSWWVDEIRRFHELVPVDGLWIDMNEASNFCSGLCKIPQGKQCPSGTGPGWICCLDCKNITKTKWDEPPYKINASATSVPIGYKTIATSAVHYNGVREYDAHSIYGFSQTVATHKGLQGLQGKRPFILTRSTFVGSGKYAAHWTGDNKGTWDDLKYSISTMLNFGIFGIPMVGSDICGFYPAPTEELCNRWIELGAFYPFSRDHANYYSPRQELYQWKSVAKSARNALGMRYKLLPYLYTLTYEAHTTGSPIARPLFFSFPSITKLYNVSTQFLLGSSLMVSPVLDKHQTKISVMFPTGTWYNLFDLSKVVVAKETESFSMDAPLHVINVHLYQNTILPMQKGGMITREARTTPFTLIVTFPAGATAGEAKGKVYVDNDELPEMELGNGQSTYVEFSAKAVNGVVKIWSEVQESKFALEKGLVIEKVTVVGLTGVKGEVSVEVEGIMGILDVSKVELVETEHKFLDELKGKGVGKSKMVEVKGLNIPIGKQFSISWAMRID >cds-PLY61961.1 pep primary_assembly:Lsat_Salinas_v7:5:160914856:160918213:-1 gene:gene-LSAT_5X71700 transcript:rna-gnl|WGS:NBSK|LSAT_5X71700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPGSLLWPVTEPQLQLYFFLGLVYSTITPILLPFIIVFFEFSYMFIHHMNTSQDSNLMPSVDLKNAISVNRNSKDSMNSGKIMFLRFAHLLLISWLCKLLGFLYVNLVMFKILCSGDIPAATEEDVNVVVDAAHKALQISSRQSIFNHGSYHTRTDTSNSRCFAVNACSKKPDQNIRLESKVLLEPIEMTCDPTYLVNFVELYTVLASFQSHEGRVLNSLNEIKDMKSRLTSKAEYMLSGRKRMMWDISLINIKIIIPWENGXEWEAEIHKLVLVLTAVTFSSKHDVSCFALDINVPSQFMRNLIDYNSSSELLEGTQIQDLYALLEIKLVDFQFLAKTLDVVQDIDWVISLGNAFAKQYELYTYDDEHSALLHRFLNCDSPLQLSECRLILVLD >cds-PLY95060.1 pep primary_assembly:Lsat_Salinas_v7:5:226630466:226631379:-1 gene:gene-LSAT_5X106521 transcript:rna-gnl|WGS:NBSK|LSAT_5X106521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGNIDLCIRVSEDKSEQEHGHICIQDEEFDYSQRGQWLRAAVLGATDGLVSVASLMMGVGAVKEDVRAMILTGFAGLVAGACSMAIGEFVSVSSQRDIEVAQMKRDKKISGNEEESEKEALPNPIQAAAASALAFMLGAIMPLLAAAFIMDHKVRLGVVVATVSLGLVVFGWIGAVLGGSRVVKSCFRILVGGWMAMAITFGLTKWIGSTGL >cds-PLY77402.1 pep primary_assembly:Lsat_Salinas_v7:9:200099199:200099408:1 gene:gene-LSAT_9X123940 transcript:rna-gnl|WGS:NBSK|LSAT_9X123940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALGSTPSRKICGGHRVTKLALSYEVDTSGMVTMPIREICTTVLGKMQVLVQGVGHKWRILEDNIVESI >cds-PLY78051.1 pep primary_assembly:Lsat_Salinas_v7:4:183647434:183648017:1 gene:gene-LSAT_4X107561 transcript:rna-gnl|WGS:NBSK|LSAT_4X107561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVFVLLVWAITGGSDGIADNFNELVPDMALYFPFELDAFQKEHCTRDFSRKFDVGLLTGDVSSTEY >cds-PLY94525.1 pep primary_assembly:Lsat_Salinas_v7:2:156238876:156240825:1 gene:gene-LSAT_2X79801 transcript:rna-gnl|WGS:NBSK|LSAT_2X79801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYADITSCIYFLMLIFPISNSVYFQVARFDSDAKEVVYIGDAVPSFGAVEFNSVVYCCRVGQVLYKQRVPLWDSNSGKLSDFVTHFSFVIDTQKFVPYGHGLAFFLAPVGFTTPLNSAAGFLGLFNSTTSDNPSQGPIVSVEFDSYSNQEWDPPMEHVGINRNSLSSVAYAPWNASLHNKATANAWVSYNSTTKNLSVFWTYERNPSFQGNSNLSYQIDLKEVLPSWVTIGITASTGQYMERHTLQYWEFNSSLDIKDDNKTTSQKVKLGVGLAVPLGVLLAGGIIAYSIIYLRNHMSPSDETLETINLSSINDDLERGAGPKRFSYRDLALATNNFADDLKLGEGGFGCVYRGYLSRERKVVAVKKISSGSKQGKKEYITEVKVISSLRHRNLVQLIGWCHDDNQFLLVYEFMPNGSLDTHLFGKMDPLSWSVRYKISLGLASALFYLHEEWEQCVIHRDIKSSNVMLDSGFNVKLGDFGLARLMDHELGPQTTGNLAGTLGYMAPEYVRTGKASKESDVYSFGVVAMEICCGRKARDCIDGDSEMGLVDWVWCLHGKGEILSGVDERLNGDFDEEQGKCLMMVGLWCVLPDRSLRPSIRQAIQVLNFEAPIPNISVNMRVLGYHASATPTSTSGDPFLTSSSINIGR >cds-PLY83523.1 pep primary_assembly:Lsat_Salinas_v7:8:188529164:188530296:1 gene:gene-LSAT_8X122720 transcript:rna-gnl|WGS:NBSK|LSAT_8X122720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMQQKTDIDLATITDRMAVKKPVQSGNIEDAIEKVNDLNLEIEEAPEFAQEELAPRVEENQSFLEELERTVALLAFEDVNNSPVGDLLDISQHLKTASEVNDAILTSQSHEKH >cds-PLY81710.1 pep primary_assembly:Lsat_Salinas_v7:3:30795827:30796575:-1 gene:gene-LSAT_3X22360 transcript:rna-gnl|WGS:NBSK|LSAT_3X22360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINLHERHAIVAKNAIFKERGCVNYKALIEIYVGRKSSHFFLIQQAYQSKFRRHLDQDIMSIEPPHSYQKILMAFSASQMAHSADVSVHIGKCDAQRLFQTGEATSRGFKIDEGVVLEILSKRSIPQLKLTFSIYMHIYGHSYTKVKHLTTISHDNFCYYIN >cds-PLY92252.1 pep primary_assembly:Lsat_Salinas_v7:2:209567114:209569456:1 gene:gene-LSAT_2X130000 transcript:rna-gnl|WGS:NBSK|LSAT_2X130000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSRLPPPPSPSPSPQPQPPDQHQIRLAPPLAVAFSFLIALTLCFRKFTTRKRTAPSDSKPPHKFSYTALSRATSSFSPSNRLGQGGFGSVYRGTLINNQVQDVAVKVMDAGSLQGEREFQNELFFAGKIDSDRILSVVGFSSDRRRRRMVLVYELMTNGSLQDCLFHRKSPELKEWKKRLSVAIDIAKGIEYLHHYCDPPIIHGDIKPSNILLDHNFNAKIGDFGLARLKPEDECRIDLNSKIEEGKKVGNSIMEDNGSVFEETESLATTTVCEEFGIVPDQSPESFVTAPIAETSPETFIQSPKTGPVGDVSFSEGNLDAISLESGGATLKKSRTRKKSMSGKDWWWKQDNSMSESGRVKDYVMEWIGSEIKKERPKTEWITAGASSSATQTGKSEKKKPKKNKKRLDWWMSLDEDKNVKKQKRRPAREWWKEEYSEELAKKKKKNKKKQRNESINIDSFSKDDEMYMDRKKRSRSRSRGSRGSMDWWLDGFSGELWRQRHTSHDSVSGEIPKSGGISSTPSMRGTVCYVAPEYSCGGDLSEKCDIYSFGVLLLVVIAGRRPLQVTGSPMSEFQRANLLSWARHLARAGKLLDLVDQSVDSLDKDEALVCIKVALLCLQKSPAFRPSMKEVVAMLSGDLEPPPLPVEYSPSPPSRFKSHRKLR >cds-PLY65579.1 pep primary_assembly:Lsat_Salinas_v7:5:339076016:339076255:-1 gene:gene-LSAT_5X191001 transcript:rna-gnl|WGS:NBSK|LSAT_5X191001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTDARSLPPNVKVVLMMKLREYISDPNNLKSEIKRIASSNLNKPTQDELLQLGMADATVVCMTYIHQFIIIIFLQLKE >cds-PLY86235.1 pep primary_assembly:Lsat_Salinas_v7:8:58157626:58159541:-1 gene:gene-LSAT_8X41580 transcript:rna-gnl|WGS:NBSK|LSAT_8X41580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSKWQNKLEKIGPYLDGRCIYLVGMMGSGKTTVGQILSEILGYSFVDSDKLIEEVVGGIAVADIFKLHGEKFFREKETEVLRKLSSMHGSVISTGGGAVVQPINWKYMQKGVTIFLDVPLETLALRIVSVGTASRPLLHDESGEAYVKKTGFLVLAAEGGRVWGRSAAERRQGTGKKRSIESQKNRNEYGE >cds-PLY94425.1 pep primary_assembly:Lsat_Salinas_v7:6:9291986:9293625:1 gene:gene-LSAT_6X6101 transcript:rna-gnl|WGS:NBSK|LSAT_6X6101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLKVYGHRISEPSRAVLIFCKINMIDFEEIHVEVLKGQQFSQEYGAITPIRQIPAIVDGHLKLIESHAILIYLSCSFPGVASHWYPGDPQKRAKIHSILDWHHSHLRRGAAGLVYTTILAPLNGLRSFLQIVIQAEEILLKSLSELENVWLKDGTFLGGISQPSIADLSLACEVMQLELLNEEDYHQILSPYKKVKKWIKDVRSATAPYFDEIHEYLFESQKGIRDQMATQSGKKKVRPKM >cds-PLY76226.1 pep primary_assembly:Lsat_Salinas_v7:4:55132271:55138873:1 gene:gene-LSAT_4X37380 transcript:rna-gnl|WGS:NBSK|LSAT_4X37380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISYLSSMMAIKRGVSSFGVHRNRGGGGSRFPVFTLVLILVLAPMVFFVGRGIYSADSIDQNDSSISNNKQVIDVIKANTDDLGPLSLDSFRKQNFSASWKFSGQENVIDISSSSSEIVATNKQETPKGKQDSSLVDDHSQYMETPTMLARRKLRDKRRETRAAGLMKQDEDVSIKLENAAIERSKSVDSAVLGKYSIWRKEADNENIDTTVRLIRDQIIMARVYVSIATMKNKTNMAHELQIRIKESQRALGDATTDIDLNQSAPEKIKAMGQLLSKARDQLYDCKLVTGKLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIEYYLLSPEKRKFPRSENLENPSLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVSDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLKQLESAAMKEFYFKADHHTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQKDLTGLWKVDLHGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNVFDLKQWKKRDITGIYHRWQSMNEDRVLWKLGTLPPGLMTFYGLTHPLDKSWHVLGLGYNPSIDKIDIENAAVVHYNGNMKPWLELAMTRYRSYWVKYIKFDHPYIRGCKISE >cds-PLY62373.1 pep primary_assembly:Lsat_Salinas_v7:8:113226658:113228270:-1 gene:gene-LSAT_8X77320 transcript:rna-gnl|WGS:NBSK|LSAT_8X77320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTLKRMVLCYMDFVALSGAHVMDRTQKNRSNFDGPWTDKPLKFDNSYFINLLREYREELVWHEPQALVRREFLNLLIGESDGTSLIPSDRTMMTFDEFRCDVMKYAEIPELFLKEYAQAHKKLSELGFIKKPPFHLYHKMKKNKKSYVLPQTIAGVIIVASIIMVWYRVKEK >cds-PLY76962.1 pep primary_assembly:Lsat_Salinas_v7:6:63957823:63958439:1 gene:gene-LSAT_6X46381 transcript:rna-gnl|WGS:NBSK|LSAT_6X46381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSYEELFSELEALFQMEGLLKNRDGGWRLLYTDEENDMMVVGDDPWEEFARMATKIHIYTNEEVEKLMSGGVISDDTSCLEEAPAMVVDTAKSSPE >cds-PLY65398.1 pep primary_assembly:Lsat_Salinas_v7:1:60891124:60893297:-1 gene:gene-LSAT_1X51701 transcript:rna-gnl|WGS:NBSK|LSAT_1X51701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADHQNPHSLYNPNTGIYTSPRPPVPLPQSPTTSIVDFLFRNLSVYSDRPALIDPNSHQIFTFYQLKRKISDFAKTLHYTYSISKGDVILIFSPNSILFPVAFLAVTSLGAIATTANPLYTVKELAHQISDSKPKLIITVDQLFSKVNGFNLPLIDLGNFSDLVAKSSNHAALSPSVYQSDVAAILYSSGTTGLSKGVVLTHRSIIATALMVTSDQEFYKEGRSVFLCVVPLFHIMGLITFTYAQLQRGNATVVMARFELEKSLDAIQRYKVTHLYTAPPVVVALVKQPAIVRRYDVSSLQEIGTGAAPLSKDTMDECSKIFPQAKILQGYGMTETGGIISIENTRAGFLNSGSSGVLCPGMESKIIHIETLKPLPPKQLGEIWVRGPNLMKEYFHNKEATEQTMDKQGWLHTGDLGYFDEQGRIYVVDRLKELIKYKGYQVAPAELEGLLVSHPEIMDAAVVPYIDDEEGEIPMAYVVIKAGSSLSGEEIQSFIAKQVAPFKRIRKVAFIEVIPKAASGKILRRELRQKVISKL >cds-PLY80110.1 pep primary_assembly:Lsat_Salinas_v7:5:146275045:146275583:1 gene:gene-LSAT_5X63900 transcript:rna-gnl|WGS:NBSK|LSAT_5X63900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEEVKMNEETFVVRLLVVSTQVGCLLGKSSGVIKQMASESREQIRIPPRDKLPACASSSDELVQVPDEQICAPQDAVLRVQTRIFWAAPETKVVTAKVIMTAKVIEMQHLEH >cds-PLY96365.1 pep primary_assembly:Lsat_Salinas_v7:4:357488462:357490132:1 gene:gene-LSAT_4X175760 transcript:rna-gnl|WGS:NBSK|LSAT_4X175760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILLGKDFDVPYLSPPNAAIPTLSDSPGLQVCDPNGRWYVADIVSNYRDPLLLTGKALSHATVGLRHAASYRAAIDHISAGRSSLAFRLVPQGNSILDCSPIRATGHVIPQSYVPISISQFMDDLSTDENIPYNGTDNVFAAWKFSNKERSLRSVLLDSLSGAFLEDVMLFPCGHSFGGMMLKRVIEKRHE >cds-PLY80264.1 pep primary_assembly:Lsat_Salinas_v7:6:164831252:164835198:-1 gene:gene-LSAT_6X99940 transcript:rna-gnl|WGS:NBSK|LSAT_6X99940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METGRVSMIVAPPPPPLPPTFVAPGFRFHPTDEELVRYYLRRKVCGKPFQSQMVPEVDIYKSEPWELADYTSVKSRDLEWYFISPTDKKYANSSRVKRSTERGFWKETGKIREINHKSEKIGQKKTLVFHSGRAPHGIRTNWVMHEYKLLDQELQTAGVTQDAFVLCRIFEKSSIGPPNGDWYGPFFEEEWDDEEALIVPVRDTMDDVENDDETCAEGNNTMQMDAQMIPVVCKKVRSENGVLNSEPELETLTLFHHKTSKGSDPNSSNANGSHDSTMTSQGQTTTNLLSAVVTTIETHPPATPPSFDASALEKSLPPEYMELIRDMENKIREVSMEKDALKIELMQAQATINVLHSHIDQLSKENTELKRGV >cds-PLY94630.1 pep primary_assembly:Lsat_Salinas_v7:8:144217494:144224960:-1 gene:gene-LSAT_8X97941 transcript:rna-gnl|WGS:NBSK|LSAT_8X97941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHYSRNHIPAFGSWDFNDDLPFTQCFESARQAGLIRCSYSGDRDLYVAGDLYENNIVTPAIIVAPRRRVTRYPKEAKNAYDSDKKEPPSPVSVATPTGAWSGQVKPKAVDEDLYKISPELLRLKPRRAEKWVSNMTRTTDEKSTRVVLEARPPGLGIGAVVPRQQKVGLSNDPVERKLHAQLDAQKRKFAKMAEESQPANKDDESSDEDDEPQSRTQAFVKKKMTILPSSSQKN >cds-PLY64051.1 pep primary_assembly:Lsat_Salinas_v7:8:94639705:94641330:1 gene:gene-LSAT_8X66261 transcript:rna-gnl|WGS:NBSK|LSAT_8X66261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDITTTNGGVWKRHVAVFAFPFASHPPLLLSLVQRLASAAPTVVFSFFNTRKSNRALFSELSCDNIRPYDVSDGIPEDYAFVGKPQEDINLFLAVAEEEFRRGVKVAEEDIGLRINCLVVDAFIWFSSQMAEDLNIPWVSFWTAGACSLSAHFYTDLIREKGAQLKGNSVGPDKEVVDLIPGFSTIRLGDLPGGVLFGNLESPFSTMLHNMGRTLDKATVVAINSFQELDTDLTKNLSSKFNNFLNIGPFHLISKQKPTSIFDEFSCSSWLDSQKPRSVAYISFGTICRLLPDEIVALAETLEETKIPFLWSLKNDIMKLLPDGFLERTTANGLGKVVSWAPQIQVLEHFAISVFVTHGGWNSVLESIGAGVPMICRPFLGDQQMNTWMVERVWGIGVRIEGGKFTKEGTRSALEHILSLNDSSKKLKERIETLKELAHEAVGPNGSSNQNFMTLVDVVTNATL >cds-PLY94281.1 pep primary_assembly:Lsat_Salinas_v7:1:91581758:91582671:-1 gene:gene-LSAT_1X73681 transcript:rna-gnl|WGS:NBSK|LSAT_1X73681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSCKEGGGEPPHGSLGSTINQSFSSVEKLIAKKNAKGAAVQGSGWVWLAVDIELKRLVVETTSNQYKNVRPDYLKNIWKVINWKYASEVYEKECP >cds-PLY68044.1 pep primary_assembly:Lsat_Salinas_v7:5:293222559:293223035:-1 gene:gene-LSAT_7X61781 transcript:rna-gnl|WGS:NBSK|LSAT_7X61781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSYSFSSSLNRSSKKSTAHDTKTCDCGFPARILTSKTPKNPGRHFMVCNEGKCKYWKWLDVEPVEMPLMEVVEGMKVELIALKTEVEKVKEDMEQMKKEKYSDAIAMKEKIYKFTIGFLFLIIVYMMK >cds-PLY82081.1 pep primary_assembly:Lsat_Salinas_v7:8:52554221:52554685:-1 gene:gene-LSAT_8X39100 transcript:rna-gnl|WGS:NBSK|LSAT_8X39100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVGSKVMRRFNPPQRTHCDCGDLVGRWTSWKTRNPGRRFIGCPNYRDSSKDCKFFDWVDPPLPNQWYKDLLLQLHNGWNGDVVEQMEEAVVEVVPAQVQGAGGVVPRWSMFWFILGLCFGLYFKIM >cds-PLY87290.1 pep primary_assembly:Lsat_Salinas_v7:4:331241782:331243792:1 gene:gene-LSAT_4X164340 transcript:rna-gnl|WGS:NBSK|LSAT_4X164340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRYPRCSLCRFLFLLFPLIAPYTYDLIVSNVIYLPNSIFLDVTSSTDPPISFDDNNSVCPTPSAYEFESGGEATHQVKLYGCCIEGKELLVVYEYLINNSLARALFGKENQKLNLDWSTRKKICMGIAKGLAYLHEEST >cds-PLY84046.1 pep primary_assembly:Lsat_Salinas_v7:6:186956219:186957744:-1 gene:gene-LSAT_6X115420 transcript:rna-gnl|WGS:NBSK|LSAT_6X115420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMDIPEGLEIKIKAKVIEVKGPRGTLTRNFKHLNLDFQLITDEESGKQKLKVDAWFGSRKTTASIRTALSHVNNLIIGVTQGFRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLDGVTVVRSEKVKDELILDGNDIELVSRSCALINQKCHVKNKDIRKFLDGIYVSDKAKIQEEE >cds-PLY63858.1 pep primary_assembly:Lsat_Salinas_v7:1:127021017:127021757:-1 gene:gene-LSAT_1X96101 transcript:rna-gnl|WGS:NBSK|LSAT_1X96101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYHPSVPVPAPGYIHVTRNDENASREVESIMKEDSADIDILMSFEDEEDEGEEGYEEEEEVSTARTHGNDEIDTADSCSSRLPGKGSGRGRGSGLGLSMASCKSSGVSDRKRREMKKMVDSLRGIVPNSKRMSTVDVLDEAVKYLKTLKVELQNVSVVNMKN >cds-PLY72398.1 pep primary_assembly:Lsat_Salinas_v7:3:188739516:188740381:-1 gene:gene-LSAT_3X112420 transcript:rna-gnl|WGS:NBSK|LSAT_3X112420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGIYFCVMEMKDMEGGNEFLMSSEYGGFEDKEESAYTEAIVEILKKYKGMMLTQNLWWNLDEEAGQHARLQFRISEP >cds-PLY65347.1 pep primary_assembly:Lsat_Salinas_v7:6:40150039:40151092:-1 gene:gene-LSAT_6X30560 transcript:rna-gnl|WGS:NBSK|LSAT_6X30560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYNIKAIGFFHILTVCVCVYVQHVLLIVTKLQHVIATLALESAANTGGFFAERRFVGKFLCSYSTLPLYALVTQMGTDYKVTLIPWIGKGYKEKEKAVRHIWR >cds-PLY79789.1 pep primary_assembly:Lsat_Salinas_v7:8:275543308:275545094:-1 gene:gene-LSAT_8X158100 transcript:rna-gnl|WGS:NBSK|LSAT_8X158100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEISAGGFGRQLPENFEDIGDDDGMVDEDDMLDEMMRHYGDEEAYVAVIKHSYGVILSKKKNIEKALKHEIEKFPDSLSLKEWCEKKEKLFKEVNYAESGGMKAKDPSFDGHSNKGDADGGKEAEFSPIRGLVVEGEKKDDGGGFSTPQMDKVGNTDNLTCSQFFENPEVLAIAIKMTDEAVLESYKKEKKRGKKIMETVEVCEEDDDHGKRDQKIHAYGKSPFVERIVRMSDKVKKDERSFYNSVFASKRDYGEEIWNIGSGHVLHQRFAYHFKSNTFIHAIIIDCWSTLLNRMEELGDAEEILVGSLSPERTQKLFDLILKLHLKSLPKQEKLKDIGLVPTYYIIDHVTRIGSVEEIYGIKHIHVRITKSQQLSTRLKLV >cds-PLY66584.1 pep primary_assembly:Lsat_Salinas_v7:6:166179134:166180194:1 gene:gene-LSAT_6X101660 transcript:rna-gnl|WGS:NBSK|LSAT_6X101660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVASIQCIRITNSSLNPSSPASSSSPSSSIAPGFPFSASKRSLITIRCSQADGPLRRPSIATPPVRPTPPTPTQPNPPPSVSSPPETTAVGQNVVTMEFQRQKAKELQEYFKQKKLEEANQGPFFGFIGKNEISNGRWAMFGFAVGMLTEYATGSDFVDQVKILLSNFGIVDLE >cds-PLY97980.1 pep primary_assembly:Lsat_Salinas_v7:5:295463840:295464653:1 gene:gene-LSAT_5X158561 transcript:rna-gnl|WGS:NBSK|LSAT_5X158561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVEKLQKMAGAVRTGGKGSVRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDETVIQFLNPKVQASIAANTWVVSGSPQTKSQFNNIIFLLPRFEKGINTEAYDMFSLFIDLTTE >cds-PLY70329.1 pep primary_assembly:Lsat_Salinas_v7:4:99086685:99090880:1 gene:gene-LSAT_4X64180 transcript:rna-gnl|WGS:NBSK|LSAT_4X64180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFMRLGKRPDTFYTGEATRTMVSDLQTDLTIRINNITYLLHKARKGDTTLELHDIPGGEISFELCAKFCYGIKIDLSAHNFVAAVCAANFLQMTESVVKGNFVSKLELFFTSCILQGWKDSVVTLQTTERFHEWSENLEIIRRCIDSVVDKILTPTTKVRWSYTYTRPEYAEKKHKSAPKDWWTEDIADLNIDLFRCVINTVRSTDMIPPKLIGEALHVYACHWLPDVTRGRSDQPETSTSSQITQEESLNRKKQLEMIVSLIPEDRGSVSVRFLLRLLRMVNLLGASSAIHKQLIRKCSLQLEEATPNDLLLLLPLHDHDSSGDHHQTYDIDLVMAVLEGFITQWRKSYSRDEEQSMILISKIAKLIDSYLQVVSTDANMSVEKVVSLANMLPEFARPEHDDLYKAIDIYLKEHPQMSKEEKKRLCSILDCHKLSAEARAHAVKNERLPLRTVVQVLFFEQEKHGGKATTTTSHESRKHDIIQPQGIKITYYTGDHLRNLQARSGDQSNKAEDDRRRSALSSKSQKLERESEQKSRGKNVHEIMEEGISKQNLTKVKIQRSKSEHGRRKGK >cds-PLY70968.1 pep primary_assembly:Lsat_Salinas_v7:2:44795646:44796626:-1 gene:gene-LSAT_2X19101 transcript:rna-gnl|WGS:NBSK|LSAT_2X19101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIGRVVDLHIPRDNETDKPKGFAFAEYETEEIVDYAVKLFTGLVTLYNKTLRFWYLYLAISLFYKFSIIPVAVRADYIPSRVDLPALGQEKYVELVNLFQWKVTLS >cds-PLY86361.1 pep primary_assembly:Lsat_Salinas_v7:8:29194576:29195615:-1 gene:gene-LSAT_8X23381 transcript:rna-gnl|WGS:NBSK|LSAT_8X23381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAKIVKWSPPQPKRRPSAVFHCLYTVTPPLPSSIIYTKQHTPVLSTASPNRRETHISTNRNLLLASIPKSFLICIMVFHEMPSRIVIYIWPLLSSYQLIGSEDGGIQFTEVQPVSEGQNRPVAVRSLHVGLSNTTAAMKSSTTAPPVFLINCLPLSSRLKGVEIDKRLATSRHPRQTPPPAMRQGERQPSLASGVTRRERRPWTILIGWSVFGRSASFLTQFNC >cds-PLY95558.1 pep primary_assembly:Lsat_Salinas_v7:6:175027614:175028532:1 gene:gene-LSAT_6X107141 transcript:rna-gnl|WGS:NBSK|LSAT_6X107141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKLGLKGPSFRVGDISSEVTFPDVKRKKLVVRRPNRKLTTKFQDEVNNQVPNEVAQATALNDLPLVNEMIEGKETEVSVKVPEPIFKEVHLVNNQVPVVNDIPNNVAQLPAVNDVPLVNEVIQEEDDIMVIEEEVVDVPVKVAQDLKQSLDEVGDAIDQILGFRNASDASDVPLVNEGGVEPEFTKGHASDVLPDKIKISVEDIANLLEAGYSMAEIESMGWLEIELDDTPPVEMDEPDIDEGEADFVNDVLNDGGVSEGEGEGVENQDDGDVIEDEGV >cds-PLY68213.1 pep primary_assembly:Lsat_Salinas_v7:8:267621856:267624960:-1 gene:gene-LSAT_8X154721 transcript:rna-gnl|WGS:NBSK|LSAT_8X154721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:1,4-dihydroxy-2-naphthoyl-CoA synthase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G60550) UniProtKB/Swiss-Prot;Acc:Q8GYN9] MAAGVQEKDLDTVRRRVASVTNHLLPPPLHSISPIISSSNCSASMNDSYHRIHGEVPSHIPVWKISSDESGKEFTDIIYEKAEGEAIAKITINRPERRNAFRPHTVKELIRAFNDARDDSNIGVIILTGKGTKAFCSGGDQALRSQDGYADFENFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLARFYTAQEAEKMGLINTVVPLEKLEEETIKWCREIIRNSPTAIRVLKSSLNAVDDGHAGLQEIGGNATLIFYGTEEGNEGKTAYMERRPPNFSKFPRRP >cds-PLY75577.1 pep primary_assembly:Lsat_Salinas_v7:9:33786502:33787956:1 gene:gene-LSAT_9X29820 transcript:rna-gnl|WGS:NBSK|LSAT_9X29820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDKANDEYFLEYNEDDEVQYDDVENNDSDDGSDDDASRNSTRSSSHFSSRQWPQSYKQTIDSYSITATPNFGLLRSPSTTIYSSLYDIGIESNFDAEAKARLLSECEKLYSKEDLEKITRKISTWSGKGSLHEQLGELPISHGCSVTQTVFNAVNVMVGVGLLSTPYTIAQAGWAGLGMLVVFGVICCYTASLMRHCFESKEGILSYPDIGEAAFGKYGRLIISVS >cds-PLY68530.1 pep primary_assembly:Lsat_Salinas_v7:4:21067167:21067727:1 gene:gene-LSAT_4X14541 transcript:rna-gnl|WGS:NBSK|LSAT_4X14541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMASAPVKGMNLDDTTSTTTVSGGSPEDLIKCGGCPCNNPCYITSPPPPPPPPTPPLTPPPPPPPKMPSPTPGLNCPPPPTGGGYALGPPSYIYITGPPENLYPVNQYFSGAHRNFWAAPPLLVMLGFLGVLIVC >cds-PLY79427.1 pep primary_assembly:Lsat_Salinas_v7:3:79072235:79073154:-1 gene:gene-LSAT_3X60320 transcript:rna-gnl|WGS:NBSK|LSAT_3X60320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQPDNVVAVKKLHSSSENVDHSGFLNEVRALTNIRHRNIVKLYGYCSHARNSILIYEYHENGSLGSILKSDVLAKELDWLTRVNIVKGVANGLAYMHHDCSPPILHKDISISNILLDSDYEARISDFGTSKLLKLDSSNWTAVAGTYGYIAPELAYTMVANEKCDVYSFGVVALEVVMEKHPGELITSLPTLSDDHLVLANVGDSRIPPPSSQVEKEVMLVLRVSRACSNPHERPTMHQVSNLLMKAKFSVLSMFFIFL >cds-PLY61679.1 pep primary_assembly:Lsat_Salinas_v7:9:138009427:138014002:1 gene:gene-LSAT_9X88661 transcript:rna-gnl|WGS:NBSK|LSAT_9X88661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISIDDHPPPDPSSSDYHNHHQQQHQISHDLKINNGEKAPASVSVSDIDLLKLTDAYLDDTNNRLPNFSIRDYVFGSRCNDNITCNWPFSSKSLQLCLDYGVKNFLPPFESLDSLRNNSSINNEEITTSFNCKSKDDHPMDRLVNFIVSETTMASKICPVCKTFSSSSNTTLNAHIDRCLSEESKSNISWSVNPNPSKIMVKHRIKPRKTRLMVEIYKTAPQCTVEELDRRNGTNWATNSSFPDQEFECQEEEEKAFNQDHEGAVYIDTNGTKVRILSMPEIVTLEARKLQKGSKIVMEKKKKKVYVQKSLNLTPKSKKLSSLKPHSDVSKILDKQENKIEESMKGKVDKQLINNLVITRPPWACSKRTVLVKKLNGKNGMLHSESDKSSDNMSSLHYSKKARTKLTKGIKFASLNPNLLLSTQENNSGSKINLKRKSLHLKKSNENDDPFQDSLKHKSRIEEEEEDMSKNSSFEMENVFEVKKKKSITSKSSDVDSLESIRTEIPFQGSFMGLRNSLDDEFSKFELQESMENIHNIEDDDMDDAIEKQENYFQEVDTIPIPGPPGSFLPPSPGGDIISEQLQPNNSSLTTTISRLQSTEYHHPHHHHQHHDHMINMDFMSESPNSTISSPSFIRSDDKFFPRFTSLQDPNLCYTDVMFDKKSTPMASSSSGFKNDHHCCCSRKEAVFPKNISSYPRESVVSRNESIKIPVYKDCESVVTAPVLRLMGKNLTVVNTNDHIVDQFRPPSCSISMTHHQHQHQHPLIFNHNQNGSSSILHPTSHGSSLGFIPNIRSSSTSYYPPSFS >cds-PLY71828.1 pep primary_assembly:Lsat_Salinas_v7:3:58974633:58976407:1 gene:gene-LSAT_3X46320 transcript:rna-gnl|WGS:NBSK|LSAT_3X46320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQNLKNPRNLYPEVIQSHPDSASTYSYSSSSYSPSAPSLYPTIDMNDIHEDLLVDAHQSYAPQLLSPFESSEEVVIKIPGSIIHLIDEQQSVELASGELEIIRLRQGNTVVAVLARVGDVIQWPLAKDEAAVKLDGSHYFFTLRVPSDTKSGNDSDFESESLLNYGLTISGKGLEKELEEFDRVLEEYSAFSVKEVKRSVAAAVAVDNGGSAEAKAAAYWTTLAPNVEDYSGSVARMIAAGSGQLIKGILWCGDVTVDRLKWGNEFLKKRTKPGSKSEVSPKALKRVKRVKRLTKMSEGVATGILSGVVKVSGFITGSLVNSKPGKKFFNFIPGEIILASLDGFNKVCESLEVAGRNVMSTTSTVTTDYVSHRHGEDAAKVTHEGMGAAGHAIGTAWAVFKLRKALNPKSAIKPTTLVKAAALQSKSSKSKSKSNT >cds-PLY72631.1 pep primary_assembly:Lsat_Salinas_v7:6:48141273:48141773:1 gene:gene-LSAT_6X35120 transcript:rna-gnl|WGS:NBSK|LSAT_6X35120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAATAAAESSTPTIPILRRRNSTGSPTVDTKAYHSSSSSSIATTTTATTSTSSSSSSSSSVDFELIAIKPTCYTSLRDILPSPTSFVQSPKAACSAVHSGYEISIKNHLVKQAAWAYLQPMSTFPEADGTTVLHRLWIQFSGAVLRLITATFDCLLQAGTTASKL >cds-PLY79060.1 pep primary_assembly:Lsat_Salinas_v7:3:6973455:6974099:-1 gene:gene-LSAT_3X5080 transcript:rna-gnl|WGS:NBSK|LSAT_3X5080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPHASIFCRLTSQSMYTFIISSSAVTPMVSGLNGLASLNLTIHLSFRQLLHSRSLWLTFTHMLHSRYRAKEDLRECAVHPEHVRVVNENKLIIDDVMAVDWMSNGASVSPKPGSAMKVTFLKLKGNLVENEKARVLEVIGRIKDQFQAIEQLSLGENFSHEWAKGFTIASIVVLPGQADLEALDSNLEGVNSQEEKAGDSIESVVVVDYLIPPP >cds-PLY73002.1 pep primary_assembly:Lsat_Salinas_v7:9:36036527:36040562:1 gene:gene-LSAT_9X33301 transcript:rna-gnl|WGS:NBSK|LSAT_9X33301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGATTNPIAGSPRIGIQSTHRRRTPELMPDPVVPTIESPPTETPNGYQCNGYLDYVSETTVNCLGCCTATCHHHHHHHNNYFFLRKGSPEKRILKSLRQGKNLGRTLFGFLIVLVVFTVFLKTAYMAGSHVAEAEGGYNAGMFILRDYKRDSVKAQRVLSDIDSSMPMRAFEKYSKHSVEEIWMKPNSNDYHQCIVRPKNRIKTNSATNGYLLVHANGGLNQMRTGICDMVAIAKIMNATLVLPSLDHQSFWTDPSDFKDIFDWRHFMEVLRDDIEIIESLPPEFATKKPHFKAPISWSKASYYRGEMSFLLKKHKVIQFTHTDSRLVNNGLASSFQKLRCRANYEALRYSEEIEELGKKLVSRLRSNGEPFIALHLRYEKDMLAFTGCSHNLTTDEAQELRAMRYGVKHWKEKEINSKEKRQQGGCPMSPREAALFLKAMGYPANTSIYIVAGEIYGSKSMDAFRNEYKNVFSHSTLMTQEELDTFKNYQNRLAAVDYVVALESDVFVYTYDGNMAKVVQGHRKFEGFRKTISPNRQQFVKMIDRLDNGLISWDVFSSRVKNIHKDRLGAPSERKVGESPRVEENFYANPFPGCICNSSNDRFNSTQFDRRQTPRDSLSSRK >cds-PLY92413.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:1961102:1964152:-1 gene:gene-LSAT_0X18421 transcript:rna-gnl|WGS:NBSK|LSAT_0X18421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEEVEEAKRTTPRHLAPPYIATNVQHKFTGNRRSITAYKAHDTYRHRRILSATDLPLGGNGSPSAAALYFTEIQIGTPPKKYHVQVDTGSDLLWINCIGCQNCPQKSDLGIELTFYDPMSSTSSQMITCDQDFCTTTLDASNKACIIGMQCSYLVRYGDGSSTKGYFVRDNVKLDRVSGNLQTASMNGSITFGCGARHFGGLGLSQQALDGILGFGQANSSIISQLALAKKVKKTFSHCLASSKGGGIFAIGEVVYPKVKTTPILPNEIHYNIELKAIEVGDDFIQLPTNIFNTPSKRGTIIDSGTTLAYFPHVIYTQLMQKIMATQTNRVPHTLDHQFKCYRNPGNVDDVFPMVTFHFANSLPLKVYPHQYLFQIQSKDWCIGFLSNGLQPKEGKDLILLGDLVLTDRLVTYNMEDQTIGWIEYDCSSSIKVKDEETGRVYQVGSHDITSSSGCKHEWFIVWLVFIIATKIVVY >cds-PLY82538.1 pep primary_assembly:Lsat_Salinas_v7:2:188098609:188099460:-1 gene:gene-LSAT_2X109761 transcript:rna-gnl|WGS:NBSK|LSAT_2X109761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANRRPPNSSGFQQPRNDTSGRHPVYRGIRLRAGKWVSEIREPNQTKRIWLGTYATPEMAAAAYDVAALALKGRNVLLNFPDSVISSTLPERPTADDIRAAAARAAAARAPGYGYETGGGSVTNTVPPGAYMDDEHEPNLWSDMAEGMLLSPPRMDSSPPDDGTDHSGGSNLWNY >cds-PLY71114.1 pep primary_assembly:Lsat_Salinas_v7:9:83231919:83233076:-1 gene:gene-LSAT_9X65340 transcript:rna-gnl|WGS:NBSK|LSAT_9X65340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEENYTRPEYIPHELVSEILTRLDVKSLTRFRSVSTRWNSTIVHPSFSKSHLSNSSAGAGAPVTLVLSFINRFNSERTVFSVKIPPNQTKSLPNPTHILTIPGFAHSFVSQSLNGLICFNLGAMCVCNPSTRKFHKLPWTKVEISPLSPASTHHRRNAFGFDPVSNAHKVLDTWITCHEDEMIMEHKIFTVGAGCNKWRRIADGPPYFPFNESVCINGQIYFRALTSVTASEEVVMVAFDVNREEFRFIQIPDDAISNSEEAVLIELDRRLAIVHHQDNVLSMWVLIDEEDAKWLKKRVEIPGMCSEMNDRRRFKFAGTTFSGELIFAERSLTKPFYVFLYDLKKNEAKKIEICGLTDFKFDYSSALMNVISVNEHVETIKCLT >cds-PLY68424.1 pep primary_assembly:Lsat_Salinas_v7:2:58768965:58771897:-1 gene:gene-LSAT_2X26681 transcript:rna-gnl|WGS:NBSK|LSAT_2X26681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRNPATVSTVVKESEHTKIVLSDVVVTRKRNLLWARKWRAIDIQTGVLILAIHLLSLFAPFRFDWDAFLFAFFRWVFCGIFGITLSYHRNLAHRSLKLPKCLEYMFAYLGVLSFQGDPIFWVSIHRFHHQYVDSEKDSHSPIFGFWFSHMGWLFDSGYLIEKYQKSNNVEDLKNQVFYRFIKRTYILHISAYAALVYAYGGFTYLVWAVGVATTWGYHTTFLVNSACHIWGTQSWDTGDLSKNNWWVALVTFGEGWHNNHHAFEYSARHGLKWWQIDFCWYMIRFLEAVGLATNVKLPNEAHKLKKSSTSHNKFK >cds-PLY91381.1 pep primary_assembly:Lsat_Salinas_v7:8:47747439:47747938:1 gene:gene-LSAT_8X35560 transcript:rna-gnl|WGS:NBSK|LSAT_8X35560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLRRIENKINRQVTFSKRRGGLLKKAHEISVLCDAEVALIVFSNKGKLFEFSTDSWVLNL >cds-PLY62456.1 pep primary_assembly:Lsat_Salinas_v7:1:84285532:84288792:1 gene:gene-LSAT_1X70280 transcript:rna-gnl|WGS:NBSK|LSAT_1X70280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYHNSRHLRLLCLTFFLLLSFTAADDAAVMQSFAKTLSPAPTTWTGTDFCTWEGINCDKSNRVTSINIASKSLTGTLPPDINQLSQLKSLAVQRNFLSGDLPTLANLTLLEQVTLDTNNFTSIPPDFFLGLNNLQNFSISFNSDLSSWVLPETLSQNTNLQSFLASNAKITGTIPDIFGSLLNLQNLRLSNNNLTGNLPPSFGGSQIQNLWLNNQLQGLSGTLDVLSSMNQLSQAWLQVNTFTGAIPDLSNCTILFDLQLRDNQLTGLVPQSLMSLPKLENVAIQNNKLQGPLPVFQPRVHSELGTDTNSFCLSTPGPCDPQVTSLLDVAGALGYPMSLAQSWKDNDACKGWIFITCDSSGKNVTSVSFGKQKFSGTISPSFAKLTSLRSISLNDNNLMGPIPKVLTSLPNLQLLDVSNNNLSGDIPVFPNGVRFTDGGNPLLGTGGPSRTPGSGSGPTNTTGTQSGTTKGVSAGMIVGIVIGVLVFVVIILFVSYKCYIKKRNQKQVGNQEKGKDLVKNDHVGVVVDKLHTEGSGDKEMSVFEGGNVVISIQVLRQVTGNFNEENILGRGGFGVVYKGELHDGTKIAVKRMESGVMGTKGLKEFQAEIAVLTKVRHRHLVALLGYCINGNERLLVYEYMPQGTLSQHLFEWRGNNGNPLNWKQRVSIALDVGRGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVKSAPDGKHSLETKLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMELITGRKALDETLPEDKSHLVTWFRRAISSKQDIIKSMDQTLENDNEETLESIFKVAELAGHCTARDPYQRPDMGHAVNVLGPLVERWKPSRTEEEEEGIDLHMSLPQVLERWQADEGTSRMFGDDSFSQTVSSVPSMPSGIGDTFDSMNCR >cds-PLY64928.1 pep primary_assembly:Lsat_Salinas_v7:8:132824392:132825297:1 gene:gene-LSAT_8X91980 transcript:rna-gnl|WGS:NBSK|LSAT_8X91980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTVSGKIVSLKPVNLSKAANILSKFVTSENGASQPVSAYLRRASVAFNELVYFKKHHTLKKKTKDETSSISDISHRDVEEDEGKLRKKEKKKKRKNAEVDGREIGDLETPERKKRRKT >cds-PLY77816.1 pep primary_assembly:Lsat_Salinas_v7:8:13614245:13617622:1 gene:gene-LSAT_8X9901 transcript:rna-gnl|WGS:NBSK|LSAT_8X9901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVQQFFLFKSPEHDSFFFTWSTLPYTATSLLLTATTRASSRLPNHPFLIVNLVKKLRSSIELSTSNYDKEKLQERLAKLSGGVAVLKIGGASEAKVGEKKDRVTDALNATKVAVEEGIVPDGGVALLYASKELDNLVTSNFDQKIGVQIIQNAIKAPMYTIAANAGVEGSVVVGKLLEQDNPNLGYDANLVNSRALRIPFPWLVFNEKIKVNFVFLLTVVSDSVGGSISKGDIVSLLPFLTPFLFDSIPFHDMKQDGSLENVGRILGVLHGTFIG >cds-PLY85321.1 pep primary_assembly:Lsat_Salinas_v7:5:326492086:326492433:-1 gene:gene-LSAT_5X181221 transcript:rna-gnl|WGS:NBSK|LSAT_5X181221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFVKTFVVLVFYLLICTTSMVYGRKIVGGEKTFCRNVNVRAALKNARTNYIAAKLGVKLAAMIIKNLGGKLNDRDKQTRGNHVGTFIGNTQERANKRLKNVGMAINNRDGGNTS >cds-PLY94229.1 pep primary_assembly:Lsat_Salinas_v7:8:229839053:229840305:1 gene:gene-LSAT_8X140021 transcript:rna-gnl|WGS:NBSK|LSAT_8X140021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMWERLCNRVIRVIPILHVNFGSQGKSIFHKANAGFCFDYQLVDVPDFHGKGESAPSPWFYQNEGEAEYLVSVYIYMRLLGYPAHKISILTTYNGQNLLIRDVLNRRCAPYDFIGLPHKVATVDKFQGQHNDFILLSLVRTRFLGHLRDVRRLSVAMSRARLGLYVFCRRSLFEQYELQPTFQLLLQRPDLLALNMHEVAPATDRPVGDTEPTYFVRGIDEMAGIVNFRMHQVYQINLKKWVQEI >cds-PLY63888.1 pep primary_assembly:Lsat_Salinas_v7:1:125668177:125673055:1 gene:gene-LSAT_1X94101 transcript:rna-gnl|WGS:NBSK|LSAT_1X94101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSELPEGSSSSSSSDAHTSPTFSHTSSTHDHRYDVFLSFRGLDTRLSFTNYLYEALIDANINTFLDDEEIETGEDLKPELETAIKASQASIIVLSKNYASSTWCLDELVLILEQRMTSNHIVIPIFYHVEPTHVRKQQSSFGDAMAKHKHTMETETNAYKRSQWAQKMERWNKALTEVANLKGNDVKGRLETKFIEEIVKDINRRLHLPLRSAQPLLIGIEHHISFVTSWLKDVSSHTTDILTISGMGGIGKTHLANYVYGQHCREFHTSSYLEDISRRCVGKFNGLLDIQKQLCGDISKTSSIQVYDVSVYTSKIENAVARKRVFLVLDDIDSIDQLDALLGSKGFHPGSKIIITTKNTWLTESCALFKTNIKPKNERLLLQGLDEIESQQLLCFHAFMVNHPKPGYEEVSDKILKYCEGHPLALKILGKSLHNRDVAYWEGCIEGLMKETDDRINNVLRMSFDSLPSNNDKELFKYIACYFVGIDRHVTETILEACDINTRSGITNLLDRFLLSIGWDNELKMHQLVQEMGRFEVRQESLDKPWKRSRLWSDKESFRVLKQKKGKGNLVGLSLDMRMLEKEKLGASFELKTDALSNMDNLMLLQLNYVHMNGSYANFPEELRGLCMHGFCLKYVPLDLPMENLVALDMSYSNIESFLGCYIDPQRSEKKQKLDESCLKEKRLLGSLKILNLSFCEQLRSLGDFDQLPILERLIVRNCIGLVEVCESIEQCLELVLIDLSYCNKLEKLPRNIGMLKKVKMMWLDGCNIGESQIKIRDMDSLEMWKANKNSGIKTRISSVAFVGAIPRDLKFFASSLPSSLVRLSLANNNLSAESFPMDFSCLSMLEKLYLDGNPIESMPHCVRTLPRLELLSMTNCDKLKSVEHPPNTLKHLSLYSDRDAFTKKVVFNPEMSPLHLHSFSRSSAPGPYEFEGMIKIQSMVDVEEKLLRILGWTNIDFLKERRVGTTSSQSEIQVLSS >cds-PLY66755.1 pep primary_assembly:Lsat_Salinas_v7:8:72186027:72186347:-1 gene:gene-LSAT_8X52480 transcript:rna-gnl|WGS:NBSK|LSAT_8X52480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVNTLFKAWKSLIQPLEDKYVTPYQYDASLRSGFEWDLPISPNGCFFLVFPPLTKFKPKSEPLYEERRRSEAFYKKHQQKIYGMGWSRKVILKLKGFKSRSFQGA >cds-PLY67179.1 pep primary_assembly:Lsat_Salinas_v7:6:164469312:164469506:1 gene:gene-LSAT_6X100421 transcript:rna-gnl|WGS:NBSK|LSAT_6X100421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVTLDGKAEGKGTAAELIIVAATTGDRSRVAAGEELKVAASKILGLGFNDVEGTGLIPCSK >cds-PLY88916.1 pep primary_assembly:Lsat_Salinas_v7:3:117921355:117924886:-1 gene:gene-LSAT_3X83680 transcript:rna-gnl|WGS:NBSK|LSAT_3X83680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNHYNGGTPDMVIDISKPMNFTGGLEFMNLTYTVMKKQKSEEGKWVKQEADLLNKITGYARKGCITAVMGPSGAGKSTLLDGLAGRIASGSLKGKVSMDGMEMNPSLIKRTSAYIMQEDRLFPMLTVYETLMFAADFRLGSIPKAEKRQRVEELIEQLGLSTARNTYIGDEGRRGVSGGERRRVSIGVDIIHGPSLLFLDEPTSGLDSTSAQSVVEKVHNIARAGSTVILTIHQPSHRILLLLDHLIILARGQLMYQGPPKDVGLHLGRLGRKLPKGENPIEFLIDVIQKYDQSEYGVDVLAEFVLTGMKPPQLSDDEMSFSTILASPTPPPRRKNNQPEKQNSSGKRLHLQTVGRSDQEKDFDHSVRSPWNNSKSWSQSGIMQAVGLTPTRQRSFQRTPHPVSASPGYYTYSSDIVAGTPTPHSSDYTVNEDDYLTPTSGPNVARYNHLGPKFANSFFEETWVLIRRNFINIRRTPELFLSRLMVLAIMGFMMATLFVNPHKSMQGITNRLSFFIFTISLFFFSSNDAVPAFIQERFIFVRETSHNAYRASSYTISGVITYLPFLLLQAAVYAGITWFALKLEGPFVYYLAILYVSLLTTNSFVVFVSSVVPNYILGYAAVIAFTALFFLFCGYFVNSHDIPKCWRWMNKVSTMTYPYEGLLMNEYQREEVFGQTLIGTNVTGIDILQSLHIYHERDPKWDKIYMMLGWAVFYRILFYIILRFASKNQRT >cds-PLY63882.1 pep primary_assembly:Lsat_Salinas_v7:1:127006542:127007491:1 gene:gene-LSAT_1X96060 transcript:rna-gnl|WGS:NBSK|LSAT_1X96060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATITPPLFLTLLFFFIFSGSIILEPANGQAPTQQTWCVAKPSASDVELYNNMYYACSFVNCDVIHPQGACYEPQVLINQASVVMNSYYQKQGRNYWNCDFNKSALITIVDPSYGNCKFDSQ >cds-PLY94861.1 pep primary_assembly:Lsat_Salinas_v7:2:177326461:177327150:-1 gene:gene-LSAT_2X98180 transcript:rna-gnl|WGS:NBSK|LSAT_2X98180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNITSIAGVNSMKKRSSQGRKKIEIKKIEEENNRQVTFSKRRNGLFKKAAELCILTGAHITMLVHSPGGRVFAFGHPTVDDVADRFLNITTNNASTSDESTTQKGQLEKEFNQHYVEISKELEAEKKLREVIQESKIINASELPWYSEGVDGLEVEELQQYISSLVELKRKVLVRADELMMIKKAPALLGPNMLSIAQANDIANMENPTNGTASSSFGFAHSHSREWMM >cds-PLY76930.1 pep primary_assembly:Lsat_Salinas_v7:9:6662599:6664569:-1 gene:gene-LSAT_9X1421 transcript:rna-gnl|WGS:NBSK|LSAT_9X1421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQILAVFVGPNLRSLVSKQVGPTEQFPIHLDQYDRKEQLKKSGLGKATMFLSKSDEETTSNRKLAKDLVDKGVSIYGC >cds-PLY82408.1 pep primary_assembly:Lsat_Salinas_v7:2:182787406:182790094:-1 gene:gene-LSAT_2X104600 transcript:rna-gnl|WGS:NBSK|LSAT_2X104600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAEKWVLMVTARTPTNIAVIKYWGKRDETLILPINDSISVTLDPSHLCTTTTVSVSPSFDQDRMWLNGKEISLQGGRFQSCLREIRARAQDVEDKKKGIKINKEDWQKLNLHIASYNNFPTAAGLASSAAGLACLVFSLAKLMNLKEDNSQLSAIARQGSGSACRSLYGGFVKWCMGKEENGSDSLAVQLADEKHWDELVIIIAVVSARQKETSSTSGMRDTVETSALIEHRAKEVVPKRITEMEGAIQNRDFATFARLACTDSNQIISCVEKWNRAEETPQVAYTFDAGPNAVMIARNRKTAALLLQRLLYNFPPQSDTDLDSYIIGDKSILQDAGIKDMKDVEALTAPPEVKDKIPTQKSKGDVSYFICTRPGGGPVVLSDETHALLDPLTGLPK >cds-PLY83644.1 pep primary_assembly:Lsat_Salinas_v7:4:40556331:40557827:-1 gene:gene-LSAT_4X25840 transcript:rna-gnl|WGS:NBSK|LSAT_4X25840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLNDEQSTNLLHSQAHIWNHIFSFINSMSLKCAIQLQIPDIINRHGAPMLLSELVEALSINKERAHFVYRLMRILVHSGFFIKQSICTTGDHKEEEREGYLLAPASRFLLKEEPLSISPFLLAMLDPILMDPWQHLSKWFQNDDVNPFHTAHGRNLWDFAGHDPNLNQFFNEAMGSDARLVTSLVLKHSTNVFERLNSIVDVGGGTGTVAKAIAEAFPDMTCISFDLPHVVDGLVGSKNLSFLGGDMFESIPKADAVLVKWILHDWSDEECIKILMQCKEAIPSKENGGKVIIIDMVLKNGEGDKELLETQLFFDMLMLTLTTGRERSEKNWAKLFVDAGFSDYKITPILGLRSLIEVYP >cds-PLY73915.1 pep primary_assembly:Lsat_Salinas_v7:3:38577921:38578136:-1 gene:gene-LSAT_3X30500 transcript:rna-gnl|WGS:NBSK|LSAT_3X30500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGYIPFPCLTSSLVEYSLPIPESIQSPNPVLNEIGRLELGIRFRRLSTIPNPSISSIGDRGSLALLPIAC >cds-PLY83394.1 pep primary_assembly:Lsat_Salinas_v7:8:1569998:1575750:-1 gene:gene-LSAT_8X1741 transcript:rna-gnl|WGS:NBSK|LSAT_8X1741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGKGKRDVVTEFIEGLVETYPGLQYLDGFPEVKVVLRGDVSGPTYEKVAVISGGGSGHEPAHAGFVGDGMLTAAICGDVFASPPVASILAGIRAVTGPMGCLLIVKNYTGDRLNFGLAAEEAKSEGYKVEMVIVGDDCALPPPRGIAGRRGLAGTILVHKIAGAAAASGLPLSDVVAEARHACGVVGTMGVALSVCTLPGQPKSDRLGPGKMELGLGIHGEPGAVVADIQPVDLVVSHVLNRILSSETNYVPIKRGSRVVLMINGLGGTHVMELMIAAGKAVPILQLEHGVAVVRVYTGSFMTSLDMAGFSISIMKAEQAILQRLDAATKAPHWPVGVDGEHPPAKIPVPVPPSRSAKNDEVLSRPEQLNEQGRALEVAIEAAVSAIIHLKDSLNEWDSKVGDGDCGSTMSRGATAIQQDMKKYYPLNDAAETINEIGSSIRRVMGGTSGILYDIFCKAAYARLKLNSQSDVAITPLQWADAFEGAINAVMLYGGAAAGYRTMLDALIPASTLLKQRLSGGDDPLDAFVKSVDAAVAGAESTKQMEPQAGRSTYVSADMLTSVPDPGAMAAASWYRAATLALNHHNSKPPPPPPA >cds-PLY98907.1 pep primary_assembly:Lsat_Salinas_v7:7:49137228:49138602:1 gene:gene-LSAT_7X35201 transcript:rna-gnl|WGS:NBSK|LSAT_7X35201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKPSSSSPSAISATANNERDRTQEAKYRGVRKRKWGKWVSEIRLPNSRERIWLGSYDSPEKAARAFDAALFCLRGNTANFNFPHQPPDIPGGTELHPSQIPAVAATFANSIPSSSLSSSSSSSQLQDSSSDQHPFFSDSLVRNLQLLIQQYTSLFE >cds-PLY83083.1 pep primary_assembly:Lsat_Salinas_v7:8:235309091:235315908:1 gene:gene-LSAT_8X142521 transcript:rna-gnl|WGS:NBSK|LSAT_8X142521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSLFDDFAANGSVATEVSSSMEGSGLGSPGHGYEVVDMVWGKVKSHAWCPGHVFNKEFATTFVRRSKREGLLLVAFFGDSSYGWFDPSELMQFESNFAEKSRQTNSKTFLKIMEEAMGEVSRRNALGFSCMCRSKQNFRKIDVKGYYVVDVADYEPGAVYSINAIKKARASFQPSSALDFISLESIVEHVGIDLIKNKARVVSYRRAVYGEFDDTYAQAFGHELDRPSPAKGRFLAEVTSQLFADLEASIYHLPRLYNIYKEMGIVTSFLIILDNVFLPLFEVTIDPGSHPQLHVFLKKVVGLDLVDDESKPERRPTKHMPTPSQWTNIFNPAFSYYVYYIYANLYTLDKLRESKGMKTIRFRPHCGEAGDIDHLAASFLTTHNISHRINLRKSPILQYLYYLAQEPLAEEYNIVASLSSCDLCEIARNFVYQPGFPHILKLMLIGRVLTDVRVNARVKCDLSENLTMKTNALGIDYRTQFQLGNGGLLGASYIQVSLASNLMYNYMSRDVTASFGYDYILHLDTSEKSNGEESSSSGDVSIGIF >cds-PLY92857.1 pep primary_assembly:Lsat_Salinas_v7:5:329510118:329511605:1 gene:gene-LSAT_5X183141 transcript:rna-gnl|WGS:NBSK|LSAT_5X183141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEASLLFYFLLPFLFIFFKLLLTNSDENSQPKSYPFIGNYLSIYANSHRLTQWTSDAIHNSPSFTFVVRRPFGQKRVLTGNPANVQHILKTKFSTYQKGDIFRSTLFDLLGDGIFNVDGDEWKFQRQLSSHEFNTKSLRHFVEHVVDDELNKRLIPILTTAAANDTVLDLQDILQRFALDNICRIAFGYDPAYLTPSLPKAKFAVAFEDAVRISSERFRTITPLFWKFKRFLNIGSEKRLKEAVAEIRRFTTKILNEKKQELTTEPVDLLSRFLNSGHLDENLVTDIVISFILAGRDTTSAALTWFFWLLYKNPAIENEVVKEVKEKSDSPIYDEVKDMVYTHASLCESMRLYPPVPVDSKLANADDVLPDGTVVKKGMMVSYHPYAMGRMESIWGGNWTEFQPERWLEKDDTAEKMKFRARDPYVYPVFQAGPRICLGKDMAFLQMKRVVAGVLRQFMVVPAVDDGGEPVFVAALTSKMKGGFPVKIKERKDD >cds-PLY64251.1 pep primary_assembly:Lsat_Salinas_v7:7:2596410:2596595:-1 gene:gene-LSAT_7X1441 transcript:rna-gnl|WGS:NBSK|LSAT_7X1441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSMVIPEVNKLIPILVWVKRKMARFRRMGSNEKRSNGDHSWRRFIIPMLKMMKILWMMNS >cds-PLY83033.1 pep primary_assembly:Lsat_Salinas_v7:5:51696695:51697441:-1 gene:gene-LSAT_5X25041 transcript:rna-gnl|WGS:NBSK|LSAT_5X25041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVGVLHSPAILTLVTLFLLFCFSTTTTTSSSSIFNQPPPRLIHKVHFHQPEYHHNRLSLSVSFTLLNRKALAPPTKFNFSPFIYKRHHHRKTSPVERSVIDPRYGVEMHLLCHAPFFFISTT >cds-PLY90907.1 pep primary_assembly:Lsat_Salinas_v7:1:57289126:57289799:1 gene:gene-LSAT_1X48421 transcript:rna-gnl|WGS:NBSK|LSAT_1X48421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDSTHGFQQVMQGDQVWEVRFGIHRDASLGEGEKKMLGDGGESPSRYQLLSMVKRHSNLIGKL >cds-PLY62243.1 pep primary_assembly:Lsat_Salinas_v7:5:165240168:165241018:-1 gene:gene-LSAT_5X72680 transcript:rna-gnl|WGS:NBSK|LSAT_5X72680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSTTTPSPPPPPPPSTTITAAQIRHAIRPWFSDFLSVSSLSLPISFQELSLRIQKNLYAFRANYLLISLLIFMLTLITRPITLISFLVIIVAWIFLVRDEPLVVFDFEIGQRLLVISLLAVTAFALAVTRVWWNVFLSFLISILVVCLHAILRTPDDADDMESPYGALLSVVDDDGPGRGPYTLV >cds-PLY72992.1 pep primary_assembly:Lsat_Salinas_v7:9:37561234:37563741:1 gene:gene-LSAT_9X35021 transcript:rna-gnl|WGS:NBSK|LSAT_9X35021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLDIKIDNNLPIVVDWVIGDNRNCTQATECKGNSFCEDEDTGGYRCICNNGYQGNPYLDPGCEGSYNCTCLKGYTGDGKIKDDCRPDAKDSKFPTVIFSVALVLGLLAILSGITGIFLGIRKRKLIKLREKFFEQNGGVFMKQKLKATGTSEVVTMFTTEQLRRATNNYSEERIKSKIVDGSQSEQFINEVLILTQVIHRNVVKLLGCCLEEEVPVLVYEFISNNTLFYHIHHKSGGMSWLSWENRLRVASEAASALAYLHSQATMPIIHRDVKSANILLDENYTTKISDFGASRLVPLDHDQVTTLVQGTLGYLDPEYFHTSQLTDKSDVYSFGMVLAELFTGKKPLCVSRINEEKNLATYFIKSMKENRFFQIVEPRVLSEGTLDQLQAVAELIKRCLDLLGENRPTMREVAMELEGLRKFTTHPWVKQQTPEESRSLIIEGEQSDLYDVPLIPYSTNEWESYSGITEMAFQENEPR >cds-PLY88019.1 pep primary_assembly:Lsat_Salinas_v7:4:178528490:178530529:1 gene:gene-LSAT_4X105921 transcript:rna-gnl|WGS:NBSK|LSAT_4X105921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTKNLKYQKRIQQPFAKITKSAQTERWAVQRNRRSVPGFHLICTLLSSSFLAYPILRIPSPISTAVHQSVRFHKPSPFSMDASDTHSEDRSEASNSSESRTQLVPTALEVESLLSGICETSIAEFEMKVGGFRLYVMRDLGVEIPNSPPPPQPTSTVVDPTPGASEVNGSASSSSLAITKSSSGVQSLLDKATDEGLTIIHSPRVGYFKRSRTIKGKRAPPSCKEKQIVKEGQVVCYVEQLGGELPIESDVAGEVVKILCEDGAAVGYGDALIAILPSFPGIKKLH >cds-PLY97527.1 pep primary_assembly:Lsat_Salinas_v7:5:235773552:235773707:1 gene:gene-LSAT_5X114681 transcript:rna-gnl|WGS:NBSK|LSAT_5X114681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIWKCRDMKARKIGILKLNPCKFNISSDKYGFNKTRPRIKSLKIRKDMIIF >cds-PLY85479.1 pep primary_assembly:Lsat_Salinas_v7:3:42500649:42503411:-1 gene:gene-LSAT_3X32440 transcript:rna-gnl|WGS:NBSK|LSAT_3X32440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYTTCLFQNFCKPSKQLLSTEKSKVGGSHKKVNYRGHNGYNKLLPVEAPIAKPIVMLSKPNSAKDSTYAGDDYLKDLLANKMLLALRDEAYIDSTIGDGGADSESEDNNSITSHPLSLALLKDENDGLLYGNITFLFYFLLIDDVIISFIINKFLINDITATLDFSCNGINITCSK >cds-PLY70041.1 pep primary_assembly:Lsat_Salinas_v7:5:200998962:201001412:-1 gene:gene-LSAT_5X91560 transcript:rna-gnl|WGS:NBSK|LSAT_5X91560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFKNEEDDLWNSSLNPVLVLDEEQEEGFFLISLTRFSKWETSHDPDPFSGVEERLRWRRMHAIKSWKVFGFLYYKETPNQRQIFRKDDFMQAITMRQMLRASGDVV >cds-PLY85969.1 pep primary_assembly:Lsat_Salinas_v7:3:202053866:202055200:1 gene:gene-LSAT_3X120181 transcript:rna-gnl|WGS:NBSK|LSAT_3X120181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTSAFGILLAILSIMNKIKQKLQTFAKIRQLHTIISQETIRPSSPTPPHLKTHNLSLLDQFAPDMHTPFVLFYRNYKTVDTNILKQSLSQCLTQYYPFAGRIPTPFLPYINCNDEGVEFLEAFIDSPLDDFIHKNEQNETLDQLFPYGLSSTVRASCPKLLDVQLNHFAGGGAAVAVSMSHKLADGATFANFINHWATVTRCQPPVNPSFISSSTSNNIRLPKFIIKDLDKVKYATRIFVFPNSKLNELKKKVAATGKAPTNPSRVEVLTSLIFKHAVKAAAIKSDSLKPSNLSVATNMRNKFVENYSETAAGNLFTLAIAKMEEFGEIRLSEVISEVRKAKLGLEGMRDEQEVVEKLLNTFSTLQGDIYYSSSVCRVPFYEVDFGWGKPQEVLLRIPNVDENTIILMDTPSGDGITAHVHLPEEEMAILHKDKEFVNYLQDI >cds-PLY87223.1 pep primary_assembly:Lsat_Salinas_v7:4:309228591:309228848:-1 gene:gene-LSAT_4X154780 transcript:rna-gnl|WGS:NBSK|LSAT_4X154780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRIQSRLPVEEAARTCVLSKSWLHAWSTIPTLRLYVLNERKGKTKSMKLVDVENTLIRYLHENIPIQRFELLIDIENQESWVRT >cds-PLY75687.1 pep primary_assembly:Lsat_Salinas_v7:3:164743565:164745535:-1 gene:gene-LSAT_3X102000 transcript:rna-gnl|WGS:NBSK|LSAT_3X102000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRSYYMHLKHQHTIERKWIYPLAVGSIISLFLLFLATLSSPDGTPLLPLYRYYASAPASVFVEDKLRSLPVSTLPPPPRFAYLISGSKHDGAMLKRTLLALYHPINRYVIHLDAESSPEERLELHQFVKNHPVFMKFGNVVMITKANLVTYRGPTMVANTLHAAAILLKEGGHWDWFINLSASDYPLVTQDDLLHTFSYLPRDLNFLDHTSKLRWKESHRAKPVIVDPGLYMTKKSDVFWITQRRSVPTSFKLFTGSAWMVLSRPFIDFCIWGWDNLPRTTLMYYTNFISSPEGYFHTVVCNSQQFQNTTVNSDLHFISWDNPPKQHPHYLTVDHMQKMIDSNAPFARKFHQDDPVLDRIDSELLDRVGPDVIVPGGWCVGDRVNGSDPCLVVGDVMVLRPGLGAKRVGEFISSLLSRGRFRGKQCK >cds-PLY86832.1 pep primary_assembly:Lsat_Salinas_v7:1:16300789:16304396:-1 gene:gene-LSAT_1X13680 transcript:rna-gnl|WGS:NBSK|LSAT_1X13680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGIKKPHFHRPTKHNSVDPGFPGQHASNPFDSDDEIDKKSTPNPARRTSSEPSVLTQDSRAALFDDDDEVKGSGYKLSYASRNKYKNDFVDSGGIENQDVQELEQYAAYKAEETTKTVNSALKIAENIREDATKTMITLHQQGEQITRTHMTAADIEQDLSRGEKLLGSLGGIFSRTWKPKKGHAIKGPVITRDDPALRKGAHLEQREKLGLSTTQTKGQSHSRTPPSEPTNALQKVEYEKTKQDDALSDLSNILGELKEMAVDMGSEIERQNKALDPLQDDVDELNFRVKGANQRTRRLLGK >cds-PLY73011.1 pep primary_assembly:Lsat_Salinas_v7:9:37638112:37640712:1 gene:gene-LSAT_9X34941 transcript:rna-gnl|WGS:NBSK|LSAT_9X34941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIGNVDVVTTPNGNVGCPPKIGGASCVQSSSVPFNSTEATLGRHLARRLVQIGISDVFSVPGDFNLTLLDHLIAEPGLNLVGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPIICIVGGPNSNDYGTNRILHHTIGSPDFSQELRCFQTVTCYQAVVNNLEDAHELIDTAISTALKESKPVYISVGCNLPAIPHPTFSREPVPFSLSRKMSNKMGLEAAVEAASMFLNKAVKPVMVGGPKLRVANACQAFVEMADASGYALAVTPSGKGLVPEHHPHFIGTYWGAVSTAFCAEIVESADAYVFAGPIFNDYSSVGYSLLLKKEKALIIQPDRVTIGNGPTFGCILMKDFLQALSKKLKKNTTAYENYHRIFIPEGHPLQCAPKEPLRVNVLFEHIQKMLSSDTAVIAETGDSWFNCQKLKLPQGCGYEFQMQYGSIGWSVGATLGYAQAATDKRVIACIGDGSFQVTAQDVSTMLRCGQKNIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGQGNCWTTKVFCEEDLIKAIEIATVEKKDCLCFIEVMAHKDDTSKELLEWGSRVSAANSRPPNPQ >cds-PLY63786.1 pep primary_assembly:Lsat_Salinas_v7:6:25509887:25510796:-1 gene:gene-LSAT_6X19600 transcript:rna-gnl|WGS:NBSK|LSAT_6X19600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPRARTNFPYNPSLSQSSSSKLLSATLTAKLHRCYMASLQMSKPSIQQQQQKMMNQKQMGSTHIGESSPETKPTMVMQHQQPAMEHNSVLQFHSQHHQHQQQQFFATLEDHHIEQMIEELLHYGSIELCSIGQSQK >cds-PLY75946.1 pep primary_assembly:Lsat_Salinas_v7:5:247697481:247701325:-1 gene:gene-LSAT_5X123420 transcript:rna-gnl|WGS:NBSK|LSAT_5X123420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS1 [Source:Projected from Arabidopsis thaliana (AT2G32950) UniProtKB/TrEMBL;Acc:A0A178VXE2] MEEGSMGALVPAVKSEVNTGSSHALQSGSGDMYTVEGGRGSETTDREFLCPICMQIIKDAFLTSCGHSFCYMCIITHLDNKNDCPSCASSLTTNQLFPNFLLNKLLKKTSASQASKTASPLEQFRQALLQGYEVSVKELDTLLSLLSEKKRKLEQEEAEKNMQNLVEFLQCLRKKKIDELSEVQNDVKYIKEDISLVERHRIELYRARDRYSVKMPIHGELTGSKSWSSSSINRDNLLVPSGKSQGIQIKEASSGLSSQHTTSQSSLAVTRKKRVQSQFNDLQQCYLQKRRQVANPLQNLDKRDADSSQREGYSSGLSDFQSVLSTFTQYSRLRVIAELRHGEHFHSANIVSSIEFDRDDELFATAGVSRRIKIFDFSTIVNEPPEVHCPVVEMPTRSKLSCLSWNKHTKNHIASSDYEGIVTIWDVNTRQSVMEYEEHEKRVWSVDFSKMDSSMLVSGSDDCKVKIWCTKQESSAINIEMKANICCVKYNPGSSNHIAVGSADHHIHYYDLRNTSQPLHIFRGHQKTVSYVKFLSNAELASASTDSTLRLWDVTRNIPVRTLRGHTNEKNFVGLSVNHDFLACGSETNEVYAYHKAISKPLTWHRFGAPNVEDSDEDGGSYFISAVCWKNDSPTMLAANSLGTIKVLVLAP >cds-PLY91667.1 pep primary_assembly:Lsat_Salinas_v7:8:13045341:13046603:-1 gene:gene-LSAT_8X10381 transcript:rna-gnl|WGS:NBSK|LSAT_8X10381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAYTYVSELWRKKQSDVMRFMQRVRCWEYRQLPSIVRVTHPTRPDKARRMGYKAKQGYVIYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLNVLNSYWLNEDSTYKYFEVILVDPAHAAIRNDPRINWICNPVHKHRELRGLTSAGKKYRGLRGKGHLNHKARPSRRATWKRNNTLSLRRYR >cds-PLY96547.1 pep primary_assembly:Lsat_Salinas_v7:5:337283795:337284103:-1 gene:gene-LSAT_5X189141 transcript:rna-gnl|WGS:NBSK|LSAT_5X189141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMVRALVKERPVVIFSKSSCCMSYTIKTLISSFGANPTVYEMDEHPQGKQIEKELRGLGCKPSVPAVFIGEELIGGANEIMSLHLKGQLVPLLLNANAIWL >cds-PLY69326.1 pep primary_assembly:Lsat_Salinas_v7:7:80696429:80699456:1 gene:gene-LSAT_7X56801 transcript:rna-gnl|WGS:NBSK|LSAT_7X56801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMRKYYHLLALRRSKGLLISNSKWIHQTSPVESHDFRYHPKTPSPPTPSPPSSYSHLYRNTYSQNANAMVSRNLLHATHSNLTAKHYHTQLSKLHTSELRYHEDADLQDYQVTVKDREVISAAVTLESKHWLPLSNLDLLLPPIQAGLFFCYKKKNNTDMSSETVVKTIKSSLAGVLSTFYPLAGEIVQNSQGEPEVLCNNYGVEFVHAHADIDLKDLDFAHPDESVKGKLVPKINRGVVAIQATELNCGSIIISGAFHHQVADAYSINMFLVAWAQYARLETISSIPSFRPSVLNPRRPPCYTTAIDNLYIPASSLPPPSSFEEPLLGRMYYIHAESIQQLQQEASTKETKISKLLSFTSFVWKLLAHGGDKATDTTSRMGIVVNGRRFLAESDEKNTSLLENHFGNVLSIPYGMATNDDLKAMPLHEVAEGVHKFVSKATNEEHFRELIDWVEMHRPNPAVARIYFGLKKSEGEAVVVSAGQGLPIKDMDFGWGKPDFGSYHFPWGSRTGYITTMPSAKKNGDWVVYMHLKEKDFNLIDHMAPHVFTPLTYSHFSS >cds-PLY89004.1 pep primary_assembly:Lsat_Salinas_v7:3:73498790:73501169:1 gene:gene-LSAT_3X61840 transcript:rna-gnl|WGS:NBSK|LSAT_3X61840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSICPESFSCPNPNFASFKYPFYNNATDDKQCGLIQVNCTLNGGIIQLGRDSYEIIGKYVSESVVSIRNLTFERLVNNSSCGALMDNFTSPSPLLYSISIVSFITLYKCTNNTNYTAQTDAYFGGSNYHRYNTCKNHKFYYKYSISDTTVPSDLPPTCQVIRLPVKLVRGPEDNKGINETDIFSFLSPRFSIYFHLSPSCHKCHQEGGQCNTLREHVLCLDAKKVLAGSAFILMLFLVIFIIWCLYKRNPISYCTSKNKSPIIEDQVFFFGVSVFSYKDLEDATRNFDPALELGNGGFGVVYYGENFTPGKLQDGREVAVKRLYEHNYKRLQQFMNEVQILTRLRHPNLVVLYGCTSRQSHELLLVYEYISNGTLADHIHGEQANSSLLAWPLRMNIAIETARSLVYLHASEIIHRDVKTSNILLDHNFSAKVADFGLSRLLPNDVTHVSTAPQGTPGYLDPQYHHHYQLTEKSDVYSFGVVLIELISSMVAVDLSRTQDDISLVNLALNRIQRCALDQLIDPVLGSDSDPEVMRMVTSVAELAFRCLQFYSEMRPTMNEVLDVLVDIQSQGRIDVDDSIRELEEVKTPPLSENNDKTVVLKDFLPSPVSITGEWHSNSTVSTTLNVR >cds-PLY86160.1 pep primary_assembly:Lsat_Salinas_v7:6:156480894:156481504:-1 gene:gene-LSAT_6X94401 transcript:rna-gnl|WGS:NBSK|LSAT_6X94401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVANIMLVMMVVLVISELNPATGAGPSATECREERRLAINACKSLLHGGLPSPECCQRARVSHAECICPDVTPALLAIIGNVNRAIRLIESCHRRVPHHFKCGCVTTP >cds-PLY95302.1 pep primary_assembly:Lsat_Salinas_v7:4:299000290:299006707:1 gene:gene-LSAT_4X151720 transcript:rna-gnl|WGS:NBSK|LSAT_4X151720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METNSVSKWALLFFVVIQLGAQGIQCSVTYDSKAIVINGQRRILISGSIHYPRSTPEMWEDLIMKAKEGGLDVIETYVFWNVHEPTPGNYNFEGRNDLVRFLKTVQKAGLYAHLRIGPYVCAEWNFGGLPVWLKYVPGISFRTDNEPFKRAMKGFTEKIVNMMKSEKLFETEGGPIILSQIENEYGSIGKALGSAGHNYMTWAANLAVGLGTGVPWVMCKQDDAPDPVINTCNGFYCDAFTPNKPYKPTIWTETWSGWFTEFGGPIHERPVQDLAFGVARFIQKGGSFFNYYMYHGGTNFGRSAGGPFITTSYDYDAPLDEYGLIRQPKYGHLKELHKAIKQCEPALVSSDPTVTSLGSLQQAHVFSSKSGHCAAFLANYNPNNAEKVMFNNMHYTLPPWSISILPDCKNVVFNTAKVGVQSTQMEMLPTNSELFSWETYNEDLTMVDESSTFTTSGLLEQINVTRDTSDYLWYTTNVEVGSSESFLRGGDHPKLLVQSTGHALHVFINGELSGSAFGTRENRRITYKENVNLRPGNNKISLLSVAMGLPNIGGHYETWETGVMGPVALYGLDQGKQDLSWAKWTYQVGLKGEAMDVISTNSFSSVEWMQGSLIAQKQQPLTWHKASFKAPTGDEPLALDMSSMGKGQVWINGQSIGRYWTAYATGDCHGCHYAGTYRPPKCQLGCGQPTQRWYHVPRSWLKPTDNSLVLFEELGGDPTRISLVKRSTTTICGDMFEYHPNIKDWHIESYGKTQELHRPKVHLHCGPGLTISAIKFASFGTPMGTCGTFQQGTCHAPASYDVIEKKCIGKEQCAVTIANSNFGEDPCPNKLKRLTVEAVCAPNSRG >cds-PLY71808.1 pep primary_assembly:Lsat_Salinas_v7:3:58560722:58562830:-1 gene:gene-LSAT_3X45801 transcript:rna-gnl|WGS:NBSK|LSAT_3X45801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTQIYLLILLLLSINAIEGSEFTINEATIQEIRTAFKQNKLTSKVLVELYIQEIRKLNPIYRAVIEVNPDALHQAQKADEERKANDPKSRSGLHGIPILVKDNIATKDKLNTTAGSYALLKSVVPRDAGVVKKLRESGAIILGKASLSEWAFFRSSTAPSGWNARTKQAINPYVATHDPCGSSTGSAIAVATSMVTVSLGTETDGSILCPSCANSVVGIKPTLGLTSRAGVIPISPRQDTVGPICRTVTDAVYVLDAIVGYDKNDEVETRKASKYIPHGGYLKHLKSGGLKGKRLGIVRDYPNFGFGNDTEILNKFKKHFMILRQSGATLIDNLEVTNYNDIIPMFLGEYIALFAEFKISLNAYLKELVDSPVRSLADVITFNKKFANVEKLKDYPQDIFLEAEKTNGIGELEKEALRNLTRASKLGFEKLMKENKLDALVTPYSYGSTVLAIGGYPGISVPGGYDKNGAPYGICFGGLKGSEPTLIEIAYGFEQASKLRKPPPIKNQL >cds-PLY98757.1 pep primary_assembly:Lsat_Salinas_v7:1:8805399:8806771:-1 gene:gene-LSAT_1X7301 transcript:rna-gnl|WGS:NBSK|LSAT_1X7301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQYKGSDVDKYRCFMSGEGEKNTKWKLGVPPNYDAINELFEEGRTKIWPAGSLGEQVQNLVKTWEMELVHKPNSQDHKSVDVTKLTISVNGRKPLKMEDVGKIGGGYNMFLQTSLPEDLRLYNPSDETGDTSHDIFTNTFTRGFALEVLEVYSGPPVIAYKFRHWGYMEGPFKGQPPTGEIVEMIGVSTFELDEQFKIVKIQFFYDRGEFLAGFIKGGSSVTTTQDSTIDVGSSRCPFS >cds-PLY84356.1 pep primary_assembly:Lsat_Salinas_v7:4:215666137:215669540:1 gene:gene-LSAT_4X121781 transcript:rna-gnl|WGS:NBSK|LSAT_4X121781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:JAC1 [Source:Projected from Arabidopsis thaliana (AT1G75100) UniProtKB/TrEMBL;Acc:A0A178WHU1] MERVGRSEHVSMRFGHSQRSFENGASSSDMAHVKNHDNDDDNDVDFDDVFGGPPTRTRYSFGGGRIKSSEESTSSPSGLGERPVFGESYSSPRRPTSDDFYNDIFRSSSESVRSPRWSSPTPGSRSLSPTHPKIEPFANSIPTEFSLPPRMNKAVDIPVFGSNPHSSTTILSRFSSPTPKGRDVFGNNQEQQSTEKETGHKSNPRTARTGSPSNEFHFSIHKWANVGVPLLMSLRGSKVTAISMDTMQDSSFQNDKLSTEKEDINPPSATLMESIEKETSIMKQQVNETKEQGYEEAASIDVEKKETMSGVIGKKKVEVKKMNKSVIGSPKNRVKGMVKDFFKISNQEKSPPKTKTNVVHGSLSSRWKTTAKNRTHEEVSGNQENVEMAKNASNKTFDSEIPEDIMKMVPDDDDDNDDDDDASTTIPLDNKAPKENIKIKIIPDASSTTKNEKIVPDVSRTTSLNSKMPSENVKMAPDASFMVGEKIKKSNTNKPKFPQNRPIRKLEDIYFQKEASPAFESVPHVSKVNIENTDDPSLDNFHVEELPPVEAELLKTQEESLDTKGLDSKIHLWSSGRKGNIRSLLSTLQLVLWADSGWKPVALVDIIEANAVKKAYNRAMLCLHPDKLQQKGADSNKKYMAEKVFDILQVNYENTLVRIHL >cds-PLY94347.1 pep primary_assembly:Lsat_Salinas_v7:7:165343759:165346311:-1 gene:gene-LSAT_7X97420 transcript:rna-gnl|WGS:NBSK|LSAT_7X97420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGLASSRVRFDFHSLLNSSYTQNPSSRSNFHKLISFPSAPIDGRRTTNSCVRSRSMNQLKSGTLVGSSVVDPSSESILDELKSKEWEIGALKDELAATQGIRIRRRPPTNPPLHYVGPFEFHLQNEGITPRNILEEIVWNKDVEVTQMKEKHTIYSLKKALESVHPPRDFIGALKASYQRTGFPGLIAEVKKASPSRGVLREDFDPVEVAKAYEKGGAACLSVLTDSKYFQGSFENLEAIRNAGVQCPLLCKEFIVDAWQLYYARTKGADAVLLIAAVLPDLDIKYMIQICKMIGLTALVEVHDEIEMDRMLAIDGIELIGINNRNLETFKVDISNTKRLLEGERGEKIRQKDIIVVGESGLFTPADIAYVQEAGVKAILVGESIVKQKDPTQGIRQLFGKDISHP >cds-PLY89722.1 pep primary_assembly:Lsat_Salinas_v7:7:42216610:42224865:1 gene:gene-LSAT_7X29520 transcript:rna-gnl|WGS:NBSK|LSAT_7X29520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENNYLGDLADIFRPGSVNSGDPPGVSDWQYFDQSDQEPQMIQKSTRIHDQAGFGQPFTSLLADPLVHEGTSIPCFFGNSLDEIEQPTPATRTHLGFDDHKIKDNHNHNHNHNIFSKMLQISPNAAKSTFSSNNDIITSSNSSSAAAAASSKRCLLETSSTTLQISSPRNPGIKRRKSQAKKVVCIPAPAPANSRPTGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRTPQPPKSTTTTEQPPQIETTEDEGSTLVGVRLEVKDELQVLEGSFPAQGYRPELPDQGGGGGGQPDDFFSGLGEIEVDPISMLLNQGFLGGEEEAENYNKDLDPFG >cds-PLY66548.1 pep primary_assembly:Lsat_Salinas_v7:4:339373604:339374143:1 gene:gene-LSAT_4X167580 transcript:rna-gnl|WGS:NBSK|LSAT_4X167580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDGRALKSMKKQELRHKKESVSLPVGEKNVVNLPHGVGQSQEYRLSNPESINDFRPTTPGNSPGAGHSLVPGHSIPESSSGSSDDFRPTGPGHSPGGGHSKEEHIATPNA >cds-PLY81461.1 pep primary_assembly:Lsat_Salinas_v7:5:334064402:334065409:1 gene:gene-LSAT_5X184380 transcript:rna-gnl|WGS:NBSK|LSAT_5X184380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFLMAKTPEQRAAVDLDTHYTQEYLEQHIGVKTRRQVLYQGYVEGISATGMQSNHGFDGQELGSKGWLKVLLQLKKSHLCLKKMGKLRCGGLMAMLKPQYPKKILHQGNIAARLATTMFNSLKGRPVQGRVFQGKEPPQFVSIFQPMVVLKGGLSSGYNNSIADKGLNDETYTLDGVALIQIFGTSPHNNKAVQVDAV >cds-PLY93540.1 pep primary_assembly:Lsat_Salinas_v7:2:175404502:175405028:-1 gene:gene-LSAT_2X99521 transcript:rna-gnl|WGS:NBSK|LSAT_2X99521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLKYVTANIVDRNNGRIVATASTVEQSIKTSLECGRTCNAKAATVVGEVLAMRLKVEGLESGQGRGIHVDVNKELEKKDFKNRTKVWAVINSLKNNGVKLILDETNDTSKPSY >cds-PLY69410.1 pep primary_assembly:Lsat_Salinas_v7:5:301089633:301091203:-1 gene:gene-LSAT_5X162320 transcript:rna-gnl|WGS:NBSK|LSAT_5X162320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNDQTLSSSHDQEQGDDDENIREIHALTSPLPPPPYRPHREPWETTSHRSSSLSVTSTESENFTTMSREFNALVLAGTAINGSEISEGVNSMNTNNGSSNLERIGEEDMRETNPLAIVPDNNPMASPTRPQGGTSSMITAGGNHSEVSVQRVKKDEVESKISAWQNAKISKINNRFKRDDAIINGWENEQVQKSSLWMKKVERKLEEKRAKAMEKMQNDIAKARRKAEERRATAEAKRGTKVARILEVANLMRAVGRAPAKHSFF >cds-PLY80668.1 pep primary_assembly:Lsat_Salinas_v7:5:246106913:246111699:-1 gene:gene-LSAT_5X121960 transcript:rna-gnl|WGS:NBSK|LSAT_5X121960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRAVNHSAEEDSSQSRSKRKRTTSNLENLEAATSGQGMSEGKKALYHCNYCNKDISGKIRIKCACCSDFDLCVECFSVGAEVHPHKSNHSYRVMDNLSFPLFCSDWNADEEILLLEGIEMYGLANWNEVAEHVGTKSKSHCIEHYNTIYMNSPCFPLPDMSHVMGKNREELLAMARGHGEAIKGLPTGGELLVKEESPFSARIKVEGSSTVNPSTGAGKRTSSIVQSDKGGDGIKIEDSHADRSFGEKKLRTSVEEVPSITELTGYNFKRQEFEVEYDNDAEQLLADMEFKETDTEAERELKLRVLRIYSKRLDERKRRKDFILERNLLYADPFEQGLSPEEKEICRRYRVFMRFHTKEEHQELLKTVIEEHRIRRRIEDLQEARAAGCRTSADAERYIEQKRKRESEENARRINNNSNNNNINNINNINNNNSNSNIQPGPSGKFLQRANHLKSDLDGSPHGGKDSSSTGGGLAIASLDDWDVTGHLGADLLNEAEKRLCTEIRVLPVHYLSMLEKLSIEILNGHISQKSDAHRLFNVDPTKVDRVYDMLLKKGIGQP >cds-PLY89600.1 pep primary_assembly:Lsat_Salinas_v7:9:39633412:39634328:1 gene:gene-LSAT_9X35501 transcript:rna-gnl|WGS:NBSK|LSAT_9X35501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANASDEFRLTSSGIDHEGRLPRKYTSEGQGTQKDISPPLEWYNIPSGTKTLALVAEDIDAPDPNGPLVPWTLWVVINIPPTLKGLPEGFSGKEEEKGGDFANIKEGNNDFKLPGWRSPKLPSFGHRFEFKLYALDDEIDLGNKVTKEKLVEAIDGHVVGEAVLTAIH >cds-PLY71646.1 pep primary_assembly:Lsat_Salinas_v7:9:135356528:135358123:-1 gene:gene-LSAT_9X87401 transcript:rna-gnl|WGS:NBSK|LSAT_9X87401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFRCNILALVGGGPDPQYPLNKVMIWDDHQSRCIGELSFRSEVKSVRLRRDRIVVILAQKIYVYNFADLKLLQQIDTFTNPKGLCEVSHLAGSMVLVCPGLRKGEIRVEHYSSKQTKFINAHDSRIKCLALTHDGRLLATASRKGTLVRIFNTLDGLLLQEVRRGSDKADIYSLAFSPTAERLAVSSDRGTVHVFNLKVDYGQSAIESSQTPSEHINTTPSVVSHLSFMKVVLPKYFSSEWSVARIHLNEGLQYVVGFGHQKNTVVILGMDGSFYRCEFNPVGGGEMSVVETHNFLKPDETFS >cds-PLY65323.1 pep primary_assembly:Lsat_Salinas_v7:4:251559385:251563321:1 gene:gene-LSAT_4X131640 transcript:rna-gnl|WGS:NBSK|LSAT_4X131640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKEIGDRQSGKLRPNSFISRIRSNRASSLQLSNHKEIVSPHRGAINSLQVDLTEGRYLLSGASDASLSVYDIQHTTDYEGGGLIAKHKPILHVDKQHQNGHKYAISTAIWYPIDTGLFVTGSFDHHINVWDTNTSQVVMDFKMPGKVYRTAMSSLATSHMLIAAATEDVQVRLCDMASGAFSHTLSGHRDGVMAVEWSACSEWVLMTGGCDGAIRFWDIRRAGCFSVLDQSHSQLGRRPPLLERSTTKVTTSRSFSPGQTSSSKTRPPQKKATSKHSGGSRILRQGKQKQRLHPGLLSSQDRATAHYGAVMGLKATEDGMYLLSAGSDSRLRLWDIESGCNTLVHFEITRLQTTKPIQLAVTQDSALVFVPCMTSLKAFDLWSGKTSMTLQGHYEHVNCCCYNAYDQELFTGGNDRHILIWSPPKLISQDVDEWDGRTGKPPALDEDNWSD >cds-PLY85624.1 pep primary_assembly:Lsat_Salinas_v7:5:1872761:1873156:1 gene:gene-LSAT_5X900 transcript:rna-gnl|WGS:NBSK|LSAT_5X900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAPIVDYGKARSNILLFNRWTYDDVQTATASKHPIYMPHTTGRYQARRFRKAQCPIM >cds-PLY85993.1 pep primary_assembly:Lsat_Salinas_v7:3:132294059:132295136:-1 gene:gene-LSAT_3X90220 transcript:rna-gnl|WGS:NBSK|LSAT_3X90220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNTVNNGSTKLILLHPYVQKQASSTRLYWLIAAVSIFAVASLLTFIYTRETFTTTTSTIAAATSITTAASTLNHHILPKSVTKALIHYAANTNSSDHMSNTDIKQISDVLRQCQSPCNFLVFGLTPETLLWNALNHHGRTVFIDENRYYAAYIEEKHPEIEAYDVQYTTKISELNDLITSVREQVRNECRPVQNLLFSDCKIGLNDLPNQLYELDWDVILVDGPRGYWPEGPGRMSAIFTAGVLARSKKGGNRKTHVFVHDYKRKVERVSSEEFLCKENLVKSSKDLLAHYMVERVTEESNSRHFCRNHSVRAR >cds-PLY64149.1 pep primary_assembly:Lsat_Salinas_v7:1:624293:625710:1 gene:gene-LSAT_1X440 transcript:rna-gnl|WGS:NBSK|LSAT_1X440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEFYKCRSSSVEWKPSPIVALATSVDESQVAAAREDGSLEIWLVSPGAVGWHCQLTIHGDPNLRVSSLVWCSSGSCGLAAGRLLSSSIDGSISEWDLDNLTQKVVVDPIGVSIWQMATHPMCNNTKQDLGYLENGHAAIGDGDGDVTSDSEVEGDSVELYEEPITGNACIAIACDDGCVRLYDISDSDEVTYHKSLPRVSGRVLSVTWSPDARRIYSGSSDGFIRCWDAKSSHEVYRITVGLGGLGGGSELCIWSLLALR >cds-PLY72807.1 pep primary_assembly:Lsat_Salinas_v7:6:22444067:22445360:1 gene:gene-LSAT_6X17480 transcript:rna-gnl|WGS:NBSK|LSAT_6X17480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEEENKVRPFDVVHTESVALPHYKARDIPNLAVSWHGIALESVSSSIYQDLIRNPKEPMSPSFNESLQVMIPKVLNEIRFFRNYRHHIAISDSCGEMLRDVYQIPSKRVHVIVNGVNEDDFREDPELGKKFRSKIGVPKNASLLLGVAGRLVKDKGHPLLYAAFAKLMKKYTNVYLVVAGAGPWQQRYMELGPQVKVLGSMSPTELRGFYNGIDIFVNPTLRPQGLDLTLMEAMMAGKPVMASRFPSIKGTILVDDEFGFMFSPNVESLVEALEVVVAEGPGRLVQRGKACQRYATSMFTARKMALAYERLFLCIKNETFCIYP >cds-PLY68319.1 pep primary_assembly:Lsat_Salinas_v7:7:97595017:97597037:-1 gene:gene-LSAT_7X65181 transcript:rna-gnl|WGS:NBSK|LSAT_7X65181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTVSITANPSAAMRRPLFTGEKKSGLDLGGSDGIDAPPLSGGETSRGKDLSHSIRAGAETVLERSRDAVQTKNSLPTNSTTKPRKRTTDKKGGAPSTTKAPWKRVVSVIMKNFALILILLLLAQMIRRLAFNQGSGFDSILIPSSDYERRIAEVEAFLKTTTKMMQVQVEVVDRKIENEIAGLKTELSKRIDDKGAEISSRVNDFDGRLKTMENSLTTNWLTKDEFNRFLEEFKGKKGVDDISDLKLDEIRAFAKEIVEKEIEKHAADGLGRIDYAVASGGAMVLKHSEPFIRSSKLSRWIAGNVHSGAVKILQPSFGQPGECFPLKGDTGFVDIKLRTAVIPEAITLEHVSKSVAFDRSSAPKDCKVLGWLGSEELTEKMNLLTEFTYDLEKSNAQTFNLVESKTAVDTIRLEFMSNHGSPSHTCIYRVRVHGYEPNLA >cds-PLY82313.1 pep primary_assembly:Lsat_Salinas_v7:1:69150715:69151263:1 gene:gene-LSAT_1X60681 transcript:rna-gnl|WGS:NBSK|LSAT_1X60681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQLTYLGLGENHFTGSVPSLASLLKLDLLELNGNRFQKGRFPNWLGKLTKLSELYLSDMNINGEIPLFLSNLTKLSEVGMPRNSLAGGIPTWLFNLTQLTYLNLQINQLQGPIPNTFSNFKNLEYLNLGGNNFSGRVELDMFLGLNKLQALALGYNMISLVVTNNYTNTTLPEFHKLGLSS >cds-PLY81945.1 pep primary_assembly:Lsat_Salinas_v7:4:285151535:285152864:-1 gene:gene-LSAT_4X145220 transcript:rna-gnl|WGS:NBSK|LSAT_4X145220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRLQARWYIDAYSKGKDANMLLLELATLDYNMVQSEFKKELQEVSKWWKNIGLASKLSFVRDRLMECFFWSVGVVFEPQYNSCRVELTKVCTLITVIDDIYDVYGSLDELVLFTDAVKRLCNDLGTSSEMIKARVASSQESTDPFIDMAINLARISHCVYQYGDGHGAPVARAKERVLSVIIEPIPIKDN >cds-PLY66546.1 pep primary_assembly:Lsat_Salinas_v7:4:340072420:340075402:1 gene:gene-LSAT_4X167461 transcript:rna-gnl|WGS:NBSK|LSAT_4X167461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAFSNLMTLDLTGVDRGPGFRDPYGVCLKSRKRDIGPYKDLFAIESGSIDFKKKKNASLLIRRLKLLLEKLASVNLEGLVTHQQKLAFWINVYNICMMNAYLEHGMPESPEMMPTLMQKATINTGGYLLNAVTIEHFILRLPYRFKLSYPKSPKKDETEIRDKFGLEWSEPLVTFALCSGTWSSPAVRVYTASQVENELSTSKREYLQASFGITKTNKLIIPKMLDWYLLDFAKDLEALMDWVCLQLPSELRKQALMCLEKRGRGIEPLANKVQVMDYDYKFRYLIQR >cds-PLY80478.1 pep primary_assembly:Lsat_Salinas_v7:2:137253888:137254800:-1 gene:gene-LSAT_2X66880 transcript:rna-gnl|WGS:NBSK|LSAT_2X66880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFTYEIREGRRKWVLSAAGSLDAARDCDLDCDEDTNSSVFPLRVVMRGIYGIVLPVGGELGCLQKGALCSDTSIGQGGTITWKVLQYTLAYSIVHKLRK >cds-PLY72519.1 pep primary_assembly:Lsat_Salinas_v7:2:138821712:138822818:-1 gene:gene-LSAT_2X66300 transcript:rna-gnl|WGS:NBSK|LSAT_2X66300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTPVKVAVNSDQSNFVKGSSFVKGNSLICNVQSTGRNNKKTKVVDGCDSPSVLFLEYKGNTSLDSPVVLTLGFLNMSDEIVERSMRKKRDFWKDDIPSFDLRITQLNEEDKNMDDKEGSKAIIRYTKKSIAEDKLKKRTGKDLMESEMVHVVDFKNGKGKRKGKLGQLVCSPYVDRITDVDEAVKDDENVVAQSIIAWGKDKGEIIWETLKVMECIWKLHTYYMVNEVVDEELRYNKFRIMFVAVINDIANKPDLKTVDLVWNCYIL >cds-PLY98832.1 pep primary_assembly:Lsat_Salinas_v7:7:22711452:22711613:-1 gene:gene-LSAT_7X18041 transcript:rna-gnl|WGS:NBSK|LSAT_7X18041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVGFGLDSSKIYALMQCSPDLSSFNCNSCLYGISRLMRWRNGCRCIIFELLR >cds-PLY98581.1 pep primary_assembly:Lsat_Salinas_v7:1:39635930:39638993:-1 gene:gene-LSAT_1X34101 transcript:rna-gnl|WGS:NBSK|LSAT_1X34101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGASLAGLQDHLKLAREYALEGLYDTSVIFFDGAIAQINKHLSSLDDPLVRSKWMNVKKALSEETEVVKQLDSEKRSFKETSMGRRASSPPISTNKSSFVFEPLDEYPTSSGAPMDDHDPDVWRPPSRDTSNRRSAKVGVGGARKSPQDGAWARGSTSTTRGGATARGGKAGASSRVNSGVRASTTGKKGSGSGKPNSSKDDSMNGDGEEHTSKRGQYEGPDHDLAAMLERDVLENTPGVRFEDVAGLNEAKRLLEEAVVLPLLMPDYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCTSRGASGEHESSRRVKSELLVQVDGVNNAGTNEDGSRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKELIKINLKTVEVAADVDIADVARRTEGYSGDDLTNVCRDASLNGMRRKIAGKTREEIKNMSKDDMAKDPIAMCDFEEAITKVQPSVSAADIERHEKWFSEFGSA >cds-PLY65831.1 pep primary_assembly:Lsat_Salinas_v7:5:136406664:136412365:-1 gene:gene-LSAT_5X60400 transcript:rna-gnl|WGS:NBSK|LSAT_5X60400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEDVEVWVEENMLVLEAEKVKKDNNGESNGEVCAYKEGKVIIDTAAGVMGKDDPRSVQPDTLFPVFSATKGVTAGMMHWLADKGKMKLDENVANFWPEFGTNGKNHIKVHHILNHTSGLHNALSDIYQEDPMLLCDWDACIKRIAKVAPETEPGREQIYHFLSYGWLCGGIIEHASGKKFQDILEEAFVRPLNVEGEFYIGIPPGVESRMATLTLDITEFTRNAVATAATMKQPYGAMPSSLSPEILASFIPLSNTLNVRRAILPAANGHFSARALARYYAALVDGGTVPPPHSSSVPLLGSHPHHPTFPSNNLKDSNSGTHNQNSNPKIFDNPKSKIHDAFLGSGDYKDLISSNGKFGLGFKRVTETEGSVFGFGHAGFGGSTGYCDINNRFAISVTLNQLSGGVLTGEIIRFICSELDLPVPEYYTVSQEFIDKLVIN >cds-PLY63127.1 pep primary_assembly:Lsat_Salinas_v7:4:66536945:66537409:1 gene:gene-LSAT_4X43801 transcript:rna-gnl|WGS:NBSK|LSAT_4X43801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRVVAENEVDSMEAFYQRGQVVASQSLGELLKFVGDIRKEANGDETQAHRVLEMSETRNEPRSLPFVLKFNNLTYSVKVRRKMAVPSLFDRRERLGDSSIGNEHLFSRSKVLSNDISDEARDGELVAVLGVIGSGKSTWRRTMSEEVEEYKET >cds-PLY94524.1 pep primary_assembly:Lsat_Salinas_v7:2:157895947:157896582:1 gene:gene-LSAT_2X80281 transcript:rna-gnl|WGS:NBSK|LSAT_2X80281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPHHHSPLEDQSFTSIADHLAQLVAITIANNNRLDAIVTKLTETTEILNTTFIKSTNMGTITSQSMPSSLPTPSPTSPSQPWMLTTPTSPPSTLPTPPPSPIQLKIQPSSPPSPKEPLPQTPLTTTYEHIRHLKLFFSLFHQRFPTLFTLNVFLQDLDDKVIFKGSGIDMVLVWYLRPLPWPDPLVTQLSDSFPDLRYTCAWNSSLPTFQ >cds-PLY80355.1 pep primary_assembly:Lsat_Salinas_v7:7:154651545:154657320:1 gene:gene-LSAT_7X91680 transcript:rna-gnl|WGS:NBSK|LSAT_7X91680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKNKNRMKHEAGGSQHSPATVFVANLPYTFSNPQASFSLEETFSDVGPIRRCFMVTLKGSTVHRGFGYVQFAVTEDATRAIELKNGASVGGRTIGVKHATHRAPLEQRRSKGTEVLPSDDTIKGKDHEDDVTNEVIKTKDGKDASVVNSDDVIKKKDDKDDLSAPIVEHKQAPDSQEKGKIKKPKKKSVIKNDDLSVPVPEHKQAPDSEEKGKIKKSKKKAVVINNDDKDDLSAPVVEHKQAPDSEEKGKIKKPKSKAVIPLKTERVEGISSEKQRVARTVVIGGLLNADMAEEVHNIAKECGSVSSITYPLPKDETTHHGLFQDGCRLGSSSIVYTSVKSARACVAKLHQKNLSGATVWARQLGGEGSKVQKWKLIIRNLPFKADVSEIKEMFSAAGFVWDVYIPKKPDSGLSKGFAFVKFTCKQDAENAIQKFNGKNLGKRPIAVDWAVPKNIYTAGIQDTLKDEQKESDDEDSSTDLEDNDKETDKNTKHANVPEASNSDSEEVNFDEEADVTQKVLNNFLSSSNEPMDPVIDDHISPQKKQKDEANNKTPTTPKNIPIVSKPENSTKFEKKIKTPEEEEEDLQKTLFISNLPFDVTNEEVKQRFAGFGEVQSFVPVLHPITKRPRGTGFLKFKTVEATDSAFSASTVADLGIILKGRQLKVLKALNKKAAHDKEVEKTKKEEHDHRNLYLAKEGLIVEGTPAAEGVSDSDMSKRRSLEQKKATKLQSPNFHVSRTRLIMYNVPKSMNDKQLKRLCIDAVTSRATKQKPTIRQIKLLKDSNKGKEVSKNHSRGVAFIEFTEHEHALVALRVLNNNPETFTREHRPIVEFALDNIQTLRQRNEKIESQQQGFGNNTENKVKNTDFQKPPFDRSNHNLNKRKPQTTMSKVETEKPAKKQKEHMEVQQEGKKPKSGGAAFERPPPVADVHRKKRLRDQKEAAVGEDLGSKRRKNKDPLGQDTTDKLDMLIEQYRSKFSGNRTTKSGGETDGPRRLGRWFQS >cds-PLY95520.1 pep primary_assembly:Lsat_Salinas_v7:8:115550175:115552284:1 gene:gene-LSAT_8X80280 transcript:rna-gnl|WGS:NBSK|LSAT_8X80280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METSKVQEHEHPLKLIDLQLQLQYEEEEEEDDDDDDEGGHSIAKDRFHGVTCWRCGEEIHIYHRYYYKCSSSCNNFSLHKFCAELPSRLEHPSHPHHTLILVPYSSSYMYYYFKCKLCKRGQHHGELSYQCSKCDFSIDLRCAVEVGKNVIHHPWHTHLLTCVIPKPILCECSACGKEHKGIFYQCTTCAGGFNIHSECAFSPKKLLIQDRTYGAFSHTHPLTISYSFPQIDQKAKHDPRCRLCGTEFFDTEDLWIYKCDKCLYYVHLHCTTSKRWWPTGSDKTIQNYKDVDYPRLLHLPFPDETYSIPKHFFYKESGPRMLTSNDEVSLQHISHEHPLILVNQEQKDEKTSPSNKINSCCFLSTKFHDPMKKTQLLCNGCLRPIMPSMPFYKCPQLICNFALHEWCTRLPKKIETHPDHPKHPLHIMYSNIPGCFFDVFSCAVCYLPCNGFAYCCFECKYYVDVCCGFIPKQITHKAHPNHLLSIVRKENNAYLCCICKRHYTESQISFHCNTCNIYIHPECAMLLAETIRHKYDKHTMHLSYLPIENHKSQYFCEICEEDLNPHASFYHCQDCVQSIHTTCAPSILKCETETYTMYHGGIHVFVNIKFGGIYNDNGHPHPLSFAQGIMLDGQCNICSQGFQYQLIFKCHKCKFAIHYNCCSM >cds-PLY98192.1 pep primary_assembly:Lsat_Salinas_v7:2:83322068:83323696:-1 gene:gene-LSAT_2X36261 transcript:rna-gnl|WGS:NBSK|LSAT_2X36261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHENMKKSQLSSCVFLLVLTLSFSVSWETFHSLDVTPGSENLMISCIQFNSNNVTSISQLIFTPVNTSFLPIWQVAVQNTRFIKPSTPKPSVIVTPVEETLIQTALYCAKKHGYEIRIRSGGHDFEGLSYTADVPFAMIDFTNMRSIEVDVPNRLAWVQAGAVVGDLYYSISQKTDTLYFPAGVCPTVGFGGYIGGGGYGNLMRKHGTAADNVLDVRFMDVNGNILNRMSMGEDLFWAIRGGGASSFGIVLAWKLQLVPVPERVTVFILNKTLEEGATEIFHKYQYVAPSIDRNLHIRTQVFGEYISNTTKKTIRIMFEGIYQGTIDTLLPLLDQNFPELGVIREICQEVKTVQSTLMFWGLPSSTSTEILTNRSAIAKLDNKSKSDYVRTPIPISGLKKIWRKLFENDESALLMINPLGGRMADYSETAIPYPHRVGVLYQVLKTVNFFDQSSDTTPISLSRIAWLESLEKLLTPFVSNNPREAYANYVDLDLGVGSDNYEEASVWGERYWKRNNFKKLIRIKAKVDPKNFFRHPQSIPVF >cds-PLY88519.1 pep primary_assembly:Lsat_Salinas_v7:2:154378156:154378341:1 gene:gene-LSAT_2X79001 transcript:rna-gnl|WGS:NBSK|LSAT_2X79001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFYRSKVGNKMEVSGNRERLFGVRDVRTEVFMDSESSMDPEWPWSSGPRSSSGVDPFKVS >cds-PLY84791.1 pep primary_assembly:Lsat_Salinas_v7:8:24452548:24455364:-1 gene:gene-LSAT_8X20520 transcript:rna-gnl|WGS:NBSK|LSAT_8X20520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGMRCFSFSHRDKTNDTKTIKSTSNSSPSISNGQDETKSGSGFTSLDASDVSNESSTRVSFTTLTQRSSRNSNNNIRVFTFAELKSVTRNFSRALIIGEGGFGCVYRAVLRTTDGSNKKIDVAVKQLGRRGLQGHKEWITEVNVLGFVDHPNLVKLIGYCAEDDERGIQRLLVYEYMPNRSVQDHLCSRVQTPIPWSTRLKIAQDAARGLAYLHEEMEFQIIFRDFKSSNILLDENWNAKLSDFGLARLGPSDGLSHVSTAVVGTVGYAAPEYIQTGRLTYKSDVWSYGVFLYELITGRRPLDLNKPKNEQKLLDWVRPHITQDMRKFQMILDPRLSGNYSLKSAQKLAAVANKCLLKQPRMRPRMSQVLEMVNQIVEGDMEWPEKMVSPLPPLKAAVMERDGGCERSIREELRRRVINPIIGENKWLVCLAWNPKLVSTN >cds-PLY76288.1 pep primary_assembly:Lsat_Salinas_v7:7:124171526:124172706:1 gene:gene-LSAT_7X75020 transcript:rna-gnl|WGS:NBSK|LSAT_7X75020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESLQKEIVLVEKVCEIYHKISKLENLKPSKDVDSLFTELVRTCIPPSSINISSLPANIQEIRSKLIRLCGEAEGHLEAHFSTILGSFPNPLHHLKEFPYYSNYLKLSRLEFDILIQHYSAFGEDPKRLAFVGSGPLPLTSIVLASYHLKDTIFHNYDIDSSANSMASHLVSSDSDMSQRMVFHTANIMDVTDELKGYDVIFLAALVGMDVDEKVKVIDHLAKYMAPGALLMLRSAHGARVFLYPVVEAQQLQGFEVLSVFHPNDDVINSVVISRKIADPVNNDHGDNHHHHHELGIESLMPLSCKYCEFQAFNNPLGQMKMIDN >cds-PLY70392.1 pep primary_assembly:Lsat_Salinas_v7:3:180284191:180285219:-1 gene:gene-LSAT_3X109140 transcript:rna-gnl|WGS:NBSK|LSAT_3X109140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLRIPTAYVKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGKAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIFKSQAETGEIKGHYLNATAGTCEEMMKRAIFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGIHFRVLAKALRMSGGDHIHSGTVVGKLEGEREITLGFVDLLRDDFIEKDRSRGIYFTQDWVSLPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLATEGNEIIREATKWSPELAAACEVWKEIKFEFQAMDTLDQ >cds-PLY73187.1 pep primary_assembly:Lsat_Salinas_v7:4:268544314:268545105:1 gene:gene-LSAT_4X138620 transcript:rna-gnl|WGS:NBSK|LSAT_4X138620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSTELFENEMKHFISRKLLLDTYSYQQPTTAVRAPSPSSPHTEHSNFDANVVMVLSVLLCALICSLALNSIIRFVLRCTGLFSSESSGSQESTLVKANTGIKKKALKTFPTVSYWEGLKLPGLDKECVICLGDFSTGELVKILPKCNHGFHVRCIDKWLSSHSSCPTCRNSLLETCQKIVTGGNYSGIASSQPLEQGPRNTTILTILPVPHEGLVRNYET >cds-PLY70578.1 pep primary_assembly:Lsat_Salinas_v7:1:90387439:90392275:1 gene:gene-LSAT_1X74260 transcript:rna-gnl|WGS:NBSK|LSAT_1X74260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:Projected from Arabidopsis thaliana (AT2G43790) UniProtKB/TrEMBL;Acc:A0A178VTX8] MSEAAAAPPPSQQPLTGIDNIPATLSHGGRFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSALNSETNEHVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENVVAIRDIIPPPERTSFNDVYIAYELMDTDLHQIIRSNQSLSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEAELGFLNENAKRYIRQLSHYQRQSFNEKFPQVHPAAIDLIEKMLTFDPRQRITVEDALAHPYLTSLHDISDEPVCLTPFNFDFEHHAPTEEQMKEMIYREALAFNPEYQQML >cds-PLY89100.1 pep primary_assembly:Lsat_Salinas_v7:4:145877623:145879736:-1 gene:gene-LSAT_4X89060 transcript:rna-gnl|WGS:NBSK|LSAT_4X89060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFIGDSIASLKSIKVRQALEQAITLGMIVSSALILWKLLMCVTGSESPVVVVLSESMEPAFQRGDILFLRMGEDPIRAGEIVVFNIDRREIPIVHRVIKVHERNDRTDIDVLTKGDNNDQDDRVLYAPGQQWLQRQHIMGRAIGFLPYVGYVTIIMTENPIIKYVLIGALGLLALTSKD >cds-PLY83092.1 pep primary_assembly:Lsat_Salinas_v7:5:88871746:88875560:-1 gene:gene-LSAT_5X41360 transcript:rna-gnl|WGS:NBSK|LSAT_5X41360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGHLKSRGYSGGLRRSEICTPEMKTSYDCENPKESESPWFQAILWEVLSMIQGKFDKEKEEVDADLHIFARDLVGILEKNAESQPQWQETLEDLLVLAQRCAMTSPGEFWLQCEGIVQELDDRRQELPMGTDFELADIARCAGNTPLDDDRSLSYLLTCLDDLRVVIDQRKFDALTVETFGARIEKLIREKYLQTCEMVDDEKVDIASTVIDEDAPLEDDVVRSLRTSPIHSGNKDRTSIDDFEIIKPISRGAFGRIFLAKKRTTGYLFAIKVLKKADMIRKNAVESILAERDILISVLIPFVIDLLARISRSPCILSEVDASLKTKRMKNDVDEYLKTRPQGTSFLSELKQKLLISPSEAARAGTRYNVPLMNSLVLYVGMQGAANIKVAGTDMNNKSSQSHSVFICWFSKITNTNFFQTREDLEFNWVIEGDGCKLDSGTLSLPTLEFNWVIEGDFGS >cds-PLY87273.1 pep primary_assembly:Lsat_Salinas_v7:1:51424655:51425233:1 gene:gene-LSAT_1X42321 transcript:rna-gnl|WGS:NBSK|LSAT_1X42321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVITSEFEIASSLPASKFFNAYRDFNNIAPKVDPETYKTLVDIEGDGGAGTIRDISFGDGVPFTNGKLKLDVVDSNNFSIIYTIFEGDILMGQLDSMTHHVKFIPSPDGGCVYKPTVVYNCKGETQLPEEALNMVKEGFKKTFNAIEGFIHANPQTY >cds-PLY63165.1 pep primary_assembly:Lsat_Salinas_v7:4:311989764:311991254:1 gene:gene-LSAT_4X157281 transcript:rna-gnl|WGS:NBSK|LSAT_4X157281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMGATGSGKSRLAIDLTSQFPIESINVDSMQVYEGLDVLTNKVPLDNQKGSIDFSQENKLIMHPYHFTSQCLRSFFLNDIGFCVEHTSSTGQKIGNFYTVMAGNYTLVWDNSNSTFFKKALRYKVDCIPPIVEPVPSIESEDGEGII >cds-PLY92673.1 pep primary_assembly:Lsat_Salinas_v7:8:155816260:155819139:-1 gene:gene-LSAT_8X104201 transcript:rna-gnl|WGS:NBSK|LSAT_8X104201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSVPKAPIHNFKEENFYLITEEGEQGQLPVLILSKKESTHSKRPAVVFLHSSHTCKEWVRPLLEVWDLLTLVDYLTAREDIDNSKIGILGMSLGEARIDLGKDTIDKEVVEKVWNRIAPGLASEFDSHHTVPVIAVRPLLIINGEVDPRCPLEGLDATISTTQKAFDAHSLTHFKVIVEPGIGHEVTLSMLKEASDWFDKFLKP >cds-PLY72991.1 pep primary_assembly:Lsat_Salinas_v7:9:35651197:35653141:1 gene:gene-LSAT_9X31601 transcript:rna-gnl|WGS:NBSK|LSAT_9X31601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFEGRFGQAQRQKYDCLLFDLDDTLYPVSAGLAAGVLKNIKDYMVEKLGIDAEKIPELCNLLYKNYGTTMAGIRAIGYDFDYDEYHSFVHGRLPYENLKPDPVLRTLLLSLPIRKVIFTNADKIHAAKVLNKLGLEDCFEGIICFETLNPIHKTVASDDEDDIEFVGSTTQSSANNNNNNNNNNITNEIFDIIGHFSRSNSSSELPKTPIVCKPSEDAIEKALKIANINPQRTLFFEDSVRNIQSGKRVGLDTVLVGTSHRCKGADYALESMHNIKEAIPELWEADNKVSDGGYNSGKVKIVETSVTA >cds-PLY62067.1 pep primary_assembly:Lsat_Salinas_v7:2:57717289:57718361:1 gene:gene-LSAT_2X26101 transcript:rna-gnl|WGS:NBSK|LSAT_2X26101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMTRRVTRNQNNDDASSSETIKTDDVGPWSYLNHDLLLLVMMQLGVINFVAFSGVCKSWRSVALSNRKCFMASKPPMLMNISDSINKDWQCCLEDHERRKIKTKDFWHVNLITRHELFFPPAPWVSDYVSGIAFVLVFSPSISKLVFVMLAKKQIWFSIADEGAWNCVSSTFDFNFYKDLHVFKGKIYTLNSNNGHLCELVLNPELRVTLLETNNLLDDLNIFSLRLVSCGENLYMILMEGLFRDEINVYKLDFGEMEWVPFQDTGDELGFFMSQVGHSAAVKPVLWAEPWSHYPRYDVPNGGGRGRFFPADEVWYFTHECLNVNLLDESS >cds-PLY87435.1 pep primary_assembly:Lsat_Salinas_v7:2:134127804:134130251:-1 gene:gene-LSAT_2X63860 transcript:rna-gnl|WGS:NBSK|LSAT_2X63860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLRSRRRPRYGTHVCAFIAAFLLLLSVSILYNRLSTDRHSHHSRHHNSNELTFDPLLEEADPNDRNSSEDRIDELDDGVQEDPDSRVQDEEDILRNLDADEDENDQSRVSKYYFDHVQGVIRRSFDKRSIDQWEDYASFDSNWDSSTTSINLAFSSDDVALDDNVRRKVAEVKGIEDALLLTVGHRVSPLREGWGDWFEKKSDFLRRDRMFKSNLELLNPLNNPFLQDPDGAGITGLTKGDKLIQKRIIHEFKKVPFTSKNPDNPDTKSKGTNGLSEETKGGNSKAESVHEASKAEMKIAERRTLDDNGDSKTQSEFSGQIYADGKRWGYFPGLNPRLSFSNFMDAFFRKGKCSMRVFMVWNSPPWMFSVRHQRSLESLLFHHQDACVVFFSETLELNFFDSFVKDGFKVAVAMPNLDELLKDTPTHEFASVWFEWRKTKFYPTHYSELIRLAALYRYGGIYLDSDIKVLKPLHSLSNTVGFEDDSSESHLNGAVMAFKKHSPFIMECLKEFYESYDETSLRWNGADLLSRVRTKFLHEENRVKNQKELNLQPYSAFFPISRNNITRYFRAPTTDVERFDEDGLYKKIVNESFAFHLWNSLTHSLVPDPESLVARLINQHCIRCSDVL >cds-PLY90963.1 pep primary_assembly:Lsat_Salinas_v7:9:171148280:171149009:-1 gene:gene-LSAT_9X105121 transcript:rna-gnl|WGS:NBSK|LSAT_9X105121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRSTNVLILISFFFLSFTSNLNLVDAAPEQLNQKNFDEKVMKSKDVWFIMFGLPTCGTQKAFLPEWEAFSKLVDGKIKLGEVNVAENYDLYVQYKIKSYPTIITFAADKSKGAFEYTGTRTAQKMEEAANLKLEGKGY >cds-PLY79297.1 pep primary_assembly:Lsat_Salinas_v7:4:370704154:370708062:-1 gene:gene-LSAT_4X182521 transcript:rna-gnl|WGS:NBSK|LSAT_4X182521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSATAAAFTPNFNTPSLKKPSTTVSTCIARLSNTSSSSAPPPSTPSLIRNQPVFAAPTPIITPTWRGDMGNESYEEAIAALKKLLSEKGELAPVAAAKIDAITAELQTPNSKPAFDPVERIKTGFAKFKTEKYITNPALYDELSKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVVRNVANMVPPFDKVKYAGVGAAVEYAVLHLKVEQIVVIGHSRCGGIKGLMTFPDEGPHVTDFIEDWVKVALPAKSKVKAEHTSSSLDDQCVTCEKEAVNLSLANLLTYPFVRDGLVKKTLALKGGHYDFVNGTFELWGLDFGLSPTTSA >cds-PLY85530.1 pep primary_assembly:Lsat_Salinas_v7:2:194451313:194452982:1 gene:gene-LSAT_2X116340 transcript:rna-gnl|WGS:NBSK|LSAT_2X116340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPFAMELLAASMILFMISYVSFWLTKLVFRRGETCYVIDYECYMAPKERKLDTHQCAKIVSRNKNLCIEDHRFLVRTMVNSGLGEETYCPKSIIMGEEEHPKLVDSVSEIEAVFYDTLDRIFARSKILPSQVDILVVNVSLLSAVPSLTSRIINHYNMRSDIKSFNLSGMGCSASLISVDLVQHLFKAHKKKIAIVVSTESMSSQWYSGRERSMMISNCLFRVGGCSMLLTNDSARKNQAILKLKCLVRTNLASDDEAFHCCKQSEDAQGYKAIYLSKILKTIAARALTKNLTVLLPKVLPLWEIIRYLLFKSSSKINLKTGINHFCLHPGGRAVIDEVGENLGLNEYDLEPARMTLHRFGNTSSAGLWYVLAYMEAKKRLKKGDRILMISFGAGFKSNNCIWEVMTSDLDRTNVWKDMIENYPPKMTINPYLEKLGWINDKAMDFVTPEDISKMFARC >cds-PLY84990.1 pep primary_assembly:Lsat_Salinas_v7:3:121928503:121930625:1 gene:gene-LSAT_3X84840 transcript:rna-gnl|WGS:NBSK|LSAT_3X84840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 7 [Source:Projected from Arabidopsis thaliana (AT4G37800) UniProtKB/Swiss-Prot;Acc:Q8LER3] MTHSSTTFFTKIVLFLILVVFFTLSPVADARPATFLQDFRITWSDSHIKQLDGGKAIQLVLDQNSGCGFASKSQYLFGRVSMKIKLIPGDSAGTVTAFYMNSDTDQVRDELDFEFLGNRTGQPYSVQTNVYAHGKGDREQRVNLWFDPAADYHTYSILWNHHHVVFSVDEVPIRVFKNNEARGLPFPKFQPMGVYSTLWEADDWATRGGLEKIDWSKAPFYAYYKDFDIEGCPVPGPRTCASNPSNWWEGQSYQQLDPIAARRYRWVRLNHMVYDYCTDKQRYPVTPPECLAGI >cds-PLY98199.1 pep primary_assembly:Lsat_Salinas_v7:2:83869919:83870408:1 gene:gene-LSAT_2X36541 transcript:rna-gnl|WGS:NBSK|LSAT_2X36541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTAQESEACAFTSMILKDILKQTELDHKQDGSSLKIMEEDCGNSRETEAARVSSRAETLPESPSDTFSSLSDIKGEKCNKTRVLGSKWMPLRTHEGYPLRSKRLKKSNETD >cds-PLY77279.1 pep primary_assembly:Lsat_Salinas_v7:4:164432156:164432749:-1 gene:gene-LSAT_4X98980 transcript:rna-gnl|WGS:NBSK|LSAT_4X98980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEKVPSIQTGGASWFIDLTTANVFYILPLLAAISCWITVEFTMQEGKRGGVWKNIARGGAALTLPLTASFPNALFCYWITSNMFSLFIRTQ >cds-PLY76194.1 pep primary_assembly:Lsat_Salinas_v7:4:51350366:51351039:1 gene:gene-LSAT_4X34860 transcript:rna-gnl|WGS:NBSK|LSAT_4X34860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METSQPLTIESFSYSWLINQNPSLDEIFNNTDEHYSKQESTDSFVNSQRFHEESQDFHFDLPFSIPNLVHADEIFFNGHIIPRSVSQIRVYSTPATPLVHFPPKNPSKTTKSYSQLIANLRVSSKRILGKCFSLLLPRKSTRIVDSSTNPVCLPSFVLTNERTNKRIEGDNTTFRRTKSWSHNSSYGSRFSCSKNKLCDYNETSVHEAIVHCKRSFGNLF >cds-PLY76852.1 pep primary_assembly:Lsat_Salinas_v7:3:3657878:3658279:-1 gene:gene-LSAT_3X1941 transcript:rna-gnl|WGS:NBSK|LSAT_3X1941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSMLQILSTHIILNNKNVAYSDVIPPNFQNKGLEEFVWFLKVHPLRYALVHHVVSFYPKHVCKYYYTCNYDKQSETIIGTIGNGGYEVLFTMEVIQDVLRLPNLIRYSKLPTGDQYKQVLAHLGCDPSL >cds-PLY96060.1 pep primary_assembly:Lsat_Salinas_v7:8:21345092:21345467:-1 gene:gene-LSAT_8X16760 transcript:rna-gnl|WGS:NBSK|LSAT_8X16760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY98622.1 pep primary_assembly:Lsat_Salinas_v7:1:38510905:38517348:1 gene:gene-LSAT_1X34380 transcript:rna-gnl|WGS:NBSK|LSAT_1X34380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGDTLNHRSSLHTNTTNLKDHTLAKSTLEKACTKSNASSLWLRRSWVDELRWRVGEMSFMKWTADDVSAVLKCHPIPCLLALFLLFFMRVEYTLPMIPPSSPPFDIGFVATVHLHRILSGSPVLNTILAGLNTVFVGMQTVYIIGTWVVEGRPRATIAALLMFTFRGILGYSTQLPLPQGFLGSGVDFPVGNVSFFLFYSGHVAASVIASLDMRRMQRSELAILFDIFNVLQFVRLLSTRGHYTIDLVVGIGAGMLFDSIAGKYIHKSHKTPTIDPNSNGDRKAFLASPKLGNGTQ >cds-PLY67679.1 pep primary_assembly:Lsat_Salinas_v7:4:3608850:3609928:1 gene:gene-LSAT_4X3501 transcript:rna-gnl|WGS:NBSK|LSAT_4X3501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEDLLDLSKMFNAVKSLVRVPSVDPKYKIALLASKQDHCLVDLLHAWQDGKLPVQISSVISNHDRVGNTHVMRFLERHEIPYHYLSKSKEKNVEDEILGLVEDTDFLVLARYVQVLSGNFLKRYGKDVINIHHGLLPSFKGGNPSRQAGSAFEAGVKLIGATTHFVTEELDGGPIIEQMVERVSHKDNLLSFIQKSENLEKQCLMKAIKSYCELRVLPYQHNRTVVF >cds-PLY74697.1 pep primary_assembly:Lsat_Salinas_v7:5:26596657:26597639:1 gene:gene-LSAT_5X13021 transcript:rna-gnl|WGS:NBSK|LSAT_5X13021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHHNSVLHSSGFLLRIMEIDKEQHEDIPDYDTEYEQDDGNQDYDLETEDGENKDIHYNSLSDLEEEIHKTVPTRFDEFGKFTGKNNAVFVSYLGDLVCDKRYFEVHESGKQFVMNRLCILLQNFIRKLYADYIKPHLGNPKKLEKIPVRYRALITEQDDWNKFVTYTQSQ >cds-PLY69945.1 pep primary_assembly:Lsat_Salinas_v7:4:70481336:70484514:1 gene:gene-LSAT_4X49221 transcript:rna-gnl|WGS:NBSK|LSAT_4X49221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVRPFLSFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVGQLGVGNAILIIVQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICESIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRSDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLHRKYSGNFLVNLLGKWKESEYSGQSVPVGGLAYYVTAPSSLADMAANPFHALFYLVFMLTACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKASELGLFGF >cds-PLY89999.1 pep primary_assembly:Lsat_Salinas_v7:3:84189670:84190477:-1 gene:gene-LSAT_3X64800 transcript:rna-gnl|WGS:NBSK|LSAT_3X64800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLDYSSGTTAAAFAAAIRWVSKDGIGAIGHFFISRFSSKSHKWIQKHQICALTMMDLPFTGGRFGNLFDDDPK >cds-PLY72375.1 pep primary_assembly:Lsat_Salinas_v7:5:6051115:6051924:-1 gene:gene-LSAT_5X3000 transcript:rna-gnl|WGS:NBSK|LSAT_5X3000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSGKVLYFLITGALLSAVSTRKLALIPATEVEENALSGSFGIGAGANMGRGGLLGGAIPGMNTGVAGGIGGSFGPGVGIPGGGLGGPGGGSGGLGQGFGGGGVFGGGGSNGGQGFGSGGVAGSSGGIGGDQGFGGGGLGRSIGLGGGIGTGNTGLGNAGIGAGIGAGIGGGGLGGIGSGGVGGGGMDGQP >cds-PLY79106.1 pep primary_assembly:Lsat_Salinas_v7:2:16146380:16148716:-1 gene:gene-LSAT_2X8681 transcript:rna-gnl|WGS:NBSK|LSAT_2X8681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTKKCVSSTAGSNLRGRSPTDTYLLLHFEEKKTRTDSEGGIEDVVYNRLGLGDEKDITLRGLEAVKKGQKLYIESYTSLLSFGISKDGISTLEKLYGKPLKVADREMVEEKTDDMLLEAREFDVAFLGNNTTHSDLVVRAKKLEVEVKVIYNASVMNAVGVCGLQLYRYGETVSLPFFTETWRPDSFYEKIQRNRGLGLHTLCLLDIRVKEPSLESLCRGKKQYEPPSFMTIGVAIDQLLEVEQLRGESAYNEDTLCVGFARLGSENQKVVAGSMKQLRTVDFGQPLHCLTIVGKTHPVEEEMLDFYRS >cds-PLY80630.1 pep primary_assembly:Lsat_Salinas_v7:5:9073097:9074173:-1 gene:gene-LSAT_5X5060 transcript:rna-gnl|WGS:NBSK|LSAT_5X5060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFQETSMNDLADEQEESSWTCYFEEFLWQNDEEMIMSCDDETLNSRVPHVASSLAINKIRNDHYQDKKLRFKKRKTIGVMVDDSLEDTASSPVCSPKKVAYFDQVKIKNKSKNTKFVDISDQEKVGFCEEGNKRKVNPNMELKKRGLCLVPLSSLANYFS >cds-PLY82337.1 pep primary_assembly:Lsat_Salinas_v7:6:109562228:109565583:-1 gene:gene-LSAT_6X65880 transcript:rna-gnl|WGS:NBSK|LSAT_6X65880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLIHKHFFPLCKSKSNFYPDAPFLNRPALAFSLGLKKPSFSSFPCSPIVCKAVSVKPQETQVEGLNIADDVTQLIGKTPMVYLNDIAKGSVANIAAKLEIMEPCCSVKDRIGNSMIADAEEKGLITPGKSILVEPTSGNTGIGLAFIAASKGYKLILTMPASMSLERRVLLKAFGADLVLTDSSKGMKGAVQKAEEIVNSTPNSYMLQQFDNPANPKVHFETTGPEIWEDTKGKVDIFVAGIGTGGTISGVGRFLKNQNPDVKVIGVEPTESNILSGGKPGPHKIQGIGAGFVPRNLHQDVLDEVIEISSDEAVETAKQLALQEGLLVGISSGAAAAAAIKVGKRPENAGKLIAVVFPSFGERYLSTVLFQSIREECESMQAEP >cds-PLY78223.1 pep primary_assembly:Lsat_Salinas_v7:8:79531841:79537246:1 gene:gene-LSAT_8X57160 transcript:rna-gnl|WGS:NBSK|LSAT_8X57160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTIWQEQNHGGGGFQLPESPRRPMEFLSRSWSAAALQVSKTLHSPLSPLIPKSGINTDNTGANSVAAAAENNNRFSGVEAAEESASAAAALLSGNTFSFASSATSQLVLERIMSQSDISPLASGRLSHSSGPLNATLTEETDSPPISPSDDYDDVVKYLRANNSLQPLFANARSGGYTGGSSTPAGKTVGRWLKERREKKKEETRAHNAQLHAAVSVAGVAAAAAEAMGAEREHLIAAVNSAVNVKSHGDILTLTAAAATALRGAATLKARALKEVWNIAAVIPVDRGVKESKSHGKSSAYCEELLPEENFLGICNQELLARGSELLKRTRNGDLHWKIVSVYIHRTGEVMLKMKSKHVGSTITKKKKNVVLEVCKNMPAWPGRHLFEGSEQRRYFGLRTTIRGVVEFECRNQREYDMWTQGVSRLLSIVAEKKLSRRP >cds-PLY98461.1 pep primary_assembly:Lsat_Salinas_v7:1:9126876:9128780:-1 gene:gene-LSAT_1X7200 transcript:rna-gnl|WGS:NBSK|LSAT_1X7200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIWITKVALGKIRNLPPSPFPCLPIVGHLYLLRSPLYRALGKLSARHGPMVMLRLGARRVFLVSSPEAVEECLTTNDITFANRPRLLAGKHLGFDYTTINWSSHDDHWRSLRRLVTLELLSSYRVRTLSVIRAEEVHHLVKKVMYPRVVRDGTMEMKSMLFEVVLNLMMTMISGRRCDDHGDAVMTRRFMEIVQESFKVMGATNVSDYLPWWKSVGGKQLEKKMMALNEKWHVLMQDLIEEQRRKTVVKELEKKNLIEVLLMLQETEPENHSDEVIKGLLQVLISAGTYTSSVTMEWMLSLLLNNPETLTKAHAEIDKCAGEDRLVNESDLSNLPYLRCIINETMRKYPAAPLIFHESGKDCMVGGYHIPSRTMLLMNVWAMQNDPNNWEDPKKFKPERFVGLEGSGNAYNYKLMPFGAGRRRCPGENLGMRMIGLTMATLIQCFEWERPSNEMIDMTEGIGLITPKSKPLVVKCRPRGKMAKLLSQM >cds-PLY79110.1 pep primary_assembly:Lsat_Salinas_v7:2:17112286:17112794:1 gene:gene-LSAT_2X9841 transcript:rna-gnl|WGS:NBSK|LSAT_2X9841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNPQKHNNFVIQIRGSNPPQTNPSPIPTFSSMKIFNRFRRIFMRFMFSIPSSKATTSSSGGGSAGLRRRSCDRPDPPRSSCNSSYYSSNTHYNEAIADCIEFFNKSSSSSSPSFSEDGGRKSDFMVLPCLVGGLRGYALC >cds-PLY92544.1 pep primary_assembly:Lsat_Salinas_v7:5:78855423:78856962:-1 gene:gene-LSAT_5X36281 transcript:rna-gnl|WGS:NBSK|LSAT_5X36281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSQEADTQQIPRSPTPAPRTHENHQTAKTTSTRLNRLPHDCEAILKDADIVIDKSSIDQLYAGVFLNQKRMKYWVDKASNGNCFLVFARDLSIDWQDDNRYWHWFSIKETSDQECIDVAELLNVCWLDVAGKFETAKLTPGMKYEVVFMLMLKDPAYGWEVPINVRLVLPDGSKQEHKENMVEKPRSRWFEIPVGEFTAEAKNGGFIEFSFYEHEAGPWKRGLLVKGAAIRPKK >cds-PLY84137.1 pep primary_assembly:Lsat_Salinas_v7:6:186233478:186236348:1 gene:gene-LSAT_6X113140 transcript:rna-gnl|WGS:NBSK|LSAT_6X113140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSMKPREQPKVPCQMEAEVDRISNLPGHIIDKILSLLTLRDAVRTSILSSKWRYKWVNLTSLVFDNQSVLVSSQDPTIIKNKMVKIVDHVLLLHNGLIHKFKLSHRDLQGVCDIDRWILFLSRGSVKEFILEIWKGQRYKLPSSLYLCDKLSHLELFNCLLKPPLGFNGFKILTSLDLQHITMDQDVFEDLIHRCPLLERLTLMNFEGFANLKIHAPKLTFFDIGGVFEDVVFENTFHLSTVSIGLYVNIGNDHELTLGTTSKLTKFFASLPSIQRLEVQSFFLKFLAVDMVPRRLPTPCMELNYLSLRINFNDMEECLAALCILRSSPNLLELELLARPDEQAAPRAVAKNLVEEDFQNCFFSQLRFVKIAGIFGVARELNFINFLLANTPVLERMTVKPASQECGWDLLKELLRFRRSSVHAEIIYLDP >cds-PLY86726.1 pep primary_assembly:Lsat_Salinas_v7:4:8461781:8463527:-1 gene:gene-LSAT_4X5501 transcript:rna-gnl|WGS:NBSK|LSAT_4X5501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTPDLSSMCEKEGSSNTRKNVQIPEINGRPRINLPALRGFLSQFPGKFQKHLKSRFKRPTEKEATNRQTFKFKDAKINLEKQIQAWRNNPVWNDHQPPAIEVSVPKDSLCQLNVEVNVGLPPDAIYNIVIDPDNKRVFKNIQEVLSRKVLIDEGSRQVVELEQAALWRFLFWSGTISVHVMVDQNREDYSMKFKQVKPGFMKRFEGSWKVEPILLDEKLCHPCKPRTLSEYMSCTQGNGRIASKVTLQQLIEPAIVPPPPISWYLRGITTRTTEMLITDLIDEAARIKGVSGTNGIITTTEPDVLSDEQQQIDETFDIKERWALRRRRTRRSM >cds-PLY87485.1 pep primary_assembly:Lsat_Salinas_v7:2:135805133:135807418:1 gene:gene-LSAT_2X64300 transcript:rna-gnl|WGS:NBSK|LSAT_2X64300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQKEESSSIFDPCPICLSPINQESYLDQCFHKFCYSCILQWIKVVASKHSPREPFIKCPLCKTKSSSIIYGYDGSSFQQHLIYENSENSIFFTKAHKYRLQCYYIELGNSIGNINISRYWKSNKYLQPNQWLYNWVRREIQALIQEKDVDIIVHHIIGVIDSWRRNEPKGSKISAELKQEGFKRMVVDAAKPFLRGRVDRFVNEVEMFLASGLNIEAYDKVYVKHLGWKIPEIVGDDIDDDDDEEHVDCNPVIPLLSFSDDDELDETS >cds-PLY74619.1 pep primary_assembly:Lsat_Salinas_v7:7:41521957:41525239:1 gene:gene-LSAT_7X31721 transcript:rna-gnl|WGS:NBSK|LSAT_7X31721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALHFTLLLLCSLTLVHSISPNCYSTSQGSTLRVYHVSSPCSPFRPKTPLSWEESVLQMQADDKTRLSFLTSLVAGRSFVPVGSGRQIIQSPTYIVKANIGTPAQSLLMALDTSTDMALVPCAGCVGCSSATFDSTKSISYSSLACGADQCKQVQSTGCLGTTCFLNITYGNSIIQANLAQDNLTLATDSVVGYPFSCIQKTTGSSLPPQGVLGLGRGPLSFVSQSKTLYQSTFSYCLPSFKSSNFSGTLRLGKNGQPINIKYTPLLVNPRRTSLYYVNLVGIKVGSKVVNIPPSALAFNPNTGGGTIIDSGTVFTRLVDGAYTAVRDEFRRRMGKAVVSTLGGFDTCYTVPIGKQVPTMTFMFPGLNMSLPQDNFLIHSSSGSTTCLAMSSSPALNVIANMQQQNHRVLFDLPNSRLGISREICS >cds-PLY69308.1 pep primary_assembly:Lsat_Salinas_v7:4:104761740:104763686:1 gene:gene-LSAT_4X67521 transcript:rna-gnl|WGS:NBSK|LSAT_4X67521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPGLYSGTSTFALVARVSAFSVGVVYGSIKLKYLKAKAKSQRKAEAKAHH >cds-PLY73246.1 pep primary_assembly:Lsat_Salinas_v7:8:45052091:45052501:-1 gene:gene-LSAT_8X34361 transcript:rna-gnl|WGS:NBSK|LSAT_8X34361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLTPIAAKFRLPFLLVMCAFMGIGEEANIFYYLTYEGAADLETMEDELQRAAIEDQIGNFGQTPIQIFRKKHP >cds-PLY91873.1 pep primary_assembly:Lsat_Salinas_v7:8:203135764:203135943:1 gene:gene-LSAT_8X128941 transcript:rna-gnl|WGS:NBSK|LSAT_8X128941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVISCEKDMGFMIFPAISFYIPFVSTVSYHHHRDTHDTPLPILHIRMSTLDFPLGRREE >cds-PLY65804.1 pep primary_assembly:Lsat_Salinas_v7:5:275355456:275355797:1 gene:gene-LSAT_5X146220 transcript:rna-gnl|WGS:NBSK|LSAT_5X146220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAQAERVASPVWKRQSACVVLSSDEETPSGDVVLCPCKVHRTVSMSKLLGRIWDVLGNKLSAPVQKNEVFIPDSATSPPPSFATASPIDPCSDSPFGSAFGSSGVLSCQRNL >cds-PLY99858.1 pep primary_assembly:Lsat_Salinas_v7:4:47713323:47716364:-1 gene:gene-LSAT_4X32941 transcript:rna-gnl|WGS:NBSK|LSAT_4X32941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNINDDETIDYVYKVVVIGDSAVGKTQMLSRFTKNEFFFDSKSTIGVEFQTRTLNIQSKVIKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDISKRESFDHVARWVEELRVHADKTIVIALIGNKADLEEKRAVPSEDAMEFAENQGLYFFETSALTGDNVEAAFFKLLEEIYSVVSKKTLEHDVGKSNGDSLNTLKGLKIDVNGGPDLEISEMKKLSSCSC >cds-PLY78513.1 pep primary_assembly:Lsat_Salinas_v7:5:338464367:338467886:1 gene:gene-LSAT_5X191120 transcript:rna-gnl|WGS:NBSK|LSAT_5X191120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich-splicing factor SR34 [Source:Projected from Arabidopsis thaliana (AT1G02840) UniProtKB/Swiss-Prot;Acc:O22315] MSRSSRTLYVGNLPGDIREREVEDLFYKYGRIARIDLKVPPRPPGYAFVEFEESRDAEDAIRGRDGYDFDGHRLRVELAHGGRGNSSSTDRHNSHSSGRGGHGGVSRRSDYRVLVTGLPSSASWQDLKDHMRRAGDVCFSQVFKEGGGTTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRGVIRVKEYDSSRSRSRSRSRSKSYSRSRSRSRSKSPKAKPSRRSKSRSRSRSRSRSGSEPARPSARSPSRSRSPPPSVSRTKTLLGSLHLIL >cds-PLY98327.1 pep primary_assembly:Lsat_Salinas_v7:7:166758011:166758259:1 gene:gene-LSAT_7X98900 transcript:rna-gnl|WGS:NBSK|LSAT_7X98900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPMIEDASATPSLFKQPLPNQTTGAQPVVLQISPLLRQMAGAQLVVLRTPPPPNQTTRAQPVVFQTPPLQNQTSGTQPVVF >cds-PLY72927.1 pep primary_assembly:Lsat_Salinas_v7:9:194071450:194072181:1 gene:gene-LSAT_9X119440 transcript:rna-gnl|WGS:NBSK|LSAT_9X119440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNHIFIVCVLLFLHFVQMVIGDAPLYHICSTTSGNFTRYSPYEHSLNKLMGELYYKTSPNGFGMGSMGQYEAHTSGLSLCRGDVSQKDCMTCVVNASAEIRRRCPSNKAGIIWYDQCLLKYSSNDFLGQIDNQNRLYMWNLNNVSDPSSFNAETKRLLSGLSNTAYNDPKMYAAGALDLDGLQKLYGLVQCTRDLSSVDCKTCLDGAISELPSCCDGKRGGRVLGTSCNIRYEIYPFAGV >cds-PLY97082.1 pep primary_assembly:Lsat_Salinas_v7:4:74102809:74106481:1 gene:gene-LSAT_4X50041 transcript:rna-gnl|WGS:NBSK|LSAT_4X50041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFGYQSKIFLLLLLLVLQVQVLVAETQVLSLSTFSSNFSVSSSLRGKRVVKRKPVGRRGLLGCDLYKGKWIVDNSYPVYVASSCPYIDSEFDCLKHGRSDTQYLKYAWKPDTCDLPRFNGVNLLNKWRGKKVMFVGDSLSLNQWESLACLLHASVPNSKATFLRRDGLTSLAFQDYGVTIYLYRTPYLVDIQKQSIGNVLNLNSIQGGDTWKGMDVLVFNSWHWWTHTGDLQPFDYIQDGSTITKDMDRLAAYYKGMSTWGRWVDLNVDPSKTKVFFQGISPTHYMGKEWGSSSRNCYGELQPIEGSSYPTGLPEAQVVLNKVLSNVKKPVILLDITTLSQLRKDAHPSSYSDSGVDCSHWCVPGLPDTWNQLMYASFLT >cds-PLY72262.1 pep primary_assembly:Lsat_Salinas_v7:7:87453983:87454986:-1 gene:gene-LSAT_7X60980 transcript:rna-gnl|WGS:NBSK|LSAT_7X60980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLKLGSGSTSTRQNYAGKHPYLQNHMPTQGPGGGLLSQNQRTAVPQVSWVSSGQGVTNLPFPKRLGVEFNGRDSPQSAERQISLQTTSADRIDAAPVSYPMNPQGPLFSHGQSQMVQLPNNPRGQAPTTSVDGLSNNSEYYARPYHKRSAMAPPSGPHWVQHQKMSHPTTTHHSMPIRFPTKPAASVTANLAHPSIPIYKSTNHNHKLNNVFVLL >cds-PLY80861.1 pep primary_assembly:Lsat_Salinas_v7:4:326246727:326248279:1 gene:gene-LSAT_4X162300 transcript:rna-gnl|WGS:NBSK|LSAT_4X162300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMASKLSSSESVTSIRAERLTLHSEEVGAAVAAWPVGLYGLLLDWIQHKFHQNNNEHLIKEFAPRNSCAFLTDLQYYPKSPYLLFHGFLAIGTLAITEPETPTFATSVENITWKETEATENELNLINGELEKVLRTEGKEEEEKEEEEEKEDGAVVCPLQTYLLSSVIGLPETTTGKKEHRASLGELF >cds-PLY76464.1 pep primary_assembly:Lsat_Salinas_v7:5:199564207:199565301:1 gene:gene-LSAT_5X90161 transcript:rna-gnl|WGS:NBSK|LSAT_5X90161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCTREAIQRELEKEMIRGMIIAEEVERLHVLEAEVRKELMIGREIMAMNNRKGFPSSFMPTTSGAGHNPPQSLHSTQLNSYSQKKTIEWKCPICKVSAPCENGFLQHLAGKKHMAKVTTINYSSKWICPICEVSTTCESGLLDHLRGKKHKAIMESLRGDNNGKSNKSVETHEPFVIKNFLGYVSNGLKEDTKKEMEWRCRICEVIATSEIDLQKHIAGRKHKAKVVTLGKGKNI >cds-PLY85553.1 pep primary_assembly:Lsat_Salinas_v7:2:195269254:195269780:1 gene:gene-LSAT_2X115800 transcript:rna-gnl|WGS:NBSK|LSAT_2X115800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLTFVGGGGMLNGMAEGGGFVKGVVTYMVSDDLVVKPMSTISSITMLNKFNVKEVGCLEEKVVSLEMKEGLMLLKASFQSKNVLTSVFLE >cds-PLY85363.1 pep primary_assembly:Lsat_Salinas_v7:5:244419763:244420713:-1 gene:gene-LSAT_5X121101 transcript:rna-gnl|WGS:NBSK|LSAT_5X121101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQEEVILLDFWAGMYGMKVRIALAEKGVSYEYREEDLRNKSQLLLEMNPVHKKVPVLIHNGKPICESSTIVEYIDEVWKDKAPLFPSDTYGKARARFWSDFIDKKIFLIGRNMCMTIGEEQEASRKEFIDCLKLIDGELGEKPYFGGDSFGYLDLSLIPFYSRFHAYKTYGEFNIEQEFPKLFAWAKRCLQNKESVSNSLPDQLKVHGFVQQMRRILGLDHE >cds-PLY92668.1 pep primary_assembly:Lsat_Salinas_v7:2:160905946:160908424:-1 gene:gene-LSAT_2X84761 transcript:rna-gnl|WGS:NBSK|LSAT_2X84761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSATSSPLGPPATEKDAKALQFIEEMTKNCDSVQENVLSEILTQNASAEYVQKWNLGGATDRKTFKSKVPVVSYEDLQPYIQRIANGDRSPILSSHPISEFLTSSGTSAGERKLMPTIAEEMDRRQKLYSLLMPVMNLYVPGLDKGKGLYFLFIKAETKTPSGLVARPVLTSYYKSQQFKTRPYDPYNVYTSPNETILCVDSFQSMYSQMLCGLIFRQQVLRCGAVFASGLVRAIKFLTLNWKQLALDIETGYLNAKITDPDIRACMSKILSPDPDLARFITAQCCEGNWEGIITRVWPNTKYLDVIVTGAMAQYIPILDFYSGNLPQTCTMYASSECYFGLNLTPMVKPSEVSYTIMPNMGYFEFIPHDSSSNSVPNSEDSPPQLIDLADLELGKEYELVISTYSGLCRYRVGDILRVTGFHNSAPQFKFIRRKNVLLSIDADKTDETELQTAIEKASELLKEFDTAVVEYTSYGDTKTIPGHYVIYWELLVKDPGHGPGQEVLDQCCLAMEECLNSVYRQSRVADNSIGPLEIRVVRNGTFEELMDYAISRGASINQYKVPRCVSFTPIMELLDSRVISTHFSPSAPHWVPDRRF >cds-PLY66676.1 pep primary_assembly:Lsat_Salinas_v7:1:54480836:54482897:-1 gene:gene-LSAT_1X47261 transcript:rna-gnl|WGS:NBSK|LSAT_1X47261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGISNQDKLSIDTELQTKLLLHSDDHDRKGGMRTMPFIIVNEAFEKVASYGLTPNMIFYLMEVYHMEAVTGTSILSVWSALSNGLSIFGAFMADSYLGRFRVIAIGSLTSLLGMIFLWLTSMFPQLRPSSCEELNTICSPATPTQLALLFTSFGLLSIGCGCVRPCSMAFGADQLNNHPTINNQRLIDSFFNWYYASMTMSMVVAFTVVVYIQDEYGWQVGFAVPMMLMVCSALMFLLGSSLYVKVKVGESPFSEFIQVLVVTCKNRKISLTHDDCYNHSHGMDRVELTENIRFLNKACVIKDSNTDPWSLSTVEKVESLKSIICIAPIWSSGILLYTTSTQSYPTLQANTMNRQITSGFEIPAASFGLFMVLTLTIWIIFYDRILVPILAKHSHQPRGLHPKTRMGIGLVISVLAMVVSAIVESIRRHVASSGDDMSAMWLVPQYCLLGLAEAFNAVGQMEFYYSELPKSMSSIAMALFMVSNAFSGLVGSFLINVVDSVTSEGGDVSWLSSDINEGHVDYYYWLLGFLSLLNFFYFLICCRLHRRFTSST >cds-PLY66959.1 pep primary_assembly:Lsat_Salinas_v7:1:152526426:152528990:-1 gene:gene-LSAT_1X106881 transcript:rna-gnl|WGS:NBSK|LSAT_1X106881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRFLYRMCSGPEPNKSASSSSSTTSNSNKMVMSPLTVETEDSFSSLLEYAANNDYEAFKRSIENDPSAIDEVGMWYVRKKGSKQIVLEHRTPLMVASTYGSINVLKLIISQPKVDVNFACGPDKFTALHCATSGGSPAAIEAVKLLLSVGADPNLEDVNGHRPVDVIVVPPKLSGVKASIEGLLMNNGLTLSLTMNMTGSWSPTMSSSPENGSGSCSPVMSKFENEIPVNSEKKEYPIDPSLPDIKNSIYSTDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCARRVCFFAHMPEELRPLYVSTGSAVPSPRSAAAGATVMDMAAALNLLPGSPSSMSMSMSPTGNSPWPQPNVPTLHLPGSNLQSSRLRSSLSARDIPPEDLSLLRDFDSQLLNEFACFSQSRSSRSRTALAPANLEDLFSSEIGSSPRYSDQVAAGGGGGGGVFSPSHKSTVLNSVLSPINTNSLLHSSFGVSSPGRMSPRSMDHSPMAAAARLSAFNHREQLRSLSSRDFTGTGSPVGHNTSSSWSKWGSPTGKVDWSVNGEEFGKLKRSSSFELKNNGGGGGGGGGGGTDEPDLSWVQSLVKESPPEMMKNKAAAPPSGEDHSVLGAWLEQMQLDQLVA >cds-PLY91198.1 pep primary_assembly:Lsat_Salinas_v7:4:124619863:124624280:1 gene:gene-LSAT_4X78421 transcript:rna-gnl|WGS:NBSK|LSAT_4X78421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKNGSSPGLLGVILEHADRIDMSLMALGTAGCIANGSSMALIMFALSRMMNGYASTASITLADINQYAITYLYLAVIVGSGAFIEGFCWGHSAERQSSRIRIKYLKAILRQEIGYFDKTLEASRVVTNISTDISINIQGVISEKVFSVLLTLLTSKQIPGFITNLWMFMTTELTAMYLCWRLAIVTLPAMFLLILPGVVYGNLLAKNEEKLQEAYGVAGGIAEQAFSSIKTVHSYVGEERMMRRFSTALHPTLILGIKQGLLKGIVFSSFGILFAVFALVSWYGGVLVIEKGVKGGDILSAGVCVLYGGFGLGASLMNIKHFAEAKISAALISEIINRVPPIDSTDKQGKTISIVKGALEFKDIDFAYPSRPETLVLKKFNLKVNACQTVGLVGQSGSGKSTVVNLLERFYDPIEGEILLDGINIKLLQLKWLRSQMGLVGELGTQMSGGQKQRISIARALLRKPKILLLDEATSSLDSHSEKAVQEALTCASVGRTTIVIAHYLSTIRHADLVVVIKSGEVIESGSHDELTQNPSGSYSIMVHVMNTQIKTKTLASPSSDFTEKEERVDEKKLTTSDLVTNQRSDEECSRPSWKQLMEMTTPDWKSTLTGTIGALINGSVQPLVACFQAAMLSIFFLNNHHEIRSQTITLSFVFLTISASSIIASVIQHYYFGVMGENLTNKIRSSIFEKIMSFEIEWFDQEENNTGALCSQLSTDTLMIRNLVADRLAFFAQSISAAILAIIWGLLLSWRLALVAICLQLFTVGSFYLKLVMTREMSKKIADARDKSSGIASEAVSNHRIITAYYSHEQVMRLYEDTQKGPKKESQKQPVYAGMALFTRQFLTIVNIAVLYWYGGKLLHKGEITYKNLFQTFYIVVTAGILIAETGSMIGDLSVGTSALKSIFKILKRDSKMDVGPLENHVLNPKKINGKIELREVEFVYMIRPTKVVLKGLNLKIEAGEVAVLVGISGSGKSTILGMIQRFYDPIMGSVEVDGVDIRRYNLRALRSFIAWVSQEPTLFAGTVKENIAYGKENATEAEIIQAASLANIHEFISSMKDGYDTVCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDVRSESIVQDALEKTMVGRTCVMTAHRLSTIRRWNKIVVIDNGSVVEEGSHDDLLDKGENGAYFSLFSLQQQSFISNRESPS >cds-PLY71019.1 pep primary_assembly:Lsat_Salinas_v7:9:72457086:72459250:-1 gene:gene-LSAT_9X58680 transcript:rna-gnl|WGS:NBSK|LSAT_9X58680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPVNSDNVVPLLPEHESPETPTEEKQPSVSGAVFNVSTSIIGAGIMSIPATLKVLGVFPAFVLIVLIAWLVDVSVEFMLRFSYAGGSTTYAGLMKESFGKIGSVAVQICVMITNLGCLIIYLIIIGDVLSGAQSGEESVHLGVLQEWFGIHWWNTRNVAILFIVIFVMLPLVLFRRVEFLSMSSAIAVLLAIVFVGICSAMAISATVKGETRNIRLLPQLDDQAAFFNLFTAIPVIVTAFTFHFNVHAIGVELGKPEAMASAVRISLILCALIYFSIGLFGYILFGDSIEADILVNFDEATGSMIGSVLNDIVRLSYAFHLMLVFPLLNFSLRTNIDEFLFPDKSLLAKDNKRFLFLTFILLAAAYLIAIAIPNIWYFFQFMGSTAAVSIAFIFPGALALRDVHGISSRKDKIIGATMIILAVITSTIAISSNLYSLGN >cds-PLY87954.1 pep primary_assembly:Lsat_Salinas_v7:4:338558068:338558970:-1 gene:gene-LSAT_4X167801 transcript:rna-gnl|WGS:NBSK|LSAT_4X167801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNESEYMLVPHKHKINFYKTTKVHVSNDFVNTVDPYHFISFPDLLARNFDTRVAFDFLGEVVSTDPMRVIVEYGREKRLMNLVAQDLSGTRIAIALWGSFAMKLNTYISQHNNDTAPVIILLRLAKLKIWGGQPQVGNCLFGSRLHINDDMPQILEFKSNLNALDTNVESSSRTSQLNSDTVVANP >cds-PLY95035.1 pep primary_assembly:Lsat_Salinas_v7:5:227720194:227722241:-1 gene:gene-LSAT_5X108001 transcript:rna-gnl|WGS:NBSK|LSAT_5X108001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METQQLCKGCYNYVTVAPGRRCPICNTIAKPNKRTSQRMVPGILQFIDGYANTRSNSNYYNSVHGQLPQPPAYGGYYPPPVYGSGTYSPQPPPSIYSGGYNNPPPQSPAVYGYGYRQPEPQTWSPLMHNNYFQQSPEVHEKKKAVLCGVTYKGHPKSLAASINNVKSMHQLLLKLGFPDGSIRILTEEEPDSTRSPTRRNILMAMEWLTKDCCSGDSLVFYYAGHGSHVPDNNGDEKDGYDEALCPVDYKQSGKILDDEINAAIVAPLPHGVILHSIMDTCFSGTLLDLPFLCEIDRGGFYKWDKHHPSHASSYGGTRGGKAICISACDDHQNSADTSAFTGNAIGALTYSFIQAVQSARTLTYGDLLDNMRKVVRDAQQKQGLNAPFASSTSQEPQLSCTTRFEIYSEPFML >cds-PLY91606.1 pep primary_assembly:Lsat_Salinas_v7:8:71807229:71808197:-1 gene:gene-LSAT_0X36101 transcript:rna-gnl|WGS:NBSK|LSAT_0X36101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNLQGLQVLDLGDNKFSGKIPKWIGEKLIALVVLRLHKNNFTGRIPRSLCKNTNLQILDLAYNNLTGTIPRCVGKLNGMIESHILSRITYPFSMNDDKNVIQVMKGVDLEYTTIWDIVYNMDLSSNKLVGKIPVELTTLSMLVGLNLSNNHLSGGIPMSIGNMMNLETLDFSENELGGRIPSSMAALNFLSHLNLSHNNLSGQIPTGNQLQTLIDDPSIYAGNKGLCGPPLPNSCSNHQDPTTTTTTSKKKHKAAEQTRVWLFYVDIMSGFATGFWGVIGVLLFKKHWRQKLFMFAEEMVDKIYVGVMVRVAKIKRGREAA >cds-PLY72003.1 pep primary_assembly:Lsat_Salinas_v7:8:147652854:147661013:1 gene:gene-LSAT_8X99400 transcript:rna-gnl|WGS:NBSK|LSAT_8X99400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDAEIGDNSEGNDEDGELEGNEEHILDEKGKKGQNVNERGKRKVTNPDSFRSPFVNRVIDLSEKVSTRQEIMAQIMFRCAGDKDPMEMLFETESGDIMDRVHFEGIRPNHNIHPFVIDCWADVLNFEEENLRNKKSPPRIFFNIQIMTEKLLDSLIPFVKRFRLFDEAVNNYLYDIKRKVDFNSINLSSVLLDETKSFNERFLTFEACVEKFISNFKADVDFNDLKIVVFPIHNGDQMYVVVFNLTYPQVHIIEQ >cds-PLY93992.1 pep primary_assembly:Lsat_Salinas_v7:4:274175642:274182679:1 gene:gene-LSAT_4X140321 transcript:rna-gnl|WGS:NBSK|LSAT_4X140321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCVPLMRHSVEQMVGDMYQAKIEGADLVELRLDCLKEFNPQRDLQTLLRNKPLPVAVVYRPKWEGGLYDGDENSRLEALLLAKDLGAEYVDFELQVASDIMEKHNLNHRKNCKIIVSCFVDGITSLKEDLSHLVTRMQSTGADLIKLVTNATDITELSRIFHLLSHCQVPLIAYSTGERGLISQLLGPKFGGVFVYGSIEGNQVPGLPSLASLREAYGVENIDADTKVFGLISKPVSHSKGPILHNPTFRHVGFNGVYVPMFVDDLKQFFNVYESPDFAGYSVGIPYKEAVMEFCDEVHPLAQSIGAVNTIVKRHSDGKLVGYNTDCEASITAIEDALKARGLVNGEALLPSPITGKQFVLVGAGGAGRALSFGAKSRGARVTVFDIDYERAKALALAVSGEAIPFEELSNFQPEKGAVLANATPIGMHPNKDRIPVSEETLRDYSVVFDAVYTPRKTTLLKDAEAAGVITVSGVEMFLRQAVGQFNLFTGGQAPEEFMREIVLSKF >cds-PLY82828.1 pep primary_assembly:Lsat_Salinas_v7:1:86388991:86393118:-1 gene:gene-LSAT_1X73041 transcript:rna-gnl|WGS:NBSK|LSAT_1X73041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDRRTRAFDESDITTIALASSPSSSTSLSPFYPDNNNNATSSSPNYFLSSSSRSRAFVTDIEKLKLSNKAHLLEIESLMGYFLEDGIQLGSNLLQAVEFLVSGPIDKQSLLDSGILCCLIYILNALLGPDGRNTRKKVTSIEEEPEAMDSPGPDRRLEVEGSVVHIMKALASHPTADQSLNEDKSLQLLFEMVAXGDFSTLSLSVAIYILTNVISFSGSGRLLSGETSATPQVSEPDVHTHTITFWTNGFTVNDGPLRRLDDPQNASFLESIRKSECLEELRLAKGRAPVHASLVRKLEDYPVSNLSHFLISYTILLGCFSPMQNMQVALMLAGMGSSADVDTVSKFIDLESLSGVTKEEWRYGE >cds-PLY66853.1 pep primary_assembly:Lsat_Salinas_v7:7:20467084:20471924:-1 gene:gene-LSAT_7X15980 transcript:rna-gnl|WGS:NBSK|LSAT_7X15980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFEKRKKATLATMSSPEPDKSPKGDIDTPIIPLLKTLNSHPSYFTTSSCSGRISILSTPTTTTTTVKKKAKGGNWVFITHEPADPNSVQNLIFPPNSTTDSLQSDNPENLVFRFEPLIIAVECKDVLSAQKLVSLAISCGFRESGITNVNSKRVIVAIRCSIRLEVPLGDTHKIMVSKEYLTYLVGIANEKMEANRKRGDGFHDALLKNELIMRPENTVTGDYEFLNMNQDLGDKDFSMINGGVDDFQLTKEIKDDASNGFTLPIKPMIITGEPIEKLHLWGHSAITLDTTKNPKSVLVFGGFGGMGRHARRKDTWILDSVSGQLQLVDYGSTPSTRLGHTASLVGELMFVIGGRGDPGNVLNDVWVLNTMNYEWMELQCNGIDFPQSHRHATAVIGSSLYVFGGIHNGNISSSMYKLDTHTMTWEEVNVQGAKPSARHSHTMVAYNSQLFMFGGYDGVKALGDFYTFDIHSSTWRKEKVVGSTPYARFSHTMFVYKNYIGVMGGCPIRQHYQELSIFEVDKSLWKRIKLNSIGEDLFVRSTTSIVGDDLVIVGGGASCYAFGTKFSEPIRINLLPLLSCDTKDTRIEKISNGVLDLNLEGIYGKKIVPLRWVLRVDKRHAKPTKDILKKFGWLDLERKVYTQESGVYVCFPITKEFVAIYQDKKPRLNEGVDEVNDLNLQENFKVISCERALDLLVAFGGTTHADHVVKVRKASSSPLKVMKEVVASLLNHHNLPLTLLEELPTRWERLGDIVVLPITSFKDSIWDTLGKELWLSVAESLGASRLARQGRVSPTGTRDSGLEILVGVNGWVDHCENGVIYSFDATKCMFSWGNLSEKLRMGKLDCKEEVIVDLFTGIGYFTLPFLVKANAKMVYACEWNPHAIEALKHNLEANGVADRCIVLEGDNRVTAPKGVADRVNLGLLPSSEGSWETAVRALRSNGGMMHVHGNVKDTEEESWTQHVSKSIKEISRSQGYNWDVFVEHVERVKWYAPHIRHLVVDIRCKQIES >cds-PLY64700.1 pep primary_assembly:Lsat_Salinas_v7:7:135889957:135890450:-1 gene:gene-LSAT_7X82021 transcript:rna-gnl|WGS:NBSK|LSAT_7X82021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTREDEGRFNRWERKRFLPTLKEEERKNEGGTERRRKNGGAAGREERMGTLGHKALSATLSIFYFRPLLSGQVQSPPLHPLPTKLRSPGSDVVVGKDATSKATRTVACRLSFTAELDHHVELSSSTFCVADT >cds-PLY77876.1 pep primary_assembly:Lsat_Salinas_v7:1:24025741:24025998:-1 gene:gene-LSAT_1X20601 transcript:rna-gnl|WGS:NBSK|LSAT_1X20601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFNMKLFAFLMSLFLLVPLFFTTSQGRPTRTKTITTRVGGAPTQQQVLSKSHAQISIGSYPVRNLTTEKDFRPTTPGHSPGAGH >cds-PLY64315.1 pep primary_assembly:Lsat_Salinas_v7:4:25122136:25124347:-1 gene:gene-LSAT_4X17901 transcript:rna-gnl|WGS:NBSK|LSAT_4X17901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEMADSRKQENVCNKKPRKKGKIIEQNNNNPMRTIRIICHDPYMTESSDDEEDEPNKKLYGSKAIVREIKIPVAVTAVGEMKSPVTEGSCHGSNNNSNGEGKGKRVLTKTPSQPQITSGLKYRGVRQRKWGKWAAEIRDPFKGRRVWLGTYNTAEEASKAYEIKKLEFETMAEALKIQNQNNNEKNKKPLIVIPSDHQKQSVSEDSGGATPHASPSSVLEVESSSPSKPIKNNVKEIETLATTTTTTTTTIDEDHFNVKPMEMEMEMFTEPFDEAMLLADIGKDLDIEAHFLGEILTPTPIDCFEDIPIYGYDDIDSTTLPCDYFDDLNIEEHWMNTYNIDDPLTG >cds-PLY95123.1 pep primary_assembly:Lsat_Salinas_v7:1:93962676:93963542:1 gene:gene-LSAT_1X77780 transcript:rna-gnl|WGS:NBSK|LSAT_1X77780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIYDPNPVTLRALQRTNIELILDVPNIELESLTNPSNARTWVQNHIQNYPEVKFRYIAVGNEVDPNSDNTVNRQYVTFVLPAMQNVHRAIVDAGLDKQIKVSTATYAGLLENAYPPSEGVFYKNVTNTFIGPIITFLAQNNLPMLANIYPYFACQRSADVNLQYSLFTSGPLVPDKDLMYSNLFDAMYDAHYAAQARLGGENVEIVVSESGWPSYGHRVATPENARTYYRNLIAHVKQRNGTPARPRRFIEVYLFAMFDENLKTGDVVERNFGLFYPDQRSKYQLGF >cds-PLY77756.1 pep primary_assembly:Lsat_Salinas_v7:2:169011812:169017339:-1 gene:gene-LSAT_2X92580 transcript:rna-gnl|WGS:NBSK|LSAT_2X92580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G28030) UniProtKB/TrEMBL;Acc:A0A1I9LM66] MGVHGLWDLLSPVGRRVSVETLAGKRLAIDASIWMIQFMKAMRDERGEMVRNAHILGFFRRICKLLFLRTKPVFVFDGATPALKRRTVIARRRQRENAQTKIRKTAEKLLLNHLKAMRLKELANDLENQRKSNGDKGKGVITDKADLAGTTSKTNPPNTKGFDQEALDAMLAASIEAEENGGIIGDASTSGAGVLAEDEDEDEDDDEEMLLPTLHGKVDPAVLASLPPSMQLDLLGQMRERLMAENRQKYQKVKKAPARFSELQIESYLKTVAFRREIHEVQKAAGGTGIGGVQTSRIASEANREFIFSSSFTGDRQSLASVGVEANSNVKSQMSTQHSSTGSVNGVESTNKSNSTIESFINEPRKRFSEDVETYRDDRGRIRVSKVRAMGIRMTRDLQRNLDLIKEIEHDKTKPVGMFNDRITHCKETEFPSELLEISNLSSPSYQDPLVFPNKTNLETVPVSGTSLEVSFEYDKEQQFVDSDDDVFASIVADNTSSAKQPVITLSDGEWEEGMPGNSKGEKPADCCGDESEVEWEEGPSGNFELGSVCEVEHKETEVEWEEGPSGNFELGSVCEVEQKETEVEWEEGPSGNFALDSICEVEHKEAVSKGELLEEADFQEAIKRSMDDMRCAKDIDTSSSSPEEFQDDKAIDANDSPTAQLEATTVNDPNMIEVQMTTKCLPEVSNKDASDDCNFQGETGRVDLVNPTEESGVELGNEQHEDNSREDDDVIIVDGQQHEVKALVIDQILETTRPEATSVKESKSDFDIVQKVPEGRDRNASYEERNDDVSNFVLSDMSKEQIEITKANLEEEMTNLTKERTDLGDEQRRLERNAESVSGEMFTECQELLQMFGLPYIIAPMEAEAQCAYMELENLVDGVVTDDSDVLLFGARSVYKNIFDDRKYVETYFMKDIETELGLPREKLIRMAMLLGSDYTEGISGIGIVNAVEVLNAFPEEDGLHKFREWIESPDPSILGKVGGKEGSNKRAKRSNSNAGEASTADQNVSKPDDDMDMMKQIFMEKHRNVSKNWHIPSTFPSDAVISAYASPQVDKSTEPFSWGKPDLFVLRKLCFEKFGWGTQKADELLQPVLKEYNKHETQLRLEAFYTFNERFAKIRSKRISKAVKGIAGSKASKLIDENEEDKPNRKKRKKVKLEEGKNDIETEQLIIQEEHRKNIKGSGVRGRGRGRGRSQSVRTNENNLELSATSSDDGNNTNSDYMQETHGEHSKGQHEIRRSKRVRKSVIPMNNEDSEMDEPRTQEDVGNVEPSKEEETLFNTAAIDSGGNNDHEFTLSEIRVHLDEAENWCQNDENPPEAELSNEYLKMGGGFCLDEDEGDKEPGESACSPTQVLNEPSHEGGAEASISQNVSQVNLEEDEDEMRPVSFLSGIPNLRRKRKKV >cds-PLY87767.1 pep primary_assembly:Lsat_Salinas_v7:1:46434222:46435287:1 gene:gene-LSAT_1X40801 transcript:rna-gnl|WGS:NBSK|LSAT_1X40801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLVEVTESSIESTSSLILIDNKRGVSEFSLDFIKDRVKIRASCNGLLCCSSVPDKGVYYVCNPMTREFKLLPRVRERPITRFYPDGEATLVGVPCDLLNNRYSVVLAGYHRMFGHRPEGKLICSVYDSESNKWRKYVSDQDDHGFTRMNRNQVVFVNGSLHWMTQTFAYILVLDLNFDVWRRILLPDEMGCGNGSGNRVYLLELDGKLSVIQISSVWMNIWVLQDYENGHWDLIDRVSLRCIRGMVPGIFPISQGGDYVFLASHKQVLVYQRKTRIWKEMYSVKNNSTMPLWFSAHSFGGTILSCR >cds-PLY65686.1 pep primary_assembly:Lsat_Salinas_v7:5:274591333:274592917:-1 gene:gene-LSAT_5X145561 transcript:rna-gnl|WGS:NBSK|LSAT_5X145561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNIIATLNFIALLCSIPIITAGIWLASKPDNECIRWLRWPVIIIGVLFLFLALTGFIGAYWNKQGLLAFYLFCNAALIITGLILLILAFVVTRPSGAYSVPGREYKEYRLMGYSEWLRDHITDSDNWGNIRACLASSSICTKMTQDSYTAPQFFASNISPLQSGCCKPPSVCGYQYVNPIMWVNPTNPMGDMDCPIWNNDPNQLCYNCDSCKAGLLGNLRKEWRRANTILIIAIVALISLYVIACSAYRNAQTEEIFQRYKHGWT >cds-PLY86880.1 pep primary_assembly:Lsat_Salinas_v7:5:110239537:110239884:1 gene:gene-LSAT_5X44200 transcript:rna-gnl|WGS:NBSK|LSAT_5X44200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAAADHIFRVGVTKVRMRPLRNTIVCPKEELQDAEVERRILYEQNCVVAREKAILEEHLLTLEDRTKLLEDQVSFLTREKNILVNKLARCQRQLARACVDGAIAWGDLQWVQEK >cds-PLY89256.1 pep primary_assembly:Lsat_Salinas_v7:5:310846320:310847130:1 gene:gene-LSAT_5X169921 transcript:rna-gnl|WGS:NBSK|LSAT_5X169921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTDHRNLNSTTIHVMALDGIVNVNSLFTLGLFLGLAVNPADPNYTLVDSSSATTCLMSSSTAEKLVAFHVYSFSSFLFSSLIAFALKQAIRTAKDGDTRIQVSDDTIAHVNLRVLRAGILASATGSVSGCLFLTAALVDLVEIKLGKLGCSDWYGVAAVVPLVVLVPLALVFYICIVLHAFAT >cds-PLY66260.1 pep primary_assembly:Lsat_Salinas_v7:3:48025691:48026549:-1 gene:gene-LSAT_3X37821 transcript:rna-gnl|WGS:NBSK|LSAT_3X37821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHQMNLLTSFGLLFIISAGFFRSCYGYTFHVGGKNGWVIDPRESYNHWAERNRFQVNDTLVFKYKKGMDSVLVVNEEAYHNCNKTDPKETLNDGYSVFKFTRSGPFFFISGHDGKCENGEKLWIVVMAVSHRTHTVHSTAAPPTPASATLPPTTPAPPKTAAAPMPSAMLQGTDKPKMHAPAPATSGVASTAFGGWVGLILGLGLVLGF >cds-PLY70223.1 pep primary_assembly:Lsat_Salinas_v7:9:48768:50687:1 gene:gene-LSAT_9X4901 transcript:rna-gnl|WGS:NBSK|LSAT_9X4901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDSPLPAEHSHGSPLRRRPCDFCNKSTALLYCRADNAKLCLSCDREVHSTNQLFTKHTRSLLCDGCDSSPASIFCSTDAVVHCQNCDWETHNKSNSNSNSSSPATHDRRPLEGFTGCPSVTDLLSILGFEDLSKKGLLLCGSCEEGSDSYGSGDKFPDYLVWDTPSFVSLDDLIVSSGSEHNYQAMGVPPLPKNRNAAFGAYKDELVRQLRELAKSDPNVDGDEGIIKPINDEFQPIINMATTQNNRSSGFEHNKLEEQQVAYSSNEANTFQWCPDVCDAVGQDLYSDQLHNATETQCIVPVEKIDTVHHSITAENTQVFPNIFVGVREINSQERETALSRYKEKKKSRRYDKHIRYESRKVRAESRIRIRGRFAKMDRLDV >cds-PLY93542.1 pep primary_assembly:Lsat_Salinas_v7:2:173743171:173751276:-1 gene:gene-LSAT_2X95480 transcript:rna-gnl|WGS:NBSK|LSAT_2X95480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLWKLVVGQAEMKRVQGSCFYIVVEEAMASEWLGQAHTYHPPSFLKKQRRDLGYTKNSNSSSPPLETLDVPPVQTIAPPSDENSLHETLATMNIPYLCLRE >cds-PLY86416.1 pep primary_assembly:Lsat_Salinas_v7:3:202475344:202475665:1 gene:gene-LSAT_3X119961 transcript:rna-gnl|WGS:NBSK|LSAT_3X119961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVLVVKGDVFVEGYSSGLDVEKKWKEKAQVVYDRKKQHNKQHAKAEKAAAEKVGPQLEILAPVTY >cds-PLY94910.1 pep primary_assembly:Lsat_Salinas_v7:4:111536902:111537325:1 gene:gene-LSAT_4X69920 transcript:rna-gnl|WGS:NBSK|LSAT_4X69920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCALIVGSVFWDVGSKRNSSQNLMVVMGALYTAVMFFGVNNSSSVQPMIAIERTRAAGMYSAAPYAIAQFVCFFFLHGLVEIPYIVA >cds-PLY79457.1 pep primary_assembly:Lsat_Salinas_v7:9:4102152:4102340:1 gene:gene-LSAT_9X5821 transcript:rna-gnl|WGS:NBSK|LSAT_9X5821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATAKPVMIVGVDDSEQSFFALEWTLDHFFVSSAPHHPFELIIVNSKPTPPSSIGFAVPGN >cds-PLY73933.1 pep primary_assembly:Lsat_Salinas_v7:3:39565242:39567070:-1 gene:gene-LSAT_3X29321 transcript:rna-gnl|WGS:NBSK|LSAT_3X29321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSVSVKFYSVLHKFILKRQLQTLTETHTKIFNQFGVVSRPDESVAPANPSFSEDGVATKDIHIDPLTSLTLRIFLPDSVLVKSRGLKQSDGVYGGYSPALGDNLRKLPVVLQFHGGGFVTGSSVSLANDLFCRRIAKACDAIVVAVGYRLAPESKYPAAFEDGVEALSWLAKQANLAECRISGRVNLRRRQIVDGFGSSMIEPWIAAHADLSRCVLLGVSSGSNIANYVTQKAVEAGNLLDPVRVVAQVLMYPFVIGNTPTKSEIKLANSYLYDRTMAMLAWKLFLPDDQFNLDHPAANPLMTAKRIPLKHMPPTLTIVAEHDWMRDRAIAYSQELRQVNVDAPVYDYKDAVHEFATFEMFLKTPKAQACAEDIAIWVKKYISLRGLEFSY >cds-PLY99520.1 pep primary_assembly:Lsat_Salinas_v7:1:113553858:113554127:1 gene:gene-LSAT_1X89161 transcript:rna-gnl|WGS:NBSK|LSAT_1X89161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPRTVRDEIDGCSRKAYQFLTVKDAKEMQLSSSDQDLFHYIKEVTFFLSKYIGIYNKS >cds-PLY65661.1 pep primary_assembly:Lsat_Salinas_v7:5:270801044:270806857:-1 gene:gene-LSAT_5X141221 transcript:rna-gnl|WGS:NBSK|LSAT_5X141221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACVYIPVQNSEEEVRVALDQLPRDATDILDILKAEQAPLDLWLIIAREYFKQGKVEQFRQILEEGSSPEIDEYYADVRYERIAILNALGAYFSYLGKIETKQKEKEDHFILATKYYNKASRIDMHEPSTWVGKGQLLLAKGDVDQAFSAFKIVLDGDRDNVPALLGHACVEFNRGKYSSSLEIYKRVLQVYPECPAAVRLGIGLCRYKLGQFERAKQAFERVLQASHMLTTFYPENVEALVALGIVDLQSNEASGIRGAMEKMQRAFDVYPYCATALNYLANHFFFTGQHFLVEQLTETALAATSHGSTRAHSYYNLARSYHSKGDYEKAGLYYMASVKEVNKPQEFILPFYGLGQVQLKLGDFKSSLSNFEKVLEVYPENCETLKAVAHIYLQLNQPTKAHEALKKAVRIDPRDPEAFLDLGELLISTDSGAALDAFKTARNLLKKANEEVSIELLNNIGVIHFERGEFELAQQTFKEALGDGIWMKLIDSEAQSDSFRKVLHQPMDASLAARIYKDMQLFHNLEKNGITVELPWDKITTLSNLARLFEQLHKTETASILYRLILFKFPEYVDAYLRLAAIAKARNNVPLSIELIRDALEVDDKNPDALCMLGDLELKNDDWVKAKDTFRAAKDASNGKDSYATLCLGNWNYFAAVRSEKRAPKLEATHLEKAKELYTKVLVERPANMYAANGAGVVLAEKGQFDIAKELFTQVQEAASGSVFVQMPDVWINLAHVHFAQGNFPLAIKMYQNCLRKFYYNTDSQILLYLARTHYEAEQWQDCKKTLLKAIHLAPSNYTLRFDTGVTLQKFSASTLQKTKRTVDEVRATVAELKNAVRLFSQLSAASNLQIHGFDEKKIETHVGYCKHLLEAAKVHCDAAELEDQQNRHRLELARQAAIREEESRLAEEQKKVKLEKRKQEDELKKVMQQEQHLERIKEHWKSSSGSKRKDRGQEDEEGGQGGERRRRKSSNKKRKRDKKPMDDDQQDYEETNYYQPDNQTNDNDNDDNDNRLAAAGLEDSDADDDTGGAPSSNTGRRIRGWSESEEDEPEKRQPSSSPVRDNSAEMQPSDGE >cds-PLY81593.1 pep primary_assembly:Lsat_Salinas_v7:4:217563268:217564131:-1 gene:gene-LSAT_4X122501 transcript:rna-gnl|WGS:NBSK|LSAT_4X122501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDYSGFLQSLKRNTNSHLNLLVKKYELGYWRCQLTCNEKQGSKSNADSFQPDKKRSRDISSKNKRLLIESEDALELKYTWEELQDMLTPLNALNPSSVTIEDQEFEEYEEPPVFGKGSILTGSSSNSGPNVITVQNGDAKWTCQENVWDHNRSACVAPDELRPRELDHLLRVAKGTTTFMQKDENALLIKNSP >cds-PLY76673.1 pep primary_assembly:Lsat_Salinas_v7:4:117108571:117110694:-1 gene:gene-LSAT_4X74321 transcript:rna-gnl|WGS:NBSK|LSAT_4X74321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATITLFPLSLAHSKVRTPNMKSPHLIIFLVIFFINDSIAADPATSIIFTTLGRSRYAFDIFSLPIDTTGTEIQLTDGNSVNFNGYFASSFNGNPITNPTFVDPQSTHLVYVTERNGSSSIYLDSIYHSGVDSTRKRSVLEAELTRYQHRLVGGSGGRISMKDRPSLVGDFLVYVSTHEETGVGRTSWAAVYSTQLSTKVTRRLTPQGVADFSPAVSPSGLWTAVASSGEKGWGGEVQELDTDIYVFLTQDGSRRVKVVEHGGWPSWADESTFFFHRQSDDGWWSIYMAILPKNKLFTVNSVTTQRVTPPGLHAFTPAASVTKKNIIAVATRRPGSDYRHIELYDIVSGSFKDLTRSIAPRANHYNPFISPDSSRVGYHKCRGSGSNSEVINNDMFLENIQTPKPRISLFRIDGSFPSFSPDGNQIAYVRLPGLYVVNSDGSDHREIAPDITAFSTAWNPTRKGVVYTSIGPTFASEATDVDIFAVEVDKDEPTFTKLTFGGQNNAFPSVSPDGKYIVFRSGRSGHKNLYIMNALEGEKGGLTQLTNGPWSDTMCNWSPDGDNIAFASDRHNPGSGSFALYMVHPNGTGLRQLIHSGSFGRTNHPWFSPNGKYIVFTSDYAAVSAEPISNPHHYQPYGDIFTMKLDGSELTRLTHNSYEDGTPCWGPKFMKPKDVEWPKGEEKCSFEDCTWLAISNSRDGEKIQCGG >cds-PLY62454.1 pep primary_assembly:Lsat_Salinas_v7:1:83976294:83979848:-1 gene:gene-LSAT_1X69101 transcript:rna-gnl|WGS:NBSK|LSAT_1X69101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPGSLLWPVTEPRLQLYFLLGLVYSTITPILLPFIIVFFECAYMFIHHINTSQDSNLMPSVDLKNAILVNRNLEDSMNSGNIMFLRFAHLLLISWLCKLLGFLYVNLVMVKILCSGDIPAATEEDVNVFVDATHKALQISSQESIFNHGSCHTRTDTSNSRCFVVNACSKKPDQNIHLESKHDVRCFALDINVPSQFMRNLIDDNSSSELLEGTQIQDLYDLLEVKLVDFQINLFVSFYPSYYFPILEKLNASSALALRIVQDESLLKAMEVYVVVAPFSVGSVNFRFHIRKVSILLTDEKILMGSLLFHGIITANVMEGSVDSELQVNYNNIHKVILTNATTMPFDVRFDIPFGVSLKILDPVYPGHEFPLPLHLAESGRIRWRPLGNTYLWSEAYSISNILSNESKIGHLRSFVCYPNLII >cds-PLY70667.1 pep primary_assembly:Lsat_Salinas_v7:5:72325856:72326119:-1 gene:gene-LSAT_5X33941 transcript:rna-gnl|WGS:NBSK|LSAT_5X33941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQQCKKVENESTPESNSFTVRAMTILVVPSSVFGGSNGAPTSPAATTEFFITCKWNVSGARWWFGNACRQGSRWNQVAGLGDATGG >cds-PLY70974.1 pep primary_assembly:Lsat_Salinas_v7:9:71989819:71990589:1 gene:gene-LSAT_9X59001 transcript:rna-gnl|WGS:NBSK|LSAT_9X59001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATMDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLLSKEATVGEKQFSSQGHQGNFSKNEGAGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIEPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGVNFNGNRQGYIPKSGARFQNQYYGLLLKSISLILYKKGTADLILYKNSRTDTYLSL >cds-PLY68866.1 pep primary_assembly:Lsat_Salinas_v7:3:61791105:61798445:1 gene:gene-LSAT_3X48361 transcript:rna-gnl|WGS:NBSK|LSAT_3X48361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEEYSYDDAIEGLKQLLSEKSELETIAAAKIKQLTADLEAASTKAVNPVERIKTGFAHFKTEKYEKNPDLYEELCKGQSPKFMVFACSDSRVCPSHILDFQPGEAFMVRNIANMVPQYDKIKYSGVGAALEYAVVHLKVENILVMGHSCCGGIKGLMSIPDDGTTHSDFIEDWVKICDIAKTKVKAEFGDLDIAELCTHCEKEAVNVSLGNLLTYPFIKAAVLNRSLSLQGGYYNFLKGSFDFWCLGHAISPSLSL >cds-PLY68859.1 pep primary_assembly:Lsat_Salinas_v7:3:63792895:63798045:1 gene:gene-LSAT_3X50661 transcript:rna-gnl|WGS:NBSK|LSAT_3X50661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSSPPPYLPSLILSLCFSFFLDGSTAPASLWYYSSASLSPPSIQAINKDRKLFLVSLYYLIWGEAANVRFLPECICYRFHHMDREFNAILDHRQASPAQSFICEDNSVSFLAHEAERNNNGKAAHSAWRNYDDFNEYFWSPTFIFVVYDMNYLEIARYAFLFVLGGIFMAKSVSDGEGNPMQKPNKRNDMESDSSQKEKEDDGKRTSVQIDPKWS >cds-PLY83499.1 pep primary_assembly:Lsat_Salinas_v7:8:115964712:115968426:-1 gene:gene-LSAT_8X80560 transcript:rna-gnl|WGS:NBSK|LSAT_8X80560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRKLSLVSSIFLLLVVLLPVVFSEIPLGSRLSVESKNHWASPNGDFAIRFFNRLNEYGIGIRINSGLIPVEKQQVVWVAGGDLRVGDKSYFELTNNGDLVLFDSTRGVVVWASKTTNSSVASAVLQNDGNLVLLNNKKDIVWQSFDTPSDTLLPGQNLSSSQVLRAASRNSVSSFYSLRIGAIGDLELKWENDIVYWRSTATPNQSNLRAVLASDGAFQLFDKTSSPVWSVFSQDHGDADVKFRILRLDVDGNLRLRSWTKNSWKVVWQAVENQCDVFATCGVTGICAFNETGIPVCKCPYSLSSVPSSKCLLPYQQTCKSGSSMIKLDHTSLYAIYPPNETIISQISSDQCQNLCEQDHLCTASTFMNDGTSKCRIKKTQYVSGQSGFSVSSVSFVKRCSDPIAVIPNLSKSKPANPSQNTPIQDPHSSICVSCVIGIGGVTILVFVVIHLGLTGFWFYRRRYSFKTRAFSGDGPNPSGFLALTYIELKDITDNFKHRIGKSTFKGVLPENQPVMVKDYGAMNVDPRKFRRLILKLGSIHHKNLVRLEGYCCDPSYKFLVHESLTNGSLESCLEDPGVRKRLTWRKRLDLLLAVARAVSYLHMGCREFIGHGSLGCENVVLDENLEAKVNEFGLESFLGGGGDGGGGGGVCARDIRDFGSIVLAVVSGDPKAERGGCDWAYEKWVGGNVAEIVDKTVEGGVDGDELERVLRIMFWCFQSDERMRPSMGEIVNVLEGAVAVDPPPHPSACCKIPSEEGSDSDSI >cds-PLY96715.1 pep primary_assembly:Lsat_Salinas_v7:6:76345107:76361161:1 gene:gene-LSAT_6X56501 transcript:rna-gnl|WGS:NBSK|LSAT_6X56501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEGLVRQLILGYLGQYIKDIHREQLKITLWNEEVFLENVELILEAFDYLELPFALKQGRVGRLSIRIPWKKLGWDPIIIILEDILVCASQREDEEWSVDDVERREFAGKKAKLATAELAKLSQRVCDNDNQTGKSFMSYITAKIIDGIQVTIRNVHVVYRDRDISNDKAQTVFGLKLASLTAMKQNLVGVLSGKVRAGQVNKLVEIQGLEIYCKTFHGSAEDLYTNNGEDSMSMVAASFQNDEHAHLLSPVDVSASLSVNRSGRLENNAAQYSVDIELSSLVLSLDEDQLQQILYLYDYLCTCRIREKYGRYRPWGIPISERPLGWQIQWWHYAQNSVLSDVRKRMKKTSWKYLGERLGRKRRYVKLYKLKLECLRKEQPLDDEIVLELDQMEKISDIEDILSYRSAAEHELQEFLVDSASGFGNSEANTSIDKSMDDDQTSGKPQGWLKWLSRGMLGAGGTDDSSQFSGVVSDEVIKDIYEATKFHPAPSPVLDAAGSDRILLSSIKCSIHRISATLRNKNLDCAIGELVFEENLVECMIWEESAVVTASINGVEMINPLNKQVVLCVKRVISEENFIEVEKPSLNIQAYIPQANREGDLTLKVLLEPIEMTCDPTYLVNFMELYTVLASFQSHEGRVLNSLNGIKDMKSRLISKAEYMLSGRKRMMWDISLINIKIIIPWENEKSEIHKLVLGLTAVTFSSKHDVACFAPDINVPSQFMRNLIDENSSSELLEGTQIQDLYDLLEVKLVDFQINLFVPFYPYTFPILEKLNASSALALCIVQDESLLKAIEVYVVVAPFLAHVSPSIIDSVLKLVETIDMLHQASHLGATSATSSFDTDSNNLNNFSSISVIVNLESASFIVDLENGLDASCTLTVSLHDLDMRLITMKHTKSFWICTQGLKVTSRLLKNDDDMDLINVSTNGPSHEEEINVSPNGCLMLHHDGDLNIWLSDLDLHCYPHIIGLLIEFFDKLPEFSPSHDDKNQEFVGSNSNSDNNSVLSGSYFDFQRFGCSNFYDTCSSDWESISVDHYPFVTIHNDRSLLSLESSLININPDWKKVVKIREGKINCSKSRAKKEFQKQSDLDLVVINLDLKSIRLHLHDSSSIVASFTLPTAKSSISIHESCLDLLCSTEGLSLSSQWFPQTLQDSLWGPALLNLSPVINIRVRKGNHGMELDFSIQNVSCILPTEFLAVLIGYFSMPDWNSNAKESSGIDNSKITDSFPFTYKFEILDSVLFTPVANADYQFLKLNISQLYFTFIENSDSDILLKEIPLECSVPPGFIGDKIYCLNVFGRDLSLHHILWKDNASEVTSVSLIAPFSGDIWIRIPYGSDSPCATCIMSRVNKCQFIVEGSEILGCFEALLAVIDQFTSVETLSMCFTSDVSEFLNSKESFKENHVLPVPIESSSVSYTEIRCSVQSMSVEIYSDKIQSDATKHIAKTDMKFTCSVSLKNEKPLSLDVSFTCLTLSSVLTSVVLLECTSCTKKVPVLNMKLLMSDEGENHLRFSLPCVNIWLFLSEWSQVVDLVNSCCEHLSKPAIQNEEPEKSISEPVSRVDTAENSPQSINVSGSLSPEDRFSLAVKSDHIGIKIRVPVQVSGEAFKYFGAPQVREQNSFVGRDDGSFLYIYLESRCTEANINGEKVNLKSNLGKAMGTVELFQNKSVHSWPLFQLLEIDIEAEVGNDDMDLMDLKTEVHCDNLDVWLSHHTFYFWQTMLFVFPEDSGSSQLPVGSVNFRFHLQKISILLTDEKWSSNGPLLEILMGSLLFHGIITANVMEGSVDSELQVNYNNIHKVLWEPFLEPWKFQVSLRREQGKSALQNSPVMTDVHLESTMNLNINVTESFIEVAFRTFDMITDAWDLMSLNVFPENSRLTTAHTNENTLASRYAPYTLENLTSLPLVFYISKASKKADGFNILKDGKYVKPGSSYPVYIDDNTDEQTFGFKPSHSTDNLGDKKLADAQHHYIVIQLEGTSTLSTPVSIDLVGVSFFEVDFSNSVDNSRDISKGVNNGYVVPVVIDVSVQRYTKLVRLYSTVILTNATTMPFEVRFDIPFGVSPKILDPVYPGHEFPLPLHLAESGRIRWRPLGSTYLWSEAYSISNILSNESKIGHLRSFVCYPSLPSSDPFRCCVSVHDVCLPSARRVKKGSNLYVHDAIADKIENQDLSNKRCIHLITLSNPLIVKNYLPVEVSVMIESGGVSRSMLLSEVETSFCHIDSSHDLSLTFGIRGFRPSVLKFPRAEKFSEIAKFSGTKFSSSESINFTADISKGPLYVTMEKVMDAFSGAREICIFVPFLLYNCCGFPLMIANSTNDLTMRDTLPSCYDLDEEDPFLGKKDGLGLLSSNQILNNDGMTMRFPLNNNLVSTRKESFGSSGSSNKNIGTQKPSLYDQEKSLVPNSQQIDFDETSRKKVNFRMYSPDPNIASSSEIMVRVSRSHSESDVASTSNYTWSSQFFLVPPTGSTTVLVPRSSTNASYVISVASSAISGPYSGRTRIINFQPRYVISNACSKDLCYRQKGSDFIYHLKVGQHSHIHWSDITRELLVSVRFDEPGWQWSGCFFPEHLGDTQLKMRNYVTGAVSMVRVEVQNADDAIRDDKIVGNPHGDSGTNLILLSDDDTGFMPYRIDNFSKERLRIYQQKCEAFETVIHSYTSCPYAWDEPSYPHRLTVEVFAERVVGSYTLDDAKEYKPVVLPSTSEKPERRLLISVHAEGALKVLSIIDSSYHIFDDIKISRSPRLTDKREYDQKQESSVLYKERLSVSIPFIGISVMSSQPQELLFACARNTSIDLVQSLDQQKFSLKIFALQIDNQLPNTPYPVILSFDHEYKQMATSPIKSKDASCEPVFSLSAAKWRNKDRALLSFEHINLKMTDFHLELEQDVILSLFDFFKAVSSRFHSRGMPHMDSVLLPLSSNFSVNKTSKFSETEKAEGGDLVDNESFPLLPSILPIGAPWQKIYLLARKQKKIYVELLEVAPITLTLSFSSSPWMLRNGILTSGEFLIHRGLMALADVEGARIHLRRLTISHQLASLESIREILIIHYTRQLLHEMYKVFGSAGVIGNPMGFARSVGVGIKDFLSVPARSFMKSPAGLITGMAQGTTSLLSNTVYAISDAATQVSRAAHKGIVAFTMDDPSASEMERQQKGMSSHGKGVINEVLEGLTGLLQSPIRGAEKHGLPGVFSGIALGVTGLVARPAASILEVTEKTARSIRNRSKLYHMGSQRLRVRLPRPLSPNYPLKPYSWEESIGISVITNSDSDDTLMLKDETLVLSKSLKQGGKFVIITERLILIVSSTSLVNLGKPEFKGVPADPDWVIEAEIRLDSVIHVDVDEEVVHIVGSSSDVVVRQNVNRGGGGGKQRWYNPPTPLPLFQTNLECSGKEEAGELLKVLLVTIEKGKERGWGCVYRLHQSNVR >cds-PLY94774.1 pep primary_assembly:Lsat_Salinas_v7:2:176345504:176347455:1 gene:gene-LSAT_2X100441 transcript:rna-gnl|WGS:NBSK|LSAT_2X100441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-xylose transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G28315) UniProtKB/Swiss-Prot;Acc:F4IHS9] MGELTGYQLGVIGALFLSVASSVSIVIVNKALMSNLGFPFATTLTSWHLMVTYCTLHVAHRLNFFENKSIDMKTVILFGILNGVSIGFLNLSLGFNSIGFYQMTKLAIIPFTVLLETLFLKKQFSQKIKLSLFLLLVGVGIASVTDLQLNFVGTLTNTIQKRLNVTSTQLLYQSAPFQAAILFVTGPMVDQYLTKQYVFAFKYSPLVLGFIILSCVIAVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLGFGYTLLHDPFTQRNIIGILVAILGMGLYSYFCTHENKKKQMLDLSPASQIKDKDSNTPLLGHQDKENHFEAKKATKDSLV >cds-PLY61913.1 pep primary_assembly:Lsat_Salinas_v7:4:219986056:219986722:-1 gene:gene-LSAT_4X119980 transcript:rna-gnl|WGS:NBSK|LSAT_4X119980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSSIYERLWNGVQWVIAPHELPIPAGYAVSVFLINHILLALSEAGILYQMQLCENSQRIQIKYTPIQDSSTTMFIKSSDISHNTERIYFCTKNVLLLELTEADHPRWIKHGKPASADVAAIINALKIRA >cds-PLY90895.1 pep primary_assembly:Lsat_Salinas_v7:1:57720755:57721021:1 gene:gene-LSAT_1X49461 transcript:rna-gnl|WGS:NBSK|LSAT_1X49461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALMPTIVTLVALLPQFQRRFAKTTIPRSLELVSASNEETLDKARSYLMEGVHLLNDVSTRTKAHTELLASPKLKFEEAGAGTTSQGS >cds-PLY97142.1 pep primary_assembly:Lsat_Salinas_v7:4:359158307:359158938:1 gene:gene-LSAT_4X176640 transcript:rna-gnl|WGS:NBSK|LSAT_4X176640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENGSLNEWLRDLTCQESQTWNNRIRIVLDVAKERGGNGNSSITCRFESKGYLAPEYLEAGFETTNTDFFVTNGKKVVYEIDDNDDGGQVMLCEEVVSIMGDENNVKCKVNYIIDPRLKARHALGFVIDQDELELRLVKPSIGCLESEPSRRLSMNEIVSTLMMIQMDAQRSHTMFMV >cds-PLY88914.1 pep primary_assembly:Lsat_Salinas_v7:3:118135387:118135790:-1 gene:gene-LSAT_3X83640 transcript:rna-gnl|WGS:NBSK|LSAT_3X83640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEPKHDYAYPAQGEGYNQESSVIAPPQYAAPPPPRRRGFFEGCLAVLCCCCFADECCCDPNIICF >cds-PLY89573.1 pep primary_assembly:Lsat_Salinas_v7:4:365601022:365601808:-1 gene:gene-LSAT_4X178320 transcript:rna-gnl|WGS:NBSK|LSAT_4X178320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPPTQITDDAAPRNAAAAARPPSPTCFRILPSRKCVDGAHNRRFLILCSWKEYETWTWCHGLGKHGVTQRRLKRLEMMRF >cds-PLY76236.1 pep primary_assembly:Lsat_Salinas_v7:4:52504112:52506310:-1 gene:gene-LSAT_4X34681 transcript:rna-gnl|WGS:NBSK|LSAT_4X34681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding receptor-like cytoplasmic kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G00330) UniProtKB/Swiss-Prot;Acc:Q8VZJ9] MYGSRKDSSDTRVKYPPSPSPSSYSTSSSTSSSSRNRVRTAARSVAGVFVACFTPPEEETPHHSRHSSSAFGGSDAFSSASDISGASDSTEGKKRHRSIYNTVRNDSPHSKEPGSVKFSMADIHKATKNFSPTLKVGQGGFGTVYKGQLPDGTLVAVKRAKKSMYDKNLGGEFQSEIQALSKVEHLNLVKCYGYLEHEDERIVVVEYVPNGTLREHLECFNGNVLSLDSRLDIAIDVAHAITYLHTYTDHPIIHRDIKSSNILLTEKLRAKVADFGFARLAADAESGQTHVSTQVKGTAGYLDPEYLRTYQLTEKSDVYSFGILLVELVTGRRPIEPKQELDQRITARWAMKRYADGVAISVLDPRLEKSDANGVALDKILELALQCVAAHRRNRPTMRRCAEVLWNVRKEYKQLLV >cds-PLY83400.1 pep primary_assembly:Lsat_Salinas_v7:8:1530715:1530882:-1 gene:gene-LSAT_8X1681 transcript:rna-gnl|WGS:NBSK|LSAT_8X1681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNTMILEAQVVDDPLQTDTTEDVDEDMNLGSDVYEPIIPATSPLTLGWTKCQKN >cds-PLY81948.1 pep primary_assembly:Lsat_Salinas_v7:4:285152904:285153342:-1 gene:gene-LSAT_4X145200 transcript:rna-gnl|WGS:NBSK|LSAT_4X145200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEVYCSSLDMQKKNVSSLHAASLRFRLHRKHGYSVSQDFLLRFKDSHGGFMRCLETDVRGLLSLYEASYLSFECQRDLQEAKLYAREHLLKLKCQENEAI >cds-PLY89857.1 pep primary_assembly:Lsat_Salinas_v7:4:316750127:316750707:-1 gene:gene-LSAT_4X159020 transcript:rna-gnl|WGS:NBSK|LSAT_4X159020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:EPIDERMAL PATTERNING FACTOR-like protein 2 [Source:Projected from Arabidopsis thaliana (AT4G37810) UniProtKB/Swiss-Prot;Acc:Q9T068] MAGRMLVTQATHSQVEDEKTILRGQIGSRPPRCERRCGSCGHCEAIQVPTNPQIKNTASNGVNNPAVGATIAYARGDYSSNYKPMSWKCKCGSFIFNP >cds-PLY89001.1 pep primary_assembly:Lsat_Salinas_v7:3:73222632:73225283:-1 gene:gene-LSAT_3X61660 transcript:rna-gnl|WGS:NBSK|LSAT_3X61660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGLQHGRSSGGEDRFYMPAKARRIRQHQENLRRAQSDVTPTQSTTSSVREEPENRLMQPSNPVPLESSVVAVPATSSLCNLERFLETVTPSVPVQHLSKQRTMRGWKTSDVEYQPYFVLGDLWESFKEWSAYGAGVPLILNEANCVIQYYVPYLSGIQIYVDPLNSSIKSRKPTEDIDDNSFTDSSSDVSSDYEHERGSLHYLREKSDQYSKNDILDLMDDLSMNDENNVLQEDFSSDDGDSATTQSCLIFEYMEHSQPLGREPLSDKILDLAQRFPELKSLRSCDLLPSSWLSVAWYPIYRIPMGPTLKDLDACFLTFHSLHTPTTGNECEHPPVVRHFSGTGVVSLPAFGLASYKFKAPLWVPNELPLMTSLLQAADTWLTSLQVNHPDYLFFSRR >cds-PLY93589.1 pep primary_assembly:Lsat_Salinas_v7:2:174908926:174909973:-1 gene:gene-LSAT_2X99900 transcript:rna-gnl|WGS:NBSK|LSAT_2X99900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFMQKSTARTSHDRAHQIITGKRTEHQRPCSSLGMAPETTSSSYNGGAGCMFSYYTTGSSPTMSSDEEEEEDMANCLIMLAQSVSPAKEKKSDLHCQKNEKLKNRKLTEKTVTTTAGAKSDFQNYYECKTCNRIFPSFQALGGHRASHKKPKLSVEDRKSVSVKTESTAEDQPEVESKIITSHNKSPRPLTGHKNSKGKVHECSICGSEFLSGQALGGHMRRHRPPPVSNSQIAASSNMDDTTTRVNHSPKRSPAAPVLSLDLNLPAPEVVDDVHSNFQYTANSPKQPLVFSVQALVDCHY >cds-PLY95264.1 pep primary_assembly:Lsat_Salinas_v7:8:136212258:136220281:1 gene:gene-LSAT_8X93781 transcript:rna-gnl|WGS:NBSK|LSAT_8X93781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDLRRGVRQAKKANNNNNNNNNIDENAPILAPTPRRGTRRGKAQAQKAPAAGVGSGPVGPAYPYPMPRPAGRGRGTRATEDKNPELFGTGVGRAHLNLDVGVCNNNPLVVGKSAEKLAANDAEDEGSTSPLPERVQVGNSPAYKLERKLGKGGFGQVYVGRRVSGGSGNTGPDALEVALKLEHRNGKGCSYGPPYEWQVYSTLNGCYGVPMVHHKGRQGDYYILVMDKLGPSLWDVWNSSNQILSEEMVACIAVEALSILEQLHLRGYPRKFKILDNFVHGDVKPENFLLGQPGTPNEKKLYLVDLGLASKWRDTSSGNHVDYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGKLPWQGFIGENKGFLVCKKKMATSPDILCYLCPSPFKLFLETVTNMKFDEEPNYLKLIGLFENCLVSSASLRPIRIDGALKVGQKRGRLLIDLEDDAQPRKKIRLGTPATQWISIYTSRTPMKQRYYYNVMDSRLHQHVEKGKNDGLNISCVASASNLWAIVMDAGTGFTSQVYELSPVFLHKEWIMEQWEKNYYITSLAGATNGSALVVMSKGTTYTQQSYKVSDVFPFKWINKKWKEGFLVTSMTTAGSRWGIVMSRDATYSNQVVELDFLYPSEGIHRRWENGYRITAAAATEDQAAFILSTTKKRSQDVTQETLRTSAFPSTHVKEKWSKNLYIASICYGRTSSLNHQPKSSMDMKKWRSKSVKPDRTYNHLETVNSATQPGGASQSVWKVWWKKLKREKKRLMVRSSSVHHQVPYDEYTYMQNFDQGLQWNSESEPEILSKSFSVRYTNRRSAMV >cds-PLY79306.1 pep primary_assembly:Lsat_Salinas_v7:5:169557571:169560769:1 gene:gene-LSAT_5X74860 transcript:rna-gnl|WGS:NBSK|LSAT_5X74860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVNRNEMRGGWISYWSVVAGLATGALYKMATGPRSAAVAGANGGIAVGLVVTGKQILKRYASSDLSVIDFDIPFAYVYSIVAGIELLAMIFIMALVTWQVLIVSIFGIAASKYIQSGSSNRYTGEVGESEGGSGSVSVEIMVPQSLLGSIYGENKTKLAHIKQISGAQVAIHDSADGGRVLLCRTSDETHTA >cds-PLY76418.1 pep primary_assembly:Lsat_Salinas_v7:8:154440467:154441722:-1 gene:gene-LSAT_8X103481 transcript:rna-gnl|WGS:NBSK|LSAT_8X103481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNLSTNVNLDAIDTSSILSEATSAVAKLIGKPEAYVMIVLKGSIPIAFGGNEQPAAYGELVSIGGLNADVNKKLSAAVAEILESKLSIPKSRFFLKFYDSKGHQSQENAQCLHALHQF >cds-PLY68678.1 pep primary_assembly:Lsat_Salinas_v7:7:86098156:86098386:-1 gene:gene-LSAT_7X58781 transcript:rna-gnl|WGS:NBSK|LSAT_7X58781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVTFSDEVPATVSPLKSFVGEDLVSDDGKWQLQQDGFRASRLSSSFELIQPSGLELLGVILYFQGDRLCAKMFRW >cds-PLY86165.1 pep primary_assembly:Lsat_Salinas_v7:6:156437401:156437970:1 gene:gene-LSAT_6X94260 transcript:rna-gnl|WGS:NBSK|LSAT_6X94260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFALLKPTFSLPLRPLPLARVLHSKAERSPTDANLLAVSAPLPPLSLSDHLGALAGDPGCFPLDDEAYPPSSHWPTLTPVILRSYLVFRVCLDLVPLSRPAPKQCFTPRCPVNCCASTHFGENQLALGSSGISPLTTTHPLILQHQSVRTST >cds-PLY94570.1 pep primary_assembly:Lsat_Salinas_v7:MU038889.1:70337:71775:-1 gene:gene-LSAT_0X20301 transcript:rna-gnl|WGS:NBSK|LSAT_0X20301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHQGGLRSRNDRDIVPGPSFHSRGGTLNDKKSLYSWDPCKRPTAMEALQLPFFQSCYYVLPSFLPKSTIAKTPSSGGRVYMGKERVGGGGIIGETSEKLGNMTIGSGRGRPSPTPMKARMENGRFDLFSGRLY >cds-PLY86224.1 pep primary_assembly:Lsat_Salinas_v7:8:58269714:58271935:1 gene:gene-LSAT_8X41481 transcript:rna-gnl|WGS:NBSK|LSAT_8X41481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPQLIIIFLSVFLMISASANEFVKSVFVFGDSLFDPGNNPFVKNCTAQANFPPYGSNFFGQPTGRFTNGRTVADFIAQFLGIELQKPFQEVYQEFTNGSRKDFPANGINFASGGSGVLPYTNKNVGVTPIQVQLQQLQTLIDENRLHKTLISNSLFFLESGSNDIFNYFMSPHTISSKAYIDNMLKEVSHFIDKIYEVGARRIAIFSVGPMGCIPAKVLLPDAHIDQCLDKINNIVKDYNKGLEDMVNDIPRNYRDAISVYGLVYNITQDIRANPGSYGFVNVYKACCGGGPLNGILQCGTDGYDKCSNPDDFFFWDYFHPSEHTYKLMSESLWNGGNNQIRPMNLKSLANINLSLT >cds-PLY65183.1 pep primary_assembly:Lsat_Salinas_v7:7:60260491:60262716:1 gene:gene-LSAT_7X45081 transcript:rna-gnl|WGS:NBSK|LSAT_7X45081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVFILHSKTLSVRGLGVNWGTRASHPLSPNIVVKLMKDNGFDKVKLFEAEPGVLDALRNSGLQVMLGIPNDFLAPLASGVRVAEDWVAKNVSAYVSRGVDIRYVAVGNEPFLKTYKNMFTNATLPALQNIQAALIKAGLGRQVKITVPLNADVYESSSGVPSEGNFRSDIHSLMISIIQFLSDNAAPLTINIYPFLSLYADPHFPIDFAFFAGTNAPVVDGTISYTNVFDANYDTLVWALEKNGFPGMPVIVGEIGWPTDGDQNANLGYARKFNQGLISRIIQGQGTPKRKTPPDVYIFGLIDEDRKSIDPGNFERHWGVFNYDGTIKYKLDLGSNNRTLVSAKGVRYLSRQWCVMAEGASESDPNLADSVKYACTYADCTSLGYGSSCNGLDARGNASYAFNQYFQTFNQQKGTCNFHNLSVVTKIQPTTGMSDCKYEIMIDIGKHEKPRKPVTSVAALGWRLQDLSYSGVVAVILSFIVSWVS >cds-PLY77098.1 pep primary_assembly:Lsat_Salinas_v7:MU042616.1:533561:533812:-1 gene:gene-LSAT_4X76781 transcript:rna-gnl|WGS:NBSK|LSAT_4X76781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSGEPFSFLAARHRQQSIRRATTSSVVVNRDSAVSIGGRKGRGWWVWVVLHRPKGRRGSDGHSDFFFVISSSPVKHRGK >cds-PLY69221.1 pep primary_assembly:Lsat_Salinas_v7:1:80141169:80143550:1 gene:gene-LSAT_1X68080 transcript:rna-gnl|WGS:NBSK|LSAT_1X68080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRVPYLILLTLFLPHLVIAQQTNRFVSVGATLTATNDAMPWLSPSGDFAFGFQLIQGQNNFLLSIWFDKIPEKTIIWYPEGGPTVPRGSKIELINGRGLVLSDTQGKDVWTSGSTSDIAYGVMNDTGNFVIVGNESRKIWDSFEFPSDTMLPTQVMEKGGEIYSKMSRTNFSRGRFQLRLLEDGNLVLNTRDISTNYAYPSYYATKTGDLSNQSNSVEQLIFDGVGYLYLLRRNGSKFDLTPSQELPFGDYYHRVTLDFDGVFTQYYHSRDSTDNSSWERVWSYPENICLSFLASEGSGACGYNNVCSLDQNNRPKCECPRGFSLLDPNDPYGDCKPYFFPNCDKGASNKEDIDFVELKDIDWPTSDYVIMNPTSEVDCKNSCLNDCFCAVAIYRGNKCWKKKLPLSNGKVDVSLNVKAFVKSRKGDLPLENPPHFSGSKKNRQSLIIVGASLLGTSVFVNIILFLVICLGIFLVYKKNTSKLNPDSETNLIRFKYQQLVHSTNGFKDELGRGAFGIVYKGVMGTNTVAVKKLNQMAQDGEKEFRTEVNAIARTHHRNLVQLLGFCDDGEHRLLVYEYMSNGTLASFLFGEMRPSWNHRCHIALGIAKALSYLHEECSTQIIHCDIKPQNILLDEYYNARISDFGLAKLLVMNQSRTNTDIRGTKGYVAPEWFRNAPVTLKVDVYSFGVLLLEIISCRKSVKENESGNEYEAILTDWAWDCYQEGRLDLLVENDLEALDDYKKLKVFVMVGLWCVQENPLLRPTMRKVIQMLEGVVEVTEPSCPFPFSLTLG >cds-PLY92732.1 pep primary_assembly:Lsat_Salinas_v7:8:67335588:67338843:1 gene:gene-LSAT_8X47681 transcript:rna-gnl|WGS:NBSK|LSAT_8X47681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMAILTRKWRPLSKTINPKFITTYAFLSQEAQLAEQPPSPPRASTTSLPPNPATGSPLYNENWRSPIPNISSSTSPSLIPLGFSQQHPSSRIEALSQTLDGDAIINLFADWMTSQRWPDIKQLFEFWIRSLDNNGKPNKPDVSLYNHYLRANLMMGASAGELLDFVAQMEDYKIAPNTASFNLVLKSMYQAREYDAAVKLIDRMILTGTESKTALPDDESYHLVVRLLLTRNQIDTALKYIDLTLKSGYNLSVEVFTECVSTCVNKGRLDTLVSIIERCKKMDQNKALCPPWNLCNYIIDVAMQSDNSELAYYALEFMAKWIARGENARPPVLLSVDEGLVVNALTTAGRNYNSKLLDATWAVLKRSLRQKKVPTPESYLAKIYAYSSLGNLQKAFSTLHEFELFYKDSQKEDEDEMFSPFTSLHPLVMACSKNGFATLDTVYYQLENLSRADPPYKSVAALNCVILGCANIWDVDRAYQTFSAMESTFELTPDIHSYNALLTAFGKLHKRDEAVRVFEHLVSLGVKPNAKTYSLLIDAHLIKRDVNAAVSTVNDMVVAGFEPTKEMLKKIRRRCVREMDNESDAKVDLFVKEFKIRMGTEARRNLLFNLEFSTEYA >cds-PLY71678.1 pep primary_assembly:Lsat_Salinas_v7:3:45160787:45163231:1 gene:gene-LSAT_3X33561 transcript:rna-gnl|WGS:NBSK|LSAT_3X33561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGHEIRKGAYGRVCKGLDLENGDFVAIEQVPLQSSAQEDLNIIMRQINLHKDLNQKNIIKYLGFFMTETHLHIVLEYVDNESLANIINPNNFGPLPEPLVAFYVAQVLEGLVYLHEQGIIHRDIKGANILTTKEGVVKLVDFGVAMKLTEADVDMHFVVGSPYWMAPEVIEMSGVCGASDIWSVGCTVIELFTGVPPYNDLHPMQALFRIVQDEIPPIPDSLSPGVTDFLRQCFNKDARLRPDAKTLLSHPWLQSWKRRVVLQSSG >cds-PLY78891.1 pep primary_assembly:Lsat_Salinas_v7:5:305133202:305134303:1 gene:gene-LSAT_5X164581 transcript:rna-gnl|WGS:NBSK|LSAT_5X164581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NAGK [Source:Projected from Arabidopsis thaliana (AT3G57560) UniProtKB/TrEMBL;Acc:A0A178V7F4] MLAAKIIPRPSITQTLAFPNPSIKTSQKPSILSTSCLKSSSQSVLTESLSTTPANARVKILSEALPFIQKFRGKTVVVKYGGAAMKSEALQRSVITDLVLLSCIGLRIVFVHGGGPEINQWLNRLGIKPNFLNGLRVTDESTMEIVSMVLVGKVNKNLVALINKAGATAVGLCGTDGRIFTASPSPNAAQLGFVGEISSVDTSVLRPLINDNLIPVIASVAADGTGQSYNINADTAAGELAAALGAEKLLLLTDVAGILENKDDPESLVKEIDVRGVKKMMEDGKIAGGMIPKVNCCIKSLAQGVKTASIIDGRLEHSLLLEVLTDEGAGTMITRL >cds-PLY81399.1 pep primary_assembly:Lsat_Salinas_v7:8:31827444:31831635:1 gene:gene-LSAT_8X24901 transcript:rna-gnl|WGS:NBSK|LSAT_8X24901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQNLNFISDQLDLTSLIRHRSFTCPVKTQSTVTTSQRYHHSVQCRGICLDRITDSGVDSWKRNRINETNFTERHSESSNRILVNNNEQTNNDLLQNLCKKWELIKAARLVAVMTRRNQIPHFDSCIKLIRGLIKIDYIDRASEVLESMVMSGGVPDIITYNMLITGLCKNKQIISAINLLESMSLSGCPPDVISYNAIIRVMLEHGYIQQAVSFWKDQLSKGCPPYTSTITVLVELVCKSRGVIRAIEILQDLSIDGCYPDLVTYNAMINVSSKRGDFGDTILILHDLLSHGMKPNTVMYTTLLHSFFNHGYLDEVDEIISLMNEASQSPTMVTYNILIRGFCKHGFLDRAIGFFNEMVSSNYTPDIITYNTLLRAICDEGMTDVSLEILNCLGDKDSNTPPSLVTYNIVIDGLAKRGDMEKAVDLYQKMMKEEKDIVPDDVTHRSLIWGFCHADMVDEAMEILKVMDRKNHRATHSTYKYIIHKLCEYKKVEGAIQVLKMLVSSPYKPYSEKFYSDMLSGLATNGMNNEAKELHQKLIEWKAV >cds-PLY83908.1 pep primary_assembly:Lsat_Salinas_v7:5:187602970:187604972:-1 gene:gene-LSAT_5X83661 transcript:rna-gnl|WGS:NBSK|LSAT_5X83661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGSEDGTVRIWHSTTYRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTINIKSVGADHEVSDGERLPLAVKELGTCDLYPQSLKHNPNRRKYHPKNDGPKVSFFSDDEETTSTPKADALFIPRENPRALVIRPLKQWPGKSSAEKLKNASSPAQTNGDYTGFASSPPLNGRSTENGNRNHSENGTLKEQTPIKTPQKQNGGCDGGEMEDGFEFIVKNKGINTEVAYPYQATDGTCNTKEEAVHAATITGYEKVPANSESALLQAVANQPVSVAIDASGMGFQFYSGGVFTGDFGTDLDHGVTSLLNQMELYVVVYILAILGLDSLQGIMLDQGSCIDTCCTFCRKVIKLYYYYYYY >cds-PLY99112.1 pep primary_assembly:Lsat_Salinas_v7:8:204491827:204499409:-1 gene:gene-LSAT_8X130581 transcript:rna-gnl|WGS:NBSK|LSAT_8X130581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLHPHQTHRPYTGHPQPWWRLTEEGEKKNGGSGLRPPTGAPPQLASSVLLRLLQRRRRLRFAKWRVDEASHDDDSSDSISCGSAGGLSLSSSSSSVYPWTLLVISKLMLMAKKRSSFMRFKDSRCILTFKKIISSYCGKIRKLFAKSKGPTKNLKVIFNDFPGGPESFELVSRFCYNKGKIVINPYTSPRLFRAAHYMEMNEKVSGSHNLLEKTEKSLEEIKFWSWIELLSALKYVAISSKILQKCLDSLVARLIFAELSLSCPSISSPESSALRLSTDSKSTESGQTRFLRSTWWFEDLVNVLTPDLVKPLVKSIIFHNFDHGVTSRFLVYYHKSRFITASPEEKREITETVIDSLCLLDQNSNSNSNSVSCKNLFGILRVSSNLNISKISKNNLERMIGSRLDQATLDDLLVPSPHGSNYLYDVNLVLQLLKYFLAGKGGGGGGCLAGKSGGEVAVCDMKKVARLIDLYVAEVAPDPRLKPYKFLALLKILPECSRDSYDEMYHAINIYLQVHMGLSDEEKTNICASLNYEKLSSEICNHVMQNEKFPSKITKLALKAQQVNLENLFLDIDIQKRFALPPCETETKDGRHGQVVLYAKQVVPHGGKMDIVNENEKMRAHLEGMQWRVIELEKVCKKMQTQMTKILKSRLSRQNSAKSLPRLCS >cds-PLY77635.1 pep primary_assembly:Lsat_Salinas_v7:5:172147699:172148130:1 gene:gene-LSAT_5X76600 transcript:rna-gnl|WGS:NBSK|LSAT_5X76600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFATIHKLFIFYISTFSIASIAHACFAPHWDVYVTNTISDNIVAHIKSRDDDLGNHTIPFNGNYHWSFCEALFGRTVFYAYFWWGSRFQTLALFNEKLEVDYCFIDDLRDQHCYWFVKLDGFYISESPDPNGDKVYLIKSWN >cds-PLY90881.1 pep primary_assembly:Lsat_Salinas_v7:1:56261668:56263137:1 gene:gene-LSAT_1X47520 transcript:rna-gnl|WGS:NBSK|LSAT_1X47520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRTVVTGDGWTEVRRRNKYESDRSGTAEMFTFYAAGFQDGTSKVDLRRLYDIFGHISDIYIGGKKNKRKQDFAFIRFMGVKDTRTLEADMQGVKLRGTTLLSNLAKYQKDMSNQRRPLRDRQAEPVTIPTVWGSDRDSRSFAQVVAGNTGTRFNNPPTPPITIPLNPKTMMGHWIHKKLLIGEAHSLDHIANLSYHMFTTDGTKYLGGLRMAIKFSSSMEAREFLKDKTRWQEWFKWMALEDHKELRYERLAWLCINGVPLRYWDGDNFSCIASTFGKVIIPFDNIHDKRDFSVGKVGVITTIKTWINEEIQISVDGAIYVVGVVEYSEDWTPFNLCHSDKDANESDSDIGVEDGVEEGISDTWLHDNDNELEEGELWYDSSPVIQPEMIRCQDECGESSVNPVVEDRKTIDSMMRTSQGVESVNEDNQYESIGIPQEANSDTLIADSGCKAIWGIWAWTLLLMLLGLVLIKCWVTMLGLILTLPL >cds-PLY88055.1 pep primary_assembly:Lsat_Salinas_v7:6:184092245:184097954:1 gene:gene-LSAT_6X111581 transcript:rna-gnl|WGS:NBSK|LSAT_6X111581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40B [Source:Projected from Arabidopsis thaliana (AT3G19670) UniProtKB/Swiss-Prot;Acc:F4JCC1] MANNSQYPGIQPPRPTVAPMGPPQNSYPSVPMQFRPTGPPRAPPQYMPLVSQQFLPVGRPMQHLPPHGMVPPPPPPPAAQAFSVPDGSRVAFSITYNTSATSQVHISAETTSQYQPTSSLNNILPSFPLLQPVEKTPDAPSVPVTSDLLKPVEKAPSDWIEHTSRNGKRYYHNKKTKMSSWEKPLDLMSPIERADASTDWKECIAPDGRKYYYNKVTKQSKWKIPDELKLAREQVKTESKTNEHELTKTKDVDKEHEIEPLVPSVKNSPSSHPHEPVSSPVVVQSGTLLTAELATGPTESSKEVKASEETFPNHVATSLYNNTEDVSVKDAENGTPIGNLEEPEKSSVTNLEEKTVDQETHVYETKQEGKNAFKALLENANVASDWTWDQAMRVIINDRRYSALRSLSERKQAFNEFIGQKKKHEAEERRNKQKKAREEFKKMLDESKEITYTTKWSKAIAIFEDDDRFKAVERFKEREELFEDHIMELEKKEKSKALEEHKKNKKEYIEFLKSCDFLTASSQWRKVQDRLEADESCLRLEKVDRLEIFQEYIHDLEKDEEEQRKLRVEEIRKTERKNRDEFRKLMEGHIASGMLTSKSHWREYCNKVKELPAYLAVSSNSSGATPKDLFEDVLEELEKQYIEDRDRIKEAVKMRKVSMSSSWTLEEFKNAIAEDITSSPLVSAVNLKIVFDELQERVREREEKEAKRRKRLGDDFYLSLNNSKEITSSSRWDDFKPHFEARQENWFTVEESFFKEIFDKHVTELKKARDERKHREDKAKKSLDRRMEKSRREKDRSSKKDKRRKDDSDTDNGERPGDSFSHDDNNNNNNNSNNRRSVRENNNNKEKKHRKRDRSLDHEDDKDRSRDSYRHSLERKRVKQLEQQSSVEYESRHKRYKRDHNRRDDQKEAEDGEVW >cds-PLY97802.1 pep primary_assembly:Lsat_Salinas_v7:7:119691566:119697501:-1 gene:gene-LSAT_7X73521 transcript:rna-gnl|WGS:NBSK|LSAT_7X73521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESGGVDNTTSTGEEVDFVCMVDEDDPTGRYDAAMWLRKRVGVVAARDLPAEPSEEDCRQGLRSGMILCNVLNKIQPGSVPKVVNAPSPVFTTPDSAALAAYLENIKNFLKAIETIGLPTFEPSDLEQGGYFSRVVNTVLAMRAYNDWKAKSGNASRKFSWSSPSGKFLRSKEPAKGGAGEYSTDQYDDGSDPGDESDLGPLFKIVSDLLMDREEEDIPIIVENILNKLKEEFEKRLAKETRKLNGEDDETNSDEDDLSAGESSSPDYEAVKEQERINREKEMLELLKEKARRQLEEEEEERAAKEQERINRERELLEQQEKARREEEERAAREKERIKREKERELLEQQEKARKQAEAEKAAELAGIERQKELLAAKAKAKEEKKAAKAKAKEEKKAAKEKAKEEKRAKKEQERMNKEQDKEKAKEEKKAAKEQERMNKEKEKEEAKKKREEDKAAKEKERAKREMEKEKAKKQAEANKAAKEQERIKREKELLALQEKAKQGEQLSLEREKELLALQEKARKEEERERIEEEKRAREEEERERQEEEERARLEEIERLEEEERLREKEERERQEEEERLREQEEKERLEEEERSRNEEEKERLEEEERKRKEVERAKQEEEEERLRKEEEERAEKEKERLEKEEELREQEERARQQEEYRKRMEQYNRLNANTTKRKGLIERQDKDLQELRSTISTAKTEVHSWKTACQEEAENLGGNVRTLCQAAAGYKKVLEENRKLYNQVQDLKGSIRVYCRIRPPLPGQENHPTSIDYTDEETVTVITPAKSGREGRKASMFNKVFGPSSTQEEVFSDTQPLIRSVLDGFNVCLFAYGPTSSGKTYTLTGPDNLDEETMGVNLRALNDLFLISEERKDLTSYTISINILEIYNDEIRDLLVTDGKISSSKKGTNVPDANLVEVTSTDDVINQINLCKKNRAGNDRSSRAHSFLTVHVVGKDNTSGSIVRGCMHLVDLAGSEKLENSDDESTHISKSLSALGDTLVALATKAKTVPFKSCKLTQLLQDVLGAQAKILIFVHVHPDADEVGETLSTFKFIERFSTVEGGCGKSAETRELKEQVAYYKAALAKREAAGDLLPQEASVNSPRAGKESADAPAEEEPKAAAPKAAAPAKSASSPAAKKPAAAAAKPAAKTAAKPAPKKK >cds-PLY73435.1 pep primary_assembly:Lsat_Salinas_v7:4:179208586:179211941:-1 gene:gene-LSAT_4X106121 transcript:rna-gnl|WGS:NBSK|LSAT_4X106121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTATAGLTSTTTSGVGGGKLCSHKRIANDFATLNTSVSSSNGFNCWNAATNHPRRRLVFAKASSSSPPPPPEKKQDQSDNDDVIDDDQKPSSVSVMKRLVDANPVGLGRRSRQIFDEAWRKFSELGQISRTTRTNDESSLLIQEGGPMCEFATPGAQNTTVLVVGATSRVGRIVVRKLMLRGYSVKALVRNADQEVVDMLPTSVKIVIGDVGDPATLRVAVEGCSKIIYCATARSSITVDLNRVDYQGVYNLSKAFQDYNNQLAQLRAGKSSKSKLLLAKFKSADSLKGWEVRKGTYFQDEVAAKYDGGMDAKFEFSESGDAVFSGYVFTRGGYVELSTKLSLPLGSTLDRYEGLIISIGGNGRSYVVILESGPSADTSQSNLYFARISTKVGFCRVRVPFSSFRPVNPADPPLDPFLVHTFTIRFEPRRQRPSEAAVGGSQDLRSFQMILEYIKALPTGQETDFILVSCTGSGVEVSRRESVLRAKKAGEDSLRRSGLGYTIIRPGPLMEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYVAEPGKELYELVAHLPDKANNYLTPALSALEKNT >cds-PLY76870.1 pep primary_assembly:Lsat_Salinas_v7:3:4797198:4798055:1 gene:gene-LSAT_3X2440 transcript:rna-gnl|WGS:NBSK|LSAT_3X2440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGTVAEQAISSVRTVYSFVGENKTITEYSAALLGTVKLGLKQGWAKGKAIGSNGDVFAVWSFLSWYGSRMVMYNGASRGTVFVVRATIAIGGLYCGEKNMERSRSRNSARTLLASIIKNRRDGAGEISAEMKQINGNQKPFFLIEMMKSRDEDEIVTLDL >cds-PLY86815.1 pep primary_assembly:Lsat_Salinas_v7:5:14299689:14302282:-1 gene:gene-LSAT_5X6501 transcript:rna-gnl|WGS:NBSK|LSAT_5X6501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTAAASHFHRYCGWSRRRYTTGINIRRCLLTPATASLSSSSSQPMDIHTSKSYKQLGMFSLRKKIEDSVNRAELLGLTALEFEEARQIKQEEMIREYDLWDDLAKTSDILIKLADSAKVVDALKDLTYKVEEAKLITELAEMDIINYALLKQAYTTSVDVSKFLDKYEMSKLLKGQYEFEGACIIIEAGSEGIRSEIWAEQLVGMYMKWAKKQGLKGRIIEKKCMSKGGGIKSAIIEFEYKYAYGYFLGEKGTHRMITSHPESLSEVSSAAIDVVPLFLEETPELIVDEKDLKISYLSLCEDDDEGRKRRMVQIQHSPTGLTVHSSGERNDFSNKMKALNRLKAKLLVILKDEEVKSINEIKKDGVMDMWDQETRRYVFRPYKLVQDVKTGIQLADPNFVLNGNLDAFISAHINNRFVCHMG >cds-PLY80269.1 pep primary_assembly:Lsat_Salinas_v7:4:224959133:224959809:-1 gene:gene-LSAT_4X124641 transcript:rna-gnl|WGS:NBSK|LSAT_4X124641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTGYTSSITSGFQNINVNFRNRNNPMVLCRCGVEASFSIPWMDKNPRRKFRGCTNYKDPSRYCKFFMWLDPPFPSEDYKNLMYQMHLALVGMANGNARLEQVNVDQNRRLMLMMKLMFIMVMLFAVMLVTEIVLLVKL >cds-PLY68772.1 pep primary_assembly:Lsat_Salinas_v7:2:211678767:211687070:1 gene:gene-LSAT_2X131181 transcript:rna-gnl|WGS:NBSK|LSAT_2X131181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAVVRMVMLLVFVGWLMMWVMLPTETYKFSWKPTLANRLDSTYFREQGTNLVLFSFPIMFLASLGCIYLHFQKKTRSESNLTRRRWLDVWKRPLIVTNLLGIVSVMELVFAAMFIALLGWSLYNYLHVSFTHPHMHHGVVQKEWLTKFRSVSLRLGYIGNITWAFLFFPVTRGSSILRLVGLTSESSVRYHIWLGHLSMVLFTAHSVGFIIYWAFTHEMALMLEWSRTYISNVAGEIAFVLALIMWVTSMTRVRRKMFELFFYTHQTYVLYLFFYIIHVGVAYFCLILPGIFLFLIDRYLRFLQSRTNVRLIAARLLPCDTLELNFSKIAGLEYHPASFLFVNVPLISKLQWHSYTVTSNANMEPEMLSIVIKCQGTWSHKLYQELSGSAIQRLQVSVEGPYGPTSPHFLSYENLVLISGGSGITPFISIIRELTFQKARQEQQPDKNHKIPTSVLLICVFKNSAELSMLDLLIPLTSTSTPSDLSQINLQIHAYITREVEQPQTLENIKTPLQTKWFKPNVSDSPVSPQLGPDSWLWLCAIISSSFIMFLILLGFVTRYHIYPKDDIEGDKVYNYTFKTLWDMFFVCVSVFLATSFMYLWQKKENDKKEGMKVQNFEVGEVGEIERFDRQSVVGTTEVHFGHRPDLKRMLMEKNKKEADVGVLVSGPGKLRREVAKICSSKMAKNLHMESMSFNW >cds-PLY81514.1 pep primary_assembly:Lsat_Salinas_v7:8:159426212:159426510:1 gene:gene-LSAT_8X106280 transcript:rna-gnl|WGS:NBSK|LSAT_8X106280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILPVGSQRFEEAMQIGSETCHHLKAVIAEKYGAHGCNVGEDGGLATNITMSYNVLVSVARKNDYYLSQK >cds-PLY90763.1 pep primary_assembly:Lsat_Salinas_v7:3:35995755:35996357:-1 gene:gene-LSAT_3X26840 transcript:rna-gnl|WGS:NBSK|LSAT_3X26840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENYLASTSGSTNTINHPSPPTTPVTISNPNNPYPTSFVQADTTSFKKVVQMLTGSFETVKQSTTTTRPDLAVRNLIPAMKMGPNKKPSKLYERQSSLKNFKINPLAPGFTNDRGFSGSPWNPNAPEIMSPSLLDFPSLVLSLVTPLLSDPFNRSSANDCCPNLDVEAEEKAVAEKGFYLHPSPATTRRREGYMXFNLKK >cds-PLY75135.1 pep primary_assembly:Lsat_Salinas_v7:4:61812359:61812601:1 gene:gene-LSAT_4X41420 transcript:rna-gnl|WGS:NBSK|LSAT_4X41420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTASATTTTATTPLPPPPSPLSPPLPIPLSPSPPPLPSSLPLLPSLPLNSLSPPPPSQPLHLLHRRGRGEGGGRGSKGEW >cds-PLY73501.1 pep primary_assembly:Lsat_Salinas_v7:1:197941403:197944066:1 gene:gene-LSAT_1X121061 transcript:rna-gnl|WGS:NBSK|LSAT_1X121061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISPNWVLVLFQFYIVTNLSGLTFTHGDHVCGYRGNYTQNSAFEKILNRTLSALPEAPKGDDFFYNYTSSNPSQDHENTTAYALALCPGDVQDESCKGCVKSATERLRQDCPKQIKATGWYYERCMFSYSNTSFHDMVNYDDKDVLSFPTASYITNWNIISVAESLENSFKELQVKAATDGYSKRFYSETNILPSSRGNIEATIQCIPNISHSKCDECLGNATNYLGTSYNGSAEGVVYYRYSCLLKYQVYLVQIPPSGKKRTNVIVPVVVTVAAVTLVISTFFICLKIGKFCSKKGEEEEPLHASDDDTGEIIYFRLDAIQAATHNFSVANKLGEGGFGPVYWGTLSDGKKIAVKRLSQNSSQGMNEFKTEVKLIITLQHKNLVKLLGCCMKGKERLLVYEYMSNSSLDKFLFEDPKKAKELDWAKRVNIVNGIAKGLRYLHEDSRLKIIHRDLKASNVLLDDDMNPKISDFGTARIFGSNQIEANTNRVVGTYGYMAPEYAMEGLFSIKSDVYSFGVLLLEIISGKRNSRLFYEEHDRNLLYYAWMLWEEGKGEQLIDENLNDDCPVHEGLKWMRIALLCVEEDPNNRPTMSSVAFMLEGEWKSLSDPKPPMSFGQFMTSDKSSSTWNVDEFGFYSLSLESKGIGDHCV >cds-PLY78231.1 pep primary_assembly:Lsat_Salinas_v7:6:7787269:7787568:-1 gene:gene-LSAT_6X4860 transcript:rna-gnl|WGS:NBSK|LSAT_6X4860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRACKDEILVVLHSDELAFTFSVEREGGEKWKILYLNNGRLGLSMNSSSWVKGRVRIGSDPSGIHPCITRGRKMMGTKSPFLYSFDLKTSDQELKKF >cds-PLY63172.1 pep primary_assembly:Lsat_Salinas_v7:4:312066847:312067333:-1 gene:gene-LSAT_4X157140 transcript:rna-gnl|WGS:NBSK|LSAT_4X157140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTINLTCSASIEGRLSNISDCTSTSSLSDQEDCTQINSGSVRRSRNWRKLMKKVIEGSKKSIYGSSKPSIFQYDAVSYSLNFDEGTHDDEYYSYGSR >cds-PLY96494.1 pep primary_assembly:Lsat_Salinas_v7:5:335659989:335664385:-1 gene:gene-LSAT_5X187580 transcript:rna-gnl|WGS:NBSK|LSAT_5X187580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGVSPVSDRVPVMMPAAAVQPTALMSEAFAKDAIISWFRGEFAAANAIIDALCGHLSQFEGGRCEYESVFAAIHRRRLNWIPILQMQKYFSIADVTHELQKVAEVKEKLRSVEKIVEENVSSPVIETKREILEEKSMDVDGNGVGEVVDDDFANDNSPKSGITDAGSEQPDMVVEQESTEICSNQQDWEARRAEIKMTKGFVAKESVNVVRGLKMYEDILNKSELSKLTEYVTELRVAGQNGELSGETFIMYNQQSKTIKRELIQFGAPIFGQIKDDASTKSQESNIDPIPAPLEGVIDHLIQFHLISENRRPNSCIINFFDEGEFSQPFLKPPHLEQPISTLLLSESTMAFGRTLVCDNDGNYKGPLMLSLNQGALLVMRGNSADMARHVMCQSPTKRISITFFKVRMEDRNPPPKDHQIPMSGAMTVWQPNVPTPLITQNGYESIHVLPKWGAIRAPQLLMLAPVRPMVMSPRRLPRGGTGVFLPWNGGSRKPAKHLPPRAQRGRLLALPPPSPEPHKMDRTPDSGSGITVA >cds-PLY81720.1 pep primary_assembly:Lsat_Salinas_v7:3:29906559:29911369:1 gene:gene-LSAT_3X23561 transcript:rna-gnl|WGS:NBSK|LSAT_3X23561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGESAGTSATASPMVFEPILEEGVFRFDSSADARNEAFPSLSFVNQKDRDTPIMSNHKIPSYIPTSEYVSGQQVVSFELPAGTSFYGTGEVSGQLERTGKRVFTWNTDAWGYGSGTTSLYQSHPWVLAILPNGEALGFLADTTKRCEIDLRKESTAKLIAPSPFPVVTFGPFASVNNVLTSLSHAIGTVFMPPKWSLGYQQCRWSYDSDLRVREIAKTFRDKGIPCDVIWMDIDYMDGFRCFTFDQETFPNPKSLVDELHHMGFKAIWMLDPGIKHEKGYSVYESGSEKDIWVQTKDGNPFVGEVWPGACVFPDFTQEKARSWWANLVKDFISNGVDGIWNDMNEPAVFKSITKTMPESNIHRGDDELGGHQNHLHYHNVYGMLMARSTYEGMKLANPNKRPFVLTRAGFIGSQRYAATWTGDNLSTWEHLHMSISMVLQLGLSGQPLSGPDIGGFAGNATPKLFGRWFGIGAMFPFCRGHSEKGTVDHEPWSFGEECEEVCRLALKRRYRLIPHIYTLFYLAHTRGSPVVSPTFFADLKDSQLRRNENSFLLGPLLVYCSTMSDRGVHQIHHALPNGTWMSFDFQDSHPDLPALYLQGGSIIPYGPAHQHVSEANAKDDLSLFIALDENGKAEGVLFEDDGDGYEYTNKGYLFTTYVAELKSSVVTLSVSKTEGLWKRPNRHLHVHLLLGEGAMVDAWGTDGEDLKITLPTENEISNLISASKNNYKTLMESAKRIPDVEQASGHKGVELSGTPVEVKGGEWALKVVPWIGGRIISMEHLPTGTQWLHSRVEINGYEEYSGTEYRSAGCTEEYTVINRDLEQAGEIESLKMEGDVGGGLAIERNISLSEDNPKLFKIDSSLLARNVGAGSGGYSRLVCLRIHPTFSLFHPTETYVSFTSIDGSKHEVWPESGEQLYEGHLRPNGEWMLADKCLGLGLVNRFNVDQVYKCLLHWDFGTVNLELWSEDRPVSKQSPLCISHSYEIKRIV >cds-PLY98641.1 pep primary_assembly:Lsat_Salinas_v7:1:37762678:37765866:1 gene:gene-LSAT_1X31341 transcript:rna-gnl|WGS:NBSK|LSAT_1X31341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPALLSSFATEIIIPVCAVIGIIFSLFQWVVVSRVKVTPDRNGPPSISNKNGYDDYLIEEEEGLNDHNVVIKCAEIQNAISEGATSFLVTMYKYVGIFMVVFAFFIFVFLGSVEGFSRKSQPCTYDVSRMCKPAIATALFSTIAFLLGAITSIISGFLGMKIATYANARTTLEARKGVGKAFETAFRSGAVMGFLLAANGLLVLYIAINLFKIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGIEHDFTAMCYPLLVSSVGILVCLITTLFATDFFEIREVDEIQTTLKKQLIISTVLMTVGIAIVSFIALPTSFTIFNFGAQKVVHNWQLFLCVCVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFTFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGIETVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGLAKPDYATCVKISTDASLKEMIPPGALVMLTPLIVGTFFGVETLSGVLAGALVSGVQVAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLLFKIWG >cds-PLY78476.1 pep primary_assembly:Lsat_Salinas_v7:7:175255963:175256904:-1 gene:gene-LSAT_7X104600 transcript:rna-gnl|WGS:NBSK|LSAT_7X104600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGKPDGNTGGGNGGSETRYRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDSAAREFRGDKAKTNFPTSTELSLPMVAVGGKPKAVTKSPSGESSTVESGSSAVPIAAAPLPPSALDLTLSHFPVGFPMAHSLFLYHSQINNTVKHNNRLDMFRLDGSVLPAPNLTIAAGNNAQSDSDSSSVVNFSHPTDRPQPEKTFALDFDLNFPPSEVA >cds-PLY78066.1 pep primary_assembly:Lsat_Salinas_v7:4:354603187:354607067:1 gene:gene-LSAT_4X174880 transcript:rna-gnl|WGS:NBSK|LSAT_4X174880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVARSGRGAGGSAFSSALRSFFSYRIFVSAMFTLLFFATASVLFSSHPAHFSDNSEIQTTGRAYMHRTFLALKSDPLKTRLDLIHKQANDHIVLVNAYASYARKLKLEISKQLKTFDDMAQNFSDLGLKPTYRTALFESDGAVDEEVLRQFEKEVKDKIKIARLMIAENKESYDNQLKIQKLKDTIFAVNELLIKAKKNGAFASLIAAKSTPKSLHCLAMRLMGERIANPEKYRDEEPKPEFEDPSLYHYAIFSDNVIAISVVVNSAVKNAEEPWKHVFHIVTDKMNLAAMKVWFKMRPIEGGAFVDIKAVEEFTFLNSSYVPLLRQLESANLQKIYLENNTKSENTKHISMLNYLKFYLPEMYPKLHRILFLDDDVVVQKDLTGLWKVDLEGKVNGAVETCFGSFHRYAQYLNFSHPLIKEKFNPKACAWAFGMNIFDLDAWRRERLTDQYHYWQDLNEDESLWDMGTLPPGLMTFYSTTKSLEKTWHVLGLGYNPSISMDEINNAAVIHFNGNMKPWLDIAMNQFKHLWTKYVDNEMEFVQMCNFGL >cds-PLY72250.1 pep primary_assembly:Lsat_Salinas_v7:7:87557462:87559886:-1 gene:gene-LSAT_7X60880 transcript:rna-gnl|WGS:NBSK|LSAT_7X60880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREYIPKLIPRIVEALLDEAAATKREVVVATPGQLIQSTGYVIAPCNEYPQLSSLLLKLLNGELAWSTRREVLKVIEVVSGDCIVVADDSLPFGSPAAERRLNLSSIRCPKLGNPRREEKPAPYAREAREFLRTRLMGLQILIFSNPNSFTSADTTYVLAYFVIMLNTDARNNIIKDKMSKADIIRNNRWIYDGMKVVLSICILIILYPLHFPKLPLPLQCFFVSMYFVHYQQDAVKT >cds-PLY68087.1 pep primary_assembly:Lsat_Salinas_v7:5:293485462:293487851:-1 gene:gene-LSAT_7X62121 transcript:rna-gnl|WGS:NBSK|LSAT_7X62121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRHVPQEQNRGDAVGAVKQKVNMAVPAAGQVKSRRALGDIGNLVKLRPLDGKPLPAITRPVTRSFCAQLLANAQRDAAENKKKPMAVNAAKKKATVKPKPPPVPENATVIEISPDTQEERKSGSSSSSRNKKPQSSLTSTLTARSKAACGLNYKPKPANVVDIDAQDIDNELAEVEYVEDIYKFYKLIENESKVHDYMHSQPEINDKMRAILIDWLIEVHNKFELMNETLYLTINIVDRFLASETVARRELQCVGMSAMLIASKYEEIWAPEVNDFVQISDRAYEHRHVLVMEKRILGRLEWNLTVPTPYVFLTRFIKAAATPPEINMEHMVYFYAELGMMHYEIIRFCPSMVAAAAVYAARSTLNKSPVWHETLEMHTGFEERQVMECAKMMAVFHSVAKDDEKRKVIYRKYSSVTRGAVALYPPAKALLAAVMPLSS >cds-PLY93695.1 pep primary_assembly:Lsat_Salinas_v7:2:202120368:202122761:-1 gene:gene-LSAT_2X123481 transcript:rna-gnl|WGS:NBSK|LSAT_2X123481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADISQAATEVYAQTRTLQVWRSLLNWLTFFFQIFVQIVRGTPSVASLINYSSSSSSASFKPLPDVEFPESSQPSTFSAASVHIPTALADYDCSAKLTVVLDLDETLVCAYETSSLPDSVRMQATDAGLTWFELECIASEKEHDGKPKINYVTVFERPGLHEFLAQLSKFADLVLFTAGLEGYAKPLVDRIDAENRFSRRFYRPSTTSTEYREHVKDLSFVSKDFCRIVIVDNNPFSFLLQPVNGIPCIPFTAGQPRDNQLLEVLLPLLKQLSEQRDVRPVLYERFRMPEWFQKHGIPVSNSTW >cds-PLY77500.1 pep primary_assembly:Lsat_Salinas_v7:4:48398403:48400027:-1 gene:gene-LSAT_4X33660 transcript:rna-gnl|WGS:NBSK|LSAT_4X33660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At4g00950 [Source:Projected from Arabidopsis thaliana (AT4G00950) UniProtKB/Swiss-Prot;Acc:Q9M160] MGSDVESDPISIPKLQLFSMALPRLPEPSGMLTPPLQTSASVPFRWEEQPGKPRPCTDLILHPTTTTVNRCLELPPRLTMVESNIITKTPSPTTVLDGPGDTGGAGNGKSIFSSSSFRFARERRRKGQRQGSFDSNCSGGWIPTDDSSGAAQLLLPAGDKQEQQKAGRGLFGSFRLRGSHKPKDVKDSFVISPSSVYSMDTVFLEKKGKRMRRNSSLSKLTRSHFWTAIYEGFKQVVPWKKKHKKESFHV >cds-PLY79888.1 pep primary_assembly:Lsat_Salinas_v7:8:15644422:15645463:1 gene:gene-LSAT_8X13401 transcript:rna-gnl|WGS:NBSK|LSAT_8X13401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAEKKFGWLFKLLFIGTATIGGYHIFPYLGDNLIHQSVSLLNVKDPLFKRMGASRLARFATDDERRMKIVELGGAQQLVDMLGGAKDDSTRKEALNAIVAIARSDEAVGALHSAGAISVIMGTPLSSEDAEIEKFKVKLLKRFRDLRYDESSS >cds-PLY78449.1 pep primary_assembly:Lsat_Salinas_v7:2:167564442:167565262:1 gene:gene-LSAT_2X88961 transcript:rna-gnl|WGS:NBSK|LSAT_2X88961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSAGTPRITDDQIIELISKLQQLLPELRNRRSNKASASKVLQETCNYVRSLHKEVDDLSDRLSQLLSTIDDNSPQASIIRSLIN >cds-PLY74634.1 pep primary_assembly:Lsat_Salinas_v7:7:35136586:35138648:1 gene:gene-LSAT_7X26880 transcript:rna-gnl|WGS:NBSK|LSAT_7X26880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAAFAILATFAFENMATFALAVNLVTYFNSVMHYDIADAANHLTNYMGTGYILSILMAVFADAYIGRFRTVLIAAFVEFLGLALLTLQAHYPKFQPPLCNIFLPTSNCAKLSGGNAVMLFSAIYLMAVGAAGVKAALPSHGADQFEEKDPKEATTMSTFFNWLLLAIVLGGSVSLTCFVWVQDNKGFDYGFGLSMIAMFVGGIILICGLPWYRIYVVQGSSAITEIIQVYVAAIRNSNLQLPEDPSELYEIPMDKEAGLHEEFLPHRDVYRWLDKAAIRSDNTGSSPWKLCRVTQVENAKILLAMIPVFLCSIIMTLCLAQLQTFSVQQGVTMDIKLTKSFNMPPASLPIIPVAFLVVLIPIYDKIIVPLLRKFTGIPTGITYLQRVGVGLILSSVSMAIAGIMEVKRKDVAKDHNMLDAIPVLQPLPISVFWLSFQYFVFGIADMFTYVGLLEFFYSQAPKSIKSISSCFLWSSMAIGYFMSSIMVKIVNHATKAGTKSGGWLAGNNINRNHLELFYWLLSILSLINFIVYLFVAMRYKYRPQSLDTEDEHDHEVQQLRTLKPSM >cds-PLY87130.1 pep primary_assembly:Lsat_Salinas_v7:5:260854924:260857857:1 gene:gene-LSAT_5X130980 transcript:rna-gnl|WGS:NBSK|LSAT_5X130980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLNKQENTKTSEFMSFSIREYVAEIRKKDRKKCWPFGSLGDPEKYDVFASYQSVDSTFLCSPSDHIDGSHDKPEHKEASNSSIKKTLTGKEDRSQTKDMIESLGANDINICKRVNNGYPDSKSCKVIYKEQNQPENSANGSGYNDKSGRIQPRRKHQKLCLLSDILRNLDKVNTRNTTESKDEKYEDVAFSRKQKGVQITTISNNKMTTYGVEEMRFGKEEKSKCGSKDSHENDSRKTRIDDMDFQVMEKRRKVRPLEENGIQSTVTPNEDSEMEAVMLLATHFNEENPSNPTDDAKRAQMVQSTMEMESLAGEASKHMTTYSPILTSNVGNRAKFRHDQGFAMGTTCACVHKKIRVSLGTENRSSTAASFQNNLTLVCSINRNPADFSIPNAQNVFMRGG >cds-PLY74098.1 pep primary_assembly:Lsat_Salinas_v7:9:13621277:13622728:1 gene:gene-LSAT_9X11920 transcript:rna-gnl|WGS:NBSK|LSAT_9X11920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGLSEQNLSSRRCIWVNGPVIVGVGPSGLAVSACLREQGIPFVVIERADCIASLWQKCTYDRLKLHLPKKFCQLPKLPFPEEYPEYPTKRQFITYLENYAKKFDIKPQFNERVQSAKYDKTCGVWRVKTVSTMGSFPSETEYICQKLVVATGENAEGVVPEIEGLQDFSGEVIHAKDYKSGEKYTGKKVLVVGCGNSGMEVSLDLSNHNAKPSMVVRSSVHVLPREILGKSTLDLAMMLMKWLPLWLVDKLLLILTWLILGNTQKYGIRRPSLGPLQLKNYHGKTPVLDIGALARIRSGDIKVVTGITRFHRSSVELINGDILEIDSVVLATGYCSNVPYWLQESEFFGKNGFPKRQSPNGWKGKEGLYAAGFTRRGLAGVSADAMKIAQDIGNVWKQELNQKKRKVAAHRRCISTF >cds-PLY71327.1 pep primary_assembly:Lsat_Salinas_v7:7:186263398:186268457:1 gene:gene-LSAT_7X110180 transcript:rna-gnl|WGS:NBSK|LSAT_7X110180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKQKQKVVLPPELPPDVPEDEIEVSDEDLDFVNKNQEYAGFVSKLDTQSITRHINRVADVKEDALESLYEKRSRKKSLATDNTQLEVDPVDALPVKTLDGEVYYRKVSKGPNNFKNAQIEDETVGENDDIAHDNGVMKLTKAEKRAKLKKSRKEAKKQGTPVTEVEEVQQTSQAEVLAEVEKDLSVEESNEKKKFRLAELGTSMLTDPEGNIKSLKEMLQLCKDGDPAISILGLKSLLAVFKDIIPGNRIRLPTDKELAMVVSKDVKKTRFYESTLLTVYKAYLQKLMGLEKVAGFKRVAIRCICTLLEANPRFNFCESLLGIVMKNISSTDDVIRKLCCNTIKSLFTNEGKHGGEVTVEAVQLIANLVKAHDCDLHPDSVEVFLSLSFDEDLRKPEAPKKLDNKTKKKNFKKGRRNDDPNQVPASDKKKNKQEMMSKTREEVKAEFMAASFAQDAMEKRRIQSDTLSAVFQTYFRILKHTMHSGKEGNESISGPFGEHPLLAPCLNGIGKFSHLIDLDFMADLMNYLRKLAGGTSDSNGGGITDSSPPSRLTVSERLRCCIVAFKVMRSNLDALNVDLQDFFIQLYNLILEYRPGRDQGEVLAEAMKIMLCDDRQHDMQRAAAFIKRLASFSLCFGAAESMAALVTLKHLLQKNVKCRDLLENDVGGGSLSGSIAKYQPYATDPSLSGAFATVLWELTLLSKHYHPTVSTIASGISTITNAHDQVYRANVSPQQAFMDMKVDQESFVPKSGQKSNKRKKGGKTAAPETADGGAVVDEEAVRVKLSDHFLLVNEMEENERLRRELERTKLGLQLYKEYKKQKKQKTK >cds-PLY82835.1 pep primary_assembly:Lsat_Salinas_v7:1:86305402:86314856:-1 gene:gene-LSAT_1X72981 transcript:rna-gnl|WGS:NBSK|LSAT_1X72981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRSTILKSEIINSRRKETQKRMKGSLVSHLSIMQGQKPENPLLLLGQYSDEELEEESGKEISHDTRENSLAELDEQMRTLKVSRSSAEDVRNFEYSCLGEVRLLSAFKHPCIVIILGRHICTKWLPSQSEDGILEHHRILQSSIFMDHVKGGCLKGYVEKLGRSGEKHFLVNLGLQIARDVASALSEVHSKDIIHRDLKSENILVEMDESETCAVVKLCDFDRAVPLRSWLHACYIVNYDCELLLLHTIVKT >cds-PLY87515.1 pep primary_assembly:Lsat_Salinas_v7:8:95698521:95699592:1 gene:gene-LSAT_8X67261 transcript:rna-gnl|WGS:NBSK|LSAT_8X67261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPNTTVILYLISLIILSCTKFVIATNFYRDFNIWWGNGRGSIHDNGKLLMLSLDEYSGSGIQSYREYLFSKIDMQIKLIQGNSAGTVTTFYLASEGDNRDEIDIEFLGNLSGNPYTLHTNMYIKGSGSREQQIHLWFDPTTSFHTYTIIWNPFMIVIYVDGTPIRVFKNWESRGVPYMKELPMRIYASLWNADQWATRGGAIKTNWTEAPFRTWFRNYKARGCLWKNEESCSKAIKHDWYKQKQLDLRNLEMLKWVQRNYMIYNYCNDFNRFPHGLPPECSLDTPT >cds-PLY68419.1 pep primary_assembly:Lsat_Salinas_v7:8:23310780:23311575:1 gene:gene-LSAT_8X18101 transcript:rna-gnl|WGS:NBSK|LSAT_8X18101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNRFRVREVLVTSRVSKRQSPSEGVVATGESTTDQLLYSPQLRLFSVCRDSQVVVSWSIGNTLLHFSLASDLCAPVQLSN >cds-PLY98264.1 pep primary_assembly:Lsat_Salinas_v7:7:173533411:173537742:-1 gene:gene-LSAT_7X103320 transcript:rna-gnl|WGS:NBSK|LSAT_7X103320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGHCIRSLKMLLSCNIESLHDDCFEGSTDENYIFRDVFFGHGDGRGTKSCLVTGAITFENDERSPKNISFRSDSDNSVMTSQLDSIQCSTSEEFASLTRNGPDIEVKRRKVSSEGHSVTKPVKPSKPRWKDSSFIELDKDELLFVTPKDSTMDPKPLLRYYTYSLLKSAGWLIGRRNRITHCKGRGEYVFKSPEGRPIREFYRAWNMCGHELVQDSKYDCVRDDIKWTNFPQFQSDLSNALTKVKELINSKTVTDLAHCWYLLDPFAKMVFIDKSLTCLKEGKEVKGKRSLVNVSYLKKQKAKMKKKGNCHIKDDDLLLSAIFSNRSTPTKKNSRVPKVVRKYNTTLGVRTVLSWLINLGVIHINEVIQYRNPQDDTVMKHGLVTQNGILCRCCKKVFCVSEFKNHVGFSMDNNTTCLNLFMESGKSFTLCQLEAWSTEYKLRKNATRDVQVAVDQSDDTCGLCGDGGELICCDNCPSTFHQTCLSTQELPEGNWYCSTCCCWSCGNVINHIESSVFKALKCLQCERKYHWECVQGNEIEGDLVGPTWFCGETCKMIHSSLHSLIGCRNPISDGFSWTLLRCIHGDQKVHSTPTFVALKAECNLKLAVALTIMEECFLPMVDTRTGIYMIPHVLYNWGSEFVRLNYEGFYTVVLEKDDVLLCVASIRIHGTTVAELPLIATCNKYRRQGMCRRLMNAIEEMLKWFKVEKLVVSAIPSLVDTWTKGFGFLGLEGDEKKRLEKSNLMVFPGTVWLEKPMYQKPEGINFH >cds-PLY92601.1 pep primary_assembly:Lsat_Salinas_v7:7:163039576:163040080:1 gene:gene-LSAT_7X95920 transcript:rna-gnl|WGS:NBSK|LSAT_7X95920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQDRMEQEDMVQEELLCKKNLRVSMLHQEVYGAQSVVVQVGKLTQEKFDGTREECCSKKEGAPKGLRGGSWIETEGQLGEKGMAQEEGSLDDTKRVLQGRDAPSNL >cds-PLY71127.1 pep primary_assembly:Lsat_Salinas_v7:9:81258086:81259903:1 gene:gene-LSAT_9X64780 transcript:rna-gnl|WGS:NBSK|LSAT_9X64780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSGLTVGQTALALRLLLATFSSKISSNINRPFGDEQEKHPKKLAHRNKIPYLVIATLLSLFPWVIVGIEESIRNYRDQLMIFLLLQNLGSAMADVVIDAMIVEDARLEKVKFVGDLQSVSWMEMAVGGICGSLLGGYALTNFQMETIFLLFAIFPTLQLFSCAFVKETPVTKHSNSKSNGLNGSMSVSISDADKSSKHSNSTAFHMCFCEGAFLMSNYYTMAKPQKQVYKDVMQSTKQVWLQQM >cds-PLY95415.1 pep primary_assembly:Lsat_Salinas_v7:9:190815383:190816836:1 gene:gene-LSAT_9X117821 transcript:rna-gnl|WGS:NBSK|LSAT_9X117821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSTLTSTFALLRFILVLYIFSSVYGNSEGDALITFKKQLSDPYNVLQSWDPSHVNPCTWFYVSCNSNGSVTRLDLWNMSLTGRLVPQLGQLTNLYYLEISGNKITGKIPKELGNLKNLVSLDLYMNQLEGHIPSTLGHLQKLRYLRLHRNALTGTIPYSLTTITTLNVLDLSYNRLSGHVPVNGSFAKFTPLSFFNNPGLIFPLYVPPPQSRDVPVTAPAPAPAKPL >cds-PLY76676.1 pep primary_assembly:Lsat_Salinas_v7:4:117542345:117544398:-1 gene:gene-LSAT_4X74041 transcript:rna-gnl|WGS:NBSK|LSAT_4X74041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHSTVEAVVLTAVTMAILAGICFYFFYRYVMAKKRWVDMSLRIGPEDKIMDTRELRQRGGTLKGVLVEENGLEVLYLRKLDGGQLRTCVWNSIEEDEKRVDTRLDFSSIVSESFRNPPPSLLPSPIVKSKTPPPPPPPPPPPPPPVQTPPVPPPPPPLLQKPSPPIPPPPPPSRTIGTKVPPPPGFTRRNPVAPPTPPLNLGSFSSSMKPPTAPKVKVDDRNEQGSKDNHQIPKKMKPFHWDKVNTDADHSVVWNEIVDGSLSLDALSEGRGLNGDILEKLTKISPTEEEASKILQFNGNPTKLPDAESFLYHILKSIPTAFTRINAMLFRSNYDPEILHLKESLQTLELGCKELRAPGIFLKLLEAILKAGNRMNAGTARGNAKGFNLSALKKLSYVKSIDGKTTLLHFVVEQVVRGEGKRCIINNSKFGRSNSYGSSRSDQDSDTMIAKEERDRQYLMLGLPVLGGLSTDFSNVKKAAIVDYDSFMSMSPNLWGRVSEIRELVKNCGGGGFVKEMKEFLEECEEELKVVRDEQTRVMELVKKTTAFYQIGSLKGGHALQIFDFVKDFLDMVDQVCVDLRGKVKKKNVNDIGPSSPRMSPLMFQNLQYFKPGNCSDSEDDF >cds-PLY80510.1 pep primary_assembly:Lsat_Salinas_v7:2:137517099:137519705:-1 gene:gene-LSAT_2X66680 transcript:rna-gnl|WGS:NBSK|LSAT_2X66680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 13b [Source:Projected from Arabidopsis thaliana (AT3G18770) UniProtKB/Swiss-Prot;Acc:F4J8V5] MAVNPHSEPAKMEQIITEFFAKSLHIILESRCPYVSSRNYSGEQILYSPSSSASSSSSTRPRDKWFNLALRDCPAALENIDFWRQSNLEPMVVDVVLVQRPLNWDYTSSSPNPRVAFERNSSSKDRFPFVWKSDSDEFGSDGSTEKIIERWVVQYESKKGCKEACSGSKRSSSTSSHALYKKSILLLRSLYVTVRLLPAYKIFREINSSGQIRSFNLIHRVSSSVEPLIRRDEAEMQQFMFTPVETSCGKLHISLLYLPSISDTTSESSTPMSPQFIPDYVGSPLADPLKRLPSVPMPQYSPSSSPFGRRHSWSYDANRASPPSALPSPSPSPTYSESRTLHSKFGNHPHPHPHRPPTSSSRYPSLEIAQVHNKDMGYDEYVPSPNFSPSTSPSPPMYLQGSNMLKVLLRSDSAPVYIPTSRLGDAPLSSNKTVLPFSPPHKGTRPCLSLLERSSSALQGAKLLKEDHSKPSGVKISSNSSPHKSFSRSCSRLSFEDSYDDSEFSGPFVVDDDELIDHGIGSRPGSSDRSGNLGTPHERAVGALVQMLKKAPPLQQDLNRSHSLKQIQIQDPPPVAASNMAASSSSSRVSPVKTTSDALEELKVYMDMKQLLLRQSGKS >cds-PLY76291.1 pep primary_assembly:Lsat_Salinas_v7:7:124818452:124820856:-1 gene:gene-LSAT_7X77221 transcript:rna-gnl|WGS:NBSK|LSAT_7X77221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVARLILRSLRRPTSILTTTAAAAFNLQSLSLQSPTTNPNFLIPVRTFAFTSAEEAAAERRRRKRRLRIEPPLHALQRDPNAPRPRRDPNQPDTTSALVGPRLSLHNRVQSLIRAGDLENASVVARQSVFSSTRPTVFTCNAIIGSMYRAKRYLDAIALFAYFFKQSNIVPNVVSYNFLIISHCENGEVDKALEVYQHIKDNAPFSPSAVTFRHLTKGLIDAGRIDEAVNLLWKMVSDGHGADSIVFNNIISGFLNLDNLEKANEFFDELKSRCMVYDGIVNATFMEWFFSKGKPKEAMESYKSLLDKEFKMVPATCNVLLEVLLKWDKKPEAEALFDSMLDRHTPPVVQAVNSDTFNIMVNECFKMGKASEAYSVFKKAGNAPKSKPFSMDAAGFNNIIMRYCENDMIDDAERMYVELCGKSLSPEVNTYKTLIDAYFKAGRIDEAIDKYAKMVDVGLRVIPTYANKWFSSMIENGKIVECEPILTKMAERDPKPDATTYDMVIRALCVASNYDTTLILLQQMVTYGVGVAPVLKEYVLEVFDKLGRKEEIDRLLIARGTGYVPGVPRTVNGYGQRNQYGYGQQNGNGNDIMNRQQYNNGNTQQYGNGNGQQYNGSWNGNGQQYNNGGWNGNGNGQQYNNGGWNGNGNGQQYNNGSWNGNGGGQNHGNWQQNGNGVEQRNVNGEQGYEQAQSGSVAAL >cds-PLY83075.1 pep primary_assembly:Lsat_Salinas_v7:9:107097951:107101989:1 gene:gene-LSAT_9X76400 transcript:rna-gnl|WGS:NBSK|LSAT_9X76400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHNESRYFVYEKRGYELKGVEEEEIARLAEDGDIYNKLSRSLAPEIFGHEDIKKALLLLLVGAPHRKLKDGMKIRGDLHICLMGDPGVAKSQLLKHIITVAPRGVYTTGKGSSGVGLTAAGQKDLVTNEFVLEGEALVLADMGICAIDEFDKMDESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDLRRTPAENINLPPALLSRFDLLWLILDKADMDNDLEMARHVVYVHQNRESPALGFAPLEASVLRMVKLMRQCKNVKN >cds-PLY92436.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:1916360:1918701:1 gene:gene-LSAT_0X18380 transcript:rna-gnl|WGS:NBSK|LSAT_0X18380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLVCTPHNGRVYCTTGRLPGLNGNSPLEITEGGVVSYKSYYKKRHGIDLRHEEETLFAARQLFTVRNALQKSRHKDKETSSSAGVELPSELCFIIMSPVSISTFYSFSFVPSIMHRIESWNIALNLKKMHLCHSMPNGNVPAMKVLEAITTKKCVEKFHLESFETLGDSFLKYAATQQLFKTLQDQHEGILSPKREKIISNDSLCRLGCNCNLPGFIRNEPFEPKTWIVPGDRSSSFKLEEEVLLDERKMYIRGKRVIKKKVVADVVEALIGVFLSEGGELAALSFMRWIGISVDFVNTPYTRALTLHPEKYVNIQYFESLLNYSFRDVSLLVEAITHGSYMLPEIPKCYQRLEFLGDAVLDYMITVHLYNKYPGMSPGMLTDLRSASVNNDCYAQSAVKCDLHKHILHGSHDLHRAIVTTVHEFDQLSLKTTFGWESETSFPKVLGDVIESLAGAILVDSGYDKDRVFQSIRPLLEPLVTPETLKLHPVKELHDICQKNHCEIKKSAKRTETDDGRFSFTIEVVKDDIVLKDSCMAADKKMAERLASKSVLKLLKEYLSAA >cds-PLY92572.1 pep primary_assembly:Lsat_Salinas_v7:7:162754337:162755276:-1 gene:gene-LSAT_7X95761 transcript:rna-gnl|WGS:NBSK|LSAT_7X95761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKPKFEWDNRTFMVFVDSCLIEKKGGRKATGSFDKVGWENIQKRIKEKTGYILEKKQLTNKWQNMKKEWKLYDRLMRLEPGLGGTRSLLDASPEWWEEKIKENKNYAKFRNTYLSIFDEKYATLFRDSVAVGDQTMTPLQFQNNSNPNEENMEGKGDSDEINLDDDEPLFPSLHESSSSKRKRSKSVSKNRPTKSKNSIYEVKVDALLDAISSKSTQTYPQNNPSPTIADNMAIVIKFPEFREVSNKFSQALFVFTKKQNREAFMFPTTNEAKMEFLKLLMK >cds-PLY61755.1 pep primary_assembly:Lsat_Salinas_v7:5:302907936:302909096:-1 gene:gene-LSAT_5X163780 transcript:rna-gnl|WGS:NBSK|LSAT_5X163780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAMKPTKPGLEEPQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKKLRVKGPVRMPTKVLNITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >cds-PLY97896.1 pep primary_assembly:Lsat_Salinas_v7:4:89795905:89797776:1 gene:gene-LSAT_4X58821 transcript:rna-gnl|WGS:NBSK|LSAT_4X58821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGN >cds-PLY63783.1 pep primary_assembly:Lsat_Salinas_v7:6:24052569:24056955:-1 gene:gene-LSAT_6X19261 transcript:rna-gnl|WGS:NBSK|LSAT_6X19261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVQKHGSKGGGSGGYVGGFLHLFDWNAKSRKKLFSSKSESPEQPKQTKRTDGNMPMTRFNMMEEDEMIGGLSYKESSDYSCATSITDDDNYGTKAPGVVARLMGLDSLPTFNFSDTYSNPSFDSQSYKDSSTPYITKDPKFESIDCQMEAIKSTPQKRAFEKFQTEALPPKSAKSIPITRHKLLSPIKNSKFVSSSNPKSIMEAATKIPFGGSSSSSPSPSSSVPFRVKVSKENLSRKPKVPEGSRRGIESNGVKNVKGQQSLEPKKSISLALQAKANVQKREGLNHRTQSVKTQSNTERNTQKNPVSLNVLKQNNQKQNSLVDRGKSTPQTRKQISSQKSSSKVSENIKTSHKKEPYSTTRRKRSMEDNNHGEKNVVRDKSYRSSRNDVVSFTFTSPISRPIIPTNNASNSSSSSLNYNVIGSDALSTLLEQKLRELTGTPASVSQDSLMEGDIRDDSSHGFSSYDPLHLTRKNKDQDMIDKDDSSVGFSSYDPLQLMKNHQDQDMITRDDSSCGFSSYDPLKLMKKHQGQDRIKCDLSYGFSSNNNPQELMKHNKVVEPMSECSTNDYEYRKFLRAQQPSPNSVLEPSFITESCNSSDTADSCKPNSTSVQGQELIGKANTFSRNSTPMDVDTELLDSASSTSKLTKWEPDYVTQVLEDIETMFTDFTLGKTRKIVNPRVFDKLEFGKPNEEVVKLRRELVFNCVSECMETRSRVWGKGLAMVSRPNRLAQDVYKEIVGWEDMKDSMVDELVDKDMSGEGYKRWLDFDVEVFEYGVEIESWLIDSLINEVVDDILVL >cds-PLY85879.1 pep primary_assembly:Lsat_Salinas_v7:5:42767877:42769339:1 gene:gene-LSAT_5X20601 transcript:rna-gnl|WGS:NBSK|LSAT_5X20601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTYSGFAKEDEQQMDLPPGFRFHPTDEELITHYLIKKVVDNSFSAKAIGEVDMNRIEPWQLPGLAKMGEKEWYFFCVRDKKYPTGLRTNRATDAGYWKATGKDKEIFRGKLLVGMKKTLVFYKGRAPKGEKTNWVIHEFRLEGKSSVQTLPKSAKNEWVICRVFHKGSGEKKANIPGTMTANSGENEPNPTHFPPLTDTSAPYSGGRVIKSDSLDVPCFSSPINMQTPHNNFLNNLSYPFDPNSNASYAFPAVQSTPTLESQSNFQFQFQFPTGYTFPGQEQSILKGLLENNGFKLEREMGTGSQETGLSSEMNTEISSVMSNLEMRKRAFEDQEAPSTSVGPIDIDCFWNY >cds-PLY78077.1 pep primary_assembly:Lsat_Salinas_v7:3:255973810:255974340:1 gene:gene-LSAT_3X140001 transcript:rna-gnl|WGS:NBSK|LSAT_3X140001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQTKTCIFSYTFVIFLVAYKTNAISQNDKEFLEAHNKARLRSGLPAFSYDQSLANFARKYASTRANDCALKHSNGPYGENLFWGSADGASKWTPKDAVYAWIKEHNYYDKATNSCMPGKKCGHYTQIMWRDTKKVGCALSYCKNKGTYVVCEYDPAGNVEGLSPFVEHDPYVYSN >cds-PLY74839.1 pep primary_assembly:Lsat_Salinas_v7:8:105976289:105979782:-1 gene:gene-LSAT_8X72221 transcript:rna-gnl|WGS:NBSK|LSAT_8X72221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVQDYLDKHTLSRKIEDAVNAAVRAKTPDPVLFISNHMRKAVPSVITKVKARQILDSRGIPTVEVDLYTNKGMFRASVPSGAPTGMYEAIELRDGDKSTYLGNGVSKAVKNINEKISEAIVGMDPILQNQIDQAMIDLDKTEKKGELGANAILAVSIAACRAGAAEKDVPLYKHIAELSGRGSSVLPVPAFTLISGGKHAGNNLAIREIMILPVGAQRFEEAMQMGSETYHHLKAVIAEKYGAHGCNVGEDGGLAPDITRHVYCLLINMVFREGLDLVMEAIGRTGYIDKLKIAVDIAATDFCIGSKYDLDFKSPRRSGQNFKSGEEMIEIYKELCRDYPIVSIEDPFDKEDWEQVKYFSGLGICQVVGDDLLMSNPKRVERAIQENACNALLLKVNQVGSVTEAIEVVKMAKDGNWGVVISQRSGETDDSFLADLSVGLGTGQIKAGAPCRGERLAKYNQLIRIEEEIGDQARYVGDDWRHS >cds-PLY95419.1 pep primary_assembly:Lsat_Salinas_v7:9:188923766:188926462:-1 gene:gene-LSAT_9X115360 transcript:rna-gnl|WGS:NBSK|LSAT_9X115360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHMGRKRKGISRHKSTDFKQSTNHKRFITGIGIGIGIGIATAPPFVNVYSDPRGRGLKRKIGCLDALTQTGRKKKIDQDFERGETIGNGKFGSVVKCRSKVTGEQFACKILPKGDEIVHKEVEIMQHLSGHPGVVTLKAVYEDAQSFHLVMELCSGGRLLDQMWEDGLFSEQKAANLIKELMLVLKYCHDMGVIHRDVKPENILLSANGSIKLADFGLAARIANGQSLYGVVGSPAYVAPEVLTGGYSEKVDIWSAGVVLHAVLVGYLPFGGDSVNTVFEAVKNVTLDFQGELWETVSQPGCDLIGHMLTRNVSERYTAEEVLRHPWILFYTNPTLGPLTFGSRVQTCGNLTTQLLTDVLEMEYERTINTSFDDNSTSMFPNDIEEDEDCCMVDMLALAISRVRISEPKRSRICGPNDPVQQERSANINIKSLCAAF >cds-PLY68171.1 pep primary_assembly:Lsat_Salinas_v7:6:104983846:105006956:1 gene:gene-LSAT_6X67000 transcript:rna-gnl|WGS:NBSK|LSAT_6X67000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNYATSLMSSFRRIPPSAIPATLDCILVSTTSSPPLLFSLLLDALPILLQDVTPENEESLDDECCNYIASYVGALCHLLKKPGLNNVEALRNFVWRVLVPLMRLTQSYNRAIVDKVMGCFLDAVKERNAWGVLEETMVRYLIKVIGFSMGMHENEEYRLTVEDSTLTSFKYFPLLTACHMLASTLGVKGKSVSESRSGSGSVLANGCIVDIFTGKLVWDICSMTLQMLSHSPEHRSCAITVFLPHIFKAFVSANVFQTRKFMLSRKDFCAKIWKCCKNLFQLGSSERRDAYTVLSLYISYFSKTDGCEDNGTFDFDMRAQKEFWDEMKNGLVDKESIVRKQSLHILKSTATRTKGKQHTSLLETISNETSSNSHGLTKKERWANKEAKSLGIESLCNNSVDSDSSSELKWGAFFLLYEMLEEYGTHLVEAAWNYQMNLLLQTSESCDNHLKAVGERLQLDPMETVQDMFDWVAVLWERGLCHDNPQVRCLIMQSVFGIEWKKHGNYAKFVPRDFVLGSFIQGLNDPVHHKEFGLKGVYSSRTIDGASTFLQQYTVCLSEGEQMRLLMDVALLVKNQSFSRAGLMGLAECVAAAADGVGVRRLNKKEVDLELEAADTDTSYNDKAALLDVFRFILDTSKQHFNPNYRLKVCRKILDATISVMSSSDVPLETLLHFISSFPQDFLNYGGSLREKGQEWLRGYKKQASTSFKLMKKLNEFPASFINHNHSKNDIVNYDDEDMEMWELKAKRWAHTLFLILEEEHQLDSLFQFIESHGNDICNKNGHWEWLPVKYMILILSVVQQLHEKKTLTLDRHGKRTNGLLDMVDDAGSMAASMIINKFTKLFTFILKELESYTLQSCLVFWSREGEGDGGGTTLPSSIKGRLGGLSQRRLSSSNTTAVLQAITSVKTLASIYSYCAQFEEESLNSTLSVLWQLSWKIISSTTCNSESKAEIYLGAYEALHHILKSLVFMPSPSALGLLTRSYNLLDPEAQVKVKPHIDYFVEIYLENINNLIEAGYLARARRAILIDWKWMCLESLLSVSKHALERGVYIENCDYVISDGVVRRIFNDLVDSLENASEGSVLPMLRSARLVLDHFALGGGGGGCKGSAVSSYHVDAIDVQMMWHLVRSSWLLHVSCNKRRVAPIAALLSSVLHDSVFGNMDMHEFDNTPGPLKWFVEKILEEGTRSPRTIRLAALHLTGLWLSYPTAIKYYMKELKLLTLYGSVAFDEDFEAEVTENHDAKTEVSILSPSSDLELTEVFLNTELYARVSVAVMLNKLADLADTVGSNDETESSHASLQSGKLFLLELLNSVVSDTDLAKELYKKYSATHRRKVRAWQMICILSRFVDEEIVSQVMDCLHTALYRNNMPGVRQYLETFAIYVYLKFPSLVGEQLVPMVRKYDMRTQALSSYVFIAANVILHAPESTRHLDELLPPIVPLLTSHHHSLRGFTQLLVYQVLSKLLPAAALDDEMPALEKRCFMELKSYLEDNSDCARLRVSMEVHLDAFDPNKSIMPAGIFSNRVDDIQFECAHVSLLERVIDFLNDVRKDLRCSMAKDATQLKNEQIHVQDSESIGQVIDETTSKLQNDVSLDFQKKVTVSKHEFQDSHSTSINRNATFNSLLDVEKEDELVDEAVRARHVGMDKLKAGRQQIILVASLLDRVPNLAGLARTCEVFKAASLVIDNANILHDKQFQLISVTAEKWVPIIEVPVSNVKGFLEKKKGEGFSILGLEQTANSLSLDQYLFPTKTVLVLGREKEGIPVELIHILDGCIEIPQLGVVRSLNVHVSGAIALWEYTRQKRTSSIRHT >cds-PLY92973.1 pep primary_assembly:Lsat_Salinas_v7:8:98149628:98152477:1 gene:gene-LSAT_8X68601 transcript:rna-gnl|WGS:NBSK|LSAT_8X68601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV6 [Source:Projected from Arabidopsis thaliana (AT5G57090) UniProtKB/TrEMBL;Acc:A0A384LBE9] MITGKDIYDVLAAIVPLYVAMMLAYGSVRWWKIFTPDQCSGINRFVAVFAVPLLSFHFISSNNPYEMNYHFIAADSLQKIVMLSALFVWQWLSKSGSLEWMITLFSLSTLPNTLVMGIPLLKAMYGDFSGSLMVQIVVLQSVIWYTLMLFMFEYRGAKLLITEQFPETAGSITSFHVESDVVSLNGREPLQTDAEIGDDGKLHVVVRRSSTASSRSVISSYNKSHNVTGITPRASNLTGVEIYSVQSSRGPTPRASSFNQSDFYAMFSKATSPKHGYTSSFGGGDIFSMQSSKGPTPRTSNFEEEMLRMGKKRTGGRSMSGELFNNNSANNNNGHVVSSYPPPIPNPTFSLASTSSTAAGGGASGPKKKEIGAPPPNNSKELHMFVWSSTASPVSEGNMKHAVNKAAAEFGAIDASKAITTPENASPMTKTYGEQKEIDIDEAKQRISGSPPFKKFGNDEADQNKNHRMPPASVMTRLILIMVWRKLIRNPNTYSSLLGLIWSLVSFRWDIKMPTIVSGSIAILSDAGLGMAMFSLGLFMALQPKIIACGQRVATFSMAVRFLTGPAVMAATSIAIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNVHADILSTAVIFGMLIALPITILYYVLLGV >cds-PLY93601.1 pep primary_assembly:Lsat_Salinas_v7:2:174450520:174451425:1 gene:gene-LSAT_2X96660 transcript:rna-gnl|WGS:NBSK|LSAT_2X96660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKRTTTICTVVAIDHTALYYTVCSFCERTLPETNTAPPSVTYCDHCNFTRNTSTVPHRGGGGSTSKRLFRVLMSVATETKVFEVVMFDRAARVLFGCSAQDFVDFAKLHPFSGETASKILEGEMLKVTLSKPKNGNAQHQRVVSVVPLKSGFHPAMDTLRELHGVRPDC >cds-PLY86293.1 pep primary_assembly:Lsat_Salinas_v7:8:55535770:55537464:-1 gene:gene-LSAT_8X41080 transcript:rna-gnl|WGS:NBSK|LSAT_8X41080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSFVSRIHTNGYHQAAEIRKVAATRFELAASDSKAKKLTTKLDVLFWRISRYREKHPNNNFVPIPNKPFVFIGPAIRNRFSFPALSPTLSITKNEL >cds-PLY67464.1 pep primary_assembly:Lsat_Salinas_v7:6:73587746:73588047:-1 gene:gene-LSAT_6X52140 transcript:rna-gnl|WGS:NBSK|LSAT_6X52140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRPKCAFPLLLHIACFFNRLRFSISFASLTISLQVSAVASPLTTSTVRKPSHPSLTDMPPLATPNCGCSRP >cds-PLY99175.1 pep primary_assembly:Lsat_Salinas_v7:6:129875386:129878901:1 gene:gene-LSAT_6X79080 transcript:rna-gnl|WGS:NBSK|LSAT_6X79080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNPSISGMNNQSSPSSSQSNPPSKSQEPTTPSSLNYYHPSPSRTIYSDRFIPCRSASNFALFDISPPPPPPPSDASRGEDNSSSSAAYTALLRTALFGSDLGFVVPPGTPDKKSFSVNTSPASHNIFRFKSETRQSLHSLSPLGFDDHSPGVSHSPVKAPRKVPRSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWNACSSKVTKLCDLGIDDSVCSVGWAQRGTSLAVGTSNGKVQIWDASRCKRVRTMEGHRLRVGALAWSSSMLSSGSRDKSILQRDPRAQQDFVCGLKWSYDNRELASGGNDNRLFVWNQHSTQPVLKYCEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNSHLSCMDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQNTESEIGASSFGRTHIR >cds-PLY63277.1 pep primary_assembly:Lsat_Salinas_v7:3:90845269:90845998:1 gene:gene-LSAT_3X68661 transcript:rna-gnl|WGS:NBSK|LSAT_3X68661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDNPSSGSEHNADIVHIKLMRNNTFVTVTDSKGNKKMGASAGCLAEMKGGPKVSKYAAEATAEHVGRVAKSMGLKSVVVKVNGFTFFKRKKLAILGFRDGYTHSRSDRNPIVYIEDTTRKPHNGCRLRKQRRV >cds-PLY72635.1 pep primary_assembly:Lsat_Salinas_v7:6:48102597:48104686:-1 gene:gene-LSAT_6X35061 transcript:rna-gnl|WGS:NBSK|LSAT_6X35061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASAALLSSPNPRPSSSISPKSKLSQTLSFSSSASFSFQSKSLRSSLSLRPSTSRRNGFVVKAGLPLVGNVAPDFEAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRHAEFEKINTEILGVSVDSVFSHLAWVQTDRKSGGLGDLNYPLVSDVTKSISKAFNVLIEDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETLRTLQALQFVQENPDEVCPAGWKPGEKSMKPDPKLSKEYFAAV >cds-PLY85653.1 pep primary_assembly:Lsat_Salinas_v7:6:84534000:84535820:-1 gene:gene-LSAT_6X59101 transcript:rna-gnl|WGS:NBSK|LSAT_6X59101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALTVEKTSTGREYKVKDMSLADFGRLELELAEVEMPGLMSCRTEFGPSQPFKGARITGSLHMTIQTGVLIETLTALGAEVRWCSCNIFSTQDHAASAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEEFAKTGKLPDPTSTDNAEFQIVLSIIKEGLQSDPKKYHKMKDRLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAAMKQAGARVIVTEIDPICALQALMEGLQVLTLEDVLSEADIIVTTTGNKDIIMVDHMKKMKNNAIVCNIGHFDNEIDMLGLETYPGVKRVTIKPQTDRWVFPDTKTGVIILAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKGSGKYKKEVYVLPKHLDEKVAALHLGKLGAKLTKLSKDQADYINVPVEGPYKPVTYRY >cds-PLY82857.1 pep primary_assembly:Lsat_Salinas_v7:1:85061764:85064170:1 gene:gene-LSAT_1X73320 transcript:rna-gnl|WGS:NBSK|LSAT_1X73320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSSKEQNSIYDLKISTVAPGLVCGNDEFQELTALDQAMKLHYLRFVYYFRTPAFDGFNITNIKRTMFDWLNHAYIPCGRFRRTDTGRPILKCNDGGVRIIEASCHLSLDEWLESKYDSRHKFVVPNNVIGPELPFSPLVMIQLTKFKCGGTSVGMSWSHTLGDAFSAMSFIRLWPQAIAGHYPAQPITMAQQQTNVTDTRSPNPSPDLLSVKRVGPVGDLWSTSSKSKMETFSVYISMSELTQLQAKICQEKVGVQIPPFECICVVIWHCLGKIRHESGLQAVTVCKSDLRNRTKGTITNKSQSIGVVKSDIPIVECNPIQLGSLMMSRVVDERNNIEEAMKNDNMLSDFLIYGANLTFVDLSDVSFYEIEVRGQTPVYVNCSIDNIDDKGVVLVLPTPKGCSDGRIVSITLQENEMTDLKMALKEDWCIAIA >cds-PLY95442.1 pep primary_assembly:Lsat_Salinas_v7:2:436704:440260:1 gene:gene-LSAT_2X1220 transcript:rna-gnl|WGS:NBSK|LSAT_2X1220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLYNEKQIARDTKEWDCGGQGESFGVGFYDKREFSAMDVATEIIKQVVQVLMDPVKKHLGYMISYRKYVVEMHTKMYYLDVARAGEKEHLDRNIRIRLMVPDQVSPWLDKVQNINAKVENFPTKDLGCLDFNSRHKLGSKASKIINEIDSITTQLSLIKWTDEKIPLGRIDSTMHPPLHHQSRVHTFTEALKALRPDHKPHMVALWGMGGVGKTTMMKKLRQTVVEKKMFSYFVMAVVGENPDINAIQESIASYLGIQLKDQAKQVRVDELRQGFEAKLKGGESKFLVILDDVWKLHQHSSASSPPMLIDV >cds-PLY66559.1 pep primary_assembly:Lsat_Salinas_v7:6:166350383:166352000:1 gene:gene-LSAT_6X102440 transcript:rna-gnl|WGS:NBSK|LSAT_6X102440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIFAFFPSSLYSSISILLFSSIFIYALRWMKTSKAAPNLPPSPPKLPIIGNLHQVIGKNFHQILWKVSRKYGPIMTVHLGATPYVIISSSEFANQALKTHDQILCNRPRSKGFKRLTFDYMDVAFSPHADQWKEMRKVLVTEFLGSKRSRLFKKVVDTEVKGMLDSFSSLNTTIDLDERLFHLVTDIVSKVAVGKSYREETFRGKKLKEMLDDLVISLCGSVSDIYPGRLGLILDELLGFNRRLEKTFSNFDAFLQMVLDEHIDHTGTSDHEKDMVDACRSQLTTNEMKALLMNVLNGAIDTTTTTMVWTMSEIIKNPRVMKKLQEEIRRCVGRKSSVEEADVANMPYLKLVVKEALRLHSTVPFLLTRECVKHCQIGGYDIFPGTRVLINAWGIGRDPKVWTESASIFNPERLENLELDRSEMIPFGGGRRACPAASVATQIVEYTIANLFYSFDWQLPSGMKNEELDMEEVGSLIVVRKTPLTLVPVKYDWQD >cds-PLY78785.1 pep primary_assembly:Lsat_Salinas_v7:8:60278035:60280252:1 gene:gene-LSAT_8X43520 transcript:rna-gnl|WGS:NBSK|LSAT_8X43520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGARKKTTKIMKMNGETIKLKTPVNAGDVMKSHPGLVLLDSEMVKHYGVRAKPLEPQQDLKAKRLYFLVELPKFPEDKSARRVRSGINMSAKDRLESLMLGRRSASDLSFMKAPSIMVENGGGGGGGGVEPLRVKLRLPKAEVERLMRESKDGGEAAEKIMRLCMEKNGGGGGGGGGGEEPTDKRRVQWKEDASKGRGKRVGFLPVSQELNVE >cds-PLY70287.1 pep primary_assembly:Lsat_Salinas_v7:2:91610658:91612695:-1 gene:gene-LSAT_2X40340 transcript:rna-gnl|WGS:NBSK|LSAT_2X40340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRMRAFKRWMKYQSVEYSDALDLIIQQEDQQVSVKALCDLHEGDLIATIPKRSCLTVKSSAVCHLIEDFCLEGYMALSVALMFEKSLGQNSPWYDYLHLMPDCNPDVPLLWSLDEIDHLLMGTELHKTVKEDKALVYDDWKACIVPFVESAPIELNPEDFGVEQYFAAKSLISSRSFQIDDHYGFGMVPLADLFNHKTNAEDVHFTSVSDDDTSENIEEQVVDDDDDDRDGDPQNESNVASPKSDFNGDDDGVSPTTEILEMIMVRDVKAGAEVFNTYGSMGNAALLHRYGFTEPDNPYDIINIDLDIVLQWSSSLFSSRHTRTRLSFWKSLLHLHHPESQNQQIEYFEISYEGEPELELLKLIFIILLPEKEYNDLYHGVSNGQKFGKSRIVLGEVSETNKDVLLTENVRRGLLSVVDIRERCYGLRSMEDDVEALRKCCNNVLEKKLYHSLVLRVSERRILEKLRGYAGGGGGGGGRWINNGCNGSTMKKNV >cds-PLY90632.1 pep primary_assembly:Lsat_Salinas_v7:6:52258465:52259517:-1 gene:gene-LSAT_6X38421 transcript:rna-gnl|WGS:NBSK|LSAT_6X38421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSHSTKETGDIPIPITESKSSKKTPPVAAVSRWKKAFAAKKTAHQPPVSRWKRALGILDFILRICAIATTLAAATAMGTTNQQLPFFTQFFQFKADYTDLPAFTFFVIGNAMAGAYLVLSLPFSIVCIVRPHIIGARLMLLVFDTIAVPLITAAASAAASIVYLAHNGNSDANWVAICQQFDDFCQRVSGAVVASYIAALIFIFLVIVSAVALRRK >cds-PLY65968.1 pep primary_assembly:Lsat_Salinas_v7:4:139292421:139292781:1 gene:gene-LSAT_4X87201 transcript:rna-gnl|WGS:NBSK|LSAT_4X87201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPDLFKATVAGVPFVDVVTTMLGPTIPLTTAEWEEWGDPRKEEFYFYMKSYSPVDNVSANVSCFSLTDINPSDRSSNENVEVNY >cds-PLY93846.1 pep primary_assembly:Lsat_Salinas_v7:6:147745385:147748621:1 gene:gene-LSAT_6X88660 transcript:rna-gnl|WGS:NBSK|LSAT_6X88660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILRSSVAQLHHHHHQSNQPSRVLPRKSSSENNRIKFPISPHTLSLSYSDVQLKRWGSRLVVHRRVSGEFSDGIIDQDEHEPPNYAQISPQRLEHKAFFVEILKKANFVLPQVVLASTILVLIFPPSFNSRYYAPALCFLMFAVGLNSSEKDFIEAFNRPQAFFARYLAQFVQKPFIGYLFGTLVMTMCGFPTSLSAGIMLTSCVSGAQLSNYATFLMDPTMAPLSIVVTSLFTAIAVSVTPLITLSLIGEKSPVDLKQMVSNILKIVISPLASGLLLNRYLPRMSSTIRLFLPVLSVLVTSLCIVSPIALNISSISSSFEITLLVIFIVHHLSAFVLANAFLMALFSTIHLMSNHFRDNFLTKQVGRDEGRKKS >cds-PLY98356.1 pep primary_assembly:Lsat_Salinas_v7:5:314462371:314463716:-1 gene:gene-LSAT_5X171420 transcript:rna-gnl|WGS:NBSK|LSAT_5X171420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKNYKDSLKALEADIQHANTIALNSPKDDNGACFQMRIYFSPAVDLFSYLFPWADCKIAGALGLLRVFVYQLYADGKTSMDVHERKASIRQFYGVIFPSIMQLQSGIMDLEERKNKEICLKKYSRKDGLEKGKLSNDDIERESECGICMETKTKVVLPNCTHSLCFKCYRDWHGRSRSCPFCRETLKGLKVADLWICVEASDAADLAIILKENSKRLFMYIEKLPLVPNACSNL >cds-PLY73143.1 pep primary_assembly:Lsat_Salinas_v7:2:191215592:191220766:1 gene:gene-LSAT_2X112781 transcript:rna-gnl|WGS:NBSK|LSAT_2X112781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 24 [Source:Projected from Arabidopsis thaliana (AT1G53390) UniProtKB/Swiss-Prot;Acc:Q9MAG3] MTGWKLFAAAVATVALLHFPELVQCQVVGDEFAGQINDTAFLPFITQAVYSSLSNATSTILNSDVGNRSSFCVKDREVEWNRAFNYSSNLDFLTACIQRTNGDVTQRICTAAELKFYFGGFFSGSSYMKPNRNCNLTSMISGCEPGWACATSSSEEVDMEDSQNIPARTLNCQPCCEGFFCPNGLTCMIPCPLGSHCPTATLDKASGICTPYSYQLPPGQPNHTCGGANIWADIRSSSEMFCSSGLYCPSTTQEIPCSSGHYCSTGSTSEKPCFKLTSCDRNSSHQNIHAYGAMLIAAIFTILLIIYNCSDQILSTRERRHAKTREAASRRAKEKVKAHERWKMAREAAKKHAAQISRTFSRKKAAPQTEELSILHHGRDEIDDDQTTSNVSHSSSSENRLELNSQTFDRQAMDKDLYSYEGLSRSTESKATNGKKKMQKEKEKEKGIHTHSQIFNYAYGQIEKEKAMQENQNLTFSGVISMAVNTEVRKRPKIEIAFKDLTLTLKGKGKHLLRCVTGKIMPGRITAVMGPSGAGKTTFLNAIAGKAHGCSISGSISRKPDSIHSYKKIIGFVPQDDIVHGNLTVEENLWFSAKCRLPAKMLKQDRVLVVERVIESLGLQAVRSSLVGTVEKRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSSSSQLLLRALRRETIEGVNVSMVVHQPSYSLFQTFDDLILLAKGGLTVYHGPVRKVEEYFSGMGIKVPDRVNPPDYFIDVLEGMVKPSTSSGVVTHEQLPVRWMLHKGYPVPPDMRRNSAMFPEVSSSVSVSGSGNNDEEHSFAGEIWQDVKTNVEVRRDVLRHNFLPTKDLSNRTTPGIFIQYRYFLGRVAKQRLRESKLQLIDYLILLLAGACLGSIIKSKEETFGAPGYTYSIIAVSLLCKVAALRTFSLDKLQYWRERASGISSLAHFLAKDTIDHFSTVIKPAVYLSMFYFFSYPRSSFAENYTVLVCLVYCVTGIAYMLAIFLDPGPSQLFAVLLPVVLTLVSTQTENSDFLKNVSKLCYPKWALEAFVIANANRYSGVWLITRCGALLRFGYNVHDWGLCIFVLIMIGVLSRISAFFGMLIVHKN >cds-PLY82783.1 pep primary_assembly:Lsat_Salinas_v7:2:146980761:146983213:-1 gene:gene-LSAT_2X73121 transcript:rna-gnl|WGS:NBSK|LSAT_2X73121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSQPDSTESFPLETPESAIGEPPPPSSSSEDLFSSASLACASGSASSPSLSDDNQKPSPSPVPSQNRPQIPITWPSGGSLTLDWVTNLMSTFDWASKNLRPSELPTVLPVEVFDALVLTASKILHKEPNWVPIEPGSDGVVGSSVVVVGDVHGQLHDVLFLLKDAGLPSENRFFVFNGDYVDRGAWGLETFLLLLAWKVFMPQRVFLLRGNHESKYCTSVYGFEKEVLAKYGEKKDGKHVYRKCLGCFEGLPLASIIAGQVYTAHGGVFRNIPPSPSKRSKGKKNRKVTLNPDSLPLSLGSLDELLKARRSVLDPPWEGHNLIPGDVLWSDPSMKPGLSPNKERGIGLLWGPDYTQEFLKKNNLKLIIRSHEGPDAREKRPGLGGMDEGYTIDHVVESGKLITVFSAPDYPQFQAAEERYKNKGAYIVLKSPNFDTPIFHSFEAITPRPKVNPYYDFEDVIDSDEELDLTMMVEAS >cds-PLY81808.1 pep primary_assembly:Lsat_Salinas_v7:3:33341951:33342202:1 gene:gene-LSAT_3X25141 transcript:rna-gnl|WGS:NBSK|LSAT_3X25141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNVSSVESIFQHSTITARYPSYSTYGYHIYIRKPFFSTICLNNWPPPRVFPTIPTSNWCPLLLSTPPPVNHRLSENPRDIRL >cds-PLY74856.1 pep primary_assembly:Lsat_Salinas_v7:8:105894572:105900004:1 gene:gene-LSAT_8X72100 transcript:rna-gnl|WGS:NBSK|LSAT_8X72100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METTTKSNGSFNKTPDKIPVAAHPLAEDPTDIASNIKYHSQYSPHFSPIKFEPEQAYYAAAESVRDRLIQQWNETYLHYHKVDPKQTYYLSMEFLQGRALTNAIGNLDIQDAYSSALNKLGHELEEITEQEKDMALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQSISKSGQEEMAEDWLEKFSPWEVVRHDVVFPVRFFGRVEVLPTGSRKWVDGEVLQALAYDIPIPGYKTKNTNSLRLWEAKASAQDFNLFQFNDGQYQSAAELHARAQQICAVLYPGDATEEGKLLRLKQQFFLCSASLQDIISRFKERKEGTKREWSEFPTKVAVQLNDTHPTLAIPELMRLLMDEEGLGWDEAWDVTSRSIAYTNHTVLPEALEKWSQVVMSKLLPRHMEIIEEIDKRFIAMIKSTRPDLENKISDMRILDHNPNKPVVRMANLCVVSGHTVNGVAQLHSDILKAELFADYVSIWPNKFQNKTNGITPRRWLRFCSPELSQIITKWLKTDKWVTNLDLLVGLREFADNPELQAEWESAKMANKQRLAQYILKVTGETIDPNTLFDIQVKRIHEYKRQLLNILGAVYRYKKLKEMSPEERKATTPRTIMIGGKAFATYTNAKRIVKLVDDVGAVVNTDPEVNEYLKVVFVPNYNVSVAEVLIPGSEVSQHISTAGMEASGTSNMKFALNGCLIIGTLDGANVEIREEVGEDNFFLFGATADQVPKLRKDRENGLFKPDPRYEEAKELIRSKAFGNYDYNPLLDSLEGNSGYGRGDYFLVGHDFPTYIDIQAKVDEAYKDRKRWIKMSILSTAGSGKFSSDRTISQYAKEIWKIEACPVP >cds-PLY69737.1 pep primary_assembly:Lsat_Salinas_v7:8:117878604:117882840:1 gene:gene-LSAT_8X80801 transcript:rna-gnl|WGS:NBSK|LSAT_8X80801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVVKDAEQDFKPQKKKTSSDEDDKRRKKMVPGGLMKAMIRPGGGDAMPSDGDQVIYHSTVRTLDGVVVESTRSEFGGKGTPIRQVLGKSKMILGLLEGLPTMLKGEVAMLKMKPELHYGEDDCPVSVSDSFPKDAELNFEIELIDFSKVVTEDFGVMKKVLHEAQSWENPRDLYEVKARISAKLGNGQSLQLHMKGEPILFTFGKSEVPKGLEMGIGTMSRGEKAVIYVTSQYLSESPLLPSVEGIEEAHFEVELIHFIQVRDVLGDGRLIKRRIRDGKGEFPMDCPLQDSRLRVHYKGMLLDEKKTVFYDTKVDNDGEPLEFSSGEGLVPEGFEMCVRLMLPEEVSRVTCPPDYAYDKFTRPDNVPEGAYVEWVIELLGFEMQKDWTGMDFRAIMNDVENTKSTGNRLFKEGKFALAKAKYDKVLREFNHVNPQDDEEGKEFANTRNLLNLNVAACYLKMGDCRKSIETCNKVLDANPVHVKALYRRGMAYMETGDFEEARRDFNRMMSIDKSSEVNAKAALLKLKQTEQEVEKKARKQFKGLFDKKPGEISEVGVIENKNDDDDAHEPLISEQDEDIDAPEEVPAPARMGSFSRLFTRGMNLFKSLGVNRCSIL >cds-PLY72071.1 pep primary_assembly:Lsat_Salinas_v7:MU043783.1:122991:123368:1 gene:gene-LSAT_0X30600 transcript:rna-gnl|WGS:NBSK|LSAT_0X30600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQLGMLLVAGFKISKEAGEWTTTSFHSKHGLMDATVRSSKGEKTGLIVLLGGIGTLDEAFDILPLIQFKRIGFALPVPFVLMNYDSIYSKLL >cds-PLY72027.1 pep primary_assembly:Lsat_Salinas_v7:8:114889695:114889982:-1 gene:gene-LSAT_8X79380 transcript:rna-gnl|WGS:NBSK|LSAT_8X79380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPWTVNSKIYPESYKGICGRMSVTVNWVSNLVVAQSFLSIAKVVGTGWTFLILVVIVVLVFAFVFVFVPEMKGLSFEEVKRIWKERAWGRSK >cds-PLY65853.1 pep primary_assembly:Lsat_Salinas_v7:4:85124006:85126246:1 gene:gene-LSAT_4X57401 transcript:rna-gnl|WGS:NBSK|LSAT_4X57401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAVVITTPGGPEVLKLQEVEDPKLKDDEVLIKVEATALNRADTLQRQGKYPPPKGDSEYPGLECSGTIEAVGKNVSRWKVGDQVCALISGGGYAEKVAVPSGQVLPIPSGVSLKDAASFPEVACTVWSTVFMTSKLSSGETFLIHGGSSGIGTFAIQMAKYLGIKVFVTAGSEEKLKACKELGADVCINYKTEDFVARVKEETGGKGVDVILDNIGGAYFQKNLESLGMDGRLFIIGFMGGVKAEANISFILAKRLTVQGAGLRTRSLEKKGEIVSEVEKNVWPAIAQGKVKPVVYNYFPLSEAGEAHKLMETSTHIGKILLLA >cds-PLY95187.1 pep primary_assembly:Lsat_Salinas_v7:6:168893661:168894499:-1 gene:gene-LSAT_6X103501 transcript:rna-gnl|WGS:NBSK|LSAT_6X103501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVGMKGATKAMAAMNKDMASAKQMKVKQDFQKQSAQMDMTTEMMSDAIDDVLDEDEAEDETDDLTNQVLDEIGVDVAS >cds-PLY97175.1 pep primary_assembly:Lsat_Salinas_v7:2:205907772:205909307:-1 gene:gene-LSAT_2X126760 transcript:rna-gnl|WGS:NBSK|LSAT_2X126760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNNGGWQEVRRQRKTTVFAPSVDSSVTSFYVKNLPGDAIKKDLWGTCSKLGLLADIFIAGRRDASGSFFAFIRYAKVQDAGSIERGLNKVVCRGRTLVANLAKHPRSTPKVTDKNPNVSSFKPAPWKSMDSRSFSDVLMGKSVRQPSLHPMDITLSSIKEISEWTDKSTLLGEAKDFDTLCNFPSLFALEGYDVVDCKYNGGMQVVIKFKSDRAAEIFKANKSIWMKWFTRVDLFCWKLTRFERIAWIKITGVPMLAWDESNFSSIAGTYGKVLVNNCDFWNSSDTSASKLCILTASRKRINDEVGVMFCGSKLSVGVFEVDDDWTPFKPFQLESPQVSEDDEDDDGISDTYVNEEFEEGEIGGDVLADQGDIPAMDATPVVGGERKEIQEPQLFFGEGERSPRILEADKIINVGDDSIAADANGNHAFARLGCPTLIGPTPCGPAGVSVSNRLNSSPEFEPGDSAVKRRRTKKKGKGSSCVESLSRSVPQDIPLFDLNKNASNSQGSFM >cds-PLY75807.1 pep primary_assembly:Lsat_Salinas_v7:3:70005561:70006597:1 gene:gene-LSAT_3X53361 transcript:rna-gnl|WGS:NBSK|LSAT_3X53361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLKNLFHRKKKNGKDDSSHNGTNPVSNKSPPVASRTSSFNSRERIEEELEQVFKKFDVNGDGKISWSELGSIMGSLGHQPNEEELKNMIKEVDADGDGFINLQEFVELNTKDIDSAEVLENLKDAFSVFDIDKNGSISAEELHNVLESLGEECSIAECRKMIAGVDVNGDGMISFDEFKVMMMTGTRFDSIGSQRHVEVKDN >cds-PLY80284.1 pep primary_assembly:Lsat_Salinas_v7:3:209700556:209704646:-1 gene:gene-LSAT_3X123101 transcript:rna-gnl|WGS:NBSK|LSAT_3X123101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVGDEVSWLRIPVMQGGVCFGGGLWRLKIHVVVLIKKDKEDDRDREKLIAEFLRNCSSSSQIRRSNLLMGMEVANICTVDSNDLSSNDTTINNDSAKPYVSINGNSNGVKEFEEKKSVIEEMEPKNEHCEDQRQEEEDHDTLEKTISDTTTQHQQTEGEVKKLTTTVKPLTKSAKTKHTVPQPFALATEKRAQCGTRPVGNPVAKSSHSKKTNEIIPPSVARKPLQPDNKKHPDDDDSCSVASSTAASARTIMSKSTSASAPVFRCSTRAERRKEFYSKLEEKQQALEAEKVQCEARTKEEKEAALKQLRKSLLFKANPMPSFYHEGPPPKTELKKPPPTRAKSPKLGRRKSCSDTTGLDKRSGANARVRNSLGIYRVTTNQKDKITLQTTTEEMEDPNGSVLSNIITEDFDAKIDMQS >cds-PLY85618.1 pep primary_assembly:Lsat_Salinas_v7:8:162555344:162556318:1 gene:gene-LSAT_8X108121 transcript:rna-gnl|WGS:NBSK|LSAT_8X108121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METMTREPNLFRSQWWKTFFKIAQVGLRILVTGFATTSIATMLTSNQEIYMPYDETYIAVAKAHYSYSSGLWYKLLVDAVLGVFSLLSSILAYKMTKFSHTEPKTTLHFFLLLLDVVMTVLTISGCAAASAVGMVGLRGVEKPGISWTPICPLARRFCGMITLSVASSYASFTCMMALTFLSACKLMLLATH >cds-PLY71215.1 pep primary_assembly:Lsat_Salinas_v7:8:262075159:262075889:-1 gene:gene-LSAT_8X153381 transcript:rna-gnl|WGS:NBSK|LSAT_8X153381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGARPRADGLADEFSQQHVEDPNAWALSFERQHGTGGWAFEFQHVRETQMTSVDRMAGANIPYMPDMEQTRMLAHTLAHNTNPKFQNSKLLQFVSKMSCVELNIEDNQVRPASGDLENEYQQQYNAGPSSTSWADQYAREEASKTFILLILSTGQPSRSGGRFYAD >cds-PLY75568.1 pep primary_assembly:Lsat_Salinas_v7:9:32205297:32209931:-1 gene:gene-LSAT_9X29121 transcript:rna-gnl|WGS:NBSK|LSAT_9X29121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSLISTPFTLPTTKADQLSSISQKHYFLHSFLPKKSSPHLPNSRTPHSLGVKCAVVGNGLFTQTTQEVRRIVPENKQGLPTVKIVYVVLEAQYQSSLSAAVRTLNSSNKFASYEVVGYLVEELRDENNYKSFCLDLEDANIFIGSLIFVEELALKVKDAVEKQRDRMDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKNKKKSSAGFSDQMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLVNFVKMISGSYVPALKGMKIGYSDPVMFLDSGIWHPLAPCMYEDVKEYLNWYDTRRDTNEKLKNPNAPVVGLVLQRSHIVTGDDSHYVAVIMELEAKGAKVIPLFAGGLDFSGPIEKYLIDPITKKPFVNSVVSLTGFALVGGPAKQDHPRAIEVLMKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFSGRDPRTGKSHALHKRVEQLCTRAVRWAELKRKTKTEKRVAITVFSFPPDKGNVGSAAYLNVFASIFSVLQDLKKDGYNVEGLPETSQELIEEILHDKEAQFSSPNLNVAYKMGVREYQQLTPYATALEENWGKPPGNLNSDGENLLVYGKAYGNIFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYTYVEKIFKADAVLHFGTHGSLEFMPGKQVGMSDACFPDSLIGNIPNVYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELIASYQSLKDTGRGQQIVSSIISTAKQCNLDKDVDLPEEGVEISSKERDLVVGKVYSKIMEIESRLLPCGLHVIGEPPTAMEAVATLVNIAALDRPEEGISSLPSILAETVGREIEDIYRSSDKGILKDVELLKQITEVSRGAVDAFVQRSTNSKGQVVDVSGKLSTFLGFGLNEPWIQFLSETKFYRADRDKLRVLFQFLGDCLKLIVADNELGSLKQALEGRYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTTAAMQSAMVVVDRLLERQKADNGGKYPETVALVLWGTDNIKTYGESLGQVLWMIGCRPVADSLGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQTYGESLGQVLWMIGCRPVADSLGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPLDQNYVRKHALEQAEALGVDVREAATRIFSNASGSYSSNVNLAVENSSWNDEKQLQDMYLSRKSFAFDSDTPGAGMEEKRKVFEMALSTAEATFQNLDSSEISLTDVSHYFDSDPTNLVGSLRKDGKKPNAYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMLSSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANTTFIQDEEMLNRLMNTNPNSFRKLVQTFLEANGRGYWDTTEDNIEKLKQLYSEVEDKIEGVDR >cds-PLY85557.1 pep primary_assembly:Lsat_Salinas_v7:2:195215934:195216131:-1 gene:gene-LSAT_2X115741 transcript:rna-gnl|WGS:NBSK|LSAT_2X115741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAVEIQNGDRERLWLTVKSSEIRLHQSVVGATRWTGKGFQRGGALLERRQRGGPMVQQTSIDLG >cds-PLY73008.1 pep primary_assembly:Lsat_Salinas_v7:9:36632514:36634866:-1 gene:gene-LSAT_9X34501 transcript:rna-gnl|WGS:NBSK|LSAT_9X34501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLWSDGSCCGGYHRQTEAAAARVLTFQFPRIVSQNPQLRTMCDSNPQLREMMQNPEVLRQLTSPRMMQQMMSLQQLLPQLNQQQSTLNPTQTRASLGSQNNMGFDMLMSIFGGLGAGGMGVPNVPDVAPE >cds-PLY69731.1 pep primary_assembly:Lsat_Salinas_v7:8:117594062:117595161:-1 gene:gene-LSAT_8X81001 transcript:rna-gnl|WGS:NBSK|LSAT_8X81001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEDFDIMRCINSRVRHLVQLHCKIDANNSYVDQSIEDEKTTSDASLFTLSKVDITLTGGETTLAQLSVTPRKEGRLKLTGVSWKLADSMVGFYAFEPDLTKKKNF >cds-PLY83571.1 pep primary_assembly:Lsat_Salinas_v7:5:18159029:18161500:-1 gene:gene-LSAT_5X9081 transcript:rna-gnl|WGS:NBSK|LSAT_5X9081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPPQHHSWINLGEIKGQLIKKLGLERSKQYLDYLNLFLSFKLSKPEFDKLCLRTVGKDNIRLHNQLIRAVLKNACTGKSSIPDDPSTTVGNKKPLDGVYHQNGGVTIPLALANGDILPPSPRKARSGARERRVVDRKSALGPNGKTNYTSISSSFPQSGDFSVVLENGNSSSSPDTRNAAVHHHHQELKKQQENGDFVGVHRIEHTESLVRKDGKGVSVSVSVSDRASLHAPLGVPFCPVSVGGARALPSSSKTVSVLHTDGLLETPTLKERMEQIVTAQGLQRVSMDCANVMNIGLDSYLKGLIRSCIELNGAKSMQESTKSDLVKHPAQMRSKQLATLLDFRVAMELNPKQLGEDWPVLLEKISTHAFEE >cds-PLY80982.1 pep primary_assembly:Lsat_Salinas_v7:9:176165306:176166912:-1 gene:gene-LSAT_9X108840 transcript:rna-gnl|WGS:NBSK|LSAT_9X108840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEKSKILLIGGTGYIGKFIVETSAKSGHPTFLLIRGPTLLNPSKSSMLDSFKKSGVTFITGDLYDHDSLVRAVKQVDVVISTVGPAQFGDQVNIIAAIKAAGNVRKFYPSEFGNDVDRTHAVGPAKTAFATKAQIRRAIEAEGIPYTYIVNNCFDGYFLPSLAQPEATAPPLDKVVIFGDGDTKAVFNEEHDIATYTIQTIDDPRTLNKIVYIKPSCNVYSFHDLVSLWEKKIGKTLERVYLCESQVLKNLEESPAPLKKNLSIWHSVFVKGDQTNFEIEPSVGVEASTLYPDVKYVTVDEYLSRFV >cds-PLY89144.1 pep primary_assembly:Lsat_Salinas_v7:3:22243062:22243674:1 gene:gene-LSAT_3X16480 transcript:rna-gnl|WGS:NBSK|LSAT_3X16480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELVPGSLVNRFVDGDDEVRNSRMKLIPSVPKGSWIVRQSVGSSLCLLGKAVDCNYIRANGVLGLIVGGITSLVVDVAFLVWVCC >cds-PLY90737.1 pep primary_assembly:Lsat_Salinas_v7:3:36220378:36222081:-1 gene:gene-LSAT_3X26500 transcript:rna-gnl|WGS:NBSK|LSAT_3X26500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATASANTGAVGLDGGNNDHTVFVYGSLLADDVVQVLLNRIPQTSPAILNGYHRFSIKGRVYPAIIPVENKKITGRVLSGLSASELYILDTYEDEEYDKRTVDVSLLDTSEVLQAYVYIWANSSDPDLYGEWDFEEWKESKLEDYLKMTKSFVEELEDTASSLP >cds-PLY67906.1 pep primary_assembly:Lsat_Salinas_v7:1:54798590:54799777:1 gene:gene-LSAT_1X49341 transcript:rna-gnl|WGS:NBSK|LSAT_1X49341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHAYSNDFSFTDAQVGVCYGRVGDGLPSQQDVVNLYRRNGITRMRIYGPDQATLQALKGTNIELMIGVPNDALQSLNDQGVANTWVRNNIQNYPDVNFKYIAVGNEVDPNNGDSRFVNFVLPAMRNVQNAINAAGLRNQIKVSTATYTGLLGVSYPPSNGAFRNNVQGFMEPIIRFLAGNNSPMLANIYPYFANPISNLPYALFTAPGTVVTDPNNGLQYSNIFDAMLDAHYAAQARLGGGNVQIVVSESGWPSAGGNAATVENAGTYYRNLIRHVRGTTGTPAKPGRSIETYLFAMFDENRKPGEESEKHFGIFSPGQQPKYQLSFN >cds-PLY84308.1 pep primary_assembly:Lsat_Salinas_v7:5:188772817:188778141:1 gene:gene-LSAT_5X85781 transcript:rna-gnl|WGS:NBSK|LSAT_5X85781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMREVDPAFQGAGQKAGIEVWKIENSKPVAIPEASYGKFFTGDSYIILKTVTKKSGVFRYEIHYWLGKDATEDDASTAATKTLELDAALGGRAVQYRELQAHETERFLSLFKPCIIPEESEPQEHKTRMFVCKGKHVVHVPCARSSLNHEDICILDTKKKIFQFNGSNTSIQERAKALEVVQYIKTTYHTNKCDIATIDDGRLMADAEAGEFWGFFGGFAPLPKKTATNDAQSTHAYPTQLLCIIKGKAIPVAADSLTKKLLDTHHCYLLDCGTEIYVWVGRSTNLEERKAANGAAEEHLRVHERPKSNIIRMIENFETVSFRSKFDSWPPLSDVVASEDGRGKVAALLKRRGLDVKGLIKTSTEHEEEPQPYIDCSGNLQVWRVDGKEKSLLPDIEQSKFYTGDCYIFQYTYSGDEQEECLMGTWFGKQSVKEDRDSTMLLITKMLESVKFLATQARVYEGDEPFLFYAIFQSFMVLKGGLSKGYKNYISEKGLPDDTYREDGIGLFRVQGSGPENMQSIQVDPPDMQTRVHKEGAESDQFWEILGGKTKHPNQKIQTTAETDPHLFSCTLSKDDNLKLYQIHNFKQDDLLTEDVYIIDVLSSIFIWVGQQVEAKTKTQKLAIGEKFLERDIRLEKLSLQTPIFIITEGSEPPFFTRFFTWDSKKTGMHGNSFERRLSLIKDGGRSTMNLKPKKRAPVSFGGRSGSEKPQRSRSVSFGSDRPRARGRSPAFNALASKFDNPTAQRNLSTPPPVVNKLFPKVGGGGDTGGGGGGGNLDTSKLPGRLDTSKLFSKSKAIASLTASFDKPTRDKLMPRSIKTARSEPVSKMEANAKGTPMSSRKIGGLGVNKEDANENKVEKEEEEKGGTFYPYDRLTTSSTDPAPNIDVAKREMYLSSSDFREKFGMTKDAFYKMPKWKQNKMKISLKMF >cds-PLY80161.1 pep primary_assembly:Lsat_Salinas_v7:3:40872718:40874493:-1 gene:gene-LSAT_3X31320 transcript:rna-gnl|WGS:NBSK|LSAT_3X31320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNNSDPVFSYSSSSPSSSAAAVNSSYLTLNMVNNNPADYNNYHEQMGLMEKDLQKYENSEEMVGGHHVSPSSSSLAHGDGSQLIMAAAAGKTNIRKGEKKIRKPKHAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRNYYRCTHQGCNVKKQVQRLSNDDGIVVTTYEGTHSHPIEKSTDNFEHILTQMQIYSR >cds-PLY69762.1 pep primary_assembly:Lsat_Salinas_v7:5:231703614:231703997:1 gene:gene-LSAT_5X111820 transcript:rna-gnl|WGS:NBSK|LSAT_5X111820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSCIRKAFGLEPLCWDRKLAEVAQNWADQRKDCNLIHNNSPYGENMGMGPDLNALWATQMWIDEKRDYDYDSNTCKEGKMCGHYTQVVWANSKRIGCGRTKCDNGWAYVVVCNYDPPGNYAGEKPY >cds-PLY80471.1 pep primary_assembly:Lsat_Salinas_v7:2:137993146:137993526:1 gene:gene-LSAT_2X68060 transcript:rna-gnl|WGS:NBSK|LSAT_2X68060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDKERTKAVIRYTKKSVVEDKLKRKNGNDIMESEKVYVVDFNNGKGKRKGKLGQFRCSPYVDQITDMDETVKDDENVVAQSILTWGKDKRENNMGN >cds-PLY77843.1 pep primary_assembly:Lsat_Salinas_v7:1:24049142:24051603:-1 gene:gene-LSAT_1X20661 transcript:rna-gnl|WGS:NBSK|LSAT_1X20661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFMEEPRSPLQPPTYGNLITILSIDGGGVRGIIPSVILEFLETQLQKLDGENARLADYFDMIAGTSTGGLVTALLTTPGEDNRPIFAAKDVKDFYIQHCPNIFPHDSKHHRFAAATKVVKALSGPKYDGEHLHKVIRETLKEKQLHETLTNVVIPTFDIKYLQPMIFSSYQIQKNPSLDAKLSDICIGTSAAPTYLPSHSFQTKDSEGKVLREFNLIDGAITANNPTLVAISEVTKEITSGSANFFPIKPTEYGRFLVLSLGTGSPQFQERYDATKSSSWGILGWLGAGGGSTPLVDVFTQASGDMVDYHISTVFQALHSQENYLRIQDDTLSGDLTSMDLATHENLENLVKVGQELLKKQVTKVNFGTGICEPYHHTTNEMALIKFAKILHQEKNVRELRSPSTNRGRITREESMKEQTTVLSQRTPALSNAIHHSLPDLYKLNPN >cds-PLY75011.1 pep primary_assembly:Lsat_Salinas_v7:1:112391775:112392716:1 gene:gene-LSAT_1X90141 transcript:rna-gnl|WGS:NBSK|LSAT_1X90141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVCGDTYDYYQLPKLMNWLQSLMKMIDELNVSVGQVLCSRINENSFSSWIPEK >cds-PLY95657.1 pep primary_assembly:Lsat_Salinas_v7:2:113471858:113474114:1 gene:gene-LSAT_2X52320 transcript:rna-gnl|WGS:NBSK|LSAT_2X52320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTKVASVDHVALPNGDVGCLPPHGGVSSIQSSTVPFNFSEATLGRHLARRLVQIGVSDVFSVPGDFNLALLDYLVAEPGLNLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVINAIAGAYSENLPLICIVGGPNSNDYGTNRILHHTIGLPDFTQELRCFQTVTCFQAVVNDLEYAHEMIDTAISTALKESRPVYISISCNLPAIPHPTFSREPVPFSLAPKLSNHMGLEAAIEAAAEFLNKAVKPVMVAGPKLRVAKARNAFVELADSCGYPVAVMPSAKGMIPEHHPHFIGTYWGAVSTAFCAEIVESSDAYLFAGPIFNDYSSVGYSLLLKKDKAIIVQPDRVLIGNGPTFGCVLMKDFLIGLSKRLKKNTTAYENYHRIYVPEGHPLKSAPKEALRVNVLFQHIQNMLSGDTAVIAETGDSWFNCQKLKLPKGCGYEFQMQYGSIGWSVGATLGYAQAISNKRVIACIGDGSFQVTAQDISTMIRCGQNTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTSKVFCEEELGEAIEKATGEKKDCLCFIEVVVHKDDTSKELLEWGSRVSAANGRPPNPQ >cds-PLY62460.1 pep primary_assembly:Lsat_Salinas_v7:1:83431910:83432644:-1 gene:gene-LSAT_1X69881 transcript:rna-gnl|WGS:NBSK|LSAT_1X69881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREKYLQLCEMVDDEKVDISSTVIDEDAPLEDDVVRSLRTSPIHSRNKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRKNLYLVMEYLNGGCLDEDVARIYIA >cds-PLY80215.1 pep primary_assembly:Lsat_Salinas_v7:9:191790618:191793293:-1 gene:gene-LSAT_9X118141 transcript:rna-gnl|WGS:NBSK|LSAT_9X118141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSEGDMRSEAEDRKINEEYKIWKKNTPFLYDLVMTHPLEWPSLTVEWLPDLEEPAGKDYSIQKLILGTHTSVGEPNYLMIAKVHLPVKDAEIAVPQYDDSRPDLGGFGCTNGKVQVIQKINHDGEVNRARYMPQNPFVIASKTINAEVHVFDYRIHPCESDPPSEREKECKPDLRLKGHTAEGFGLSWSKFKKGYLLSGSDDSRICLWDVHATPRNKTLDAMQIFKIHKGVVEDVAWHLKHEYLFGSVGDDKYLHIFDLRSPTFTKPIQTLMAHQNEVMSLSFNPFNEWKLATGSTDKTVKLFDLRKLTTALHTFSQEDEVIQVAWSPKKESVLASSCLNRRLMIWDISRIGEEQVPEDVKDGPPELLFIHGGHTSKVSEFSWNSNEEWVIAGVAEDNILQIWKMAENIYHDEEDDWADIPEDQPGPSQNKKNK >cds-PLY77155.1 pep primary_assembly:Lsat_Salinas_v7:8:26020573:26022476:-1 gene:gene-LSAT_8X20061 transcript:rna-gnl|WGS:NBSK|LSAT_8X20061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDQIIATSKTVGAVKANNGGGQQLLETTKSERHVWLMKCPPIVSRSLTQQQQQQQPQLSTPLDASSAPIGAKVVVTVDPLLPNNDFSSTQFTMELLGTESGRIPKCYSMDMSTDFIPMSVFSESKQGRLSVEGKIYHKFDMKPHNENIEEYGKLCRERTNKYMTKTRQIQVIDNDNGAHMRPMPGLIAFRPPSQTQRKKAPVKGSDTKRTRRDRGEMEDIMFKLFERQSNWTLKQLISETDQPEQFLKDMLKDLCIYNNKGSNQGTYELKPEYKRTTEEQEPSSK >cds-PLY85973.1 pep primary_assembly:Lsat_Salinas_v7:3:201962879:201967832:1 gene:gene-LSAT_3X120241 transcript:rna-gnl|WGS:NBSK|LSAT_3X120241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVNGRPLKRMKRRVTADLNDFLTFPSGDLTPTAPFRTSVREFLLRHALLPPPSSLLPHLLTWQILFRVGEQTSSAVGGGDASVCLDVVEEDVIRSRSVYCDQCRVVGWSGNPVCGKRYHFIIKGDGASIGGYNKSCAGCGASLHLSDSRCKTCNHVMTTEDIEDWMYNQLDDTNHLLHGVVHVNGYGHLLRVNGREGGSRVLSGTHIMDFWDRLCKVLGVRQVSVMDVSKKYGLDFRLLHSIIKGHPWYGDWGYKFGAGSYGITLEAYNTAIETLANTPLSTLSHQPRKPRTHLQDSISFYQSLSDHKLLTFRDLFQYLTTLIHDANKDDFCSSKKAKLSDSRVLCAWSMGDILRVEEAMFRVLRAVSGSAWVSWRSLRGAVCRVGRPELLDYCLKELKGKQAADGMVVNARLNPDSGSIEYRLEPGSVNSISMTNNPKFPNCPSEDHLLRDLRFLYESLLRPPQQTTPNHITNQNQTSSAQKILDCKQFVKNYHPEHQFPNSNPHSLILNCKLQLTEEPTTINPPPEHLILSSNATIHDLKTESSKAFQDVYLVLRRFEADELVGYGGVDESTQIKLLIGSNNNNNINTITVSGKCVGNGKSGLTRYRMERGVETWTLDCRCGAKDDDGERMLACDGCGVWQHTRCVGIDDMCSVPVKFVCCKCGGDGGGGRAVNRGGG >cds-PLY61762.1 pep primary_assembly:Lsat_Salinas_v7:5:302295503:302297617:1 gene:gene-LSAT_5X164281 transcript:rna-gnl|WGS:NBSK|LSAT_5X164281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTLKVGYNHETVYNLKAREFGGAAAVQQQPWQVGITILPSEIEARDANLQEDQQQQIINYRQEVPSCSKTIMEQIGSPASAVFATEKYLGFSQNGVRRSVHVNDETDVSSVPQQMRFDNHFMHPHDNGIFSSYQRSSSFKMRSSNNQSERDQIRELKRKLLDESDTSDWRQPQPSICFDGNQDLGGQFGRIRPASGQHSVNCTNPGNVMPSKTRIRWTQDLHDRFVECVSCLGGAEKATPKAILKLMDSEGLTIFHVKSHLQKYRIAKYLPESGKGNSEKRTTMDTISQIENISGMQFKDALQMQLAVQRQLHEQLEIQRNLQLRIEEQAKQLKKMFNQHQQQKANKSQNSDITSSHDDHHSTNLNDDDDDDDDDDDDALNLDDDSADTLFPSKIS >cds-PLY70187.1 pep primary_assembly:Lsat_Salinas_v7:9:434906:438715:1 gene:gene-LSAT_9X5201 transcript:rna-gnl|WGS:NBSK|LSAT_9X5201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFLVMEQRMLWILVYSVSGNNLNVSVEDDHLIAGDFDFTEELESPLQNLDADTEELDISHFVGDLRALMVDDSEDEIVLDSDDEAISEQKQGKIRGRLWRHAQSVDVRSSAGSNLTDKVTDNIPSERSEERNLVSHNENETGSRDTDTRCSKGDKLVNLNASSNNFEGENAVVDESQEPGESSEADALDFVDHFLSVSAVNSSPEVKILKYDGPRSPFSSCAKGSQKLAMKTSFMTKIGVSTFDWDSDQPDHGGGFFLEKKTEPDIQRDKHLSERCKSKQLPGNDSNERDEPPDMFDVGFNTQMAAEAMEALLYATPPHIDVNEHKRVKNPTTENPSKKFSFPLSANCDSKTNGISFKQKRVANRRHKMSTSFHNKNQRELNLELLNLGKDENCTNGDNLTEPKKKVYEKSLKVYKRRKQKQDADKENLKPDNEVKTFSPVASRTRRGSCVKRSQRTADATCNGKEIDVLHKRKSGDWKFDTWKWPKKKRTCRNRRQNAKLTNTLNVQSPVVKGGNGEMEGNFKEASFMSSVKRKARSASIYRSTSGKKLSNTKCVSMRIPKTLNESEHSFESIPALGGEEKIKDLSSNGKNKTPSIMSGASRTSDQGLPRKQLHKKSSTSSSLRNELPRLGFSESAPDFMSKDLRRRRSKVEIRVLFSQSLDDDVVKQQRKILKKLGTCMATDCSDATHFVADRFARTKKMLEAMGLGKQIVTPLWLESCDQVGCIIDEKNYILRDAKKEKQIGFSMPVSLSRATTHPLLKDRRVFITPNVEPDREMIKNLIKAVHGQVMEDIEQASMECKTSDDMLILSCEQDYVSCFPFLDKGVAVYSSELLLKGIIIQKLEYAKHQLFKGHIIMKRYAKKRKKNGSGDLDVV >cds-PLY93845.1 pep primary_assembly:Lsat_Salinas_v7:6:145509594:145511006:-1 gene:gene-LSAT_6X88100 transcript:rna-gnl|WGS:NBSK|LSAT_6X88100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKPLYEFVPSTNVFKAPHAPDSPIIIQRNNVVFSDDIPKQFANLGIEDFVDYVKICPLRYAFYDLPVPFYPKHVCEFYYSCSVDFDSQTIFGTIGDGQYRVTIDVESFRTTLHLPGLENYSETPSKENCKSVHERLGLILACNEGYVESHGIIIPIPALSSNIINTAPTEDDLYITVRMQKLINKPYVVESSGSEEENDEDDNEEGTGNKEGIDEEEYIDDDEEDPTTNKGEDFVQGMNSPLRLNKHIRFSSTSSSTPSVNDVVQGRSTPPLMEIDEPMIQDEISPRLASPSPQVEIVPPLPTPIKIVAFHPEESNTNFQTVVLSKLYIILQVTQPLGKRLTKVEKDVADMKRFMALVDDDVDDMVVDDTPAKLSR >cds-PLY62586.1 pep primary_assembly:Lsat_Salinas_v7:9:76014501:76014947:-1 gene:gene-LSAT_9X61020 transcript:rna-gnl|WGS:NBSK|LSAT_9X61020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLQSARTFDHDTFPKTAALEFPSQFHSVTCKLDKALANFPYGDFEISEDVKEHALSSDYLVTCVVDCYSKSMHLRRNYATIRRKLDTEGRKLQPAACVYQITEEPTGIN >cds-PLY68822.1 pep primary_assembly:Lsat_Salinas_v7:3:64361158:64363708:-1 gene:gene-LSAT_3X50200 transcript:rna-gnl|WGS:NBSK|LSAT_3X50200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEISQIKLLGNIASPYTSRVQFVLNLKSIKYELIEEDFDDKSELLLTSNPLFKRVPVLLQSNKPPILESLIIIDYLEQIYPDVYKLYPYDHADQAVLKFWAYYIDTEFFPLYGRLRKTPDKEGKEVLKKQIIEKSHVLENLFVKFSNGKPYFGGDDVGYLDVVLGCFLGWTKFVGNHNNFKIFDEVRTPNLIEWSKRMLSHESLKGVIPDQEIHIDFFKWLTKARPPPKAT >cds-PLY92169.1 pep primary_assembly:Lsat_Salinas_v7:8:5085706:5087349:1 gene:gene-LSAT_8X4201 transcript:rna-gnl|WGS:NBSK|LSAT_8X4201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCFSFVVRRFVIPVSYLNPPPFQDLSSLPPFFTFVVSTRAFREFFFLIAHFFSIMGHYGRVPTAEEFQFLQDCSGFLLDYGVMILAKGASIYNCHQWKVGVSIPLLKAGLCLPTSDFFDMIVHHYRFSVDELTPDTINKIIDKETESDDASLRPRKARRTVSMAKLLGGIGGVLGDQFYVPEQKEIVVVPNSPEASPSPFVGSLLVNLGSDSMSGGAPSLPGGSFQREKPSLVDEIGTSSHSLYFEAYAPGWRLLEILTIRRHHRLGVE >cds-PLY65973.1 pep primary_assembly:Lsat_Salinas_v7:4:139595829:139598528:1 gene:gene-LSAT_4X85780 transcript:rna-gnl|WGS:NBSK|LSAT_4X85780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRAASKKGPTNSTTSSNSSAMDLFRSASGKAASKELERIDQLYYSYANESSGMIDPEGIEYLCSDLEVEHTDVRILMLAWKMQAEKQGYFTLDEWRKGLKALRSDTIVKLKKALPDLDNEVRRPSNYVEFYSYAFRYCLTEEKQKSIDIESICELLELVLGSQFRMQVDLFIQYLKTQIDYKVINMDQWMGFYRFCHEISFPDFNNYDEELAWPLILDNFVEWVRSKQS >cds-PLY93513.1 pep primary_assembly:Lsat_Salinas_v7:5:325756451:325758234:1 gene:gene-LSAT_5X179880 transcript:rna-gnl|WGS:NBSK|LSAT_5X179880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTAISSPTAYSRVPSNSQIVRNSNSSVSASLCLKFTNNRHGKGAVGMLLQKKLVLPRHRFRCNCNSSTPGGPVPGENESKQVLDAFFLGKALAEALNERVESAVGELLSTVGRLQAEQQKQVQEFQDEVVERAKKAKVKAAREAMEAQGIVPKSPASSVSSPIVIIDEVTISPSSSSSDSKDEGPSKDSTDPLLGISIDD >cds-PLY93472.1 pep primary_assembly:Lsat_Salinas_v7:9:58087672:58089097:-1 gene:gene-LSAT_9X51201 transcript:rna-gnl|WGS:NBSK|LSAT_9X51201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNTRAKVQKIQNTMKLCFMEAAMADSTQLTTQSGALIGSNDELLIEILRRLPVTSVLRFKSVSKHWRSLLSHRRFTLLYKNASSGLFVRNLYIPFNNEDRSTPPFRKLDFYHDPSGITIVQSCNGLLLCCSDRGHVRARRYYIFNPTTKQFSLLPSVPGGMDVRKTIRFMGLAFHQTDCVHYKVVCFHRAKPDEYLFAKPDEDLFRIQIYSSETGKWKISDQSFSAPYYTSFSSGVYLNHALYWAPTCVSPSYFKLDTEEIQSLPLPMAVASFGGYRDGAMPLYFGESQGHLHLGERVDRFESHLQLNVYEMLNDHSGWFLKYGVELDEVPIAYPEMIHSYLDPSSPHYYKFEFFDVVRGEEEEEMFIVIKIPGKIIRYNVVDKSFKAIFDLNHLYAISYGRIGHSEVHRYIETLASF >cds-PLY71233.1 pep primary_assembly:Lsat_Salinas_v7:6:18984965:18985675:-1 gene:gene-LSAT_6X14481 transcript:rna-gnl|WGS:NBSK|LSAT_6X14481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCNLSIQCVFLNNVIFQLFNHFILPRSILARLVNIFMFQCNSKIIFVTSSFVGWIIGHIILMKSIGLLVVWIRKNRSIQLANSMSIPGRISLSIISKKLNNLEKMDQTKLKNNKPLSYMYENQEDLYLEILRKRDEEKSFSLFEKPILTFFFSDYNRCIVYKKRNVTIFFYTCQSDGKKEYILNILPVCQRLGNDSKKDIVVYIRKTLR >cds-PLY76235.1 pep primary_assembly:Lsat_Salinas_v7:4:51529413:51531456:1 gene:gene-LSAT_4X35140 transcript:rna-gnl|WGS:NBSK|LSAT_4X35140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRIKTPKSLRAKRELEKRAPRLVEFGKKTLLLHGTKTSNVLNAVLTEIYHLKKDNAVKYSRRNDNIRPFESGGETSLEFYSLKTDCSLFVYGSHSKKRPDNLVIGRTYDHHIYDLVELGVENFKSMASFSYDKRIAPLIGSKPFFAFIGEGFENNDELKHLKEVLLDLFRGQVVKNLNLAGLDRVYVCTAVSPTKVLFTHCALRLKKSGNIVPKMELVEVGPSMDLVVRRHRRPDESLRKEAMKIAPELTKKKEKNVSKDPIEGKIGKIYVPDQQVGSASLPFKPKGVKRERREAKEAKVEKKQKESEAKEGKESIKHSEKKRKKQKDDDSV >cds-PLY99681.1 pep primary_assembly:Lsat_Salinas_v7:9:56598073:56601281:1 gene:gene-LSAT_9X48160 transcript:rna-gnl|WGS:NBSK|LSAT_9X48160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNGERAANIALAGLTLAPLVMKVDPNINVILTACLTVFVGCYRSVKPTPPSETMSNEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIIALSATLLPAIRRFLPTKWNEDVITWRFPYFHSLDVEFTRSQVVAGIPGTFFCVWYVAQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTRDAVRPFSMLGLGDIVIPGIFVALALRFDVSRGQKSQYFKSAFLGYAVGVVLTIVVMNWFQAAQPALLYIVPSVIGFLGAHCIWNGEVKPLLEFDESKTAAGGEGDVDEKTNKKVD >cds-PLY71659.1 pep primary_assembly:Lsat_Salinas_v7:5:128345183:128354335:-1 gene:gene-LSAT_5X56521 transcript:rna-gnl|WGS:NBSK|LSAT_5X56521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKESETGNRLVFAYYVTGHGFGHATRCVEVVHHLILSGHDVHVVTGAPDFVFTSQIQSPRLFLRKLVIDCGVVQADALTVDRLASLEKYSETAVVPRDSILATEVKWLKSIKADLVVSDVVPVACRAAADAGIRAVCVTNFSWDFIYAEYVVVAGYHHRSIVWQIAEDYSHCQFLIRLPGFCPMPAFRDVVDVPLVVRKPRKSRKEVRDELGIKDNEMAMVLNFGGQSLDWNLKEEYLPPGWICLVCGAHDNQQELPHNFKKLAKDVYTPDVMAASDCMLGKIGYGTCSEALAYKLPFVFVRRDYFNEEPFLRNMLEYHESGVEMIRRDLLVGHWRPYLEIATTLKPSYEGGVNGGEVAAKILQDAAHGKNYKSDQDSGARRLCDAIVLGFQLQRASGRDISIPEWYTNAQNELGFRTGSPQSSDAANNNSSFFQNSVCDEFEILHGDVKGLPDTINFLKSLAELRVSHNSEKPCIRERKAAAALFNWEEEIYVARAPGRLDVVGGIADYSGSLVLQMPIREACHVAVQKIQPAKQRLWKHAQARQQAKGQKQTPVLQIVSYGSELSNRGPTFDMDISDFMDGGKPISYEKAKHYFSQDTSQRWAAYVAGAIIVLMKELNVRFESSISMLVSSAVPEGKGVSSSASVEVATMSAIAYAHEIAYFSLVACLVENYVVGTPCGVMDQMTCACGEENKLLAMICQPAEVLGLVDIPSHIQFWGIDSGIRHSIGGADYGSVRIGAFMGRKIITSIASSMISQASSNSNGHNNNHEHDIRLLKTEASLNHLCNLTPHRYEHTYVKNLPESISGEAFLKEYKDHNDSATVINTKCIYPIKPATTHPIYENFRVKAFKALLTSSPSEDQLTALGELLYQCHYSYSGCGLGSYGTDRLIQLVQEMQHNNNNNKSTQTDGSLLYGAKITGGGSGGTICVLGKNSPQTRQRITQIQKRYQNATGYKPFVFEGSSPGAGKFGYLKIRSKNK >cds-PLY67961.1 pep primary_assembly:Lsat_Salinas_v7:2:12754544:12754792:1 gene:gene-LSAT_2X5681 transcript:rna-gnl|WGS:NBSK|LSAT_2X5681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFKCSMNLMLLFFSLGTPEFMAPELYEEEYNELEMVTFEYPYRECKNPAQIYKKVTSVSFGNGSFINGIK >cds-PLY63555.1 pep primary_assembly:Lsat_Salinas_v7:9:150423610:150423962:-1 gene:gene-LSAT_0X5420 transcript:rna-gnl|WGS:NBSK|LSAT_0X5420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLDDLLNISVHCYDTVRTLAGRSLVKMIKRWPSLIAKCVYTLTENIINANTPEYMVLGSCAVLGTQTILKHLTLVFYY >cds-PLY72002.1 pep primary_assembly:Lsat_Salinas_v7:8:148929874:148931389:-1 gene:gene-LSAT_8X100341 transcript:rna-gnl|WGS:NBSK|LSAT_8X100341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADGDVNISIDETVKGLLNSVQNRSPIDLFSTSINIVPSGLRDLSPSSFIPRVVSIGPLHKENENLKAFEDQKATYVHELLHPLDSPVEQTLKECVLKVAFSVDRIRASYVPKITYSDMELAKMMVMDACFILGFIGALSESSDEPELQYLLRGSSIVYDLVLVENQIPFFILQDIFDCTILKSKPTASLTDLILQLIQVFNVFQSNITISNVYPFVPLDHILGFLDRCYWPSVEYSPSQGLPSSAIHSTVELERAGMIFQCNQDHERWQMDMEFISSKFSCFSWCWGKPTLRMPVLRIDNFTELILRNLIAYEQSSGFRTYVTSYAMAMDMLVDNQEDIAKLIDSKVVVNHLGSNEKAANMMNSLCKELPLLSFYYIDIWRQMDTHYNSYWPKNISALKRTYFSSPWNMIALLAGIALFALTIVQTIYTVNAA >cds-PLY86991.1 pep primary_assembly:Lsat_Salinas_v7:5:260700598:260702216:-1 gene:gene-LSAT_5X130781 transcript:rna-gnl|WGS:NBSK|LSAT_5X130781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAIGVLLTFPMSSYLEQELDKRFNLFRLWNFPQKNDFFKDNSGSIRAVVANVNVGANRELIDSLPALEIVSCYSVGLDKVDLRHCKQKGIRVTNTPDVLTDDAADLAIGLMLTTLRGISECDRYVRGGLWKKGDFKLTTKFSGKKVGIIGLGRIGTAIAKRAEAFNCPISYYSRSQKPESKYKYFPSVVELASDCDILVVACALTEETRHIINRQVIDALGPKGFLINIGRGPHIDEPELVSALVERRIAGAGLDVYENEPHVPEELFGLDNVVLVPHIGSGTVETRIVMADLVVGNLEAHFSNNPLLTPVV >cds-PLY63137.1 pep primary_assembly:Lsat_Salinas_v7:4:65597698:65598979:1 gene:gene-LSAT_4X44381 transcript:rna-gnl|WGS:NBSK|LSAT_4X44381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALNGGAPPRGSAAAAAANLRRRRTGGATGSSAAGGTAGNMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAVLHVMGKLYFVRKE >cds-PLY62010.1 pep primary_assembly:Lsat_Salinas_v7:5:116707333:116711265:-1 gene:gene-LSAT_5X52160 transcript:rna-gnl|WGS:NBSK|LSAT_5X52160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADYTTGQKELVGLPSSDDETPYESSPSPIDDRLSAFLETFTTQLHYSQPVSKRGLFVFWSQNLSSQSNRYSDNRGLVLDITDPWEIQTAIKSAFCKVELCSFDGLIQFWAPVESSSRRLLTTYDQPFALKYLDSGLQKYRLFSLKYQYNIDANKLEVGDDTTIISGAPANSFVNHLAEVVLDMRVYHGNPLMRSALECELMSCFLLPICDPSQSRCVGVVECSANKLDDLLEIFNHMNTALQKVGLSTFHGQERMLYKSIVGLKHVTDEIEEALQRVCESHDLTLAQVCIAYEDTHMKRIIPLKLIAHCDNSDDEDRLSFKDYYAICDMLPLQIGEGLVGKALQNYEPHLCRNIYEMSNYELSLLFPITIKEHSCFVICLRSIETGNIDYVFEFLWLQSRNHMILLESIILTLKRCLPNFKFSSGLQLGDELLVVDIKFNSGVELSVQDFTFAFGAELGDVTSSKSEIEYFKIFEGKKSSPIPTLLEKGMRLVAEECIGPLDVKCKTTEKALPREDIEQQFGRTMKEAAKNLNVSLSTLKRKCRVHGISEWKGPSFGNRKINYSYQNRSDMNEEDNGAIQGPWGIDGDTVIIKAEYEDDVIKFHLPISLTTFEAVEKEIGKRFKLKVTTYKLKYQDEDKEWILMTSDQDLSDCINISRSVNDTRIKLRVLRYISNI >cds-PLY82687.1 pep primary_assembly:Lsat_Salinas_v7:2:18256790:18258342:1 gene:gene-LSAT_2X8421 transcript:rna-gnl|WGS:NBSK|LSAT_2X8421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKRFGFVRFSGTVNSDHMIKNLCEVWFGYHKMFASVPRTHKKESNSYMEPTRVEKKRENLPVSYANAVRAKARDFSTLPNLGMLCHDEGFDEFIIRYVGGLWVMFEFKSKEECKNFLNNDAVNHWIIEKRKWDNNFVPSDRIVWVDVEGLPLRAWSKNSFRHILAKWGSIAHLDDNIGEDVYKSRVCILTSFLGIISEVIKVSNEGEIFPIRLKEAPGWNLTFVCEFNKNSDNDNVDEFHRFEQDHEGSNVSLSDKEDVSLDPFGIYDVMKKLDNEEELKKSTKLHKDSSQGHYRNYVPINEESVFQEPVAYPGMIHVSHQSPNNHDQEPLCPHNEVRPTTDQDAPIAAKIATTAYVAVKNETATFDDVPDLFSIASTPFFSSKPRKLYDDNQNTGAENEGNLGNYSVNDTDQINWMGFPGGHGHVLGINSSEEDLSQPPGFSNQCFSFSENKQSNTGGIEKNNSFLEELQKNHCYGRSVGV >cds-PLY85210.1 pep primary_assembly:Lsat_Salinas_v7:8:182793197:182795899:-1 gene:gene-LSAT_8X118960 transcript:rna-gnl|WGS:NBSK|LSAT_8X118960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSIDMHAGLSEVLELDDKYASPIHIAADLLSQLSTVMVEYGMELYSELCTVTYEPGKRTDGTIFDSSFERGDPIEFELGTGQVIKGWDQGLLGMCVGEKCKLKIPSKLGYGDQGSPPTIPGGATLIFNTELVAVNDKGSDGGDTNNNSEL >cds-PLY79404.1 pep primary_assembly:Lsat_Salinas_v7:3:79016733:79017209:1 gene:gene-LSAT_3X60361 transcript:rna-gnl|WGS:NBSK|LSAT_3X60361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGDVNRGWTKIQSRRFKDKVRKTKDQVTMFFASNILRAEKKRALWKAFSKYGEATDNFMGVSSKKNGGIYGFVRFIGVVDPRSFEEVINGTLFLGSKLMVNISRFPRWEIEARNPSSGGGNPSCRYVVDVRLRDKRTFAEVVAPAAHPNRQNSYG >cds-PLY97572.1 pep primary_assembly:Lsat_Salinas_v7:5:233687317:233690657:1 gene:gene-LSAT_5X116340 transcript:rna-gnl|WGS:NBSK|LSAT_5X116340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELNATPKSLTLIVNLFVQMIHCGQEVQAYQLVGDINLEFDNTAAAYDATTYQRELDLNTATVKVGYSIGEVEFTREYFASFPDQLIVSKVSANKSGSLSFTVSLNSQLPHRSSVNAQNQIVMERAENDDNSESIKFSAILDLRISDGIGTITVTEDNKIKVVGCDWGVILLAASSSFESPFAKPSDSTKNPTSEALNTLNSVKDFSYEQLYTRHLDDYQKLFHRVSIDLSKTDSEDVTENMVATSERVKSFKTDEDPSLIELLFQYGRYLLISCSRPGTQPANLQGIWNDKVTPPWDGAPHLNINLQMNYWPSLSCNLHECQEPLFDYIESLSVNGTKTATIMKLYLLMYSFSAAIAEMVIQSTVNDIYLLPALPKDKWGSGSVKGLKARGNVTVSVSWNDGGLNGFKLWSPNDNNLKAMESESVTRTIHYNEMSVMAKMLKGKVYTFDKELQFIKAD >cds-PLY86250.1 pep primary_assembly:Lsat_Salinas_v7:8:57691308:57693939:1 gene:gene-LSAT_8X41941 transcript:rna-gnl|WGS:NBSK|LSAT_8X41941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACASFTKLNASSSQWIGQQSFNQRPASSSRLPVRRVSVVRAGSYSEELVKTAKTIASPGRGILAIDESNATCGKRLDSIGLDNTEVNRQAYRQLLLTTPGLGQYISGAILFEETLYQSTTDGKKMVDCLREQNIIPGIKVDKGLVPLPGSNNESWCQGLDGLASRSAEYYSQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHPIERTLEVAEQVWAEVFYYLAENNVMFEGILLKPSMVTPGADHKEKASPETIAKYTLTMLNRRVPPAVPGIMFLSGGQSEMEATLNLHAMNQSPNPWHVSFSYARALQNSVLKAWKGQPENIEAGQKALLARAKANSLAQLGKYSAEGESDDAKKGMFVKGYTY >cds-PLY98099.1 pep primary_assembly:Lsat_Salinas_v7:MU038089.1:9265:12105:1 gene:gene-LSAT_0X43161 transcript:rna-gnl|WGS:NBSK|LSAT_0X43161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENRRGLGLYLIFVCVFLFATTYTCLGIGNVSVICFEHERFALLNFKHSIQDPFEMLSSWVGNECCMWEGIQCDAVTGNVQRLKLNADSYYDFFTRNKVSSSLAELRHLKYLDLSGNGFFLGSQIPEFIGSLKQLTYLNLFDASFEGIIPPQIGNLSNLKVLNLGSNFLKADEMAWISRLPSLELLDLSSVDLSGAQNWDQTLLHMTPLLKELSLSNCRLSNVELGAFLNLSRILPNIKHLDLGFNSFEGPLPTFFQNMTSLTFLDLSGFNLSLAWNFPDLLSMIPSLSELHLFDCGLDRTHLSSPRLNFSKLDNIQHLDLGFNPLGGVFLSFLTNMSSLRVLDLSDTMLNSSLPIMPKLLELHLSYNKFKQIEHVGIWRRCHLTQLRVTNNEFGMEMIDSPKNASECSQDSLANLRVLDLSYNRLVGSIPESLSRLRFLEVLDLSQNHLTGPVPEFPGNLTKLDLSSNQLTGSIPKSLGKLAALTDLNLSSNLLNGSIPLSIGKLAKLRSLVLSNNSLEGVVTEAYFANLSMLKDLDASSNTKLTFNVSRGWIPPFQLKSLNLSSCNISNGFPQWLRKQRKLQILVLSNATLSGPLPTWLRKMPIIPVLDLSHNKFSGPLTNLPNGGNDKVYTYSPEPALLLEYNLFNGSIPRSLCRRTYLVGLDLSKNMLSGKIPNCLGNLKDLTNMILSSNRLSGVIPSSIALNSSLFWLSLNDNNFIGELPRELANLQLLQVLDLGDNKFSGNIPKWIGEKFIDLVVLRLHKNNFTGRIPRSLCKISTLQILDLAYNKLTGTIPRCVGKLNGMVESHSIPLYHSASDYDKNVIQGMKGVDLEYTTIWGMVYNMDLSSNKLVGEIPVELTALSKLVGLNLSNNHLSGEIPHNIRKMTCRVKFQQEINCKRLMILQYMLGTNIYVGLHCQTLAQIIKIQQQQEARRNIKQLMSG >cds-PLY64084.1 pep primary_assembly:Lsat_Salinas_v7:5:138951072:138951251:1 gene:gene-LSAT_5X60941 transcript:rna-gnl|WGS:NBSK|LSAT_5X60941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCMKCVVQAAVSAYHWLVTLYQVLQLPPQDTCNWFLLIPLAAAACSFFSFHEKRSSCH >cds-PLY66458.1 pep primary_assembly:Lsat_Salinas_v7:5:327881730:327882970:1 gene:gene-LSAT_5X183581 transcript:rna-gnl|WGS:NBSK|LSAT_5X183581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLYPEVESCNNSDNSHHAISSLVTAAAASSPSTTSAPSRYENQKRRDWNTFGQYLKNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTPICPFYGHPDPPAPCPCPLRQAWGSLDALIGRLRAAYEENGWPPETNPFGARAVRLYLREVRDLQSKARGISYEKKKRKRGPTHEHHQLPSFSTFQALPLPPGGHI >cds-PLY98754.1 pep primary_assembly:Lsat_Salinas_v7:1:8605582:8611202:1 gene:gene-LSAT_1X8840 transcript:rna-gnl|WGS:NBSK|LSAT_1X8840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEIDMVFVGDDGVVESGGIINMMGTYQIALVAKTMDKTVCVAAKSYKFARLYPLNQKDMVAALKPIDFGVVDKTKALPSYGGTGRWANDRELMDA >cds-PLY62687.1 pep primary_assembly:Lsat_Salinas_v7:4:335493697:335497652:1 gene:gene-LSAT_4X165501 transcript:rna-gnl|WGS:NBSK|LSAT_4X165501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLNHEEVGVFDLVHMLCSHAIEKRNFVDCPKGMTEENFKQRWLLSMSALAQKMLKSIKKPLAAMGAGIEYWLNLVSCNRNLSGLISNWIRDDLNLSTLSTTIL >cds-PLY96977.1 pep primary_assembly:Lsat_Salinas_v7:2:35494817:35495256:-1 gene:gene-LSAT_2X16460 transcript:rna-gnl|WGS:NBSK|LSAT_2X16460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKYRVSVCSVFASNNLDIDWLPHTCIILASSLCGLLVAESFDPNEYISKILFVIKPTSTDAKSIPFPTSEYTAAKFALVVISSNPLHFKVIRLSYTKPSDMPKEKVDYDYYNIELFSSTT >cds-PLY80359.1 pep primary_assembly:Lsat_Salinas_v7:7:154819882:154825308:-1 gene:gene-LSAT_7X91881 transcript:rna-gnl|WGS:NBSK|LSAT_7X91881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADHGGGGGCCPPMDLFRSEPMHLVQIIIPIESAHLTASYLGDIGLIQFKDLNAEKSPFQRTYAGQIKRCGEMARKLRYFKDQMSKAGLTPTPKTEAQGDINLDDLEVKLGDLEAELIEVNANSEKLQRGYNELVEYKLVLQKAGEFFRTAESSVVAQQRELSSDQTPEESLETPLLTDQDSKTEQGKQVKLGVLAGLVAKGKSMAFERILFRATRGNVFLRQSTVEEAVVDPSSGEKVEKNVFLVFYSGERAKSKVLKICEAFGANRYPFVEDLSKQEQTITEVSGRTSELKRTIDAGLLHRGNLLQTIGEQYERWNLLVRKEKSIYHTLNMLSIDVTKKCLVAEGWSPIYATEQIQDALARATIDSNSQVGAIFRILHTKELPPTFFRTNKFTESFQTIVDAYGVAKYQEANPGVYTVVTFPFLFAVMFGDWGHGICILLAALFLIFKEKKYSTQKLGDIMEMTFGGRYVILLMSLFSIYTGLIYNEFFSVPFELFSPSAYVCRDTSCSEATTIGLIKGRDTYPFGVDPAWHGSRSELPFLNSLKMKMSILIGVAQMNLGIIMSFFNALYFKNPVNIWFQFIPQMIFLNGLFGYLSFLIVLKWCIGSKADLYHIMIYMFLSPTDDLGENQLFANQKTVQLVLLLLSLIATHQGESYTPLEGEDQSLQVEANHDSHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYNNVIILGIGILVFIFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFHPFSFMLLGDEDE >cds-PLY76388.1 pep primary_assembly:Lsat_Salinas_v7:8:85560861:85562581:-1 gene:gene-LSAT_8X60901 transcript:rna-gnl|WGS:NBSK|LSAT_8X60901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWSIEDLNNRQSFEIEDGGFGCGKVVITQMTRDSEGPSNVKDNLYETVEKLYFVRYLVKTTFIVNKIKGLQSTTVKMMKIDWNTKKLTTENEALLMRHGKILWRKARKVEWGNGKRQ >cds-PLY86104.1 pep primary_assembly:Lsat_Salinas_v7:7:140290959:140293643:-1 gene:gene-LSAT_7X84261 transcript:rna-gnl|WGS:NBSK|LSAT_7X84261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYECCEYQFFVHIGIIAFLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTPKDRKYAEKILPVVRKQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEIIPQSVCTRYGLAIGASVAPFVRVLVCVCFPVAYPISKLLDYLLGHEHVALFRRAELKTLVNLHGNEAGKGGELTHDETTIIAGALELTEKTASDAMTPISETFSVDINAKLDRDLMNIILEKGHSRVPIYYEQPTNIIGLVLVKNLLTIHPEDEVPLKSVAIRRIPKVPETLPLYDILNEFQKGHSHMAVVVRQCNKTSEQVGPTSPLPDGKKEVRIDVDRENSLRAKRSFQKWKSFPNNGNNSSRGGYRSKKWNKDMYSDILEIEGKPLPKLPEEEEAVGIITMEDVIEELLQEEIFDETDHHFEDS >cds-PLY99471.1 pep primary_assembly:Lsat_Salinas_v7:5:332743999:332746909:-1 gene:gene-LSAT_5X185861 transcript:rna-gnl|WGS:NBSK|LSAT_5X185861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NIMA-related kinase 4 [Source:Projected from Arabidopsis thaliana (AT3G63280) UniProtKB/TrEMBL;Acc:A0A1I9LPR2] MENYEILEQIGKGSFASALLVRHKHEKKRYVLKKIRLARQSDRTRRSALLEMELISTVQNPFIVEYKDSWVEKGCYACIVIAYCEGGDMAEAIKKANSVHFPEEKVCKWLVQLLVALDYLHVNHIIHRDVKCSNIFLTRDQDIRLGDFGLAKMLISEDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCMYEMTAFKPAFKAFDMQSLINKINKSIVSPLPTMYSGTFRGLIKSMLRKNPEMRPSAADLLKHPHLQPYVHILHLNSNNPRRHTLPVPVPVRLSSGYNYEKRTTFIEPEPKTVHLHNEKRRSFSSDRALNPSISEYDQNSSYSQNSHRKLSIGSIEEYIHTEKLVAAAKTSNGGRTPRLTPASTPRRQTVTPKIIRGTSDRDLVPVSQSVTPRRQSSSSQISRRTSLPLSSSARSTIISHVGFDSPDVSMNAPRIDKMTEEPLSLMLPVHRMSSTSAQCSSGTSPTRTTIMDRSVTIDKCTVKTVNPIPSHELLAGSSRSSSDSRGQGQRRRFDTSSYQQRAEALEGLLEFSAQLMQQERIEELAVLLKPFGIEKVSPRETAIWLSKSFKGAGTGTGGGVSVVGNL >cds-PLY87906.1 pep primary_assembly:Lsat_Salinas_v7:2:28009047:28011789:1 gene:gene-LSAT_2X14700 transcript:rna-gnl|WGS:NBSK|LSAT_2X14700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPPWEEALDADDSDLQSLTLLRPCKQQRRHDESKPSIHTHLFQSQTLDSSKSPSETVNSLPQNITSAYQAEAPPHVRAIPGPAGVVQAAKLRKTRDMENILGQEEHPMATQEYIRRVVENPEEDDDFQGSPWLSAIEFVYADDMFNSVPNAPLGDINKYLKNGKLHQVVAVIKSCTSNELGDLKVTLKDPTGVVSGTIHHKVLTEGEFGKGIFVGSVLILHKVSVFSPSRSSHYLNITKRNLVKVFYKDNGSSQTQTFHGCKVIDRSPASDPEQGATTTKSGPTSSLEQIAKKMTNVTKTTTYSQINNEQENVSPFVSSGPKSTETLKESNLNITKRNLAKVFHMDDNGVSEKQTFHGKCKIIDAPSFSDHGQGTKTTTSGPTFPLDQIAKKMANVTNKTSVNIVSNGPHFTETLKESNVIKGGLNENPGFGNGLKGMDPSQIQVEPTMVSGSIPVWTDEQLNELFDDFADDM >cds-PLY87819.1 pep primary_assembly:Lsat_Salinas_v7:5:128916894:128917241:-1 gene:gene-LSAT_5X56760 transcript:rna-gnl|WGS:NBSK|LSAT_5X56760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDFSYVHLEETPSPLLVNGYSILVHHVTRTSDTIFWNRFESEYGFHHLFILEEISSHYWLMVTQFPIHLRFLPEMTPSSPLTPSSLVPPPLSILHPPSLSPDIQHHNPKICYSL >cds-PLY95177.1 pep primary_assembly:Lsat_Salinas_v7:1:170473314:170473801:1 gene:gene-LSAT_1X113541 transcript:rna-gnl|WGS:NBSK|LSAT_1X113541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEFQESDLFFETNAAGISIGGLEDTNIYNTHQHSIFSDFDKSKRKKKQPVEKMKNKSVPVNIPENFSRRSRSFQCYEEEGEIVPPHEIVSRRRFAGEMACSGDGRRLKGRELNEVRNSILRMTGFLET >cds-PLY97871.1 pep primary_assembly:Lsat_Salinas_v7:2:216271562:216271894:1 gene:gene-LSAT_2X136461 transcript:rna-gnl|WGS:NBSK|LSAT_2X136461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFIGTRHIYRRQGMCLRLLSGIESVRKSRTLYLLLVRKLIIPAIVEHMHTWTDVFGFKPLEETHIQEMRSINMLVFPETNMLQKPLIPEKC >cds-PLY65483.1 pep primary_assembly:Lsat_Salinas_v7:8:32464574:32467989:1 gene:gene-LSAT_8X25560 transcript:rna-gnl|WGS:NBSK|LSAT_8X25560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDSYDCRNIRNICILAHVDHGKTTLADHLIASSGGGVLHPKQAGRLRFMDYLDEEQRRAITMKSSSIGLQYKGYAINLIDSPGHMDFCSEVSTASRLSDGGLVLVDAVEGVHIQTHAVLRQAWIEKLTPCLVLNKIDRLIVELKLSPLEAYNRLQRIVHEVNSIVSTYKSEKYLSDVDSILAGPAGEVSDENQEFIEDDEEDAFQPQKGNVVFACALDGWGFSICEFAEFYASKLGASSSILQKALWGPRYFIPKTKMIVGKKGLSSGSKARPMFVQFVLEPLWQVYEAALDTNGDKGILEKLIKSFHLSIPPRELQHKDPKYVLQSVMSRWLPLADAILSMVVKHMPDPIAAQSFRVSRLLPKREILDYSIGDSEVVAEAELVRKSVEACDSQPDSPCVAFVSKMFAVPMKMLPQRDVNGDLLSNYTEESGSGDSDECFLAFARIFSGVLHSGQKVFVLSALYDPLKGADSMQKHIQEAELHSLYLMMGQGLIPVASARAGNVIAIRGLGQHILKSATLSSTKNCWPFSSMTFQVSPTLKVAIEPSDPADMAALMKGLRLLNRADPFVEVSVSARGEHVLAAAGEVHLERCIKDLKERFAKVNLEISPPLVSFRETIERESLNPFEKLKSLSVSSNFIERATPNGRCTVRVHILKLPDALTKLLDESSDLLEAIIAGKAIQVKTMGGQDDDHPVEALRKRIWDAIESEVSDENAEKNKTLWENLLKRIWALGPRQVGPNMLLLPDSTTKETGSSVLIRSSPYVSERLGFTEASNFDEVEIENQSLIEEAKSLRSSVLSGFQVATAAGPLCDEPMWGLAFMIEASILPFESETEAIHQQSQSDQYGVFSGQVMTAVKEACKAALLQKNPRIVEGMYFCELNTPTEYLGPMYAVLARRRARILKEEMQEGSPLFTVHAYVPVAESFGFADELRRWTSGASSALLVLSHWEAVPEDPFFVPKTEEEKEEFGDGSSVLQNTARKLIDGVRRRKGLPVEEKVVQYATKQRTLARKV >cds-PLY85387.1 pep primary_assembly:Lsat_Salinas_v7:5:243143124:243144649:-1 gene:gene-LSAT_5X120400 transcript:rna-gnl|WGS:NBSK|LSAT_5X120400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTYIPSFNNERINQPSSPESPIPIENLIMCIPNVGGVHDSGVSQQRFCESSIGLLRDSTSHEIMDQMVSSIPPYGIRFHSDHDHCVNTIVDFPLAYENSQDSKSYLHGIGNVISDSKYLKATQNLLDDVVNVKKAMKQHCKHDSMNNSKDAFGILKNRCESSPVSASEKQDLQNNMTKLSSMLNEVDRRYKQYYHQMQIVVSSFEALAGCGASSPYTTLILQTISCQFRFIKDAIKSQIEIISTRLCKRELNEEGIGIPRLRFVDQKVRKQHRHIWRPQRGLPESCVSILRAWLFEHFLHPYPKDSEKNMLARQTGLTKTQVTNWFINARVRLWKPMVEEMYKQESIDSSSPKVA >cds-PLY80802.1 pep primary_assembly:Lsat_Salinas_v7:5:240379096:240379773:-1 gene:gene-LSAT_5X118701 transcript:rna-gnl|WGS:NBSK|LSAT_5X118701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNLNEDLRIKADDAISCRLTLTKELEEKTHQFESLKLRFTEKVFELEISCSDKDICSKMLEEELVVQKGLLADRDAQTLRLNQDQTSFLQNESCFTLAAEVRASELELWWLVQEGIPSFVHDLLNYADFRDVNVAVQTIAIQFDLHQACVEMNETYVDALEGKNVLYSYPKTQHQVLDRFASMVSYKYSLFKLLEGYVVDVSVFKLKSGALDPSLNRDGASGNV >cds-PLY93199.1 pep primary_assembly:Lsat_Salinas_v7:8:109618209:109618782:1 gene:gene-LSAT_8X74821 transcript:rna-gnl|WGS:NBSK|LSAT_8X74821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTFDEFRCDVMKHAEIPELFLKEYAQAHKKVSELGFIKNPPFHLYHKMKKKKKSSVLPQTITGVIIAASIMMVWCHVKQR >cds-PLY87551.1 pep primary_assembly:Lsat_Salinas_v7:9:118074873:118077850:1 gene:gene-LSAT_9X80980 transcript:rna-gnl|WGS:NBSK|LSAT_9X80980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Accelerated cell death 11 [Source:Projected from Arabidopsis thaliana (AT2G34690) UniProtKB/Swiss-Prot;Acc:O64587] MDTANGAKPLRKISEAFKEIANCINSQNQNTQQLELAPFSSACSLVSPLFRCLGIAFKFAEMDYVAKVNDLCETSKSISTLQSMMEKDIEENCVRKPGSHTRNLLRVKRGLDMVRVLFEEILVSEDNSLKNPASKAYTQVFSPYHGWAVRKAVAAGMYALPTKSQLLQKLNEDEVSAKSEMQSYVTASTLLIVYIDNLFQSRELGIDW >cds-PLY65402.1 pep primary_assembly:Lsat_Salinas_v7:1:60558323:60560491:-1 gene:gene-LSAT_1X51381 transcript:rna-gnl|WGS:NBSK|LSAT_1X51381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHIGFRIWSLLLLLIILHPILIYAQEVEDEREFDYIKGSRKGPLKWGELHKEWYACNNGKMQSPIDLSNKRVDIIHKSNKLARSYKPCIAIIANRGHDIAVHWEGNAGSIEINGTVFSLIQAHWHSPSEHTINGRRYSLELHMVHKTTDPTSKHKIAVIGVLYKIGKPNPFLTKLIPNITSLVKEDKELGHPGVIDPREIQMSCRRYYNYIGSLTVPPCTEGVVWTISKKVRTVSLDQVKLLRDAVHDHAQKNSRPLQPNHHRDIQLIGP >cds-PLY82207.1 pep primary_assembly:Lsat_Salinas_v7:1:68539007:68541908:1 gene:gene-LSAT_1X60300 transcript:rna-gnl|WGS:NBSK|LSAT_1X60300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAKVAIDVVGGFLGWIYTRIKPPPLRVCGSPGGPPITSPRIQLNDGRHLPYREWGVSKDKANYKIIVISYCPPTETNLSSGKKLVVVVYNSRGWKRSDVIRLPVVSENIIVHDSNRKEVVYQLLPIANHQAQLYSICSTSGIYHIRHFKHKKPAWNFVNEAFYKHTKTGKDGIEVGTGNLKLIYSGSEGKITASVKQSYNFYAGFDGTTGEQASGAYISRPNGKYNIDTQEQITRVFKNKEHAEVEFTIRDYREDWDLEVNQPIAGNYYPVNLGIYLIDETSELLLLVDRSVGGSGIVDGQLELMLHRRLLYDDGKGVAEALNETVCVGNDYRGLTDEKTGTNFQVSTFSGMDSSYSLPDNVALLTL >cds-PLY67055.1 pep primary_assembly:Lsat_Salinas_v7:5:282698648:282699646:-1 gene:gene-LSAT_5X148320 transcript:rna-gnl|WGS:NBSK|LSAT_5X148320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSPEFSQKSLKIKQDDKFFTRLLSKESSVANPSFRVYYGNVSGAIPFTWEIQPGTPKHKFSDNSIPPLTPPPSYYNSNPDHDHNKSTKRRYYQRSKLLYNILLNIKLIKKGQVASSSPSSLSSSSWSSSMSSTVPSSKGYGTRRRRFTSYGSSFDDGQMYGGGSPDAVKCFGIDSNKRRDSNGCPSAYTVLIVKKAFLSIVGRRSG >cds-PLY96852.1 pep primary_assembly:Lsat_Salinas_v7:2:109186963:109187262:1 gene:gene-LSAT_2X48461 transcript:rna-gnl|WGS:NBSK|LSAT_2X48461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILFPRIIQAKQILRRSLSNGSSTTKSMDIPKGYLAIYVGEQEKKRYVVPVWLLSEPAFQELLDQAEKEFGYVHQMGGLTIPCSEYTFSDIASQLGAL >cds-PLY88110.1 pep primary_assembly:Lsat_Salinas_v7:9:7836850:7841721:-1 gene:gene-LSAT_9X6621 transcript:rna-gnl|WGS:NBSK|LSAT_9X6621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGKGKEKDEPSNSTTTTDGADGDGDGLQPEQESRSNRKKEKETPNANSVPFYKLFAFADSTDYMLMIAGTIGAIGNGACMPLMTILFGDLIDSFGENQNNDDVVRVVSKVSLKFVYLAIGAGLAAFFQVSMWMVTGERQAARIRNLYLKTILRQDVSFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFTQLLATFVGGFVIAFVKGWLLTLVMLTSIPPLVASFTGEKKAVADYNKSLVDAYKSGVNEGLAAGLGFGTMMLIVFCSYALAVWYGAKMILEKGYTGGDVVNVIFAVLTGSMSLGQASPCLSAFAAGRAAAYKMFETINRKPEIDAYDTKGKVLSDIRGDVELKDVYFSYPARPDEEIFSGFSLFIPSGTTAALVGESGSGKSTVISLIERFYDPKAGEVLIDDINLKQFQLKWIREKIGLVSQEPVLFASSIKDNIMYGKDGASMDEIRVAVELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERVVQEALDRIMVNRTTIIVAHRLSTVRNADMIAVIHRGKMVEKGSHLELVKDPEGAYAQLIKLQEVSRDSRKQQLGSEDPPTPSSDNKRYLRSISRGSSSSIGNSSRHSISNSFGMPTQLAVAAESMDVEAASDEEKAAPKVPLRRLAYLNKPEIPVLIMGTIAAIVNGAVLPVFGILISSMIKTFYEPPHKMKKDARFWALMYVVLGVVSFLAYPGRSYFFSIAGSKLIRRIRSLCFEKVIRMEVGWFDKPENSSGAIGARLSADAASLRGLVGDALAQLVQDSSSAAAGLAIAFVACWQLALIMLALIPLIGLNGFVQVKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMQLYRNKCEGPKKAGIQQGLISGIGFGVSFFLLFCVYAASFYAGARLVEAGKTTFSDVFRVFFALTMAAIAVSQSSSFAPDTSKAKTSAVSVFAILDRKSEIDPSDESGTTLDNVKGEIELRHISFKYPTRPDVQIFRDLCLTIHSGKTVALVGESGSGKSTVISLLQRFYNPDSGSITLDGIEIQKFQLKWLRLQMGLVSQEPILFNDTIRSNIAYGKDGDATESEIIAASELANAHKFISSLHQGYDTVVGERGVQMSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKGADVIAVVKNGVIVEKGKHEKLINIKDGFYASLVALHMTAST >cds-PLY64688.1 pep primary_assembly:Lsat_Salinas_v7:7:135350118:135351254:-1 gene:gene-LSAT_7X80921 transcript:rna-gnl|WGS:NBSK|LSAT_7X80921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATLSAAISNTLDCSGQTLRNQLTLLHPPRINPNPSAAFRRSSVKFGIRNAGAAVSSAASFSSPSDNGRKVKVNPIAAAADDVSPLSPDVIVLDVTGMTCGGCSSSVQRILENQAQVASANVNLETATAIVLPVSEATTTPNWKKVIGEDLAKHLTTCGFTSTLKEG >cds-PLY89782.1 pep primary_assembly:Lsat_Salinas_v7:1:7649601:7651669:-1 gene:gene-LSAT_1X6421 transcript:rna-gnl|WGS:NBSK|LSAT_1X6421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGNLSSGNMISGAGGSFDLQNSMRVHHQQHNNPFTLHQHHLHQPQSNRQQIHPSIHENFPLRMGAIQDCDRHTQTISLVDFSKGERGKSSISDEDEPSFAEDGHDSRHDDTRGKSMSPWQRVKWSDPMVRLLITAVSYIGEDAAMEYGGGSRRKYSNLQKKGKWKSVSKVMAERGHFVSPQQCEDKFNDLNKRYKRLNEILGRGTSCEVVENPSLLDIMDHVSDKGKEEVRKILSSKHLYYEEMCSYHNGNRLHLPPDLELQRSLRIALKTRDDHETNDGRKGSHEDDHDDEDHDAYMDDHDHDHDHDEYDDHHPHHHGLQLDPRTGYGAGGVGEGSSKRAKQCENFSSQAKNLQGDMSQGVTEGMKTNMLQDQWMKHRLLQLEEQKIQIQSQMLELEKERFKWQRFRRKKDRELESMRMENERMKLENEQMSLELKRKEMGSDLN >cds-PLY84663.1 pep primary_assembly:Lsat_Salinas_v7:2:150016310:150017620:-1 gene:gene-LSAT_2X76100 transcript:rna-gnl|WGS:NBSK|LSAT_2X76100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTKSSSESEVVTIDVLKAKDFLRNSGYRYLDVRTEEEFKKGHVDFDGALNIPYMFNTPEGRVKNPKFMEQVLPVCNKDDHLIVGCQSGVRSVYATIDLLNTGFKHLYNMGGGYLAWVENGLPVAVGPMVQTKPDQVISSSKTGSELVQNKPDPVLSGPTG >cds-PLY70424.1 pep primary_assembly:Lsat_Salinas_v7:1:72747526:72753434:1 gene:gene-LSAT_1X64861 transcript:rna-gnl|WGS:NBSK|LSAT_1X64861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDVNKNFRKLTFTPTQADRFVLAFRNLLGRHGKSQPEWFGQPNIQSLPSTYLSKRQMLDRFEQHTLKCSSSKKTHERFEILKKVLVGGCVVLCAAAGVPSEMQMRAVFAGFAVLSAVLAYYCHELHKNFVFVDYVHADID >cds-PLY84946.1 pep primary_assembly:Lsat_Salinas_v7:2:123814644:123816975:-1 gene:gene-LSAT_2X57160 transcript:rna-gnl|WGS:NBSK|LSAT_2X57160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMDENTVRTNSTTTTICHYEDQMVPSMTTSLFRGGEEESSSEIGNFREDCRAEVSINQGIDGDGDGQTVRTVRTGNMQPPIDITRDLRILDDLPENSSNNSSSSSRERDGGVVPVCSPSTSSFSGIFVGEDTSYLHRRKQCLCRYVLPSFQDHGGVSHKVKPLEAPTAFQDVKVVGLVDVVEGRINVIVNNGQMLGCTFRRYPSSSFANDCITAMAEGLEANLYNHFVGLLWGNGDSAYLSKVDSPVDTEWESFCAIIFDIFGKDRKNPQIHSGSSWDFLVNNNFHEKYSKSHFMSGFSRRISLQSDQSCTVSQHLDTSHIVESLLEILDLLHAVYESLKLNHLRILFPLLLKAAQNFLKDGDKVKLIVNLKGRENEFKNNAIDLIKRFRDDVGELTIEESKNFRDKNMTLVLVPNKVVLQQKVTPKKKEKSTGTEVFVTASVSP >cds-PLY94549.1 pep primary_assembly:Lsat_Salinas_v7:2:157574821:157575024:-1 gene:gene-LSAT_2X80561 transcript:rna-gnl|WGS:NBSK|LSAT_2X80561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVISGECEIKKMKPVRGRSTTISDLKPPPSVSSVFDNDPMFDDNQKFQQRSDGSNGKWLFRWWG >cds-PLY79666.1 pep primary_assembly:Lsat_Salinas_v7:5:252742702:252743879:1 gene:gene-LSAT_5X127021 transcript:rna-gnl|WGS:NBSK|LSAT_5X127021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNSQNSSFLPPSLDSDSIADTIKSFFPMGASTNPGNNTSSGMQFHQSFPPQDLLSRTSSRSQDLRLSLQSFQDPILQNHHHHHQQNEQGNNNNSNIFFDGSGWSDNQPGGFQRMVAWGGVGGGDAVSAGFVFSSQPSPSTPFLQPLFGQTTNNQLFNNSQRGPLQSSNAPSFRAWIDPPPPFTGVAIDQHPTLAFHHPSSMSGFASGLGGFSGFRIPARIQGEEEEHDGISDKPSSASSDSRH >cds-PLY92277.1 pep primary_assembly:Lsat_Salinas_v7:2:209986180:209986392:1 gene:gene-LSAT_2X130320 transcript:rna-gnl|WGS:NBSK|LSAT_2X130320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQNSNTPPNTSTSPSLSFTQYAAYKIKRYDLQREHLEFLPREAETSAIAPENEGKDRAEIFGRQLVYRF >cds-PLY77861.1 pep primary_assembly:Lsat_Salinas_v7:1:21355749:21358657:-1 gene:gene-LSAT_1X17701 transcript:rna-gnl|WGS:NBSK|LSAT_1X17701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENSNGTTTKNNLQIIKHPTYQPYTKPLLAWFDIRVFYVRISNFMVDGYTPEYLTLTHIPLDPDTILEVNNKRCTLDSTGSACRLRRNRVDKKFEEATFVSTDSIRLTGSVKFEVFDGDDLILSGVLEMCKNENGKLKNNDVERWSMKCESMVSGGSRVLKGKQIAGSDSMPPMIEVYVAGSFVGQPVILTKTLQISVRRKQGRKGALDPIPEGEATESRKDVPDRLSLQESEYGPYKSENEYEYENENSLHWNQTEYMEGEDGELTWFNAGVRVGVGLGLGICLGVGIGVGLLVRTYQSTTRNFRRRLL >cds-PLY80460.1 pep primary_assembly:Lsat_Salinas_v7:2:137295715:137296083:-1 gene:gene-LSAT_2X66860 transcript:rna-gnl|WGS:NBSK|LSAT_2X66860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDYNEWGMDFEEWERMEMGPPPPHLFSEDYEEDERVEGGVMMPTSTVDVLCGVTEVKNQLVDVFDDPDMSNVGDDEFQRLLPKYYNKIVKCDHGIKRFKILVIVIIVIRFLRRQILSTM >cds-PLY91672.1 pep primary_assembly:Lsat_Salinas_v7:8:11983072:11983865:-1 gene:gene-LSAT_8X8201 transcript:rna-gnl|WGS:NBSK|LSAT_8X8201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFELTYELITVAASNPHFIFLFCNLIIAVLILVSLEPTSNSHYNCTATPIPPPPPPSAINENKIFETTTLTTQLQPTTTTDCNVSIDVNKLWNNCGLSVKDVDEEEHDDELRRRVEEFIDKINKGWKAEKLQLSIDH >cds-PLY79940.1 pep primary_assembly:Lsat_Salinas_v7:3:109376728:109377830:-1 gene:gene-LSAT_3X80120 transcript:rna-gnl|WGS:NBSK|LSAT_3X80120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGTPGGLGHSFFFYFRNKEFVAKAVVVQEVERHADGSSSTNVPATVLYGVSGGGNCGSVDVSSEFVEDSKEGVMDVANKRKRMWENAGKEVEPLAVAVESEMRMVVFETNNLEKRVATLDAENFVAKEELEKVKQENEGLSI >cds-PLY81426.1 pep primary_assembly:Lsat_Salinas_v7:3:217902743:217905794:-1 gene:gene-LSAT_3X126861 transcript:rna-gnl|WGS:NBSK|LSAT_3X126861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATANPFDLLGDDDNDDPSQLVQKIVSAPAKKAQPAAPAGKTAAPPAKPSAKLPSKPLPPAQAVRESRNEGGYGGRGGGGGGGRGYGRGRGGGGGFNRDSSNNEGGFGNRGFSGGGGGGGEEADGKSFERRNAGSLGGYGGSRGGYRGGRGGSFGNGDSEEGERPRRTYERRSGTGRGNEFKREGAGRGNWGTQADDINREIEEAVIEGEKTVVSEKPNAEEEAVDGKKENPANERVEEPENKEMTLEEYQKVLEEKRKALESLKTEERKVEVDKELASMQQLSNKKTNDDVFVKLGSEKDKRKEIAEKEERAKKSLSINEFLKPAEGERYYNPGGRGRGRGGARGGGSGGGGRFSGGGGGGGGSSNYAPEAPKIEDPSHFPTLGGKDFEAYGDQLICGSKLKTLVSQFCYEIDR >cds-PLY73092.1 pep primary_assembly:Lsat_Salinas_v7:9:3879594:3880082:1 gene:gene-LSAT_8X76720 transcript:rna-gnl|WGS:NBSK|LSAT_8X76720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVLIISKVLYEFAPNTNVIKGTHAPNSPIVIQANNVAFYDVILKQFMNLGIEDFVNYIKSFPLRYAFCDFPEPFHPKQGTTRDTLSISVVV >cds-PLY89860.1 pep primary_assembly:Lsat_Salinas_v7:4:315799474:315801405:1 gene:gene-LSAT_4X158921 transcript:rna-gnl|WGS:NBSK|LSAT_4X158921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMSPVWLSEIAIENTDQICNLYETINNFSVDSFSSEHYTENNQSSHSQHCEIKPPKVQEMHSNINRHSLTFEPLLATSLPSSNTFTISFEKLKPKEEIVHLHDSFGYEASDATKVTTISKNPVQIQDHVLAERKKRERLNQQFISLSALLPNPKKMDKASVLEDASNYIKELQNRVKELEGLSPDIEVVMTGRTVLVRIQCQKKSSSLVKALTQMQNLGLSIISSCAMPFANTTLLINIVAQIEDEFRITSTELVKHLQLAIEL >cds-PLY70464.1 pep primary_assembly:Lsat_Salinas_v7:1:70978916:70979182:-1 gene:gene-LSAT_1X61601 transcript:rna-gnl|WGS:NBSK|LSAT_1X61601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNIGIHRYVFLLFKQNRRQTVSCPSSRDRFNTRMFAQENELGLPVGAIFFNCQRETAARRR >cds-PLY62992.1 pep primary_assembly:Lsat_Salinas_v7:3:118924862:118925371:-1 gene:gene-LSAT_3X83441 transcript:rna-gnl|WGS:NBSK|LSAT_3X83441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQILRQLPPSYHSIVDMITNTKPLPSFVEAKNILILHEARKQSIDPTSDASLTSSTALYSSHLPLENGNINLIKAKIMGDLFPGVILMFLIALILLQVLKVLKDKIKLFGGISTLGPTMAQQSSLLGALPTLTSGYAFSRHPPAVIVFQQPSAAPFGLHQQLSGTTFGA >cds-PLY80379.1 pep primary_assembly:Lsat_Salinas_v7:MU041980.1:9103:9312:1 gene:gene-LSAT_0X21840 transcript:rna-gnl|WGS:NBSK|LSAT_0X21840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTHSTATHAVAGGVWKLAAKGYGRRNDCDNSGVQNRKEKGDRGLRRYRREATEAASRLRAPDLPFLAR >cds-PLY86753.1 pep primary_assembly:Lsat_Salinas_v7:8:185434867:185436090:1 gene:gene-LSAT_8X121400 transcript:rna-gnl|WGS:NBSK|LSAT_8X121400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPLSFFIFFITLSAIVASAATVQTLNTNQQHSNDKGYTFHNPKLPPKTLTTSKKFEGSSELVNLRYHMGPVLSSPISIYLIWYGKWAPTQMLLIKDFLLSISTTKRRAAPHPSVSDWWQTVSLYTDQTNANISRSILIAGEYSDRKYTHGTHLTRLTIQDVIASSVRSAPFPVDHKNGIYLVLTSVDVTVEDFCRAVCGFHYFTFPSKVGYTLPYAWVGNSGKQCPEVCAYPFAVPAYMQGGGPGALSPPNGDVGVDGMISVIGHELAELSSNPLVNAWYAGEDPTAPTEIGDLCEGLYGSGGGGGYIGDVMKDGSGRTFNMNGRRGRKFLVQWIWSPVLKACAGPNARD >cds-PLY64082.1 pep primary_assembly:Lsat_Salinas_v7:8:94797951:94799471:-1 gene:gene-LSAT_8X66120 transcript:rna-gnl|WGS:NBSK|LSAT_8X66120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSVAAVGSETDVFAIGKHETKLVKPEDEITPKPLLIFTPLEEGEFPVLVLLHGYLLYNSFYSQLSHHIASHGFIIVVPQLYSVTGPDATKEVECVAEITNWLPEGLQQFLPSQVKPNLKKLGLAGHSRGGKVAFALALNKLNTKLNLKFSALIGIDPVDGMDTGKQTPPKVLTYVSHSFNLEMPVMVIGSGLGEVRKNLLFPACAPKGVNHENFYSECCKPACYFVAKDYGHLDMLDDETKGIRGKTTHCLCKNGKSREPMRRFVGGVVVAFLKAYFGGDFSYLTGINDDGSAPVLLQKVDFML >cds-PLY98458.1 pep primary_assembly:Lsat_Salinas_v7:1:10104387:10107856:1 gene:gene-LSAT_1X8361 transcript:rna-gnl|WGS:NBSK|LSAT_1X8361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CH1 [Source:Projected from Arabidopsis thaliana (AT1G44446) UniProtKB/TrEMBL;Acc:A0A178W6L1] MTAIATAAALSLPISLSRSSKLSTKKGVRGGFVVFAIATSGEGGGLGDKKTSWNTLFDVEDPRSKFPQCKGKFLDVNQAFEVARYDIQYCDWRARQDLLTIMLLHEKVVDVLNPLARDYKSIGTMKKDLAELQEELAEAHKQMHISEERVSATLDKLAYMETLVNDRLLLDRNTITTTESINSSPSTSKPPPETIERKTTKRKGLNVSGPVPSYHPNLKNFWYPVAFSKDLKEDTLMPIDCFEEPWVLFRGKDGKPGCIKNTCAHRACPLDLGTVNEGRVQCPYHGWEYSADGKCEKMPSTKFVNVKIKSLECFEKEGMIWIWPGDEPSEPTVPSLLPPQGFQIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPTSAMEGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGQSTKQCSTHLHQLHVCLPSSRKKTRLLYRMSLDFAPILQYVPFMHILWRHFADKVLNEDLRLVLGQQDRMISGENVWNMPVSYDKLGIRYRLWRKAVEDGDA >cds-PLY92441.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:628465:628770:1 gene:gene-LSAT_0X10821 transcript:rna-gnl|WGS:NBSK|LSAT_0X10821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPSPDSLNSCEDINYNVLHLIFCDCGQKITENQTEMKRLKEQLGQEYIVCRIDHISLQHKLDDHDQKFEVVGVAMGGMMVRMFLLMVVVIHFVLKLG >cds-PLY85757.1 pep primary_assembly:Lsat_Salinas_v7:1:47791725:47793816:-1 gene:gene-LSAT_1X41000 transcript:rna-gnl|WGS:NBSK|LSAT_1X41000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKYNMKNPSVKRILQELKEMQSNPSDDFMSLPLEENIFEWQFAIRGPNDTEFEGGIYHGRIQLPSEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALTALIAFMPTSPNGALGSLDYKKEERRVLAVKSRESSPTFGSPDRQKLIDEIHEYMISKAPPVPHPNSPESAEPPSAAATEQSGEAPVTPPNANTEITEPEEQQPEAPLNNNTEADVPRVPEQAALVAPPPVPAVVVQQQQHHHQSTVQKPADDRLFTWAAVGLALAIAVLLLKKFMKASGHGAVFMGQS >cds-PLY65706.1 pep primary_assembly:Lsat_Salinas_v7:5:271317215:271318576:-1 gene:gene-LSAT_5X141901 transcript:rna-gnl|WGS:NBSK|LSAT_5X141901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMAERSPRYQPPPHRRKTPSFSSSLLDSILRSIDETNDVVGGLHDDNLHQMQQNQQVDDHELIFFASEPKRSNKIRFPQTHLINGEEVQIPSLRRAMMIDQWMETYNNNDKRSSYVSRKSCRNFSSDSSTTTFTTSSASSEPETPSTYRSLPNSFTISRKTAEAVFLDDSQKPTISTKREGKFMKLTKLRAMKIYGDLKKVKQPISPGKRISTFLYSLFASSSSSSSSSKKSKIEETMQNFRTLKKSRSIKQDTTTTCSSFSRSCISKKQHNNAGIKRSVRFFPENDTVILDRKSIDHHDPKLMPFPKYDQRRWFTEKNYMNNYKNFVVNVEDDDEDEDDLFELEIAGGVGTCAYGQELPVFETTDLRKIRI >cds-PLY87054.1 pep primary_assembly:Lsat_Salinas_v7:5:266976722:266979055:1 gene:gene-LSAT_5X138261 transcript:rna-gnl|WGS:NBSK|LSAT_5X138261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase/pectinesterase inhibitor 44 [Source:Projected from Arabidopsis thaliana (AT4G33220) UniProtKB/Swiss-Prot;Acc:Q9SMY7] MALLRCFGGTKLVLLLVLVSTLCYHPTPTFAAYSEGVYVDAECLKVPGSAFVGSVTSTIQTVREVISIVSKFSGAFGGDFRLSNAIADCLDLLDFSADELTWTLSTTQNPATGKYYNSTGNKGADMRTWLGGALGNQDTCMDGFEGTNSFVKTVIGGSLQQVTSLVRDIIGMIREVPLTNADHVVPSGRRKLRGVDGPVFPGWLKAKDRKLLQANGSNIEADVVVAADGSGNFTKVMDAIDAVPDHNNRRYVIYVKKGVYHEYVEIGKKKTNIMMIGDGKDLTVITGNHSFIDGWTTYRSATFAVKGQGFIARDMRFENTAGPEKHQAVAFRSDSDLSVVFRCAITGYQDTLYAHSMRQFYRECLITGTVDFIFGDGAVVFQNCQILARKGLSNQKNTITAQGRKEPTQPSGFAIQFSNISVEPELLNSSISTYLGRPWKLYSRTIFMQSYISSLIKPEGWLEWNGDFALDTLYYAEYMNYGPGAGLGSRVKWPGLHAINESSQANNFTVAQFLLGNAWLPSTGVKYTAGLVV >cds-PLY76859.1 pep primary_assembly:Lsat_Salinas_v7:3:6112143:6112508:-1 gene:gene-LSAT_3X3320 transcript:rna-gnl|WGS:NBSK|LSAT_3X3320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELDNTASLELCLHWRLCSVEYLNECEGWSKASTGDVVMMCIVVTMAVGIEACDDDGHAPTVKAPLLMMEMFLIHHWWSTMRFFCVFLVGFYYRQGVGDDLSGCVDQGCGCRSYCYSKLAS >cds-PLY93882.1 pep primary_assembly:Lsat_Salinas_v7:4:270453133:270454257:1 gene:gene-LSAT_4X139360 transcript:rna-gnl|WGS:NBSK|LSAT_4X139360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKPRVTDQIKFLPKRIILVRHGESEGNIDGSAYSTTPDYKIPLTKQGMEQAKLAGSQIRCVVSDSGSTNNWKVYFYVSPYARSRSSLREIGRSFPKKSVIGVREECRIREQDFGNFQITERMKIIKETRERFGRFFYRMPEGESAADVYDRVSSFLESLWRDIDMNRLNHDPSTDLNLIIVSHGLASRVFLMKWFKWTVEQFEHLNNLNNCEFRILQLGAKGEYSLAVYHSDEEMQKWGLSPEMIADQKWRANATSGEGNEKCPWYLDVFFDGLGADSDDGDNDDQKVVS >cds-PLY71559.1 pep primary_assembly:Lsat_Salinas_v7:3:53672321:53674965:1 gene:gene-LSAT_3X41120 transcript:rna-gnl|WGS:NBSK|LSAT_3X41120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCFTKSNSLVRILVLLCFSRTINLSSGANTISASQSLSGDQTIVSKDEVFELGFFKAGNSSKYYIGIWYIKVSSNPPTIAWVANRETSISDRFRSELKIIDGNLVLLNESKFQIWSTNVSTTTTLKSAIAVLLDDGNLVLRDSGSNSVEPAVWQSFDHPTHTWLPGAKLAYDNRTKKSQLLTSWRSKEDPGVGLFSLELDPITTEYISKWNGSQRYWTSGAWNGKIFELVPEMRLNYIYNFSYQKNENESYFTYSVYNPFIISRFIMDVSGQVQQLTWLEATKEWNLFWSQPRTQCEVYALCGAFGSCSQSGLPFCSCLTGFKPRSESDWYQSDFSGGCVRKTDLQCGRNVEKLDFLTIKVKSLPPNQSVAVGSAGECHTTCLNSCSCNAYSFVDNQCLVWDGDLLNLSEDNDNDSGKTIFVKVASKDLPLSSKDPLHHKKSNWVTVCAVVGFGGVVVFVLGVILVLIYRKKRISLSVGKTRMVEGSLVSFVYKDLQIATKNFSDKLGGGGFGSVFKGVLHDSSIVAVKKLESISQGEKQFRSEVSTIGTIQHVNLVRLRGFCAQGNNKLLVYDYMANSSLDTHLFHGKQVLNWETRYQIALGIARGLVYLHEKCRDCIIHCDIKPENILLDAEFCPKIADFGLAKLVGRDFSRVLTTIRGTRGYLAPEWLSGVAVTAKADVFSYGMMLFELVNGKRNAEQSEDSRSPFFPCLVSNVLKMGGDILSLVDSRLNREASVEEVSKICKVACWCIQDEEDSRPSMSLVEQILEGVMDVNMPPIPRSVTLFVDNTERVVFFTESPSKGSSEVYISCSPQSKSTSS >cds-PLY96314.1 pep primary_assembly:Lsat_Salinas_v7:5:193485071:193486743:1 gene:gene-LSAT_5X86540 transcript:rna-gnl|WGS:NBSK|LSAT_5X86540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMQLETGGGLKCFPAGWNAPAKPCDSCKTAVALLFCRKDTVFLCLACDVKMHGDVRHERVWMCEVCEQAPASVTCKADAAALCVTCDRDIHSANPLSRRHDRVPVVPFYDCADILMKSSACNFLELSSGNGNDDMNSSVTNDDDINWGIPADTINIDVKFPSDDSSPVDIKSIEMLFPESDHLLDFDFPVPTDTKFHSHFHNHDSVNDSVVPFHVSPPAKHFPPPPENQNRYEIDFTRSNINSFNKNSYLSQSLSHSVSSSSIDVGIVPEGNSMSDVSHPFVLHVSGGGELNGATTGSQQATQLSGVDREARVMRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRTESTDSDVDRWLFTASTGVSAKSCYGGEVEYGVVPSYCREF >cds-PLY81142.1 pep primary_assembly:Lsat_Salinas_v7:9:67018790:67022628:1 gene:gene-LSAT_9X58061 transcript:rna-gnl|WGS:NBSK|LSAT_9X58061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLNENNNTGVTTIPPCCLKARAFSTKADFEARCHPTVDSGWFSGNQTSSDKDGKTMYFNSPLWPGEAHSLEVKEILFKEKSKYQDVLVFESSTYGKVLVLDGILQLTERDEFAYQEMITHLPLCSIKSPKNVLVVGGGDGGVLREVARHNSVQLIDICEIDQMVIDVSKKFFPDLAIGFEDPRVHLHVGDALEFIKYVPKGKYDAIIVDSSDPVGPATELVEKPFFEMLASALRPGGVLCNMAESMWLHTHLIQDMISTCREVFKGSVHYAWASVPTYPSGVIGFILCSTEGPFVDFKNPVNPIEKLKGTIHHDGEPRFYNSQIHRAAFALPSFVRKQVSSL >cds-PLY93209.1 pep primary_assembly:Lsat_Salinas_v7:6:158683823:158685384:1 gene:gene-LSAT_6X96561 transcript:rna-gnl|WGS:NBSK|LSAT_6X96561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGASTLFYHRFHRRTQQIVESVWIEIRIVEYGFHLMNLVVNRGLHLDAVGTTVCVSGTHIHALNCKIMVRVC >cds-PLY68667.1 pep primary_assembly:Lsat_Salinas_v7:5:166823340:166824410:1 gene:gene-LSAT_5X74480 transcript:rna-gnl|WGS:NBSK|LSAT_5X74480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVDKFIVVWIVVLACLLYSYTIGTLIPQGTTRLVALSIVTCLFLWLPLNLTTMHLGGLTSFFIAWLANFKLLLFAYGKGPLSSNPPIPLMHFVPMACLPIKAVHTSSTSSPESSSQVIKKKVKKISIFKILLFGMLLKVYDYNEYIHPKLRMSLYCFHIYFMLDIVLAMVAYVARTLVQMELEPQFDEPYLATSLQDFWGRRWNLMVTSILHPTIYDPVRSISIRLLSREWASLVAIFTSFLVSGLMHEFIFYNIGRLKPTGEVTCFFLLHGVLVSVEVVIKKATKGKLHLPPIVSRPLTLGCVLTTCFWLFFPPFLRFKPDVRGCQESVAFLEFVTNGRLISPANASCPYLL >cds-PLY96623.1 pep primary_assembly:Lsat_Salinas_v7:7:44703371:44706966:1 gene:gene-LSAT_7X32721 transcript:rna-gnl|WGS:NBSK|LSAT_7X32721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMTCSVRKDEEGYSRRLVVKKERMFWVEETSSCRRAYFFTRTNLILLSDDDTGFMPYRIDNFSKEAWDAGTRAKQYTFEGHEEPVYFVCPYLKENIQDNLNQWDNKVGDGDCGSTVDPATWRIMISRVC >cds-PLY63768.1 pep primary_assembly:Lsat_Salinas_v7:6:23935290:23938441:1 gene:gene-LSAT_6X19140 transcript:rna-gnl|WGS:NBSK|LSAT_6X19140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGYERNDEFISKNGHEREGATMEQKYGGLAPKKKPLISKDHERAFFDSADWALCKQGAGINEKSTIAIETLRPKLERTPRQCLPPRRPACISGGDNHVE >cds-PLY78967.1 pep primary_assembly:Lsat_Salinas_v7:3:70531019:70538050:1 gene:gene-LSAT_3X55461 transcript:rna-gnl|WGS:NBSK|LSAT_3X55461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYTLPPSTSLNNITPINHNFPSSSTPKTLTFPFSIPPKLTLTSTKSSATTNYNDLGLDEEMGRIKRLQNGSDVRGVAVEGEVGRQVDLTPPAVEAIAESFGEWVMNRLVKEKGRPVEDIRVSLGRDPRISGGSLSVAIFSGLGRAGCMTYDMGLATTPACFMSTVLPPFLYDASIMMTASHLPYTRNGLKFFTKKGGLTSVEVEEICDKAAFKYANRVTKVSTLLRTTPTRVDFMSVYAKHLRDIIKERVNHPQHYDTPLEGFQIIVNAGNGSGGFFTYQVLDKLGADTFGSLNLKPDGMFPNHIPNPEDKVAMAVTRTAVLENTADLGIVFDTDVDRSGVVDKDGNPINGDKLIALMASIVLKEHPGSTIVTDARTSLALTKFITDRGGQHCLYRVGYRNVIDKGVQLNQDGIDTQLMMETSGHGALKENYFLDDGAYMVVKIIIEMVRMKLEGSDEGIGSLIKDLEEPLESVELRMNILSESKRAKAEAILIIETFKSYIEEGRLVGWELDACGDCWVSDGCLVDSNDTPAPVDAQMYRTKVSSEEDGQYGWIHIRQSIHNPNIAINMQSTVPGGCLLMARVFLDKFLIASGMERALDFSQIENYIKSGNIS >cds-PLY72844.1 pep primary_assembly:Lsat_Salinas_v7:6:21802484:21809379:-1 gene:gene-LSAT_6X15980 transcript:rna-gnl|WGS:NBSK|LSAT_6X15980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLGIWGFRNRVKCGRFGETVYKGRKKKSVEYFAIKSVDKSHKSKVLQEVRILHSLDHSNVLKFYAWYETSAHLWLILEYCVGGDLRTLLEQDNKLPEDSVHDLARDLVRGLSYLHSKGIIYCDLKPSNILLDENGRTKLCDFGLSRKLSDISKTPSSLLPQAKRGTPCYMAPELFHDGGVHSYASDLWALGCVLYECYSGRPPFIGKEFTQLVKSILTDPTPSLPGNPSRTFANLVSSLLIKDPTDRIQWSEITTHAYWRTHLSPVSLPPQPAFDNMIQLSYKPSCLTERERNLQNKTPPRNRDNSSKLNETPKNRKIQSKQKDVSSNTKGVNLLRLSRIAKSNLQRENEKENYRRPLPNNSEHDTDVKIENTDMELDFNENTEDDTQDENELPATPSRNPTVDENLSTPDPEERIIHEIDASPLINTDESRRTDHESESSVPPTPPTASPQPKTQRIIEDSGGVVSLSQVVWHSSDLSVRPVMPSKKSDKQSDVLPSLPFDTIPASDLVKLPKDQLDVVTKSIVTILNGNTTIGEKQNVIRYLEMLSTNVEAANILTNGSIMPVLVKMLRQSKASALRVQLASLLGLLIRHSTYIDDELSNSGILGALNEGLIDRQEKVRRFSMAALGELLFYISTQNDQSKPTIPPESPSKETKSSSGWQVPSTLISLVTSLLRKGEDDITQLYALRTIENISSQGGYWSTRFTSSDVINNLCYIFRAPGKQETIRLTAGSCLVRLVRFTPPTIQQVMEKLTFKEMAGAISKGNPKEQQICLNLLNMAVLGGNFFPNIGRHLLPLVEDKNLVPNLISLIEHGGEVLRGKTLVFVTLLCKNRKRWLGQFFLNGKLVSTVDRLVVKEKGVYLQQCLEAFLFGVVAVIPGLLETVISDVQQLMGGGGGGRRHTLVAALTGRASSKTNLQLFSVVLNLLGSSSFKHKVVNAEVLQQLKILIKLVESPFQGRDDFQITLLRVLETVTEEASFIEENHSGFVHEILPSLSIVCKASKDGDARFLCLKIWFEVVVSLLNESSSSHDNNGKREELKQICHHHFLPLYPQLMEDEDPIPIYAQKLVEMLVEFKIITQQ >cds-PLY83933.1 pep primary_assembly:Lsat_Salinas_v7:MU041291.1:1550112:1550564:-1 gene:gene-LSAT_0X16440 transcript:rna-gnl|WGS:NBSK|LSAT_0X16440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 25 [Source:Projected from Arabidopsis thaliana (AT3G27650) UniProtKB/Swiss-Prot;Acc:Q8L8Q3] MASSTHPCAPCAACKFLRRKCIQGCVFSPYFPPEDPTKFANVHKIFGASNVSKLLNEIAPHQREDAVNSLAYEAEARLKDPVYGCVGAISVLQRQVLRLQKELDATNADLIRFSSHQNILTPSSQSSGSSVQNAHVLPPNYGSYSWNYNA >cds-PLY86902.1 pep primary_assembly:Lsat_Salinas_v7:5:260827240:260833742:1 gene:gene-LSAT_5X130960 transcript:rna-gnl|WGS:NBSK|LSAT_5X130960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWFSGKVSLGNLDFAGAVNKLSESVKTIEKNFDNALGIEENPDGASSTSQGLWSTDLMSFIGQKDDDEDDDTKPSENPEPLEKNEPSNHPSSSQENEMAETDDQVNVETSKPEEHQNHPLETNNPLLEEANSRTEKSVETDVEESEEAVTIDKDESETSDKLEAEAGVDHVDHAESTNSEDQVAGTSLESNEVNIVLDLQKTEDEVKTQEEESIGEVSDGQTGNGTRQHEAQSASSDVASIQVSDDAIKEQEMISGSNEDSVVELEKVKREMKLMETALLGAAKQAQTKADEIEKLMNENERLKSLLEDQMRKSNEAEIESLREEYHQKVSTLERKVYALTRERDTLRREQNKKSDAAALLKEKDEIITQVMAEGEELSKKQAAQESQIRKLRAQIREFEEEKKGLTTKLQVEENKVESLKRDKLATENLLQETIEKNQFELSTQKEFYTNALNLAKEAEAIAQAQANNEARSELESKLKESEERETMLIQTLEELRQTLSRKEQQTDFKEDMLRRDIEDLQQRYQASERRCEELITQVPESTRPLLRQIEAMQETTSRRAEAWSAVERSLTSRLQEAESKAAASEERERSMSERLSQTLSRINVLEAQISCLRTEQTQLTRSLEKERQKAGESRQDYLALKEEADTHEGHVTQLLEEIKELKRKHKEDLHEALTHRQLLQQDIEREKAARLELEKAAHLAEQNPILRTNSNFENGLRRGVSSANSLSSMEESHYLQASLDSSDSLSERRNPGEATNTMSSYYLKSKTPNAFEAALRQKEGELASYMSRLASMESIRDSLAEELVKMTAECEKLRSEVSQLPGMKAELEALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNVLVNKIQGPSSSIGAAA >cds-PLY63354.1 pep primary_assembly:Lsat_Salinas_v7:7:149547499:149548414:1 gene:gene-LSAT_7X88580 transcript:rna-gnl|WGS:NBSK|LSAT_7X88580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKGTKNYIRMTTAPTASEAASKLISSDLKEIGDATMKLADHVMKLGVTGGFINTSLQCFACFVVIYLLLLDRTNWRTNISSVLLLPYILLTYPNWLFVVSPSFAARYVRSGWIGVLMCLSIGFYLLQEHIRASGGFRNAFTKSNGISNSMGIFLLFFFPVWVLIGLL >cds-PLY69116.1 pep primary_assembly:Lsat_Salinas_v7:5:285313921:285314960:1 gene:gene-LSAT_5X150201 transcript:rna-gnl|WGS:NBSK|LSAT_5X150201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor OFP1 [Source:Projected from Arabidopsis thaliana (AT5G01840) UniProtKB/Swiss-Prot;Acc:Q9LZW2] MANYRLKLSNFIHNSWFHKNKITKKQQSSSSSNPHTKDHLVADQRKSYYIPRDLTEDSPPPKTQSPETPRNSSKKRRPPTHKKPVQQKSVSEEHTLPRRCYCRVTPESIWTASNSSDIEFLSPELPVSSRESLSCSCNTTSSYDEVYGKVDLPPIITKSTKKEGLESGKWMIDGGSRSLSMKVVKEDVMGTPTTHTTRSPVRRIPGGKVKGNCYSPRVGNRVRVQGINGGGRRSNGYRRSLSESMAVVKTSVDPGKDFKESMVEMIMQNNMKSSKDLEDLLACYLLLNSDDYHDLIIKVFKQIWFESTDIRL >cds-PLY89581.1 pep primary_assembly:Lsat_Salinas_v7:4:364802742:364803991:1 gene:gene-LSAT_4X178260 transcript:rna-gnl|WGS:NBSK|LSAT_4X178260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVTSPPPTTTTTTTPTTSSIKALDQDARIQPNSVQKQLPPPFTNGVLKRHKPRHHHNPTPVVVTYRECLKNHAASMGGHAVDGCGEFMPSATSTPTDPTSLKCAACGCHRNFHRRDPDESFLNSPPVQHLIEYQPHHRHHPPPPQPQPISLGGVRGSSSSPADSPSPPPISSSYYPSAPHMLLALSAGLSAQAPENHHNPSIHFTPSSAGGSIIGSNSNGKKRFRTKFTQDQKEKMHELAERVGWKMQKRDEDLIIGFCNEIGVDKGVFKVWMHNNKMTFGIKKDSGNHNNDNGSPGGGIDFLTNRNNHHEHHQPPHNDSISSGGNAIGTNGSSSSS >cds-PLY89174.1 pep primary_assembly:Lsat_Salinas_v7:3:21691902:21692833:-1 gene:gene-LSAT_3X16041 transcript:rna-gnl|WGS:NBSK|LSAT_3X16041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIGVKSSLQFFMKRSNFKGTVSFGVGFFFVIIGWPVIGMAAEAYGFIILFSGFWPTLSVFVQKIPVIGWIFQQPYLRSMLDRYRGKRVPV >cds-PLY89595.1 pep primary_assembly:Lsat_Salinas_v7:9:39809372:39811024:-1 gene:gene-LSAT_9X37400 transcript:rna-gnl|WGS:NBSK|LSAT_9X37400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESELLLQTSWPTQSYFHSNLDHYDPNMDIYASYYHEFSSSINSSPENSLISSEPYVESPLLGYDQIQKPSMADDDSHNINTLDDVCQWLCDENQEIEEIQSEKSTETESVHVWSPDLSMTSDDVMETRLEDLLKAYADAMTMGQTELVKVIVRCISEKISPIGPVLERIAFNLFKSAENQGDEYLKEELMRNFKSAFRAFYDIFPYGRFAHFTANSVILKAVQSNVESVHIVDFDLGEGTQWPPVIEAVAQQRKSLTLTITGVKLEEHDFNFTETKRQLSNYARDVGVQLKVEEVELGNLVKVMEGKLDTEFLAFNCMIGLPHMVSIRKRTQAIKFLKLAKEILTNSKGIITFGDGENGGKTVNSSNYASFFDGNLSHYKALYESMEWGIPSYLTEARIALETLFIAPSISSLSWFQKWEEGQEEVVSLKGIGLKGQSMNIESWNEARELVNERESPYGIGIGGENGNEMVLEWRGTPVVKVSAWV >cds-PLY84048.1 pep primary_assembly:Lsat_Salinas_v7:6:186770473:186772158:-1 gene:gene-LSAT_6X115580 transcript:rna-gnl|WGS:NBSK|LSAT_6X115580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METFSKKSRKPVLPLASTFDVHRSISPTGRFGRHSSAFAADMTSPFASSTSSSFCSSPSSSYVYSRPSSPTRVRLHGFASMTSSSVRVSISSRPGSPNRSMAMPVAHRNQVVRKPSSQKTCLCSPTTHPGSFRCSLHKNYNTSNSMSSYSPNRLNARRSAMTNSLVRIATAEGGDLVKRALAALIRPSSHQQRRRSAFEPRPSRLSIMSNADEL >cds-PLY62998.1 pep primary_assembly:Lsat_Salinas_v7:MU046024.1:2086:2570:1 gene:gene-LSAT_0X45701 transcript:rna-gnl|WGS:NBSK|LSAT_0X45701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSHNQQPEFCQEEKQVSPQKEDQSESFLNSNSSHNVEMSNLDRFMKMSTPIVTAQHFPKVKTMLML >cds-PLY66218.1 pep primary_assembly:Lsat_Salinas_v7:1:175582482:175584510:1 gene:gene-LSAT_1X116040 transcript:rna-gnl|WGS:NBSK|LSAT_1X116040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMDRETSLDSIPLSSGVDEQYDDSALEGVAANVKLLLKLVQDHKDACQTHKHDGRRMLRVAGMMTILDMVRTRIQKCQSFGADRSELRRCNSDMRTSIVENDKRSTESHVVDDKEKLKRQLSASSAARKSLEAMCSSLGKEKEIMMGELARKAHELAEMEDHIEDLKAQNDSLLERVKDCAEVHEPGVKEPQETSSVYEHNKALKDQLMRSLNGYRNMKKNLTEVREENLLMQSKIEEMAIKVGASLEKIRRYRQNHNENNEELSDIEEGILELEHISKCFDIGDRKKGKRSECGHVNKKNSVPKPIRASERRGVL >cds-PLY66009.1 pep primary_assembly:Lsat_Salinas_v7:4:136894422:136900208:-1 gene:gene-LSAT_4X85420 transcript:rna-gnl|WGS:NBSK|LSAT_4X85420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAGNSGWLRGKVKAVMSGDTLVIMGFTKAEIPPEKTIVLAHLSAPRLARRGGQDDPFAWESREFLRKLCIGKDVVFRTEYTIPNFSREFCSVFIGTSNVGNEVVTHGWAKVKEGKGEVTPEHTELLRLEEQARQQGVGLWDRSPGAVEAAIRNLPPSAVGDPSNLDAMGLLASHKGKPMEAIVEQVRDGSSLRVYLLPEFQFVQVFVAGIQAPSMGRRTTQEPTIPIEVPSEETNGENNNSESRGPLTSAQRISASSGFNEVSPDPYGREAKHFTEIRVLNRDVRIVLEGVDKFSNLIGSVYYSDGESAKDLAMELIENGFAKYVEWSASMMEDEARRKLKAAELLAKKTKLRLWTNYVPPATNSKAISDNFTGKVVEVVSGDCIVVADDSLPFGSPAAERRVNLSSIRCPKLGNPRREEKPAPYAREAREFLRTRLMGRQVQVSMEYSRKVPVADGSAAPAGPADSRVMGFGSVFLLTPGKETEDVSSATPTPTPPAATTPAATQQPGVNVAELIIARGFGTVIRHRDFEERSNYYENLLAAETRATSGKKGIHSAKDPPVMHVTDLLTASAKKAKDFLPFLQRNRRMTAVVEYVLSGHRFKLFVPKETCSIAFSFSGVRCPGRDEPYSNEAIALMRRKIMQRDVEIEVETVDRTGTFLGSLWESKTNVTIHLLEAGLARLQTFGADRIPDAHLLAQAEQSAKNKKLKIWENYVEGEEVTNGATQDKKQKEEIKVVVTEVLSGGQFYVQAVSDSKVASIQQQLASLNLKEAPVLGAFNPKKGDIVLAQFSADNSWNRAMVVSGPRGVVQSTKDKFEVFYIDYGNQEVVTYNQLRPLDASVSSAPGVAQLCQLAYLKVPTLEDDYGQEAAMHLSESTLSGPKEFRAIIEERDTSGGKVKGQGTGTVLLVTLIDPESDISINASMLNGGLARLEKRRRWEPKERQVALDELEKYQTEARTKRLGMWEYGDIESDDDENPLPGAKKAAAGKR >cds-PLY94150.1 pep primary_assembly:Lsat_Salinas_v7:5:32882754:32886169:-1 gene:gene-LSAT_5X15200 transcript:rna-gnl|WGS:NBSK|LSAT_5X15200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFSAGTEDAMSSTAANSSSPLDWKFSQVFGERRPGEDVENIDVISAIEFDKSGDYLAVGDRGGRVVIFETKASKDVTSKQHLRNASEGSDDVVIQHPEYRYKTEFQSHEPEFDYLKSVEIEEKINKLKWCTTLNGSLFMLSTNDKTIKLWKIKERKVKKVKEMDLTRSVSSESALLSESSFLSHESKSSVANGHQLEWIDNIKTNVSPSSTGYMNGENIVDGAIARCRRMFGHAHDYNINSISNNSDGETFISADDLRINLWNLEVSDQCFNIIDMKPSNMDDLTEVITSAEFHLLHCNLLAYSSSRGFIRLVDMRQSALCDRSATMLQDTESRVQKTFFTEIVASISSIKFATDGRHILSRDYMNLKLWDMRMPTSPVVTYKIHEHLRPKLCDLYNNDAIFDKFDCCISGDGNHFATGSYSNHMRIFSNGVGTEDGTTLEASRHSYRKRQQQSVSRARRSSLSNLTRGFYKQGHDASENNEFSCDLKSKLLHISWHPKTNLIACSTGNSLFMYHA >cds-PLY92941.1 pep primary_assembly:Lsat_Salinas_v7:3:113291569:113293460:-1 gene:gene-LSAT_3X82881 transcript:rna-gnl|WGS:NBSK|LSAT_3X82881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLEELPNGNRWADYGHEIKKMTVIALPMVVVALLPYLMQMVAVIMVGHVDELSLSSLAIATSLTNVTGFSLLSGLVGGLETLYGQAFGAKQHNKIGGYTCSAIISLLFVCIPISISWIFIDKFLILIGHDPLISHEARKYSIYLIPSLFFGAIVKPLVRLLQSQSLTMPLLVSSTLVLCFHAPLCWGLIFKLKMGSVGAATAFSLSNWFYLMLMVFYFKFSSLCKNVGVTFSMDALVGMKEFFWFAIPSAVMVCLKWWSLELLVLISGLLPNPQLETSLLSICLTISTLHFTIPYGFGAAASTRVSNEIGAGNPQAARLAVCIVMFLAVIEAIIVSTMVFSCRHYLANAFSNKMEVVSYVVSMSPFIALSIITDSIQAVISGIARGSGWQHIGAYVTLVAFYLFGLPASIVLGFPLHLKAKGLWIGIVIGSIIQSGSLLLVTRLTDWQKQVRFFLLEHLYVYMLFSWSCGDYG >cds-PLY91225.1 pep primary_assembly:Lsat_Salinas_v7:3:77836501:77837638:1 gene:gene-LSAT_3X57321 transcript:rna-gnl|WGS:NBSK|LSAT_3X57321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYNCKEFKVGKCEGEKLVDGETLPLVLTPPEPSKNGIESLLVALKNNKQWFEEMLVKNSAVLFRGFDVKNAVDFNDIVETCDWEDIRYVGPAPRTHIHKRIWTANEGPLSEFIYYHHEMVLIKEFPKAVILFCEVPPPEGGETPFVPSFKVTERMMEEFPEFVEEVEAKGLKYTFTALSNDNTTSMRGRGWQDAFATQDQEEAERRARALGMDVEWLENGGVKTILGPRALTKVFEGRKGRRMWFNTMVGMHGKELSSAMMADGTEIPENIVKRCEEIIEDESIQFKWEKGDVLFLDNYATLHGRRPSLAPRRVLVATCK >cds-PLY63120.1 pep primary_assembly:Lsat_Salinas_v7:8:76040919:76042468:1 gene:gene-LSAT_8X54701 transcript:rna-gnl|WGS:NBSK|LSAT_8X54701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRIIHLLKNCTSASHIDQIQAQLIHHHRHLYLNITVAHYFITACKSFSLLTSAYLLYTRHLDFKPHVRICNTLMKALTPHASISFYAHMNRNSIFPNNYTFPLVLKSLSDLKDTLRGQAVHTHIIKLGHLNDIYVENSLMSLYSSGGEMGLCRQVFDEMSQRDVVSWTVMLTGYRQCGKLNDALLTFNQMHYAGVAPNQVTMVNALATCASCGAIDMGVWIHEFIKRNSWELDVILGTSLVNMYGKCGRIEDAIDVFLSMEQKNVFTWNSFLKGLAMTKHVEEVLWWFSRMEQEEGIKPDEVTMVVVLSACAHSGLVEKGREIFSSLFNRKYGFLPNAKHYACLVDLLARSGSLKEAMEIIKEMPFEPSKSVWGALFAGCRAHGDLEMSELAAWKLIKLAPNDHTCYVELCSLYTDMGKWSELERVQSLMRANGLKNDSGVAPLEPKYMITY >cds-PLY63870.1 pep primary_assembly:Lsat_Salinas_v7:1:126895273:126897967:1 gene:gene-LSAT_1X96040 transcript:rna-gnl|WGS:NBSK|LSAT_1X96040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLASITVPRCLHCSSVGLFHQHMGDFNYPRLAYFVAATSGSNKVQCQKVLEELDESHI >cds-PLY98500.1 pep primary_assembly:Lsat_Salinas_v7:7:126171189:126174650:-1 gene:gene-LSAT_7X75680 transcript:rna-gnl|WGS:NBSK|LSAT_7X75680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKLPAAEAANVAIQSIGCGYDISLDLRLTYCKRGSSSDVVGGNHNQNRLIEVDEAEGRDIVLPCGLLIPDVARSIRCDKGERTRFRSDVLSFQQMSEHFNQELSLTGKVPSGLFNAMFEFSGSWQKDASSTKTLSFEGMFISLYTIALEKSHILLSDHVKKAVPSSWDPPLIASFIENFGTHIVVGVNMGGKDVIYMKQQHTSSLQPAEVQKRLEAMADKRFLDLDEQYGVQSGRISQNDMYDMREHRLRFADTSPSSSYSYKENLATICKRRGGTDNRNLNHNDWLHSVQLEPDVIAMSFIPITSLLNGVSGSGYLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPEFSDLPLGPHPKQRRTPSLQFSFCGPRLYVNLNPVDVSKTPVTGLRLYLEGKRSNYLAIHLQHLSSLPKLFHFDSDQNRNFSDESYDHRYYEKVQWKHFSHVCTAPVESENDLSIVTAACFQVADHGFKKVLFLRLGFSTVLGAVAIKTPEWDGSCGLTRKSGLISSLISHHLTGVLKTPPQAVEVNINSAVYPGGPPAPIQAPKLLRFVDTTEMTRGPQESPGYWVVSGARLVVDKGKISLRVKYSLLTVVLPEEAAEVGGC >cds-PLY91637.1 pep primary_assembly:Lsat_Salinas_v7:8:11797117:11797410:1 gene:gene-LSAT_8X7900 transcript:rna-gnl|WGS:NBSK|LSAT_8X7900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLPGVGGGKKKAKVMKIDGEIFKFQTPFKFFEVIKDYSGHVVLESNAVKRYGIRATPLDLEENLEPGKIYFLVELPKLSETAEKTVTIRRVRYE >cds-PLY84560.1 pep primary_assembly:Lsat_Salinas_v7:1:31939034:31942531:1 gene:gene-LSAT_1X27821 transcript:rna-gnl|WGS:NBSK|LSAT_1X27821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPKKFPILSYVMAKIPSVSRSQSPEFDIEQPPPTVPSTSEPEPYFELTERMPHLSDPELLASMRATVDDVSRTRSVLKTLGECPDHEFVDIARSRLAEIEESLANQLEEVALSDKDAVEVDKRKKEEEREKQSFKAVISLYEMHQSYEKMLSEAEKRLEKLYEEAKKGGKSVAVDDQGCSSEFPTVEDDVKDEVVAILNDALANDLKRIDLSERRLPFLPEAFGKLRMLVSLKISSNQLQAIPDSIAGLENLEELNASSNLLESLPDSIGLLLKLKTLDVSSNKLTSLPDSICHCRSLVELDASFNKLTYLPTNIGYELENLKRLSVPLNKLRSLPTSIGEMTSLQFLDAHFNELRNLPPSIGRLSNLEILNLSGNFSDLTSLPYTIGDLTSLKELDVSNNQIHELPATFGRLENLIKLNVDENPLVIPPKEIVNEGIEAVKAFMAKRLLEILLEEEEKSKYPENDQTQGGGGWLSRSTSWLTNAVGGYLGGAGNTNTKINDEPYLNQQL >cds-PLY70492.1 pep primary_assembly:Lsat_Salinas_v7:1:76368651:76371435:-1 gene:gene-LSAT_1X63701 transcript:rna-gnl|WGS:NBSK|LSAT_1X63701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASANAISTASILSSHKKGNFLDGSRRINLLQTAVNMRQSNRRFVVRAAAKDIAFDQKSRAAMQAGIDKLADVVGLTLGPRGRNVVLDEYGSPKVVNDGVTIARAIELPDAMENAGAALIREVASRTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSLKKGIDKTVLGLIEELEKRARPVKGSEDIRAIASISAGNDDVIGAMIADAIDKVGADGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNPEKLLVEFENARVLITDQKISSIKEIIPLLEKTTQLRAPLLIIAEDVTGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIMTGAEYQASDLGLLVENASVEQLGIARKITITKDSTTMIADAASKDEIQSRIAQIKKELSETDSVYDSEKLSERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAAFVHLSTLVPAIKETIEDADERLGADIIQKALVSPASLIAQNAGVEGEVVVEKVKESEWEMGYNAMTDKYENLVESGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKPKAPMAAAPQGMSI >cds-PLY85740.1 pep primary_assembly:Lsat_Salinas_v7:1:48046017:48049273:1 gene:gene-LSAT_1X42001 transcript:rna-gnl|WGS:NBSK|LSAT_1X42001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTPKTPLSGIKKDLQGRLQCYKQDWVRALDSGARILAPTAYIFFASTLPVIAFGEQLNKETDDTLSAVETLTSTAICGVIHAIFGGQPLLILGVAEPTVIMYNYLYAFAKERPELGKKLFLAWAGWVCVWTAVMLCVLAILNACTLITRFTRVAGELFGMLISVLFMQEAIKGVISEFHVPKGENERIEEYQFHWLYTNGLLAIILAFGTLMTSIKSRGARAWPYGVGWIRGLIADYGVPLMVLVWTAISYIKPRDVPPGVPRRLFCPLPWEPGSLSHWTVIKDMVKVPAVHIFTAIIPALMIAALYFFDHSVAAQMAQQKEFNLKNPSAYHYDILLLGFMTLICGLVGVPPSNGVLPQSPMHTRSLATLERQIMQKKMVKCAKEGMKMEASSLEIYGKMHAAFVQMDPHPQSPAVKELEDLKEVVLNQEDGGENKVKFDPDKHIEDHIPVRVNEQRLTNLLQSLLVGSAVFAMPAIRKIPTSVLWGYFAYMSIDSLPGNQFWERLLLLFIPPGRRFKVYEGPHASYVESVPFKYIMMFTFLQLVYFLICYLITWIPIGGILFPLPFFFLIPIREHLLPKLFPHQHLQELDASAYEEYIGPHANNGSLSRKRIEGFDEEHSDDSEYDLSSTEILDEMITHRGELKLRSSFNDKQFHVHHPDSDSQA >cds-PLY95006.1 pep primary_assembly:Lsat_Salinas_v7:1:190153133:190157126:-1 gene:gene-LSAT_1X124320 transcript:rna-gnl|WGS:NBSK|LSAT_1X124320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTSASAAAAGKSPIASDSDEYEYEYEYEEIEVEEEVEVEVEEEVSDEEVNEEEDEEEVEEKGEDILPTIIDGEDHKKSAHHSVVKIDEEEEEEEEEEEEEEEEEEEEEEEEEEPEEQEEVEEKREDISPPIDDGDHEKGKTTTVDDGGMLYTDNDNKPSQSDHGGITTSSVNTSNPVGVSVSAEVVKVSGESVIQVPNDDDDKFPPEKGSKESNEVIQSPLSEKETKETNEGNSNFPPSSEKETKGTNDQDMDDKHQKQPVRLDVPLRRPRSSSPVTKTKEGNKRQVLVCEFFAKGWCIKGKSCRFLHIKESKEDKQCNSQNTPSISAQMTKIPPLNHSSVWTSNSLLFSSSSSSWNNPDSFPTMNDYKSGSETKHLYQNQNQNQDKVLSGDSHSTRFYKYDWEPSKPFRSMFLLSQGLSPSTLYDPIRDSIDPPDYGVGVSKFSSSCQGPSTSTTHSPKNINPPVKGTPHDIDIDNASERHSVASHDKDTSSNKVNVEKGNEHMHTSHVYNGDMTEASEANDGPTKREIKETSEAKGDEVVMQRESKALRHFRGALIEFVKEIVKPAWREGNLSKDAHKVVVKKVVDKVLSTLSSENIPSTEDATDFYLSSCQQKLVKLVEVRVLYEVNYRMEDIFYLI >cds-PLY74833.1 pep primary_assembly:Lsat_Salinas_v7:8:106528410:106529512:-1 gene:gene-LSAT_8X72621 transcript:rna-gnl|WGS:NBSK|LSAT_8X72621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWCSLMKFQSNSQTQESNTSSTMSRVIFFNMPSVSFQTHSTLNKYVSSTIHALLIFMLEPLLESLEMVKLELLLMWNLFQLLFVFLILKITLKLPLKKVARCKYLIGVIDKCLGYKKWSLDQLNLFEQRILCSILNNKRLDFDQNFLYQVIDCIIGNKKPAYVPYPCWLGLILSHKEGYVDSHGVIIPIPALSSKIINVAPSEGNLPITMRMQKCIEKPYVVESSDSGEDNKDDNKEKTDEDTDKDNGDDDYEESIGNKEGADEEEDSDKDEEESTTDKGKDSARGMNSPPRMNNHIRFSSTSSSNPCTNIVVQHGSNPPLVGTAKPMVQDVTSPK >cds-PLY68934.1 pep primary_assembly:Lsat_Salinas_v7:9:194886297:194893343:-1 gene:gene-LSAT_9X121000 transcript:rna-gnl|WGS:NBSK|LSAT_9X121000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNNFGGGSNHVDKPTRDNWSQHAKAHDNFSNQDKFLKSSFLFSLSTQKPCVEGAMSAAVRSLSSQFQNVTNSQSQHVDKAWQALSNLKLSSRNYIKPGKSRPLANNGGSTSASFQDVRKPTQQFSSNVNNKNLGQNQAHQSNETYVAEASGRLGTMFSGGYASNPMEASGRNMNASYNNATNHGGYASNPMEASRRNMNASYNNATNSGYGIHNYVSEHYQSSCTPPSSTLKFPPVNPASISRHDDISLPPELCVECSHGFKLGLCPEASNHLQIMKDMLISISNDLLDNVDLNSDQIEKLRQDRIMLNKQIQQLEKHLQSRMVDHEGPKSNFTPNTAATRSFQYETPPTFASRIDATRLDDQFYMHNENNRWNSPSVSYSSVSNVSVSSAPVEREPYIPKYVEVNYIEGSDDKNWSKRDFPWTKEMEANNKKVFGNHSFRPNQREVINATMSGKDVFVLMPTGGGKSLTYQLPALICPGITLVISPLVSLIQDQIMHLLQANIPAAYLSATMEWSEQQEILRELCSDNCNYKLLYVTPEKVAKSDFLLRQLENIHARELLSRFVIDEAHCVSQWGHDFRPDYQGLGILKQKFPTVPVLALTATATASVKEDVVQALGLVDCVIFRQSFNRPNLRFSVVPKTKKCIEEMHEFIKKSHFDECGIIYCLSRMDCEKVAEKLKEYGHKVGFYHGSMEPDMRALVQRQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQLSSCVLYYSYSDYVSYCENDVDCRRFLQLVHFGEKFDSFNCRKTCDNCLKSQTLVEKDVTQVAKHLVDLVKLTQQQVSASHILDVYKGSMSQIVKKYKHDKLRLHGAGKNAAKGEASRVLRHLVVEEILVEDVKKSDYGSASSVLKVNEKKAGSLIAGRQTITLRFPSGVKAFKSLRNDATPARGLSTSTITSTSASKQNPVQLDTSAQPQTQGDSALSAKLYSALRLLRTHLLREASEKGMSYSAHHIFVNETLHDIGRKIPRTKEELLEVHGIGKAKVSKYGDRVLKIIEDTVNAHYNNTTDKTKSITKSSSNSTDSIKRRRNTLDKIPNGDLGYEDEDDFVASTARSKKRVLEKPKPDPVAEAVDYFYEIPDEDLDLELFDKVCEIHTNASNPKPETEMKNIGGRVLPSW >cds-PLY84710.1 pep primary_assembly:Lsat_Salinas_v7:5:37137153:37138731:1 gene:gene-LSAT_5X17560 transcript:rna-gnl|WGS:NBSK|LSAT_5X17560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPCCDKLSMRKGSWNEEDDAQMLAFVSKQPTTNWQAGAPKKPGLRKCGKSCRLRKTNVATNDHIRHQSFTQQEEELIIKLHSAIGSRWPIIAQQLPGRTDNDVKNYWNTKLKKKLSAMGIDPVTHRPFSQMLADYGSISGLTRNQTRMGSLNRDNNNNNNTFFITNQENHVFQQSPTESFFPNFNNSNNVKMEPPEVVIKSDSLDLLTQLQAITHVKDSSTNHGIGISLTQFHVSVASSSSSSSSTCSTLNEMTTPQQTFNWRDFLIENGQDGDEKLNLEAMGGVEVVKCNTPLLMNGVKESIEEGCDGSFVEAMLDGSENDMLLDFPGLLGEPSY >cds-PLY68400.1 pep primary_assembly:Lsat_Salinas_v7:8:23086705:23088655:-1 gene:gene-LSAT_8X18380 transcript:rna-gnl|WGS:NBSK|LSAT_8X18380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIEICCKAATGAPDVLGDCPFCQRVLLTLEEKKLTYTTHLINLESKPEWFLEVNPDGKVPLIKFDDKWISDSDVIVGLIEEKFPEPSLSPPPELASVGSKIFPKFVTFLKSKDANDGTEQALLDELKSLEEHLKNNGPYVNGEKITAVDLSLAPKLYHLEVTLAHFKKWTVPESLTHVHNYTKSLFARESFEKTKAAKEYMIAGWAPKVNP >cds-PLY75290.1 pep primary_assembly:Lsat_Salinas_v7:3:156422262:156424844:1 gene:gene-LSAT_3X99801 transcript:rna-gnl|WGS:NBSK|LSAT_3X99801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCVCKKWYLFLKSGNFGTTYHHHVTTNDDHENHHKHLILTYTDGSRFCSIDCETPNDGLTAGLRLPLPYSYIDKMVVLTSLHGLLCVGTGNCKSQYSDNSSGLLLWNPLTDEYKNLSNKGCHDKECYTNIEQGIFGLYYVSSLDDYRILRVTYYPSIYIYIYSLKSDSWRKVESTQNFLQSASNWASLACKQEHPSQILLNEKLYFLKQVNYLGRGTLIKSYSVMRFHTKTEEFTEIAMPSFGNQMTRCLGFMVLRGCIHFCVVILIGKENDIANRRCSEMIELWRMDGDKDWTKVLTYGPMSFSLLGGSILHFMRNGNLLLQNEGNVYVFDMKKDTKEIVFTCHPINPPNMYEAHQRRMDCDIPPLGKYIETATVSPNRYPLHDAYERGMIDKYGEDILEHTGNDVELWALSQGGRKDHHLYGIGSTDHDFTMTRTPSYGYSMVSIEYGTCKSRWKWNEKHEKTYKIE >cds-PLY67052.1 pep primary_assembly:Lsat_Salinas_v7:5:282599992:282601364:1 gene:gene-LSAT_5X148441 transcript:rna-gnl|WGS:NBSK|LSAT_5X148441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADHHHLHHNRHLRKAGKTPRSQTLPSSPTHSSSSSDFEFTISLSPRKSSATNLCPADDLFYKGQLLPLHLSPRISMVRTLLLSSSSTSSSATTARHSSDSHSSFSTDGCDSSRPSSVTDDDLHLQTKLFPSTLQTQPFISHHHKKPNKYFSLSRFSSVFRKETKAPATTGTTTAARIDQDILTGSSVKRMSVTAKEMIRKYLKKAKPLYEKLSQRQGQTFQVKKTGPPPPPPKTEEIRSNKENDVISHSFSGNLRYPRRRSCVSSCPSSMRSSPSHSGVLCRNSVMRGTGGGIYSNNSSSMEELQSAIQGAIAHCKNSMTQNN >cds-PLY98525.1 pep primary_assembly:Lsat_Salinas_v7:1:36512354:36513655:1 gene:gene-LSAT_1X30840 transcript:rna-gnl|WGS:NBSK|LSAT_1X30840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLAFFFFFTLYTLLGAYNARHLHDGCVYHSFNGRPPAKTSEIIQMVTGEEEPQSPPPPPLSSSQEQTNYSRDNLEGRPSPKIPPSDGQTIYGGDNPEARLPSEGQTVYGGDKLEGSPSPVGPLPEGQTNHGRTNPKAPPPPKVAPSRGQSTYGGENPNSNSPTIYGGAKKRLADFLAQIIPSYDEIVYGRDYLNARPSPKGGPSEGDAVYGRDNPKAPPPPVAPCRGAPPSLKPDSAKDRSTYGRDDPTTPPIPDPNDPENQGMYGRVAPSVPTPPQPADPTRPMLVDGGRREEWKFASSSGV >cds-PLY78290.1 pep primary_assembly:Lsat_Salinas_v7:2:111181156:111185571:-1 gene:gene-LSAT_2X51501 transcript:rna-gnl|WGS:NBSK|LSAT_2X51501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISSFGLHPHLVRRIPQPPSLVLAEKEDENGFFKLHCKVMVSVHRALRQKHINILAIIAPKHPDLRQEIALEKKDDGSPGALMVLPLYAMLPRSAQLYVIEDMKEGKGLRVIILRMG >cds-PLY69006.1 pep primary_assembly:Lsat_Salinas_v7:9:140369267:140377499:1 gene:gene-LSAT_9X90121 transcript:rna-gnl|WGS:NBSK|LSAT_9X90121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSFWKYYSGEKVAPFPTIFIGEIHEASNYLWELYYGGWAAPDIYFLGFAGGVKFGGIRIGGISGIYKSRDYCSGHFQRAPYNNSDINIKKMDIASEFDEYTVEQVEDFKSRQCLENPKNPQYNGGIVVNPEFKEGLKGWASFGNAKLQVQRSETGNEFIVAHQRNQSFDSASQEFFMDHEKLYTFSAWVQISHGDDATVVATFRTPTGFYDAGSTMAKSGCWSMLKGGITVNQSSSTQLYFQSKNASIDIWVDSVSLQPFTQEDWKAHQYQSIEKVRKSNVKIHVVDSEGKPLTNQKITISQKLANFPFGCAINTNILTNQAYKNWFTSRFKYTTFENEMKWYTSERIQNQEDYSSSDALLKFTKSNGISVRGHNVFWDDPKYQPSWVPNLEPQKLADAATKRIKSVMGRYSGQVIAWDVVNENMHFDFLERKLGGMGSSSKFYSMASVLDGHADLFLNEYNTIEEPGDRLSSPDSYLKKIEEIRSGGYHGPLSIGLEGHFTNVNIPYMRSAIDKLASSRLPIWITELDVQPGPNQGKLLEQVLREAHAHPAVNGIVLWSAWSPKGCYRMCLTDNDFRNLATGDVVDNIIKEFFGVVVTGTTDGEGFYETSLIHGDYEVSYPNENDVKLTRISRDFKVEALGYSEDFLYVKILG >cds-PLY72684.1 pep primary_assembly:Lsat_Salinas_v7:6:28243008:28247281:1 gene:gene-LSAT_6X22441 transcript:rna-gnl|WGS:NBSK|LSAT_6X22441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEYHVKLSNWEENRENERRKLLLKEAKSKQQRTESLDKSHNRFLGKWLDRKKPVNVEPGVGKWVSYLNRERKLDSLVGKCPTVPQAPKGLYIYGNVGSGKTMLMDMFYNSTKGIVTHRRRFHFHEAMLEINERMHKLWKNQVKEKSMQSTIANWIMNLPFDTKVKEWVASEESYKEEMQMKNILPAVADKFLVDQIIDQKGSCILCFDEIQTVDVFAIVALSGIISRLLTTGTVLVATSNRAPSDLNQDGMQWEIFLKLLDKMEQHCETVLIGSETDYRRLISQRSINRVTYFYPLNSIATEKYENMWNEIVQSYGQQVKSETISVMFGRKLEVPESCNGVAKFTFEYLCGRPVGAADYIAVAKNYHTVFISDIPMMSMQIRDKARRFIILVDELYNHHCCLYCSAASSIDELFQGTEEGTLFDLERQCLLKIWKCFYFVSSFQFETETEGSKLRRDVLAEGNIGSGGAPAGIISMLSGKEEMFAFRRAEMDHYAILLLLAPLIVTMVHAYVPADDFLRLPSDKVESVVVDDDSLGI >cds-PLY83150.1 pep primary_assembly:Lsat_Salinas_v7:3:95879660:95882086:1 gene:gene-LSAT_3X72100 transcript:rna-gnl|WGS:NBSK|LSAT_3X72100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSLLDEEYCKEIEKARRDLRALIFNKNCAPIMLRLAWHDAGTYDAKTKTGGPNGSIRNKEEYMHAANTGLKIAIDLCEEVKAKHPRVTYADLYQLAGVVAVEVTGGPTINFAPGRKDSNESPNEGLLPDAKQGAKHLRDVFYRMGLSDKDIVALSGAHTLGKAHPERSGFDEKPWTKDPFKFDNFYFLELLKEDSDSDSDSDGLLKLPTDKALLDDPNFRTYVELYAKDEEAFLKDYGESHKKLSQLGFTPPLSPNAAYHLRRFVKIIAAVSVILISYTIVSINLAKEINRKC >cds-PLY69460.1 pep primary_assembly:Lsat_Salinas_v7:6:122717742:122719316:1 gene:gene-LSAT_6X72841 transcript:rna-gnl|WGS:NBSK|LSAT_6X72841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGDMTQLGSLMAAVMFVWAIFRQLFPEEFQRDVRKYVNKVVSYVYPYVEITFHEYQADSWSKRSKAFVSIERYLSTNSSNRAKRLKANVVKDCESVVLSMDDYEEVTDEFRGIKIWWTSSKSIPQQRAFFSYRGEEEKRYYRLTCQREHRDIITKVYLQHVLDEGKAIAVKTRQRKLYTNNKSENWSGYKRNMWSHIIFEHPSTFDTLAMDPKKKKEILDDLMMFSKSKDYYKKVGKSWKRGYLLYGPPGTGKSSMIAAMANLLEYDIYDLELTSVKDNTDLRKLLIETSSKSIIVIEDIDCSLDLTGQRKEKKEESKEEEKDPVRKKVEEEKDKNTGSEVTLSELLNFIDGLWSACGSERLIVFTTNFVEKLDPALIRRGRMDKHIELSYCCFESFKVLAKNYLDLESHDLFSTISRLLEETKMTPADVAENLMPKSFEENAESCLNKLIQSLENAKEEARLKSVVDARIKAEEEAAKKIEENGEKVQETDAKLGDGEGKKSNQDTEIVQVGDGKENGVLTA >cds-PLY62850.1 pep primary_assembly:Lsat_Salinas_v7:4:29787676:29789247:1 gene:gene-LSAT_4X20321 transcript:rna-gnl|WGS:NBSK|LSAT_4X20321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNHIVSFLAIAKWALDFLINHLFFHHRKFHLQESCEGHGIKWFNGRKTDSYDEEVECAVCLNKIEDDDETRELRCNHHFHKNCLDRWLAHRHTTCPLCRDNLMLSPKINYDYSFCNMKKKTPPPVPLPSNPLNLETRNMILHLSLFHLRSSLLTGNHLRPPTTAPESTSTDTLTIGDEENIM >cds-PLY70354.1 pep primary_assembly:Lsat_Salinas_v7:4:99970252:99970500:-1 gene:gene-LSAT_4X64900 transcript:rna-gnl|WGS:NBSK|LSAT_4X64900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEEYIYNLLDSYWYKQQILTPNPPSIRIPDAYKEEYGSPPSPKAPKDEFMALTMGNCRNDVEMKGLLRFWAHSVASISI >cds-PLY86500.1 pep primary_assembly:Lsat_Salinas_v7:8:135204022:135205371:-1 gene:gene-LSAT_8X94841 transcript:rna-gnl|WGS:NBSK|LSAT_8X94841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDPHSTLGPKDLPRIARVAGRLAGRSIGYVQMARGQFDNVMQQSQAKQVHKELQDTIAQLEAIRHEIRTISFVNPGPLTKRLVDNVSQIPDTNGNTVPVKPIDDEALPTATPKVYNYNATASPSSDMHNQATAYARLAESAALRSEATNTNNEVMSELTDESGLTVLPVSAQDTGLLPNRTADAKGSDIMLESILEAEVARNAKDFFAQPQNVVKS >cds-PLY76726.1 pep primary_assembly:Lsat_Salinas_v7:9:118852434:118854674:1 gene:gene-LSAT_9X77441 transcript:rna-gnl|WGS:NBSK|LSAT_9X77441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDFHALMPSDGSNVQLKFLELLDSVIPTAKSTFNLTMLAYRSGNLNQGGGGLVADLVERNAEVTGELQGDAHGVMMAKQMSIKAEEKNINDGEEVESSVKTSISMRSFPPMVHEEFGIIEATQKTVDGPSTKDWLGGCGIAQNIIPSSTGAAKLAVVSIQTRLREMVARDEFRRRRNTAAATIVQIDLEYENGQ >cds-PLY92164.1 pep primary_assembly:Lsat_Salinas_v7:8:5557576:5557931:1 gene:gene-LSAT_8X4480 transcript:rna-gnl|WGS:NBSK|LSAT_8X4480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMSIFSSIDAFCAESIGQKLPVTNTQKDSKDGHLNPPTPSPDIKKNSPKLQISPRFAPELDGVYCFETILPY >cds-PLY98631.1 pep primary_assembly:Lsat_Salinas_v7:1:37887543:37889536:1 gene:gene-LSAT_1X31221 transcript:rna-gnl|WGS:NBSK|LSAT_1X31221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSNYKPIPKDSSVELQSKDQNNHGSQNPKSSHIVDDLDYDDLNDEIDFDHLPLVIVPKEDSASSSGSGIYGAVFNLSTSVIGAGIMALPATMKVLGLIVGLILIFLMGILSEISVELLVRFAVQSKSNSYGEVVQEALGKPARILSEICIIVNNAGVLVVYLIIIGDVMSGSLRHTGVFDQWLGNGFWDHRKIVILVVVIICLAPLCALNKIDSLSTTSAASVALAVVFVLVAFIVAFIKLLEGKIGTPRLTPDFGSEKAILDLLVVIPIMSNAYVCHFNVQPIYNELENRSPQKMNKVGRLTTALCVTIYASTAIAGYLLFGKDTESDILTNFDRPLGVRFSTALTYIVRVGYVFHLVLVFPVIHFSLRQTVDALVFDGSAPLSESRKRCLGLTFVLLAVVYLGSTMIPSIWTAFKFTGATTAVSLGFTFPALIALRLSSQGPGLSSRERFLAWLMLSLAVMVSVVGVVGNVYSLQSKSE >cds-PLY84146.1 pep primary_assembly:Lsat_Salinas_v7:6:186806823:186807113:-1 gene:gene-LSAT_6X115520 transcript:rna-gnl|WGS:NBSK|LSAT_6X115520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEYHRNKESVVKEYREEEAELRVSENMDEAHEAVEKPREKVHDGVDEPRDEEVELQVPQDMPCVNEYIAILNGNDDDKEDIPRTRMILETRTSNK >cds-PLY83110.1 pep primary_assembly:Lsat_Salinas_v7:2:8600975:8604587:-1 gene:gene-LSAT_2X3840 transcript:rna-gnl|WGS:NBSK|LSAT_2X3840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTNSKELHAFLTFSLNYNQGTCGFGLRGRKSRFGVSIRKGLRVSAGDLMIEQDDLLLTFLKDRELNGDFIAKVTDKLWMRKSIKIDNIETDLTIDANQLNEQLVEENTGGFLKLTRTNEWLLGETTAPINKKMRAKEQRDDSERRTRLNLLQYEAIKRELFLLTIAIGTACSGYCLVTFSIQAAVSYATGVLFSCLYLQLLYRYVDNLSRESIPKIFRERKIKRIGIRSDDVADTFEKVVKGCSMVLSSPRLVIPAAIYGLWGISQHFANDFFQLTPAMVGVFAYKAAALVQVYRDNEDLQLIFPGSDEDMFD >cds-PLY91154.1 pep primary_assembly:Lsat_Salinas_v7:4:160864922:160869560:-1 gene:gene-LSAT_4X97120 transcript:rna-gnl|WGS:NBSK|LSAT_4X97120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITRLDTSEYELMKEMKIFQGFFPLVLLSLVFTSGSVHAQDDQDQSGFISIDCGIVKGSTYTDNQTDINYVSDADFIDSGEIHNILPIYNSFTLDTQLTTLTSFPQNTRNCYTLKPTHGKGNRYLIRARFMYGNYDFNGMLPEFDVYLGPDYWDTMKFNSSSKPVNMEIIHVPSSDYIHVCLVNTGRGTPFISAIELRPLANNMYEETSLGSMYLFARVNFGTSFGTVRYKDDKYDRLWSPISWANSTYLYTFDKVSAFLFTTIDPPSDVMTTAITPRYPMESFKIGWTPDNVTDKFLIYTHFAEIEILKKNQTRQFNIYLNGSLWYGNFSPKNHTTTTIYSTEPEIVAPTYTLTINKTKNSTLPPIINALEVYVLKQLPQRQTDDRDAAAMWSIKSTYIITRHWQGDPCAPQEFVWEGLGCSYNDTKSYRIKFLNLSSSGLNGGIDPGFANLTMIETLDLSNNNLTGAVPNFLSGMNFLKVLNLKGNNFIGPVPAQLIEKSNKGLLSLSFDGESNDDSLSSCGMNRCKNTKDNNIIVPIIASAASLLVIFTAVMAIWIIRKQKTSDKRKIGTGMQIRKQQYTYSEVQSITDNFNVVIGKGGFGTVYHGSIDDTEVAVKMLSESSLQGDKEFQAEAYLLLSVHHKNLISLVGYCNEGNQKGIIYEYMAHGNLERHLFDTSSSILNWEERLQIGCDAAHGLEYLHHGCKPPIVHRDVKCNNILLNETFQAKLADFGLSKAFPTEGGTHISTAVAGTPGYLDPEYYTSNRLTEKSDVYSFGIVLLVIITGEPAITKHENDNIHICRWVNLKLAEGDMKNIVDPRLVGDFDINSAWKAVELAMACVSHTPSRRPTMNEVVMELSDCLVIERARQKTKPKELTALNSLNMESSYGPNPR >cds-PLY70977.1 pep primary_assembly:Lsat_Salinas_v7:9:69607060:69610001:-1 gene:gene-LSAT_9X60480 transcript:rna-gnl|WGS:NBSK|LSAT_9X60480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRITASPRPCNGRRVVAKKRPRGGVDGFVNSVKKLQRREICSKRDRSFSMCDAQERFRNIRLQEEYDTHDPKGHCSMVLPFLKKRSKIVEIVAARDIVFALAQSGICAAFSRETNQRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDSFSSLKCRTTGIEYIRRGKPDAGFALFESESLKWPGFVEFDDVNGKVLTFSAQDSIYKVFDLKNYMMLYSISDKNVQEIKISPGIMLLIFNKASGHVPLKILSIEDGTVLKTFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNSELTEVSRTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDPLTEGNAGSINISNILTGKCLAKVKAINGGGILEDCICSDSDNDIMMKSKGGKRCRCSSKNQVVGRRITSSVTEALEDITALFYDEERNEIYTGNRLGLVHVWSN >cds-PLY77449.1 pep primary_assembly:Lsat_Salinas_v7:4:310458517:310459592:1 gene:gene-LSAT_4X156620 transcript:rna-gnl|WGS:NBSK|LSAT_4X156620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRYLYDDTGFGSLQRDSPVKSIFEETGNPGGNVHISDTDTTTNLSDPPFTSIPQKATVIPLEVSLTESTMEEVRTSGIHVSVSDMNINVIVGEWMMNNEALSTSHLETSIVPTTLILSSIIKTTLKQVNKLEEFVYIKVAKLKSEMSKEVEKIEKNYSILHGNVDVIADAITKLMEYYTSYSTKLEAKT >cds-PLY73371.1 pep primary_assembly:Lsat_Salinas_v7:7:63131848:63132917:-1 gene:gene-LSAT_7X46921 transcript:rna-gnl|WGS:NBSK|LSAT_7X46921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANPTRRPVLRAHSPSGRFYTSPSSNSFASSTSAFSSSPSSFFQNRSASPTRVNVFGSSPSASNIRFSLDNRQISPSRSISVIPRSNNSNQASKKQLDKPRRMCMCSPTSHPGSFRCSLHKNSNSNHTPVSYPSNRLNARRSAMTNSLVRIGTVEGGDLVKRALAALIRPSSHQQRRRTCFQPTLSRLSSMTKV >cds-PLY61894.1 pep primary_assembly:Lsat_Salinas_v7:6:60544334:60548265:1 gene:gene-LSAT_6X43741 transcript:rna-gnl|WGS:NBSK|LSAT_6X43741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVISTCKISYYHKAHMVSDASKKKALQKKAAAAAKRGGKAAAAATSAKAAAIGTMNGSSSTDNLSNGMGSLVISDRTCTGVLCSHPLSRDIRIESLSLTFHGHDLIVDTELELNYGRRYGLLGLNGCGKSTLLTSIGMRELPIPEHMDIFHLTREIEASDMSSLEAVMNCDEERLKLEAEVERLAAQDAGGGDALDRIYERLDAMDASTAEKRAAEILNGLGFDKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKNFERILVVVSHSQDFLNGVCTNIIHMQSKKLKIYTGNFDQYIQTRSELEENQMKQYKWEQDQIANMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVARDKVLVFRFVDVGKLPPPVLQFVEVSFGYTPENLIYKCLDFGVDLDSRVALVGPNGAGKSTLLKLMTGELVPLDGMVRRHNHLRIAQYHQHLAEKLDLEMSALLYMMREYPGNEEEKMRASIGRFGLTGKAQVMPMKNLSDGQKSRVIFAWLAFRQPQMLLLDEPTNHLDIETIDSLAEALNEWDGGMVLVSHDFRLINQVAHEIWVCENQAVTRWEGDIMGFKEHLRTKAGLSG >cds-PLY79184.1 pep primary_assembly:Lsat_Salinas_v7:5:57725771:57727349:1 gene:gene-LSAT_5X27160 transcript:rna-gnl|WGS:NBSK|LSAT_5X27160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSYESLPAPKTVLSAAASLTASAFLFKTIASDLIPTDYIKALFKRLSAELTVVIEESDGLTPDQLFEAANIYLGSKLSPSTHRIKVSKPEKDDELTVKVDRNQPIVDIFQTMKCKWTLQTERIEVPANSNARSELRFFELSFHKKHKETVLKQYLPYVLKKAKEIKDEKKTVKLHTVDYNGTDYWGSVVLNHPATFETMAMDPDKKVELLEDLDMFVNRKDYYRRVGKAWKRGYLFYGPPGTGKSSLVAAMANHLKFDVYDLDLKEVQCNSDLRRLLIGTKNRSILVIEDIDCNIGLQSRESEKEEGPNPNGDDDDKITLSGLLNFVDGLWSSCGDERIIVFTTNHKERLDAALLRPGRMDVEVEMSYCSYGGFKVLASTYLQVKEEEKMELFGEIEELLKKVEVTPAEIAGELMKKSDDVEIVLGNLIQWLRMKEESVLLTTN >cds-PLY89982.1 pep primary_assembly:Lsat_Salinas_v7:8:208958259:208960520:1 gene:gene-LSAT_8X131741 transcript:rna-gnl|WGS:NBSK|LSAT_8X131741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKSMFSCCKVYISETRNKSALESIEQAAKLFPEAAIVNKFEDEKYNRVGYTLVSKHSPDSCPLKHAVFSMVKSAFEAIDFGLHTGTHPRLGVVDHICFHPLASTSLEQVAGIAKALAVDIGSILKVPTYTYGAAHDTERSLDSIRRSLGYFNPNTTTHHWSGGPRSMVLPLKPDHGPAHAVQSKGVITIGTTKWVDNYNVPVFCADMATVRRIAKRVSQRGGGLTSVQSMALVHGEVIEVACNLLDPGAVGGDKVQGAVEKAGLEEGVSVGKGYFTDLSQEEIIQSYLDLNCS >cds-PLY90660.1 pep primary_assembly:Lsat_Salinas_v7:6:52698531:52698881:-1 gene:gene-LSAT_6X39280 transcript:rna-gnl|WGS:NBSK|LSAT_6X39280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRYILELIIWIHRLISLVRFRDKVPKYVPARSPPPTSNNGFVVDPSRLENNGKIQRVQISLEDRNLLEEVMKQRMLVLGIRKSQEFVMAKRFGEGASLLWKKRGAVSILGFFWGL >cds-PLY85917.1 pep primary_assembly:Lsat_Salinas_v7:2:189989325:189991827:1 gene:gene-LSAT_2X111481 transcript:rna-gnl|WGS:NBSK|LSAT_2X111481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRLRDLQSQPGNRICVDCSQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQLRKMESGGNEKLNNFLAEYGIPKETDIIPKYNSNGASVYRDRIQALAEGKQWRDPPVVKESPIKGGSKKKPPMSGGGGAKVSNNQGVGGWDDHWGDNSFDDDGFRSADNMRRNQTVGDFRSGSGGGGAPARSRSTQSLYTQSQLEASAASKEDFFARRMAENESRPEGLPPNKGGKYVGFGSSPNNMPRSNSQGDVLSSVTQGLGKLSVVAASAAQSAANVVQAGTKEFTTKVRDGGYDHKVNETVNVVTAKTTEIGHMTWGIMRGVMALATQKVEEYAKEGQGQGPSWNQTHSRDGFYQESKGWNSNSNSNSNGRNGISKSSSGRNANSVGGWDDWDNDGYRKPTSETTNASSHKGGDSWAGWDDDKDDDDGFYQKPSNGKTPARTGGKSDWSGAGFH >cds-PLY75826.1 pep primary_assembly:Lsat_Salinas_v7:3:69855861:69858549:1 gene:gene-LSAT_3X53601 transcript:rna-gnl|WGS:NBSK|LSAT_3X53601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CRC [Source:Projected from Arabidopsis thaliana (AT1G69180) UniProtKB/TrEMBL;Acc:Q1PFF1] MNFEVQAERVASMDPPVQTAPSDQHLCYVRCNFCNTLLAVGIPCKRLLDTVTVKCGHCSNLSFLTIRPSPPDHLLQPPPLPPFQSAFSGDLKRGQSSSSSSSTSNEPTSPKAPFVVKPPEKKHRLPSAYNRFMKEEIQRIKASNPQIPHREAFSTAAKNWAGHIPNGSISGSINTGFDLSGLK >cds-PLY72081.1 pep primary_assembly:Lsat_Salinas_v7:9:197022332:197022727:-1 gene:gene-LSAT_9X121741 transcript:rna-gnl|WGS:NBSK|LSAT_9X121741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIDGECPIELIGSCRNKVQVYRSVRMPQLHTSLHFHLTPIVMINGSSRRDLLLNSQNFCRSIPAGAENPSLSRLCYRRLWGSRNRRALILGWAYYLDAFSSYPLRTWLPSVYRGHDNWYTRGASFPVLSY >cds-PLY77342.1 pep primary_assembly:Lsat_Salinas_v7:5:144082495:144089018:1 gene:gene-LSAT_5X63200 transcript:rna-gnl|WGS:NBSK|LSAT_5X63200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSQLLSPTADNKQREDADEEAPPEEQKVLVQSEEDHRHHHREARYVALDLVYSATSPCSYANVMSKKVKARKYSILGVHKDAISVDPKSSPPKPPVIYFYSRSRRRKRSDSFHGTSVYDSFRSNPNTVSAVVPKVEDVEFDGEDREVRSIGVLENSSNKKRKTSQELINLGLNSVCLDAPRLRESSRKPNRTDDASASSGRRRSKNNDNRNNDDKNVSHKNSGDGTEATETEDTNKSTTNASRTKRWVRLTFEGVDPQKFLGLECVYWPLDADWYFGRIAKYDSESHRHHVEYEDGDDEWVILSKERIKFNVSPDEIKSLELTCDLHCSETDDLDVNEMIVLAANLDDCHDIEPGDIIWAKITGHAVWPAIVLDESCVSIRRGLKKISGEKSALVQFFGTHDFARVKTKQVISFLKGLLSSYHLKCKKLDFIRSLEEAKTYLSRQRLPKGMLQLRNGVGNDIGAESEEDEGSGGSKKRCLSSTEIVQKKFKGSKSCPFIVGDLEVLKLGKMVKDVDCFDDEKSIWPLGYTATRKFPSLSDPSVFSVYKMEVLRDTCTKTQPVFRVTTDDGDQFDGPDPSSCWNKIYEKIRKIHSDSYDEGASMSFFKSGADMFGFSDPHVLKLIQGTSNSKTISGSLLSMPIGYRPVHVKWKDLDKCNVCHMDEEYANNLFLQCDKCRMMVHARCYGELEPVDGVLWLCNLCRPGAPECSPPCCLCPVIGGAMKPTTDGRWAHLACAMWIPETCLSDIKKMEPIDGLNRISKDRWKLLCSICGVPYGACIQCSNNACYVAYHPLCARAAGFCAELADADRLQMVHIDEDEENQCIRLLSFCKRHSPNPSVERVVSDERMGQISSQGSDYAPPLNSSGCARCEPYDYFGRRGRKEPEVVGAASLKRAYMENRPYLVGGFCHHESLSNVSSSHSVAHTSIFSMSEKYIYMRTTFRKRLAFGKSGIHGFGIFTKQPHKAGDMVIEYTGEIVRPPIADRREHLIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVNGDEHIIIFAKRDIAQWEELTYDYRFFSIDEQLACYCGFPSCRGVVNDIDADVQMAKLYAPRSELTDWNGE >cds-PLY66943.1 pep primary_assembly:Lsat_Salinas_v7:7:18504825:18510414:1 gene:gene-LSAT_7X14780 transcript:rna-gnl|WGS:NBSK|LSAT_7X14780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVHVARGHGGDGGGEPPRQLHTIPKASKPKRSFGRNLNLYERFRLNKKKPLPLKFDITGRLYKVVRDNYQVYKRVVSNEVAKNVPFHYPSWDDVPKDYKRKIYPTLHVNYRKINASLYDALSEGDDNKVCHICKTLPDGPLRKLTIHDDTVLHIASYHKRNKLVLQLLGMLPEDQPNILTVKNEAGKTILHSTATNNDTVEAAVEMLRRAPSLLAMTDNLGETPLFRAARYGKSKIFYFLQAEMNRRFPAKATDLMDFLQRNNKATILHVAIHSENFPLALDIAKTYPRLIGEEDGDGMTALQLLACKPSVFNNGFEANFFKRFIFIYLINPKERASRVPILKKFKKQKLKSESAKELATLLIENDTSWEESEPMPNQNRTKLHKYGGDIMPSQNHSLEIIIAPTPDSPLILATKSGCTEIVKKILQMYPQAVEHIDKDGRDILHVAIQYRRKEIYNLVVNMKYSLRRLRGKIDKQGNSIMHMVGIKVKDPKAEQDNRSPAFVLRDDLLLFSFNGKVDGVGI >cds-PLY80404.1 pep primary_assembly:Lsat_Salinas_v7:8:171966286:171966753:1 gene:gene-LSAT_8X112361 transcript:rna-gnl|WGS:NBSK|LSAT_8X112361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEQMVSSNLSKYGLGKCPSIISSSPDKPPTPLVTTKDKTVKNVQNPPPVAAKDTNVKSVQNDSKTGPPETLEAKSPPGGSNVNNHNLVYARRKSDGEHIGDKRRSSPQKGNDVVNEQSSVVNLSAMEHWNTRFVQLQNYLKQCDNSNHEVYLQG >cds-PLY73543.1 pep primary_assembly:Lsat_Salinas_v7:9:77158606:77160202:1 gene:gene-LSAT_9X62601 transcript:rna-gnl|WGS:NBSK|LSAT_9X62601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSIQILSKTTVFPATKSTLPDLKLSVSDLPMLSCHYIQKGNLFTRPPISIPDLIFLLKCGLSRVLTHFPPLAGRLTTDSHGYVYITCNDAGVKFVHANASHLSVSDVTSPVHVPDCVKGFFTFDRTVSYDGHFKPNLAVQVTELKDGVFIGFSVNHAVVDGTSLWNFINTFAEVCRGAKLVSKPPSFVRDSALISSACLKLPAGGPKVTFDEHAPLSERIFSFSRESILKLKSRTNNRKRLNFYGDCEINVKQMKDRIKISDDRVTALFGKLLRNAVVLKEETVPEPEISSFQSLCALLWRAVTRARKFPNSKTTTFRMAVNCRHRLKPELETFYFGNAIQSIPTYATAGDVLSHDLKWCAEQLNKNVLSHDDTMVCRFVKNWESDPRCFPLGNFDGAMLTMGSSPRFPMFDNDFGWGKPVAVRSGRANKFDGKISAFPGREGGGSVDLEVVLSPETMAGIESDPEFMQYVSGGC >cds-PLY82210.1 pep primary_assembly:Lsat_Salinas_v7:1:67898124:67902342:-1 gene:gene-LSAT_1X57901 transcript:rna-gnl|WGS:NBSK|LSAT_1X57901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIPAKRLAGKVALITGAASGIGECCAKLFAAHGAKVIIADVQDQLGQAVSEAIGSSNSMYIHCDITNEEEVKNTIDTAVATYGKLDIMFNNAGVADALKPRIIDNEKRDIERVLNVNVIGTFLCMKHAARVMVPQKSGSIITTSSITSHLGGMATHAYTCSKHALVGLTRNLAVELAPFGIRVNCVSPFGIATPLTADFIGLEREVFENMMNGVANLKGVTHKPDDVAYAALYLASDEAKYVTAQNMLVDGGLSYCNNSFNMFKYPEEAA >cds-PLY79801.1 pep primary_assembly:Lsat_Salinas_v7:8:17971379:17973181:1 gene:gene-LSAT_8X12580 transcript:rna-gnl|WGS:NBSK|LSAT_8X12580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLELRLVQGSLLKKVMESIKDLVNDANFDCSATGFSLQAMDSSHVALVSLLLRSEGFEHYRCDRNLSMGMNLGNMAKMLKCAGNDDIVTVKADDGGDCVTFMFESPNQDKIADFEMKLMDIDSEHLGIPEAEYEAIVRMPSAEFARICKDLSTIGDTVVISVTKEGVKFSTRGDIGTANIVLRQNTSVDKPEEATIIEMETPVSLTFALRYMNSFTKATPLASQVTVSLSSELPVVVEYKIAEMGYLRFYLAPKIEEDEEMGGGHQAEPKKQPEKKPKTEPKSNGEGAPKRRVLKVDLDEEEEETKPQVVKKSGSENGDYVEVLDVKAGTGVIEPKTEVEVMDVE >cds-PLY80298.1 pep primary_assembly:Lsat_Salinas_v7:3:207434622:207441792:-1 gene:gene-LSAT_3X122221 transcript:rna-gnl|WGS:NBSK|LSAT_3X122221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRYYVLAEIEDMFKRWKNPDVHRATWNSLKSVIWCDDVVGGWCAYSGGGGGXXXXXXXXGDRGVEGKIIAAKYARENNIPYLSICLEMQIVVIEYARSVLSLENENSTKFDPNTKNPCVIFIPEGSKTHMGGTMRLGSRRTYFQVMDSKASQFPKLNVLYECFLGVGYKIDEIVQAWNEMYEVKRWQTGVPSFRLEPLFRRRVSKLHYLMEVEHRWDFHFYLWCDLVMYGNRYYWKLFLLLRESNSDFAAQLVGFMATLLVGAPDQAH >cds-PLY70788.1 pep primary_assembly:Lsat_Salinas_v7:3:136252578:136253742:1 gene:gene-LSAT_3X90900 transcript:rna-gnl|WGS:NBSK|LSAT_3X90900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPHSAATQTRPPPPAASPLYKHNSWSPDILRDEEWLKRKDNHHLRRRLRNKSVTDEDIDELKACIELGFGFDELNDRLSSTLPALGLYYAVNKQYHDTISKSSSMSSSSSSSSSSVSSFSPTVSESDLSLPFDNPHAIFGQVDNPETMKTRLRQWAQVVACSLRQSSSPLSSSS >cds-PLY73329.1 pep primary_assembly:Lsat_Salinas_v7:8:260041718:260042820:-1 gene:gene-LSAT_8X150501 transcript:rna-gnl|WGS:NBSK|LSAT_8X150501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDWWISLVGAAVPALVAGQALRMKNRRAEEQRLKSARGREKSSDDIFVCERVCTSKRMLKKVGAFSKDPNPDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDICLKRCQSECLKLSNTSPLSS >cds-PLY94157.1 pep primary_assembly:Lsat_Salinas_v7:5:35403622:35405751:1 gene:gene-LSAT_5X17081 transcript:rna-gnl|WGS:NBSK|LSAT_5X17081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLLFVGIAVLVIIHVCLAGRRSGMNQESIGSTTLVQRSSIMSKDDINLSWYECQEDVEKGVECVVCLEGFKGGDKCRLLPNCRHTFHANCIDSWLIKTAACPIFERGSGITQESAERTTLIQRSSSMSEDDIKNLPWYDYQEDVEKGVECAVCLEGFKPGDKCRLLPNCRHTFHANCIDSWLIKTAVCPVCRTCVDTINVQI >cds-PLY91465.1 pep primary_assembly:Lsat_Salinas_v7:MU039433.1:398833:403338:-1 gene:gene-LSAT_0X26301 transcript:rna-gnl|WGS:NBSK|LSAT_0X26301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARIKPQALLQQSKEKKAPRSISIPTIALYNVVTVVMVLFLFATYRHWSQRYGKLQQWDDALKAYTAKSAQAISQHLILDATLASAGREFETRLRTVGQEVSAGRNDRNEIRAVAGGGDRRFSTTAVVVDEWSCDSSLQTFSFLFWRWSMRRTDDRGKGREYWHR >cds-PLY84025.1 pep primary_assembly:Lsat_Salinas_v7:6:186668432:186674741:1 gene:gene-LSAT_6X115761 transcript:rna-gnl|WGS:NBSK|LSAT_6X115761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTHKHVKIRFHSNLSQEEEKVWSINKIIKLLNISKDVMFKNAFYNFRFKVVRGDQKSYIIVDFLLMNPHDSMMLVQIMSKLNKKEDPSPTTYNTTFSHVKVFIILYYSLITMSGIELAKVFRKTVPRPSTSMDRDEQFGDGEALQNPKGIIFNGKNGYGQCEIKDITVGTERTSEQIQGKQEWKVSFINTCRCSQQALIVSCNGFQTVEKVDPSIFARVGNNNCIVNGGRAIAPFMTVQFLYAWDPPFIFVPISSQVQC >cds-PLY71897.1 pep primary_assembly:Lsat_Salinas_v7:8:278560646:278561017:-1 gene:gene-LSAT_8X158840 transcript:rna-gnl|WGS:NBSK|LSAT_8X158840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEVGTGSLCFLVSCAIRKSSVRLVVSLFSSLSPLSLFDVVSAIAAAGGCLAAVVITSSDANRIGSCRMYVFEYLHTAIVGLFVYYLARNQGKAAATTLGWWLLPFSATISPPPATDWWWLCL >cds-PLY90739.1 pep primary_assembly:Lsat_Salinas_v7:3:35593160:35595221:1 gene:gene-LSAT_3X27201 transcript:rna-gnl|WGS:NBSK|LSAT_3X27201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISSSDGPRAMPVIGMGTATLTACSDEVKVAVIEAIKMGYRHFDTAALYQTENPVGEAIRDALRLGLINSRSELFITTKLWCNSTERHLVIPAMKESLRNLGLEYVDLYLIHWPLKLNQEHFKVPVPKDCIGTIDIKAVWEAMEECQNLGLTKSIGVSNFSSRKIQEILSFSKIPPAVNQVEMNPLWQQKQLNQFCKENNIILTAYSPLGAIGNAWGDNRVIECDVLQDIAMSKGKTTAQISLRWLYEQGVSFVVKSFNTKRMKQNLDIFNWSLSADELNKISYIPQRKNVYLIGMMMSEHNDVMADIDDEL >cds-PLY85525.1 pep primary_assembly:Lsat_Salinas_v7:2:196626687:196631818:1 gene:gene-LSAT_2X117820 transcript:rna-gnl|WGS:NBSK|LSAT_2X117820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDPTRGFLKDVKRIVIKVGTAVVTREDGRLALGRLGALCEQIQILNSQGFEVILVSSGAVGAGRQRLRYRKLVHSSFADLQKPQVELDGKACAAVGQNGLMALYDTLFSQLDVTSAQLLVTDNDFRSPEFRKQLTETVDSLLSYKVIPVFNENDAVSTRRAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVDGLYSGPPSDPQSKLIYTYIKEKLENTITFGDKSRLGRGGMTAKVKAAVYASQAGIPVIITSGFAGDNIVRVLQGQRIGTLFHQDAHTWVSNGELNARDMAVAARESSRRLQAMSAKGRSKILLDIADALEANEKVIVHENEADISVAEDAGYETSLVSRLALKPGKVASLAKAIRVLANMEEPIGQVLKRTELSDGFILDKTSSPLGVLLVIFESRPEALVQIASLAIRTGNGLLLKGGKEARRSNAILHKIITSAIPENVGGGLIGLVTSRDEIPELLKLDDVIDLVIPRGSNKLVSQIKSSTKIPVLGHADGICHVYVDKSADMEMAKNIVLDAKTDYPAACNAMETLLVHKELMENGGVNELLIELQTKGVCINGGPRASSVLNLTPAPSFHHEYGSMNCTLEIVDDVYAAIDHIHKHGRQEKKRSYLFDVAHTDCIVTEDREVADLFLSQVDSAAVFHNASTRFSDGFRFGLGAEVGISTSRIHARGPVGVEGLLTTRWIARGSGQVVDNDKGVVYTHKDLTTTTTTHNNNNNNHKAAA >cds-PLY84278.1 pep primary_assembly:Lsat_Salinas_v7:1:45404358:45406703:1 gene:gene-LSAT_1X39361 transcript:rna-gnl|WGS:NBSK|LSAT_1X39361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFLKMRKFRKAHKPNPEKESDNNPVPVPGPEEPKKDNDDDLGKSATADVDNATEADEDDDDFIMNEVKRRLKELRRNSFMVLIPEETCPEEEEPEEEEEDEGQASSNEWRDVEAEGRQLWSCFGAFYDKYCERMLFFDRLTTQLLKEIGSLNPSTPSPRSVSKKLTSPLRCLSLKKFEPPEDETEHLQQQPENDPYLDLETAYVSQLCLTWEALHCQYSQLSQIQSENSKCYNHSAQQFQQFQVLLQRFIENEPFERGLRPEVYGQTRNSLSKLLQVPNILGQDRKEMTEEESEMVVEASDLLRIIETSILTFQLFIKMDKKKSNGVRNLFGGQNQMVTPVQQVQASLEKKKVKLKELWKKRKGGKKNQWPGTQEEVELLLGVIDVKVINRVLRMVKISKDQLFWSEEKMKKLDLSAASGKLQRDPSPILFPC >cds-PLY75836.1 pep primary_assembly:Lsat_Salinas_v7:9:195932975:195936754:-1 gene:gene-LSAT_9X119600 transcript:rna-gnl|WGS:NBSK|LSAT_9X119600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVMHAAKTNKNAFKTLITAEYVGVEVKWAEKFEMGVSNKTPEFLKMNPIGKVPVLETPDGPVFESNAIARYVARLKTDTSLLGSSPIEYGHIEQWIDFSSLEIDTNVLKWLMPRFGYANYVAPVEEAAIAGLKRALGALNTHLASHTFLVGHSVTLADIITTCNLYLGFKVMMTKTFTAEFPHVERYFWTMVNQPNFSKVIGELKQTEAVPPLPSSKKAAQPKETKPKAEPKKEEKKEAPKAETNGDEEEAPKPKPKNPLDLLPPSKMVLDDWKRLYSNTKTNFREVAIKGFWEMFDPEGYSLWFCDYKYNDENMVSFVTMNKVGGFLQRMDLARKYAFGKMLIIGNEPPFKVKGLWLFRGTEIPKFVMDECYDMELYEWTKVDLSDEAQKERVNQMIEDFEPFEGETLLDAKCFK >cds-PLY84127.1 pep primary_assembly:Lsat_Salinas_v7:6:186304300:186306211:-1 gene:gene-LSAT_6X113301 transcript:rna-gnl|WGS:NBSK|LSAT_6X113301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLSFITFTATISCFLFFGHLAWIRSGANYTSSKGLAKTAPEAPGSWPIIGHLYLLSGSQVPHKLLGSMAHKFGPIFTIKLGVNRVLVVSNAEMAKECLTTNDRVFATRPKSMATELMGYNYANFALSPHVSYWREIRKIVVLELASHHRLQMLSQIRASELKSSITDLYKDWKTNKGSSETIKVDMKQWFGNLILNMTMRVIFGNRFSHGEQKEDEFKISIRRLSELLGAFVPSDAIPWLRWMDIGGYEKKMKKTAKELDVVIEGWLEEHRKKMNSTQHVDEREEEEEAFMSALLSRVKEVKKDLPGFSTDVIVKATCLALLAAATDTTTITLTWGLALLVNNPVVLKKAQEEIEKHVGRDRMVEESDLKNLVYLQAIINETMRLYPAAPLSVPHESTQDCIVGGYTVPKGTRLLVNIWKIQHDPEIWEDPFEFIPERFFTSKKDIDIKGQHFELIPFGSGRRMCLGISFAVNALQLILANIIHGFEFKNPSSEAVDMTESPGLTNLKATPLELLIAPRILPDFNLVPP >cds-PLY92331.1 pep primary_assembly:Lsat_Salinas_v7:9:180058086:180060632:1 gene:gene-LSAT_9X110721 transcript:rna-gnl|WGS:NBSK|LSAT_9X110721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein CBSX2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G34120) UniProtKB/Swiss-Prot;Acc:Q9C5D0] MDSIILPAGCISGVVSAAGDRRRIPCTTSKTSVRQFLSTRSLPRFSDRDHSRLAAIHSVAADKTNSTPTRDGTYTVADFMTRKANLLVVEPTTTVDKALEILVEKRITGFPVVDADWNLVGVVSDYDLLALDSISGGSHSDTSLFPDVDSSWKTFNEIQKLLGKTDGKVVGDLMTPAPLVVHDTTNLEEAVRLLLETKYRRLPVVDDNGKLVGLITRGDVVRAALQIKHDIKKMQSE >cds-PLY92360.1 pep primary_assembly:Lsat_Salinas_v7:3:123883365:123884277:1 gene:gene-LSAT_3X86260 transcript:rna-gnl|WGS:NBSK|LSAT_3X86260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHKKTNLVIHIPFSWEKMPGVPKSMASPRCALVPGLSRHNLEVQRKKTLPLPPGSFREPLRSESKRMYSSEEDPFIAAIIKCTKDCDNYKGQDDIKKRFGSRVWTSKSFLSSCKHSFDVEEGHLSTRPSSFVVRGLYHMKQRRRRN >cds-PLY77439.1 pep primary_assembly:Lsat_Salinas_v7:9:108867508:108869500:1 gene:gene-LSAT_9X80920 transcript:rna-gnl|WGS:NBSK|LSAT_9X80920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPMYQPSTGGQVLDLETAVKDGILGGGVICGGVTTANVEKLDLKTMIEQLDSIEVPSVFICPISLEPMQDPVTLCTGQTYERSNILKWFSLGHFTCPTTMQELWDDSLTPNSTLNHLIHTWFSQKYLAMKKRSEDVQGRSLELLETLKKVKGQSRVQTLKDLRKIVAAHDSAKKTVVDNGGVSFISSLLGPFTSHAVGSEAIGILVNLRLSSDSISNLLQPMKISLMVDVLNEGSTETKINCVKLMEILFRDSEIIPSLSLLVGILRLVKDKRHPHGILAGLRLLKIITLQQSPENSIISIGGVPQLVEMLPFFNPECLELALYVLEILSSIPEGALALKDCSHTIPNLVKLLMKISETCTQYALSVLWEVCRLSPEESAATAVNAGLAAKLLLVIQSGCSPRVKQRSAELLKLCSLNYTATIFISKCKLTRTLQ >cds-PLY92965.1 pep primary_assembly:Lsat_Salinas_v7:5:48093716:48095765:1 gene:gene-LSAT_5X23380 transcript:rna-gnl|WGS:NBSK|LSAT_5X23380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYHQSSQHFDLEQDNANLGFGGGEPTSWLSGEDLRPSSPSHRRNLSAFSNSTATTAAGNVDRLLFNDLVEIVPLVQSLIDRKATSSYTRRGSMIYTKTPSRESMSYKMTDAKGRNAQSIPGKKRRENGGGNEQDGGADGFSMFSSNAILTKEREELTELREKVEHLQKQLLEKDEILKSAEASKNEINSVHNTLDQMKNQLAEKDLLLRSTQAQLADLKIKLADKQAAVERLQWEATTSNNKVEKLQKDLNAFQGEMSSYTLLFESLSNDNYTLSDQEYDDITPDDAHLPEIDDMDISKMEEAQEAYMASIASAKEKQDEESIAMAANARLHLQSFVLRS >cds-PLY82476.1 pep primary_assembly:Lsat_Salinas_v7:2:184400547:184403665:-1 gene:gene-LSAT_2X107701 transcript:rna-gnl|WGS:NBSK|LSAT_2X107701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLRVVLCFFSLLLSIAGLSTTGAARTTFSSTSDRRRSLLDNGLGRTPQMGWNSWNHFACNIEEKLIRETADAMVSTGLAAAGYQYINIDDCWAELNRDSKGNFVPKRDTFPSGIKALADYVHNKGLKLGIYSDAGSLTCSMQMPGSLGHEEQDAKTFASWGIDYLKYDNCNNQDISPKERYPVMTKALQNSGRPIFYSLCEWGQEDPATWAHGVGNSWRTTGDISDNWDSMTSRADENDKWAAYAKPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALAKAPLLVGCDIRSMSKETYEILSNKEVIAVNQDPLGVQGKKVKKNGDLEVWAGPLAHNKVVVILWNRGGSTSEITAYWSDIGLKSSTVVKARDLWAHSTRKSVKEHISARVESHACRMYVLTPK >cds-PLY78701.1 pep primary_assembly:Lsat_Salinas_v7:9:49065159:49067197:-1 gene:gene-LSAT_9X41561 transcript:rna-gnl|WGS:NBSK|LSAT_9X41561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRAGPPDIRDTYSLLVLNITFRTTADDLFPLFDKYGKVVDVFIPRDRRTGDSRGFAFVRYKYADEAQKAVDKLDGRVVDGREIMVQFAKYGPDAERIHKGRILEPAEKLKGRSRSRSPRPSSRHRDDYKDRRRNRSRSRDRSEHERHRGRDRYRSRSRSRSRSRSPEYRKERSRRGRYEDEKGRSRSRSPIRSRSPIRSTSPARSPARNSVSPRRSRSASPRRTPSRSRSPARRVEKDRSPTPKSVSPRGKPDSRSPSPHRSDADVSIFFFKILYLVFEFFQ >cds-PLY75035.1 pep primary_assembly:Lsat_Salinas_v7:1:50231368:50231756:1 gene:gene-LSAT_1X45641 transcript:rna-gnl|WGS:NBSK|LSAT_1X45641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMKIEGLAKAHSALEMENIVLRTQKQELAKRLDYAKTVYGWYECDGVSGSPIGVVQEPWLRPFEQQQPSNSMPRFMGFISSK >cds-PLY80209.1 pep primary_assembly:Lsat_Salinas_v7:9:191841187:191846003:1 gene:gene-LSAT_9X118280 transcript:rna-gnl|WGS:NBSK|LSAT_9X118280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTNFSKTPQLFSLIPKHHHQAFCKIVSCANNSSSSSSENKNGFKLMRQSFGDMKLRLNDFDRSSMQDALNIWVSKTTNFFSEVASPLVKNVQDKNPTKMGNAYEMDDMEEFFMAEQTVDGRTAGGDLSLAAIVSIEQFSRMNGLTGKKMQRIFKALVPQYVSNDARNLVEYCCFRFLSRDSSEIHPCLKDPAFQRLVYITMLAWEHPYANKKDSWSNIGDKASFQVHFSRKLVGEEAFVRIAPAISGLADWATVHNLFKALAGDQRSISFHAFSKYINELLKVYEGRKSYLPKGTPHISTERILCLGSSRKRPVLKWENNIAWPGKLTLTDKALYYEAIGLKGQGDVIRMDLTRPGSRVEKTRVGPFGSDLFDSAISVTSGPELKPWVLEFVDLGGEMRRDVWFAFVKEVISLYQFIRDFGPKEGDQSIHHVYGAQKGNKRATSYAINGIARLQALQFSRKLLDEPTKLVLFSYLQNAPFGEIVCQTLAVNCWGGQLTSRFTKIESSSNEGAYNSSHVFDIDGGVYLRKWMRHPSWSSSSSVAFWKNSLMKHALVLSKNLVVADKMLVEKASKIFREKYTEVEKTQATIDAAMIEGIPSNIDLFKELILPLTFIAKNFGKLRRWEEPHLTTSFLAFLYALIFRNLLSYVFPMTLIILASSMLVLKGLKEQGRLGRTFGIITIRDQPPSNTIEKIIAVKEAMRDVEKYMQNINVSLLKIRTILLAGQPQITMEVAMVLLLGSVVLLTIPFKYVLSFLVLDLFTQELEFRKEMVIKLKSFLKARWNTVPAAPVSVLPFDDKDAKSQNKETNDFPKLERTRDKQ >cds-PLY88674.1 pep primary_assembly:Lsat_Salinas_v7:5:66330025:66333202:1 gene:gene-LSAT_5X30961 transcript:rna-gnl|WGS:NBSK|LSAT_5X30961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLPLYFLLILICSNIYLVSCIPRRNYAQTRCSERDRMALLQFKKGLVDDYALLKSWRNTNTTQDCCKWRGVGCSNDTGQVIRLDLPAVWLQEVDQLIGLSGEIGSSLLSLNSLTYLDLSGNSFTRIPNFLGSLNSLQHLILSNIELTSPEIPYQLGNLSNLQTLDLSATSIVLKTTDWLSHLSSLKYLNLSYIDLSDSVGLLSNAIKLPSLVDLRLVNCLLPNNTAKSFFRSMTNLSDSFAVLDINSNYLPASEIYPWLFSFSSSLTDINLSDNELLGIIPEAFGMFKNLQTLDLTNNGLQGGIPVSFRNLGKIRELFLSGNNLNQDLPSFFSNLPQMSLQVLDLYGNQLSGSLPDFTTFTALKELYLGQNQLNGSFPQKFEKISNLSILDLADNSINGFLPNLSVFASLRELYFERNLLNGTLAEKLKPLSKLESLGASSNFFQGTISETHVANLSRLRYLDLSNNSLAIEIGSNWSATFQLETISLSSCKLGSSFPRWLKTQTNFSVLDISNTGISDSVPSWFWESLIPGIRYLNLSSNQIHGMIPDLDFISGNQPIIDMSSNNFSGNLPLFPLDIVTLKVNDNMLSGPISSLCNLTTLSLLDLSNNKLSGELPNCWNTLNNLAILNLEDNGFIGVVPESMGALEFVSMISIRGNSLTGELPASLRNCTSLLLLDLGENQLSGKIPEWLGESLSLLLVLSLQSNQFHGAIPTSLCKLEMIQILDLSVNNFSGSIPKCLNNITGMTMRDRGTLRSSFEYNAVGLVRTRLAVRARVVFKVLLQWKGRQSEYQNTLRLVVSLDLSSNRLTGEIPGEMTSLLGLIALNLSRNSLSGSIPEDIGRLGQLDFLDLSRNNLGGGIPTSLAQLSYLGFLDLSFNNLSGRIPKSTQLQSFNGSSYAGNSNLCGVPLLNVCPGDEPRSTNQDISEQESDDDRLDKGFIVSVVAGVAFGFWGFCGSLILKHSWRHAYFGFLNVIINSFLLRLELSFARLRRQTSP >cds-PLY99891.1 pep primary_assembly:Lsat_Salinas_v7:MU037942.1:8080:10088:1 gene:gene-LSAT_0X36880 transcript:rna-gnl|WGS:NBSK|LSAT_0X36880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPPSGENNVVVSSNIKLERLLSMKGGKGETSYANNSQAQAKHARSMLHLLKETLDGVHLNSPEIPFVVADLGCSSGSNTIFIVNVIIQHIIKRYEASGHSDLPEFSAFFADLPSNDFNTLFQLLPPLANQDVGSMEECLASTGHRSFFAAGVPGSFYRRLFPAKSIDVFYSAFSLHWLSQVPEIVLEKSSTTYNKGKIFIHGANKSTANAYRRQFQTDLAAFLKMRSKEMKKGGSMFIALLGRTSEDPTDQGGAGLLFGTHFQDAWNDLVQEGLITSEKRDDFNIPVYAPSLQDFKEVVEANGSFSIKKLEVFQGGSPLVVNRPDDAAEVGRALANSCRSVSGVLVDAHIGDKLGDELFLRVANRATNKAEEILENLQFFHIVASLSLK >cds-PLY76807.1 pep primary_assembly:Lsat_Salinas_v7:MU042712.1:1373240:1373915:1 gene:gene-LSAT_0X2840 transcript:rna-gnl|WGS:NBSK|LSAT_0X2840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQSELGVQFGTSLEAPHVINVESQDALGTSLEEICKVVPRGYLVFFQSYKLIDKFRSRWSEIGQWTPLNAQKPVFVGESQEEARMILSKF >cds-PLY96180.1 pep primary_assembly:Lsat_Salinas_v7:8:101989344:101995521:1 gene:gene-LSAT_8X69720 transcript:rna-gnl|WGS:NBSK|LSAT_8X69720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGIFSVSVKQRDIIAATLPVQDHWLQMSNLDLLLPPLDVGVFFCYKRSLPHEESVKIIKKSLAQALAPFYPFAGEVVQNNDGEPELLCNNRGVDFIHAHADMELRRIDLHHPDDSVERKLVPSKKRGVLSVQVTELNCGGLVIGCTFDHRIADAYSINMFLIAWAEISQSKQISSLPSFRRSMLNPRRPPVMDTFYNTLFVPLSSLPPPRSYFPAEPLISRIYYIQAKDINDLQSNSSFNGNPKRSKVVSFIAFLWKIIAECDDGFNTCKMGVVVDGRERLNEVNFDKLSLSNTNSFSMRNYFGNVLSIPYGEANCGDLKEMPLSRVADMIHEFVGPTMTEEHFRGLIDWVELHRPEPAVARIYTKMEENEGEAVVVSSGQRFPVESIDFGWGKPHFGSYHFPWGGQTGYVMPMPSVRKNGDWIVYMHMLEKHLDLVETKGRKMTDQGSTYTLDEALLAIGFGKYQGWIIAYAGLGSIAEAMEVMILSFIGPSVRSEWNLSSTQESLITTVVFAGMLIGAYSWGVISDNFGRRKGLLSIAIVTSGASLLSAFSPNYISLVILRCIGGIGLGGGPTYNSWFLEFVPIPSRGRWMVIFATFWTIGTIVEASLAWIVMPRFGWRWLLAISSLPAIAALIFYNLVPESPRYLCLKGQTTKAYNILKRAAAVNKKQLPFGILLSDQITCFDEDFDTLEDAHLLSPKTNKMVDFKTSFSTLWMLFSSSLIKTTFLLWVVFFGNAFSYYGVILLTSELTSGQSHCPSLTFSSAYGSSEDSMYRDVFITSLAELPGLLFAAFTVDRIGRKHSMELMFFIGFIFLLPLLSHQSEILTTASLFGSRMFIIGTFTIANIYAPEIYPTAVRATGVGVASSVGRVGGMICPLVAVYLVAGCHQMAAIVLFEVVIILSGVCVVFFPHETMARELVDTVSVPDSP >cds-PLY96851.1 pep primary_assembly:Lsat_Salinas_v7:2:109159569:109159868:1 gene:gene-LSAT_2X48581 transcript:rna-gnl|WGS:NBSK|LSAT_2X48581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILFPRIIQVKQILRRSLSNGSGTTKSTDIPKGYLAIYVGEQEKKRYVVPVWLLSEPAFQELLDQAEQEFGYVHQMGGLTIPCSEYTFSDVASQLGAL >cds-PLY73763.1 pep primary_assembly:Lsat_Salinas_v7:2:96281083:96282945:1 gene:gene-LSAT_2X40760 transcript:rna-gnl|WGS:NBSK|LSAT_2X40760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATDGAAVDVGGEVAEQPHRLDKKWTFWFDNQSKPKQGAGWGNNLRNGYTFDTVEEFWCLYDQVFKPSKLPGNADFHLFKAGIEPKWEDPECANGGKWTVTSSRKANLETMWFETLMALIGEQFDEADEICGVVASVRQRQDKLSLWTKNAANEAAQMSIGRKWKEIIDVTDKITYNFHDDSKTRTSKGRYSV >cds-PLY75261.1 pep primary_assembly:Lsat_Salinas_v7:7:66058962:66059402:1 gene:gene-LSAT_7X47921 transcript:rna-gnl|WGS:NBSK|LSAT_7X47921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHEQQSNHISDDYYNSDNEEAVSGNKCGCFRLFSYFNSHHHDGETAVFIHHRLGERDIGDKNSWLMNRFKRFREFSEVIAGPKWKNFIRKFSKKPKKGNSSPFQYDPESYALNFNDGVGDESDDDCSLPRSFSTRFAPHSGSMAS >cds-PLY76560.1 pep primary_assembly:Lsat_Salinas_v7:8:90766257:90767811:1 gene:gene-LSAT_8X63280 transcript:rna-gnl|WGS:NBSK|LSAT_8X63280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDDSDRSSEATQLEENTTAEDTMHHDDDDDDDQSDATPLQYSSMSPEEDSATSQSLTLAVSDLSEVPIKYEMQRDELERLKNQLQGSHQEALATVIAQAARARSRIQISNSQGQIQLNNADVAEAKQNNTIDVPRSLSASHNVKTQIDGYNWRKYGQKQVKSPQGSRSYYKCTYSNCDAKKMESCDEYNSVTKLVYKGQHKHDPPTKIVSNGSKILSTPKRKSISTPGLKLSNSQQNQRVKKSKSSGESHDCVLKNPKKPKFIVHAADDVEISADGYRWRKYGQKMVKGNPHPRNYYKCTCAGCGVTKHIEKAIDGTSNIVITYKGVHDHDKPVPKKRRGHPHPHAHAHAHGVVTFSPSSNMLSTQVSGAESVKRIESTPTLVSVGFEIK >cds-PLY81211.1 pep primary_assembly:Lsat_Salinas_v7:5:339498654:339500079:1 gene:gene-LSAT_5X190641 transcript:rna-gnl|WGS:NBSK|LSAT_5X190641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHIIAHLFMQDPKNKSATTRKPWYQRAMEIASLWKVTYPKSTKIQPPNPNPTLRKNLSTATRNNNNQNTPNRQKLRKSTSLKVATSFTRVCLCVPISSYTEVFQAEVAVAPRRSNTYPRSKPFPNCVVQDRPRMSTEGRRIFRGKSLTDDVLMRRFVVEEEAMMQVRRRNEMEVIRRRNVKRRRMLGPSPLSRMVLAEEEEEQEQDVF >cds-PLY66039.1 pep primary_assembly:Lsat_Salinas_v7:4:284321766:284324150:1 gene:gene-LSAT_4X144120 transcript:rna-gnl|WGS:NBSK|LSAT_4X144120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLIISLLIFSFFFFLGTPLSSNYYQNTCPNVESIIKKVVSDATRNDKTAPAGLLRMHFHDCFIRGCDGSVLLNSKGKNTAEKDGPPNVSLHAFYVIDNAKKAVESVCPGVVSCADILALAARDAIVLAGGPSWDVPKGRKDGRISKASDTSQLPAPTFNISQLQQSFSQRGLSLEDLAALSGGHTLGFSHCSSFNNRIHNFNSTLDIDPSLQPSFASSLRRFCTTKNNVNNAGVAMDPSSTSFDNTYYKLIFQHKVLFSSDNALLSTPKTKNLVSNFASSKDAFFKAFVKSMIKMSSINGGQEIRKNCRFVN >cds-PLY78748.1 pep primary_assembly:Lsat_Salinas_v7:9:51452647:51466615:1 gene:gene-LSAT_9X45981 transcript:rna-gnl|WGS:NBSK|LSAT_9X45981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNLNEMSSDSTSQLVDLIFTTLYIYDDRGSRKAVDDLIIKSLSEVVFMKTFAAALVQVMDKQLKVQSHVGCSRLMSWSCILLCKTQFISASKNAFSRVSAAQASLLQISIQGSSHERKACRKAFIHSFLESPDIFSLYMEELKGGRISYKNCPEMLCVMLDFSTSKPSLFDQWKPVYLDMYVQSVLNAKDKPSKALSEAFRSLFFRFSHEDFKNVIVPSSVKMLKRNPELVLESIGVLLRHVNLDLSKYAVEILSVVLSQARHADEGRRVAALDIVRCLSQKSSNPDAAESMFGSVKSIIGGSEGRLAFPYQRVGMINAIQELSTCPEGKYLSSLSQSVCGLLLTTYKDDGNEEVKLACLTALASWVARSTDAIRPEVVSFIASGLKEKESLRRGYLRCLRVICKNADAVLPISSLLVPLIQLVKTGFTKAAQRLDGIYALLIVMKVAASDIKADETVSKEKVLSLISQNEPSVVPVAMVSKLSVEDCETSIELLETLFMDHAHRVFETLNVRSLMQLLLMFLCHSSWDVRRAAYGCTKKILSAAPKLFETLLVEYSEHLTVVGEKVLAKLSETENSLDAQVGFVPSVEVLVKALMVVSSGVMAAAPSSCIRLIFCSHHPCLVGTAKKDAVWKRLQKCLRAYGFDVIEQIMADVSHLCQGLLGPMGLMSTSHLEQEAAVLALSTLMTIAPAEVYAEFEKHLKTFPDRGAHDLLSENDIQIFRTPEGMLSTEQGVYIAESVASNNLKQAKGRFKTYENNDNMHVQENGNSNSSGRRDTGGKERSGVGKKDAGKPTKKPGNKYKQKTSKEEAREVQLNEEANVREKVMNIQKNLSLLLKALGAMAIANPVFTHSELLSLVKFVNPLLQSPIVNEVAYETMVKLAKCLAPPLSNWGLDIATVLRLIATTEPHVSWDQLSSLGEGGHSDKSLSLFERVMHGLSVSCKYGPLPVDSFSFVFPIMERILSSPKKTKLHGDVLRILFLHMDPILPLPRLKMLSVLYHVLGAIPSYQGSVGPALNELCLGLQSEEVAPALSGVYAKDLHVRLACLNAAKCIPAISSRSVPQDVEIATSIWIALHDPEKSVAEVAEDLWDRYDHEFGTDYSGLFRALSHVNYNVRVAASDALAAVLDEYPDTLQESLATLFSLYIRDSGVGEDMIDSGWLGRQGIALALHAAADVLRTKDLPVVMTFLISRALADTNADVRGRMINAGIMIIDKHGKDNVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLSKDDPKVHAVVEKLLEVINTPSEAVQRAVSSCLSPLMKSKQEDAMALVTRLLDQLMKSEKYGERRGAAFGLAGVIKGFGISSLKKYGVATVLREGLANRNSAKCREGSLLAFECLCEKLGKLFEPYVIYLLPLLLVSFSDQVVAVREAAECAARAMMSQLTAQGMKLLLPSLLKGLEDKAWRTKQSSVQLLGSMAYCAPQQLSQCLPRIVPKLTEVLTDTHPKVQSAGQTALQQVGSVIKNPEIASLVPTLLLGLTDPNDHTKYSLDILLQFLFCRLFLDLSQTTFINSIDAPSLALLVPIVHRGLRERSAETKKKAAQIAGNMCSLVTDPKDMLPYIGLLLPEIKKVLVDPIPEVRSVAARAVGSLIRGMGEENFPDLVPWLLDTLKSDGSNVERSGAAQGLSEVVAALGTEYFEHLLPDIIRNCSHQRAPVRDGYLTLFKYLPRSLGVQFQNYLQQVLPAILDGLADENESVREAALGAGHILVEHYATTSLPLLLPAVEDGIFNDNWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAQGRAIIEVLGREKRNEVLAALYMVRTDVSLSVRQAALHVWKTIVVNTPKTLKEIMPVLMNTLISSLASSSSERRQVAGRALGELVRKLGERVLPLVIPILSKGLKDPDSSRRQGVCIGLSEVMASAGRSQLLSFMDDLIPTIRTALCDSEVEVRESAGLAFSTLYKSAGMQAIDEIVPTLLRALEDDDMADTALDGLKQILSVRTAAVLPHILPKLVHLPLSAFNAHAIGAVAEVAGAGLNVHLSTVLPALLSAMGDDDHAEVQNLAKKAAETVVLVIDDEGTEYLISELLKGVGDNQASTRRSSAYLLGYFFKNSKLYLVDEAPNMISTLIILLSDTDSATVSAAWEALSRVVGSVPKEVLPSYIKLVRDAVSTSRDKERRKKKGGPIVIPGLCLPKALQPLLPIYLQGLISGSAELREQAAQGLGELIEVTSEKALKEFVIPITGPLIRIIGDRFPWQVKSAILSTLTIIIAKGGMALKPFLPQLQTTFVKCLQDNTRTVRSSAALALGKLSALSTRVDPLVGDLLSNLQTSEGGVREAILVALKGVVKHAGKSVSGPVKTRVFDLLQELIYNDDDQIRSSSARILGIISEYLEDDQISELLDELPENASSPTWTTRHGSLLTISSMLRHIPTVISASPSFTVVTDCLKNSLNDEKFPVRETSTKALGRLLLHQIQKDPSNSNAHKATLVSIASAMQDDSSEVRRRALFALKAVAKANPSMITIHVTIYGPVLAECLKDGSTPVRLAAERCALHALQLTKGTENVQAAQKYITGLDARRISKLSEYSDDSDDSEDESASG >cds-PLY89947.1 pep primary_assembly:Lsat_Salinas_v7:2:192781326:192782835:-1 gene:gene-LSAT_2X114861 transcript:rna-gnl|WGS:NBSK|LSAT_2X114861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKDVQEQGSFSAKDYHDPPPAPLIDPGELTKWSFYRALIAEFIATLLFLYITVLTVIGYKSQTDPALNLDQCGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLIRAIMYMVAQCLGAICGVGLVKAFHKSYYMRYGGGANELADGYNKGTGLGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNKAKAWDDQWIFWVGPFIGAAIAAFYHQFILRAGAIKALGSFRSTTNN >cds-PLY77205.1 pep primary_assembly:Lsat_Salinas_v7:8:26773481:26775826:1 gene:gene-LSAT_8X21161 transcript:rna-gnl|WGS:NBSK|LSAT_8X21161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT5G13520) UniProtKB/Swiss-Prot;Acc:Q9FY49] MAPIDPHSFTDSTHPLTTHISLSLYFDFSTSTISSSALLTLCAPHTGDLLLDTRSLTIKSVIDPINNTPLPFCLSSDVDPIKGQPLTVSLNNNSKILITFVTSPSSSALQWLTPPQTFNKKFPFVYTQCQSIHARSIFPCQDTPASRVRYNAKLNIPHQLSAVMSARHVERRAPLAGGDSSFACDESLWCAEGRVVEEFTMEQPIPPYLFAFAVGEIGFREVGPRTKVFAESVPAVLDAAAKEFAGTEDMIRVGEKLFGDYDWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDASGAQVVAHELAHSWTGNLITNKTNDHFWLNEGFTTYAERRIVEAVQGEDIAALNIGIGWKGLVDEMERFKDNVEFTKLLTNQEGVDPDDVYSQVPYEKGFQFLWRIERQIGRPAFDEFLKKYIAAFKFQSIDTYMFLDFLKVNVPGIEKEIDLKIWTEGTGIPFDAMEPVSNIYKKIVSLANEFKQGRMPSEEEVANWHGQEWELYLENLPKSVEASQVTALDSRYRLSESKDYEVKVAFLQLAIASRCSEYYNIVEKTLKEVGRMKYLRPLYTALVQGNVKKEEEKIFAQRVFSEARETYHPIAQGVVESIFSKHL >cds-PLY61884.1 pep primary_assembly:Lsat_Salinas_v7:6:61300152:61304137:1 gene:gene-LSAT_6X45461 transcript:rna-gnl|WGS:NBSK|LSAT_6X45461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVPLVLRQPLYQMKIYFLSSMRFEVSYFDCFHAGNLTVPNQMNNLRTESHVAQKSRRHKLRFQQNSDDPNHQHLSQDPHFEVHRPRYGSISYDPNVFPSEMLNSVASNPQLLIPPNHAFVSHEQDSGSGSSPANFPHSVSSKVIGDNSQNGANWKSVVASQNQLQVSSDWNVVSYSNVASMSIDQSNSNSPMVVDGGATSKSSYGFSHDLQNIHLGDQKNYGQVPAFSSTPYYHNTLQEIVTSATVGTHVHQGKNFLEINQPIPCWMNASEQLGFIANRSNDHREGTNENNCITQGLSLSLSSVPQAKSEFPNLHSSPKPLKNDLLTNSDPKQLTGISSFAHRNVGPLGPFTGYATILKNSKYLKPAQELLNDNCDVGCHELVQACDNTHKILEEEMSRVSTESGGSGASSSTIYGSSEHISGRSSSLSESYRPEFHQKKAKLLYMQEEVCRRYKQYNQQMQMVISSFETVAGLSSATPYVSLALKSVSRHFHFVKSAISEQLTQMKKTFEDLCSPTTGTNDSLSQLKSIDHNSQRHGKSSGGSAIFGNQQPVWRPQRGLPERAVSVLKAWLFDHFLHPYPSDADKHMLATQTGLTRNQVSNWFINARVRIWKPMVEEIHTLETKGLVDSNTNTNNPPTDDQDTSRMDMSSLTNKQQPECSRNSGSLIMINGQNEPNGQLWEHEKRSRPEFQIPQTTMDRSFTNIMPYPRTTFEAGGVGPVSLTLGLRQNAEHVQQLQQHEHQLRQRFGGQLIHDFVG >cds-PLY84100.1 pep primary_assembly:Lsat_Salinas_v7:6:188719831:188721765:1 gene:gene-LSAT_6X116901 transcript:rna-gnl|WGS:NBSK|LSAT_6X116901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGKEEKGGTQDAAERIKAATLSAAKGLSRSQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKQVRLGERKDLKTSTPTATNCQKCFQPGHWTYECKNERVYISRPSRTQQLKNPKLRMRAPVSYDLDNPEVGREEKGDGNVKKKKSKSGDKSKKRKHGSRSESESESGSGSGSDSEASVFSESESGTESGSSEGSDSSDSSDSDSGDDRRRRSKKDKKKKAKEPRKRKHRRHSSSDSSESESESESESESDSDDSRSRRKGKRHSRKH >cds-PLY65467.1 pep primary_assembly:Lsat_Salinas_v7:9:116013226:116015244:-1 gene:gene-LSAT_9X80701 transcript:rna-gnl|WGS:NBSK|LSAT_9X80701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANEYQESLKASFFIVETNTISVLHITKIVLDFGKKAIDSVSQDGSNLKSVLSVDITGIGMCLTFNSVQSLLSTALMFKALLKSPSPTVKTSSSSSMQSEAARPNKSSGKGIQLVKFNLERCSVNLCSDVSLDNVIVDDPKRVNYGSQGGRVLISVLPDGTPRTAKVASTASDDCKTVKYSIGLEIIHLGLSINKEKQSTQVDIQRIRSAYREIMEDDSFGTKVSLFDMQKAKFVRRAGGLKEVSVCSLFSATTITARWEPDVQLALTELGLRLKLLVHNHKHQEQQDLTTDKCSSSSSIDKEIKKEESVKKKESLFAIDVEMLTVTAEAGDGVEMMIQVQSIFSENARIGVLLEGLMLSFNAARVFKSGRMQLSRIPNASDPAVKWDYVIQGLDMHICLPFRLQLRALDDSFEEMLRTLKLVAAAQEKIIFPFKKKESESGKPKKPSSSKLGRVKLYIRKLTAEIEEEPLQGWLDEHYHLRKNDAREMAVRLNFLDDLLSKTTQSPAHVPPVPVCDSDDDGQDKTTSTSTCVYDASSLEKMREELYKNSFRSYYEACQALVISQGSGACQEGFESGFKFSTSRTSLFSIIGTALDVTLTEIEGGEAGMIQLVQKLDPVARESNIPFARVYGCNLNLQAGSLVAQLRDYTYPLLAATFGKCEGRLVLAQQVK >cds-PLY92035.1 pep primary_assembly:Lsat_Salinas_v7:8:237893599:237895693:1 gene:gene-LSAT_8X143440 transcript:rna-gnl|WGS:NBSK|LSAT_8X143440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKALIGNVRKPLTTLELIDDLQRLGIAYHFRYEISYLLEKIYHNFYHTHDKWNSMNLNLKALGFRLMRQHGYYVTEEIFCNFKDHIENLKPHLYEDMICMLNLYEASYHSFENESILDHVRDFTTIYLKDNFEYIPENLSSLVTHALELPLHWRVPRVEAIWYIEEYEKRNSMNPTMVELAKLDFNMVQAIHLEDLKHSSRWWRDICWEKKLSFARDRLVENLLWAVGINYLPHFSEERKTLLTVGALITTLDDVYDVYGTLDELYQFTDAINKWDINLVDELPDYMKICFHGFYNSMNHISYNALTQTGFFILPYLKKTWADLCNSYIVEVRWHHSGHTPTLEEYLENGYKSVGAPVILMHLSFLTSIGATEEILQGIEGAENIVRYSSLILRLADDLGTSSDEIARGDNPKSIQCYMHETGATEEEARKYIELLINKTWKKLNKARGGAKCRFLWEFSNGATNLARVAQFMYSNGDGYGRPDLIKSQVISLFFNPILAIE >cds-PLY80665.1 pep primary_assembly:Lsat_Salinas_v7:5:246312076:246312884:1 gene:gene-LSAT_5X121881 transcript:rna-gnl|WGS:NBSK|LSAT_5X121881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQIRENGKVKVNDDDDDNNNNGESERFCINDHLDLLIEVLKRLDGRSLGAAACVCRQWCSIARNDSLWEHLCFRHVSPPPIGVRPVVSALGGYRRLYMVCVRPVLSRLKRRRIGGESEVVRRVWNQNEVELSLSLFCVEYYERLLVGGGGGRVAGDSPASSLKFLCMPVNV >cds-PLY73062.1 pep primary_assembly:Lsat_Salinas_v7:2:30220143:30220766:1 gene:gene-LSAT_2X12041 transcript:rna-gnl|WGS:NBSK|LSAT_2X12041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEWTKKTLLIGEAHSLDHIANLPNHTFTHETTKYLGGLKLGIKFGSSKEASEFLEDRSRWHEWFKWLAMDMNKEVQYERLAWLKITGVRLRYWDTDNFSTVASRFGKVIIPFESIFDKRDLSMGKVDVITSMKNCINEEVKICVDGVVYGVGVIEYTDDWSPFKPCQFDKVEDVSELEDSENDNEDNGVSETWISEDDNDLEEGEF >cds-PLY83631.1 pep primary_assembly:Lsat_Salinas_v7:4:44190458:44192922:-1 gene:gene-LSAT_4X30541 transcript:rna-gnl|WGS:NBSK|LSAT_4X30541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLAEKLSTTSTTMASSVSQYSFFSSSIKSPIETTPRRKIALIKAQKDSSPSSSESQPPDPVKLALARAKAYKKSIQSNPTPKISQNQNPVSEIAEAGGNNDGSLARVTMEGAKEYKEKNRGIQQRKSEVLTNEVVDKKDRPTVSAIDFVGLGFADKKEGRGLPAGLIPISDSFSSGDLPDVEIIVGDISRFEKKKKNTESNTTTEEEEDSELYKPKVSTWGVFPRPNNISKTYGGGKTIRPGDVLETAESKAAKDARTKKMIAAYKRQMGLNIDPKLKAECEKDLNDGDSLMEIGKLKDAIPFYEKVMDKLVYQSELHGLAALQWSICLDSLNRSDEARVMYEKLQSHPNVSVSKKARQFVFSFQAMEMMKVRSSSASKRKTGYQNFFDAFVENKNNLSLNETEVEEDAAFQALPYVIFLVSPILMILVIAVQKRLV >cds-PLY87097.1 pep primary_assembly:Lsat_Salinas_v7:5:256333751:256337715:-1 gene:gene-LSAT_5X132081 transcript:rna-gnl|WGS:NBSK|LSAT_5X132081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGTHLHGTLHATIYEVDQIHGYGGGRNLFGKLMSNIEETVGFGKGTPKMYATIDLDKCRVGRTRLLENEPENPKWNESFHIYCAHLASDVIFTVKDDNPIGATLIGRAYIPIEEVLDGEEVDRWVEILDDEKNPIESGSKIHVKLHYFDVTQDRNWDHGIKSSKYPGVPYTFFSQRQGCRVSLYQDAHVPDNFIPKIPLSNGKYYEPQRCWEDVFDAITNAKHFIYITGWSVYTEISLIRDSRRQKEGGDVILGELLKKKASEGVKVLMLVWDDRTSVDVLKKDGLMATHDEDTENFFNGCEVNCVLCPRNPDDGGSFIQDLQISTMFTHHQKIIVMDAEMPNGDTERRRVVSFVGGLDLCDGRYDTPFHSLFRTLDTAHSGDFHQPNYVDGSITKGGPREPWHDIHSRLEGQIAWDVLFNFEQRWKKQGGKNILVNFRELDDIIIPPSPVMLPDDEETWNVQLFRSIDGGAAFGFPETPEEAAKSGLVSGKDNIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSFGWNCDDIKVEDVGALHLIPKELSLKIASKINAGEKFTVYVVVPMWPEGIPESASVQAILDWQRRTMEMMYKDIIQALQNKGLEDDPRDYLTFFCLGNREMKKDGEYEPSEAPEPESNYHKAQQARRFMIYVHAKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPHHLATRTPARGEVHGFRMALWYEHLGMLDDSFQHPENIECVKKVNQIADKYWDLYADETLETDLPGHLLRYPVGVTSEGDVTELPGAEFFPDTNARVLGVKSDYLPSILTT >cds-PLY77299.1 pep primary_assembly:Lsat_Salinas_v7:3:213599441:213600908:1 gene:gene-LSAT_3X125601 transcript:rna-gnl|WGS:NBSK|LSAT_3X125601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFCNCNSFLQLLSLILTLHLSISPTTQQQLAAGDLNALHQIMDTLTDVKNGGFFSTWNFSSPENPCSSFAGVTCSVVNDKLRVTALLLGNGFSDSPGLAGTISAIGIYDLTELTQLILYPGIVTGFIPSQLGRRLKNLRVVSLTNNRLTGTIPETISELQNLHTLDLSHNKLTGVIPPTLASALPELKVLVLAYNNLAGEIPEFSDESQLIHLDFRNNMLSGTLPETMPATLRYLSLSSNGLWGPLNSLPSSNLVYLDLSMNKFSGPIPDSFFSSSLSSMYLQRNNLSGELPPPSPFFESYGPASIVDLSHNFLSGDIPNFLESVETLFLNNNHFTGKVPEEYVRNVFAGAMRTLYLQHNYISSFPVENPRDMVLPDGVALCLSYNCMVPPTVGLAACPASAGGAFSRPFRQCSVFNIGNSIG >cds-PLY65731.1 pep primary_assembly:Lsat_Salinas_v7:5:269004375:269009106:-1 gene:gene-LSAT_5X139861 transcript:rna-gnl|WGS:NBSK|LSAT_5X139861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRATRLLYPVSPMVYAVISNPGIVDNPDVPSYQPHVHGRCDPPALIPLQMNGIGIEVDCYLDTAFVTMTGSWRIHCVMGSAKCDCRLAVPMGLEGSILGVEVEVTNKSYKTQLVVMDEKGETQTLAKPEDGGFLKPDIFTLTIPQVDGGSNLSFKVKWSQKLSYKNGDFKLEVPFSFPEYVTPAGKKLSKKEKIQLNVNIGLGTEVVCKTNSHPLKEKKREVGKLEFLYEADVLSWSRNDFEFTYSVPTSSAFGGVFLQSPSSLSVDQKEMFSLYLFPGPDHSKKAMKKEVLFVVDISGSMKGRTIEATKSAIVTALSKLDQKDSFSIMAFNDQTFLFSSTLELATKEALDNANEWIGMNFIPGGGTNMSIALDKAVEMLSGSKHSVPIIFFITDGAVENERTICEVMMKKLRNEGSDLCPRIYTFGIGSFCNQYFLRMLAMIGRGHYAFASDADLIEAQMDILFSRAASTVLLNIAIDGLDDLDSLEVYPSVIPDIRSEGPLIIFGSYRGGFPDMLKVKGLFTDMSSFTVDLKVQRSKDIPLDKILAKQQVEQYTTQAWFSQDKKLEEKVAKISMQTGVVSEHTRMVLLETVQEKHATSSSVKHGKAQKTEVVEKTEIIKMLQHLGVGYGDLVATNENTPPGYEPKLPDQAEMLVRAAGNCCVNLCGKCCCLCCINVCSKMNDQCAIVVTQLCGALAFLGCFACCEMCCGGD >cds-PLY65889.1 pep primary_assembly:Lsat_Salinas_v7:3:108406602:108407971:1 gene:gene-LSAT_3X79400 transcript:rna-gnl|WGS:NBSK|LSAT_3X79400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLCGTPFSPAENASDRCCVSPPXXXXXXXXXXXXXXDPLTAMPAACAVEMIHTMSLMHDDLPCMDNDDFRRGKPTNHIVYGEDVAVLAGDALLSFSFEHIATATEGVSSDRILRAIGELAKCIGSEGLVAGQVVDICSEGADVGLDHLEFIHLHKTAALLEGSVVLGAIMGGGSDEEIEKLRKFARSIGLLFQVVDDILDVTKSSEELGKTAGKDLVADKTTYPKLLGIEKSREFAEKLNKEAQEQLLEFDQIKAAPLIALANYIAYREN >cds-PLY87200.1 pep primary_assembly:Lsat_Salinas_v7:4:309097621:309098946:1 gene:gene-LSAT_4X154841 transcript:rna-gnl|WGS:NBSK|LSAT_4X154841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRINDHFQILQIKTCELKVNIHCYGCKEKVKKILRKIEGVYSVDIDSDQQKVKVSGDVDSTTLINKLVKSGKHAELWPTSDENLNENQQLSSFINGGNNQNQMQNLIASLNAPKSQRMLTPPGYHRTGLEEQMAFERYLKHGMDMENNHRHIGWVDRGNGSLMGENSSGFIDLEGSQLHGGFGGSFNGGIMPTFHDHGSSLPMMNRNDQSVHSGMGNVMVHDNMYMHQPQIMNHAYPVFHHAHRPHYY >cds-PLY92563.1 pep primary_assembly:Lsat_Salinas_v7:7:161893444:161894082:1 gene:gene-LSAT_7X93960 transcript:rna-gnl|WGS:NBSK|LSAT_7X93960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAFLLLTLAFAVVLLITSEVAAATELAENTDSEYKPGGHGEHIVGGRMGGHNKGGMRKDDPVYGGKGCRHGCCSGKYSKVKGGCKCCKTFVEAAAYKQTQN >cds-PLY63909.1 pep primary_assembly:Lsat_Salinas_v7:5:127497315:127498541:-1 gene:gene-LSAT_5X57041 transcript:rna-gnl|WGS:NBSK|LSAT_5X57041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLNFQSESLQVKSKLFCIDFSQLIEMEDFGDMEPIVIDNGTRMMKMKIEICEDVLFFY >cds-PLY79205.1 pep primary_assembly:Lsat_Salinas_v7:5:125890995:125891288:1 gene:gene-LSAT_5X54681 transcript:rna-gnl|WGS:NBSK|LSAT_5X54681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLEPELSVSLLIDRLMEMPFLSGFTTTRPESNSSRDSEHPDHESTNSLHHQGSSLDRIILISPVTQGIVVIGGGTTIGFESLMNDLMRKDGQPPAP >cds-PLY74999.1 pep primary_assembly:Lsat_Salinas_v7:1:111747229:111752076:-1 gene:gene-LSAT_1X90600 transcript:rna-gnl|WGS:NBSK|LSAT_1X90600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSASLLHYRTPPVINLRRSGKLGSSPSNSNPNSPSSSSSSTCSSSSSSSWPCCQGFSWTFSNCFGIKHLSLRAQAMSTTQGNFTSPRSANSVKEEHDHLLVLVHGIYASPSDWRYVEAELKRRLGRHFLIYASSANTYSKTFAGIDGAGKRLADEVKQIVKSTDSLKKISFLAHSLGGLIARYAVAALYTCSNNNNNNNNKNSNNNNNTSSSYERPNGTIAGLEAINFITLATPHLGVRGNKQLPFLLGVPILERIAAPMAPIFVGRTGSQLFLTDGRPDKPPLLLRMTTDCDEGKYMSALGAFRHRILYANASYDHMVGRRTSSIRRESELVKPPLQFLDGYKHVVDVEYCPPVLSTGASFPPEAARAKEAAQNVPNPQNTLEYHEILEEEMICGLQQLGWKKVDVSFHSSFWPFFAHNNIHVKDEWFHKAGAGVVSHVADTINQQEKQHQSSSFISASL >cds-PLY81878.1 pep primary_assembly:Lsat_Salinas_v7:8:122911788:122913833:-1 gene:gene-LSAT_8X85220 transcript:rna-gnl|WGS:NBSK|LSAT_8X85220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATEIRSFDLINKTQIPSLGLGTWQSEPGLVGQAVTAAVKAGYRHIDCAQIYGNEKEIGLALKKLFEDGVVAREDLWITSKLWCNNHAPEDVPIALEKTLNDLQIEHLDLYLIHWPVRTKKGTAGFKPENLLKTDIHTTWKAMEALFDIGKTRGLGVSNFSSKKLGDLLKVARIPPVVNQVECHPSWHQTKLREFCKSNGVHLSGYSPLGSPGTKWLKSNVLEHPILTSVADKLGKTPAQVAIRWGLQMGHSVLPKSTNEARIKENFAVSDWLIPDDLFTELSKIEQARFLLFALFD >cds-PLY96117.1 pep primary_assembly:Lsat_Salinas_v7:3:99710386:99711125:1 gene:gene-LSAT_3X73441 transcript:rna-gnl|WGS:NBSK|LSAT_3X73441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPLHPLSDEPISASNRLNPSHLHWKNSDSCLCFFLPHTGMCDNGYSTAGEDDEKKKGFRYVCLSGEDDGGVMMGFCDGGIRVALGGGG >cds-PLY91207.1 pep primary_assembly:Lsat_Salinas_v7:3:75664161:75666342:-1 gene:gene-LSAT_3X58921 transcript:rna-gnl|WGS:NBSK|LSAT_3X58921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTTTPVNCNYTHNVPVIVFSFGLIGNLFHEISEIVIPLFITARHFGSSVHFMVTDYQPWFAHKYNRILTQLSRYQVIDTTLNASDHCFPGAVVGLKYHGNLALNPDSIPRGHTMLEFKELLIFLSQGFLRAVRVILVQIVFVF >cds-PLY79147.1 pep primary_assembly:Lsat_Salinas_v7:9:90580210:90583460:-1 gene:gene-LSAT_9X70180 transcript:rna-gnl|WGS:NBSK|LSAT_9X70180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECLRLLILSLALASLSPATSLSHNDPKFPSTQARKLIRSLNLFPKHSINIVDRDVTNRSSIPSSKIVEKPFKFPNFVDADSVTIEDLGHHAGYYQIEHSHDAQMFYFYFESRNSEKDPVVIWLTGGPGCSSELALFYENGPFKIGDNLALVWNEYGWDQASNLIYVDQPTGTGFSYSSDIRDIRYDEKGVSDDLYDFLQAFFTEHPELVDNDFYITGESYAGHYIPAVASRVHKGNKNKEGIHINLKGFAIGNGLTDPAIQYKAYTDYALDMGIITESQSKHINLIVPVCTDGTVSCVAAYLVCNSIFISITSIASGKINYYDIRKECIGSLCYDFSDMETLLNKKSVREALGVGDVEFVSCSTSVYLAMLMDWMRNLETGIPALLEDGIKLLVYAGEYDLICNWLGNSRWVHAMEWSGTKEFGASPEIPFDVSGSEAGLLKSYGPLSFLKVHDAGHMVPMDQPKAALEMLKRWTEDSLSKTPMEPISLVSSI >cds-PLY81188.1 pep primary_assembly:Lsat_Salinas_v7:2:191781414:191785722:-1 gene:gene-LSAT_2X114981 transcript:rna-gnl|WGS:NBSK|LSAT_2X114981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYQLGFFSPGKSMNRYLGIWYKNKSPQTVVWVANREKPITDLSGVFRVHTNGSLLVIAGSNNNIVWSSNPGPISPTNVNPVLAQLLSTGNLVVRTTGEESFIWQSFDHPRDTFLPGMKLGKDLVSGLDRRWTSWKSLDDPSPGSYVSFMDTNGFPQVLFTKVQDSVPYLRFGPWNGLTFNGMPSHTSNSLVTHKFVLNDKEVYYEYTLVNSSVVTPRIYFTPEGVLLRLNWIERTQMWFLYWNTNIDMCSSYGLCGSYGRCNPKNSPACSCMEGFEPRNPDEWSASEWSSGCRRRTALSCPNGDGFRVFKNVKMPDTRRSWYNRSMTLGECETACKRNCSCTAYANIDIRINGSGCLLWFDHLMDIRTVDHECQDLYVRMAVSDLNITIPSIPQSTSKPAGSNKKKQKFVPVVSVLSILVMVILILAILYGTWRRKKRSQVKLPDKPVETIEEKYIMESQDGDTELLSFSLSKISKSTNNFANDKKLGEGGFGPVYKGVLDDGQEIAVKRLSKTSRQGLGEFKNELKFIAKLQHRNLVKLLGYCVQRNESMLIYEYMPNKSLDSFIFDVFSFGVLVLEIVSGEKNRGFSYENGSDNLLAHAWRLFEEGKAMELLGMHMRNSCVASEVVQSINIGLLCVQHHANDRPIMSFVVLMFGEEGALPRPKKPAFFAKGSVPQCYLVFGNDITMTTLEAR >cds-PLY62935.1 pep primary_assembly:Lsat_Salinas_v7:2:212221431:212224738:-1 gene:gene-LSAT_2X132320 transcript:rna-gnl|WGS:NBSK|LSAT_2X132320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQSRVILFSFPXFRVISISSRTVAPLKSRSRNSMVNHHQHSTSLFVPEVWGCYRKIRCRGTEATFSWDIKPDNFLMSLGRRANQVYAIDFGLAKKYRDSSTHRHIPYRENKNLTGTARYASMNPSLMEHKRMVQPSSFDINSNLVDESNSVFVNPASEQYWTRNMQDNTSEQLTSGIMPEQLSLYYCDPQGEFQGPFHGVDIISY >cds-PLY94696.1 pep primary_assembly:Lsat_Salinas_v7:6:20168889:20171869:-1 gene:gene-LSAT_6X15201 transcript:rna-gnl|WGS:NBSK|LSAT_6X15201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAARKRGASKQPPPPTESHPKTSESAATSTDPQITPSKPSKIIFFSSIFFLPYLYLIFYHYRIETELKKSILINASLSFAGFFITLMMIPVASRYVLKRNLFGYDINKKGTPQGLVKVPESLGIAVGIVFLVVAILFQYFNFTSDSNWLVEYNAALASICFMILLGFVDDVLDIPWRVKLLLPSIAALPLLMAYAGHTTIMIPKPLVQYIGTEILDLGWIYKLYMGLLAVFCTNSINIHAGINGLEVGQTVVIAFAILIHNIMQIGASSNPETKQAHAFSIYLVQPLLATSLALLSYNWYPSSVFVGDTYTYFAGMTMAVVGILGHYSETLLIFFLPQIINFLLSLPQLAGIIPCPRHRLPRFDPGTGLLTGTKDGTLVNVSLRIFGRRSEKSLCILLLILQGVGCCFCFFLRWILTGWYK >cds-PLY72723.1 pep primary_assembly:Lsat_Salinas_v7:6:27639784:27644143:1 gene:gene-LSAT_6X21320 transcript:rna-gnl|WGS:NBSK|LSAT_6X21320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGILSLCCFLVFSIHKLVDAQITTESNEVIAIKKVIDYWGLSSRVNLSIDPCTRDASWATENANPRIVCDCSNNVCHITHLKLYALDISGELPQELFQLTELIDLNLGQNVLSGSIPKEIGRLFKMQYLSLGINNFSGVVPMELGNLTDLKSLSFSSNNFNGPLPIDLGKLISLEQLYIDSSGVSGPFPQELSNLKSLHTLWASDNGFTGKLPEFLGTFTNLKTLRLEGTSLEGPIPSSYAALTKLEDLRIGDLGGEDSTLDFLVNFTSLSILSLRSSRVGGEIPSQIGTFVNLQILYLGSNDLNGVIPPNIITPQLRALDVSFNSITGNLPANFTKIGRSMNAVGTLVNGDGLLEGKTSEISRCLVTDNQCTEKVPVNSFAVKCGGSSMVSTYGVAFDDDSETLGASSVYTGSNNRWVVSNTGSFISNPNGPQYTTQTASQITATLDSELYKTARISPNSLRYYGLGLRNAKYNVELHFSEIQMDDDSRSSWKGLGRRLFDVYIQGERVLQDFNIRNEAQGSNKALVRSFEANVTNTIIDVHLVWAGKGTCCIPVQSTFGPLISAIHVSQVSSRGGSSNDKKQVGRIVGITLGGVAGIFIACSVFYLWWKKKTPEHVRILTDSPKKSLTSV >cds-PLY67968.1 pep primary_assembly:Lsat_Salinas_v7:2:12647367:12647711:-1 gene:gene-LSAT_2X5860 transcript:rna-gnl|WGS:NBSK|LSAT_2X5860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVTVEVISVEGLDVAIVVVMTKTITNVVVMVMMVIDVAMLLIEVVVVVVVVDGAKLVVIYGEKVVVEEVVVAGTIKVIFDVMVEVDVTVVREVTGVVKATVIGGGDGEGGD >cds-PLY97058.1 pep primary_assembly:Lsat_Salinas_v7:4:351066348:351069626:1 gene:gene-LSAT_4X173641 transcript:rna-gnl|WGS:NBSK|LSAT_4X173641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTSNMLKMLERYQNCTYSSMEVNRTANDAEQSSYKEYMKLKAKYESLQQYQRQLFGEDLGPLNLKELEQLERQLDSTLRQIRSIRTQSMLDRLSDLQVKERMWLEANKALQNKLEEFYAENQAGPSWAGGENGNSFAQHHQHHQDQHPQPQGFFQPLDCNSNLQMGY >cds-PLY62417.1 pep primary_assembly:Lsat_Salinas_v7:5:36060163:36060348:-1 gene:gene-LSAT_5X16440 transcript:rna-gnl|WGS:NBSK|LSAT_5X16440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRGADRIGVSLGSDRPTVILKIPCLVEKKRTKVRSLAVLFSVANWDRSPARSDWSNIV >cds-PLY73335.1 pep primary_assembly:Lsat_Salinas_v7:8:259985795:259987784:-1 gene:gene-LSAT_8X150401 transcript:rna-gnl|WGS:NBSK|LSAT_8X150401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANIKSIYLPLFALLVALLLCPTFAHEKPDNPNCTPPSHPKKHKPPPPPHEPNCPPPPSNPNCPPPPSIPPPSNPNCPPPPSIPKKQKPPPKAPNPKPPPQEPIQNPPPEPVEPPPQEPEKQEPPPQEPNEPPKPTPFHGIFKGHFTAVYAFGDSYTDTGNAQFLGGLKASFSGSLSSPYGITTFGKSSNRLCDGRLVLDFITDSLGLPAVPPYQSTTANFTSGANFAVAGATTLAVDLLAKIVRTAFLWKGTPLGIWTQLDWFKKFQVDHACKGLDQKACKVKLNTALFWVGDIGLNDYSRAVGSPISLRSIARSSTLYVIELVRTLIKTGAKNIVVQGLPPVGCLPVDVTSCPINQRDKSGCSSIVNGAIMIHNGILQTKLEILRKLFPDVTIIYADSWKAYFTIVSNPTKYQFQETHKTCCGFSGQKSDLNFNIQSICGSSGTSVCKDPSKYINWDGIHPTEAMNYQMTDQFFNQGCTIPPFKQLITKTKVKVSIKTQTPE >cds-PLY72757.1 pep primary_assembly:Lsat_Salinas_v7:4:373354509:373357416:1 gene:gene-LSAT_4X184340 transcript:rna-gnl|WGS:NBSK|LSAT_4X184340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLCCISRASSLIFFLPRPNFTFRSALFCKPFISQSLHSYSTTKTPFPLQYEMIITRPPQTTPLHHPRRRRAPALPNSKPPDTDVGFDDWVDMKLTSESAPSLTTSNQDQKQPVLLNDEMDKSKRKYYNKRQKRMYGSDSEDDDGKHENKYIELNQEVVKLRTLHKREEELYFYDAFAYPWEKDKHYKMVYQLEKKFFPDQCFDKAFLEPGQSNEEKKKKRVAVKGVGEKKDDKGLVFFEEEKVNKDVKMDITEKKVEEFFKCLKKVPNEVDNTLPFISGRSSGLPPKWDGPTGAVLLVNKPKGWTSFTVCGKLRRLVNVKKVGHAGTLDPMATGLLIVCIGKATKLVDRFQGMVKGYSGVLRLGEATSTLDADSPVIQREPWEHIKDDDIKKSAASFCGEIWQVPPMFSAIKVGGERLYEKARRGESIELSPRRISIFQFDVERSLEDRQNVIFRVTCSKGTYIRSLCADFAKALNSCAHMTALRRDSIGEYSVDDAWDFEELEKEIPKNYM >cds-PLY67378.1 pep primary_assembly:Lsat_Salinas_v7:4:213363308:213363718:1 gene:gene-LSAT_4X118520 transcript:rna-gnl|WGS:NBSK|LSAT_4X118520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTLTLNLVVQPPTSQLLTATTLVETPTTGATPPPSCSPGRWNDEAVCVTTVAAQLGCPSVFVAAIEALICRRECNKRLGTTIRVQLCYSRPIASLLPLPCAAAAPFPLSSEPSYPPSSSAAADVVVVLPMLCHCC >cds-PLY84013.1 pep primary_assembly:Lsat_Salinas_v7:8:36155970:36156197:1 gene:gene-LSAT_8X28901 transcript:rna-gnl|WGS:NBSK|LSAT_8X28901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVKEGHFAVIAVDEHEERRFVVPVAYLERASFVRLLERAAEEFGFNYEGAVVIPCRPSELQRLLAEQSAEEMTC >cds-PLY68991.1 pep primary_assembly:Lsat_Salinas_v7:9:139076321:139081516:-1 gene:gene-LSAT_9X89380 transcript:rna-gnl|WGS:NBSK|LSAT_9X89380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPGNQNQQPGGGPFDVQRFFNPSSSPPPITTNPNTTFQNPNIPYPPPSSAASYPPPTVSGALYSYSPQTNPLYHPQFHIPSPPFQPDNPMPSSNLQHQRSVPYPTPPLQPPSPNNNPNPNHGARLMALLSAPPPTTIDTSSQSPMPMPQPTNPMLPSSLVPTLHSGSGPLRMPSSKLPKGRHLSGDRVLYDIDVRLPGEVQPQLEVTPITKYGSDPGLVVGRQIAVNKTYICYGLKLGAIRVLNINTALRSLLKGLAQRVTDMVFFAEDVHLLASASIDGRVYVWKITEGTDEEDKPQITGKIVVAIQIVGEGESVHPRVCWHCHKQEVLVVGIGKRVLRIDTTKVGRGEVYSAEEPLKCPVDKLINGVQFVGSHDGEVTDLSMCQWMTTRLVSASVDGTIKIWDDRKSSPIAVLRPHDGLPVNSVTFLTAPQRPDHIILITGGPLNREIKIWASDSEEGWLLPSDADSWHCSQTLELKSSSEARVENAFFNQVVALSQAGLLLLANAKKNAIYVVHLEYGLNPEATHMDYIAEFTVTMPILSFTGTSDLLPHGEQIVQVYCVQTQAIQQYALDMSQCLPPPVDSVAASVAYERSDSTVSRDAIAPNAEVLTGLEASASGNKTEIPLPVSVSNSDGSSILRQPSTSSLPEVPLSVEPPPVASSPTLSRKLSDLKSPVSIHDEPKVIEYSVDAAHSNISNDSIIDESKDGGKFKHPTHLVTPAELMATLSSEISHVSEQKNDVDANIQDVILNPDSQNAEVEVQVVGETGKSQNLEPELHAFASEQMEKSFSSQASDFRRVETYFDNPGESQTVGQGQTSGGLEEVGQDAKIDLSGQSETVIVTPPQVTSSSGKGKKQKGKNVQGSNSSPLNVYNSTDSSNDPGISSSSVPSVEAILSQLNSMQETITQVLLNQKEIQKQTPGMVTSSITKEGRKIEASIGKSMEKTYKTNYDALWVKTQEEFAKQEKSNRDRSQQISALVTNGYKELLVTWEKMLKKETSALVTAVVRAVSPVIEKTVASAITEAFQRGVADKSVNQLEKSVNSKLEATVARQIQTQFQTSGKQALQEALKSSMEGSVVPAFEMSCKAMFDQVDASFQKGMLEHTAAALQQTESTHSPLALALRDAINSASSMTQTLSGELADGQRKLVALALAGANSESVNPLISQISNGPLGSFHDKMEAPLDPTKELSRLVYEHKYEEAFTSALQRSDVWIVSWLCTQVDLQGLLARNPLPLSQGVLLSLVQQLACDIGNETSKKLAWMMDVVVAIKPSDGMIAMHVRPIFEQVCSILNHQLSLPTTSVSELSSIRVVVRLINSTLRTLLC >cds-PLY90910.1 pep primary_assembly:Lsat_Salinas_v7:1:57430583:57431291:1 gene:gene-LSAT_1X48161 transcript:rna-gnl|WGS:NBSK|LSAT_1X48161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAQGDHDIEILPDFHQIPFTSYNARWRMPYEESGSTSNLYYSFEVSKVHIIMLNSYIEFDHGSIQYRWLESDLKKVNRSKTPWLIVVVHAPWL >cds-PLY81032.1 pep primary_assembly:Lsat_Salinas_v7:9:174897545:174898708:1 gene:gene-LSAT_9X106241 transcript:rna-gnl|WGS:NBSK|LSAT_9X106241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVDIDFEKEPIGIGKDGKNTYFRDIWPSIQEVADVSIPQVFDEVGPKTLHIPTGDKLSVFDAASRYKDAGEATIVLAGVEYGSGSSRDWAAKGLMLLGVKAVIAKSFERIHRSNLVGLRIIPLCFKAGEDADTLWLTGHER >cds-PLY62879.1 pep primary_assembly:Lsat_Salinas_v7:9:132015831:132017367:-1 gene:gene-LSAT_9X85801 transcript:rna-gnl|WGS:NBSK|LSAT_9X85801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLIKHITKFGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGTFSQQEEKLIIELHAVLGNKWSQIAAQLPGRTDNEIKNLWNSSIKKKLRQRGIDPNTHKPLSEVNDDRKDPSGSNEKNSQGSYSYLDEKTEPPAAAMASSYPLIDNPPPATHEFFLNRFVTSHEATTKPTDSQHLSGFLPFSYTQQPPPPTESSDIFFNTDSKPSSELITEYTSGISNPLLSAPPRNTANFQQQSNWGSGNNGSSGGFFQNNGGYHPWGLTDCNKSQNQINGVESEQDYIKWNDQFLQVPFLMGKSAIESKPEVNFGVNHELYHGQQSVDTYNKHFQRISTSFGHFS >cds-PLY65639.1 pep primary_assembly:Lsat_Salinas_v7:7:27167391:27171111:-1 gene:gene-LSAT_7X21361 transcript:rna-gnl|WGS:NBSK|LSAT_7X21361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSLDARSSSVECLGSLVVRPSSYGSSQNGGLVLQQQMNQPIPPSKKASKMFVNKEKDNAFLWIFKFAPRKKVGMLLLSLASFAAMLWILYIAKGEFNQNNSTVRFSQYSSSNSDQERVELNSALANDQMKIRVRHNDNIVNHHHPPPPPPIAANPPPPPPAITALPPPPPVYFTGYTLPPGHPCNTFTLPPPPADKKRTGPRPCPVCYLPVEEAISYMPKAPSFSPVLQNLTFIHDESFTKSEFGGSDFGGYPTLKQRYDSYDVKESMSVHCGFVRGDRPGRKSGYDIADSDLLLMDMCHGIVVSSAIFGAYDLIQQPKNISETAKQNVCFFMFVDEETEKFLRNSGHLDDSKKIGLWRVVVIYNLPYTDPRRNGKVPKLLSHRLFPNAKYSIWLDGKLMLMVDPYQILERFLWRENASFAISRHYKRFDVFLEAEANKAAGKYDNASIDFQIDFYKREGLTPYSSAKLPIRSDVPEGCVVIREHIPISNLFTCLWFNEVDRFTSRDQISFSTVRDKIRSKTNWTVNMFWDCERRNFVVQGYHRDVLEHWAPPPPPRLSGAPIVFNITANKPQKRSTENIVSNPRKTPSTKRRKEKRSSSRRHRKIDPGNRNATSRSRNYM >cds-PLY86201.1 pep primary_assembly:Lsat_Salinas_v7:4:7062043:7065044:1 gene:gene-LSAT_4X4520 transcript:rna-gnl|WGS:NBSK|LSAT_4X4520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASALFLLDIKGRVLVWRDYRGDVSAAQAERFFTKLIEKEGDQDSQDSQGPVVYDNGVTYMFIQHSNIYLMIASRQNCNAASLLLFLHRLVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQFTEAKILSEFIKTDAYRMEVSQRPPMAVTNAVSWRSEGIHYKKNEACLVFLDVVESVNMLVNSNGQIVRSEVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRTTKGKAIDLDDIKFHQCVRLARFENDRTISFVPPDGAFDLMTYRLSTQVKPLIWVEAQIERHSRSRMEIMVKARSQFKERSTATNVEIELPVPSDATNPNIRTSMGSASYAPENDALSWKIKSFPGGKEYMLRAEFSLPSITAEEAVPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLM >cds-PLY97487.1 pep primary_assembly:Lsat_Salinas_v7:1:194011194:194019498:-1 gene:gene-LSAT_1X125081 transcript:rna-gnl|WGS:NBSK|LSAT_1X125081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPIATMDRLASQNAIASAMLAIDNTNVNHIVFFSFAAIGPRIIFVGLQFAGLLNAMSLISDYPALGIMSFIGFGFFAIEVLLSIWVFRQVYMHFRGSGGKATTVSIDL >cds-PLY64414.1 pep primary_assembly:Lsat_Salinas_v7:7:82373019:82375424:1 gene:gene-LSAT_7X58040 transcript:rna-gnl|WGS:NBSK|LSAT_7X58040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSTNFDGHTELTEPWYGTAFSVEKITGSTIQEWRKRAHEEDLHFPCPNVFIPTDFSIKNVKEKVNIPLLLRKSQYSRLCYKPDTTFSTPKAYVKLDFYCPFASSSPEANFLTDISTRLLMDYLNEYAYDAQVVGLYYVISHTDNGFQVTLTRYSHKLEILLDTVIAKITTFEVKADRFHVIKMNVNALIEMKLEKHKNLREEFVYFWREIQDGTLKFDRKEHETGGCIEAVEQGRNRVLHKRRL >cds-PLY66975.1 pep primary_assembly:Lsat_Salinas_v7:7:122554542:122555572:-1 gene:gene-LSAT_7X74560 transcript:rna-gnl|WGS:NBSK|LSAT_7X74560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVRLIQLQYIDPAFHLHGSVKTWVSTLSPVGLNFYISTLQTRVSVDQLHAEILKTRLSQNVFLLTRLAHTYLKFGSLNIGERFVVTIIKNQPLFMWNETIKCYASKGWYRESIDLYYEMVRSGYKPNAFTFTFGLPACVGSKSVKDYGRVHSDVLLFGCECNEFVITSLIDVYGKCGDLSSVPGTPTVR >cds-PLY81079.1 pep primary_assembly:Lsat_Salinas_v7:6:130852305:130854336:1 gene:gene-LSAT_6X79381 transcript:rna-gnl|WGS:NBSK|LSAT_6X79381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRPYAIKGRKRKKNKERYDKEEDEEENVGFVEEEEEGEKEGIQKKLKAGATESDEEKAEEAAQELSGIPVNLNEQQINDKNSPGVIFILEKASLEVAKVGKSYQLLNSEDHANFLRKNNRNPADYRPDISHQAILNILDSPLNKAGKLRALYVRTEKNVLFEIKPHVRIPRTFKRFAGIMLQLLQKLSISAVGKREKLMRVIKNPVTQYLPVNSRKIGFSHSSEKLVDMHDYVATLDKDATLVFVVGAMAHGKIENDFVEDFISISGYPLSAAYCITRITNALERKNKIL >cds-PLY94553.1 pep primary_assembly:Lsat_Salinas_v7:2:155903648:155905131:1 gene:gene-LSAT_2X81280 transcript:rna-gnl|WGS:NBSK|LSAT_2X81280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRSQNMVRRVQASASMASAGGGQDEAENPMVNRFDNRLPRFSQSAPQQQMFQPSRAPHRVCPSCTVEEDENVIPLESDSDLGSGGTPMEDVDDDVWIEDDLGQHQNYHSDSGYSSSSPVSSPIRDPSREIPVVFTDPDVLDCPICLESLRSPIYQCENGHIACSCCCSKSNHKCPSCCLPIGFNRCRAMEKLVNSITVDCENKKYGCTEPLIYHMKAQHEQVCSHTLCFCPLSSCGFTGSYTNLYVHFRTHHSSSATPFTFNTTFNINVKRGQKYVILQEQNERVIFILNHDIQGHGRVFNVDCVGPNEFRAAFGYKLSVRCSETCFSMKSVPEVSGKWEQHTPNNNYLTIPSEILRFTIELRIKRGFQVW >cds-PLY74621.1 pep primary_assembly:Lsat_Salinas_v7:7:33854558:33854947:1 gene:gene-LSAT_7X25641 transcript:rna-gnl|WGS:NBSK|LSAT_7X25641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCTTWWLLLFLYHCLPAHFFGFRSPESPGVRLKNEGLTPLHPVVLVRGIVTGGLEIWEGRPCSHGLFRKRLWGGSFAQILQRPLCWLEHLSLDNDT >cds-PLY81440.1 pep primary_assembly:Lsat_Salinas_v7:5:335322732:335326409:-1 gene:gene-LSAT_5X188541 transcript:rna-gnl|WGS:NBSK|LSAT_5X188541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSIFNKNLRRLCSKFRWPVRRRSKPKVIIKRFGKSNSRTQDISNTNGSATIHPNNHLGKSETPIRIATFNAALFSMAPAVPELTEKASSFDYGEDEQDYSSRVPSNCSVSYTNSRSKSMIDRPKSILKQSPLHSSSSMSSSETVSKQQKFAKSKLRVSINLPDNEISLKRSGQLSFAIDETEEGPSSKTINSKGISRILKGKGVLRSQNSFSSKESENQRGKGYRSTKTVLEVLKELDADILALQDVKAEEEKDMKPLSDLAAALGMNYVFAESWAPEYGNAVLSKWPIKRSKVQKIFDDSDFRNVLKATIDVPQTGEVDFHCTLLDHLDEKWRMKQINAIIESNERPHILAGGINSLDETDYSPERWTDIVKYYEEMGKPTPKVEVMKYLKNKQYTDAKDFAGEYESVVIIAKGQNVQGTCKYGTRVDYILVSPDSPYKFVPGSYMVLSSKGTSDHHIVKVDVTKVEVPPPQQYVRSRHHRHSKQKVVKITNSNSSKGVWKMQTLDRS >cds-PLY71904.1 pep primary_assembly:Lsat_Salinas_v7:3:25347979:25356224:1 gene:gene-LSAT_3X18820 transcript:rna-gnl|WGS:NBSK|LSAT_3X18820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPSSLSVDGGGVDTKDDKKRETLKPKTVPMLKLFAFADSYDYFLMFFGSLGACIHGASVPVFFIFFGKLINIIGLAYLFPKEASHKVAKYSLDFVYLSVVILFSSWTEVACWMHSGERQAAKMRMAYLKSMLSQDISLFDTEASTGEVISAITSDIIVVQDAISEKVGNFMHYISRFLSGFIIGFVRVWQISLVTLSIVPAIAIAGGVYAYVATGLIARVRKSYVKAGEIAEEVIGNVRTVQAFSGEEKAVNSYIAALSNTYKYGRKAGLAKGLGLGTLHFVLFLSWALLVWYTSIVVHKNIANGGDSFTTMLNVVIAGLSLGQAAPDISAFIRAKSAAYPIFEMIERTTVTKTSSNTGRTLAKLDGHIRFDDVRFSYPSRPDVMIFDKLRLDIPSGKIVALVGGSGSGKSTVISLIERFYEPLSGRVLLDGHDICELDIKWLRHQIGLVNQEPALFATTIKENILYGKDSATYEEITHAAKLSEAITFINNLPERFETQVGERGIQLSGGQKQRIAISRAIVKNPSILLLDEATSALDTESEKSVQEALDRVMVGRTTVVVAHRLSTIRNADTIAVVQNGKIVETGSHEELMAQPNSAYSSLVQLQGAASLHGGPSRAPSMGRPSSIRFSRELSRTTTRSLGASFHSDRESVGKLGVEGVEYTKPTHISAKRLYTMIKPDWIYGLTGTIGALISGSTMPLFALGISQALVAYYMDWETTQHEVKKIAILFCCGAGISITVYAITHLCFGIVAERLTLRVRQKMFSAILRNEIGWFDDTNNTSSMLASRLESDATLLRTVVVDRSTILIQNFGLIMTSFVIAFILNWRLTLVVMAMYPLIISGHISEKMFMKGYGGDLNKSYLKANMLAGEAVSNIRTVAAFCSENKVLDLYSRELVGPSKQSFNRGQIAGLFYGVSQFFIFSSYGLALWYGSVLMEKGLSGFKSVMKSFMILIVTALAMGETLAMAPDLLKGNQMVASVFEVLDRRTQVVTDVGEELARVDGTIELKGVNFSYPSRPDILIFKDFDLRVRAGKSMALVGQSGSGKSSVLSLILRFYDPTSGKIMVDGKDIKKLKLKSLRKHIGLVQQEPALFATSIFENILYGKEGASESEVIEAAKLSNAHNFISALPEGYLTKVGERGIQLSGGQKQRVAIARAVLKNPAILLLDEATSALDVESERVVQQALDRLMKNRTSIVVAHRLSTIKNADEISVIQNGKIVEKGTHSSLVENENGPYSKLVNLQQQEQHRRK >cds-PLY93620.1 pep primary_assembly:Lsat_Salinas_v7:2:175539050:175539331:1 gene:gene-LSAT_2X99620 transcript:rna-gnl|WGS:NBSK|LSAT_2X99620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVRCCNIGIGKIRRVKKAMGFCFSPADDGIKRAPISWSSKNRRPPIRYEPKHHRSYHVSDLPFRRVTFESHTMEPKSSVKASPSVIACESTP >cds-PLY67058.1 pep primary_assembly:Lsat_Salinas_v7:5:281391413:281393547:1 gene:gene-LSAT_5X147941 transcript:rna-gnl|WGS:NBSK|LSAT_5X147941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFWFSAEQNHQFSRSGKDEFNLGLKFEELHGLQALQMPMNSINMAFFWWIVILRRLPIKSRVL >cds-PLY68477.1 pep primary_assembly:Lsat_Salinas_v7:2:213597548:213599972:1 gene:gene-LSAT_2X134401 transcript:rna-gnl|WGS:NBSK|LSAT_2X134401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-galactosyltransferase HPGT1 [Source:Projected from Arabidopsis thaliana (AT5G53340) UniProtKB/Swiss-Prot;Acc:Q94F27] MQSKGSNYHRNAAAGLVFRSPISALMLCTIAAMASFYVAGRLWQDAEDRVYMGKELDRITGQGKSAISVDDTLKIIGCREQQKKLTALEMELAAARQEGFVSKTKNPPPPLKKKPLVMIGVLTGFGRKNNREVIRKAWMTTGEALKKMEDEKGVIARFVIGRSSNRGDSLDRDIDIEDKSHNDFFILESHVEAPEELPKKTKLFFAHAAERWEAEYYAKVNDDVYVNIDALGSTLAAHIDKPRVYIGCMKSGEVFXGQKWYEPDWWKFGDGKTYFRHASGEMFVISKALARFVSINRSILRTYAFDDVSTGSWFIGLDVKHIDDKKFCCTSWSTGGICAGA >cds-PLY75930.1 pep primary_assembly:Lsat_Salinas_v7:9:199911578:199915673:-1 gene:gene-LSAT_9X123581 transcript:rna-gnl|WGS:NBSK|LSAT_9X123581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLDALLSESISTLTTLVISVVQTTANFKPELELLGLTLQRIKPIIDEIVNMKRKLDRTELECKMFIEEIQEAEKLVAECSKIKRNIIKKFTYSLKLKVLNSKMLSFFQIEVQASQSRDIMHILSVVNDMKLKVDRIFEEQRDTTQSTGRVGNHRGSESTEREKYGWRVPILPSLIVAFGEPLEKLKAAVGDDHPVLVVAAPGGCGKTTLAKMLCHDAEIGEKFGENIFFVTVSETPNLMMIISDLFNPNPSDPRLLFQSKGDAKNKLENFLNEKASDPMLLVLDDVWSDSFIEQIFPSNIRGHKIVVTSRTAFQKYKTFRFEPLNDEDAKSLFRSSAFTESGSRPSPTINDDLVNQMVPWCKKHPLTLSVVGRSLNGKDELVWKSMLKKLSRGRSVLDLHEDVFIGLERSFESLDEELKQCFLDFGLFPEDQRIPVSVLLNMWVHLYDHDDEGVDTMATIFELSYRNLVDLMTTGFRNDSSARVNYCDQQLVTQHDLLRELAIHLNSKLPLAKRTRLSINVRGDEFPTPIKQLQESMQARILSISTGESFSSKWCNMEIPNLEVLILNMMSKTYTLPHFLAANPKLKSLNITNHGLYLTKFNNFHFLTSSHNLTRIRLERVDISPSILSLISLQKVSLIMCKIGNTFKNLITKTPNIWPQLVELEIDYCQDLVEFPGTLCNSDHLKKNSITNCNDLCGFSEEFGDLINLEMLSLRSCTKLKKLPESIWRLRNLSVLDISDCLNLSGLPEKMGKLGCLRKIYMKGCSGVNELPESVEELSHVRVVCNEEVAYKWRGYSNVEIDLVEENPLETLERMMSF >cds-PLY86637.1 pep primary_assembly:Lsat_Salinas_v7:1:3777919:3784191:-1 gene:gene-LSAT_1X3061 transcript:rna-gnl|WGS:NBSK|LSAT_1X3061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHAQSTIEEQLILRAIKEECSWESLPRRLQASLNSRDEWHKRITEHCIKKRLQWRTSFVRKVCREGEYYEDMMRYLRKNLALFPYHLAEYVCRVMRVSPFRYYCDMIFEVMKNEQSYDRIPNFSAADALRLTGIGRNEFIDIMNRCRSKKIMWKLNKSIARESLPTHPVDITIEPWWGVCLVNFTIEEFKKLSEEEIATIDKICKEETNAFMLFDPVIIKGLYRRGLVYLDVCVYAEDRFQVCKLEGFVSNREQSYEDPIEELLYAVFVVSSESSTVAELATTLQVELSQLQAAASFACRLAWAVKIIDPGSILQDPNSPGPSRNVVLGDEDDCSRASVGSVNTSVDGSVFQPDGSDAHGPTSGYARVAFVVDAEITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIEDLCKDLSSLEGAKFEGVLQEFANHAFSLRSVLECLTSGGMPPSKGKASMDSSTNEDSASVSGHAGVPDDSDSTVTATEDTNDSDSTVTATDDTCEGEGSKSDHNFEGSDAGKAITKKIRRYHVDIMRCESLATLAPATLDRLFRRDYEIVVSMLPLPPSSVLPGPKGPVHFGPPSYSSLTPWMNLVLYSAVRGGPLSVVLMKGQFLRLLPAPLSGCEKALVWSWNETSVSDKFDGGLVKGNILLHCLNSLLKHTAVLVQPLSRYDLDESGKITTMDVPLPLKNSDGSSPDIGKELGLDTDESSKLNFLLSDLASQIELWSVGYIRLLKLFKSDPNYSFAPDDKYEWVPLSVEFGIPLFCPDLCKNICKRVVSSQLLQTNLCTEHHNAMQELRKRLQKICSEYQATGSTARMLYQRDHQPKSDQPKAPNKLLMTYASQRWNPLTEPSSPISGTNDQQRLKLANRQRSGTEVLSFDGNILRSYSLAPVYETVMGMFEEATESSSSSSKSDDMDSRETILPGVNLLFDGSELRPFDIAACLQARQPVSLIVEASIASAASSGIK >cds-PLY88105.1 pep primary_assembly:Lsat_Salinas_v7:6:180729809:180731014:-1 gene:gene-LSAT_6X109301 transcript:rna-gnl|WGS:NBSK|LSAT_6X109301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLSKSEDPTNSTIDVKDSKMKKIKLSEDQAPLPEADTAVDVLSDENLLYEILKHVDGRTLGAAGCVSKQWHRTSQDERLWELICTRHWANMGCGNNQLRSVVLALGGFRRLHAHYLWPLSKPSTSTITSSSSSTIAAATSSSSVWPCLPPPRTIGGLSKPTPPKTHWGKDEVQLSLSLLSIRYYEKMNFKNRTK >cds-PLY81492.1 pep primary_assembly:Lsat_Salinas_v7:8:157109444:157111080:1 gene:gene-LSAT_8X103720 transcript:rna-gnl|WGS:NBSK|LSAT_8X103720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSNPTEIPNGFIPFSASWHSSVIGNRKNENAMVMSFIYRKPLKNSADDYPKIVDLLCRFAIHHKNMSFSCRKHGAGAADVHSVAMSTRLDAIRSGYGVSVARSQMKIEASDANPSTSVFEMVGFISDSSYSTKEDNHGSLHQWYVLRIYKQSFYVFEQAVDCSPASSISINKASCVNTSTSGSQKILVNKMVQTDSQDPSGRMHAYLQAKPSRPNERSYGLNSLRPSIRQRRNPKETADLTSVQELIDEFEHDYHSGLLDTVRNFTYVGISCQCCKFEQRAHVSTGSAMIWLLQCNTIK >cds-PLY97665.1 pep primary_assembly:Lsat_Salinas_v7:8:8138647:8140748:-1 gene:gene-LSAT_8X6341 transcript:rna-gnl|WGS:NBSK|LSAT_8X6341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGPMDRYHKLGLQQSFSRIYQYPLACKELALILKLSYSKFPKNLQSLVFQDVLAAFRLLPRMQTQTAIHAANTLLQAVESALPKQKKAQAVTEFKHAMVAHKRHSKARNNEEDSVELPQDVLVHVFSFLDLQSLLSSSQVCRSWNIASSDSHVWKTLYTNTSNTLSKINNHVHGELINDKCTQQGSLDWKNIFKKAYEAISWKKLLTSSRGFCKHCHAAVWVNDMGNNKTFGLKCKYHQINPISTRQIVEYIDGEYASSDSDNDSDCDSYDDFTPKLWAYPRRSEFSH >cds-PLY88213.1 pep primary_assembly:Lsat_Salinas_v7:8:89627325:89629318:-1 gene:gene-LSAT_8X60120 transcript:rna-gnl|WGS:NBSK|LSAT_8X60120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLEKALIILSSLNTEKIEDGEEVAVEEVEDEQSKMEGMASIALLLDGSISGHFVQLPESVYYQGINRARVEQMEDRLKEDILLEAARWSNGGSMGASHTDSVKTSLQVYLEIQTRKFLVDYERIPATDEKSPKEHDFDTLGIYCERVERMEXKLDERRYSQRT >cds-PLY69434.1 pep primary_assembly:Lsat_Salinas_v7:1:64278024:64279531:-1 gene:gene-LSAT_1X54740 transcript:rna-gnl|WGS:NBSK|LSAT_1X54740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRWNSRVSIIFLFCFPELLLSAVVTLDSLEIYTTHEWLTSTPTVYFKCNEEKKTLLPDVKKAHAVYHFKGEESFQPLTNFTSKKCKRCGFYEKDRIKFDDVFDEWEFCPSDFTSPNGIYIHSKDKEFNATFLCDECVKRVDNLDGDSHHHNEGHGVQWYIVIIVVSIVGSILLMMGVFVAYKYWLKRKRQQDQARFLKLFEEEDDIEDDLGLGPLSDSI >cds-PLY66073.1 pep primary_assembly:Lsat_Salinas_v7:2:206506635:206508273:-1 gene:gene-LSAT_2X127581 transcript:rna-gnl|WGS:NBSK|LSAT_2X127581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPLQSKITLSNDSRQKLRASRFHRRTHQHERSKKKDKEPFMLMTLTDENAEEITILLWKECIDSPDKFNRNALTSNSNTVVLAFTNLKSTMYNGQLTLTSTSATHMYVNPNLPETDTLITRYKISAVLTDNTDTTTVFMSNEATCTLLNATAQEIIDGFPSQDRKTLPEPLERCKGLTKNVYVECTKLSSTRNIRFTVTTISDIKTPQPTILSTQKTQTTRSTPMEQQTTTTMESLTPTKSRETGKRLQMEDTDTPKKPRPKQEKYRNHGEADEGTHAKKQ >cds-PLY68896.1 pep primary_assembly:Lsat_Salinas_v7:2:193730797:193732949:-1 gene:gene-LSAT_2X115141 transcript:rna-gnl|WGS:NBSK|LSAT_2X115141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTNAGGVGPFGDTTLTKVFVGGLAWETPKEAMREHFDKYGDILEAVIISDKTTGRSKGYGFVTFKEPESAKKACEDPTPVINGRRANCNLASLGARRPRSLTVVPPPPPPPQPQQQTGPNIIVGPRSAGAITPPPSHVQWYYPPTPTPASPYHHHHHQAAVPYYGYAPATYVATTDVSYNHKLGYTGGAYMNGGHYSHVYPSQATVGAHTLMPMYPMSMYQYHHQSQAMGLPAQFFCAPTSTGPITAVPAILSKPTPTPGTVGGRKGSFGKVG >cds-PLY72144.1 pep primary_assembly:Lsat_Salinas_v7:7:52833174:52833554:1 gene:gene-LSAT_7X38201 transcript:rna-gnl|WGS:NBSK|LSAT_7X38201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRKKPCRREAGSCSRKLDLNKSMNPDYEQSQVLDVHEGHDYSKSILPFIRSQVSKQSHLIQEEVVNHEIEPQEEVITSAVETEEPLGTYHVQEPSCQADDEANIATVDDYEPFIEDYSLYVDYDA >cds-PLY74180.1 pep primary_assembly:Lsat_Salinas_v7:9:13406696:13408348:-1 gene:gene-LSAT_9X11581 transcript:rna-gnl|WGS:NBSK|LSAT_9X11581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSGHVFQQSSICVFGLLCALFWFCLLYSPFGVFQGRTFWSSSLQISPTSNQSRIQESIPIPVVSHEPENVESESAVSPSKTPKVFPFMKALRTIDNKSDPCGGRYVYVHDLPSRFNEDMVKECGGINRFFDMCKFINNSGLGPPLENTEGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAIFVPFYAGFDASRYLWGYNTSVRDAASLDLVDWLQNRDEWKVMNGKDHFLVGGRITWDFRRLSNKDTDWGNNFLFLPASKNMSVLLIESSPFNSNDFAIPYPTYFHPSKDSDVFTWQNRMMKLDRKWLFCFAGAPRPGNPKSIRSLLIDQCKNSNSGKLLECGNDESKCHSPSNIMKMFQRSVFCLQPPGDSYTRRSAFDSILAGCIPVFFHPASFYTQYTWHLPKKYTKYSIFIPEDDIRRNISIEQRLGQIDSETVKMMRKEVVDLIPRLIYADPRSRLESLKDAFDVSVEAVINKVTKLRKDLVAGRRNNGGFIEELSWKYALLEEEEEEEEVEESAGVHEWDPFFSKPKQQT >cds-PLY67104.1 pep primary_assembly:Lsat_Salinas_v7:4:241725459:241727031:-1 gene:gene-LSAT_4X128980 transcript:rna-gnl|WGS:NBSK|LSAT_4X128980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNLFLQTLLSPGSVWQVVTKAHGMPNGYCKVIKANFNDKYDEYKKKWGGGIMGSKSQAKTKAKERVLTKEAAQRLT >cds-PLY67904.1 pep primary_assembly:Lsat_Salinas_v7:1:55619913:55621475:-1 gene:gene-LSAT_1X49860 transcript:rna-gnl|WGS:NBSK|LSAT_1X49860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKLWRWYQNCLAVHPLKTQVISSGVIWGLGDIAAQTVTHATAVKKKPLIKSEEDKEFHLNWRRVANTSLFGMAFVGPVGHFWYEGLDRLLRLKLQYQPKSMRFVATKVALDGIIFGPVDLFVFFTYMGFASGKSVKQVKEDVKRDFLPALVLEGGIWPIVQVANFRFVPVRYQLLYVNFFCLLDSCFLSWLEQQQDAAWKQWFKRLSLKEHENQKS >cds-PLY68609.1 pep primary_assembly:Lsat_Salinas_v7:5:146573:149164:-1 gene:gene-LSAT_5X580 transcript:rna-gnl|WGS:NBSK|LSAT_5X580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFYRSESVIESDGRLTWKMTRRRGDKELDMYYSYSSIGWLMPFLHLVHRWLLWQPAHEKISKRWPRCIPNEALHGSLEENDVLFTLMLKCD >cds-PLY77909.1 pep primary_assembly:Lsat_Salinas_v7:1:21570850:21572775:1 gene:gene-LSAT_1X18361 transcript:rna-gnl|WGS:NBSK|LSAT_1X18361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLLAALSLSIFTLCYLYFKFIKTPLEIQRLFRQQGIGGPSYNPISGNSDELQRLIMAEDKLSSKSESFNNSQVVRKVMPFYYFWSKMYGDQFLFWFGSKPRLAITDPDMIKEILLNTSGSFPKTRVNPLVKGLFGDGIVYLEGDQWAVHRKITSQAFNMERIKELVPEIVASTKSLLDKMGAKMCVENRLELDVYKEFNNLSADVISRTAFGSNFEEGKRIFELQDQLVRIASEAIRSVYIPGFKYLPTKKNRLSWNLQRESKEMITKIIEKNNKTKENPKALLSLLMSPYKTGKIEKRLSLDEIVDECKTFYFAGKESTANLLTWVFVLLGSNQEWQEKAREEVFRICGNNEIPSAEHLSNFKMISMILNEALRLYPAAPISVREACKDVKLGGLQIPAKTQLFLAMAAVHHDRKIWGEDAQKFNPMIFIDTKIQHMAFYFPFGLGPRNCVGQNLAIVEAKIVVAMILRKYCFVVSPSYVHAPIQALTVRPQYGAPMIFTMVSS >cds-PLY88859.1 pep primary_assembly:Lsat_Salinas_v7:MU039998.1:51213:54235:-1 gene:gene-LSAT_0X28220 transcript:rna-gnl|WGS:NBSK|LSAT_0X28220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFRYVIIGGGVAAGYAALEFTKKGLSHGELCIISDEPVAPYERPALSKGFLLPEAPARLPGFHTCVGANEERLTPNWYKKHGIELILGTRVESANVRRKTLLTTMGETITYEFLIIATGSRAVKLEEIGINGSEAENVCYLRDLADATKLVNVMQKCRNGNAIVIGGGYIGMECAASLVINKINVSMVFPGPYCMSRLFTPKIASYYEDFYKSKGVNFIKGTSVSSLVFNSEGKITGANLKDGRQLPADLIIVGIGARPNTNLFTGQLTFEKGGIKVNSQLQSSNPSVYAVGDVASFPVKFYGDSRRVEHVDAARRTARHAVSAIMDPKNTPGFDYLPFFYSRVFSLSWRFYGDNVGEVVHFGDFNETKFGALWRSNGCLVGCFLEGGGDDDYVAIAKAVRDKAAVADLGELETAGLGFAVEVNRKPPLSPPVCGGGLKKPVYVLCATSAFVVAVFAYWYGSRLL >cds-PLY95573.1 pep primary_assembly:Lsat_Salinas_v7:1:185049449:185050325:-1 gene:gene-LSAT_1X120281 transcript:rna-gnl|WGS:NBSK|LSAT_1X120281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALCGKLVKKTSIKSDGDVFYELFRYKPNHISYMSPMNIKSVDLNGGEWGYVGSTMTWNYIIDGKTCVANEVIEAIDEEKKSVSFKVVDGALMELYKTFLLTVQVDTKEEKNFVTWTLTYEKINGNIKDPNTLMEFCLNVTKDIETNLHMQCN >cds-PLY88356.1 pep primary_assembly:Lsat_Salinas_v7:9:17967816:17969191:-1 gene:gene-LSAT_9X15461 transcript:rna-gnl|WGS:NBSK|LSAT_9X15461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASATTTWSMSSLKSSLPSISCSISSNPHSSVRCSFRPPTFTRSKPNSKPVFGSFLGLAPLHPLLNLTSSGNNGFEHNFTIIDNGGRVSAMRHGRRVPKLNRPPDQRKALLRGLTTQLLKHGRIKTTRARASAVRKYVDKMITLAKEGSLHKRRQALGFIYEKQIVHALFAEVPERYGERNGGYTRIIRTLPRRGDNAPMAYIELV >cds-PLY70491.1 pep primary_assembly:Lsat_Salinas_v7:1:76749441:76756916:1 gene:gene-LSAT_1X63980 transcript:rna-gnl|WGS:NBSK|LSAT_1X63980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKEDGNTKSKRKDASSASLSGSADASGLRRSGRETPSKKQATASPSSNARRSERIENHATPSSSPIKKKQDKIEEQMGPSPLRRSDRSKKQVSPDSSGSKKLTEVTASQNIKKKEIIASSSKEDQDAEDVSVDNKNERQDPDVGGRKRKRMTVHGYKALFKPQRLRIEAEDGHDSDVQHTPAESGRTTESGNGEKQIGGKCSQDDNTTESGNGEKQIGGKCSQDDNGKMSTQYIDVDACEDNDNQISPKSPSSGCKKHGDDETSLACSKEQRINNDSKNQQELESHDKTCEKDLQGTSTSKVRKTCQEGGVMEDTEHNNAKFVEFWVPVQISNLQLEQYCSTLLSDGMALRSGSKTDSVEALHNILKSNRKCCNHPYTADKDLQKSITKDQPTMIYDIGLKASGKLHFLDLILPEVKKRQLRVVILFQPTVPERVTTESNTPPVTLGDILDDFVHQRFGEDSYERVDGARMAQKSKQAAFNSFNNNPNKFIFLLERSSQPSIKLSSVDIVIIFDSDLNPANDIRKLSIDSDSQQKQIMIFRLYSKNTLEEKILKLAQQNVAVDSKRSRLTCDALLMWGAKDLFQNLEKFHGESGKDISSQGNLLKDVMEEFLYILSNKGKNKDGEKSIITKVQTCGYYGNRNMPCGEIKNQLPDGEQPHVFWRNLLEGKYPQWKFVHVSTSRQRKRTHYYGESSDTIAPVADAVKKRKKSPASRPVEWGTGGAYEDLVSGGNNESQSSPELLHPNVHELCKILKFPEDVKNKVDGFLDFVLKNYNVSREDKTTLQAFMISLCWIGASLAKHKIDRKESFDLAKKQLNFDCKEEQVNSVHLKLEEAKEDFLNQTETQEKHVEIKDLKSPPQAICTESKSTNQKMSTESQQNQNVEVSVAENLESTEKLSEEMEEFNREWNDRRACLENEYKVEKAIIRTVHKNPSKRTEKLRLLDKEFAKKIEEHERDKDIHLKELKATLLADDVDADVAAKPETSVSVGNPGDKMTETPLELDGGRVQDQSKERGSLGIGDDIESTGSHTSEKQMVDATVLSSSVVEAEVEVEVEVEVEVPPCQHETVDDVAGVVIEEIPAQEGDGIVNDVESVEADVNQENNSKGEGNGAEVADRVDDTGETGVPGGDEIREHDEAHAVEEQEQNDSCGSSSSNQPSAGVPHDDTPSVQLVASQPPDPPMPTVLETENESVLEQLLDLGVQEKEAQGDPNSEEQPHDDPMAENQTDTQPANETTCENDVNAPDTVNNQQTGQQEEQVSTQNSNPPEITNQNTSQAQPVTNLFDLPNQVAVAPRIPNHGDPLQAELERLFAHRDNVTKFYEDTKQRMKSECEKEIADMIAQINLKYEAKFKGAEEAFQLRKKELDTTVNRVMMNRILAEAFRAKCQDHNHSGPGMQKVSQPGLMQQLHRFSVRPSVSVGVVSSSPGQSSGRQQTPPPPPSSSPPPPPPPPPPXGGSFLQHSNQTATTYHQPSHPG >cds-PLY99130.1 pep primary_assembly:Lsat_Salinas_v7:2:11677181:11677411:1 gene:gene-LSAT_2X5001 transcript:rna-gnl|WGS:NBSK|LSAT_2X5001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACSTSSTHKSHKYDVFLSFRGEDTRKNFVDHLYVALQRQGIHTFKDDERLEKGKKMNDELLKSIEESKFFCHYIL >cds-PLY90778.1 pep primary_assembly:Lsat_Salinas_v7:5:8899673:8900868:-1 gene:gene-LSAT_5X4380 transcript:rna-gnl|WGS:NBSK|LSAT_5X4380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVITEIIHDPGRGAPLARVTFRHPFRYKHQKELFVAAEGMYTGQFVFCGKKANLMVGNVLPLRSIPEGAVVCNVEHHVGDRGSFARASGDYAIVISHNPDNGTTRVKLPSGAKKIVPSGCRAMIGQVAGGGRTEKPMLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADK >cds-PLY66296.1 pep primary_assembly:Lsat_Salinas_v7:8:219157457:219158791:-1 gene:gene-LSAT_8X135660 transcript:rna-gnl|WGS:NBSK|LSAT_8X135660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASHPCDLVTLEPNRVVETLKNLTTRFCDYRVVDVVNLLPTANDGTITHLYMQGTVLDTAKSLIFPLPLVAAAHQQFLAGCRHADANGLDGLKVQIILCFN >cds-PLY89759.1 pep primary_assembly:Lsat_Salinas_v7:1:59493957:59496295:1 gene:gene-LSAT_1X50200 transcript:rna-gnl|WGS:NBSK|LSAT_1X50200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCYRGKYADELIANAAYIGTPGKGILAADESTGTIGKRLSSINVENVESNRRALRELLFCTPGALQYLSGVILYEETLYQKTAAGKPFVDVMKEAGVLPGIKVDKGTVELAGTDGETTTQGLDGLAQRCAQYYTAGARFAKWRAVLKIGPNEPSQLAINENANGLARYAIICQENGLVPIVEPEILVDGSHDINKCADITERVLAACYKALNDHKVLLEGTLLKPNMVTPGSDAKKVAPEVVAEYTVRALQRTMPPAVPAVVFLSGGQSEEEATVNLNAMNQFKGKKPWTLTFSFGRALQQSTLKAWSGKEENVGKAQAAFLARCKANSEATLGIYKGGSGLSEGASESLHVKDYKY >cds-PLY63405.1 pep primary_assembly:Lsat_Salinas_v7:7:148208051:148209935:-1 gene:gene-LSAT_7X87680 transcript:rna-gnl|WGS:NBSK|LSAT_7X87680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNPSPEITLDFRPTFIPKTIGQFLGEVSRISNVTEKLLQVDDFVKRLETETRKIEAFKDLPLCMLLMNDAIYALKEESMMLKKTPNSEPVLEEFISLKNNCDDDSKLEIIEKQYENSDKKNWLSSTQLWNTNENSEEEDVGIKNPMIPCSTQNLQSNIRNGCTPPPPPPSPPPPPQQQVARKQRRCWSAELHRRFIAALQELGGSQAATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRLPSSYTNQHVGFSKCSNSQLDSPNGPLMNGGDNIVDDEVEDEKSQNYCWN >cds-PLY66136.1 pep primary_assembly:Lsat_Salinas_v7:7:30905091:30908677:1 gene:gene-LSAT_7X23561 transcript:rna-gnl|WGS:NBSK|LSAT_7X23561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIYVKSGNFIIFLVILMEFYGKNEGATVTCEPKYEFLPCTSEPWGTLFLIVVYQYMVALGQKYISDGSNKFFSLIGPGIFGASLFHILSNFPTLFLVLQSGLSNNNGGSSTASSGMDMLTGSAVMSLTIIWPSVITFGSYDLADDDDVVTEQEPSFLTKLTAYGVTTDKATTYTARIMLMSLIPFIILQLPAIISSISITRVIILVTLIVVSSMYITYIMYQIFQPWIQNRRFEYVTKKFVKGKLQTLLSINGKPNVRLIREIFKGLDEDHDGKVSNAELKTFLLGIQLQADTATNDDFVENIMDQFDISGNESIEENEFVIILTKWLQEVEKPLSQNDYNPLTFLFKRNQEADREQRKALIPKKPRNAQSSILEFLGALSLILCGITMTVLVSSSFITNVVAFASYANVPSFLIPYFIIPCATNISRLLSTISSATQKTERATSLTFSRIYSGVAMSSMSTLTVFLLLVYIRDLPWDVSPQVFVVLIIGGGMAILTSIRTVYPLWMGYVVYLMYPISLLMLYLLTVTGA >cds-PLY71206.1 pep primary_assembly:Lsat_Salinas_v7:3:120644209:120648310:-1 gene:gene-LSAT_3X85260 transcript:rna-gnl|WGS:NBSK|LSAT_3X85260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQQGEGDASQRIARISAHLNPHKPQMEGSCNLETENCRAKGGAPGFKVAILGAAGGIGQPLSLLMKMNPLVSVLHLYDVANTPGVTSDISHMDTSAVVRGFLGPQQLENALTGMDLIIIPAGVPRKPGMTRDDLFNINAGIVKTLCEGIAKSCPKAIVNIISNPVNSTVPIAAEVFKKSGTYDPRRLLGVTMLDVVRANTFVAEVLGLDPREVDVPVVGGHAGVTILPLLSQMKPPCSFTSEETTYLTSRIQNGGTEVVEAKAGAGSATLSMAYAAVKFADACLRGLRGDADVIKCAFVPSVVTELPFFASKVRLGRNGIEEIYPLGLLNEFERVGLEMAKKELAGSIEKGISFAMK >cds-PLY82977.1 pep primary_assembly:Lsat_Salinas_v7:1:16945282:16950376:1 gene:gene-LSAT_1X15321 transcript:rna-gnl|WGS:NBSK|LSAT_1X15321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVTLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDADVGLIIFSTKGKLCEFASDASMESILERYERHSYEERNNTTTDEESIGKWTLEHAKLKSRIELLQKTQSHVMGEDLDSLSLKELQNLEHQMDTSIKHIRLRKNQLMTETISMLQKKEKALQNQNNTLSKKIIEVEKEQSQQPPVEQQNNDNMPSLHFSTFITLAS >cds-PLY70044.1 pep primary_assembly:Lsat_Salinas_v7:5:201123975:201127741:1 gene:gene-LSAT_5X91421 transcript:rna-gnl|WGS:NBSK|LSAT_5X91421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRELLFGFLLIHSSLAKHLHVHPGNNKPTRRTRLEAITGPGPGPGKKWLTLHGREPLVIAQGGFPGLFPDSSGAGYAAAIAMGVIGTLKYCNLQLTKDNLGVCLPNVKLDNCTNAATRFPKHQRRYSVNGRDIDGWFPLDFTIDEIMKEIRLIQNIYSRASVFDFVYPLLQPDSVFGMEPKGHLWLNVQNDAFWSSHQLNVIRYIDESKQYFVADYISSPEIGFLKAMAASKTFDRIKTKLVFRFLTVVEVEPTYKETYGALLKKLAMIKTFASGIFVPKNYVWPIGKDGYLQPATTLVADAHREGLEVYVAGFASDTFSSYNYSYDPEVEYLQFIDNSQFSVDGVVTEFPGSASNAIACLAQSPKPTKKVVDALIISHNGASIDYPGCTDLAYKKAINDGADIIDCNVQMTKDGVAFCLDSADLLGKTNAAMAFMDRSTSVPEIQPKNGVFTFDVTWTEIKSVKPLIQNPFQSGGLLRNPKSKNAGTFLKVPEFLELAKKNASTGILIGIQNAAYLASKKGLDILQSVNEALKNAKLNPKQQILIQSDDTSILEKFKNSNPTYQRILLFSKPISDAPKPVTDNIKKYADAVNVVKKSIMPESKIYITNGATKIVEQMHAANISVYVSGFSTETLSMQLDFYSDPYIELVSFIAESIDGVITDNPKTASAFLRSPCVDPNSKAAFVFQPIKPGDYLAQVEPTVLPPASTPIPPLRVTDVVDPPLPPVNAAARKEADSDKSGGDGGGGSESGQRRMAVDVVATMLLLIVVFFLMGC >cds-PLY79659.1 pep primary_assembly:Lsat_Salinas_v7:5:253124939:253126427:1 gene:gene-LSAT_5X129241 transcript:rna-gnl|WGS:NBSK|LSAT_5X129241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPASMWDALTFTLPYMFFASLSSPIFFDGLTSPLLDSDSSPASVSLQPSSSATTSKKQHQTEHRQTVESRVKKYEVESKKNEGEEPEPQMNAEGVAVQGSGWVDLLSSVLRNDFRVVCSGCWLSVYFSLVGLLTNLPLRASWNYF >cds-PLY78484.1 pep primary_assembly:Lsat_Salinas_v7:7:175176390:175178499:-1 gene:gene-LSAT_7X104640 transcript:rna-gnl|WGS:NBSK|LSAT_7X104640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGSSSKKKYSKKKSLKVSSEARRKKRSRRNKSKKLSRHDDSYTSYSDDDSTSSSLISSSSSEGEYKSRKSRSRTRNEVKGSKKRSRRRSSSEDSSNDSLPVKKRRRSKKKPDSDKKNKKKIKKKKKSRRDGYISDSASCSTCGDEDSSSDEDTNTIKGKNGNRKNRNRQMRSSSPYKDDDDDNIENVTMENNPRRLKSVITIATQPENEEGDDMKRYDEVKEEMVYDYDHDYDYPSCKSNDSNDGETKKELVDNNNISVMNKEKDNLESGVDDLESILRQKALENLSRFRGGIQTKTVVDPVIDNKEKNVVENPVSQPVIQRSRFTWRRDEHEKTDTTYSGPDSSGSQPKLQSTDLSSTERVENIMNTHENVEVNKSTDGVETPSSASGSNEASQFEKKTMSVMRGGEMVQVSYKVYIPNRAPALARRQLKR >cds-PLY63639.1 pep primary_assembly:Lsat_Salinas_v7:4:129990297:129991234:1 gene:gene-LSAT_4X81660 transcript:rna-gnl|WGS:NBSK|LSAT_4X81660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKRKVVRGCQPPPSLQTHIHSPDPPKTKSALSFPNPPKRNPHSSSSLSLIHHKDVDFNDSSLDDLIQWGNSNNSFIVVDSLAFSQHLLPAYFKHNNFTSFIRQLNSYGFRKVDPDRWEFVNEWFVIDVYEANEQ >cds-PLY65091.1 pep primary_assembly:Lsat_Salinas_v7:4:203472356:203473074:-1 gene:gene-LSAT_4X115680 transcript:rna-gnl|WGS:NBSK|LSAT_4X115680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERYRELVTTTRVYFNTYFMNELVMDEKELEFSRLIEFTLMFEMFIGNLDIKTNLIDVRLVFFLIVYVDKYYLLCFDLNRGRYLIIDHVKRIGTVESRYGKIPRTIQRFFCNYLMTQNHPMHDKLRSKEAKLMRVVWEVSDIGPDCGLYLMRHME >cds-PLY96580.1 pep primary_assembly:Lsat_Salinas_v7:4:368438702:368440680:1 gene:gene-LSAT_4X180660 transcript:rna-gnl|WGS:NBSK|LSAT_4X180660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTAMQSLSATSSYSVGFGFPPNFTTPQTRLHRRPVVVIAKADEPSDKSVEIMRKFSEQYARKSDTFFCVDKGVTSVVIKGLADHRDTLGAPLCPCRQVHYDDKAAEASQGFWNCPCVPMRERKECHCMLFLTPDNDFAGDEQAISLEEIKELTANM >cds-PLY76030.1 pep primary_assembly:Lsat_Salinas_v7:5:320005987:320006445:-1 gene:gene-LSAT_5X177160 transcript:rna-gnl|WGS:NBSK|LSAT_5X177160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNSISLVCCPYELVRDRGDVLVIKEDGESLRFKDGIFVKDILFAYPYHKIIRCCSERTVIPKENQLSCNWLYFLLPVGLALSEAAYRSLIRSAASQNLNANITTMIKIEENNQQRKENDHKGKGPAYKDCSSTYKWKPILRTIPEIASPSI >cds-PLY82039.1 pep primary_assembly:Lsat_Salinas_v7:9:155437954:155438357:-1 gene:gene-LSAT_9X97601 transcript:rna-gnl|WGS:NBSK|LSAT_9X97601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMDLPGELDWVVPSRLSKGPGRLAKDFIDPEEEVMMESKYVRRVLGGRGYISYGAMQKNNVPCSQRGQSYYDCNSKGQANPYSRGCNIITRCGGR >cds-PLY91552.1 pep primary_assembly:Lsat_Salinas_v7:1:11930904:11932795:-1 gene:gene-LSAT_1X10021 transcript:rna-gnl|WGS:NBSK|LSAT_1X10021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEISNGYLNKSHHNASFAASSQRDVTYRCGSCGYDLNLSSSARNTATIGSKYGKSIKTGKISFFSIDESRFTQVEEFKCVPYFISKHFWGLFRKKTKLMCRKCNNHIGNAYDDTTSSYPLVADGSDSASGSEISSYRKYDVRIRAVQPSSSMEAGLSLVM >cds-PLY93768.1 pep primary_assembly:Lsat_Salinas_v7:6:145189641:145192723:-1 gene:gene-LSAT_6X87881 transcript:rna-gnl|WGS:NBSK|LSAT_6X87881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSQSNGTPATPFKFLIYGRTGWIGGLLGKLCDAQGIEYTYGSGRLESRDTLIADITAVNPTHVFNAAGVTGRPNVDWCESHKVETIRTNVVGTLTLADVCREKGLILINYATGCIFEYDSEHQLGSGVGFKEEDTPNFVGSYYSKTKAMVEELLKNYENVCTLRVRMPISSDLTNPRNFITKISRYEKVVDIPNSMTILDELLPISIEMAKRNLTGIYNFTNPGCVSHNEVLELYKEYIDSSYTWKNFTLEEQAKVIIAQRSNNELDTTKLKKEFPELLSIKESLVKFVFEPNRKTPVVA >cds-PLY85039.1 pep primary_assembly:Lsat_Salinas_v7:7:6115246:6121007:-1 gene:gene-LSAT_7X5301 transcript:rna-gnl|WGS:NBSK|LSAT_7X5301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRKTVARSAAVVENLRKSRSFSIAASTSSIPGPCIVHKRGADILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYDRFMESYRSLEKNTEGQPDSVVSLAKWRILNRLHDRNETLYYKVLIDNIKDFAPVIYTPTVGLVCQNYSGLFRRPRGMYFSAKDRGEMMSMIYNWPAQEVDMVVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRVLPIMLDVGTNNQKLLEDPLYLGLREQRLEGEEYISIVDELMEALHARWPKAVIQFEDFQFKWAFETLQRYRKKFCMFNDDIQGTAGVALAGLLGTVRAQSRPLSDFVDQKIVVVGAGSAGLGVLKMAYEAVGRMSGTTPNPQFFLIDKDGLITKERSGVDPAASPFAKSIGEVQSIGLQEGSDLLQVVKKVKPHVLVGLSGVGGIFNEQVLKAMRDSDSPRPAIFAMSNPTNNAECTAADAFKYAGDNIVFGSGSPFQNVVLGNGKSGHVNQANNMYLFPGIGLGALVSGAHLITDGMMHAASTCLASYITDEEIQQGILYPSINSIRKITAEVGAAVLRAAVSEDLAEGRGRICGICKA >cds-PLY96315.1 pep primary_assembly:Lsat_Salinas_v7:5:191919500:191924827:-1 gene:gene-LSAT_5X84660 transcript:rna-gnl|WGS:NBSK|LSAT_5X84660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNHQADEEHELVIELSEKDSLLEKKKKLLEINHFDPNGKVKIKSICSIELVNSVLEEMLQRARIINADEVDLYFGGIDAFGFCSPRNELESLHTILSLVDKSKSTSEQIRPKVFQDLMNATLNKIHEFSNNIIEDTKILSKWNLHKEKCLLQWGESNGVKSKLDIAFVEGGGRGAIAREDLEVGDIALEIPLSAIISEDLLHETTMFPILEKVDGISFETMLLLWSMKEKYDTNSKFKIYFDTLPEAFNTGLSFGMEAIMALDGTLLLEEIIQAKEHLRSQYNDLIPSLCNNYPNIFPSNLYTWEKFLWACELWYSNSMKVMFKDGNLRTCLIPIGGFLNHSITPHIMNYGKVDPTSNSLKFPLSRPCNNGEQCYLSYGNLSSSHLITFYGFLPKGDIDNPYDVIPLDIDLDNIEDVNPMCNWSTHMVRGTWLSKKNGIFNYGLPIPLLEHLREARMLMLESNIEVQEKLELELEILEDLQSTFDAMMENLGETEPLRREKCDWDVKLALDFKELQKRIISSIVSSCYNGKKLVEQELHNCKCV >cds-PLY94144.1 pep primary_assembly:Lsat_Salinas_v7:5:34738225:34739102:1 gene:gene-LSAT_5X14521 transcript:rna-gnl|WGS:NBSK|LSAT_5X14521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVFSPLTTTKKKTEKVKKVENTAKVAVAEDDGEPAPVTYENNSAIKTSKSGNRLQSYLPYKQDLLGAFANVNGLGRKLHPF >cds-PLY66865.1 pep primary_assembly:Lsat_Salinas_v7:7:18719738:18720088:1 gene:gene-LSAT_7X14980 transcript:rna-gnl|WGS:NBSK|LSAT_7X14980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASVGFKAGIKDYKLTYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAPVAAKSSTGTWTTVWTDRLTSLDRYKXLFNLRLSNLSATVIYAPSYLYLDHKRSQLHLHVI >cds-PLY77976.1 pep primary_assembly:Lsat_Salinas_v7:1:24091487:24095250:1 gene:gene-LSAT_1X20740 transcript:rna-gnl|WGS:NBSK|LSAT_1X20740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADCKSIFLILTALCCYFFCNHCYAETHTLQQGQHLTDWDELISPKKVFCLKFFSFGSSIKPYLGIFYNYNTLKRTSDGDDKLYLKDKPVWVANRNNPITDIYGELLIDIHGKLSILSGGGTVVDIFSSPPITRNASAKLLDTGNFVLQELYPDGSVKRVLWQSFDYPTDTLLPGMKLGINLKTGHRWSLTSWRSETLPAEGSFTLTGDPNATSGQMEMVILMRGNVHWRSGSWKNGGFENTGLQFSPDVHLYYVSNETEQSFTYLTKTYDSSPSLTMYLNGQLKGSSVNLDVQCSSIKLAGCAESDVLEEMNCRKYCSFESNDHYYGQYIYGDEYEYDESHNLTVYDCERLCWRNCSCMAFTYATADGAGCKTFNSRRTVKYREETKDSPSKVIVCHPGYYGEYDEMKKRKKRNRKKRNRILLITGIVSFALLLSCYLVCKKLHIRRESVRDAMLFGFLKAKKIQRLFLHQLRRLYKNIQTDMKINPELHYFTFQTISSATNNFSNTNKLGQGGFGAVYKGKLVDGQEIAVKRLSRGSEQGIKEFKNETELIAKLQHTNLVKLIGCCIEKKEQILVYEYMPNKSLDSFLFDPRKKGLLDWNTRFVIIDGIAHGLLYLHRFSRLRIIHRDLKASNILLDDYLKPKISDFGMAKLFGINESEANTSRVVGTRGYMPPEYMLEGSVSTKTDVFGFGVLLLEIVSSKMNHGTYDVEHPLNLLGLAWELWNEGRGLELMDPILEDSCTPKEVMTCIHVGLLCVQDHAMIRPTMSEVISMLTNENMHLPEPKRPAFFIERHDPDSARDDNLENGSVNGQSISILVAR >cds-PLY71280.1 pep primary_assembly:Lsat_Salinas_v7:MU043991.1:38339:38596:1 gene:gene-LSAT_0X38260 transcript:rna-gnl|WGS:NBSK|LSAT_0X38260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAANRLSAIAAEMGQLQNEIEERRRVLNLFFRSVRTLDPTWKEARIRAARERIEDLEGRQQALRAEQQALIVQAVTHGHRGD >cds-PLY63788.1 pep primary_assembly:Lsat_Salinas_v7:6:23947238:23947859:1 gene:gene-LSAT_6X19160 transcript:rna-gnl|WGS:NBSK|LSAT_6X19160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLLLVKIGESETGIAGTGIGYLPEPGGGNGGHGVVSGGGGDEPEVVIAKALQCFSDKHIYRSCEESCRLTESGQLNVPPGYTDEYCNGPCLKETHLVLNCIDDMLSHYVFYNHATVNDVKETIKAGCSYGPHRGNSLVIGWYTCSNG >cds-PLY64282.1 pep primary_assembly:Lsat_Salinas_v7:3:176257959:176260712:-1 gene:gene-LSAT_3X104341 transcript:rna-gnl|WGS:NBSK|LSAT_3X104341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKETDQIQIPNHKDRKMFIGVVWNCAGELKLLLSALLFLCSLITLFQFFPSSVRDLRVCASFPPPSSAVQFLKTTTNPPPPIESQQIKKLEDVVLEGGLIKRNFNGYGAAAYNFILMSAYRGGVDTFAVIGLSSKPLHLFSKPTYLCQWVPHNVTENQQNITTPGYKILPDWGYGRVYTVVIVNCTFSFPVGHDGAGGQLLVHASTSGGGDSNFDLTDTIEALTETPGSLNTLQFTAPPKYDYLYCGSPLYGNLSPQRVREWMAYHVKFFGEKSHFVIHDAGGVHPEVMDVLKPWIEKGYVTLQDIKEEERFDGYYHNQFLIVNDCLHRYRFMTKWMFFFDVDEFLFVPNKGTIKTVTDSMSQFTQFTIEQRTMSNKLCYLDDTAGKIYRKWGMEKLVYRDIKRGIRRDRKYVIQPRNVFATGVHMSQNIMGKSTHKTEGKIMYYHYHGTISERREPCRQLVNTTNLNVEGTPYVVDTTMREAAGNVKRFELRMIGPVLQRTRQ >cds-PLY68076.1 pep primary_assembly:Lsat_Salinas_v7:5:293216245:293217151:-1 gene:gene-LSAT_7X61741 transcript:rna-gnl|WGS:NBSK|LSAT_7X61741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKAMSICKKESEDEQTTKKRKEKKVDWFVFLTDDILLDILTRLPYGSLRYMAKYVCKRWLDLLSNMKLLDHASFILQKTGNLTARHVDIREEGEGLQVKVQDLDIPRIGMIRCWDHEFLLISEYKERKGIYKVVHLFMGPPNECHVLISRKDIASPKWKKFQVPCMNSQKISCFNAVSVQGRYFHWNVRCDQQRLISIDMMKEEIVEISLPLPDGGNGFYTIFEMGGSLALFAGDTRDTADIWTLKDFERKKWEKLQ >cds-PLY84796.1 pep primary_assembly:Lsat_Salinas_v7:8:24095232:24096014:1 gene:gene-LSAT_8X20881 transcript:rna-gnl|WGS:NBSK|LSAT_8X20881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 31 kDa protein [Source:Projected from Arabidopsis thaliana (AT3G10400) UniProtKB/Swiss-Prot;Acc:Q9CAE4] MGRYSDDDEDETFYYRYSSVAPQPPSSSSDKTLTKSSSSSGGKSGGLAPSKSTVYVSNIDYSLTNSDLFTIFSTFGKVAKVTILRDKVTRESRGVAFILFVARDDAVKAVNGINGKILNGRTLTASIAADNGRAPEFIKRRVYKDKSRCYECGEGGHLSYECPKNQFGPRERPQPSKRGRREGGGGGYRRSKEEEGGGDDDETGGDAVFEDDNWASVVDNGADERLLGGRDGNQDETMKKGKKEKTKKTSYFSDESDKED >cds-PLY94560.1 pep primary_assembly:Lsat_Salinas_v7:2:176141637:176142693:-1 gene:gene-LSAT_2X100520 transcript:rna-gnl|WGS:NBSK|LSAT_2X100520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRPGDQPQPHLLFPVSPTEAAAIIVYLKDKSDDELRKLLSDKEAYQQFLLSLDLIKTPNNLRDELRNETLQLARGNLAKESCMTELRNQCMIIRTTELATAEEKLNELNRQKTEILRSYSAVSLLHQLQELINKTDEESEMLHKQLLEKEMDVPSFVHKYKKLRVDYHKRALTHLAATTSLSG >cds-PLY88401.1 pep primary_assembly:Lsat_Salinas_v7:4:156520368:156524285:1 gene:gene-LSAT_4X94741 transcript:rna-gnl|WGS:NBSK|LSAT_4X94741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEMPPAVSVTLGNHVDFTRMKLFTETASLLSEKNINGDIMIPETDADEIMSVGDEQQIEINTSVSVPIAVAIEGIDNGQIVAKVISLEAEHTLKASVVTIGEKNQTKVLRSVFELEYLPLWGSHSVCGKRPEMEDAVVAVPQFMQVPIKMFVADHVIDRVNPNLSDLTAHFFGVYDGHGGSQVANYCRDRLHFALEEELKITKQELIKGTINDSLQIGWEKAFTKCFQKVDDEVGGNVSRNIPEVSGDPSDITSEPVAPETVGSTAVVALICSSHIIIANCGDSRAVLYRGKEAMALSNDHKPNREDEYARIEAAGGKVIQWQGHRVFGVLAMSRSIGDRYLKPWIIPEPEVTFTARAREDECLILASDGLWDVMSNEEACEVARKRILIWHKKNGGNTLERVGPEAEDPAAQAAADYLAMLAIQKGSNDNISVIVVDLKAQRRFKTKSSS >cds-PLY98750.1 pep primary_assembly:Lsat_Salinas_v7:1:7935512:7936135:-1 gene:gene-LSAT_1X6581 transcript:rna-gnl|WGS:NBSK|LSAT_1X6581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTIPVNSMVHHQTTLELEPKDLFDYSKRSQWLRAAVLGANDGLVSTASLMMGVGAVKQDIRAMILTGFAGLVAGACSMAIGEFVSVYSQLDIEVAQLKRDFNRRDIEVAETDGLPNPWQAAAASALAFSLGAMVPLLAASFIKPYKVRILVMAGAVTAALAVFGWLGAALGRAPAVKSTIRVVVGGWMAMAITYGLTRLIGAKGLH >cds-PLY98693.1 pep primary_assembly:Lsat_Salinas_v7:5:303251223:303251504:-1 gene:gene-LSAT_5X162921 transcript:rna-gnl|WGS:NBSK|LSAT_5X162921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKISSRSFLSPARAGAARDPPPLFLSNSHNRRLRNSRSIKGGVSPAMFPTIGKKIGSSFENPEPSSPKATCICQVRMKSKKKHTKNLSETTER >cds-PLY62980.1 pep primary_assembly:Lsat_Salinas_v7:3:119191708:119194629:1 gene:gene-LSAT_3X84301 transcript:rna-gnl|WGS:NBSK|LSAT_3X84301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLGWRRAFCTSVPRERDSTSMTSSMEEFEYEHVIDNGNGSSCGTTKLGRRFGFFSSSSNPSTPPLRSQSVSSPSLRCRTTVKVPPAPSSSVPVSPKLHCETKNSPRCFLRSSTPSSPRSPSPFTFLKSSLRLCTRRCGLCLQSVKKGNGMASFTAECSHCFHFPCISDHVKKQGSLACPVCSCLWKDMPLLSVDEQNQKHQFVEEEERIREKLATRFFMEDVASKNESPKQQPLNFKVYNDDEPLASLTPKARFIAIPESDETCDEESIDEFQGFYVKGGNNTPIEDHARDVDVRLLPDAAVIASSRRHESYAIVLKVKAPPAPEKTQRRAPIDLVTVVDVSGKMTCEKLQIIKRAMRSIVSALSSKDRLSIVAFSSYSKRLLPLRRMTTTGRRAARRIVEAMAVLEGSSNSKDAVKKAVKVLEDRREKNPVATIILLSEVLDQSSSISSARYSHARLDIPIHSLNLAVTEDHVFAKCIGNLLSVVVQDLKLQLGFISGSGPAAIAAVYSRTPLPIVLGSGAVQIGELSADEETELLIELKVPSSALRSHQILSVRCCYRESTSQELIYGKQQALLVPRPNAVRSSSPAIQRLRNLFITTRALAESKRLTDRNDLTGAYHMLVSARALMHQSNLASGNEFMLRLEAEISDLQRRRKVQAPSPAQQVGRRGRAADVAAYTDEKGEPLTPTSAWRVADRLAKVAMMRKSVNRVSDLHGFEDARF >cds-PLY85976.1 pep primary_assembly:Lsat_Salinas_v7:3:201979215:201980504:-1 gene:gene-LSAT_3X120220 transcript:rna-gnl|WGS:NBSK|LSAT_3X120220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMMKAKLQTFGRIRQLHTIISQETIRPSSPTPPHLKTHNLSLLDQFAPNMHTPLVFFYRNYKNGDNNILKQSLSKCLTQYYPFAGRIPTPFLPYINCNDEGVEFLEAFIDSPMDDFIHKNEQNETLDQLFPYGLSCTLRASCPKLLDVQLNHFAGGGAAVAVSMSHRLADGGTFSNFINHWATVTRCQTPVNPSFISSSTSNNIRLPKFIVRDLDKVKYATRIFVFPNSKLNELKKKVIATGKAPMKPSRVEVLTSLLFKHALSAAETNSGSLKPSNLSLATNMRNKFVENYSETAAGNLFTLAIAKMEEFGEIRLSEVISEVRKAKMGLEGMRNEQEVVEKLLNTFSTLKGDIYYISSLCRVPFFEVDFGWGKPIEILLRIPNVDENTIILIDTPSGDGITAHVHLPEEEMAILQNDKEFVTYLQDV >cds-PLY85977.1 pep primary_assembly:Lsat_Salinas_v7:3:200769891:200771381:-1 gene:gene-LSAT_3X119381 transcript:rna-gnl|WGS:NBSK|LSAT_3X119381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTVTQLVFIPAPVIGHIRSTIDLAKLLVNRDQRLSITVLVINPPSGSRSGSVITTYIESLTKKPIERIHFIELPQDETLPERDPKAPMTFVNNFINSHRKYVRDTVSNMISQTGSCRVAGFVVDMLCTGMIDVANEFNVPTYIFFTSNAAYLGFQFHIQTLCDDHNKDVLELSNSDVKIQVPGFMNPVPTKVFPSGFDTKEGLDYVLLITRNFRKAKAIIVNTFLELETRPIESLSTDSRIPSVYPVGPVLNLEDGAGTPPESDVISWLDNQPPSSVVFLCFGSLGCFDEVQVKEIAYALERSGRSFLWSLRQPPSLEQTSRAPGDYEDPGVVLPEGFLQRTASIGKVIGWAPQAAVLAHCAVGGFVSHCGWNSLLESLWFGVPVATWPIYAEQQMNAFGMVVEMGLAVEIKLDYKKDLFDGKSNIVTAEEIESGIRRLMEDDDVREKIKEMGKRAKRLLKREVRLTLLFVVSLTILEVTSHSLSHFYFLELNL >cds-PLY97983.1 pep primary_assembly:Lsat_Salinas_v7:8:286846839:286847129:-1 gene:gene-LSAT_8X162340 transcript:rna-gnl|WGS:NBSK|LSAT_8X162340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGVGWHTSEAPMLRPWVSSGEIDERGTKKMADGRRGGIPTAATTVSDEVGVSDGWQSIVVDEFARFLLCFERISARGRGCKRRTTMGWCWDDF >cds-PLY94475.1 pep primary_assembly:Lsat_Salinas_v7:2:158373623:158374329:1 gene:gene-LSAT_2X82580 transcript:rna-gnl|WGS:NBSK|LSAT_2X82580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRKEIQRKLHDSLINMLYTPPSPPPHENKYDQQTHDLAREIANSDHHHTDELEREAETNFSVSSEEDDELGSEKLTRAQRKRLRRKKLKEAASHRRQIIGPELPPTGDDQINDEVSNVHQQSEGVRRNVTERSESGNNRKTSESLFSFIQFFANLTS >cds-PLY64478.1 pep primary_assembly:Lsat_Salinas_v7:3:14990835:14993219:-1 gene:gene-LSAT_3X10421 transcript:rna-gnl|WGS:NBSK|LSAT_3X10421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVITGHDDATGSSEYDYIRRHHKHEVRDNQCTSSLVKHIKAPVHLVWSLVRRFDQPQKYKPFVSGCNVQGELEIGSVREVNVRSGLPATTSMERLELLNEEEHILGMRIVGGDHRLRNYSSIITLHPEIIEGRPGTLVIESFVVDVPDGNTKDETCYFVEALIKCNLKSLADVSERLAVQDRTESIVGA >cds-PLY99779.1 pep primary_assembly:Lsat_Salinas_v7:9:53216701:53219658:1 gene:gene-LSAT_0X7601 transcript:rna-gnl|WGS:NBSK|LSAT_0X7601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAQAEKWVLMVTARTPTNIAVIKYWGKRDEKLILPINDSISVTLDPNHLCTTTTVSVSPSFVEDRMWLNGKEISLQGGRFQSCLREIRARANDVEDEKKGIKINKEDWQKLHLHIASYNNFPTAAGLASSAAGLACLVFSLAKLMNLKEDNSQLSAIARQGSGSACRSLYGGFVKWIMGKEENGSDSLAVQLADEKHWDELVIIIAVVSARQKETSSTSGMRDTVETSALIQHRAKEVVPKRIIEMENAIQNRDFASFARLACTDSNQFHAVCLDTYPPIFYMNDTSHRIISCVEKWNRAEETPQVAYTFDAGPNAVMITRDRKTAALLLQRLLYNFPPQPDTDLDSYVIGDKSILKDAGIKDMKDVETLVGPPETKGNIVGEKSKGDVSYFICTRPGGGPVVLSDETQALLDPLTGLPN >cds-PLY88927.1 pep primary_assembly:Lsat_Salinas_v7:8:130017034:130018446:-1 gene:gene-LSAT_8X90161 transcript:rna-gnl|WGS:NBSK|LSAT_8X90161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SAD1 [Source:Projected from Arabidopsis thaliana (AT5G48870) UniProtKB/TrEMBL;Acc:A0A178USD1] MAHNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLKGFDVYVNMVLEDVTEYEITPEGRRITKLDQILLNGNNIAILVPGGSPDPE >cds-PLY91530.1 pep primary_assembly:Lsat_Salinas_v7:1:11101338:11109142:1 gene:gene-LSAT_1X9300 transcript:rna-gnl|WGS:NBSK|LSAT_1X9300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKEDGWTEIRRRRLEENANGEAITSYYVTNFPKGTTIQRLRESCKGLGILADVFLATKRNITGQEFAFIRFRDVKDLTAMEMELNKVKIGNHRLMANVSKHPRKPRAINGGQVNRVNIPPCGGLKFEGFENRRKSNPITCLVGKNGMVFNSILFDTPYLCLVGQRI >cds-PLY80663.1 pep primary_assembly:Lsat_Salinas_v7:5:246653225:246655769:1 gene:gene-LSAT_5X122700 transcript:rna-gnl|WGS:NBSK|LSAT_5X122700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIFSELNDRDLLLIPRSLLLLSMHLRKTLIWTWLVSAAPQLGCVILAELVDAWVWKNDTKRGLFASQVKFHGQAAKLRPQLATGEPEAPPEKDHTTIEIDYLSKTMYLEDRTVHLQLWDSSVDVITYDVSSRQTFLNTIKWIEEVCADLNVMFIETNAKARKIAAALPGMETLSSTKHEEMVESLCSC >cds-PLY79279.1 pep primary_assembly:Lsat_Salinas_v7:9:5397140:5408456:1 gene:gene-LSAT_9X5601 transcript:rna-gnl|WGS:NBSK|LSAT_9X5601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCENSRFIAEAMSISVHLIVLSLLLVIGSYDDDVQFFVYGVVDEYRRDDFPAEFVFGSGTSAYQVEGAVLEDGRSFSIWDTFAHSGYYNGANGDVACDGYHKYKEDIQLMVDTGLEAYRFSISWSRLIPNGRGSVNAKGLQFYNDFINRLIAHGIQPHVTLHHTDLPQILEDEYGGWLSRKSVKDFVAYADVCFREFGDRVLHWTSFNEANVFALGGYDMGFSPPGRCSSPFGFFINCSRGDSTSEPYLAAHHLLLAHASAVRLYREKYKAMQHGFVGLNVFAYWFEPYTNTTEDVKATQRAHDFYLGWFLNPLVNGDYPEIVKKNAGNRIPTFTELESERIKGSFDFIGINHYSTLYVKDNPSSLETDTRDVLGDMAATLIYGGDDGNGSLPTQFLVNPMGLEKLLNYLKEEYENPPIYIHENGQEQPRNGTLTDTPRVEYLHAYIGALLDAVRNGSNMMGYFVWSFFDLFELLDGYNSGFGLYYVDLDDKELTRYPKLSAHWYAKFLKGKNMSDIILNLKVKDSFSASH >cds-PLY73609.1 pep primary_assembly:Lsat_Salinas_v7:5:207759691:207760398:1 gene:gene-LSAT_5X96241 transcript:rna-gnl|WGS:NBSK|LSAT_5X96241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGLKINLVVIMALMLASVQFHDTAAQTTHVVGDALGWNIPPNGPSAYTTWASGQTFSVGDVLLFNFTTGFHNVAEVSQAAYAPCTTANPISIATTGPARVTLNAPGTHYYICTVGTHCQIGQKLTINVSAASATPAPSPTPVPVIPTPVSPPTATPTPTPAPSTTTPPPTSSPAPSSEDGSPVSPPTFGQSPSGSNAPSPTDTTILPPPSPSSAPSFTTVVPFTFLAVALAFFH >cds-PLY66491.1 pep primary_assembly:Lsat_Salinas_v7:5:40625014:40626951:1 gene:gene-LSAT_5X19541 transcript:rna-gnl|WGS:NBSK|LSAT_5X19541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTDQEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLHKIQGDSSDLENHHRSNGSNSSSFSQTAMPKGQWERRLQTDIHMAKQALCEALSLDNKSINLPELSSSTVSRNPSSITTNPSSQIHQQVAIPPTQTNQGATTYASSADNIARLLPNWMKKPQKSSQTSSESISTTDQTHNSFDGVQFTSPPSEGFDNSLQFGLNNYSNYSNISDGSPSVSPETSLFQDESKPNTKNAQFPPLTFLEKWLLDDATAQVHEDNLMNLCLEETDALF >cds-PLY64924.1 pep primary_assembly:Lsat_Salinas_v7:8:133119273:133123298:-1 gene:gene-LSAT_8X92401 transcript:rna-gnl|WGS:NBSK|LSAT_8X92401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase 1-like protein 6 [Source:Projected from Arabidopsis thaliana (AT4G28540) UniProtKB/Swiss-Prot;Acc:Q8LPJ1] MDHIVGGKFKLGRKIGSGSFGELYLGVNIQSGEEVGVKLEPTKTKHPQLHYESKVYMLLQGGTGVPSLKWFGIEGEYNIMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEFMHARSFLHRDLKPDNFLMGLGRKANQVYVIDFGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPIEVLCKSYPPEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGASSRERPQTGNAAGGQTSAEKTGRPSGGQDRLHGDAPYSRRNPPGGTRPEHSRTRISENVSLSLSLSLSLSLSHVSNQQTDSEKVRSSRNGNLSKHLSSSRPGSSAEATDGRSSRVISSSGSRLSTTQRGAQPTTSKPGREDPLRSFEFLQIRK >cds-PLY84536.1 pep primary_assembly:Lsat_Salinas_v7:1:31500592:31503206:-1 gene:gene-LSAT_1X28180 transcript:rna-gnl|WGS:NBSK|LSAT_1X28180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVCVVSLWNKTTLVLLQVEICLQSEGTRLENECRVLRKCYIGSDASVVARPKMANPLEMLEASCTRLVRRMVSLPRAIMDQGLDLMRNHHQFPPPNVPVYNHQPPLDHSISNHLQPDLSFLSCFEQHFGIIHPFFYACRFVEALKMAQDEHKFVFLYLHLPNHPFTPHFCKETLCSEVVVQFLDANFVSWGGIADQGEGLHMATTLQPASYPFCAIVAPGSNHNLDVVQQMEGPVTPEELVEILQTILEEQQSAFSNRRAQAEEKRKADIRLIQEQDAAFAASLQADQEKMSKLENKAQHEENATAIGQHSQILIRFPNGERKEKTFSSLDKMEAIFKFIDSLGIPGIGENYRLVSSFPRKVYGVDKGSMTLKDVGFHSEATLFIELV >cds-PLY92135.1 pep primary_assembly:Lsat_Salinas_v7:3:143085664:143085966:1 gene:gene-LSAT_3X93301 transcript:rna-gnl|WGS:NBSK|LSAT_3X93301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSKTGVLKRLKKMDHRPRHSPERSNSFSLKSISQVTRKPQINRKGVMIREILTPISLASDKRRAEDMAKKIAMKKKRVVFETEDEVIPESPIQDHDILV >cds-PLY89045.1 pep primary_assembly:Lsat_Salinas_v7:9:28798958:28799501:-1 gene:gene-LSAT_9X25401 transcript:rna-gnl|WGS:NBSK|LSAT_9X25401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERTPSELLKDDSLFIYDKTLQKLQQRPCHDISLIPDESMNIDISLSMATNEALVTLNACMDSFPSSKEEPHNNMPLFFVLY >cds-PLY99339.1 pep primary_assembly:Lsat_Salinas_v7:1:81217462:81221183:-1 gene:gene-LSAT_1X67340 transcript:rna-gnl|WGS:NBSK|LSAT_1X67340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGLMPSDTTPGVADDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLAFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHALLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISVEKAYHEQLSVPEITNAVFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGVDDEGEDEEY >cds-PLY88043.1 pep primary_assembly:Lsat_Salinas_v7:6:180907799:180908647:-1 gene:gene-LSAT_6X109401 transcript:rna-gnl|WGS:NBSK|LSAT_6X109401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDLDGHPLYSCNRCRNPIALRFNLLSKDFKARSGQAYMFSEAMNVVLGGKREQQLITGRYVVADVSCSKCGEVLGWKYLKSLDDSQRYKIGRFIIEKAKVLKEYS >cds-PLY95404.1 pep primary_assembly:Lsat_Salinas_v7:9:190501073:190505386:-1 gene:gene-LSAT_9X117621 transcript:rna-gnl|WGS:NBSK|LSAT_9X117621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKILPVILYLFILGFHKRVYGNAEVDALNSLKSKLYDPKNVLQSWDSALPTPCTWFHVTCDPRNKVTRVDLGNADLSGELVSELGQLTNLQYLELYSNNITGKIPDELGKLRQLVSLDLYLNQLEGGIPNTLSNLQKLRYLYFECLKNQSVVSVFLCKDSRLNNNSLMGTIPNSLTTIDKLEILDLSNNFLNGSVPYNGSFSRFTNISFFNNPNLTFANDTTPNVTLTPPSVRKDNTFAIAGGVAVGAAIFIAVPLIALAWWRGRHPQDHFSDVAAGEDPEVHQLGQLKRFSLRELQVATDDFSDKNILGRGAFGKVYKGRLVDGSLVAVKRLLDRVQGGELQFQTEVELISMAVHRNLLRLQGFCMTPTERLLVYPFMVNGSVASCLKDRPDTKPALDWPTRKRIALGSARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAIVGDFGLAKLMDYKDTHVTTGVRGTIGHIAPEYISTGRSSEKTDVFGYGVMLLELITGQRAYDLARLANDDEVMLLDWVKGLLEDRKLEVLVDPDLGGNLLNGVEEVIRVALLCTRATPWERPKMFEVVKMLEGDGLAERWEEWKKEAIPKKEDSQIQQIRVEFNSGFNPSNDHLSGPR >cds-PLY65633.1 pep primary_assembly:Lsat_Salinas_v7:7:27006013:27008955:1 gene:gene-LSAT_7X21060 transcript:rna-gnl|WGS:NBSK|LSAT_7X21060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVKDLKSKQELDNLVKEGSPVMIHFWASWCEASKHMDQVFSHLSTDFPHAHFLRVEAEEQPEISEAYSVSAVPYFAFCKDGKTVDTLEGAFPSELANKIAKVVGSIHAGEPAAPASLGMAAGPTILEAIQDIAKIDNSSTHSRPPTGVTETLKTRLEKLTRAHPIMLFMKGTPEEPKCGFSKKAVAILRAENVKFGTFDILSDNEVREGLKKFSNWPTYPQLYCKGELLGGSDIIIAMHEGGELKQAFADHGVGNDVSKVIAGQPMGQKGGVTDSTGLSSGLTGRLESLISSSPVMLFMKGSPDEPKCGFSRKVCDILREEKVDFKTFDILSDEEVRQGLKVYSNWSSYPQLYIKSELIGGSDIVLEMQKSGELEKILVEKGIISLEERLKKLVNSNPVMLFMKGTPGAPQCGFSSKVVNALEGEGIKFGSFDILSDEEVRQGLKKFSNWPTFPQLYYKGELIGGCDIVLEMKSNGELGSTLSE >cds-PLY68619.1 pep primary_assembly:Lsat_Salinas_v7:2:72627728:72628309:-1 gene:gene-LSAT_2X32620 transcript:rna-gnl|WGS:NBSK|LSAT_2X32620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTFTAALVKPTKEANSKKPLDTIRLQHPQEGKDFTVDFVYNDGKTVCNLCKNTFEKMNFLYQHMKFHSDGDWKIFFTSRPKTVTIDKEAKVVNSMEPPLRWALTRKRTRRALLVDDIDSKVYKGPIMEVHDPTDLEGAENLPFLRYGDNTYFAEQSVTPRQIQAEESEGRSEVCFKFDLNQTPPMDMEAEDL >cds-PLY72150.1 pep primary_assembly:Lsat_Salinas_v7:7:53559281:53560816:-1 gene:gene-LSAT_7X38881 transcript:rna-gnl|WGS:NBSK|LSAT_7X38881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKAKVKRGPWSPEEDAILKNYIHNHGTGGNWIALPHKAGLKRCGKSCRLRWLNYLRPDIKLGGFTEEEDNIISSLYVNIGSRWSVIASKLHGRTDNDVKNHWNTKLKKKLSLSHNTSNATTTSVAPINHIQFSSPTNLPKQEVDHYQTIYKPIFDHSMMVDYQQTPMTSDSTSTSTINVNILSSQENHGFSPNAFPISNGYASWCDNGGGVIDSGSDFLTGEFDFRQVFGEVANFNYASPWL >cds-PLY76835.1 pep primary_assembly:Lsat_Salinas_v7:3:6052545:6053132:-1 gene:gene-LSAT_3X3420 transcript:rna-gnl|WGS:NBSK|LSAT_3X3420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEARSRNSCCRLLRGIFTVVRYVVNLQDQNVIVIEILKHLGLMLQARCSARSFFGVEDFVDDDNSRPYTYQKEKKSKNPNKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRVTSRQVAVAGTNSKDIKAALQSRSDIPACLAIGRILSERAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDVKIYLD >cds-PLY95084.1 pep primary_assembly:Lsat_Salinas_v7:1:92651634:92652563:1 gene:gene-LSAT_1X74080 transcript:rna-gnl|WGS:NBSK|LSAT_1X74080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVGASERLGVESERGGMGVGSRLFHFGHVRSPAVDAVKVCCASGVMFSTSWSLKDDSCLSLFESVVNFTYNAFPPGTQVEMSSYSLSDLVGSFWFFSAQSNSFFADGVNRLERFLAVDSGLAWLAKEAQVLHSYNAMLKEKVSECENDVLFLKIVVVEKKLLEYCVSSLLWNRLALRATVVQLKRENEGLSIQLKTLEKDLLEKEKIMEEQSAVVGDLGDDMQLLMKEGLIGVVDHILESTEFGYEVNKFQDACVVAGKALEIQQAKEFVCVGSQLEGVVDDDVDHEATVEEALEAFASLDYKFFFWN >cds-PLY68443.1 pep primary_assembly:Lsat_Salinas_v7:2:60071537:60072010:-1 gene:gene-LSAT_2X28100 transcript:rna-gnl|WGS:NBSK|LSAT_2X28100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIIPSFFTGRRSNVFDPFSLDIWDPFQGFSSVLNNLPESSRETAAITNARIDWKETPEAHVFKADIPGLKKEEVKVEVEEGRVLQISGERSKEHEEKNDRWHRVERSSGKFLRRFRLPENTKMEEVKATMENGVLTVTVPKAEEKKPEVKSIDIGG >cds-PLY82373.1 pep primary_assembly:Lsat_Salinas_v7:3:116485869:116486147:-1 gene:gene-LSAT_3X82521 transcript:rna-gnl|WGS:NBSK|LSAT_3X82521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQSGGGGGDGLAKRAEEHIRGWQRSVMASSTTPLASSTVPTTAIEVVYDHHINNTHPMAEHRVLLDGRRQEEKNKKIHRDGGGGTDVLNIR >cds-PLY79718.1 pep primary_assembly:Lsat_Salinas_v7:8:124600590:124605092:-1 gene:gene-LSAT_8X86460 transcript:rna-gnl|WGS:NBSK|LSAT_8X86460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADAGTIRTFEDFLKVHGLLLAAAGIPQSLYRKLFEKLSTETFDGGNYFQVEPVEDGRQRRLVLTTSNSMGKESNIFLVDHALSFRLADAPKQLQEIPGLVERMASLMCVDIDLNSDDEDADKEDIKLTVVEIVERELEKVKKEGPGAVVWLELEDLNIDDDVFVSLDLPTKFPDLIALSLCNNKIKFTETITKEIVKFKHLRALWLNNNPIMQNFDDHVADAIIHNFPRLEILNSSFTRKYTGWALGFCGGIYDKDNPGCGHDDDNHSLQGLTSLDLSNRRIHSLHMGFSADAMPSLSYLNLRGNPLNDHSVSDLHEHLKAFTSLHSIEVDIPGPLGDSAIKIAESLPNLSFLNGVNTSKVLESGKSVIDSMLLPRLPEWDSGEPLIDRVLNAMWLYLMSYRLSDEEKIDETSIWYVMDELGSALRHSDEPNFRVSPFLYMPDGKLESAVSFTILWPIKNVEDGDECTRDYLSGIGEKKQRSARLTAWFHTPQKYFIKEYETFWQNLRSISSSPVNKASRTGSLARSDRCPLGVYTDIPQVEEILTHPGFIITSEPKEADIIWTSMQVDEEMKKAVGLNDGQCMNQFPFEACLVMKHHLADTVQKAYGSPEWFQPTYNLETQLTQFIGDYYVRENKGDDNLWILKPWNMARTIDTTVTGNLSAIIRLMETGPKICQKYIESPALFKGKKFDLRYIVLVRSMNPLELFITDIFWVRLANNTYTLDKKSLFEYETHFTVMNYRGKLNHMNTPEFVKEFEQEHQVEWLEIHSRIKQMIRMVFESATLVHPEMHSPMSRAMYGVDVMLDRSFKPKLLEVTYCPDCTRACKYDMEAITGGGESIKAKDFYNYVFGCLFLDETTHVSAL >cds-PLY94302.1 pep primary_assembly:Lsat_Salinas_v7:7:165492364:165493589:-1 gene:gene-LSAT_7X97260 transcript:rna-gnl|WGS:NBSK|LSAT_7X97260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPYSNPSDAGVLCVILVNTAMSISIMKEIVCSILHVVGLRVASSPSSSNQGSPEASERRGSPSETYMEEFRSRTPSLRYISLRRPTKQECSVCLTEFKPDSEINKLSCGHVFHKSCLEKWLKCWNITCPLCRNHMMISKEMEENNTCPM >cds-PLY71961.1 pep primary_assembly:Lsat_Salinas_v7:3:23860797:23861465:1 gene:gene-LSAT_3X17760 transcript:rna-gnl|WGS:NBSK|LSAT_3X17760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKGESSATPEEDKPPPHQTITTTTTHHLSAPPGLTEDEFNQLKPFVIDFHTYHLTSSQCSSLLAQHIHAPAAVVWSVVRRFDKPQTYKHFIKSCTVSEAFTMTVGCTRDVNVISGLPAATSTERLDLLDDEKHVMGFTIIGGEHRLRNYHAVTTVHEIISAVDAPPITVVLESYVVDVPEGNTEEDTRLFADTVVKLNLQKLASVTEAMAHDGDATIKSRR >cds-PLY71294.1 pep primary_assembly:Lsat_Salinas_v7:3:192885879:192886596:1 gene:gene-LSAT_0X45781 transcript:rna-gnl|WGS:NBSK|LSAT_0X45781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLFFLSFDCNISAWTVFFPNSNVLCYDECAYVCYNNAYYTGVMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNRILVGNKL >cds-PLY91765.1 pep primary_assembly:Lsat_Salinas_v7:2:14491439:14494159:1 gene:gene-LSAT_2X7461 transcript:rna-gnl|WGS:NBSK|LSAT_2X7461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVVNAIIKPVVETLMVPVKKHLGYLISCRQYIRHMGIKMSELNDTRHSVEEYMNRNKKNHLEVPTQVEGWLEDVEKINAKVEDIPSDVGTCFNLKMRHKIGRKAFKIIEEIESATRKHSNIIWTNYPIPVGKVDLMKASTSKPSMGHHEDFQSREKTFIEALKALEPNKNSHMVALWGMGGVGKTTMMQRLKKVVKEKKMFNFVVEVVVGEQTDPIAIQEAVSNYLGLELKESTKQARADKLRKWFMDNSDEGKTKFLIILDDVWQLVDLNDIGLSSLPNQGVNFKVLLTTRNRDVCTMMGVEPYLIFNIKVLMEAESQSFFRQFVETSDDVDLELHMIGENIVRKCCGLPIAIKTMACALRSKSKDTWKDALSRLEHHDIGNVAYKVFETSYDNLEDEETKSIFLLCGLFPEDLDIPMEDLVRYGWGLKIFKKVYTIGEARIRLTTCIERLIHTNLLMEVDSVGCIKMHDLVRAFVMDMLSKVNNVHASFVNHGNILELPVDEMRDTCKRLSITCQGISEFPRDLKFPNVSILRLMDGDKYLRFPQDFYEEMEKLEVISYEKMKYPFFPSSPQSSTNLRVLHIHKCSLMMFDFSCIGDMLNLEVLSFASSNIKWLPSTIGKLKKLRLLDFTNCNGLHIDNGVLKSLVKLEELYMGVDCGRDISFTDEICNEIAERLKRLYTLELMFFEKLKMKNMSFEKLQRFKISMGRYLDKAFGKHVYSFENTLQLATTKVELLESRLNELFEKTEVLYLSLGDMYDLLDIEVKPSHPIHSSSFYNLRVLVVSECLELIYLFTLDVAKTLSKLEHIEVYKCENMEELIYTDGSGDEKIRFPKLKFLSLHWLPRLLGLCNNVNIIELPQLMELKLDFIPRFTSIYSENKFETSSLLKKEVDTFYVSITTIFSD >cds-PLY91755.1 pep primary_assembly:Lsat_Salinas_v7:9:17442689:17444273:1 gene:gene-LSAT_9X16221 transcript:rna-gnl|WGS:NBSK|LSAT_9X16221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYEYKRNHVPAFGSWDCDNDLPFTQCFESARQAGLLRYSYSEDRDLYVTGDLYDNNVVTPAMIVVPRRTGKAGYPHVKEGKKDSWVVCDYEYDYTYDCDVKEPPSPVSVATPPPPSPPSRRRQQPKRHVKPKAVDEDLYRISPELLRAKPRKYQLPNGNQMEKKVTK >cds-PLY71699.1 pep primary_assembly:Lsat_Salinas_v7:3:47248159:47248356:-1 gene:gene-LSAT_3X36260 transcript:rna-gnl|WGS:NBSK|LSAT_3X36260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVAISTTESRRSSNLSLHQPLGSSGGDMMARKWCSGKATTGTAPATAGCGCEQRQKRMKEKE >cds-PLY63413.1 pep primary_assembly:Lsat_Salinas_v7:7:147349700:147350740:-1 gene:gene-LSAT_7X87261 transcript:rna-gnl|WGS:NBSK|LSAT_7X87261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSNQIVKEIPGVIRVYKDGRFQKLAGTDVVPAGIDPSSGVQSKDVIISPETNLSARLYLPKTPTKKLPLLIYIHGGGFIIDTAASPLYHDFLNLIAAESNVVIVSVDYRTAPEYPVPTCFNDSWEAIKWVAGNCPEPWLNDYADRENVFFAGDSAGANIAHQMAIRVGLENPCLSINLCGIILLHPYFWGKDRIGSEGEDPRKTLLDDMWIFAHPGTSGLDDPLINPAKDPNLSDLGCSRVLVCVAEKDIGKNRGWYYKDNLGKNGWNGDIEVIEDRGEDHVFFLFNPSANNASTLRRRICTFINHMDN >cds-PLY75698.1 pep primary_assembly:Lsat_Salinas_v7:8:194641643:194645419:1 gene:gene-LSAT_8X125520 transcript:rna-gnl|WGS:NBSK|LSAT_8X125520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFFPHGKTEVRRYVGIWYTMDPKTVVWVANRDNPLMDSTGILTVAEDGSAKLLNGKQVEYFSTDTSDGASSTALKLLDNGNAILINVISGNILWQSFQTPTDTLLPGMKMVDNNLKLTSWKSREDPGSGSFEFQQDPGTNRYFILEESTKLRWKSGNMSTKSFDENQIFSQAFQLLSNTTTDTRTIFKSGDKKRCSVRSSNCTGSTDKIVYNESYWVIEPYSRLVMNHTGHIQYFSWHQEENKSHWILDWQEPKDNCSVYKVCGSFGICNQNNSVMCSCLPEFEPNSPDEYRAGCKRTSEICLPGTNDTFLTRTMISMDDTTLPFYKSENESACKKKCLKDCQCLAYSYISQNKLGGLVDESRNVESGCWIWNSEPDNLRENGAHNISFRVSKLSKGRIISPPPEQEPKPTEKSSFVKRVLAIVIIVSTLVLLSLCGISYILYKRLMNRRENNELHSNDTRRRMKELLDPDHSKEDDREGIDVPYFELESIIAATDDFSEKNMLGQGGFGPVYKGKLPGGEEIAVKRLSSLSGQGLQEFKNEVMLIAKLQHRNLVRLLGYCIKGEEQILLYEYMPNRSLDTFIFDRTLCASLDWKMRFEIIMGIARGLNYLHHDSRLRVIHRDLKTSNILLDEDMNPKISDFGLAKIVKGKDMEAMTNRVIGTFGYMSPEYALDGLFSVKSDVFSFGVVMLEIVSGTKNTGFYQSQRSLSLLGHAWNLWREDKPFELMDKVLIESCNSSEVLKCINIGLLCVQGDPDDRPTMTKVVLMLGGDIVTLPTPKEPAFIARKDNATSSSSSSYKTDTQSKNMLTITKLDGR >cds-PLY75189.1 pep primary_assembly:Lsat_Salinas_v7:2:203553926:203554696:-1 gene:gene-LSAT_2X124321 transcript:rna-gnl|WGS:NBSK|LSAT_2X124321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANNQMIITILILLQLAFLKANTTTHEVATRYRDDPRDDYPPRDDINTARVVVEGKVYCERCKYGGPWSLSGAQPIEAARVSVICKNYKRRLSYYKTYSTDHDGYFYAELKGFRMSHYLLDHPLQSCRVKLVSSPLDHCNLISNVNNGVGGSPLRFENKVLFRRNTETVIYAAGPLAFRPNDCYAQTTP >cds-PLY70770.1 pep primary_assembly:Lsat_Salinas_v7:3:135242009:135242906:-1 gene:gene-LSAT_3X89200 transcript:rna-gnl|WGS:NBSK|LSAT_3X89200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLTPICLVLFVLLAVTSASGVDGGLKQLQLNLVTDTMEMPFYDDVNEIEGEDDGGLTISGRRSLLWGNMRYYISYGALSANRVPCPPRSGRSYYTHNCWRARGPVHPYNRGCSTITRCRR >cds-PLY80218.1 pep primary_assembly:Lsat_Salinas_v7:9:192209292:192210154:1 gene:gene-LSAT_9X119060 transcript:rna-gnl|WGS:NBSK|LSAT_9X119060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIISQGMSEGKKALYVSNVHVALILIYVLSAFLLVLRFILIKAIIHIELWTICHFLSSVLIGMQMKRSCYSSNNNALTTSTKPSNVNANDNANDNASSSGVLDGSGRKAEKVACFQQRKRSRVTFPKPSKTGTRSSNSQRSLSNKGVVSENKVARPPAEGRGRNQLLLRYWPKITDQELLHISGKYISYVHI >cds-PLY79558.1 pep primary_assembly:Lsat_Salinas_v7:8:118506033:118507317:1 gene:gene-LSAT_8X81861 transcript:rna-gnl|WGS:NBSK|LSAT_8X81861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVEEVFALRKWCRVKLLNGLIRQGKSLIQFGFPGSRVSLKDWCSLEATNFLSCSTPSAIECKKSREQRCNEKAIKIFISRGFQEKEAFVDDIGEFQVSMVFSMLMYGGNCVIWERISG >cds-PLY86090.1 pep primary_assembly:Lsat_Salinas_v7:7:139731784:139733461:1 gene:gene-LSAT_7X82961 transcript:rna-gnl|WGS:NBSK|LSAT_7X82961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENYSYTSYAESGESSPRSRDVDFENPQPWEDHQTSASATNNYKVKVMCSYGGKILPRPHDNQLSYVGGETKILAVDRLVKFGAVMAKLTQLCEHGEVCLKYQLPGEDLDALITVTNDDDLEHMMHEYDRLNRGSSTPARLRLFLFPVSGQSPALTPNHSFGSTDQGRSERERFMDALNSGHLQPTTPPSVPQPPPHGNINMELMFSSEMPPPPPVVASRTQQVHQQEQEFVVQDERRMESDQIQKHIHDLQRLRIAEEQQPGLYRKPSDDNLAGAGYGDYYVPKMTEKVAPTTQPGTVPSPATGYQISGGFPASTISQDQQPVYMIQTPAGMFHAPPPVNHGQGYYVQRMPTDVYRDQQMYNVMPPVQQVATQQTTVSTQAQQQFLPQKVATYTEGYRMVQSTAAGTDHGYTQVGYENGTGRQIYYTNQGTVVGQQQHAQQPQQPLQPTAQQYQGMVALNQEGGAKVAPVNMKEFI >cds-PLY81575.1 pep primary_assembly:Lsat_Salinas_v7:1:133621584:133622326:1 gene:gene-LSAT_1X100500 transcript:rna-gnl|WGS:NBSK|LSAT_1X100500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPTRMNSNPIHNWGTSNGLGLAKSNSSSFSLSSFSSLDQRGLTERGMGIWAMFVFVVDGSSSEDELQALKNEMLLIVAQLPENAMVGLIVFDSMVRVYDLGFIECLRVVVLHGERKPSSSQVLKRLTLMWRRKKT >cds-PLY79367.1 pep primary_assembly:Lsat_Salinas_v7:9:54393203:54395382:1 gene:gene-LSAT_9X49501 transcript:rna-gnl|WGS:NBSK|LSAT_9X49501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSTETKFLQELVLYAASAALSCLVLFAGLKHLDPNRESSKKALEQKKEISKRLGRPLIHTNPYEDVIACNVINPDHIGVEFDSIGGLETIKQSLYELVILPLRRPELFSYGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISDLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRTTDHEALTNMKTEFMALWDGFTTDHNARVMVLAATNRPSELDEAILRRLPQAFEIGIPDRKERAQILKVVLKGERVDDQINLDSIAALCEGYTGSDLLELCKQAAYFPIRDLLDDEKKGIKTNGPRALSQADLEKVVLTTKKTRVAASEYSGLSSSQSEVWSVPRETDDYQAAISELSKIVVSQIMNIRAENQDRD >cds-PLY76436.1 pep primary_assembly:Lsat_Salinas_v7:5:199441688:199443253:-1 gene:gene-LSAT_5X90260 transcript:rna-gnl|WGS:NBSK|LSAT_5X90260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLLCLQSVASNEMVSDKIPKLDFSIHNHRQVNLRIVRPGGKRLCVCLHSCLLLQANTCILTKNFIFAEKVASYQDLLQLVKLGSRLY >cds-PLY71258.1 pep primary_assembly:Lsat_Salinas_v7:7:90699884:90703801:-1 gene:gene-LSAT_7X63020 transcript:rna-gnl|WGS:NBSK|LSAT_7X63020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSATDDLNQSQPSTFSSIESSRPCRYFEFHEILLATANFDESLVIGKGGFGKVYKGNIINGPSLVVAAIKRLDSMSTQGVTEFWAEVEMLSKLRHCHLVSLFGYCNHEKEKILIYEYMPNGTLEDHLHKLGTSLSWLQRLKICISAARGLDYLHTGTGIDVGVIHRDIKSSNILLHESWAAKISDFGLSRIGPTNQPSTYVNTLVKGTFGYLDPNYFTTGRLTRKSDVYAFGVILLEVLCRKRAVDRSLDEEQWGLVAWAQSSIKEGNLKSIIDCDIRGEISTKCLKEFVRIVERCLLSNPKQRPTMAEVVVSLDSLMTLQEKTNTSLQAANKTIFGKVLDMFPSTSNRENSARGDSKLSSKGYNRNTRLIVYKFKDLKRATRHFSQDLLLDMGDCGEVFLGWVNSTEGVEVAVAVKRYTPADHEIHLQRWQHFNAQLAGFGSARFFPEIGRIDVTTRVMGSLGYLDPEYLSTGRVSLGSDIYSFGVVLLEILTGQQAISFDLPEHQRNLATWARSYLADTTELKKIMDPRLEQNYSFKDAFECATLASRCIAEVSKDRPSSAEVWHTLERIYGL >cds-PLY62429.1 pep primary_assembly:Lsat_Salinas_v7:7:116345901:116346219:1 gene:gene-LSAT_7X71880 transcript:rna-gnl|WGS:NBSK|LSAT_7X71880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRWRGNPFPLREKDRLQRSKFVHWRGKSRVHLFRLTFLSKNLTNNLEGQNLRFQIARTSRD >cds-PLY99177.1 pep primary_assembly:Lsat_Salinas_v7:6:129780014:129781099:-1 gene:gene-LSAT_6X79001 transcript:rna-gnl|WGS:NBSK|LSAT_6X79001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSNHLSLTWVLLVFLALPTKFAIQAQGIKSTRLLDLAIRYYTFKSYKNFKTGMSYNVHLPSNLTGVNVSTVKYRCGSLKRYGASIKEFHLGVGVDVHPYVERVLVVTQNLGINWSNIYYDNYHELVGYQLVSPVLGLLAYNAVDDAKFNVQFEVKIQSPQVNGIKIDFSNYTMIKNNTLHGRIQMCATFGDDGKVALEKEVAPNICGTRSQGHFGLVVQSPILLPERKKIKRWKVVFGSSFGAGIGAFLLGLLLVAMFVKVKKKARLEELERRAYEEEALQVSMAGHVIRTHNV >cds-PLY76502.1 pep primary_assembly:Lsat_Salinas_v7:4:175466860:175467835:1 gene:gene-LSAT_4X103741 transcript:rna-gnl|WGS:NBSK|LSAT_4X103741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSVNLQRHPKKRKLKSLLSSFEFSPRVAPPSSVAPPKPSFGGRNTLTDWSEHETFVLLDAWGERFLQCGRKSLRSEEWQEVADRVSQESKIERTDSQCRNRLDTLKKKYKKEKASLTGSRGVNTKWVYFKKMDMLLSGENAYMNPNDNLNPANGVDDMRDSGGNSDSQEGDDDSDLLPPKKLKFKGGGGGGGGGSIKLLAESINKFSEIYEKIENGKIQQMIELEKMRMDFHKDLEMQKRKILDRAQAEITKVRQGDYEENDGSAENVSG >cds-PLY67914.1 pep primary_assembly:Lsat_Salinas_v7:1:55057643:55059022:-1 gene:gene-LSAT_1X48900 transcript:rna-gnl|WGS:NBSK|LSAT_1X48900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFSLLKLALVASHAVNPDVYWKSVLPNSPMPKAIKELVQTTEWSEDKNTAVGVGEDGVAVHTGKPGKQTDVSVGYGYGHSPFNYNYAASEDQLKDNPNIAFFFLENDLHRGTEMKTHFIKDDQKATFLPRQVAESIPFSSNKLPQIYNEFSIKSDSVEAQDMKQTLSECENKGIEGEEKYCATSLESMVDFSTSKLGKNVKAISTEVNAKESTPLQKYTIEGAKKLAADKAVVCHKQNYAYAVFYCHKTVSTRAYSVSLVGADGTKAKAVTVCHTDTSMWNPKHLAFQVLKVKPGSVPVCHFLPEDHVVWVPY >cds-PLY80689.1 pep primary_assembly:Lsat_Salinas_v7:3:171805670:171807396:-1 gene:gene-LSAT_3X104461 transcript:rna-gnl|WGS:NBSK|LSAT_3X104461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQANKEQMEQICRAYWTTLAPNVEDYSTKAAKLIVAGSGQLVKGILWCGDVTVYRLIKGNEILKLKIGPASNTAVNPELLKAIHRVNKVTKMTETVVGGLLSSVLKITGFFTSFVASSKLGKKFFKFLPGEIALATLDGFSKIYDAFEVSGKNVMSTSSTVTTELVSHKDMIEIVAIRLDSMVVAEVASLLHDDVLDDADTTCGI >cds-PLY69053.1 pep primary_assembly:Lsat_Salinas_v7:3:206619707:206620397:1 gene:gene-LSAT_3X121220 transcript:rna-gnl|WGS:NBSK|LSAT_3X121220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQTALQNYDRKSELTAFDETKSGVKGLVDAGITTLPRIFIVPSCENPNSGEPPCPELNLPIIDLYGINEDPIRRKEVIEQVKNALGSWGFFQMVNHGIPDSMLEEMKKGVLGFFEQDNEVKKKWYRRRDGSGKPKFLYNSNFDLYSAPVANWRDTIYCPMAPNPPQPHEIPSACRFASIVISLNE >cds-PLY92212.1 pep primary_assembly:Lsat_Salinas_v7:6:74385335:74385499:-1 gene:gene-LSAT_6X54281 transcript:rna-gnl|WGS:NBSK|LSAT_6X54281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWEQLSGDGDGVDDGDDDGGGGGNNCGRGGVDGGGGGNVGDGVVVVVMVMVGQ >cds-PLY64876.1 pep primary_assembly:Lsat_Salinas_v7:3:17792027:17793926:-1 gene:gene-LSAT_3X12881 transcript:rna-gnl|WGS:NBSK|LSAT_3X12881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 26S proteasome subunit RPN12 [Source: Projected from Oryza sativa (Os07g0435100)] MDPKLTEVSQLFERFKAALVRNDFDTCSKLLSQLKILLIEFKSLPPLFEETPNAVQELTIARDIYEHAVVLSVKMEDQDAFERDFFQLKPYYTDVGSRLTQSPQEYPILGLNLLRLLVQNRIAEFHTELELLSANALENTCIKHAVELEQSFMEGAYNRVLTARQTVPHETYVYFMDLLARTVRDEIAGCSEKAYDSLTVKDAREMLLISSDQELFDYIKEEHPEWEVKNGLVVFQKAKESAPCKEIPSLQLINQTLSYARELERIV >cds-PLY68601.1 pep primary_assembly:Lsat_Salinas_v7:5:439992:440751:1 gene:gene-LSAT_5X401 transcript:rna-gnl|WGS:NBSK|LSAT_5X401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLRKYRLHNKFNRKIGVLAGCISIKRPKEDAKKRSHGNENYDPRTLYLPPDFLESLLGGQRQWWKFKSQHMDKVLFFKMRKFYEPFEMDAHVGAKECEQSWDGFGVFEG >cds-PLY69580.1 pep primary_assembly:Lsat_Salinas_v7:1:13024981:13026434:1 gene:gene-LSAT_1X10961 transcript:rna-gnl|WGS:NBSK|LSAT_1X10961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMLELITKASAAHEQLPSDSQYPIVLNPDPILLNLKPQTQQSNDASFVKRVEGWKISQTDTEIIELGQNFYKKLKIKLKNLKSFTKVEFLSMLTSYLKKNSEKLGISVVVEQKDESYTKALVVSVAIEQKGEGYTKALIQKIGFLMGEAVVGLVLEACFAFEIWEILETLIVGGLIEGSCSRKLVHNLIEKKRSDLVCLCVKHVSDLQFSDILSVLKFFLSPPKDAYVTMAFIRKEWETEALSAIEMAKDKTLAEKTFNLAKDAAILLMMAHDEFTTSELCLHYLLSSPNLDDVIFSACIGNLNGSEIMGFVRYLKKWLTKYQKFPQASSSSIPKASSVHWLKVFDSVPSLENVTKCFGFVLDEHFSSLVLHPEFCEEVKSIELVVNSLASEARICCKLANLSKLMLKVRNINFLALKLFFSFKNHILVKQCTF >cds-PLY61960.1 pep primary_assembly:Lsat_Salinas_v7:5:161023138:161024470:1 gene:gene-LSAT_5X70341 transcript:rna-gnl|WGS:NBSK|LSAT_5X70341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSIRRGSKFLNFSGSSPSGPHSASMLTNQILESRIKEHELELPPVVSILILDGCDVLLLLRYEGQRDRLYNQTFNVDQVAFASEGIKDAQQTVCVTIIKHLLQLGSNKELKGLMKTVKIQDIDNLQDEMIDMMDKSSEIQESLGRSLVCQMILVKMISWVNLMLWRQTWVKKLKVKGYLHILNLIMSRSELRT >cds-PLY70014.1 pep primary_assembly:Lsat_Salinas_v7:8:145474102:145477789:1 gene:gene-LSAT_8X98821 transcript:rna-gnl|WGS:NBSK|LSAT_8X98821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNIIESFFMKAIGTQLLIYKLWTGLIGWVDLSLYPSSNTTLTIHQSSNIKVKDITIQNKVSFSTVNVFKWYVKVVENIIDWQICTIYIKNTSKRCLMRAKERLQKRVVVNFLTRVKGCWIDWRTKRLSIWFPVSFEKKLSSYSAVDRNVIIKLMNNEY >cds-PLY94085.1 pep primary_assembly:Lsat_Salinas_v7:4:171301669:171302079:1 gene:gene-LSAT_4X100800 transcript:rna-gnl|WGS:NBSK|LSAT_4X100800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFMREFAHLQIPLESIICATNNFANSNFIAKGAYGKVYKGDFSSSEGPTISAVKRWNPLNEEGGPEFGREIMLLSENIHENLITLLGFCDEKKERILVYEYAPNKSLDFHLEDPKLTWVRRLKICLETARGLQ >cds-PLY72432.1 pep primary_assembly:Lsat_Salinas_v7:2:142446096:142449690:1 gene:gene-LSAT_2X70180 transcript:rna-gnl|WGS:NBSK|LSAT_2X70180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFLVVDESPKNEKVIEIEDGDDHEDQSSPRGVLEIPVSGSDSDNQSSVSRSLSSRGSSFNDKSPEGEVDCGGEEEAGGGAGVGNPSSTAWRNLVDNLKWKSIKRLSTFPLVAGYELSRKSLMKRLAKNHNIEEAIDGGNWAVPKPSWRNFTLEELAAATDNFSSDNLVGRGGHADVYKGRLPDGELVAVKRITKKEKKDEDRVGDFLSELGIIAHINHPNAARLIGFSSDTDLHLVLQFAPHGSLATLLHNGEERLEWGLRFNIAIGIAEGLQYLHCECHRRIIHRDITASNILLMEDYQPQISDFGLAKWLPEKWVHHIVSPIEGTFGYMAPEYFMHGIVHEKIDVFSFGVLLLELITGRRAVDSSRQSLVIWAKPLLEQSKMKELLDPRLEDDYEMIELKRVMSAASACIHHLPNMRPNMKRVVEILKGESKTAEVKQKTAGGRAVLLDACDVDNYNSTTYLKDLNRHMELVMDE >cds-PLY87884.1 pep primary_assembly:Lsat_Salinas_v7:3:44267998:44270857:-1 gene:gene-LSAT_0X9061 transcript:rna-gnl|WGS:NBSK|LSAT_0X9061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITKPATSILYSLLKDGEKRPLEDIINDFSSKIPKSMHSEIFSALVILLDDTPKFLKSTERLVAFALLHHTYSPLKSSTNPYLSLLKNTANDEKTETFERAFILHLLSSPATSPVMKLSPADFITKFDPSSHRFPEPPPPPPENPLPKPFNSLFKNTAIKNAIPDPDIPRGCDINSPEFEFPPPKIGSSDRSEAITGLLQTLSLDALYPHWTRPTPPRLPVLDGELVWVNPDSTHEPLWDTGMCADTSRGAAVRELILKALKGPLAPSQQEQVLLELTSDPKLVYHCGLTPRKLPELVENNPLIAVEVLIKLMNSPEISEYFTVLVNMDMSLHSMEVVNRLTTAVELPTEFVHMYITNCISSCENIKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKTLE >cds-PLY97438.1 pep primary_assembly:Lsat_Salinas_v7:4:16356578:16357371:1 gene:gene-LSAT_4X10781 transcript:rna-gnl|WGS:NBSK|LSAT_4X10781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSEKSQNPQSDHTADHPKTLHPQNPDQESTEPSPRLEETENQEAEEEGECGFCLFMKGGACKEVFINWEKCIEEGEKNNEDIVDKCFEATSALKKCMEANPDYYGPILQAEKAAEQEAIKQLDKEKEAAAAATSDSGEEQQKPASS >cds-PLY80628.1 pep primary_assembly:Lsat_Salinas_v7:4:256040156:256048214:-1 gene:gene-LSAT_4X135201 transcript:rna-gnl|WGS:NBSK|LSAT_4X135201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFPSFNHHHHHNRYVPPPPPTNFSDDRPNLYPHHQQHLLPSLHLPPPPPLAYPSLPPPPPPPLPVPPPPPSYNPHQSHFTFLDAQNPSFSNHGRSSPPQPRFSNQSHRFDYRTDSWSDSHRVPPELTHRNPILPDEHHRRLYSPDPRSENHYKPHVSERVIPSSRYRDENIINGYASRDASNIVSQEQQAFRGSSNNDDHQGRIIDRYASAQATGCNSRNEDFVEKYEPRYISNSENERIWDHHGEDSRRKGKKNEFGNNDGVLFVSGREQARDYEYESSRLTRVREGREEFKQVQKRSVLLRIGKPNNTNRNRSHDQHFSKAHMVESNNSNFRGKDKDRDHANKDSDHRMEGDREHSPVELDVSFKSNALVAKAVVTPSSPVIGNNNTQLRNKKTKRGSEFNSPLKRSGSSSNGLDCPSSSEKAPKQQKLSLKDPVLGQGAATGPLSFRLKKKRKLINFKNSPSVSIFQLAEKDNKSVKGQNKNSPSAESTPSTLEEPISSKVVSEKDSSEVEKLVSKIDDGLVNEVSLNLENDTQQVSTDAKVGTPISASCGQDQIEIQNCPTESYASFDAFSAVKNESFKIQEMTTCACSDEIINLVPENGNTVGFLDGVSRKKDGTQETPNANAIAIAEDNAILTGRSYEASEIQSHGENLQNVGPGPTPNSSIKVISSDEDEIVEIVELNSESVETQRPDHREEDEIVEIVELNFESQKPEDEVVGIVELNSESTETQRLDHRELCTDEIPPASSIVCRQPDEKPGEPMPDMLTNIVSGFPKDETTSTSNSNLGNNDVNICEKFVPEPEDGGGNTSVENYSKTSSIIKPSDLSGTKTTTSQVLNKKMPIKRPNPTVFATRSSPVFTNSRVNNPSKSIAKPPRTWHRSVNNTSSVPRPTKQVGAVQNAYIRSGNTLVRKGSPVTTISRSSIYQLNPSGPSPTETRTAAVVRPKTPPLSGYTATKLPDSTTLKVSPLEDQNCSESHKSLDEGGTAGKKIEYVKPKSNQLVAATSSVQELDKSSDGYYKRRKNQLIRTLVADDSVPDVRKAAKGMFKKYRNSRFSSVWTLGAQSLGKDGVSLRQKLRPHLFPWKRTKFWGNASASMHSNNLSSLLSRKLLVSRKREMIYTRSKHGFSLRMSKLLSVGGSGLKWSKSIEKNSKQANEEATLAVAAAEKKRREQQHLKNEEPSTSKSSKEEMRRSYVPKRLLIGHDEYLRIGNGNQLVRNPKRRTRLFANEKVRWSLHTARSRLARKKKYCQFFTRFGKCNKDNDGKCPYIHDSSKIAVCTKFLKGLCSNPHCNLTHKVIPERMQDCSYFLQGLCSNEHCPYRHVNVNSAASVCEAFLKGYCADGNECRKKHTYACPAFEATGECSQGTNCKLHHPKNRSTGGLKRKHQNQKTQKHSRGRYFGTVGGGGGGGEEAERQHHFNLKDILCQEGKLGADYISIDFGDGVTDETTTVVTSEEDPLLLVGEEEEEAHLDLDHLTKPIGIMKNFNLHTSHMA >cds-PLY72868.1 pep primary_assembly:Lsat_Salinas_v7:5:167753265:167761666:1 gene:gene-LSAT_5X74000 transcript:rna-gnl|WGS:NBSK|LSAT_5X74000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RHF1A [Source:Projected from Arabidopsis thaliana (AT4G14220) UniProtKB/Swiss-Prot;Acc:Q4TU14] MKSHEPCHVSWEEQESDDHGCCYSCSQVGSPTFFFSISLPPLRRWCYSSGYSVAAAFSLVVFWTNGALSPANTRTTLQTPLPFLLLFHSTVASSRPTTANVAPYGCPFYKSPMVVVATKKITTCKHAFHLQCILEWSQRSKECPICWQLIVLKDPTSQELLSMIEDERSMRSILTVRHIYEDPEVDHEVEYNDTHFEEEIMTSFGSRRHKQRLSGVGPSVPRADSSIGQEVYTPLEEFHSLSYASSQDNRDSNTNPRVVESESPNGRAIAADMHAFSESVKSKFSAASARYKESFTKSTQGLKEKLLSKNSPVKELSRGVQREMSAGIAKIFERLDPNSKKPSPSP >cds-PLY77334.1 pep primary_assembly:Lsat_Salinas_v7:5:143340649:143343550:1 gene:gene-LSAT_5X63541 transcript:rna-gnl|WGS:NBSK|LSAT_5X63541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDPNTGKLIIATGGTTLVNISDNQSSPDSNIAATLQDTGNFQLKNETDNRTLWQSFDYPTNVLLPGMKLGSDLRTGKNWNLTSWLSNDIADFGVFTLSWEPTDEASQRLMIRRRGQPYWTSGNLNNQTFPYMPALNSPFSKYQYNLSYVYTDEERSFSFHGINGVQPMWFLTPGGRVQDADNFAVWTPEFCYGYDSGDGCVADSNTIDQCRDENDKFNWLNGDFAPMTSSSYDDNSSLSMSDCMVRCWNDCSCLGFTTSSNGTGCITWTGAKSVDNFSISPTGSAVLKYVLISPIPIISPNPSKGNAKNWIWAPIVASIILLLFSAGLLWYLKKNKLRREEEKRQKRDDEYFLELIASDSFKDASNLESNGRKGSDLMVFSFATIVTATNDFASENKLGEGGFGPVYKGKLGDEQEIAIKRLSRTSGQGLVEFKNELILIAKLQHTNLVRVLGCCIRGEEKMLIYEYMPNKSLDFFLFDETRKTLLEWPKRWNIIEGVAQGLLYLHKYSRMRVIHRDLKASNVLLDESMNPKISDFGMARIFKQNETEAITNRVVGTYGYMSPEYAMEGTFSVKSDVFSFGVLTLEIVSGRRNTSFSYLDKTVNLIGYAWELWLKGDALVLEDPTLADSHVIHQLLRTIHVALLCVQENALDRPEMSDVISMLNNDTMSLPFPKQPAFFIGRSASKSTSVERKLEDYSVNDMTITVMKAR >cds-PLY63990.1 pep primary_assembly:Lsat_Salinas_v7:4:13196165:13196767:-1 gene:gene-LSAT_4X9021 transcript:rna-gnl|WGS:NBSK|LSAT_4X9021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPFRRLPRLPSFFKIFLNRSVDHLPLPSGFVHKHMENNIPDNATLRSVNGGYSWRLKMKKDGDIYCFADGWKQVVEDTRLVFGDVLVFWLVGRSIFKLLIFGTDCCEKDFPPKTKLEHDHDHIHDEEEDDDDIDGDAGDPCFTMVLSKKQKLALVRFGVVITIINSSYIYI >cds-PLY73465.1 pep primary_assembly:Lsat_Salinas_v7:4:182265478:182270809:-1 gene:gene-LSAT_4X107160 transcript:rna-gnl|WGS:NBSK|LSAT_4X107160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEEDEQRVREDDEPQISYKGIKVMPFIIGNEAFEKLGTFGMMSNLLVYLTTVFNMPSITATTLLNVFDGSINFATLLGAFLCDSYFGRHLTLGFACIASFAGLFLVDLTAVFKQLHPPECGSKRGSHCVGPTPFQWLFLLTGFLFMVIGSGGIRPCNLAFGADQFNPNTEAGKRGITSFFNWYLFAVVFAQMVSLTVVVYIQSDLSWPIGLAIPVIFMFISCVLFFSANKMYVKVKPDGSPFTSMARVLVVAVKKRRLKLPEQPQLSLYSYTPPKSINLALPYSNKYRFLNKAAIVTPKDTFNPDGSTSDPWNLCNIQQVEELKCVIKAGPIWIGVITYFMVMIQQMQYVVFQALQSNRRLFNTNIQIPAASYNIFTMLTIVIFVPIYDRLIVPQLRRITGKEGGISLLQRTGLGISLTVIVSLVSALVEEKRRNLAFTEPTLGYQPHRGEISSMSALWLIPQLCFAGLAESFTAIGLQEFYYRQFPENMRSVAGAFFFSGLAVSSYLNGLLVTIIHRTTKGAATGNWLPEDLNKGRLDYFHFLMTCIGIMNLGYFLLFSSWYRYKETNCSRDGGAMVEMEKKLDKSLV >cds-PLY64371.1 pep primary_assembly:Lsat_Salinas_v7:4:25712504:25714625:1 gene:gene-LSAT_4X18380 transcript:rna-gnl|WGS:NBSK|LSAT_4X18380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMKVFVKTLKGTHFEIEVKLEDTVDDVKKNIESVQGSDVYPAAQQMLIHQGKVLEDDTTMEQNKVSENSFMVIMLSKMGGGSWDRITVVRSLHAAFNNPERAVEYLYSGIPDTPDLPLVNGAGAPPPPAVNPPPPTGSNANSLNLFPQGAPAGAGAGGNLDFLRDSPIFQALRSRVRADPQILQPMLQELRSQNPELAGLLHERQADIISMIFESVEGGEDELGWRHPASGVSESFTLTSENMGAIDRLEAMGFNRSVVCDVFLACDKNEEVVANYLLDHMHEFEH >cds-PLY62154.1 pep primary_assembly:Lsat_Salinas_v7:5:6902970:6904205:-1 gene:gene-LSAT_5X6061 transcript:rna-gnl|WGS:NBSK|LSAT_5X6061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFANFGTGIRLRTGSNPFFSIFKSPQNPPPVVINLSQTLTLAMATLSAPDNRRPTCPSCSRPTSFCLCTRLKTPVLENSIAVTVIQHSVEKKHPLNSAKIAKLGLKNVDVICVSDVLSEAHFDLHFSESNSEMGPRDSVENSKKTEHLPPADISFTIEKKGAITSLVNNWDQNQDFNQLLVAIDGVRNGFTVKKTQRGRDQIYMEEFVIEVPPGSLLLFPSENSIGIEDVNFSVKNLIVLDGTWPKATKMYKENPWLKLLPHLKLDIDKLSLYGEVRRQPKAGCLSSIESIVYALKAIGGEDLEGLDCLLDVFESMVVDQRRCKDERLKFESEIRSM >cds-PLY83636.1 pep primary_assembly:Lsat_Salinas_v7:4:42090323:42091743:-1 gene:gene-LSAT_4X29640 transcript:rna-gnl|WGS:NBSK|LSAT_4X29640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVDEIQRQVRKFQRVSSNGSTTTTDNSSNPQKIATHKGNLELQKFDDGGGGGGGGGVARLCGWPSSRIVRVSRASGGKDRHSKVLTSKGLRDRRVRLSVSTAIQFYDLQDRLGYDQPSKAVEWLLKAASTSIDELPSLDPSFSGAISHTHHHHQNEDKKSTGNSPDFEDPNYQQQNSNNNNNNNNVSKAKSPACSSTSETSKGSGLSLSRSENRVKARERAREMASKKEREKETDSTRAAVLNQVNSISENSSFTDLLTGGIHSNTNVRRHSPESRTHWATPMDYFFARPTQPSTQLIQIPQFNITAGDNHQHQHHNNNNNNPQHHFSFLQDSFVPAVTTGGGGGGGESYNLNFSMTSSSGGTLAPGFSRGTLQSNLPSSLLPHHHHFQRFQSPSIDGSTSTNLPFFIVPNAASDHFPAAAGYHLSYGGGGGDGVGRHSDHHKEKTKN >cds-PLY70042.1 pep primary_assembly:Lsat_Salinas_v7:5:201459191:201463798:1 gene:gene-LSAT_5X90761 transcript:rna-gnl|WGS:NBSK|LSAT_5X90761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADNSMDQIKNNEVDLEKIPIEELFKSLKCSRDGLSDDEGARRLEIFGPNKLQEKKESKLLKFLGFMWNPLSWVMEIAAIMAIVLANGGGRPPDWPDFVGIVVLLLINATISFVEENNAGNAASALMASLAPKCKILRNGKWREEEASILVPGDMICVKLGDIIPADARLLEGDMLKIDQAALTGESLPVTKNTGDAVYSGSTCKQGEIEAVVIATGVRTFFGKAAHLVDSTNPSGHFQQVLTDIGNFCICSIAVGMVIEIIVIYLIQRRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGAHHLSQQGAITKRMTAIEEMAGMDILCSDKTGTLTLNKLSVDKNLIEVFSKDCDKDTVVLYGARASRTENQDAIDASMVNMLADPKEARAGIKEVHFLPFNPVDKRTAITYIDDHGDWHRSSKGAPEEIIQLCELKGETLKRANDVIEGYANRGLRSLGVARQTVPEKSKESAGSPWEFVGLLPLFDPPRHDSAETIRKALELGVKVKMITGDQLAIGKETGRRLGMGSDMYPSSSLLGQGGNDASIDDLIEKADGFAGVFPEHKYEIVKRLQQRNHICGMTGDGVNDAPALKRADIGIAVADATDAARSASDIILTEPGLGVIVDAVLTSRAIFQRMKNYTIYAVTITIRIVMGFMLLTLIWRFDFSPFMILIIALLNDSTIMTISTDRVKPSPVPDSWKLNEIFATGIVLGSYLALVTVLFFWLTSRTNFFPRLFGVHSLIGNEDEITAAVYLQVSIISQALIFVTRSQSWSFVERPGFLLLLAFALAQIVATMLAVYSDWDFAEMKGVGWRWAGVIWLFSIITYIPLDILKFIIHKSLNGK >cds-PLY74276.1 pep primary_assembly:Lsat_Salinas_v7:1:175181938:175186495:1 gene:gene-LSAT_1X115581 transcript:rna-gnl|WGS:NBSK|LSAT_1X115581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYDLMLKIQLGIRHSVGRLGPTESIKLKPTAFDAKKRLGTKFPPEGLKHTPPHQSSEFIWRDYCPLVFSQVNKDCDFLEQERITDYNLLVGISFQEPHRQAAEVNATHDSNGTTPSLSADMDSLINPTKYNCLRLGIKMPACIEFTVRSNDTQLVGEPTGQFGDVILFIGIIDILQDYDKSKHTYKSFQCDPTSISAIDPRFYSKRFKDFIFRVFSDDS >cds-PLY86565.1 pep primary_assembly:Lsat_Salinas_v7:9:5214977:5215556:-1 gene:gene-LSAT_9X2261 transcript:rna-gnl|WGS:NBSK|LSAT_9X2261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIVKRLVTNKAVVIFSKSSCCICHSIRTLICSFGANPTVYELDEHPDGQLIERELKALGCKPCTPAVFIGQELIGGANEIMSLHLKGKLVPMLIKERAIWL >cds-PLY67183.1 pep primary_assembly:Lsat_Salinas_v7:6:162352943:162353601:1 gene:gene-LSAT_6X99500 transcript:rna-gnl|WGS:NBSK|LSAT_6X99500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEASEAYLVGLFEDTNLCAIHAKRVTIMPKDMQLARRIRGERA >cds-PLY62073.1 pep primary_assembly:Lsat_Salinas_v7:2:57722243:57725985:1 gene:gene-LSAT_2X26081 transcript:rna-gnl|WGS:NBSK|LSAT_2X26081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRKLRVVACLKLCDLRSNSKSMDYSGGDEFEDARTRTSLFSIADAFEEISNLIIDGRNGDFPVLKLNPFCDACSFVSVLVIHNPLFGFQVRDLEEALERYVSLSSVIDYDVKWKTVKSRGSHTHNLRRVRWEVRLLLTRLMLLTWHMEMRLVHSRIGGFCASPVNFHAKDGSGYKFLGDLVLQLDKLNP >cds-PLY86589.1 pep primary_assembly:Lsat_Salinas_v7:1:132564864:132565376:-1 gene:gene-LSAT_1X97200 transcript:rna-gnl|WGS:NBSK|LSAT_1X97200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVPPHLFLSLSLSKSIKGSSEASLFRCQIHERVQSPDQDLDLSFRHRFLIESKKLWHIVGPAIFSRIASYSMFMITQAFADHLGDLELAALSIGTSVIVGFNFGLLLGMVSALETLCEQAYGATNYRMLGVYLQE >cds-PLY83350.1 pep primary_assembly:Lsat_Salinas_v7:1:61747940:61753804:1 gene:gene-LSAT_1X53040 transcript:rna-gnl|WGS:NBSK|LSAT_1X53040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYWAENDDDGGRDGNREMKKRRKRKVEEESLYHSLEEEAIDVDCLLVEPRSDQVSVSSVWCFEEDNIGKCIKTEDASYNLGGSDSNINMECVDTTKLNALDVLPHEVGVENLLTSNGISPCDDYLIDIGYVDHGACIDYVSNEPLHVGNSSSESPFPMFRNKGNFGIQNSLTDSIPESECQNYFLGNIFGTESKTVDKFEDFSKSFIDEEDDEGNLPLQFAQTGMELTPFRQDLITNSAQEHGVGGITHKRSRKPTKRYIDESSNLTLKNCKKRKEPSSMSKVKMSTVRRVKSQNEVKPKENIQSSEISFGKAIQVPFISQCPTECEKISLPTTTENQIESFSSGSGDDSETQMMRSMTGGNQRKLHRLWTVTEVKKLIDGVAHFGVGKWTHIKKLLFSSSVHRTPVDLKDKWRNLLKASRALKGSRVEDEQKRSQPWRPLPKSILCRVRELASTYPYPREANNNSKISKSKFPIVPHVSFSEGIKGNKVPVV >cds-PLY73149.1 pep primary_assembly:Lsat_Salinas_v7:2:190771984:190776819:-1 gene:gene-LSAT_2X112960 transcript:rna-gnl|WGS:NBSK|LSAT_2X112960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADQEEGDLVSQLVDLVREISGLPECRNALRKMYGNLMRRVKLLSPLFEELKDNEQKLDDGDLKGLQSLRIALKLAFELLKSVNDGSKIFQALQIDKTAGKFHVVTTQIEEALNQIHYNKLDLSEEVHEQIELVHVQFKRAKARMDSPDLQLQRDLTMASKGNDIDPETIKRLSEKLHLTTINDLKKESLAIHDMVISTGGYHEDRFEMMSFLLKNIKDCVMKGNPEVDISEGEKSIMRHRSPVIPDDFRCPISLELMKDPVIVSTGQTYERSSIQKWLDAGHKTCPKTQQTLLHTALTPNYVLKSLIALWCDSNGIELPKSNQKPGRPGSECDRTVIGSLLQKLVIGDSDEQRSAAGELRLLAKRNADNRVCIAEAGAIPLLVELLSSPDTRTQEHAVTALLNLSINEANKGIIVSVGAIPEIVDVLKTGSTEARENAAATLFSLSVVDENKVAIGAAGAIPPLIELLQEGTPRGKKDAATAIFNLCIYQGNKVRAVRAGIVTPLMGLLKDASSGMMDEGLAILAILASHQEGKMAIAEAEPMGVLVEVIRTGSARNRENGSAVLWSLCAGDVRSLKMMKELGAEEVLKELSENGTERAKRKAGSVLELLQRIESVETSTGTVGGGGDGSGSATTVVMTGNGGGGSNCVNGGYGGDSRGGGASDGLLVMVVVMVMVGR >cds-PLY81439.1 pep primary_assembly:Lsat_Salinas_v7:5:334577402:334578464:1 gene:gene-LSAT_5X184180 transcript:rna-gnl|WGS:NBSK|LSAT_5X184180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGENGVQIADASSSSSSSGVSTDRNAQSLVTCVYQCKLHSCCFIVTTTWTKNIMGQALSVEIDDSSTQSVCKLEIKPWLFSKRKGFKTMEMGSNSIHAFWDLSSAKFGSSPEPIEGFYFAITVNQELILLLGDMEKEVYKKMNHVMTSSPNSVFVSKKEHIFGKKVYATTAQFCGKGQVHEVLIECDTISTNDPCLLIRIDGKLVLQVKRLRWKFRGNCTILIDGLPVEVYWDVYGWFFGNFIGNAVFLFQTCLSAEKLWGSGSGSDHSGVSWSSSLGKDSRSQGLGFSLVLYAWKNE >cds-PLY62383.1 pep primary_assembly:Lsat_Salinas_v7:8:113527674:113527850:-1 gene:gene-LSAT_8X76180 transcript:rna-gnl|WGS:NBSK|LSAT_8X76180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNPSSGAISAAARSQKINVDDRISLRCYYCLVFRLDFQSPMKMGKEMYRLVSIMILK >cds-PLY61741.1 pep primary_assembly:Lsat_Salinas_v7:5:216025699:216028379:-1 gene:gene-LSAT_5X99561 transcript:rna-gnl|WGS:NBSK|LSAT_5X99561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVMLTTASIIMNSSPYPGMISGMFGGTPGAMHKHPNIKLKHTSSWGLQFKAPTKVNATKVGAIDWSMVDPEKQGPNMVSDLGVERMIQDGLIFQEKFCIRIYEVGPDQKASVETLMNHLLETSINHMKKTGFIHEGLGSEEMSKYNLTWVVAKIQMVVDRYPKWGDIVEIDNWKAALGKNGVCCNLTFRDCKTGQILVRASSFWVIMNKKTRKLSRFPNEVRAKLEKFFVDKPPLVEQATRTWSRSEDNITEHICKGLKPRWSDLDINQHVNHVKYVGLILESVPKTIIEKYEIDSMTLDYYQEFTNDNILQSFTYILTNDNAKISNCDFVDCQHLLQFEIDGGNSNIMKGMTRWRLKHGKNKGI >cds-PLY91778.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:960449:960796:-1 gene:gene-LSAT_0X980 transcript:rna-gnl|WGS:NBSK|LSAT_0X980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTPHKRIPPFREHGQRPPYGMCREFYGLRNDGSADWDLPIMIRCIPRLDEHARASTSQILDIGATTEVTASRFRRLDKDHDQRSWIIDCLQEDVMTARVEVRELMDRNATWSRG >cds-PLY94543.1 pep primary_assembly:Lsat_Salinas_v7:2:158190000:158192056:-1 gene:gene-LSAT_2X80180 transcript:rna-gnl|WGS:NBSK|LSAT_2X80180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLATINDGLRFSRKRKKWILLIAALGFSTYGAYKVCKAPSVIQKRDRVMKVLGALASITESLGDSAEAIGVISKDLKEFIQSDSDQIPSSLKQVFKITKSDEFSESIVKITRSFTVGLLQGYRVDAGKRDSGPSFPDRALDKLLTPAGSGFASVIAGSFARNLFIGGSMNSGSPLHEWIDVIADDKCRKLIGDCIQQFVATMVTVYLDKTIDINPFEEILSGLTNPKHEEKVRNLLALICNSAIETFVRTSHQLLTDSKSNSNPKPSSTISKVLDGKELVLSKLKARKSNMSSSLAVPGNMRFVLDVTGRVTLATAKSFLQFSLDKLSERMKRSYQEAYRYLSAKSLIVMTIFISLYLHLLSAPWSLVSY >cds-PLY83718.1 pep primary_assembly:Lsat_Salinas_v7:4:43783492:43791682:1 gene:gene-LSAT_4X30320 transcript:rna-gnl|WGS:NBSK|LSAT_4X30320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVGRDLLFEALGRTYTQDEFEELCFDFGIELDDVLLYLHCTKSSSESFE >cds-PLY85508.1 pep primary_assembly:Lsat_Salinas_v7:2:196537424:196538956:1 gene:gene-LSAT_2X117340 transcript:rna-gnl|WGS:NBSK|LSAT_2X117340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDGDKGTQVRRAVEGLMVVGDSAGPECKAVLQEVTQQDLNRRVVYKWFTKEKIKEHFGQKCFCNLLKTNIQMEIVYAIPGSCLNYKMTV >cds-PLY80626.1 pep primary_assembly:Lsat_Salinas_v7:4:256123268:256127267:-1 gene:gene-LSAT_4X135241 transcript:rna-gnl|WGS:NBSK|LSAT_4X135241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDTKVETISRLAQWRIDNFGPCTYKRSEPFKIGIWNWQISVEKNRHLYVRLFPEPSRLSKEQPPIAKFIIRVTTAGSNRRPYISPIHERLLRTSEDFVWPVDSTFHGRFIIDVEFLDLQVYSANGEEASSIWPRDTTLRSSATDSILRCLSRMLRESIDADVTINTCDGSLKAHKAILSASSPVFHSMFLHNLQEKESSTINIQDMSLESCTALLSYLYGSIKQEEFWRHRVPLLSAANKYGIGNLKDLCEESLLEDINSGNVLERLQEAWLYQLDKLKKGCLTYLFDFGKIYDIKDEINIFFRTAERELIQEMFQEVLTVWKPA >cds-PLY70290.1 pep primary_assembly:Lsat_Salinas_v7:2:91415564:91416937:-1 gene:gene-LSAT_2X39360 transcript:rna-gnl|WGS:NBSK|LSAT_2X39360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFELVKSFLEKSSSGVSSEDIDSMPFRFFEPMIMSGLKVDLIERGRVLCSMKVPPRLLNVGNSLHGGATAALVDVVGSSVIMTMDSVTTTGVSVEINVSYLDAAFVGDEIEIEAKALRVGKAVAVVSVEFRKKKTGKIIAQGRHTKYLAVSSKL >cds-PLY96589.1 pep primary_assembly:Lsat_Salinas_v7:4:6801343:6804034:-1 gene:gene-LSAT_4X3980 transcript:rna-gnl|WGS:NBSK|LSAT_4X3980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKVWGNIGAWAAEAERAEAEEKELAAAAXXXXSYPSLKEAVNTNKGKKKTKMTLHEFTMAGSGGLGGGASSRELAYDRKGLTPEEMMRLPTGPKERSAEEMQYGRLGGGFSSYGGGRGGPRMRDREGDGDAAWGNNRRSYGEFGDDRRVSSPRISEYDQPSRADEVDNWAMSKKPMTPTYDALTRPNRYGSLDGGGGVGGGFSRADEIDNWAASKKPMPPARSSNFGPGCRDSGGSEDDHWKRGVVPRDGDQERPTERRRLVLDPPKGESAPVEPSAHTNKPSPFGAARPREEVLAEKGLDHKKVDLEIDAKKSSSRPTSSHSGSSVEGRTALQPQGLEKTRPKVNPFGDAKPREVLLQEKGIDYRKIDVELERRRLGRFDTEEAIKNLKEEIDILKREYNSGSDANNESNGNGNQSSSSLSDRILEKERKLEQLNHDLNNKIRFSQKPVERTVLMSTDDSRSADSSETRGSNRDHSWVRKGDDRGGFGRSNSKERW >cds-PLY73891.1 pep primary_assembly:Lsat_Salinas_v7:3:39595911:39598627:1 gene:gene-LSAT_3X29360 transcript:rna-gnl|WGS:NBSK|LSAT_3X29360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALNYSQRPVFPAHTSEDNLISPLRIVNGYVVEGMSDRGGESFVKPRHGNGEIHDRFGGSPDPVSTDIIDLLPSDPFGMEIDISTTFTAITGWLEDFEVDYVQYVRNNIANTNEDYRLYAGLNLIWNSALRFQSFPSNESPDDKGGASFMDDEDATTSNNEGGDPHEAFLLALTYLGTKDLLLLECVCKTLSSTIRNDSLLWRTIHIDQPLSEKITDDILVQLTNRSEGNLRCLTLIKCPRITDDGLKRVLETNPKLTKLSVPGCTRLSIDGILNNLKAFKLSGTGGTSGIKHIRTGGFYGITYEHFKELKFLLGSDDNNIQKNDYLPHYYHRGNLYLPDDERDIDVEVCPRCQNLRLVYDCPAEGCFGKDQCRACIICIPRCAQCGRCVHNSEYEETFSLEYLCSGCLKEMPRCQKVQQMDVDVF >cds-PLY86513.1 pep primary_assembly:Lsat_Salinas_v7:8:134652322:134652714:-1 gene:gene-LSAT_8X91341 transcript:rna-gnl|WGS:NBSK|LSAT_8X91341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLQNGRSYECMYCKHGFTTAQALGGHMNVHRKDRAKSRSNPSKSNTRLHQPCLITREFMSRSSPIIYDNNHGKHKNPSGLIITSPTREEMRLSLSLSLQFGLSHEGESIQEGNEVDDELDLELRLGYDP >cds-PLY90444.1 pep primary_assembly:Lsat_Salinas_v7:9:93385146:93386889:1 gene:gene-LSAT_9X71040 transcript:rna-gnl|WGS:NBSK|LSAT_9X71040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFCIFLYTLKSNKSSMVAPKLPPNPPKLPFIGNMHQLLGQPRHLAFWKLSQEYGPIMLLKIGSKPCVIISSSAMAKQVLKDQDHILCSRPINKTTKQLTYNYVDTAFTPYSNHWRKMRKVLVSEFLGPNRARLSNHVLLTEVEIMLRSVSLHPSNNAINLNELFFALVKAVTFKVALGLNYREQPLKGPSFEMILDEVLELMNPSFGDFFPWLGKIIDQISGRNHKLGKVCRNLDAYIQSHVDDHDHKIHINGQVDDDDKDFLHTLLELSSMDNASDDDRLTKTEIKSLIVNIFTGGIDTTVATMVWAMSEIIRSPRVMQKLQSEIRNCAGRKQKLDEMDVSKMTYLKMVVKETLRLHPPAALLLPHESLSHCQIGGYDVLPDTMVLINGWVIGRDPNKWGENAAEFYPERFENLEVDFRGGNYEMVPFGGGRRTCPAVKTAPATVEFTIANLLYWYDWKIPGGVKNEDLDMLEEGSLVLHRKLPLCLIPIKHNWEE >cds-PLY77891.1 pep primary_assembly:Lsat_Salinas_v7:1:22289296:22291751:-1 gene:gene-LSAT_1X19401 transcript:rna-gnl|WGS:NBSK|LSAT_1X19401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWFGSTHEVSSRRYNGRYGGRTTSMGTILPSTSSIPLREIESIDRAIAKSFADERRGNHVINEVFQLKGDEDLARALQESLKLGEPSPWNRNVSGSCYNESNHPRCDVCKNFIRKNTAGVVVYKAHPFWGQKYCVFHEHDGTTRCCSCERMESKETRYVALGDGRKLCLECIDSAVMDTTECQPLYNDIQKFYESMGMKVEQKIPLLLVERQALNEARNGETNGHYHLPETRGLCLSEEQTISTVSRQPRTGIGMNWAPNMRREPYKLTRICEVTAILILHGLPRLLTGTILAHEMMHAWLRLNGYVALRQDVEEGICQVVAHMWLTSQMASISGQGKRFALEKKLSEFFKYQIESDQSPVYGHGFRAGHRAVVKHGLQKTLYNIRSTGNFPF >cds-PLY63388.1 pep primary_assembly:Lsat_Salinas_v7:7:147797553:147804408:-1 gene:gene-LSAT_7X87920 transcript:rna-gnl|WGS:NBSK|LSAT_7X87920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTCSSKSPRRNPYLPRDFPEQPNTPKNDNHRDDDASAAGKASPFYALSPARFILGNKKTPARQSSANSTPKSVLKGPFPPPSPAKHIMAVLRRRRSVKEGEKEAGSGLDKRFGLSKRFKDRYEVGEEVGRGHFGHTVSATCKAGDNSGQKVAVKVIPKSKMTTAIAIEDVRREVRILQALAGHRNLIHFYDAFEDDDNVYIVMELCQGGELLDRILSRGGKFNEDESKNVMLQILNVVAFCHLQGVMHRDLKPENFLLKAKDDDSQLKAIDFGLSDFLKPDEKLNDIVGSAYYVAPEVLLRSYSTEADVWSVGVIAYILLCGCRPFWARTESGIFRAVLKTVPSFIEPPWPSLSPLAKDFVQSLLNKDPRKRLTAAQALCHPWIRDHEGVEVPIDVRILRSLKAYTLSSPLRKASLRALSKTLTTDDLFYLKKQFKHLAQDNTEHVTIESIKKALMKHATSVMKESRIMDYLTWLPAPKLEFEEFCAATVCVHQLEAFDRWEQHARCAYEIFDKNGNRAIVIEELASELGLGPSVPLHAVLNDWIRHTDGMLSFHGFVKLLHGVSNRNKMKL >cds-PLY70828.1 pep primary_assembly:Lsat_Salinas_v7:4:58829253:58829531:1 gene:gene-LSAT_4X39360 transcript:rna-gnl|WGS:NBSK|LSAT_4X39360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSPAKLNLEATGSPSGNVNTSNVDTTTNLGDPSHLSIPGHTQVIPPEVLFAKSILEEAQTSDIAMDISNMDTNVNMGDGMSTHEAQVYVGG >cds-PLY64330.1 pep primary_assembly:Lsat_Salinas_v7:4:26568458:26568670:1 gene:gene-LSAT_4X20261 transcript:rna-gnl|WGS:NBSK|LSAT_4X20261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLETMVERGKRAAIPEAALVCWVVTGTAEEEDSGVAWFHVGIRSNELAGNAVLEVAGAKHVWRFEVV >cds-PLY67917.1 pep primary_assembly:Lsat_Salinas_v7:5:299144417:299144716:1 gene:gene-LSAT_5X161160 transcript:rna-gnl|WGS:NBSK|LSAT_5X161160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNEEVNSVLLALIAYLREIADIIEAAQTKLQEGFTMEDSSHTTISTHADHPNSKILKNILQDATVEDQSMEDNISFMLGSIHKRIGKYKRTMKDSDTL >cds-PLY69311.1 pep primary_assembly:Lsat_Salinas_v7:4:104687964:104690354:1 gene:gene-LSAT_4X67621 transcript:rna-gnl|WGS:NBSK|LSAT_4X67621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQFIVTTNHHRPPPLTTNTTCCSLSTFVPSLPRSIRFPAAKFGYTLTFSPHGSTTTSRNKVVICMAKERRVKMVAKQIQRELSDMLLTDQVLQYAILPEAALGADRYLSSLTTISDVEVSSDLQVVKVYVSVFGDERGKEVAISGLKSKAKYVRGQLGKRMKLRLTPEIRFIEDESIERGSRVIAILDKIKGEKKTTQDPTVDQDESTDPNYDNKEWEDDDDDIIYVK >cds-PLY88664.1 pep primary_assembly:Lsat_Salinas_v7:7:47626736:47631442:1 gene:gene-LSAT_7X34341 transcript:rna-gnl|WGS:NBSK|LSAT_7X34341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNAAEKALEKLSDPPVENPSDQLVSRLSYLFSNEFYAICHPLSGHFRASLHREGDFNGFVPVSIMPFYDSKISNLCKSIKPEVELKPLDVTSIIMEASARLSDTVFCSKELLSLKRVTPYPPEVLQLVEVSCEKGTHVKVIRVPYMVDKSVDSLTLDVSSNKYYMDAIAQQLGVADASRVLLSRGIGKASSETRLYFCAPKSHQLDVISGNEVKEGHLDEIYLNTRASYLCGQDIYGDAILATIGYLWKSYDLFHEDVSSRTYYRLLLNKVPRGIYKLSREAIFAAELPLKFGTRSNWRGMFPRDILSTFCRQHHLPEPVFSSTTTAKTTPGSEESFKSEVKVFSKDRNLIFHCFPQESYKKQTDAIQHSSLKVLSWLNDFLNTDGNTINGNKGDLVFYPENISKDLLAQIKGNYEKMLGFDGGNQDKMFEYRIEGVESEITPSNGCLVCVGYCVWLVGGEEREIIEKNEEFEFELGSEAVIPDLEAVVGGMSVGQSGYFRTELPPRELIFAANGDSERISVLLSSGNCSLEYTTTLLRVTEPLEDRMEKALFNPSLSKQRVQYAVQQIKDSSATFLVDFGCGSGSLLDSLLDYPTSLERIIGVDISVKALARAAKTLHSKLSNNSSVPIKSSCIKSALLLDGSITTFDSRLYGCDIGTCLEVIEHMSEDQATLFGHIVLSSFLPKLLIVSTPNYEYNVILQKSTPQSQEEEENKNPTKPCKFRNFDHKFEWTRDQFQVWASEIAKKHNYSVEFSGVGGVEGVEPGFASQIAVFKRVCELPEGGELKDVPYDVVWDWRSNE >cds-PLY69613.1 pep primary_assembly:Lsat_Salinas_v7:5:314043981:314045489:1 gene:gene-LSAT_5X172161 transcript:rna-gnl|WGS:NBSK|LSAT_5X172161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALAKSHLGVSLVVSCLLILTGCESSQGHFNKHVALFIFGDSLFDPGNNNYINTTTDLQANFWPYGESYFHPPTGRFSDGRIIPDFIAQFAGLPLIPAYLDPHNNEFLYGANFASAGSGVLDETRPTVAVKLTTQLQYFTDLVKRYRKNVGDVKTEQLLSDAVFLFSSGSNDYQYLLENNESSLLFDEYVEMVIGNLTKIFKVIHEKGGRKIGITSLTSLGCLLPVRAERPDNTCDEKLNIISSLHDKALSKKLQDLTQQWEGFMYSKFELQTEITKRMKNPSKYGLKIGNSACCGTGPFRAINSCGGKREPPEFELCDNPNDYLLFDPYHPTQAANLQLSELFWEGDSKVASPYNLKSLFQGTYVDIVQHTTQ >cds-PLY82041.1 pep primary_assembly:Lsat_Salinas_v7:9:155316583:155320148:1 gene:gene-LSAT_9X97520 transcript:rna-gnl|WGS:NBSK|LSAT_9X97520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2737 [Source:Projected from Arabidopsis thaliana (AT5G53860) UniProtKB/TrEMBL;Acc:F4JYQ8] MGNGTNQFLKNAKGLLDGQVRFFNQHLSEPLANLFDLPIKIVLSPFTLPNDISGSAPRGFGIPEFISKLSYSAIFAVAALGTCDIALELGKKVICQRNCQTCNGWNALRCTMCRGTGKVMYQVKNYTLRSGEKATAEAIADAIAENRAELVHLPATMDLNVPLPSKDCDSCDGSGVMKCPESKDKLQVRISADDIIEPPWKAYNIMKKMDYPYEHIIDSMKDPSIAAFWLITMPQIMGGFEYDDEVKQKIWWQYKENMRYDHLRDAVAMRKPGWEYLQEGLISMDPERARNDPVVVKNIPYYKAKAKLEGEVMKLNPPPRPQNWGELNVPLNASSWSEEDLKDPKKLYEMTVLLNAQREIAEKILDDQWETKWRQEKLNKMLEEKLQPYIENIDNGVLSQPILIGAPKNDQKRTRRQRRWWLF >cds-PLY98978.1 pep primary_assembly:Lsat_Salinas_v7:7:51495514:51497509:1 gene:gene-LSAT_7X37360 transcript:rna-gnl|WGS:NBSK|LSAT_7X37360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLDAIKPSPVPTGEENTSNQSTSLLSFDTDITTTPAKPSTTTVLLFSLLFTTCVALSAAFAFAFLFFSSVTSTNHHHSTTALQIARPLSKLTHPVVILISSDGFRFGYQFKTPTPNIQRLIKNGTEAETGLIPVYPTLTFPNHYSIATGLYPAYHGIINNKFIDPIAGDTFTMASHEPKWWLGEPIWETIANQGLKAATYFWPGSEVKKGSWDCPVNYCAPYNESVPFEDRVDTILHYFDLSNEEIPVFMTLYFEDPDHQGHQVGPDDPQITEAVSNIDGLIGRLINGLEKRGVFEDVTIIMVGDHGMVGTCDQKLIFLDDLASWIKLPTDWVQYYTPVLSIRPPSDQSPSEIVAKMNQGLSSGKVKNGDKLKVYLKEDLPARLHYWESDRIPPIIGLVDEGFKVEQKDSKSKECGGAHGYDNAFFSMRTIFIGHGPQFARGRKVPSFENVQIYNLITSILNIHGASNNGSLSFAKSVLLPHH >cds-PLY67534.1 pep primary_assembly:Lsat_Salinas_v7:6:70059167:70061401:1 gene:gene-LSAT_6X50740 transcript:rna-gnl|WGS:NBSK|LSAT_6X50740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFGLARKRGRPAGLNGNGGFKKSKQDTESFQSGLGSKSKPCTKFFSTSGCPFGEGCHFLHYVPGGLKAVNQMTGGNHPALPPTNRPPVLPPSFPDGSSPPAVKSRLCNKFNTAEGCRFGDKCHFAHGEWELGKPTAPSHHEDPRAMARFGGGGRGGGXSDFNPAANTAAAASFGASATAKISVNASLAGAIIGKSGVNSKQISRLTGAKLSIRDHESDPNLRNIELEGTFDQIKHASQMVRELIVNISSASGPLPPQKGFGKAAGGGGGGGPAGNFKTKLCENFAKGTCTFGERCHFAHGAEELRSSGA >cds-PLY90162.1 pep primary_assembly:Lsat_Salinas_v7:7:14681609:14684753:1 gene:gene-LSAT_7X12481 transcript:rna-gnl|WGS:NBSK|LSAT_7X12481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENSKQSRTSKDKDGVDMFSKLPEPILHLILSHLQGTEEVIRTSILSRRWRNLWTSVPSIDIDYSRRLNPYQGFKKNNFEEFVSRVLENNSLDLDSFRLSCANYCNMSIVKQWIDAAVKRNVKVLDLMFCIGDEYDEIELPNSLMTCDSLEVLRLYLFGRCLHLPYRCKGFSRLRVIELNDMMLFDGGLVTDLLKKCPLLEDLKLKKLVFGEKMEMVDGDFHSSIQISCPKLVFLELTSYLGKFNFTSKGLNSLKKAVINLNGSLPELMRRNICELFAGISHVESLSLNPFTILKCIDAASLPNLKTVELRITMDDFPMDDLIQTLQQYPRLEALHLIIQDVFSYQRRNSWQSAHLKLNEVEARRILTRHLRRVEFVEFNGENPSLLMARSLLMYGDALEEMVFSWGDKDKYPRKSMETLKKMSKYRKASSTVKLITLLRE >cds-PLY81882.1 pep primary_assembly:Lsat_Salinas_v7:8:121581654:121582414:-1 gene:gene-LSAT_8X84480 transcript:rna-gnl|WGS:NBSK|LSAT_8X84480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSYIIDTMAITYPTPLIKHCDSRAIIAEKRGTEIVAVDLSIDQTPFREDELERVILCGVRVLTLDQIEGLRNPDVQCWDTEEVDDGDPPRLWVPNGMYPGTAFTRSISDSIAETIGVVANPEIVALDITPDHL >cds-PLY97595.1 pep primary_assembly:Lsat_Salinas_v7:5:239760799:239771852:1 gene:gene-LSAT_5X118280 transcript:rna-gnl|WGS:NBSK|LSAT_5X118280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:LPAT5 [Source:Projected from Arabidopsis thaliana (AT3G18850) UniProtKB/TrEMBL;Acc:A0A178VAY6] MAVESSNKQETRALTPLRVLRGVFCLLILLSTAFVILVFCGFFTAVILRFFSIHYSRKATAFFFGSWLALWPFLFEKINKTKVIFSGETLPEREERVLIIANHRTEVDWMYLWDLALRKGRLGYIKYVLKSSLMKLPVFGWAFHILEFISVERKWEVDESTMRNMLSTFKNHQDPLWLAVFPEGTDFTEQKCIRSQKYASENGLPILHSVLLPKTKGFAACMEELRDCLDAVYDITIGYKNRCPTFLDNACGVAPSEVHIHVRRIVVNDIPESEEKIGCWLMDTFSKKDKLLLDFQSRGCFPHQGTEGEIAGVSCLLNVVGKDNIIFLSPPSYRDCWHKVSQGFFLVSCHDRALDERALQAALPFFTHAILLDRDFAHCLRFPTAAPSRESGPCLSPSVADHPKRPAKHHWLG >cds-PLY86772.1 pep primary_assembly:Lsat_Salinas_v7:4:296355991:296359939:1 gene:gene-LSAT_4X148261 transcript:rna-gnl|WGS:NBSK|LSAT_4X148261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSANKCFKYDVFLSFRGEDTRTSFVDHLYHALQNKSIHTYKDDERIRKGKRISDELIGSIEDSKFYIIVFSKSYASSSWCLDELVKIMELAEAFAGHEKEEASGKWRLALKEAADLSGWELKNTAEGHEAKFIQKIVEDLSLELRAISFNIDEKLVGMESRVMDVVSCLGTGSDDVRMIGIKGMGGGGKTTLARAVFDRTSFQFEGKSFVHNVREVSNASLSGLKSLQKQVLRDILNDKGINVSSVFDGKEIMKKMMRHRKVLIVLDDVNRIDQLEALAGDLNWFKLGSRIIITTRDEQVLLAHGVEFVRDVSLLSNKEAICLFKTYAFGREIPIQRYKELSKQVVSYAAGLPLTIKVLGSLLCGKNELEWEDALKRLKTIPLAETLKILELSYTNLEDDYKEIFLDIACYLKGWERNKEIKVLESCRFHARIGLRVLEQKSLITFNCDSYDYEWVGMHDHLKEMAMNIIRRSHPDEPHKHSRLWKIDEIEDILANDLGRKATRCIQFHTKKFNPHILIKGLRNMKELRFFSVRGDTSNDFKFRIVSPHFPNAIRYLHWTDYPFRTLPKTFQANNLVALEMDGSKIVQLWEGGERKVLYKLRFLDLSCSSLRTLDLGLAPNLEELILVGCKNLEKLHFPGRCLNLRCLLLTNSKLRTLDIGQTPNLEKLDLQKSYCLEKLLIANECQKLAELKISHSNLRTLDIGMTPNLKKLVLTECRKLVKLRTSIGCLKKLVHVNLSDCVRFRSFLFTSKNYTYGSVDESLAVAPLAELNLTVKSLESCPLHPKSNLPKFWFKYFHTEDRPSLTRNLEMLLSVGMCACTNLEMFSRSICGLQRLIKLRFKGSFLEVTKDLDQLESLEELILFSTKINHLPDSICKLKHLKSLELDDIRLLERLPEDLGQIECLERLSLLSIDIKHLPDSICMLKHLKSLRLIFCSLLEKLPEDLDQLQCLEELILTNCKFLQDIPNSICMLKRLKNLQLHECSLLEKLPEDLGQLECLENLSLWKNEFLQDIPNSICEMKCLKYLNLYKCIRVEKLPEELGCLECLKELHINGTSISHLPQSILSLNGLGIIGPIQLLKSCGFAPMMQIPTDTYRYKIHYWVRVPIIQAHTTGVSSTILHG >cds-PLY98185.1 pep primary_assembly:Lsat_Salinas_v7:3:28750768:28753805:-1 gene:gene-LSAT_3X20200 transcript:rna-gnl|WGS:NBSK|LSAT_3X20200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPTSVVPTPEDRNSTTTTTLSNPMEMVKPPHENSTYVAYPFEDVVYKVKLEQKGTCCGGTARTKEKTILNGVTGKVFPGEILAMLGPSGSGKTTLLTALGGRLAGNLSGKISYNSRPFSGSMKRRTGFVTQEDVLYPHLTVTETLVFTAMLRLPKTLTQDEKVQHVDRVIAELGLTRCQNSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTAQRIITTIKRLASGGRTVVTTIHQPSSRLYHMFDKLILLSEGSPIYYGPASTAMEYFSSIGFSTSITVNPADLLLDLANGIPPDSMHEYEQGENTEQERKSVRQKLITSYETNISTRLKAELCSQDASKHNHAKDGSIRNVKSEQWCTSWWHQFKVLLLRGVRERRFEAFNRLRIFQVISVAVLAGLLWWHTPTSHIEDRIAMLFFFSVFWGFYPLYNAVFTFPQERRMLIKERSSGMYRLSSYFLARTTGDLPLELALPTAFTFILYWMGGLKPDPATFVLSLLVVLYNVLVAQSLGLAIGAILMDVKQATTLASVTTLVFLIAGGYYIQQIPPFIVWLKYLSYSYYCFKLLLGVQYNENDLYECSKGVYCHVADFPAVKSVGLNDLPVDLLIMAMMLIGYRLVAYMALQKVR >cds-PLY80405.1 pep primary_assembly:Lsat_Salinas_v7:8:171607772:171615397:-1 gene:gene-LSAT_8X112560 transcript:rna-gnl|WGS:NBSK|LSAT_8X112560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMNQVWGLLVVLVFFNGCFIEEQRHTCAQARIPRSKKLMNASVVSRPEVVKIGSILTFDSTIGKIGKIALEAAVEDVNSDPTVLNGTKLELTIHDSNSSGFVGIMEALQFMESESVALIGPESSALTHMISYVVKELKIPLLSFTATDPTLSSLQYPFFIRTTHSDLFQMAAIADIIDYYEWREIVAIYIDDDHGRNGITSLADQIAFKRSKISYKAPINPDATREDIRDVLLQVSFKESRVLVVHTCTNWGLDILDVAQELKMMDSGYVWITTDWLSTVIDINSPLPKKSIAAMQGVITLRSYIKDSQLKRKFVTKWENSTKLGLNTYSMYAYDTIWLLARALDDFFHQGGNISFSKGPQMKDSQGTFLNLDSLSVFNGGKILLKNILGVQMNGTTGPIEFTSDKSLVFPAFEVINVIGTGFRTVGYWSNSSRLSTSPPETLNKNLTNQSSSSELLYSVIWPGQTVIKPRGWFFPQNGKQLKIGVPVRVSFQDFVEEVKGTDRYVGYSIDVFIAAVKLLPYAAPYTFYSYGDGHKNPAYTDLVSLVNAGVYDAAVGDIAIISNRTRMADFTQPYIESGLVVVAPVRRVSSGTWAFFRPFTAELWCVIGICFLVVGAVVWILEHRRNDEFRGTPRQQVVTTLWFSFSTLYFSHKQNMTSSLSRFVLILWLFVVLIISSSYTASLTSILTVQKLSSPIEGIDSLKSNKDRIGYQESSFVRNYLVEEIGISENRLVPLNLPEDYEKALNDGPQNGGVVAIVDERPYIELFLSTRCQFSIVGQDFTKNGWGFAFQRDSPLAIDISNAILKLSETGELQNIHNKWLLRSACSSQGAEFSVDRLELKRFKGLFFIIGLACFLALFVYLVLIIYQYTKHKPDPSESPGTTPGRLQTFISFIDEKEDSLNTRSKKRLRQTSTFRSNRDIVSLNGYRSNRKESSSIAPECSEHGD >cds-PLY66595.1 pep primary_assembly:Lsat_Salinas_v7:6:166195581:166198548:1 gene:gene-LSAT_6X101680 transcript:rna-gnl|WGS:NBSK|LSAT_6X101680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCAPTKPLLDTSHQGLHKLKMENGYVRGGSRKSVSGGRNLSSSGGSSSSTGSRKEKMLMNGKEGKEAGSTVRSTGSGGTSSSGGGRGGSDGGVVTRRSSVSPKLDNSDELVDGWPKWLTDNVPKDALDGLIPKSADAYDKLDKVGSGTYSNVYKARDRKTKKIVALKKVRFDTSEPESVKFMAREIMMLRKLDHPNIVKLEGLATSRMQYSLYLVFDYMQTDLSRIISRPDERLTEPQVKSYMQQLLSGLQHCHERGILHRDIKGSNLLIDRNGMLKIADFGLANYYNPKRPVALTNRVVTLWYRAPELLLGTTHYGPAIDLWSAGCLLAEMFAGRPIMPARTEVEQLHKIFKLCGTPPEDYWKKLKLSTTFRPPHSYKSNLREAFRDYPRSALGLLAILLALDPVFRGSASSALQHEFFHTSPWACDLSGLPVVKVDDDDLAQTNEPRKIRKSRTRQRSRTLKEQRKKDQTTENPNVDSAAPKEEAEKKQEVEIKIDELVITKTSPFSHRGGPSPTKETLAPLPSPDNLYQRYRRQMSESHPNAKMNIKNRPPLPGAKRLSDKYGGMIDEHLTHVPRSSSTREYRNMDQRKLDFNLHDD >cds-PLY77686.1 pep primary_assembly:Lsat_Salinas_v7:9:18653603:18654874:-1 gene:gene-LSAT_9X13661 transcript:rna-gnl|WGS:NBSK|LSAT_9X13661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKYTALIAKGVLFSDTTNPTYNVNLAPFIQDLSAYYCLLNVSSDCPGKFTLHVGGWLNISANETQAFCEGGCAQHTQQLLKCVKYVKQNYVFRNKATINNLNDTINIGCTHGFNGTSLTSASGSVIATASSFSVFALLALLLY >cds-PLY85736.1 pep primary_assembly:Lsat_Salinas_v7:1:46879607:46880917:-1 gene:gene-LSAT_1X41680 transcript:rna-gnl|WGS:NBSK|LSAT_1X41680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLISDPVTNNIERARDSSKRRKKKRIHRQSTGDQTIENSSNNNNQIISWKSEAQQQVYSSKLLQALRNVRINSGTSPPSAPRRGRAVREAADRVLAVTAKGRSRWSRAILTNKIKLKFMKNNRRQRGTAVTATGNSRLKKPRVSILKLKTKNLPAVQRKTRVLGRLVPGCRKQPLPVVLEEATDYIAALEMQVKAMAALADLLSGGSSSTSGPGTGAMILSQLSLRQPPQSL >cds-PLY84920.1 pep primary_assembly:Lsat_Salinas_v7:6:15097896:15101227:1 gene:gene-LSAT_6X11220 transcript:rna-gnl|WGS:NBSK|LSAT_6X11220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAISVAKKGGRKINNGAILEEDEEEEEEDHDTDLRVRKGRKSSGRMAAVDETPSPLPQTPVAPPPPPPDGEKMPWDYFDMGGMQWSHLNEEYDDVIDEKDEEEEEEEEQINGAHHQQHHHLHRNDHLHHHQVEPKTPEKVVMEGFTTEEEETPVMAKQFTHSNTAPPDLNRRGGGGAVNGGSGMVVGSSSVNLLKILAKIDDEFLKASESAQEVSRMLETTRLHYHSNFADNRGHIDHAARVMRVITWNRSFKGAPNGDNGNDEYDEDKYETHATVLDKLLAWEKKLYEEVKAGELMKLEYQRKVSLLNKLKKRGASTESLEKTKAAVSHLHTRYIVDMQSLDSTVSEVNDIRDKQLYPKLVALLDGMSKMWDSMCERHDNQLTIVTDLKSLDVSGEPKETTKHHYERTVQLYHVVQGWHSHFETLVTHQKHYVQALNNWLKLNLVPIESSLKEKISSPPRIQHPPIQTLLHSWHDHLQKLPDELAKSAISSFAAVIETIMNHQEEEMKLKEKWEETRKEFLRKSQAFEDWYQKYIMRRGPQDETNPGTDPKDPIAERQFVVETLKKRLEDEMEAHQKVCAQVRDKSLGSLKIRLPELFRAMSDYAHVCRDSYQRLRSIVHSQNRQNGN >cds-PLY77579.1 pep primary_assembly:Lsat_Salinas_v7:2:165024096:165026362:1 gene:gene-LSAT_2X86121 transcript:rna-gnl|WGS:NBSK|LSAT_2X86121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDQSSILCLKSSTTWARVCDTCRSAPCTIYCRPDAAYLCTACDARIHAPNKLQSSQHERVWVCEACEQAPAAFICKADAASLCTTCDADIHSANPLARRHHRVPVMPIPSALYGPQAADPRTVMGLGVESQSGFLSHDGEDTIDDEDEDEAASWLLFDGLPPPKNSQNQNGKDNGYLFSGEGGDDEYLEFMEFGEDQQQCYKVTEKMYGGGNADSVVPVQKNHHHQEFQSQKFQLGMEYETSNGGGYGYPALTHSVSMSSLEVGVVPDSSRTEASISHSRPSKGTIDLFSNSPVQVLPTQLTPMDREARVLRYREKKKTRKFEKTIRYASRKAYAETRPRIKGRFAKRNNGEEDVDQMFLTNHMVEGGYGIVPSF >cds-PLY63447.1 pep primary_assembly:Lsat_Salinas_v7:7:149702237:149702578:-1 gene:gene-LSAT_7X88641 transcript:rna-gnl|WGS:NBSK|LSAT_7X88641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDDTGETGGTPTSPIRSMMSLEQTTNLNPIVLADEESKEGGLAVSIASTTGHEQPVDGGQPPSAVVSMQSSLIKGPQLAVVPSNAMSSTMEDASATPSLFQQPPRSQMASA >cds-PLY83434.1 pep primary_assembly:Lsat_Salinas_v7:5:329986518:329987059:-1 gene:gene-LSAT_5X186601 transcript:rna-gnl|WGS:NBSK|LSAT_5X186601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTNKASTDVASGNETYVNMQDANVVENGTTETGDNLVQMETNTKVYRKCEDSGSTLKVLDEMSKRNVLWKNVIYYAM >cds-PLY70367.1 pep primary_assembly:Lsat_Salinas_v7:4:99123869:99126363:-1 gene:gene-LSAT_4X64221 transcript:rna-gnl|WGS:NBSK|LSAT_4X64221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGILITKAVAVVLVLVAVLGGSVQSVESAIGVNWGTISNHRLAPSTVVDLLRDNKIQKVKLFDADPDCLRALMGSGIEVMVGVSNDLLATLSSSSAAADLWVSQNVSRYMVRGGANIKYIAVGNEPFLTSYGGQFQSYVMPSITNLQQSLTKANLTNNVKLVVPCNADAYESSLPSQGAFRPELTQIMTDLVTFLNSNGSPFIVNIYPFLSLYSNSDFPQDYAFFEGTTHSVTDGPNVYYNAFDGNYDTLVSALNKLGFGQIPIVIGEVGWPTDGAITANVNAARVFNQGLINHVLSNKGTPLRPGQGPTDVYLFGLFDEEAKSVLPGGFERHWGIFSFDGQAKYALNLGLGNGLLRNAKDVHYLPFRWCVVDPLKDLSGVSDHFKLACTVADCTNLNYGGSCNGIGERGNISYAFNSYYQVQKQNSRSCEFDGLGMVTFLNPSMGECKFLVGVAEEVVTEHVSVGLQLYRGSVIVWLLIFWGFGVFMI >cds-PLY70153.1 pep primary_assembly:Lsat_Salinas_v7:3:11527497:11532408:1 gene:gene-LSAT_3X9121 transcript:rna-gnl|WGS:NBSK|LSAT_3X9121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDNELIRIQGVVGLVFGNENSSSNEDSYVERLLDCISNGQLADDRRNAMAELQSVVAESHAAQLAFGEMGFPVLLGVLKERDDVEMVRGALETLVSALTPIAHAKLRANEVQPALMNTDLLSRDGQSISLLLSLLGEDDFYIRYYTLQLLTALLTNSPIRLQEVILTIPRGITRLMDMLMDREVIRNEALLLLTYLTREAEEIQKILVFEGAFEKIFSIIKEEGGSEGGVVVQDCLELLNNLLRNNASNQVLLRETIGFDSIISILKLRGTTYSFTQQKTINLLSGLETVSLLVSGGLETDPGSNNNRLTNKTVLVQRNVLEHLLMLGVESQWAPVAVRCAAFECIGDLISGHHKNLEALASKSLGDEPETEPALNSILRIILRTSSMQEFIAADYVFKNFCEKNSDGQKMLASTLIPQPMSITNARFEEDINMSFGSMLLHGLAMSEHDGDLETSCRAASVLSYVMKDNIQCKEKVLQIELESSMPSLGSPEPLLHRMVKYLALASSKKGKDGKSTTPRNSYFQPIILKLLVTWLSDCPNAVQSFLDSRPHLTYLIELVSNTDTTVCARGLAAAVLGECAIYNKSNEPGKDAFAIVDAISQKVGLTSYFLKLDEMQKSFLFSSAKPAQQRKPLTRSNANSMAEMEDIEENETNDINRNDDHPMLSSMFDSQFVDFIKKLEGEIRDNIVKIYSHPKSNVSVVPAELEQKKDEKDGDYIKRLKAFVEKQCSEIQDLLNRNSTLAEELASTGGGGESRCSGGGGSERVQIETLRRDLEEVSQRLEMVKSEKSNIESEALTYKNMAEKMETDLKSLSDAYNSLEQANYQLEMKSGGVGVDVEKIKEEAREEAQKESEGELSDLLVCLGQEQSKVEKLSARLMELGQDVDALLEGIGDDAGALNDDDDDDDDEED >cds-PLY82276.1 pep primary_assembly:Lsat_Salinas_v7:1:68139606:68140638:1 gene:gene-LSAT_1X59920 transcript:rna-gnl|WGS:NBSK|LSAT_1X59920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQRATTGISSLPTDILESHILTRLDGQTLASATCTSISLSAGGKHNHQLWSNICHSTWPSTAGDRVSKIISTFSDNKNGPRSFFSQSFPLPAPEPTTVSPPPYPARSLPASELISAVDIYYRNKPILTKTEETETTSDWFRCSPFRIDLLDPKEVISTQIPQPTGEETCTALMDDMTLSWILIDPVNKQSVNLSSHKPVSVHRHWLSGEVQLCFVSILGVGGAVVQVGIAVICSGSEDGQMQVREVTMEVEDIDGKHLNGRDSMVILRRVMEGKRGSGVDRVEEAKTRHRRYQEMKKERRERKSRVEWTLDILSLAFVTSIFVALLFVIFYNFLSKHQKND >cds-PLY79884.1 pep primary_assembly:Lsat_Salinas_v7:8:16407729:16409270:-1 gene:gene-LSAT_8X12640 transcript:rna-gnl|WGS:NBSK|LSAT_8X12640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDDILISILSSLPLKEATSTSNLSTRWRYLWCQTDGLDFDANEKLDKIATDPKSLITERPKYINLVNRVTRQHKAPTINLFRISFDLDKRSKGAIDKWVDFAISKRFQKLELDLLENGETLRQPPKNYVFPIKCLNVPKLVYGKETELKFLKSLFLKCVNVSEEVFGKILTNCSGLQQLSIHGSGDLVNVKISGPYLVLKHLEIVFCFGVKSIEIKNVKIESFSYLGPGITMKLNNLPMLQEISIGEGYSGFENNVFGQISCCISHLQILTLDIYSPEENIKSLSFPELPKIKQLILKVGAWDDDSLLQFTSLVKACPNLRRFVMQLIWMSPARRRRKIRHADKHPHQQLEVVEIMGYYGRTSDLELVILERNPIGNQQFKKEKSARSCAKKQLNQ >cds-PLY74630.1 pep primary_assembly:Lsat_Salinas_v7:7:34405182:34407069:-1 gene:gene-LSAT_7X25220 transcript:rna-gnl|WGS:NBSK|LSAT_7X25220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQQCSESMSENNNNSMIIGNLEADFHDTVEEEFPYVNATNTFASNQSHSSSEPDHSICSNDEESTVHVLSDHTPDSPSSLSSSGLRRRRPVSQRSSEDMCDSQFFRNNPDGLIDFDRHGSPLSQRKKHHRSWSANNYEKSNSTRPQSSVGDGSPLHGMAEASSAITSVGSNGRSINYESIPVNSPTNSFPILLLTLSELILKVLGFQTQLFSTYLTLPIWLLQISYIFASDPLSVVYQWIAKHKFSWNSIYQISYVLLRLAYCFFILVCVVTSSFLLSAIMMKWIVSEPEHMTEELIFDYTRDTPMAFVPIISCSNSSSPGLIEKTNIGATESQVLHFDREVQATVSLTLPESEYNRNLGVFQVRVDFLSDDGKPIATIRQPSMFPFRSEPIRLLSTFFKLAPLLAGYSSESQTLDITFQGYTEKNDVRTSCSRVVLEQRAEFARGGGIPEIYTATLKLEARHPYWKRVLWYWKSVIYMGMTSMMFTVELLFMLLCCPVVFSGRRLVADASRNTPLS >cds-PLY97352.1 pep primary_assembly:Lsat_Salinas_v7:4:285806287:285806518:-1 gene:gene-LSAT_4X145441 transcript:rna-gnl|WGS:NBSK|LSAT_4X145441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQALSGMIGRKASVVRRQIPGLNSGQAVETTKLEYGRGRGNFRWSGEMRRDRKEHQRRKHSAGPTLTLRDES >cds-PLY83937.1 pep primary_assembly:Lsat_Salinas_v7:MU041291.1:726736:729235:1 gene:gene-LSAT_0X32160 transcript:rna-gnl|WGS:NBSK|LSAT_0X32160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTSPFMDKQIMDLSSSQNKNNADKDFMDLNNQPPQEDDQQDVVVGGGGDKTDEILPSYDFQPIRPIVSSQPSNFESSSSGGARVWASAESKTNFGTRNYGSLDSVEPSKVIMKNDHSVIDAALISEIDRTMKKHADNLMHALEGVSARISQLESRSRNLENSVDDLRTSVGNNHGNTDGKLRQIENIIREVHAGVQVVKDKQEILETQLQLSQVQISKPEQPPPPPPQPEPQISGHMGSLQQQAAALPSPPPPIHHPPPQFPANMMSIPQHDSYYPPPGQTAEPPPQPQQYQLPPPPKLSPPSPQPQYQYSQPPPMSLQHHNPNPNPNPNPPHSEEPPYLSYPPSFRQPGGSGPPPPQFHNNPSGPGFSGQYGPSPGFNEPYNYGGPGSGSGSGSGSQYGSGSPVKSHGGGSGGGGFSQLPTARILPQAVPTAAAVGGGGGGSGSGGNRVPIDDVVDKVTNMGFPREQVRATVRKLTENGQAVDLNVVLDKLMTDGVESQGPRAWFGR >cds-PLY96609.1 pep primary_assembly:Lsat_Salinas_v7:7:45811301:45812445:1 gene:gene-LSAT_7X33961 transcript:rna-gnl|WGS:NBSK|LSAT_7X33961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGFVVRNGSVASLKGNLSNSMPNVNQIKALIEAYSPVIYLHPDEPYLPSSVDWFFQNGALLYQRGVESKPNPVQKNGSNLPQGGSNDEAYWLDLPRDGSSQDRVKKGSLQDASAYFHVKPISGGLFTDIAIWVFYPFNGGGRAKVEFVNLSLGKFGEHVGDWEHVTLRVNNFKGELHSVFFSQHGWGQWVSASDLEYHSGNKPVVYASLHGHASYPKPGCVLLGSGGVDIGVRDDTAKSDKVMDTGVRAVVIAAEYMGTTVVEPPWLNYERKWGPKIDYDVDKEMNKVKRMMIGKLKKAFERLVESLPREMLGEDGPTGPKVKNSWSGDETL >cds-PLY86057.1 pep primary_assembly:Lsat_Salinas_v7:3:195090175:195091480:-1 gene:gene-LSAT_3X116540 transcript:rna-gnl|WGS:NBSK|LSAT_3X116540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEVKVVGPESLGTGLAFPSLETLRFKDMKGWEAWSTNNNGVLVDTAFPCLQELRIESCPNLVRGSLEALPSLRVLRIGWCSHEMLGSLVRVASSVTKLEISCISGLNDQVWGGVIEYLGEVEEVSVRECDEIRYLWESEAEASKVLVNLRKLDVAGCSNLVSLGEKEEDNCGSNLTSLMSLTLLGCDNLEHCSCPDSLKSLSIQNCDNLLEKELLGGREKSLINSSILMLESVFIFNWPNLKSITELGYFNHLRDLYISNCPYMDSFPDHELPKLNVLIHLSITYCQSMDASFSGGQSEDVSNFSQLSYLFPSTLTTLHIRGFEKVESVSMGLQNLTSLRHLFIKNCPKMIDLPEMLLPSLLSLEIYGCPHLKERSSKRGSYWPLISLIPLTFIF >cds-PLY66980.1 pep primary_assembly:Lsat_Salinas_v7:6:140536651:140547356:1 gene:gene-LSAT_6X85720 transcript:rna-gnl|WGS:NBSK|LSAT_6X85720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSNTSPTSFETQVAEFIRARGGNASETNYQYPYPSHVNAASFVSIKLLSKTNYSLWQEQMMCLVESHDMLSFINGTLKNPKEHATSNKQCDNTMEAKCREWKRSDTLVKGWIFGSLSENVMHTVVGLYTANDVWEKLKTTYSTPPAPTTTTASCSNTIKKDEAEYLPLYRAITRNDWEKAHEIFNQDKDALTAKLDNLGSRALHIAIEKAESMQFLENLLKEINPESLPTLVTIYSGNPLHHAAAIDNTMAAKMLVKKNPYLLFSLDGNSSLPIHTASLNSHRRTFLYLLDACKNHIRLSQQDGYHSPFEGINGARLLSTAIESGFLEASNELIKDYPDMARSKCIKDNAHWVPLWSISSMWDLYHSGTRYNFYQRLVYAYVPIEKNLMHDTNKIQDVENQETYKAKFLSKSMETCFYVIERIYVKIWKAALLKVPHIKHLQEEKVKHQEALLVLKSICKEVAKIDTLSDICEHYQDSLSIAAHNDTPEVIEQIIQYFPGSTLVIINGCTLFQVAIKERCEKLYNFLLCHMSYDQYRYQQGCDKVKNSTLHLAGQLAPTHKLNRVSGAALQMQRELQWFEEVGNFVKPKYKYQKNISQETPIMVFRREHKQLRNEGEEWMKKTADSYTIVAALIITIVFAAAITVPGGNDDVTGKAIYETKPSFIVFAISDAISLFSSTTSLLLFLSILTTRYREEDFLYRLPKRLILGLTMLFLSVTSMMVAFSATLYLMFGQEKAWIMIPIATLTCLPIASFVTLQLPLLVDLISSTYGYGIFVKQSDCKMKQS >cds-PLY77742.1 pep primary_assembly:Lsat_Salinas_v7:2:170264551:170267977:1 gene:gene-LSAT_2X91101 transcript:rna-gnl|WGS:NBSK|LSAT_2X91101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGANTSREEDASYNSASYRPSSSFSGNQQSSYSQPSYSYPTQYEQNYPVQEAYPAYPPAAPAPAPAADPAPIYAAPPHRPAVATPAPAPAYGGPPPHRPQNKFDRRYSTIADSYHSLEQVSEALARAGLESSNLILGIDFTKSNEWTGSRSFHRKSLHHIGDGMNPYEQAITIIGKTLAAFDEDNLIPCYGFGDASTHDQDVFSFYPEERCCNGFEEVLSRYREILPHLKLAGPTSFAPVIEKAMTTVEESGGQYHVLVIIADGQVTRSVDTERGRLSPQEQKTVDAIVEASKLPLSIVLVGVGDGPWDTMREFDDNIPARSFDNFQFVNFTEIMTKNVAPIRKETEFALAALMEIPTQYKATIELNILGSRKGISPRRVALPPPVHVAPSFISSKPSQSYYDETTPVTAVPYYPPTSGVHRTAPPVPNSTYENQLCPICLTNPKDMAFGCGHQTCCDCGQTLKLCPICRSEIQTRIKLY >cds-PLY66401.1 pep primary_assembly:Lsat_Salinas_v7:9:127310807:127311689:-1 gene:gene-LSAT_9X81920 transcript:rna-gnl|WGS:NBSK|LSAT_9X81920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPMSKMHKITLFALTALIALITISLPTKTEALIPYNRPLWDMMIPTEDPFKVLEHNPLQLPKNLETINLARADWKETSGHHEISLDVPGLKREDIKIEVEESRVLKVSGERKAEEEVDGDKWHRAERTSGKFWRQFRLPGNVDMEKIMAHLEDGVLKIKVPKLAHEKKQSRVIDIMGQESSGGDIKATKTAA >cds-PLY84869.1 pep primary_assembly:Lsat_Salinas_v7:4:294134094:294138789:-1 gene:gene-LSAT_4X151281 transcript:rna-gnl|WGS:NBSK|LSAT_4X151281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASRFASLAAMAAAAAASTTTYSYADAPSYRFNPFAQSPTPSQSPQSGSEPSNTEPKPEVEDSKGGFDPEALERGAKALREINSNPYSKQVFEVMRKQEEARLAELAAEKAHQEAIQAQLAIEQQRKLAEDQMNLVEKQSQVKAQMLRYEDELARKRMQTDHEAQRQHNAELARMQEESLIRKEQARRATEEQIQAQQRQTEKERAEIERETIRVKAMAEAEGRAHEAKLTEDHNRRMLVERVNGEKEKWLAAINTTFGHIEGGVRMLLTDRSKLVMTVGGVTALAAGVYTTREGARVLWGYVNRILGQPSLIRESSMEKFPWSGIATRATQKLRNYTSSSSSSSLKDKSKFGNIVLHPSLQKRIEHLAKATANTKSHQAPFRNMLFYGPPGTGKTLVAREIARKSGLDYAMMTGGDVAPLGAQAVTKIHEIFDWSNKSKRGLLLFIDEADAFLCERNSTHMSEAQRSALNALLFRTGDQSRDVVLVLATNRPGDLDSAITDRIDDVIEFPLPQEDERFKLLKLYLQKYLHEEDDNNESKWKSLFKTKTKKIVIKELSDDIIREAAKKTEGFSGREIAKLMASVQAAVYGRPDCDLDSELFMEVVDYKVGEHQQRIELANS >cds-PLY68361.1 pep primary_assembly:Lsat_Salinas_v7:5:107420452:107420826:-1 gene:gene-LSAT_5X44440 transcript:rna-gnl|WGS:NBSK|LSAT_5X44440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIDHKNRYNRHKHKQGGKRTTKVYRAINNWNIRDDKRKANVLMTRNHKWRSFKNWLKRDFLANED >cds-PLY87263.1 pep primary_assembly:Lsat_Salinas_v7:1:50820298:50823765:1 gene:gene-LSAT_1X43720 transcript:rna-gnl|WGS:NBSK|LSAT_1X43720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNNGPPYHKEGSPLPTTISKTEKQRSRSSSRSSVPSIFFAFFSCLAWLYIAGRLWQDAENRKVLSHLLMKNSAERPKVLTVEEKLMVLGCKDLERRIVESEMEISLAKSQGFLKDQLKQPGFSSSKKLLAVIGVYTGFGSRLNRKVFRGSWMPTGDSLKKLEERGIIIRFVIGRSPNRGDSLDRNIDEENRTTKDFLILDNHEEADEESSKKAKFFFSTAVQNWDAEFYIKVDNNIGLDLEGLIELLESRHGQDSVYIGCMKSGEVVSEVGKPWYEPDWWKFGDEKSYFRHASGSLLIISKRFAQYININSASLKTYAHEDTSIGSWMMGIQATYIDENRVCCSGSQQDKVCSLA >cds-PLY73125.1 pep primary_assembly:Lsat_Salinas_v7:4:361943944:361945672:-1 gene:gene-LSAT_4X179440 transcript:rna-gnl|WGS:NBSK|LSAT_4X179440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESVVSSLEGTLLKNNDPFSYFMLVAYEASGLIRFTFLLLLWPVIRLLDVCGKGDVGVKLAIFIATAGVPISEIESVARAVLPKFYLDDLDLDAWRVFNSGERRVVVTKMPRVMVEHFVREHLRADDVVGSELRVTGFRLATGLVQVGDFGASIADRIAAMFEDKQPSLGLGRCHYDSSFLSLCKEQISAPYLNQNQKHITDQEILPEPVIFHDGRLVQRPTPSVALSILLWIPFGIIIAIVRLITGNVVPPWLARNVTSIFVWRLVVRGIPPSPPSQGSSTGVLFVCTHRSLMDPVVLSTVLQRKIPAVTYSLSRLSEFLSPIPTVRLTRNRQVDAERIKKELSEGDLVICPEGTTCREPILLRFSALFAELTDRIVPVAMNCRVGFFHATTARGWKGLDPIFFFMNPNPIYEVTFMNQLPVEATCSSGKSPQDVANYVQRILAASLGFECTNFTRKDKYRILAGSDGTVGTGT >cds-PLY84633.1 pep primary_assembly:Lsat_Salinas_v7:1:30385010:30385201:1 gene:gene-LSAT_1X26160 transcript:rna-gnl|WGS:NBSK|LSAT_1X26160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRTKKRSFWILSMPPRITSRRNVSSINKQNLNMIHISSLSRLCVAIVNAYETGKQRNVNSKP >cds-PLY67705.1 pep primary_assembly:Lsat_Salinas_v7:4:4116178:4117609:1 gene:gene-LSAT_4X3121 transcript:rna-gnl|WGS:NBSK|LSAT_4X3121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMNRFGRKQTVLMFPWLGHGHISPFLELAKKLSNTNLFDIYLCSTPANLKSIKTSHGIQFIELNLPTLPELPPHLHTTNGLPSHLMPVLKKAFDMATDEFSDILKALKPDLLIYDIIQPWAPVAASALSIPSVVFITTSVAATMVHFHLSNRPGIALPFSTIYYRSYEYGKVVKSLEDMRKDEDFVMECVNRSSYLVLVKSFKEIEGKYSDYLSVLTDKRIVPVGPLVAEPSPVEDEEQNSVMQWLETKAVGSTVFVSFGSEYFLSDHDLEEIAYGLEISDVNFIWVLRFPKVERKLGLSEALPLGFLERVKDKGLVIEGWAPQGKILGHKNIGGFVSHCGWSSAIEAMKYGVPVIAMPMHLDQPINARLVEEVGIGMEVVRDENGRLSREKMAAVVRHVVVSKLGEVVRETAKKMSSDLSMKGEEEIEAAVVELLQLCSLGGGGGRSEVGEV >cds-PLY72830.1 pep primary_assembly:Lsat_Salinas_v7:6:22273398:22281314:1 gene:gene-LSAT_6X17041 transcript:rna-gnl|WGS:NBSK|LSAT_6X17041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGCDDDAIEDRAPEANLDSVDLMVNEKNENPSWKALNYCGPDPYLIQPIHHNLNTENIFGPLYKGVIYLNKPIRVVAEALQRSRLGISVPRHPARFYKNPKLPSMIIKCDAVVVGSGSGGGVVAGILSKAGYKVLVLEKGNYNARSNLSLLEGPSMEEMYLGKGLLATSNMEAVILAGSTVGGGSSINWSASIKTPQHVLKEWSECYELELFQSRLYEEAMSVVCERMGVQSKVEDEGFNNMILRKGCEELGYPINNIPRNSPPNHYCGWCCFGCKDGRKKGTSETWLVDLVESGNGAILPGCEALKVVHDQKKGRYRRTAKGVIFEFLNQDGSREICLAESKVTIVACGAMCTPQLLKRSGLKNPNIGKNLHIHPVAMAWGHFPSERWPEVEKKSYEGGIMSVMSTVVADFKGSGYGAIIQTPSLHPGMFSALMPWVSGWDFKTRISKFSRTAHIFALARDKGSGEIGPKTSITYQMDITDEENLKRGLEKSLRILAAAGAEEIGTQHNKGRTIHVKNASHHEFERFVREESSRVLSDLSTPLCSAHQMGSCRMGVEAKGSAVNPMGETWEVEGLYVADTSVFPTALGVNPMVTVQAIAYCTAQSALSHLEE >cds-PLY85555.1 pep primary_assembly:Lsat_Salinas_v7:2:195579651:195581000:1 gene:gene-LSAT_2X117700 transcript:rna-gnl|WGS:NBSK|LSAT_2X117700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEASSSSSLNQQLAEITEENRVNFYSNDFHLEPPKSYNYYQQQPDHIITDVLPLQYPSIVMEYQREKLPDWQCLQSFQATQNPRKRMSDGCDQNINVNKKLAQHVHERMQGVNGRVRERVPMRRSQKLADKITALQKLVSPYGKTDTASVLQEAHISINFLHDQIQELLQKTQNSAENVRPVQFWNEEAEIGLRERGLCLVPISTPEINSLCFGKHNFMPGSGNY >cds-PLY66070.1 pep primary_assembly:Lsat_Salinas_v7:2:206923125:206924334:1 gene:gene-LSAT_2X128021 transcript:rna-gnl|WGS:NBSK|LSAT_2X128021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPDQSSALDLIRHHLLIDDLSFLQTYSGLTDNDSHSVQTSDFLFPQISSSNSSSCSSSSPVFEPFSNSVSDEQFEFNVMREPAVCKNPWKQTGGGGSVSGNSFKERKPSLNISIPFPPPVIKKTVVAEKVEGVEERRHYRGVRQRPWGKFAAEIRDPNKKGTRVWLGTFDTAVEAAKAYDRAAFKLRGSKAILNFPLEIGNLNEAAEMPVVKSNGRKRVAREAEVEERESRKEVKKEMETEMVMAESSGVKTTDAAIGPLTPSCWTTVWDFGDGDGNGKGIFEVPPLSPYPGVGFSSGCMVI >cds-PLY67288.1 pep primary_assembly:Lsat_Salinas_v7:5:134539376:134542029:1 gene:gene-LSAT_5X58101 transcript:rna-gnl|WGS:NBSK|LSAT_5X58101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLKDFQYLEIGLEEIKSATNTFDKSKLIGEGGFGKVYEGVVTHSKGQSKVAFKRLDSTCGQGNPEFLKEILMLSRYTHENLISLLGFCNQDGERILVYEYACHGSLDRHVGSVALTWRQRLMICVNAATGLCYLHDPKETHERVIHRDIKSSNILLDESWNAKVSDMGLAKIGPANQKQTFLVSNVAGTLAYVDPIYMETSILTKESDVYSFGVVLLEVLCGTLCFEYSNSGTRKGLVRTWKKSYKLKDFEKIIFKDMKQQMDPRSLETYSSIAYQCLKKSREKRPEMYRVVEELNIALRFQENFEEVEPDMNYEEVRKSARFKKTKEMCKTAVPSLANRSDEELKILLAEGIFLNHGKTWFCLNKNGEHCEMISAQECFYPIILKPPVTGFYAKEKTRFEKYMNTKICSDFKIRVRTQYLSPQVTYAINLVFCLYDSDSTNSRLSYILAGETEPSSLYLADTRRDGWLTAELYQFTSDNRNVDLEITFKCWNELLVEGIEFQPVEIVSQQILEHQVLEDKREVDMQEDMSHSDAYWKEKLPSDYENIIKWSKDKLHWKTKKELYSILYKGFPIQIEGEEVDLLFRIHSYHL >cds-PLY85837.1 pep primary_assembly:Lsat_Salinas_v7:8:172654483:172657790:-1 gene:gene-LSAT_8X113101 transcript:rna-gnl|WGS:NBSK|LSAT_8X113101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTTGLFGDLGVGFLRISSGSSKKIYFFFLSKFPSAVIQMATRLQFENSCEIGVFSKLTNAYCLVAIGGSENFYSTFESELADVIPVVKTSIGGTRIIGRLCVGNKNGLLLPHTTTDQELQHLRNSLPDAVVVQRIDERLSALGNCIACNDHVALVHTDLDRETEEMIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGLTVNDWTAFCGSDTTATELSVIESVFKLREAQPSAIVDEMRKSLIDTYV >cds-PLY91883.1 pep primary_assembly:Lsat_Salinas_v7:8:203033216:203034959:-1 gene:gene-LSAT_8X129040 transcript:rna-gnl|WGS:NBSK|LSAT_8X129040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDSPKAFDAISIPMGIFFTVFFSVVYYLLIRWREKIRNSTPLHVVNMSEMAAIITFFASCIYLLGFFGMNFVQATPFTETEEEDEVEGETTPCGASIIRESDIVSVKEVMKKEVETVIVATDTVVSEEDEEVIQAVVSGRTPSYSLESKLGDCKRAAFIRRVALERITGKSLEGLPLEGLDYESILGQCCEMPVGYVQIPVGIAGPLLLDGMEFSVPMATTEGCLVASTNRGCKAIYVSGGATSVLLKDGMTRAPVVRFATAKRAADLKFFLEEPLNFGTLASVFNKSSRFGRLQTIRCAIAGKNLYIRFTCSTGDAMGMNMVSKGVQNVLEYLQADFPDMDVIGISGNYCSDKKPAAVNWIEGRGKSVVCEAVIPEEVVKKVLKTDVASLVELNMLKNLTGSAMAGALGGFNAHASNIVSAVYLATGQDPAQNVESSHCITMMEAVNGGKDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGANRECAGGNARQLAKVVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSSKDVSAKP >cds-PLY81993.1 pep primary_assembly:Lsat_Salinas_v7:8:263619350:263621234:-1 gene:gene-LSAT_8X152661 transcript:rna-gnl|WGS:NBSK|LSAT_8X152661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWRRRLANLSRGVSWIVAIGSLLVGTFSILMMVRRGRISNLYDAQSSSCQFPAIYNFGDSNSDTGAVSAVFGRVHPPFGMTYFHKPSGRYSDGRLIIDFLAERLGLPYLSAYLDSIGTNFQHGANFAASGSTIQPADALMLNRTFNPLTLNVQLSQFEQFKDRSTDLYREGSDSKDGFPRPEDYKRALYIFDIGQNDLHAGITSMKEEQVKTYIPTIINEFVSVVEKLYQVGARTFWIHNTGPIGCLPFFVKNYPPTPENTDQIGCVRSYNNVAQEFNKNLKDKVSQLQKQLQDTSFVYVDMYSVKYSLISQANKHGFTDPLGQCIGQDGDFSRGCANPLEYISWDGVHYTEAGNKWIANRLQDGSFSAPKLPLMKACEAFLGS >cds-PLY84815.1 pep primary_assembly:Lsat_Salinas_v7:8:24166025:24167520:1 gene:gene-LSAT_8X20741 transcript:rna-gnl|WGS:NBSK|LSAT_8X20741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKQSDIVVVIVPFPAQGHLNQLLHLSRLIAAYNIPIHVITTTSHARQAKTRIHGAWDSLSIDTIHFHDYPTPHFPSPPPDPTAAIKFPSHLIPSFKSAMHLRQPFVDLLSTISPASKRVVVIYDYLMGPVVQDVGSFPNAEAYMFSCCSAFASFWYHQEATGRPPLDGELESIKKELPSGEDCYSTDGLQFILSTDVSYKKFTSGTILDACRVIEGKYIDLLEQEENEDHQKLWALGPFNPVAITHDSTHKRHKLFDWLEKQKPNSVLYVSFGTTTSISNEQIQEIALGLEKSEQSFIWVLREADKGDIFDEGEVRKIQLPKGFEENGLVVADWVPQSEILAHPSTGGFMSHCGWNSSMESITMGVPMAAWPMHSDQPRNAMLITRVLKTGMYVRDWTQRKELVSSTVVEGVVRRLMASDEGEEMRKRAAELGDRVRRSVVDGGVTRMELDAFVAHVTR >cds-PLY90210.1 pep primary_assembly:Lsat_Salinas_v7:9:20653418:20653867:1 gene:gene-LSAT_9X18921 transcript:rna-gnl|WGS:NBSK|LSAT_9X18921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYRNSFSAWDESNFTAIAENFGKVLVNASPVWNCSDVSHGKVCILTAIRLKINEVTEVKFGDSLFKIGVVEFNDDWSPFKMTVSGSELGSSDDEDNDDGVSDTWHKDGMDLEEGEITVDRNMDYDRGRGSSTIPEVPDDGDKDVTV >cds-PLY78680.1 pep primary_assembly:Lsat_Salinas_v7:9:49673211:49676261:-1 gene:gene-LSAT_9X45620 transcript:rna-gnl|WGS:NBSK|LSAT_9X45620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVTKAVSTAVILKLEDTMNEESVADNKKLKHQLQMLQKSLEQQEKFLEEEDVSQKTRDHLLDLYEVEDEIDIFSFQVARQRKTFFFKNFSSCRRLKQKMKKIQSRITSSEDVVSAIPGLIHCSRSDQWSDNSPHTSISDTTTSEEYHSDDDDDEDDDFYYETQALTLKQKSVSNVWPISESPSPEHKKLTFSYSYNEKERNMRGSKEENLHYPMQIPTFFHQEEKGIFGLKDDVKILVKRLTRHQEACVSRFTDDDVTSVVKQQSKQKEMFVQVVGEVGSGKTTLVRAVYKNKKIKDHFESHDWISVKHQDTADHILLGLLKKVETVKNNGGDTTFNDQSLKTRVFNHLKGKRYLIVLDGVRSCRLLEDLKEAFPYEDNGSKIIFTQRRSPETYVNMIMINPHKMNQLNETESWNMFLIKVGKEAEKITPKLKRRILNICKGLPLNIVLISKLLSNKGIETWSSIVAHDRCFNDVVTLCYEDLSSNSKLCLLYLSFFPKDYDIPVRRLLRLWLAEGFVNGRSEEISISPEDVVQKYFEDLVDRSLIQITKLRSDNSPRHCQLVSVLHDYLLPKAHDISLFNIHRNSEAFENAAGPYGVRRMVHHMNSVGALATTTRAHGQMIERNKTYVHSSSSTRYLSCFPVSCSENIHDTEMRSTFDPSLLRSYVSFNSHGKDIAEKQVGKLLGRIIKSNFRLLRVLDLEGVYMPNLPDKLGHLHHLRYLGLRRTFLESLPESVGDLSYLETLDVKHTRVETLPDSIWKLKQLRHLNLNNIRLAMPPRSSSTLVTLWGLVLDEKISVHEGLGKLLNLRELGVKFSLSKSQGMLLDWIAKLENLRSLRLRSLDDMNRPSNIILKPLAKLDKLSHLDLYGTLERLPDPNEFPPTVKVLTLSICRLNKDPMETLERLPSLIVLRLLGDSYTGKRLVCHRGGFKKLEVLKLWKLKDLEELDVEEEAMESLKELNIRGCDKLKNIPSRLLQKQRCLEELVLTNMPDDLVSRIKKRKFKDTSLTINYLE >cds-PLY99668.1 pep primary_assembly:Lsat_Salinas_v7:9:55360090:55368473:-1 gene:gene-LSAT_0X9640 transcript:rna-gnl|WGS:NBSK|LSAT_0X9640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKVNTQEVVDDEKEILNDQPLPFTKLLTYADALDYTLMALASLGSIIHGLAQPLGYLLLGKAIDAFGTNIHDDANMVKALKKVIPFVWYMAFATFPAGVLEVGGWMYASERQVARLRLAYLKAVLKQEIGAFDTELTNGKIITGISNHMSIIQDAIGEKLGHFLSCFATFFTGVVIAFICCWEVALLTLLVVPMILVIGATYTKNMNSIPATKAAYLSEATVMVEQTVSQIRTVFAFVGEGSAIKSFSECMQRQLIISKGEALIKGVGTGVFQTVTFCSWALIVWIGALVVVAKRAQGGDVIAAVMSILFGAISITYAAPDMQIFNQAKAAGKEVFDVIDRKSLISYDSKGEINLKKINGNIEIRDVHFAYPSRHEKMILQGFSLLIPAGKVVALVGSSGCGKSTIISLVPRFYDPEKGEVFVDNHNVKNLDVKFLRKNIGAVSQEPALFAGTIKDNMKVGNKDADDEQIQRAATMANAHTFISQLPNQYLTDVGQRGVQLSGGQKQRIAIARAILKNPPILLLDEATSALDSESEKLVQDALESAMRGRTVILIAHRMSTIVNADMIVVVQNGQVTETGTHSNLLQTSEFYSNLFSMQNINTEGGVSSTKETEKKDQQVSEEFAQLVTPIETNKTLKDTPKEKQEDGNVERVEKGEREIFFRIWFGLNNQEFVKIAVGSFAAAFSGISKPVFGFFIITIGVAYYKHGAKEKVGWYSLLFSAIGLLSLFSHTLQHYYYGVIGEKAMTNLRKALYSVVLRNELAWYDKSENSVGSLTSRIINETSTIKTIISDRMAVIVQCISSILIATIVSMIVNWRMGLVAWAVMPCHFIGGLIQAKSAKGFSGDTAAAHSELVSLASESATNIRTVASFCHEECILEKAKLSLDAPLKRSRKQSLWYGFIQGVSLCLWNIAHAVALWYSTVLVEKKQASFEDGIRSYQIFSLTVPSITELWTLIPTVISAVNVLTPVFQALDRRTEIEPDETENPPSRKIIGEIEFQNIHFSYPLRPEVKILDNFTLRIEAGSKVALVGPSGAGKSSVLALLLRFYCPLQGLILIDGRDITTYNLRNLRRQIGLVQQEPLLFSCSIRDNICYGTEEASESEIIEVSKDANIHEFVSNLPDGYDTVVGEKGCQLSGGQKQRIAIARTLLKKPAIMLLDEATSALDAESERAVVNAMESINRKGNAGLHSTQVTVAHRLSTVVHSDSIIVMEKGKVVEMGTHSALVAASEGVYSRFYRIQSMKN >cds-PLY97797.1 pep primary_assembly:Lsat_Salinas_v7:7:120215294:120215948:1 gene:gene-LSAT_7X73740 transcript:rna-gnl|WGS:NBSK|LSAT_7X73740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 23 [Source:Projected from Arabidopsis thaliana (AT5G26930) UniProtKB/Swiss-Prot;Acc:Q8LC59] MESVSCEEKLCVDCKTSKTPLWRSGPAGPKSLCNACGIRYRKKRSPNGSDKRIEKQAPFSPSSSSSSSCSTSAALYSDEVVGMRKRLRIKVVAVGKEVVVLQRRRSRMMKKVKGERVEKEYKKLGEVEQAAFLLMSLSCGGSVFG >cds-PLY76280.1 pep primary_assembly:Lsat_Salinas_v7:8:33422195:33424696:1 gene:gene-LSAT_8X26460 transcript:rna-gnl|WGS:NBSK|LSAT_8X26460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQYRPSSAYNAPFWTTNSGAPIYNNNSSLTVGTRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISGLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQEDWRVLDFFSHHPESLHMFTFLQDDIGVPQDYRHMEGSGVNTYTLINKAGKAYYVKFHWKPTCGVKSLLEDEAIKVGGANHSHATQDLYDSIAAGNYPEWKLFIQTIDPDHEDRFDFDPLDVTKTWPEDILPLQPVGRMVLNKNIDNFFAENEQLAFCPAIIVPGVYYSDDKLLQTRIFSYADTQRHRLGPNYLQLPANAPKCAHHNNHHDGFMNFMHRDEEINYFPSRHDPARHAEQYPIPPVRLSGKRDKCVIEKENNFKQPGERYRSFAPDRQERFINRIVGALSDPRVTHEIRTIWISYWSQADKSLGQKIASRLNVKPSY >cds-PLY68255.1 pep primary_assembly:Lsat_Salinas_v7:3:189114233:189116192:-1 gene:gene-LSAT_3X113540 transcript:rna-gnl|WGS:NBSK|LSAT_3X113540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNSVESREEDANVSSSFSRLPDEIILQIFNKLIDLKTLCFCYLVSKHLSSILLQIEAISFTAPLLNPRNSDKNTVSDVSPSQPFLSAHTFLSKFKEVKFLWIELPSSSHRDIDDRLLFRWKAKFCSRMKSFIFLSPHSIFHKDGFCINGNGEEEEEEDNELRNDMFNQKLVISFQCFRDAMVWHSILLYLVKDLPKLEKVSITESGRRGKLSLSGEKLIEVKEWVQHSASEAVMTHLELPTKISNGYIPVLKLPVSGYVMKGIFFCVMKMKDLEGGNDGVMNNEDASADKEEAAYTEAVMEILEKHTT >cds-PLY79091.1 pep primary_assembly:Lsat_Salinas_v7:3:7369873:7371743:1 gene:gene-LSAT_3X4521 transcript:rna-gnl|WGS:NBSK|LSAT_3X4521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASWVFCIFLTVTTFVVFDSVLVYGAGYTVPRAPTVYRPSAWTLAHATFYGDESASSTMGGACGYGNLVTNGYGTNTAAVSSTVFSNGYACGQCYQIKCTRSPWCSKGIATVTATNLCPPNWSKNSNSGGWCNPPRTHFDMAKPAFMQIAQWKAGIVPVMYRRVPCVRKGGLRFSFQGNGYWLLVYVMNVGGAGDIKSMWVKGTKTGWLSMSHNWGASYQAFATLRGQALSFRLTSYTTKQTITAYNVAPANWNLGLTYQAKVNFH >cds-PLY71443.1 pep primary_assembly:Lsat_Salinas_v7:8:42695549:42697739:-1 gene:gene-LSAT_8X33120 transcript:rna-gnl|WGS:NBSK|LSAT_8X33120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSETVRNAERLVEVMMKGNDASHDAAHAFRVRDLALSLAHEEALGLSSSPISLEIVELAALLHDIGDYKYLKNPSEEKIVEDFLVKEGLDIDKSSKILDIIKQMGFKEEINGASNGSQSHTLEFGVVQDADRLDAIGAIGIARCFTFGGSRNRVLHDPNIHPRLDLSKHQYMNKDEQTTVNHFHEKLLKLKDLMKTKAGKRRAEKRHKFMEEFLTEFYEEWTGKA >cds-PLY77128.1 pep primary_assembly:Lsat_Salinas_v7:7:183763023:183764990:-1 gene:gene-LSAT_7X108820 transcript:rna-gnl|WGS:NBSK|LSAT_7X108820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEHRGDEQHEIEHICDMVDYINNLPDCIVHHILSFMPTKEVVKTSILSTRWKNLWASAPTIDFDDLLFCSVSDIDYDDLFVCDRKVHDRRQPDVTSFMNFIERVLRLRGVSNIIKFRLTCVSSYEESQIQNSQIHSWISDAIMHNVQELDLSLFREDPSMIPWSILDRTSLVSLKIRSNYYVTELPSCISFPCLKTLHLLSVVFPDDDHAEKLLLGCPVLEELVLSHNWMNLNNIVISNSTLKSLTIEDILHFQEDILHFQEPLYDPTNVCKIKIDAENLTYFEYIGFLSNEIILSNTSSLVNACVYIPPEWKKEVTGRVIGLLKQLQYVVSLKLCRRTLESLVFADNNLFRFPVFPNLSHLILSMKIGKHTFRVLMDLLSFCPVLQSICFSEGFMRDRYLGMNYSIWSLIPKCISNCLKTLTFKNFHADNSEIGFLKCVLKHACVLERMDVWWSKTPRPDIKKRMEARVEIETMKRNSTACVIKFS >cds-PLY80949.1 pep primary_assembly:Lsat_Salinas_v7:8:145324378:145326640:1 gene:gene-LSAT_8X98580 transcript:rna-gnl|WGS:NBSK|LSAT_8X98580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEISLPDDCLTFIFEKLDSSIDRESFGLTCHRFLQIQNPNRKSLEFGCSFSRSNLSETTINIDSFILDKLIKRFTQLNSLNLCGCVNLQDSGLIQLINHGSKLHTLYLDCCFKITDSGLSHIALGCQSLSFISLYRCPITDIGLQHLSKSCLSLKDINLEWCSQITDGGISAITTNCRHLRAVKISHCDKIKGVGFNGCSKTLACLEADSCKIEPEGILGILSGGGLEYLNVSCLSWCIQGDGLRSIGGGFGKNIRVLDFRVCRSVGDETVIEIAKGCPMLQEWNLSLCSEIGVLGWEAIGGYCGNLEKLHVNGCRGFCDRGLLGLRNGCKRLLILYVSRCRQVSELAIELFKVARWDVEIKVEEVMCIMPKHFFR >cds-PLY69516.1 pep primary_assembly:Lsat_Salinas_v7:6:44242765:44244444:-1 gene:gene-LSAT_6X31441 transcript:rna-gnl|WGS:NBSK|LSAT_6X31441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDTKGPEVRSGDVAKPILLHEGQEFNLKEELTQTTLSVGMMSLGVKSKTGDLVKCEVIDGGELKSRKHLNVRGKSATLPSITNKDWEDIKFGVDNEVEFYVVSFVKDVEVVHELKDYRKRCNADIRVIVKIESADSIVNLPSILSASDGAMVARCDLGAELPIEEVPLLQKIVIVATNMLESMIDHPTPTRAEVSDIAVVVRQGVDVVMLSGETAHRNFPLKVVKVMHTVALRIESSSRVNSNSISLSRHLAYKSHMGEIFAFHAATIANNVATPIIVFIRTG >cds-PLY82697.1 pep primary_assembly:Lsat_Salinas_v7:MU041497.1:131605:133686:1 gene:gene-LSAT_0X25001 transcript:rna-gnl|WGS:NBSK|LSAT_0X25001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTQTMGSNGGTQKLVFDGLSRQGSQHNLTLDEVQQQLGDLGKPFSSMNLDEFLTNVWSAEADQGINNGSSPGYSELTQLASASSLPRQLSLTFTKDLTKKTVDEAYTHELENKISRLEEENERLRKQKLMTSATLSWMGINQMVFAQANITMDNTKFVNGAIVGETNVFQVRRGMETNKKIELLGTSDGKPSGVVKIVDCGEVIEDKKNNVVEPIKDTSSGDSSNGRVKKRCGSTVKEKLRKQRKYSPSDSDSDSYSFESDSDSNLESESEADSDSSSSSAGGKRRKKRSTKKEVKQRNNKRKEKRRLPGKRSKKRSR >cds-PLY61917.1 pep primary_assembly:Lsat_Salinas_v7:4:220674864:220676015:-1 gene:gene-LSAT_4X120561 transcript:rna-gnl|WGS:NBSK|LSAT_4X120561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQWFGTLILNGLLWVVAGKRLSLNDEEGIRFQKVARKFFQLLGAFVVSDFIPSLKRFDIGGYEREMRIPGKEMDEIFDGWLEEHKRKRKSKQQDEGNQVLMDVLISIVEGASEEEFPGHDSDTIIKATCLHVGRNRPVEESDLKNLVYIDAIYKIPSGTRLLLNLWKILRDPKIWPNPEEFKPERFLTTHKDIDLKGNHFELLPFGTGRRVCPGILFAQHASRLALATLIQQFEMKTAGNEPVDMEEIFGATCSKATQLDVLLSPRLSHDMYPVGT >cds-PLY93045.1 pep primary_assembly:Lsat_Salinas_v7:9:26265388:26266101:-1 gene:gene-LSAT_9X23241 transcript:rna-gnl|WGS:NBSK|LSAT_9X23241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTQQPHERNSSATSTPPLTEELSRTALEFQNQEQEDENILGLTIKLTSSVREFNNGGQDFEEEDEPRTPTSSDQKIPVTTTCPPAPRKPKTVPRGNKRKTRSFQRISVDLRVVMNAMFAPVTIPDYMAGDLGTGDHSKKVKKANVATGS >cds-PLY74212.1 pep primary_assembly:Lsat_Salinas_v7:5:145552819:145554228:-1 gene:gene-LSAT_5X65001 transcript:rna-gnl|WGS:NBSK|LSAT_5X65001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMNRLESEAKDQFSKLPDDLFVLILTKIRQQDFKFLSRCSLISKLFASSIPLMPSVSISISPPNNPKPTSDFSKSSLQDVADRFIDRKMDYLTSLRPQIQKALIFLKKFTMIRALDVVFITDDDDEDDPKEGGFRYGFLPSLINWKTKFDFKLQTFVFLFAQSILGKSEQTEEAMAVINQVTAEELELRLYSSFHGVVDSIEWGNVMSCLLVQHPMLREITIAGSRKGGKICLTAQEILDLRNSLSPEDVSRISGNGNGIGVTINVKSAYIPVLRLPSSGYAMKSVSLVVVRVGRRLYHPGDEAFPTETDTETDSDSDDDHEDGEIEYDDNDIINWEFDSEEEVFREAVTLILVDHKDKMQRET >cds-PLY89794.1 pep primary_assembly:Lsat_Salinas_v7:1:7168397:7189982:1 gene:gene-LSAT_1X4901 transcript:rna-gnl|WGS:NBSK|LSAT_1X4901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTGTNNSLFRTGLLLYVSTRGLSPQQAQAHENSKAFTLVTLHTNRTASNSSRKIRRWCSPQQKFTTQLNMIISQSIEEICKQIAGKDYSSLKMLFATPATTQISRVNDPQENSVSLALPDEDENATPNATFSLNALFTTPATTRVRYVEDHQEEAAEAMAAGLDDANGG >cds-PLY80650.1 pep primary_assembly:Lsat_Salinas_v7:5:246920048:246922843:1 gene:gene-LSAT_5X122860 transcript:rna-gnl|WGS:NBSK|LSAT_5X122860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNTWYYPSMQEYDVYKPQFQTLDNINHGRSNLFIKPDPYSTLESSSADNSCTTMYNSFSPVTEDLVNFKHKLKQLETVMFSDLYEDLVGNGGIELQDMEIWKEMVEGVPKRDLKQVLIACADAISNNDFSTAGILISELREMVSVAGEPIQRLGAYMLEGLVARLSAQKTSLCNDLGSGTHNLLSYTNMLHEICPYFKFGYMSANGAIAEAMKNEKKVHIVDFQIGQGSQWIPLIQAFAARRGGPPRIRITAFTDSMSVNVGQRLCKLARSFNVPFEFNSISISVSKAGVDQFRLRPGEALAVNFAFVLHHMADESVSTENHRDRILRVVKSLKPKVVTLIEQESNTNTSPFYPRFLEALDYYNAMFESMDESLPRGHKERINVEQYCLAKDVVNIIACEGNERVERHELLGKWKLRFRMAGFNPYPLSSLVNGTIKTLLKKYSDRYRLEERDGALYLGWMDRDLVASCAWK >cds-PLY77863.1 pep primary_assembly:Lsat_Salinas_v7:1:21414772:21422889:-1 gene:gene-LSAT_1X17781 transcript:rna-gnl|WGS:NBSK|LSAT_1X17781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELWFPSFRLCDHRYSRGEIQSSIFGGNGGNKTNTISIDYPYEYLTSISGTICCYKGSDVVMSLCFHTNQNRYGPFGSNKGTRFSYDGQGGVVVGFHGRVGHYIDAIGIYLMPKSLAFCQNSTCEEKSTNEVNSMLCRRMSLMAMPREAGPWGASGAKPWDDGVFFNVKQIRVLVGESLKVIHAIQFEYVRRDGQSVLSQLHGGQGGEKTQVVDLDLPDEYLTGISGFYGPVEVHNGLEAIMAITFHTNKAIYGPYGHENGAGCVYFTSTASPGKVVGFQGRNNGYLSAIGVHMEYF >cds-PLY89643.1 pep primary_assembly:Lsat_Salinas_v7:8:220226891:220229989:1 gene:gene-LSAT_8X134061 transcript:rna-gnl|WGS:NBSK|LSAT_8X134061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENGSAAKKRWGFQGNPELHAASEITIRGVLTMLLSKLNQSDTRPVIPLGHGDPSAFPCFRTSPIAEDAIADAVRSAKFNGYSSTVGIAPARSAVAKYLSQDLPDKLSADDVFLTVGCTQAIQTIITVLADSKANILLPKPGFPYYEAVCQSCHLEARHFDLLPDKDWEVDLDAVEALADENTVAMVIINPGNPCGNVFTHQHLQKVAETARKLGILVISDEVYDHLAFGKNPFVPMGVFGSITPVVTLGSISKRWIVPGWRLGWLVINDPNGIIKEHGIIESITGYLNISCDPPTFIQGAVPDILGKTNDDFFSKIVNIIKECANTCYEGIQDVHGIICPSKPEGSMFVMVKLDPSVFEDIKDDMEFCVKLAKEESVLILPGITVGLKNWLRVTFAIEPTYLEDGIKRLKTFCERHSKKK >cds-PLY72173.1 pep primary_assembly:Lsat_Salinas_v7:7:55943487:55947369:1 gene:gene-LSAT_7X40261 transcript:rna-gnl|WGS:NBSK|LSAT_7X40261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQEVDKYESKEEEHKKNLEAKNALENYVYNMRNAVKDETIGEKLTPTSSIAFLSHYWIDKLSQISGFGRRTSGFRSNRLIIFDSIRSPKGIIDILAMTPRSNRPRGLVLKYVLQFALFAAFSLWVLYQIRQPNNDIMPRGRHVSNDHVSNFLGRKGSFGISKSTPVSLSALTLEDVTNPGEEGEIAARYSKGIEEVEFRSKAGSVKEGNDDNALVLTVAKSNISYPDENGMPQHIRDKFVGIQSVIGVGHENESNVTLQES >cds-PLY82001.1 pep primary_assembly:Lsat_Salinas_v7:9:155263219:155265784:-1 gene:gene-LSAT_9X97501 transcript:rna-gnl|WGS:NBSK|LSAT_9X97501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVLSPIRSLLYSPLPKPYPLKPPRCSDCAPLFMKAKCNHNLVNSVIKFHQLGIDPSIPTHGSIHNPKRVPHRYTSNTSLKAGVLSSNNDTPTTPRCVVLDIEGTTTPISFVTDVLFPYARDNVRMHLEATYDSDDTKEDIKLLRSQVQDDLQNGVIGAVPIPSDDAEKEEVISALVANVEGMIKADRKITSLKQLQGHIWHTGFKKNELEGVVYDDVPQALERWHACGVKVYIYSSGSRLAQRLLFGYTNYGDLRKYLCGFFDTTIGNKKETKSYIEISESLGVDEPSDILFVTDVYQEAVAAKIAGTVEPNVLTGCTVQPVNALCELD >cds-PLY69568.1 pep primary_assembly:Lsat_Salinas_v7:4:84913752:84916081:-1 gene:gene-LSAT_4X56141 transcript:rna-gnl|WGS:NBSK|LSAT_4X56141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFEGRGRGRHGIEGYRFGHAMRISETENFHYASLEPTKPGDKPRVVVLGTGWGACRFLKGIDTTIYDIVCISPRNHMVFTPLLASTCVGTLELRSVTEPVGRVQPALAKHPGSYFYLASCTDIDTKKHEVYCETDDNNHGLGYEPYRFKVAYDKLVIASGAEPLTFGIKGVKEHAHFLREVSHAQEIRKKLLLNLMVSENPGVSEEEKERMLHCVVIGGGPTGVEFSGELSDFIVRDVFQRYAHVKNYVRVTLIEANEILSSFDVGLRQYAMKHLTKYGVRLVRGVVKEVQPQKLILSDGSVVPYGLLVWSTGVGPSQFIKSLHLPKSPGGRIGVDEWLRVPSVEDVFALGDCAGFLEHTGRQVLPALAQVAEREGKFLVELFNKKIGKQNAGRAYSAKDIDLGDPFVYKHLGSMASVGRYKALVDLSQSKDAGGVSMAGFVSWLIWRSAYLTRVLSWRNRFYVAINWATTLVFGRDNTRI >cds-PLY63746.1 pep primary_assembly:Lsat_Salinas_v7:9:83956739:83958065:-1 gene:gene-LSAT_9X66280 transcript:rna-gnl|WGS:NBSK|LSAT_9X66280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPAKADTTKKGDAKAQALKTAKAVKTGSTFKKKAKKIRTKVTFHRPRTLKTDRNPKYPRISAPPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKAAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >cds-PLY69679.1 pep primary_assembly:Lsat_Salinas_v7:5:212757060:212757329:1 gene:gene-LSAT_5X97941 transcript:rna-gnl|WGS:NBSK|LSAT_5X97941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSSATTFRTSDEYFLNLLPPGKLSKPPPDDDLPVYNPISPNGTKEHYNSSRENTIHLIPLVLLLCGFILWFFSYPTDELKDNNITM >cds-PLY82952.1 pep primary_assembly:Lsat_Salinas_v7:1:17944546:17948942:-1 gene:gene-LSAT_1X15601 transcript:rna-gnl|WGS:NBSK|LSAT_1X15601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-activating enzyme 17, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G23050) UniProtKB/Swiss-Prot;Acc:F4KBF3] MVYKSLDSIDSSDIVELGIPSETATQLHQELTQIIQSYGPATPQTWNQISTRLLNPDLPFSFHQMMYYGCYKDFGPDPPAWLPDPEEALLTNIGSLLERRGKEFLGPNYKDPITSYSTFHEFSASNLEVFWKTILVEMNISFSVPPKAILVDDPSEETQLLHPGGRWLPGAYVNPAGNCLSLSSKRISSDIAVIWRNEGDDETPVNTMTFEKLRSEVWLVAYALGTLGLEKGSAIAIDMPMDVNSVVIYLAIILAGHVVVSIADSFAPTEISTRLVLSKAKAIFTQDLIIRGERSIPLYSRVVDAQSPMAIVIPTRGSGFSMKLRDGDISWHDFLEQVKSYKNVEFVAVERPVEEFSNILFSSGTTGEPKAIPWTLATPFKAGADGWCHMDIRKGDVVAWPTNLGWMMGPWLVYASLLNGASLALYNGAPLGSGFAKFVQDAKVTMLGVIPSIVRAWRTNNCTAGFDWSTIRCFGSTGEASSVDEYLWLMGRAKYKPVIEYCGGTEIGGGFITGSLLHPQCLSAFSTPSLGCNLFILDQNGIPMPPNVPGVGELALNPLMFGASSTLLNANHYDVYFKGMPSWNGKVSSVEIERICNSVDDGILETAAIGVTPAGGGPERLVIVVVFKDDDGSTTDLQKLRVSLNSALQKNLNPLFKVSDVVPFASLPRTASNKVMRRVLRQKLTQTGQKGKL >cds-PLY71368.1 pep primary_assembly:Lsat_Salinas_v7:4:229667149:229668897:-1 gene:gene-LSAT_4X125720 transcript:rna-gnl|WGS:NBSK|LSAT_4X125720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLFPYLPLLQIMAAENVTLISDIDVLKDNFTMKLRVIRLWTLKCYWNTDELFSIEIIFMDEQGNKIQGYVPKNYIYMYTKVLKEGDAFFIKSPNLAKMDKGHPYVDTYFDVSKFIINTDIDEISDFRKSLNQDGPNQNSSSTFTVMQSNQTSERDDFILKNELKIIADIFDPIQVHDSGACSR >cds-PLY83877.1 pep primary_assembly:Lsat_Salinas_v7:3:52519038:52528810:-1 gene:gene-LSAT_3X39381 transcript:rna-gnl|WGS:NBSK|LSAT_3X39381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCRHQNRLFCSDLKREKDRSPSMATSSSDDMIDWFEGVAKNAGKVQMEILKMILEMNHGVEYLEKWLGDIDVDKMDAEALQSIYTSMVPLASHADLEPYIQRIADGETCPLLTQQPITTLSLSSGTTEGRQKYVPFTSHSSKTTLQIFKLAAAYRSRVYPTREGGKILEFIYSSKQFKTKGGLSAGTATTHYFASKEFKLKQQQTKSFTCSPEEVIFSGDYKQSTYCHFLLGLFYSPEVEFITSTFAYCIVQSLTLFEDYWRDLCNDISTGTLSPRITIPYIRRSVLDLIKPNSGLAKSIRSKCEELEASDWYGLIPMLWPNAKYVYSIMTGSMQPYIEKLRHYAGDHLPLVSAEYGSTESWIGVNMDPSCAPENVTFTVVPTFSYFEFIPLHRPHHKACEAVAAGDGVCGGGGGGEDYVEDDPVPLSRVKIGQQYEIVLTTFTGLYRYRLGDVVEVAGFYKETPKLNFLCRRKLILTINIDKNTEKDLQLAVQKGSQPLIKAQAELVDFTSHADISNHPGHYIVYWEIKGEVNDQILGQCCKDIDAAFVDYGYVVSRKTNSIGPLELCIVERGTFKKIMEHFIGNGATMSQFKTPRCTTNQALLKILNLYTVKRFYSTTYK >cds-PLY94201.1 pep primary_assembly:Lsat_Salinas_v7:5:321625337:321626185:1 gene:gene-LSAT_5X178260 transcript:rna-gnl|WGS:NBSK|LSAT_5X178260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILEHSIFLLTLFVFSLSIHFWGSSCQESPTIYEALKSNGLPMGLLPKGVTNFSLDDSGRFQVYLDHACNAKFEDELHYDQIVSGNLTYGQIGELSGISVQDLFLWFSVKSIWVDIPSSGLIYFDVGVVSKQFSLSSFETPRDCLASSVPKIGHYSIMGFTINWNRNCLESSQFEVESLQGKRSNLGFL >cds-PLY86055.1 pep primary_assembly:Lsat_Salinas_v7:3:194925174:194928923:1 gene:gene-LSAT_3X117880 transcript:rna-gnl|WGS:NBSK|LSAT_3X117880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVLSAFLTVVFEKLASESLKKIARSKGVDSELKKLKRSLDQIQDLLNDASQKEIANKVVKRWLNGLQHLAYDIDDLLDDLATEAIHRELTGEFGASTRLVRKLIPTCFINFPLSTRMHKLENITLKLQELVAEKDNLGLSVKGDSPKQMNRRLQTSLIDASTIVGREGDKDALIHKLLKDEPSDRNFSIVPIVGMGGVGKTTLARLLYDEMQEKDRFELKAWVCVSDEFDIYNISKIILQSIGGGNQEFTDLNLLQVALKEKISKKRFLLVLDDVWSESYSDWEILARPFLAGAPGSKIIMTTRKLSLLTKLGYNQPYNLSVLSHENALSLFCRHALGEDNFDSHPALKPHGEGIVEKCDGLPLALIALGRLLRTKTDKEEWKELLNSEIWGSGEGDEIVPALRLSYNDLSASLKQLFAYCSLFPKDYMFNKEELVLLWMAEGFLHQSATNKTMERLGHEGFDELLSRSFFQHAHDDESLFVMHDLMNDLAASVAGDFFSRLDIEMKKEIRKEALEKYRHMSFVCEDYMVYKKFEAFKGVGNLRTFLALYSGKKESWRTFYLSNKVLDDLLHELPLLRLLSLCQLSISVVPESIGSLKHLRYLNLSQTLITYLPDNVCNLYNLQTLIVSGCYSLKKIPMSLSKLKNLRHFDMRDTPLIKKMPLGILQLKSLQTLCGIFIEGDNKFSITELKDLKDLQGKISIKELEKVQSAMHAHEASLSQKRLSELEVEWSNVFDVSRNETLEKEVLNVLKPCSDNLKKLRIVSYGGIEFPSWVGDPLFVRLTHVSIRGCKRCTSLPTLGQLPLLKELFIAKMNGVKFVGLELFGTGRAFPSLKTLRFENMNGWEVWSTNNSGLLADTTFPCLKELRIAYCPNLVRVSLEALPSLRVLTICGCGHEVLRSLVRLASSVTELNITDISGLNDQVWGGVIEHLGAVEELSISRCNEIRYLWESEVEASNVLVNLRKVEVSYCSNLVSLGEKEDNCGSNLTSLTTLTIWNCKSLEHCSCPNSVKSLSISYCDKLLEKELVGGQEKPLINSNIQMLESVLITHCRSLKSITELSFFNHLSELYIINCPNIETFPDPELLKLNVLTVLKIVGCQSMDASFSHGLWPPKLCRLEIGGLKKPISKWGPQTFPTSLVSLGLTGGQSEDVSNFSRLSHLLPSSLTSLDIIGFEKVESVSMGLQHLTSLQNLNIINCPKATDLPEMLLPSLLSLRIIGCPNLKERSSKRGSYFLLISRIPYTSIL >cds-PLY91333.1 pep primary_assembly:Lsat_Salinas_v7:4:246215698:246217432:1 gene:gene-LSAT_4X130361 transcript:rna-gnl|WGS:NBSK|LSAT_4X130361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTVATTEKKPHVIFIPLPAQSHVKAMLKLAQLLHHKGLQITFVNTEFIHKRLVSSGGAHTLDGSDGFRFATIPDSIPRIAEEEPAAHVLLHHIETTFLAPFVELAIKLPTPPTLIISDGFISAFTIDAAQELGIPIMLYWTVAACGFMGFYQTKSLIEKGFIPLKDESYLTNGYLETRIDWIPGMKGIQLKHFPSNIWTTNPHDKTLTFCTDATQKAHSVEYNIIHTFDTLETSIVDALSSTIPHVYTVGPVQLLLNRIPVEEKRATMSNFSGYSLWKEEPECLQWLESKEPKSVIYVNFGSSTVMSLEDLTEFGWGLANSNQYFLWIIRSGVVVGESSVLPPEFEEYIKEKGFIASWCPQEKVLEHPSIGGFLTHGGWGSTIESLSAGVPMICWPYGWDQPTNCRYICKEWEVGLEMVKDVKREEVSKLVHELMLGEESHRMRKKAMEWKEKAYAATGPSGSSSLNVQKLVEEIFMLSRN >cds-PLY99537.1 pep primary_assembly:Lsat_Salinas_v7:1:114161944:114162494:-1 gene:gene-LSAT_1X88481 transcript:rna-gnl|WGS:NBSK|LSAT_1X88481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPYHVGNGISDMQGEIPHDTSGIGCSVTSEGFFLSMEAHQVRQSRRQHEEILENNFRNTQHQICYQKQGTKEGLETAAQHKPNMSGITTSSSTYMR >cds-PLY70546.1 pep primary_assembly:Lsat_Salinas_v7:1:77022633:77023510:1 gene:gene-LSAT_1X64240 transcript:rna-gnl|WGS:NBSK|LSAT_1X64240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDPSQVVDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIAKVMRPRSMAKELQGTVKEILGTCVSVGCTVDGKDPKDLQQEIADGDVEIPQD >cds-PLY93517.1 pep primary_assembly:Lsat_Salinas_v7:1:110246496:110250345:-1 gene:gene-LSAT_1X85921 transcript:rna-gnl|WGS:NBSK|LSAT_1X85921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVATALISVLCEKLISVDLMKLARSEGIDSQMKKWKKTLPMIQAVLADAGQKQIKERAVQLWLDNLQDLAYDIDDVLDDLATEAIQRKLDRESDADTNSISSTSKVFKFIPTCCTNFSPRYGRRLSSTIDEITTKLNDLVEVKNGLGFVVNVNVDIANRTERRLEQTSLVDESKIMGREGDKEALLGKLLGNDEKMRIVSIVGMGGIGKTTLAKVLYNEEKVKDHFELRAWVCVSEELDVLNISKVIFQAVTGKNRDFANLDLLHVALKEKLLKKRFLLVLDDVWNEEKSKWELLQSPLVGAPGSKIIVTTRNTRVASVVDSDESYYLELLSNEDAISLFAQHSLGENNFENHPTLQLHGEGIVKKCGRLPLALKTLGNVLKGNRNGDEWEKLLNSEIWDIQDGSEILPALRLSYNHLPPHLKLLFAYCSLFPKDYVFDKKELVLLWMAEGFLLQPNRNKSMEILGHQYFEELKSRSFFQPSMNDDVRYTMHDLINDLATSVAGEFVFRLDDEMDSSNKKGTFENFRHFSLVGPQGGSYRKLKELQRARRLRSFLTMSRVDNSFFELLPLLQFLRVLSLANYVISEVPQSIGSLKHLRYINFSYTTITYIPEEVSDLYNLQSLLVHGCSELYDLPKSFAKLINLRHLDISGTPKLKKMPLGIGVLTNLQTLPKVIIEPGNGFKISELKNLSDLQGRISIMGLDKVINPIQAQDANFHQKKGLDILEMIWSDVFDDSRNDMNEYEVLKRLRPHHKLRNLEILFYKGTQFPSWVGDPSFDQLTELTLRCCRSLHLPTLGHLRSLRRLFVERMNEVKTIDFELLSPTNSLVGIAFPSLEVLEFDDMQGWQIWSSIGASDDGTARSFPCLREISIKRCPLLAKVSIGFIPSLRFLHIDECCEGVLRSMIGVSSSLVELEMLNVKGFTQLHGEDLTRLGAVEYLFFDECDELRYLWEPESDAYKNLVSLKKLVVHSCYTLESLNCPNSVERLVINRCHSMTSLIFSVNELPSSIIETIICDSDNTEPVQERGFGVLPPLCLRYLDVHNCKNLNSFPHDRLQSLTCLEELRIHGCPNMDYSFPSGVWAPNLSILRIGDLKKPMSEWGPQNFPTSLVELQLYGHNSGVGSFAVEKDAKNTTTSSFLLPPTLASLIVFDFIDVDSLSEVVQQLPCLKSLEIFSCPKLSDVHEIASYRSSLTVSVIP >cds-PLY88440.1 pep primary_assembly:Lsat_Salinas_v7:8:87996104:88000253:-1 gene:gene-LSAT_8X62900 transcript:rna-gnl|WGS:NBSK|LSAT_8X62900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSEIEVVDEVQESRDRDAATGNGNGTTEGGVDEDSLRDDVYTAAAYGDLEKLQRLVESEGCSVSQPDNLGYYALQWAALNNRAAAAQYIIQHGGDINATDLTGQTALHWSAVRGAIQVAELLLHEGAQINAADVYGYQTTHVAAQYGQTALLYHIVTKWNADPDLPDNDGRSPLHWAAYKGFADCIRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLMVTDNTGLTPAQLASDKNHRQVAFFLGNARRMLDKRWDGNSTIGKLSKLGLAPALLCVIFVLILTYINSVIMAPNLPKMTAVSALIAWIAVLLASAGLVLFYRCSCKDPGYVKTNRHDSQSMKDDEPLLKIELGDPALLAGNWSQLCATCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKKNKRDFLGFLVLEVFAMLITGTVALTRVLTDPMAPSTFGAWLNHAGNQHMGALIFIISDGFLFMGVAALTSMQISQVGRNITTNEMANMMRYSYLRGPGGRFRNPYDHGCKKNCWDLFVNGYNEDIELIEEVAHRSEEINMMPMTRNLDQQNGNGNEHSIDVNNNLNSHVHSSNCSHGNQGKSKTDSGPLGLGLGLGRNSRA >cds-PLY89975.1 pep primary_assembly:Lsat_Salinas_v7:8:208004543:208006122:-1 gene:gene-LSAT_8X131480 transcript:rna-gnl|WGS:NBSK|LSAT_8X131480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSFPILTILEESQVSPPPATVTNRSLPLTFFDFLWLTEPPINAVFFYDIPITKSHFTETIVPNLKKSLSITLQHFFPFVGNLIVFPSSDKKPEIRYVEGDYVDVTFAECNLDFNDLTGNHPRECDKFYHLVPLLKRTVKVSDFITIPVFSVQVTLFPNNGFSIGMTNHHSLGDASTRFCFLKAWTSIAQSGTDELFLTHGSLPVYDRLVKFPKLDESYLKNAKVETFNEEYQPRCLSGPTDKVRATVILTRSVLNRLKKLVSTQLPSLVYVSSFTVACGYIWSCIAESRNDEFELFGFTIDCRARLDPPIPAAYFGNCVTFCGNMDKTKLLMGKEGFVNAAKLLGESLHKTLTDKDGIVKDLGSFEDFLSELKPTTMIGVAGSPKLKFYDLDFGWGKPKKHETISIDYNGSISMAACKDQSQDLEIGVCLSATEMEVFVRVFNDGLETYI >cds-PLY70082.1 pep primary_assembly:Lsat_Salinas_v7:4:204324882:204325067:-1 gene:gene-LSAT_4X114940 transcript:rna-gnl|WGS:NBSK|LSAT_4X114940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIHVGDSYLSEGDVQDIIGAEVSRFMREFMLGWICTDIHELTVNMDELLGVLWVDLEVV >cds-PLY73606.1 pep primary_assembly:Lsat_Salinas_v7:5:205703084:205707924:1 gene:gene-LSAT_5X93700 transcript:rna-gnl|WGS:NBSK|LSAT_5X93700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDVGPGLDDAKSSLLLRERGRFSPTRYFVDNVIGFDETDLHRSWAQATRSPQERNTRLENMCWRIWNLARQKKQLESEVAQRMTKRRLERERGRKEAVADMSEDLSEGEKGDTITDASAHGDNSNRGRLPRISSTDAMEAWANQQKGKKLYLVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALASMPGVYRVDLLTRQVASSEVDWSYGEPTEMLSPHNSNNLTDEIGESSGAYIIRIPFGPKDKYIPKESLWPHIPEFVDGALSHIIQMSKVLGEQVGGGHPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLRQGRLSKDEINDTYKIMRRIEAEELTVDASEVVITSTRQEIEEQWRLYNGFDPVLERKLRARIRRNVSCYGRFMPRMVVIPPGMEFNHIIPHDGDMDADMETTEDRQASPDPPIWTEIMRFFTNPRKPMILALARPDPKKNLITLVKAFGECRPLRELANLTLIMGNRDNIDEMSNTSASMLLSIIKMIDKYDLYGQVAYPKHHKQSEVPDIYRLAAKTKAAAHGLPMVATKNGGPVDIHRVLDNGLLVDPHDEKSVADALLKLVADKQLWSKCRSNGLRNIHLFSWTEHCKTYLSRIASCKPRQPGWLKNGNDNDDDDDDENSEAESPSDSLRDMQDISLNLKFSMDNYEDQKGKIENAVMSYSKGVMKGEKTDQGKFPALRRRKGIFVIAVDGDDIGVIFENVKKVFEAVEKERTEGSIGFILATSLQMAQVHSFMVSKGLNSSDFDAFICNSGADLYYTSSHSEDNPFVFDLYYHSHIEYRWGGEGLRKTLLRWASSFVDKNKNGEHVVMEDEAVSTDYCYAFNVHNPTLVPPAKELRKMMRIQALRCHVIYCQNGKKINVIPVLASRSQALRYLYLRWGMELSKVVVFIGESGDTDYEGFLGGVHNPVILKGVSTNNSNLLHANRIYPLSDVIPKDSPNVIQTPQDFTSADIRTMLGNLGVLKG >cds-PLY81905.1 pep primary_assembly:Lsat_Salinas_v7:8:122255263:122258310:-1 gene:gene-LSAT_8X84040 transcript:rna-gnl|WGS:NBSK|LSAT_8X84040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop guanine nucleotide exchange factor 7 [Source:Projected from Arabidopsis thaliana (AT5G02010) UniProtKB/Swiss-Prot;Acc:Q9LZN0] MDITLQGFGMVVNNSAYDDDSPCIVVDCGRKSEEEGGKKDIRGCLDTCEEEEEFGGNTSSSSDFTTLFETSLNEQHITSFEDSSSPSSKDVNILNHEVEAPHCTDSDSSVQPLLGQRNLGKQGPTPLSEIEMMKERFSKLLLGEDMSGCGNGVCTALAISNAITNLCATLFGQIWRLEPLRAEKKTMWRREIEWLLCVSDYIVELIPSWQTYPDGSKLEVMTSRPRSDLYVNLPALRKLDNMLLEILDSFEKMEFWYVDQGIQALETDGSSSLCKVVPRQKEKWWLPVPRVPTGGLCETARKNLQSKRDSTNQILKAAMAINSITLADIDVPESYLESLPKNAKGSLGDIIYRYISSEQFSPECLLECLDLSSEHQALETANRVEASIYIWRRKNNNTKPLNNIVSRSFSRSSWDKVKDLVADADKRETLADRAENLLLCLKQRFPALPQTTLDMSKIQYNKDVGKSILESYSRVLESLAFNITARIDDLLYVDDLARHSDKFSSISNREIVSKTIIGKPYTLHTVTTPYRTTFTTPSLSPSHINSPKKIMTDYLTIDQKGKHYAGHVARSNSFSGRTRGALQKMGLSGSNGSVGLS >cds-PLY66403.1 pep primary_assembly:Lsat_Salinas_v7:9:127454030:127454305:1 gene:gene-LSAT_9X82020 transcript:rna-gnl|WGS:NBSK|LSAT_9X82020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVDSLQNKDDDGCDPPETKTIAKLVVDSPQTVAMIFDLLQTTVAMVVNPPHMVVAKNLVMIMGQVLKGCPSLPNNRIIGLIFIRYGVGLD >cds-PLY96807.1 pep primary_assembly:Lsat_Salinas_v7:2:171999720:172004049:-1 gene:gene-LSAT_2X94660 transcript:rna-gnl|WGS:NBSK|LSAT_2X94660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLEKFGRKLTMHKNDGKEKKDHQPSAHLDELVQVSKDMQDIRNSYDGLMSAAAAMTNSIFEFSESLHEMGTCLLEKSASDVDGESGRVLSTLGNIQSELQKIADSYRSYVVVTITNPSESLLSELQKVEEMKLQCDEKRGVYEYMMSQHKEKGKLRSGKAESSVAQKLQEAQDEYNEVARLCAFRVKSLKEGQCRSILTQATRHHAAQLSFFRKGVKALEAVDPLIRIVAEKHRIDCQLTEFDDVNGGEDGGMNSFERIDDGELSFDYGQKKQGLDNFGTSSNPMEVEQPDIPHLQVPTSEDRHMNHYKQQQGARASSYSAPLFPDSSEKPKETLTQPRQNFHSYVLPPPKTPPASSVPHTNNPFSKLQPTDEKHERDSNDSKPTIKDSSIQLPAPPPPSWSGFDSKAGKRQAYSGPLLPTKGGFSGKINSSEAHVSRSVSPPPPGLSLSSSPRISELHELPRPPPSSSSSSKHGMMGYSGPLFLKNQDRSHSPPKMSKTSIAIASPLPPPPLVVPRSFSVHSSNPSAMESPQPQRTGGRSRHEQQQVFSPPLTPILLSNLKSRGHT >cds-PLY72353.1 pep primary_assembly:Lsat_Salinas_v7:3:107537749:107541545:-1 gene:gene-LSAT_3X77800 transcript:rna-gnl|WGS:NBSK|LSAT_3X77800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDFEFFLYVSLLISTLFLILNLAKKRKHTTSSPLINLPPGNMGWPFIGETIGYLKPYSATTIGAFMEQHISRFGKIYKSNLFGEPTIVSVDPGLNRYILQNEGRLFECSYPRSIGGILGKWSMLVLVGDMHRDMRLISLNFLTNARLKTQLVKEVEKNTLWVLDSWQENTSFCAQDEAKKFTFNLMAKHIMSLEPGKPETEQLKKEYVTFMKGVVSAPINFPGTAYRKALKSRATILKFIEMRMEERIQKLHEGNDLEGLEDDLLGWVLKNSNLSKEQILDLVLSLLFAGHETSSVSIALAIYFLETCPKAVHQLREEHEEIAKMKKQSGEKDLTWEDYKKMEFTQCVINETLRFGNVVRFLHRKAIKDVRYKGYDIPCGWKVLPVIAAVHLDPTNFDQPHLFDPWRWQGATLISSNNFMPFGGGPRLCTGSELAKLEMAIFIHHLVLRYQWELTDIDQAFAYPYLDFPKGLPIRIHCLK >cds-PLY73164.1 pep primary_assembly:Lsat_Salinas_v7:2:191274525:191278988:-1 gene:gene-LSAT_2X112720 transcript:rna-gnl|WGS:NBSK|LSAT_2X112720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDEEIPNWLKELPLAPVFYPTDTEFADPIAYISKIEKEASAYGICKVIPPLPKPSKKYVVGNLNKSLLKCTELGNDVKLNNVETINDGEVRAVFTTRHQELGHSSVKRTKEVGEGTCQPPPVNKQVWQSGEIYTLEQFESKSKNFARSHLSMIKEVSPLAIESLFWKAACEKPIYIEYANDVPGSGFGEPVGPSRLVHRHRRRRKTFDRNNKDCSDVRSQVEDKSNNCENQKGEESIIRCSSSSSSVDMLKKESNSSSLNMLSDRVARQRVSDEIEGTSGWKLSNCPWNLQVIARSPGSLTRFMPDDIPGVTSPMVYIGMLFSWFAWHVEDHELHSLNFLHIGSPKTWYAVPGDYAFTFEEVIRSKAYGGGVDRLASLTLLGEKTTLLSPETVVASGIPCCRLVQNPGEFVVTFPRAYHIGFSHGFNCGEAANFGTPKWLSVAKEAAVRRAAMNFLPMLSHQQLLYLLTMSFIPRVPRSLLPGIRSSRLKDRQKEERELLVKKEFIEDILKENKLLTCILNRSSSYHAVLWDLESLSPSVINNSTIINPQTENFHVEKDNEKLVHVEDEDMSSDFQIDSGTLPCVACGVLGYPFMSVIQPSPKVLFDNMPIKDDHPNITSSETQVVDAKDESVEASTCSSKVNVDNGWNMCNGYLRPRIFCLEHASKVEELLDSIGGAKLLIICHSDFLKIKAQVSAIAEEIGSMFRYEDVELHDASRDDLEVINLAIDNEQEDESLEDWTLKLNVNLRQSVKVSCLKSSPDKMIHIIHSALTMDALFADTSPTSQAMIQWQATKSRSNRKSNRSIMKSSEIVSVTEDVKSKEKSSEAQMRKKEGKFIQYSRRSFKSKPQDSVTKNHEKNTDKVILDDSIIPSQKKKQGYEEKCGVENSSGSVSCATTIEKDEEMSMQNEQGSGKECSREEVANEDGLMETDLSISIGSKKSDENTPTVSSCFTSTSGSKRRKRDFDGFIRSPCEGLRPRGGKDTNAEKPLNQKEKASTNVKEKSTNKSKSCSKVEITEKEEQGSHRCDHEGCKLRFGSKREVGLHRKNRCPHDGCGKKFSSHRYAVLHLRVHEDSRPLKCSWKGCKMTFKWAWARTEHLRVHTGERPYKCTVEGCDRTFRFVSDYSRHRRKTGHNVHVKSNS >cds-PLY99755.1 pep primary_assembly:Lsat_Salinas_v7:9:52471810:52472597:1 gene:gene-LSAT_9X47081 transcript:rna-gnl|WGS:NBSK|LSAT_9X47081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYVFQQDWRVRSSIRTEWKERKKKGLSLNGGSQVGLSHKCHKCKEDCNLYSLDANQLLIDHLSKKASIGSCQILEFFGVVASSQSIGHIDMISGMELLLSLSEMTYNWDKAWMRFMYNGIAVHFQRLITGQQVQASLQQWLGTL >cds-PLY85701.1 pep primary_assembly:Lsat_Salinas_v7:7:158647821:158650066:1 gene:gene-LSAT_7X92901 transcript:rna-gnl|WGS:NBSK|LSAT_7X92901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNINSVVENVTKSVGLIDSQEGASFSQFICDPVVESFLKTLDQGTENLVDGCTNQKRVEDDVNANLTGFEKNEFDDGENDHKKEVILDHIVDKVIVAKKDGEETLLFEYIHLNENGEYKVFKENFSRSISGDRDLKVLKDVDMVFFPVLRQKHIYLIVINLKKRAFEVIDNGVDDVDFDDKYGAVFKTLVSFKSYLLFRFEIIYDFQKKDFFEVKEINHVKANEMDDKNLTPLRLIMPWRTVYNKADCGIFAMRHMESYFGEKGSKWKCGLPKEGGSQEKILEKLRMKYAAVILTSEINTKRDHVLKAAYEYQKVDPKIRGKQHMMLNEI >cds-PLY64679.1 pep primary_assembly:Lsat_Salinas_v7:7:137586347:137587633:-1 gene:gene-LSAT_7X82381 transcript:rna-gnl|WGS:NBSK|LSAT_7X82381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMNIQIESRNFIKSSIPTPPNLRNYKIGFQDEVAPPMHVGTVLFFAFNRDSNPKFVARLEKSLEKTLTRLYPLAGRYIPDMQAVDCNDEGAEFIHSKADLRFEDILDSQWNGKLIDKLIPCIMPGAADQMDVPILATQLNSLLCGGIALGVSISHRIADAATLSTFLNEWAALNREDNEVEFTGAGFSAPSFFPGHGVEGRKLSKDALSNLVTKKLSFSESEISNMKEQFVINEKGCTHHLSKVQIVSAIIWKTLVNVDRAIHSHPRDSVLIQILNLRGRTATLIPKDSCGNLLVPFTTKSSNDETTKGLAYLLSNTQKEVLSNYLKMSHENKEGQSMVLKSWSQSKFMFDNTPHAVLVSSWCKFPFYEVDFGFGKPMWVTTESMPETNWIILIDGMGGSGVDAYVGMELKDEAYLEEALDMKVIGT >cds-PLY80049.1 pep primary_assembly:Lsat_Salinas_v7:4:347750263:347750538:-1 gene:gene-LSAT_4X171100 transcript:rna-gnl|WGS:NBSK|LSAT_4X171100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDIEEAEILYEEWVSVKSSYDPRIGNLLLGWYVRKGFTEKTESLFKGMLDVGKLNSSTSEIVAESHIKENRVSDALNCLENAISNEGSSF >cds-PLY96145.1 pep primary_assembly:Lsat_Salinas_v7:3:100605084:100609640:-1 gene:gene-LSAT_3X73700 transcript:rna-gnl|WGS:NBSK|LSAT_3X73700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPSNSGPMRESRFRRLEEISGEEVRRPLLVVLSVKVRGKGIIPLAVGEGLVVQAVLAMILIIIKCFRPQYPD >cds-PLY81300.1 pep primary_assembly:Lsat_Salinas_v7:7:115513715:115518404:1 gene:gene-LSAT_7X72221 transcript:rna-gnl|WGS:NBSK|LSAT_7X72221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRGGKSFVSSPPAFSNDAKKLLVCTGNTVSIFSTTTGLQVLELEGHTALVTSITVVPASTPATRILCNCWTTSLDGTIKYWDFSMPELMKTISIQLPIYSMVIPSLLAQQSETNEQPSDIYAYISVKDAKNEANKQKKELSGKILKCNLSKSRLVRGVILSESKKPENISISSTGKYFGIHEKRKLRIWEVPTKDNKNINHRKLRLSHTKDVTCVAFHPTDRIVAAGDVTGRILIWRGFGDRTFVGDNGRFLKNDDDEENPGVRGDGDADSCTTWHWHSAEVKVLFFSSDGAYLYSGGKEGVLVVWQLDTGKKKFLPRIGTPLVNFTTSPDPSLSSISCADNRIHILKMPSMEILKSISGIKLPSSIPETLKGSSQDFVFDHTSGLVAVRTENYCIQFYSLFDDREISEVQVCERNHQPSDDVMTILNKVALSYDGCMMGTVETRMSEEGIGGFVTLKFWERGPHNNDFTLSTVIYEPHRDAGVSAIAFHPNGSMAVSASYGGDFKVWVRNGNGEDKLRCRWGCHAVGSYRKKAMTAAAFSGDGSVLAVAAETVITLWDPEKNILVAVIGSALESIVNLSFIGDSKFLASASASRVGSNPQLSVWSMSKLSLSWSYKLHIEGIACSEKDSLLAVLVVLPESTSKTHNTTGNGAILLFNAEDPVPIASWFVTKAKGGGVAFIQRSSRELVAYVNGDHEYAIFNPNSEEEEETYERKFRDTVDQGIGHHIGGYASMYGELPELKMRRKAIEASVAESVMGVSERPWETIFSGPSHSLPPLTKLCSAFLESLMEKRSGMVE >cds-PLY76247.1 pep primary_assembly:Lsat_Salinas_v7:4:53002961:53004725:1 gene:gene-LSAT_4X36120 transcript:rna-gnl|WGS:NBSK|LSAT_4X36120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMLGDNRIEDVRWLCSLSESELDLLVSLKEMAIQRASFIGHESLANNFDLKKLRDLSFLLMQVQKQQLKESVHGCVERLNVARQEISEEFREMSAEELMAFIGSDRKKKISELEEEEEEKRVGPRYKGKITLQHNQLDRLKVHCDLFHEREPQLAIDQAIDGLKIVSFVIFGFGLQILFSLELTITITAIVKIQDGLPS >cds-PLY62192.1 pep primary_assembly:Lsat_Salinas_v7:6:31143366:31143773:-1 gene:gene-LSAT_6X23721 transcript:rna-gnl|WGS:NBSK|LSAT_6X23721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLHPRKMRNMVSVPKLLSEIGDVLGDGFSMLGKKEIVVVPSSLKTSPSPFTGLVSVDPDACSVLGGALGVSGESSPSEKPYMVGEVRTASHPLASKAYARSWAVTKDSILSEVIIAQEWSSCAHPPATMKLLVA >cds-PLY70000.1 pep primary_assembly:Lsat_Salinas_v7:8:146251554:146251884:1 gene:gene-LSAT_8X98901 transcript:rna-gnl|WGS:NBSK|LSAT_8X98901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKIPVKVKAVTYALSPFQQKVMPGLWKDFTHKITHKVTENWHSAVLLVGPVVGVYA >cds-PLY92731.1 pep primary_assembly:Lsat_Salinas_v7:8:66638729:66639919:-1 gene:gene-LSAT_8X46921 transcript:rna-gnl|WGS:NBSK|LSAT_8X46921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGDEKDEREDIMDHLYSALNQQGINTYKDDVTLPRGESVGLSLKEAIKESRIAIIIFSKNYANSSWCLDELAHIMEFKDIRGQTGMPIFYDVVPSALRKQKRICKEASVKHELENKKKVESWRKALMEASNISGWEIKHIADG >cds-PLY90295.1 pep primary_assembly:Lsat_Salinas_v7:2:199367217:199377750:-1 gene:gene-LSAT_2X120581 transcript:rna-gnl|WGS:NBSK|LSAT_2X120581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVEEKEAHSFEIDPAQINSDVEMELKPQAQPRPYQENSLSKMFGNGRARYGIIVLPCGAGKSLVGVSAACRIKKSCLYLATNVVSVDQWDFQFKLWSNIRDAHICRFTSDSNERICGNVGVVVTTYNMLAFDATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVECAEVWCPRRNRYALYVMNPNKFRACEFVIWFHEEQRDDKVIIFADSLFALTEYATKLRKPMIYGATSHIERTKILDEFKIGKKVNTIFLSKVGDNSIDIPEANVVIQISSHVGSRHQEAQRPGRIIRAKGRLQDRMAGGKEEYNAFFYPLVSTNIQVGNNIIYHTVLLKIWI >cds-PLY89143.1 pep primary_assembly:Lsat_Salinas_v7:3:22271587:22276588:1 gene:gene-LSAT_3X16520 transcript:rna-gnl|WGS:NBSK|LSAT_3X16520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRYGLQPGWENNSALDRYAAVHEPNFRADDSYDDRRYLDDRFPRDELYSRNTFQRDVLERETFPPPQEPVGAWPQTQTRRRSYEDEYPLDRDSRRHDNNPYPEIDTVKYRDVDSYHYDDHGYERPPRYSGRERERERERDDYGYDDYDYNRGRVSQSREDSRERDYEYGSGRHSYDSDYERGGRREGSWRKRGSRDRKGLSRERDQSPYRRHERSRSRGHDDRVRSRSPRSRSHGRSHREDSYDDDRDRDRDRHDRSDRRRDRDEYRHHEHYSVVPSATVVVKGLSQKTTEEDLYQMLVLFLLSLISLQLFQSICLILLSPFRLNGDLFAMFVSLKSETLVFLVDLHLLISLQRIVMNLLLAANQNQGSARLMMDKIGDEGLVVDGRKLFFEYSSKPTGGAGGSGFGSDGSSRSGHMNHRSTMVLSDWMCTICGCVNFARRTSCFQCNEPRTDDAPPADMSSSNTNSFGKKGETGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGTTLEKNGQILRVAYAKSILGPGTGASSSHSSSLAAAAIEAAAFAQQYDAVGWAPKEYNPDEKQSNNGQQEQGGNPKDASAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNNGIWYSYDHQSQQYVPCNNDQNDNKKEKEPSNTRKVVISAPAATTTTANSLADAVQAAAIAAEKKEKEKMKEIKLASKSSILASKKKMSNVLSMWKQRSHESQAPRDANLSTEEKSNTVSVTVTGGGVGKLKNEAAVSSVNTSVQSANLDAQKPVGVGGGTLRGVIRGSGRGVVKSDTTYVGSGSGSGSNNVASTTTSMEVNNSCAVPFMTDASALGSYTPPAATVSGRRRFSEAPVHGHVQSAMSGKEQQQQPQSGYRDRAAERRSLYGSSSFGDDVGVGDPNGDSGMKRDSMPFPPGVGGTRGGGEAGGGGSGSGSSYEVITAEKAIDESNVGNRMLRNMGWQQGLGLGKDGSGMVEPVQAQATEKRAGLGSQPKKAVDPTLEVQAGDSYRTLIQKKALARFREMS >cds-PLY68475.1 pep primary_assembly:Lsat_Salinas_v7:2:214944639:214946099:1 gene:gene-LSAT_2X135221 transcript:rna-gnl|WGS:NBSK|LSAT_2X135221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNLPADLCMKIFCLLDHKDLVTAQQVCRKWKVFGLENDLWCNLFKERWGIERAIFYAPTDESKSWKDVYAVQDRCDRVGLGLKIIREGDDYFLIHQGEIQRHLGSRNLQDDASASTSSDATRYTEDEFMGRHDRQMGILDRILFFIGDLETASAPAKRGRFL >cds-PLY87585.1 pep primary_assembly:Lsat_Salinas_v7:8:111870052:111879066:-1 gene:gene-LSAT_8X77500 transcript:rna-gnl|WGS:NBSK|LSAT_8X77500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKAIRKHGRKPKNAVDTKANPNSNIAIAEEVVASLSVVATSTTSDHRGSQRRRKIGKEKVDDIAIVASPERRASRHTGHKGDCDIVGTVADGPLRWESVGKVVPSMDVVVKIDVAINSRNSGGPAFNDKGECISIAFQSLKLEDTENIGYVILTPIIMHFIQHYEKNGEYLGFPILGVEWQKMENLDPRMSMGIGNEHKGARIKRAYNSRI >cds-PLY90809.1 pep primary_assembly:Lsat_Salinas_v7:2:106537110:106539894:1 gene:gene-LSAT_2X47660 transcript:rna-gnl|WGS:NBSK|LSAT_2X47660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNQSELDSFRLFPSTDDNKTQLGLSFLDDSPEKPPLPLPPPCLEVLLSEVSSSIKPTFEPVNFDGLTLLKGRVSTHEVFALSNSDLVPGKYEGGLKLWEGSLDLIKTLRLEMECGNLSLVGKKVLELGCGHGLPGIFSCLQGASCVHFQDFNSEVLQCLTIPNVVANLPVKSESLSSEVRFFAGDWSEVHQILPNLQTDDLDSNCSSGANSSFGYDVILMAETVYSISTLPALYQLIKKCISHPHGVVYMAAKKYYFGVGGGSRRFISLVEKDDVMVASLIAEVGGGSSNVREVWKLQFK >cds-PLY77192.1 pep primary_assembly:Lsat_Salinas_v7:8:26608328:26610972:-1 gene:gene-LSAT_8X21320 transcript:rna-gnl|WGS:NBSK|LSAT_8X21320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFTLLKLTTVSSIPLHHRRQYWWLGRAIATGRSTTPPPMCSSWWRTTTCATPAHDNNSNDNNSNNRLGFRGQKNLRYTSKDKGGGNAFNGGRVSMGSVNNDNRDDKRATENDRGSGVTSTLSSDNHRHLKSAPSKQNPSTKLLTLPTILTIGRVAAIPVIVCTFYMNSRLGTTATTGIFIAAAITDWLDGYLARKMNLGTAFGAFLDPVADKLMVATTLVLLCTKPPEASMLGELPWLLTVPSIAVIGREITMSAVREWAASQGSKLSEAVAVNNLGKWKTATQMTSLTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >cds-PLY94686.1 pep primary_assembly:Lsat_Salinas_v7:6:20017146:20017829:-1 gene:gene-LSAT_6X15121 transcript:rna-gnl|WGS:NBSK|LSAT_6X15121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYRTFDLTLISANDLKKAFLFGKSDVYAVAYISGTAGNQMLRTPIDKNGGSNPTWNCPMKFTLDFAAILQNRLRLIVEIKAVGMFGDKHLGEVHFKFMENCGSNSEQMFVNPRVISPSGKKKGYLNVTYRVGEKISGNSLETLTGYPSRMVVGSCPAYQPPVAEYAYLHQQQPGYGGYPLPPPPGYGGYPPQMGYGYPAGSSAAFTLSLYITCISLFVSMFILLYV >cds-PLY78402.1 pep primary_assembly:Lsat_Salinas_v7:3:236172349:236175559:-1 gene:gene-LSAT_3X132060 transcript:rna-gnl|WGS:NBSK|LSAT_3X132060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWNVFKFCTALRGLGSIMILLVLGVVGVSYYAVVLSIYGPALTSGGLDSLIALVVLIMFHILLVMLLWSYFSVVFTDPGGVPPNYRPIVDEERGETDRLTGSEFNPLTTPDPTNSRIRYCRKCNQIKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGAQNYKYFLLFLFYTILETSLVTLSLLPYFIAFFSDGEVAGSPSTLATTFLAFVLNLAFALSVLGFLIMHISLVAGNTTTIEAYEKKTTPKWRYDLGRKRNFEQVFGTVQKYWFIPTYSDEDLRRMPALQGLEYPSKPDLDSQEF >cds-PLY69142.1 pep primary_assembly:Lsat_Salinas_v7:5:285600823:285608169:-1 gene:gene-LSAT_5X150140 transcript:rna-gnl|WGS:NBSK|LSAT_5X150140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALARIKNRLSSSSSSPAPPPSPTPLSARGNRSDPAFSNYVDKMKHMPDLNLPDYVNRSVIADVEYRLIKNKDRNSISEMMRSAKKYGVFRISGHGISTEELQQAFTEAEFCFGLLADRWSRDGDREEFQWSRSAIAAAERRRDVAREERFRKFSQKMDNIASKLEVIADAAATIVGSYGSKHSRKKIKENETRMTLFKHNNSALQPHTPRSSHTPRAAADGKTESCSFALGLHIPTEQAEFCLLTSEGPLSFRTSPDTIIFTFGEQLQELAQFELTPPHCISLLVS >cds-PLY92196.1 pep primary_assembly:Lsat_Salinas_v7:6:74767314:74769995:-1 gene:gene-LSAT_6X53901 transcript:rna-gnl|WGS:NBSK|LSAT_6X53901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHPCVFIIFSLLLFCLEITTANQLAAVGGGDDKCFEKEKDALLHFKSLLQDPSDRISTWTAEQDNCCQWSGVTCNNQTGGHVTKLDLMNCGLVGEISHSLVNLTYLNYLDLDFNSFHGTIPAIIGSLTQLSHLFLGYNNLNGAIPPELGNLTNLQYLYLSYVGMCRLENLEWLSPLSHLVGLAMDGISLAKTNHWVDVTLSLPNLSLLSLSGCELSQVIYPYSSSFLNSSSSIHILSLKNNNLTSSMYHWLFPLTNNKLYILDLSGNMLDGIPKYLGNLSSLERFYFYNNSGVIKFPDFVKNLSGCTSLSLQRLSASGSQFTGSLPDDIQKFTSLTDLYLSENQLKGTISKKLWELPNLKYLDLSENSLQEFPSSDYMSNRSHIESIYLSSCKLGPHFPKWIQKLKNLTNLEIANNGISDTIPLEFWDLWPSQLTFLNLSSNNISGKVPDLSSNFDSNSRIDLSSNSFDGPITNVSSTVALLNLSRNKFSGGISFLCQVVHGFLVILDLSHNFLSGQIPDCLWHFKELKVLNLEHNNLSGRLPASLGSMIKLEALDLYKNAFSEEFPLSVKNCTSLKSLNLGANKFSGNLPVWIGESLSGLYVLMLRSNNFSGSIPLQLCQLASLQILDLSVNHLHGSIPSCLSNLTIMVQQGFSQLQNLDRGVSLGTYSYNIDHVMIQWQGTEREFIRSNMVLLRSIDLSSNSLTGEIPYQITNLDQLIALNLSKNALLGKIPWKIGQMKNLLTLDLSRNKFSGEIPSSMSQMTWLNYLDVSDNSLSGRIPSSTQLQTFDPSRYEGNLGLCGPPLTKKCHGDEESGIQHSIGESEGEGIDELQGWFYVGGAIGFTVGFCIACGALLVNRRGRFAFFMFLDSFEDWVYVKVVVFIANLQKRRT >cds-PLY79675.1 pep primary_assembly:Lsat_Salinas_v7:5:253685075:253687359:1 gene:gene-LSAT_5X128820 transcript:rna-gnl|WGS:NBSK|LSAT_5X128820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKISSKSILSPGRAGVARDPPNSLSTSLSRRLRNSGSIKGGASPAMFPTTAKKRGSFENPEPSSPKVTCIGQVRVKSKKKQAKKLRTLSRRHSAGEVSFRNLEHPHNGFASKTQNLNGSLNLGSNATHQNQNQEYSPPQRSWVHFPVTICDALRALGSEFSCLFPCRKDEKTVSTQEGNRQGCAVFARWLVAVQDGDGGGQRDIELVVGEEEQEEEEEEIVVKKSRRHVFEDLEIINDRIEGHKDEARVSICVPPKNALLLMRCRSDPMKLEALTHRSWEPTVAKNEEDDEELVDHEHQIEQDLKDIQQVMSVDQEQETVQLDEAIQDEEQENVQQHEPIQDQERDIVQQVEAIQDYEIVQQDEANQDQEHENVQQTGVGQDQEEESMFLEFLFEENVNQDFEIQEHEVDSGMAKAQEVVSTMVMTEVPEISANHEEEMVSNEVLERESEVKEERESERVLPDCLLMMMYEPKLSMEVSKETWVCSTDFIRRKSNKRKPPPPPPPVVKKDGEDESRVCSAANAIAIINNVMRVAEGGRQSSLQQPARSSCSLPAPPSMATMLEQKLADAMGYEPFVLTRCKSEPMKTAAAKLLPESCVWENRKLERLSRGTFGVGAAGLGF >cds-PLY69117.1 pep primary_assembly:Lsat_Salinas_v7:5:285034664:285035643:-1 gene:gene-LSAT_5X150440 transcript:rna-gnl|WGS:NBSK|LSAT_5X150440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIQVIRSHTFPLKQLPISTYPHHRTTTVKVHAVSDNPLKLIQSGTVVAILPKDASAAINNDGYKLLDIRPEWEIEKARVSGGIHVPLFVQDMDNSPLTLLKKWVHFGYIGLWTGQNFTTMNPDFVDQVEKMVPDKTTKVLVACGEGLRSMMATSKLYKNGYTNLGWLAGGFNRAVEGDFPEVEGPEKLQYATIGGVSYFFLKVLILLQAVGKNE >cds-PLY76475.1 pep primary_assembly:Lsat_Salinas_v7:5:198968534:198968849:1 gene:gene-LSAT_5X89500 transcript:rna-gnl|WGS:NBSK|LSAT_5X89500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCLRLLKRMVRNKETMKYFNGGGDAAELELHVVKVNEGNLKTGLVIHGIEFRPKKFDMIWL >cds-PLY75051.1 pep primary_assembly:Lsat_Salinas_v7:2:67373125:67374408:1 gene:gene-LSAT_2X30820 transcript:rna-gnl|WGS:NBSK|LSAT_2X30820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCVACLLPLFLIPIVNLLPVIFDLIMARVYRILGWEYQKPERAPAACPYKPVANKTNENAAKEHDPIVADVIHKELPVDNHKLD >cds-PLY63234.1 pep primary_assembly:Lsat_Salinas_v7:8:271091777:271094531:-1 gene:gene-LSAT_8X156221 transcript:rna-gnl|WGS:NBSK|LSAT_8X156221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKAWQYHEYGSVEVLKLATDVAIPEIKDDQVLVKVVAAALNPVDYRRRLGQMKAADPPLPVIPGLDVAGVVMKVGSKVKGLKEGDEVYGDVNEKALDKPTKLGTLAEYVAVDEKLLALKPKNLDFVEAAALPLAIETAYEGLERANFKEGQSILVLNGAGGVGSFIIQIAKHVFGASKVAATSSTKKLELLKSLGADLAIDYTKQKFEELPDKYDVVYDAIGQPEEAVKAVKEGGCAVSITDFEKPIPPPGFGFVLTSSGSMLTKLNPYLESGKVKPLLDPKNSFPF >cds-PLY73173.1 pep primary_assembly:Lsat_Salinas_v7:1:178857115:178860313:-1 gene:gene-LSAT_1X117781 transcript:rna-gnl|WGS:NBSK|LSAT_1X117781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-damage-repair/toleration protein DRT111, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30480) UniProtKB/Swiss-Prot;Acc:P42698] MLGGLYGDLPPPSSTDEDSHNRITNPSSNVWSSSVKMAPPTLRKPSSSSLIPPPKTLLKPQQTKPRIVNSTAVAPPVPDVITRSSSSFQPALVGVTSSVVEEYDPARPNDYEEYKRERKRKAAEAEMKRELDRRRQEEEEREKRDRENMEKDREKDYNISGEEAWKRRAAMSGGGGGSGVPRRSPSPPAGGADGFSIEKSETGGLGVGAGGQMTAAQRMMAKMGWKAGQGLGKQEQGITTPLMAKKTDRRAGVIVNASEPKQQPEKKAKGVNINGVPTRVVLLRNMVGPGEVDDDLETEVAEECAKYGTVTRVLIFEITEPNFPVDEAVRIFVQFERSEQATKALVDLEGRFFGGRVVRATFYEEERFNTNELAPMPGEVPGFI >cds-PLY91436.1 pep primary_assembly:Lsat_Salinas_v7:7:132567109:132567597:-1 gene:gene-LSAT_7X79661 transcript:rna-gnl|WGS:NBSK|LSAT_7X79661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSDASKKTNKPTSSSSTIRNTEPSIVTSNYRAVFQDHDHYDVAIKHIIRYMLSHPLFNTFVSVVEIVPHSVIYRCVFSVYHPTQDPEQIHLKLANDSATILSKKKFLDAINLSVPTKAMFKSPTNTYVFKDFYKKEYQEKLKGVAYFKKGKIPDVWVVPM >cds-PLY62753.1 pep primary_assembly:Lsat_Salinas_v7:9:105941597:105942733:-1 gene:gene-LSAT_9X75881 transcript:rna-gnl|WGS:NBSK|LSAT_9X75881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMSNDPFDGKKILERVPVDPPFSLSDLKKAIPAHCFKRSVIRSSYYVVHDLIVAYVFYFLANTYIPFLPAPLAYLAWPVYWFCQASILTGLWVIGHECGHHAFSEYQWIDDTVGFILHSALMTPYFSWKYSHRNHHANTNSLDNDEVYIPKRKSKVRWYSKLLNNPPGRVFTLVFRFTLGFPLYLLTNISGKKYGRFANHFDPMSPIFTERERIQVLLSDLGLLAVFYAIKIAVTTKGAAWVACIYGVPVVGVHVFFVIITYLHHTHLSLPHYDSSEWNWIRGALSTIDRDFGFLNRVFHDVTHTHVLHHLISYIPHYHAKEARDAIIPVLGEYYKIDRTPIFKAMWREAKECIYIEPDENNKHTGVFWYHKM >cds-PLY99311.1 pep primary_assembly:Lsat_Salinas_v7:7:179796301:179797688:1 gene:gene-LSAT_7X106001 transcript:rna-gnl|WGS:NBSK|LSAT_7X106001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAITRSLFFIILATIVVSCMITQVKAYTSSYCNHESRCPGQYISCPSECPESNSNDPNTKVCRIDCYSPKCKAQCKHNKPECDTPGSACYDPRFIGGDSIVFYFHGKVNEHFSLVSDSNLQINGRFIGHQPTGRTRPFTWIQALGLLFNSHSFSLEATKSATWDGGVDHLKFSYNGEDVLLALGGLSSWKSPEGEIEVERTSAVNSVMVNIPGVVEILVNVVPVTAEDDKIHGYNVPSDDCFAHLEVQFKFTGLSDGVEGVLGRTYQPDFKNPAKPGVAMAVVGGEDKYRTTSLLTSDCVNCIYDSNNVAEKENSMIKEHGTLDCSAKGLFRGNGIVCKK >cds-PLY92338.1 pep primary_assembly:Lsat_Salinas_v7:9:180159680:180161012:1 gene:gene-LSAT_9X110621 transcript:rna-gnl|WGS:NBSK|LSAT_9X110621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTKFILLHPSIQKQAGNGGGVGGAGGAPHPHHRLWLFTLLSLLSFALTFSLFTTLPYSRTTASSATAAASSPPLPKPVFDALLHYAGTTSNSTNPSRMSSAELNSIASVLRRCSTPCNLLVFGLTHETLLWHALNIYGRTTFVDESAYFISKLEEKNPGIEAYDVQFTTKVSDLHELIEYSKSERRNECKPVQNLLFSECKLAINDLPNHVYDVAWDAILIDGPRGYFPKAPGRLSAIFTAGVLARSKTSGADKTHVFVHEFDREMERVSSNEFLCSENLVETVGLLGHFVVDKRNRRVNNFQFCRKPPLSAAAAE >cds-PLY97075.1 pep primary_assembly:Lsat_Salinas_v7:4:73371963:73372226:-1 gene:gene-LSAT_4X49480 transcript:rna-gnl|WGS:NBSK|LSAT_4X49480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCTYWIDDGATGSSSIMGYTSPSNSNFYDSMYSLRSSFESSSGSSFDPLLSVGGGALLSNGIGLFITGISWGSLIEVGPWAHLLEV >cds-PLY79967.1 pep primary_assembly:Lsat_Salinas_v7:9:45566980:45569651:-1 gene:gene-LSAT_9X42001 transcript:rna-gnl|WGS:NBSK|LSAT_9X42001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPLCLPKFFLGGRLIFGPDARSLPATVLLIIVPVITFCIFVGRHLRHQFPSYNAGSIIIVAAILFTIFVLVLLLLTSARDPGIVPRNSHPPEEELRYDNSAAHEIGGRQTPSLQFPRTKEVMVNGISVRVKYCDTCMLYRPPRCSHCSVCNNCVERFDHHCPWVGQCIGMRNYRFFFLFVSSATILCVYVFAMSAYYMKVLMDDYQSTVWRAMKESPASVALMAYCFISLWFVGGLTGFHLYLISSNQTTYENFRYRADNRYNVYNRGCVNNFLEVFCTKIKPSRNKFRAAVQEEVQRPPPPATTREVAGEEPGPEERRMKVEDDLDIGGDLLKISQRHNIEDIESADIRSRGSDNNINNNNNNNNNNNHCSEVEMERRGRASSSPPGTGRHSSWDLSPEVVAMNSKERHQ >cds-PLY87150.1 pep primary_assembly:Lsat_Salinas_v7:5:255646498:255662920:1 gene:gene-LSAT_5X131580 transcript:rna-gnl|WGS:NBSK|LSAT_5X131580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLRPPPTIAFHQKLHHRHRLPCSPSHLYTERRKILQSKTSIITTTTHDYRRELRIVNVATDPDSDGERSSILLSEVVEEQPREVCTGRRKWNALDITTAGVVAGMHLLCVFAPFTFSWGALWLAFGLFMVTGLLGISLSFHRHLSHKSFKIPKWLEYTFAYCGVHALQGTPTDWVRIHWYHHQYCDSERDPHTPTKGLWFSYMDWLFDTDRIAKMCGDPNIIKEMDKQPFYRFLRKTYILHPLALALLLYARGGFPFIVWGVAMRVVCLYHMSWLVNAVCHGFGHQTWATTDLSRNIWWIGILSFGEGWHNNHHAFQYSAKFGLEWWQIDMAWYVIRFLETIGVATDVKRPYQIDIQRRITCYKT >cds-PLY75921.1 pep primary_assembly:Lsat_Salinas_v7:9:200003473:200008475:-1 gene:gene-LSAT_9X123641 transcript:rna-gnl|WGS:NBSK|LSAT_9X123641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSPGTYNVFLSFRGEDTRNAFTDHLYDALVRAGIVTFRDNEEIRRGEELQPEIERAIKESRASVVVFSEKYATSTWCLDELALILQQRRECNHFVLPVFYHVDPSDVRKQTGTFAIEVKASSRWTDDNVSLWKKALKEVPDLGGMVLSGSEAKFVKEIVDIIYNKLERREVSLPQNITGMAARYEDISAWLNQPDVEFLAICGMGGSGKTTLAKYIYNSNWKTYENMSFLEGIREKCEQPDGMRVLQEQLLKGILGGKKRKIPSVSEGTCKIEEALQTKRSLIVLDDIVERSQLVALLGTGKINPQTKIIITTTRENTDDWFKFTDWRCQDYEMKLLNDDESLELLSRHAFGSKVPMEGFKEVAEQAVQYCEGNPLALEVLASALSQKNTIVHWKSQLNTLEKDIHSRIHNVLIMSYNSLSLVLEKELFLHIACFFVGKDKDYVVKILQHDYNAASGITSLSNRCLLSVSPNNKLMMHRLLQEMGKNIVRQESKFPEQRSRVWLSSDSYKILSKGKGSETMEGLALDMQMLEEQKFAFKSSNLKTDALQKMDKLKLLQLNFVQLTGFYENFSEDLRWLCWLGSNLRTIPSDLFMGNLVAIDMSYSNLEIFEPPMVLPSLQILNLTDSHNLLEIRNMSMIPHLETLILWNCHSLSRVCETIGDLTSLALLNMTGCRNLCKSEQMEASTSGKGVAEQPTLFFPRSLHRLFLKDCDLECTESFPLSFSIQLSLQYMNLGNSLFEFLPSYDHLKNLRVLDLSLCSMLKQLLCLPSTLAELYVYYCKSLEEISFQSHRFTLQEFGYEGCISLLEIEGFIKLVPVTKLEENDLGHMKWLKEYQNHEVCLVGDDELTKGRSSCVQMLFEFNIMSTSLPYMKDPMMKPTYVSELSYLYFDVPPPPKNRRLKGLDVTFKYTMSGEDDVLWFCKISTNNDVDLMYNPKVFGKPKFGEVGIWLSYWPIGNALHTGDKVNVSIAVISGLEVHECGVSLVYTDDKVAEETLENNMGLVEVLGGDLSGFQLSTRAYYLCRRDLFELMEVGKLTPGWFSILVGDTIECTGISLIYFWAFIIRFITHI >cds-PLY91932.1 pep primary_assembly:Lsat_Salinas_v7:8:195831905:195835799:-1 gene:gene-LSAT_8X126780 transcript:rna-gnl|WGS:NBSK|LSAT_8X126780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEGPQIVTKSGEVVEVMDGSDIMELVENDKVFSNFVDHKFRDLDRDCDGHLSVKELQPAVADIGAALGLPPQGTSADSDHIYAEVLSEFTHGKDSRVSKTEFKKVLSDFLIGMAAGLKRDPIVILRIDGEDLFEFIESPSFEPEMMSIFSEIDIPNGSLTDYINKAFEKLTVDKGMPPVLDSWVTSNIITPALQSCGVAQEQPVSQDAFLLEFKKVLETVAQRLKDQPVIVAHSENTFDGTGVRRLLSNKFELEKTLDTTVKTMPKDQNGKISKDCVRLALDFLASAAGLPPLGAVYQIDEVIAESCKMLDMDDGKLVKEEEFKKILTELLGSIMLQLEGNPIAVSTNSVVHEPLASASTLLQPLSM >cds-PLY88656.1 pep primary_assembly:Lsat_Salinas_v7:9:115416001:115417063:-1 gene:gene-LSAT_9X79500 transcript:rna-gnl|WGS:NBSK|LSAT_9X79500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHEVSCVTSLNLSLAGDHGFPTNHLIYLHAEEQEQEQLERKSILKWDPCVPSLKLGLGRDQESHPDPINWIPQASNSTVNTISSFSKSTSIKRERDGGSQEVEKVLSKAVIEIDQDESEGRGARKKLRLTNEQTLVLEESFKQHNTLNRKQKQALATSLVLQPRQVEVWFQNRRARTKLKQNEVDCTLLKKCCEAIKSDNKRLEKEIQELKAIRTTISMPPQFNIQFPAASHSMCLSCERIVSRCVSGGGGRR >cds-PLY66582.1 pep primary_assembly:Lsat_Salinas_v7:6:167072867:167075223:1 gene:gene-LSAT_6X101900 transcript:rna-gnl|WGS:NBSK|LSAT_6X101900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFHTLSVTILVVLVLVHLHGTEAQQQPLVQGLSWNYYQKSCPKLESIIKKQLEKVFKKDVTLAAALLRVHFHDCFVQGCDGSVLLDGSASGPVDSEKTAPPNLSLRGYDVIEDLRSRVNKECGSNTVSCSDITAIVARDAIVLTGGPQYKVPLGRRDGVTFATRNATLANLPSPFVPTGTLLTNLALKNFDATDAVALSGAHTIGVAHCTSFTRRLYPTQDTTMDKTYASNLKRVCPNANTNATTNMDLRSPNVFDNKYFVDLMNRQALLISDQDLYTDKRTRSIVTSFAVNQTLFFEKFVDVMVKMGQMEVLTGTQGEIRKKCSVRNSNELLISSVVEGDNMGSVESL >cds-PLY97586.1 pep primary_assembly:Lsat_Salinas_v7:5:235844209:235845515:-1 gene:gene-LSAT_5X114620 transcript:rna-gnl|WGS:NBSK|LSAT_5X114620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTTTTITSVFIILLLLSTDATTTTLDSDVSALKAIKAAIDPTTIPSYSCLASWNFTSDPCSYHHVDHFLCGLSCSGNRVTQLTFDRAGYVGTLSPLVSKLTQLITIDISDNKFSGPIPTSLFSLPNLQTLNLRSNSFSGTIPSDISNLKSIQALDISHNSLSGSLPNTLSSLPLTRLDLSFNNLTGPIPKLPKNLLELAIKSNSLSGFLPKPSFNDLTQLEVVELSDNSLTGTIPGWFLLQQSLQQVNLANNGFTGIEISKPINSNLIAVDIGYNKIVGYLPVNLSAYPVLSSLSLRYNQLHGPIPPVFSQKATLKRLFLDGNYLSGLPPKEFFSKKSSVSGSLGDNCLKNCPISSQLCLKSQKPSSICQQAYGGKGKQKS >cds-PLY81488.1 pep primary_assembly:Lsat_Salinas_v7:8:158708588:158710139:1 gene:gene-LSAT_8X106020 transcript:rna-gnl|WGS:NBSK|LSAT_8X106020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPYASSPCYSSDDDHSSKGTPDRVFKEPEYPVVIVDQKYCSNYPMELMFEKNGKVEDKFKISDEEGNVIFKASKKKSGKRIMVDETDAPVISFTTKHITMHRRRQAYKGDPHEHHRLFTVKKTRGFKSLRYDVFMTSNMTESTFNYRVYDNFKDGTSIIMAQDKSTILAKLHTEVTHKKEVKAEEKYSVSLSPNVDKAFVSALLIIREEVRKSRYKGYES >cds-PLY91414.1 pep primary_assembly:Lsat_Salinas_v7:3:56443111:56447998:-1 gene:gene-LSAT_3X43520 transcript:rna-gnl|WGS:NBSK|LSAT_3X43520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 22 [Source:Projected from Arabidopsis thaliana (AT2G34780) UniProtKB/TrEMBL;Acc:F4IIV5] MAAEHLELKDESTANPCCSEWKDRLLKVQQKCKKTEDARAALKKAVGLYERQFILMQDDVLKLKKACEEEKLQTDNERKNKEKESAARVSLENEISLLKSEILSLSQKEGSVPEHVSEELTLLKGRVSDSEKEINCLREQLQKETSVAATNRKKAVEDKKKADEALQSSKVEKDKIEKELSKLKAQLLNLETEKKQLKKDLQKESARADMEKIRAEALKTAKTEAEAEIEVLMAEKKVPLVDELSSPKSEDMDVITSLQGRVSEMETEITRLKNLLDNERKRADSETKKAEMEKKKGNKMREMVKTEQSKADEQRKLVDVEKKKREEFGQQCERLKCEADEVRSKLVLEGSKFKEANKKLEAERKKYVKEKKKYEEQQKIAELNMKNALEEKQRADRIHQQLEECQQKYVKLQKEMEAKQKEKDTKQMTTIGSEKIQNDVSSRNLTNPSCVLTEKNMKGKYDEMKLLKKRLKLEKERVKHANQVAELEKKCKKAVEKELHQLKLEFARFSNRVGLCSCYEICNAGKSCLEQNRNVNSKRKFMHPESGKELMKPNSTRSPVVSLPISGTCTEVTSGTATKMDSLLGGSNKKNVDNYALVSSMSSFSDRQLVGSQGKCVFSNTKPDESNKLNFNQQLPISRLSSEVPTTRNDAVVADNNDVKTPLRLKNKDGKNRKRKRTLNATESIEHLYTKVGDVDKPLKEVTLQPSCKIIEQKEDPGTKTVGEENVDLIGFENNGVEATTRMCNDDNLENGGKNVEIFKRMLDDDYMKLLSLDSEFEEERYRFAVERPLSPTLPNIQLDVVEDSRPSEVNCTNGLLPGVNDSCQYIVVFPEIKDSGSLSKIFHTTGTLMTQCCVSSESDHMIKHIIFALSAEEILSPKEKVCVFFSLFLKSPSSIALTNVNHVLDESFLKTIDIFSGQIKKVMSDVETRTIFEKVCNLDEVITLIQDFIINERVVIHTDINPESPSFSDSKASLQQLVIGSVFLASICVAFDRIDSICETSYNISHITTPSTLTFLHIFGYICGEKLLAQAGDYSLIMTVVSSLVTYHEKENPSSSSSCAKCPFLIGAVSMEEVASLLLNKLKSTTVCDGDLSDLGDVLSLLELIASKMKWGWICENIVSQLLKLLEVFVVETPLTAVFVLLGQLARLGIDGNGLEDGDVEKIRMKLSGFITGTTSRKMSLRIQFAAVNSLLGTTPLSFEEICDKNNKRFVSCSSAIDCIQKWFHLLSDEQKAMCVRLFPAAGVS >cds-PLY74438.1 pep primary_assembly:Lsat_Salinas_v7:6:11456277:11459342:-1 gene:gene-LSAT_6X9141 transcript:rna-gnl|WGS:NBSK|LSAT_6X9141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELFYLIVFGALGVVVATMELSKSNKDRINTPSAFNSFKNNYLLVYSLMMAGDWLQGPYVYYLYTTYGFGKGDIGQLFIAGFGSSMLFGTIVGSLADKHGRKRASITYCITYILSCITKHSPQYRILMVGRILGGIATSLLFSAFESWLVAEHNKRGFEQQWLSITFSKAIFLGNGLVAILAGLFGNLLVGSLAMGPVAPFDAASIFLAIGMAIIISSWTKNYGDSSESKDLMTQFRGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNGEDIPHGFIFATFMLSSMLGSSLASRLLARASIKVESYMQIVFIISSASLLLPVITSSLVAPSKEKGGSIKFAGCIQLIGFCVFEACVGLFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVDAFPITVMFGMCSFFLFIASMLQRRLLGVAERSRQDKGRELEAEPLNI >cds-PLY68965.1 pep primary_assembly:Lsat_Salinas_v7:9:138932957:138936504:1 gene:gene-LSAT_9X89481 transcript:rna-gnl|WGS:NBSK|LSAT_9X89481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVWVRVGVASSGGGNRLSGDTNGTIRRGEMSVVVGQRLGAVEAELEDRWVVADGMRGEVEDVVELMHRIQARLAVKEAARTSVLSKSWLHAWSTIPTLRFYVGRGKSMKLVDVDHTLIRYLRDNIPIESFELKMDMRNQESASHAEKWIGFVATKTSLKEFSLSVYLNGASSFTLPDELLLGENLTKIRVGASWGTDISVRMTTSRHPVIKCGSLRELHLAGVHISEEALNDILSSCSSLEKIKLSNIDFDFKTIKVINLPRLYELSITLDAALEISNVPNLAVFSYDLLRSGQLPFNANLHSMSLSNVTQLMLGGVVRDNVCLDMIKSRFPFLESLTLDMKSWMLGSFHFTCASIKILSLTSHKKLFDVQVCAPKLLLFSFSGDSILPNLLFPVSSLRQIKLSLSLDLPLDASFFLKLREALMLSRKCDLCISITNNSNSMPLDTDIDELRRRLLFPPAMNVQELEFETDEDECLWERSPFFDAFFEICHPKHIYARPDRHYRHNNHFCRLMLREVLEKKKTTAIWPHRLQHVLIRPPPHKKWRTLTNSQRTLLQALTPVDFKLKWR >cds-PLY66027.1 pep primary_assembly:Lsat_Salinas_v7:1:123473305:123473772:1 gene:gene-LSAT_1X94820 transcript:rna-gnl|WGS:NBSK|LSAT_1X94820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCWPKTLSKSSKSKGKANIDGGPPPPPPPQMKKEKHSTSYPPMSPPKSNIFPQTNGAWSRQPRTTEDVHRRPKSSSTNLGSHHRKSWAKALERLNRNNNVGVAPPPLTKKEKEQGSFAKMFASLDLNAKKFAPGESEGPNVHIYGSFAKTSTKPP >cds-PLY98577.1 pep primary_assembly:Lsat_Salinas_v7:1:38306348:38308961:1 gene:gene-LSAT_1X34180 transcript:rna-gnl|WGS:NBSK|LSAT_1X34180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Formamidopyrimidine-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT1G52500) UniProtKB/Swiss-Prot;Acc:O80358] MPELPEVEAARRAIEENCLGKKIKRSVVADDAKVIDGISPSDFEASLTGKTIVAAHRKGKNMWIQLDSPPFPSFQFGMAGAIYIKGVAVTKYKRSAVNEDDEWPSKYSKLFIELDDGLELSFTDKRRFAKVRLLQNPASAPPISELGPDALLEPMTEEELFKSLSKKKIGIKALILDQSFISGVGNWIADEVLYQARIHPLQSAATISKEQCGALHKFLKEVIRHSVKVNADSSRFPVDWLFHSRWGKKPGNLNGMMVIEVAVEVGADSSQFPSNWIFHNREKKPEKAFVDGKKIEFINAGGRTSAYVPELQKLSGEQAGKEVVQGKKKSSKKSRDESEDEENVKNVKGKGGKKRSESGGKKKTPVKRKSNSSDNDDDDDGEEEKEKDEVEETNDDKRAKEKKQKGRKAGNKKPPAKKKSPESGDDSGDEFEEEEEEKKVQVKGKKGVAKKVPTGRQAKKRVK >cds-PLY72713.1 pep primary_assembly:Lsat_Salinas_v7:6:28026190:28028321:1 gene:gene-LSAT_6X20920 transcript:rna-gnl|WGS:NBSK|LSAT_6X20920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLMAVLLSLLCLSFGSKITSATTYDVTSYGAKGDGNTDDSSAFLRAWDDLCGDQSPEPTLIIPSDKTFLISPVAFNGPCKSPTVYVMLLGNITAPKTFDGWKDCVRNHYWIYFTSVQGLKIQGPGQIDGQGSIWWKEEGKTTTNTCNRPTALHFHSCNGLQLRGTIHINSPKLHMSINGCEDVDVGNLKILAPGDSPNTDGIDISGSSHVYIHDSKIQTGDDCVAINGGTYDINVTRVLCGPGHGISIGSLGENGGHDTVEQYGTGYARKIVFQDIQLENVQNPIIIDQHYCSNPEDAFCPAPPTATAVKVSDVMYTNIYGSSATKQAITFNCSGKYNCTEIVTNEVGITGHDEFSYCQNAQGKFIDTNPPIKCY >cds-PLY74819.1 pep primary_assembly:Lsat_Salinas_v7:5:114185002:114186127:1 gene:gene-LSAT_5X50201 transcript:rna-gnl|WGS:NBSK|LSAT_5X50201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLDTTAPPHVTTEKPPKSVQQQYKGSWGPALDAALRMFLFATAMVAVIIMAASKQTKLISLFPGFAIPLEAKFTQSPAFIYAIAALSVACLYSIITGVLSVFALMKPGGSWTKLQFHFVIFDALLLGIVAAATGAAGGVAYIGLKGNSHTNWNKVCDQFSSFCSHLAGSIVLSLVSSITLLLLVWLSIYVLSKKLTRG >cds-PLY87022.1 pep primary_assembly:Lsat_Salinas_v7:5:263629134:263629460:1 gene:gene-LSAT_5X135141 transcript:rna-gnl|WGS:NBSK|LSAT_5X135141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLSYKKEGRTSLEIPRGKVSIVGVTDRKKERKQYCCHRHMCTVVFKPSPQDPHWIISRFASSLTTVSGINIVFSVPLSYMCAAITNHLGFSDLNLGKLLISLLRSQI >cds-PLY62353.1 pep primary_assembly:Lsat_Salinas_v7:8:113206789:113209771:-1 gene:gene-LSAT_8X77340 transcript:rna-gnl|WGS:NBSK|LSAT_8X77340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha carbonic anhydrase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G52720) UniProtKB/Swiss-Prot;Acc:O04846] MATQFISFLIVFLTTCANYVNGGGPQFGYLGPHGPRKWGKLSPTYSACSNGKFQSPINIVKSKSVPSQHLKPLDIEYHFTANATLVDNLFNVAMNYDGNAGVLKLNGKNYTLIQMHWHSPSEHHLDGLQYAAELHLVHKSVDGEVTVIAVLYQYGHPDPLLTKIQSKLEKLVKEAHSSTNKQAQVALGAITTKEIRKHSRKYYRYVGSFSTPPCTEGVIWHILGKVKSISKEQVAELKAPLIWECKSNSRPVQPLNGRQIEMYDDEMNHA >cds-PLY71830.1 pep primary_assembly:Lsat_Salinas_v7:3:60277600:60280540:-1 gene:gene-LSAT_3X47320 transcript:rna-gnl|WGS:NBSK|LSAT_3X47320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 10 [Source:Projected from Arabidopsis thaliana (AT2G17020) UniProtKB/Swiss-Prot;Acc:Q9SDA8] MAESSNRRVKVKNKSPAEQNGGKMCLDQLSSEVVATIMTKLDVSSICAISLTCQSFRVCAQDIFKFLPNFHLLEIAPPIDRLRGLLLPNASLRSLKLDCRRLNESSIDYLLQPGLLELSLRNCFKFSGRLFSEVGARCKHLRSLYVSSIADNRGHLHDVCDLEELLRGCTQLEELILMFDVSIFRRPDFARVWTLASTKLTYLQIGYITQLMVTELLTPTTSPHHQPNHNINIPPPIFPNIHKLSLSVDYISNTMINIISSTLIHLTHLDLRDQPIVEPGLTFDLTDEGLQLLDKHGRLKHLSLIRSQEFNPTFFRRVTDQGILFMADRCTSIEAICLAGFCQVTDTGFKTLLHACKNLYKLKVFNGTRMTDLVFHDMYATSLALTLVSLRCCNLLTNSAVLQLALNTDLNVLDFRDSRNIGDKAVESISKLPKLKTLLLDGTDVSDVGLSYLNKGENSSLVKLSIRGCKRLTCRCISSLFNNGSNRGLRELDLSNLPDLTNAGVLLLVKNRIPLVDLRMRQCPLIGDMAVMALASMTMADGDRWHGSSLRSLDIYNCGGISKLAFQWLKRPYFPGLRWLGVAAAMNHELVESLGLNRPFLHLMTRGEELGTDKWDNLDDIYMHDYEEVDELEQWIFQQDDVDVDDVEDENEV >cds-PLY62988.1 pep primary_assembly:Lsat_Salinas_v7:3:120111093:120111467:-1 gene:gene-LSAT_3X83900 transcript:rna-gnl|WGS:NBSK|LSAT_3X83900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWSCGVTLYVILVGAYPFEDPDKPKNFHKTIQRILNGKYSIPSYVHISTDYHHLISRIFVADPAKRITMDEIRNHEWFVKNLPEELTKGNAMDQFGGPDQPMQSVDEIMQIITEATIPPVRANN >cds-PLY74539.1 pep primary_assembly:Lsat_Salinas_v7:7:35158025:35158552:-1 gene:gene-LSAT_7X26901 transcript:rna-gnl|WGS:NBSK|LSAT_7X26901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPITCSGAHLNNQPKTDLGNAPYFVMIRKKGPVDWYPPSQKLSKGCMLPDAAVHVVRRRKATVGKEVGTASGERRYPESGRWRWIKELRSSIGVCGSCQRRSGECMLCRWPPNGARVTVIAAPVLIDGGGPRNLVQGSIPVVPWFSLLLQAAVPACWVAIEGAGDSRCSDREQL >cds-PLY75166.1 pep primary_assembly:Lsat_Salinas_v7:1:184458568:184463036:-1 gene:gene-LSAT_1X120740 transcript:rna-gnl|WGS:NBSK|LSAT_1X120740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLRRLSSLSIATTSPLSYSAPLSRLNNMASINNNGSSSRLSVLAQQLRLYKPPPGDAEDDDEVVSQVGFAESATPVGNRPSDRFRPKRAAVLICLFEGNNDGSGEDLRVILTKRSSSLSTHSGEVSLPGGKAEEDDTDDAYTATREAKEEIGLDPSLVNVVAVLEPFLSKHLLRVIPVIGILSDRSAFNPTPNVDEVDDVFDAPLEMFLKDENRRSEEREWMGDTYLIHYFDYKINGKSYLIWGLTAGILIRAASIVYKRPPAFIEQNPKFKVPEIANKDTTMP >cds-PLY69235.1 pep primary_assembly:Lsat_Salinas_v7:3:223850487:223858364:-1 gene:gene-LSAT_3X129041 transcript:rna-gnl|WGS:NBSK|LSAT_3X129041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQCVPSWDVDDNPDHNSNVGRLKINLRASSNSMFPNDVPKLDYEVAELTWKNGQVAMHGLGPPRVVNKATAAFSKYAWDKPRAAETLEAIVNQATFLPYGKSQMEFYADDLVPWLHSQTSNLATGSASASVTMAMDALVPSSNTHLQTLRSAANGSVVPGSTRVASCSGDQSGFMDQRVARSGAAAAHEWSSCKDQSVSGSETFAGMESGLQMTVETCEREFGGKAFTSISTGSPENTTSGKRSTESTSPDDNDSVGHSKRQKVSNMEEKKKGKGKGKSSERSRAAAIHNQSERRRRDKINQKMKTLQKLVPNANKTDKASMLEEVIEYLKQLQAQIHMMSRINMSPMMMPLAMQQQQLQMAMMNPMGMGMGMGIGMGMGMAMPGVMDLNSIGANRSSIPGMPPVFHPSSFMQPTMTSWDMHNTADRVPNQNDPMNAFLACQSQPMTMDGYTRMAALFQQMQSQPCYSGPKNN >cds-PLY77291.1 pep primary_assembly:Lsat_Salinas_v7:3:212253501:212255266:-1 gene:gene-LSAT_3X124261 transcript:rna-gnl|WGS:NBSK|LSAT_3X124261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PnsB5 [Source:Projected from Arabidopsis thaliana (AT5G43750) UniProtKB/TrEMBL;Acc:A0A178UJI2] MAGVSAKPLSALSISSIPKIAANSEQSPNRIRVSSNAICSTSFGLRYRSINNRCNGRNRINRLNAAGLTDIEPDLNEDPVDRWENSGISDDDFVYGKYDGHHTYNEGDDKSTFWGSIAEDYAAVDPPTGFQGLISWLFLPAVALGMYLNVPGEYLYIGAAIFVVVFCIIEMDKPSEAYNFEPQIYNMEKGSRDKLISDYNTMDIWDFNEKYGDLWDFTVTKDDIMKR >cds-PLY85654.1 pep primary_assembly:Lsat_Salinas_v7:6:84730849:84733242:-1 gene:gene-LSAT_6X59181 transcript:rna-gnl|WGS:NBSK|LSAT_6X59181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:GRP4 [Source:Projected from Arabidopsis thaliana (AT3G23830) UniProtKB/TrEMBL;Acc:A0A178VD35] MHSAEIVEMAFYNRIGSLMKQTVSQNSVSNGQITGPSMFNAIRCMSSKLFIGGLSYQTDDHSLKEAFSGFGEVVEARVITDRESGRSRGFGFVSYNSEDCAKEAMTAMDGQELNGRSVRVSLATERAPRTGGFGGGGGGGGYNRDAGNDRY >cds-PLY78855.1 pep primary_assembly:Lsat_Salinas_v7:5:305725893:305726422:1 gene:gene-LSAT_5X165940 transcript:rna-gnl|WGS:NBSK|LSAT_5X165940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIKRGKIKTQVYNEVSDVVLSIAIKTVETLGFIRNNPITTTDNNDTAGGDGDAGGGESPPPPNNTGSP >cds-PLY68182.1 pep primary_assembly:Lsat_Salinas_v7:8:118721415:118724475:-1 gene:gene-LSAT_8X82341 transcript:rna-gnl|WGS:NBSK|LSAT_8X82341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVGPPPAVVLPRGNGGGGVLQRLLKKMRELLFLLNNYKKKGEIEGKENEKWHSYPSVGRTGSTIPTASDVSVDEIRSAATGSDRYPASLHAPLMSSPEPPPYIPEQPFPYQGGYGGQYGQTEHQAHRQILDEVEIRELLIDHVGHRCCWGSRPARTWKIHSVEDCNVYVGTLETFVEEREVITETEPYRGGGNSNIDGKDKGPELGVWELDLRSEFPVLFTHHKESRAQIPHSESINKCSDCEGRGDTICGKCNADQEPGVYKENQMSQCGACYGRGLIAHRDGSDTICVKCNGKGKLPCASCGSRGLIKCTTCSGSGLLLTRKIALVKWDTHATRKLNATSGAASVPDDVFHRAKGVQLCNTQAYNCTPAFFADSFFLNQFSSEVISERPVIPVTARVICERHTISVIPVTRVTMVHRGQAFSFYVIGFSREVYLKDYYPSRFCWGLCPCLEWLKL >cds-PLY84292.1 pep primary_assembly:Lsat_Salinas_v7:6:6281951:6282801:1 gene:gene-LSAT_6X2281 transcript:rna-gnl|WGS:NBSK|LSAT_6X2281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQIQGFRIRLKLVKAFKWVTHERKTHSRYSKLKPTNCRDKAIARLCKLGRSLKRSLKELCCSKPGSDYARIGVGISGEKKPVPRGHLAVYFGEEEDDAHRVLVPVIYFNHPFFGELLRETEKVYGFDHRGGIHVPCRISEFENVQMRICSAGGCGGRRGRSWRSWL >cds-PLY86453.1 pep primary_assembly:Lsat_Salinas_v7:8:4128242:4130291:-1 gene:gene-LSAT_8X3001 transcript:rna-gnl|WGS:NBSK|LSAT_8X3001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVTGIVMSSAPLVLPPLLVFSAMGVAFSLPFGLVYASYACTNTLMNKLFPTPASVSPLLLEYYPDEEEVDSKFDLHEGGDDYTNENEEGELRKENMTQEEEEARIWETEEGTESPNGCEQEVEALATNVDGDVDEKGYYIDDEAKGEDGPENVVQVEYHDLMEENEDEKEREDEDDVLQREYDIEVEEREQMEDINEGSEMADDEHKQTQEVSTTNSSGEDEQGYEEDDGEYLEGTDDSLQEERNKEEVNKDETEEIVKGSTGLLENLRDEGTIDGHESSASSNEVFEENKDDVEMIEPQLVIVKEIESQKLVKDGSKNEEMHRVVILSEGNEENNFNSREVEELDLVAREVVGDTRKEASDAKATKENMKKTSNDGSEDAMLKTNKEHLVRSDEDAREIGDESGLDLFDKRNTSIDFEILEGGDELKKNTVDDNEDVMSLPGFRHAPLDSDNVKVASKTDRKTPSREDVLEDEKIWEKIGAMRAIVGYKAPSEATFMEELKALYVFTGVEPLASFKGDSNSDRDEVNAKLKFLMSIVGVK >cds-PLY66512.1 pep primary_assembly:Lsat_Salinas_v7:4:340223010:340223378:1 gene:gene-LSAT_4X167401 transcript:rna-gnl|WGS:NBSK|LSAT_4X167401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKFATQNHRFLNFFVERSERSEFMRSEFPVDRNRLFVVGMFGGCNRFGVLGSKGTGVAATTISVAFGVHALNTAFGNCNIQSDLATGRTRNRQLIDVYHRTPNHFKPRRPSKFWARILNSL >cds-PLY87707.1 pep primary_assembly:Lsat_Salinas_v7:1:5407080:5408895:-1 gene:gene-LSAT_1X4180 transcript:rna-gnl|WGS:NBSK|LSAT_1X4180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSVLMLALCVLLPALISASRPMSRPFLLQGRVYCDTCRAGFETSATTYIPHAKVRVECKNRQQKVLYSMEGMTDATGTYHIRVNEDHGDETCDVVLVSSPLGNCNKADPGRDRARVVLTSYNGIVSDTRFANAMGFMKDEVMSGCTTLLQSLMEEDD >cds-PLY94298.1 pep primary_assembly:Lsat_Salinas_v7:7:165108465:165109514:1 gene:gene-LSAT_7X98080 transcript:rna-gnl|WGS:NBSK|LSAT_7X98080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRSMLRKKKYDEARSRITINSGVAPWSDLPHDVLVLVMMKLGVIDFVAFSRVCKSWRSVALSNRNKFIVSRPPMSVSIYSEAKEKGFYYLKDFEGRILRIILPHSAMRRWCVGVTCGYLVLFDEEYDGFWLVNPITRHELYFPGTPCAYFSCPGDTEPFLVFSPLISEWVLVVVIACSTDKIWFCIADKVGWHYVSSPFPPINDLHAFKGKIYTIHYFSTSDEVKLCELKLFPEAELVLLETKNFPKPNFRYPGFVTSGENLYVIDRGSKKHPYNIHEIDLDQMIFVSSEKKAEEYAFFLIEFISGSLPPHHGRYVVSDKNGKGGSFHAKIWYLFFDCLNVDLIHE >cds-PLY82904.1 pep primary_assembly:Lsat_Salinas_v7:6:94455275:94455775:1 gene:gene-LSAT_6X62120 transcript:rna-gnl|WGS:NBSK|LSAT_6X62120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIRRSMKCLSRLKYSFLVALAINVFNKVFLICKVIIIKVLSLTLDSWTDAEIDAMIEDRGIASANSIYEAYIS >cds-PLY82968.1 pep primary_assembly:Lsat_Salinas_v7:1:18742557:18745854:1 gene:gene-LSAT_1X16380 transcript:rna-gnl|WGS:NBSK|LSAT_1X16380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQASKLREQVARQQQAVLKQFAGGLGGSEDIVANEVELQQQQKLEKLYISTRSAKHFQRDIVRGVEGFIVTGTKQVEIGTKLSEDSRKYGAENTCTSGSSLSKAAMSYSSARMDIEREREILLKALGTQVAEPLRGMVVGAPLEDARRHAQRYDRVRQEAEAQVIEVARRQAKVRESSGNVDNLSKFEAAQARLQELKSNMTVLGKEAVATMSAVEAQQQRLTLQRLISMVEAEKHHHQKVLNILDRLEGEMLQERQRIDASPIPMAAAVSSSPAHDEIEINGVSASQRNDNNCEDNLDYFLGEVIHPYQAESDAELSLTVGDYVVVRKVSSNGWAEGECQGKAGWFPLEYVERRDRILGSK >cds-PLY66256.1 pep primary_assembly:Lsat_Salinas_v7:3:47886301:47889005:1 gene:gene-LSAT_3X37720 transcript:rna-gnl|WGS:NBSK|LSAT_3X37720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGICVKPSGGSPRERTSRKLSSEAYVLQSIREESFRLKNRPEVRPISVDKKPNSVRRVRDDHFEKKKEGSEVILANIPSIRTIPKAIEGEQVVAGWPSWLAEVAGEAINGWLPRRADTFEKLDKIGQGTYSCVFKARDLTNKKTVALKRVRFDNMDSESVKFMAREILVLRRLNHPNVIKLEGLITSRTSSSLYLVFEYMEHDLTGLVSLPGIRFTEPQVKCYMKQLLSGLDHCHSHGVLHRDIKGSNLLIDNNGILKIADFGLASVFDCNQSVPLTTRVVTLWYRPPELLLGATHYGVSVDLWSAGCILGELYAGKPIMPGRTEVEQLHRIFKLCGSPSEDYWKKTKLPHSTAFKPVHPYKRRILEAFREFPLPAVGLMETLLAVEPEQRGTASLALKNQFFTTKPYACDPSSLPHYPPSKEIDAKMRDEEATRQREGERLEKERKESHIKQHAILKGSKDGRPMRDSYSGPLVANNKYCEISRTSKDDEIEKKDVSCSQVRKDEVGNNNNNKVYKKGNKIQFSGPLVSSNVDQMIKDHDRHIQEAARRSRIEKMRVGEVEVEGKEMVRNVVYPSSRLSK >cds-PLY93097.1 pep primary_assembly:Lsat_Salinas_v7:4:107103903:107105097:-1 gene:gene-LSAT_4X68960 transcript:rna-gnl|WGS:NBSK|LSAT_4X68960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPYFDKNGLKKGAWSDNEDQKLRAYIEKYGHSNWRKLPKLAGLSRCGKSCRLRWVNYLHPNINRGNYTDEEEDLIISLHHSLGNKWSKIAAKLPGRSDNDVKNHWHTHLRKRVNQKPTVSYSGKEMVQNPKCSKEKGVLKVSDLKDRDEVELLLSVLSAESSSDANKEQSSPSSSNSLDHVASNGYSSQPLIEEPVEDFWRMGGDFFMEAMDLMNHYDQLRSYDDSSTSGDHDSIMTDDCLWSANGFLWS >cds-PLY66563.1 pep primary_assembly:Lsat_Salinas_v7:6:166134219:166139640:1 gene:gene-LSAT_6X101520 transcript:rna-gnl|WGS:NBSK|LSAT_6X101520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTSARWTDGLRFSSLFWPPPLDTQQRKAQITAYVDYFGQFTSEQFPEDIAKLVRHRYPSQEKRLFDDVLATFVLHHPEHGHNVILPIISCIIDGTMEYDRNTPPFASFISLVCPTNDNEYSEQWALACGEILRILTHYNRPIFKAEHQHNVTDRSSSGSQASTSNSVNGETSSSSAQNEVKPLRPLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAGGELKPPSVVMASSRGSGKHPQLIPSTPRWAVANGAGVILSVCDEEVGRYETATLTAAAVPALLLPPPTTSMDEHLVAGLPALEPYARLFHRYYAIASPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGMRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLTQTEGVELQDEHLNGYNSNPKKQREMPTTEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSIIDLPEIIVAAPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVETILQRTFPFEQIKKSKNVFGSASNNIAVAELRTMVHSLFLDSIATVELASRLLFVVLTVCVSHEARRGREEDKDSSVTRSEKLRNKQGSGPGPVSAFDSYVLAAVCALACELQIFPLISRVGKHSKHLPNTNTKAKDIESFNDFQSSIKSAVSHTRRLLAILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHISELFRQSKACMRALSVLMRCKWDKPIHSTASSLYNLIDVHSKAVASIVNKAEPREAHLLKDALVNLNGKRQNKGSEKGESSRSVRTCEEVSSEDSEKEEITSFPFNASELANFLTKDRHIGFNCSARILLTSVLTEKQELCFSVVSLLWHKLIASPETQVTAESTSAQQGWRQVVDALCNVVSASPTKAATAVVLQAERELQPWIAKDDDVGEEMWRVNQRIVKLIVELMRNHNTPESLVIMASALDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGMAVADGLSNLLKCRLPATVRCLSHPSAHVRALSTSVLRAILHAGFINPSGGGSSGGGGGGVDKYVRVDVVDWEADVGKCLTWEAHSRIATGMPIQYLNTAAKELGLGCPIPIPISI >cds-PLY87554.1 pep primary_assembly:Lsat_Salinas_v7:8:257606117:257610241:-1 gene:gene-LSAT_8X151620 transcript:rna-gnl|WGS:NBSK|LSAT_8X151620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHAYFTCAGVSRSLSHMVIVTAPGFINSFYKNFLVNSINPNLSFNQETLNFLSIHEPGIATKKINNKDQLVRFLPKTGTTSPKFDFTPLSEFVFSLIDASTFSSLPLHRAEVDGRAPNSPLSLIVLILPLSSIHMFQILQFSRVSMCATFVLVAMWGEKMVESSIEMADNSSDTPSVEANEDFSYPSGAKEEGLVKESDRVPDPHSLELWLKVDGEIRQKGSTEDMIFKILFLISHISSIMTLIDGDVILTTTDMMNMMPPEELQKMSKMALNGSDKNTESEGQERDFSTFNEDIGESSTSRGVANSRNTPQPSFPSSSFDMQEQLKVQMKNPAMHEAFKEAFEIFCDKGVAGSSSAELLATFCDNILKNGGSEKLNDEAVEDTLEKVVKLLAYISDKDLFVGFYRKKLARRPCLYFVKCRIGHLSWKKFS >cds-PLY75759.1 pep primary_assembly:Lsat_Salinas_v7:3:69891368:69895234:1 gene:gene-LSAT_3X53521 transcript:rna-gnl|WGS:NBSK|LSAT_3X53521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 3-II [Source:Projected from Arabidopsis thaliana (AT2G01730) UniProtKB/Swiss-Prot;Acc:Q8GUU3] MAIDCLVLGAGQEVGKSCVVVSINGKRIMFDCGMHMGYTDHNRYPDFSLISKSGDFNNALSCIIITHFHLDHIGALPYFTEVCGYNGPIYMTYPTKALAPLMLEDYRKVMVGRGEEQQFTYENIIDCMKKVTAVDLKQNVQVDKDLQIRAYYAGHVLGAAMFYACVGDSAMVYTGDYNMTPDRHLGAAQIDRLQLDLLITESTYATTIRDSKYAREREFLKAVHKCVSNGGKVLIPTFALGRAQELCILLDDYWERMNLKVPIYFSAGLTIQANLYYKVLINWTSQKVKDTYATRNAFDFKNVHQFDRTLLDAPGPCVLFATPGMISGGFSLEVFKHWAPCESNLITLPGYCVAGTVGHKLMSGKPTKVDLDKDTQIDVRCQIHQLSFSPHTDAKGIMDLVKFLSPKHVILVHGEKPKMDLLKSRIKSELGIECFDPANTETVSFPSTHFIKSDASNAFIRSSLTPNFKFPKDEITDTPSLHVCDERVSEGILTMGKGQKVKVIHQDELVTMVGGGKTEVEFGYCFGLNLCSLKNVSKEVRVSNGDDSLLGVLNMKLSDEFGELGVRDCKGSLEVNSFRVRVCKNDDCPHRVDRDELSERLECVFFCCNWSILDKSIAWRVISVMKNMDL >cds-PLY66294.1 pep primary_assembly:Lsat_Salinas_v7:8:218339664:218340665:1 gene:gene-LSAT_8X135941 transcript:rna-gnl|WGS:NBSK|LSAT_8X135941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVALGPGKFYGSGLPRPRIYTDIKYNSYRVDPPVSVTDPLMSWAEEAHWSMGGLNVNRHRLQGRIEGNVEKLRSQIEESIKKKESLSPPSSKKKPISKSPEKSAKKKKVAELDRSRSPSPPPAPLANKRKRRFLGLVDEDAEGEENISARKFPVRKLSDEFDLVANTKKSPARSSRGVESETATIALRTRGQKTVTEEPMKGKKRLRKIGEDKNVDASSSSRISSRLVKRV >cds-PLY68959.1 pep primary_assembly:Lsat_Salinas_v7:4:363639053:363640991:1 gene:gene-LSAT_4X178761 transcript:rna-gnl|WGS:NBSK|LSAT_4X178761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHHVYFQHGEDGDSCRQFVVKGHWKPSEDRKLRELVAVHGPKNWNMISEQLPGRSVGEEIKMSLAVADGGGNGGTGDYYNEAMETDGGYMAEVRLSFTNPPNDINLNTATSNHFTTIQTTTNQPLSSPFIDFLGIGN >cds-PLY79912.1 pep primary_assembly:Lsat_Salinas_v7:8:16317198:16328653:-1 gene:gene-LSAT_8X12740 transcript:rna-gnl|WGS:NBSK|LSAT_8X12740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPITMKEALTLPSVGINPQFITFTNVTMESDKFICVRETSPQNSVVIIDMSMPMQPLRRPITADSALMNPVSRILALKAQLPGTTQDHLQIFNIEMKAKMKSHQMPEQVVFWKWITPKMLGMVTQTSVYHWSIEGDSEPTKMFDRTANLSNNQIINYRCDPSEKWLVLIGIAPGSPERPQLVKGNMQLFSVDQQRSQALEAHAASFASFKVPGNENPSILISFATKSSNAGQVTSKLHVIELGAQPGKPSFTKKQADLFFPPDFQDDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEASSVGGFYAVNRRGQVLLATVNESTIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTKGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRSDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAHLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLFVRALQHYSELPDIKRVIVNTHAIEPQSLVEFFGTLSKEWALECMKDLLLVNLRGNLQIIVQVAKEYCEQLGVEACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKAKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDEELVNVTNKNSLFKLQARYVVERMDADLWEKVLNPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAYAIFKKFNLNVQAVNVLLENIQSIPRAVEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDATQFLDVIRASEDTECYHDLVKYLLMVRQKTKEPRVDSELIYAYAKIDRLSDIEEFILMPNVANLQNVGDRLFDEALYEAAKIIYAFISNWAKLAVTLVRLQQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRYEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPDAWDHMQFKDIVVKVANVELYYKAVHFYLQEHPDLINDVLNVLALRVDHTRVVDIMRKAGHLHLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDKVYKDAMETASQSGDRELAEELLVYFIEQGKKECFASCLFVCYDLIRADVALELAWLNNMIDFAFPYLLQFIREYTGKVDELIKDKIEAVKENKAKENEEQDVIKQQNMYAQLLPLALPAPPGMGGGGYGQPPPPMGGMGMGMPPMPPFGMPQMGSY >cds-PLY76424.1 pep primary_assembly:Lsat_Salinas_v7:8:154165584:154167495:-1 gene:gene-LSAT_8X103301 transcript:rna-gnl|WGS:NBSK|LSAT_8X103301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRWTMGRRKCIQGQFGGFQQGVLKLAMEPSILGSAFTEGGSYRKIKLDCNPGIDEIDEIMDHVKGFLISMALLKGECSFSRPLHHLRGQNVSLHSKRVGQASSPWKRHKCPHYICHLIFFEGMENRANDFDFV >cds-PLY91416.1 pep primary_assembly:Lsat_Salinas_v7:3:56186096:56190051:1 gene:gene-LSAT_3X44001 transcript:rna-gnl|WGS:NBSK|LSAT_3X44001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQATKLREQVARQQQAVFKQFGGGGYGGSDNVVTDEAELMLHQKLERLYISTRAAKHFQRDIVRGVEGYIVTGSKLSEDSRKYGIENTCTSGNTLSRAAVNFSRARAQMEKERGNLLKSFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQVIEVSKRQTKVMEGTGNPEVFARLEAAESKLQDLKSNMSILGKEAASAMAAVEAQQQNMTLQRLISMIESERAYHEKVIQILDHLEGEMVSERQRIEAAPTPTMETPPPPFSPPPPSYEEVNNNTSPSPMQNGSNDGGNYFLGEAMFSYDAESDVELNLSSGDYVVIRKVSNNGWAEGECKGKAGWFPVEYVERRERVLASKVTQVY >cds-PLY76927.1 pep primary_assembly:Lsat_Salinas_v7:9:6651485:6652321:1 gene:gene-LSAT_9X1400 transcript:rna-gnl|WGS:NBSK|LSAT_9X1400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACSVNYGTVIACFIVISLSLGLFVLMPVLVNLFAWPSTPSFSVNLFHNQILNMTRSNDNSPTNLAIHFDLKLKNENKAIGLHYPDQINITFSYFPNVSTLAILADYKLDSFYQGNGKARHVRGKVETNGFPTVLQGTNMIVFRVDLVGSFRYKKVGTKRHKFELGCLVGVDYTTSNKMQTGFIGMVEPGLDSKLKRKPPPDVGY >cds-PLY78314.1 pep primary_assembly:Lsat_Salinas_v7:2:181444435:181447302:1 gene:gene-LSAT_2X102701 transcript:rna-gnl|WGS:NBSK|LSAT_2X102701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWFPKTTSNSSIRAGNGQRKGNSIVAVAIDKDKYSQHALKWVVEHLLTRGQTVVLIHVQCIDVILEGTNIAKALAEYASENAIEYLVLGASSRHGFIRFKTSDVPSSVMKVAPDFCTIYVISKGKISSAKKSLKAAPFVSPLHEQIEEKSNNANSFVSIAPNNNNNNNHSFQSRAPEKPPEKPRMMPEKLESFRSPFTRPGRAENAKLLELQDLDTDISFVGNARQSTDHMSLDNYYDLDIGITDRNRDEFSSSSFESRASSASQNMDDGDNEMKMLKMELVRKMGLYTVDCNDASTAKQKEGLQQWRMEEQKRLLEEAREAGEKEKAKSQATMEKAEASKRIMELESRRVVAEMKALTTDDEDPDNKFQYKKYTIEEIEEATEFFAQSRKIGEGGYGPVFKGMLSQTVVAIKVLRPDAAQGRSQFQREVEVLSCMRHPNMVLLLGACPEYGCLIYEYMGNGSLEDRLLRRGNTPPLSWQIRFKIASEIATGILFLHQTKPEPIVHRDLKPGNILLDENFVSKISDVGLARLLPPSVTGDMTQYRMTSAAGTLCYIDPEYQQTGMLGVKSDVYSLGIMLLQLITARPAMGLSHHVQNAIDDGTFGKMLDPCVTDWPQDEALGFAKLALQCAELRRKDRPDLGKVVLPELARLRDFGEESMPP >cds-PLY76888.1 pep primary_assembly:Lsat_Salinas_v7:3:4752923:4759250:-1 gene:gene-LSAT_3X2361 transcript:rna-gnl|WGS:NBSK|LSAT_3X2361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRVITCLLLVLVAVVVVVLPAGSFGYRRGWDPLIRSPVDLEEDLESDGQTTGNGTRWAVLVAGSNGYGNYRHQADVCHAYQILKRGGLKDENIVVFMFDDIATSEMNPRPGVIINHPQGDDVYSGVPKDYTGEHVTVDNLFAVLLGDKNSVTGGSGKVVDSKPEDRIFLYYSDHGGPGVLGMPNMPYLVAKDLIEVLKKKHDMGTYKEMVIYLEACESGSIFEGMLPEDLNIYATTASSSEESSYGTYCPGMEPSPPPEYITCLGDLYSVAWMEDSETHNLKKESIEQQFNKVKERTSNYDTFNSGSHVMEYGSKDIKPEKVSLYLGFDPETLNLPDNLISFDKKMDGVNQRDADLIFLWQRYKKSSELMERAGLLKKITETMSHRAHLDNSIEMIGMLLFGPQNGRSILHSSRGRGFPLVDDWECLKSTVPQGTNSDIDFGNDQLNPQKRKASSSRGGHDVEAGSSSAVGDPSAPPPKKKRKLIFYLKKLVETWRLPIEEVREIMLEYNVVVQKKKEARKGECLSAKLAQSLASTDESSNDQVNKLIFPITVWRNNTGF >cds-PLY82323.1 pep primary_assembly:Lsat_Salinas_v7:1:68945757:68946268:-1 gene:gene-LSAT_1X61120 transcript:rna-gnl|WGS:NBSK|LSAT_1X61120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRSGAFFSLLPQCSDGRMLLGECIIDQLKMEECTLWSLEPQNSKGGGDGRLYSESMSKLDSAKMIIDAGSSDNIASTEMVGQLGLGKLKQDVWCEVVPMYYISYAEDLLFLGICCLSSRLNPKVSKGIKPSFFR >cds-PLY78136.1 pep primary_assembly:Lsat_Salinas_v7:2:61932625:61936627:-1 gene:gene-LSAT_2X27321 transcript:rna-gnl|WGS:NBSK|LSAT_2X27321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVTIAADGILKKVLSIAAGELAIAWGYEGKLASLHRTLDLIRAKLQDAERQKETEAVMVWLKQLKVVVGEADDVLDEVHYEMLRRQIKKRDQVARKVPSLPSLKKLSFRWEMGHKIKNINEMLLKINTEANSLGLQNEQYPVGPVPDRLYRETIPHPEEFKIVGRDDDVLHIIELLTKPRTEEKLTIVPIVGMGGIGKTALAKSVYNDNIIEQHFDVRAWLCVSIKVDIITLLVKIYESVAGQKPESDSKVNLIKSLEEKLGSKRYFLVLDDVWVEERPYWEEFRRCMLGVNSQNGSGILVTTRKLEIGTTGMKADSCLLKGLSDDHCWDIFRERAFVAGTSPSPELEEIGREIVKKCGGLPLLLNVIGGMLANYSDPEKWLSIKNSKVWDLEEERDRVQKSLELSFDNLPNSIVKQCFVYCSIFKKDTVMEREELVQLWMALGLVQADEEKNKQMEDVGNDIFQILVSNSLFQDVGRDKYGHLTHCNMHDLVHDLSLSLSKHEILRLVDATNDDIACIPQVKHLSLSHSFYQELNEDDEFKAKVSMFIERDTVARNLHTLFINGKVEKKFSFQPLTCMRILKLNRCEIEKIDDSLGELVHLRYLDLSSTKIHVLPQSIGKLYHLQTLKLRSCYSLNKFPETMRNLISLRFCKSVKSIPNNIVGKLTSLRTLMPNSFSVLRNKGHGIEELHHLNNLTGSLCISHLENVNSKEDAVKADLSSKKNLYEIEFNWTCYDQVGNRNDKEVLGGLQAPRAVKILTIKRFSGDNFPEWVMKMAVNIDRKETPLDKLVSIRLDGCRSCLSLPTLEHLPHLLDLELINMDNLTCLRSSDVTGSMMPLSPSLRSLTLDGMKRLEKWIDGATNSSKMISPVLQKLSIENCQKIILLDECYPHPLVSLKIQNCYGLEYIKSIQGLTSLESLRIDNCPSLLGITNFSGHNYPTSVTKMAIDIERKWTPFDKILSITLSDCRSCLSLPTLEHLPHLQDLELINMDSLTCLRSSDITGSTKPFSPSLRSLRLRSMKRLEKWIDGATNSSIMISPVLRSMDIENCPKIVLIDECHPHPLVSLKIWICRGLEYIKSIQGLTSLKSLKIAMCPSLLGITNLPNECHSLKTLEITDCGKLTSLPHEMFDSFAFLKRLELGPFSEELDSFPSLQGIEKLRNQLHSLELYGWDHCESIPEEIQHLTSLTWLRIFRFGIQELPMWLTNMSSIREMRFTVCRGLDEEKVKWGAPREANVVKLDNLTVNRSKC >cds-PLY72871.1 pep primary_assembly:Lsat_Salinas_v7:5:168648665:168651202:-1 gene:gene-LSAT_5X74241 transcript:rna-gnl|WGS:NBSK|LSAT_5X74241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVVPESPIQDDQMGHSSHVRDSPLNSNLKATGNPDGNVESSKRANDPPGLNKGDPTKLYRYEYIEALLVSREMHEFEKIPKKSFDTVNSNMNQLDFLVNIMMFLDKQFELADKYKNKLEYRSMKFRFHAVLGKKEEEIWLLVKIVWVLGITKDVGFEAMLQNYRYHAVRANNVTFDFSVADFPLMNLHDLLVVTLIMKSVDLTKLTNKEDFLNAFDHLKVFIDRYYGALVLTNVELAMSLDKRITVPQTMLMSKASLKDFKDGEITLKPLGIVFIGKNKKGYNSKFLFQACDVERYTTPHYKNLMVRMNSYGINNDKEKAEIQKIINWYAEIRRTIHQTAKVLTT >cds-PLY96830.1 pep primary_assembly:Lsat_Salinas_v7:2:110275021:110279135:-1 gene:gene-LSAT_2X51900 transcript:rna-gnl|WGS:NBSK|LSAT_2X51900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKNGTIECSFCHSKLVNPSSKSISRAYDRRQSKLSKKHRALNVLLVVGDCMLVGLQPILVYMCKVDGKFNFSPISVNFLTEVAKVIFALVMLLIQARNQKVGEKPLLSVSNFVQAARNNVLLAIPALLYAINNYLKFTMQLYFNPATVKMLSNLKVLVIAVLLKMIMKRRFSIIQWEALALLLIGISINQLSSSPKGTTSMGVSISMGAYICTFVFVTVPSMASVFNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILGTVVIKGPESFDILHGHSKATMFLIINNAAQGVLHRVGSEERQPLLPT >cds-PLY71212.1 pep primary_assembly:Lsat_Salinas_v7:3:120809068:120812101:1 gene:gene-LSAT_3X85201 transcript:rna-gnl|WGS:NBSK|LSAT_3X85201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVGCDRMFFSEITKEDSDIMGCISSRVRHLGQLHCKTRMPRQLLHLFQCLKNDQQALVLEGKMLIKYVIMNAIALRKILKKYDKVHNSISGVNCRSKLQAEHLEILQSPRLIELVASYMNFSKSNEMICYELCSYFSCDLSIIISKLVLKLVLLDYVVLEYSLTCVVCLSEPPRDVGNYIHRSGTTGRAGNSGVAITLYELRKSNISKLEREAGVKFEHISAPQPADIAKAVGGDAAEVIIQVVDSVIPVFKSAAEELLNDFGLTQGYTEIKHMSLLSSMENNITLHLEAGRHVYTPS >cds-PLY75789.1 pep primary_assembly:Lsat_Salinas_v7:3:67627359:67628499:-1 gene:gene-LSAT_3X52520 transcript:rna-gnl|WGS:NBSK|LSAT_3X52520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLKHPTTTIPEPESDTPPRSQSIKKLLSFQNGKPHHHHPPPSTVVVYKECLKNHAASIGGHALDGCGEFMPSPTCTPTDPKSLKCAACGCHRNFHRREPINDFPATVTRNHFIDFNRPYQTSTSTSTSPTPPSPPPQPTNYAFGGHLLLSLSTAADQTHTTATPMAIKTSGRKRFRTKFSLDQKEKMTIFAEKLGWKMQRCDDKLIADFCNEIGIRRGIFKVWMHNNKNNFGKREKDITTTAITGVAAAVVRSNDSTHQDNGSDDVHLQASTNGSSSSS >cds-PLY62009.1 pep primary_assembly:Lsat_Salinas_v7:5:116662509:116665028:-1 gene:gene-LSAT_5X52180 transcript:rna-gnl|WGS:NBSK|LSAT_5X52180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDSPTMVNLSKIFRPRRNNLDEVSEDDQLCAFLATFPTKLEYTKPEFYFILWVFWSQQEGSQNNLSFGDPGQWVIHNKIKSSFYKFELTLYDFDGIIQFWAPVNTGGRRFLTTSDQPFAINYLNTGLDKFRLSSLRYRYSIDVNKLDVEDDPMIITGAPASAFLNQLPELVLDLKAHHGCPLVRSAMECDLLAYIVLPICDPSQNCCVGVVECFMKRCDCSLVIFNQLNQALEKVGLRTFDVRECRPYKSIQGLKHAKKDIEEALKIVYESHNLGLAQVWVPYEDEKHVGFSSQTNRTFALKLTGLNNGCFDWSYNNYHNACNMLALRIGDGLAGKTLQNHEPHFCRDISKLSNTDLLVSFTANTKCSCFGICLRSIDTSDFYYVFEFLWPRNRNYVILIESLVLTLKMCLPNFKLASGPQLGDELRIIDVENSTGSKLGHFKIFKGNKLSRIPLECMPNEAKFKTTAIELPRKEIEQQFGTTMKKAAKSLKVSLSTLKRKCKVLGIYEWPGPKFVKRKVNDSRKDQRDMNEEDTGAIVDPSAVKGDINFDESTLSIKVEYADDIIKLHLPLLLVTFIALDKEIGNKLKLNRGSFKLKYLDEDGDWIVLGSEQDMKCCIRSSKKLDSIAIRLRVLPCVHNKYVA >cds-PLY61829.1 pep primary_assembly:Lsat_Salinas_v7:6:59101294:59102369:1 gene:gene-LSAT_6X44661 transcript:rna-gnl|WGS:NBSK|LSAT_6X44661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGARGGGRSGSHIGGRDAGDRNASQSHNNAESQPSSSSVRGSNILEQVPSNPSKRKFIEVDSEKEFTDQISVIRAIACILKTMFDGPWTSWKKVDKEHRDAMWEHFKGLYVWPEATDVLARKVWEDCMKKRFPDIMRRAREESLKLAKAANVNASLEGDLNLLKDYHPNWIKKEYWEKMINEVWTTSKWKRLSQSGKNNRNKLEDGSVSKHTGGSISIRQHKKRMQATLKRPPTGVELYARLHTKRSTQEYITPKAAKVKVFSILF >cds-PLY65001.1 pep primary_assembly:Lsat_Salinas_v7:8:89456994:89459750:-1 gene:gene-LSAT_8X61901 transcript:rna-gnl|WGS:NBSK|LSAT_8X61901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSNIQAPQVANYLLRFIPNFIPHFSLHTINHRQSLLKHISYVSPLSLESLTAVCYHRCQYAVNTTYKKMARAYTSYLPFKKSNSYGECELNNRTCLNWKARNKTLVLPVPAFSVINGGSHAGNKLAMQEFMTLPVGASSFKEAKKMGVEKYGQDATNIDDEGGFPPNIEENEEGLEVLNTAIAKAGYTGKVVIGMDVAAYEFYEDKGNTYDLNFKEEISGDSLKNVYKSFVGDYPIVSIEDLFDQDDWEHYAKMT >cds-PLY83846.1 pep primary_assembly:Lsat_Salinas_v7:3:49191509:49193502:-1 gene:gene-LSAT_3X38180 transcript:rna-gnl|WGS:NBSK|LSAT_3X38180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMMMMSLLRSCKSSKGYISISRGLFYSTETSIIQEKPIPKKNDNPKKNDNLYRRISPVGDPNASMIPILEQWEKEGKSVKIEQLIVIIKSLRKFNRYTHALQLSEWMSKKRTKLHPAGFAVHLDLLSRVEGLEQAENYFNTIPDEVKNFQVYGALLNCYSSTKSLEKAESLSEKMKQMGMMTTLSYNSMLNLYKKTGDIKKLDETYQEMLETGISCDKPTFYIRLSAYASLPNIEAMEKVLKRMEESSTLTVDWNAYIIASGGYSKSSKPEKSYEMLQKSEGYIRGNSKGAAWEILIRMYASLGKKDDVYRIWNLYKSSWSKVYNRGYSSVIYALVKLDDIDGAEKMLTEWESQNLSFDFRIPNMVIGGYCKKGILGKAEEYVERVVGMGKVAPASTWGLFVAAYVREGEMEKAVEVLRKCVAAEDKRGCRLDQDVFEKCVEYLKGKGELELVGEIERSFEGRIRVPRILDGDEGLESQDFDEIEESNR >cds-PLY95040.1 pep primary_assembly:Lsat_Salinas_v7:5:227548819:227549102:1 gene:gene-LSAT_5X107260 transcript:rna-gnl|WGS:NBSK|LSAT_5X107260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGSEQSTSYGELVSIGDLNPDVNKKLSVAVADILSYKLSVPKSRFFLKIFDSKRSFFVLILI >cds-PLY99163.1 pep primary_assembly:Lsat_Salinas_v7:6:129412818:129413006:-1 gene:gene-LSAT_6X78501 transcript:rna-gnl|WGS:NBSK|LSAT_6X78501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPQVHHVKPVFEASGGRSSSLPQQSCQYQYLPQRPIILQVAPTKEAAMVNYKPRSLVVIGY >cds-PLY94017.1 pep primary_assembly:Lsat_Salinas_v7:8:100077231:100080215:-1 gene:gene-LSAT_8X69780 transcript:rna-gnl|WGS:NBSK|LSAT_8X69780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFQGVEKLIQLMRPENTDKKLDLSSRTMLVDVISVTERFDYLTRFVQLRGLSVLDEWLQEIHKGKIGDGNGSPKENDKSVEDFLFSLLRALDRLPVNLHALQTCNVGKSVNHLRSHKNSEIQKKARSLVSTWKRRVEAEMTMIETKSSTSRGGSWPSKSMMSDVSHLGNRRSTSTSQPSPLKSPQQPKVNNNNNNSGEPAAKSPADKLAATGGGGGGGGGGSSDMPSSGTKEGRSSSTTHSPNNSQSCSSDHGKTGSVYNSRNSSNGFHGSTTPGNEKGNLGKIRNFGNEKGAGHVSDVSIVDNGNNQSVVAPSEKQDQKTSGKTVNDSLQSNVEKMDTDGKDGMEGCDDAKSATVTVVLPDEHGGSSSGVTPKPGKLYEPSYSSINALVESCAKFSEASVSVSPSMGDDGGMNLLASVAAGEISRSDVACSPPLPEDSCSANVAKLRRIVQDEDNGPHVSGDSKDATFGKGEVRVGSESESLIPVAVKPEVKTGMVEVEEKSGDDKTMEKNVILPESCKVETQVNEESASWSSSDMHDEEKKKLVNNRSRNLQESQATTTSCGDADLAIKFEERDDDKKAGIHNEMDSGTSVSHENVGKHEADDPDSTVNISATTPVAETETETVVKLDFDLNEVVPSDDGNQGEVDGNQNQNHTLPSSNSPVDGNRSPFITVAAPAKGPFYPSENLSRTKPELGWKGSAATSAFRPAKPVRPLFDFDLNIGVDDSGQNNAHENSGGGLDLDLNACQEPPETVQLPPRVFPSNSNSSRGFDLNGPGVEEAININPSGGETVQFQKKGMQFLPAVPNMRVNNNMDIGVNMSSWFPPNNTYPTTITIPSIQEQSYVPSQRMLSPVLSSTPAVVPFQYSPFPFETNFPLPNSFPPVSTAYMDSSPAGGPTSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGVSKRKEPDGGWDGDRISYKHPSWQ >cds-PLY78650.1 pep primary_assembly:Lsat_Salinas_v7:9:51338564:51340400:-1 gene:gene-LSAT_9X46140 transcript:rna-gnl|WGS:NBSK|LSAT_9X46140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCPKLASFTLRELLEEEEEEERNKEKRCRAKIQGQNQSLLSRGDTGAATVSFQSHTHSSQFTRYFCKQREELGMMASHTPQFQFLSWELIQFLRYV >cds-PLY79470.1 pep primary_assembly:Lsat_Salinas_v7:3:248339597:248341857:1 gene:gene-LSAT_3X137060 transcript:rna-gnl|WGS:NBSK|LSAT_3X137060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTPKSQFQTLSSPSLSSSSSSLSSSRFTPPKPLKFPSKPFKTLCSQTSNPRPPVKPITFISILRAIPDWADGIKERRMKQSKPLYKHDDWVRHRSSLRHIRHLLSSFSSRVILSLVPPVIAFTSVAAVVASYNTAVLWHLLPEIFPVLRASSLPYQLTAPALALLLVFRTEASYSRFEEGRKAWSKVISGTNDFARQVIVSVEKDSSLKTALLQYIMAFPVALKCHLIYESDIGRDLHGLLDDEDLAIVVSSNHRPHCIIQFISQSMRLLDLEEPKRTLLESKVSCFHEGIGVCEQIMGIPIPLSYTRLTSRFLVLWHLTLPIILWDDCNWIVVPATFVSAASLFCIEEVGVLIEEPFQMLALDELCNRVCNHVQEAMKSEKKIKETLLLKKDANSSNNSSLNGHHPIQPNTSSSRK >cds-PLY70729.1 pep primary_assembly:Lsat_Salinas_v7:8:166510791:166514593:-1 gene:gene-LSAT_8X108421 transcript:rna-gnl|WGS:NBSK|LSAT_8X108421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGRGGSRPPPLCGGRRRVVATKKHGWSNPLSVNNSVKKLQRREISSKPNRASAITKSLHRFRNFRLTERYDIHDPVGQCSLVLPLLMKRAKVIEIVVVHDIVFALASSGVCAAFSRDTNKRICFLNIYPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSIRIEYIQRGKPDEGFPLFETESLKWPGFVEFDDVNEKVLTYSAQDSIYKVFDLKNYTLLYSIADKHVEEIKISPGIMLLIFSRGQSHVPLKILSIEDGTVLKDFNHLLHRNKKVDFIEQFNEKLLVKQDNENLQILDVRNAEVKEVGSTKFMTPSAFIFLYENQLFLTFRNQTVSVWNFRGELVTSFEDHVLSHPDCNTNNIYITSDQDLIVSFCKAADNQQFTDRRAGSINISNIWTGKCIAKINSSNAISSKEEEGSSSSRSRQIEVNMVEEALEDITALYFDEDRNEIYTGNSNGLVHVWSN >cds-PLY72428.1 pep primary_assembly:Lsat_Salinas_v7:3:190920804:190921373:-1 gene:gene-LSAT_3X113220 transcript:rna-gnl|WGS:NBSK|LSAT_3X113220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNLSFQSYRPNQKNILVIGPVPGQKYSEITFPILSPDPATKKDIHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATASGIVSKILRKEKGGYEITIADASDGRQVVDIIPPGPELLVSEGESIKFEQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFLASVILAQIFLVLKKKQFEKVQLSEMNF >cds-PLY78115.1 pep primary_assembly:Lsat_Salinas_v7:2:61976766:61984888:-1 gene:gene-LSAT_2X27341 transcript:rna-gnl|WGS:NBSK|LSAT_2X27341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADALVTIAAEGILKKVLSIAAGELSIAWGYEEKLTSLHRTLDLIRAKLRDAEQKKESEVVMVWLKQLKDVVGEADDVLNEVDYEMLRRQIKKQDRITRKVMCLPSLKRFSFRYKIGHKIQNINEKLLKINTEANSLGLQNEHPVGPILDRLYWRETVPNQEEFKSVGRDNDKLHIIELLTQSRKEQKLSIVPIVGMGGIGKTTLAKSVYNDKKIKQHFDVKAWLCLSNDHCWDILEKAFVKGTLVSPKLVKIGRGIVEKCGGLPLLLNIIGGMLAHYNDIEKWLSIKNRKVWDLEEERDKIQKSLELSFDNLPNSIVKQCFVYCSIFKKDTVMEREELVRLWMALGLVQEDEERNKEMEDVGNDIFQILVSNSLFQDVRRDEYGHITHCSMHVKHLAFYQEQNEDDELKAKVSTLIERNKVATILHTLFIKIQKKFSLKQLKCIRILTLKGYSVHKLGNSVGGLVHLRWQSISKGNGLPLTSSRRSHYITVVLWNMENLTYLRSTDVTGSTKPFSPLLRSLQLRGMKRLEKWIDGATNNSKTISSVLEKLEISDCPKIILIDEFHPHPLVSLKIQNCCGLEYIKSIQGLTSLESLRIDNCPSLLGIGNFSGDNYPTSVTKMAIDIGKWTPLDKILSITLSDCMNCLSLPTLEHLPHLRDLVLEDMDSLTCLKNFDVTGSTKPLSPSLRSLQLFSMKRLEK >cds-PLY71400.1 pep primary_assembly:Lsat_Salinas_v7:3:218481809:218483871:-1 gene:gene-LSAT_3X126681 transcript:rna-gnl|WGS:NBSK|LSAT_3X126681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRESRHLSILSSHSVGSPFRWFLRTVAHSLTLSYRFCSFSSQSRHPEIKWSQREDKVCITILLADTKDAKVNLAPEGVFTLFASAGKDEYDLKLELFDKVNVELVEHVATCLIKIAERVQESSEMLDELSKHGLIHQVAYLIDLINHTTLSYFVHIGLIGLLVKLASGSMVAVKTLFDLNISSILKEILSIYDLSHGVPSPRTIDGHYNQMHEVLKLLIQLLPVVSRNQEVPLAAEKEAFLVTHPDLVEKFGNDLLHVLIQVNCLYNPELKAEWDSAKMANK >cds-PLY77895.1 pep primary_assembly:Lsat_Salinas_v7:1:21863611:21864881:1 gene:gene-LSAT_1X18041 transcript:rna-gnl|WGS:NBSK|LSAT_1X18041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRISLPELFYFPVGEKRRQKMNTSGSERLRLDGLKPQTTENASSPSDSVGISARDAKKIIRCSQLQNVRSALKLIPSNSITYSEFVTICRDVCNNHDQGLEFSKLLDVAGDVIVLGNVVFLRPDQVAKSMEKLISQSISTPNDPRKQELEELEMQKALIDQKATSKVRGELYCGLTFLIVQTLGCMRLTFWELSWDVMEPICFFVTSFNFALAYMFFLRTSKEPSFEGYFQRRFKVKQMKIMDAYNFDNKKYNELCKVFYSNHESKLSSLKHFHHSRSSRTAIG >cds-PLY71033.1 pep primary_assembly:Lsat_Salinas_v7:5:43254832:43259827:-1 gene:gene-LSAT_5X21060 transcript:rna-gnl|WGS:NBSK|LSAT_5X21060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGDGGGGGNCVSSGGDGGGSGGGGASDGLVVVVIGVFGIQDSSFIKTPSIRQKEIWHVVKCSKNQSSRQLIKLNDVDRKVAKKPSKTEHHLWNKRDSAASGQKALNLVRIVCGLPNEKEAVYGELDKWTAWESEFPVIAVAKALNILKQRKQWKRVIQVAKWMFGKGQGMTMGTFDTLLHAFDMEQRVDEAESFWNMILHTHERSISKRLFSRIISIYAHHNMPQNIIEVFADMEELGVKPDEDTTRKVARAFQIVGEKEKQQLVLKKYLSQWKYIHFKGERVRVRRYTSDE >cds-PLY78754.1 pep primary_assembly:Lsat_Salinas_v7:9:50140561:50142821:1 gene:gene-LSAT_9X45181 transcript:rna-gnl|WGS:NBSK|LSAT_9X45181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol-phosphate mannosyltransferase subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G20575) UniProtKB/Swiss-Prot;Acc:Q9LM93] MSQPRNTNLYSIIVPTYNERLNIALIVYLVFKHIPDINFEIIIVDDGSPDGTQDIVKQLQQVYGEDRILLRPRPRKLGLGTAYIHGLKHASGNFVIIMDADLSHHPKYLPQFIKKQMETGASIVTGTRYVTGGGVHGWTLMRKLTSRGANVLAHTLLWPGVSDLTGSFRLYKKSVLEDIISSCVSKGYVFQMEMIVRASRKGYHIEEVPITFVDRVYGSSKLGGSEIVEYLKGLVYLLFTT >cds-PLY86390.1 pep primary_assembly:Lsat_Salinas_v7:8:284035207:284037136:-1 gene:gene-LSAT_8X160521 transcript:rna-gnl|WGS:NBSK|LSAT_8X160521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLIIFITLHLIRPSFSKLFHGDDDHQTSCINPFLDHQNSSLKDHILKLSNHVETAEWIKKIRREIHEYPELAFEEFRTSSIIRRELEKMSIGYRWPVANTGVVARVGSGLPPFVALRADMDALPIQELVEWEHKSKIDGKMHACGHDAHVAMLLGAAKILKQLETELQGTVVLIFQPAEEQGEGAKQMIKEGVLDKVEAIFGLHLVLSSDTGVVASRSGDFLAGCGFFRAVIHGKRGSQDSVDPILAVSASIISLQHIVSREADPLDMPVVSVTMVDGGTGLNAIPDSVSIAGTYRAVSKKSFYALAERIQEVIKTQASVYRCSATIDFEGPIVSPTVNDERIYEHVVKVSEMIVGKQNIEVAPPIMGSEDFAFYLEKIPGTLAFLGIRDEKKAIIHSPHSPYYAVHEDVLPTGSALHAAFAYTYLLKTSTNVCVS >cds-PLY77465.1 pep primary_assembly:Lsat_Salinas_v7:4:50548112:50550887:-1 gene:gene-LSAT_4X34501 transcript:rna-gnl|WGS:NBSK|LSAT_4X34501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVLLIALNNSHLQRNYQRERYFDVGSSSFYTPKESNKEIAPEKPLDDQIVLELDQTEKVSDIEDILSYRSVAEHELQSSVDARNGLVQPTNRSVTPLIPNFMDPTMAYYPNGYAFSYYYGGYDGTTNDWDDYSRYVNPDGVDLSHGVYGYAYAPNGPYSHVGSPMPTVGQDGQLYGAQHYQYPTSYFPPVTPTTPYSLAPPKGEITSTKEEPTLSLDTTKGNPNGVKGNTASTPVRPTSYHNLSFNPNGTYRRGAQTGYQDPRYTYDGLQSPITWLDSPIYPDPQTKNNFG >cds-PLY83849.1 pep primary_assembly:Lsat_Salinas_v7:3:51925148:51930174:-1 gene:gene-LSAT_3X39980 transcript:rna-gnl|WGS:NBSK|LSAT_3X39980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 18 [Source:Projected from Arabidopsis thaliana (AT1G12470) UniProtKB/Swiss-Prot;Acc:F4IDS7] MDLRQVFTVDLLERYAAKGHGVITCMASGNDVIVLGTSTGWLIRHDFGGGDSYDTDLSTGRAGEQSIHRVFVDPGGSHCIATVVGTGGSDTYYMHAKWSKPRVLVKLRGLVVNAVAWNRQQITEASTREVILGTQNGQLYEIAVDEKDKREKYVKFLFELSEFPVPFEGLQMETASSNNGTRYYIMAVTSTRLYSFTGIGLLETIFASYVERAVHFMELPGEIPNSELHFFIKQRRAVHFAWLSGAGIYHGGLNFGAQNRTPNGDINFVENKALLSYSKLSDGGEVVKPSSIALSEFHFLLLIGNRVKVVNRISEQIVEELQFDQTSETASKGIIGLSIDASAGLFYAYDENSIFQVSVTDEGRDMWKVYLDLKEYAAALANCSDPFQRDQVYLVQAEAAFSSKDFFRAASFFAKINYALSFEEITLKFIGIGEQDALRTFLLRKLDSLVKDDKCQIMMISTWATELYLDKINRLLLEDDSPHKRNSEYQSVIMEFRAFLSDSKDVLDEATTMTLLESYGRVDELVYFASLKEQHEIVIHHYIQQGEAKRALDVLQKPGVSVDLQYKFAPDLIMLDAYETVESWMTRNDLNPRKLIPAMMSYSSEPHAKNETHEVIKYLEYCVHRLQNEDPGVHNLLLSLYAKKEDDSALLRFLQCKFGKGRSNGPDFFYDPKYALRLCLKEKRMRACVHIYSMMFMHEEAVALALQVDPELAMAEADKVEDDEDLRKKLWLMVAKHVVEQEKGTKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNQQIEKLKEEMNDATHGADNIRNDISALAQRYAVVDRDEECGVCRRVILSAGADYRISRGYTTMGPLSPFYVFPCGHAFHAQCLVAHVTKCTDPNQAEHILDLQKQLTLMSVEPNVNGGLNGVADDSITSVAPVDKIRSELDDAIASECPFCGDLMISEVSKPFVSPEEIYLASSWDIMSKNVGPEKGISFVV >cds-PLY75650.1 pep primary_assembly:Lsat_Salinas_v7:1:99571035:99571385:-1 gene:gene-LSAT_1X81220 transcript:rna-gnl|WGS:NBSK|LSAT_1X81220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEENHFNGVTNEDMATNLPQTQLELSFIWEDFQGQLCELQQGVNRDLDAMNREVDDVRAGQLDISNTVVDLKNHFVSLQGLYVKMVLEHNKRNKVKYFLGIVGVVTVGVFMYMLFN >cds-PLY64426.1 pep primary_assembly:Lsat_Salinas_v7:5:37538673:37540485:1 gene:gene-LSAT_5X18500 transcript:rna-gnl|WGS:NBSK|LSAT_5X18500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPEVNGGSTFTQSLLIDESDPFLDLSDQVQFATEMGLMSMAFHPNFTTNGRFFVAYNCDKFQQPGCEGRCSCNTDVNCDPSKVTSLQETSPCQFHIVVSEFTTNGTTASSKLSWKGRVNSVEVRRIFTMGLPFEAYHAGQILFGPDDGFLYFMIGDASHDNDPYNFAQNKKSLLGKILRLNIDIIPSEEEISRLGLWGNYSIPKDNPYTTTDKQLGPEIWAMGFSNPWRCSFDSERASVFICGDIGKNQYEEIDVIKKGGNYGWRVYEGPDPVHVPYAPGGYTPPSSITPVFPVAGYGHDSVDTKKGPASIMAGYIYRSATDPCLYGWYIYSDLYNYAIWAAIETGKNSESFKSFSVAFGCAHDSPMECGFKPGVHQVPDLGYVVSFSEDNNKDVYLLTTSGVYRVAAPSRCGYPCSKERYSNLTKMPSSGTLLLMAADLVASFVSLMLFVLLLIS >cds-PLY98150.1 pep primary_assembly:Lsat_Salinas_v7:1:139099824:139104923:-1 gene:gene-LSAT_1X99180 transcript:rna-gnl|WGS:NBSK|LSAT_1X99180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVSAVITVLCEKLISGDLMKLARSEGIDSQLKKWKKNLPMIQAVLADATQKQIQERAVQLWVNDLHHLAYDIDDVLDDLATEALRRKLNQETLASTSTSKVLKLVPKCCTNFTPRNIMYGQQMSSKLEEITIKLRDLVDQKNDLGLNVNVESSNITERRLEQTSLVDESKIMGREGDKEKLLGKLLGNEGCDQNVSVVSIVGMGGIGKTTLAKVLYNEQKVKDHFEVRAWVCVSEECDVFKISKAIFQAVTGQNKDFANLDLLHVALKEELSKKRFLLVLDDVWNEDDSKWEQIQSPLLVGAPRSRIIVTTRSTRVASVMDSQQTYPLGLLSNEDALSLFAQHALGEKNFDKHPTLKLFGEGMVQKCGRLPLALTALGRVLKGNRNGDKWEELLKSEIWDIDDGNKILPALKLSYYHLPPHLKQLFAYCSLIPKDYVFHKNKLVLLWVAEGFLSQSKGNKSMENLGHEYFEELKSRSFFQQSTNDMLGYTMHDLMNDLATSVVGEFSFRLDGEVDISDMNETSDKFRHLSLVGRGSGSYRKFIELQRAKYLRTFLVMSDGWEDGSLDKVLLELQFLRVLSVVGLDDMYGDSEIIRKVPESIGNLKHLRYINFSYTRITCLPEEVSELYNLQTLLVHCCGELSSLPKNFAKLINLRHLDISYTPKLNKMPLGIGGLRSLQTLTKVIIEEGNGFKISDFKGLTDLQGQLYIMGLEKVINPVEAKDTKLHQKKGLDVLELEWSYVFDDSRNEMIEYEVLKELRPHPKLKNLKIFNNSGMRFPTWVGDPSFDQLTELTLSGCRSTHLPTLGCLGCLKKLIVESMNEVQTVGFEFLAPPNSNLGIPFPSLECLTFYDMKGWQRWSMNSGDGHGAPRSFPCLHEICIANCPELAEVSIGLIPSLRVLYIRNCSNAVLRSLVGLSSSLVELKLLNVKGLTQLHGEDLMHLRALEHLHINRCDELRYLWERESEACKSLVSLQKLEVWNCKNLVSTAEKEANIGISMESLKSVEFHNCETLESYNCPNSVEKLVIWHCDSVTSLTFSAVQEHPSPLTELIVGDCDNIQLQPKPIPAKDISLSRLTSLQICWCKNLKSFPHEQFQSLTSLEEMWIYDCPSMDYSFPCGVWPPNLSKLVIGGLNKPMSEWGPQNFPASLVELELSGKNSGVVSFAVADDVGNTCTTPSSSSTFLLPPSLVYLDLDGFKDVESFSEVLQHLPCLKTLEILNCPKLGDLKAIYDPSNVTITVLK >cds-PLY67651.1 pep primary_assembly:Lsat_Salinas_v7:2:207447362:207449143:-1 gene:gene-LSAT_2X128201 transcript:rna-gnl|WGS:NBSK|LSAT_2X128201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKAIRIHEHGGPEVLKWEDVQVPDPKEGEIRLKQKAVGLNFLDVYMRRGEQNLAPPLPYIPGMEGAGVVTAVGPGVTSCKVGDVVAYASPEVGSYAQERILPADLAVPVPSSVDPVDAAAAIFKGLTAHVLIHKGFKVESGHTILVHAAAGGVGSLVCQWANAIGATVIGTVSTKEKAAQAKEDGCHHVICYKEENFVDRVMEITSGKGLEVVYDSVGKDTFIGSLECLKNRGYMVLFGTASGVPEPLRVEQIAPKSLYYTFSSITEYTVENRKELLVAAQDLFSNIAKGVLRIHLNHKYPLSQAIQAHTDLESRKTSGSVVLIPDEE >cds-PLY65602.1 pep primary_assembly:Lsat_Salinas_v7:2:82411955:82412987:1 gene:gene-LSAT_2X34600 transcript:rna-gnl|WGS:NBSK|LSAT_2X34600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPAAASDHSHPLPESVKPPISDDKPLLPQGTYVIQIPKDQIYRIPPPENSQKIKKLANRKPRRSCCCRCLGWTIATVLILIILLAIATGILYLVFRPEKLKYSIDNISIGGVNLTSSAPIFPRITVDIRAENPNDKLSVYYNGKGSSVNVYYADVNLCNGVLPTLQQHTNNVTIIKTALRGSNIVLARDDHSRLVSQQGKRNVPLRLKVKAPLKIKIGAVKTWEITVTVKCNVAVDQLTQKSKIVSENCDYRVKLW >cds-PLY65515.1 pep primary_assembly:Lsat_Salinas_v7:3:1391222:1393790:1 gene:gene-LSAT_3X1680 transcript:rna-gnl|WGS:NBSK|LSAT_3X1680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNLIDAKSVFVSNLIGPKGILSFSTSNFMSSSLKVSPLLCSYKGKAACLSSSEGAITLVGQEKYGVETYSYRFDGANGRNPSIFFREKRVLDGFNDEYGGVVVNPEKLPFNTNVFASTLRSSLSIWRREGKKGIWLKLPLEKCDLVPIAVREGFQYHHAEAGYVMMTYWIPKSNEPCMLPANASHQVGVGGFVMNHKNEVLVVQEKHCAPDLVGLWKLPTGFILESEEIFTGAVREVKEETGISTEFLEVIAFRHAHNVAFEKSDLFFICMLRPISTEIKIDDLEVQAAKWMPLMEFVEQPLIKGDNMFKKIIDMCIARIGKRYCGLSVHKVVSKFDNRLSSLYYNVVDHQTHGCSDDYCSTPILEQQIN >cds-PLY67181.1 pep primary_assembly:Lsat_Salinas_v7:6:164030773:164031537:-1 gene:gene-LSAT_6X101161 transcript:rna-gnl|WGS:NBSK|LSAT_6X101161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKRSAFKGSTPINLSDRLNQVLQWALGLVEIFFSRHNPVWYGYKGGNLKWLERLSYVNTTVYPFTSLPLLAYCTLPAVCLITGKFIMPEISTLASLFFISLFLSIFTTGILELRWSGVSIEEWWRNEQFWVIGYQSWGPLFGKLFFAFCAIVHLYPFLKGLMGKQNRTPAIVVIWSILLASIFSLLWVRVNPFVLNTKGPDVCIQNKEDESRPDVCIQNREDKRGERPIIDSDGDIRWVSVDREENMEIVDQF >cds-PLY71004.1 pep primary_assembly:Lsat_Salinas_v7:9:69631300:69633261:-1 gene:gene-LSAT_9X60440 transcript:rna-gnl|WGS:NBSK|LSAT_9X60440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIASVSVLYNQLVFPGQPAHLKFPIIKLKPSALQCGLHRKRHSTIITAASSSFVGSGAEYSEPFPTTQKKKARRIVGIDQDELVDPKLLADSDSCFCEFEGLQLHHKICDPESESQDSLHDDATSDSSLLKEKLKFPLILLHGFGASLFSWKTVMKRLAKVSGSKVLSFDRPAFGLTSRIDNPSNHTPSSKDAKPLNPYSMAFSILATLYFIDFLTSEKAILVGHSAGCLVAAETYFKAPERVAAMILVAPAIIAPSTSSKKVKANNQQESSNSDNPKTPFSNLLNIVSNFSRFILNLFKGMVNMVNSLYKKALSAFLRSHIAVMLVRMVIDKFGISAIKNAWYDASKVTDSVLEAYTRPLRTKGWDRALVEFTAEMLNTSVSESTPPLTERLNEISCPVLIITGDSDRLVPSWNAVRLSQAIPGSRLEVIKNCGHLPHEEKPHEFLTIVDNFLTIAFRRIESQPLQQAI >cds-PLY80114.1 pep primary_assembly:Lsat_Salinas_v7:5:148498327:148499037:-1 gene:gene-LSAT_5X66001 transcript:rna-gnl|WGS:NBSK|LSAT_5X66001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQEKPMTGPATRKDLMIVNMGPHHPSMHSVLRLIVTLDGEDVISYEPILGYIYRENRTIIQYLPYVTRWDYLATMFTEAITVNAPEQLGNIQVPKRASYIRVIMLELSRIAAHLLWLGLFMTDIGAQTPFFYIFRERELIYDLFEAATGIAEYQKLITRNPIFLERVEGVGIIGGEEALNWGLSGPMLQASGIQ >cds-PLY65475.1 pep primary_assembly:Lsat_Salinas_v7:8:32389321:32392638:1 gene:gene-LSAT_8X25520 transcript:rna-gnl|WGS:NBSK|LSAT_8X25520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMPRVNCDSTTPISPLSSRHSSFQGCSWNNGQISKDDHGSVDMAPRPSNNFTPDAKLGKILLDQSSVWSESEMTPCSTSFSSIASNATPNSKSSMELVKEITSLETEIAHLESYLLSLYRTAFKQQVHSIPSKESKLNSEAWLSGYHGRIPPLQEGLNRHSTIPNSSSKKERKTARSLGDHLESPYTENTLIDGPDRLSEDLIRCISSIYCKLGDQNQSGPSVSSASSLSSSGTYCTRNLSDTWSPYCTERASWDSKNVGVKEEKGPYAKMIEVLKIGVDDDGFSYAARMLKRFRTLVKKLESVDPVKMKREQKLAFWINVHNALVMHAYLAYGTHSNTKSNSILKATYNIGGQSINAYIIQIAILGIKPHFRAPWLQSLLSPGRKMKMETSKHAFAIDYPEPLVHFALSLGVFSDPAVRIYNAKNVFQDLRIAKEEFIQSTVYVNKETKIYLPKILYYFAKDMALSMASLLEIVNACLLEKQEKGVKMSVKGKPEKYVYWLSQSCIFRYVIHQGTVEGRLSV >cds-PLY72698.1 pep primary_assembly:Lsat_Salinas_v7:6:28018593:28018926:-1 gene:gene-LSAT_6X20901 transcript:rna-gnl|WGS:NBSK|LSAT_6X20901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAEYDVDQQYSSTFLCWRCGCGGGVEVGGKGGGDYVGYAGRGGSEGGGPLVARLAVMVAEVVVEVTVMVMVVVAAITLKMVVETNISH >cds-PLY64689.1 pep primary_assembly:Lsat_Salinas_v7:7:137603490:137604671:-1 gene:gene-LSAT_7X82441 transcript:rna-gnl|WGS:NBSK|LSAT_7X82441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHVGTVLFFSFNRDNNQKFAARLEKSLEKTLARLYPLAGRYVREMLAVDCNDEGAEFIHSKADLRLEDILNSQWNGKLIDKLIPSIMPGAADQMDVPILATQVNSLLCGAIALGVSISHRIADAATLSIFLKEWAALNREDDEAEFTGAGFSAPSFFPSHGVEGRKFSKDALSNLVTKKLSFSESEISNMKAQFVINEKGCTHHLSKVQIVSAIIWKTLVNVDRAIHSHPRDFVLIQVLNLRGRTASLIPKDSCGNLVVPFTTKSSNDETTKGLAYLLSKTLKEVLSNYSKMSHENKEGQSMVLKSWSESKFMIDSIPHAVLVSSWCKFPFYEVDFGFGKPMWVSTGCLPGNNAVILIDGMGGNGVDAYVCMELKDEPYLGEALDMKAIGTLN >cds-PLY74770.1 pep primary_assembly:Lsat_Salinas_v7:6:115476635:115477467:1 gene:gene-LSAT_6X69861 transcript:rna-gnl|WGS:NBSK|LSAT_6X69861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKESWVTLGKALKEKFDMDTSQKQLKNAFDNLKAKYVGWKYLRNKSGNLYNAQTNSFALVNTEWEEFKKGHPKAGSLRTHPLPYPNLCASLFDGSNASGSIKWTSTQTTLANTSSSSHRVQRLLIDDNPFNGLEDDDDDDASNETSARAPSDKAHGGSTKRPDKRSKTTDASTERPDKRSKTTNASTERPDKRAKTSDASTDRTDRTDMDKASKTSVSLDDLSLDMQKALQHMVNSKEGPTVEVCY >cds-PLY83929.1 pep primary_assembly:Lsat_Salinas_v7:MU041291.1:77569:80821:1 gene:gene-LSAT_0X19520 transcript:rna-gnl|WGS:NBSK|LSAT_0X19520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIASYLIWKYEGSTNTDNYKEVKQQERVWFNYDSEAWMPCIKGIHPAWLLTFRIISFCLLLAAGTSDVAIHGTDLFFYYTQWTLSLVTFYFAFGSVLSAYGCLRQHKRYDVGEEQELLLPLTHEENTKSQQGKSHFLLTASFWGYVFQIMFQMIAGAVMLTDSVYWIVMAPFLTVVGYEMGFLTVVAHSLNLVLLLGDTALNSLDFPWFRISYFLLLTSFYVLFEWILHAFVATWWSYPFLDLSVEYAPLWYLIVALLHLPCYTIFLLVVKLKYHILSRRFPDAFQSLR >cds-PLY97224.1 pep primary_assembly:Lsat_Salinas_v7:4:94879650:94880078:1 gene:gene-LSAT_4X63001 transcript:rna-gnl|WGS:NBSK|LSAT_4X63001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGELMALFLQESEETERSTRTIVDLLRERTMDGGDRFRRRKSLQERLGLKSMVCCGSAWGIGIGPSTMSTRADDDDDVVDSIHQIDVIDVNLTPPDTNSYPDCISPSPRMNLAAALAAEXXXXXXXXVPDHRRAFESEQ >cds-PLY80066.1 pep primary_assembly:Lsat_Salinas_v7:4:347802992:347805339:1 gene:gene-LSAT_4X171061 transcript:rna-gnl|WGS:NBSK|LSAT_4X171061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVDKEQHEDIHDYNTKYELDDGNQEYDSHTENGENKDIHYNSQSDSEEEIHKTVPTKSDRKRGMTRLPKLKTEYVNSGGKKMCQVHESGKQFVMNRIGILLRNFRRKLMCHKGLSKRERCQSMIIGWDKGGYTTLRRKLIEENVISKEEIPPRSVMWCKGRESKGEFKDQDVKIMADKLMEHEKQIKEGQVNVEPGTDAMTLDFGKEKGSFLKGVGMGVTYNRYFNVPRSKGSSKKEIKDLKVALHNGKLELEKKDAELKALSTKFNEQDQTLKLVLAQHNAKGADFPNLSHKIGISSEKIVQTNETSPVSLKTNEPSEPVTPVIPKPNKKPVQTKSATAAPDAKLISMKYATIAKTKTTNKTVQSKTTTINHDIPKVSPNNPIHQASVCLHDEYTFLFVFPDYNITCHCSQSSVVYHIRIKGTLFPAVPFTYHQKDNSFMEFLYKMIAIKFPFMKWL >cds-PLY97562.1 pep primary_assembly:Lsat_Salinas_v7:5:237811931:237812194:1 gene:gene-LSAT_5X112620 transcript:rna-gnl|WGS:NBSK|LSAT_5X112620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLQSGGCTQEFHNRNHRVYIQRPFITNYQWQDYDLRQSYAPAVVLVSRWIHYWRFLFRKGIQFVASAGAWDVSVGGPIKKKNRRG >cds-PLY99101.1 pep primary_assembly:Lsat_Salinas_v7:5:138694404:138698639:-1 gene:gene-LSAT_5X59301 transcript:rna-gnl|WGS:NBSK|LSAT_5X59301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase 1.5 [Source:Projected from Arabidopsis thaliana (AT4G31120) UniProtKB/Swiss-Prot;Acc:Q8GWT4] MPLGDNLGDKSDSRYCGVETEFNDDMPHVLSHNINGGFDFVVAPLMEPGYRPSLIDNASKDSSVLPFAGSDLVLSPSQWSSHVVGKISSWIDLDSEDDTLREDSEIALKQELAWASHLSLQACLLPTPKGPSCGNYARCVNQILQNLNNMQLWLRIPLEKSDVDDDAVNGMAIEITDSWELWNSFRVLCEHHSQLSVALDVLSSLPSANSLGRWIGEPVKAAILHTDSFLTNARGYPCLSKRHQNLLNSFFYYSIQIVLSGKPVHKLPATSGVNQTDNYAQQAQRHDLRSYLDYVGYLYQKMEPLPEQERFELGYRDFLQSPLQPLMDNLEAQTYETFEKDSVKYIQYQRAVSKALVDRVSDENASATTVVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVVTLHSLVKLEGWENNVAIISCDMRCWEAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIQPVTTSKLYNDVKLHKDLLHFETAYVVKLHRLSKLSPSQSVFTFTHPNYSPNKSNERYKKLRFEMPNDTGSTIIHGFAGYFDAILYKDVHLGIEPTTATPNMFSWFPIFFPLRSPIYVKAGAPLEFHIWRCCGNTKVWYEWCVTSPTSSPIHNSNGRSYWVGL >cds-PLY92791.1 pep primary_assembly:Lsat_Salinas_v7:2:148486491:148486910:1 gene:gene-LSAT_2X74380 transcript:rna-gnl|WGS:NBSK|LSAT_2X74380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKSNSSWSGTSRRKNREIIRCSCGDICPIYVSCTPENPGRKFRGCPNYQDEDGGCGHFKWVDEEEDEFRAFKKQLNLQHKDIESVMLLKLIVGLLVSILVCLVAVVIKM >cds-PLY84449.1 pep primary_assembly:Lsat_Salinas_v7:1:144989811:144990370:-1 gene:gene-LSAT_1X104201 transcript:rna-gnl|WGS:NBSK|LSAT_1X104201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFKARENSLETSSLLEASGWMEFLVLHVGSHKLKSSLTLMQMVKRMVNEVEKFEKEDKEKREAIDTKNQADSVVYQTEKQLKELGEKVPATVKEMVEEKLGLLKEAISGGSTQTIIDAMAALN >cds-PLY70692.1 pep primary_assembly:Lsat_Salinas_v7:3:104611710:104614064:1 gene:gene-LSAT_3X76640 transcript:rna-gnl|WGS:NBSK|LSAT_3X76640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:Projected from Arabidopsis thaliana (AT2G18280) UniProtKB/TrEMBL;Acc:B9DGB6] MSFKSIVRELREMGDGIGSLSRRGIEGRHWRNRTRSHIAPDVAPLELINQGQWANLPPELLLDIIRRVEESETSWPARTVVVYCASVCKSWRDITKEIVKTPEECGRLTFPISLKQPGPRDSPIQCYIRRDRATSTHRLYFGLTPSEDESDKLLLAAKKIRRATSTEFAISLVADDFSRASSTYVGKLRSNFLGTKFTIYDSQPPTDTTNHNRSSQRFQKKQVSPKLPSCSYSIATISYELNVLRTRGPRRMNCTMHSIPISSIQEGGTAPTPKSFPFKTIDRSTSSLSSTTTTPNSNPLDSLMLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVDSSHNVSAVEQEKVILQFGKIGKDIFTMDYRYPLSAYQAFAICLSSFDTKPACE >cds-PLY69136.1 pep primary_assembly:Lsat_Salinas_v7:5:283960140:283964397:1 gene:gene-LSAT_5X149700 transcript:rna-gnl|WGS:NBSK|LSAT_5X149700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNTEKIEDGEEVAVEEVEDEQSKMEGMASIALLLDGSISGHFVQLPESVYYQMGQSRLFVSTSYLSVKQPTTPTPPSANPKPSKGQALQFNWLFEIGTFVLHPDETFSVDFDKIEDAVEGLSRVVLTTQGKGDKDVARQLLTKYYVMRQPLKLALKKLEMVPVNYLPCSSGYNTTTTFWQKFCCPKCQVELPPTSLANQVKRRIEFFISTYYKGIMTCGDETCDYTTWSLNLRVVGESERGTVDAKLKAAVEKEDADEEDFGDEDDEEKDHQAIDILLAEIDIYELFAFKHCWGRRLKLALCEGSTRNRKNTNNFWAS >cds-PLY90718.1 pep primary_assembly:Lsat_Salinas_v7:8:143056774:143058992:-1 gene:gene-LSAT_8X96601 transcript:rna-gnl|WGS:NBSK|LSAT_8X96601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHPKYKLKEAVDSLYRYNYVNPEAYTRLVLDCVRFSDAHQAKRLQSHMDENLYEPNTTFIHNRLLDVYAKSGKLLDAQNLFDKLPQRDIFTYNAMLKAYSKTGSVDELQRLFIDMPSRDFVSYNTVIAGLASRGLARDALKVFVKMQKEGFQATGHTYVSVLNACSRVLDLRHGKQVHGKIIACGLLSNGFICNSLTDFYAKCGEINAARCLFNLMSNKNVVSWNSMISGCMKNGLPEECLELFHEMKLSSIKPDMVTVSNVLGAFFQTGKIDEAHKLFTEVEDKDVISWTTMISGYVQNGKEEDALMLFSKMLINNIKPDKFTISSVVSSCAKLASLFYGQTIHTKAIHMGVEKDTLVSSALVDMYSKCGQPSQAREIFNTINTKTIVSWNSMILGYAQNGKDLDSLILYEEMLKDNIKPDGITFIGVLSACIHEGLTEKGEKYFLLMKEKYGILPNLDHYAGMINLYGRSNRIEKAIEMIKCMPLKPNSLIWSTLLSVCKLKGDIEHAELAARHLSEVDPLNAEPYITLSNLYAVNGRWKDVASMRKLMNNKNVKKFAAFSWIEIEGKVYKFVSEDRTHPESKLIYRRLNEMIRRLVESGFSPNKDLVLHDVGDDEKFESICYHSEKLALVYGLIRKGEGKQPIRILKNIRVCGDCHVFMKFVSRIIGRKIVLRDSNRFHHFVDGSCSCKDLW >cds-PLY64672.1 pep primary_assembly:Lsat_Salinas_v7:7:137808600:137808877:-1 gene:gene-LSAT_7X82661 transcript:rna-gnl|WGS:NBSK|LSAT_7X82661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGSTRTEIKHWVELFFGVKVIAMNSHRLRGKARRMGPIMGQTMHYRRMIITLQPGYSIPPLRKKRT >cds-PLY97821.1 pep primary_assembly:Lsat_Salinas_v7:9:2072160:2072847:1 gene:gene-LSAT_9X5400 transcript:rna-gnl|WGS:NBSK|LSAT_9X5400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAKLISSFIARRGFATLTQGSVSGSVRGSGAAVMQKGADDSKKSTTPWVPDPVTGYYKPEGQTNQVDAAELREQLLKHKTRGQ >cds-PLY69890.1 pep primary_assembly:Lsat_Salinas_v7:4:67544629:67545168:-1 gene:gene-LSAT_4X46460 transcript:rna-gnl|WGS:NBSK|LSAT_4X46460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIGYKHFSHAHNLVIHQAHEAEKMSCSGCNSLVMGTIYVCWQCKFVLHEQCFRATRSLKHPSHPSHPLTLVPYPTYPSNSFYCNSCKHTGNGLSYSCSDCEFDLHIHCAQSVSYVYAPNSVPNPITNIQYSSLASNMPEGILSASDLEHIKRNQERLEIAREEYRIAALRRKYILDSI >cds-PLY83314.1 pep primary_assembly:Lsat_Salinas_v7:1:62072987:62074717:-1 gene:gene-LSAT_1X53141 transcript:rna-gnl|WGS:NBSK|LSAT_1X53141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGRSPPPPLIHLRTTTQILKQTTTIFSIHHHLLIFILLSFLILSLRSNVETATHSLTSFIDHDPSIKSLLSRLHISSNPASPPAITHRHRRRPFLQLTRVGTLDDDFFSGDEELDHRFFGTLPRPQLNATSFIVDFFDPQRGFSNFISDNGIRVSEIVRSHAKVSFKSVEAIEKEEKTDTGNTNSPPMIKENESDTIDDLQLFLKRFELEHHEMTALLFLLGVLSASYGFAILGFVVTYAWVLGIIFVVVMNDLLKGHKSFLRTLWDGSNLGLKRLSGYIVMRWAVRDALTQLLGVWFFGEIEDQYLFFKIFVRLKLMPFSIVSPWVKGFEREIYGFLLSWFLLDTLVSFVFAVDAWIAMVDTRKSVKEVVKEGCHLLSLMLHPAINLKCLEGIICGSFARWVLSRFFGKLFASAFQSFMEVYFMVAWLLYYLSVKSKDANSNGTPFGERELEGLLEDVR >cds-PLY69563.1 pep primary_assembly:Lsat_Salinas_v7:4:84749898:84752332:-1 gene:gene-LSAT_4X56041 transcript:rna-gnl|WGS:NBSK|LSAT_4X56041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVAYQQMYAFKSSTSWGNDSPFRLVRSNSTNTRNRSARWVSPKAAVIPNFHLPMRSFEVKNRTSTDEIKSLRLITAIKTPYLPDGRFDLEAYDALVNMQIENGADGVIVGGTTGEGQLMSWDEHIMLIGHTINCFGTSIKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSLEGLISHFKCVLPMGPTIIYNVPTRTGQDIPPTIIHSLSSNPNLAGIKECMGHNRIQTYTQTNITTWSGNDDECHDSRWDHGATGVISVASNLVPGLMRELMFEGKNLSLNLKLLPLMKWLFCEPNPIGLNTALAQLGVVRPIFRLPYVPLPLEKRVEFVEIVKGIGRENFIGEKDVQVLDDDEFILVGRY >cds-PLY82343.1 pep primary_assembly:Lsat_Salinas_v7:5:313072314:313072802:1 gene:gene-LSAT_5X170261 transcript:rna-gnl|WGS:NBSK|LSAT_5X170261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFYYRPTVTDAFASVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLGVLTASFGVTGYSLPRDQIGYWAVKIVTGVPEAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFPMIRKQGISGPL >cds-PLY86503.1 pep primary_assembly:Lsat_Salinas_v7:8:135095713:135099270:1 gene:gene-LSAT_8X91840 transcript:rna-gnl|WGS:NBSK|LSAT_8X91840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASICLPSTPGNCSGDDGNEAEEVSAITVNDSFQRNGSYTDTTGSGRGSWKHAAFHVATTIATPAAYAPLPFAVSSLGWPLGVLSLVGATLTTWYSSLLIASLWEWDGKKHTTYRRLARSIYGNWGYWSIAFFQQVASLGNNIAIQIAAGSSLKAVYKYYHEEGSLTLQHFIIFFGVFELVLSQLPNIHSLRWLNAVCSFSTIGFAATTIGVTIYNGKKIDRRTVSYSLPGSSSAKMFKAFNGLGIIAFSFGDAMLPEIQSSVREPMKKTMYKGIAAAYTIIVLSYWQLAFFGYWAFGSEVEPFIVASLKTPEWTTVMANLFAVIQICGCFQIYCRPTYAFFEEKMKKASSEQSGGTRVPLKKHVIMRLVCTSFYMAVITLVAAAMPFFGDFVSICGAIGFTPLDFVFPVLAHLKAGKLPKNSTPIFFFNILIATWFSAVAVMGCIGAVKFIIDDINTYSFFHDI >cds-PLY72268.1 pep primary_assembly:Lsat_Salinas_v7:7:87263702:87264510:-1 gene:gene-LSAT_7X60240 transcript:rna-gnl|WGS:NBSK|LSAT_7X60240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLRGFGMDPATIAAIATMFDVADEVDSDKPHPPSRAYVRDAKAMRNTPADIIEYPNSYQFIVDMPGLKREQIKVHVEDSNVLVVSGERRREKEEGVKYVRMERRVGKLLKKFILPENANMDAISAVNHDGVLKVMVEKLPPPEPKKPKTIEVKVGSPSEEAAPASDGTGTSGQLYK >cds-PLY73589.1 pep primary_assembly:Lsat_Salinas_v7:6:127877838:127878017:1 gene:gene-LSAT_6X77640 transcript:rna-gnl|WGS:NBSK|LSAT_6X77640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGAIGVDGSRQGGEVSTDVGGQWNSDVRGPPLHDDLELSRRGEGWRSMAVSLGGLS >cds-PLY92451.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:727407:728898:1 gene:gene-LSAT_0X10661 transcript:rna-gnl|WGS:NBSK|LSAT_0X10661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQRRYAIGRADEATHPDSARATLSEFLSTFVFVFAAEGSVLALDRMYGRDHALGGAGLLLVALAHALSFFAAVASSLNVSGGHLNPAVTFGTLVAGRVSVVRALYYWVAQLLGAVVASLLLRLATDGMRPIGFSVATGVGNLNALLMEIILTFGLVYTVFATAIDHKRGTLGTIAPLAIAFILGANILVGGPFSGAAMNPARAFGPALVGWRWNNHWVYWLGPFIGAAIAGLIYEFGIIQPEAPVHTHHQPLAPEDY >cds-PLY72800.1 pep primary_assembly:Lsat_Salinas_v7:6:22754068:22757034:-1 gene:gene-LSAT_6X18041 transcript:rna-gnl|WGS:NBSK|LSAT_6X18041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLSGTQVIKITRSTLSTPRTHPSLLPPSSQPRHLNLQNSSSLFFCPNLLLSPSSSSLSHLRFTIMSHSYATDAQTKSADLAATIVISSTPEQIATACASVESFLHRHSPDQSRWFFSITFPTLICKIFGFDEQKPQNPNGNGWIDILASANDTEISCKVFDLLSPTGVLMSSVTAVDRLSLVKYVFPIERLPEWVRLTLQNGRECGVLSDLCPLFKGRLKDGVPFQVQLNILEYYLFWFAYYPVCKGSSDNHITVRTSVSKRFRLENWAYKSIPVFGSASKPRGNEQKNSDNGNLYIRLLCAYLRFFVPLGDLNAHSPYRSSLLHYSSSYNSFVLENAEFFVHTLIHFWIVDNDFSPLSVKLCKSYGVVLPLRSILAELPPTDVLGEVANVFVKYLNSSLLLNGVEGCDQMELIKAATWKGAGSVELKSREMFSSIGSIGYWNSCIQRPLYRYILRTFLFSPVDTPVKNVSQVFSLWVNYMEPWAVSLDEFPELDANTVNMKRDCKPHEYSSSWQGFVLSNYLFYSSLVMHFIGFAHKFIHTDPEVIVQMLSKVINVLTSSRELVDLIKNVDIVFHSVPAAGSSKSMLNTLYRFVPSIREQLQDWEDGLSETDADGSFLHENWNKDLKLFSTSEDGGQQLLQLLALRAESELQVISNENISNKLQLVKSVKAQMGCLFSGNVITSYSQCKQSEKEKHREIFRPRTGGNRQTTDIRYRGDWMKRPISGDEVAWLATLLVKLSGWLNEILGLNHPWENGSTSSSTTYVVVSGGGGGGDVYGVKDTMRLVVGGVMTFYREAVKFMREHGMRVNLRMLASKKVVTVLLIALAFTLLKRMFSNLLC >cds-PLY85127.1 pep primary_assembly:Lsat_Salinas_v7:9:146874781:146875620:1 gene:gene-LSAT_9X94420 transcript:rna-gnl|WGS:NBSK|LSAT_9X94420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVARSIVVTGDQKTETYKDIIKSGSVRGEFRLQEVVRLVLPLKPTHHHQKSSQVIGVAVIRGIKTDHQLVKNKL >cds-PLY70676.1 pep primary_assembly:Lsat_Salinas_v7:5:71027032:71027352:1 gene:gene-LSAT_5X32920 transcript:rna-gnl|WGS:NBSK|LSAT_5X32920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAADGVERNNRQQQRRWYQCNKGEFDGLRWTRLRCFTSETRSGQHDGETMALMAPLDLNKVVRCQLPPVVNGTVTLASHLFTTTLFSRREWRSMEVSPGGLSLLDR >cds-PLY62226.1 pep primary_assembly:Lsat_Salinas_v7:5:161982225:161982701:-1 gene:gene-LSAT_5X70821 transcript:rna-gnl|WGS:NBSK|LSAT_5X70821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFSSSLNRSSKKSTAHDTKTCDCGFPARILTSKTPKNPGRHFMVCNEGKCKYWKWLDVEPVEMPLMEVVEGMKVELIALKTEVEKVKEDMEQMKKEKYSDAIAMKEKIYKFTIGFLFLIIVYMMK >cds-PLY80750.1 pep primary_assembly:Lsat_Salinas_v7:8:138832937:138833984:-1 gene:gene-LSAT_8X105120 transcript:rna-gnl|WGS:NBSK|LSAT_8X105120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVNDAIAPFVLKTYQMVNDPSSDYLIRWGKADNSFIVVDSSRFSQCLLPAFFKHSNFSSFIRQLNTYGFRKVDPDKCEFANEWFLRGQVHLLKNIGRKKQSNSRGNVNDEEDGMVVEIARLKEEQKVLEKEMVGMRKRLEATERRPKQIMALLCQVAEDPQILTRMMLETKQKRLVEKKKQRTSPASSSGVANSVKSDECEESRVDESFAKGALFWRSSTVADAPLIRFPTNKAGGGGGSFDTDHGSGPVGSDVRPPPPYPFSLLGGGF >cds-PLY99323.1 pep primary_assembly:Lsat_Salinas_v7:1:69559262:69562257:-1 gene:gene-LSAT_1X59860 transcript:rna-gnl|WGS:NBSK|LSAT_1X59860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREIVSIHIGQAGIQVGNSCWELYCLEHEIQPDGFMTSGESQHSTGDDSFNTFFSETSSGKNVPRAVFVDLEPTVIDEIRSGSYRQLFHPEQLITGKEDAANNFARGHYTVGKELVDLCLDRVRKLADNCTGLQGFMVFNAVGGGTGSGLGSLLLERLSVEYGKKPKLGFSIFPSPQVSTAVVEPFNSVLSTHSLLEHTDVVALLDNEAIYDICRRALDIERPSYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAVRAHHEHNTVSEITTAVFEPSNMMAKCDPRHGKYMACCLMYRGEVVPKDVNTAVGSIKNRRTVQFVDWCPTGFKCGINYQAPTVVPGGDLAKTRRAVAMISNNTAVAEVFNRIDHKFDLMFAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGQDAEEEGGEEEEE >cds-PLY88805.1 pep primary_assembly:Lsat_Salinas_v7:4:92615857:92618985:1 gene:gene-LSAT_4X60840 transcript:rna-gnl|WGS:NBSK|LSAT_4X60840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERISGSKKNNEKTNIGVDMEDKEDVVERKKVVQDAHSKKSPKRKKGKVEHENDEIKTMEGKVRKISKDHPEGYRRLATRMTPGRISSAVKVMPPSQKNGIVSMGFDSLLHIDIDTTPGLLNYYLLDHYDPDSSRLVLENMVIIITKDTVHEMLGLPNAGEDFLRLSSCDKDNEVLQEWKGKYDKKRLNGEEYLKRIKNTKQDSLMFRLNFLTLFINTFAESTLSGTNQINVVNKLVLLVYVYRMKFTGLKIVKRLPFVRNVTGSLLAKIEKMEISAGGFGRQLPENFEDIGDDDGMVDEDDMLDGMMRDYGDEEDKDPSFDDHCNKGDVDGGKEAEFSSIRGLVVEREKKDDGGGFSTPQMDKVGNTDNLTCSQFLENPKVLATAIKMTNEAVLESYKKEKKKKEERKSWKQLREEIWNIGSGHVLHQGFAYHFKSNTFIHAIIIDCWSSLLNRMEELRDVGSVSRVFFDINFLAEEILGGSLSPERTQKSFDSMLKLHLKSLPKQEKLKDIGLVFFPIVDKSKYYLICFDLRISTYYIIDHVIQNGSVEEIYGIKHIYVKKFLGNYLKTENYQKSTAFNKIKARVMKMTWKVEKEGSDCGVYLMRHMESYMGENEGRWDCGFTGKVQTGLLALNNLRIKYMAKLMKSEYNKHKSMLEKDAEAYERLDPLQILAMMNEVKEFREKQKHGRR >cds-PLY81101.1 pep primary_assembly:Lsat_Salinas_v7:9:67589809:67590162:1 gene:gene-LSAT_9X57021 transcript:rna-gnl|WGS:NBSK|LSAT_9X57021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVCTIRVFIISSIKIELQEKKKMLPAEDVGGKGSIGEAAEDGGEAAEDGGKDDDDGGIADMIGARGERSGREPASMRKSEGFAAGDDGGGDVSGGGGVRKGMTKGDGEGVQSEF >cds-PLY71793.1 pep primary_assembly:Lsat_Salinas_v7:6:90223282:90227559:-1 gene:gene-LSAT_6X63360 transcript:rna-gnl|WGS:NBSK|LSAT_6X63360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRAIERQRVLLEHLRPSSTSSSLENLDSSISASVCAAGDSAAYQRSQVFGDDVVIVAAYRSPLCKSKRGGFKDTYPDDILAPVLKALIEKTNINPAEVGDIVVGSVLGPGSQRASECRMAAFYAGFPETVPIRTVNRQCSSGLQAVADVAAAIKAGFYEIGIGAGLESMTTNPMAWEGSVNPKVKTMAQAQDCLLPMGITSENVAQRFKVTRQEQDQAAVESHRKAAAATAAGRFKDEIIPIPTKIVDPKTGDETPVTISVDDGIRPGVSLADLAKLKPVFKKDGSTTAGTSSQVSDGAGAVLLMKRSLAVQKGLPILGVFRTFAAVGVPPAIMGIGPAVAIPAAVKAAGLQLEDIDLFEINEAFASQYLYCQKKLELDPQKINVNGGAMAIGHPLGATGARCVATLLHEMKKRGRDCRFGVVSMCIGTGMGAAAVFERGDSCDDVCYAK >cds-PLY74321.1 pep primary_assembly:Lsat_Salinas_v7:9:186961594:186972034:-1 gene:gene-LSAT_9X114701 transcript:rna-gnl|WGS:NBSK|LSAT_9X114701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIMKPPQTDDPTQKNTLLIFKFLPLMIDYFSLFFPSGLSIYWFKQLKEEEKRKSNKALLERDVLVLASSTSDSKEDDTDDGKKKSTHNDREFVLKFSAIEIYNECVKDLLSSDGTQLRLLDDLETVESSTLDYRGGDSGRTLSATVIEEKMEELTQQRDLAESRLEHLMQVNGIERNSLPWCVLFYTLSGGCMSFIETTMADPPVSIPIVVVADLSQDSGGVVGDFATDLGLNATCAPLSSSNTVSTNSFLCVRDPPMGFFWSFGRMSGVANSEESLSYPSVFSKFVDNKDFSEGSSIIIHV >cds-PLY96965.1 pep primary_assembly:Lsat_Salinas_v7:4:173781621:173782502:-1 gene:gene-LSAT_4X102220 transcript:rna-gnl|WGS:NBSK|LSAT_4X102220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDSAVTIYGNTTVSDLNKKDQNPFSVKVGLAQRLSGGAIIHVTNVNQAKIAESAGACCVIVSEPSTPTSGISRMLDPAVIKEIQESVPIPVMAKARVGHFVEAQVLEAIGVDYIDENELLAAADEDNFINKHNFRVPFVSGCRDLGEGLRRAREGAAMIRTQGDQSGSGDIVDTVRNVRKVMGKIRVLTNMDEDEVFTFAKELGAPYDIVAQTKQLGRLPVVHFASGGIVTPADAALMMQLGCDGVFIDSEIFNSSDPYKQVRSIVQAVRNYNDVHMLTGLNLRDNGGSSY >cds-PLY96765.1 pep primary_assembly:Lsat_Salinas_v7:2:171159692:171163792:1 gene:gene-LSAT_2X94020 transcript:rna-gnl|WGS:NBSK|LSAT_2X94020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCYCSNLVFFMLFLSWGFFISSTHQLQSTQTQILLQLRKHLEYPLQLSNWENYNGDFCNLVSNPQVSIKCEGNSISELKIMGDKLKKVSDFHGYAVQNQTLSETFSIDSLVVTLARLNTLRVLSLVSLGIWGPLPEKIHRLSSLELLDMSSNFIFGSIPNEISKLVKLRTLTLDGNFFNESIPNWFDSLSNLTILSLRNNKFNGQFPSSICKITSLTEIALSHNNLNGKLPDLTPLSSLNLLDLRDNHFDSELPLLPKGVTTVLLSNSSFKGQIPEEFGKLNRLQHLDLSSNSLVGTPPSNLFSLPNISYLNLGSNMLSGSLHNIIKCGDNLGFVDISINRLTGNLPPCLDTNSSNKRVVKFNGNCLSGVNNQKHSDSICKEDNVKKKQSWGSAAIWVTIGVIGLMVIFLVIVAFIRLFFHKRYNRRETVTLQHTVPKFIQESLPSGISSEVLANARIISEASKLGTQVAPSCRVFSIEELAEATDNFSSSMFLGEGSIGKLYKGRLENGGYIVIRSLSLYKKYSIRNLKVRLDLLSKLRHPHLVNFLGYCINDVGLEDTTSSRVFLVHEYISNGNFRTHLSECSPHQILKWSDRLAVLIGIAKAVHFLHTGVIPASSSNRLRTNNILLDEHRIAKLSDYGMSIITEELEQFEAKGDAPKSWHMKKLADDVYDFGFILLEALVGPIVTGKGETFLLNEMKSFGSQDGRQRIVDPVVLTTCSQESLSIVISITNKCISIEPENRPSFEDVLWNLQYAAQVQTTADYEHKSEGAL >cds-PLY74574.1 pep primary_assembly:Lsat_Salinas_v7:7:35645575:35648708:-1 gene:gene-LSAT_7X26261 transcript:rna-gnl|WGS:NBSK|LSAT_7X26261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSFDIAAGNQGQGGNEGIISMWTYYMQRLLILTVSLVYHLIESVVPPSSSQGINGLVKVYWFNYRKGWNHRKGWIAPNSSCGGIIGKGGSMIRSFIEDSGLITGFSLWGVKGFVFVFVCMCKCIQKEACIDSGFFYVTNHGISEEFMDEVFVESKKLFDLPLEEKMKLLINEKHRGCTHVLDELLDPANQLHGDHKEGFYIGIELPEDDPEAQRTFYGPNLWPDSDILPGWRQTMEKYHQQALEVVKNIARFIALSLDLDANLFERPKMLGNPIAILHLLHYEGQISDPLKGIYGAGAHSDYGFITLMAIDNVSGLQDQVPENDVKGISKDKPLYAFDQNEALLSLKKNCKNDELLLQGKEYILLLNSDNLAEVSFLSL >cds-PLY83483.1 pep primary_assembly:Lsat_Salinas_v7:2:182490430:182496370:-1 gene:gene-LSAT_2X104861 transcript:rna-gnl|WGS:NBSK|LSAT_2X104861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATDDLARSMSFSSSGRRRWTSASTREAFSAAPGGGDHAFAASGRHDDEEELKWAAIERLPTYDRLKKGILKQVLDNGQIVHQEIDITNLGSQDKKQLMGSILKVVEEDNEKFLNRVRARTDRVGIDIPKIQVRYKNLSIEGDVHVGSRALPTLLNATLNTMEGLLRFFKLIPSKKRVVKILRDVSGIVRPSRITLLLGPPGSGKTTLLKALAGVLDSDLRVGAGEITYCGHRMSEFIPQRTCAYISQHDVHHGEMTVRETFDFAGRCLGVGTRYDLLEELSRREKNEGIKPDPEIDAFMKATAVSGQDSSLATDYVLKILGLDICANIMVGDEIRRGISGGEKKRATTGEMLVGPAKVFFMDEISTGLDSSTTFQIVKYMKQLVHIMDVTMIISLLQPVPETFELFDDIILLSEGQIVYQGPREEILAFFESVGFKCPERKGVADFLQEVTSKKDQEQYWFKRNEPYRYISVSEFGQLFSQYETGERLYHDLALPYDKTQMHPAALVTKKYGISNMELLKANLAREWLLMKRNAFVYIFRTTQITIMSVIAFTVFFRTEMKSGRLEDGGKFFGALFFGLLNVMFNGVAELALTIMRLPVFFKQRDSMFYPAWAFAIPIWIMKIPISIIEFFRQLLAYIGLHQMASSLYRFIAALGRKQIVAGALATFSLLLIFVFGGFIVAKDDIGPWMKWGYYISPIMYGQNAIVINEFLDDRWSTPNPDPRISEPTVGKVLLKSRGMFTTDYMFWVCVIALFGFSHLFNLFFVLALTYLNPPGDSKTVVLTEDEKNQKHPQTGTEMATRNIVTKGLVLPFQPLSVAFDHVNYYIAMPAEMKTQGIEEDRLQLLQDVSGTFRPGILTALVGISGAGKTTLMDVLAGRKTSGYIEGSISISGYPKKQETFARVSGYCEQNDIHSPHVTVYESLVYSAWLRLAPDITTETRQMFVEEIMDLVELNPLRNAIVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKIGGKITYTGPLGHHSNHLIEYFESIPGVNKIKQGQNPATWMLEVSSSAVEDQLGVDFADIYANSDLYKYEYKIPKHHRLDSTKFLSKGLCYRRSQELIKVLSTPVTGSHDLYFPTKYSQSFRTQCMACLWKQHWSYWRHPQYNAVRFFMTTVIGILFGVIFWDKGQKLNQQQDVMNLMGAMYAAVVFLGGTNTAVVQSVVSIERTVFYREKASGMYSTIPYTFAQLAIEVVYVGIQTLIYTLLLYSMIGFQWSADKFLWFYFFIFMCFVYFTLYGMMLVALTPNYQIAAITMSFFLRLWNLFSGFMIPRMQIPIWWRWYYWGSPVAWTLYGLITSQLGQNESLIVVLDHNSVTVKEFIKEFLGFEYDFLGYVALAHVGWVILFCVVFAYGIKFLNFQRR >cds-PLY70122.1 pep primary_assembly:Lsat_Salinas_v7:3:10700069:10701093:1 gene:gene-LSAT_3X6341 transcript:rna-gnl|WGS:NBSK|LSAT_3X6341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNLTSRMVVFGPNMMFTDLRCSPSCTHAGVHGTVSICPPDCFEYKGTLDVFYKIIWQEGFGRLWRGTNAGLALVTPTVGIYLPCYDIFRNWFEEFAAENAPSMTPYAPLLVGSLSRSVGTMAGGAADCQFWHRNLGIKCRLHELANKSRISVTGASKMLANILYFLPWDGFVCWDNDCRMR >cds-PLY83122.1 pep primary_assembly:Lsat_Salinas_v7:MU041425.1:23434:24392:-1 gene:gene-LSAT_0X24460 transcript:rna-gnl|WGS:NBSK|LSAT_0X24460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIPNFSGEEDGYHGRFEDKEVKLFVESFQSDPWYFFLTCDSMLKCITYSWSSYRRFSQLLQIYNAHLNGSSDEQVIIGFIKKCIHSESGIVFRFILKVSLSFVS >cds-PLY70916.1 pep primary_assembly:Lsat_Salinas_v7:9:15651334:15652763:-1 gene:gene-LSAT_9X15900 transcript:rna-gnl|WGS:NBSK|LSAT_9X15900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDYGPAYSLQLGSHRALVVSSWQMVKDCFTTNDRNFATRPNMTVSRYMGYDSAVFALAPYGPYWREIRKLVTLQLLTNQRLEKLKNVRDSEVKYLINELSKNGDQASVVDMTKWFEHVTFNIIVRMLAGKRFSDGGNDEDSQVKEAIKKGLYLSGVFVVSDLIPNLEWMDIGGHVKAMKEAAKELDSVLGKWLDEHVDKRIEHDADKESDFIDVMLSNLSKDAEMYGYRRETIIKATTLILILTGSESTAETLTWALSLLLNNPDIMKKAQKEVDIHVGREKWVEESDIKNLHYLQAIVKETLRMYPPGPLAGPREAIDDCYIGDYHVSKGTRLIVNIWKLHRDPQIWSDPDEFRPERFLNEHSDVGYQGQNFEYIPFSSGRRMCPASTFALQVIHLTLARLLQGFDLSTPMGKLVDMTEGLGIALPKVTPLQVIITPRLSQELYQIG >cds-PLY62614.1 pep primary_assembly:Lsat_Salinas_v7:8:34731506:34733242:1 gene:gene-LSAT_8X27980 transcript:rna-gnl|WGS:NBSK|LSAT_8X27980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFLEYLIIIIFLSFLVFHYQPQKLKTLKDRNWLPLGLFSEILTNLHRLHDVLAIYLNRSGGTFMLKGPWFANMDMLLTTDPLDIHHVLSKNFPNYPKGQNYRKLFDIFGDGIVNIDGHLWEIHRKTIKSLFTQPNFQSVLEAVVWKKVESGLLPVLESISKTGMEIDLQDVFQRFTFDTICKVLLEYDPQSLSPNFPYIRCEKAWVDITEGMLYRHLLPPIFWKLQRLLKMGNEKKLSEAYNAVDHFVDKCLARIQHESSNMESEHVHESFGLVTSLVTEFKSQSGNFGYPPKNFVRDTIVTLMGAGRDTTTTTLSWFFYLVAKNPVVEEKIREEIQTFLEMKVDDQKNWNSKEVGKLVYLHGALCEALRLYPAIPFNHKIPLQPEILPSGHQVSQNTRIILYYYGMGRMEKIWGKDCMEFKPERWISEKGGIKHEPSNKFVAFSGGPRTCLGKDMSFTQLKIVASTIIYHYHIELVEGHPVIPSASMVLMMKHGLKVRLTKISEENI >cds-PLY88990.1 pep primary_assembly:Lsat_Salinas_v7:8:242157570:242159969:-1 gene:gene-LSAT_8X144561 transcript:rna-gnl|WGS:NBSK|LSAT_8X144561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDTYSDDGWAPFNGKDGTSKLGEIDVAFLAVYSLGMYVAGHLGDTLDLRLFLTTGMIGSGIFVALFGMGYFWDVHHFWYFLGMQMVAGLFQATGWPSVVAVIGNWFGKRRRGLIMGIWNAHTSVGNISGSLLAASVLDYGWGWCFILPAAFIVAAGVMVFLFLAAYPEDVGFLDPSESSPNARGSIHDDNMMWEEGTSNDEEAPVPHIHSVNRRGVGFVGACFIPGVIPFSLCLFFSKLVAYTFLFWLPFYLSQTEIGGERLSVKSAGNLSTLFDVGGIFGGILAGYISDRLKARATTAATFMYAAIPSMLLYRTYGNISKTMNIILMIIAGLFINGPYALITTAVSADLGTHSSLKGDSRALATVTAIIDGTGSIGAALGPLLTGFLSTKGWDAVFAMLMIGACIAGLLLTRLVCTELTERTALTSPRPRNNVEGILLFQLWDIYAPV >cds-PLY82358.1 pep primary_assembly:Lsat_Salinas_v7:5:312796701:312799702:-1 gene:gene-LSAT_5X170480 transcript:rna-gnl|WGS:NBSK|LSAT_5X170480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCFHFSTGDRRANDEDGVLSRSSTRVSWARSLSVASTSFDTTRRSEFDSDSNSRDLSDTVGFSELLSQRRANDLRVFKFAELKSATKGFNRSLVIGEGGFGCVYRGVVKSAAVADAGSDGDRYLDVAIKQLSRNGFQAWLFALSSGHKEWINEVNFLGIVNHPNLVKLVGYCAEDDERGIQRLLVYELMSNKSLEDHLLGRVHSPLSWMSRLQIALGAARGLAYLHEEMDFQLIFRDFKTSNVLLDEDFNPKLSDFGLARQGPTAGLSHVSTVVVGTIGYAAPEYVHTGRLTSKSDVWSFGVVVYELITGRRAVERNLPRNEQKLLEWVKPFISDSKKFHLLMDPRLEGDFSLKSAQKLSSLANKCLTKNPKSRPKMSQVVEMLEQIIKDTSPEPEPEPELELQPQLESQPEPEPEAEPEVEVECVKEERDMKKQVSGNYIKKVFDFKELVTLRNRSIGRLDWRHWTAGSVKHNSV >cds-PLY63281.1 pep primary_assembly:Lsat_Salinas_v7:3:90916484:90920173:-1 gene:gene-LSAT_3X68600 transcript:rna-gnl|WGS:NBSK|LSAT_3X68600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLVPVTLRTAVIFLCFFSAVAKFKTLNRTYPYFNNSMTTEAKAELILQNHAVLSQDALQVTPDSANPLVFGLQNQSGRVMFYQKFKLWDGDVNSNSAVASFNTSFLVNMFPNNGTPGEGLAFLIAPTIDIPQNSYGQYLGLTNATTDNQTSNGIVAVELDTVQQNFDIDKNHIGLNIHSIRSVVSESLTPKNITLVTGPIPSFENIWVQYNGDEKIIRIYIAKQMGKDDPTPPMPENPIIERKLDLRTTVNQHSYFGFAASTGTLIQLNCVRRWNLTVTYIPEPKGPLMTILLSVGIPVVVGLVALAAYIGYYLYKKRLVDRSQSNILSRLRTLPGMPKEFHFRELKKATNNFDEKRKLGQGGYGVVYKGVLPEDNVEVAVKWFSRESLKGEDDFLAELTIINRLRHRHLVRLLGWCHKNGKLLLVYEYMRKGSLDMHLFTVTGEPLSWALRYKVIVGVASALHYLHYEYDQKVVHRDIKASNIMLDSNFNARLGDFGLARALDNEKTSYAEAEGVLGTVGYIAPECFHTGKATQHSDIYAFGALLIEVVSGQRPGTKINGFQFMVDYVWSLYREGRILEAVDKRIVDDYNTEEANRLLLLGLACSHPIAGERPKTQAIVQMISGALPVPPVPPFKPAFVWPAMMSIDDMSMATSIDTTPLSISQYETDWSPLSRENYSGYTDRSMV >cds-PLY88189.1 pep primary_assembly:Lsat_Salinas_v7:5:221074579:221077740:1 gene:gene-LSAT_5X102281 transcript:rna-gnl|WGS:NBSK|LSAT_5X102281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNRREESLQKKRREVTAGQFAMPVQSASAAEKKLENLPAMVAGVLSNDNNMQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLVREDFPQLQFEAAWALTNIASGTSEHTKVVIDHGAVPIFVKLLASPSDDVREQAVWALGNVAGDSPKCRDLVLGQGALISLLSQLNAHAKLSMLRNATWTLSNFCRGKPQPSFDQTKPALPALQQLIRSEDDEVLTDACWALSYLSDGTNDKIQAVIEANVCPRLVELLNRASPSVLIPALRTVGNIVTGDDMQTQYIINLQALPCLLNLLNGNHKKSIKKEACWTISNITAGNKEQIQIVIEANIIGPLVLLLQNAEFDIKKEAAWAISNATSGGTHDQIKYLVSEGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEAEKNLGQSGDVNVYAQMIDDAEGLEKIENLQSHDNNEIYEKAVKLLETYWLDEEDDVMPPGEATPQPQAAAFHFGGADVSVPSGGFTFN >cds-PLY93528.1 pep primary_assembly:Lsat_Salinas_v7:4:277859159:277859559:1 gene:gene-LSAT_4X142600 transcript:rna-gnl|WGS:NBSK|LSAT_4X142600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSRISTGSSNQRVDKKVLCDCEHPSRIRTSKTKDNPGKKFRVCPNSLPIAEDTLLDFADYLKQVLEDVASVREEVKQLKVMI >cds-PLY91972.1 pep primary_assembly:Lsat_Salinas_v7:7:124863518:124864728:1 gene:gene-LSAT_7X75940 transcript:rna-gnl|WGS:NBSK|LSAT_7X75940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKHIVNGGKEIIEEEEKALIASSSSLKQMPFLSLNHVSFICKSVRRSVKFYSDVLGFVLIRRPSSFDFEGAWLFSNGIGIHLLEVERTPTKTGVINPKDNHISFQCTDMDLIIKKLERMGIKYVTAVVKEGGVEVNQLFFHDPDGYMIEICNCHVLPVLPITSCPIKKLPAAPQIDNGGSSFYENMSSENYYCGEDEVLMMDNFLVDMMGISF >cds-PLY61996.1 pep primary_assembly:Lsat_Salinas_v7:5:89149380:89151157:-1 gene:gene-LSAT_5X42501 transcript:rna-gnl|WGS:NBSK|LSAT_5X42501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKQFEHLRIPLADLIAATNSFSKENWIGGGGFGQVYQGILASKGNTTVAIKVLDRSFGQGDGEFWKEVMMLSEYKHENIISLLGFCDEKSQKILVYKYASRKSLDEHIENKELTWARRLKICIDAARGLAYLHNPAGTQQRLLHRDIKSSNILLDENWNAIIADFGLSKFGPANQKNTFLFTNNTVGTVGYVDPQYMEDGVLTKESDVYSFGVVLFEVLCGRLCIVNQDGRQSSLTRLVRVHIKGNKLHEIVWCNIKDDIHPESLKVFAKIAYQCLKRNHEGRPRMEVIVQELEKSLKFQEAFDQAKEENSKQKNTLVDFKEICPPGGSNLVILYTTSVKGIRKTSKDCLRVHSLLKSLKFLYQERDIAMHSDFRDELLQMLGKSAAVPSLFIKGRYIGGAEEVFRLHEQGKFRSLLAEIPLNKSEGPCKRCAGVRSVVCRKCNGSHLMKLADGKRTTCTECNKNGLIKCPNCF >cds-PLY78313.1 pep primary_assembly:Lsat_Salinas_v7:2:181596119:181597838:-1 gene:gene-LSAT_2X102500 transcript:rna-gnl|WGS:NBSK|LSAT_2X102500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFELLSEIDPSKFEAVTPSQFITFTMVNSLNHRRWLHAPALRVAVLDSPMVKADDESPIIAAVIVPRNRETDWTFCTESGHFQLLFKFHGVSRLFLIGNIPPHLGASVYKSLPVLDSEEQEKLKNELKPLLMSWYPKKSSDNDFPETLFLTDEDDVAYRVTVAKFLSPIVGEFLVEDVELVGGDDRNKQLRRRLRFKRMPKVIQSEALLVPIIPYDEATTLTNLQSLRSIEGAKFNADTTVLVQQYLIPMVAGMFLIASHLNEQIHQSLAPTALCLGVGGGVLINFLDTEMGFVVTGVEADAMVISAARFHFGLNNSGFNRLIVGDAIETIQNFPPPKPEEDSDELKVDDDIPEGDRDDSKVDENVPQEYHPKFDVIFVDLDSREAKNGFSAPPPEFVKKPVFESLRSLLDDHGVLIIHVVSLNQVFYTTLAEELKENFHKVFGINVGNQDNSVVMATVSPPSSTDDDNDFLKKLKSVTPGTNMDSIVEL >cds-PLY72162.1 pep primary_assembly:Lsat_Salinas_v7:7:57310762:57312035:1 gene:gene-LSAT_7X41660 transcript:rna-gnl|WGS:NBSK|LSAT_7X41660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGNRNHDDNDASSSSSSSSKKSFDKGDVASWSDLNHDVLFLVMMQLGFVDFFAFSGVCKSWRSLALSRRSIYMASIPPMSIRSGSGNQDSYWHLKDFKKKTCKTIIPDSAGRRCFGLTCGYLILFSKETRDFLLVNPITRHELRFPNYPLYVRAYESIRAILVFSPSVSGWVFVVLNKKLSFCMEGKRGWNHVSSTLPIRDFYAFKGKIYTLHTDLSVCELRLDLNGKRKWMLFESKNFTKPDISHPELVSSGEKLYLICEHATQDKVLELDVGEMKWVSPEKTIGEYAFFLSSGWKKSSAAIKPDSWAAAHPTQYKTYDYFLHANESRKRHWYYYEPLWYFPHDCLTVNILVD >cds-PLY87553.1 pep primary_assembly:Lsat_Salinas_v7:9:117995681:117999804:-1 gene:gene-LSAT_9X80941 transcript:rna-gnl|WGS:NBSK|LSAT_9X80941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKRDEVEAEPQVLNHGNGGSHETKKKKKNKTRDKEQKKVFVDDDDISRPTVSIALPGSIIDNTQSFELATRLAGQIARAATIFRIDEVVVFDNQRESSNLTAEDTCDENESGTAFLIRILKYLETPQYLRKALFPKHNSLRFVGLLPPLDAPHHLRKHEWAPYREGVTLALQPPTSATTLVDVGLSKNVVIDEAVEPGRRVTVAMGTNRQLDDEAHEVVSWWKPREEAGMYWGYKVRYAPNISSVLKQCPYKGGYDYLIGTSEHGHIVKSSELELPSFRHLLIAFGGLAGLEECIEEDKNLKGKDPREVFSTYLNICPHQGSRTIRTEEALFISLQYFQEPIDRVLNSSK >cds-PLY88309.1 pep primary_assembly:Lsat_Salinas_v7:8:237454891:237456025:1 gene:gene-LSAT_8X143360 transcript:rna-gnl|WGS:NBSK|LSAT_8X143360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSYVAVCGAALLWMVLAQSEVQEVCDMMKQALTSAVLSYRNRLYKSGEQEKMWSSSTEKNPCRRFIRCPSSLDPSKDCDFLVWMDEDLPFQWYKNKVVELHKENIDLYKDNMILSKENRELEMENKDMQKKLMNLELLEKKKRTDLFKVKVMLLSILLIFIFIWFLMV >cds-PLY90199.1 pep primary_assembly:Lsat_Salinas_v7:9:202443706:202449023:1 gene:gene-LSAT_9X125121 transcript:rna-gnl|WGS:NBSK|LSAT_9X125121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHFDHLKIRMEDIISATGNFSHDRLIGRGGFGPVYKGELSLSTPTMVAFKRLDRRLGQGNTEFWKEITMLSELKHTNLVSLMHFCIQGDERVLVYEYASRGSLDRYISDVSLTWTQRLDICLQAARALKYLHDPKKPQGRVLHRDIKSSNILLDDKWTPKVSDFGLSKIGVSNQPHTVVVSNPVGTVGYCDPLYWEMGFLSKESDVYSFGVVLFEVMCGRLCTEYHNDKHTSLVSWWKKCCDEKKLDGIIFQHIKEEIEWDSLITFSTIANRCTSRERKERPTMDEIVEELQIAHEQQNINSNVPLIEMLERAVPPLSYTSQKELISLLSKGILVDEGKRWVKINKNKQFHEMISAAECIHGGRFIRCSDDYSRFSNIFISFSSDFKVEVRTRFLSPHVTYTINLVFKHEINKYGIYVPFIYKLKEETHYSKSHIVQLRKDGWLMAELYRFTSYKEEHEFKIDFLQFAITSRWRHLEGIEFRPMEHEARGDRKGKHTRSRSGLDTELEQKMILDYMDLIRLSKDNLQWTTKKDFYFLLRKGFLIHEGEKWISISKNMKKRLMISARSILIERDWIWKSLPESRFQVVAESIDSHKLTIDFQIKSELLSLETSYSCHLIYRLPDQYSLLEDFITIRSTGSSNYVQSYYLVPAPPTPIFRSMADEKYDKSSHSHKIKGYPKMRKDGWMDLTLCEVSTKDTQVIKMCLECRGSKVTQFVGLVIQGIEFKPL >cds-PLY75945.1 pep primary_assembly:Lsat_Salinas_v7:3:224858516:224860050:1 gene:gene-LSAT_3X127681 transcript:rna-gnl|WGS:NBSK|LSAT_3X127681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKESGQTSKAKTSHKASKSQTTTPSTLTDSSSPKTAMAASNYLALLRNQDHPKEVSLIVEYWQKCPLAYALLSTSLTPQSLISDIFQSAAISLRAKEKELDIEFQLCKQDFAIILGVHDGSHKPSTHVTPSSAQPVTMFKKMGYKFEENTEPNLSRIRKAFHPMPWHSFRFVLTLCLFVSVGGRSRDNQNEDNPMRTVDIPSSEVLIVDDEPHDISITYSPNHLEGHSKFIMMITLPHLKKILKKMLILKKLISLLFHQILFRRIVLQQIQIKKIHLNLSPDVLE >cds-PLY78219.1 pep primary_assembly:Lsat_Salinas_v7:6:81150649:81152564:-1 gene:gene-LSAT_6X57441 transcript:rna-gnl|WGS:NBSK|LSAT_6X57441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENAECRITTNHANEEEIDLLKILVKLIERAEKLYLDLSVERLLGTKYDLDYKSPNRSGQNFKSGEDMVEMLKKVSTMF >cds-PLY77775.1 pep primary_assembly:Lsat_Salinas_v7:2:169987191:169989620:1 gene:gene-LSAT_2X91481 transcript:rna-gnl|WGS:NBSK|LSAT_2X91481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELKKKLVPLFDAEKGFSSGSTMDPSDSYMLSDGGTVNLLSRSYGVYNINELGLQKCTSRVTNDVDERERTYRCASHEMRIFGAIGSGASSVVQRAIHIPTHRIIALKKINIFEKEKRQQLLTEIRTLCEAPCYQGLVEFYGAFYTPDSGQISIALEYMDGGSLADVIKRQRCIPEAVLSLMVQKLLQGLSYLHGVRYLVHRDIKPANLLVNLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNESYSYPADIWSLGLALFECGTGEFPYTANEGPVNLMLQILEDPSPSPPKDIFSPEFCSFIEACLQKDADARPTAEQLLSHPFIKKYENAKVDLAAFVRSIFDPTQRMKDLADMLAVHYYLLFDGSDELWQSAKSFYNESSTFSFSGKESTGPDDIFKTLSNIRSTLAGEWPSEKLVHVVEKLQCRAHGEDGVAIRVSGSFIVGNQFLICGDGIQAEDVPDFKDLNIDIPTKRMGTFQEQFIMEPGNIIGRYSIAKQELYIIQ >cds-PLY85568.1 pep primary_assembly:Lsat_Salinas_v7:2:196009273:196009824:-1 gene:gene-LSAT_2X116841 transcript:rna-gnl|WGS:NBSK|LSAT_2X116841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTKPGSTTNSLWLDIEALFRDNKEAHTMELENKLRKMSMGDRSVNEFYKKMKVTADLLGNIGNFAPEHTLLMYFLNGLNLKYDHLVVLIRYKDPLPNLLQARSILTLEESRLSRTRQATTHHSDHASAPTVIYSGHPSPPQNCSNNNRNNTCSNHNRNGSHRNGSSHRQPSTENRQLSNSSS >cds-PLY65525.1 pep primary_assembly:Lsat_Salinas_v7:9:143525545:143526237:1 gene:gene-LSAT_9X91740 transcript:rna-gnl|WGS:NBSK|LSAT_9X91740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKPFFLFFLLIICLLSSTPESRWPPPFLGKSPRLLKTQNILVQPKYTYETRYFDQTLDHFSFNGLPKFQQHYLINSDHWVGAGPNRLGPIFLYCGNEGDIEWFAANTDFVWELAPRFGAMVIFPEKEAYKNASTLAYLTAEQALADYALLITDLKRNLSAEASPVILFGGSYGGSKIFSNNFNKN >cds-PLY81570.1 pep primary_assembly:Lsat_Salinas_v7:1:133654339:133654876:1 gene:gene-LSAT_1X100520 transcript:rna-gnl|WGS:NBSK|LSAT_1X100520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDATKFMFVLGLLVAINIMHMKAFVSAEELDRLFCRGTLQCNSDAICVAACTTRGFKGGVCGFSRHCCCIGAS >cds-PLY82338.1 pep primary_assembly:Lsat_Salinas_v7:6:109665954:109668727:1 gene:gene-LSAT_6X65640 transcript:rna-gnl|WGS:NBSK|LSAT_6X65640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYSGGDEFEDAGTRTSLFSIADAFEEILNLIIDGRNGDFPLLKLNPFCDACSFVSLIVIQNPLFGFQMRDLEEASERCVSLSSVVDYDVKWKTVKSRGSHTHNLRRVRRGLDLIRELFQNFLALSGVYVKDLHVRLACLNAAKCIPTISSQSVPQDVEIATSIWIPLNDPEKSVAKVAEDLWDRYDHEFGTHYSGLLNGLKRHFEA >cds-PLY90562.1 pep primary_assembly:Lsat_Salinas_v7:6:50591033:50598250:1 gene:gene-LSAT_6X36540 transcript:rna-gnl|WGS:NBSK|LSAT_6X36540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRQGGIKPRKSTSKYANSPSSSTTSSTKQFHEALGDNLSSPASSARSKLQHGYSESLPLSSERSKENVTVTVRFRPLSPREIRQGEEIAWYADGETIVRNEHTPATAYAYDRVFGPTTTTRHVYDIAAHHVVGGAMEGVNGTIFAYGVTSSGKTHTMHGDQRSPGIIPLTVKDAFSIIQETPSREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDNQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGENGDGGDVNLSQLNLIDLAGSESSKAETTGVRRKEGSYINKSLLTLGTVISKLTDARSAHVPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSNSEETHNTLKFAHRAKHIEIQTAQNKIIDEKSLIKKYQNEIRLLKEELEHLKSGIVVIPQIKDNTGGDDIFLLKQKLEDGQMKLQSRLEQEEEAKAALLSRIQRLTKLILVSTKSTPASRFSHRPNLRRRHSFGEEELAYLPHRKRDLILEDEDTELYVSLDGSVENNENASKEEKKTKKPGLLNWLKPRKRDSISGASDKSSGAKSMSTPSTPPTDNHLHNLPIESRHSHSLQTETRYSEFSSEARLDEEINNEDAFLQQESSLISIKTIDQIDLLREQQKIFSEEIAIHLSALKRLSPEAASEREFINVEINRLNEQIELKNDQIKLLQDKIANTVDEVEKTRPVSELELEAQLNEKSFQLEVKSGDNRVLQEQLSEKINECEELQNTVNSLKEQLSEINPEKNEKTTLLCEAQALEIEELKHKVSELTESNEQLESRNKKLAEDSSYAKGLASAAAVELKALSEEVAKLMNHNEKLSAELASHKNSPLQRSRPMGSTKNGHGLHNNNNNNNNNNNNNNNNTQAELKRELALRRERELNYEAVLSEKDERELELQRTVEESKQREAYLENELANMWILVAKLKKGDSEESSGGDGFESQRWNGILGKERNLYE >cds-PLY98152.1 pep primary_assembly:Lsat_Salinas_v7:1:141304460:141309680:1 gene:gene-LSAT_1X102320 transcript:rna-gnl|WGS:NBSK|LSAT_1X102320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRDESACNTYNYGDSVYWDARYIHEASAGSFDWYQRYHALRPFVRKYIPTSSRVLMVGCGNAVMSEDMVKDGYENIMNVDISCVAIEMMRRKYEHIPQLKYLQMDVRDMGFFLDDSFDSVIDKGTLDSLMCGTDAPLSASQMLGEVSRILKPGGIYMLITYGDPTVRMTHINKPAYNWKIDLYIIPRPGFQRPPGSTSSPKSYLSPVPTNDKGLLPADYVLEDPDSHFIYICTKTGQDPDLSDSPAFL >cds-PLY70878.1 pep primary_assembly:Lsat_Salinas_v7:9:15136143:15136636:1 gene:gene-LSAT_9X13181 transcript:rna-gnl|WGS:NBSK|LSAT_9X13181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNGVGFPNKAMQLVVSLWDGSSWATDGGKTKANWAHAPFLAHFQDFRIDGCVSSPSGPNKDCYSSRYPWNNQRFWQLNSRQLRAYENVKRKYMNYDYCTDRSRYRVPPPECHEW >cds-PLY83376.1 pep primary_assembly:Lsat_Salinas_v7:5:94888800:94889693:-1 gene:gene-LSAT_5X43080 transcript:rna-gnl|WGS:NBSK|LSAT_5X43080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVFLMISIQRGCFHLLCSVLQSEENGHQTDIPAVLQKRLNENRNSESMDLNMGIAPLGRWELPVGYNDQSDGYNSYSSDDLPEHVVMTLK >cds-PLY88571.1 pep primary_assembly:Lsat_Salinas_v7:7:7913041:7913232:-1 gene:gene-LSAT_7X7341 transcript:rna-gnl|WGS:NBSK|LSAT_7X7341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLHCSKCLKGDLFLLTFRRHITYNHPSPPPLFQPPPPIAYGFNHANQKSASIDEGDWNQEKT >cds-PLY65042.1 pep primary_assembly:Lsat_Salinas_v7:1:119265031:119276281:1 gene:gene-LSAT_1X92241 transcript:rna-gnl|WGS:NBSK|LSAT_1X92241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMDKRRSADLDESKQKCEEEKIQLKLKKVKKVSSSLIFLNNNKMNFSTLRIRSSPNSMFKIIKKLSFEQKKCVKEHVFKSLLKLEIDDLPSRLSYNVIHNFDYEKMILKFIDSEIKVDSQSVNEMLGIPIGEKEVDQLPFRAKDDQCYDRWINQFADKKNIRLKDIVNAIISTKEVDFNFKLNFIVLFCNTLVEATGIGKINDKILKKILSDIDFSKINWCSYMIESLITKKRSYSVSKDKSYFSGPVTYLLLLYIDHVDFDGQYAACLHPTIKSWNSIKLSQREKREIEVGMFGIGKVLPRLQNTKDKKVENMRRDKKKRRSSRISNMVKEIPQNVEFVAKVAKRSSSLKKYIKDKNVPHCEKETASRGKDVEMQETNDKKVEDPVKYKNAPVRDKQGQYLKGCVEGNNADKEE >cds-PLY71756.1 pep primary_assembly:Lsat_Salinas_v7:3:47766647:47768483:-1 gene:gene-LSAT_3X38820 transcript:rna-gnl|WGS:NBSK|LSAT_3X38820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPPYTTPQSAPQTRTKSASRLARTSGQEPPPILSLDLVSYSPKNTLSPKPKTPLSLHDLLLLSPSPAKRSKTRLDVSEEVADLYGSRRRCKNRFANIGSPRNTRRSRRRTEEIREDKDLIAVDESIVVNNKPKKRRHSGRSKKEKSISCPSIPSPKGNDEDYGYNFDRIGFLINDLIMWNDVAKSTLCFGFGSLCFLSSCFTTGISFSILSLISHLGLLCLGVSFFSNSIARSSENKRKLKLKEDDILKAARVILPALNLVISKAREVFSGEPSMTLKVAPFLLLGSDYGHIVTLKRLCALGFFIGFTGPKLYSLYSIQINKKSECVKGWILEAWGGCSHKKIVAGSVVTVFWNLTSIKTRIFAAFICVVIFRRYRQQLPWKEEGQQLEKTLTLVETKKVE >cds-PLY73070.1 pep primary_assembly:Lsat_Salinas_v7:2:30431813:30435244:1 gene:gene-LSAT_2X11881 transcript:rna-gnl|WGS:NBSK|LSAT_2X11881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVNGEEREVQKNYWVEHSVDLTVEAMMLDSMASDLDKEERPEVLSLLPPYEGKTVLELGAGIGRFTGELVKKAGKVIALDFIESVVKKNESINGHHKNVEFMCADVTSPDLNFADESIDLIFSNWLLMYLSDKEVEYIAERLLKWVKVGGHIFFRESCFHQSGDHKRKSNPTHYREPRFYTKVFKECHVTNESGNSYELSLVGSKCIGAYVRNKKNQNQICWIWQKVNAEEDKGFQRFLDNVQYKSSGILRYERIFGQGFVSTGGIDTTKEFVAMLDLKPGQKVLDVGCGIGGGDFYMAETFDVDVVGIDLSVNMISFALERSIGLQCSVEFEVADCTKKSYPDNSFDVIYSRDTILHIQDKPALFRTFYKWLKPGGKVLISDYCRKSGKPSQDFAEYIKQRGYDLHDVETYGEMLKDAGFGEVIAEDRTEQFREVLKRELERVEKEKEEFIQDFTEEDYNDIVGGWKSKLVRTGQGEQKWGLFVAKKK >cds-PLY87618.1 pep primary_assembly:Lsat_Salinas_v7:8:112717483:112718362:-1 gene:gene-LSAT_8X78981 transcript:rna-gnl|WGS:NBSK|LSAT_8X78981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRQPTAPKSYLFSEYIGAEDNNDTHNLSPSRVSSIKTQHTNVKVALSLGGDTVRGKTCNFTVSSVDSWVSNVVSSLTKIIQEYNLDGIDIDYEHFVSDQVTFVECIGKLITALKNNAVITFASIDPFDNDDEVKRNYMALWKSYAHVIDYVNFQFYAYDKGTTVSQFMRYFQTQRDNYGGGNILASITTEGSGGLSPEKGFFTAFNMLKSQGKLGGVFVWSADNSKASDFKYEKQSQALLGTRHKNFSV >cds-PLY98514.1 pep primary_assembly:Lsat_Salinas_v7:7:126736509:126740953:-1 gene:gene-LSAT_7X75440 transcript:rna-gnl|WGS:NBSK|LSAT_7X75440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCMCSKGVSPNQYSHKHPKKSSKRWFRSSRRGEVVVEVDNGCNGASATTRLIPEGNEENVAASALTLWDDDDGEKKDVASQKSNMQLIQRPEKIIEGNGGGVHVHQPGNYKIYSIRYGVDEVQAAGGWPPWLTAVAGDAIKGLLPRKAESFQKMDKIGQGTYSSVYRARDLETGKIVALKKVRFLNKDPESVRFMAREINVLRKLDHPNVMKLEGLVTSRMSDNLYLVFEYMEHDLAGLSASPMIELTESQIKCYMQQLLRGLEHCHNRGVLHRDIKGSNLLIDNNGNLKIGDFGLATSFCINQNNKQPLTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELFVGKPIMPGRTEVEQMHKIFKLCGSPCEEYWRKSKLPHATIFKPKHPYKRCLGDTFKDLPPSALNLLDSLLAVEPNNRGSTSSALQSEFFTTKPLPCDPSSLPKYPPSKEYDVKLRNDEKRRNKFGSTKARGSESSRKDRIKSRPFPAPYAREQQQHHHQKPHHSSNVKNSPEDTDNVDYYNKHHKGSNLSKFSNSHSGKYHQLHNAESSCKKRENPPGKGYAPKETRIHYSGPLLPPGGNMEEMLKEHEKHIQHAVRRARFDNKYSKRGYHENYKNDQRELLLH >cds-PLY67007.1 pep primary_assembly:Lsat_Salinas_v7:7:174233196:174234790:-1 gene:gene-LSAT_7X103700 transcript:rna-gnl|WGS:NBSK|LSAT_7X103700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQRNMVYTGPMIDNEIEHAHLHPEPCAIPYNQITTFPLPNDHHLPVPPPGITFMAAPPPGARVIPIPVPVPVPGLHNQLTFPSNHGFHGPFKGKITEVFPVNFQYFYPSPTSNPFMVPDYNLTPVIMPPGHQHHRGPITHGVVPGPWLDQPFCSNPPGIPFIPGYANRAPVTFVHPPVPSHQQPPPMPPLRATMDFHPLQNGVEFVPRFVGPAPQFGVRVFPPHQLESTSRPRGFPHLRVLPEDGVAMLDISNYGRRVDHHRDMRMDIDHMSYEELLALGEQIGNAGSGLSEDFISGYLKTRVFMSSKLEVVSSPDQELSFCTICQMEYNDQERIGMLDCSHGYHVDCIKKWLTVKNTCPVCKCTGLTAQGNRENS >cds-PLY92251.1 pep primary_assembly:Lsat_Salinas_v7:2:210754075:210757260:1 gene:gene-LSAT_2X133321 transcript:rna-gnl|WGS:NBSK|LSAT_2X133321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATIASVGSRYDRQLEVKAFDQTKTGVKGLVDAGRSKLFDDDKLDLSTLILLSTEVDILSFCLIFLLVECQIESEKVSSTRLSPDGRKQIIPEAVRVPENIPQTVDFSTKSTKNNGVLIYDSRFHEGPPSKRAMVGVGPVDVRERSGVAARATVSESLVIEKVAPLNDKDGNISVEQIGGVKKLGSLKGVSIRVFSKEGGESPHVCLEARPKEHAPNDLVGVGTSFTMKET >cds-PLY79750.1 pep primary_assembly:Lsat_Salinas_v7:8:177685842:177686066:-1 gene:gene-LSAT_8X115540 transcript:rna-gnl|WGS:NBSK|LSAT_8X115540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPVVMRADLMAAGDHVGLYDLTYAAIPLTCGHAIDVPDIILKFTALWSPSTCVIGAAGDHAARILTPGAARSG >cds-PLY92199.1 pep primary_assembly:Lsat_Salinas_v7:6:73960505:73969197:-1 gene:gene-LSAT_0X28581 transcript:rna-gnl|WGS:NBSK|LSAT_0X28581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKSIVSVVLVIAFNLLLCVVVSGCETSDIPEPNLNPNQKPNPNPSSNPNPNPYSNPNPNPIPNTKHNPNPNPNTVPNTNPNTETCPRDALKLGVCANLLGGLVKVELGSPPVKPCCSLIQGLADLEAAVCLCTAIKANVLGINLNVPVSLSLLVNVCGGEVPNGFLSESSAKETEPLTEEDAIVDIMGRHDQFVSSMQSRLAKLQMIHRCWDRNDIKAAIRAMERMADHSDAEKGYNIEGVKAVHLNYSS >cds-PLY84832.1 pep primary_assembly:Lsat_Salinas_v7:4:145274114:145274886:-1 gene:gene-LSAT_4X90481 transcript:rna-gnl|WGS:NBSK|LSAT_4X90481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRCYKVGRVKESRASIVLDLGVFRRCRPIMRCSPYKEANDSFRTTIVMGSRCIRIGSYLRCIMVGSLRDHISRLCQVICTIS >cds-PLY88431.1 pep primary_assembly:Lsat_Salinas_v7:8:88178681:88180011:1 gene:gene-LSAT_8X62761 transcript:rna-gnl|WGS:NBSK|LSAT_8X62761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESQATSATAVENHDSQTKQVQEIAADYNHPPERYIRKQDEEYGNVSNTPVSSPMSEVVPVIDISLLTSSLSELHKLKSSVSSWGCFQAINHGIEGSFLEKVGEISKLFFRFSGEEKKKYLRAEDNIEGYGNDMVLSENQTLDWNDRLYLNVLPKQQRRLQFWPQNPSNFREVVDEYVSKIELINEFLLKALARSLNLEENSFLDQYGTSATMEARFNYYPPCPWPDKVFGVKPHADISALTFLLQDKEVEGLQVLKDGQWVGVPILPDALTINVGDQLEIMSNGIFKSPMHRVLINSKDARMTVALFCMPQTERDIGPVDGLVTDETPRLYKNVTFTVDSFFKYYQKGRRAIDVCKI >cds-PLY76055.1 pep primary_assembly:Lsat_Salinas_v7:5:320275598:320278957:-1 gene:gene-LSAT_5X175961 transcript:rna-gnl|WGS:NBSK|LSAT_5X175961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLVPPPPAAAAAETIKPEKVDYMDLPCPIPYEEIHREALMSLKADYFEGMRVDFTKGLNQRFSLSHSIAMGPTELPSQSAEVIKIPTANYEFGANFIDPKLMLIGRVLTDGRVNARVKCDLSENLTMKANAQLTSEPHMSHGMFNFEYKGSDYRSQFQLGNGGLLGASYIQSVTPHLSLGGEVFWAGQHRKSGLGYAARYNTDKMVASGQIASTGMVALSYVQKVSEKVSLASDLMYNYMSRDVTASFGYDYILRQCRLRGKIDSNGCTSAFLEERLSMGLNFIISAEIDHMKKDYKFGFGLTVGE >cds-PLY78486.1 pep primary_assembly:Lsat_Salinas_v7:7:175389454:175391178:-1 gene:gene-LSAT_7X104460 transcript:rna-gnl|WGS:NBSK|LSAT_7X104460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFFTHHFDNTEACLPPGFRFHPTDEELITCYLLRKVLDGSFTGRAFADVDLNKCEPWELPQRAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTSALVGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFAYHFLSKSSKDEWVISRVFKKSGVGSVGGAGSGSGSGSVGVGKKWFSGGINIGKEASSSSAVSGSLPPLLDSSTYVPGEQESFSYDSNAASKEHVPCFSTASTCTFGPQPLFDFPPPEPLTPTFDPTAMCTPKNPGVSVFPSLRTLQENLQLPFFYSSVAPPLHGGEASNYGSSSGENWMQPSSSQTENQKPGPTELDCIWSF >cds-PLY75374.1 pep primary_assembly:Lsat_Salinas_v7:6:180171818:180173479:-1 gene:gene-LSAT_6X110341 transcript:rna-gnl|WGS:NBSK|LSAT_6X110341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNCHTEDHTRRTMRKMFDIRSSEFNSSKKQCKKVTNAPKFGDEVDPDYSWLLNFLNENIEQSKPPMEDIIRDEDEDEDDNEDEDEDNDDENEDAKYKDPQYCMFLKQLTENGKSYKLKISKEDEAPCFLEYEQPYDPSTDMSITLCNTIMRDMQIDHKSKRSLNGKKRKILMKKEASSKIKTEPLNNLGVDSCYQLFLKGYIPSEYGAFVYEGKRVNYEEKVTNSNSDSDSDTDSDMMIWDKVRDLSEANKKQLAPTKKEPSLKEKLMCILKKPYNQKEYEELSEYIEEKKPICRLLPLRDRAISSALGVTKSVLDDGPSTFRRKLKAVKNDRPRALNLLRMFRFWLEHLPNEKIFKPWLCEEFMEVLPSSCKRSHLDK >cds-PLY79214.1 pep primary_assembly:Lsat_Salinas_v7:4:152861810:152864894:1 gene:gene-LSAT_4X93701 transcript:rna-gnl|WGS:NBSK|LSAT_4X93701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNKKRTKTVDRVDPVDLAEVSPKSILVYPFPDEVLESVLSPIKSHKDCSFVSLVCKDWYNAEWWSRRHVFIGNYYLVQPKIVARRIPQIRSVTLKGKPRFSDFNLVPEDWGADVNPWLSVLAKAYPFLEELRLKRMGVCYSLQMDSKHEAASAYADATHSYKKTSTKACIANLEQALNIFMEIGRLSMAARYCKEIAVLYEQEQNLEQAIAYYNKASDLFQGEEVTTSANQCKQKIAQFSAQLEQYLKAIFLVVSFSILQTNCNYLEMKEVSQLQSVINGGTGIGNHENDAWEVGSPGGFRWEGFQGSFSLVTAVKWMSQKKEYEVALVGAFRREKDKDLTLQAVTAESQAAMKLGCNLT >cds-PLY74689.1 pep primary_assembly:Lsat_Salinas_v7:5:177787454:177790858:1 gene:gene-LSAT_5X79200 transcript:rna-gnl|WGS:NBSK|LSAT_5X79200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKKVKTPLYSQSTFSFSHINDDDDDFQTPTQSFPLTQRKPSSSQLVKSSNAASNRQPKKPKKIHAYPGKENLELPPDLGLDSIVSLESMESTVFCSNVLNNGVSFTNDEKETKPKEGFGYLSNSIESRLLSRSRGECGLSVCENANEKVDEDAEERSDDNQDAGTTQLDVLLDLCCSSEDEDTPMDNGDNNNMDVVGFISCPLCGIDISDMSEELRHVHTNECLDKEQVHNHNHVDVPNTDNLTECPGQVLDDSPCRAPGQVLDDPSPEAEGQIRQISPVSEWLHNLGLSRYEEVFIREEIDLESLQWLTDEDLYNIGITALGPRKKIVHALNELRTQGSEAAVNTPQKVVNTHTENTKIITNKKITDFFPGFTPKVKTQVVKPNSNDGNKRNIVKKNVLNRKTRDIPPWCSITGTPTPFRVDAFKYLRRDCFHWFLTHFHADHYGGLTKSFCHGKIYCSLITAKLVNLKLGIPWENIEVLPLNEKICISGVDVTCFDANHCPGSVIILFELPNGKAILHTGDFRFCEDMTKIIGLQRHVQTLILDTTYCDPQYDFPKQDAVIQYVLEAIQAEAFNPRTLFLIGSYTIGKERVFMEVARVLRKKIHVTAAKMRILECLGMCKEDMQLLTLDERESNIHVVPMWTLASFKRLKHASNMYKGRYSLIVAFSPTGWSFTKGKKSTPGKRSQQGTIIRYEVPYSEHSSFTELKEFVKFISPENIIPSVNNHGHESANKMISCLLS >cds-PLY87345.1 pep primary_assembly:Lsat_Salinas_v7:1:97994715:97995107:-1 gene:gene-LSAT_1X79741 transcript:rna-gnl|WGS:NBSK|LSAT_1X79741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGASYFFWASDWNGRNGAATRVSHPTPAPYCNPSLIDGDSNQGEEERIEGRSEKRNSIEIRKPRGTGGLENAMGVVRWWISIAGRREEELRKNDDSSLGLRDSVADSNNLEKKSEQQATTIGFWVFGWDR >cds-PLY78172.1 pep primary_assembly:Lsat_Salinas_v7:5:124020562:124023830:1 gene:gene-LSAT_5X54260 transcript:rna-gnl|WGS:NBSK|LSAT_5X54260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFCSNFEANRQRGIEWLKGAETILHHRKRGIKDALKLVSVCDIYFAKEPRVMALPRDGVPPLTDADIEEFDHLKIQMEDIISATDNFNPTKLIGRGGFGPVYKGELSLLTGPTMVAFKRLDPRLGQGNIEFWKEILMLSDCKHENLVSLLHFSIEGDERVLVYEYASHGSLDHYLSDSSLTWEQRLHICIGVAHSLKYLHDPMKTQQIVLHRDIKSANILLDEQWNAKVSDFGLSKIWPANQTRSYLFSHPVGTQGYCDPLYGETGFLSKESDVYSFGVVLFEVLCGRLCYKHRNAQINGILVHDWKRCYHEKKLDDIILKDLKEQINPDSLITFSTIANQCLNGDRRERPTMDEIVKELEVALEQQNIAYNRNLVEISKLAVPPLSYRSHDELILLLSKGILVDQGKRWLSMNKNKKVHEMISAAECVKGFVFQVTAKISRFSKIFCVSSFDFKVEVRTQFLSTAIPYTINLIFMSGIKNYGIYIPFKYKLEEETDYLMSGVVHQRKDGWLMAELYQFTSYQREHDFKIEFLSDSIAFSQCDIEGIEFRPMEHENLEDKNEVDVKQISTSDADWEQKVWPNYIDLINLSKDYIKWTTKKEHYFLLCKGFLIDNGEDKLE >cds-PLY62365.1 pep primary_assembly:Lsat_Salinas_v7:8:113432000:113434521:-1 gene:gene-LSAT_8X76860 transcript:rna-gnl|WGS:NBSK|LSAT_8X76860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADASGGYTEFPAVSTHGGQFIRYNIFGNEFEITNKYRPPIMPIGRGAYGIVCSMLNSETNEMVAVKKIANAFDNYMDAKRTLREIKLLRHLDHENIIAIKDIIPPPLRREFSDVYITTELMDTDLHQIIRSNQNLSEEHCQYFLYQLLRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARTSAENDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMNKKPLFPGKDTVHQMRILTELLGTPTESDLRFIRNEDAKRYLAQLPQHPRQSLLKMFPHVHPLAIDLVDKMLTLDPTKRITVEDALEHPYLARLHDVADEPICSNPFSFEFEQQVLGEEQIKNLIYEEALAHNPGFA >cds-PLY93464.1 pep primary_assembly:Lsat_Salinas_v7:9:63030582:63034375:1 gene:gene-LSAT_9X55001 transcript:rna-gnl|WGS:NBSK|LSAT_9X55001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFVGSDGVFRRIPSPVEEKKPPPQMKLSHGGSTYNDVFQRIPSPVEENKAIAVVETKPTVGSLHLNPYLWYIIPINVQDHTGTIGFTLFDREAKRLLDISAYELKKLHEEATQSVSLNEVPLESDDVVQNVQKDVISQTDQSFTPSTVDKSSATSPLKISTDLKCNLHDIYNVDSGGDLSSTKSKRKSIEEGNTLLVPKVEK >cds-PLY72854.1 pep primary_assembly:Lsat_Salinas_v7:MU043661.1:122955:125304:1 gene:gene-LSAT_0X29081 transcript:rna-gnl|WGS:NBSK|LSAT_0X29081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLVLDTAIRDWVLIPLSVVMVLIGVLRYFVSKLMRTTQLPDAKIIKEGQLIIRARNLRAAANFIPPKAFRARKIYYTNEENGLLHVPKGQAQNPQAQMLSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENATDDTQRMMQMSGFGFDPTKSLGAEKDGLDIVHHEWVLPKFEQRAEAVLRKRLA >cds-PLY98108.1 pep primary_assembly:Lsat_Salinas_v7:3:247568556:247575273:-1 gene:gene-LSAT_3X136701 transcript:rna-gnl|WGS:NBSK|LSAT_3X136701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRRTVARSAAAAALNLRQSRRLSICASSTPIPAPSIVHKRGSDILHDPWFNKDTGFPMTERDRLGLRGLLPPRVISFDQQYDRFMESYHSLEHNTKGQPQSVVSLAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPSKQVDMVVLTDGSRILGLGDLGVQGMAIPIGKLDMYVAAAGLGVLKVAYQAAARMAGSEAKPQFFLLDKDGLITKERGCIDSAAAPFAKDIGEVESLGLHEGSDLLEVVKKVKPHVLLGLSGVGGVFNEHVLRAMRDSDSTKPAIFAMSNPTLNAECTAVDAFKYAGENIVFGSGSPFEHVDLGNGKIGHVNQANNMYLFPGVGLGSLLSGAHIISDGMLQAASECLASYMTEEEIQMGRLYPSIDSIRHITAEVGAAVVRAAVAEELAEGHGEVGPRELAHMSKEEIVEYVTSNMWYPIYSPLVHEK >cds-PLY76311.1 pep primary_assembly:Lsat_Salinas_v7:6:153134148:153134636:1 gene:gene-LSAT_6X92260 transcript:rna-gnl|WGS:NBSK|LSAT_6X92260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFIPRNIPINGEEVVVEVEQPIPVEANLGTFHSVIACFFICRAHIVNLQEAVHRLWINYYPPTFGESTLIMPPCPLSFIITSLYAFADIKSQGSEFPFHTHPRSINVAVTSLLFYGLASGAEHFICVSRLGPVSVYAMVARLGRITCLCILVVSLASLFYL >cds-PLY94832.1 pep primary_assembly:Lsat_Salinas_v7:2:180474951:180477933:-1 gene:gene-LSAT_2X103961 transcript:rna-gnl|WGS:NBSK|LSAT_2X103961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTRTVQVGHLSDLATEREIHEFFSFSGDIEHVEICRDSVQNKTAFVTFKDPKALEIALLLSGATIVDQIVSITPVENYVPQPKFQEVREVDNAMSMSPENLSPNAEGNGSSPRSGRVYMSKAQDVVTSVLAKGSAIRQDALNKAKAFDEKHQLRANASARVNSLDKRVGLTEKFSVGVSVVNEKVKSVDQRLQVSDKTMAAIMAAERKLNNTGSAVKSSRYVTAGTAWLNGAFGKVAKAGQVAGTKTRQKWNIAVSNLTAKDSPIAA >cds-PLY80393.1 pep primary_assembly:Lsat_Salinas_v7:3:237441678:237446981:1 gene:gene-LSAT_3X133581 transcript:rna-gnl|WGS:NBSK|LSAT_3X133581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFFRELIDNFSSIFSDSGSPSNRANQIPSSSNYQNRAAMEGVENERAAYKLKGYFDLAKEEIDKAVRAEEWGLIDDAILHYQNAQRILGEAIATPVPSYISSRELEKVKSYRQKISKWQGQVSERLRTLNHRSGGTSINKNTSTHLQATPVSPLKHNPTKSVSQKSSGASSLRGAVTRNQSNKAMNTTKPVQESNSGLDSKLVEMINSVIVDKSPSVKWEDIAGLEKAKQALLEMVILPTKRKDLFTGLRRPAKGLLLFGPPGTGKTMLAKAVASESQATFFSISASSLTSKWVGEGEKLVRTLFAVAVERQPSVIFMDEIDSVMSARTSNEHDASRRLKSEFLVQFDGVTSNSNDLVIVIGATNKPQELDDAVLRRLVKRIYIPLPNANVRKNLLKHKLKGQAFSLPSGDLERLVKDTEGYSGSDLQALCEEAAMMPIRELGSNILTVKADQVRRLKYGDFQKAMAVIRPSYVKSKWEELDNWNKEFGSN >cds-PLY67184.1 pep primary_assembly:Lsat_Salinas_v7:6:162853001:162854078:1 gene:gene-LSAT_6X99820 transcript:rna-gnl|WGS:NBSK|LSAT_6X99820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGFNKDTQWYTFDQLTSKVAGIVPSGTKPGEFNEALWLDSKHGKSMAKFISYALCAADEALKDANWIPSEQDEKEKTGVSIGGGIGSIGDILDASQLICEKKLRRLSPFFVPRILINMAAGHVSMKYGFQGPNHAAVTACATGANSIGDAARMIQFGDADVMVAGGTEASIDALSIAGFCRLRALSTKYNSTPQEASRPFDCDRDGFVYVSK >cds-PLY82675.1 pep primary_assembly:Lsat_Salinas_v7:4:62636482:62637558:1 gene:gene-LSAT_4X43561 transcript:rna-gnl|WGS:NBSK|LSAT_4X43561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANTRSRNRNQNHDDASCRKKRFKTRDKSGVIPWSDLNHDVLLLVLMKLGAVDFIAFSGVCKSWRSLALPNKKIFMQSRPPMSIWIKDCPYKKECYLQDFEGIKFKIRIPNSIGRRCVGLTCGFLILFGSKVPDFWLVNPITRHQLYFPLFPCLAKCDSIKSILVFSPSISGWVLVAFLKYSHSIWFCILGKGEWIHVYSAYTLLDLLAFKGKIYTLSSINSYLCEELKLYPNPKLTLLKTNNFPKPAWSFLHREFVNAGEKLYLLDRDPKKDIFRVQELDFGEMKWVLLEGKEIEEYAFFLSALNISVAIKPKSWTKSQLLHYRRYAVTKKSRKGRFNIEHMWYFPHECLNVNIIHE >cds-PLY79793.1 pep primary_assembly:Lsat_Salinas_v7:8:275425363:275428676:-1 gene:gene-LSAT_8X157520 transcript:rna-gnl|WGS:NBSK|LSAT_8X157520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNLRGLGLHLIFICAFLFAGATYTTCLGDGNASVICSEKERLALLKFKESVEDPCGMLSSWVGHDCCLWKGIHCDGVTGKVESLQLRESPGSEEGYAEACYLGGNEVDSSLSELRHLKHLDLSGTDFRGSRIPEFIGSLKQLSYLNLSYAGFQGIIPPHIGNISNLKVLDLSSVQLLGAQNMDMLFYRLPSLKELSLSYCGLSNGDLGPFLNSSRILPNIRHLDLGGNSFEGPLPGFFKNMSSLTFLDLSMFDLSLGSNFAKLLYMIPSLSELHLSGCGLDKTHLSSPRLNISTFSNIQHLGLSQNSIEGVFPSVFTNMSSLRVLDLSWNMLNSSVPIMPNLIDLDLSGNNYKQIEDVGIWRQCHLKRLHASNNHFEIEMIESQNNISQCSHYALEWLVLSECSNGTVPDAIGRLANLRVIFLQNSRLTGRIPESLGRLRYLQSLDLSNNQLTGPIPTFLGKLSKLDLSFNQLKGSIPESLGNVAALKHLDLSSNRLTGPIPASIGRLVSLQSLSLGSNLLNGTIPVQTGQLAKLLSLDFSNNSLEGVVSEAHFANLSMLKYFDTSSNPKLTFNVSRDWIPPFQLVSLDLSSCNIANGFPQWLRNQRNLRELDLSKATISGQLPRWLRKMPIIPSLDLSHNNLSGPLTNLPNGETHNKFQLFPLLFLGNNFFNESIPRSLCRRTDLIFLDLSRNTLTGKILNCLENLKSLEVLILSSNQLSDVIPSFVALNSLVWLKLNDNNFIGELPRELGNLQYLNILDVGENKLSGTIPEWVGENLTNLAVLRFHKNNFTGRIPKSLCKASNLQILDVAHNSLKGPIPPCLGELIAMVDNSGSGLVFDFLNGNVDQVMKGVYLEYTTTLRMVFNMDLSSNQLVGEIPVELTALSMLVGLNLSNNHLKGYIPDNIGSMMKLESLDLSGNEFTGAIPPSMADLTFLSRLNLSHNNLSGRIPTGRQLQTLTDPSIYEGNKDLCGPPLPNSCTIPGEHPTTTTTTTTKKKLEAADEKTKVWLFYADIICGFATGFWGIIGVLLFKKQWRWNLFMFAQKMMEMIK >cds-PLY88201.1 pep primary_assembly:Lsat_Salinas_v7:5:122247608:122248136:1 gene:gene-LSAT_5X53180 transcript:rna-gnl|WGS:NBSK|LSAT_5X53180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGNINSALVLTVHVSRRSLTIILQIVKDSHTIISAIETIEIFKIVRAEANTSIDEVKALQKLKSSFQLEWRSMCSTTTSMEWNWVMNKAGMYNWNGLQSGIGDVTSSTVVYGVLDANIWCIRFFYAMVVKSRMDTYRVRLNSCILLGM >cds-PLY90961.1 pep primary_assembly:Lsat_Salinas_v7:9:170275115:170277872:-1 gene:gene-LSAT_9X105500 transcript:rna-gnl|WGS:NBSK|LSAT_9X105500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRDVTWPKIPEEMSYEAYDLINKPCDECGNLEEFGASTLNVNYSFSNFSFKVEMHHFFKNIYWDTLARQKATFIPSCETLDTSYFMSRSIWNPEDEQVDGDSDFDDMSDTGSTFGDSSFGNMLEEEVNARLSYLEIDNHEGLVREHIPGRYAKLDQYWGESQVMGPGPHADGWADEFSQEHGGDPNAWALSFKQQHESIGREIVNFSK >cds-PLY72768.1 pep primary_assembly:Lsat_Salinas_v7:4:373500826:373501286:1 gene:gene-LSAT_4X184440 transcript:rna-gnl|WGS:NBSK|LSAT_4X184440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRAGGYFVWATQPVYKHEDKLQEQWKEMEDLTGRICWELIAKGYITTWHKPLNNTCYFTHNQNTQPPICEEKDEHDNVCPTEGMHN >cds-PLY75177.1 pep primary_assembly:Lsat_Salinas_v7:4:270810231:270811768:1 gene:gene-LSAT_4X139200 transcript:rna-gnl|WGS:NBSK|LSAT_4X139200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSISKNRICFSDAPTPFSLLLVSLLLSLSSVEAQPASPPDGYPYARFSPSMAIIIVVLVAALFLMGFFSIYIRRCSNDGGASLRAGLSMRRAGRTAAASRGLNPEVLETFPTFAYSAVKELKIGKGALECAVCLNEFEDEENLRLIPKCDHVFHAECIDAWLENHVTCPVCRSDLVPKPGDTTQVESGVADGADNQTTAAADEGNTVPDVSIPIGDDVVDPTPQPQVLNRNQSAKTNFPPRSKSVRGPKLFEKFRSHSTGHSLVQPGENTDRFTLRLPEDVRKQVITNGLLNRCGSTVRVVGEGSARKGYRTGEGSSRGRSYRRMGSLDRVFSKAPSFLSRAFSVRSPKIVSDNGGASTSSVDKIPLNNIGPKSDEPGSGSADSGRPPV >cds-PLY98845.1 pep primary_assembly:Lsat_Salinas_v7:7:22786527:22788929:1 gene:gene-LSAT_7X18100 transcript:rna-gnl|WGS:NBSK|LSAT_7X18100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKDLIYIHQHLSRRKQVVFHIHEGSLSPLLFNRELLDSLVNSLQSASPIVISRVNQVDTARLDVENKVFSVMKGDGTLLATGELMSTLTKHKGPIFSLKWNKKRDYLLSGSVDKTTIVWDIKTGEWKQQIEFHADVSKQTTCSCYERENTGKSHHRAFALAFRRKGSKDG >cds-PLY92608.1 pep primary_assembly:Lsat_Salinas_v7:7:159869750:159873258:-1 gene:gene-LSAT_7X95060 transcript:rna-gnl|WGS:NBSK|LSAT_7X95060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRYFYPLTSFQIGDLQSYLSDLTLFLAPDSKTFYVLVDNRPWLEDLASRPAHLWQLMVTKSRMSPFANTRGRKGKKKTKEFINQKVNSKPSTRTQNLKKWFSVVDAAALSKKRALLPVKKLRSSLLANSKLHRTLYGFIVFQVEWKDVRGINYLNELQTDTSLAIEAKYMKRWEFDSIAQSVKCINSWFPGTPNEQSILEEHLNSMLGDEFYDAPKEFQEYPCAKDDEKTLQGENLDKKVETLENETSEEIHTPPPYKRRKLTNSAGIDVEVNIYTDISNGRTVITKMPSLTDCDETAEPSESKDVLLLFKFNNHDLPFELKEIIVSDLRLLTLLEAGLPSWVIFSQSYPVFCHIYRPWMCPLARALYVIISIVTVVIGFYDLYKNVPVLKATASRLCGPLFDWIETWEMVSRIKYLGTMLFLHNSEKALVWLLMMTRTVRSFVSIVTQPLSGPFLDFLEILLPFWNLFVQFGQYLCSFLGILMESSWDLVENLIEAVMLPVWFVSSVVWTIVMSIMYPIFWFIWGILYAPISLILGVSNFVGFMYNQIYDLFGEIWILVSDIFKLASNAESAAHTYEVSIWRSLWNDLFSQVFRALRSILNGFVAFFAACNRHRLSIYNHLHELIRRVNQPSERFEGVNVNSSHESQGTRLLGGEKQDRRKWKKS >cds-PLY98003.1 pep primary_assembly:Lsat_Salinas_v7:8:43653032:43653647:-1 gene:gene-LSAT_8X34000 transcript:rna-gnl|WGS:NBSK|LSAT_8X34000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDILNSGSHFKSDNGFKHGFFGAVETRLAVSLPNSRIKAKPHIESRIKTLKSDWFVVHDMMSWNNTSGFGWDYENKMLEAPQSVWKAYAQVHKNAKKWRCKKFPHYWDFCLVFGKDRANGRDAQTTADVISEINNEQQELDDYMQGTGDGLEDIDVDAPVNSPTYAHSKEDSSTQ >cds-PLY95601.1 pep primary_assembly:Lsat_Salinas_v7:6:138848439:138850672:-1 gene:gene-LSAT_6X83921 transcript:rna-gnl|WGS:NBSK|LSAT_6X83921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKPLTTAAIAMTEKKMDMSLDDIIKMSKTGSGTNKTKKQRIPNKNHKFTNNDVQDKSMKLHRYMDTRSSLRQGVLSQRRTSFQGNQFPLAVEAARKAPIRSRNFMRNQPMTSYRPRQNRGVANQKQVKKVANQKQKQRPQTLDSLFANMKEQRMKVLSQNNNTNTRRTGGGVHAQQRPTPPWTRSYNY >cds-PLY89990.1 pep primary_assembly:Lsat_Salinas_v7:8:209218744:209219240:1 gene:gene-LSAT_8X131601 transcript:rna-gnl|WGS:NBSK|LSAT_8X131601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSLKILKLKAPSFKKISTRSLLTQCAFNSTFQRKAQGLKMKSFMEDSHVHPDVVKKSKVKAEAKLPMKINMKSQQHFRESLLVQLRKESYKPRGRLLGQNMVYGRKPIYGPCPPSPCSPCINIGVRV >cds-PLY86671.1 pep primary_assembly:Lsat_Salinas_v7:4:320104661:320106975:1 gene:gene-LSAT_4X159781 transcript:rna-gnl|WGS:NBSK|LSAT_4X159781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVIFSSSNMIVQIRNHSSASPPSVKAFASSKGGFVNPINFKFPSNFTHNPSSFAIKAYQDEPKLEPKRSISTPSKPKVANFKEPILEKQSLTFVDSKQSPKERTTTQTSLDYQLVLKYPLVTEAAIQKIIKENTLVFMVDVRANKKDIRNAFENMLKIKTKKINTLINYDGTKKAFIQLSPENQATTVAKKMKILA >cds-PLY97436.1 pep primary_assembly:Lsat_Salinas_v7:4:14876588:14878091:-1 gene:gene-LSAT_4X9740 transcript:rna-gnl|WGS:NBSK|LSAT_4X9740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHENIQNQEVNLVLSSDAKPRLKWTSELHQRFVDSVSQLGGPEKATPKSLMRVMGIHGLTLYHLKSHLQKYRLGKIQQSPACSGNIQEEFGDDHRSDITSIDHNDENPNQISESLKIAEALQMQMEVQRKLHEQIEVQRHLQLRIEAQGKYLQSVLRKAQDTLAGYNSSAIEVEAAKAELSHLVSMVDNGCPSSLSMLTEVEDSRLKKEVKKTRNGSSMESSLTSSESWGRKENDDLDLKLMDRNGMGDSGNGRKRSGSTISDGNCQGKRLQKGSERFDLNSQYVNDFDFDFESKSSTLDLNSE >cds-PLY82878.1 pep primary_assembly:Lsat_Salinas_v7:4:18394496:18405262:1 gene:gene-LSAT_4X12800 transcript:rna-gnl|WGS:NBSK|LSAT_4X12800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDRENNLEFIQENNKTYNLHDLLSSDAMLIGKGNLGNTYKVFLKIGEVVVVKRLRGQFVYGISKENLQELGEVSHENLLPFKAYMFSKHEHLLVYDYMPFGSLYSLLHGGKGNMGLHWETRMKVAYGVARGIEHIHGRGRGVCHGNIRSSNVFLNKSYVAQISEFGISQLFSNIGLSSEYSAPEVKRANQQRDVYSFGVLVLELCTGKDLVKEEEGFSLAKWVRLMFQEKEMIDVFDEGLRVYKKEKIRVQMVQMLELAICCTFENFKKRPLISAVVKRIDEVKMERDFMGLNSKEEAIEAGDSRRTGNHSGVQVQQRSPVQLTIFYKGTVNVYDDMSPEKAQAIMLLAGNGVHVSQPMTTAPSSPVSISPSTGTGSRAAMDATKAMSSLRRVMQSAVPQMRGASLARFLEKRKERVMASAPYNLGKSTLPGSSSRMNKDDKK >cds-PLY77989.1 pep primary_assembly:Lsat_Salinas_v7:MU042436.1:386647:389378:-1 gene:gene-LSAT_0X11320 transcript:rna-gnl|WGS:NBSK|LSAT_0X11320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASVYRVGGNKKQMKIPLVTILSPSIRVPINSNFQKPLKGLVPKDLADRLTYSRNQIALLSQQTDVSAVPELLRALEEYLPLLIGLTDQELGFRELVEFKWKGLDDRQEISVADSWFELLSVVHMMAMLTLMEANSKLIPNQTVTTEEVESTDNIRCAVDLLVKAAGYLQFCLQEILVRIPPHIKMKLPVDLQENVIEAAYIQALAQGTEMQLASAVESKNATLSVKRRLACEQLSYFGQAHYCLSTCHDLNGYGKKHLSFIKWKYLEAKAAAYYYHGLITDKGSEPSCHISAVCCFLAAEAILTESKKACLNFCLTLPITRAPTAWGAMKHLNKKVPETAVKKSQMYAYLLEQEKGLEVLPELPEFELSLKADEYELPDKDAAWESEEWMIPNQTLRNHLTDDEGDDK >cds-PLY87420.1 pep primary_assembly:Lsat_Salinas_v7:5:41280315:41285790:-1 gene:gene-LSAT_5X19940 transcript:rna-gnl|WGS:NBSK|LSAT_5X19940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGLTMEGDDLSRMPYCETENEILEEWKAQYVDKKYHGEASVKHIRSTEEDNVIFRLNFLILFINTFIESMVGGTNNIKVVEKLVLGRTFPKVIGVSTCWAIYEGERNCGEGMTKHATTRAQLRCYWHLMLCWSIVMVKLLCNYLSLQNHSMVKALTGAKPPVMNIRWREKVKGNGILVLQERGMQTMLHL >cds-PLY88615.1 pep primary_assembly:Lsat_Salinas_v7:5:141474661:141485745:-1 gene:gene-LSAT_5X61500 transcript:rna-gnl|WGS:NBSK|LSAT_5X61500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKAIPKKGSRGRIGSRKSTRKIPKGVIHIQASFNNTIVTVTDVRGRVVSWSSAGTSGFRGTKRGTPFAAQTAAGHAIRAVVDQGMQRAEVMIKGPGLGRDAALRAIRRSAAWHSGNWDFSLLSMETNSLKPIQQIRKSRFNERLHRFMEVTLNVLSPFGKLCAWLPSGHENEVKSVSWNASGSLLATCSREKCVWIWEVLPGNEYNYVSVLHGHKQGVKMVQWHLTVDVLFSCSYYKTFKVWVDNGDTDA >cds-PLY89123.1 pep primary_assembly:Lsat_Salinas_v7:4:145776196:145776814:1 gene:gene-LSAT_4X89161 transcript:rna-gnl|WGS:NBSK|LSAT_4X89161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMKTSFIVLLLSLLMFFLFTSDSIQEQQQQQQQPLPLHMKIKTLLTNIQSYLFPPNLDFRGSVISKDEPRVTGASETEGGAGDKFKEAVAKSLGEGKATVEETAKTAAQKLKDTFSSHHDPQEDL >cds-PLY76027.1 pep primary_assembly:Lsat_Salinas_v7:5:320209429:320210928:-1 gene:gene-LSAT_5X176860 transcript:rna-gnl|WGS:NBSK|LSAT_5X176860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRSSFLLLKEGLSSGIVRTKPVTYGLRRGVSVESTNRFCGCLFSSSAGGDGRAGVGVGRGVDDGDSISFAEAKKLMRLVNVEAMKMKFSTEGKEMISYPELLQACENIGVAKSVDEAKAFAKVLDEAGVVLIFRDKVYLQPEKVVDLVRRAVPLALTADDDPRREELKQLQAKQEEIDRLAHRQVRRILWTGLGFALAQVGIFFRLTFWELSWDVMEPVAFFGTTAGLIVGYAYFLITSRDPTYQDLMKRLFLSRRRKLMKKHNFDFERFMELQKKCRSPLDAHPSAIHKIEGVELETQDLHKQ >cds-PLY99473.1 pep primary_assembly:Lsat_Salinas_v7:5:333496705:333497534:-1 gene:gene-LSAT_5X187161 transcript:rna-gnl|WGS:NBSK|LSAT_5X187161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIKRSRTSRSCAHLENEDTGANVQIRQAEQSSSAAGARNKMARTSMSEDEVANPPNQLREVAFTVESLPPSPQRQPPPLQTQLTEGGVFSLHINPRLPPALLDVCNFCKRRIGPEEDRYMNG >cds-PLY91870.1 pep primary_assembly:Lsat_Salinas_v7:8:198199507:198199906:1 gene:gene-LSAT_8X127321 transcript:rna-gnl|WGS:NBSK|LSAT_8X127321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIPKGYLAIYVGEQEKKRFVVPVWLLSQPAFQELLDQAEQEFGFAHQMGGLTIPCSEYTFSDIASQLGAL >cds-PLY79187.1 pep primary_assembly:Lsat_Salinas_v7:8:163738165:163738446:-1 gene:gene-LSAT_8X108760 transcript:rna-gnl|WGS:NBSK|LSAT_8X108760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLKMIFLFMLLSIFSNGLSSISVVVEEGSLEIGKECGDDLDCKMYCRIGIPNCCKQVCQCLPCDE >cds-PLY70934.1 pep primary_assembly:Lsat_Salinas_v7:8:227539839:227544051:-1 gene:gene-LSAT_8X138620 transcript:rna-gnl|WGS:NBSK|LSAT_8X138620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTRNGLGLHLIFTSFFFLATTYTCLGVVENTSIICFEQERLALLKFKGSVKDLSGMLSSWVGNDCCLWEGIQCDSLTGRVESLNLHFLVGNEVNSSLVELRHLNHLDLSENDFRGSRIPEFIGSFKQLTFLNLSNAGFRGIIPPHIGNLSHLKVLDLSSNYELMADDMAWNFADFLKVIPSLSELHMSHCGLDKTFLSTLHLNFSTISNIQHLDLSDNSIGGIFPSVVTNMTSLRFLNLSGNMLSSSVSTMPSLLELEPSDNRLTGPIPESLRRLRFLEVLDLSYNEFTGPIPTFLGKISKLDLSFNQLNGSIPDFFGKLTDLTDLNLGSNQLRGAIPVSVGQLSKLHSLDISYNSLEGVVSEAHFANLSMLKHLDTSYNTKLTFKVSREWIPPFQLVSFKIISCNIGSEFPQWLQNQRTLETLVLSNATISGPLPTWLREMPVIPFLDLSHNKLSGSLTNLPNGGNFYVSGYRVDRVLSLENNLFNESIPRSLCRRTDLEILDLSRNRLTGKIPKCLQNLQKLFAMIFSSNQLSGAVLGMFCLNALIEIPPPYV >cds-PLY75087.1 pep primary_assembly:Lsat_Salinas_v7:2:94026167:94026910:1 gene:gene-LSAT_2X41960 transcript:rna-gnl|WGS:NBSK|LSAT_2X41960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFEFLGNRPGKPVILQTNVFTNGLGGREQKFSLWFDPGADFHYYKLLWNDHQVVYAFFVDDTPIRVYKNNIIRGVGYPNHTLQVITSFWDGSSWATDGGRTKVNYSNAPFHVNFQDFKINGCISLPNSPNKDCASQKYWWNNKKYWQLNPQQLKSLEDVRKKYMKYDYCTDKSRYPTPRQECSEK >cds-PLY62650.1 pep primary_assembly:Lsat_Salinas_v7:3:37178609:37181855:1 gene:gene-LSAT_3X27540 transcript:rna-gnl|WGS:NBSK|LSAT_3X27540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVEVAVIYQILQLICKEWGNFEEAAKASRLKVLDTQSELLIHVGELHRESSLLILWHVFMNSLVLQSAEEPFP >cds-PLY68832.1 pep primary_assembly:Lsat_Salinas_v7:3:61766801:61770880:1 gene:gene-LSAT_3X48441 transcript:rna-gnl|WGS:NBSK|LSAT_3X48441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCRGSFGGKGAKSFNQPEHRSSIKHESSSTQVNKNSTTKSNPQQHTTQNKASKDSTPKPQIDDDDDTMNRTSQPFYVIGHKTANIRDLYTLGQKLGQGQFGTTYLCTEHSTGNNYACKSISKRKLISKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDPLYVHIVMELCGGGELFDRIIQRGHYSERKAAELIRIIVGVVQACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSIFFKPGQIFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGNIDFDSDPWPLISESAKDLIRKMLCSRPSNRLTAHKVLCHPWICENGVAPDRELDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFKAMDTDNSGAITFDELKAGLKKFGSTLKDTEIRDLMDAADVDNSGTIDYGEFVAATIHLNKLEREEHLVAAFRYFDKDESGYITFDELQQACIDHNMTDILVEDIIKEVDQDNDGRIDYGEFVAMMTKGNGGIGRRTMRNSVNISMRDSPRAARDSPRAARDSPRAVWDSPRAARDSPRAARDSPRAARDSPRAYLQ >cds-PLY75352.1 pep primary_assembly:Lsat_Salinas_v7:6:180068034:180069938:1 gene:gene-LSAT_6X110140 transcript:rna-gnl|WGS:NBSK|LSAT_6X110140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEVKRIENHTSRQVTFSKRRTGLLKKTHELSVLCDAQIGLIVFSSKGKLFEYTTHPLSMSQIIDRYLKTTGSRIPDYNNREHLHSELTRIKKETSNLQLSLQRYKGDDLSSAQLDELNQLEQQLEYSIQKVRARKFQLWQQQVDNLQRKEKLLERENEEMHNWLMSKRQVEINQQEAAAMTELKLVGQEHQLFEQFPFYGSEEQPNSVLQLAANLPDLQLHSYQYHRLQPTHPNLHQESTSAQYHIYAFNDVVPCGYLD >cds-PLY65386.1 pep primary_assembly:Lsat_Salinas_v7:1:61258378:61260504:-1 gene:gene-LSAT_1X52160 transcript:rna-gnl|WGS:NBSK|LSAT_1X52160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPFTRLASCCNLVKRSWNLQRVSSVVYTTCSDVSDSSSDTNVLCRALEKLGKFKVINETLLEQSKRERRSALLYMRELKESGFKHDLETYMAVVRLLCHWDWGMDARSNNVINDVIDNTNWEVVSFKISDDLFDALMEENLIKAVNGLLTVYASAGKFKEVIHTLIKMKRRGVLVVSTKTCNFIMSQLIKEDLEDIVEQVYRVLRRDGMIPNLYTYGLLLYVICKKGCLKEAWNVIEEMKEAGVEPDDLTYRMYIYALCCNGKTDLAFQLVKKLNKPLLNVYPYYYIIQVMVLQSKLQEAEDMFLEMKIREVVPNADCYGELIGGYCLKGDTDKTLDLCKEMESGGIKIDHKFVRRMMEHLWHIGKLDEALCLFKHFTQQSRVFIDEVSFSIAIDAACKVGKKDDAMGLIDEMKSRKIMPVVRQAQAGFQVQRVQPNMGQGEGVEGVPDACVQNEGVHDGAVQDARDQAAVGTVGQLNTTQQTLALHKLTSLNALHARILKKFRKSKRQVKMKD >cds-PLY72157.1 pep primary_assembly:Lsat_Salinas_v7:7:58969277:58972234:1 gene:gene-LSAT_7X42901 transcript:rna-gnl|WGS:NBSK|LSAT_7X42901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVATAATASLFPISSSQPESGDKNSGKHGGGLGSVDMHGMKTKSRGLQVKTNAQAPTKVNGTRIGVMDGLNLKNDDNPTSTAPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKTKRPDMLSDLDPFGLGRMVEDGFVFRQNFSIRSYEIGADRTASVETLMNHLQETALNHVKHVGLLGDGFGSTLEMCKKNLFWVVTKMQVLVDRYPTWGDMVQVDTWVAASGKNGMRRDWMIRDYKTGEILTRASSNWVMMNKVTRRLSKIPDEVRAEIVQFFVDTPPLVDDDNIKLPKFDDNTADHVRNGLTPKWSDLDVNQHVNNVKYVGWILESAPQPVVENYELASMTLEYRRECMKDSVLQSLTSLLGNDENGSGDPNLVDCQHLLRLEGGGGEIVKGRTKWRPKYANRLSG >cds-PLY85138.1 pep primary_assembly:Lsat_Salinas_v7:9:146732045:146734149:-1 gene:gene-LSAT_9X94301 transcript:rna-gnl|WGS:NBSK|LSAT_9X94301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLVNNNITTMAEKSNTMIPVTKTTNGGEVILPPFTEDRKPQFPPIVSSYNDKIRPILDAVDKLRRLKVTQEGIPLPAIVVVGDQSSGKSSVLESLAGISLPRGQNICTRVPLIMRLQNHPDAVPELILEYQKKTVMIMEERQISDAIDKATVEIAGDNKGISNVPLTLVVKKNGVPNLTMIDLPGIARVAVGDQPENIYEQISDMIMEHIKPEESIILNVLDANVDFSTCESICMSRRVDSTGQRTLAVVTKSDQSPEGLHEKVMSNDVNIGLGYICVRNRIKDETYEEARIQEATLFQTHPFLSKMDKSIVGIPILAHRLVQIQSMIISKCLPDIVKKINERLNASVLELNKLPRILTSIPDAMAAFMQIVGSLKETFQKILIRGELEYDDKEMHCNARLAEMLDEFSEELHKSEKISENFLVEEMLVLEEANGIRLSFFLSHSAFLYLLKKKLTNISNLPISFLNKVWGYLEIVWVRVLMDHCENHPQILPSIKKASLNVMLRMKEKLVERVYEKIEREKVTDYTCDPDFIASWNKLMGKRDEFLRAIPNRNSFSMEGYGSIDITHLVSVPATKRDQAFDLKMRIMAYWKIVSRRIVDWIALELRFVIQKMVNKVMEKEIVNEVMMRGGGGGMEKMLDEPTPVAAKRERLQMSIGLLQESQQIIQQVMDAI >cds-PLY95503.1 pep primary_assembly:Lsat_Salinas_v7:4:142801880:142805136:1 gene:gene-LSAT_4X87620 transcript:rna-gnl|WGS:NBSK|LSAT_4X87620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMYGYDVSFYDYGFSDPAINGSIYGNSISRMAPATDYDDDIYVRRRPPPRPRQRSPSKTPSSPPKHRHDGTSPLPLGMDWSLPPLNWEGRNSVWPHDLHKGWSYCVTIPSLSSEASAGSSVFYGVKVGIQSPEGITTTRTVPRRFNDFLNLYSELKKEFPKKSLPPHPPKSLLKTRSKKVLVNRMCALEGWMTKLLSDIDVSRTAHVAIFLELEAAAREACCELNQNEYADMDNNCAHETSDHSAPEEENYDEITIENVSERGINNSIGENIIDMEELQTKCRELELRVTTEQEARAYAESMKETMIQKNEMLMKEIEILRKEKDEVELKSKSDIEEVNSLRTSHSELKEELNKCLEEKVELEKEKQKWEDGNASKATFLNECEILCNQLQECSAKFLVEDDKLIMNASSSNVIDVLEISDTQIDLLLEEVQVVGENCANEDDDLRKLFGNILIENAKLRKQINSITRHAMSKKHGNTLEDNVEE >cds-PLY91580.1 pep primary_assembly:Lsat_Salinas_v7:1:11435278:11437910:1 gene:gene-LSAT_1X9700 transcript:rna-gnl|WGS:NBSK|LSAT_1X9700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G47840) UniProtKB/Swiss-Prot;Acc:Q9FIJ7] MASWPYLNSSTMLSKPKHPNPSFCLHDFPNPQHSSSSFSFTASPIAAVNAATRRCRQTNRRSSLILASTDKPREPLKIMISGAPASGKGTQCQLITSKYDLVHIAAGDLLRAEVEVGSANGKKAKEYMEKGQLVPDEIVVMMVKDRLSQPDSAEKGWLLDGYPRSSSQATALEAFGFHPDLFILLDVPQEILVDRVVGRRLDPVTGRIYHLTYSPPETEEIAARLTQRFDDTEERVKLRLQTHNQNVESVLSMFEDITIKVDGSLPKEIVFAKIDSALSDLIHQKKNAILGTTAT >cds-PLY69715.1 pep primary_assembly:Lsat_Salinas_v7:2:39893887:39897236:-1 gene:gene-LSAT_2X17500 transcript:rna-gnl|WGS:NBSK|LSAT_2X17500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQDRCGAKQSGELSSTEQALDGIIGFGQAKTSVLSQLASSKKIKKTFSHCLDGNKGGGIFAIGEVVEPKVKTTPILDDKTHYNIELKSIDVNGENIKLPTSIFDFMKNEGAVVDSGTTLAYFPDDVYKQLMEKIMAAQPDNKPHTVEKLFKCYKYSGNIDEGFPVITFHFANKLPLKVVPHQYFFAVEDEWCIGFMNSNVQGKDGGDLTLLGDLVLSDKLVTYDIDNQVIGWTDYNCKYTSLNVFILLPNVKLPNCP >cds-PLY62457.1 pep primary_assembly:Lsat_Salinas_v7:1:82013027:82016653:1 gene:gene-LSAT_1X69020 transcript:rna-gnl|WGS:NBSK|LSAT_1X69020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQMKTDQSLQEIGVRRPRTKIVCTLGPASRSVTMVEKLLKAGMNVARFNFSHGSYEYHQETLDNLQTAMDNTGILCAVMLDTKGPEIRTGFLKDGKPIQLKQGEEITISTDYDIKGDNTMICMSYKKLAYDVKPGMVILCADGTISFSVLSCDTEKGLVRCRCENTAVLGERKNVNLPGVIVDLPTLTEKDKEDILTWGVPNKIDMIALSFVRKGSDLIEVRKLLGEHAKNILLMSKVENQEGVANFDEILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNLQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPEVAVKTMARICIEAENTINYADVFKRIAANAPVPMSPLESLASAAVRTANSSRATLILVLTRGGSTAKLVAKYRPGMPILSVVVPEIKTDTFDWSCSDETPARHSLIFRGLVPVLSAGSAKASHAESTEEALDFALQHAKEKGLCKAGDAVVALHRVGTASIIKIVNVK >cds-PLY84715.1 pep primary_assembly:Lsat_Salinas_v7:5:230193623:230194420:-1 gene:gene-LSAT_5X110461 transcript:rna-gnl|WGS:NBSK|LSAT_5X110461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLESVFCFWGFDRDLGFWVLIVGCLKKFMDLLGLLILLYFGIKIFNVGFFNRFLNWLCLRNCLHGNSDSKMSICKCGWMSFFNIPTSPMIEKWEVVKETVNSNERSRSGADKQEHCDEEDQVFDVMTLRRLVKIERQRAHDAYIELEKERMASTTAAEEAMALILRLQNQKSVLEMEAQQHQRLSHEKQLHDQEVIQSLRWIVMKHESERSILEDRLRLYKQRLKLYQSYDGEDGSEWINGSLSRLDNLDEGLVSSLELGLSPW >cds-PLY83435.1 pep primary_assembly:Lsat_Salinas_v7:5:330034244:330036976:-1 gene:gene-LSAT_5X186681 transcript:rna-gnl|WGS:NBSK|LSAT_5X186681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNLVVKVRRDKIAACMTCPICKKLFRDATTISECLHTFCRKCIRKKLSQEELECCPICNIDLGCVPLEKLRPDHNLQDLRAKILPYKRRRVKAPEVVVPLRRKERSLSSLVVTAPRVSPSPQTPTKTTITMTGKRSKVTPRKKSRGSSFSIEKPLLKKQQEQQDSISMEESSTSHETSNKITHNSKQKNCSTGSHDEREGGEGEAWDWKPLNDLVEAANRSKSSKLTSVVVKSEACVIKSEGGHVQRKAKGKDELSNKVEDDDDKDKDTATEVVTPPIIKMRRNRKKKSADSTVVDAVDSSREKTKRTYPIWFQLVPFQQQEGEPLRQIEGRYVKLNDGNVPVSIIQKLVMNKLELPSEHEVELRCMGERVMPTLQLRNLMELWVQAHPDIITAKIGSSAHQFLMEISYAKKPNPNPNPIPP >cds-PLY77793.1 pep primary_assembly:Lsat_Salinas_v7:2:169460773:169463534:-1 gene:gene-LSAT_2X92000 transcript:rna-gnl|WGS:NBSK|LSAT_2X92000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQVSNYGVFCQSPCLRNFSSSHTSMCSSFWGKEFCLINGVGISEKLQRVGSGGRGFRVFAMSASTNSRFKMNLNEYMVTLEKPLGIRFALSLDGKILVHSMKKGGNAEKSRIIMVGDTLKKASASPGGSLIEIKDFSDAEKMMLEKSGLCSLVLERPFSPFPIQHLHHKSDLDVQFNRGRVPIATWNKSILTSSLRTSFEGNGNSGFIMFTPRFSTPKGWNLLINQNGQPRARTRKNIPDEPFTQLVTIFSEEGSGDDWSHGGFPLEEYIKALDRSKGELYYDHSLGMRYSKITDQMYVGSCIQTESDIETLANVSGVTAVLNFQGGVEADNWEINSKAINESCQQYNILMINYPIREGDSFDMRSKLPFCVGLLLRLLKKNHCVYVTCTNGFDRSPACVIAYLHWMTDTSLHAAYNYVSGLHTCRPDRAAIAWATWDLIAMVENGKHEGPATHSVTFVWNGHEGENVELIGDFTGNWKEPVKAIHKGGPRYEAEVRLAQGKYYYKFIVNGDWRHSTTSPTERDDRGNVNNILEVGDVASVRPSIQHPKKDANIVKVIERALTENERFMLAKAARCVAFSVCPIRLAPK >cds-PLY71461.1 pep primary_assembly:Lsat_Salinas_v7:7:190227193:190230907:1 gene:gene-LSAT_7X115941 transcript:rna-gnl|WGS:NBSK|LSAT_7X115941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQEDDVRRLLSFKKSSVDSDTNGVLSDWMSTSTSSPCSWRGVVCSKDSRVTAVNLTGGYLSGTLNLSDLMSISTLTDIYFAGNYFSGNLSFSSSSCSLQTIDLSANNFSATVDVQSLFLTCTSLRSLSLSKNKLSDSSVLSVSLSNCANMIMLNFSGNKFAGNLPSTLASCKYLSSLDLSNNWLSGDIPIGFIPKGPFTLKFLDLSGNNLTGKFQNLDFGSCQNLTVINLSRNEISGSGFPFSFTNCHLLERFDISHNALRMKIPGVMIGNFKSLKSLSLAYNQFFGEIPSEVGNACSTLEELDLSGNQLTDALPSTFRSCASLSTLNLAHNYLSGDFLTTVVSSMSSLKYLSASFNNITGNLPMALANCSQLQVIDLSSNDFTGNIPSEFCSATTPFSSLEKLLLANNYLSGIIPSNLGSCKTLQTIDLSFNSLTGAIPSEIWNLPMILDVVMWANNLTGEIPEGICVKGGNLQTLILNNNLITGTLPNSIASCTNLLWVSLSNNKLTGEIPNGIGNLINLAILQLGNNSLTGGVPLGLGKCKSLIWLDLNSNSLDGMLPKELADSAGFVSPGPVSGKQFAFVRNEGGTACRFAGGLVEFEGIRKERLEGFLNYHFCPSTRIYSGLTMYNYGSNGSMIYLDLSYNLLTGSIPESYGSLSYLQVLDLKNNNLTGDIPSSLGNLKTALLLDLSHNSLQGFIPGSLGGLSFLNDFDVSNNNLTGPIPAGGQLTTFPAEKYQNNSGLCGLPLIPCGSVNGHSGVKHGRKRTASMATGVVVGILVSLICIMILTFILFKMKRYQKKVETRDKYIESLSTSGSSSWKLSGVPEPLSINIATFEKPLRKLTFGHLLEATNGFSADTLIGSGGFGDVYKAQLKDGSVVAIKKLIHVTSQGDREFMAEMETIGKIKHRNLVPLLGYCKIGEERLLVYEYMKWGSLEAVLHDRVKGGGCVPILNWGSRKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDEDFEARVSDFGMARLVNALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSYGVVLLELLSGKKPIDTMEFGDDNNLVGWAKQLQRERRIRDILDPELLSDKACESELFHCLKISFQCVDDKSWRRPTMIQVMAMFKELQEDSGSDILDGMSMKSSVIDESQEKDHN >cds-PLY72970.1 pep primary_assembly:Lsat_Salinas_v7:9:123667200:123669241:1 gene:gene-LSAT_9X81640 transcript:rna-gnl|WGS:NBSK|LSAT_9X81640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKSTVRSLQTMKSLNHLYKVCNGTNTSRSYCIDSSFKVLPFAKKFSTLNSDTIDEIFDLQNPNQVPRTGSGQDWSSRESNIEYSQHPNGFYRETSMDFQRSLSGAFGQSCYMGDHGLHRHSHYNVNGCYNQKSSAANFQISNRCYSGMVKSQPNEKSHEAGEGKGLLEELNALCKDKKLEEAVEVLGLLELKKVTVEMPGYLFLMKACGESQALKEAKLVHNQLTRSGHHLDVHICNKILEMYSNCGSMEDAYNVFDKMPKRNLTSWDTMITEFAKNGRGEDAIKMFSEFEKVGLKPDNQMFHGVFAACSVLGDMKQGLLHFKSMIKTYNLVPSMDDYVRVVDMLGSSGYLNEALELIEKMPMKPSAEIWEIMMNQSRVHGDLELENRCAEIVNLLDLSRLDKQPEKGFIPIKPSDIAKEKENQKNKSSTLNLLKIKTETFPFRASDTSPLDHEKIYSQLRCLKQHMVEVGYVAQTRLVLHDVDHESREEDLLSHSRMLALSEALLTSPARTPIRILNNNRICADCHDAFKIISKLVGRSIVARDERRFHHFESGVCSCKDYW >cds-PLY98546.1 pep primary_assembly:Lsat_Salinas_v7:1:38068415:38076410:-1 gene:gene-LSAT_1X29701 transcript:rna-gnl|WGS:NBSK|LSAT_1X29701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLSMSTVATKIERWASSIWWLLMMMIAILIFRPTQGGCIEEERRALLDIKSSFINSYDSNPEHLLPTWIDYGNGSECCDWERVKCNTTTGHVTELSLCNVNEISNQHVSYGFRGYWPLNVSLFLHFEELTSLNLSNNYLDGAIMKTELGKLKSLKKLEILDLSRNFDIDNGIIPSLGALTSLKILDLSTTDLGGYFPTNGKFYKFAALENLEMLDLTGCHFSGTFQIQGSERVSILNKLEILNLGGNNFNDSLITSLRFIPSLKTLDLHGIALSRSFPAQELAHLTNLEKLDLSFTGLKGIPNIEANSEFDMLPTLEALFLKTNGFRGTLPMEAFTSLHNLEVLDLRENNFVGIIPSAIQALSSLRVVSFANNKLNGSLPDGFCELKNLRELDLSDNMFDGSLPQCFSSLSSLKLLDISSNQFTGKLLPSLIANLTSLEYVDFSHNKFEGSFSFSSFSNHTKLEVVVFSSDNNKFEVETEEPIGWIPMFQLKILALSNCNVNRRKGQVVPRFLLHQRELILVDVSHNSLEGQFPNWLIDNNVNLQILNLRNNSFGGIILMPLLTNSNMNGLDISENHMNGTIPEEMQKFLPYISVLNLSRNALSGVIPSSICNLSELLIMDLSDNELSGEVPKEFLTNHSQISILKLSRNKLHGQVLSGNLRLGNFRRIHLDSNNFTGEIGTKSTEIYEGLSVLDISNNFFTGMIPAWISNMRGVDVRCELLARNNSLEGSFPCGTAQFSFLDISQNSFSGPIPSCLDLQYMEHLHLGSNRFTGSVPNAFRNLTNVLTLDIGNNNLSGMIPEFLGELSKLRILLLRKNNFSGVIPKQLCKLSNASLIDVSYNSLFGSIPSCLQNITGPVHLAFIEKSLMTYSIMSFYTHSSVLNKMFYPQRLNWMFETQDEVRFTTKSLSLPYKGDILDIMSGLDLSCNKLTSEIPEELGLLTQIRALNLSHNQLIGPIPVNFSNLANIESLDLSSNGLTGKLPSELIKLTSLSIFNVSHNNLSGRLPEMKSQFGTFTEASYEGNPLLCGPPLEKKCTTNSQVTHPSVEEDNDKWYDINMTSFYGSSSSTCIVVLFGFFALLYINPHWRRRWLDWVEDSFASFQHLEVLDLSWNSFVGSIPLAIQALSSLRALSFSENNLDGSLLDHGLCELKNLHELDLSNNMLHGTLPQCLKNLSSLKFLDISLNRFRGILPLSLVANLTSLEYIDFSHNLFEGSFSFSSLSNHIKLQSIRFRSDNDKFEVETEEPVGWIPMFQLEILELSNCNMKMPPGFLLQQHNLRQVDMSRNSLEGHFPNWLVKNNMYLERLVLRNNLFGGMPLYRNANMKWLDMYGNGMIGTIPDDIPKFFPNIRYLNLSMNSLSGVISSSIGELSQLWILGLSDNELSGEVPKG >cds-PLY91483.1 pep primary_assembly:Lsat_Salinas_v7:7:143603857:143604078:-1 gene:gene-LSAT_7X85940 transcript:rna-gnl|WGS:NBSK|LSAT_7X85940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEGRISSKVSGMIKDYESRLLEKIDLCDHSNEMRVNAQKSTFEGDLKELKLVAKEIHVLFVQDVKKVREDVN >cds-PLY62387.1 pep primary_assembly:Lsat_Salinas_v7:7:107921015:107927145:1 gene:gene-LSAT_7X69480 transcript:rna-gnl|WGS:NBSK|LSAT_7X69480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLKIQLTANKFYLSSSTRRGVIIFNQTHPNLVLLPLPRYNRIKRRKSGFRVSASVNPDGSEDGSAWSKFSRSIRRSSEVLLDKFGDSLKKETGFDLQDANARISGFVGGTQDSVLKYLTQFSAWNDWQRWKDINNWEPTRVGVLAIYVLVMVVSCQRIFMAVRAPFLHRQIEEASEAYMEALIPEPSPSNIRKYKKGLWRKSIPKGLKMKKFIEGPDGTLIHDTSYVGEDAWDDDDDDATASKDKVKEIIDHDVRLNSQDKRELQEELGISDVNQEESTRTWQERLQIWKEILRKEQLAEQLDSSRAKYAVEFDMKEVEKSFREEDAKAKATAEGTRALWISKRWWRYRPKLPYTYFLEKLQTSEVAAVVFTEDLKRLYVTMNEGFPLEYVVDMPLDPYLFEMITNSGAEVDLLQKRQSHYLLKLVIALLPTILVLCFLRESIMLLHITSKRFLYKKYNQLYDMAYAENFILPVREVDETKSMYKDVVLGGDVWDLLDELMIYMRNPMRYYEKQVKFVRGVLLSGPPGTGKTLFARTLAKESGMPFVFASGAEFTDSEKSGAARINQMFSIARRNAPAFIFVDEIDAIAGRHARKDPRRRSTFEALIAQLDGDKEKTGVDRFSLRQAVIFICATNRPDELDLEFVSGGRIDRRLYIGLPDANQRLQIFGVHSSGKQLAHDVEFNKLVFRTVGYSGADIRNLVNEAGIMAVRKGHTKIYQQDIVDVLDKQLLEGMGVLLTEEEQQKCEERVSAEKKRLLAVHEAGHIVLAHLFPRFDWHAFSQLLPGGKETAISVFYPREDMVDQGYTSFGYMMMQMVVAHGGRCAERLVFGDDVTDGGTDDLEKITKIAREMVISPRNARLGFATLTNRVGLANRADTTDGELIKYRWDDPHVVPADMTVEVSELFTRELTRYIQETEEVAIKGLTQNRHILDLISRELLEKSRITGVEVKEIVGRMSPQMFEDFVKPFQINAEEEGPLPHNEQLRYQALDVYPAPLHRC >cds-PLY92880.1 pep primary_assembly:Lsat_Salinas_v7:4:197916695:197918372:-1 gene:gene-LSAT_4X111321 transcript:rna-gnl|WGS:NBSK|LSAT_4X111321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRNFSKIQSMAIYQDLNRNARHHHNSIDEDQIQTEEEAAYNLLHEKLNDLLATLPKDKGWRTTNVFLYKGFWLGPTALKSLLMIHEYFHPRSSDIFLAAFMRCGTTWLRALMFATANRHLYKFYDHPINHTGSHDTFPSLDSQILLEYPVSNFEHLPSPRLFATHFAHSLLPISMTSPLSSSKFVYVCRDPKDVLISKWHFMSKIRSKELTPISFNEAYELFCNGVSEFGPFWDHVLEYWKASQESPEKVLFLKYEDIQREPSVELKKLAAFMGMPFTAEEEKGGVVERIVKLCSYENMSNLEVNKEGGGAQKFAQVVVENRDYFRKGTVGDWKNYLTEEMRERIDSITETKFKGSGLTLGLGL >cds-PLY69271.1 pep primary_assembly:Lsat_Salinas_v7:7:130831574:130832630:-1 gene:gene-LSAT_7X77861 transcript:rna-gnl|WGS:NBSK|LSAT_7X77861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRGSAMVAAVVLSLMLVSLHCQVAHAATYVVGGRSGWTFGLSNWPRGKNFKAGDILVFNYKIGAHNVVAVNKAGYKGCSNTPRNAKVYSSGKDQIRLVKGLNNFICTFPGHCDAGMKIQVLAS >cds-PLY69673.1 pep primary_assembly:Lsat_Salinas_v7:5:212355701:212360904:-1 gene:gene-LSAT_5X99060 transcript:rna-gnl|WGS:NBSK|LSAT_5X99060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPRSYSILIHPPFTICYQLSPFLRTPSSELGFTLALISNFLTPASQLFGPKSPAATPPLFFRLYRSSKLDSTSHFITQSPFQNINSHIRLSSPSKRTYTLIVAMEGTGKIYWLIYHKFNLSAYNTILYGCFLEQESVTDAHNVLDIMSLLGVHPDETTFGYLVYLYATKELEHKMIELHDLVSSEITKEDSNIMGCISSRVRHLGQLHCKTRMPRQLLHLFQCLKNDQQALVLEGKMLIKYVIMNAIALRKILKKYDKVHNSISGVNCRSKLQAEHLEILQSPRLIELVAFYMNFTPRELSFANARKASSKKDNASGS >cds-PLY93208.1 pep primary_assembly:Lsat_Salinas_v7:6:159878704:159879438:1 gene:gene-LSAT_6X98681 transcript:rna-gnl|WGS:NBSK|LSAT_6X98681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAYFRNGLGQYTSSVEYRTIFRYLLMISIFPADGICPVCHKACLDSFGEHAVHCKELQGFKYRHNMVKDVLVDICKRAGVSVKKEAPVNFLTDPTEGRTTLRPADILIFGWVGGKHAYVDLTGVSPLISLRVGGFTAGQTALKAATCKVTKHKKPYMENQHAFIPFAFDTFGFYAPDVVELLSRLQRIMHSHVISPRSIDVVFKTIGLPYKRA >cds-PLY86555.1 pep primary_assembly:Lsat_Salinas_v7:8:276932035:276936270:1 gene:gene-LSAT_8X157561 transcript:rna-gnl|WGS:NBSK|LSAT_8X157561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMGEMQLSQLAATHLLQHTLRSFCVHDNSQWVYAVFWRILPRNYPPPKWDGLGGTYDRSRGNRRNWILAWEDGFCNFAASTTNEINSDCSVYTDHEYQQYQGLQPELFFKMSHEIYNYGEGLIGKVAADHSHKWIFKEPNDQEINFLSAWNSSADSHPRTWEAQFQSGVKTIALIAVREGVIQLGSVHKVIEDLNYVVLLRKKFSYIESIPGVLLPHPSSSSYPIKAEGYNTSEAWRFQGSSLSMAPQEFCHRYNYNQPLNITPSMSSLEALLSKLPSVVPVSSSSPPVPPFCEAAPPQYEAAASRPMEFWGVEAKEEVEEEDVKDGGECSSSMSSYNHEHYGYHHDLNVNNCMQNNGY >cds-PLY98929.1 pep primary_assembly:Lsat_Salinas_v7:7:49367501:49367920:-1 gene:gene-LSAT_7X34940 transcript:rna-gnl|WGS:NBSK|LSAT_7X34940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIAKVICKEQAGRFHEFGDFDEDDFRFSLDLIEEGVSTKGIDSRGWTVFPLFNRDLLIKDEVKSKDNEIHASDSITSSLWKLFIDELEESSSCSSSEADELEALPSGTFCVWRPKTESGSSPVMRVEYFYRVSIEEEE >cds-PLY82683.1 pep primary_assembly:Lsat_Salinas_v7:MU041506.1:70351:71410:-1 gene:gene-LSAT_0X27180 transcript:rna-gnl|WGS:NBSK|LSAT_0X27180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMAALQLYSVLRYNMTVIDRKKNIFKLSQGEYVVVENLENVFGLFPDLDLIWIFGKSFEACLVAVTNPNKQAIEHYAEAHNSSGDFEALCENRKIKEYVLGELPKVRKENKLKGFEFVKAIHLDSVTFIVIMKAVTGALDMVNFGANC >cds-PLY64636.1 pep primary_assembly:Lsat_Salinas_v7:6:35107008:35109595:-1 gene:gene-LSAT_6X24740 transcript:rna-gnl|WGS:NBSK|LSAT_6X24740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 32 [Source:Projected from Arabidopsis thaliana (AT2G36870) UniProtKB/Swiss-Prot;Acc:Q9SJL9] MTFNQGFRNLWGPNHQSVHNNALDIWLDRTSGSGFKSVKPFRSGYFGASIKLQPGYTAGVITAFYLSNNEAHPGFHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGKIIGREMKFHLWFDPTKNFHHYAILWSPNDLIFFVDDVPIRRYPRKSSATFPLRPMWLYGSIWDASSWATEDGKYKADYRYQPFVGRFTNFKATGCSAYSAPRCHPVTASPGRSGGLSRRQHMAMKWVHSHYLVYNYCWDNKRDHSLTPECWK >cds-PLY63298.1 pep primary_assembly:Lsat_Salinas_v7:3:91522612:91523650:1 gene:gene-LSAT_3X68340 transcript:rna-gnl|WGS:NBSK|LSAT_3X68340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPMQTLSLCTLHPPLATLSTFTKTLENPSIFTLRTPHKTTNRSSNSYRRRITVFAVKGEEEQSTPSWAKPDSDEPPPWARNETQQTSSSSSFEPPFFVYLLASAITAIAAVGSVFEYVNQKPVFGVLNSDSIFYAPVLGFFAFTGVPTAAFLWFKSVEVANKEAEEQDRRDGYR >cds-PLY71178.1 pep primary_assembly:Lsat_Salinas_v7:2:133451397:133451970:-1 gene:gene-LSAT_2X61421 transcript:rna-gnl|WGS:NBSK|LSAT_2X61421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNHFLSIADMEKLPVGTAPPTSERGKLLLITTSGAGGGLTSVPIRMNYVRIKVPNVICNVKIMVHSVYLPFPHINPVVAAYDSILGGSKVSGGDQTMEGTCATLDGHEVVVSLQCLSQRQKKGAEEGEALRVPIRSMCWLGMEGYPKSLFRGLF >cds-PLY97333.1 pep primary_assembly:Lsat_Salinas_v7:4:285742929:285744998:-1 gene:gene-LSAT_4X145381 transcript:rna-gnl|WGS:NBSK|LSAT_4X145381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSREEVIKELEKKGMELNFDYETGGLSILQLLEQIDDIERLGLDYRFQNDIRRALNIIASIKENNTEHEEKEGSLHEASLRFRLLRKHGYNVSQDFLLRFKDGHGGFMRSLETDVRGLLSLYEASYLSFEWERDLHEAKLFATKHLMKLKCQENEAREDINHALELPSYRRMLRLQARWYIGAYSKRKDANMHVLELATFDYNMVQLEFKKELQEVSRWWKNIGLASKLSFVRDRLVECFFWSVGVVFEPQYNSCRVELTKVCTLITVIDDIYDVYGSLDELVMFTDAVKRWDINAVKHMPEYLQLCFRTLYNTINEIGSYTSIAQGQDIIPALVKVWGDLLESFLLEAKWTDNKYIPTLQEYMDNAWRSVSGVVILTHGYFLINQEFKEDVVENMEKYNDLLKWSSIVFRLCNDLGTSSDEIARGKTANAISCYMQESGACEKVARKYIKTLIDQAWREMIKARVACSQELTDPFIDMAINLARISHCVYQYGDGHGAPDARAKERVLSVILDPIPIKDN >cds-PLY79347.1 pep primary_assembly:Lsat_Salinas_v7:9:54852818:54855508:1 gene:gene-LSAT_0X44640 transcript:rna-gnl|WGS:NBSK|LSAT_0X44640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALEVTQILLNAQAVDGSVRKHAEESLKQFQEQNLPGFLLSLSGELAHDEKPVDSRKLAGLILKNALDAKEQHRKYELIQRWLSLDVGVKSQIKTYLLQTLTSPVHEARSTASQVIAKVAGIELPQKQWPELIGSLLSNIHQVPVHVKQATLETLGYLCEEVSPDVVEQDHVNKILTAVVQGMNASEASNDVRLAATRALYNALGFAQANFTNEMERDYIMRVVCEATLSPEVKIRQAAFECLVSISSSYYEKLAPYIQDIFNITAKAVKEDEEPVALQAIEFWSSICDEEIDILEEYGGDFTTADSDIPCFYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNLAMAGGTCLGLVARTVGNDIVPLVMPFIEENITKPDWRQREGATYAFGSILEGPSPNQLTPLVNVALNFMLTALTKDPSNHVKDTTAWTLGRIFEFLHGSTMDTPIITPSNCQQIITVLLQSMKDAPNVAEKACGALYFLAQGFEDVGPSSPLTPFFQEIVQSLLTVTHREDANESRLRTAAYETLNEVVRCSTDETIPMVLQLVPVIMMELHQTLEEQKLSSDEREKQNELQGLLCGCLQVIIQKLGASDATRYPFMQYTDQIMNLFLRVFASRSATVHEEAMLAIGALAYATGPDFAKFMPDFYKYLEMGLQNFEEYQVCAVTVGVVGDICRALEEKVLPWCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDISLAIGENFEKYLMYAMPMLQSAAELSSHTSGADDEMIEYTNLLRNGILEAYSGIFQGFKNSPKTQLLIPYAPHILQFLDLMYMEKDMDEVVMKTAIGVLGDLADTLGSNAGSLIQQSLSSKDFLNECLSSDDHMIKESAEWAKLAISRAISV >cds-PLY72083.1 pep primary_assembly:Lsat_Salinas_v7:9:197371609:197372563:-1 gene:gene-LSAT_9X122380 transcript:rna-gnl|WGS:NBSK|LSAT_9X122380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFFNKKSVIFLLVITFLICSSYASRLPQDRVEDGELVGVDGLGDVAKGLVNKIKQLFGGANTGPKKVFKIGVKTGKAYNTVTGKCLSQFKCSRPRELPGHVTCGAYCVYTFVASSGNFAQCQQTCKPNLNCTYQNGSIKCSP >cds-PLY97842.1 pep primary_assembly:Lsat_Salinas_v7:5:196666486:196667958:1 gene:gene-LSAT_5X88201 transcript:rna-gnl|WGS:NBSK|LSAT_5X88201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANQGAKKRRDENTRHMNNLFRIIIACNIPGFAVYKGWGLIKGFLPQGGSEGVEEDEKTRKKREKMEKKASRGKFVKAKAR >cds-PLY79747.1 pep primary_assembly:Lsat_Salinas_v7:4:265257152:265260523:-1 gene:gene-LSAT_4X137640 transcript:rna-gnl|WGS:NBSK|LSAT_4X137640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEQMEKFVGKRKKDFFEGFDDDDDSGMNFHARFGNKSYSWSFKSWEESFSQSQTNGFEWREDSNGANNRNKWRTPSDDDDESDDDYDDEPYIIGSNSDRITLGLPLNGPLKIDEVKNAFRLSALKWHPDKHQGPSQVLAEEKFKLCVNAYKSLCNALSRV >cds-PLY85939.1 pep primary_assembly:Lsat_Salinas_v7:2:189874536:189875276:-1 gene:gene-LSAT_2X111680 transcript:rna-gnl|WGS:NBSK|LSAT_2X111680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATINLRKGNTRLPPEVNRVLYVRNLPFNITSEEMYDIFGKYGAIRQIRIGTNKDTRGTAFVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQQAKMSKKFDQKKKEEELTKLQEKYGVTAKDLQ >cds-PLY81503.1 pep primary_assembly:Lsat_Salinas_v7:8:156971102:156973039:1 gene:gene-LSAT_8X104441 transcript:rna-gnl|WGS:NBSK|LSAT_8X104441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASCEGGAPAIGIDLGTTYSCVAVWKNNRIEIIPNDQGNRTTPSCVAFTDSQRLVGDGAKNQIARNPANTIFNAKRLIGRRFSDVMVQEDVKLMPFRAIEGPGDMPKVVVTHKGHERQFSTEEISSMVLAKMKEIAEAYIGCTVKNAVITVPAYFNDCQRQATKDAATIAGLNVVRMINEPTAAAIAYGVDNRFGGKKNVLIFDLGGGTFDVSLLTIDGVGKFEVKAVAGDTHLGGENFDNRMVNYCVDDFKKKWNKDLSGNQRALGRLKVACEKAKRILSYDTQVSVELDVLLDGIDFSLKITRAKFEELNKSFFEECIKQLETCLVDANMYKGDVDEVILVGGSTRIQKVQSMLQEFFDGKELRKSINPDEAVAYGAAVMASNLCCQLTTKMIKDLMLLDVTPLSLGRGVRGERMSVVIRRNTPIPTKKTKNYYITEDNKTYSNIKVYQGERTRSTDNFLLGSFTISGIPPAPKGSYLSVVEHCFEIDADGILTVTAKIVSTGKTKSLTVTNLSGRLSKHEIEKMVKDAEKFKLEDQKFKRKAEACNSLEDYIYDLKNKIKRKYMAPQDLKNVQNAIDDTEEWLSSGKVAAVDEIERRKEMLEFISRLAFSN >cds-PLY63511.1 pep primary_assembly:Lsat_Salinas_v7:5:326814906:326817977:1 gene:gene-LSAT_5X183281 transcript:rna-gnl|WGS:NBSK|LSAT_5X183281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSALAFRRSGARQLSNHGAPGARSSPFPIRPASLENKHPKFPDSQAQQISMGTQNFTALPSVSSTNGAVGHIFSSSTSGMSSDLHFSSVTSHQKHSETPPFISQSSGVLQSTTYMKENNNNSSWCTDSLPDFLDYPQDNAPIQSSNLQEDLGKQNDWQDWADQLITEDDAMTPDWNEILIDTNIIEPEPKMAYHVGGSSTNSMQIQAIPASSGEACIPLTPTPSSCGNSGSHSKPRMRWTPELHEAFVEAVNKLGGSERATPKGVLKQMKVDGLTIYHVKSHLQKYRTARYRPDPSSEGASEKKTSVEDFSSLELKTGLEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEKQCKPGGGINDNFKASSSSTAQVLDSTNEPGPNPVVGPGPDDDSQPSKRAKVDE >cds-PLY89965.1 pep primary_assembly:Lsat_Salinas_v7:2:192596282:192598580:1 gene:gene-LSAT_2X114281 transcript:rna-gnl|WGS:NBSK|LSAT_2X114281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIQYIPSSSYFHQIKPNPHKNPKTNPSWTSRFHQTRNSTPFCSYRSNTSYYYAYTTCQLQGEDSQFKRISKKQSKGDDPSPSLPITVSGRKKGSVAGAVALIIGTSIGSGILALPQKTSPAGLIPSSISLSLCWGFLLIEALLLVEINVGLLKKKKNVVKNENELEVISIRTMAQETLGELGGTIATLTYVFLGYTSMIAYASKSGEILHHLVRIPESVSGVFFTMLFAILISIGGTRTTDQVNQVLTISMIGLLVAIEVLAILFGGWSGFEGNGDWTKVPSTIPVMIFSLVYHDLAPVLCAYLDGDIDRIRTSVILGSIVPLVGLLVWNAIALGLSSQTEPLIDPVELLMRQKWDGVEVMVEAFSLLAVGTSIIGTLLSFSQFFKEQIVNNLTWNTSSSTQANSTLFPNTKSRTKLSWLVGKWWKNNRTGFTATAMVVAPSLFVSTTVPDVFSSATDIAGGYCMTMLYGVLPPAMAWAMHDDDDDDDDKGISRTRPVILAIGLFACGFIMEQMFIDLSIWWNHSV >cds-PLY85659.1 pep primary_assembly:Lsat_Salinas_v7:6:86090543:86090827:1 gene:gene-LSAT_6X59821 transcript:rna-gnl|WGS:NBSK|LSAT_6X59821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIDISHISLVVLLLFKLQISALMADVISSNPKLVLFIGVLFFFPLFSHPLEIPARHLLDYSPPPTTTVTTGDIGWFLAAAHEVPSGANPDSNR >cds-PLY90021.1 pep primary_assembly:Lsat_Salinas_v7:5:184885129:184885378:-1 gene:gene-LSAT_5X82241 transcript:rna-gnl|WGS:NBSK|LSAT_5X82241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFKFELAPVQVLLYEEIIGSLRKLKACCILARDKYKSLEERGIIVPSAKSSRYF >cds-PLY88501.1 pep primary_assembly:Lsat_Salinas_v7:5:46394822:46395800:1 gene:gene-LSAT_5X22381 transcript:rna-gnl|WGS:NBSK|LSAT_5X22381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLVPSMATQKPFAFAVGYKKAATMKSSRSTTKSSIKLLTNVEKLRLLTKAEKAGLLSAAEKFGFSLSSIEKSGLLSKAEELGVLSAATDPSTPSALFSLSLFLLVLGPFFVYLVPEDYPWEIVLQVVVALVSVAGGSAAFAASNFVSNLQK >cds-PLY93729.1 pep primary_assembly:Lsat_Salinas_v7:3:169950512:169950759:-1 gene:gene-LSAT_3X104101 transcript:rna-gnl|WGS:NBSK|LSAT_3X104101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVSCLVHLKCLELSDTEVGNNGLRYLSGLVNLESLNLSFTLITDGGLRHLAVK >cds-PLY75991.1 pep primary_assembly:Lsat_Salinas_v7:1:41953771:41958349:-1 gene:gene-LSAT_1X35820 transcript:rna-gnl|WGS:NBSK|LSAT_1X35820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRIRAKIKRSSLYTFGCLRPPQEAPDEPHQFRGPGFSRQVCCNQPQIHQKKPLKYCSNYISTTKYNVITFLPKALFEQFRRVANVYFLLAAALSLTPVSPFSPYSMIAPLAFVIGLSMAKEAVENWHRFMQDMKVNMRKVNVHSGEGVFALKPWMNIRVGDVVKVEKDQFFPSDLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLSLDDDASFKDFRGTIKCEDPNPNLYTFVGNLEYERQIYPLDPSQILLRDSKLRNTGHVYGVVIFSGHDSKVMQNATKSPSKRSTIEKQMDKIIYVLFTLLVLISLISSTGFAIKTKTQMPSWWYLQAPDEEGLFDPNHAAASGFYHLVTALILYGYLIPISLYVSIELVKVLQALFINQDIHMYDEETGTPAQARTSNLNEELGMVDTILSDKTGTLTCNQMDFLKCSIGGTGYGMRSSEVELAAASQMAMDLEGNGQTGGQNGSEIEMETTTTPKEQSSQMCIKGFSFEDSRLMNGNWLREPNSEVLLLFFRILALCHTAIPELNEETGSYSYEAESPDEGAFLVAAREFGFEFCKRTQSSIFVRERYQSSQEPIEREFKLLNLLDFTSKRKRMSVIVQDETGEIFLLCKGADSIIFDRLSKNGRTFEEATTKHLNDYGEAGLRTLALAYKTIEKSEYLAWNDEFMKAKTSIGGDRDAMLELVSDMMEKDLILVGATAVEDKLQPGVPQCIDKLAQAGLKLWVLTGDKMETAINIGFACSLLRQGMRQICISMNVDMFSQDSKKAVKDNIATQITKASQMIKLEIDPHAAFALIIDGKTLTYALEDDIKHQFLNLAVDCASVICCRVSPKQKAMVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTGFSGQSVYDDWYMLLFNVVLTSLPVIALGVFEQDVSSEICLQFPALYQQGPRNLFFDWYRIFGWMGNGLYCSLIVFFLNIIIFYDQAFRAGGQTADMTVVGTAMFTCVIYAVNCQIALTMSHFTWIQHFLIGFSIIAWYVFLILYGMMSPELSGNAYKIFVEALAPAPIFWLSTLLVTVACNLPYLAHISFQRSFNPMDHHVIQEIKYYKKDVEDRHMWTRERSKARQETKIGFSARVDAKIRHLRQMLQKKSSVLSPRVALAATRE >cds-PLY97134.1 pep primary_assembly:Lsat_Salinas_v7:MU038306.1:349870:351590:-1 gene:gene-LSAT_0X47300 transcript:rna-gnl|WGS:NBSK|LSAT_0X47300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDGYSSSNKKTDDHWDNVCSQEVSRMDRIRCMMQTLDLRTLLVLFIVIPVGFVGIYFHGQKVTYFLRPLWQSPPKPFVHIPHYYHENISIASLCKLHGWEVRDYPRKVYDAVLFNNEVDMLKIRWKELHPYITQFVLLETNSTFTSIPKPHYFAINREKFDFIETRLTYGTIGGRFRKGENPFIEEAYQRVALDHLLRIAGIEDGDLLIMSDVDEIPSAHTIDLLRWCDGPPPVIHLNLNNYLYSFEFNVDHDSWRASVHQYQKGKTRYVHYRQTDFLLADSGWHCSFCFRKISDFVFKMKAYSHTDRVRFSHFLDPRRIQNVICNGDDLYDMLPEEYTFRDIISKMGRIPHSYSGVHLPSYLLNHADKYKYLLPGNCVREAVSG >cds-PLY63336.1 pep primary_assembly:Lsat_Salinas_v7:9:133719748:133722870:-1 gene:gene-LSAT_9X86660 transcript:rna-gnl|WGS:NBSK|LSAT_9X86660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTLNLGLFRFVHKVHHCRPWGCWKDIANVVVNGNIVNLGLWDTVNYNKLRSLSYHGVDVFILAFSLIRKTSYENISKKWILELKHYAPEVLVVLVGTKIDKRRTYNKSIKF >cds-PLY63769.1 pep primary_assembly:Lsat_Salinas_v7:6:26845562:26847280:-1 gene:gene-LSAT_6X20540 transcript:rna-gnl|WGS:NBSK|LSAT_6X20540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRVASASEYLVITGFGIDDIKIAKKVWVLPGQSCSRFDISPVNYTFDVQAMSAEKLPFKLPAVFTIGPRIDDDDSLHKYAKLIAPHDQQSDHVKELVKGVIEGETRVLAASMTMEEIFKGTKDFKKEVFDKVQLELNQFGLLIYNANVKQMVDEPGQEYFSYLGQKIQQEAANQAKIDVSEARMKGEIGSKLRQGQTLQNAAKIDAETKIIATQREGQGKKEEIKVKTEVKVFENQREAEVAEANAELAMKKAKWAQDSQVAEVESRKAVALREAELQKEVEIMNAMTQTEKLKADFLSKASVEYETKVQEANWELYRKQKSAEAILYEKEKEAEAQKAMAEATLYSRQQVADGELYAKQKEAEGLVALAQAQGTYIRTLLGAMGGNYGALRDYLMINGGMYQEIAKINGEAVKGLQPKISIWTGANGGGEGGDGGAMKEVAGVYKMLPPLFNTVHEQTGMVPPSWMGKITES >cds-PLY79545.1 pep primary_assembly:Lsat_Salinas_v7:1:34666359:34667778:1 gene:gene-LSAT_1X32381 transcript:rna-gnl|WGS:NBSK|LSAT_1X32381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTEDKNYTQNATFDVKEKLNFVRDGAIKLPPGFRFQPTDQEIVFQYLVRKVFSCPLPASIIPEIINICKFNPWDLPGEWEQERYFFSKKEAKYGQGNRVNRKSGDGYWKATGFDKHITRCCSNNTISRKKDTITGMKKTLVFYKNKPSTTRTHWIMHEYHLVHSPASPTTTTNDKKSWIQMGNWVLCHVLLNKRSRKSFEDDTGKRLGSHEQMRPNFTRDDDDDDDDAISINCEEATSSSSSCGSSVVTQEESSSKSY >cds-PLY73874.1 pep primary_assembly:Lsat_Salinas_v7:3:39567952:39571180:-1 gene:gene-LSAT_3X29341 transcript:rna-gnl|WGS:NBSK|LSAT_3X29341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTTTFGSNLETSKYTIKPSCRQSSYTSPFSATTHKLSYLSKKPTNLSFRRHTKNGISCVVKSNHEEGLTYKEAGVDIDAGSELVRRIAKMAPGIGGFGGLFPLGDSYLVAGTDGVGTKLKLAFETGIHDSIGIDLVAMSVNDIITSGAKPLFFLDYYATSRLDVDLAEKVIKGIVDGCQQSDCALLGGETAEMPDFYAEGEYDLSGFAVGIVKKESVINGKNIEVGDVLIGLPSSGVHSNGFSLVRRVLARSGLSLKDKLPGEESVTLAEALMAPTVIYVKQVLDIISKEGNKGIKGIAHITGGGFTDNIPRVFPKGLGALVYNDSWPVPPVFKWIQKAGGIEDGEMKRTFNMGIGMVLVVSKEAAERVVKEEGGMVYRIGEVISGDGVGYQ >cds-PLY69416.1 pep primary_assembly:Lsat_Salinas_v7:5:302025002:302030566:1 gene:gene-LSAT_5X161381 transcript:rna-gnl|WGS:NBSK|LSAT_5X161381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAKALEPAFQGVGSKPGLEIWRIENFQPVPLPKSDYGRFYMGDAYVVLRTSNGRGGAYGYDIHFWLGKDTSNDEAGTAAIKTVELDAILGGRAVQHREIQSHESDKFLSYFKPCIVPLEGGVATGFKKPEEMEFETRLYICKGKRVVHVKQIQFSRSLLNHDDVFILDTKEKIFQFNGANSNIQERAKALEVIQYLKDTYHEGTCNVAIVDDGKLQAEGDSGEFWVIFGGFAPIGKKVLGDDDIILDRTPGKLFNIGGSEVKDEITGFTKSTLENDKCYLMDCGAEVFVWVGRATQVDGRKAAMQGAEEYITAHGRPKSTLVTRLIQGYETVAFKTNFESWPSAAAPQAENRGKVTALLKQQGVGPKGKDKNAPVAEEVPPLLKSGGKLEVWTIDGGAKKAVAHEDFGKFYSGDCYIVLYSYHTGEKKEEFYLCYWIGKDSTEEDQNTAAKLTTSMFNSLKARPVQGRIYQEKEPPQFVAIFQPMVVFKGGLSSSYKSSIADQTDETYSPDSNFIIRILGTAVHNNKAVQLDFVPEALNSYECFVIQSGSHVYIWQGTQSTFEQQEWAAKIADFLKHGVKAKFQNEGTESSTFWLGFGGKQSFTSTKVSLETSRDPHLFAFSLIKGKFEIEEVYNFDQDDLLPEDILILDTHAEVFVWAGQAVDSREKKNALDLGQKYIEWAGSLDGLSPHVPLYKVMEGNEPCFFTTYFSWDPSKPLGNQGGGHTQRASALAALNSAFQPGGGGGGGGGGGSVKGSSGGGGSQRRAAVAALSGVLTDVPVNEPSPEASPEAPEPEPEPPAEPEPVPEEDDSEPKVEIEEDENGILSSPSTFTYDQVRVKSENPVPGIDLKRREAYLSVEEFESVLGMTKADFYKLPKWKQDVTKKKVELF >cds-PLY61808.1 pep primary_assembly:Lsat_Salinas_v7:6:60743330:60743674:-1 gene:gene-LSAT_6X43600 transcript:rna-gnl|WGS:NBSK|LSAT_6X43600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSITTTTTTIAIFHIISIYLFISSPQLVASAGRGHGHGQVNVNVDVNVASVAIKTMSLEPKDQDFVTQKRPIFHGKEISGCMPKGRRHSSAPSRYVNNQPLFQSLGCSKVARP >cds-PLY77241.1 pep primary_assembly:Lsat_Salinas_v7:4:178444189:178445852:1 gene:gene-LSAT_4X106401 transcript:rna-gnl|WGS:NBSK|LSAT_4X106401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESPPIEEVIQSGVVPRFVEFLVREDFPQLQFEAAWALTNIASGTSEHTKVVIDHGVVPIFVKLLASPSDDVREQAVWALGNVAGDSPKCRDLVLAQGALIPLLSQLNEHAKLSMLRNATWTLSNFCRGKPQPSFSQTKPALPALQQLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEANVCPRLVELLNHPSPSVLIPALRTVGNIVTGDDMQTQYIINHQALPCLLNLLTNNHKKSIKKEACWTISNITAGNKEQIQTVIEANIIGPLIHLLQNAEFDIKKEAAWAISNATSGGSHDQIK >cds-PLY74684.1 pep primary_assembly:Lsat_Salinas_v7:5:177877398:177878415:-1 gene:gene-LSAT_5X79241 transcript:rna-gnl|WGS:NBSK|LSAT_5X79241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRDMCFRSFLGMKIDTLPGKLAYFVVDSFTTSSCSIRVRSGEVAITNETVQAMFGLPNKGLDFNTLDECDKNDPLIEAWKGQYGKGNYYNGNYLKNIRKKNIVDELFKLNFLTLFINTFAETETMGSCRINFIEKLIQCKDVSRINWCEYIVDCLGKSKNKWRPNDKNCYFTGPVALLMMAYADRLICEDVNLCRHRPFITEIDSEHLRVLEEYEVSKGVFGNLTLRENVDGVFYDAMMNQDNSKDISVEESCGIIESMVERLVEQK >cds-PLY64614.1 pep primary_assembly:Lsat_Salinas_v7:6:33629261:33630336:1 gene:gene-LSAT_6X25761 transcript:rna-gnl|WGS:NBSK|LSAT_6X25761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGARGGGRSGSHIGGRDAGDRNASQSHNNAESQPSSSSVRGSNILEQVPSNPSKRKFIEGLYVWPEATDVLARKVWEDCMKKRFPDIMRRAREESLKLAKAANVNASLEGDLNLLKDYHPNWIKKEYWEKMINEVWTTSKWKRLSQSGKNNRNKLEDGSVSKHTGGSISIRQHKKRMQATLKRPPTGVELYARLHTKRSTQEYITPKAAKVKVFSILF >cds-PLY98728.1 pep primary_assembly:Lsat_Salinas_v7:6:103251270:103254029:-1 gene:gene-LSAT_6X68800 transcript:rna-gnl|WGS:NBSK|LSAT_6X68800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQGKLFIGGISWETSEEKLKEYFGNYGEILQTVVMRDKISGRPRGFGFVVFSDPSVLDTVLQEKHTIDGRTVEAKRALSREEQQVSKAGNPSGGRSFGGGGGGGGGGGTRTKKIFVGGLPPTLSEEGFRQYFEAYGQVTDVVVMYDQQTNRPRGFGFISFDSEDAVDRVLHKTFHDLNGKQVEVKRALPKDANPGGGAGGGRSMGGGGGGSYGASGGNSGSYESRMDSNRYMQSQSGGGGGGGGGGGGFPPYGTPGYGYGPSNGMGYNGYGSYGGGTPGYGGAPYGNPNVAGAGYGSAPSGAARSSWNAQPSSGYGGAGAYGAAPWGGSVAGGGAQAAPPPTGQSPSGGAGYGSQGYGYGGGYGGGSDGSYGNAAGYGAVGGRIGGAPAANSGGAGGEIQSSGGVGGYMGSGGYGDANGYGNSGWRGDPSQASAGNYGVQGNGGQGGGGGYGGYGGGPVRQG >cds-PLY65833.1 pep primary_assembly:Lsat_Salinas_v7:7:112891513:112893246:1 gene:gene-LSAT_7X70361 transcript:rna-gnl|WGS:NBSK|LSAT_7X70361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYLCSLDMLLLSVLMFASVSVFLYRRRSRPVTNWPVFGMAPDLILNAYRVHDFATDLLKQSNGTFMLKGPWFANMDMLVTSDPTNIHYMLSKNFPNYPKGPEFRKIFDILGDGIFNSDHEVWEIQRKTTMSLLKHPDFNSHLEKNIRNKIEKGLLPLLDLISHNQQAIDLQEIFQRFTFDAICALLLDYDPETLSVHLPYNACEKAFTDAEEALLWRHVLPERVWKLQQRFGMGKEKKLTEACKVFDEFIYKCLSRKEEEFGNDGRVEKEETTGLLESLMTSFQGQTGISGDSRRFLKDTILNLMIAGRDTTSTALSWFFYLLAQNPSIESKIRREIENEVGDADWKSLGVKELRGLVYLHGGLCEALRLYPPVALEHKSPYDADVLPSGHAVNENSKIILSFYSMGRMEWIWGKDCMEFKPERWFSEGGKGGVKHEPSYKFTAFHAGPRTCLGKEMGFIQMKMVAIAIIYHYHVEVVEGHMVCPGDSIILQMKYGLKVRLIPIN >cds-PLY66913.1 pep primary_assembly:Lsat_Salinas_v7:7:19828284:19828668:-1 gene:gene-LSAT_7X16640 transcript:rna-gnl|WGS:NBSK|LSAT_7X16640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVATSLDPRWVCSTEEVEGARGSISGKGGFRYGRRDGKRGKGFALGGDRRAIWRGTASLRRFAGKSMGVCSCVLDWN >cds-PLY65609.1 pep primary_assembly:Lsat_Salinas_v7:8:39978892:39981044:1 gene:gene-LSAT_8X31220 transcript:rna-gnl|WGS:NBSK|LSAT_8X31220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFLNQFSVQYDNPNLNSQSLMGFSNDNFLYQQVLPPFDHQFVQSFQPVSQYEKKNIMVIHEPVATGPTISGKRKSMDVSASSSGNSSSHPVPENEINNEKKYQNSGKGKRVKASANEEAPKEVVHVRARRGQATDSHSIAERVRRGKINERLRCLQDIVPGCYKSMGMAVMLDEIINYVQSLQNQVEFLSMKLTEASIFHSFDSSDSKQMDILQMGNATQGVKMKRLEERGEIGPVDLSFGSYPSLPYHTT >cds-PLY72598.1 pep primary_assembly:Lsat_Salinas_v7:5:44574248:44575062:1 gene:gene-LSAT_5X21660 transcript:rna-gnl|WGS:NBSK|LSAT_5X21660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENPQKLCRKFKDVSLEELRDRLAEFAKVRNWDQYHSPRNLLLALVGEVGELSEIFQWKGEVERGLPNWSDDDKEHLEEELSDVLLYLVQLADVCGLDLGQAALSKIVKNAQKYPILD >cds-PLY64608.1 pep primary_assembly:Lsat_Salinas_v7:6:36382517:36384046:1 gene:gene-LSAT_6X28760 transcript:rna-gnl|WGS:NBSK|LSAT_6X28760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAATSTDLHVVMFPLMAQGHMVPMVDIARILALRGAMVTIFTTPVIANRFRSVIVRATEANLKIKLHELQLRLAEVGLPEGCESFDTLPSLESFVKLFAAISLLEEPAEILLRGLYPPPSCIFSDFLFPWTGDIAQRFNIPRLVFHGPGCFYLLSMHVAFASNMIDTIESNTERFVLSGLPDRVEFTKPQITGSFKARTAVQKEFFDRALASEKAAYGIVVHTFEELEPEYVKALKKAKDTNIWCIGPVSLCNKDDIDIAERGNKAAINENECLKWLDEREPGSVVYVCLGSLTRASTQQSIELGLGLESTNQPFIWCVRKKTEELEKWFSEEGFEERVSDRGLIVHGWAPQVLILSHRAVGGFLTHCGWNSTVEAVCAGMPTVTWPHFADQFLNEAFMVEILKIGVRIGVEVPLPFGEEDKTEALVKKEDVKRAVECLMDASEEGKERRKRVSELAEMAKRAMEEGGSSYVNVSSLVQDLTHMQLKTR >cds-PLY76364.1 pep primary_assembly:Lsat_Salinas_v7:6:170790037:170790727:1 gene:gene-LSAT_6X104521 transcript:rna-gnl|WGS:NBSK|LSAT_6X104521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEASEAYLVGLFEDTNLCAIHAKRVTIMPKDMQLARRIRGERA >cds-PLY77175.1 pep primary_assembly:Lsat_Salinas_v7:8:26958025:26960300:-1 gene:gene-LSAT_8X21060 transcript:rna-gnl|WGS:NBSK|LSAT_8X21060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDWQAAKAIIDERQELVVFSITANQETLLHLAASAKNTKQTQEFLQNLVNMLEMKDLELEDRNSWNAFQIAVANGSKEMVDIMLQKNQALLSIPNMKNDFPLSLSAFFGHHDLTRHLYQLSEGTIDTYMTTTDLNSFVRHCIRSEMFDITLKLLTRFPYKMTDQTYMIILDELARRPDSLNTPKQNMIWKMVDPFFVKLHMKKGPSENDDQALNLLKKLLSICTTFKLDDQLRILKGPSDSQNNYKHPSGVLFVAVETGNTAFLIELFQVYPHLLTARNVNDDSIFHVAVMHRHLGIYNLLYEIGKRRLLSTTHTNAQGNTILHVVAQSSKEIQPQATSGASLLMQRELLWFQDVEKMLPRALRENKNNEGHTAYQIFFNDNKDLISNGLKWMNDCMVTTTLIVTVAFAVAFTVPGGYNQETGFPIFHHETSFLVFVIADAISLFSSSTSLLVFLSILTSRYGQRDFLHSLPQKLMLGLLTLFISVVAMMVTFAASFFVLYNNGLKWVPIVISILAAIPVMLFALLQLPVWSDMFRSTFDSRYLFNPRRRRMLYNKSRV >cds-PLY96574.1 pep primary_assembly:Lsat_Salinas_v7:4:368247010:368247333:1 gene:gene-LSAT_4X180761 transcript:rna-gnl|WGS:NBSK|LSAT_4X180761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQFFGALCTTTEGAKEEDGDAPVRRTLVATWRVVGSSSVEINEGVEKGISMGLSSGKDESGVELAMGQEAGFRNLPEVEIEPKPILDFTFSGRKIHQISLHLRLIS >cds-PLY73384.1 pep primary_assembly:Lsat_Salinas_v7:6:111965440:111967915:1 gene:gene-LSAT_6X69280 transcript:rna-gnl|WGS:NBSK|LSAT_6X69280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMANLARKKAINLFNNTVISSGALRYSYSLSSTFSRGFASGSDENDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGALGGTCLNVGCIPSKALLHSSHMYHEAKTSFAKHGVKLDNVEIDLAAMMGQKDKAISTLTKGIEGLFKKNKVTYVKGHGKFLSPSEISVDTLEGGTTVVKGKNIIIATGSDVKGLPGITIDEKRIVSSTGALSLTKIPKKLTVIGAGYIGLEMGSVWARLGSEVTVVEFAPAIVPSMDGEIRKQFQRSLEKQKMKFMLNTKVVAVDTTTDVVKLTLEPSSGGDQSVLEADVVLVSAGRNPFTSGLGLETIGVETDKIGRIPVDERFATNVNGVFAIGDVIPGPMLAHKAEEDGVACVEFIAGLHGHVDYDKVPGVVYTHPEVASVGKTEEQVKEMGIPYRVGKFPMLGNSRAKSIDDAEGVVKIIAEKETDKILGVHIMCSNAGELIHEAALALTYGASSEDIARTCHAHPTLSEAVKEAAMATYDKAIHI >cds-PLY77952.1 pep primary_assembly:Lsat_Salinas_v7:1:23564938:23565292:-1 gene:gene-LSAT_1X20020 transcript:rna-gnl|WGS:NBSK|LSAT_1X20020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELITCLDAGTWGTMGVGLGYCIAAAIASPDRLVVAVEGDFGFGFSAMELPGASVDSYSRDTGNRQLDSSSRYAGYLHFYSSSSSSIEPT >cds-PLY93580.1 pep primary_assembly:Lsat_Salinas_v7:2:173562882:173566360:1 gene:gene-LSAT_2X95681 transcript:rna-gnl|WGS:NBSK|LSAT_2X95681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTFHATHEIIELEENSDFKSSKHGEKFNIEDEINRLFETIRVSAKTTGLAQVLKDKQKKSMKRPMQVGPYQASRIGISEPASLKQALRGLSISQASEMAAMKRLSKPVTVTSSESFEGGLVKQSQIAVEESFVPEPSGKIPKSLQNRNLKLKLSSLSTRSRKKLPVVDEIKPDSIKEVKRRPDLLVNKLDDKSRSKEKGEFSQSSKSSSLGECSSSTSFSCDSNISNFSRNSCRPHMSKDSRWEAVLCAQKHHGSLNLKNFKLIKKIGGGDLGTVYLTELIGSHCFFAMKIVDNELLTLRKKASRAQTEREILQLLDHPFLPTLFSQFTTDKYSCLVTEYCPGGDLHVLRQKQPNKCFSEHAARFYVAEILLALEYLHMLGIIYRDLKPENILVREDGHIMLTDFDLSLKCDPNPTVVINPSHSINPPKDKDSSSCIGHPFCLQPSWQVPCFTPNLKPNPTVTTATPQLIVEPHGARSNSFVGTHEYLAPEIIKGEGHGSPVDWWTFGVLLYELLYGRTPFKGIGDDETLANVVSEDVKFPDWPIVSFEVKDLVKSLLRKEAGGRLGSVKGAVEIKEHRFFEGLNWALIRCGTPPEMPKVCDVRGVSVKSLEKEFMGRFDEMF >cds-PLY86123.1 pep primary_assembly:Lsat_Salinas_v7:6:84314892:84315276:1 gene:gene-LSAT_0X8321 transcript:rna-gnl|WGS:NBSK|LSAT_0X8321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSSVPEHQRPVFTVRSLWPDGERAVGLLWTGNRHICESAVETVLRDGSINPMPELFSFGSTQVRYPIQVPTIIL >cds-PLY86870.1 pep primary_assembly:Lsat_Salinas_v7:8:49536391:49540279:-1 gene:gene-LSAT_8X37761 transcript:rna-gnl|WGS:NBSK|LSAT_8X37761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NSP-interacting kinase 2 [Source:Projected from Arabidopsis thaliana (AT3G25560) UniProtKB/TrEMBL;Acc:F4JA15] MDLEPLFLCLLALFFTSWASSATALLSPKGVNFEVQALMNIKNGLKDPHSVLNWDADAVDPCSWTMITCSSDKLVIGLGSPSQNLSGFLSPSIGNLTNLQTVLLQNNRIVGPIPYELGMLPKLQTLDLSNNMFNGEIPSSLADIKTLQYLRLNNNSLTGQIPQALANLTQLTFLDLSFNNVSGPVPKFQAKTFNVMGNPIICATGTAQDCNGTSPMSTSLSFNAQQKLNPSVMPKSHKMALAFGTSLGCFCLLFFGFGFVFWWRRRHNQQVFFDINEQYRDEICLGNLRRFQFRELQVATKNFTSKNILGKGGFGIVYKGVLSDGTVVAVKRLRDGNTVGGEIQFQTEVEMISLAVHRNLLRLYGFCMTSSERLLVYPYMSNGSVASRLKVKPALDWGTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHHDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGERALEFGKSANQKGVMLDWVKKIHHENKLDLLVDKDLKNDYDRIELEEMVQVALLCTQYQPNQRPKMSEVARMLEGDGLAEKWEAAKRVESNRGRPNEFLSSERYSDLTDDFSLLGQAMELSGPR >cds-PLY97291.1 pep primary_assembly:Lsat_Salinas_v7:1:44058087:44058786:-1 gene:gene-LSAT_1X37700 transcript:rna-gnl|WGS:NBSK|LSAT_1X37700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRNLWDDALSGSTSDSSLGKLRRYNSLLSRSNIPPSAAHADETTPVSRSITILRTNSLSSSPSTPSSPAGSSAAGSPFSATSPGGDFRKLTRRKSTTEARRESKSPTGYDWFVLFLSLISFVTNTFNR >cds-PLY66771.1 pep primary_assembly:Lsat_Salinas_v7:3:67181217:67182121:1 gene:gene-LSAT_3X52761 transcript:rna-gnl|WGS:NBSK|LSAT_3X52761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADTQPKRLREDDQEQEQEAIFSSEVDITKRHKSSYNQILSILDENTEEEHQETTQDLTDCFTALQHELSSSVPLQELIIESDQTVKEAGYDDKEMVIRHLLEASDDELGIPNRVADGNGGDDEIPTGEDGGFPVALSDGLWELDDDAANYYTLFHSEVII >cds-PLY74811.1 pep primary_assembly:Lsat_Salinas_v7:5:59212829:59214271:-1 gene:gene-LSAT_5X27621 transcript:rna-gnl|WGS:NBSK|LSAT_5X27621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSGSEKEIGMQTSSPYNNNHLIRESSSETVDHGLDPDRFPGTGASVTPISVGSKLLKSPLQMPRYRECLKNHAANIGGNITDGCGEFMPSGDDGTLEALKCAACNCHRNFHRKEYPTTSPAVGPFLQLPPPLPSPSPSPSFHHHHHHHRTPPAISLHHNHNHHHHPNWASSINAPPVKMAFAGSVGGAATESSSEELNFTAGAVAPPYGVAKKRFRTKFTQDQKEKMLEFAEKVGWRIPREDDPEVQRFCAEVGVKRQVLKVWMHNNKATSGKKLLQDSNESIN >cds-PLY87178.1 pep primary_assembly:Lsat_Salinas_v7:5:153964253:153967269:-1 gene:gene-LSAT_5X67861 transcript:rna-gnl|WGS:NBSK|LSAT_5X67861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFRYTDVFSLLVAILATTHFLSSNLEFVKFYIEEFKVISNLPVSIIANQYNTRLDGHHHHHHEKKAKVVDICDDFPRDSVPQDTNTTTTFCVDRNGCCNFTTIQSAIDATITANPKRTLIWINNGIYFEKVIIPKTKPNITFQGQGYTSTAIVWNDTANSSHGTFYCASVQVFAANFVAKNISFMNVAPIPKPGDVGAQAVAIRVNGDQASFWGCGFFGAQDTLHDDRGRHYFKECYIQGSIDFIFGNAKSLYEDCQLISMAPPVAVGQKNINGAVTAHGRASADEDSGFAFVRCNLGGTGRIWLGRAWRPFSKVIFAYTFMSDIVAPEGWNDFNDPSRDQSIFYGEYMCMGGGANTSMRVPYAQKLNDTQASLFLNASFINGDQWLQLQV >cds-PLY78974.1 pep primary_assembly:Lsat_Salinas_v7:6:165605984:165608823:-1 gene:gene-LSAT_6X99960 transcript:rna-gnl|WGS:NBSK|LSAT_6X99960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLIEAVTVSKSKSAPSAGKCFPPGFRFHPTDEELVLYYLKRKICGRSLKLDIIGEVDVYKWDPEELPGQSKLKSGDRQWFFFSPRDRKYPNGGRSSRATMNGYWKATGKDRIIKRKSFPVGIKKTLVYYQGRAPSGRRTDWVMHEYTMDEEELKRCPFTQEHYVLYKIFKKSGPGPKNGEQYGAPFVEEEWSDDEDCVDLDAFLVQKRNLIPIPIAVDDVKVVGEEEEENVFTNDIVEFLNKIIDEPEIPPQVPEVKETGNCFMESCGDLKDFLELDDLVGPQPGIADSIPEFGSLAEFDLYHDSITRFVEYGPENSGLGSEVLSHGNDEFQISCDLWDYNNHGDSSITTTTTTQTTQQAISYPSSGVLMEENPEFYTNQCPENDVDNGNGTGSWFSTALWSFVDSIPTTPASASEGSALVNKAFERMSSFSRGRNVNLAAAAASAANGSGLKRLEKPSSRGRGIVFFSVLGVLFAILWVFVGSSMELLGRCIW >cds-PLY87424.1 pep primary_assembly:Lsat_Salinas_v7:5:41888414:41889506:1 gene:gene-LSAT_5X19801 transcript:rna-gnl|WGS:NBSK|LSAT_5X19801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTSCLPVSATILLLLLFLSPTSIAVPISRTRNLMDERSLHIVSGYIPSVKESWEISESNDITERMNLEVNDYPGSGANNRHTPRP >cds-PLY76682.1 pep primary_assembly:Lsat_Salinas_v7:8:216507355:216507998:1 gene:gene-LSAT_8X134440 transcript:rna-gnl|WGS:NBSK|LSAT_8X134440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLRVKVGGLKDVVLSEPVSTTGQYFNSSVLSISILCVLEFENPFDDSTSLALVNNVFLPINPRFSSIMAEDKQGGKQWMRVEVNAEDHIKIPCFPEGLSTESYDHCFNDYLTKMAKDPLHCLLYH >cds-PLY78387.1 pep primary_assembly:Lsat_Salinas_v7:9:9290565:9291984:1 gene:gene-LSAT_9X6101 transcript:rna-gnl|WGS:NBSK|LSAT_9X6101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKRMMPWSDDDDDSSSPDSDASDKDENPKKNKKIVSGGGSGSTKSRVESVKRKSKGIDFEALSQHGYRGGLSVLKVPPPKESDKDRDWSWSTGQGQDRRAANETEESYQDRQKTRAMLLEGEQLVHARTQKEKNLLSFSQKEKRKRDMGQASRGKSYVEEEKRLLRENGIYSGFDA >cds-PLY67953.1 pep primary_assembly:Lsat_Salinas_v7:3:217298085:217301612:-1 gene:gene-LSAT_3X125200 transcript:rna-gnl|WGS:NBSK|LSAT_3X125200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGGDDDCFVVSNLFLSVVSHNRDWCYAFSLLIDSYTFCNQGAEFMESAIGGLRLVILKTLVLKFFLSPISKKEGLRLLCSRPHKCVDDGEPTIVYLWCIEGVLYCKVIQVPLVVDCLQPVVNIVPLQVLAAICDELPPKSVMLIYIAASGYLFTLCRGFCNYFMPETHVNGKVSSSHPSENSIWLGPTRNAGSNNLFPILCPFTDCYPSVFKQWDIPTTTTARWWHVSSTTSGCRL >cds-PLY71140.1 pep primary_assembly:Lsat_Salinas_v7:9:83688840:83690294:-1 gene:gene-LSAT_9X65120 transcript:rna-gnl|WGS:NBSK|LSAT_9X65120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAADSRKTTSEMLISRRPKKNRNRVNSISGSGNRDLNIADAPDRSPILVKPKTEQAAVVMAARKVPVLYYLTRNGNLEHPHLIDVPLSSPHGLYLRDVMNTLNYHRGRGMSNMYSWSFKRSYKTGYVWHDVSEDDLIESTNGHGHDYVLKGSELLETLQNPTETNQTGGDCNSTTTAAVAMIKRRNQSWSSFDNPQEYMVVKCESSRELAAKFAADAATQTEEQRRRRSFERERKEHVTVTLGREEIPSPPPSNSSSEVSEGARYIDPTVDVRSGDLTAEDRHDAGGRMKASEVLMQLITCGVHKSILG >cds-PLY98164.1 pep primary_assembly:Lsat_Salinas_v7:1:140020702:140021238:-1 gene:gene-LSAT_1X101541 transcript:rna-gnl|WGS:NBSK|LSAT_1X101541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSDLSEGSSSSSSIQCHRYDVFLSFRGLDTRHSFTNHLYNALVHANITTFLDDEEIETGEDLKPELESAIKASRAYVIVLSKHYATSRWCLDELVLILEQRRTSNLVVIPIFYHVAPTDVMKKESSFGDAMTKHRRMMEAETNADKRSKWAQKIDLWNKALSEVVDLKGMVANGR >cds-PLY70231.1 pep primary_assembly:Lsat_Salinas_v7:9:918820:921086:1 gene:gene-LSAT_9X4601 transcript:rna-gnl|WGS:NBSK|LSAT_9X4601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGSVNSETDLRQNQSPKHEGSERAEETHLNLNSSSSGEFDSGSGGLWSFGDLVKNLTTRSESVLETYRRDLKEFGSGLRKESDLFREVASRAVKELPSSIEVGTSAIDGVLKSTADIISQGKDALLEPSDVDDSDVSEAGQNYSDRSGLNSKVYSRFDSQLNAIQSDARTYCNDPEDLDDYNKWKLGFVLSDRESEIEKLIGDNGSVEAIYRKVVPNEVNDGSFWCRYFYRVQKLKQQEDVRANLVRRSLTVDDEEDLSWDVDEEEEEEAVLPEIEKKQSSNNESITVSSNVAESVQNPDEDGVDESKNKSSDVVNEDEEKKTIVADVSKNSEEPKQSGEHVSDEKVSQNLNAGKYDDVTEEDLEWDEIGDVGDNDEKEILQGNTPKKADVFKQLNSNSGENDEDLNWDIEDDDEPPVKAGNSK >cds-PLY63640.1 pep primary_assembly:Lsat_Salinas_v7:4:133897246:133897470:1 gene:gene-LSAT_4X83740 transcript:rna-gnl|WGS:NBSK|LSAT_4X83740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit c, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG00140) UniProtKB/TrEMBL;Acc:A0A1B1W4S3] MFVIVVGLAVGLASIRPGVGQGIVGGLVVEAIARQLEAEGKMRGTYLLSLAFMEALTIYGMVVALALLFANPFV >cds-PLY69185.1 pep primary_assembly:Lsat_Salinas_v7:3:204239152:204244543:1 gene:gene-LSAT_3X121920 transcript:rna-gnl|WGS:NBSK|LSAT_3X121920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSKQSGKENEDAGADADVDAGVVSSPRPVRTSDRLRKRPKSYNRYVMFPKSKRSKTKTRTAASQIAKMLNTRQNNSDSVLATLRRSTRQRRVSVNLDAYTDSTGTEDNDLMGPNPKYRSSRSRNNSSNRHKEKLLPRREGLRPRHSNSGSRGQLILESEDDERENYEENNNNNDQNGHENGNNEMEEEDGDDEGEGEEEGEEDEEEEEEEEEEEEEEEQEEGRRRYDLRNRSEVRRLEESSGKQRARSPRRVLQQGVKMSKHSRDVRRGGSGSSRVHKRHRISRTTEDSDDSLLVDELDQAMPMPWSRGANRSFPPPWLLGGPDMHGSLNIAASGWGQSQPSDVLSSLASGVQTAGPSSKGGADIQPLQVDESVSFDDIGGLSGYIDALKEMVFFPLLYPDFFANYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFTFQLPGLDARVEILDIHTRKWKQPPVKELKLELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFLIDVESVEVEKKHFMEAMSAITPAAHRGSVVHSRPLSPVVSPCLQRHLQNAMRVISDIFPVLEISSESSKFSMVVSGFALPLVYRPRLLLCGIEGAGLDHLGPSILHELEKFPVHALGLSSLLSDPSAKTPEEALVHVFGEARRTTPSILYLPQFHLWWENAHDQLRAVLLTLLAELPSDSPILLLGTSFVQLDELDGDPSSVFPSSSIYQVDKPITEDRSLFFDHLIEAAFSIPSEGGAKKSKKSAAVPELSKAPKVDTGPKVSELKAKAEAEGHALRRLRMCLRDVCNRFSAFHYPVLEEDAPDYHAVIQKPMDMATLLQRVDAGKYITCKAFLEDFDLILANAKIYNRDDYNGARIVSRAYELRDSVHGMLSQMDPALVSYCEKIAENGGPLTLPEEIGGSVPVAQMATTMTRASARLRNVQPEVNVDQSYEVIALKRPKKILDPSHPGSTPDEGSQPQEQPVVDQADPKPASPPPESIEVDPNPDEISESESKSKSKSTDTIMSDVETETKIESIKKLLLDRTTDYGVPQLERLYTRIVKGVFGLKIVDQDDFKPPVLEFLLGFVENEANF >cds-PLY89879.1 pep primary_assembly:Lsat_Salinas_v7:3:71027128:71027505:-1 gene:gene-LSAT_3X53040 transcript:rna-gnl|WGS:NBSK|LSAT_3X53040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDWLWRDHFVFVGWSSLLLFPCAYFAVGGWFTGTTFVTSWYTYGLASSYLEGCNFLTVVVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWTFVPLHGTFGLIGFMLRQFEIARSVQLDQRM >cds-PLY66607.1 pep primary_assembly:Lsat_Salinas_v7:4:283299769:283301245:1 gene:gene-LSAT_4X144281 transcript:rna-gnl|WGS:NBSK|LSAT_4X144281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQVTKLLNELYRSANTKQYPIAIMNFIVPTKVYDVNVTPDKRKVFFSNEDSLLKSLKEALLEIYAPHLASFLVQGPEELTRGGNNSRLCSPHGMSFSQFSLKQASPDGTDSKQEAYTEKQHVEFDTDMHEYDTFSGSYSYSRKHTDISNNTRNNSQATSYNSTMQKGTDSPHSTGVVQSLLTAFVSVNKIKHESISNNTLSEVPVLRTAKTDMEDLISRLNQEIVVKYYLTTKDEKVEMEEKDELLEELEDTKSKLDQLSKKHQELEIKSKAEVKILVKEVKSLRSSQVELKQQLNQSLIGKSEAEKLVQQEKKRMEVGRMNK >cds-PLY68870.1 pep primary_assembly:Lsat_Salinas_v7:3:63225612:63230750:-1 gene:gene-LSAT_3X49260 transcript:rna-gnl|WGS:NBSK|LSAT_3X49260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDDDAYSSPQFKRPLISEQSKHKGSTHKLTTNDALTYLEKVKDIFQNEKEKYEEFLKVMKDFKTQRIDTTGVIARVKELFKGHQDLLLGFNPFLPKGFQITLPLDDEQHHVKKPLEIEEAILFVNKIKMRFQGQDHVYKYFLDTLNKYKKENKSIKEVHQEVAALLNDQQDLLKEFTNFLPDSSHTECKVNVDQPDPDHEKACTIPDKENKAHGEKQKDTSEDTEQREHDQDDKDCDVTHHFTQNKPPRTLEDSVAELFHQDVHGQMLCLREKVKERLSNSDDYQSFLKCIAEYCTESITRPQLQSRVNSLLGAYPDLVEEVNEFIDRSEKTRSLWSDGHLPGVIKGNDGGKDGNSDGDEMDKNSSAIKEKYLTKSIQELDLSDCECCTPSYRLLPKNYPIPSASQRTKIGYEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTAKRVEELLDRINDNSIKKTENVVHIEDFTAINLRCIGRLYGDHGLDVMDVLRKNASLALPVILHRLKQKQEEWLRCRSDTKKVWAEIYAKNYHKSLDHRSFYFKQQDSKSLSAKALLAEIKETSEEKSIDDHILQSIAPGKKQNNIPHQEFKYSDLIIHEDLYQLMKYSVTQSASSEQTDKSVKIWTTFVEPMLGVPPRSTSTVDQAKTTNRGSSMRSNDNININNNGVKENGEFSPNGDFKRGSSRRSLDDNSENAFDSGSESADAEDHSPYVDHGNNGGSDGVVDALGDDTCPFSEHILKRVKPLMLHVPESLQSKEKKSRVFYGNDDFYVFFRLHQILYSRLEEAKEKSLVEKWRGSNDATPNDSYARFLDLLYSFLDGAIDSAKYEDECRAVLGTWSFPVFTLDKLIDKLTKLLLAIATDEVNNKLLDLYAYENLRKGERFVDELYNANASVIVNDSKIFRFECSSIPETYRHTRLTIQIINFAYEKSEPPASLMDPNFAAYLNTQLLSVDHRRKRHRIFLKRNKRKNGCGDDDSAMAKAMEGFHIVNGLEFKIAAFTYKVYYVMGTSDSLVRKGRKRVNNNNRMVSREVGNGNISISNAHSLKALKFLKFLFSRIQSMGT >cds-PLY80363.1 pep primary_assembly:Lsat_Salinas_v7:7:154546047:154548632:1 gene:gene-LSAT_7X91540 transcript:rna-gnl|WGS:NBSK|LSAT_7X91540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDTLGVNPEASASDIKKAYYLKARIVHPDKNPGDPKAAHNFQVLGEAYQVLSDPQKREAYDKNGKEGLKQETMVDPSAVFGMVFGSDLFEDYIGELYMASIQTVELEEESKVPEVRRQKIQERMKALQKERESKLIANLKDRLRLFVEGKTTEFVDWATSEAQRLSPASAVQLIHTVEELKKLNESKAKEEEIIKVIESKKDAMFNSLWQLNVVDIETTLSHVCQAVLRDSSVSKDILKQRARGMKKLGTIFQGAKSNYRRDNSLRQESTVQKTAASSSSSSK >cds-PLY78467.1 pep primary_assembly:Lsat_Salinas_v7:4:261628513:261631217:-1 gene:gene-LSAT_4X134121 transcript:rna-gnl|WGS:NBSK|LSAT_4X134121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIFFPITTGVFYHPKSLEFLFPSKSFKVRGLNCQILNAFCPRIKDKADYTKRVKCLANAIEKSPIPESQTDHTTGEELSPELSNRYIRGLCKAGNIDKAMVFLSQMEALGFRLSYASYTCLLTALVSVGRTLEVEAIFEEMVSSGFQPRIEVYNLLLKVFLRKGLLTLADKLMESMNAMGVWKNRETYEILLGYYVSAGRLKDTWEVVAKMKKDGFEPNSFVYSMIIELYRNNGMWKKAINLIAEVRENGVPLDKKMFNSMIDTFGKSGELGDALQVFDQMQQEGITPDITTWNSLIRWHCKHGDLTNALVLFKQMQIQGLYPDPSIFITIISRLGEQGKWDIIKKNFEQMKDGGHGQSGTIYAVLVDIYGQYGSFEDAEECINTLKLEGVPLSARIFCVLANAYAQQAIKVLQLMEREGIEPNLIMLNVLINAFGIAGRHMEALSVYQHIKESGASPDVVTYTTLMKALLRAKEFDKVGEWQVGIIYRDMESAGCSPDRKARELLQTAIMALQRRH >cds-PLY94914.1 pep primary_assembly:Lsat_Salinas_v7:4:112130000:112130677:1 gene:gene-LSAT_4X72380 transcript:rna-gnl|WGS:NBSK|LSAT_4X72380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRSLEILKAIGVRGGVVSRTVEDGGVVRMKILVKRQEMEQVLEQVMKKRDDNKENHIKVNNLRQLSRSLASKSLEPQLDDTKKMNIVKSDQVNRDCRSYWRPALQSIPEEF >cds-PLY80543.1 pep primary_assembly:Lsat_Salinas_v7:6:13428250:13429518:-1 gene:gene-LSAT_6X9901 transcript:rna-gnl|WGS:NBSK|LSAT_6X9901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSLLRYWRSNGGDGSANANVKTSAASPTATTIVTAVSTQTSDTGDDEDDENDHGPFFDLEFSLPVEGGDDEDTKDGGTGNDVDYGEEEEDEEDEEESDERELKFTLLSGSSGDSTNDANVSVSPSDELFFNGGFVPVDQTTETNLKPPQLRVSLMKSATKLRVMMLKFKKSNVKWSESSEANASGCSNSKEEKQTTDQTGEDEGSGKSMTVKFKVEEVPIVSLFKRHNSSKAPKKRQNDDVVSEEKKFSKESMQKYLRKVKPLYVRVSKKYGEKLKFSGQLNLPRATKSSMVASPASEEQKQSPKREKESIPEVSEPPLLMSNTKGLKQGNLPAGLRVVCKHLGKSRSASTAGGGRPPXXPPHLQEQYRQNVATIRYYNNRMGYRAPFYTARDHSKRPEVTKSIKFHFNHDFSTIDILQ >cds-PLY66065.1 pep primary_assembly:Lsat_Salinas_v7:2:206513890:206517242:1 gene:gene-LSAT_2X127341 transcript:rna-gnl|WGS:NBSK|LSAT_2X127341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVAVRAAVSAFRREEESQVHHSSKRRRERSDQAVSLSSIATRLALQVSFIKSELTTSPIAAASLGKVYKGRLKENGDLVAVKDQKIQRLFQSHTYIIVLVQSTIVRLGVWLLRYELDA >cds-PLY82895.1 pep primary_assembly:Lsat_Salinas_v7:4:18534579:18536747:-1 gene:gene-LSAT_4X12901 transcript:rna-gnl|WGS:NBSK|LSAT_4X12901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEKELERRSKFLSNLIQKKKATDQQNLHQQLNIRVKASDMSTVLQNKAFKCAKDHLDSMSPGNGIKIDSKRLALALKKEFDASYGPAWHCIVGSSFGSYVTHSLGGFLYFSINKVYVLLFKTTVDPMPMPMDHHR >cds-PLY81922.1 pep primary_assembly:Lsat_Salinas_v7:8:120106889:120110701:-1 gene:gene-LSAT_8X84340 transcript:rna-gnl|WGS:NBSK|LSAT_8X84340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALYDTLFSQLDVTSSQLLVTDNDFKNADFRVQLSQTVDSLLDLRSIPIFNENDAISTRRAPYEDSSGIFWDNDSLAALLAMELKADLLVLLSDVDGLYSGPPSDPRSKLIHTYVKQKHQKAISFGDKSRMGRGGMDAKVKAASNAAYSGTPVVIASGYAANNIIKVLNGERVGTLFHKDAHMWIPITEIGPHEMAVSAREASRRLQALSSKERKKILLDVAAALEANEQFIMLENDADVEIARDAGYDEALVARLALKPSKIRALAESVRKLAEMEEPIGKVLKRTELAEGLILEKKSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITSALPETVGTKLIGLLTSREEIPDLLKLDDVIDLVIPRGSNKLVSQIKNSTKIPVLGHSDGICHVYVDKAANLEMAKKIVLDAKTDYPAACNAMETLLVHKDLTNGGLQELVKELEQEGVTLFSGPRVNGLVNFTKANTFHYEYNSKACTIEVVNNVDEAIEHIHSYGSSHTECIVTEDHKVAEHFLSRVDSAAVFHNASTRFCDGARFGLGAEVGISTSKIHARGPVGVEGLLTTRWVLRGQGQVVEGDKGVVYTHKDLLKATSNGF >cds-PLY69431.1 pep primary_assembly:Lsat_Salinas_v7:1:64308535:64311170:1 gene:gene-LSAT_1X54681 transcript:rna-gnl|WGS:NBSK|LSAT_1X54681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKPFWRPCVGGAQSGRTDGLLWYKDLGSHVNGEFSMAVVQANSLMEDQSQIESGPLDSMNLGLYGTFIGVYDGHGGPEASRFVNENMFSNLKRFVSEDEEMSGDAIRKAFLSTEHQFISLVRDQWRTCPQFACVGTCCLVGVIVDGVIYTANAGDSRVVLGRVDKDSGSVSAIQLSQEHNVNHECVRDELRSLHPDDPKIVVMKHNVWRVKGLIQVSRSIGDAYLKNPEFNRAPLLPKFRIPQSFSKQILSPEPTISIQNVDPNDEFLIFASDGLWEQLSNEEVVDIVHTYPRNGIARRLVKAALQAAAKKREVRYLDLKKIERGVRRHFHDDITVVVVFLDSANPNSSVSMKGGVGLPATKKF >cds-PLY97187.1 pep primary_assembly:Lsat_Salinas_v7:5:152854593:152855933:1 gene:gene-LSAT_5X67581 transcript:rna-gnl|WGS:NBSK|LSAT_5X67581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMEDGLNLTLGLPGRAESDQESTITSFKNTNKRSQPETTDDCTESKGSSQHKESDIETSPAAKSQVVGWPPVRNYRRNNFQAKKMETESGMYVKVSMDGAPYLRKIDLKVYKGYSELLKALENMFKLTIGAYSERDGYKGSEFAPTYEDKDGDWMLVGDVPWEMFMLSCKRMRIMKGSEAKGLGCNL >cds-PLY81541.1 pep primary_assembly:Lsat_Salinas_v7:2:125369315:125371700:1 gene:gene-LSAT_2X58121 transcript:rna-gnl|WGS:NBSK|LSAT_2X58121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPDLFKATVVGVPYVDVVTTMLDPTIPLTIAEWEEWGDPRKEEFYFYMKSYSPVDNIVRVSSMLPNQGFNELDRLRHEVQVPWHLQILCQMFLGVGLVAGMVFYQSMMMVLHFPKEADCLFGELEWKYARLLHSLLFMLRLFSPHKTTLIFVIHDKTRTPLENLEHVLREDIQKVVLAKQRVNEYIYTQMMQETGSQQSM >cds-PLY96844.1 pep primary_assembly:Lsat_Salinas_v7:2:108470308:108473045:1 gene:gene-LSAT_2X49420 transcript:rna-gnl|WGS:NBSK|LSAT_2X49420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPLYKTLAVNSVLEVDQKVLDSMCAKIAEELKKLDEKALTIGQMQGKFQMVVVRHTGHAIQEVTPGFGNGFQATLKTSTTIQIHKVYGSFDRLPSAHTCLDKLVAPDHPFHSW >cds-PLY78672.1 pep primary_assembly:Lsat_Salinas_v7:9:49734387:49736711:-1 gene:gene-LSAT_9X45480 transcript:rna-gnl|WGS:NBSK|LSAT_9X45480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKVGGATTAKKKKGRPSLLDLKNRVLKKEELQNQQKLQRISTRRNPSSTAASSGYYVSANEDEYYDDDDDERREKKVKLVVRLPQSNQLQQQQASSDLIRYSSVNSASYFSELNDDVDNWKFNSGSVDTIIDYQGEKISKAMESSGPTTPLPDKKLLDFILDRLQKKDTYGAFSEPVDPNELPDYHEIVKHPMDFGTVKNKLDDGVYSNLNELEADVLLICSNAMKYNSSDTIYFRQARNIQELAKRDFGNLRQEGEEGELQPKVVKRGRPPSKHLKKPPGRPPLERVGPESATLATPEDNTTESTPYNLRRGPMLHNSCNREQPYSELLSEWNEEFPVRIRRADMKYGNIKDFVIDESRRETYKQYYVSNFCGEKKQLMVVGDGDGYARSLACFAANLGPVVWKVALKKMDKALPCVDAQGTTVESEGIFGKSSCWQQGAMEMRGGNIDNA >cds-PLY68229.1 pep primary_assembly:Lsat_Salinas_v7:4:195570795:195571559:-1 gene:gene-LSAT_4X112601 transcript:rna-gnl|WGS:NBSK|LSAT_4X112601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFATANPHRYSFSDHPLRRNGPQSAFPFLDTYIFLDYPITKFEHLPSPRMFATHFAHSLLPTSLSSPSSTCKFVYVCRDPKDALISKWHFMSKLRSKELPPLSFNEAYELFCNGVSEYGPFWDHVLGYWKASQESPEKILFLKYEDMKKEPSVELKKLAAFMGMPFSAEEEKGGVVAEIMKLCSFENLSNIEVNNEGGGAQKFTAQVAVQNRDFFRKGKVGDWKNYLTEEMREWIDSTTRKLTFSYGFREGSIL >cds-PLY89184.1 pep primary_assembly:Lsat_Salinas_v7:3:20627749:20630222:-1 gene:gene-LSAT_3X15361 transcript:rna-gnl|WGS:NBSK|LSAT_3X15361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:(S)-ureidoglycine aminohydrolase [Source:Projected from Arabidopsis thaliana (AT4G17050) UniProtKB/Swiss-Prot;Acc:Q8GXV5] MQSFSAFFAKSFLLLSTIFVTGFLSIAVTEQGFCIAPSIIESNSNAQTLYSKVTNPTLSPTHLQDLPGFTRSVYKSDHALITPESHVFSPLPEWSNTLGAYLVSPAMGAHFVMYIAKMQESSKSGLPPKHVERFVFVVQGLVTLTNVSGICQTLMVDSFAYLPPNSKHHFESYESSTLVVFERRYAYLEDHIPEPIVGSTNQQPLLDTPGEVFQLRKLLPTSLPYDFNIHIMDFQPGEFLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVEAGDAIWMAPFVPQWYAALGKIPTRYLLYKDVNRNPL >cds-PLY69589.1 pep primary_assembly:Lsat_Salinas_v7:1:13014053:13016535:1 gene:gene-LSAT_1X11001 transcript:rna-gnl|WGS:NBSK|LSAT_1X11001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRWPEQPVNIIMKWLKDHPSSLVVADFGCGDARLAKGVKNKVFSIDLVSKDPSVIACDMSNTPLETSSVDVAVFCLSLMGTNFPNYLQEAHRVLKPSGWLLIAEVKSRFDPNNGGADPDTFCEAVCELGFTSVSKDFSNKMFILLYFKKKPKNKVSKGINWPELKPCLYKRR >cds-PLY78744.1 pep primary_assembly:Lsat_Salinas_v7:9:49652262:49654860:-1 gene:gene-LSAT_9X45660 transcript:rna-gnl|WGS:NBSK|LSAT_9X45660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATSASALSIGSTSHASKTTQLLQPKTFGVNFTSRNNLKSSSFLNKESTAALRYSLTQKPQNHHQPPPSALKIQASFKVAILGAAGGIGQPLALLVKMSPLVSDLHLYDIANVKGVAADLSHCNTPSRVRDFTGNTELAACLKDVDVVVIPAGVPRKPGMTRDDLFNINAGIVKTLIESVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGMYNPKKLFGVTTLDVVRANTFVSQKKNLKLIDVDVPVIGGHAGITILPLLSKTKPSVTFTEQEAADLTVRIQNGGTEVVEAKAGAGSATLSMAYAAARFLESSLRALDGDSDVYECAFVESELTELPFFASRVKLGKQGVEAVIGSDLEGLTEYEEKGLEALKVELKGSIEKGVAFAQKQTVGV >cds-PLY66819.1 pep primary_assembly:Lsat_Salinas_v7:7:20203594:20207249:-1 gene:gene-LSAT_7X16340 transcript:rna-gnl|WGS:NBSK|LSAT_7X16340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSLPSFLLIFSFVFSAALPEVKAQQAKDEPYVGVNIGTDVSNLLPPAKLVSFLQQQKVTHIRIYDSDPEILKALSKTKIRVIVSVPNNQILGIGSSNTTAANWINKNVAAFYPDTLITTVAVGDEVLTTVPSLSSMLMPAIESLYSALVASNLHTQIKISTPHAANIILDPFPPSQAYFNQTISPVVVQLLKFLSRTSSPLMMNMYPYYVFMQNKGVVPLDNSLFKPLTPSKEMVDPNTLLHYTNVLDAMIDSAYNSMKNLNVSDVLVLVTETGWPSKGDSKEPYATIDNADTYNSNLIKHILDRSGTPLHPEYTSSVYIYELFNEDLRSTPVSEANWGLFYANSTPVYLLHVSGRGEFLANDTTNQTFCVAMEGVDGKTLQTALDWACGPGRANCSEIQPGETCYSPNNVQNHASYAFDSYYEKEGRSTSSCDFKGVAMITTTDPSHGVCVFPGSKIISNRTNTVVNSTNATSGMETSRFVGDNLRVFWGLIIGVIFCLFIL >cds-PLY75397.1 pep primary_assembly:Lsat_Salinas_v7:6:177797948:177798597:-1 gene:gene-LSAT_6X108161 transcript:rna-gnl|WGS:NBSK|LSAT_6X108161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid-specific 50S ribosomal protein 6 [Source:Projected from Arabidopsis thaliana (AT5G17870) TAIR;Acc:AT5G17870] MSVSAIFGVQIAATSSIPPLRQSSYNGATRVASPEVKYGREIGDNIGLMIECSSRPQKKATAHHRKTRPKKTAAWDRNRGPAVYPPLPDLPPEWTLVTDQAVEASPSSTSSPPATA >cds-PLY70991.1 pep primary_assembly:Lsat_Salinas_v7:9:70376526:70377139:1 gene:gene-LSAT_9X59821 transcript:rna-gnl|WGS:NBSK|LSAT_9X59821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNFRYVNKKFSNQYKATVGLDFLTKEVEFEDRLFTLQCKNEEEVVAVIAHELGHWKLNHTMYFFIAVQVC >cds-PLY90717.1 pep primary_assembly:Lsat_Salinas_v7:8:141750102:141756440:1 gene:gene-LSAT_8X96380 transcript:rna-gnl|WGS:NBSK|LSAT_8X96380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCAFSVDCNCIGDICLPRDPKVKETDNVGTSEIAVFSPKSSYKEDDGDTNQNPEAGITRLRRVSAQFLPPDGSRIVVIPTGNYRLQYSYLSQRGYYPDALDKANQDSFCIHTPFGTNPNDHFFGVFDGHGEYGTQCSQFVKQKLCENLLRNNRFHVDPVEACHAAFLTTNSQLHADNNVDDSMSGTTAITVLVRGKTLYVANSGDSRAIIAEKRGTEIVAVDLSIDQTPFREDELERVRLCGARVLTLDQIEGLKNPDVQCWDTEEGDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIVALDLTPDHLFFVIASDGVFEFLSSQAVVDMVSSFTDPRDACAAIVAESYRLWLQFETRTDDITVIVVQISGLTGVTVGQAGISSSALKPPIPQIVELSGSESPSTTMNWNAKNQRVRTDISRTRLRAIESSLENGQLWVPPSPGHRKTWEEEAHIERALHDHFLFRKLTDSQCHVLLDCMQRVEVQAGETVVKQGGEGDCFYVVGSGEFEVFATQEETTGAVPRVLQRYTADKLSSFGELALMYNKPLQASVRAVTNGTLWALRREDFRGILTSEFSNLSSLKLLRSVDLLSRLTILQLSLIADALSEVSFTNGQTIVDKGNGVSGLYMIQKGKVRITFDSYIRSQNATSLMSDDMESGSELIMEKTEGGYFGEWTLLGECIDSLHIVALGDVVCAVLTKEKFELVVGPVSQALQDGYRSRETSSDFPREPNKSINPSLFSNVQLSDLEWKTCLYSTDCCEIGLVQQKDSEHLFSLKRFSKQKIKKLGKEEQVLKEKKLIKNAKALPGVPQVLCTCADQTHVGILLNTLISCPINSILHSPLDESSARFCAASVVIALEELHKAGILYRGVSPEVLMFAQTGHVQLVDFRFGKKLDSERTFTICGMVDSLAPEIVQGKGHGFAADWWGLGVLIYFMLQGEMPFGSWRENELDTFAKIAKGQFTLPETFSPDVVDLITKLLEVDENERLGSKGADSIKSHKWFKGVNWKSIKDGTCTAPQEIISRIDQYLENRPTDNLSVSVSILPNEDIDELNTPEWLHDW >cds-PLY95366.1 pep primary_assembly:Lsat_Salinas_v7:8:226649303:226651189:1 gene:gene-LSAT_8X138841 transcript:rna-gnl|WGS:NBSK|LSAT_8X138841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFMVRLEVSEIRKRKWKRHQIIEFEEEKKGLITKLQVKGKICLVPDKCMYIKSSCRTLFGDLNRLFVTV >cds-PLY87476.1 pep primary_assembly:Lsat_Salinas_v7:2:134368046:134369948:1 gene:gene-LSAT_2X63561 transcript:rna-gnl|WGS:NBSK|LSAT_2X63561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGTLALSWRILANKSFSSSSMTPLVCLDRPDIWCPAPSPSEVSSHLTHDYNEHTAAEINGRSIANEIKATLATQVSNMKNSINKVPGLGVILVGKRKDSRTFVRIKKKACEQVGIASVVTELPDDCTESEVLDAVSMLNHNESIHGILVQLPLPNHLCEEKIINSVKVEKDVDGFHPVNMGNLAMRGREPLFIPCASLGCIEVLNRCSVEILGKKAVVIGRSMITGLPTSLLLQRHHATVSVVHSFTKNPEEITCEADILVSDVGVPNLVRSHWLKPGVVVIDMGSTLVKNSSDVCGDVCYEEAMHKASAITPVPGGVGPVTISMLLSNTLEAAKRAYQWTQKS >cds-PLY64214.1 pep primary_assembly:Lsat_Salinas_v7:7:4431490:4435344:1 gene:gene-LSAT_7X3381 transcript:rna-gnl|WGS:NBSK|LSAT_7X3381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLIKELAMLVFVGYLFLWVITPTYVYKQKWLVRVRAHTTSTYFGTQGPTMLLYTFPVLLMAVAGSIYLHLKKKSNRNRDQGHKEATSDNLTIWRRPMIVKTLGIVTKIELAFFIMFIALLVWSFTEYLHLSFAKITPQSAALYGEKVWESKLASVALQFGLIGNICLAFMFFPVTRGSSLLPLFGLTSEASVKYHIWLGHIVMTLFTSHGVCYIICWIVTKQTSEMLKWAKTDISVVAGEVSLVAGLIMWATTFPQIRRKMFEVFFYTHHLYIIFIVFFVFHVGIGYASIMLPGFYLFMMDRFLRFLQSRGSVRLISTRILPCETLELNFSKSQGLHYTPTSIIFINVPSISKTQWHPFTITSSSNLEPEKLSVMIKGEGSWHDMIIMVSGGSGITPFISIFRELVFTTETLKCNAPKILMISVFKDSSDLTMLELLLPTHGTPTEFSKLELQIEAYVTREKQQPTNDKKQVQTIWLKPNPSDAPITPILGQNGWLWLGAIISCSFMIFLLSMGALTRFYIYPIDKNTYKVYSYASRGALNMLLICTSIVVTCSLGFLWNKKKNATDSKKIQNMEGATPIGTPNSLFYNADRELESLPQQSLIQSTNVHFGERPDLKRMLFEQKESSVGVLVCGPKKMRHEVANICSAGLASNLHFESISFSW >cds-PLY92753.1 pep primary_assembly:Lsat_Salinas_v7:8:66558232:66560212:-1 gene:gene-LSAT_8X46741 transcript:rna-gnl|WGS:NBSK|LSAT_8X46741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIKEDKDSRWFNVNYVPQEYIFSHEDRPQNLDIPVCDSFPVIDLGIPFQPIEAILKASQEFGFFQVINHGVPKKTMIDAMNVLKEFFNMPYKEAIGYVPHAKGWIYTNTDHTKDGVYLWRENLKHLCHPLDKCIQLWPDKPTRYQEVIATYIVEIQKLSLRILEMIGEGLGLEAGYFNNISEVQLLSSNFYPPCPDPSLTLGILAHQDPSFITLVYQGNSTGLQVLKDGQWINVGAISDAFVVNIGNQMEIISNGKLRSVDHRVVTSMHKTRSSIATFVNPSLDCVVEPAKVLVNEAEPSSYKAIQYKEYVRRNNAFGDYTSALQNVTILES >cds-PLY65957.1 pep primary_assembly:Lsat_Salinas_v7:4:136989497:136991333:1 gene:gene-LSAT_4X85361 transcript:rna-gnl|WGS:NBSK|LSAT_4X85361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKIPDHQVAGHVAGAGKLGPLVDDSGRFYKPLQDGKRGTEEVSFYESFSSNTQIPEKIRKFFPIFYGTKIMKASTGIEHPHIILQDLVSSRLSPSLMDIKIGARTWAPEASEEYITKCLKKDRQSTSVSLGYRLSGLRVFIGDELGFYKPERDVMRKAGPDDVRLFLMKFVSSNLESELESGSESESKPDCSLAASVYGGDDGILSQLLELKAWFEDQTMYHFYACSLLFMFEKGLTSNPEVKLIDFAHVEEGKGVIDHNFLGGLCSLIKFISEILSDTNDLKNGLIEP >cds-PLY71423.1 pep primary_assembly:Lsat_Salinas_v7:MU043955.1:143243:143766:-1 gene:gene-LSAT_0X14960 transcript:rna-gnl|WGS:NBSK|LSAT_0X14960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRISKESLQNTPYATPGGITQRLSNIDFAGMDNIRCYEFIESFIGEKCVKLYYCQPDIHFPKVEEVLDGARLVKEIETGHLDDKDENENEDEDEDVDADEDEDNHSSPHFIKKDNGPDVEMGDNAALGAL >cds-PLY98310.1 pep primary_assembly:Lsat_Salinas_v7:7:166721980:166725203:1 gene:gene-LSAT_7X98860 transcript:rna-gnl|WGS:NBSK|LSAT_7X98860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKPLHVFLLILMLYVLQSTLATKFSSSRESLAHDDECSMLFQFKEHISINQSASSDPHAYPKVASWKLNTSDCCFWDGIECSEKSSGHVIGLDLSSSLLYGPIKSNNSLFNLIHLRTLNLADNDFQFSQIPSGIGRLLHLANLNLSYSLFGGQIPNEITQLTQLVSLDLTGNPLTLQAFSFKNLVQNITDTLRELILSGVNIDSEVPDIFTNTSSITSLVLRDCGLRGEFPTGPLPGSIGNLTQLTLLRLDHNRFWGRIPSLVSLSKLSYLILSSNEFDGRNLSEWLGKLPNLLHLDLRLNNLSCEIPSSIGNQTQLRQLYLNYNNMVGEIPSSLANLTHLTDLILGFNDFTGRIPSLESLSNLNVLYLSLNNFDRWKLPDWIGKLNKLTYLQLVAVNLYGEIPSSIFNLTQVEDLLLSSNQIDGRVPPFPSTITKLTSLILQNNQLKGPIPRSLLNLQNLEAIVLNDNNINTTVEVDIFLGLRNLKLLTLGGNRITLSVMTNITSDMLPKFEILKLESCNMKVFPDFLRFQDQLQEVYLDNNKIGGLIPEWMWNVSKETLQTLSLSKNSLIGFEQHWPVLPWVGLRLLDLSHNMLHGSIPVPSSTTMNYLVSNNKLSGKIPPSICDLHSLHLLDLSFNNISGSIPPCLEKLNSSLLVLNLRGNTLQGTIPNAFSNGSKLLMINLSENQLEGKIPRSLENCASLQILDLGNNRMEDMFPFWLGALSDLQVLILRFNKFHGALKIPSKTSSTFSKLRIIDLSFNSFSGDLPHQYFQDWSAMKETKQNAAYMQANVDILGERYIWLGNYSYSMNMTNKGVKTEYEKVLNIFIAVDLSSNKFQGDIPEAIKALSNLQLLNLSNNELSGTIPSSMGYLTNLESLDLSSNKLSGKIPQALVQLNFLAFLNVSFNKLIGPIPQGGQFNTFLNMSYMGNSALCGDPLSKDCGDPKVSERPTISSAEGSWSDFPSGFDWVFIVSGVASGLVIGVLFGGHLTTGCYKWFLKRFRK >cds-PLY70749.1 pep primary_assembly:Lsat_Salinas_v7:8:166283580:166284145:1 gene:gene-LSAT_8X108220 transcript:rna-gnl|WGS:NBSK|LSAT_8X108220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTASSSNARNRLQVARVFVKGNVNNCDFNVLAKERTCWKLTNPSKRFWNCNNSLTRLRKCDYFKWKDVALEDGYYKNLIYSMKRQLDSKEDLGVIKNLRTKNVDLEFLLSKEKSLLESMEKGMCDSKKSIRMYKLLVVVLVFGYVCFVLS >cds-PLY74215.1 pep primary_assembly:Lsat_Salinas_v7:5:145408452:145412726:1 gene:gene-LSAT_5X64940 transcript:rna-gnl|WGS:NBSK|LSAT_5X64940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMMQPQIILLKEGTDTSQGKPQLISNINACMAVADVVRTTLGPRGMDKLIHDDKGNTTISNDGATIMKLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAGEFLKEAKPFIEDGVHPQNLIRSYRTASFMAIEKIKELAVSIEGKSLDEKRSLLAKCAATTLSSKLIGGEKDFFAKMVVDAVIAIGNEDRLNMIGIKKVQGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLAIGDLATQYFADRDIFCAGRVAEDDLHRVAAATGATVQTSVNNVIDEVLGSCEIFEEKQVGSERFNIFSGCPLGQTATIVLRGGADQFIEEAERSLHDAIMIVRRAMKNSTVVAGGGAIDMEISRYLWQHARNIAGKSQLFINAYAKALEVIPRQLCDNAGFDAIDVLNKLRQKHALAAGEGALYGVDINTGGISDSFANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQSDAAGMGRGRGGGGMRGGRGRGMRRR >cds-PLY88450.1 pep primary_assembly:Lsat_Salinas_v7:8:87604743:87610508:-1 gene:gene-LSAT_8X63140 transcript:rna-gnl|WGS:NBSK|LSAT_8X63140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYDQNALPNSLRPIHIARTVGEESRIGAPVVLPNSNPLPREPCTGSPGTIPVYYPSSAPAVPDAGYVNLNYGNAVPAVANWLPRMPPPVTSGGVGLLPGYAYNNTSLAPPRFYDPNLPPVGGSGGGSNASDHASDEGGDDSASGRKVKFLCSFGGKILPRPSDGVLRYVGGDTRIISFRRDVRFNELLQKMYDSYGQNLVIKYQLPDEDLDALVTVSRPDDLVNMMDEYDKLVERSSDGSAKLRVFLFSHLESSSAIRFKDLQDSGQRYVEAVNGITDGFSGGGSSGAGRKESLASASSTQNSESCGTEGGADSNHSIGHDQVEVVAMGPSMAGLSPGGSSAAGVLVGKPVLVSASPSQSDHDFDILERTPLPIHVQQQRQLGYDFAPPGTIQPPSPYLQTYLDPRHGTFNRTEYVQVAPPPSSSQLGYPSQIMRSVAPMYPQPQQIIPSSHVSFKPNPVPVPVPVPVQVPIPVPVPHVVQQQEVQMEQFPVNLSSDQSQTCTTYQPRPQPQPQPPIIPGPYGWNQIPPQDQVSYSDVRVPTQQMIYQEVMPRFDGCIMCQKALPHAHSDTMAQEKKESPRSNVSDVNPVYQSLRLEDARRLMQPNMVPVSGSGPVVDQIQTVGVRSRFADHEGGGMMPPLYAVQGQFGNDGTLSQRPETSYGVLLNQLPHSGHASIAQQQQSFVPMQYQPKQETMVSKRVSGDSNPGGGGGGGGVPSPTSDHSQVHESPKEFPDNVRQIDGRMEELRIRPSPHDVLVNNDIRVENPREEILENRQEVNLDSNYMMPYSYLGGGNSEGSPVFSGVDSTAIVAENPPVSEWNVYPLQIESKTEVEPLSMESCNPFNGVNEAQDTTNSLFSDQDPWMLRHDSQPSGNLELHFDVSHGHSTIGSAEELIKQELQAVAEGVAASVLHSSTPSNPESNKRGLETKKSCEGQTSNTDEQDLKTKVPEKTNLGFPVSDGLGRLQIIKNSDLEELRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKASEQERMREDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRTALQKNERNLDKRKRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKRQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGDEPYADLHYGAIIGGIVSNTLRPAVPESCDPEWRSLMERCWSAEPSERPSFTEIANQLRGITSKIPPKGQLHQ >cds-PLY67194.1 pep primary_assembly:Lsat_Salinas_v7:6:136374175:136375770:1 gene:gene-LSAT_6X82040 transcript:rna-gnl|WGS:NBSK|LSAT_6X82040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSRYEAVVDVYIAKKLNRSNKIFGFVRFLRIHDSKSFEKRLNEIIIGTQKIEVNVARFERKEQVTRRINPQVMGGQHADQTMQPQSYVKSFADVVRGSLPVATMGAGKTSKEDDEAPSRKTIKLISYPDSKEAMQNTLVGEVESFQALMNVKAFQEVEGCPSIQLRYLGGLKMLLEFENITEKEKFLNEGREIWQPWFKTHTWCEEAFSIIANTWGSVVIPEECNTSSPNLAFGRVGILTSHPGIISSSIKIMVDGKPYQINILEDIFESLKLSLVLAANDFYQKMSWWDEDSIGENGSLNSDAPALHEDGLMSPELSPAKDQQSHDGEIEKSQANIPGSGTNVSPRLPKATEFTREEVSSSDASSAPVGLLSPLGHNRMWAFSSPVIKIHRPNLSLDLNRAPSRSFPSVSIDQPRVHSSRPSSPTQLRPHTPTSPSRIPPPIFSPSATPINRKESRLDLFRCPSKQSHRPKPLSQMPHPGRLPKQSMWVNLLVSRLVTKLTDSNR >cds-PLY82911.1 pep primary_assembly:Lsat_Salinas_v7:6:94073669:94078324:1 gene:gene-LSAT_6X61660 transcript:rna-gnl|WGS:NBSK|LSAT_6X61660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSARLCSLPLQPSIISASSSKDTNGSSVKLRNDWRQRSKPIPPGGTYPAKDQCSKCGLCDSYYIAHVKNACAFLGDGMSRIEGLEQVVHGRGRNVDSLDETYFGVHEELLYARKTTPVEGAQWTGIVTTIAIEMLKSGMVEAVICVQSDPDDRLSPRPVLARTPEEVLAAKGVKPTLSPNLNTLAMVEAAGVKRLLFCGVGCQVQALRSVEKYLNLDKLYVLGTNCVDNGTRDGLDKFLRAASTDPDTVLHYEFMQDYKVHLKHLDGHIEEVPYFSLPANELVDVIAPSCYSCFDYTNAVADLVVGYMGVPKYSGVSMTQHPQYITVRNERGREMLNLVKDLVEITPTISGGERRPFVMETVKADDNAKLGKGPAQPAPKFIGNIIAFILNLIGPKGLEFARYSLDYHTIRNYLYVNRTWGKERAARHMPSYAKKLVAMYNEKGEIDEILSNQ >cds-PLY86916.1 pep primary_assembly:Lsat_Salinas_v7:5:261946281:261949840:1 gene:gene-LSAT_5X134201 transcript:rna-gnl|WGS:NBSK|LSAT_5X134201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAVGAAVIGGVAICAAASLIVRHHVRSSRRWVKTVEILKELEDKCATSNAKLKQIADAMTVEMHAGLASEGGSKLKMLISYVDNLPTGDEEGVYYALDLGGTNFRVLRVQLAGKSGIKSQEFAEVSIPPQLMIGTSQALFDYIAAELAKFVADEGDKFHLPPGRQRELGFTFSFPVMQLSIDSGSLMRWTKGFSIHDMVGQDVVAELTHAMKRQGVDMRVSALVNDTVGTLAGGRYDNKDVVIAVILGTGSNAAYVERAQGIPKWHGPPPKSGEMVVNMEWGNFRSSQLPLTEYDNALDVESLNPNEQIFEKMISGMYLGELLRRVLYRMADEAALFGVIVPQKLKTPFILRTPEMSAMHHDTSSDLRVVGNKMKDILEISNTSLKTRRVVVELCNIIATRGARLAASGILGILKKLGRDTVREGETPNTVIAMDGGLYEHYTEYSKCMESALHELVGDKVSDTIKLVHSNDGSGIGAALLAASHSQYLEVDGS >cds-PLY77655.1 pep primary_assembly:Lsat_Salinas_v7:5:175208641:175209714:-1 gene:gene-LSAT_5X77921 transcript:rna-gnl|WGS:NBSK|LSAT_5X77921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQSQNDDDTSSSSKRIKTCHNRGVAPWSDLHHDALFIVMMQLGIVDFVAFSKVCKSWRSQALPNRKRFMASKPPMLMYISSHVNMKECYLQDFEGKNFKTILPHSGGRIYAGFTCGYLILYGWATRDFWLVNPITRHELYFPNVPSNVYSGESKVSAILIFSSLTSKLVFLVLKRFNHRIWFSTAGEGTWNHVYTTSFILDIHAFKGKIYTLNYDRYDLTERNLCELRLNPTPKLTTLKTKNSLKQEVYYPMFVSSGENLYVMETYSKDSYKVLEMDFGEMKWVPFEKNRDDYTFFISGSKPGAAVKQDLWVEAQSQSPYMRYDVTDKCEKGRFFTADLWYFPHKCLNADLLNE >cds-PLY75931.1 pep primary_assembly:Lsat_Salinas_v7:9:197810245:197810683:-1 gene:gene-LSAT_9X122281 transcript:rna-gnl|WGS:NBSK|LSAT_9X122281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKADRILGPFVSSAWDLLETLYYQGIGTEGFLRGAGTLSGTYYVGFLGEERFGRFGYLIGSQFGSWIGGKIGLMAYDVVNGMRCLLHIG >cds-PLY96624.1 pep primary_assembly:Lsat_Salinas_v7:7:44089715:44091198:1 gene:gene-LSAT_7X30180 transcript:rna-gnl|WGS:NBSK|LSAT_7X30180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYKFHQFQVVGRALPTESDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGGVEQMYTEMASRHRVRHHCIQVIKTATIPAKLCKRESTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKASRPNLFV >cds-PLY90675.1 pep primary_assembly:Lsat_Salinas_v7:6:54815447:54816395:-1 gene:gene-LSAT_6X40160 transcript:rna-gnl|WGS:NBSK|LSAT_6X40160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVKHEFQPANLKAMGKLQLQLTENAQSNGLATSTIALMTSNTLSPSPKTNSGYNTISICFFQISNAASYGKLPHGMGSYTWSDGTVYDSNWEDGKMCGRGRISWSSGTSYEGDFSGGYFHGFGTLTTPDGSAYKGSWILNIQNGFGRKEYSNSDVMVVGKMEFMKEVESTLGGKHVYIYRELEIW >cds-PLY89486.1 pep primary_assembly:Lsat_Salinas_v7:1:121705938:121708929:-1 gene:gene-LSAT_1X91420 transcript:rna-gnl|WGS:NBSK|LSAT_1X91420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEGKVSLLRYALQRAFQAAPNRLMTNNAYLALLGASDLLILACSHAVSIYTLVPADRLDPGEKIVIGCRKATISAETQVSDILFTWTDLQAKLNTELLNNLGNFVNRVLSFIAKDPGHVAALVDVSRWLHQCISVKKKASMESIHDSLAEALVNLTEEVDVKNYDQKCMLSGLKAELEALRRRQSDVL >cds-PLY62440.1 pep primary_assembly:Lsat_Salinas_v7:3:196152007:196158263:-1 gene:gene-LSAT_3X116780 transcript:rna-gnl|WGS:NBSK|LSAT_3X116780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHVIGGKFKLGRKIGSGSFGELYLGVNVQSGEEVAVKLESVKTKHPQLHYEAKLYMLLQGGTGVPHLKWIGVEGEYNAMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYPTEFISYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSGSSSRGRTVGKLPLNPGPSAEKVEKTPVRAEVRDRYSGAVEAFARRNVSGSGLHGEHSRHRSSEHATSSKEMHGDSDRGRISRTGSTSKRAVVSGSRPSSSGEPSGDNRLNRLGSGSGRISTAQRLHSQPGFESKSSSFTRAVPSSRSGRDDALRSFELLTIGKRK >cds-PLY90830.1 pep primary_assembly:Lsat_Salinas_v7:6:155656604:155658253:1 gene:gene-LSAT_6X94020 transcript:rna-gnl|WGS:NBSK|LSAT_6X94020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTTTVSTTSSTRQHLSNLDKLLGTEPKVILNEPEVVQEEISDNGALDNRGKGLLGGLNLSQIWPENKVAEEMSPRHLNRLRRLLSKNGIEYSPRNSLASRWREYHGSNNWSGLLDPLDENLRREMIRYGEFIQAAYHCFHSDPAMSADESPSPRHVALPDKSYRVTKNLYATSSVGLPKWVDKLAPGLDWMSQRSSCIGYVAVCDDQREISRMGRRDIVISLRGTATCLEWVENMRDLLVQVPGEKNPSYGQPKVECGFLSLHKTTGAHVPSLADSVVEEIKRLIELYKGETLSITVTGHSLGAALALLVADDLSTHVKDVPPIAVYSFGGPRVGNRGFAKRLSSKNVKVLRIVNSQDLITRVPGMFVSEELDKKLRESCVANKVLNMLDNNMPWAYAHVGTELRVDTKKSPYLKPDADVACCHDLEAYLHLVDGFLASNCPFRANAKRSLAKLVLEQNTNMKKLYTSKAQGLKLNLERDIQMSTCLPSPSQ >cds-PLY92798.1 pep primary_assembly:Lsat_Salinas_v7:2:148946421:148951909:1 gene:gene-LSAT_2X74820 transcript:rna-gnl|WGS:NBSK|LSAT_2X74820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQTGVQLAPIDEQKSLTHEQQQEIRISVASQRRSKPIPDLLNGTSKENMKIAIPLYEASISGDWKAAKEILDKRPELVRYSVTGNNETALHVAASTKSTKQAEQFVENLMTKMEKEDLELKNNSSNTALCLAAAVGNVKMVELMVKKCRALVAITGSGGMTPLYMAALFGHSEVVKYLYKNSQKLRDDYWTPQNRGWLLQKCVENDMFDIALDIVREHEELSSSGIVLAALARKTDAFAEIKSNIIMRTIKWVICPKRQAREKESKAKALEILKTVWCYIAEKPREEIDTILRGPPDPPVKRYEKPAFDKIDEALQLLKHMSDNIVNIPIEIRNIIKGPVARNLEGLAGNRTISHKHVFFAIGMNILTPQSN >cds-PLY87949.1 pep primary_assembly:Lsat_Salinas_v7:4:338611398:338613086:1 gene:gene-LSAT_4X167840 transcript:rna-gnl|WGS:NBSK|LSAT_4X167840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFGEQNSLSQSFKLLDKAFDSGINFFDSAEMYLVPQRAETQGRSEEYFGCWLRERKIPREVQEYAPSDQKQMLSLSVQQHEEGLHKSLENSHEISRAISGFFRNLSQQATKLMKVLEENHKSRSHQLATFEKNFKNRLNITKTVRKYSIDKHLRATGSEFDFVLVTNMLKHDDDNDGEGSIALNGCNFDRIYHQYVIIQF >cds-PLY90322.1 pep primary_assembly:Lsat_Salinas_v7:2:197893468:197897486:-1 gene:gene-LSAT_2X118901 transcript:rna-gnl|WGS:NBSK|LSAT_2X118901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSKKRLHYGGFDGFRSPVVPKAPRSIRRNSHKKPSAGSEICAFELLAAVAGKLLQESEGSASSTSSEQKERINIPKDNVKQEPLEVKLKPARLEDLDQGCCAESQFVPEPSNLELKLEPPLKDSLHSDNDSGLEHASIVTTSDLFKEIGTNVKLEVSDSKNIVIDTPSKSEGGSYSHGDLCDVNMDATANIPKLIEKETEKLQETCVELNKSYSSVHVPFYSDPVPSACFQRHRDNNVKVGIRDDDENCFSYNQHITKMKAFRLQSRGGYRRIRKMLTSKYWKTAPKLKDYELSNTTSGGGLKSFNQYKKYMYKRERCQSQTESPFKKRKLFPEHVEETSSESISNLPERHLSKRVKFSIKSFIVPELYLEMPETSTVGSLKRNVMEALTAILGGELHLGVLVEGKKVRDDNRTLEETCISLNSETFGFMLEPSLPEASPKQPPPQSDNHQPSSTSPDSPITERVSLSNSSESKLEMEIEKNKEEEIEIVVDTKAKAIIPITAVTPEALSIVPLNHNNNNNNNNKVISKRCEASQSQRRTRRPFSVCEVEALVEAVETLGTGRWRDVKIRAFDDANHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQDLLDRVLAAHAYWSQHQSKQNGKHQSELIQTLGTPVKV >cds-PLY86330.1 pep primary_assembly:Lsat_Salinas_v7:8:27375562:27377432:1 gene:gene-LSAT_8X17660 transcript:rna-gnl|WGS:NBSK|LSAT_8X17660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTSRTEPGTGSMLSCRRKSNYDPKTGIYHPLIQLDGSHKIPTTANLDTATFVLSQFPSLDQADMKVALIDSATNCRLTYRELRRSINSLAAGLYQRFGVRKGDVIFVLSSNSIIYPTICLAILLVGGVLTTSNPINTESEITKQVLDSGAKLAIAAPEAMHKLLSTGIPTIVTTRDPLKSSDASVEELIDSCESMELPENKQTQSDTAAILYSSGTTGTSKGVILTHGNLISTITLLKWAAEVTSAKDDIYLCFLPMFHIYGLIFFCLALLCASTTTVLMNRFDLLAMLEAIETHRVNNIPAVPPVILAMVKYNGGGYDLSSLRSVASGAAPLSKDVASRFREKFPWVGLKPGYGLTESCAAGAVFLSSEEAKARSAASGGLLPTFSAKVVDFESGMAQPPYSAGEIWLKGPTVMKGYLGNEAATSATIVSDGWLRTGDLGYFDEDGYLFIVDRIKELIKHNGYQVAPAELEAILLNHPHILDAAVIPLEDEDAGEIPMAYIVRASGSELTEEQVMQFVAGQVAPYKKIKRVAFINEIPKSAAGKILRKDLIAQSKQTVKSKL >cds-PLY78251.1 pep primary_assembly:Lsat_Salinas_v7:3:88012446:88014029:1 gene:gene-LSAT_3X67081 transcript:rna-gnl|WGS:NBSK|LSAT_3X67081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSWTSFILTFSSFLLTVTAHYYNSQTSDSPSLSSSSLPEWKSARATYYAEADPRDAVGGACGYGDLERGGYGKATAGLSTVLFEKGQICGACYEVRCVEDLRWCIPGTSIIVTATNFCAPNYGFPADGGGKCNPPNPHFVLPIEIFEKIAIWKASNMPIQYRRIKCRKEGGMRFTINGNGIFLSVLISNVGGAGDLMAVKIKGSRTGWLSMNRNWGQNWHLNADLKNQPLSFEITTSDGLTLTSYNVAPKDWDFGQSFEGKQFES >cds-PLY66195.1 pep primary_assembly:Lsat_Salinas_v7:2:168117231:168118405:-1 gene:gene-LSAT_2X90501 transcript:rna-gnl|WGS:NBSK|LSAT_2X90501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSVASALMGGGEQTPNDGDTSSEESRVIEFHSSNRWQLHYNQSKQSPKLMVVDFSASWCGPCKMLEPFIRSLASKYQEIDFIKIDVDELQDVAQQFGVQAMPTIVLLKQGKEVGRVIGAKKDELEKKILQNREAPKFAA >cds-PLY75566.1 pep primary_assembly:Lsat_Salinas_v7:9:34134375:34136685:1 gene:gene-LSAT_9X30340 transcript:rna-gnl|WGS:NBSK|LSAT_9X30340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIAERNEKPKRLYQVWRGSNRFFCGGRLIFGPDVASLILTILLVAGPAVAFCFKVYHTINVNKKDGKDVGYWYIILLVAAILTFLDILFLLLTSSKDPGIIPRNSTPPECDEAFDMNTPSMEWLNDRTPHLRLPKTKEVVVNGHSVKVKYCDTCMLYRPPRASHCSICNNCVQKFDHHCPWVGQCIGLRNYRFFYMFISTSTILCVYVFAFSWVHLVQKGNVLKAMSKDILSDVLIVYCFITMWFVGGLSVFHFYLICSNQTTYENFRYRYDKKENPYHEGTTRNLLQVFLSKIPPSLNDFRAIVHEDENMVIEETSSDLIQTSKEKIDIEMGNKFSESSGISLPEILQNLQYDEFESDSKRKEGSLDLDLHPSPFLFDMKEDCISEREKCDEQIITHETQTVHQL >cds-PLY85145.1 pep primary_assembly:Lsat_Salinas_v7:9:147155119:147155862:-1 gene:gene-LSAT_0X6721 transcript:rna-gnl|WGS:NBSK|LSAT_0X6721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSISELKAKGIGGPLQVRILRKWKHDVRKYETWYMAVDKYADAIQILGQRTNQTYIESVFNVSECYIISDYSCPQLDKYQKVLENDFYSDVGMKSIIQRIPDTITVPIT >cds-PLY69608.1 pep primary_assembly:Lsat_Salinas_v7:5:313947185:313950324:-1 gene:gene-LSAT_5X172240 transcript:rna-gnl|WGS:NBSK|LSAT_5X172240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACSSIAMDGRALPISLYSPPKRQRPPDTSGSRNSGSNLNFKLHFRVRVQFLSGSSVHVLLNGSRGRNQFWKVRSDVKSEPYEISKSAPESFKFKEKLGVDVVSKDDDGDEFVVNAVPWWEEFPKRWTIVILCFSAFLLCNMDRVNMSIAILPMSSEYHWNSTTVGLIQSSFFWGYLLTQIAGGIWADTVGGKRVLAFGVIWWSVATILTPVAAKLGLPFLLIVRAFMGIGEGVAMPAMNNLLSKWVPVAERSRSLALVYSGMYLGSVTGLAFSPFLIHSYGWPSVFFSFGSLGTVWTALWLNKAYSSPLEDPELRPEEKKLIFHNRVIEEPVKTIPWRAILSKPPVWALITCHFCHNWGTFILLTWMPTYYNQVLGFNLTESGLFCVLPWLTMAFSANLGGWIADTLVSKGMSVTTVRKVMQSIGFLGPAFFLSQLSHVNSPAMAVLCMACSQGSDAFSQSGLYSNHQDIAPRYSGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDDVFEVAIGLYLVGTVIWNLFSTGEKIID >cds-PLY67813.1 pep primary_assembly:Lsat_Salinas_v7:9:7296129:7299048:-1 gene:gene-LSAT_9X400 transcript:rna-gnl|WGS:NBSK|LSAT_9X400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRIVALFLLVAASNAAIGLYKGEGGECNYERHLIPRPHSVSILEFGAVGDGKTVNTVAFQNAIFYLKSFADKGGAQLYVPSGQWLTGSFSLTSHLTLFLEKEAIILGSQDVDHWKVIEALPSYGRGIDLPGQRYSSLINGDNLSDVVITGDNGVIDGQGSVWWESFDSHTLNYSRPNIVEFINCKDVVVSNITFLNPPSWTIHPVYCSNVLVQNITAISPPESPYTSGLVPDSCDGVCIENSNISMGHDAICLKSGWDEYGIAFGRATTNVHVKGVRLESFSGSGLGFGSEMSGGISDVIVENVKLHDSPTGIGFFTSKGRGGYIKDVVISHVSFHNVVLAIEVTGEDNVHADDEYDPDAFPVIQHITFNNFTGLNITSPGRLFGIRESPFTSICLSNVSLLLTTSSSSSWLCSNVLGFSENVSPMPCFQLQAFPWNSSACFPASTSRIASL >cds-PLY76577.1 pep primary_assembly:Lsat_Salinas_v7:5:223489375:223492499:-1 gene:gene-LSAT_5X105880 transcript:rna-gnl|WGS:NBSK|LSAT_5X105880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENSKGPSTIRNMYSGKHYSLPPKSPFPSITPFYPDYTTASGAKGIPKYRDGNVNSHHHHHHHHQRTSSESLLVEEQPSWLDELLDEPETPVRRGHRRSSSDSFTYMEAANANIEHAAQIEYRLRNMNSQSPPSWGSQDFDLYKDARNASFYVEHNPMTKNKNRGWDSANSSRDGFILQNSTSLGGSQEVNKTVSPTNEKQDTVESCTQDAHSDSSNTKGSASETDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAQLKFLNQQSLILNMENKALKQRLENLAQEQLIKYLEHEVLEREIGRLRGLYKQQQQPASEEPIVRHQRSNSRDSVESQFSKLSLKNKESGPLRI >cds-PLY74829.1 pep primary_assembly:Lsat_Salinas_v7:5:113988582:113989095:-1 gene:gene-LSAT_5X50001 transcript:rna-gnl|WGS:NBSK|LSAT_5X50001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSNGSTIPQHAHAAISMEVPLKTSASPPEYIAPRLACDSKKHAVINLFSRVVILASAVGGIILLITSKQTKLITIAPGLAISKVAKFSHSDAHRYLLAALCTAALYSIITGLISVLALMKPGGISSRLKFQFVILDSVLG >cds-PLY75863.1 pep primary_assembly:Lsat_Salinas_v7:9:195731017:195733505:1 gene:gene-LSAT_9X119901 transcript:rna-gnl|WGS:NBSK|LSAT_9X119901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMKKILMLINFVQVNDEDYGNDFLNDDENVEDYDQGKCSGSQGDGSGPHEGNIGKNRVEGKGDYDEDDEQGSGSGCNKEEDMNSNYVVENVTKSVGLIDSQEGVSFSQFICNPVVESFLKTLDQGTNGCLNHKLVEDDVNLNLTGIDDGTDGCLNQKLVEDDVNLNLTGIDDGTVNLGEDDHKNKVISNPTVDKIIVAKKDGEENVFCEWLFSLQGNPGDIVLLMETDVIGFRANYESLYKKTHLHVSVLDSWSRILNHEEKFRDVVNSPLRLFMNSDTTLLFEYTHLNETGKYKVFKESFSRSVCGDRDLKVLKDVDMVFFPVLRHEHIYLIVMNLKKRAFEVIDNGADDADFDDKYGAVFKPLVSFK >cds-PLY79460.1 pep primary_assembly:Lsat_Salinas_v7:9:4182355:4184441:-1 gene:gene-LSAT_9X3041 transcript:rna-gnl|WGS:NBSK|LSAT_9X3041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVSSFSSPSWPNPSPNHHSDDHSSVVPTVDGSEDFSSLLPPIKTNPTQQQQSQYTHEEGDDNVRDWLNQFLPDNEVGIHDVNGSSQDPDRVPVHDSTLIPQPHMEDPDFHEHASPPPPSALSLLHVSFNQDDGCFASGINHGFRIYNCDPFREIFRRDFENGGGIAIVEMLFRCNILALVGGGPEPQYPPNKVMIWDDHKSRCIGELSFRSEVRGVRLRRDRIIVVLEQKILVYNFSDLKLLHQIETFTNPKGLCEVSQGSSNFVLVCPGLQKGQVRVEHYASKKTKFILAHDSRIACFTLAQDGHMIATASTKGTLIRIFDTHDGTLLQEVRRGADRAEIYSLAFSSTIQWLAVSSDKGTVHVFSLKPSQGIIDKGKAITESSDQNHNNNTTPVSSSSSSLSFIKGVLPKYFSSEWSVAQFRLVEGCQYIVAFGHQKNTVVIIGMDGSFYRCKFDPTTGGEMTQLEYHNFVKPENSF >cds-PLY67061.1 pep primary_assembly:Lsat_Salinas_v7:5:280454785:280457409:1 gene:gene-LSAT_5X146781 transcript:rna-gnl|WGS:NBSK|LSAT_5X146781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDATPNYYSSSSSGKSLSSPPSPPTTHSTSRRRGRSYNSRRRRPHLLNGKFFRRRIFGYLLLLPLLFLSAVITFVGPLSAMLFPNPLPGSIYRSHEIFQNLLTDIQSDTSSGIQLSDVWRQKMKLTEQKPCPTTSHQYLETGIPDQYLVVEANGGLNQQRSSICNAVAVAGLLNATLLIPLFNFHNVWRDSSEFADIYDEDHFIATLKEYVNVVRELPNELMENYNFSISNIPNFRVPAWASANYYLKQVYPVLKEQRIVRIAPFANRLSPNLPPHIQYLRCVANYEALRFSNSIITVAKMLVNRMTVDSSNSGGNYVSIHLRFEEDMVAFSCCVYDGGKSEQLEMDKIRQKDWGDKFKRKDYIIDPVRNRINGRCPMTPLEVGMMLRGMGFANTTPIYLASGKIYEADKNVAPLRKMFPLLHTKELLSTPEELASFKNYSSRMAALDYIVCFFSEVFVTTQGGNFPHFLMGHRKFHYGHAKTIMPDKRKLVVLLHNTTISWDEFKKEMQVMLAESDHKGVAIPNIKKITRKNSLYLNPFPECQCLKKPKLFEEEY >cds-PLY95180.1 pep primary_assembly:Lsat_Salinas_v7:1:170618781:170620282:-1 gene:gene-LSAT_1X113420 transcript:rna-gnl|WGS:NBSK|LSAT_1X113420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDLANNTVQGPARALLADLAGPDHRNSANVIFCSWMAIGNILGFLSGSSGNWHRWFPFLKSIAWCEACGNLKASFLVAVTGSENSDSKPLTNSSKSSEVEEEDQVETFNDNLGAVLVNLLTSLRHLPVGMHSVLIVMALTWVIVMVPFFLFDTDWMGREVYHGDPKGDANKVQAYDEGVREGAFGLLLNSVVLGIRSFRIEPMCQWMGSRICFHINI >cds-PLY76346.1 pep primary_assembly:Lsat_Salinas_v7:5:225486974:225489263:1 gene:gene-LSAT_5X107761 transcript:rna-gnl|WGS:NBSK|LSAT_5X107761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY9 [Source:Projected from Arabidopsis thaliana (AT1G68150) UniProtKB/TrEMBL;Acc:A0A178WJS2] MGREEENTDMEIDLTLKLDAQDQEPVRDSSESYHQDQVNEDDDDRQVPSPEHQVQHSELDVQTGTDPTEAPKKQDLDHRNEEKIEMNRMKEENKVLRQVVEQTMKDYYDLQMKFSVVRQSSSQFKDPKAFLSLNGHDKDQDIKKISPRSSPLQESENENLGLSLRIQGNTTTSPHARDHQEGNHKDLSETTTKFMPMQQDNLMNSGNFGGENKASNIANNPMASLPNRKARVSVRARCESATMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILITTYEGNHNHPLPVGATAMASTTSAASTSFMLMDSNNHISSELRAMNQQPFANYHMSSNILNSNSSPYSSAHMTPNDPTKGLVFDLTNNPLNPHHSPSSQLGFPWMPNSFPINESHFSRPKQVDGINGVWQGGEDSNNNNKSILAENMSAIASHPKFRVAVAAAISSIINKESQISNNIHADGPRDRESGGSSSGGKTWVLESLSRSG >cds-PLY99263.1 pep primary_assembly:Lsat_Salinas_v7:6:185877526:185879049:-1 gene:gene-LSAT_6X114120 transcript:rna-gnl|WGS:NBSK|LSAT_6X114120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIQQSITNQTHVSITLANHLLSKKSPTSNTVFSPLSIHVVLSLVAAGSNGQTLDQLLSFLKTKSIDDVNSLSSQLVSLVFADGSPSGGPRLSFANGVWVEQTLSLKPSFKQVVDTVYNAASNQVDFQTKAIEVANDVNLWAEKQTGGLIKEILPSNAVDSTTKLIFANAVYFKGSWSEKFDPSKTKDHDFHLIDGSKVQVPFMTSKKKQFVRSYDGFKVLGLPYLQGEDKRRFSMYFFLPDEKNGLPSLLQKMGSESDFLDRHVPRQKVEIGEFLIPKFKISYGFEASEMLKELGLVLPFSGGEGLTEMVESSMGKNLYVSSIHHKSFVEVNEEGTEAAAASAAVVMLRSLMTGDKIDFVADHPFVFVIREDMTGVVLFMGQIVDPRDA >cds-PLY72470.1 pep primary_assembly:Lsat_Salinas_v7:2:140367949:140369138:1 gene:gene-LSAT_2X68540 transcript:rna-gnl|WGS:NBSK|LSAT_2X68540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVFETALQFVLGELQKAVHVVWQIIQTHRFKSLLKRLEKTLRSIDQVFYESWRLSKVLDRPEKEMIMFICYLSNATAIVLKCSSIKYRTMNKKILHSKKLIRLNNELLRFFQIDVQEKTMNTNMTYSIAISGLEDNSSVVAFSADGYSSTCSVYAGQLLNLPLTDSFANLTSDALMNGVTESNLTDLEFDCCYDLRELPSGVCNLVHLQNLSITNCHELDALPKNLGNLSNLQILNFHCCTKLQELPESIGRLHNLSFLDLSDCLSISLLPDEIGELCSLRVVKMSGVHGLPELPESMSKLLQLEEVICDEETSYLWMDYESDLNNLKINVVEDDRLESFMKIVH >cds-PLY71553.1 pep primary_assembly:Lsat_Salinas_v7:7:29237505:29238775:-1 gene:gene-LSAT_7X22801 transcript:rna-gnl|WGS:NBSK|LSAT_7X22801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEERNQPKMDAKAKRMKFQETVGKGEEDRISVLPDSLNLEIISRLPSIKSAVRTDDDSIENILSGSPLLETLEFRLDITSKTVKNLVLDGYIVPEDYNDAVTINAPNILSLTIHGELLLWKVLLVNVSSLIEANLDYTRTRQYKTSLYEADEDMLKGFILNLRHVKELKIGELCSKVFFHLRAKGFIFPSNVKLMVY >cds-PLY73630.1 pep primary_assembly:Lsat_Salinas_v7:5:207582674:207584814:1 gene:gene-LSAT_5X96421 transcript:rna-gnl|WGS:NBSK|LSAT_5X96421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SUF3 [Source:Projected from Arabidopsis thaliana (AT3G33520) UniProtKB/TrEMBL;Acc:A0A178U6Y1] MSSNVVVLDNGGGLIKAGIGGERDPTTVVPNCTARPLSSKKFLLADQLLSPTEDLTSAVLRRPFDRGYLINQDLQSTIWSHIFSSLLHVTPSSSSLLLTEPLFNLPSIQRATDEIVFEEFNFQSLFVSDSPSLVHLYEASRRPYDVVSKAQCSLVVDVGFSFTHVAPVFQNFTVNYGVKRMDLGGKALTNYLKELVSYRSINVMDETFLMDDVKEKLCFVSTDVARDLQIARRRGNDNYFRSTYVLPDGITHIKGFVKDPVEAQRHLTLSENGELPHEGTEEDDQTEVKSKPTERNKVDLTKNEFSLSNERFLVPEMIFRPADLGMNQAGLAECIVRAVNSCHPHLHPVLYESIILTGGSTLFPNFAKRLESELRPLVPDVYQVKITTQEDPILGVWRGGSLLASSPDFDAMCVTKAEYEELGSSRCRRRFFH >cds-PLY67317.1 pep primary_assembly:Lsat_Salinas_v7:8:235813662:235814591:1 gene:gene-LSAT_0X36860 transcript:rna-gnl|WGS:NBSK|LSAT_0X36860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLVCVPPLHSFESYDIVGIGSTQLLTDGGLTHLPNIENGDIKVAFKEFMVVNWAELPESVVKKSNQSLSKNTEDKASQQALANLLRVAEAVDEFTGFLVTLKMCCHIK >cds-PLY87995.1 pep primary_assembly:Lsat_Salinas_v7:6:172566930:172567160:1 gene:gene-LSAT_6X105280 transcript:rna-gnl|WGS:NBSK|LSAT_6X105280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLKGVVGGGAAGSDGRGVDDGGGFGRPDQQRKGEDKLCRVKDNEKRERVGFAAEQPVALAMDEGGGRRWVSQSGK >cds-PLY92591.1 pep primary_assembly:Lsat_Salinas_v7:7:162551888:162552109:1 gene:gene-LSAT_7X95720 transcript:rna-gnl|WGS:NBSK|LSAT_7X95720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDPVERDRKFRDHMYGLKESCDKTKKMWSYPIRPYGFWTFDRHNAQIFWDAQISHVRGRRDPYDDILQDYFK >cds-PLY64208.1 pep primary_assembly:Lsat_Salinas_v7:7:2242851:2244700:1 gene:gene-LSAT_7X1060 transcript:rna-gnl|WGS:NBSK|LSAT_7X1060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIDNIECVSVSDGLNDNEVPRHHNHHHRHHHQNPHFSSSKTHNVNVVSSTIFPTTSVHELLECPVCTNSMYPPIHQCPNGHTLCSSCKAKVHNRCPTCRQELGDIRCLALEKVAESLEFPCKYSLNGCPGIFPYYSKLKHESMCNYRPYSCPYAGSECSMVGEIPFLVSHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFNCFGQYFCLHFEAFQLSMAPVYMAFLRFMGDENDARNYRYSLEVGGNGRKLIWEGTPRSIRDGHRKVRDSHDGLIIQRNMALFFSGGDRQELKLRVTGRIWKEPPPPPPPNSSDNNLCFPTPIPNQPL >cds-PLY90042.1 pep primary_assembly:Lsat_Salinas_v7:MU039662.1:70280:70471:-1 gene:gene-LSAT_0X35181 transcript:rna-gnl|WGS:NBSK|LSAT_0X35181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDQETDRGRMAIIGGPSLERVTELSTEWFRFWNSISSWGFRFEGYKMWCGFISLDQFRFKGG >cds-PLY80926.1 pep primary_assembly:Lsat_Salinas_v7:8:256109263:256113613:-1 gene:gene-LSAT_8X150260 transcript:rna-gnl|WGS:NBSK|LSAT_8X150260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPASPAMRFSPGRESRADNHKRGRSLENGVVFKERDDDLALFNEVQTREQDNFLLQSNDDFEDTFVTKLRDFSDHKLGINIAARGESSDFLNTEEEKNDYEWLITPPDTPLFSSLDDETPQVILPQRGRPRAQPISLSRSSTMEKHQRSSRSSPSPNRLTPSPQSSNITFQPPTRSKRPSSPSSKPSTPPPASRRLSTGSSSITTKGISGISPVKTTRGNSASPKIRAWQANIPGFSTEAPPNLRTSLADRPASYVRGSSPASRSSRQSMSPTPRSISSSHSHDRDRFSPRSKGSIASSADDDVEIESLPSVFVVDVSQRLNSRKLGGFQNNSHNNNKASFSQKTNRTVSSISAPKRSFDMALRQMDNKRVPQNMFRPLLTSVPSSTFYAGKSAPVWNSSVTTSSNASSDLPTTYAHEIQETQLNDDEATSGSCVKLQDSSSSSFVDDQVFISEKTDSLTEDMTNETHEISPTLQLGDLKDGVENLADCDTETCVVLDDDFKDTEVETLKDMLLCSRCGCRYSDDFIQSEKEIKLCENCQNSYPSLKPQAEEVNISDEDHESFNTMKPQMNPVLEVEVESLELTPFELHEDVVNEATEVNHEVISQPSYTDAVDFSDSKVEDSLVLKKSNSMKGIVVRSGNFSASSISLDDLSYVRYYSTNTNSMRSSLGRGSLSASSSFDFGQTTNTHTDTRLNRNKKQHQRSVSSFSGSSSHAFHPSSVGTSTLDSFEVSSVHVVKDVADVASITCPQETTPCENDTWTENEDVDNQTGHECSNVEESEEEEDKGVSVCVAEVEVEVELSVISEGEIDQSCASPPVSQCNVSAMDDDNIESESESEPEPELESLVLIEDEGKTKGRRLTLEEATETILFCSSIVHNIAYEAATLAIQKQHSDASQTENNNTSSSGQLIPSIGKPTKEIQTKKTKKTSSKSQKNRNKKVTTTKPPNNNNTNTDEESDLVKPRIVYPNNKENRRPPKLESKCNCSIM >cds-PLY64624.1 pep primary_assembly:Lsat_Salinas_v7:6:35386488:35387574:-1 gene:gene-LSAT_6X24500 transcript:rna-gnl|WGS:NBSK|LSAT_6X24500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTVSSSIAVGGTLQGAVAMLENSNSCEGNPGNQENSEGDGGKESLNRGEIDGLFCPICFQAWTSGGEHQISCLPCGHIYGFSCIKTWIQQSPSSGKCPQCKKLCTLKDVRVLYASRLCVVDEKLQKRVYSLEAECAYLKQKTVYEDMREEMRKHMYAKAAEIEAKLRETREEMDAKQKHTDARFEAMEMMFNQKQNMRGN >cds-PLY94716.1 pep primary_assembly:Lsat_Salinas_v7:2:85386664:85387793:1 gene:gene-LSAT_2X37980 transcript:rna-gnl|WGS:NBSK|LSAT_2X37980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METGEEDGISALPDYLLVEILSRLPSTKDAIRTGILSKRWKHLWTSVHTLIFKHSDDPLTQSRQNPNSVSDFISFVEKTLTQCRQLKLKKFDVYITYDIRFDSQFNNWIRYGISRSVEELKFKFWYRGLETEFLLDQFFFISSCFRDLTLEGCILNPTGPIIWKNLRSLCIFNAKLDEDLIENMLSGSPVLQTLELGVCYGYRKIDITSKSVKKLVFSGYSAPENGYYLDDIIEINAPNILSLTIRNDLVLWKLLLLNVSSLVEANLDYEKLGYRETTRDEAA >cds-PLY74676.1 pep primary_assembly:Lsat_Salinas_v7:5:177781347:177785348:1 gene:gene-LSAT_5X79180 transcript:rna-gnl|WGS:NBSK|LSAT_5X79180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPESTPPMGSIEDINPIRQQESETKPSASSYVSQSSFHQKLPCGDLVGNREQYIRICAPLYNAASIGDWEAAQVIFGKHKDLILVEYAITENYETALHIAASAKNSKSVDKFVQNLVTMMTEKQLELTNKNDNTALCLAAAAGNVKIVRTLLEKNPALVDIPGSNGMMPLYMASLFGRSKMVNYLYDKSGQMSGNRWKDQNRSWVLQKCVEADHFDVAIKIVTYWPQLATSGIVLRVLARKTDAFKGTKPHFIRRILYPILALIHVKIGSSDQKPTDAMKLLRIMWAEIMKLPDREISSIMRGPADYPSTSGKDKEDVQQLIAKLPDRLYKLLREEESYQKGKKLPRGLDNKELKDQPMKFSSRILFVAAEMGNTEFLIELIRQYPDLIWKMNDNRQTIFHVAVIHRHEGIYNLLHEIGSMKDMITPMKDDEGNTMLHLVGKCPKNNHNQNDSGVGLQMQRELLWFKEVEALLPPSYRERKNNNGQTPHELFMEEHKELLSANEKWMKDTANQCLVVGALIASIAFAAAFGVPGGYDQNTGIPMFVHNQSFIAFAISDAISLTFSSISILIFLSIYLSRYAELDFMEVLPQKLMTGLVTLFFSIAAMLVGFSVSFFVVFQDKLIWVPIIIALWISIPVILYVRLQYNLLWDAFYSIYGFKLIFKPKNPRLFYRSPSF >cds-PLY90785.1 pep primary_assembly:Lsat_Salinas_v7:1:58178447:58179457:-1 gene:gene-LSAT_1X47781 transcript:rna-gnl|WGS:NBSK|LSAT_1X47781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITFIIFRIVRETQWVAVEEDIHSKATKLDPRQTPGPHPHPKCNNKAMVTCNQVHTLVSPLNTPNPNTAATLVQPDMPPAGSSPVRKPHWELLLLVVMITTTSNKLPKANHLAAPWRQQTGLVTGTVNRVKVTVKMGMVGTHNLVMRKDTINKGMVIQQLDIMKHMMVKMVHMVDKVIPLTAQAPPLASGAHTGYVQPPPPASASYGTYGAQPPSGYGGYGQKPPVTPPAYGQPPPQQSPNAAPQGGGYPQPTPYSGYGQADASGQRPPYGGVAAGYGQAAYRQQPAAAAYGGSYGGGYPPQTPTYAGEAAAPATQASQGSSGGGGAVAKASPQQS >cds-PLY95421.1 pep primary_assembly:Lsat_Salinas_v7:9:190148398:190148565:-1 gene:gene-LSAT_9X117341 transcript:rna-gnl|WGS:NBSK|LSAT_9X117341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEFRPANSKPTENNAESRTNLISNIFRRRPFSFTSTIWNACRWRSENRGREFRI >cds-PLY91639.1 pep primary_assembly:Lsat_Salinas_v7:8:11158340:11161594:-1 gene:gene-LSAT_8X7601 transcript:rna-gnl|WGS:NBSK|LSAT_8X7601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGFLFFFLRRAIPIPIPIPIHHDHHHHHTFTTDIVAFHSTLSLSDTLPARNQRQNRIDGEGTFFTNSAMRHLTSTSIHPIIQSSNPSLDSSSMTSLSSFAQGFAFVYMEDERDAEDAIRALDRYEFGRKGRRLRVEWTKQERNVRQPASSRKSSSNSRPSKTLFVINFDPYNTRTRDLERHFDPYGKILNIRIRRNFAFIQFELQEDATKALEATNMSKLMDRVISVEYAIKDDDDRRNGSSPGRDRSPRRRGDDRRSPSPYRRERGSPDYGRGRGRSPSPHRRDRGSPDYGRGASPALNGASRRDPSASPVGRKASRSRSPRRERERERERERSPPPRHSRGSVPKERVSPEYEHGAIVSPRSEGRISPDDSPREPSPDAKRESPGYSGAESPPDRYRRYVF >cds-PLY63710.1 pep primary_assembly:Lsat_Salinas_v7:9:86385383:86386466:-1 gene:gene-LSAT_9X67540 transcript:rna-gnl|WGS:NBSK|LSAT_9X67540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATMDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLLSKEATVGEKQFSSQGHQGNFSKNEGAGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIEPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGVNFNGMFSSPIEIDITSAPSATAASSPVKMSLSGQSRPKHTL >cds-PLY77625.1 pep primary_assembly:Lsat_Salinas_v7:4:275570153:275571841:-1 gene:gene-LSAT_4X141420 transcript:rna-gnl|WGS:NBSK|LSAT_4X141420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTLSIASSTSAITATPKTTFTSSTKSSFNGIRIAHVLPSPAVRATTPTPRQSVVMMAKKEEELKEIRAKTTEEINDEIVDLKGELFMLRLQRSARNEFKSSEFRRMRKRVARMLTVKREREIDDGVGKRQSRKLDRQWRKSIVPRPPPSLLKLQEEEAAEEAKESASAST >cds-PLY63503.1 pep primary_assembly:Lsat_Salinas_v7:9:137017200:137018321:1 gene:gene-LSAT_0X32660 transcript:rna-gnl|WGS:NBSK|LSAT_0X32660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRELICLWFLVFIQKVQSEDDKLKHLDFVEDAMQEAVGIASSVYDYVKDKVGAIPGVETIETTVKSVVGPAIDKFQDANVEALKFVDRKIDEVLPSAVKDITTTAKSLSTEVVSDVKSNGLVETTKDLLVKIEPAAEEYASSAWETLSKIPLLTNVAKAFAPAATLVADKYNETVQQTAEEGYKVSSFLPLVPTEKIAKVFTIPKAEPADVAGGEEAAEVPGVEGEIVEEE >cds-PLY76894.1 pep primary_assembly:Lsat_Salinas_v7:6:30237604:30242378:1 gene:gene-LSAT_6X23120 transcript:rna-gnl|WGS:NBSK|LSAT_6X23120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP85 [Source:Projected from Arabidopsis thaliana (AT4G32910) UniProtKB/Swiss-Prot;Acc:Q8RXH2] MPGLLTGSGDSSSLVPFSPELHTPAVYPLHHGLKPPITPRLSISWSSGNSLRVSVFRSPETTNTIEDEAEVGGKVVELKLSGEDVHSEVNDAVRWRRIVYGSVSPFAHLQNKKNAMAALSELQHSAPSQLEWSKYVLEYSKEINSLLGNRKSRPTSLIEDPKTVLKIDEEPSSLKAAWELLEIFYANKQSQSWIPEQLVDWLTDYDSLFSGQVPTVHLRLVEFQAQLINIQTVENEAAYWQAISSALSVGWLDIVVKLLRLHGSYQLNQLGSRETENGLVEAVAVLISKMPRMRVDLPDGKLGECCYNKSDFMKAWEKWRAQITKLDCSAYWLQCSHHQTKENLKNLLQIMLGNINTLTNATCHWVELYIAHFLYIRPFTSGLESMHVLAQKCIQLKPISTPHKLMSLIIGILGENTEVILAECSKSFGPWMIAHATELLTAGNIQAEILLKEERHNLGGISIEESHRLVYAQVLSSHALTWQIAPVYLISCMKHGVGLLEILLYKQPVYHSQVLLKNIEICRLYELDSVSANAMKIVGMHHWKHGRKGSGIFWLQQARDEVRLNRIAQKLFDFVGKSISDESFEQWEGLIELMGTESRTVGGLEFLHKYRDFKKSLQQVQDGVATDAARKAGESLISIMKNPSTPQRFWLPLLYDSLKLLTWQEGPLLNVSQCNLLLNKLQESTVAKLRPDFVEVGLPPHALSSVRAALATNLGRAILEE >cds-PLY80947.1 pep primary_assembly:Lsat_Salinas_v7:8:256438195:256439042:1 gene:gene-LSAT_8X150141 transcript:rna-gnl|WGS:NBSK|LSAT_8X150141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFETFFLKKAWWMQVAAWWEIFMWHLGKFSTPWMSNMTLLFLETCTLSNRKYTISTCLEG >cds-PLY80679.1 pep primary_assembly:Lsat_Salinas_v7:5:179930309:179937973:-1 gene:gene-LSAT_5X81601 transcript:rna-gnl|WGS:NBSK|LSAT_5X81601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTPANSSGSSLTTANPGEVGEKNRLINPELWQACAGPLVNLPAAGTHVVYFPQGHSEQVAASMKKDVDAQIPNYPNLQSKLLCILHNVTLHADTETDEVYAQMTLQPVPSFDKEALLRSDLLMKAHKPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFIRDEKQQLLLGIRRANRQPANLSSSVLSSDSMHIGILAAAAHAAANNSPFTVFYNPRASPSEFVIPLAKYYKSVCSNQISLGMRFRMMFETEESGTRRYMGTITGISDLDGVRWKNSQWCNLQVGWDEATAGERRNRVSVWEIEPVTAPFFICPTTPFYQPKRSRQGMLGDESLDLDNIYKRTMPWIGEDMSMKDPGLSLVQWMNMQQNNQIQVQPNYLNPLTGSVLQNYNGQDLSRQLGLQQSQILTQNNLQFNHQKPPQPAPTMMVQPPQFIDLTQQTTRQNPINQTQIQSQNVLHQQNFQQQQMAAAASAAVVVVNQSQQKNNQFPDQQISQKMPENQIQLLQKLHQQQQSLLAQQDQSFPRSIPTTQMTEIPSQAPIASLPQQTMRNNNNNNNSNEHNFRFSHLSQQTKVTGPTNHLATNGSSLLTGTGTGIGISDDIPSCSTSPSTNNSMVVPIMNSGSGMNTSGLEMISGNGNFGKFQQKPDVKPSVEVSKVQNQGFLAPQTYLHNLGGPQMDYLDSSSSATSVCLSQNQISFSSSQALPFRDTIQDGEVQNDSIPDIPFGVEMASMIPEPLITKGMKDFQNTISSGGGGMISSYENPAKDTQPELSSSMVSQSFGVPDITFNSIDSTINDGGLLNGGVWAPPPQPFQRMRTYTKVYKRGAVGRSIDISAYSGYYDLKQDLARRFGIEGQLEDRQRIGWKLVYVDHENDVLLVGDDPWEEFVNCVRCIKILSPQEVQQMSLDGDFGGNGVVPNQACSSSGGGKM >cds-PLY93772.1 pep primary_assembly:Lsat_Salinas_v7:6:141885930:141890005:1 gene:gene-LSAT_6X84600 transcript:rna-gnl|WGS:NBSK|LSAT_6X84600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNDTTLNNAPPMKATSHGVFQGENPLNAALPLVILQICLVLTLTRVLAYLLKPLRQPRVVAEIVGGVLLGPSGLGHNKAYLHTVFPQRSLTVLDTLANLGLCFFLFLVGLELDLNSLRKTGKKALCIAIGGIGLPFILGTGVSFILQENVSGGVHEGPFIVFMGVAMSITAFPVLARILAELKLLTTEVGKMAMSAAAVNDIVAWILLALAVALSGSGRSPLVALWVFLCASGFVVLCSFLVPPVFKWMSQRCPDGEPVDELYVCVTLGGVLAASFVTDAIGIHALFGAFVVGVLIPKEGAFAVALVEKVEDLVSGLFLPLYFASSGLKTNMGSIKGARSWGLLVLVIFTACFGKIAGSVGVSLLCRIPWMDALAIGLLMNTKGLVEIIVLNIGKDRGVLNDETFAILVLMALLTTFITTPLVVAFYRPAKTQPTTEYKHRTLHRRGSSTSPFRMLFCFHSVRNIPTMVNLIEVLRGTGKKEVLLVHAMHLMELSERSSAILMVHKARKNGLPFWKKDQNTGSDQVVVAFEAFQHLSKVAIWPTTAISAVLSMHEDVISGAERNRAAMIILPFHKHIRVDGQLETTRVEYRHVNRKVLEYAPCSVGILVDRGFGGTSHIAASSVNSVVTVLFFGGNDDHEALAYGGRMAEHPGINLNVVRFLLNPAGNTTPGSITIDIKESETSESNSIDDEVMAEFKQKFVLKYNTMKYDERAVADAAETADVIREYGRSNLFLVGRMPEGEVVGLLKKESECPEMGPVGNLLISPALTMAASVLVVQQYHSQLSLHSLASLKEDEMSDDGG >cds-PLY98960.1 pep primary_assembly:Lsat_Salinas_v7:7:49508947:49512533:1 gene:gene-LSAT_7X34841 transcript:rna-gnl|WGS:NBSK|LSAT_7X34841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNFPQQLCFLLPSSRSQSLKMVPAPHLPGDPNELTQQSYNQDSSTCLSSDQSQKDDDVATHGVHSQDQCISSSGSDETQMKPFLFMGNPSFGMTGGLQAEKTTPIVENVYADNYFNGLYTPYGPHPIIQPHIIQIAPARVPLPIDLSEDGPIYVNAKQYHGILRRRQIRAKLEAQNKLVKNRRPYLHESRHKHALNRVRGSGGRFLPTKKPQQQSKPARFLTSHFPSSYQEANQSGMEHEHYHGYSGISWGIRGNEGFLSTSGGQS >cds-PLY79648.1 pep primary_assembly:Lsat_Salinas_v7:5:252187727:252189490:1 gene:gene-LSAT_5X127341 transcript:rna-gnl|WGS:NBSK|LSAT_5X127341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLVRSLLSTANQTLISRFYTISSPSSILRIRPLVAVANNLRHVSLVATGIRPFSTSLTRSSLNDSNPNWSNRPPKETILLDGCDFEHWLVVVDKPEGEPTRDEIIDSYINTLAKVVGSYDEARMKIYSVSTRCYYAFGALVPEEDSYKIKELPGVRWVLPDSYLDVKNKDYGGEPFIDGKAVPYDPKYHEEWIRNNSRANERNRRNDRPRNFDRSRNFDRRRENMQNNRLPPGGSMGGPSPPHHGGGGGQPNWGPPRPSYNGGPPNMGGGGMPPPNMGGQNYQQQPRYGGANGGGMGYQSGPGPNNYGSNVGGGNPYQSEGIPGRDMPISQNYQ >cds-PLY82890.1 pep primary_assembly:Lsat_Salinas_v7:4:18354680:18358148:1 gene:gene-LSAT_4X12740 transcript:rna-gnl|WGS:NBSK|LSAT_4X12740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAAIESDENVLQKHVAFFDRNNDGVIYPWETFQGFRAIGSGILLSSVAALFINMGLSGKTRPGKKFPNLLFPIEIQNINMAKHGSDSGVYDTHGRFVPSKFEEIFEKYARTNSDGLTADELKEFIKGNREPKDYGGWIGGFTEWKILYYLGKDEKGLLKKDVVRGVYDGSLFHKMEEEKEKAKASKKKHMLLRGEKNCRRRPKVVNGVEGCSRHDGDCLIANYSINLVLLRVRAVNMMVEE >cds-PLY95868.1 pep primary_assembly:Lsat_Salinas_v7:5:317513844:317514761:-1 gene:gene-LSAT_5X173701 transcript:rna-gnl|WGS:NBSK|LSAT_5X173701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVDKDDISPLYIHRESLLPLSHQSSSSFELKIQFLMETPVEFSTLDLLRQHLLDEFEIPAPPPVDALSNIELDDHFISDFDYLNWSTTNASCDSSTSWSSSSSVSNNLNSNEIINNTPDDVCHFSVFDSINFSVFEFKPDVMETTVNPSMKMKKTERKDEGHYRGVRRRPWGRYSAEIRDPCQRGRRIWLGTYDTAIEGAKAYDRAAFNMRGRKAILNFPLEIEKNQREQDDAADAVVNRNSRRKRSRHSVEVKTETTMSFSLHQ >cds-PLY94703.1 pep primary_assembly:Lsat_Salinas_v7:8:271497005:271497262:-1 gene:gene-LSAT_8X156640 transcript:rna-gnl|WGS:NBSK|LSAT_8X156640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETDDKIAIENFFNGGRCEGRQSTVLRSSPVGGSKEDQGEDPPMLIFVRLRRRSMIGIRNREAPPVLHQFEGGGGKYEFPVSLP >cds-PLY86641.1 pep primary_assembly:Lsat_Salinas_v7:4:280087973:280093196:-1 gene:gene-LSAT_4X76140 transcript:rna-gnl|WGS:NBSK|LSAT_4X76140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSRKNSHVSLNIDKRFIILRGTSGFYSYGIFDRPKGWPDVDVYQGRIVFKLQERLFRYMAVSDERQRVMPTSEDRKRGEPLDYPEAVLLTHPSNSLLKGEVDDKYQYSTENKDNRVHGWMCSDPPVGFWMITPSNEFRTAGPVKQDLTSHAGPVTLSMFFSTHYAGIDLGMKFREGEPWKKVFGPVFIYLNSVTTEDNPLSLWSDAKEEMLIQTESWPYEFPNSLDFLRAQQRGLVTGRLFVRDVELARASFAYIGLAPPGTLGSWQQENKGYQFWTQTDSEGYFEIKNVRPGSYNLYAWVPGYIGDYMYNSVVNVLEGSRIRMGILVYEPPRNGPTIWEIGVPDRTAAEFYVPEPNPTLMNNLYANQRTESFRQYGLWERYTELYPYRDLVYRVGVNEYQTDWFFAHVTRNVGNKTYLPTTWTIFFDLEDVGSTGNYTIQLALASATVSELQVRINDPRGVLPFFTTGLIGKDNAIARHGIHGLYWLYSINVLSSQLRVGRNALYLTQARGLGPFRGVMYDYIRLEGPPQSYN >cds-PLY90841.1 pep primary_assembly:Lsat_Salinas_v7:6:154916427:154916993:1 gene:gene-LSAT_6X92740 transcript:rna-gnl|WGS:NBSK|LSAT_6X92740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSRSINLMCVVNLRNDDPCPPCDCQDAISVERTAWTDDNVAHRFWNCKNSLSVEGPKCKFFMWKDKEMEEGYYKEQLRKMRFELKRKEEFSEVSKVQKKLVKLQQTMEADKQVLETQLMELMKQNRMLKCGIFVMVVIVIAMWLKWP >cds-PLY98840.1 pep primary_assembly:Lsat_Salinas_v7:7:22817979:22820080:1 gene:gene-LSAT_7X18180 transcript:rna-gnl|WGS:NBSK|LSAT_7X18180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKFMLCTLMLFVMTRFVHVNGKILYLGSKCSQTRNTTGNYITIYQANLKTLLDSLANNSPLQGGFMNTTIGTGSNQVYGLAWCRADVSPTTCSKCLNETIRVPLRDCPDSKGLVIWSSLCSLRFSNESFFGELWNRSSSSGYGRHTLDEASVFSRGFSMMQALGRNVSNQALMFDTGVIDVGNDGKRYGLGQCSRDLSKFDCEKCLEKLLVIYQRFVLNRTGWEMLGVSCGLWYDDVKFYDNDSGLTT >cds-PLY65653.1 pep primary_assembly:Lsat_Salinas_v7:7:26983137:26984958:-1 gene:gene-LSAT_7X21041 transcript:rna-gnl|WGS:NBSK|LSAT_7X21041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNILALGLVLTSLVTGAMWSPPSPPSDHSEVIVKEGHRVVVVEYEKEADGHTKVLISPQDTSNASGEKKQKQAVEEDEADQGKFSGPRELVCDAFGKCKHKIAQALGKTKDTVSETAHGMEEHVKDAARGAVGKAKDAEERAKEGVNEVYEKGQNLKDKLSEKVTGHTIGESLGKAKDSVAHGIGKAKKVAEDVVDTVKDGALKAKNFDVVDSPKRMGEDIQRNVTGKVEEGAQHMMNQAKETAGNVQKVVGQKSFGEILSKLKEVTSDVIWYMVSRDKVDAVIGLIHMLGFSTAYGMCVWVTFVSSYILGRYLPRQQFGMVQSRIYPVYFKAMAYCVSAALLGHVASQSKQLLSSFGEMFQGLSLLSALLMVLTNMIWLEPRATKLMYERLKIEKEEGRGIAGAAKEGIEDAGGPTVARPPAHIVAERQDVLRLNEKLKRLNTYSSAFNVLTLVGLTWHMAYMGQRLQATR >cds-PLY65502.1 pep primary_assembly:Lsat_Salinas_v7:3:1477232:1483119:-1 gene:gene-LSAT_3X461 transcript:rna-gnl|WGS:NBSK|LSAT_3X461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Flavonoid biosynthesi [Source: Projected from Oryza sativa (Os02g0697400)] MLSVEAQKQETPPPPPLASSSSSPASTSNNTNTNNIHKVDDGSNRHVIFRSKLPDLPNIANHIPLHTYCFENLSRFSDKPCLVVGSTGATYTFSQTHILSRKIAVGLSALGISKGDVIMLLLQNCAEFALTFMAASMIGAVTTAANPFYTAGEIFKQFNSSNSKMIITQAQYVDKLRDHGEHNPKMGQDFPVITVDDPPEKCLHFSMLYRTNENNIPSVTIDPDDAVALPFSSGTTGLPKGVILTHKSLITSVAQQVDGENPNLYLKQEDVVLCVLPLFHIYSLNSVLLCSLRAGASVVLMPKFEIRSLLELIQRYRVTVAAVVPPLVLALAKNPMVGSYDLSSIRVVLSGAAPLGKELEDGLRSRVPQAIFGQGYGMTEAGPVLSMSVAFAKQPLPTKSGSCGSVVRNAELKVIDPDTYSSLGYNQPGEICIRGPQIMKGYLNDAKSTAATIDEEGWLHTGDIGYVDHDEEVFIVDRVKELIKFKGFQVPPAELEGLLVSHPCIADAAVVSQKDDAAGEVPVAFVVLLNGMELTQEAVKDYIAKQVVFYKKLHKVYFVASIPKSPSGKILRKDLRAKLSST >cds-PLY76947.1 pep primary_assembly:Lsat_Salinas_v7:7:52209510:52210578:-1 gene:gene-LSAT_7X39201 transcript:rna-gnl|WGS:NBSK|LSAT_7X39201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLLEEVCELIRRTNHSSCYHNYYTTPILEATRLNAYEVVEEIVTWFPNAIWCANEDGHNFILYAAINRSEKVYNLLYQMSEHKNIYRTLEDSSRNNLLHLAARLAPSNKLNLISGAALQIQHELQWFKEVEKFICPLSIKQKNSLNETPQMVFTREHMELVIEGEKWMKSTAESYTITAALIITIVFAAAITVPGGSNQDTGIPTFTNNTAFIIFAISDAISLFAAVTSLLMFLSILTACFAEQDFLFKLPTKLIIGLATLFVSTTAMIVAFGATLYLVFGQRNWRILIPIAVLTCLPITSFVTLQFPLVLDLMSATYGRSIFGLGGRRIII >cds-PLY88996.1 pep primary_assembly:Lsat_Salinas_v7:3:73393834:73396318:1 gene:gene-LSAT_3X61580 transcript:rna-gnl|WGS:NBSK|LSAT_3X61580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILVFFFASALLSCLPFLHSATNHNTSIPICPKSFSCQNLEPFSYPFYNANDTKCGLIKVNCTSNGTNLEFRGDSYEVGGKFGPQQCVLVRNRKFEKLVNDKKCEAFTYNFASPFPLLYSSLSTSFTNVYKCSNSTNYAEEMDAYFNQSTYNRYTRCKAHNLYYKSNISDTTVPTHLPPICEIIQLPVNVQFNRTRIPDKTDIFSLLGFEFTLTFNLSSSCDECRNKDGQCDTRDGHFQCLGTKKALSIGFVTMMVVIIVCFIWKLSWRVKTKKCVNVENFLENHEFLAKRYTYLQVKRMTNSFEIKLGQGGFGSVYKGALTNGSLVAVKILSELKGNGEDFINEVASVGRTSHVNIVSLVGFCFEGHQRALIYEFMPNGSLEKFIYDQTFLSNSQLGWTKLHEIAIGIARGLEYLHSGCNTRILHFDIKPHNILLDQDFSPKISDFGLAKLFPEKRSMISMSHMRGTPGYIAPELYSRSFGQVSHKSDVYSYGMMILEIVGGRKNIEVGVDHTSEIYFPHWIYKKVELDEDLELHMSTSDKENEMVRKMIIVGLWCIQTNPLSRPTITKVLEMLEGDLESLEIPPKPYLSSPLRSVVGSSFTE >cds-PLY65330.1 pep primary_assembly:Lsat_Salinas_v7:4:251625194:251626789:1 gene:gene-LSAT_4X131680 transcript:rna-gnl|WGS:NBSK|LSAT_4X131680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METQIQTNYSYMGRNFSNTNDDSTAFSDCNSDRSGEFPTTSSESRRLLLSCATENSEDLIRQLVSGLESGSVEDQKQAAMELRLLAKNKPENRLMIARAGAIRPLIALISSSDPQLQEYGVTAILNLSLCDENKEQLASSGAIKPLVRALKSGTSTAKENAACALLRLSQLDENKSAIGRSGAIPLLVNLLESGNIRGKKDASTALYSLCSVKENKIRAVEAGIMKPLVELMADFGSNMVDKSAFVMSILVSTAEARAALVEEGGIPVLVEIIEVGSQRQKEIAVVILLQICDQSLVYRTMVAREGAIPPLIALSQSGTNRAKQKAETLIELLRRPRSGNNAANQDLTD >cds-PLY66542.1 pep primary_assembly:Lsat_Salinas_v7:4:340583375:340585996:-1 gene:gene-LSAT_4X167040 transcript:rna-gnl|WGS:NBSK|LSAT_4X167040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPPVISISSDEEDDDGIFEEEELESELSSQDDTDYSESDDQEYDEEEENDVESDEEECSDNQGSNGIKELSLVECKAYLRKHGLRLSGSKEECRQRIKEHERLKDAGGESIYPRSSFSINCTGDACKGDVVLFKQKIKYDKGANRGNIVGKRTVAGRIVKESYGASKQQHTFTVEVLWNKGWKKLPVLSTLLVKGRNLYRYGTFRQPWKSEAERSKVLEEKHKRGEAARHIRKSNKTEIPSNNNNNNKRKLKKTEFLSNNNKGRKRQKLSNKGPSRSKQPTRTPKQNSTSAHKRKPIYMKKTEMPFRADPNRSIYLNHHRQQQEASLLPVPYERISSFPNMSLLGVPRYTVGQPPRQNPIMYQYEYQYQYQPRDYHHYTI >cds-PLY95485.1 pep primary_assembly:Lsat_Salinas_v7:4:264317940:264321642:-1 gene:gene-LSAT_4X136961 transcript:rna-gnl|WGS:NBSK|LSAT_4X136961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFKDSISGAFASCIERQQTSKPSVIVIGSGISGIAAAHVLNNADFEVVLLESRDRIGGRIHTDYSFGCPVDMGASWQLHGVCNENPLAPLIRHLGLALYRTSGDNSVLYDHDLESYMLFDREGHQVPQQIVIEVGEAFKKILKETEKVRDENQHDLSVLQAISVVLERHPELRQEGLAYEVLQWYICRMEAWFAADLDMISLKNWDEEHVLTGGHGLMVEGYYPVLKALSKDIDIRLNHRVTRIWNGPTDVMVTVDGGRTFVADAVIVTVPIGVLKANLIEFEPKLPDWKVSAISDLGMGNENKIALRFDHVFWPNVELLGTVAPTSYSCGYFLNLHKATGNPVLVYMAAGRFAYDLEKLSEEDAAKFAMVQLKKMFPEATEPVQYLVSRWGTDPNSLGCYSYDVVGKPTDIYERLRAPLGNLFFGGEAVSMENQGSVHGAYSSGVTAAEKCRKLVMERRGFLEKFQIASFSTAILEAAFPLQISRM >cds-PLY77190.1 pep primary_assembly:Lsat_Salinas_v7:8:26732527:26733291:1 gene:gene-LSAT_8X21201 transcript:rna-gnl|WGS:NBSK|LSAT_8X21201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMAKRGGKTKVSVSRNKTPKKTPNGKKHKSKVKEDVSLTCDEDFVDLFTHAPNGKQGMSQAKEDVQEQEHDDNEVKVNERLTLQELLMSGYTHVDAVDAMKEAEEEEVEEREVEEQLIDEGEVDEGVEEREREVEEEEVEGIQVEEEEVEGMVVEEEGVEEREVRARVLPSRRGYGAYNFHWEKKRKPPKRIRKMKLRKMVEDADGGGSSKTPWVLE >cds-PLY94759.1 pep primary_assembly:Lsat_Salinas_v7:2:180267770:180268907:1 gene:gene-LSAT_2X102220 transcript:rna-gnl|WGS:NBSK|LSAT_2X102220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEESMVQLQKQETIMKVLVAIDESEGSLYALQWALQNLFLHNTPAPAEEGEEPAMMTVVHVQPPFQQPFTATPIGPMVFATPAVMDSVKKAQEAAAAEVRSRATQLCEQHKTKAKTVLLRGNPKEMIVEAAEEMNVDLLVVGSRGHGQIKRAFLGSVSDYCAHHAKCPVLIVRPPKPAKK >cds-PLY75373.1 pep primary_assembly:Lsat_Salinas_v7:6:180417104:180419560:-1 gene:gene-LSAT_6X110661 transcript:rna-gnl|WGS:NBSK|LSAT_6X110661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALPSMFWHIPLLITILYNSSLFTHSSSPSSPTHSLSKGSSIFVDHKDDNLVSPNSNFTAGFFEVGKNAYCVSIWFTESHAQNMNPTVVWMANRDVPVNGKQSKLSLQDDGNLVLHDADRSIVWSTETKSTSRSLSLQLEDTGNLVLREVNGDSDPVWQSFDHPTDTLLPDQLFTKNSQLVSSRSNANFSSGFFRLYFEDNNVLSLLYNSPEITSVYWPPPYQKTWEAGRFTYNNSRIAKLDSQGQFKSSDDLGFFVWDFGMSRQRIVKLDYDGNIRVYSLVDHQKEGKKWEVRWQAFSRSCKIHGVCGPNSLCTYSQETGRKCACVPGYKKKNQIDWTFGCAPKFRTCAQVSEDYIKLRFVEFYGFDMSVLQNSTLEACKQACLNDCKCKGFQFKFENTNYNYNYNCYLKNLLYNGYQRGFRDPMYIKLPKQLVSPAQQALIVKGSNLICPEQQQIMPIQRSYEKKHATSSLKFLLWFAYGIGIMEVLLVLIFFYITLKDSFRTAKSYFPIASGFKRFTYTELKTATRNFSKEIGRGGAGVVYKGKLSDDRTAGIKMLKEGNIHQGEAEFQAEISTIGRLNHMNLIETWGYCIEGKHRLVVYEYMENGSLATCLNSNKLDWGKKLEIAIGTAKGLAYLHEECLEWVLHCDVKPHNILLDSDYNPKVADFGLSKLFDRGAIENSCFSRIRGTRGYMAPEWVFNLPITSKVDVYSYGVVVLEMITGKSPLQMLQFGEGSSSGRDQTLVEWVRSKIREHIGSQNGAWIEEIVDGRTSGEYETRALINLVKVALQCAEDDRDARPSMSQVVNILLDLRYDD >cds-PLY84179.1 pep primary_assembly:Lsat_Salinas_v7:4:369537874:369541275:1 gene:gene-LSAT_4X181481 transcript:rna-gnl|WGS:NBSK|LSAT_4X181481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-gated ion channel 18 [Source:Projected from Arabidopsis thaliana (AT5G14870) UniProtKB/Swiss-Prot;Acc:Q9LEQ3] MMNRVLTTPATRLRQQFTRPTSNTIATDKANSATFWHYQILDPNGEAVTRWYQFFLVVCLVSLFIDPLYFYLPYVGGDTCMTKDHAAAIAINTWRSFADIFFVVHIVMKFRTAFIAPSSKIVHKLIIPATNKNTKDHANNTLSLIVLIQYIPRLFVIFPLNQRIIKSTGFVAKTAWAGAAYNLLLYMLASHVLGATWYSMSIGRQHSCWQQGCKEEMAEKVCMSFLDCKSIDNPDRKKWLESTSLRTLCDASNESSPFKFGMFADAFTSEVASSKFFEKYLYCLWWGLRNLSSYGQNLDTSIYIGETLFCIFICIGGLILFAQLIGNMQTYLQSMTLRLEEWRIKRRDTEEWMRHRQLPEELADRIRRFVQYKWLATRGVDEEYILQSLPIDLRREIQRHLCLNLVRRVPFFSQMDDNLLDAICERLVSSLSTQGTYIVREDDPVNEMLFIIRGQLESSTTDGGRSGFFNSITLRPGDFCGEELLTWALLPNATSYPSSTRSVRTLTEVEGFALRAEDLKFVANQFKYLHSKKLQHAFRYYSHQWRTWGAALIQATWRKYKRRKLAKELALQELMEGFYYEESGTDGTPGSSSEQQFGVGATVLASRFATNTRKGIGHHKVGSVDSSTSLKMPQLFKPDEPRF >cds-PLY70257.1 pep primary_assembly:Lsat_Salinas_v7:4:205112276:205114846:1 gene:gene-LSAT_4X116240 transcript:rna-gnl|WGS:NBSK|LSAT_4X116240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSALVVAVNPRVKFSDEQISAILDEVFRTYGDFIDGEKGLTYDGLLRTYDDGAGDVDRDFEALALEMKTDDDNEAASSLALEESSTSSVLERASSAGPQKSHRTAAWAASPNHGIIFDDTWKLVDDLEILIKRLKSKQTKDGKPKGENFDVYSDPGWSRELGPSSEIDKRIIWEESGHDYGVFVKELGALRSRADGSRSREEAFDGHMALGRVLYDQQLFKESLVCFKRACELQPTDVRPHFRAGNCYYVIGQHSEAKSEFISALDAAEAGGNQWSYLLPQIHVNLGISLEGEGMVISACEHYREAAILCPTHFRALKLLGSALFGVGEYKAAIKALEEAIYMKNDYADAHCDLASALHAIGDDENAIKEFQKAIDLKPGHVDALYNLGGLYMDMGRYQRASEVYTRVLGVWPNHWRAQLNKAVSLLGAGETEEAKRALKEALKMTNRIELYDAISHMKQLQKKKLKGNGNGNGNGNDDSFVVVEPSKFKTVSERTTLRHDLATALDIRSFQRTTRLIRCDVDLLKKEMNENETPLTYSGTGFPEKSIRKAALESVLRRLLGFLKPETFVGSVKEINLKILTVLDESESGRVDLGMFFAILAPICGGSPEKRKRVAFDSLLWRPINEQQGATKGQIKRVDALHYIKLLRLVYIPSQGTSELLEIHGGTDDSMVSFTEFVAMFDDSDWGFGILSTLLKLEISDRNRHGKQSCSVCRYPIIGSRFKEMKSGFSLCGQCYSEGKVPMSYKLDEYRFKEYVKESDAMKDKCMWFSLQKSSSGGATTNL >cds-PLY68073.1 pep primary_assembly:Lsat_Salinas_v7:5:293065725:293068059:-1 gene:gene-LSAT_5X154881 transcript:rna-gnl|WGS:NBSK|LSAT_5X154881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGPNWDGLLKWSIAHSDGTGTARKLSEDDRKWFMEAMQAQTIDVVKRMKEITLVMQTPDNILEEQGVTSTDLEGMLDELQDHVESIDMANDLHSIGGLTPLLNYLKSSHANIRAKAAEVVSTIVQNNPKSQQLVMDANGMEPLLSNFTSDDDVTSRTKALGAISSLIRHNKPGIQAFRLSNGYAALRDALSSESVRFQRKALNLIQYLLQENPSDCSVVTELGFPRILRHLAGSEDAEVREGALSGLHELAKVESSVVEKDDEKFKKVLEDRINDISMMSLEDLAAVREERQLVDSLWTAYYNEPSLLREKGLVVLPEDEDDAQKRPPDVASKVFKSPLRPPQRPPSSNDEKSKSDKKDTPLLLGP >cds-PLY91030.1 pep primary_assembly:Lsat_Salinas_v7:7:179286690:179288216:-1 gene:gene-LSAT_7X106561 transcript:rna-gnl|WGS:NBSK|LSAT_7X106561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSTQRIVVTFNNFGKPVGDEGNELVQYLGTLVRMADHVSIEYSDWRKVPIQKKEDMYSLVKSKFIFHPVETSEIKKWIFHSMGKKWRTWKGSLKTRAYDPSLSIDEIVTQQTKKDNRVNPTQFKELVTRWFTSEFQAINNNGVYPSRGEMYVTTRTRKDGSIVDDKAAEVVASLKAIASDSISTPIDSSDITNDDYSKVKGPEKRGYVRLVGRMPAAKKNGDSSSDSQTIHQLKSVVNVMANIIQEHIPNANLSAVLRNMNIEVPGISSVPNNSISVNQISPVRSQNNNGIYFTVSTFHICIGQNFYLHFESCFHCMHIERCLFFLTGNH >cds-PLY99129.1 pep primary_assembly:Lsat_Salinas_v7:2:11386641:11388257:1 gene:gene-LSAT_2X5341 transcript:rna-gnl|WGS:NBSK|LSAT_2X5341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METKIISKENIKPSSPTPSHLNTFDFCALDQIIVSPYVPIILYYPNNNGDNSNHALQRSEVLKKSLSETLTWFYPLAGTIKNDLSIDCNDIGANYIVALVRGRLDEFLRHPDHGLINTFLPCEPSFNKSSIGNRVTNVQVNIFECGGIAIGLCSSHKILDGAAVYTFMKAWSNMARGAEEVVYPNFTAPSLFPAKGSWLRDTFMVLGESLLKEGKCRTKRFVFGPDAISRLRDRAESNGVQRPTRVEVVSSLIWKCAMAATTEACGIQKPSSLTHWVNLRPKIKSNFSNHLIGNIVWVSNAVCLASDETPLHSLVNRVRESISKINVEFVEKAQGDEGSFAMQKSLQEMGEITGSIGAIENYGFSSWCKMGFYEIDFGWGKPIWVTGVVAEGAPVFVKFVTLMDTKSGEGIEAWVNLDESEMEILQKNQELLSYASLDPSPLLNGEIGALGAGLGQATDQFVKEINPLQTA >cds-PLY91452.1 pep primary_assembly:Lsat_Salinas_v7:MU039439.1:244042:245728:1 gene:gene-LSAT_0X16520 transcript:rna-gnl|WGS:NBSK|LSAT_0X16520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLLIRNGKSVVNFVCFMLIVFGGSVHSRGLLSSQDRPKPSNAAPFARWLVSQSSWGVLSTIAEDLGGAPFGNVVSFSDGLPNEGKGIPYFYLTSLDPTARYALKDHRSSFTLSEYPLGTCGKIDPEEPTCSKITLTGKLNELDAKSEEAETAKKALFAKHPDMKKWPKDHSFQVYKLDIEEIFMINFYGGPKPLTVDEYLRSGMKKVTAIM >cds-PLY82481.1 pep primary_assembly:Lsat_Salinas_v7:2:184022686:184023575:-1 gene:gene-LSAT_2X107320 transcript:rna-gnl|WGS:NBSK|LSAT_2X107320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLFSFPSPPSLFANAMSIFNLLALITSGYMELIGKNKQYAKFCDNDDTGSNKPKAKEKLKLPSRIGMLVFYTPSFLVGLASFTFFPHQDCRFLMVISVLTIHFFKRILEVLFVHKFTGSMMLKDAITIGLSYGVSTATMIYAQYLSQECPEPAFDLKYIGVVMFFIGITGNFYHHYILSSLRKKGDREYKIPKGGLFNVVICPHYMFEIVEFVGVCCICQTGCTFCFTLGTMFLLMGRSYATRKWYVSKFGCKFGKDVKALIPYLF >cds-PLY62111.1 pep primary_assembly:Lsat_Salinas_v7:8:35214525:35215444:1 gene:gene-LSAT_8X28441 transcript:rna-gnl|WGS:NBSK|LSAT_8X28441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDKKEYNEEVVEKADRGLFDIFGTKAEEKKGEEAAISSEFEQKVQVSHPEPEENKESLPDKLHKSDSHSSSSSDEECDESGEKKKKKKPLKEKITKPEEKKGFIEKIKEKLPGGHKKEEEEHADEGEHKEKKGIFEKIKEKIPGYHSKSDEEKEKEKVKESD >cds-PLY80177.1 pep primary_assembly:Lsat_Salinas_v7:8:190755270:190757611:1 gene:gene-LSAT_8X124480 transcript:rna-gnl|WGS:NBSK|LSAT_8X124480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQFEEKYTSAIVYGVKEKVGNFRVMPPWLFQGHGDHPKMGKPKGRICLCDITTNIGNDALIPECPIPSERLFGLIVHIISLQKGRELGFS >cds-PLY82270.1 pep primary_assembly:Lsat_Salinas_v7:1:68393204:68393758:-1 gene:gene-LSAT_1X60181 transcript:rna-gnl|WGS:NBSK|LSAT_1X60181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYQPRSSNQNESDDRHKKRRLYNPYQDIRVPAQTLYKLPTSLEYLFQEESIAQRSSRRENPTYYTGIGYLSGAVVGAGKGLVEGVKASEAGDTMKLRVNQILNASGHVDRTISNRAGVIGLLYTSMESGMVKARDADDIINSVVAGLANGALYKAAVAVRAIGGITVGLAMPGKQILKRYVPI >cds-PLY67149.1 pep primary_assembly:Lsat_Salinas_v7:5:290747609:290748491:-1 gene:gene-LSAT_5X154760 transcript:rna-gnl|WGS:NBSK|LSAT_5X154760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMVFGKLRVETPKFEVVKSTADYEIRQYPPSVVAEITYDPTQFKGDKDAGFSILAKYIGVFGNPQNTKPEKIAMTSPVITKAEKIGMTSPVVTKGNVGRVTMQFTLPEKYKKAEEAPMPVDERVVITEEGEKKYAVVGFNGVATEGVVTEKLEKLKKDLERDGFKIAGEHVLGRYNPPWTLPYFRTNEVMVPVE >cds-PLY72826.1 pep primary_assembly:Lsat_Salinas_v7:6:22607303:22609273:1 gene:gene-LSAT_6X17820 transcript:rna-gnl|WGS:NBSK|LSAT_6X17820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLRPFQDIQHLFPFNASFKIHLFSSLSKPTWNSSQTNLSITNPTLLAVESCNSMAQLRQIQAQFTRTGLIFHVFPVSRILTFCALSDTGDLKYAHRLFNQFSNPNVYMWNIMIRGYLKSHLNVKGLSLFRLMVRKCVEMDRRSFVFGLKACECLQAGESLHSLVWKVGFDCDLVVQNGLVHFYGERGQLGCARKVFDESCERDVFSWTSLINGYAKQGMPDEALRVFELMEASGVQPNEITMIAVFSACSQKEDLELGKSIHDIVKRMNLNTSLNLMNSILDMYVKCGSLVTAREMFDNMRTKDVFSWTSMINGYAKNSELVSAKKLFDEMPERNIVSWNAMIAGYSQNNKPKEALELFLDMENEGLVPIESTLVCVLSACGQSGCLDLGQWMYFYYIKQNRIQPTVTLGNAFIDMYAKCGKIDAAEEIFNEIHDKDLVSWNSMIVGFASHGHAIKALSLFKEMIGTGLYKPDEVTFIGVLSACSHGGLLTQGRSYFKEMETRFGVKPRVEHYACMIDLLGRIGVLDEAYELIREMPMEADKVVWGALLNACRMHGDVDLGKIATEKLLKLDPNDSGVYVLMESLCASRCKWDEVKKIRSVMRGNGVKKTPGCSCVQVEGVFHEFLSADKSHPKSENVYQVLSEITSLSRLDWNN >cds-PLY87075.1 pep primary_assembly:Lsat_Salinas_v7:5:256865155:256867498:1 gene:gene-LSAT_5X131300 transcript:rna-gnl|WGS:NBSK|LSAT_5X131300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSFISCATGVTKVSLASTTIFNVMAYGAKGNGKTDDSPAFANAWKAACQSKPNKRSILVIPAGRTFFLKPMDFNGPCKPSSIFIQVSGNIVAPTKKTDWFGHHVDTWLSFNTVNGLTVSGNGKIDGNGRIWWTNACIGSPVPGTTCRGPVAIQFKKCNSLRLNGLTHVNSPRVHLLMTKCNGVIFSNLKIIAPESSPNTDGINIASSTNVNIRDSVIGTGDDCIAISGGSSNIKISGIMCGPGHGISIGSLGHGGFDVVENVDVRNCTMKKTLAGVRIKSYQGGTGYAKKISFSGIKFDAVWNPIMIDQYYCPTQKDCLTSTTAVKLSDITFRGIAGTSNMDNVINISCSKSVACTNIVVDSVYIKSAIPGRKVYGTCINAHGRASHVKPSLKCLKP >cds-PLY74765.1 pep primary_assembly:Lsat_Salinas_v7:6:120113169:120114142:1 gene:gene-LSAT_6X72341 transcript:rna-gnl|WGS:NBSK|LSAT_6X72341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGDIVCRNLSFAFVVIVLLSLYTFPNPPELYIAEFSVPAFKNSSNNTAGNISFDLTLCNVNLAIGLYYDYPLSIAFFYYPYEDPFQEYVWAGTLPGFYQGNGKTKHIRSLEGKDLQFPSTVVVDTEEHTEDLVETNHVRTLLKDHLQLPSTFAETRKDMVGRILEVNIKIAVVINYRFKYWVGSSKHHLELGANVVVDSNTGEMISAGLIDLVKSEAPAGGPVMLVVVFISFLVIMYY >cds-PLY91694.1 pep primary_assembly:Lsat_Salinas_v7:8:11201011:11202954:-1 gene:gene-LSAT_8X7641 transcript:rna-gnl|WGS:NBSK|LSAT_8X7641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELLKSPFPIFSPNPNNFSIIRPPTHCFRTKVSIKPPPPEFDFKSEILSGSRAIIAEMHPELLDLADEGSLVVIRKSQFGPVPAWRTEFVEPEAIWLLGTNHVSEKSAVDVERVVQTVKPDNVVVELCRSRAGIMYTSTDTEIEPRLKSNPFSLSGSGFFGAISRSINLGGQTALALRLLLATFSSKISSNINRPFGDEFRAARKASEEISAQVVLGDRPIEITLERAWNSLKWKEKLSLVISVLGGITSSSDQSKINFKESTTDDSNFQLYEQLSFSYPSLLQPLIHERDTYIAWSLKRSKAVRDSKRVVGVIGRGHLNGVIYALISDLGDLRFRDLVGDRASSVNGSSSSSSWVDTIVKNLIRDTIIGVVIWVLYEQIKN >cds-PLY86683.1 pep primary_assembly:Lsat_Salinas_v7:4:318542421:318548897:-1 gene:gene-LSAT_4X158421 transcript:rna-gnl|WGS:NBSK|LSAT_4X158421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 16 [Source:Projected from Arabidopsis thaliana (AT4G34910) UniProtKB/Swiss-Prot;Acc:Q9SW44] MSNVEENDLHEENQEGEEVQELSFEELGLDPRLIRALTKKNIEKPTPIQQTGIPLILEGKDVVARAKTGSGKTFAYLLPLLQKLLSDNNTSPSKALAPTAMILVPSRELCQQVYSEVLSLIELCRVQLKVVQLTGEPSFSEMATALSGPPHIFVSTPACVQRCLSSGVLQAKSVHDSLSVLILDEADLLFTYGYEKNLKDLKTHIPKKCQCLLMSATSSDDVESLKKLYLHNPYILTLPEVGDGKDEIVPKNVQQFWIQCSYRDKLLYILAILKLDLVQKKVLIFTNTIDTSFRLKLYLEQFGIKSAVLNAELPVNSRLHILEGFNAGLFDYLIATDDSDSKEKDQTDENKQIEKKKFKKNKKRKVDLEFGVVRGIDFKNVHTVINFDMPTTAAGYVHRIGRTGRAYNTGQSVSIVSPEEMEIFEEIKLFLGGENEDKDLTYIAPFPLLEKNAVEFLRYRAEDVARSVTKIAVKEARAQDLRNEILNSEKLKAHFQENPRDLDLLKHDKLLSKKPPAPHLRDVPEYLVDPTTQQASKFVKLTRAAFGRNNNNGNNRGFKGRVNKKAGDPLKTFSSQGKGMKRKGIGKEFGPKQKKKQDV >cds-PLY76621.1 pep primary_assembly:Lsat_Salinas_v7:5:223721358:223724223:-1 gene:gene-LSAT_5X105680 transcript:rna-gnl|WGS:NBSK|LSAT_5X105680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVGVDNSPEIVGVAMEFPVVDGAGLSSPPTLPPRLRRRLTETKASPSSVEEIEAKLRDADLRRQVVLELGKVVASESFLMKFYEHLSSKARPKPRSPPQSAYEENLGQRLQAKLLAAEQKRSSILAKAQLRLAKLDQLRQAARTGVKVRVKKECQELGTKVELRVRQAETNRMRILKAYRQRRATLRERTSESLIRRIARESKYKERVCAAICQKRAAAEQKRLGLLEADMEKAHARLLQVRKVAKLVSQQREIERRRLRETLEDKLQRAKRQRAEYLMQRARLHNTIGANWSKKMQNQADNLSRKLARCWRKFLKKGTTFDLVKSYSVLNINEDHVKSMPFEQFALLIETPSTLQTTKSLLERLEIRYKAMKGTSSGINSHGKDDIDHLLKRVASPSRRNTTPRSAAAAARSRHAKKPVAARAAPKSPVKLSRYQVRIVLCAYMILGHPDAVFSGQGERESALANSAKKFIQEFELLINIILDRHQESNTRSTFRSQLEAFDSAWCAYLNSFVVWKVKDAESLEEDLVRAACQMEISMMQKCKLTPEGDNADLTHDMKAIQKQVLLLS >cds-PLY97536.1 pep primary_assembly:Lsat_Salinas_v7:5:235210638:235212412:-1 gene:gene-LSAT_5X115140 transcript:rna-gnl|WGS:NBSK|LSAT_5X115140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLTEEIENNGGIWALEQKIDQPMDEEAGSTEEKEKNRVETRKRKFFAEILNAIRELQLQVQASQKRRKQRNDVVQALVPLLLKILVSAPQVIFGCYLI >cds-PLY62418.1 pep primary_assembly:Lsat_Salinas_v7:5:36011460:36011849:1 gene:gene-LSAT_5X16661 transcript:rna-gnl|WGS:NBSK|LSAT_5X16661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSGPPPIAGRCARYDKGPWEKPMKNQGRASELAQAKIGTRRLEEQPEKGKAMETVDSPVRHTMRLTTAEREVTIPVFLFQFPGVNVGSADGGSGVNIQPKASWGSAIAKHCGHHSSSYVWLAYNLRAS >cds-PLY97705.1 pep primary_assembly:Lsat_Salinas_v7:8:8165862:8167426:-1 gene:gene-LSAT_8X6361 transcript:rna-gnl|WGS:NBSK|LSAT_8X6361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADKAAGGQEHESSFIDSVKEKVTEKFHGSGSSSSDSEGEGKGKLASKKDYPVPIKKKVQSLSWKEKPVHDLLGGGKPADILLWRDKKISIGVLGVATLIWALFELIEYHLLSLLCHTLILVLGIHFLWSNTFNLFYRCPQFPEVAIDEDTALKIASVLRSEINKALEVLREIASGKDLKEFLAVIACLWIVSVAGNWCNLLTLVYICFVLLQMVPILYEKNKGQADVLLEKAEGELKKHFEVVSEKVLSKVPSRASREKKAT >cds-PLY78671.1 pep primary_assembly:Lsat_Salinas_v7:9:49517965:49522365:-1 gene:gene-LSAT_9X41861 transcript:rna-gnl|WGS:NBSK|LSAT_9X41861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSHYPLCPLHSHHSLDITSRISSFQMRSKHSISRAQNVSSERPLRQFVTGKDKSAGRNSKGRITIFHIGGGAKRSQRTIDLKRNTSSIGVVERIKYDPIRTSQIAVVRWVEGAAVDRPKKSTPSKKTSPHPQDPTLHLHQRSVFILFNSRNVRRQKVESLRPKTDHVVVGLSKVSRTLSSQSQSQTGTHMRNVKDVFFSAFSSSNGKKDMMLLIRL >cds-PLY93080.1 pep primary_assembly:Lsat_Salinas_v7:4:106825183:106826618:1 gene:gene-LSAT_4X69540 transcript:rna-gnl|WGS:NBSK|LSAT_4X69540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRTCTLKTRSLVTQASIEDEELAHQILDKIISELQKTPNSINELCTNHINKLCKTKNLQAATILLQLLHNKHATNGIDILPSYNLLLNAASENNNTKIAAHIFKHMYINHLPMNSTTYFNTTKAISKSNDLTFILSFLKEISDLNYTGDVTVFNQIIYGFAECGHVHNAILVFDNMKSLKCKPDLITYNTILGVYGKEGRLDEMLDVFSNMKKTEIPPDIVSYNTMVNSLRKVGRFDLCVVVMKEVVEVGLKFDLRTYTALIECFFRSGNVEESLRLFEEMKRGQIRPSIYVYRSMISNLKRLGKLELAGKLLEEMNECMEDLVGPKDFKRKNR >cds-PLY67050.1 pep primary_assembly:Lsat_Salinas_v7:5:281052711:281060355:-1 gene:gene-LSAT_5X149080 transcript:rna-gnl|WGS:NBSK|LSAT_5X149080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKMTVAALLISMVVYGSQMKTTFGALYMVGDSAGWTTIGNVNYKQWAATKTFQFGDTIVFTYNKQFHNVMQVKHGDYRSCNVSAPIATHSTGNDSIVIKTYGHHYYLCAVPGHCQAGQKLDINVQRLSSTLAPTPSPSSSPSSSSPSPSSTPSSATASKPNSIGKVTATSIKLGFAIALLAAVSIV >cds-PLY68958.1 pep primary_assembly:Lsat_Salinas_v7:4:363285564:363287120:1 gene:gene-LSAT_4X179721 transcript:rna-gnl|WGS:NBSK|LSAT_4X179721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVNKQDDYSLIIPLLVNNGDVGTKVKEEQYTNNTTTGTASFISTCFNALNALSGVGILSIPYALASGGWLTLILLLVIASSTFFTGLLIQRCMDSDPTIRTYPDIGERAFGKAGRTLISISMNIELYLVATGFLILEGDNLCKLFPDIDFDIYGTRIGSKTAFIVIIVILILPTSLLNDMSILSYISASGVLASVIILSSVFWAGAFDGIGFQEKGNFVKWNGVPSAISLYAFCYCAHPVFPTLYTSMKNQRQFSKVLFFCFAFSTITYSLMAVIGYLMFGSKVESEITLNLPTNKISSRVAIFTTLVTPIAKYALMLTPIVDTIETQFQSFCNKRKCSFLIRTILMISTVVVAMFVPFFGNLMSLVGALLSATASITIPCLCYLKISGIYKRIGMEMIIVWFVGLIGLIVAVVGTYVSLVDLKTHLFVAI >cds-PLY79563.1 pep primary_assembly:Lsat_Salinas_v7:8:118515154:118516899:1 gene:gene-LSAT_8X81841 transcript:rna-gnl|WGS:NBSK|LSAT_8X81841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISELGIAIYLTMTNFWLWWWEVDSKQDEFARTFLTLLVPTLLLLWYQWTFPNSKKGKSANQLPPGPYGFPVLGYLPFLGSNLHEKFTEMGHRYGPIFSLRLGTKLHVVVNSMELAKVVARDQDKTLANRSPPLTAVISSYGVNNISWSNNNAHWRNMRKLLVSQLMSTANINTTQSQSLRTHEVRKMVADVYAKLGQQIDINEIGFNAAFDVLTRMLWSGSKPGEGNFTSYLVERFLEVEFKIIELQGARNISDFLPMLSWLDLQGRQREMKQQMVYVNQILDELIQGRIKANSCKVDGEGEEDQKDFLQILLELKEQKGAPTSYNFDQIKALLMNIVTAATVTISIMVEWAMAEILHNAAVKKKIVEELTEVVGTNNIVEESHLTKLSYLDAAIKETLRLHPPLPLLVHRCPDEACIVGGYTIPKGTVVYLNVWAIHRDPQNWSNPLEFKPERFLNGKWDYSGNNFKYLPFGSGRRMCPGVNLGEKMLMYTVASLLHSFEWSFPEGEELELSDEYGFLTKKRKPLMVIPSQKLSDASMYM >cds-PLY66211.1 pep primary_assembly:Lsat_Salinas_v7:2:167982196:167983971:-1 gene:gene-LSAT_2X90381 transcript:rna-gnl|WGS:NBSK|LSAT_2X90381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCAPNSPPSPSESLIEEEKEERTKKRKCRAKIQGQSQSSLSRGDTGAATDSFQSHTISSQFTRYFRK >cds-PLY91520.1 pep primary_assembly:Lsat_Salinas_v7:7:143773428:143774403:-1 gene:gene-LSAT_7X85840 transcript:rna-gnl|WGS:NBSK|LSAT_7X85840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIRDDINQENPGDYQRGWLNLSLGQNLGESSQSRPMKVYTCNFCKRKFYNSQALGGHQNAHKREREAARRYHSPKTTDFALNFTGNRSLGVHAHSLLVHTPTTNGETTVARFTDDGARYGVRMQPYDVEETVELKWPGGFYLEAQPASQPSDQHILDLNLKL >cds-PLY81845.1 pep primary_assembly:Lsat_Salinas_v7:3:29990381:29991171:-1 gene:gene-LSAT_3X23460 transcript:rna-gnl|WGS:NBSK|LSAT_3X23460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIFVKDLKQEAGLPDPTFNKSIKNLLTSGLVKEVAHVQLKGRKHYIAAEFEPSQEITGGSWYSKGELDQDFINGLKDLCLRIIRKLKVATADGVYDFSVKNGLINIDCTSQQISEILKSMVLDNKIIEVKSTGLGEYHSIPIGNLCYRYTTGDSAQGLKTGAMVSIPCGVCPRIRECTPDGLISPTTCVYYTKWLDF >cds-PLY80248.1 pep primary_assembly:Lsat_Salinas_v7:6:123796019:123796843:1 gene:gene-LSAT_6X74941 transcript:rna-gnl|WGS:NBSK|LSAT_6X74941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESFLDEFQHLKIQLEVIKLATNNFHNSNVIGKGGFGTRDRRFGQGDPEFLKEILMLSYYAHENLISLLGFCNEDGEKILKYEQASNGSLYRHLSSLTLTWRQRLKICLGAARGLCCLHEPKETHERVIHRDIKSSNILLDENWNAKISDMGLSKIGPANQKHTFLAANVVGTPDMKKDMDPRSLEFSGIAYQCL >cds-PLY66938.1 pep primary_assembly:Lsat_Salinas_v7:7:19737002:19738228:1 gene:gene-LSAT_7X16701 transcript:rna-gnl|WGS:NBSK|LSAT_7X16701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGQALLWKNLSEQADISPTIDNLFTKLPSTAYFLIWCLALCVFISLSVLYILRCIFHFNMVKAEYHHHVGVNYLFAPWISSLLLLRSAPSFIFPNKYSYEYVWWPLIIPVIALDVKIYGQWFTTEKRFLSMVANPTSQLSVIGNLVGAHAAIKMGWTESGICLFTLGMTHYLVVFITLYQRLSGNNHLPSRLRPVFFLFVATPSMAALAWKSITGNFDILCKMLFFLSLFLFASLASRPALFKKSMKSFSVAWWAFSFPLSFLALASTKYSQEAKGVAANGLALVLSAISVLVFVFLLVCSTLKIDSLLRNPILSFSDKS >cds-PLY76340.1 pep primary_assembly:Lsat_Salinas_v7:5:224829860:224832119:-1 gene:gene-LSAT_5X109341 transcript:rna-gnl|WGS:NBSK|LSAT_5X109341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G25290) UniProtKB/Swiss-Prot;Acc:F4ICF4] MVGSFAHQPSDFSISGLGASPKPFHLITNAAALRLGRFLRHSFVSHRHHLESILQSPLKASPISPRPIPHLIHVLQLNGVSPGRSHQLQWFKLPQWPHDAVSSCLLFFSGEGNQTNFGDQEKAYLTTPTRGPVNRRRLTDTLLAINVLVYIAQVASKGQLLMWGAKINGLIDKGQLWRLVTSAFLHANLGHLMINCYSLNSIGPTMELLSGPKRYLTIYLASAISSSTTSYWLNKSPAVGASGAIFGLVGSFAIFVLRHNKIVKGGVGDLKYVARVIALNMAIGLLSQSIDNWGHLGGLIGGVAISWLIGPAWRFESSSHHGRRVLVDRAPIFSLPGTKQASQ >cds-PLY82840.1 pep primary_assembly:Lsat_Salinas_v7:1:85627089:85627397:-1 gene:gene-LSAT_1X72740 transcript:rna-gnl|WGS:NBSK|LSAT_1X72740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVAETYGQSITCDQVVSDLSPCYGYLTNGGAVSSACCSGVDALNSAANSASARQPACNCLKSFYSSNSGINLSNAASLPSDCGVNLPYKISPSTDCSTYVN >cds-PLY64872.1 pep primary_assembly:Lsat_Salinas_v7:3:17651411:17651764:1 gene:gene-LSAT_3X12660 transcript:rna-gnl|WGS:NBSK|LSAT_3X12660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTPPLGKGPNTKLCRRVGESFEGPKLWQNSKGPTDEFKANDLVDGIWSQIVQTKAILAHYLIKEIRCRQPHIGFEDQNKEPDLPLRWMRNYRPLSRILYIVLRKKTKPFLKSGGLA >cds-PLY73362.1 pep primary_assembly:Lsat_Salinas_v7:7:62962862:62964024:1 gene:gene-LSAT_7X45881 transcript:rna-gnl|WGS:NBSK|LSAT_7X45881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Triphosphate tunel metalloenzyme 3 [Source:Projected from Arabidopsis thaliana (AT2G11890) UniProtKB/Swiss-Prot;Acc:Q9SIY3] MEVEVKLRIPDSETYKTLISLLSPFHIRTHNQHNNFFDGVAGQLSSQRAVLRIRFYNDQPTTKCIICLKAKAVLVNGVSRVEEDEEELDPTIGQECLTDPNRLGSLVESSRIMKRVKDEFFGEINDVEGLGFVCLGGFKNLRNVYEWKGLMIEVDETSFDFGTLYEIECESTEPEKAKELIEEFLKENGVSYSYSVASKFAIFRSGKLP >cds-PLY91324.1 pep primary_assembly:Lsat_Salinas_v7:4:245938356:245940095:1 gene:gene-LSAT_4X130441 transcript:rna-gnl|WGS:NBSK|LSAT_4X130441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTVATTEKKPHVIFIPLPSQSHVKAMLKLAQLLHHKGLQITFVNTEFIHERLVSSGGAHSLDGSDGFRFATIPDSIPRISEEKPAVHLLLHHIETTFLAPFLDLAMKLPTPPTLIISDGFISAFTIDAAQKLGIPIMLYWTVAACGFMGFYQTKSLIEKGFVPLKDESYLTNGYLENSIVWIPGMKGIQLKHFPSHIRTTNPHDKILTFCTDATQKAHSVEYNIIHTFDTLETSIVDALSSMIPPIYTVGPIQLLLNRIPAEEKQNTMSNFNGYSLWKEEPECLQWLESKELNSVIYVNFGSSTKMSLQDLTEFGWGLANSNQYFLWIIRSGLVVGESSVLPPEFEEHIKEKGFIASWCPQEKVLEHPSIGGFLTHGGWGSTIESLSAGVPMICWPYGWDQMTNCRYICNDWEVGLEMVKDVKREEVSRLVHELMLGEEGNRMRKKAMNWKETAYAATSPSGSSSLNVDKLVEEIVMLSK >cds-PLY95236.1 pep primary_assembly:Lsat_Salinas_v7:9:21299944:21307332:1 gene:gene-LSAT_9X20260 transcript:rna-gnl|WGS:NBSK|LSAT_9X20260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTDYKGVCHVALAQGHCRPREHEEHARMDQIVKDLIQEVKKDIMATLDVPKQHTNLLRMVDAIQRVGIAYYFEEEIEKALEHIHDAYGDDWNGGSPSLWFRLMRQHGFYVSSQIFNRYKEKNGVFKECLITNVQGMLELYEATYVRVHGEVVLDDALVFTRIHLEEIAKDLVQSNSTLSFHIQEALKQPLQKRLPRLEALRYIPFYEQQAFHNESLLKLGKLGFNLLQSLHKDELSQVSKWWKGLDVPNNLPYARAEWLNVTFGHLPQYSRARIFLAKVISMATILDDTYDAYGTYEELKIFTEAIQRWSITCLNELPEYMKLIYEGLLNIYKEMEEIMACEGKSYHLNYAKEAMKEFIRSYMMEAKWANEGYIPTIEEHMSVAFISSGYSMLATTCFVGMGDIVTDDSFKWALTKPLLIKSSCQIARLMDDIFSQKEEKERTHVASSVESYMKQYDVPEKYVHDLFNKKIEDAWKDITQESLMCKDVPMTLITRVINLARVMDVLYKRKDSFTHVGEELIDHIKSLLVRAI >cds-PLY90452.1 pep primary_assembly:Lsat_Salinas_v7:9:94405828:94407284:-1 gene:gene-LSAT_9X71481 transcript:rna-gnl|WGS:NBSK|LSAT_9X71481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDECSPFNWDCYYQEEAQGIQELKHTLLYTLELETTIACAHEEIARKGDEVLHFKCLLSKTIKERDEAELKCQGLVLENLILQQKIQEFEANATTSLVKTTPTSYQEDDHNLGVDSSSGSDENFVGTQGMERISLPPQQTPPEIMDNVVLKKGLPENGKFLQAVVEAGPLLQTLLLAGPLPQWQHPPPQLNSIEIPPVTIPSTSTTTPRMLLHQDSCVSTIIGGGNNNNGGGCMIMTRKRGFEQSCEAHDYDYDSTPTTNTKNQKVVHQSQPLTNI >cds-PLY70688.1 pep primary_assembly:Lsat_Salinas_v7:3:104974344:104974523:-1 gene:gene-LSAT_3X76860 transcript:rna-gnl|WGS:NBSK|LSAT_3X76860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWSPGFDGPKGRTGRGRVSARVDVIRRDGEREEAVNSSLSLPAARCEIRTMQAAVVVKT >cds-PLY89974.1 pep primary_assembly:Lsat_Salinas_v7:8:208979175:208980348:-1 gene:gene-LSAT_8X131700 transcript:rna-gnl|WGS:NBSK|LSAT_8X131700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEEDAISALPDCLLQEILSRLPSTKDAIKTGTLSKRWKHLWTSIPTLILSHSNYPIASYCALSVDKTLAQCRQLKLKKFEVHTFFEIRFESLYKNWIRYAISCNVEELNLEFQRWDWQPEFLLDHSVFFINSCFTDLTLSYCILNPIGAISWKNLRTLCIICGSLDEDLIENILCGSPVLETLVLHNCYGCKRLDITSKSVKNLVLSGFKFDIIEMNAPNILSLTIEGELLLSRFLLVNVTSLVKANLNRRCRNSTNVFTMPEAAEQEMFKEFILNLGHVKELEIGFDCSKVISCLQAKGFVVPSNVKLTRIE >cds-PLY79323.1 pep primary_assembly:Lsat_Salinas_v7:4:407934:409543:1 gene:gene-LSAT_4X1480 transcript:rna-gnl|WGS:NBSK|LSAT_4X1480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Trihelix transcription factor ASR3 [Source:Projected from Arabidopsis thaliana (AT2G33550) UniProtKB/Swiss-Prot;Acc:Q8VZ20] MALERLTLAPVVLDTGTGNGGEDLNKAPRLPRWTRQELLVLIQGKRVAENRVRRGRSAVLAFGSAQVEPKWSSVSSYCKRHGVNRGPVQCRKRWSNLAGDFKKIKEWESQIKDEAESFWLMRNDLRRERKLPGFFDREVYDILDGGGPSSAEAEAEGDKSLVLSLAPSAAETENEVLFDSGRTAAAVDDGLFSDEVIGSPEKAPTPISATQYEPFSQEVPEHDHHHPVPTAAADKKSSPINPDEAADGTAHQGSSRKRKRPAIDADEDEDEEEEMSLQKQLIEAMERNGRLLSSQLEIQNTNSQLDRDQRKDHANTLFAVLNKLADAMVRIADKL >cds-PLY76511.1 pep primary_assembly:Lsat_Salinas_v7:5:13223238:13224669:-1 gene:gene-LSAT_5X7401 transcript:rna-gnl|WGS:NBSK|LSAT_5X7401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTDSSSGSKQPQLPPGFRFHPTDEELVVHYLKKKAASAPLPVAIIAEVDLYKFDPWELPAKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLTSGGTQKVGVKKALVFYGGKPPKGTKTNWIMHEYRLADNKTISKPPGCDPTNKKASLRLDDWVLCRIYKKNNMQRPVDSDGGDHTMAGMLASIPPSVSLGASRQTSAYNAMLENHEHNIVFDTMLTSNDNNLNVNVNLLPIKRSLPGLFWNEEGPTTTSNSPYTKRFLAESNSDVGGVMATRTTDENGGSIASLLSHLPQTTQMHHQQPMLGSIGDGVYRQSYQLPGVNWYS >cds-PLY79581.1 pep primary_assembly:Lsat_Salinas_v7:2:166089988:166092374:-1 gene:gene-LSAT_2X89321 transcript:rna-gnl|WGS:NBSK|LSAT_2X89321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLRNYKLGKTLGIGSFGKVKIAEHTLTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVVETPSDIYVVMEYVKSGELFDYIVEKGRLHEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDAKCNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRLLVVDPMKRMTIPEIRAHPWFQAHLPRYLAVPPPDSMQQAKKTDEDILNEVIKMGFDRDGLIESLRNRVQNEGTVAYYLLLDNRFRNSNSYLGAEFQKPVEGYRGVNSNEASVSVLSPRSSGYMDYQGTHMRNQVERKWALGLQSRAHPREIMQEVLKALQELNVNWKKIGHYNMKCRWDPATSHGHNNTMQLQSSRYFGDESTIVDAAADTASSTSPNVVKFEVQLYKTREEKYLLDLQRVNGPQFLFLELCAAFLAQLRVL >cds-PLY70299.1 pep primary_assembly:Lsat_Salinas_v7:2:91855917:91857065:1 gene:gene-LSAT_2X40161 transcript:rna-gnl|WGS:NBSK|LSAT_2X40161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAADIICENLPNLVSSFVDTFVDFCVTGTFLPDPPSPPFTQTFYPSPKRLTAIGDLHGDLHKSKQALRLASLIDSEDQWSGGNTTLVQVGDVLDRGGHELKILYFLEKLKRQAAKVGGNVITLNGNHEIMNINGNFRCTHRSYVDEFQNWADWFTTGNNMKRLCDGLKKPKDLYDGIPSSFPGIKEEYVNGFRARIAALRRQGPIASRFLSKNLTVLVVGESVFVHGGILPHHVSYGLERINEEVRDWITGLRETVSSDIVNTENSVLWLRKFSNKVVNDCDRSMLEHTLATIPGARRMIMGHTIQKGGINAACNNKAIRIDVGMSNGCINGLPEVLEISEDSGLRILTSNPVKKRRDLKVPVQQETRVPGKCKLWLKPISI >cds-PLY94609.1 pep primary_assembly:Lsat_Salinas_v7:8:180716094:180720304:-1 gene:gene-LSAT_8X117360 transcript:rna-gnl|WGS:NBSK|LSAT_8X117360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVSLTYQSSETPIQKNTLSLLKAPPQGNTTAIPTRPSSAPPNFDSLNANKLLTPPIPKQQLQRKDDVFVSACVIQDAPQAMPGMFRTCFLLVQHRWSEIIQRLIVDCRACRGSGAKWQLETD >cds-PLY66490.1 pep primary_assembly:Lsat_Salinas_v7:5:39597046:39598186:-1 gene:gene-LSAT_5X20081 transcript:rna-gnl|WGS:NBSK|LSAT_5X20081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPTEYKRSRLSRNRRTVNRAYGGVLSAGAVRERIIRAFLVEEQKIVKKVLKIQKTKEKQAGKS >cds-PLY86643.1 pep primary_assembly:Lsat_Salinas_v7:4:279619979:279625182:-1 gene:gene-LSAT_4X143441 transcript:rna-gnl|WGS:NBSK|LSAT_4X143441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDNLKWKSFRRFSTGPLVAGYEHSRKSFMKKFGRNNSSEATINEFYMPKPSWRSFTYEELESATNGFSHDNLLGKGGHAEVYKGYLPDGQIVAVKKITKKEKKDEDRVGDFLTELGIIAHINHPNAARLIGFSSDNDLHLVLQFAPHGSLATLLHGNEESVDWKIRFKIAIGIAEGLQYLHYDCNKRIIHRDITASNILLTEDYEPQISDFGLAKWLPEKWAQQVVSPIEGTFGYMAPEYFMHGVIHEKTDVFAFGVLLLELISGRRAVDSRRQSLVIWAKPLLEKGNIKGLIDPRLENSYELIEVKRIILLASSCIHHMPEKRPNMKRVVQILKGDSTIMDLRQKTFDGRAMILDACDLEGYTSTTYLNDLNRHRELVMG >cds-PLY99385.1 pep primary_assembly:Lsat_Salinas_v7:7:145969367:145970225:-1 gene:gene-LSAT_0X4381 transcript:rna-gnl|WGS:NBSK|LSAT_0X4381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEGGTSQTSVMEGSDTFGQVGSSIGWKLKSINDEIEQGIDVILQEGKEDDILPKKIQIGPEDIANMLEAGYSMAEIEAIPGVQVELDDSPPVEMDVNDFINGHHSDDDVGLDGGVDGVRDEAIGDGDGEVHGAGPDHGAGYEVVGDGDEEIHGGGDVDDGDGPEGYGDRPEGGVEELGDDEENQDDDGHEVVPMVRRTRKTSDRITKIKLRKGVYDKDGGGSSYVNPINLE >cds-PLY77879.1 pep primary_assembly:Lsat_Salinas_v7:1:24338672:24342173:1 gene:gene-LSAT_1X20100 transcript:rna-gnl|WGS:NBSK|LSAT_1X20100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDRSIFLILTLSCYICSNPCYSETDTLLQGQELKDWDYLISSNKVFVLNFFGFVTTISPYLGIFYNPQRSKSYGYGRRAYYNGDGYAYYNRDGYKEDKAVWVANRNSPSKDIYVKLVIDVHGKLSISSGGGTVVDLFSPTPVVTRNASATLLDTGNFVLQELYSDGSVKRVLWQSFDYPTDTLLPGMKLGINLKTGHRWSLTSWRNEILPADGPFTLKADPNGTGQMVILRRGNIHWRSGSWLINAGFENADLQNSGPDVRLYYISNETEQSFTYLTRTYDSSPALTMNLNGQLNASTLKLNVQCRSISWDNDSGCAEYEFPELDCRKDYHFYKGFYDIYGNEYVYDESYNLTSYDCERLCWTNCSCMAYTYATTNRATCKTYGPRTGKARDESYREYYGIVYRGPYPEEKEKKWVRIWSIIAIASLVSFVSCYFLYKKLNINGKAVKYVMLVVFLEVKKILKLLLHRLRHFYNNIRADNKVNAELHYFTFQSISSATNNFSSANKLGEGGFGAVYKGKLVDGQEVAVKRLSRSSEQGVKEFKNETELIAKLQHTNLVRLIGCCIEKKEKILVYEYMPNKSLDSFLFDPRKKGLLDWNTRFVIIDGIAQGLLYLHRFSRLRVIHRDLKASNILLDDYLKPKISDFGMAKLFGINESEANTSQVVGTLGYMPPEYMLEGSVSTKTDVFGFGVLLLEIVSSKMNHGSNQHDPEHPLNLLGHAWELWNEAGRGLELMDPVLEDSCTPKEVMTCINVGLLCVQDHAMDRPTMSEVVSMLTNENMHLPEPKRPAFFIERHEPGLTKDNNLENGSVNGQSISILVAR >cds-PLY81554.1 pep primary_assembly:Lsat_Salinas_v7:2:126938005:126939444:-1 gene:gene-LSAT_2X59421 transcript:rna-gnl|WGS:NBSK|LSAT_2X59421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQAFRYMSRRLSSSGKILSEEEKAAENVYIKKVEKEKLEKLARKAQADATSATSGGGGGGGGGGGEAKGSGPAIGVSEDKNRNYAVIAGVVTAVGALGWYLKSKNKKSEDLHD >cds-PLY89396.1 pep primary_assembly:Lsat_Salinas_v7:4:122763187:122764021:1 gene:gene-LSAT_4X77500 transcript:rna-gnl|WGS:NBSK|LSAT_4X77500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAPVGFLVVSLLLSCFATTCYGVTFSSLQRTLEVTASPTQDQVLKAGDANITVTWGLNRTIQAGTDSAYKTVKVKLCYAPISQKDRSWRKTVDEMKKDKTCLVKIVAKPYTASNNSFTWTVERDIPTGTYFVRAYAFNAHDEEVAFGQTTNDKKETNLFKIQAITGRHASLDIASVCFSAFSIVALAGFFYMEKSKGKAAQQK >cds-PLY81026.1 pep primary_assembly:Lsat_Salinas_v7:9:175816740:175825196:1 gene:gene-LSAT_9X108360 transcript:rna-gnl|WGS:NBSK|LSAT_9X108360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDKATYDKLISEAPKFKLITPSILSDRLRINGSLARKAIRELMARGAIRMVSSHSSQQIYTRATNT >cds-PLY71102.1 pep primary_assembly:Lsat_Salinas_v7:5:195938644:195939030:1 gene:gene-LSAT_5X87461 transcript:rna-gnl|WGS:NBSK|LSAT_5X87461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPAGCQWWKQVSRWGLPRQPLCCFPFSFSLFKKKKLNQWGKRGVRLVFGRKGRRNQWVFVVASFDRKKKKKRWCLGFAVKSMGRMVLKEGCCPLGFTGNDSSSGGCSWLQQRRRQENTSITDCSYFR >cds-PLY99265.1 pep primary_assembly:Lsat_Salinas_v7:6:184838860:184841160:1 gene:gene-LSAT_6X112381 transcript:rna-gnl|WGS:NBSK|LSAT_6X112381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVSSTDTTPNLKVSSRAPAPARSKRAPLLPSKSDNNGPPLSRYSSSGSSSPPDTVTTMSSPSSSCSSSTTTSSSPNSVCTPRRRSPSPIVSRTNSVTTVSAVSNKRDQSAERRHTGNLRTVVTSASAKMVTKTSARSLLVSFQGESFALPVSKAAKPVHNGLRNRTPERRKAVATPAKTSDVSQPIETKLSGSRTGTGTAGADRALRKFIETKLKRNTEIPEIDETVNKLVYDSDNSDHAFSDTESISSGSTLCNVRGGGRRAVVVPARFWQETINLLRRVQPESVSPPLSNSNKLISGYKILDDGPKLSRRASPSFPVGGAIPLPASKHKPNPNPFSMRNGVRSKSNLLNTPSILNFSADRRRGKVGENKLVDAHALRLFHNKHLQWRFANAKVDAAMVVQQATAQKSLYNAWVTISKMWHSVISKRLQIQQLNQDLKLHSILKKQMPYLDEWDQNEKDHSISLGGAIKVLESSSLCLPVLCGAKADVENLKDAIFSAVDVMQTISVSICSLVTKVETVNFLAFELQQTTKSECFLLDQCKDLFSTSTLFEASLVSGFLFSYEGFKKYLRK >cds-PLY93482.1 pep primary_assembly:Lsat_Salinas_v7:9:64593736:64594652:1 gene:gene-LSAT_5X111280 transcript:rna-gnl|WGS:NBSK|LSAT_5X111280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARPMSIVDHGPNDGTHRLYDVKFFTETILTIVTSTPSYVDTWISDIERLHSHSLSSLIVGLDLEWRPNYCRDCKNPVATLQLCVGRRCLIFQILHSPILPWSLCNFLRNPSYTFTGVGIDEDVKKLTEDYFLVVATAVDLRLIAAKKYGVKELKNAGLKQLTRKVLRKEMSKRKAITMSNWDNRRLNPAQGFGYIKRFCTGFGSSNCLSLVQINCKTYLLYSDRCGVMLHSDGCYAVKRVASVLAFCIFLDF >cds-PLY69720.1 pep primary_assembly:Lsat_Salinas_v7:2:64487877:64489294:-1 gene:gene-LSAT_2X28720 transcript:rna-gnl|WGS:NBSK|LSAT_2X28720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANNDMEVGAGDRIGRTVQLLLDLKEKGRDLDNQRLPSIFMVPKLYRDISPRSFNPRLVSIGPLHRKDENLQKFEVQKLSYLHNLLDYSGSNPEHTLQECVQKVNMKIKEIKACYEESTTYDDDEELVRMMVIDACFVLYFIHLISGEGGFQGNWSIIPLIINDMVLIENQIPFFVLKDIFESTFLRFKPNTSLANHLKILLQYYNLFSEYKVTDNINLDRTHDHILGLLHNCFVPVRLPSPSFFLKGDSALEQERHSAMELDRAGVNFRPNQDANWAMAMKLELPKFSCFPWLCCKPTLWMPKLYVHDYTEVILRNLILYEQSSIVPEYVTSYMWAMDMLVDTPEDVAKLINSGVLINHCGSNENAANMINSICQDVSLDGFYYHQEWEDLDTYYKSCWPNAAAALKRKYFSSPWTIIALFAAIVLFVLTLVQTIYTVKPQGP >cds-PLY64255.1 pep primary_assembly:Lsat_Salinas_v7:7:3874895:3877276:-1 gene:gene-LSAT_7X2641 transcript:rna-gnl|WGS:NBSK|LSAT_7X2641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGVVDIIKHLFSNTLASIEKPKVRVVDVDLKGEEDGVYTYLVKLNVSNPYCIPIPLSEIQYALKSCGSLIANGTIPDIGSLKAKGDTILYAEINLPHGALVTLVQDITDDWDIDYELDATLVIDFICDINIPITSNGEIKLPSVVDLFPN >cds-PLY97074.1 pep primary_assembly:Lsat_Salinas_v7:4:73153504:73153671:1 gene:gene-LSAT_4X49641 transcript:rna-gnl|WGS:NBSK|LSAT_4X49641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIEPVSSGSSVSFISSFFGELRQYALRFLWFSQWALKEEDDGKTTIIGTGGKRQ >cds-PLY81603.1 pep primary_assembly:Lsat_Salinas_v7:2:41220538:41220865:-1 gene:gene-LSAT_2X18360 transcript:rna-gnl|WGS:NBSK|LSAT_2X18360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METRNGSKSTIRYHVELVHDVDSSLGHIETWWLTHWDEEKGWKSTDMAAKYEEMKKMRNEHSLESMSDKLILLPLVTS >cds-PLY72725.1 pep primary_assembly:Lsat_Salinas_v7:4:373309340:373311477:1 gene:gene-LSAT_4X184300 transcript:rna-gnl|WGS:NBSK|LSAT_4X184300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNGFYSTGEFNLEAKWSIDPKLLFVGPKIGEGAHSKVYEGKYKNQNVAIKIINKGDTPEEISKIEGRFAREIAMLSKVQHKNLVKFIGACKKPIMVIVTELLTGGTLRKYLLNMRPRGLLDTRVAIGFALDIARAMECLHSHGIIHRDLKPENLLLTSDHKSIKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSADELPEDLALIVTSCWKEDPNGRPNFSQIIQMLHHYLSTTAVPPPPEATAVPPRMFNVFLPDSPGTSTLISKEDEIPTTPLHDTPKGGPFSCFYRCCR >cds-PLY92593.1 pep primary_assembly:Lsat_Salinas_v7:7:159855993:159858529:-1 gene:gene-LSAT_7X95080 transcript:rna-gnl|WGS:NBSK|LSAT_7X95080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFFFLLAIFSFADGGSIGVNYGRIANDLPSPSKVVKLLKSQGINRVKVYDTDPAVLKSLAGSGIKVTVDLPNELLRAAASKRSFASGWVERNVAAYHPHTQIEAIAVGNEVFVDRKNTTGFLVPAMKNLHEALVKFNLHSDIKISSPVALSALQNSYPSSSGSFRPELIEPVFKPMFELLRQTGSYLMVNAYPFFAYESNSDVISLDYALFRENPGVPDAGNGLRYFSLFDAQIDAVFAAMSAMKFDDIPLVVTETGWPSKGDDNEIGASMENAAAYNGNLVKRILTGGGTPLRPKADLTVFLFALFNENKKDGPSSERNYGLFYPNREKVYNVPFTTEDLKDYRDKQSPATGDMGGGQVRVSAPNNGSRSGSGSGSGKSWCVASDVGKDRLQTALDYACGEGGADCRPIQPGSTCYDPNTLEAHASYAFNSYYQKTGRAAGSCYFGGAAHTVSQPPKFGKCELPTGY >cds-PLY75693.1 pep primary_assembly:Lsat_Salinas_v7:8:195736357:195740093:1 gene:gene-LSAT_8X125980 transcript:rna-gnl|WGS:NBSK|LSAT_8X125980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVSDNSTGLLLAVLSSGFIGTSFILKKKGLKRAAASTGFGAGHGGYTYLQEPLWWAGMITMIVGEAANFVAYAYAPAVLVTPLGALSIIVSAVLAHFLLKERLKRLGVLGCVSCIVGSIVIVIHAPSEHTPNSVQEIWNLAIEPAFLIYVAATLSLVAALVMHFEPRHGQTNILIYLGICSLMGSLTVVSIKAIGIAVKLTLEGISQISYPQTWVFVTVAVLCVITQLNYLNKALDTFNTAIVSPIYYVMFTSLTIIASVIMFKDWKDQKASDIVSEICGFITVLSGTIILHSTREQEPSSPPGNVTWYDQNPIKSVEEDEHLITVHNSDYFD >cds-PLY86812.1 pep primary_assembly:Lsat_Salinas_v7:5:14494978:14496389:1 gene:gene-LSAT_5X6580 transcript:rna-gnl|WGS:NBSK|LSAT_5X6580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQATTSSFAVHKEASLKDSTLFGVPLSTHLKVDFTSSKNRKEFTQRGALKTKAVATTTPSVNQASTEGKKTLRKGTVVITGASSGLGLATAKSLAETGKWHVIMACRDFLKAERAAKSSGMPKENYTVMHLDLASLDSVKQFVANFKQSGQPLDVLVCNAAVYLPTAKEPTYTADGFELSVGTNHLGHFLLARLLLDEMKQSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDMRGLAGGLNGLNSSAMIDGADFDGAKAYKDSKVCNMLMMQEFHRRFHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEDESGKRLAQVVSDPSLTKSGVYWSWNNNSASFENQLSEEASDVTKARKVWEISEKLVGLA >cds-PLY94567.1 pep primary_assembly:Lsat_Salinas_v7:MU038889.1:225278:225451:-1 gene:gene-LSAT_0X20381 transcript:rna-gnl|WGS:NBSK|LSAT_0X20381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIFVFSNLGTAVVVTLDMAVVTVVGGARRWRSVGDIRVDAVEGDGGYTSSVRVRIP >cds-PLY69804.1 pep primary_assembly:Lsat_Salinas_v7:4:245319426:245320623:1 gene:gene-LSAT_4X130941 transcript:rna-gnl|WGS:NBSK|LSAT_4X130941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNAQKSKMAREKNLEKAKAAGKGSQLEANKKAMNIQCKVCMQTFICTTSEVKCREHAEAKHPKADVLACFPHLKK >cds-PLY64914.1 pep primary_assembly:Lsat_Salinas_v7:8:133792643:133793936:1 gene:gene-LSAT_8X92780 transcript:rna-gnl|WGS:NBSK|LSAT_8X92780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPSFDDSTISISRAATITTATAASKRRKLVDHHLPMIPGLPDHIAQLCLSRVHPSILYTVCRSWQQFIYSATFPPFHSLYTLSTTTPTDSSGQNSTLQLSSFDPISSHWSVVTPTPYLRNVCLRHSSFIARNLPIQSVSVAGNLVLLAGTSSDLLPAFSHPIIFNPLTKKWSSGPPLLSPRRWCIAGTSRAAVFVASGIGSHYTQTVARSVEKWIFRETDSFDSKRKDSNCVWKNMRSLRDGRLCREAIGAVGCKGKLYTINMKGSCGKEGLVYDVNCDSWSEMPAGMLDGWKGPAAAMDEETIYMVDESEGVLKKYDEAMKAWINVMENDMLKGAEHIAAGGGRVCIVRSGGVGILAVDVVSPLPQLWVLDSPAGQQVLALHILPRMCPKEFQSPVVV >cds-PLY99915.1 pep primary_assembly:Lsat_Salinas_v7:7:16335786:16336536:1 gene:gene-LSAT_7X14040 transcript:rna-gnl|WGS:NBSK|LSAT_7X14040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TPD1 protein homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G32583) UniProtKB/Swiss-Prot;Acc:Q1G3T1] MTATNRRLLALPADYDIGGGDDGYGDGDGGSGGDGDGDDDRIQPQYCSADNIYISQGQTPPLPSGIPTYTVIIQNICMSGSCIISDIHLSCGWFSSARLINPNMFKRISYNDCLVNNGNPISPGQTISFQYANTYPYPMSVASLSCQ >cds-PLY75979.1 pep primary_assembly:Lsat_Salinas_v7:1:42094626:42096105:-1 gene:gene-LSAT_1X35640 transcript:rna-gnl|WGS:NBSK|LSAT_1X35640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIESKSGSKIRLEEGSETLDFGRELGFISSDRAISRHHISFRLHEDRTRVYFEVKGKNPVWVRDRRNDEIRVYKRSEGGEIKNGDSFCVASKNPVWFNVKKIASENEDDSESKSELSLDEAFAETSGIEYDEVETGDISHIDPVKEFGFVVVGHEFDHYPMKMLSDISNWDWFLEESKQESDEEEVNERKRKKSTRKRRKKSGEDDDDEVWTGESEEDAEIIKKMKNVPKPKYSTRSKEQKQNKGASTSKSAMSTTKKYAKDDYGDDDVDEDEDEEDETLGGFIVDDGDIGEENVEDNEEEEFENDEDEDE >cds-PLY78340.1 pep primary_assembly:Lsat_Salinas_v7:5:210220516:210223057:1 gene:gene-LSAT_5X95420 transcript:rna-gnl|WGS:NBSK|LSAT_5X95420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVYITDHDTTPPENQLIKTDQVNILIRSLLLKKKQTSRTTSKNTMGAGTNEGSRKRASERTVDGRASAKRATSTTQNGSRQDAENMIKRAAEAVAAKFRDSKTTYMLETVDESMTKALQPVIIQNRDYDLEDTSQKSNTKSLLCSHFCFEDRKHKSPLVEDVVHTTRELPCSEKLFRLVKYNRCIDEAVQQKLIHCVCLQSTNSAKLIEIDWKRHNITASSCMRDVAVSTRFSELLYESIKLVSVESENNLDDDLVNGWATGLTDDGLWGSNAPEMNRVRNDLFLQNLNN >cds-PLY96065.1 pep primary_assembly:Lsat_Salinas_v7:8:21357735:21358046:-1 gene:gene-LSAT_8X16740 transcript:rna-gnl|WGS:NBSK|LSAT_8X16740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY63932.1 pep primary_assembly:Lsat_Salinas_v7:4:76914394:76915248:1 gene:gene-LSAT_4X52080 transcript:rna-gnl|WGS:NBSK|LSAT_4X52080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPREGHYRGVRKRPWGRYAAEIRDPWKKCRVWLGTFDTPEEAAMAYDGAARSLRGPKAKTNFPMPPPPPPVPPQPSLPLNLNNIPSEQHIRLVAEQPSRYVVSGGEFKFLRTSVLKDFRHGGGCCSSAVGSVDFPLADGSDVKTVGFMGLVRRGLGIDLNEPPPIWL >cds-PLY92367.1 pep primary_assembly:Lsat_Salinas_v7:3:123438137:123438493:-1 gene:gene-LSAT_3X85700 transcript:rna-gnl|WGS:NBSK|LSAT_3X85700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVREKITVSTRTLQWKCVESAADSKRLLYGRFILSPLMKGQADTIGIAMRRALLGEIEGTCITRAKSEKISHEYSTIMGIQESVHEILMNLNGETEKFNLYGTCEASICVRGPGYVIA >cds-PLY69360.1 pep primary_assembly:Lsat_Salinas_v7:7:82180144:82182394:1 gene:gene-LSAT_7X57640 transcript:rna-gnl|WGS:NBSK|LSAT_7X57640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIGGGRKNIVRGVAGLIRRTSGYGGDYSVGSTSYKFHVPSPKVKFSDIGDEAILSALLERYQSVSEKLTISEYLVFKEELVAQYKNSYVLELDFDPFNSIFPRPTRSFSIGNGVQFLNTHLSSSMFRRKDCLEPLLDFLAHTDTIDM >cds-PLY90453.1 pep primary_assembly:Lsat_Salinas_v7:9:94845926:94849220:-1 gene:gene-LSAT_9X71621 transcript:rna-gnl|WGS:NBSK|LSAT_9X71621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGFVLEDKPKVEKMAKDSTGASGRKMLADISNIQQKHSTLTQDKKSLPNSAIVKEKFIEQLQKENAALKKHLAEKSRIIDLSGTELHKLRVTLQKMQQQNLQLAQSNSQLLAEVNSGKDRLKDMQHQLGCKNGVLIAKQMELEGKRKTKTCQTNDVKKMKVSENEEKGVCNIDKEEQCNKSRRQKSKSLGSSVRNGQDKGVGDNGRRQSARFIKREEAKATEDLFDTDNVDLKEEEDRMQEDNNNNNNDSNCVVFVKKEEEEEEEEERRRRRSSISSRPSREAVKKIQSYKEMSINVKMRRTE >cds-PLY94441.1 pep primary_assembly:Lsat_Salinas_v7:6:9284605:9286369:1 gene:gene-LSAT_6X6141 transcript:rna-gnl|WGS:NBSK|LSAT_6X6141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLKVYADRLSQPSRSVLLFCKINGIDFEEIRVDVLKNQRFSTEFKAINPMSQVPAIVDGRFKLFESHAILIYLSCSFPGVSSHWYPGDVSKRAKIHSVLDWHHSNLRRGSVFLVSVGLFLNTIVAPEGFPSSPQAAKEAEKILMRSLYKLETFWLKDGRFLVGSSQPSIADLSLVCEIMQLELLSDEDRDRIFSPYKKVVEWMEDTISATAPHFHEVHGLLFKAQKRIRGQMLTQSEIFCALSKI >cds-PLY93255.1 pep primary_assembly:Lsat_Salinas_v7:6:161376938:161377270:1 gene:gene-LSAT_6X98061 transcript:rna-gnl|WGS:NBSK|LSAT_6X98061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRRRIDPKSNRSQRNPNQCCSKGNHSHLLFHLPVLLDFINASLQQLIFVFIWIPQPVVDPFSMFAPLFSGINLGRSFQDKHKIVYREIRNYWKGRMKMGSYWGTLWKS >cds-PLY66291.1 pep primary_assembly:Lsat_Salinas_v7:8:217729335:217731434:1 gene:gene-LSAT_8X134900 transcript:rna-gnl|WGS:NBSK|LSAT_8X134900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSGPKKRRASKRKKGPNGRFIDPATGEEENHVEVHESVNNEREMSFGDEKVCHAFDEMGLKESQSVDIQTGLKENVKESEDVQVLNKSEYVDRALLQAPLNEPILKNPEENGCGEVVESASQMSPVVMKEGEETSMRDVLEERLEEPIGRSLDEAQEMVTTVDQSILPSEEGHDLSISSKTNVEKSLKDDQDSVVEDVVERNKFTEVGESDDHVNEVVSVMKEGEEMSTEGVLKDSFEEPTESSSQELSIPKKEIQDSLDESVIEVDVETISFEDAPDSFETPLGSPSMADYMSPIEGDDHLINGYMNQQFQRSLPSKSIPLSKVQDLSLPFESVNEVNVRISLEDSQHSFKESGGSSSPVDVSYVQEIKECGEVGENACHDDSVLKENGHMSMEHPFVETSLKDGQDSFTEPLGDFPMDNVSSMDHDLQEMVNHVDSVMETNPDTSLDEHDSSDNVHINGYGVEQIECFPHEPQVVYKTADLIVLKEIPIEVQDDLIADESVILAHIETSFKERSLGDSSIDDVSSMYHCHPQMVNDVESTIKEDEGIPIEGALEENFKEPNVDTSFKVPLGLISSSQVNGSPVEDSGQRNDMVGLVKTLLEEIRRIRAFYEEGLCQTTEIHLRICRVLEKFDSGELI >cds-PLY78630.1 pep primary_assembly:Lsat_Salinas_v7:4:152343003:152344795:-1 gene:gene-LSAT_4X94000 transcript:rna-gnl|WGS:NBSK|LSAT_4X94000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELFAFISYAVVGLATVALLHLARHLRRPTKLNLPPGPKPWPIIGNLNLMGPLPHRSIHELTHKYGEIMHLKFGSFHVVVASSVEMAKVFLKTMDSNFICRPKMAAGKYTTYNYSDITWSPYGSYWQQARKLCIVELFSAKRLESFEYIRVEEMKSLLKVVHKSSGEAIVLKDLLWTVSFNIISRMVLGKRYLDESDVGNLTMSSKKVKTMFDELFLLNGVLNIGDWIPWMAFMDLQGYVKRMKTVSKKFDGFLEHVLNEHDARRKAEPSETFVPADMVDLLLQIADDPALEVKLERHGVKAFTLDMLAGGTESATITIEWAMSELLRNPEILEKAREELDRVIGRERWVEEKDMSDLHYIKAIVKETMRLHPVVPLLVPRRTREDCKVAGYDIPKDTIVFVSVWTIGRDQELWDKPLDFFPDRFIENDIDMKGYNFELLPFGAGRRMCPGYSLGLKIIETNLANLLHGFDWKLPGKMRKEELQMKEVFGLSTPKEIPLTIVAHPRLGFKMYSL >cds-PLY90003.1 pep primary_assembly:Lsat_Salinas_v7:3:83868519:83870455:-1 gene:gene-LSAT_3X65300 transcript:rna-gnl|WGS:NBSK|LSAT_3X65300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEISVTTTLGLAVIIFILFKLVTSPKSKKNLLPEPWRLPIIGHMHHLIGTMPHRGVMELARKHGSLMHLQLGEVSTIVVSSPRWAKEVLTTYDITFANRPETLTGEIIAYHNTDIVLAPYGEYWRQLRKLCTLELLSNKKVKSFQSLREEECWNLVKHIRSTGQGSQINLSENIFKMIATILSRAAFGKGIKDQMKFTELVKEILRLTGGFDVADIFPSKTLLHHLSGKRAKLTNIHNKLDSLINNIISEHPGNRTSSSQETLLDVLLRLKESAEFPLTADNVKAVILDMFGAGTDTSSATIEWAISELIRCPRAMEKVQAELRQALNGKERIQEEDIQELNYLKLVIKETLRLHPPLPLVMPRECREPCVLGGYDIPSKTKLIVNVFAINRDPEYWKDAETFMPERFENSSITVMGSEYEYLPFGAGRRMCPGAALGLANVELPLAHILYYFNWKLPDGKAFEDLDMTESFGATVQRKTELLLVPTDFQTLN >cds-PLY89239.1 pep primary_assembly:Lsat_Salinas_v7:5:310765034:310767787:-1 gene:gene-LSAT_5X168381 transcript:rna-gnl|WGS:NBSK|LSAT_5X168381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEFNDSNHSSLLGADQYIVNPNPLSSESAFTGSWGSSSSFLNTSFGSEQDSSETDNDDGDEFVAELTRKMADYMLQEDDDDNSYDKYTSTEGYVSQKPISEVKHPNQNRVTEDSKRGRKGKMTESTQQLKSCSSKGSRMRPVCYGAMTSPTGSGMRAIFLNGPGSKNGSNGTGVFLPRSATDATANRRKKPGCSTVLVPTRVLHALEQHFNNMKSRSPTNNMHRIQYDNSNSNGQRGGMHHKLQVSSTSTDHLERKLPQEWTY >cds-PLY84083.1 pep primary_assembly:Lsat_Salinas_v7:6:191382706:191385653:1 gene:gene-LSAT_6X117341 transcript:rna-gnl|WGS:NBSK|LSAT_6X117341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFRTQVRENLGRFSRAAHGVMMMRRRVTPFAALLDTTSKKADLSIFKSSELGLDSVSLLAGLMILLRSVARITHKAQGMTCLAAKWHVCATIDIFESPEADTETPTAGGVFGNSSNGNGLPFEPMSSYFDDVGALKKRTFFPSERGS >cds-PLY65516.1 pep primary_assembly:Lsat_Salinas_v7:3:921432:927207:1 gene:gene-LSAT_3X1160 transcript:rna-gnl|WGS:NBSK|LSAT_3X1160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQHKAQLKKQQKRGVDFKKIKRKIGRKLPPPKNTTNTEVKSKAIILPEQSLASEKAGLAVSKKGLTLKELLQQTSHHNAKVRRDALIGIRDIFLKHPAQLKMHRLSVIEKLRERMSDEDKIVRETLYQLLKAVIFPACKEDNQGTFISLMMAYVFNAMTHLAFDVRLMAFKFFDLLVQHYPMSFSMYAEKIIQHYKEILQKNQFNLHDKGKLKSVLAGLAYCLSLLPDDKSSTQNAVTDPRILHAFESQLHEDRSAFPVTAKELMGLLPILVGGLQDFMPSVQTMPNLETQSFDCVLSILKSIHLVVKFFVYGNPKVSPPSNRRDQDVLQMLLKKLFTVFPLNPTHQSSEKEDNRYFILNVIVSEIFFCLSDWSAPPSVFLEKFLVFLVDALSEKVCGTGKSFFQKHSLPLIPFIPKLMMQVDGTWKSHILQAFTEVFNKCDPESSMKLVCLSAIEEMLFPKNMWANATSDSEMLEFKISWIREIPLVLSLLGDKNPLSSKAVLRVQLELGQSGIMKSEFDSMQLSLREFYSTSHDGDISYGAFMKLPREIQEVCISCLYYFSFLDPSLLQSVAACCLSEDVDPFLLFRLLEVVSCGYRAGHIQIADYISFLITMLSRYKVFPENSDKSNSGLFRRVTSGVCCCLSQIGDDHLVLKMVEGTLVHQISNMNTSNEAGLENTCALLRMTTTLDSKPTRLSEESISKLGNLLPGYLLHVVSNVGEEEDGRRGYYVLPCFVLLSRSDRIVNHVLKVMRTWTCEKARIIAVVGVLLLMHDEDVRRGGGSGQIFKKIAATRNSKEEIDAILHNIIRVMSDDGDDDGYKLNMEEKHKLQYAYDRLKIIIGG >cds-PLY80800.1 pep primary_assembly:Lsat_Salinas_v7:1:70716533:70717285:1 gene:gene-LSAT_1X59220 transcript:rna-gnl|WGS:NBSK|LSAT_1X59220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAACESPQPIFDSFNDTHEFNDQWAQKHECTQGFGIMINHSKSRISGDLKRSRIKRREIPPPISSIGRQVCFESYRFNGRLILREVRIQNQELLHAYREDGRLKLQFVQYDDDVDFERENGQKVGYEVAADDVIEKSEIREEPL >cds-PLY68599.1 pep primary_assembly:Lsat_Salinas_v7:5:1061130:1061591:-1 gene:gene-LSAT_5X781 transcript:rna-gnl|WGS:NBSK|LSAT_5X781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVNQNQNVLYSVSVANSLGAGNRAHILVPSEYTSWADRIKYYLDGHDSDIWTFVSTGKHTPKILKDIRVPKADVSAKTSKVIYGSASSVAQLRDRKIKKFEAKSMQELLSGIHHDIYDQLPNEDKSSPFNVWNALKKQFEGRFLQIGRKLH >cds-PLY82760.1 pep primary_assembly:Lsat_Salinas_v7:2:146819741:146822566:-1 gene:gene-LSAT_2X73021 transcript:rna-gnl|WGS:NBSK|LSAT_2X73021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDLLSTASTPILKVLIITALGSILAMDSVDILGQSARNYVNNVVFFVSNPALVSSNLAQTITLESIISMWFMPVNIIITFIIGAALGWLLFIITKPPPHLKGLILGVCSAGNLGNLPLIIVPAVCKEKGSPFGDPDVCHGYAMAYASLSMAMGTLFVWTFVYNLLRVFSEDSGNNIVTDTVTMKEGLSERLLPSSTSNVNMMGKEKGMLGTIKQHFGNFSRAVNLKAVLSPSTTGALVGLIVGMIAPIRRLLVGTEAPLHVIQDSASLVGDAAIPTMTLILGANLLRGLKGSSGVSLPLVFGIVAARLVLLPIIGIFIVKGALYLGFVHADPLYVYVLLLQFALPPSMNIGTITQLFGAGESECSVIMMWSYGLASVTLTLWSMFFMWLVA >cds-PLY94869.1 pep primary_assembly:Lsat_Salinas_v7:2:179390062:179393880:1 gene:gene-LSAT_2X101280 transcript:rna-gnl|WGS:NBSK|LSAT_2X101280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISSRSSSHLRLLSNSTSSATSSDFVISNAEPPSQIHFCHYFSTVHVITAPSSSSDEDGHKYMSGETESYYYGCIPDCGGSAKRGYSIRHDFRISAQKDATYRGIVGVSFDVVQYG >cds-PLY83878.1 pep primary_assembly:Lsat_Salinas_v7:3:51993387:51995627:-1 gene:gene-LSAT_3X39920 transcript:rna-gnl|WGS:NBSK|LSAT_3X39920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSVSSSATKSHSRCPLKEQYLQRRNSRENLDRFIPNRSAMDFDYARYMLTEAKKGKENPMASSPSKEAYRKHLAETFNMNRTRILAFKNKPPTPTDAIPNNCSTSFQQSKPVKARRYIPQTSERTLDAPDLVDDYYLNLLDWGSSNVLAIALGNTVYLWDATDGNTSELVTVDDEAGPVTSVKWAPDGQHISIGLNNSDIQLWDSTSNRLLRTLKGGHQSRVGALDWNNHVLTTGGMDGRIVNNDVRIRSHIVETYTGHHQEVCGLKWSASGQQLASGGNDNLLHIWDRSMASANAPTQWLHRLEDHTAAVKALAWCPFQANLLASGGGGGDKCIKFWNTHTGACLNSVNTGSQVCALLWNKNERELLSSHGFTENQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWNVFGSPEVAAKAAPKAATEPFAHLNRIR >cds-PLY71601.1 pep primary_assembly:Lsat_Salinas_v7:2:103076833:103077120:-1 gene:gene-LSAT_2X45141 transcript:rna-gnl|WGS:NBSK|LSAT_2X45141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVKPFVHAFFLLLICTTGNVCDRKLVGEEKASVQFHNMLDNDITVFANIEDADNIGVMRTRVFMGDTREKLDFGAGAGAGAGAGAGAGAXLTL >cds-PLY74155.1 pep primary_assembly:Lsat_Salinas_v7:9:14205360:14206795:1 gene:gene-LSAT_9X10921 transcript:rna-gnl|WGS:NBSK|LSAT_9X10921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTATMVQTTRVMVRTALGSRVFGSNPTLITHPQVRFVSSPSPSDTAKRAIDELKNAGSQIKEKTASHAGYVANQSKAAAGATENITEKAKQSAQEAWSATKDAAQKVQDTVVGKAEASADVVKDHIEAAKRTINTK >cds-PLY96154.1 pep primary_assembly:Lsat_Salinas_v7:3:102190248:102198707:-1 gene:gene-LSAT_3X75140 transcript:rna-gnl|WGS:NBSK|LSAT_3X75140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEMKWVFGNWELICISIVLGWLFYKYLIVRKWGLGDNQEEERRLQPPRGSSGWPLIGETIEFIASGFTSRPVSFMEKRKSLYGKVFKTHILGRPIIVSTDPDVNKVVLQNQGNVFIPSYPKSVIELLGESSILQINGGLQKRLHAIIGGFLRSPQFKARITKDIENSVKLALSSWIDRKNTHHPIVYLQDETKKITFQILVRVLMSVEPGEDMEFLKREFMEVIKGLICLPIKLPGFRMYKSLQAKERMLKMVRKIVDDRKMAMEKKETKDSGSPNDAIDVLLRDTGESDGTQQRLPLDFISGNIIEMMIPGEDSVPMIMTLAVKYLSDNPVALSCLMEENDELKKRKDESGEGYAWTDYVSLPFTQGVISETLRMANIINAIWRKALEDVEIKGYLIPKGWCVLASLTSVHMDEENYENPDEFDPWRWEKTGASVNSNKFTPFGGGQRLCPGLEFSRLEISIFLHHFVTSYTWVAEEDQIVYFPTVKTKRKLPVKLMHSWTDSYKDKYDIGDTYKKNQGVRLEGGLKPKLEGVWVKFGYNLQGFGSITLQCVFITFELRGCPPSTQAITVSFCIDQGVEGVSGSRNDRKEGGKELIGNARQEVVKIDGIFCAIEVL >cds-PLY81192.1 pep primary_assembly:Lsat_Salinas_v7:4:345073880:345076663:1 gene:gene-LSAT_0X16380 transcript:rna-gnl|WGS:NBSK|LSAT_0X16380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTFPLPLFSFLLLFSTTTTAQPYKPTDYFLLNCGGASVTSNDRKWDTDERSKFIPSTTSFTSTTNNHHPSTPEIPYYTARLFNTSSFTYTFPVTNGPKFLRLYFYPATYSSHQANQSYFSVSSNGYTLLSNFSAFLTASYLGTNLVGSPPVPNFIKEFIIYVNDTQSLNVTFTPSPNSYAFINGIEIVSLPENLYYKSKYPKSIGQSAGPMIKEDIGLENIYRLNVGGIQIPPTGDTGMYRMWDQDYGYLLSAAGLTQVYKNPITYTAETPNYTAPEVVYATQRSMGKLSEYYNLTWLLPVDSGFYYMLRLHFCSIIPEYTRKHAVIFKIFINNQTAEQYADLFYWTQGTGFPVFKDYVVYVYDSDGSGRKQDLWLAMTPNLEATEEYNDAFLNGLEVFKLTMNRSLAGPNPELQPTPPSPPPPSPTGKKKTPPYAVIIGGIGGALVLMLSVLGFIVFRRVKRSGDKSDEQKSKDSGLPSGRCRRFTIQEVKDATKEFDETRVIGRGGFGMVYIGYIDNDKTAVAIKRLNALSKQGFHEFRTEIRLLSKLRHVQLVSLIGYCDDEGEMVLVYDYMSNGTLQDHLYKGKKPHLPWKRRLEICIGAAKGLHYLHTGVNRVIIHRDVKSTNILLDENFVAKVADFGLSKLGPKEKGVDYVSTAVKGTLGYMDPEYYKYQQLTEKSDVYSFGAVLLEVLCSRPAVINQGVPDEEMNLAEWGRKNYGKGTLHEIVDKRIRDEIAPNCLMKFGEVANSCLRMKGSKRPKMDEVVWRLEFALQLQEAAEKMDGEVSGDMKGGSGMSGNQEFMFPVKEEDVSVVEEYEYNYELEGSTGVGIQHGLTSTDGSSHEVFVSETILPR >cds-PLY78600.1 pep primary_assembly:Lsat_Salinas_v7:1:107921867:107922028:-1 gene:gene-LSAT_1X84481 transcript:rna-gnl|WGS:NBSK|LSAT_1X84481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTATYLYLHCSLSSLRSLPTEDSTVATLPMIWGLFYGHLRPSLICHLDF >cds-PLY91743.1 pep primary_assembly:Lsat_Salinas_v7:9:16828333:16831127:1 gene:gene-LSAT_9X17121 transcript:rna-gnl|WGS:NBSK|LSAT_9X17121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPESKRFGRGPKELTGGVDLINYYKLFPHYELFCKKSLPLSISDAHHLRNVVGDTVIRKGEGMELNQLIEKENTSFSKSRVTNTRIQPFDLNALRDAFYLRETFPIDLPPSEKGIPTQVGISKSESKDKEKKHKKHKDKNKEHKKHKHRSKHHDFGAENLKKPNDKKRKHDGDEDLNGIHRHKNSKHKMDRFGAIRIAA >cds-PLY72223.1 pep primary_assembly:Lsat_Salinas_v7:7:54839814:54841740:-1 gene:gene-LSAT_7X39400 transcript:rna-gnl|WGS:NBSK|LSAT_7X39400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCNPNTTPPSTVSLKPSDHLPPPPPPTQSHFYLDLISLPVTKTHFQNPKSDTEYHKNNILLLPTPSEIFHETKALLKLSSPIALTALILYTRSIISMLFLGRLGETELAAGSLAIAFANITGYSVLSGLALGMEPLCSQAFGARRLKVLSVTLHRTVIFLLVVCFPIAVLWLNTSRILIYLHQDHNIARVARTYLVFTLPDLVSNSFIHPTRIYLRTQGITFPLTIASLIGTLLQLPMNYLLVFRFRLGVVGIATASSLSNFIVLVAVVSYVWWTGLHLPTWSIPTRECLSGWGPLVRLAAPSCVSVCLEWWWYEIIIVLCGLLVDPNATVASMGILIQTTSLIYVFPSSLSFAVSTRVGNELGANRPNKARVSAMVSIFFAGLMGLSAMLFATSMREKWGQMFTNDANILRLTSAALPIIGLCELGNCPQTVGCGVVRGTARPTTAANVNLGAFYFVGMPIAVGLGFGLGVGFIGFWVGLLSAQVCCAGLMLYVVGTTDWEHQAKKAEMLTCNEGPGGDPPLISSDSDIESQPLMCIRITSS >cds-PLY90533.1 pep primary_assembly:Lsat_Salinas_v7:8:65500792:65505092:-1 gene:gene-LSAT_8X46020 transcript:rna-gnl|WGS:NBSK|LSAT_8X46020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPTSDQASGDPHMIRFGAHLVLVLRHLLADEMKDAFKEKTMTLGDLILHMYAMFLFSKQHEELVGIYASQLVRHRCIDLFVYMMELCLNGSYSYAKCFASAIWERVCKEDPLSLETGAAIRTKFLQHGGAKDRAQLMILLVMELQGATKIMDMEEIMGYKSYAEYAVNSNMASSPDVVSSFLVELSKTVVAGYYSYLYAKCFASTIWERVCKEDPLSLETGTAIRTKLSQHGGAKDPAQLMILLVMGLQGATKIMDMEEVPEGYGIGCFE >cds-PLY69421.1 pep primary_assembly:Lsat_Salinas_v7:5:301630366:301631542:-1 gene:gene-LSAT_5X161780 transcript:rna-gnl|WGS:NBSK|LSAT_5X161780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALCGKLIGHVEVSKKGDIYNLIRYNPNDIAVISSDKVHGCDLHDGDRGTVGSVISWHYTHEGKKKISKQIIEAANDENHLIVFKVIGGDLVDELYKTFTITLNVDKKGDKEVATWTFEFEKPDTSVPYPTSLMDYLCDLVKDLDTYNNTK >cds-PLY63910.1 pep primary_assembly:Lsat_Salinas_v7:5:127325094:127326519:-1 gene:gene-LSAT_5X56921 transcript:rna-gnl|WGS:NBSK|LSAT_5X56921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLQTNLMEITSKAVHSKTISSSQNPKTPRRHGCFCVQSSTTRLPLHRPHRKILQLVNNPPSKLISTTASTTTSSDILRLLDCLGLPVPDAVYISLIKECTHFRDSNEAVLLHAHLTRNRRNQPRLPLLNHILIMFLSCGCIQNARQVFDEMTKRDFNSWAIMIAGYADSHDYEEVIQLFSNSQLQYLMDCSSSVPVSWVLVCVLKACTNTWNLELGKQIHGCLLKSGYSDDLFVGSSLINLYGKIGCSEDGELVFNQISSHNVVVWTARITKNCKEERFHEVIDVFKEMGKEGIRKNSFTISSVLSACSKISDDGNCGEQVHANAIKLGLASKSYVQSGLVNMYGKFGLIEDAKRVFDMNGVKRNNACWNSMFTSFIQNGCHVEAIKFLYQMKAAGVEPQELWLNKLRSLCGSLEIK >cds-PLY74924.1 pep primary_assembly:Lsat_Salinas_v7:3:129893138:129894571:1 gene:gene-LSAT_3X87760 transcript:rna-gnl|WGS:NBSK|LSAT_3X87760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVYGPNRSACTQRVLACFLELDVDFERINVDLNSSEHKQSKFLLKQPFGQVPVVEDGDFRLFESRAIIRYYATKYADKNQKLYGTTFEEKALVDQWLEVEAHHFNDMVYTIVLQKLIIPEMGGKTDFTLVENCEKKLEKVFEVYDEQLSKHRYLVGDCFTLADLSHLPGIKYLMNEAELGHMVTQKKNVNSWWSDISNRLAWKKLMLLVE >cds-PLY92525.1 pep primary_assembly:Lsat_Salinas_v7:3:253390234:253394413:1 gene:gene-LSAT_3X139620 transcript:rna-gnl|WGS:NBSK|LSAT_3X139620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid rhodanese-like [Source:Projected from Arabidopsis thaliana (AT4G01050) TAIR;Acc:AT4G01050] MEALNAVVLKPISVLKPEPKKQSSFPTIHHLKISKPQFHGSSLVLISSVFNSAFAKALTYEEALNQSTTSDSSFSAPDLDISGVFDGIINFATANPLVLASGAAALALPLILSQVFGKSKPWGVETARIAYGKLGDDENAQLLDIRTASDIRAAGSPDIKGLKKKPIRIAYNGDDKPGFLKKLSLKFKEPENTTLFILDKFDGSSELVAELVTVNGFKAAYAIKDGAEGPRGWANSSLPWILPQKSFAFDFGSVTDAFDGLLGDGSEAVSVIFGLAVATGLGLLAFTEVETILEVLGSAALVQLVTKKLLYAEDRKKTLKEVEEFLTVKIGPQDILNDIKDIGKALLPPLTSKSLPAATTATEEAVVESTNSAPPEVQPEAAPAPLEVQPEAAPPAEPTPPVVQAVAAAPAPPVESTPPVNAVPKTEVKEEVESKPPRALSPYPYYPNFKPPTSPTPSRP >cds-PLY77238.1 pep primary_assembly:Lsat_Salinas_v7:4:177420873:177421341:-1 gene:gene-LSAT_4X103940 transcript:rna-gnl|WGS:NBSK|LSAT_4X103940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANDSEHLKLISALQSFKRRVVYANVHSYHLVGWSTSSIRHQIQLPKIKNLVRSGRYPHILKEDAENITK >cds-PLY89360.1 pep primary_assembly:Lsat_Salinas_v7:5:70118120:70120884:-1 gene:gene-LSAT_5X33680 transcript:rna-gnl|WGS:NBSK|LSAT_5X33680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGSFRKQSQLSRTKQDRGWLGRSFQPENFLPGLVIGFIFGMLLDLSKPIKRTTNSMRKGSGFSSNSSNPDENLKMVLVVRQDLKMGQGKIASQCAHAATGLYSKLMQSHRNLLHRWESSGQAKIVVTCKNQQEMNKLQEIAEGTGLPTYVVADAGRTQVLAGSKTVLAIGPGYNSIVDSVTGKQRLL >cds-PLY72712.1 pep primary_assembly:Lsat_Salinas_v7:6:27760501:27760839:-1 gene:gene-LSAT_6X21441 transcript:rna-gnl|WGS:NBSK|LSAT_6X21441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKETVCWRALGTCDRLKPGKKESKNFLVAVYNGVREHHCGYGSISCCLHHVSLLLLSVSPSRFHSGSVPLTDGHNQPLRFGSLSIASRWNYERRWRRRVCEDIEQDRRQSM >cds-PLY88865.1 pep primary_assembly:Lsat_Salinas_v7:5:54366890:54369185:1 gene:gene-LSAT_5X25641 transcript:rna-gnl|WGS:NBSK|LSAT_5X25641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVDPRQYENIKINDNDTHNIILSYLIHGCYKDTVESLITSTGLKVSPPQLENMEKRKRILHSALQGNALDAIALTEQISPDLLENNKDLFFDLLSLHFVELICSKKCTEALEFAQAKLTPFGKVSKYVQKLEDFMALLAYEEPEKSPMFHLLSFEYRQHVADSLNRAILAHGKLPSYSELERLIQQATVVRQCLSEELGKDVQSAFSLKEFVKS >cds-PLY70639.1 pep primary_assembly:Lsat_Salinas_v7:4:228098616:228105175:1 gene:gene-LSAT_4X125541 transcript:rna-gnl|WGS:NBSK|LSAT_4X125541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGKLSSYISQGVYTVSGPFHPFGGAVDMVVVQQQDGSLKSSPWYVRFGKFQGVLKAKERVVDINVNGVDVGFNMYLDPRGEAYFLREIDSEEEVVEPVSSHSVSSHSSMCEEIDGKNHEKITVKSKSMNYDYDDSNSVDGKVLGRNNSKRSRILGFVLGRRSMNEHILSKEENDSNVGRMTMEGEIAADLLEMNWSTNFSSRSNMNDCNKESRKDNGEMEITGGRLEGSLVLHEQHFVNKPETSIVDKEIQEEESVSKECSRTRLDDENGAEMDLINGEGPLKIVTKVASNEEGDASVVVSCSTFEVNESNEISPPLESEFQDVNGDSIVIQEVSEQIVEEQFIFSDVEDSKPSFNNTELKVNPPPVKIHQEQSKGENKVVKGNIKRYRSNVDIPMNREITKEEIGMQSKSLPNMWSHFDDPIQDNHEDSQFYSPVNKFRSSNWDLVREDASRIIKANLEKELSQNPDTLTKDLKDGDIANGGGSWSLWPFRRMGSRNVSPKEQITKKDSDIDIIPDSEASTPSSNKHTRSLTPTSEQLASLNLSEGKNTVTFTFSTSVLGPQKVDASIYLWRWDTRIVISDVDGTITKSDVLGQFMPLVGRDWSHIGVTHLFSGIKENGYQMLYLSARAISQASITRQFLFNLKQDGKALPDGPVVISPDGLFPSLFREVIRRAPHEFKIACLEDIKACFPSDRNPFYAGFGNRDTDEFSYVKVGIPKGKIFIINPKGEVVVNRCIDSKSYASLHALVNGIFPPISVHEEEDYNSWNFWKLPPPNME >cds-PLY94823.1 pep primary_assembly:Lsat_Salinas_v7:2:180211547:180215028:1 gene:gene-LSAT_2X102140 transcript:rna-gnl|WGS:NBSK|LSAT_2X102140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPRQLPLLHHQALAPPMEVLALLVVVLQLQPHLFTIIYEGSLQKVRLGIQLLEDGIYRVALGWFAYEPEWFEHDHGNFAHIKAQSVNSFVHYLQNDPKALGGEYGGSLLDMKDHCHRVWGPMENYTACRDKRKQLLLMLCQHEADKLEVWAQLVNYKKSAYIKTDQVNILIRSLLLKQQKKSGTTSKNAKGAGTNEGSRKSIYIFLGMKHYHFLPRAPERAADGRVSAKRASDEITS >cds-PLY86144.1 pep primary_assembly:Lsat_Salinas_v7:6:156367708:156370104:-1 gene:gene-LSAT_6X94201 transcript:rna-gnl|WGS:NBSK|LSAT_6X94201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPIISYVLLLTCTTIFNVSPTMAENKLKTYIVQLTSPQGKDFSQPQDREEWYKSLLSESASNSNEKPIMVHMYHHVMTGFAAKMSEYQAKVMKNMKWVLSVRPERVYQLHTTHSPHFLGLQQNSGFWTDSNYGKGIIIGVIDSGITPRHPSFNDEGIPPPSSKWKGKCEVSGCNNKLIGLRNFDPFSKTPVDEQGHGTHTSSTAAGSHVHNANVFGNANGTAIGMAPLAHVAMYKVCSSICSGSAIAAGMDAAIEDGVDVLSLSLGGASTPFYDDVVATGSFVAMQKGIFVSCSAGNGGPRTSSLSNEAPWILTVGASTIDRRIRTTVYLGNKKLFDGEAINQPKDFDHKLRPLVYHANDTNPAAYCLNGSLDHIDVKGKVVLCDEGYSSGLDKSIVVKAAGGAAVILANHKIDGETTVIEPNVIPASIVGYAEGVQIKNYLNSTTSPIATILFRGTIVGIDTAPEVASFSSRGPNLESPGILKPDIIGPGVNILASWPISIDNNTKAKATFNVISGTSMSCPHLAGIAALLKSEHPDWSPAAIKSAMMTTASQVNLKGHAIVDQKDARFLHANVFTIGAGHINPSKSNDPGLVFDIQPNDYIPYLCGLGYTPIQIEMITKKKVSCSKTIPEAQLNYPSFVVSLKKGDTKTYSRTVTNVGMANSTYTIGEISVPHGVKAKVASFSQELMFTTVHHKLTYNITFTRDSTVEVKGHYGQGHMTWNSGKYSVRTPFAFKFE >cds-PLY74638.1 pep primary_assembly:Lsat_Salinas_v7:7:41921492:41923336:1 gene:gene-LSAT_7X31321 transcript:rna-gnl|WGS:NBSK|LSAT_7X31321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRNACMRLNTLAHLDHVTLDVPADSSTRLHFPFQMNHAQITRKCIQLRCVRGGDPHELSYSPSSSIEQEGSEESNETQGKSSSSSEILKKLRRYGISGILSYGLLNTAYYLSAFLVAWFYIAPAPGKMGYWSAVKRFVKLMAMVWAGSQVTKLVRAAGALALAPVVDKGLSWFMAKFKFKSQAKAFSVIVGCCFGLAAVLFIVVTLLSA >cds-PLY83883.1 pep primary_assembly:Lsat_Salinas_v7:3:50359131:50359436:-1 gene:gene-LSAT_3X38240 transcript:rna-gnl|WGS:NBSK|LSAT_3X38240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEHSGLCSNHSFTSRRRQQRRPRSRFSGARLRLIKGEKHGGSSPDSQTEMVSVVLTRPKEEVFGFARRLRLKRSQKRGFGFARRLGRMSVASHLLPAGEK >cds-PLY85388.1 pep primary_assembly:Lsat_Salinas_v7:5:244760857:244763936:1 gene:gene-LSAT_5X121400 transcript:rna-gnl|WGS:NBSK|LSAT_5X121400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSSLSKGTYSFLLFTYLLFLSHLSNGFYLPGSYMRTYSTGEEIFAKVNSLTSIETELPFSYYSLPYCTPPGGIKKSAENLGELLMGDQIDNSPYRFRMNINESVFLCTTHPLNEHEVKLLKQRTHDLYQVNMILDNLPAMRFANQNGVKIQWTGFPVGFTNPNKKDDDYIINHVKFRVFVHEYEGTGVQIIGTGEEGMGVISTNDDKKKASGYEIVGFEVFPCSVKYDPEKMAKLHQYDEVPSLNCPLELEKSQIIREQEKVSFSYEVEFVKSDIKWPSRWDAYLKMEGARVHWFSILNSLMVIFFLAGIVFVIFLRTVRRDLTRYEELDKESQAQMNEELSGWKLVVGDVFREPNHSKLLSVMVGNGIQITGMGIVTIVFAAFGFMSPASRGMLLTGMIILYLLLGTGAGYSGVYLWRTIKGSSDGWRSVSWSIAFFFPGIVFSILTVINFILWGSNSTGAIPISLYFILLSLLFCVSVPLTLLGGYLGTRADPVKFPVRTNQIAREIPSQKYPSWLLVVGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLVLLVVVCAEVSVVLTYMHLCVEDWQWWWKAFYASGSVGVYVFLYSVKYLVFDLQSLSGPVSAVVYVGYSLIMAIGLMLATGTIGFITSFYFVHYLFSSVKID >cds-PLY86929.1 pep primary_assembly:Lsat_Salinas_v7:5:262107428:262111777:-1 gene:gene-LSAT_5X133880 transcript:rna-gnl|WGS:NBSK|LSAT_5X133880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSRQLRRVHHXLDWKFSQVFGERTAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDTKEHGASRKELEKTDYSISKHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKIANMNMDFSKAVGKTNAATSSTYIVNGGSKDKSSIDFKFPPGGIPSLRLPVVTSNETSLSARCRRVYAHAHDYHINSISNNSDGETFVSADDLRINLWNLEISNQSFNIVDVKPTNMEDLTEVITCAEFHPTHCSTLAYSSSKGSIRLIDLRQSALCDKHSKLFEEHEAPGSRSFFTEIIASISDIKFGRGGRYILSRDYMTLKLWDINMDSGPVSTFQVHEHLRPKLCDLYENDSIFDKFECCLSGDSQRVATGSYSNLFRVFGCGPGSTEATTLEASKNPMRRQVQTPSRPARSSLSSITRAVRRGAESPGVDANGNSLDFTTKLLHLAWHPTENSIACAAANSLYMYYA >cds-PLY63052.1 pep primary_assembly:Lsat_Salinas_v7:8:72795223:72796160:-1 gene:gene-LSAT_8X51601 transcript:rna-gnl|WGS:NBSK|LSAT_8X51601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSYVTKGYSTYTDIPTRGGYDHGPRNPVNQYAVQTKTIERVRAPGVGVTDFVGSPSKMELLNEYVHSPTMSSSPKSPNIGFNPNTGFNYENSSPPKFHNEGNWPMKNRDTSSPNKYLPSSPNKYKSVQSPPTTHHALSTKIDNINEALGLLESMKHSPRSDPRQKGVLDELSTRAQPTEPQKRYARPAFVAKTSDVYRKYY >cds-PLY79373.1 pep primary_assembly:Lsat_Salinas_v7:9:54879966:54882751:1 gene:gene-LSAT_9X49861 transcript:rna-gnl|WGS:NBSK|LSAT_9X49861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein RCF3 [Source:Projected from Arabidopsis thaliana (AT5G53060) UniProtKB/Swiss-Prot;Acc:Q8W4B1] MMDRSRSKRYYYDQDYDHMETLPRTKQRYNNNYSGGHHYGPNNHHQRRNIGGGGGGGGGGGGSGGGRKPQDPSSLMVTTSYRILCHDAKAGSVIGKSGSIIKAIRQHTGAWINVHELMPGDEERIIEISDTRRRDPDGRMPSFSPAQEALLLIHERILESDGGGYSLGYGGGDDDEDMYSSRLGVNRLVTRLVVSRMHVGCLLGKGGKIIEQMRMETKTHIRVLPRDHTIPRCVEMSEEIVQVVGEMSSVKNAVEIISSRLRESQHRDRSHFHGRLQSPDRFFPEDDFMHHPNNMPRRLPMEGPNNYGSRLPNNGRNFNHASRPSSYVNDTGASPLSDNAQSLYAEDLVFRILCPNNKVDSVVGQSDGFMELLQNEIGVDVKVADLVVGSDEQIIIISSEEGPDDELFPAQEALLHIQTRILDLVPEKDNIITTRLLLSSGEIGCLDGRDGFLSETKKMTGADIQILPRENLPQFVSVNDELVQIVGEIKAAREALVEVTLRLRSYIFQGFFQKDASQVPPFLAPSPGGSASNLEAASSNNTTPRENYSGNDPTTASNQNLQTLTLKSTGGSNNETVNQTESGNREDTPSTVNRIAVPLVTRSILEVVIPEYAVPKLITKSRNKLAQISELSGANVKLIDTSPEATEHIIQISGTPEQAERAQSLLQGFILSSM >cds-PLY88351.1 pep primary_assembly:Lsat_Salinas_v7:9:17918330:17921368:-1 gene:gene-LSAT_9X15381 transcript:rna-gnl|WGS:NBSK|LSAT_9X15381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRQGFAKDSTSSEEFRIPSPDSRRHSSPTSLEDGSSSSAAASATPSLAAKAIRASSANRDSALSSAYGQPAISPRTAKSNPLRSSQSSTPKDDSMKYDYTSMKNLEKPKPGFWGGLARKARSIIEDDDEPQQYETPERRRQQMSDPKNSIQDQFPESHEKTDNPGFQKGLGAIASSLNYIGGTIGNALEEGRTIVENKTADIIQETRKLHVKKKSGIIPDQTQTSNKQFQPPQPLLQTDVEIQLKASRDVSMAMAAKAKLLLRELKTVKADLAFAKERCAHLEEENKILRETRGDGDNQEDDDLIRLQLESLLAEKARLAQENSVYARENRFLREIVEYHQLTMQDVVYIDENNEEVTQVYQPPINNLSSTHDLQLQSIIIPTLPSTPPNTNSQLQYLSPKTPFAPNTPFM >cds-PLY75838.1 pep primary_assembly:Lsat_Salinas_v7:9:195224444:195225077:-1 gene:gene-LSAT_9X120361 transcript:rna-gnl|WGS:NBSK|LSAT_9X120361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLGIYGLIIVVIISTGINPKVKSYYLFDGYAHLSSGLACGLAGLSAGIAIRIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLTVGIIISSRAGQSIAN >cds-PLY71940.1 pep primary_assembly:Lsat_Salinas_v7:3:26032488:26033040:-1 gene:gene-LSAT_3X18040 transcript:rna-gnl|WGS:NBSK|LSAT_3X18040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKWKRDLNRFDGVVKASMAFTNAEDIRQVGCQVVVFYGYMTTEDGESWGLMSPMNFRLVKSISFILVNSQKLSRKGQRLSHLRACVSICD >cds-PLY73998.1 pep primary_assembly:Lsat_Salinas_v7:1:32566762:32567600:-1 gene:gene-LSAT_1X29560 transcript:rna-gnl|WGS:NBSK|LSAT_1X29560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTYGGGISAVKIVEYMISSMSIELLCKFPDNSAFDFDYTQSSIWSPLVPHPSNPSSPAPELQRKLSYEDDNHGGDDMVCHGSSGGETVRKLKENVKNKIADSCVFSCFKIQHNFSNKKTPMKRRSGTFRGYNQLGSICSNSSGVVADSSSSSPIQSKGWKKVLKAASKQFKKTMKKKESVAHLKLSHYDYYY >cds-PLY98066.1 pep primary_assembly:Lsat_Salinas_v7:4:78566157:78568383:-1 gene:gene-LSAT_4X53440 transcript:rna-gnl|WGS:NBSK|LSAT_4X53440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:L-type lectin-domain containing receptor kinase VII.1 [Source:Projected from Arabidopsis thaliana (AT4G04960) UniProtKB/Swiss-Prot;Acc:Q9S9U1] MNNKYLSFHYLLLLFIFYLQLTPSAAVDFIINSFSSSSISLYGNATIQSNILTLTNSTPGQIGRALYPEKIPTKNSSSLLPFSTSFIFAMAPTTNVLPGHGLVFLFTPVTGIQNTAAAQNLGLFSRGVDGNSSNHVFGVEFDVFRNQEFEDINENHVGIDVNSLTSVNSTDAGYYEDQDGIFRRLQLNNGRNYQVWIDYKDFMINVTMAPVGIKKPTRCLICVPLNLSDVFEDEMYVGFTAATGQLIQSHKILSWSFSNSNFSLSDDLITEGLPSFVLPGKPIYRSTGFIVGLTLGILVLLIASSFLGFIWIKRKRRIAKEKADMEDWELEYWPHRIPFQEIDVATKSFSDENVIGIGGNGKVYKGVIGGTEIAVKRIAHDNNDGVREFLAEVSSLGRLKHRNLVGLRGWCKKEKGSLILVYDYMENGSLDKVLFDRKDDTKILNFNDRMRILKDVANGVLYLHEGWESKVLHRDIKSSNVLLDKEMNAKLGDFGLARMHQHGQVATTTRVVGTAGYLAPEVIKTGRASTQTDVFSFGILILEVITGKRPITEGTIALVNWVSQLMEQGKLISAMDEKLKGQGGEIEIDVEEVEMVLHLGLLCAHPDAKMRPTMRQIVKVLEGERRKEGDESEGEGEEMEVYLLEKMKSKDLWTKYAQTLSSGSGSYSHPTFGEVRAGISSSMSLSLTDIVDGR >cds-PLY92238.1 pep primary_assembly:Lsat_Salinas_v7:2:211041137:211043641:-1 gene:gene-LSAT_2X133080 transcript:rna-gnl|WGS:NBSK|LSAT_2X133080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEFCDFLQWLGADMSIKILASLENPTDLVRASAVSSSWRRFIVMNGLAKQLCIRMFPEVSSVAHVIEVNDRIEPLQIRHETSMEWSCLEREHRVYAFLARGLTTFMRKDCILEAIVASSTDNYPQESIHHTLEPGDRVDQRASYWSSEGAVDPAVPETLVYKLVANLCVITEIHIHPFQAYFQFGFPIYSAKAVRFRLGHLKPAYEIENDMKDESTAALRFIRDNVVWTYTSPSFPMAQESRLQKFKLPEPALCIGGILQIELLGRVQKQEMDEKYYIW >cds-PLY67950.1 pep primary_assembly:Lsat_Salinas_v7:3:216710741:216711250:1 gene:gene-LSAT_3X125100 transcript:rna-gnl|WGS:NBSK|LSAT_3X125100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEETNTWRQELTRVGYFDFYFTYWIFNAGPPATKGTLIFGASRSISRANLQCNRRSNFKAALPGLKKEEVKVEVEEGRLLQISGERSREHEEKNDKWHRVERSSGKF >cds-PLY86124.1 pep primary_assembly:Lsat_Salinas_v7:6:83594145:83596618:1 gene:gene-LSAT_6X59580 transcript:rna-gnl|WGS:NBSK|LSAT_6X59580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVATPQHASIGDTPVPDQSCCKKGPGYATPLDAMAGPRESIIYVTCVYTGTGKEKPDFLGTVDVDPTSETYSQVIHRLPMPNLGDELHHSGWNACSSCYNDSNTSRRFLVLPALVSGRIYAIDTQKNPRAPTFHKSVEPDEISSKTGLAYPHTAHCLASGDIMVSCLGDKDGKAEGNGFLLLDSDFNVKGRWEKPGHSPLFGYDYWYQPRHNTMISTSWGAPSAFTKGFNLQDVSDGLYGRHLHVYNWPHGELKQTIDLGPDGLLPLEIRFLHDPSKDTGFVGCALSSNMVRFFKTSDGSWSHEVVIKVKPVKVQNWILPEMPGLITDFLISLDDRFLYFVNWLHGDIRQYNIEDPKNPVLVGQVWVGGLFQKGSAVVAENEDGTTYQVEIPETKGHRLIGGPQMIQLSLDGKRLYVTNSLFSKWDKQFYPEVVEKGSHMLQIDVETEKGGLCVNPNFYVDFGDEPDGACLAHEMRYPGGDCTSDIWI >cds-PLY86768.1 pep primary_assembly:Lsat_Salinas_v7:4:296772631:296772972:-1 gene:gene-LSAT_4X147941 transcript:rna-gnl|WGS:NBSK|LSAT_4X147941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKGLLTPQKNRPTSLYKRWFIKKTQEKHFELLINRQRWLRTNRSLSNGSFRSNTLSESYQYLSNLFLSNGTLLDQMTKALLRKRWLFPDEMQIGFMEQDKDFPFLSQKDMWP >cds-PLY80838.1 pep primary_assembly:Lsat_Salinas_v7:MU041866.1:102356:104989:1 gene:gene-LSAT_4X86300 transcript:rna-gnl|WGS:NBSK|LSAT_4X86300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAHFSTCGRYHVKSYLQKYRLAKYLSDSSFEGILVMHITEALKLQMEVQKRLHELLEVNRSGRLQNNATQYLVDIELSILVLSLDEDQLQQILYLYDYLCTCQLREKGRKRRYVKLYKLKLECLRKEQPLDDEIVLELDQIEKVSDIEDILGYRSAAEHELQHVAAEGTTFVKQAEDVASNKKAEKRLQVDPTIWPIMIFRVC >cds-PLY93770.1 pep primary_assembly:Lsat_Salinas_v7:6:142955100:142955433:1 gene:gene-LSAT_6X85240 transcript:rna-gnl|WGS:NBSK|LSAT_6X85240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGITSLLRGNSKLNRIYPVRASWVATVTPKKVNDDGFEKKEEMKKEEVDPIVTFSKPPPMPPVLGPLVLFSLWETWSTPDDK >cds-PLY81739.1 pep primary_assembly:Lsat_Salinas_v7:3:30722929:30725169:-1 gene:gene-LSAT_3X22560 transcript:rna-gnl|WGS:NBSK|LSAT_3X22560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHQLHHHHQAVDGLVNLFTKANNDLIIVQNRLEKEFRETYPDNANPMKLVSRIKKIQEELPSLKEQCRELLSAKQDLIDKARTTIVGNRASLQRLQSSMGIPVTSDSDDPSFTNFNQVIDEWAVQVRSRTGDEGHEGSEDINKMLFSAIVQGN >cds-PLY95819.1 pep primary_assembly:Lsat_Salinas_v7:7:176360667:176361851:-1 gene:gene-LSAT_7X104001 transcript:rna-gnl|WGS:NBSK|LSAT_7X104001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHIPHGDPLLVHMMMLHEVRSQPIFEMGRFLFDIQGIQLDFGETEYILICGLKVGPYVDLLYDEKGQSNSQLHARLFPYISDSRLRLKDLEDLIMSPNYSALQDEDVVMLIQLVFMLKGLHGRDVKMGIPGAVYKLADNIDDWNRFAWGTYFWKYTSRMMRGMFEKIEEFRQFKQTNPESKKGHKYTVPGFIILFKIWILEMFPEATMFYIRTPTELPRMRAWRSKTPLNWEQCCRIINVSVPNNQPINVVANLEELMLPFYVRYVNWTLNPVESPPRQHSPVPNSPPHVDSPARRRMYKSEI >cds-PLY78085.1 pep primary_assembly:Lsat_Salinas_v7:1:6269839:6273111:-1 gene:gene-LSAT_1X5861 transcript:rna-gnl|WGS:NBSK|LSAT_1X5861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARFLSRSTRQLIGSQYVLQPQQHVVPTRSFAKEASPKALKGDGFLISILVPLAHTKLTHLCYIKILTYASVIMLMARFVVHASVEMLKGIFLDVKKKFETAVGVLRKEKITIDPEDPAAVDQYAKVMKTVREKADLFSESQRIQFTIKSRTDGIQDARSYLLVLKDIRLKRGLSDELGAEAMMMDALEKVEKEIKKPLMRNDKKGMALLNEEFNKINQKLGIRKEDLPKYEEQLEKKIAKAQLEELKKEALEAMETQKKREEFADEEMPDVKSLDIRNML >cds-PLY85203.1 pep primary_assembly:Lsat_Salinas_v7:9:148142857:148143222:-1 gene:gene-LSAT_0X41841 transcript:rna-gnl|WGS:NBSK|LSAT_0X41841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKRNKPTFAGGSSKQWKDTIETEDTANSKPKEEEIEEIELGNIEIMQEILSYRNRKGVWPWENPYDLQRFCFPYIHVGIGNEGGWLKKIEEMKNKFNDEIAPMENVDKKEFKLWKKIWGN >cds-PLY91513.1 pep primary_assembly:Lsat_Salinas_v7:7:141330783:141334684:-1 gene:gene-LSAT_7X84581 transcript:rna-gnl|WGS:NBSK|LSAT_7X84581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKEISFSMEKGDAHEQYDDDGRVRRTGTLMSASAHIITAVIGSGVLSLAWCFGQLGWIAGTASLMIFAVITWFTCLLLSDCYRSPDPVNGTRNYNYMQAVKANLGGLQYKFCGVAQYGILIGATIGYTITSAISMAAIKRSNCFHKHSHHTGCHTLNNSYLIIFALIEIVLSQIPNFHKLSIISIVATIMSFTYATIGIALSIAKIAEGGHPRTSLTGIPVGKDMPSVEKMWSTFSAIGDIAFAYSFCPDTLKSSPPENKVMKRASTVGVSASTLFYLICGTLGYAAFGNDAPGNYLTGFGFYEPFWVIDFGNLCIVIHLLGAYQVYVQPFFAFVESWSKEKWPRNKFIVREYSVGKFKINIFRLTWRSAYVVLATLVAMIFPFFNSFLGLIGATTFWPLTVYFPIEMYISQAKIPKNSFTWIWMKVLSLACLIVSLVAAAGSIRGLIVSVETFKLFHSVS >cds-PLY73168.1 pep primary_assembly:Lsat_Salinas_v7:6:127752411:127752848:1 gene:gene-LSAT_6X76280 transcript:rna-gnl|WGS:NBSK|LSAT_6X76280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLMLEPFWLNIKVQVEVRSINSLMLFRKLEFHGEWRLRRKTKVMRKWKVDRTTRRHNGQVLFLERHLMMHFSWKTWWQPYNRKTDSCDSKWLRQMGQVVSPWACAEEEESTYPGWQYVIKRHVVGGAMGVFVGVYAYSRKSNDV >cds-PLY90765.1 pep primary_assembly:Lsat_Salinas_v7:3:36419859:36420449:-1 gene:gene-LSAT_3X28081 transcript:rna-gnl|WGS:NBSK|LSAT_3X28081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM68, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19100) UniProtKB/Swiss-Prot;Acc:O49668] METTTTTFCSYQFSRSPNNLSKPPFQVPIPKPPHKTNQNRQFRFSPISATFNSPKGFGPPPPKKITKKTLIPKKDEDEEEEEEIEREAGVIPEIVTNRMMGRIGLSVGIPLFIGLLFFPFFYYLKVGLKIDVPTWIPFIVSFVFFGTALAGVSYGIVSTSWDPMREGSLLGWNEAQKNWPVFWQSLWGSPGSKNKF >cds-PLY65188.1 pep primary_assembly:Lsat_Salinas_v7:7:60263205:60266168:-1 gene:gene-LSAT_7X45060 transcript:rna-gnl|WGS:NBSK|LSAT_7X45060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAIKSVEIETLNVASLVGKMKRVTKKVGKYEIGRTIGEGTFAKVKFAKNTETGEAVAIKVLAKSTILKNKMVDQIKREISITKMMRHPNIVRLHEVLSSHTKIYIILEFINGGELFDRIVHKGKLSEKEAREYFQQLIDAVAYCHEKGVYHRDLKPENLLLDSEGKLKVSDFGLSALPQQGVELLYTTCGTPNYIAPEVLSNKGYDGGAADIWSCGVILYVILAGYLPFEESDLPSLYKKVNAAEFSYPFWFPDNAKSLINRILDPNPKTRIGIDGVKKHPWFQINYTSISHGEDSDVSLDDVHAVFNGIEDQYVTEKSEEKTGPLLMNAFEMITLSQGLNLSALFDRHQDRVKRQTRFVSRKPAKDIISTIEVVAELLGFKVQTHGYKMRLEGASVNRVGQFAVVLEVFEVAASLFMVDVRKAAGDTLEYHKASNIYLSSILKIHK >cds-PLY67879.1 pep primary_assembly:Lsat_Salinas_v7:5:5510221:5512149:1 gene:gene-LSAT_5X3361 transcript:rna-gnl|WGS:NBSK|LSAT_5X3361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKISSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVEIGRKKKPAPSATKKEGEEVEGATEEAKKSNHVVRKLEKRQEERKLDQHVEEQFSSGRLLAAISSRPGQCGRADGYILEGKELEFYMKKLQKKKGKGAGTA >cds-PLY94072.1 pep primary_assembly:Lsat_Salinas_v7:4:171436783:171438362:1 gene:gene-LSAT_4X101220 transcript:rna-gnl|WGS:NBSK|LSAT_4X101220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGLPMEGEDLSRMPYCETGNEILEEWKAQFVDKKFHGEAYVKHIRSIEEDNMIFRLNFLTLFINTFIESMVGGINSVKVVEKLVLVKDFSKIDWCKYMLENLQTRKDMWRRDDKTCYYSGTIILLLGWFGRQFKDSHSDDERLGSEEEEEDICRLYGDVEAFTAVLEHNYGKFLSEKSNIEVALKYGFEKFPDRKVLKEWLIKKNELFNEKDFVKENEDENEIPYNGKEIVEYHGHLSPIRVLFNKLPSVMDEMIDMANKTEMLFSNSKQVTEENNAIVVVGFNSNEARNLDEELNIVANEDNNGKWGKRDKKSTNLFHSPFIKRVIRVGDKLKKKEIEMCTSIFASKRDNGLVSVQL >cds-PLY66893.1 pep primary_assembly:Lsat_Salinas_v7:7:17060952:17062079:1 gene:gene-LSAT_7X13641 transcript:rna-gnl|WGS:NBSK|LSAT_7X13641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFQEDIKNLMKVSLLASISLGYCYFVGKLIPKGFPRLLTIFPVILFFLSIPLLFNSVHLIGALSLCISWLANFKLILFAFEIGPLSAPSIPLSRFLSLACFPIEKSIPRKNLTHKSPYNYGIKALLLAIFLKVYHDHGDHIHPMIAWFFFSFSVYFFLDLLLTISSIVMEFLLGVKLDPQFDEPYLSTSLQDFWGRRWNVMVNRILYPTIYNPVRTLSARAIGHIWAPIPAILITFAVSGLMHELIFFYFTRERPTWDTMLFFCLHGVSLVMETTIKKFVNVKWSLPRHITAPLVVAFVLVTSYWLFFPELRRCKIAERAFEDYEAIAKMVTFVV >cds-PLY64916.1 pep primary_assembly:Lsat_Salinas_v7:8:133033058:133033375:-1 gene:gene-LSAT_8X92201 transcript:rna-gnl|WGS:NBSK|LSAT_8X92201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPTEMESIIHMLIGQAEEELATLTNLESDFYFNQEMKNELLENMSRRPKYTNYLDMKEVINNSTYVASKRIMAIYSLKKETETTIEELRKLLKTLPEDDQPYMD >cds-PLY73088.1 pep primary_assembly:Lsat_Salinas_v7:9:3977134:3981188:1 gene:gene-LSAT_9X2000 transcript:rna-gnl|WGS:NBSK|LSAT_9X2000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKQFAVKVEDGRKGVDGEPSVGPIYRNLLAKDGFPPLDADLKTTWDVFRNSVEKYPNNKMLGWRELVDGSWGPYIWKTYKTVYEEVLHAASAIRASGIGAGCKVGIYGSNCPQWIVAMEACNAQSYISVPLYDTLGPGAVNFILDHAEVDVVFVQDKKVKELLNPECTHTQRLKLIVCFTSMKEEEKEKADSMGIKSYSWSEFLHMGSKNPSDLQTPKASDICTIMYTSGTSGDPKGVILTHENTTTNIRGVDLFMEQFEDKMTVDDVYLSFLPLAHILDRIIEEYFFRNGASVGFYHGNINELREDMMELKPTFLAGVPRVLERIHEGVLKGLEEVNPRRRKIFNILYNYKLRWMKAGYKQKYASPLADLLAFRKVKNRLGGRIRLIVSGGAPLSREVEEFLRVTSCAFVLQGYGMTETCGLATLGFPDEMSMLGAVGSPFVYTDMRLEEVPEMGYDPLADPPRGEICLRGKTSFAGYYKNPELTNEVMQDGWFHTGDIGEMQPNGVLVIIDRKKHLIKLSQGEYIALEYLEKVYGITPIVEDIWVYGDSFKSSLIAVVVPNKEQAEKWALQNGFKVSWSELCKLRQLQDYIISELKSTAQRNKLRGFEHIKGIIMEERTFEEEKDLLTATLKKRRDKLMNRYKVGIDDLYKSFTVNKR >cds-PLY83647.1 pep primary_assembly:Lsat_Salinas_v7:4:44519298:44520889:-1 gene:gene-LSAT_4X29881 transcript:rna-gnl|WGS:NBSK|LSAT_4X29881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g12480/MQC3.32 [Source:Projected from Arabidopsis thaliana (AT3G12480) UniProtKB/TrEMBL;Acc:Q9LHG0] MKKKLDTRFPAARIKKIMQADEDVGKIAMAVPVLVSKALELFLQDLCDRTYDITLRRGAKTVNSLHLKHCVQSYNVFDFLREVVSKVPDYGHSDAAAGGAVGSGDDRTMVKRKKAAAGETNESDEELKRNRMNEAGQTGSNGRGRGRGRGRGRGRGRSSADREAPQTDIELESCTPLIPSTTTTAATTAAAATTAISDGGRDFDLNAGVDENMEKASDGLAGGAPPPPPLPESVPGGGGDGGSEAAEVKNEEYLGGSLSEMDRMVIDTGNLGQINSRLDEEDEDYDEE >cds-PLY67398.1 pep primary_assembly:Lsat_Salinas_v7:4:212385090:212389080:-1 gene:gene-LSAT_4X119240 transcript:rna-gnl|WGS:NBSK|LSAT_4X119240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAMLKVLFHFFFIFLLLVNSVLTQSTVRRLSSGVERTALFDLRASLGIKARFWPRKLDPCANWTGVECSNGRVTGINLSGLQRTRVGHRSPSFSIDSLVRCTQLGTFNSSGFALPGQIPDWLGQNLSALQVLDLTSSSVLGPIPSSIGSLNQLNRLLLANNFLTGIIPDSLRELSSLSILNLSLNMLTGSIPTSFSALANLTALDLSSNFLSGPIPMNFGSFSSLQSLNLSNNSLASSLPIQLGNLSQLVDLDLGFNSFSGSFPNELGHLTNLRRLSVKNNNLGGNLSSNFTPNIILLDVSSNNFTGNLPDLSTFPNTSGVTLNFSNNQFYGILDSSVSTLDSIDLSNNYLQGLNVNLENTTSISRNCFIRIPNQRSLDDCRTFYLLRNLPFNGDSNSNPTTPLQPQLPRKSNNNRLKYVMAGVFGGLGVFIIIVIILFLVFKPCNKRSVSQRSANVEPVQEEGIEKGQTISVNLMFDSFSYEQILQATSGFSDSNLMKHGHTGDIFKGKLEDGVSIVVKRVDMRVSRKDCYMSELELFGKGMHTRLVPLLGHCLEHETEKLLVYKFMPNGDLSNSLYRSTSLEDSGLQSLDWITRLKIAIGAAEGLSYLHHECNPPIVHRDIQASSILLDDKYDVRLGSLSEVCVQGVEDNQNVISRLLRISSNSEPTPSGSSSPPICAYDVYCFGKVLLELVTGKIGINNSEWVEQTLSFINNYDKELVSKIVDQSLVIDEDLMEEVWAVAIVAKSCLNPKASKRPQMAHILKALENPFRVVREEDFSSGRLRNNSSRRSWSAALFGSWRQSFSGSVHSQSQKSREGVSGQRRENSQGSGANDKRLSSEIFPEPVDINDVERQDETR >cds-PLY73924.1 pep primary_assembly:Lsat_Salinas_v7:3:39667326:39671043:1 gene:gene-LSAT_3X29520 transcript:rna-gnl|WGS:NBSK|LSAT_3X29520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDQIRAEFKKNNFVLVEEEEILQKCLTFCINYNLSPSDLVSSWDLYSINRQLELVVSDAHMDAFHQQLQSEQKQAIIEKEQGLHSYTDVTMELDEDHEDAKEIVPTSPTGQTNVHLDSFDVTPMQHSLGKPLELVTPFGQRKDKFVVHSTFNTLSTVHEIKMEKGEEGSEDDVIKRVQPIKSCSLEVHSSQPAPGCRYMYDRIEDKFNYLEDKIMKYSKAMVASQLYEEPVDPSVASQKSIFAVGMICCEEEGRLKEKPIMLQSSVEHSGGQRVRLDLQKLDQFSLFPGQVVGIEGHNPSGHYLIATKIVDNVPLSVPDDENIRQKKRQAVDEDNKPAGLSDITSDLSLIIASGPYTTTDNLFFEPLSDLLAYAQRKQPQLLILLGPFIDSEHPEIKKGALNRTYDDLFRLEILRRLQDYVEYMGSAARVILVPSIRDAHHDYVFPQAAFDMNIADLSRQITCITNPGMISANKVKVGCCSVDVLKQLSMDVVSRGIKNRMNTLTNHLLSQRSFYPLYPPAEDTPVDLSLAPEALQMSSVPDILIVPSDLTHFVKVLRLEGTSEGGEEVKCMCVNPGRLARGEGGGHFVELNFHGTPDSSSASVIRI >cds-PLY77831.1 pep primary_assembly:Lsat_Salinas_v7:1:23152397:23154296:1 gene:gene-LSAT_1X19141 transcript:rna-gnl|WGS:NBSK|LSAT_1X19141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFPAIFTAIIVGVLSLILFLRFVLTKKSGDVKPPPRAAGAWPIIGHLHLLGGEGGLPHRVLATLGKKYGPIFTLNLGAHEALVVNSAEMARECYTTNDKAFADRPRSLAVEMLGYNFASFGFVPYGPYWRGLRKIAVLDLLSPSRLRMLSHVRTSEVNFLMSDLYKVWVEGKGSTGKVIIEEMEKRFETLVFNVVLRMIAGRRYTTGDKEGDHVKNTIKDYVHELGTVVVGDVIPWLRWLDFSFQKKVKKTAEEYDVIMEDWIKEQREKMSSGKPVDPKDEVFVASLLTRLNSEQDKDLSVFDKGTIVKATCSTIIGGAADTTTVALTWTLALLVNHPEVLKKAHEEIETHVGRERVVEESDLKNFVYLNAVIKESLRLYPPGAIIAPHQTVEDCIVAGYKVKKGTRLMVNLWNIQRDPEFWPQPEEYKPERFLTTQKDIDVWGQSYEFFPFSSGRRTCPGIGLAVQSMQLTLATLLQGFDFETPTGEPTDMSEHYPGMITSKATPLSVLISPRLAPNLYNQTSS >cds-PLY64531.1 pep primary_assembly:Lsat_Salinas_v7:6:34680168:34682660:-1 gene:gene-LSAT_6X25040 transcript:rna-gnl|WGS:NBSK|LSAT_6X25040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNIFRTEPDVLSAYDFTGSFTDVWRHIRVSMVMPPLTAAICVCAVMSLMMFVERVYMTIVVLLVKFLGKKKYTQYKLEALKEDLEQNRSYPMVLVQIPMFNEKEVYKLSIGAVCSLRWPADRLIVQVLDDSTNEVLRALVELECKKWIQRGVNVKYETRNNRNGYKAGALREGLKKDYVFDCEFVVIFDADFQPDEDFLWRSVPYLLENPELALVQARWKFVNADECLMTRLQEMSLAYHFAVEQEVGSSTCQFFGFNGTAGVWRIRAIADAGGWKDRTTVEDMDLAVRASLKGWKFVFVGDLEVKNELPSTFKAYRFQQHRWSCGPANLFRKMTKEIIFCERVSIWKKFHVIYAFFFVRKIIAHWVTFFFYCVIIPVSIMVPEVHLPKLIAIYVPATVTILNSSCTLRSLHLLVFWILFENVMSFHRSKATIIGLLGANRVNEWVVTEKLGNATKPKSIVKPLKKSRSRFGERLHFSELIMGLFLLYVAIYDMMFGKDHMFVYLLLQSGAFFVIGVGYVGISVSN >cds-PLY84979.1 pep primary_assembly:Lsat_Salinas_v7:8:62850430:62852212:-1 gene:gene-LSAT_8X45940 transcript:rna-gnl|WGS:NBSK|LSAT_8X45940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTLTKLYTMQEASEHNSAGDCWVVIDGKVYDVSSYLEEHPGGDDVLLQVTGKDATDEFEDAGHSKTARELMESFFVGELDTSDIPQLEIVSEKQENYIFDLTKQYWAVPLAAIGISVVVTFLYLRKK >cds-PLY75477.1 pep primary_assembly:Lsat_Salinas_v7:7:72754398:72755638:-1 gene:gene-LSAT_7X52921 transcript:rna-gnl|WGS:NBSK|LSAT_7X52921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSFFDVIAITSNNSHCSIQLPSLEPSLMSSLPYSSVTTINTTLSPLLQFRHQHPWKTGQHSQPSSNHHLLPSPRNVWWMCIEKASEGYLKI >cds-PLY74965.1 pep primary_assembly:Lsat_Salinas_v7:3:154986598:154993863:-1 gene:gene-LSAT_3X97940 transcript:rna-gnl|WGS:NBSK|LSAT_3X97940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYCAATASSPGGGGALTIPHCSSISRLINFNLSKKTSNHLFFTTAADFRKRRFYVRSEAKPTLKDPVTVEQGDAGSNIETSKSDAEAVASRITYHAEFTPSFSPDGFDLPKAYFATAQSIRDSLIINWNATYDMYERMNVKQAYYLSMEFLQGRALLNAIGNLELTGAYGEALSKLGHKLENVVSQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQLITKEGQEEVAENWLEMGNPWEIVRNDISYPIKFYGKVVKGSDGKKRWIGGEDIVAVANDIPIPGYKTKTTINLRLWDTKVPPSDFDLSAFNSGDHTKACETQANAEKICYILYPGDDSLEGKTLRLKQQYTLCSASLQDIITRFEKRSGVNVMWNEFPEKVAVQMNDTHPTLCIPELMRILMDLKGISWKEAWDITQRTVAYTNHTVLPEALEKWSLELMQKLLPRHVEIIEMIDEELVNRIVAEFGKSDPELLERKLNAMRILENVELPSSIADLFIKPKDVSALDTSEVSDDEEDDIVGEKEKLMDLEEAPIPPKLVRMANLCVVGGHVVNGVAAIHSEIVKQDVFNDFYKLWPEKFQNKTNGVTPRRWIYYCNPALSKIITKWTGGEDWVLNTEKLAELRKFADDEDLQSEWKAAKRSNKIKVVSFLKEKTGYSVSPDAMFDIQVKRIHEYKRQLLNILGIVYQYKKMKEMTPIERKDKFTPRVCIFGGKAFATYAQAKRIVKLITDVGATINHDADIGDLLKVVFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFSMNGCILIGTLDGANVEIREEVGEDNFFLFGARAHEISGLRKERAEGKFVPDPCFEEVKEYIRSGVFGAYNYDELMGSLEGNEGFGCGDYFLVGKDFPSYIECQEKVDKAYRDQKRWTRMSILNTAGSYKFSSDRTIHEYARDIWNIQPLQHN >cds-PLY62070.1 pep primary_assembly:Lsat_Salinas_v7:2:57522699:57523994:1 gene:gene-LSAT_2X26261 transcript:rna-gnl|WGS:NBSK|LSAT_2X26261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTRDLCILEKHSENQRNMSSIDTIPFYSTIDIIRKHLLDDHDDVFTMHSPATSPRIDQTASGSENVPVSTNKNGEEESRDGEDNHLKSKNVKKKRKTAENAEEVMEWTRYRGVRRRPWGKFTAEIRNPEKKKARLWLGTYNTPEEAAVAYDKAAFIFRGTRAKVNFPLLLRQGDHCKQVSSSSSSNSDDGKCKKKVVVDQPTTTTTTTLEDSSPLTTLEEPTATTNVTAMQDVRNECIQRDSPGSNVQWPTTSESLDSLWNFQMCTFPPLSPTLSIDDYIGHSNEASSEIDNVSSLDDSLSTTIYAFQGVATDDYWPSNAEPVVGMATTTSVLAEESCENDSFWDTLVQNTFDSPTTTSTSEDVEMYIGDIVDRLWNFEVDVSTSAYFPSTNVESYTLNMTSKADNGGSEHDPLWDLQIDTLIHDDLFFL >cds-PLY64060.1 pep primary_assembly:Lsat_Salinas_v7:8:93847837:93848034:1 gene:gene-LSAT_8X65420 transcript:rna-gnl|WGS:NBSK|LSAT_8X65420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSRSYTTVYDRRRRHQGLVTIGMHPTSSCHQEEKAVTGEEREATKGTMRTSGEAGKSWNEAVTS >cds-PLY62867.1 pep primary_assembly:Lsat_Salinas_v7:9:129815595:129817007:-1 gene:gene-LSAT_9X83660 transcript:rna-gnl|WGS:NBSK|LSAT_9X83660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSTYALLVISIMMPIFLSSFVSADPDLLQDVCVADLASDIKLNGFPCKSNITADDFFFPGLAKAALTNNTFGATVTPAFVTQLPGLNTFGVSMARIDYAPGGLNPPHTHPRAAEIVFVLTGELDVGFITTANKLFTKTIKMGEVFTFPRGLIHFQINNGKVPAAVIAAFNSQLPGTQRAADALFGSSPAVEDVVLTKAFQIGTKEVEKIKAKFAPKN >cds-PLY75315.1 pep primary_assembly:Lsat_Salinas_v7:MU042996.1:127060:127421:1 gene:gene-LSAT_0X30261 transcript:rna-gnl|WGS:NBSK|LSAT_0X30261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRTGKLIAFFRMLAHILTTAIIFPHLALGCWLLDFDRHAQLVVDFPSCVKSTKKTKAGSAACCRDFCFGGIMLLLVVPNTILNSIC >cds-PLY74898.1 pep primary_assembly:Lsat_Salinas_v7:3:129274891:129275886:1 gene:gene-LSAT_3X88300 transcript:rna-gnl|WGS:NBSK|LSAT_3X88300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGSPSKYMSTSKEYPLHLHGWLRIHKDGRCERFIGNDIIPAGTDPITGVQSKDVIISHQSNLFVRLYIPKTIVDDIPNRKLPTLIYYHGGGFLTESAASSTYHPTLNLITAESNVIAVSVNYRLAPEHHIPVAYEDSWEAIKWVATHVKGNGPESWLNSHADLQNVFFAGDSAGANIAHNMAIRVGLNQVKVINLKGVIMIHPYFGGKEHIGEESKHEQHKAFMNQMWLLANPLGIGLDDPLYNPVMDPCISAFGCSKILLCVGGRDKLRGRALLYKELMETCGWKGFIELMESKGEGHVFFLSNTSCENARILRKKICNFINSISSKI >cds-PLY80227.1 pep primary_assembly:Lsat_Salinas_v7:6:124444969:124449440:1 gene:gene-LSAT_6X74461 transcript:rna-gnl|WGS:NBSK|LSAT_6X74461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEKACQWLCVILVCLLKVLETYGFYVDITYLESALEKGAVCLDGSPPAYHWDMGYDTGIDNWLIHIEGGGWCNNVTTCVDRSKTRLGSSKQMVEEVAFSGILHNEPQYNPDFYNWNKIKVRYCDGASFTGDVEKVNSKTNLHFRGARIFRVVIEDLLSKGMSNAQNAILSGCSAGGLTSILHCDKFKSLLPKTTKVKCLSDAGYFINSQDLSGRRRLEAFYDDVVKTHGSAKHLPRSCTSKMKDSLCFFPENMVRYIKTPIFLTNAAYDSWQIKNVLAPGISDPHGTWHNCKHDIEMCSSNQIEIIQEFRLQFLNALDGFLSLNSSRGMFINSCYAHCQTEIQETWLMTKSPMLANKRIGEAVGDWFYDRDPFQEIDCPYPCDKTCHNRIFA >cds-PLY72833.1 pep primary_assembly:Lsat_Salinas_v7:6:22576744:22579772:1 gene:gene-LSAT_6X17720 transcript:rna-gnl|WGS:NBSK|LSAT_6X17720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRREDPNPFDEEVNPFSNGDAAAPGSKSRVPQMVASSLGFGQKHDATVDIPLDSMNDPKKKERELSNWEADLKRKEKDIKRREEVVAGAAIPTDDRNWPPFFPIIHHDIANEIPVHAQKLQYLAFASWLGLVLCLVFNMIAVIVCWIKGGGVKIFFLAVIYALLGVPLSYVLWYRPLYRAMRTDSALKFSWFFLFYLIHLGFCIFAAIAPPIVFEGRSLTGILAAIDVFSDHALVGIFYLVGFGLFCVESLLSFWVLQKVYIYFRGNK >cds-PLY99096.1 pep primary_assembly:Lsat_Salinas_v7:5:138727457:138731427:-1 gene:gene-LSAT_5X59341 transcript:rna-gnl|WGS:NBSK|LSAT_5X59341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 [Source:Projected from Arabidopsis thaliana (AT1G31360) TAIR;Acc:AT1G31360] MAASSSMEGLMEDLLNVELELQDVQDEIKNLLDKQERLYEKQSELKAVLESYQGSENIDKDDAAAPPPQPENWSGSFEWDNRADDIRFNIFGIPTYRANQREIINAIMSGRDVLVIMAAGGGKSLCYQLPAVLHHGIALVVSPLLSLIQDQVMGLTALGIPASMLTSTTSKEHEKFIYKALEKGEGDLKILYVTPEKVSKSKRFVSKLEKCHHAGRLSLISIDEAHCCSQWGHDFRPDYKNLGILKTQFPNVPVVALTATATKKVQSDLMEMLNIPKCVKFVSTVNRSNLFYMVREKSSVGKVVIDDIAEFIQSSYTNNESGIVYCFSRKECEQVAKELRERGVSADHYHADMDVNAREKVHMRWSNSKLQVIVGTESGRAGRDGLPSECLLYFRPADVPRQSSMVFYENCGLQNLYEMVRFCQSKRECRRSAFFRHFAEPLQECNGMCDNCAFSCEVKEIDVSGHAKAIVSLLQEIQEKDQRATMLQLVDKLKVKNKELGFELKKDELEQLVIQLILHRVLKEEFSHTAYATNAYVAIGPLAKHVLHGKKSIMLEVSSGQRSSGIGIAKSSKRGRNSGLESELDNLRKELASMDGGIFPHSILSTQQIGMLCSQKPETTEQLEKIIGKLKTQKYGDKILEVIGKHEPNESQGQDSSNEEEQETSVKRSAKRPRNKKVVVIESSGDES >cds-PLY62443.1 pep primary_assembly:Lsat_Salinas_v7:3:196114413:196116580:1 gene:gene-LSAT_3X116821 transcript:rna-gnl|WGS:NBSK|LSAT_3X116821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFHLYLIRYNCSKVFLSDIFPKIPSNIHLRYLSSSINPRTLPWEGSSHDILLKNLETFVKNHQVNEAWEAYTDFKKLHGLPDTRLLTKFITELSYSHEPKWLQRACDITLSLSKEKSNLLHIDHLHNLSLSLARAQMPIPASKILRTIIEKNIIPSNNLLGSITLHMVKKEIGTYLASNILIQICDHFLHLGSNKLIKPDIVIFNLVLDACSSYNLSFKAHQIIELMAQMGVIGDAYTIINISRIHKINGQRDELKKFKDCVDEVAGFLGHHYFQFYDSLMSLHFKFNDIDSASELILDMISHKKTHPYVIEKKPFVIPIGSQNLKMGLKLRVLPHLVDENLMKQERNEGLVMYRSGKFVISNNALGKLVVGYKRQGRVSELSNLLWRIQKENVLLEMKDLISNVVEACIHVGWLETAHDILDDIERKGNVICSNSYALLLKAYCESNKHREVEGLLKQMKKLGITLSDKSTRRVSRISETSLMDSHLFTASRRSDLAKCIVQETKEGEKDCSIYKFNSSIYFFTKAKMIEDALKTYKSMQEKKVYPNVATYIYMVMGYSSLEMYREITILWGDIKRSCDDGNVTINRDLYEVLVLNFLKGGYFERVMEVIGCMKKHGMYLDKWLYRSEFLKLHKGLYRRMKLSDARSEAQGKRLVHVKAFRKWVGID >cds-PLY79054.1 pep primary_assembly:Lsat_Salinas_v7:3:9937561:9941778:1 gene:gene-LSAT_3X6560 transcript:rna-gnl|WGS:NBSK|LSAT_3X6560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVKSIQWSYAIFWSISTAEPGVLTWCDGYYNGDIKTRKTLQAEEMKDDDEDELGFQRTEQLRQLYEVLSVSAGGEREPQTRRPSAALSPEDLTDTEWYFLVCMTFEFTNGQGLPGRTLAKNSSTWLCNAHFADSQVFSRSLLAKVLEDEDIIKQIKAFISDPATQKVVEIPLELELEYDQTLVTKATHNSPKNSLNHHCFQSQEQSWQFVDDEEEGEEISVYHNNNNNNNNNSSSSSDCISQNLVSGPSNLWTEDDSRYQSVLSKIFNNTQRLILGPHSRSCSYNESAFMSWKNHNGMELERNRKGSCSQMLLKKVLFEVPKMHEHEDDTKNLNHRFSLLSSMVPCRGKVDKVSLLDDTIDYLQTLERRVKVLESNEDRKNKKSQQHDMIQMQERTCDNYYGNKRKACCDVEDLQVEEEEEESCSSDCITVSAIEKDVTIEIRCRWRENMMVQVFYAISSLNLESYSVHSSNVDGILTLTIESKLKSCTASTAKMIRQALQRVIGRSCSSF >cds-PLY94251.1 pep primary_assembly:Lsat_Salinas_v7:8:69321685:69327870:1 gene:gene-LSAT_8X50681 transcript:rna-gnl|WGS:NBSK|LSAT_8X50681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPSALAFSSQSWKYHVFLSFRGEDTRRNFVDHLYSALEQQGIYTYKDEETLPRGKSIGPSLMKAIEDSQIAVIIFSENYADSSWCLDELAYIMKCKDTKSQIIIPIFYGVDPSEVRKQKRKYGEAFAKHELENKKKAKSWGQAVTEDLWGWLFNPREQNRKYREAFAKHELENKTKVESWRKALVDASNISGWEPKHVANGHESRVIKEIVDTISHRLQLVPSSANKNLVGMAARMQRLKLELQIGSGGVQMIGIWGVGGGGKTTLASSIYDEISSNFDGCCFVKNIREESSKNGLVSLQKKLISGVLKQKEVQELERVEEGRRMIIDGLCHRKVFIVLDDVDKLDQLEALAGSHEWFGEGSRIIITTRDEHVLNANRVDVKHNISLLNNDEAIKLFRKHAHRDYTSMEEYEQLIKEVVSYAGGLPLALAVLGSFLCDKNINEWRSALARLKEIPNDNIVETLKISFDGLTKVERELFLDIACFFRGREKDEAMEILEACGFHPVIGVKVLIQKALITISEDGHFDMHDLVQEMGHHIVRGERPNNPEKHSRVWKEEDVAKICDIDATTELDNIEAIIMVFRFQPAKNQEQDLHSVAAYMKNLRYIESFGDPAKSLFNDIPLRELCCLILFSGSQNQLWEGCKLLPSLKLLRICDMYNLIMTPDFNGLPNLERLILHECPCLEEIHPSIGYLEKLVFLSIEQCGGLDMFPSFMGLKKLKTLSFKGHLKFVNCWRCGCSNLPDVECCVEEPCLCRLGFFHNLQELHFLRKLDLCWSNLGDEDIGSDVLEFPNLQELNLYGNKFSQLSFSCLRFPRLKWLDVSFCKELVELSELPQSIAIVIADYCDSLESFGDISNCKWLWKVSLRGDKKVGPLVGEILLDSMLQGNAIENHFISFSILHQIPKGFAGRFFRGKTFTKHPPHAIWNLFDDDTFRLRLPDDWCNDFCGFLIRVVTIVRHLDIDVIIKHDPDEEDSGFEIWQESNEVPEPEYGGDVRTHVGYVSFSSLRRTTSLSPSYNIISFSIKSSWSSFAAELVPRKSKDDPMQTTKVSTDSSEFWDEENDEYKAFIIQDDSKSYINIIWQYNI >cds-PLY65668.1 pep primary_assembly:Lsat_Salinas_v7:5:275319473:275320355:1 gene:gene-LSAT_5X146080 transcript:rna-gnl|WGS:NBSK|LSAT_5X146080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEKTKGRKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFTQKSMGTTDVRIDVKLNKYVWSNGIRSVPRRVRVRIARKRNDDEDAKEELYSLVTVAEIPAEGLKGLGTKIIDDED >cds-PLY77568.1 pep primary_assembly:Lsat_Salinas_v7:2:164699519:164703664:-1 gene:gene-LSAT_2X86540 transcript:rna-gnl|WGS:NBSK|LSAT_2X86540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPSPGSSPSSVPPVASNPVPVSPVSQPDQATGSPPPPALPSAPPLQLPPLPVASPPPPSTPDASPPPDASPPPPPELPASPPPSPAAPPPESPATTPPPLPPPVTATPPANSPPPPDLSPPPPSDASPPPEPTTSPPPPNQPDDTVSPPSPSPPAPVSPSPPPPENVPSPPPLSTSPPPPHANPPEIPAPRPPAPPSNTSITPPSSTSSPPPSSTPIPTNSSTPAFGTPPISQLAPPTEKPTARATNTTTNANQNGTSGGGSRGPRTGGAVAIGVVVTFVTLSLFIMAVWFKKRQKKRGGRPTGNYIMPSPSASSQKSDAQFLSSQQSAHNRLGGSPSGVGSNSISMYSPEGGGVGNSRAWFTYEELSEATDGFSVSNILGEGGFGCVYKGLLTDGREVAVKQLKIGGGQGEREFRAEVEIISRVHHRHLVSLVGYCISEHQRLLVYQFVSNDTLHFHLHGPDRPVMEWSTRIRVAAGAARGLAYLHEDCHPRIIHRDIKSSNILLDDNFDAQVADFGLAKLAMDTNTHVTTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGRKPVDGSQPLGDESLVEWARPLLNQALEHEDFEELVDPRLEKNYVQVEMFRMIEAAAACIRHLAPKRPRMSQVVRALDSIVEFTTDLSNGMKPGQSEIFDSREQSAQIRLFQRMAFGSQDYSSEYFNNNSQTSWKSEGSRHMF >cds-PLY78642.1 pep primary_assembly:Lsat_Salinas_v7:9:48424767:48427754:-1 gene:gene-LSAT_9X43801 transcript:rna-gnl|WGS:NBSK|LSAT_9X43801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERIMSKMRNLDAYPKINEDFYSRTISGGLITLVSSILMLLLFFSEMRLYLNSVTETKLIVDTSRGERLRINFDIIFPSLPCTILSLDAMDISGEEHLDIKHDVYKKRLDAYGNVIESRQDGIGTPKIERPLQKHGGRLQHNETYCGSCFGAESSDKECCNSCEDVREAYRKKGWAMSDPDMIDQCKREGFLQRIKDEQGEGCNVYGFLDVNKVAGNFHFAPGKSFQQSHMHVHDLKAFQRDSFNISHRIIRLSYGDYFPGVVNPLDGVKWTQITPTGMYQYFLKVVPTLYTDDSGHSIQSNQFSVTEHFKGAEVGRLQTLPGVFFFYELSPIKVTFTEEHVSFLHFLTNVCAIVGGIFTVSGILDAFIYHGQKAITKKMEIGKFN >cds-PLY97950.1 pep primary_assembly:Lsat_Salinas_v7:3:18879243:18882047:-1 gene:gene-LSAT_3X14681 transcript:rna-gnl|WGS:NBSK|LSAT_3X14681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSGSWFTAVKRAFSPNKSKNQDQDFDQEDDRARRALQALKGIVMLQAVIRGQNVRKQATITLRCMQALLRVQSRLHDQRSRLSHDGGRKSVMAETPTFLESKYLQDIRQRKSMSRDGSCIPDDWSDRPHSLEELDAILQSRKEREASLATAFSQQVHLIIARYRSLTKTRIKMQKLSRNPSTMDEKELEESASWLDRWIEAKQWENQRTSRASLDRRDSIKTVEIDTSRPNSRSGTSAYKLQQYHASHYIPNSPSRRSSYSPSTGQQPITPSPIKTKPIQIRSASPHCSKEERSYLNTNIHSLRSTPRVSGSMFRYSTCVNDMAIPNYMAATESAKAKIRSQSTPRQRPATPERERVGSAKKRLAYPIPDPCDNGSEGYKGHYYSDHNYGHNLRSPSFKSVQVGHVGMGQQWYYADSTNGGELSPCSTTDLRRWLR >cds-PLY74563.1 pep primary_assembly:Lsat_Salinas_v7:7:35980265:35984387:1 gene:gene-LSAT_7X26400 transcript:rna-gnl|WGS:NBSK|LSAT_7X26400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G16750) UniProtKB/TrEMBL;Acc:Q9LFE2] MTSVALKKNYRCVQSLQQFYSGGPYTVSSDGSFIACACNDTITIVDSSNASIKSTIEGDSEPVTALTLSPDDKFLFSASHSRQIRVWDLSSLKCLRSWKGHQGPVMGMTCHASGGLLATAGADGKVQVWDVSGFCTHFFKGHKGVVTSVVFHPDPNRLLLFSASDDTTVRVWDLTLKKCVATLERHRSTVTSIAITEDGWTLLSGGRDQACVVNMWDLHDYSCKMSIPTNEAIEAVCVIGTASPFLSCLRGKKTGDSHAFQYLTVGEHGIVRIWNSHGAVCLFQQSSSDVSVSKEDEEEAKRGFTSAVMLPSNQGLLCSTADQEFLFYSPTETPEGTFHLNLDKRLVGYNEEIVDMKFLGVEEQFLAVATSVEQARLLFFDLDTFINHKFWIRFLNLCHDFGVYFQVRVYDLSSMSCSYVLSGHTDIVLCLDTCTTTSGRTLIVTGSKDNTVRLWDSNSHCCIGIGRGHMGGIGAVSFAKKSQSFFVSGSSDLTLKVWSLDDLSDDVNEAFSLKTKAVTAAHDKDINALAIAPNDSLVCSGSQDRTARIWKLPDLTPGVVLKGHKRGIWSVEFSPVDQCVITGSGDKTIKIWAISDGSCLKTFEGHTSSVFKVQFITRGAQIVSCGADGLLKLWTVKTNECVATYDQHEDKVWALSVGKKTEMLVTGGSDAVINLWHDSTAADKEDEFRKEEEGVLKGQELENAVLDANYTKAIHLAFELRRPHKLFELFAQLCRREDAKDEVKKALGVLGMEEHRQLLEYIREWNTKPKLCHVAQFVLLHLFTILPPTEIVEMKGVGEVVEGLIPYSQRHYSRIDRLERSTYLLDYTLNGMSLIEPETGVVENPKPKLQDSSIGFSDEQQASKKSSKKRKSQKSNGGNKKVKGM >cds-PLY79786.1 pep primary_assembly:Lsat_Salinas_v7:8:275566338:275569717:-1 gene:gene-LSAT_8X158040 transcript:rna-gnl|WGS:NBSK|LSAT_8X158040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSELGFIMGNQRGLGLHLISICLFFIAATYTCCGDGNISVVCSEKERVALLKFKHSVRDDLEMLSSWVGNDCCRWGSIQCDTVTGNVESLYLRGDEGYLVGNEVNASLAELKHLKHLDLSGNDFQGSRIPKFIGSLKQLIYLNLSNVGFEGIIPHNIGNLSNLKLFDLSWNGGLVADDMAWTSGLSSLEHLVLSSVDLGRAQNMDMLFYMIPSLKELSLSDCGLSNADLGPSLNSSKILRNIKHLDLSNNYFQGPLPGFFQNMSSLTFLDLSSFNLSLTWNFANFLSTIPSLSELHLSDCGLDKTHLSSPHLNFSRLFNIHHLDLSRNSIEGAFPSVFTNMSSLRVLDLSGNMLNSWVPVMPNLIHLDLSGNDFKQIGDFGIWRQCHLKHLGASYNHFKIEMIESTENITECSQYALEWLHLSSCSNGTIPDPIARLANLRGIDLSSSSLTGQIPESLGRLKYLEVLDLSSNQLTGPIPTFLGNISELDLSFNQLNGSIPESFGNLAALTHLHLSSNRLTGPIPSSLGGLASLQTVWLYSNLLNGTIPASIGQLAKLRYLDFSNNSLEGVVSEAHFANFFMLKYLDTSSNTKLTFNVSRDWRPPFQLVFLRLKSCTIGTEFPHWIRSQRKLNVLDLSKAQITGPLPTWLRKMPIIPCLDLSHNKLSGPLTNLPNAATYGGYGFVSVLFLENNFFNESIPRSLCIRIDLEYLDLSKNRLTGKIPKCLENMKRLYTMILSSNQLSGVIPSSVALNSLHRLNLNDNDFIGELPRELENLRVLSMLDLGDNRLSGNIPEWVGKKLTYLVVLRLHKNNFTGRIPESLCKSSNLQILDLAHNNLEGTIPICLRKLKAMVSNSGSGHIDYSLDYDVNVDQVMKGVDLEYTKTWYMVYNMDLSSNKLVGEIPVELTALSKLVGLNLSNNHLTGYIPDNIGNMMNLFSLDLSRNELIGMIPPSMTALTFLSHLNLSHNNLSGRIPTGRQLQTLIDPSIYEGNKDLCGPPLCNNCPNWDNPITTGKKKYKAAEESYANIICGFATGFCGIIGVLLFKKQWRRNLFMFAEETMDTIYVAVVVRVRKMKILRKRNHIEPMECT >cds-PLY97561.1 pep primary_assembly:Lsat_Salinas_v7:5:239022978:239026052:-1 gene:gene-LSAT_5X117600 transcript:rna-gnl|WGS:NBSK|LSAT_5X117600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPNTTIKSSSKDEIEPVEEKPAVEQPKEDQNAGGGWSWGFSAFSVLSDLQKAAEEISRNAAEVAKTAANSISELQNELEGSESSKEDQDHQAASDKDQESEDEDDKKRKATLERLEKASEDTILGQARAWQALGNAWKGGSSFVQKLEDSIQQGGIPAAGSVAPSLLETGRAFTAKGLQVLEYVGKETVDLLIAESGMEVDKNGGEGGHGTEEDQLLEEVTFDRCFYIYGGPEHLEELEALSNHYALLFNRRKAKLSSDEKSAYDVKLKEVQQLLSLDTESDGKNAESEKGKNVENSSDGSYDEIKSFHGSSVSKAAEMATGFANALAGLSPSDIALRTGGRLDSLHSEGIHRLSEMCCIAVSQLVALGKSVVKNAKDDDDVAKFDWPEDCIEKAKTIRTKTQSMTGFVEAVAISFVTGISDVAEAYAAAIKSATEVLPEKVIEEKVKSFSEDLRVNRTTAVAKIQDGLHFLAYVILSTSMPAAT >cds-PLY66736.1 pep primary_assembly:Lsat_Salinas_v7:1:167912964:167913188:-1 gene:gene-LSAT_1X112621 transcript:rna-gnl|WGS:NBSK|LSAT_1X112621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGWVVVVEGGAPAAAMGLDWTVRVVWWFLVVKFQCRQFPLNSLKSGISSQKDLKETHQFSQRLTFEEFGPVPF >cds-PLY63689.1 pep primary_assembly:Lsat_Salinas_v7:9:86712795:86714885:-1 gene:gene-LSAT_9X67180 transcript:rna-gnl|WGS:NBSK|LSAT_9X67180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKTHVNIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIEKFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDAINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPTMLVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVSVKDLKRGYVASNSKDDPCKGAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGMIKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKGGK >cds-PLY65151.1 pep primary_assembly:Lsat_Salinas_v7:9:160012537:160013428:-1 gene:gene-LSAT_9X99800 transcript:rna-gnl|WGS:NBSK|LSAT_9X99800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQMKHQQFQCCYKNWVAQQQLDLDELLQTLTNYPTDVDYLQLITKKIVSHFENYNNSRAELAKHDGPSFLAPSWGSTFENSFLWIGGCRPALMIRLVYALCGSHLNTHLEEFLEGVRHGNIGEISSLQLKRIDELHAKTIKEEDKLSSYMATLQEKIAGEPLVLLASGCKGEGESSEGEVVDKAMDTHALDLYNVLLEADKLRMKTLKGILEFLTPLQAVEFLVAAKKLHLSLHEWSTRRDTRMGITQLLGGNNPSSSGDPPPET >cds-PLY99021.1 pep primary_assembly:Lsat_Salinas_v7:1:146366608:146368651:-1 gene:gene-LSAT_1X103341 transcript:rna-gnl|WGS:NBSK|LSAT_1X103341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPIPKSFILNQTKSTKNYHHIASTSFNHFLKPQTPKTLISSSQQTKPKTLVDSAENEKKIIEKPTMSDILESSKAQNLELQLQTVGPFFRITAKSFETNKVLGRADGVIRVWWNKGKILHLDSIKLTRETLGMDRSIFGIGLFIGAVMIRHGYDCGCKTAELLAINDSDLYHAKLVKFYRRIGFEAVHEVTGSSLGDLRHMLVWGGVGTRMDADVERLLIKWCTRFIVTRDSNS >cds-PLY83969.1 pep primary_assembly:Lsat_Salinas_v7:8:38014034:38015976:1 gene:gene-LSAT_8X30681 transcript:rna-gnl|WGS:NBSK|LSAT_8X30681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGTKFWEVICDEHGIDHTGSYSGTTADLQLERINVYFNEASGGRYVPRAVLMDLEPGTMESIRSGSNGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDSLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLIENADECMVLDNEALYDICFRTLKLSNPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYTSLTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMMNVQNKNSSYFVEWIPNNVKSSVCDIPPTGLKMSSTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATVEEDEEDEEEEYDGGVEE >cds-PLY70825.1 pep primary_assembly:Lsat_Salinas_v7:4:59983445:59984407:-1 gene:gene-LSAT_4X40760 transcript:rna-gnl|WGS:NBSK|LSAT_4X40760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNLQNQTSSEYPGLENYREKLNDISFLSMLTQDGGDSQEKRRDSLFYTSSLDSSQSGEEGMKKKEKRLPDRENREVEWRRYRGVRRRPWGKFTSEIRNPEKKKARLWLGTFDTPEQAALAYDRAAFKFHGSRAKVNFPLLIGCDDHSLMLPASSSSSMQNIQRTKNRMVEYPSTTTATTMVNKVESDHDSLQDRKLYSSATQDFLLKNMTNTSPTTNRSGKMQAEGRKDNGYLWSIFMQNTVQSPTSTATSTVADSGSHRDSMWDSQMNTVASEGLQLPVVQPPPVSTTAVRPSGGGNEHDMFWDFQIDTLTDDGFLLL >cds-PLY88807.1 pep primary_assembly:Lsat_Salinas_v7:4:94452085:94452252:-1 gene:gene-LSAT_4X61721 transcript:rna-gnl|WGS:NBSK|LSAT_4X61721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METKDKQRRRKATLLGAASSAIAAAEEGGVAGVVETEEKNMRSKQTRSEERRGGG >cds-PLY77854.1 pep primary_assembly:Lsat_Salinas_v7:1:26581570:26599794:1 gene:gene-LSAT_1X22360 transcript:rna-gnl|WGS:NBSK|LSAT_1X22360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLFSMPIFLIFALFLVSSAMDMSIIGYDITQTTTADTSASNWRTDEEVNAMYESWIVKHGKFYNTLGEKDNRFQIFKDNLKYIEEHNSGDHSYKLGLNKFSDLSIEEYRLGYTGAIPKGKSNKLKSDRYSPLSGDGDVLPDFVDWRCKGAVAAVKTQGQCGASWAFSAIGAVEGINQIVTGDLITLSEQQLIDCDTSNYGCDGGFITDAFDFIIKNGGIHSDKDYPYTAKDGKCDTSKKNTTLVTIDDYEYLLEESELALQKAVANQPISAGIAINSTDFMSYSSGIFSGVCGDLVNHGVVVVGYGSENGKDYWIVRSSWGTEWGEEGYIRLERNIKQETGKCGITILASYPIKKS >cds-PLY74022.1 pep primary_assembly:Lsat_Salinas_v7:7:9735842:9737347:-1 gene:gene-LSAT_7X9201 transcript:rna-gnl|WGS:NBSK|LSAT_7X9201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFFNWVESEYGFKHTTETYNHMIDILGKFFEYDLAWQLIDKMPERDHTTFRVMFKRYVSAHLVQEAISTYDKLGEFNLKDETSFLNLIDALCEYKHVIEAEELCLGKDKNFKEKIASFEMGTKVYNMILRGWYKMGWWSKCREFWGQMDEDGISKNLFSYSIYMDIQCKSGKPWKAVKLYKEMKKKGIKLDVVAYNTVIRAIGVSEGVDVAVHLGREMLELGCEPNVVTYNTIVKLLCENGRVKEAYKVLDKMSKRGCAPNVITYHCIFRCLEKPNEILAMLDRMIESGVCPTMDTYVLLIKKFGRWGFLRPVFIVWEKMENHGLSPNEFAYNALIDALVEKGMIDMARKYDEEMLAKGLSAKLRPELVNGESEDG >cds-PLY67214.1 pep primary_assembly:Lsat_Salinas_v7:6:136706456:136710165:-1 gene:gene-LSAT_6X82341 transcript:rna-gnl|WGS:NBSK|LSAT_6X82341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLSQLGRLERSMRLTTTWQMKQQIIDIDRRLYILVSSRFIKARLKAMTGILRLTAEMAAKVKFSITFHKAEMIKSVFGGNMELKRALIRYVSHCMIKECNYGTDKFHIARYTCSIISSNEMNIVDLITEVLVNSKSPVLQDPRILHEVEAYRNIMMHIERSEFPKFFRFFCSRAQAETLERRNFPILGAVAQKVKSMMASENRSIDNFSATMSLDDPTGYICNIIGTGCFVRFIGRLTGFAPKNNAIDDQRFDLSEVFFVGQVVRSNILEVSVFSWKYYMFLLTKRMCTSSLVVTNHEPQHFPSFCWKKNNTTNGSDLGGMSMIGLLFDRVLCDVLCSGDGTLRKAPDIWRKCDITGPETPGAQPLIPRLKRVQEDGCTFGFTTGTTADFSINNSKRVKFSQDLPTKNKKDEVAFEMPMNNSKRVNFSHDLLSENKKDEVAFEMPMNNKKKCIFFS >cds-PLY89650.1 pep primary_assembly:Lsat_Salinas_v7:9:96729320:96733035:1 gene:gene-LSAT_9X72760 transcript:rna-gnl|WGS:NBSK|LSAT_9X72760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVLILLEIVFGSIITSGYIVIQLFKLSHEESSKDPLYFVLVKHEKRDATGHKMGVSCVTSRIIISSLGCFMLGTFIYVLIVDGSPFRTQVFSTCMIGTLTDFYFNVVALSVWVAYKESSWISAFFVDTITYMFWEHWYMCLHTRAVIEHHTSTACFPCVFQQQ >cds-PLY71694.1 pep primary_assembly:Lsat_Salinas_v7:3:46527583:46530627:1 gene:gene-LSAT_3X34641 transcript:rna-gnl|WGS:NBSK|LSAT_3X34641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVKQVLKNLDAFPRAEEHLLQKTQSGAVVSIAGLFIMATLFLHELTYYISTYTVHEMAVDLKRGETLPIHINMSFPALPCQVLSVDAIDMSGKHEVDLDTNIWKLRLNKEGMIIGTEYLSDLVEKGHTTHNHGNETHHHEKPHLDRFDDEAENMIKKVKQAIHDGEGCRVYGTLDVQRVAGNFHISVHGLNIFVAQMIFEGASHVNVSHVIHDLSFGPKYPGLHNPLDETHRILPGASGTFKYYIKIVPTEYKYISKEVLPTNQFSVTEYFSPMNEYDRTWPAVYFLYDLSPITVTIKEERRSFLHFITRLCAVLGGTFALTGMLDRWMYRLLEAVTKPQARRSMR >cds-PLY68084.1 pep primary_assembly:Lsat_Salinas_v7:5:294268005:294271221:1 gene:gene-LSAT_5X157060 transcript:rna-gnl|WGS:NBSK|LSAT_5X157060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVQVSNGAISDSSPLRKSPSFRYARKKSISRTATEIDDIINHLHGSDPVRFELNRLENQLRDKDRELGDAYTEIKALKYQEHLKEKAVEELNDELNKVDEKLKKTESLLESKNLEIKKINDEKKQALAAQFAAEATLRRVHAAQKDDEIPPIEAIIAPLEAELKLVRMEVSKLQDDNRALDRLCKSKEAALLEAERTVEIAMAKASMVDDLQNKNQELMKQIEICQEENRILDKMNRQKVSEVERLTQTVGELEEAVLAGGAAANAVRDYQRRMQEINEEKRVLDRELARARISANRVAVVVANDWKDADNKVMPVKQWLEERRVFQGEMQHLKEKLTIAERTAKAEAQLKEKYLLRFKVLEERFKSLSSPRKTGLPERPVSNGRQSLGGLEKISRSSSSRFSSRNLPKNGSMMSTSSKIHDCSKLVDDHDDHDDDGFEENGKDKSNHKHKDFVSGMLYDMLQKEVLNLNKACHLKDQNLKDKDNTIEILARNVETLNRAMEVEGKRTRREIAAMEKELAALRLGVGKGRESQIARRTSAPRSRVMS >cds-PLY93400.1 pep primary_assembly:Lsat_Salinas_v7:9:58985394:58988543:1 gene:gene-LSAT_9X52180 transcript:rna-gnl|WGS:NBSK|LSAT_9X52180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFGLTLYSFVYIGLLFCGFCLAADPFANFELDFSYITASPLGVPQQVIAVNGKFPGPTLNVTTNYNVVVNVKNRLDESLLVTWPGIEMRRTSWQDGVLGTNCPIPAKWNWTYQFQVKDQIGSYYYAPSINFQRASGGFGGFIITNRKVISLPFNTPDGDIVITIGDWYTRNHKELRASLDAGKELGMPDGVLINGKGPFQYNSSVPDGIEHETLNVDPGKTYRIRVVNVGISTCLNFRIQSHTLVLAETEGHYTSQQNYSSLDIHVGQSYSFLVTMDQNGTSDYYVVASARFVNQSEWNQVTGVAILHYSNSKSRAAGPLPDPPNDSNDNSFAVNQAMSIRMNNTASGARPNPQGSFRYGSINVTDVYVLKSVPPVMINGKKRATFNGISFVNPETPVRLADNYKVKGSYKLDFPNMPLDRPPTMDRSLINATYKAFVEIVLENNDTVVQSFHMDGYSFFVVGMAYGEWTENSRGSYNRWDAVARSTTQVFPGGWTAILVYLDNAGAWNLRAVNLDRWYLGQETYMRIINPEDDGQKTEMPPPGNVLFCGALAHFQKPQRISASDALRIEGLLKLKSKILVVFVVVIYMMI >cds-PLY70902.1 pep primary_assembly:Lsat_Salinas_v7:9:16180917:16182181:1 gene:gene-LSAT_9X17680 transcript:rna-gnl|WGS:NBSK|LSAT_9X17680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADCRFFPFLILMLLIFQTLLTAHATSTFLKSLKLKSNGKKLRILVPDFSTFPNLVQLTIDPRTNESGVSGFCWDVFNAAFNALDHGVGIEIIPYPYKDGRSYNDLIDKVSLKEYDAAIGDITITANRSLYVDFTLPFTDLGTGTIARDAKKSIWIFLDPLSADLWITSACFFLFLGFVIWFIEHRTNEEFQGSACQQIGTTLWFAFSTIVYSHREKLQSNLSRFVVTVWVFVVLVLTSSYTATLSSLLTIQQIGMKEIEVGLQGASPLRGAVFKNLNFKIEELYTHEAYSKALTNGNVDAIIDEILYVKTILAMYPGSHFSLIATASTTNGFGFVLAMFAGVPERFAHGWRDVNSDSKDAGRWDIESIRR >cds-PLY90997.1 pep primary_assembly:Lsat_Salinas_v7:7:129279384:129281748:-1 gene:gene-LSAT_7X78381 transcript:rna-gnl|WGS:NBSK|LSAT_7X78381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLLVTTFVFAILCCSSLVRSQTPTNSSSCPINYDYVRRIQWPTVDCRHSRDPTKTVTNSTTCCQTLLSVFGIGLAQHLKESSLFNLPDLATSSSCLSDFQMKLNSLSLPDNLASFCFDPMQFVISSNTCAAIQNLQDWRRKLGNKTVLDSSCQNDLSDLTSCDDCVAAGLAVHKQLNSMDGNSSHSSDCFYFVVLYAAGIVNELGPQSTGAVACTFGINFLPKSHKNTRSSLIYGLVGALVAVVVMSCFVGLYFWWDRKRKRENTDESGTDEFESTRPRRRPNTGSIWFKFHDLEKATDNFSSSNFIGRGGFGVVYKGVLSDGSVVAVKKIIDSEFEGNDDFCNEVEIISKLRHRNLVPLIGCCIHGEDEDYERRDDQRFLLYEYMSNGNLEDHLFPSMSRKPKLTWPQRKSIILDVAKGLAYLHYGVKPAIYHRDIKATNILLDSNMRARVADFGLAKQSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVILEIMCGRKALDLTCSDSRGFLITDWAWSLIKEGKLGHVLDPSLLGEGKDSETMNPRGIMERFVLVGILCAHVMVALRPTIMDVLKMLEGDVEVPVIPDRPTPLGHPTFTGDGKSFNISPVLSGLQLQATDMLR >cds-PLY85428.1 pep primary_assembly:Lsat_Salinas_v7:2:70270644:70278960:-1 gene:gene-LSAT_2X32000 transcript:rna-gnl|WGS:NBSK|LSAT_2X32000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASCHRISTGNALSTDANSHIQAKRNRRLSSESQFLSTPNQWQRGGIVLTTSRRRWLIEAVPPVERFEEDGGEEKRKLISTVCSYALQDKQDVVGDEDEETVENVDRDWRRRRTEVAVAAVVTMALGVGNRVLYKLALVPLKKYPFFLAQLATFGYVAVYFSILHFRYRAGIVTDEMLSMPKAPLLVVGLLEALGAVCGMAAGAVLSGAAIPILSQSFLVWQIILSYIFLKRRYKFNQLFGCFLVALGVVITVASGSSAGSLMEAGIFWSLLMIVSFLFQAGDTILKEVIFLDATKKLKGGSVDLFVLNSYGSAFQALFICLLLPLLSRLWGIPFHQLPNYLSDGAACFLNIGTVSSVPISVFLFTLPLPYLGVASSLPPGFIGGAVVLVMGMLIYAWTPSTRDPIPSPFTTS >cds-PLY72001.1 pep primary_assembly:Lsat_Salinas_v7:8:148678610:148680230:-1 gene:gene-LSAT_8X100221 transcript:rna-gnl|WGS:NBSK|LSAT_8X100221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTEDDDYGKKVHESISKLIEGESARTNHSKQSNVTICMVPDTLRNLNPTAYTPRITSIGPLHKEDQHLKAMEEHKVTYMYRLFCRTVESTKKDIEQITYNCVQAVLGLLTRARACYAPSFTNYENFKFAEMMVIDGCFILELLYRFEYGIGEGDPIFDNILVIHDVKHDLLLLENQMPFFILEILFSITVKRILKSISLTDLVFYFFKDMNIINNSELTTIEGPAEHCHILGLLQSCYRPRANKRGRVPNISYSATEIAGAGVTFKAHKDEDSLLAVKFKQSSLVPGSGSLVVRETCFRIPVLCIKDSTPSFLRNLIAYEQCYPLSRHYVTSFAFLMDRLIDTKDDVSLLVRSKVLQHNLGAIEDVTNLFNNICNGVVLRDFYYSEEWTKLDEYCNRFWPSILISLRRLFRSTTWKTLTVIAAVILFVLTLLQTIYTVK >cds-PLY71771.1 pep primary_assembly:Lsat_Salinas_v7:3:47034071:47041174:-1 gene:gene-LSAT_3X36540 transcript:rna-gnl|WGS:NBSK|LSAT_3X36540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMPAPSSSSTKKRRKRGGGQQQQQQQQQQNPVIAESTRIHIRRTLEQFRASNDEAYTFEANLTNFERAEVHKLCRKMGMKSASSGPKSGNRRVTVYKFKGKPKNVKTNNDLTSFTFSEEGKVVLRDFFSLYPPGDQGEGEKIMSTSKKNTDNIRTKTDDILCKPSMKKAEIAEKFNSVVARMESDLKLKQITEDRYKLPIASFKDVITSTIESHQIVLISGETGCGKTTQVPQYLLDYMWGKGSACKIVCTQPRRISAISVAERISHERGESIGESVGYKIRLENKGGRHSSIVFCTNGVLLRVLVRAGNGRSGREASAKMVKDTFPDITHIIVVLMSATLDAERFSQYFGGCPIIRVPGFTYNVKRLYLEDVLLLVKSKKDCHLDCTSKTNVDENSQLTEEFKLALEEAIHIAWSTDEIDSLLDIVSSGGENFLNFQHSVTGVTPLMVFARKGRVGDMCMLLSLGANCHLQDKEGKTALAWAQHENQNETSEILRKHVNGTSVGSKDSKEEQVLLDRYLQDVNPELIDVILIEQLLRKICTESQDGAVLVFLPGWDDINKARDRLTSSALFKDSSKFLILALHSMVPSIEQKKVFKRPPQGCRKIILSTNIAETAVTIDDVVFVIDSGRMKEKSYDPYNKVSTLQSSWISQASAKQREGRAGRCQPGICYHLYSKLRAASLPEFQVPEIKRTPIEELCLQVKILDPGCKIEDFLKKTLDPPVSEAIHNAITVLQDIGALSPNEELTELGEKLGSIPVHPLTSKMLLFAISMDCIDPALTLACANDYRDPFTLPMLPNDKRKAANAKCELASLYGGHGDQLATIAAFECWKNAKQRGQESRFCAQYFVSGGVMNMLFGMRKQLQNELYRNGFIPENSSRFSANAQDIGVIHAVLVAGLYPMVGKLHPPKKNAKRIVIENANNDKVRLHPQSVNSKLTFKKKDYCPLVIYDEITRGDGGLHIKNCSIVGPLPLLLLATEIAVAPLDDVSDDDDDDASFGGSDDEEGYDGEKGDRLMSDPENVVKVVADRWLSFESTALDVAQIYCLRERLSAAIMFKITHPGKDLPELLAASIHAIANVLSYDGLAGINAPLVSVDSLTSMVRETDIGQPPAGGNKGKFKNSNNFLGSLLYNNDSQRQWSGRPRHHGHHHPSTSSYSTQRNQHQIQNSQGGMGNVHERNRNEMNGSSLRVDSLKRHRGSRA >cds-PLY71298.1 pep primary_assembly:Lsat_Salinas_v7:8:103038324:103039848:1 gene:gene-LSAT_8X71541 transcript:rna-gnl|WGS:NBSK|LSAT_8X71541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRPAEPTWSGKLALSELDQTGVTTHVPTIYFYAQSPEDWVTVIQTLKTSLSCTLVHFYPLAGRLSAIAGGRLELNCNAAGAQFTEAYTDKKLIDLDSLLPSPIYHQLIPSIDYQSSLLEEIPLLVLQVTRFVCGGFSLGVNISHVVADGQSALHFVSEWARISRGELLESPPYLDRKLLRAGEPPRAISRSEHAEFDPPPILIDQSGNEKESEKETAVTMLKLTATQVEKLRRKADNGQKSDTSRGFTRYETVTAHIWRTACKARNHKPEQPTALAICIDVRSKMRPPLPRKYFGNGIVNAIATGFSGEIVSKPLGYVSCKIREVIEKVDDGYVHSVLDFLKSQQDLSLFRELQPTSNGEEHFYGNPNLGVISWLTLPIYGADFGWGKEIHMGPGTHDSDGDSLILHGKDGDGSLVIALCLQMRHMEDFRKVFYQDIDVE >cds-PLY71676.1 pep primary_assembly:Lsat_Salinas_v7:3:45238562:45239086:-1 gene:gene-LSAT_3X35760 transcript:rna-gnl|WGS:NBSK|LSAT_3X35760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSKNERVANRRMMVDNNPAETSITTFPNNIPGHRWYECKTCGKKFDTFQALGGHQGTHRKLKPIDDDVCMLSLQIAPSPMLRLHQCKMCTKKFDTGQALGGHMRQHKLEKDLILMGRDELLWRQLVAEEKPESNLVVENVVQPGQQKVEEDEHDRVKTELLLAAKELRLGI >cds-PLY70413.1 pep primary_assembly:Lsat_Salinas_v7:9:124209781:124213819:-1 gene:gene-LSAT_9X83160 transcript:rna-gnl|WGS:NBSK|LSAT_9X83160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSANRSGSYSGGLVDPSNLHLKKELTQIRKAARALRDPAAASSSVTNAPSNHYNHHYRNNTSYSIEETDENNVNDISNSINNNVSGSSNNNNNKNRRNEKKVFLYNWKTQRSESERSASVTGQTDDTTRRPIHQQRGNVDDGIGDCDESVDDSLSDARNDGDLKSVAADDHRYALSSSKMFNNCKDATSLTTPPVRRASLMKKKPKKTSHASAALKLQLQRQLSLVDQYDDVNSDDIVVKGSSVTSPLLSRLKSSKLLRGGSRKDDSSYCYSTPALSTSSFNKYCIRNPSTVGSWDATTDGDEGLGYDYDDDDEDDQLDLPGRHGCGIPCYWSSSKRSTPKRGGVCGSCYSPSFSDTLRRKGSSMLCGSQTMYHRRSSIGAYNKKRHVHKSSQGLVPLLTNGAESRDRSSIGTDDELSTNYGELDLEALSRLDGRRWSTSYRSQEGLELVAVNGEREGDSPSSLDNINCYSHKYKPMFFEDLVGQNVVVQSLVNAIMKGRIAPIYLFQGPRGTGKTSTARIFAAALNCLATGDTRPCGICRECAEFISGKSHVITEMDGSNKKGIDKVRYLMKKLQMNVGLSTSTFTRHEVYVIDECHLLPSKLWLAFQKFLEEPPPSVVFIFITTDLDNVPRAVLSRCQKYLFSKIKDSDIVNRLRKISVDENLDVEEDALDLIALNVEGSLRDAETMLDQLSLLGKRITTDLVNELVGVVSDEKLLELLELAMSSNTAETVKRARELMELGVDPMVLMSQMATLIMDIIAGTYQVIEASADSLFDGRSLTEAEIERLKHALKLLSESEKQLRLSSERSTWFTATLLQLGSVPSADPTPSGSSRRQSSRTTEDDPCATFRDIYFQKQRQDSQYTPQKSTPMYPPKPIRQNSTSPKDTLLSMRQLMNGDAIAASSVPHDDDDDDDVIIGNNVSKRSNSNILDDIWARCIEKCHSKTLRQLLHTYGNLVSISEDKGILVAYIVFRDKDIKSRAERFLSSITNSFEMVLHRNIEVRIILLSDDGPTPKPNPDSLQEPQKGPRGSFHDSDGKMSGIINSRAASPLVAKGRKPPKTGNPVQRIESIIHEQRLETAWLQTAEKGGTPGSLNRLKPERNQVLPQDGGDHQRQMVAMDPLSQEKWEDELTRELNLLKIKDGNTLSKEHIGRQYPMSPSLLHDGSLGGKGYESGSGGGGCFCFNKRRNRRGKVNC >cds-PLY94042.1 pep primary_assembly:Lsat_Salinas_v7:7:99390788:99391264:-1 gene:gene-LSAT_7X66900 transcript:rna-gnl|WGS:NBSK|LSAT_7X66900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYLDASKMTILPFCPRKVKKKLHLSQPKEYVFVRTKTFVSGPNEVYECEKMTILPFNLYKHIKLHIVGNKQGAVEFFKLIFRALCLCWDRQTQDLHVDWILFTGRSLVPVSCEVVNDNMDGQYPYSHYPIFVEFMLPRTVRLLDPPPIQDDATSPLS >cds-PLY89744.1 pep primary_assembly:Lsat_Salinas_v7:1:58975932:58978624:-1 gene:gene-LSAT_1X50660 transcript:rna-gnl|WGS:NBSK|LSAT_1X50660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase PXC1 [Source:Projected from Arabidopsis thaliana (AT2G36570) UniProtKB/Swiss-Prot;Acc:Q9SJQ1] MEVFRFLSLLLLLLLFLLPISLSLSTTADVDGDTAALSLFRSQTDTHGILLSNWTLSSITHSTACSVPWAGVQCTNNRVTGLSLPSLNLRGPLDSLSSLDQLRILDLRDNRLNGTVLPLVNCTNLKLIYLSGNDLSGSIPTEISSLTRLLRIDLSDNNLIGPIPDGISKLTRLLTLRLENNEISGEIPQSLSSLSQIKQLNLSNNELYGHLPENIAQKFGDKSFSGNKGLCGSSPLPACSAATPPLTVQSNPSSLPTTTIIDETKKQTHRGLHPAAIVAIVIANSVLLLVIASFLIAYYCGKRSVTSNSKLGSEIGKGRSSYGSESRVYANNGGGGDSDGNNKLVFFDKKKKFELEDLLRASAEMLGKGSLGTVYRAVLDDGCTVVAVKRLKDANPCGRKEFEQYMDVIGKLKHQNVVKLRAYYYAKEEKLLVYDYLPNGSLHFLLHGNRGPGRIPLDWTTRISLALGAARGLARIHEEHKTSRIPHGNIRSSNVLLDKNGVACISDFGLSLLLNPAHATARLGGYKAPEQFETKRLSQKADIYSFGILLLELLTGKAPSIYPSPSRIGVDEEEQAVDLPKWVRSVVRDEWTAEVFDQELLRYKNIEEELVSMLHVAMTCVTAQPEKRPTMVEVVKMIEEIRVEMSPIGEDYEESRNSMSPSVATTED >cds-PLY79739.1 pep primary_assembly:Lsat_Salinas_v7:5:178887677:178887969:1 gene:gene-LSAT_5X83340 transcript:rna-gnl|WGS:NBSK|LSAT_5X83340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQVDMTPQLFVRDPLAPTNTKGRPKIASRIKSSLEVKKKQTCSYCQGLSHCATSCSKRKVDESLQEKQ >cds-PLY84985.1 pep primary_assembly:Lsat_Salinas_v7:3:122740423:122740773:-1 gene:gene-LSAT_3X85480 transcript:rna-gnl|WGS:NBSK|LSAT_3X85480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVTTSTPSQTEITKSGRDKAEFQKEIVVTDTDARTGDQHIPDVGDQSETDDHKGFPDMGFMPQAIVSAVPLNVVYTDSYFEGKIHQGTNNNIESDDDQLNPCKMKSSFSRGNPCH >cds-PLY86374.1 pep primary_assembly:Lsat_Salinas_v7:8:28856747:28857578:1 gene:gene-LSAT_8X23360 transcript:rna-gnl|WGS:NBSK|LSAT_8X23360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAIKLFPVKQLTDAVGVVDVTTPAELTNNVIEMVIGRAYEAHLFHGSLSSVQQLFEPDNRKIDETWVWSRLIAWKQVEEEVLD >cds-PLY70730.1 pep primary_assembly:Lsat_Salinas_v7:8:167174618:167176652:-1 gene:gene-LSAT_8X109600 transcript:rna-gnl|WGS:NBSK|LSAT_8X109600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSLLFHLLIIFSPSFADLNSDKIALLNFASSVPQGRKLNWKNNTSVCKSWAGISCNGKRVTILRLPGIGLYGPIPANTLGNLNALTILSLHSNFLNGSLPFDILSLPSLSNIYLNKNQFSGEIPSSLSSQLSTIDLSSNSFTGNIPNSIQNLTKLQSFNGTPDYVGHPLLNASPPPTPSPTSSSSPSPSTNRVLPPPQMTFPPSQRLPTSRKDNKKLSKGGVVAISVVSSSVLLLLLLLLMVFCGKKKGVQNGSKGKGLGVGRIETPKEDFSSGLQENLRNKLVFFDVSSQKFDLEDLLRASAEVLGKGGYGTTYKAILGEGTMVVVKRLKEVVVGKRGFDQQMEIIGSVTKHPNVVPLLAYYYSKDEKLLIYDCAGSGSLSALLHGNRGLGRTLNWDTRLRVALETAKGIAHIHSGNNSKLTHGNIKSSNILISQGNHARVTDFGLTQIMGIPTLPPRTSGYHAPETIESKKPTQKSDVYSFGVLLLEILTGKAPVQAAVGPEEVVDLPRWVQSVVREEWTAEVFDVELMKYANIEEEMVQMLQIAMACVGKNAETRPKMDQVVRMIEEIKVFDDDDDDSLI >cds-PLY94500.1 pep primary_assembly:Lsat_Salinas_v7:2:156156860:156166066:-1 gene:gene-LSAT_2X79980 transcript:rna-gnl|WGS:NBSK|LSAT_2X79980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGMQQQLYKRLLNEQVQKLRKQVPVYQTNNEGAGHQPKFRTTIWIDGVKYTSPNTFHNRKLAETDASKFTLFAIRQKLKDEALNHLCEDKIFCKAILMEYAVRMNTQRPTYQTTQLGSSPPVFRCCLVFNGASFTGDDCRSKKEAEQSAARAVILKYLESETGIMLSEIIKSKFNHIPTKDIQIAQSDTNVVNGLVALNEAKSNEVTKIPLLITTTTSTSTPVNITQTLETPIIPVSTSTLPTYSPPLMTPQIPTQTTTPVSTVLAQTPLIQSIQTPTQTTPVPIQTPPVVVGTTFSNVVQQDTAIPVTQTPTQTLSMPTVMAQTPTPNVLAQTPSISVTSIAPYNPAIQVSNNSSNSVAQPINSEALLPPVTVAASSLPVSTPVTGKKRKNKNKKNAQKRMRAENQMMDKVPFCLWKLLAEFIGTYCIIFAGCGSVAVNKLYGGTVTFPGICVTWGLIVMAMIYTVGHVSAHFNPAVTITLSLLGLHSFQEVIFYIFSQLLGSISASGTLSLIMDVTPEAFFGTTPVASTTQSFIVEIIITFILMFVISGATNDHRAIKKHGGIAVGMTIMLNVFVGGPISGASMNPARSLGPAIVKLNFKGIWAYIFGPLIGAISGGFVYNLLKPTNKSFCELFIKRS >cds-PLY80733.1 pep primary_assembly:Lsat_Salinas_v7:3:84820033:84820729:1 gene:gene-LSAT_3X63940 transcript:rna-gnl|WGS:NBSK|LSAT_3X63940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKFQSFELPKFSPKAYGHGAFCICSTKQGAKLLISRLDPTFSDMNNFFSSVRRIATKSQQFD >cds-PLY80724.1 pep primary_assembly:Lsat_Salinas_v7:3:85197294:85198430:1 gene:gene-LSAT_3X66120 transcript:rna-gnl|WGS:NBSK|LSAT_3X66120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNLLFFSLTLLIITLSPITNFASASLEEANALLKWKASLEIPKNSLLSSWIPLPLNSSAFAPCSSWFGVVCNADGSIQKLNLTSSGLNGTLHRFSFFLLHNLTHFDLSVNHFFGPIPPAIRHLSKLIHLDFSENMFSGVIPPEIGTLYSVTILYLSSNNISGPIPTELGNLKSLTQLHMGENQLSGSIPSSLGNLTSLNLLYLYRNQLSGSIPIELGNLKSLSLLHMSENQLSGSIPSSMGNLTSLNLLYLYRNQFSGSIPIELGNLKSLTDLAVNENQLNGSIPLSLGDLTSLNVLYLYHNYLTGPIPIELGNLKSLTRLDVSFNILSGSIPLSLANLSNLQLLYLDWNKLSGPIPIELGNLKSHLSSAGCEST >cds-PLY96549.1 pep primary_assembly:Lsat_Salinas_v7:5:337754369:337758034:1 gene:gene-LSAT_5X189261 transcript:rna-gnl|WGS:NBSK|LSAT_5X189261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDKMEDADQPVQWLQLEQSSAPGDIFGEPVTKTRIGDEYQAQIPPLMTENECLQLIVLPSSHDREIDIEKQLMFGHSIPVAWIQDQPKSNGKTIKNQAKAKGSAKGKSSLLPASKMYEDGVVSFERYVFSVRDIVGTDLLIESIGIGKGKRDLSDRRAKKPVKKRILHPEYSSLNTEQIIDLLNYQGDELNTPKLSEVFWEAVWPRLLARGWHSEKTINYAFQNSKRPSVFLPPGIQKFSRRALEKGVQYFESFKDVLDKVASEPELLEPDEAEPIPDSPVNSPVRQDDIQCRIVDVSFAHEEDGIVKTTKLRSMMSDTESDTEPTDHVQDSFSSCVSGETIQDYSNSPAATHDSDGGIVLHETLTNQPDHQLKDIDGADTDQEVVPNARNRVPTAKALEALSTGSMNPKKKKRDIEDETPRQVRAKTATDSGPTGN >cds-PLY98436.1 pep primary_assembly:Lsat_Salinas_v7:3:228952948:228953604:-1 gene:gene-LSAT_3X130301 transcript:rna-gnl|WGS:NBSK|LSAT_3X130301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKRAIFSRELGVPIVMHDYLIGGFTAHTSLAHYCRDNGLHLHIHRXHAVIDRQKNHGIHFRVLAKALRMSGGDHIHSGTVVGKLEGEREITLGFVYLLHDDFIEKDRSRGIYFTQDWVSKLIPVDRENRSFTTNHKVQVGHSSHTRNYDQGFLYEPTSTSEIPPETFFKYKSSVSSPELVN >cds-PLY63125.1 pep primary_assembly:Lsat_Salinas_v7:4:66466960:66468244:-1 gene:gene-LSAT_4X43940 transcript:rna-gnl|WGS:NBSK|LSAT_4X43940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMIKDDEEEYVDLDKENNEKNEEEFSYSDDNEDIGAEEFCLMIEKDYKMVLAYKKRMEDTLVKAKEKHADDITIKRWFVKYESLFKNHIGETSKVNENEVQVEKKIEESEDENLTNDIAFQKAIVLYIPKENQVQHTEVENTVNVEEIEEMVVAQTTNTQQEIQNEAGGGNKWKGKEKIEKTIDAEQHQEMVMYQTTATREENEEEEDRVNTTQKTPPLTDSQSI >cds-PLY64750.1 pep primary_assembly:Lsat_Salinas_v7:3:187391409:187396856:1 gene:gene-LSAT_3X111681 transcript:rna-gnl|WGS:NBSK|LSAT_3X111681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKSDSKTSTSTQSEEVEERKPNPSLILLSRDDDAYEDLSLKQVEKLVVGECSNVNSNDNASFSSYSEVIITDVREIIKNTKEMTGAQSNVEDAEKKEEGIELIETNGVLEIDTMEKSDNVVLRKLLRGLRYFDPPDNNLRNCYNCGETGHTLVNCLSAKRKKPCFVCGSLEHNAKQCKQGKDCYICKKSGHRARNCPEKTTKSFQKAKLCLKCGDSGHEIFTCKNFYSPDDLKEVKCYICKSFGHLCCVDYGKGASEVSCYRCGQLGHSGLECVNSHAHAETSNTMSPSSCYKCGVEGHKARKCPTSTKKRKRKAKFSHKLQDNHDQIGVRSAPSTVTEGRNRNITQNGHSTSYQPKHRGGWMNEDHDGNYHVNEWGSPSTPQTYKSNNSFHGNHDANSNGFSFPYEASSASNGYQHGFSRSRFGDSGNYGIGRRDYNLDN >cds-PLY71026.1 pep primary_assembly:Lsat_Salinas_v7:5:43084438:43084719:-1 gene:gene-LSAT_0X21380 transcript:rna-gnl|WGS:NBSK|LSAT_0X21380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNMPVSFMTLSYIHMLSLGQLDMVGIRQLCVLEDVDQTICDPTCGNDVGGNGVGVGGSVVQNVDEGAGGSARQNADEGAGGENESVGGEDVV >cds-PLY71955.1 pep primary_assembly:Lsat_Salinas_v7:3:26756729:26757919:1 gene:gene-LSAT_3X19441 transcript:rna-gnl|WGS:NBSK|LSAT_3X19441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTDATEVSATENSETTSDVLVQYVVLRRDLIDSWPLGSVVTQGCHASVAAIWSHKDDPHTIDYCSPTNLDSMHKVTLEVKGEVQLKNLSEKLKSNDIAHKLWIEQPENTPTCLACKPYPKSVVSLFFKKLKLCK >cds-PLY91282.1 pep primary_assembly:Lsat_Salinas_v7:7:188674779:188677279:1 gene:gene-LSAT_7X111621 transcript:rna-gnl|WGS:NBSK|LSAT_7X111621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLDLTHRLAKNGLTITIVVTPKNLPILNPLLSSFSNIQPLVFTFPPHPSLPEGVENVRDIGNHGNLPIINSLAKLQDPIIHWFNSHSNPPVAIISDFFLGWTHHLANKLRIPRIGFFSSGAFLTSTLDYVCNHMSLIRSRSQELITFHDLPNSPTFPWEHLPSIARFYKESDPEWELVLDGLIVNASSWGCVVNTFDALESPYMEYLTKITGHGRVFAVGPVSLLPDPDPTKSGIGFGCDVLSWLDDKPDGSVVYVCFGSQKFLTTEQMEALEIGLEESGIRYVWVVKPEQCGPVGTGSGRGIVIKGWAPQVSILSHPAVGGFLSHCGWNSVLEAIVAGVMILAWPMEADQYVNAKLLVEDHGAAVRVCEGNDTIPDATELARIIAESMSGEKSDKKIKAEELKYKAIQAVKDGGTSSMDLDRFISELCNIGPKMNVAGAISVLEISN >cds-PLY78464.1 pep primary_assembly:Lsat_Salinas_v7:4:262082327:262082872:1 gene:gene-LSAT_4X136281 transcript:rna-gnl|WGS:NBSK|LSAT_4X136281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRISGSETEYQSDMEDIRDWVSQPYPEEDEVVPHTPKEESDYDPTMPLPLPKYQIMMIHLKMERNPSRKRSNMTEILVECPQIVRLTHIHLPIRFLRRLMRRTLLSWSLPERLLLYYTHPQADPSTTMLWDPGL >cds-PLY89605.1 pep primary_assembly:Lsat_Salinas_v7:9:39884869:39887333:-1 gene:gene-LSAT_9X37260 transcript:rna-gnl|WGS:NBSK|LSAT_9X37260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRAPVAGLSIASTTSASLQDSSTRIGFCKIPTNRTSFFGSGVGALKIRVRLDHPRNTRCYGIRMNLFDRFARVVKSYANALVSTFEDPEKILEQAVLEMNDDLIKMRQATAQVLASQKRLENKYKAAEQASEDWYKRAQLALSKGDEDLAREALKRRKSYADNAASLRTQLDQQKGVVDNLVNNTRILESKIQEAKSKKDTLKARAQSAKTATKVSEMLGNVNTSSALSAFEKMEEKVMTMESQAEALNQLTTDDLEGKFAMLESSSVDDDLASLKKELSGTTKKGELPPGRTAVSNSKAAYPFPDLEIENELNQLRQRTRDL >cds-PLY87175.1 pep primary_assembly:Lsat_Salinas_v7:5:155064100:155064429:1 gene:gene-LSAT_5X67740 transcript:rna-gnl|WGS:NBSK|LSAT_5X67740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSEIVSFEDQSSSVIISNIKATQNLIVDLDASRYNALIKPLIECLRFSPLMKVMTMYEDVPLIHLSKAYSMSIYNKYDEIVSYEVSSHKTSISKANFCKFEGLVLLK >cds-PLY76414.1 pep primary_assembly:Lsat_Salinas_v7:9:126625338:126626262:1 gene:gene-LSAT_9X82361 transcript:rna-gnl|WGS:NBSK|LSAT_9X82361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSASNGSKTAKHRTLCGSKQRACCHQEGISTSSFRQKSENKHKITLREKPYHNLSNCLLNLAKRVFVFQASNASISSHVQAETDREAGGNKGVFDKQIRLKNFSPNVLDITLVDLPGTTKVPVGD >cds-PLY65893.1 pep primary_assembly:Lsat_Salinas_v7:5:38654583:38657687:1 gene:gene-LSAT_5X18720 transcript:rna-gnl|WGS:NBSK|LSAT_5X18720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 61 [Source:Projected from Arabidopsis thaliana (AT1G18860) TAIR;Acc:AT1G18860] MEVDHRKTTQMKQEDKGDDRHCKQEVVDDKEDEKQFELTKTEMGEVREENQRLRLHLDQIMKDYQNLQKKFQDILHQQQETTSSTPHVAPTNEQPQINDESELVSLSLGRTSSTELVKKDKIRSTTPPTKNAEGLGLGLEYGKFELSSTTQTESSVNPSPENSLDQEAKDEAGETWTPQKPSTPKRSADDQDVQQNPAKKTRVSVRVRCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVSPTCPVRKQVQRCPQDMSILITTYEGTHNHPLAASATAMASTTSAAASMLTSGSSTSGANSNPNTVTTSHGLNFYLNENSKLQPIYLPHSSISPSPSCPTVTLDLTSNTLSTSSPYSYRSPPMATTFPPRYSTTNLNFSSLESNALPISWSNGHLNYGKNQMGSLNFGSTQAQENIYHSYMQNKNLMATATANQHSLQPDTIEAATRAITADPNFQSVLQAALTSIIGGGGVGLQGGGEKSDQNIKLGEIFPVFSSFPSTSNTNKCSSSFFNKSTAATLSSQPAGSNSLPISNSRSKSTSPENSRDHLV >cds-PLY86953.1 pep primary_assembly:Lsat_Salinas_v7:5:266494750:266499605:-1 gene:gene-LSAT_5X137360 transcript:rna-gnl|WGS:NBSK|LSAT_5X137360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARSRSSSSIRWRYVNPAYYLKRPKRLALLFMAFVFVSFFVWDRQTLVREHEEELLKLKEEMERLQNELGELTQEGGVSVKKINTTTKRTDLTKTDSFVPDPIETQRREKVKDAMVHAWTSYEKYAWGHDELQPQSKNGVDSFGGLGATLIDSLDTLYIMGLDDEFQRAKEWVANTLDFNKNYDASVFETTIRVVGGLLSAYDLSGDNVFLEKAKDIADRLLPAWNTPSGIPYNIINLAHGNAHNPGWTGGDSILADSGTEQLEFIALSQRTGDPKYQQKVENVILELNKTFPADGLLPIYINPHRGTTSHSTITFGAMGDSFYEYLLKVWIQGNKTAEVKHYREMWETSMKGLLSLVRRTAPSSFTYISEKIGNSLIDKMDELACFAPGMIALGSSGYGPADSTKFLNLAEELAWTCYNFYQSTPTKLAGENYFFHSGQDMSVGTSWNILRPETVESLFYLWRLTGNKTYQDWGWNIFQAFEKNSRVEAGYVGLKDVNTGVKDNMMQSFFLAETLKYLYLLFSPPSVISLDEWVFNTEAHPLKIVPRLGLGLGLGLGSKQHKQPPTTTTRLRARREGRFGGT >cds-PLY97533.1 pep primary_assembly:Lsat_Salinas_v7:5:239644374:239646620:1 gene:gene-LSAT_5X118080 transcript:rna-gnl|WGS:NBSK|LSAT_5X118080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMITKAATTILYSLLKDGEKRALEDIISDFSSKIPKSMHNEILSALIMLLDDTHTPKFLKSTERLVAFALLHHTYSPLKSPSTNPYLSLLTNTANDEKTDTLERAFILHLLSSPSPVMKLSPADFITKFDPSSHRFPEPPPPPPENPHPKPFNSLLKNTAIKNAIPDPDISSPELEFPPPDTITGLIQTLSLEVLYPHWARPTPPRLPVLDGELVWVNPESTHEPLWDTGMCADTSRGAAVRELILKALKGPLAPSQQEQVLLELTSDPKLVYHCGLTPRKLPELVENNPLIAVEVLIKLMNSPEISEYFTVLVNMDMSLHSMEVVNRLTTAVELPTEFVHMYITNCISSCENIKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKTLE >cds-PLY65820.1 pep primary_assembly:Lsat_Salinas_v7:5:136167214:136172912:-1 gene:gene-LSAT_5X60580 transcript:rna-gnl|WGS:NBSK|LSAT_5X60580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTRSIHYVIVGAYRVTANGVESRLATLTFDTNEFNTFASLLANTEFRTISATPSSLTAELIEGLILLSNTLDVRRAILPAANGHFSARALARYYAALMDGGTTPTCHSSSSLPPLGSHPHHPTSPSNNQKYETNNASDEIKTKIFNTPKSKLHDAFLGNGDYKDLILPNAKFGLGFRRVKTTDGSVIGFGHEGLGGSTGYCDINNEFSIVVTVNKMYFMNLPTSEIIRFVCSELDLPVPEDHARSLK >cds-PLY84686.1 pep primary_assembly:Lsat_Salinas_v7:2:150441037:150443506:1 gene:gene-LSAT_2X78861 transcript:rna-gnl|WGS:NBSK|LSAT_2X78861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSKPIADSSEWHWWLLVAFIAVLVAGAASITIWRNYHQLQNKVLHLRTPPDGVMQKYSDALRISTQFFDVQRSGRLENNHIEWRGDSGLQDGKEEHLDLSKGLYDAGDLIKFGFPMAFTATVLAWSILEYGQHMEEVKELKHAQESLKWITDYLINAHPSDNVLYIQVGDPDVDHKCWERPEATTEKRPAIQVNISHPGSDVAAETAAAMAASSLVFKNQDARYSKSLLDHAQKLFQFADSYRAVYSESIPGIQDYYNSSGYSDELLWAATWLYHATGDGYYISYVTVMHGDAFADWGNPTWFSWDNKLAGTQVLLSRLNFFGLGKEISMVENLNLQMYRRTAEALMCRVLLPPPKNQKTKGGLIWVTEWDTLQYSIATAFLAVVFSNYMFTSKTPYLYCNGKLYESMDLREVAISQADYVLGNNPMNMSYLVGFGRNYPQYVHHRGASIPINTNTSCEDGFKWLNSRKPNPNLAIGAVVGGPFLNDTYIDSRNNSRQAEPTTYNSAFFVGLMSGLVTSTHVVRSFT >cds-PLY69947.1 pep primary_assembly:Lsat_Salinas_v7:4:67347304:67349840:1 gene:gene-LSAT_4X46681 transcript:rna-gnl|WGS:NBSK|LSAT_4X46681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRARKTPSPSSAPTYRSSSQRQSSFLKMKRSTSISTKSRKTDKNKYAYIPDNYSSLEQVTDALRASGLESSNLILGIDFTKSNEWTGRVSFNNRSLHAITDSPNPYEKAISIIGETLAPFDDDNLIPCFGFGDSTTHDQEVFNFHEDGSPCHGFEEVLTCYKRVVENVQLAGPTSYAPVVNAAINIVEKSGGQFHILVIIADGQVTRSVNTSDGELSLQEQKTISAIVEASLYPLVIILVGVGDGPWDDMRKFDDKLPTRGFDNFQFVNFTGIMSKDISPPHKEAAFALAALMEIPIQYMAVTELGLLGRVTGNTTRISPRPPPRPRGSTHVPHMNSTLPTQQDDDNKTCPICLTNDKDMAFGCGHMACRECGSRLSRCHICRQQISSRIRLYT >cds-PLY87305.1 pep primary_assembly:Lsat_Salinas_v7:3:146508120:146509148:1 gene:gene-LSAT_3X95381 transcript:rna-gnl|WGS:NBSK|LSAT_3X95381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYRMTSDRRKGAAISSFSDLPSLFPFNQNPIRSRSNPNSPSVPSATSTTTQLLYQRNRVKSKSKELNHICTTTDGGEFQFCGN >cds-PLY90266.1 pep primary_assembly:Lsat_Salinas_v7:8:14024723:14025467:1 gene:gene-LSAT_8X9800 transcript:rna-gnl|WGS:NBSK|LSAT_8X9800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDYQTYNPQHHVGGGVGGMHQQQYTNTSPRAHQAVKAATAATAGGSLLVLSGLTLAATVIALTIATPLLVIFSPVLVPAAITVFLLATGFLTSGGFGVAAVTVLSWIYKYATGGHPPGADSLDQARDKLGYKAREMKGRAEQATGMGHHQRADL >cds-PLY81706.1 pep primary_assembly:Lsat_Salinas_v7:3:33531078:33531898:1 gene:gene-LSAT_3X24981 transcript:rna-gnl|WGS:NBSK|LSAT_3X24981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGVHGYRLGRSDGGVRLELTPTTSSPLAIDISESTEMRIQRLITENPVIIFSRSACCMCHVMKRLFSSLGVYPTVIELEEDEIDALSASQQDGGGGGETVAPAVFIGGTRVGGLESLVGLHLSGHLVPKLEEVGALAL >cds-PLY73767.1 pep primary_assembly:Lsat_Salinas_v7:3:138211088:138212205:-1 gene:gene-LSAT_3X91620 transcript:rna-gnl|WGS:NBSK|LSAT_3X91620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNSPCAACKFLRRKCTQECVFAPYFPPDHPQKFANVHKVFGASNVAKILNELNTTQREDAVNSLAYEAEARLRDPVYGCVGLISVLQHRLKQVQSDLHNAKLELSNYIGPSAMLPILNPGFIPQIGNMPTSSSVPVLPYNMQPIFRDAQPQHHQQILEAQQQQLVAVVNSREQQEMLRSYEQQQQQQHQPPPPPPPPPSLQQQQPGELVRFSGGFDAGSGGGGFSPMTAQAAMSTLALGNSYVNNNMYQIQHQQPPQEHHHHQLHVQPHQLLLPQEAQQPPLVVQRAAKEEDRSIAPSC >cds-PLY70664.1 pep primary_assembly:Lsat_Salinas_v7:5:71231920:71237737:-1 gene:gene-LSAT_5X33240 transcript:rna-gnl|WGS:NBSK|LSAT_5X33240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPEQQPSQEISKKAAKKEAAKAEKLRRRQEAAAAAAAASGVAGVSIDSPDPLAANYGDIPMEDLQSKAISGRVWTTVSALTEELKDRTVLIRGRVQTIRALGKNMTFFTVREKGYTVQCVLVAPGIVEVQVRKIYCVNRAAAILPINVEDASRSEAQIEKSLETGEKFVRVNQDTRLNYRILDLRTPANQAIYRLECQVSNLFRQNLLDEDFIEIYTPKLTAGTSEGGAAVFKLDYKKQPACLAQSPQLHKQMAICGDLGRVFIVGPVFRAEDSYTHRHLCEFTGLDIEMEIKEHYSEVMDVVDRLFVNMFDKLNERCKKKLEAIRKQYPFTPLKYLRETLRLTFEEGIQMLKDAGIEVDPLGDLNTESERILGKLVLEKYGTEFYILHRYPLAVRPFYTMPCPDNALYSNSFDVFIRGEEIISGAQRVHIPELLEARATACGIDVKTISSYIDSFRYM >cds-PLY90500.1 pep primary_assembly:Lsat_Salinas_v7:1:206165393:206165713:-1 gene:gene-LSAT_1X125700 transcript:rna-gnl|WGS:NBSK|LSAT_1X125700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDVVQESNLDVNCETGDQESELEDNSDEVLEEELGKGVGVQQDEIKAQFDFDDDYLVVIKKDIFETAPDKDDLRQAMVYDGRSKRKRIIEWMKGVDKVKNSQESM >cds-PLY89678.1 pep primary_assembly:Lsat_Salinas_v7:3:184710483:184711397:1 gene:gene-LSAT_3X110920 transcript:rna-gnl|WGS:NBSK|LSAT_3X110920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTFKALLDAKVNRNRLSSHSKVMKKFPIASQKMVTRKKDYLDENVDKVDFMVNSRDHPIEIDDDEVSEEKEFRSGEVKKENYLSDSDFEVQSITRNRKLVNISDKKFSKRIVYEHDFSSDSDFEDGTSNSVKRVDKIADKKVKKNGVELC >cds-PLY83082.1 pep primary_assembly:Lsat_Salinas_v7:8:234847137:234850360:-1 gene:gene-LSAT_8X142140 transcript:rna-gnl|WGS:NBSK|LSAT_8X142140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAAVDTISAYQPIKDGSSIVSEGDTFELGFFSPGESKNRYLGIWYMKISPLTVVWVANREKPIIDTSGMFELTKEGTLQILSGGNPIIWSSDLIVSTNNINPVAQLLDNGNLVVWENSRKENLIWQSFDFPGNTLLPGMKIGKDLTTGRESYLSSWKSPDDPSIGLYKLWLDPNGYPQLFMKKGQVDHARVGPWNGLWFRGRPFENTGPIFLIEFTVNEKEMYYRFTLKTSVFFRMIIMHDGIIMQSNWVERTQEWAVYGNIVVDTCSLFGRCGPYGICTLENPICSCIEGFEPRVLKGWNQGDMSDGCKRKKPLNCGTKDVFHKISGVKFPDTRHSSYNVSMSHEECEKACRRNCSCTAYADLDIRNEGSGCLLWFDDLMDIRKYDDHQELYIKMATSDLQEKGRSAFNKKKAVVIIALSVSSAAMLVSAVAYACRKKMKRPHKKGRGNRWQTFDKDKVKMENFGELPFFSMYRIAKATNNFSIDNQIGEGGFGPVYKGVLEDGKVVAIKRLSETSQQGHEEFQNEVICIAKLQHRNLVKLLGYCIHGNEKILIYEYMDNKSLDSFLFDETRSSMLDWPQRFNIIHGMARGILYLHQDSRLQIIHRDLKAGNILLDNQMNPKISDFGLARKFVGEDATAKTKKVVGTHGYISPEYAIHGRFSIKSDVFSFGVLVLEIVSGKKNREFSHGTHSDNLLGHAWRLYKEGKSIELMSVSLRNSCVVSEIQRSIHIGLLCVQHHAEDRPTMLSVVLMLISDGVLPPPKQPAFFTEESNDLLNSVSSLDDEYMITLLYPR >cds-PLY81722.1 pep primary_assembly:Lsat_Salinas_v7:3:33338778:33340837:1 gene:gene-LSAT_3X25161 transcript:rna-gnl|WGS:NBSK|LSAT_3X25161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENRVQEIIEQQVLSVAKAVEDKIDDEIAALEKLDLDDIEVLRERRLQQMKKMAEKRSRWISLGHGDYSEIFSEKDFFTIVKASDRVVCHFYRENWPCKVVDKHLGILAKQHIETRFIKIQAEKSPFLAEKLKIVVLPTIALIKNAKVEDYVVGFDELGGSDDFSTEELEERLGKGEVIFFEGESSLKPSKKPQTRNVRHGSNSHLSDSD >cds-PLY68917.1 pep primary_assembly:Lsat_Salinas_v7:2:193543484:193545226:-1 gene:gene-LSAT_2X114201 transcript:rna-gnl|WGS:NBSK|LSAT_2X114201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYSGEGSGSATSPMAISTAIASSFSQSSKTSTNTSPQLSPTSVLTHPPPSSPSSPPHPVVLSPCAACKILRRRCVDKCVLAPYFPPTEPLKFTIAHRVFGASNIIKLLQELPESQRADAVSSMVYEANARLRDPVYGCAGAICQLQKQVSDLQAELAKAQAEVLNMQCQQSNLLSLICMEMDQPPPPPPPPYQTAYNENNLNFFPDDVNLANVFEPLWT >cds-PLY81662.1 pep primary_assembly:Lsat_Salinas_v7:2:25463451:25470793:-1 gene:gene-LSAT_2X14340 transcript:rna-gnl|WGS:NBSK|LSAT_2X14340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPFKSSPSSSSYVPTGRWTHEVFLSFRGEDTRNNFVDHLYTALSQRGISVFKDDQALDKGKPISRELLKAIEESKLAVVVFSKKYADSSWCLDELVKIMECEDQMELMVLPVFYHVDPSDVRGQKNDFDTAFQEHEDKFKGEMEKVKKWRKALAAAAGLSGWHIKETGNGQICRGESAILGDIVANISKSIQPRDLEKHLFGIESRIDELYPLLDMKARKKVHMVGILGMGGIGKTTVAQALFRRIKHNFEGYSFVKDVRENSYSKKDVCALQQKILREILKQMAPFGKVSVGYPTVDPEYGANMIRERFCHKKVLLVLDDVDNDKQLEFLARTHEWFGPGSRIIITTRDEHLLSDANVIYRPDFLSKSDAAELFCWHAFRKSSPPEGYEEFSDRAICYASSLPLALKVLGSFFHGRQLSVWESALNRLGKGSIDKIHETLKLSFDGLDASEKQIFLDIACFYKDQNEEYVTRVLDSFGFDPVIGISVLIEKSLITVSNKRLHMHDLIQEMGWQIVSESFPDSRVWKSEHIRKIIKEKKQKLKAIEAMMMADNAHHVDAYVLASMQNLRLLDIDGKFTSTQPKFLPDELIWLCWTKYPFLTLPLTDMCKLVGLEIANGGMKQLWKGRKILRNLKFIHLEVMEKLTSFPDVSESPNIERIILSGCSSLVEVHESLGSLRRLVYLDMNDCKGLKRLPSRLEMESLETLILSGCKSIERFPEVSPCMVKLSQLDLSSCYTIKELPSSIRYLSSLSLLNLTDCWNLDNIPNSICELRCLKFISLHNCMNLKSFPKELGSMKMLEELWLGFMCNILVPHKSVGFHSLTSLSSLKNLNLSWREIEEERFPQNLDELSSLEELYLSGNHKLVKLPSSICHLSRLKRLELNECPGLKRLCGLPSSIQVLKANDCISLEKIGDLSKEGDWLYKIWLSHNKKLLEDEENQRYLDNMLQLSFIKKCAAVNHRLSITIPGSMIPSWFEKQIDGCRIVLKLPQKWHTEILGFVVCGVFTYQWWRFRHPRIIFRITKHGAAIPKPEVNATETAENTNLWISYIPLGVFQQIYHDIQPEDWSHIQGNLDMTVTLGYDVESVRCGAHVIYKKDVQQITTLISDYVDVVHVDDKDLGYDEIISGNFRIYDEKFDTKSLMPLRSRTSARRNTEHIFSFSPSFLDGGIWSFYSKARCKEDSSVGIDKHEGLIFFLSSFS >cds-PLY86759.1 pep primary_assembly:Lsat_Salinas_v7:4:296620631:296625423:1 gene:gene-LSAT_4X147480 transcript:rna-gnl|WGS:NBSK|LSAT_4X147480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSLTSSVHKSFKYDVFLSFRGEDTRTNFVDHLYHALQQKSIHTYKDDEKIKKGKKISDELIGSIEDSKFYIIVFSKNYASSSWCLDELVKIMECHRTTTEHTAYPVFYDVEPSEVRKQSGAVGEAFAKYEMEEAAETWKVALKEAADLSGWELKKTADGHEAKVIQRIVEEISLELRTISLNIDRKLVGMETRIKNLVPSIGIGCDDVRMIGIKGMGGAGKTTLARAVFDDISYQFEGKSFAENVRENASLLGLKSLQKQILSDVLNDKDINVSSVYDGKDMMKRRLPHTKVLVVLDDVDHIDQLEALAGEPNWFKPGSRIIITTRDKQVLIAHGVKFIHDVNLLYNKEAICLFSRHAFGRDIPVQGYEELSKKVVRYAAGLPLTIRVLGSFLCGKDELEWIDALERLKTIPETETLKKLELSYISLEEDYKEIFLDVACIMKGWQKDKAIKALESCGFRARNGLKVLQQKSLITIYKNFYDHIGMHDHIVEMGRNIVRRGHPDKPQRHSRLWIEDEIEEILANDLGTEATRCIQFHTIRFNPHIFIKGLRKMKELRFLSIRGYCSSDLEFSTVVPDFPNALRCLDWTNYPFRSLRTTFQANNLVALKVDGSRIVQLWEGGERKALNKLRFLDLSSSLLSTLDIGLAPNLEELILYECKYLEKLHLPERCLNLKRLLLTNSKLRTLEIGLTPNLEELYLERSCCLEELHMVNECQKLAELQISHSNLRTFDLGVTPNLKKLDLKECRKLVELHTPIGGLKQLVHVNLSGCLRFSSFLFQINYHTACSVDESLEVGPLAKLHLIVESIERCQLHPDSNLPKFQFRCFYTEDRPSLTRNLEMLFSVGMCACTNLETFSRSIYGLQRLRELELKGIFLEAIMDIEQLESLEELILLSTKIKHLPDSLCMLKHLKYLKLYDCSLLEKLPEDLGQLECLKKLRLTDVKIIKHLPDSICMLKRLKYLNLYNCSLLERLPEDLGQLECLEKLHLTDAKIIKHLPDSICMLKRLKDLRLCGLLLEKLPEDIGQLECLEELNLMGCERLQDIPNSICEMKRLKYFFLDDCIRVKKLPEELERLECLEVLYLDGTIISQLPLSILLLNGLFISGSRQLLESCGFTSEIQALSSYCYIRLPIMQAPTAGVSSTLLQG >cds-PLY67659.1 pep primary_assembly:Lsat_Salinas_v7:8:261342564:261343601:1 gene:gene-LSAT_8X152321 transcript:rna-gnl|WGS:NBSK|LSAT_8X152321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSLESSTTIKFLYSYGGKILPRYPDGKLRYHGGQTRVLAVDRSLSFTELLAKFGELCGSSVSLRCQLPTEDLDALVSITSDEDLVNLIEEYDRQQSKSLKIRAFLSLPRRLSPTPSTASSAVGSSSSTSTATHEAGSPKSPLSSTSYSVARFPVTAANRCVYPLSKPQVKLPFRYNNSAGKLPYQAYGSSSRYYLVHNGNHWQ >cds-PLY75715.1 pep primary_assembly:Lsat_Salinas_v7:5:136833045:136834180:1 gene:gene-LSAT_5X60160 transcript:rna-gnl|WGS:NBSK|LSAT_5X60160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVWIADIFPLNIPISGDHNLVVNTYIRDLIITVGGKTDEELHLKETNIRWLTHDCISTATDSFSNQNNIGEGGFGLGTLDGNEIAVKMLSPKSSQGIKEFEHEIKVILNAKQKNVVSVRGYCVHGKELILVYEYMHNMSLVYHLHVRGGAKLGDTKEHHSNGCKRLIKYSPGF >cds-PLY97643.1 pep primary_assembly:Lsat_Salinas_v7:5:235152000:235153447:1 gene:gene-LSAT_5X115221 transcript:rna-gnl|WGS:NBSK|LSAT_5X115221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAAKKKLDEDDAPPKYQSVALILGVTGIVGNSLAEILPLSDTPGGPWKVYGVARRPRPQWNADHPIEYIQCDISDPEETLTKLGGLQDVTHVFYVTWANRSTESENCEINGKMLKNVLDAVIPNSPNLLHVSLQTGRKHYIGPFELYGKVAHDPPFHENLPRLDAPNFYYTQEDILFKAVEQKEGLTWSVHRPGTIFGFSPYSMMNIIGTLCVYATICKHEGVPLKFPGTKEAWDHYSDASDADLIAEQHIWAAVEPYAKNEAFNISNGDVFKWKHFWKVLAEQFEVENGGFDEGGEKMSLVEMMKDKGEVWDEIVREKGLQATKLEEVGVWWFVDVILGGECMLDTMNKSKEHGFLGFRNSKSSFVSWIDKMKGYKIVP >cds-PLY91359.1 pep primary_assembly:Lsat_Salinas_v7:8:47002650:47005934:1 gene:gene-LSAT_8X36021 transcript:rna-gnl|WGS:NBSK|LSAT_8X36021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRGLIPLIKKLKNSNRRARNDFLYVDRVHWQKKMRVYLDHLVNHLKKMMLGYENVEVKGMINKMEVLARGLFDSHDGFEKSWMDTILEITEELCNIRYGSNVEGEEIVGFDDDVETLLDQLTQTSIKRFQVISIVGMAGIGKTTLARKLHNDPLIEYHFDIRAWTCVSQIYVKRDLLLGILTSFIYDLTEEIHKMSDEQLGEKLYRLLKGRRYLVVLDDIWDFKAWNDLQIYFPDDKVGSRVVFTSRDIDISLHVGAARASHVLRLRTTDESWDIFQMNVFRSGICPSYLEPTGREIVRKCEGLPLAIVIASGLVKNQSSYKPSWWKQIALSLRSFMDRDPRQYMDTLSLSYNHLPPHLRWCFLFVGVFPEDYEIPVTKLIWLWIAQGFIHDQGGSRILEDVAKDFMMDLIRRSLLMIAKKRDDGQIKACRIHDLLRELCLRKAKEETFSSEVEVYRYAPVEYFSTSGTISSGLCYPFELGRVLHDGGLVLSETYKSLRILDVESIQIALFPSDVVQLVSLRYLAIQAHQGSPQESISNLVNLQMLIISSRKNVVLPKTIWNMVNLRHLYIKSGENIIEEPCFVQASTGTDDCGSGLGSLQTLSQVSPESCHNIFSKTPNLRKLGFCGPLISSLGDLEFPNTSCLQNLKELKLFNTVTYPEPTRSCNPIMFPEMLKKLTLSNIGMDWEEMWTFSLLPNLEVLKLKFNACIGERWETSDAEFARLKILKLQGLELREWECSKDNFPCLQRLVVHHCLKLKSIPLEVGRILTLELIEVQGCNVSANASALKIKEEQESEGNSFLSVHATKNVSRNLTFPAHNINTSYIRLMASLLRTDS >cds-PLY78971.1 pep primary_assembly:Lsat_Salinas_v7:3:70591417:70595194:1 gene:gene-LSAT_3X55381 transcript:rna-gnl|WGS:NBSK|LSAT_3X55381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPTYIPPPLPEKSPPPPPPPPQNPHTPTAIIDASPVDCYQKDDPSMNGNTNNFKALVSKLTERLLPDNQSLIERRLDDIFPDEPRVPDHPPYADMILTALWELNTEGGSSEEAISNFIKKEYHELPWAHATILNHHIRDLHMKGKIVMTHDRTYLRSLPSSSSTSSSISSPSSSSSSDSDWPSPTSSSSSMGLGLPHRKHKKKKRERKKGRRVKIVQVRGRGRGRGRGRGRGRKPMMIQVTSDQNEATDMEVEEDDSDGKKKGEVVGLRRSGRRRNSVSEEQRVGVKEEYQQQSDDVINSDGDEVDEYVECIKHEEERVVRNVEHEEQETEVNQQPIHLQEQCVEETNKKLESCGQDLLKNESTEEQPQHEEQESEANQEPIIPQEQCVERTNEEQQTEVNQQPIHLQEQCVEETNEKLESFGQDCLKNKSTEEQPQHEEQESEVNQEPMIPQEQCVERTNEELESCCQDLLKNESTKEQPQHEEQETEVNQKESGVVVMETDEQIDPQIEVEVFEDGNQEEQLNKVNEKEEQGDVKNEETVITSELQPKRKESKPRKPVLKVVLGLMKVERRSQDDTVVGKSRPQTPLKEGVVRKRQRKTPSKEGVIGKSQPQTPLKEGVVGKSQPQTPLKEGVVGKSQPQTPLKEGVVGKRQRKTPLKKGVVGKSQPEKPLQEDVVGESQPQTPLKEGVVRKSQPNTPLKEGVVGKSQPQTPLKEGVVGKIQPEKPLQEGVVGQSQPEKSLQEGVVGKSQPEKPLQEGVVGKSQPEKPLQEGVVGKSQPEKPLQEGVVRKSQPQKPFQEGIVVKSQPEKPLQGGVVGKSQPEKPLQEGIVGRSQPQTPLKEGVVGKSQSQTPSKEGVVGKSQPQKPLQEGVVEKSQLQTTLKEGVVGTSQPQKPIQEGVVEKSQPQKPLQGVEKTEKKRPVRQGLRSSIKKQETTPIPKVPLHFYRTNEGTNYRENYYVFG >cds-PLY99785.1 pep primary_assembly:Lsat_Salinas_v7:9:52417308:52418710:-1 gene:gene-LSAT_9X47180 transcript:rna-gnl|WGS:NBSK|LSAT_9X47180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNFSLASSTTYYCSTFSEKLWLTRKLSPPPPPPPPPPPAPPQPPPDSSAYKTDLDEIENNSDLGPTRIEYKDKGKIFVGNLPWWITKNELEELFRQFGPIKKVISIKRYNDTERNMGFGFVIYGGTTAENSAMKAVEFDGMEFHGRILTVKLDDGRRMKEKSADRARWIEGDDTVDYKSKWEEERHVSRKELKNVLGTQPKN >cds-PLY92512.1 pep primary_assembly:Lsat_Salinas_v7:4:322547938:322549474:1 gene:gene-LSAT_4X160661 transcript:rna-gnl|WGS:NBSK|LSAT_4X160661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRNYGGGRRTSLSIASDAFLFFSGAVAAMLLVWTFSTFSNHQPTTNFETQTLEPKSSVSVVDNVDCERTSNGLNLHYDPPEQNFYDDPSLSYTIDKPIKNWDEKRREWLKLHPSFGPNSDERVLVVSGSQSRPCSNPIGDHLLLRFFKNKVDYCRIHGYDIFYNNVLLHPQMHTFWAKIPAVRAAMLAHPESEWIWWVDSDAAFTDMDFKLPLHRYKDHNFVVHGWPELIYRKKSWTSLNAGVFLIRNSQWALDFMDVWSGMGPQTPDYEKWGEILRETCKDKSFPESDDQTGLVYLLLKEKEKWGDKIYVEGEYYFEGYWLEIVETLENITAKYNGVERGARRLRRRHAEKVSEGYSALWEKYLKDAGYGKFSWRRPFITHFTGCQPCSGQHNQMYSGQSCWDAMQKVLNFANNQVLRNYGFVHRDLLDSNSVSSLPFDYPA >cds-PLY82759.1 pep primary_assembly:Lsat_Salinas_v7:2:144748008:144751730:-1 gene:gene-LSAT_2X71481 transcript:rna-gnl|WGS:NBSK|LSAT_2X71481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAVTNTVAISCAIAVILCAWHLVNILWVRPKKLEKHLRNQGFNGNKYRFLYGDMKEFSLMVKESKSKPLSLDDDDGVLKRVVAFTHHSLQKHGKNFITWTGWKPRVTIMDPDLIKEVFVKLNDFHKLEPSPMTKFIATGLVTYEGDQWTKHRKLINPAFHMEKLKNMVPAFQLSSSEMIGKWEKLISSKGSCELDIWPDLQALTSDVISRTAFGSNYEEGLQIFELIREQSVLVQEAVMSVYIPGSRFLPTKRNRRMNSIDRKVKHSIRGIINNKLKAMEAGEGNNSDLLGIMLESNIREVNEHQNKNHGMTIDEVIEECKLFYFAGQETTSGLLVWTMILLSKHQEWQSRAREEVLNVLGDKNVDLERLNHLKVISMIFYEVLRLYPPIVGLFRRVDKDITLGGFSLPSGTHIELPIMNIHYDEKMWGTDAKKFNPDRFSEGISKATKNQVIYFPFGWGPRICVGQNFALIEAKIALAMILQKFSFELSPSYIHAPHKLLTLQPQYGAHLIIHKL >cds-PLY87495.1 pep primary_assembly:Lsat_Salinas_v7:8:96745558:96749401:1 gene:gene-LSAT_8X67840 transcript:rna-gnl|WGS:NBSK|LSAT_8X67840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRYIRTGSFKRLFSMKRHSLEDLPKECIFVKQDSFNNGETESFKKPTWKCFSFEEIYVATNGFSSENMVGKGGYAEVYKGVLEDGQTIAVKRLTQTSCDERKEKEFLTEIGTLGHVNHPNVSSLLGCCIDSGLYLIFQFSSKGSVASLLHDENLPVMDWNTRYKIAIGSAKGLHYLHKVCPRRIIHRDIKASNVLVTKDFEPQISDFGLAKWLPSQWTHHSIAPIEGTFGHLAPEYFMHGVVDEKTDVFAFGVFLLEILSGKKSVDGSHQSLHGWARPILNQGEVEKIVDSRLEGKYDYLQLNRIAFAASLCIRASSTWRPTMSEVLEVMIEEEIDKSKWKMPDEEKEEHDEFWGFEDLEFESCDSSRESTFSASLQDSISTKSS >cds-PLY75475.1 pep primary_assembly:Lsat_Salinas_v7:7:71789622:71791119:1 gene:gene-LSAT_7X51920 transcript:rna-gnl|WGS:NBSK|LSAT_7X51920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTSSKLKREFIKKWFKGLQICCSSKKMDVLERKKKIKFCADIAMASAKNATTSWSNAVISDAMKYEENSILMDNLLSHKSRFIPQKTAAVCKMIALHKRFRSKKILKKCCSVAQRAKKTFPPTSNLATYIAKRLVKKRTQVLKRLVPGGEAMDEFSLIKEALDYILSLRVQVDVMRNLVNATEVLNESNSPIVD >cds-PLY70432.1 pep primary_assembly:Lsat_Salinas_v7:1:76703160:76705053:-1 gene:gene-LSAT_1X63941 transcript:rna-gnl|WGS:NBSK|LSAT_1X63941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIISSHEQAFYDATSCRKDGNAPGTRYTCTNTTLTKMSCQTFLIFRSNRQFPTISTIATLFGLPPNTLLELNHIDSSSEVLLMDREVLVPLRCSCSDNFFQAKINYTIMENTTLSDIACGVFEGLVKTVTLLEENQNQEVYKVGFVLNVPLKCACPNNGYKYLVAYPLVSRDSVKPISTKFGISKDDIFAVNNLNSDTTVYPETTILVPLHSKPMINFNVPSFQPPTPGFYPTNPTEGNVKSMKLKKVYIVVSVIGSGLVVAILIVTCLYINALNKCRRDIFIIPSTLRSSFNSFSTPPISSPKSPITRSSPSSCLSPDLLAGIKYSLYNFKIEEIRRATRDFSEDCIVSEDIYRGSFDDVQVLIKEIEFSEIRHVIDLHSKINHVNIMKLLGVCYNESSWSYLVLEHPSNGSLRDCLINSSSLTWTRRTQIAFDVAMGLHYLHYCIANPYMQTGLCNESIFVTSTWRAKLAVLSGNTNPCNVGSSGSEYENILEFGMILLEILSGKVNTDKKSLRDSIGFLGGEGSGGGCFEHLRGFMDPCLNEDYPLAEALCLGVLAKACVEFDPLHRPSVEDILKVLARMV >cds-PLY70907.1 pep primary_assembly:Lsat_Salinas_v7:9:15465211:15466144:1 gene:gene-LSAT_9X15021 transcript:rna-gnl|WGS:NBSK|LSAT_9X15021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDKRNIQDQQRRLLAEKYELRRKLYKAFSKDTDLPNDVREKHRCKLADLPRNSSFTRAVNRCVFTGRARSVYQMFRVSRIVFRDLASRGLLHGVKKASW >cds-PLY76626.1 pep primary_assembly:Lsat_Salinas_v7:5:223496402:223502044:-1 gene:gene-LSAT_5X105860 transcript:rna-gnl|WGS:NBSK|LSAT_5X105860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANALRLRRSLALTSSIFNHKNFFSVLPSVAPSSSPSITQQNPSIFDVKQSKPSNLSFQFISSRAFSSRPRHNNVDMGGDQINPDDVLFEGCDYEHWLITMDFPKDPKPSPEEMVETYVQTAAKVLGSVEEAKKKIYACSTTIYHGFQIQVSEEVSEQFQGLPGVVFILPDSYIDPVNKEYGGDKYINGTIIPRPPPVQYGRQGGRYSDRNRDYNRPPRGGMGQNGPPGGQGDYAGDRRGPMPSYQSNYNQVDGRNVDPSKQQVDYPQMDPRNSTFKQPERGYNQGGGGSYRQGTEGQYGQGGGGGGGGGYGGQYRQPGGGSYNQAAGGQYGQGGGGGVGNYGQGGTGQYGQGGGGGGSYGQGGVPGQYGQGGGGGNYGQGGTGQYGQGGGGGGQFGQGGGGIGQYGQGGQGGYGYGENPRFSQVGQRRDNYEVVEEAEAFKMKQQRAELGGVFLDQIPSLTNAGMRRSRHNNALQVHDDWPIRKALTLSDVDITHPFLTLPRQPVETYILVHLTQLERDHLLNREQVAINAQDDDTGDLYVMKLKWRGSYYNLIGKWGRIVRSKGLDVGKEIKIRWFNGCLHFSVPQQQVLAIPPLQIIPAPVPHHDQWPIRKVLTLSDVDTNHPFLPLARRSVEDHILVHWTPQQRELLRNEEQVNLNARDVDTGDIYVMKLRWRGNYYNLIGKWGKIIRSKGLGVGKEIKIRWANGCLHFSVPYEQTVVPTTIPVIHHHHHQEEWPIKKALTSSDVDTNHPFLTLPGKSIEDHILLYWASQAREQLRNELQINVNARDYDTGDLFLMKLKCRGSYYNLIGKWGQIIRGKGLQVGQEIRVRWDNGYLVFSVPQ >cds-PLY65872.1 pep primary_assembly:Lsat_Salinas_v7:4:85457309:85461723:1 gene:gene-LSAT_4X57101 transcript:rna-gnl|WGS:NBSK|LSAT_4X57101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKRGLDPSSSEEGQPDKKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPSKLNGRVSPKRIEGPDGRDLQLQFRSKLSLPLFTGGKVEGERGAAIHVVLIDANTGHLVTSGPESSVKLDVVVLEGDFNNEDEEGWSQEEFETHMVKEREGKRPLLSGELQVVLKEGVGTLGELSFTDNSSWIRSRKFRLGLKVSSGYSEGIRVREAKTDAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKKLNKAGIFSVEDFLRLVVRDPKKLRNVLGSGMSNKMWDVLVEHAKTCILSHKLYVYYSDDVRNVGVVFNHIYELSGLVAAGHYYATDALSDDQKVFVDTLMKKAYDNWMHVVEYDGKSFLGAHQEKTAAPQIDMGIGQQSYSNSFDHQQLTLPPPAPQQQQQQPALNPGVTTGGYDGTTSHNMNLNAPTQFDSSSFTLINSSQHPPRNDNNILALGLTQPSTPGFLSGPTNTLNLSSSFRGLDDYFPEEEIRMRSHEMLENEDMQHLLRLFNMGSGQTPGGHGQTSGGSHVNESYYPYSSGYVPNTPSNFGYGFDVDKTRTSGKAVVGWLKLKAALRWGIFIRKQAAERRAQIVELEDP >cds-PLY73764.1 pep primary_assembly:Lsat_Salinas_v7:2:94859028:94859819:1 gene:gene-LSAT_2X41761 transcript:rna-gnl|WGS:NBSK|LSAT_2X41761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLRLLPVLPPELRPIYHIDEDKLVTSDINEIYRRIIYRNNTLTDLLTTSIATPEELIISQEKLLQEAVDALLDNGICGQPMRDDHNRVYKSLSDVIEGKEGRVRETLLGKRVDYSGRSVIVVGPSLSLHRCGLPREIAIELFQAFVIRDLIRKHLASNIGVAKSQIRKKKPIVWEILQEILDDHPVLLNRAPTLHRLGIQAFLPVLVEGRAICLHPLVCKGFNADFDGDQMAVHVPLSLEAQAEARLLMFSHMNLLSLLLPR >cds-PLY95091.1 pep primary_assembly:Lsat_Salinas_v7:1:94714719:94724948:-1 gene:gene-LSAT_1X78460 transcript:rna-gnl|WGS:NBSK|LSAT_1X78460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNQGPLRPSSPVVAHEVVTPIQIDVGEGDLGLALTLYKSATPEFSRSKGWKHSKGASSCSRKLNLDWEGGEKTMASGEVDKGKGVEGFADEFVVVDAEKELNKEIDGNEELGMNKSNGEGVEGFADGGSHQYDSGSFGGQSLDGSFRKTSSVISSRSASSISTSKRALKAAKECAKNLTDIDLFSQHLEEWINENSHGFTSPFQLEDLQTLDFALESVLFQQLHRMPISHNSKELEFLALEDFLHAISDSLWHTFWDEKGSSPYSILCPRYSGSKFYTVEKAISRRRLDSLCGSALVLRNEADPHVRWDQVVQFALFRPGTGIRIGNESDSTLSCSSISEALFYGARILLSRMLSKYGTGSSDSVYVLVLDSDSGGVVKLEGDLGKLDLTSGNPNPYFSMVNWITNHAEVNVSSVDRIWNKLGNANWRDLGCLQILLATYYSISQWSGDAKRTITSLASDHALRLHQRRVERRLVEGEKSIIPFCNEPEIVKFDEKCDHDNRLKLEQGEVLELEDLRNQDDRNHRSFQVNGLINGWNGCCYTAFSIEFPTEPLMLYVGAHPTRLEPSWEDMSLWYQVQRQTKVLNIFRDHGICSKNLPQIVDSGRILHSGTCTRPNPKGRCDHPSCGTPILIIHPTGDPLSTKTIPFTSHDAIRCCRDCLSALKSAKSANVQHGDICPENIIKTNDLYILVSWGRAVLDDKDTCPAVNLQFSSSHALQHGKLCPSSDCESLVYLVYYLCGGKMASQQEADSIESALRWRQRLWAKRVIQQYLGEVSALLKAFADYVDGLVGTPCVVDYDVWVDRFNKVVDGVGNRGKVVEEVVRVEDVGESSGISENG >cds-PLY88763.1 pep primary_assembly:Lsat_Salinas_v7:4:169289432:169289611:-1 gene:gene-LSAT_4X100260 transcript:rna-gnl|WGS:NBSK|LSAT_4X100260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIKRGKIAGKALQNLMFHHHNNWTSFTTNHRSHDVSFPSPALHEYEFSCSNSPAPTSY >cds-PLY79825.1 pep primary_assembly:Lsat_Salinas_v7:8:18296775:18305584:1 gene:gene-LSAT_8X14380 transcript:rna-gnl|WGS:NBSK|LSAT_8X14380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENNHQVTDTKSPLQPHVLIFSLPFQGPVNCALKLAELLCLSGIHVTFLNTEHIHRPLLLHTHVVSRFNRYPNFRFETIPDGVEHEKPVSADRFMEVMEGIDTVSRPLFREMMVSGSLSSRSVRPVTVMILDAFLSFGLDVALETSIPVVFFETVSPCFLWTSCFNLPTLIEAGDVPFQGGNLDELIKSVPGTEHIIRRRDLASFCRSDDLSEPIIDLLLNEARTVPQAQGFILNTFEELDSLILPHMRKLCPNIYPIGPLHSLHKARLREKTTLMSPKTTFSNSVWKEDRTCVSWLDKHAPKTVLYVSIGSLATITVNQLLEIWHGVVNSGKPFLWVRRPGSITGGYEDSQIPTELLEHTKEIGCIVDWSPQEHVLGHRAIGAFLTHSGWNSTIESIAEGVPMICWPYFSDQQVNSRFVGQVWKLGIDIKDTCDRLIIEKAVKDVMETGGNMFRPCADGWKILAKESVTEMGSSSMNFDRLINDIRVMSSATKLSG >cds-PLY80453.1 pep primary_assembly:Lsat_Salinas_v7:4:175856899:175858607:-1 gene:gene-LSAT_4X103461 transcript:rna-gnl|WGS:NBSK|LSAT_4X103461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLSYSATNSTCLNSNGVNFTNAFGSAANTFGSATLFVERKREPGKLALLYEVDVLSWSSIDFVYKYHVSTMNSFGSVLLQSPSTLDIDQRDMFSLYLFAGPHKGKKVGDTLLTARKKQLRFQRSKIHDLGLVALEPIEAEDFVIEYFVELIRSRVSLPS >cds-PLY87288.1 pep primary_assembly:Lsat_Salinas_v7:4:331578602:331579534:-1 gene:gene-LSAT_4X164481 transcript:rna-gnl|WGS:NBSK|LSAT_4X164481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNIINNGNTKLILLHPYIQKQTHPNRLWLIALISVFTIASLLTLVYTRESFHTTTTTTTTTITATTNHHHEPLPKSVIKALIHYAATANTTNHMSQTDIKQISDVLKQCTTPCNLLVFGLTPETLLWNALNHHGRTVFIDENRYYAAYVEEKFPEIEAYDVQYTTKISELKELITSVREQTRNECRPVQNLLFSDCKIGLNDLPNQLYELDWDVILVDGPRGYWPEGPGSISAIFTAGVLARSKKGGNHKTHVFVHDYNREVERVSSEEFLCKENLVKSSKDLLAHFVVERVVDEGGKNQFCHSHPAAS >cds-PLY66564.1 pep primary_assembly:Lsat_Salinas_v7:6:165831129:165832839:-1 gene:gene-LSAT_6X101321 transcript:rna-gnl|WGS:NBSK|LSAT_6X101321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFASLPIHRRLQIIFFALLCSTFLLHATAALYRQPITTAVLHFLSTHLLLTTITSLSAGFLLLLHLRSRPYPVFLLNYSCYQPPSHRKCTYDMANDFILHAARFSSPEPIEFMRNIYSKSGLGNDTYAPPFMFEENQTPTMKSAIEEAKEGIFSSVDSLLSKTQIQPEEIDAVIVTCGCFSPSPSLSSLIMNHYKLKPDVKTYNLSGMGCSSGVISIDLAAQILRGAGRKIKNVLVVITENITLNWYEGEERSMLVTNCIFRVGCAAVMITNDPSRRSSAKMELTHSLRTHHGADDSAYHAAFQEEDVKGVTGISLTKDLIRVAGVNLREHIKILAPRVLPLNQLVTYVYSVVATTLSGGQYKPVMPDFTAAFEHICVHTGGKAVIEQVGRVMKFSDSVTEPARMTLNRFGNTSSSLVFYELAYFEAKGRVKKGDKMWMIAFGTGFKVGSLVWKWIRDSESEYDNPWNDSINKYPLKIW >cds-PLY82086.1 pep primary_assembly:Lsat_Salinas_v7:8:53245818:53246533:1 gene:gene-LSAT_8X39640 transcript:rna-gnl|WGS:NBSK|LSAT_8X39640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVTPLMVLMFQVFSSSGDTHLGGGDFDKRIVYWHAASFKKDEGIYQLKDKQALQWLTETTEKAKMELSTLTHASIRYQEPFLGDNL >cds-PLY95680.1 pep primary_assembly:Lsat_Salinas_v7:2:117944910:117946849:1 gene:gene-LSAT_2X54320 transcript:rna-gnl|WGS:NBSK|LSAT_2X54320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRLDRGSLKPGDHIYSWRTAYIYAHHGIYMGDNKVIHFTRRGQEVGTGTILDVLLVSSTPTRSHLPCATCTQSDDTNGVLSSCLDCFLAGGALYRFEYSATPALFLAKARGGTCTLAATDPPETVVHRANYLLTNGFRCYNVFKSNCEDFAIYCKTGKLVVEQGTIGRSGQTVSIIGGPLAAVLASPLRLVTTNVYGMAATAVGVYCASRYAADIGMRPDVVKVRVEDLTRRLTTGELRVVESGIPALAESRIPGLAESRIPALVGGNNGM >cds-PLY77272.1 pep primary_assembly:Lsat_Salinas_v7:4:163940399:163946984:1 gene:gene-LSAT_4X97501 transcript:rna-gnl|WGS:NBSK|LSAT_4X97501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSSSASDGSSRISEPDSPIVQMSDDNYFHDLKVVIGLPAVAAGGKDEMGSKDGDGVVAPANEGQLCIGRNNEWLLCGFCTDQGMRRYMEDRYMIIPTFLSLGCNTFGGCTAPQCEYAVNMSPVHYFAVFDGHGGWQASDYCMKSLHTALKEAWETADTTTEWNKKWGSAFFRAYENVDKACEIETDAGSTALVVLLSSCQIVAANCGDSRAVLCRGSHTIPLSEDHKPDREDEEERIVKSGGCILSDEWGTPRVNGVLAMSRAIGDKRLKPAVSAVPDLTFTTRSEDDECLIIATDGLWDVMSTTCVGNFACQKLQQERNVERANKSPSQYVAECLHQEARSRSSSDNFCVIVVDLKSKPPTNP >cds-PLY87234.1 pep primary_assembly:Lsat_Salinas_v7:4:194438978:194445677:1 gene:gene-LSAT_4X113721 transcript:rna-gnl|WGS:NBSK|LSAT_4X113721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEPETQIEVRSLTGETITVSISSSRTIQELKLLLKQIFPPATTSSNFHLFLKGTKLSLQSQISSTLISHGEFIVLLPFTKKDKQKIVEPCENETNPQNFHQCSTSKFAESAWSDMMQDLSSLWDTETDGNESHTQFESGNTKSNTRNEAEKSLIDEAINKLLNTLQSCDSDELDEKSCEGFMQVLESVNCLSDPKTRNCMLMNENTQINEFHGASGSGYSCICPSWLKVLLKAFYFLNIYSSFLQVQQRKVTLFSLEHGFDQLSKLGFGFESSYLENLAVLCPQVIQFIDNDAEGKRSNNAIVVIKSKSDLKYQIKGKRKMSTPTVVNALKKRENSFRADLWAIVKSFMEKKGKEKIKSLSLNDLLLHATEGNHKATKNDVKRTKRSMSEPSDSFSPEVQCNETNPLLPTEMVEHLKTGLGSQGQIAHIEEINARIANFVKIPDYLSEGTKSALKCSGVSKLYSHQAESIEASISGKNVVISTMTSSGKSLCYNLPVLEVLSQDPSACALYLFPTKALAQDQLRALLSFTEGFYVGLNIGIYDGDTSHEDRLWLRDNARLLITNPDMLHMSILPFHNQFQRFLSNLRYVVIDEAHSYKGAFGCHAALIIRRLLRLCAHVYGSDPSFIFCTATSANPREHTMELSSLPTMELIHKDGSPSGPKLFVLWNPPLRMKTVNKRSRSSIDTDKFDTTVFLGRSSPILEVSYLFAEIIQHGLRCIAFCKTRKLSELVLSYTRETLQKTAPNLVNSVFAYRAGYTAHDRRRLESDLFSGKIRGVAATNALELGIDVGHIDVTLHLGFPGTIASLWQQAGRSGRREQPSLAIYVAFEGPLDQYFMKFPHKLFKGPIECCHVDAKNPQVLQQHLVCASLEHPISVAHDEKYFGPDLKFTLLALKSKGYVTFNPSAEIWNYIGPEKIPSRGVSIRAIESEKYKVIDMKNDQVLEEIEESKAFFQVYEGAVYMQQGKTYLVKNLNLSTKIASCQEADLKYYTKIRDYTDIEVVGGHIAYPTKIPNIHNSKTSSQSNPCKVTTNWFGFRRIWKGSNRVFDTVDLSLPSYTYESMAVWIRVPQSIKTAVEMENYSFRGGLHAAGHALVHIVPLYIICNSSDLASECVNPHDTRYIPERILLYDSCPGGTGISSQNLSCHEYNEVLHKDAAMMIIKVIAKHQI >cds-PLY91853.1 pep primary_assembly:Lsat_Salinas_v7:8:202643862:202645631:-1 gene:gene-LSAT_8X129141 transcript:rna-gnl|WGS:NBSK|LSAT_8X129141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIQKHIALLLQFLLVALVFDVANGYPLKLGFYQKTCPRAEAIVKRTTANYIYRAPSLAAALLRMQFHDCFVRGCDGSVLINSTRKNQAEKDGIPNLSLRGFQVIDAAKTAVEAACPGVVSCADILSLVARDAIHQIKGPYWPVPLGRRDGRVSIASESFTLPAPFANITQLKAQFVSKGLSVKDLAVLSGGHTVGISHCSTIATRLYNFTGKGDTDPSLDPRYVPQLKRICFPTDKTTLLAMDPGSSKSFDEDYYSVVLKRRGLFQSDAALLNDKTTSAYVKLQAKSHGYTFFKDFQASMVKMGQIGVLTGKVGEIRKHCALIN >cds-PLY65102.1 pep primary_assembly:Lsat_Salinas_v7:4:5768876:5770491:1 gene:gene-LSAT_4X3860 transcript:rna-gnl|WGS:NBSK|LSAT_4X3860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSFLSTCSATICTATDDDTTTITHRQKYGAPPGKLLFSDTSSSISDTTTTATSPSLDTSYSYSSSLHSNLSLQTLPSVPSLQKLSPDTLNLAVSHGYLTSLKPSPPGRVNYLAVHNHLLYAASGNLIHVFDTTSFALLDVFSVNDSSSGSVKSVAFHNGKVFTAHQDSKIRLWKLTENKRHKHATTLPTMEDRLLRSILNKNYVNVRRHRRRLWIEHHDAVTGLAMIDNQLMCSVSWDKYLKIWKTSNFRCVESIKAHDDAINAVVVAADGTIYTGSADRRIKVWGKLFGEKKHGLIATLEKHKSAVNALALNDVGSVLFSGACDRSILVWEKEDSANHMAVTGALRGHSKAILCLINVSNLLFSGSADRTVRIWQRGSHGKFCCLTVLDGHTEPVRSLVADTNSSEESVSESVSTSTVKVFSGSFAGEIKVWKVEVSNIASPMSSHLSKLKLRS >cds-PLY86897.1 pep primary_assembly:Lsat_Salinas_v7:5:256310699:256310950:-1 gene:gene-LSAT_5X132061 transcript:rna-gnl|WGS:NBSK|LSAT_5X132061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTYAWSSQGVFLATAVAVSGTVILLFLSRDKAAFLENTTRNQDSGGSAKSGFVSMRSCLSSGKKHGQNRKKVRFSNEVMELSI >cds-PLY91115.1 pep primary_assembly:Lsat_Salinas_v7:3:83412155:83412856:-1 gene:gene-LSAT_3X64441 transcript:rna-gnl|WGS:NBSK|LSAT_3X64441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRLMAFDGEDDDDMVVDNTPPNSPGDNPPLPHPPSRNPPPPSHPPPLNSPPQSDAPIVFVDTPNNDDASDHPILDFVPDVGDQSEIDDYEGFLDLGFMQQVVVSAIPLYVIYPCSCFKGEFAQEFPQGRNNYIHFDDGVQLNPIKRKLSLSVGVNSKAGSSSTASDTSAPPQKKSKLNFDQSELVETWIFPINEVK >cds-PLY75948.1 pep primary_assembly:Lsat_Salinas_v7:5:248421894:248422589:-1 gene:gene-LSAT_5X124661 transcript:rna-gnl|WGS:NBSK|LSAT_5X124661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRILAAMRRNIENMKKSPKVADENMLGEGNGTHEFPINTRQGRSGLSLLQRIVKAPLTLLSCLSSHPHTTEGVWVSGELRRISDVNHLMVNDSMRYAILM >cds-PLY62115.1 pep primary_assembly:Lsat_Salinas_v7:5:39231774:39235168:1 gene:gene-LSAT_5X18780 transcript:rna-gnl|WGS:NBSK|LSAT_5X18780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2 [Source:Projected from Arabidopsis thaliana (AT3G47700) UniProtKB/Swiss-Prot;Acc:Q9STU3] MIEPLPLVSSLSPAVLSFLDTQLHTTVDLQEASRLVSELQNDCHVLDQSLTDLNQNLGTYLLAYSTHSDQVGLLFKDINIKLTSFQPSISDGEKGEKREKSETFLGKELPALAREVARVEAVRVYAETALKLDTLVGDIEDAVSSSVNKNLRKQTSPHNSEEARFIAINALKLAEDVLTKVSRTRPQWSRLVSAVDHRVDRALAILRPQAIADYRSLLTSLHWPPPLSTLNPSNLEPKKSPESTNPLFTMKGDLKTQYCDSFLALCKLQELQTQRKIRQLQGHNGNLEITHQPLWAIEELVNPISIASQRHFSKWGDKPELIFALVHKITRDYVDSMDELLQPLVDKANLSGYSCREEWISAMVTSLSTYMAKEIFPIYMENITENRISWLHFIDLMISFDKKIKALVAQSGIMLEEDVNMQNISSLSVFCDRPDWLDLWAEIEFSDAIEKLTPVLEDEKKWSTEVEESVNILGSEGYKSPAISSAFLTRLSSIIERCRSLPAVTMRARFIRLAGAPIVHRLLEFLFLKCQEAEGLTALTDDDALIKVTKCINGSRYFESVLKEWCEEVFFLEMGSDGGVFDDEICKLEEFRIEWVEKLSKVVFRGFDACSREYIKNKKQWMEKSEEGWGVSKSFIVALDYLQGKMSILEMNLNKMDFVGVWRQVASAIDLFVFNGVFMGYVKFSDGGVERLGNDLMVLYGVFKNWCLRPEGFFGKLSEGLKLLKMEDRLLHGSFEGGERWLKLNGIRHLTLTEVERVVKSRI >cds-PLY74644.1 pep primary_assembly:Lsat_Salinas_v7:7:33405853:33407135:1 gene:gene-LSAT_7X25921 transcript:rna-gnl|WGS:NBSK|LSAT_7X25921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWDFSKGRPFKVMDLGKSDPESSSSGAQCFNPAFVHAIAVPEVDMLEKLDKICVVARGDGVVDVINIESEFTAAKSKARKTGQTKSNGKSSSQNPEKQDQNQRTRLRLDYSCGGHTAAVSCVAFSMFGEKGRYVISGGNDKAVKAWNLSRFSEVDQTTRDNTDILHLNINLNRKVNWLCSTPSDSDNLVVCDTSKVVKVYTIA >cds-PLY64037.1 pep primary_assembly:Lsat_Salinas_v7:8:94923802:94924131:1 gene:gene-LSAT_8X66001 transcript:rna-gnl|WGS:NBSK|LSAT_8X66001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPGGCTFLANSPASMLVSDVHFYNRCYGDWYIHMDVVSFCCSSAWLWTIDTKRGLFASDTRFSGPAAKLRPHLQPNELESPPEKDPVEQILAHKLWIGFSIDHFEVRK >cds-PLY99301.1 pep primary_assembly:Lsat_Salinas_v7:7:179728047:179729502:-1 gene:gene-LSAT_7X106040 transcript:rna-gnl|WGS:NBSK|LSAT_7X106040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIIDGGYSCRPQSCYHGCQCGLPANWVKINVRETKDCFEVYALVPGLLWEEVQVQSDPAGRLVITGQPEQLDNPWGIVAFKKVISLPSRIDLLCTSTIMSLHGRLLVVVPFEQSNI >cds-PLY83948.1 pep primary_assembly:Lsat_Salinas_v7:8:37961978:37965386:-1 gene:gene-LSAT_8X30740 transcript:rna-gnl|WGS:NBSK|LSAT_8X30740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCTGGTLKRSVAAEYGGDKGILGFSGKLKSATSFSRQTKKNDYDDSGLYSSYSHHDNDDDDDDDVYHRRITPYDSGELMFSISRELKPSTPARVGAIKAPHVSTFLGKAGSVGLEVLDTLGSSMINLNANSGFVSNMASRGNKVSILAFEVANTIVKGSNLMQSLSQENIKTLKKEILHSEGVQLLVSTDSKELLRIAASDKREELDVFSREVVRFGDMCKDPQWHNLGRFFSRLDTDLLTNQQLREEAEITMQELTNLAQYTSELYHEYHALGRFEQDYRRKLEEVEALNLPRKGESLSILHSDVKHQRKLVRSLKKKSLWSKSLEEIVEKLVDVVTYIHQAIVEAFDENAPSSTINDKEAHKKPETLGVAGLSLHYANLITQMDNIASRPISLPPNIRDNLYNGLPTNVKTALRSRLQALDSKEGMTMPQIKAEMEKTLQWLVPVATDTTKAHQGFGWVGEWANTCNEFGKKTTGGNNVIRLQTLYHADKQKMDRYILELIIWLHRLISLVRFRDKVPKYVPARSPPPPSNNGVVVDPSRLKGNGKVQRVQISLEDRNLLEEVMKRRMLVPGISKSQEFVMVKKKRLKGFASRSSRSMGSSPRRELGYTNADMLDVLDGLGTSF >cds-PLY82832.1 pep primary_assembly:Lsat_Salinas_v7:1:85277654:85279689:-1 gene:gene-LSAT_1X73461 transcript:rna-gnl|WGS:NBSK|LSAT_1X73461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTETKLLPQCWEQISHMYEEGRLLVAQSCVELVGFVELKPPSLVVGRSNIVGLPVSILLLKEDVTVTVVHPRTEDLESMIHEAYIFIAAIGQPMMLEDGDIICFQKPLKGRTLKNRYQDVPSFLEYVHNHQVIRFRSLEKPKEDEFSLEL >cds-PLY87136.1 pep primary_assembly:Lsat_Salinas_v7:5:257176270:257178530:-1 gene:gene-LSAT_5X132501 transcript:rna-gnl|WGS:NBSK|LSAT_5X132501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAACVHSDVARDFDRAFEFLSPGSFGASSLRIYKGPAIIESTNSQKNSHVVRLSNSPKFDGLVLSQRSTKASNRCPCLPTKNAQSDTDLEACSKVEKSSESTSQLTPNASNVESLLTQICDTTSIAEFELKLGGFRLYVLRDLTGKSLIPPPPPPVNGVTVESTEQNGSASSSSSSSSSSSSTSLAITKAAPSSGGIQSFLDTAADDGLVIIQSPRVGYFKRSRTIKGKRAPPSCKEKQIVKEGQVICYVEQLGGELPIESDVGGEVIKILRDDGEPVGYGDALIAILPSFPGIKKLQ >cds-PLY76932.1 pep primary_assembly:Lsat_Salinas_v7:9:6018485:6019801:-1 gene:gene-LSAT_9X1221 transcript:rna-gnl|WGS:NBSK|LSAT_9X1221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREVRVYIAVCVVAFAICVAVAVSAFYVIVCRRKKTKKTIQNCSSCNKSVDLELQKLNLSVRTASEKKVSFESSSQIESLDDHLLPTTPGKLSAVVVESYTVEELSSATAEFSSANLIEGSVYHGRMKGKNVAIKCTNPDTISKIKFDLFHTAARFHPNIMRLLGVSSGMDIHDTGEFLVFEYAKNGSLKDWIHGGLAIKSHFIASCSCFLTWNQRLKICLNIATALQYMHQIINPSYVHRNIKSRNIFLDEEFNAKVGNFGMDECVGEGIYPEEVPASGYLCSSFPMTWDKGYIAPEYEYSGVSTPDTDIYAYGVVLLEILSGKPPIMKGKSENNEENVRLSEMIKVILKSPNNEEKLKEWMDNVFGENYSFDVAIALANLARSCVDDDPLMRPDAGEIVVKLSELVRGGEEQVIVRESSCRPLVAQPFAIKDVNDF >cds-PLY97060.1 pep primary_assembly:Lsat_Salinas_v7:4:350529997:350533516:1 gene:gene-LSAT_4X173180 transcript:rna-gnl|WGS:NBSK|LSAT_4X173180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGLDIGNENCAIAVAKRGGVDVLLNDESKRETPAVVSFGEKQRFLGSAGAAFATTNLKSTISQIKRLIGKLYNDPSVQEDLRLLPFDTSCESSDGVILIHIQYLSKIWTFMPVEILGMLFAHLKQVIEKNIGSQVVDCVIGIPSYFTDFQRREYLDAAYIAGLRPLKLMHDCTAVALGYGMYKTDFSNKRRTNVIFVDIGHSDTQVSVAAFEKGKMTILSHSFDQNLGGRDFDEVLFRHFASKFKDQYNIDVCSDSRASIRLRASCEKLKKVLSANVEAQLSIECLVDDKDAVYDYGLSVDDEIHSIELVGSGSRIPAIMRKLTSVFGKEPMRTLNGSECVARGCALSCAMLSPTFRVQDYKIGPSQPPTAEKVVLKVKMHLNINGIVETESALVEEARKGWVRRQNLPVSKNLDVVIMKDELNVIQGRLQMFEEHDIRVEKTKEIRNILESFIYDTRTKFQSSYRSVTTDLEAEEIIKRLQGTEDWLYEDGDDESEQVYIGKLKDLKKLLHPIENRYKDETARKEVTKALHTCIQENRLAADSLPPSQKKEVIDECIQSEWWLTNLSKLQDSLAKNATPSYCSSAISGIMQAFRRCKAIMRSKPSLPKCNESVDSDRKRDPDGMLIDC >cds-PLY63283.1 pep primary_assembly:Lsat_Salinas_v7:3:90412175:90413263:1 gene:gene-LSAT_3X69620 transcript:rna-gnl|WGS:NBSK|LSAT_3X69620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 13 [Source:Projected from Arabidopsis thaliana (AT1G02680) UniProtKB/Swiss-Prot;Acc:Q6NQH4] MNKASAGSSSKVKEGSSHPSETSFKRKRGVFQKDLQHMMYGFGDDSNPLPETVALMEDIVMEYVTDMVHKAQDIASKRGKLLTEDFLFLIRKDLPKLNRCTELLSMNEELKQARKAFDVDEEKLAQPD >cds-PLY74571.1 pep primary_assembly:Lsat_Salinas_v7:7:35996770:35998345:1 gene:gene-LSAT_7X26440 transcript:rna-gnl|WGS:NBSK|LSAT_7X26440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEEVEKSEEQKTNDASKHRGVRSDGEEEERRKEGGENGGGGDTSPRPGKDITKRHPLEHSWTFWFDNPSAKSKQVAWGSSIRPIYTFSTVEEFWSLYNNLHKPSRLAAGADLHCFKNRIEPKWEDPVCAPGGKWTMTFPKSKSDTCWLYTLLAMIGEQFDHGDEICGAVVNVRSRQEKIALWTKNAANEAAQMSIGRQWKEFLDYNDVIGFIFHEDAKKLDRGAKNKYTT >cds-PLY88407.1 pep primary_assembly:Lsat_Salinas_v7:4:156434406:156436483:-1 gene:gene-LSAT_4X94800 transcript:rna-gnl|WGS:NBSK|LSAT_4X94800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKERQKWTLEEEEALYYGVRKHGQGKWKVILTDPQFASALANRSNIDLKDKWRNVSVNRDKVRTPMLEGVTTTTTNNPPLNPPEVRTPLLEGVTTTTTTTNQPLNPLKVRTPLLEGVTTTTNNNPPLNPLKVRTPLLEGVTNNNNNNPPLNPLKVRTPLLEGVTTTTTNQPLNPLKIRTPLLEGVTTTTTTNQPLNPPKVRTPLLEGVTTTTTTNQPLNPLKVRTPLLEGVTTTITTNPPMNYPKPLSVVHLVDYGGMSVPSSSTMHETTPQIYEKLILEALSSIGDPNGSDTNAILSFIEKNFPVPENFERSVTSMLRRLVLTGKLEMVDNNFKYKGASYGAKTFKRDDSKNDKVDDEKQEAPDAPDSPIATETLEDAAFYAARLVSRAENVEQQAIEAVQKSDRIEKMVEESMAMLQLAEDLHEMCLKDGFVLLAL >cds-PLY69283.1 pep primary_assembly:Lsat_Salinas_v7:7:130461498:130463880:-1 gene:gene-LSAT_7X77761 transcript:rna-gnl|WGS:NBSK|LSAT_7X77761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLSNSTLFHRPSFNPNPNSTTSTVFRHPPTVQFSIKAVSKDPNNITTPDPKQPPLPPSPSEKRTFAVATGELFLGIASRIIKSRNAMERGLDSEEKVRMFGDELVGKKESYFWSRSRNQKEVKEEEIAAVVDDGDVVWEQRLKDVEAERERKAVTSPGFSFSAAGLLFPYHLGVAKFLIEKGYIKDTTPLAGSSAGAIVCAVVASGASMEEALQATKILAQDCRTRGTAFRLGAVLREVLEDFLPVDAHIRSNGRVRVAVTQILWRPRGLLVDQFDSREDLINAVITSSFIPGYLAPRPAIVFRNRLCVDGGLTLFMPPTSAAQTVRVCAFPASRLGLENIGISPDCNPENRATPRELFSWALEPAADLILDTLYELGYMDASVWAALNPVENLVQEDNNTFVR >cds-PLY74314.1 pep primary_assembly:Lsat_Salinas_v7:9:186836754:186837281:1 gene:gene-LSAT_9X114680 transcript:rna-gnl|WGS:NBSK|LSAT_9X114680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLASLTPKKRDGRKKFKETRHPVYRGVRKRDSGKWVCEVRVPNKKSRVWLGTHHTAEMAARAHDVAAFAFRGRLACLNFADSVWRLPVPKSNNVQDIQKAAAEAAKAFRHTEDVVEIKDLPGIQFYVDEEEIFNMPGFFASMAEGLMIAPPQTVVYGKHGDNVEFCGRESLWSF >cds-PLY71950.1 pep primary_assembly:Lsat_Salinas_v7:3:23910509:23910796:1 gene:gene-LSAT_3X17800 transcript:rna-gnl|WGS:NBSK|LSAT_3X17800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINLICLGCQSVPRTISYNDVTEGCGVEKDPRRPGSSKLVRGSSKKIKNDQIANQVLRKERSSKRKEIKKPRLMRSCGMRRDWSLEDTRRMMNTT >cds-PLY66170.1 pep primary_assembly:Lsat_Salinas_v7:4:34659122:34660412:1 gene:gene-LSAT_4X24360 transcript:rna-gnl|WGS:NBSK|LSAT_4X24360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSWSDLLPEILNKIAGRFEFYEDFLNFLCVCSSWRSSATTTKKFIHHLPSRFPLLMLAESNTEEDNDQNYRKFFLLSSGTMRKVQLPEAHWQRCISTHGWLLTTGEEEFYAKLIHPLSRTQIDLPELYMFEELYFDQDEWMYYGHCMRKVVFTSSNPLLLEPDFRVIIIWGQTLGFCRLGDVSWSRITDWDGHLLDITYHNMRKRLYTVTTIGSIYECDVFSCGPGPLNLNRLSTLPRKEFDCSCLQSAYLLEWGYDKLLMVTREHQWYKKHSEEFRRYGPYSTKRFQCFVFSLDDEKWSKLLSLGDKAVFLGFNSSFAIDAGGGIKPDCIYFTDDLYEPYRDLPNGGGGDVGVYHMSDGSIGPIMDAQEHLFRARPPLWLQTSTIPAIKQI >cds-PLY69374.1 pep primary_assembly:Lsat_Salinas_v7:4:114732369:114734183:-1 gene:gene-LSAT_4X72621 transcript:rna-gnl|WGS:NBSK|LSAT_4X72621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSTLGFKVETFKQLFRFIASRSFCSLKSNIPTNPNPKTLNQLLNQRPPLLQLKQVHAQVITQSLSSYSIMIDSLIHCYLSTNSLSFARTLFDQYPDYPPPILLWNLVIRSYSKLQNSPEPIYLFRQLNAIKENPLIAPDKFTFTFLITSCTQQNSELHGMIVHGIIIKNGHVNNLYIGNSLINLYAVFHKLHDARKVFDEMPERDVFSWTSLLGGHVKQGEITIASEIFTMMPIRNTVSWAVIISGFLDCGRYIDALSYFHDMLRQSHDTKNPNEAVLVCALSACANLGALNQGKWIHAYIVKTAVNNTSNILTALIDMYAKCGMIDSGYQIFHKIAQPDVCNYTSMISGFSAHGLGRDALQVFDQMLAEDIAPNEVTLLGVLKGCSHSGLVNEGSLIFHNMERLWKIKPKVEHYGCLVDLLGRAGYLKMALLIAIKMPLDADVVIWRALLSGCRIYKDASLADKIIAYVEQGYCGNFIGSEVLLSNLYASLSKWERVGEVRKVMSERKNKSESESNVGCSWIEVDGVVHEFRVDDNLHPQIVKLNEVLKRQIVEV >cds-PLY94471.1 pep primary_assembly:Lsat_Salinas_v7:2:158200812:158202758:-1 gene:gene-LSAT_2X80160 transcript:rna-gnl|WGS:NBSK|LSAT_2X80160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWFARSITNTLKLDDNDDDDDDEQYPVNKNTDDSANQKHEQQAVEDNSPQSPGRGVKEDLSEITKTLTRQFWGVASFLAPPVASGQSNERSKPSDPETSDPEAISGIRKDFAEIGGKLRSGISKLSNNIDVSEITKMASDFLHLESEDEDYDSSDGDGAVGVTDEVVAFAKDIAMHPETWLDFPVLDDEDDDEDFHLSDAQQEHALAIEDLAPRLSALRVKLCPDHMTETSFWKIYFVHLHPKLEHNAAKLLSTPKIVRARSLLLHELKNRQLKNEARRISSCSENMANSAPESPLLETLKVKHPIESDDIKIVDKSVIQHKPHDLTKDEDEWLKEESSETVTVTVENDVDVSFSDLEDDDDDDDDDGNIPIHYKKATYGSDSSRTEIGSWVELDLSSTDSSHGSEQVSVNNSETKESNDWFDVVDIDVV >cds-PLY80579.1 pep primary_assembly:Lsat_Salinas_v7:6:13579403:13581228:-1 gene:gene-LSAT_6X11721 transcript:rna-gnl|WGS:NBSK|LSAT_6X11721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALSRIGLAGLAVMGQNLALNVAEKGFPISVYNRTTSKVDETLDRAHNEGQLPLTGHYTPRDFVLSIQKPRSVIILVKAGAPVDQTIEALAAHMEPGDTIIDGGNEWYENTERRIVEAENRGLLYLGMGVSGGEEGARNGPSLMPGGSYEAYNNIKDIVEKVAAQVEDGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKNVGGLSNTELAEIFDEWNRGELESFLIEITADIFKVKDEHGEGELVDKILDKTGMKGTGKWTVQQAAELSVAAPTIAASLDCRYLSGLKDEREDAARILAAAGLKEEVGTVRSGIDKKRLIDDVRQALYASKICSYAQGMNLLRVKSSEKNWNLDFGELARIWKGGCIIRAVFLDRIKKAYQRNPNLASLLVDPDFAKEMVQRQAAWRRVVGLAISAGISTPGMCASLTYFDTYRRARLPANLVQAQRDLFGAHTYERIDREGAFHTEWTKLARKKA >cds-PLY62932.1 pep primary_assembly:Lsat_Salinas_v7:2:212641929:212642171:1 gene:gene-LSAT_2X131781 transcript:rna-gnl|WGS:NBSK|LSAT_2X131781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIQIAVLLVITILLVTSVQQSSCRNIVDHSIDEERIRENFISTFKRHFKVNPKLTYGENQLPYVVSRRRVPCGPNPLHN >cds-PLY89811.1 pep primary_assembly:Lsat_Salinas_v7:5:109367112:109367303:-1 gene:gene-LSAT_5X47440 transcript:rna-gnl|WGS:NBSK|LSAT_5X47440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALDMAGKDRESRKRLDGNERIQRDAMVHGACVVNNQKGTNDFGRDRDRGRMSRKSQDGSRRW >cds-PLY69334.1 pep primary_assembly:Lsat_Salinas_v7:7:80987349:80991213:-1 gene:gene-LSAT_7X56580 transcript:rna-gnl|WGS:NBSK|LSAT_7X56580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKYSTLLTIGRSNKRWVQESSYLTSHHIHIRHHPQSPLTPLPLPPPPLPSPPFSFSFSHVAKNRCGLLNTVSHNYSRTTLSNLSHNHLHAKLSTLQTLELSNDVVDYPLDYQVTVKDIDVISAAQAPTHDLWLPLTNLDLLLPPLAAGVFFCYKKNDDTAMSTETLVKTLKKSLGIVLSTFYPLAGEIVPNRIGEPEVLCNNYGVEFVHAHADVDLQTLDLHHPDETVKGKLVPKINRGVISVQVTELKCGAIILSCAFDHRFTDGDSLNMFLAAWIDLIQFNKISNIPSFRSSILNPRRPPHYDTTFDDLYIPISSLPPPTPSCDDELCSRIYYIHAESVNKLQSQASAKDIRRSKVQSFTAYIWKLLAQQVDDDVNKTSRVGVVVSGRHFLTGNSEEESSMLKNHFGNILSIPYGEENNRQLQEMTLNEVANKVHEFVKKTTNEEHFRGLVDWVELHRPEPAVARVYFKLQETDGDAIVVSSGQGLPIKYMNFGFGEPIFGSYHFPWGGQTGYITTMPSARHNGDWIVYTHLKQKHLDLIDHKASHIFKPLTNSYLHFR >cds-PLY62263.1 pep primary_assembly:Lsat_Salinas_v7:5:165719363:165721973:-1 gene:gene-LSAT_5X73181 transcript:rna-gnl|WGS:NBSK|LSAT_5X73181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWFEGVEDTRLLVSSSEGDPSATGDDMGHFLELRHPKTGETASYLMMNGCLQELNWFKQSHGSWFMGDYITEDGRLYAATPVDPVFILLPIFDKARMKNGNDLGKFRQLDEIIYIQNYPGYHHLSSIAEKSMEVVCDCKEIGSIKFFRLNDSKVLSWMYFKVQQLKQTLLKLDKNYAAQTEKETLIDAVMILGEYMEDEPWLKLLRNNLRLNIDEAVQIKPPKTEIISSPSPTSDFSFKPTQEQVGSDKRVTRNKKVKIETNSHNIKDMFTRAPKKGK >cds-PLY99296.1 pep primary_assembly:Lsat_Salinas_v7:7:179944233:179947088:1 gene:gene-LSAT_7X105861 transcript:rna-gnl|WGS:NBSK|LSAT_7X105861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEAIKACLTGSPFELVRCKLTLYYSYWQMNEILEALLPKGIIVPSSFETVGHIIHLNLREEHLPYKNLIAKVVLDKNKPKIQTVVNKIDSINNDFRTMSLEVLAGNHSLVTRVVENGLHFHVDLATVYWSSKLATERQRLLNSFTRSDVVCDVFAGVGPLAISAAKKVKHVYANDLNPHAVDYIEKNCVLNKLERKIEVFNMDGRRFIDAIFKSQSSRTITQVVMNLPKDAAEYLDAFKGIFRDMDLNKELIMPKIHVYGFSKAEDPEFDFHERIRIALSEVAFEVKMHKVRLVAPGKWMLCASFVLPERVAFADPILEM >cds-PLY86578.1 pep primary_assembly:Lsat_Salinas_v7:1:8979375:8980742:-1 gene:gene-LSAT_1X8101 transcript:rna-gnl|WGS:NBSK|LSAT_1X8101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKLYKANGERPLKIVFDVNTNMPIGEVYECFIWEVGSYMWRDIGFDKDTWTEVSEAERVGMLQYLSDVDVNVFLQNPKFVTAIGDIIRSFKNQVNEENNNGEDDGENEDN >cds-PLY68137.1 pep primary_assembly:Lsat_Salinas_v7:8:233018344:233022513:-1 gene:gene-LSAT_8X141060 transcript:rna-gnl|WGS:NBSK|LSAT_8X141060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLRSGFVVKSVKEPFTRSKAIVRSLSTVWEEGLLLFQCSVFYAVISGVCLLLWYSQLKANTLIESKLFPSVCTTLSDYIQCDLHFCKAQSVSPLSITLESCWIGPHKEEFSCGEVPTLKLCFHPFSSLRTGKIVIDAVVYNRTLLAAQKRKYLWLGIPFTDGVLQKHLSTEEGIDNRTKIRRNAREKTAAQRTKRVSLIGLGNAPISSSSSIFAYRSLGESVASSAKASQANNVIVALASSQDLTPELKLTTASTIATGVSAEEAEKMASTIDCDKLSDIGSEYEGFWIDKKPCIGMGGMIPWKLHVTGKLFHSGIVHKGDSLLIILKSFIEKQSAQSTRKLSLCMSATVDSQLFSHYFGDCPVIHARGRTHLVPTYFLEDIHDSVDYKLASDSLSSLRSNAPKQKDTEEQKYT >cds-PLY74015.1 pep primary_assembly:Lsat_Salinas_v7:1:32676601:32678665:-1 gene:gene-LSAT_1X28440 transcript:rna-gnl|WGS:NBSK|LSAT_1X28440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSILECNLEEKSKLYKDEALGNLFMMNNINYMGRFIRLCQFGGAGLSKLKSRDLEWYFFSVLDKKYGNGSCTKRATDKGYWKTIGNIRSIYHRSQLVGMEKKPLVYHSGRAPKGERTNWVMHEYILIDQELEKAGIIQDSHMGRNVKLMAIVSFRPIVTSAGLAKNKVIRPEDLFVSTRSETVHGTRLQIHEHRAWNKPAATSLIVINVDPLELEFRVSGILPCDIDVVLCTHDLPKLGTNLVTTLATLNVKNLTHFQMEMKE >cds-PLY75320.1 pep primary_assembly:Lsat_Salinas_v7:5:52472524:52480864:-1 gene:gene-LSAT_5X26140 transcript:rna-gnl|WGS:NBSK|LSAT_5X26140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLGHSKAGVDVAAALSHYWCDLKDKVAGLTLVQSPYGGTPIAYDIMRESEIADKETRRIMELIVCRIIKVVHTKAILVHYLIKKVRHRQPQTSHGDRNRDPDVPFQRARNYCPLSRILHAVLGKKTKSFLKRGELA >cds-PLY87461.1 pep primary_assembly:Lsat_Salinas_v7:2:135813020:135816168:-1 gene:gene-LSAT_2X64341 transcript:rna-gnl|WGS:NBSK|LSAT_2X64341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDPHTIDSGLLQKLVYDALVWSSLHGLVVGDRNVQRSGSIPGVGLVHAPIALLPYSFPESHWKQACELAPIFNELVDRVSLDGKFLQDTLSRTKKVDAFTSRLLDIHSRMLQIGKKEDIRLGLHRSDYMLDENTNLLLQIELNTISCSFSGLSCLVSELHRSLLSQYKEHLGIDFGRIPKNNSAIKFAEVLAKAWMEYNNPSAVVMVVVQPEERNITIRKTLAEIDAQGKTLSDGTLVVDGETVAVIYFRAGYAPNDYPSESEWKARLLMEESVAIKCPSISYHLTGTKKVQQELAKPNVLERFLDNKDDIAKLQACFAGLWSLDDSNAVKNAIEQPGAFVMKPQREGGGNNIYGDEVKETLVRLQKEGSEEEAAAYILMQRIFPKDFPTLLVREGICYKEQAISELGIFSSYLRNKEKVIVSEQCGYLMRTKVSSSNEGGVAAGFAVLDSLYLT >cds-PLY81663.1 pep primary_assembly:Lsat_Salinas_v7:2:25818420:25824735:1 gene:gene-LSAT_2X14061 transcript:rna-gnl|WGS:NBSK|LSAT_2X14061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDALVNVVVEGIHKKVGCIAANEIALAWGFKKKLDTLEHTLKIICAKLRDIENEKGQNHGVMVWLTLLKHLVGEADDMLDDLHYEILRHEVISRDQTRMIALKSLPSLKTFSTRREIGHKIENITNKFCEMIEHGNKLGLQNRHPHLVPYGVYQEETDWYLQKFKIVGRQNDELHIIQLLTQARKEEKITIVPIVGMDGIGKTTLAKMVYHNPKIWRHFDVRVWLCVSVKVDVNTLLAKICESLPGDECKSLTRVDLITNLRKKMGSKRYLVVLDDVRDKDRAHWDDFRTCMLDVNSLNGSCFIVTTQNLEIGTKIFSEDFHALQGLSDDDCWSIFKGRVFIAKKSLLPELEEIGRDIVNKCRGLPLLVNITGGMLRNYNDKGKWLSLKDNKAWDLEEGHIVQNILKMSFDDLPNCVVKQCFAYCSVLKKDRVVNKNELIQLWMALGLVRADENAKREAEDVGNDIFHVLVSRSLFQDVTDQYSYFTYRIHELTYFSMHDLVHDLLVSLSKHESACLMHSTSDNIVNIPQVKRLAVYNELKKQREFTNKVSMVLKDYMSTRTLHTLFFEGEVEKSISFQRFRSLRILKFSGCKLEKLDDSIGELVYLRYLDLSNTQIKALPESIGKLYHLQTLKLYGCYLLHLPVEMGNLISLRVFEFPKLLPIHTLGQLTSLTTLPFFHVYQLKGYQIEELGRLKHLRGEIQLLNLEEINGKEDALMANLSEKKNLYKIEFIWSLDRGVKHNNQDVLEGLQPHGNVKSLTITNFSGDNFPPWVMKMAINIEGKWAPLKNLVEIMLYGCRSCVYLPILEYLPLLQDLVLQSMDNLTCLRSRSDEGDNVTRLMTPLSPSLRSLKVGWMKMLEKWIDGTPNSSTMISPVLEKLQIMTCPKIILLDECDPHPLVSLEIHNCDNLVSINSIQGLKSLESLEIHNCRSLSGLPHLPNEGNSLKSLRIVYCHKLTYLPHETFYCFSFLSKLSLGPFSNELLSFPSLQGIEKLSNHLHSLELFGWNHWASIPKEIKHLTSLTRFFIFNFGIRELPAWLTNMSSIRNMRFYDCHQLDKATVKLGAPWEADHVLVDGNRV >cds-PLY91724.1 pep primary_assembly:Lsat_Salinas_v7:9:17158483:17160311:1 gene:gene-LSAT_9X16541 transcript:rna-gnl|WGS:NBSK|LSAT_9X16541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLATYASSDEDEDQQQPRKQNQASQSNFPSQPATKFGGNFLSSLPTPKSQLSNPPPQTLTTSKPKKVAQPQSGFNSSSSASLFSSLPQPKTERSDPFSLGPKPKKVVQFRPPVMSKVVDDDDEDEDDDKEEQTKKDSSIPEAPSVKSFLSSIPAPRNSGSLGALPSSAGMGRRSILESEVPALSNSKVVKDTVNKASDNQVKHHNNSSLATDNSSNVNLSVDESYVNHDNTYSGYANPNSNENAVTTTADYASYDYSNNGGYEYENVQTDHSSYGPAPPTSEDPANYNSYEGYSDYGNYAQYESKWIDRSSGDMQPEISEAAAIQNMTRVPGKRGRNEIPHEIIEVSQDELMKNRPREDQVKSTGIAFGPSYQSPILDYFQPASSGKGKPTKLHKRKHQIGSLYFDMRSKEMELAERRSKGFLTKAETQAKYGW >cds-PLY71683.1 pep primary_assembly:Lsat_Salinas_v7:3:45002290:45004855:-1 gene:gene-LSAT_3X33680 transcript:rna-gnl|WGS:NBSK|LSAT_3X33680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVYPMLLLFSSTIFFFLSSSAAVDTISANQPIKDGKTIVSDGKMYELGFFSPGKSTNRYLGIWYKKISTGTVVWVANRETPISDTSGGFEVDRQGNLLIRSGSNTVIWSSNTPASVRNASPVAQLLDSGNLVVWDESSTKENPIWQSFDYPGNTLLPGMKLGKDLVKEREWSITTWKSPDDPSIGLYKLRLDANGYPQVFVGEGQVDNFRLAPWNGVGFRGIPVENTNPIYSVEFVVNQKEIYYRYELIGSVFQRIIVMSDGILLHMSWINRTQQWVVYGSIAVDSCSQYGRCGPYGSCFIKTYPPCSCMEGFEVKDPEEWNVGDWSSGCQRETPLDSGSSTAGDDFQKVSGMKFPDTRRSWFNVSMSLGECEMACRSNCSCTAYANLDIRNGGSGCLLWFDELMDMGEHDDPQELYIRLATSKLAAVIIIYKIGEGGFGPVYKGVLEDGQIIAVKRLSETSEQGLDEFRNEVICIAKLQHRNLVKLLGYCIHGNEKFLIYEYMDNKSLDSYLSDETRSSMLDWRQRFGIIRGIARGILYLHQDSCLQIIHRDIKAGNVLLDSEMNPKISDFGLARKFVGQDTMAKTMKVVGT >cds-PLY95577.1 pep primary_assembly:Lsat_Salinas_v7:MU038651.1:44376:45794:-1 gene:gene-LSAT_0X27321 transcript:rna-gnl|WGS:NBSK|LSAT_0X27321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITQSSSTLLPLQVFIAISLILGDGLYNLVKIVAISVKAIWKNTTKPQDLPTTKQILDSEKTKAQLEEQKRDAVFLKDSIPTWLAASGYVALVAISMATMPLIFPPLKWYLVLASYVIAPALAFCNSYGTGLTDWSLASTYGKIGLFIIASIVGSDGGVIAGLAACGVMMSIVATAADLMQDFKTGYLTLSSAKSMFVSQLVGTAMGCVIAPLTFWMFWSAFEIGTPDSPYKAPYAVIYREMAILGVEGFSELPKHCLALCCGFFVAALVLNLVRDWSPAKVAQFVPIPMAMAVPFYIGAYFAIDMFVGTVILFVWERVNKKDAEDYAGAVASGLICGDGIWTIPSAILSILRIDPPICMYFGPSVSS >cds-PLY88538.1 pep primary_assembly:Lsat_Salinas_v7:7:134286201:134289001:1 gene:gene-LSAT_7X79981 transcript:rna-gnl|WGS:NBSK|LSAT_7X79981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINNTTKDDEYGVLLYYNYTNIPNLTDLSTFYTTNCTSLSLLGRIRLSPHGVNATIGGQLSSLKQHITQLTQKYPSFTSTDFKLSTSTNPKSNNKIAQETGFTSLSIRIVKQLVTFTSRALPNPPELSISNAGQHLSAREFHSVLETARDSGKQNLVLLDARNLYETRIGKFSSPNFETLDPKIRQYSDLSNWVDDNSEKIRGKQILMYCTGGIRCEVASAYIKSKGEGFENVFQLYGGIQRYMEEFPEGGFFKGKNFVFDHRVSVASSDPRILGSCLVCEIPFDDYSSRSRCNYCRMLVLVCHACEGKNGKLFVCELCQKYDKLVEHGKNSNVIIAPRKKLRILCLHGFRQNASSFKGRTASFVKKLKNIAEFVYIDAPHQLRFIYQEKKNADVAFAQPKSGCNRKYAWLIDPNDDMDKSGDMGTDWETGGAHRFDCRQYEHQTGGFDESYVYLREKVVEEGPFDGVLGFSQGAAMAACVALKGEMGFRFVILCSGYFGNFGEFGEGGLIKCPSLHIYGSDNGKDRQIGFDESRRLALMFEEGCSVMVEHDFGHIIPTKSPYIDTIKDFLQRFL >cds-PLY69182.1 pep primary_assembly:Lsat_Salinas_v7:3:204327502:204328350:1 gene:gene-LSAT_3X121940 transcript:rna-gnl|WGS:NBSK|LSAT_3X121940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSGRWNDEVMMGEVIRIQHIARLPTILPLDGNHVGTVYYIGGLNVMVKFINTSSTKAFYENENNWDRWFKWLKMGFNNDIPQERIIWVKIFGLPIRFRTEENFDRTANTFGKVLEITNFHWQRFDLSRGEVCIITRQNTIIDEEVTVIFENKFYRVGVVEHDRDWTRFDSSIKMNWINHDDNKNDYNDEDLEDKNSDEDEEGDDDQNSDDEGIPPSAEFPSDDPPKEGEIVEESGGIRLPMMSSAMACDDIGNCENALNMEVESSHQLNNLERIDTETL >cds-PLY81344.1 pep primary_assembly:Lsat_Salinas_v7:4:36919764:36921328:-1 gene:gene-LSAT_4X25760 transcript:rna-gnl|WGS:NBSK|LSAT_4X25760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRILSTDHQKTQMYFQDPLQKNFVFMGASKISLLRMELRRYLIQLLLQVYRHQGREMPHGVVFGGLKC >cds-PLY86860.1 pep primary_assembly:Lsat_Salinas_v7:8:50322952:50323521:-1 gene:gene-LSAT_8X38101 transcript:rna-gnl|WGS:NBSK|LSAT_8X38101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVPPPLQSSPPPPKHLSPPPPTSVGRGSITYGDLVPGRPVCNDAIYGHGVGKSNTGRKCACNAYDHEKLSCLK >cds-PLY80011.1 pep primary_assembly:Lsat_Salinas_v7:9:45385756:45391268:1 gene:gene-LSAT_9X41220 transcript:rna-gnl|WGS:NBSK|LSAT_9X41220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNGRLIAGSHNRNEFVLINADEVGRVTSVKELSGQICQICGDEIEITVDGEQFVACNECAFPICRPCYEYERREGNQSCPQCKTRFKRLKGSPRVDGDEEEDEFDDLDNEFDLPHSDSEAMLSSRLNIGTNVSGFATPSDAYGVNPEIPRLTYGQEDDGISSDKHALIIPPFMNRAKRIHPMPFSDTASSISLPPRPMDPKKDLAVYGYGTVAWKDRMEEWRKRQNDKLQMVKHQGDGDDVDDPDLPKMDEGRQPLSRKLPIPSSKINPYRMVILMRLVILGFFFHYRILHPVKDAYALWLTSIICEIWFAVSWIFDQFPKWFPIERETYLDRLSLRYEKEGKPSELAPVDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFSIEPRAPEWYFAEKVDYLKDKVHPAFVRERRAMKRDYEEFKIRINGLVQMAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQNGVRDLEGNELPRLVYVSREKRPGFDHHKKAGAMNALIRVSAVVSNAPYLLNVDCDHYINNSKAIRESMCFMMDPTSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGFDAPAKKKAPGKTCNCLPKWCCCCFGSKKKKTKGKSKTKESSKKSKKMKEASTQIHALENIEEGIEGIDSEKTSLMPQIKFEKKFGQSPVFIASTLLEEGGVPPGATSASLLKEAIHVISCGYEDKSEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRAAFKGSAPINLSDRLHQVLRWALGSVEILFSKHCPIWYGYGCGLKPLERFSYINSVVYPLTSVPLVAYCTLPAVCLLTGKFIVPEISNYASILFMLMFLSIAVTSILEMQWGRVAIDDLWRNEQFWVIGGVSSHLFALFQGLLKVLAGVNTNFTVTSKGGDDGDFAELYIFKWTTLLIPPLTLLIFNIIGVIIGISDAISNGYESWGPLFGRLFFAIWVILHLYPFLKGMMGKQSNVPTIILVWSILLASILSLLWVRVNPFLDRGGIVLEECGLDCD >cds-PLY74973.1 pep primary_assembly:Lsat_Salinas_v7:3:155247756:155249329:-1 gene:gene-LSAT_3X98860 transcript:rna-gnl|WGS:NBSK|LSAT_3X98860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGVQDSLEIKFRLIDGSDIGPKSFPAAASVATLKESILSQWPKEKENAPKTVKDLKIISAGKILENNRTIGECRSPLCDVPGGVTTMHVVVSQPPQEKDTYL >cds-PLY81256.1 pep primary_assembly:Lsat_Salinas_v7:4:306772749:306773483:-1 gene:gene-LSAT_4X155620 transcript:rna-gnl|WGS:NBSK|LSAT_4X155620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRDRANAFCEAIRALDATDLSSASVRDLLLPTIQNLLKDTEALDPAHKEALEVIVKERSSGTFDAFSKVMGTQFGLPTSMTSFFSEGGLLGKKDSGEIPPPPPPDPKTVITPQSPVEDTRFRRIMRGGFTDMLRGKGKNSDELSPPH >cds-PLY95721.1 pep primary_assembly:Lsat_Salinas_v7:2:116323466:116323663:-1 gene:gene-LSAT_2X54160 transcript:rna-gnl|WGS:NBSK|LSAT_2X54160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEQGKYEWKLFESRTNEGRRENGGDCCFFFSGELCQQDLFMSGKVASTGNDGDQEVSGLVFHRR >cds-PLY89504.1 pep primary_assembly:Lsat_Salinas_v7:4:148228404:148229067:-1 gene:gene-LSAT_0X41661 transcript:rna-gnl|WGS:NBSK|LSAT_0X41661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIYQKFCLILLVIVGLLILISGFFSLIIIFILKPRRPIFSFETIDIYSYNFDVPDSSDVLLSTVASVTLITQNPNKIGIKYDFSKLQILDNGLVAGMIRIPEFYQPAGSHNLRVEIDILFRDLDVTSIMSGVEINNFTIKVLGDIGVHLHVMKIELPKIKVGLDCDVAVDGRYLISRNKISSLKAVKNYIV >cds-PLY90603.1 pep primary_assembly:Lsat_Salinas_v7:6:51634405:51635570:1 gene:gene-LSAT_6X37680 transcript:rna-gnl|WGS:NBSK|LSAT_6X37680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMEIETSKEMTRKEKKENWFAFLSDDIFLQILKKLPEAFLRYKAKHVCKRWFNIVTNRILLDHASFILQTSYDSTVRHVDITEEQQGLQVKVQNLEIPIGGHIITWCNEYLLVTDLEKHEYVFNVITNEGSFLPHCTSCGEGDDTNCGMALSFDGFKGVYKVLHVFMGPPLQCHILVLRRNILSRISSNWKKIEVPSYMDEWIPTYMYQRRPYWGDPVSVQARYFHWRIWDFHGERWYLVSMDMVKEKMVRMSLPIPESSNVNYTVFEMGGFLTLIHQLSSKKSDKWMFNDFQTDMWILKDFEMMKWEKLRISIPNYWYFGEYFKYFPDSVSGMMSMRYLTCIRLSNWDGAVCSYDLKDFHVKELDIQIGSNDRRVPHSSSPSFI >cds-PLY63819.1 pep primary_assembly:Lsat_Salinas_v7:4:294494006:294494251:1 gene:gene-LSAT_4X152401 transcript:rna-gnl|WGS:NBSK|LSAT_4X152401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAFTFVGLAVTSSTQVIFGRVISNPIELLGEIGGISIMILSIIRITLATITTNIAANVVAPTNTLVNLSPSLFTFRRGAC >cds-PLY73886.1 pep primary_assembly:Lsat_Salinas_v7:3:38163361:38165043:1 gene:gene-LSAT_3X28860 transcript:rna-gnl|WGS:NBSK|LSAT_3X28860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat only 4 [Source:Projected from Arabidopsis thaliana (AT3G26600) UniProtKB/TrEMBL;Acc:Q38957] MDRFIFQPNALEYLQQPQPPIEEILSSPIILSDRILRAVNESKSHKLDCSDAGKKVHQLSEMLRSTVRFANSTPSFYESPVRRIFSDIAINLNSTLTLVRRCRHRSIFRRFVTFVGIADFRKLFNLLDMSIGDMKWLLSIFDRGGEVAHELASLAHDSNRNKDIIVEEGGISPLLKLLKEESSPDAQIAGAMALFSLADNQNRARLIFNEHGVPIIVHAFRNSRIGVQIEIAKLIATMVEHDTFSQEGFARENLIGTLVTLLSFDRNNQMKKSSKNVSPQLILEFKTNCSGALWMLAKGSVTNCRKITEAKGLLCLANLIEKEKGELQINCLMAIMEITASAEYNPDLRRSAFKSNNNSQIVDQLLKLINQSDNPVIKIPAIRTIGHLARTFPARQTQVIGPLVKQLSNRNPDVGMESVIALGKFTCPENFLHSEHSKTIFEFEGVQPLMRLLRGSESEKTQYHALVLLCHLAMYDGNIEPFEQARLLTTLQGANKSFACNYSELRELVANAGLLLTQRQAYTR >cds-PLY70502.1 pep primary_assembly:Lsat_Salinas_v7:1:72135366:72146967:1 gene:gene-LSAT_1X61421 transcript:rna-gnl|WGS:NBSK|LSAT_1X61421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKISTVSPPKATQSQPLQQQDRVAIVISVSCGISKAISLHLASLGAKLIVNYTSNSSKADLVLNRLGGRGDWNENEPKAVKKKENEVSEDIVGGKKEFKINIKFAARKDMNHLKQFLVRGHHDNPHEAIQALDVVLSDCLQGRKTLDRSLFSPEFGTSVLGDGVEFWKRVKTTLRGVRVEVRRHDYMRHYKVHSLTVQPIRRQDYMRHYKVHWLTVQPTKQLTFIVHDTRATVSLLEYFREKYNINLWFPHLPTIQAGTNAKPIYLPMEVSFALVYYAHLAAIHAPCYMEGGELSDSDRVAEVHPVPMIHENVKSVMYYC >cds-PLY72914.1 pep primary_assembly:Lsat_Salinas_v7:9:194397337:194400343:1 gene:gene-LSAT_9X118621 transcript:rna-gnl|WGS:NBSK|LSAT_9X118621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVALLSSVSHAISNATTSTLHRRSVNQTHSSRLHSSFQLSAFGSRGSHNDAVCIRSRKPLSPITYSSNFAPVAAIPFDLSPPPIDHDLYDDMAIAGAKVSEDWIIETFNNDEDALDAVDNGTVVVDLSHYGRIRVSGEDRIPFLHNQSTANFEALSEGGGCDTVFVTPTARTIDIAQAWIMKTAVTLVVSPSTSKSISEMLNKYIFFADKVEIQDITNKTCLFALIGPKSNQVMVDLNLGDLIGQPYGSHKHYNVSGNPVTVAVGSVISEEGFSLMMSPSAAGMVWKTLLSHNATPMGSNAFETYRILQGRPSPGNELTDEFNVLEAGLWNAVSLNKGCYKGQETISRLITYDGIKQKLWGIQLSSPVKPGTPITVEGKKVGKLTSYTGGKGGNEYFGLGYIKRKAASKGDKVVVGDDVIGTVVEVPYLARQQPLALSSKS >cds-PLY91998.1 pep primary_assembly:Lsat_Salinas_v7:8:240574935:240581825:-1 gene:gene-LSAT_8X144720 transcript:rna-gnl|WGS:NBSK|LSAT_8X144720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNILSSLRDCLRCRRAASAGDDPDDGRHESWDLFFGLNTLQAATDFFSELNKLGHGGFGPVYKGLLPNGQEVAIKKLSLTSSQGVREFTNEVKLLLKIQHKNLVMLLGCCIEGPEKMLVYEYLPNKSLDYILFDKKKSGSLQWSQRFQIIIGVVRGLLYLHEEAPIRIIHRDIKASNILLDEKLNPKIADFGLARLFPGDDTHLNTFRISGTHGYIAPEYAMHGYLSVKADVFSFGILVLEIVSGRKVSDKSDLLTDICYSAIDLYNLKQERVPPIPSRQGDPSRRTGIQGLMVEEVKSPERTWSLFQIGKQLELVDESLDSCSSSEAAMCIQLGLLCCQAVVADRPDMNALHIMLSSDSFTLPQPGRPGLQGRVGRWTTSDSSVRTGTNDSSILTNHTKPSTASSTYDFSRNSISYSSINEGR >cds-PLY95852.1 pep primary_assembly:Lsat_Salinas_v7:5:63076095:63076331:1 gene:gene-LSAT_5X29821 transcript:rna-gnl|WGS:NBSK|LSAT_5X29821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAADLHHSLAVIAGHQEVLDEVLTGMDILFQHSMEATDTASAATRVTGHAMTAYYVFGGLLLIVVLMFLVGIAISIWF >cds-PLY91686.1 pep primary_assembly:Lsat_Salinas_v7:8:12235354:12237472:1 gene:gene-LSAT_8X9221 transcript:rna-gnl|WGS:NBSK|LSAT_8X9221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLTLTLFILAVLFLAGTITADYITSSCTATTYPRLCVQSLSPYAETIKHNPAELARFALNVTLNQSESAQSFLQKLTESKWLNSRERSAVGDCLEEVNDSLDRVRNSIRELNSVDRFKGREFLLHMSNVQTWISSALTDENTCMDGFSGQEIGGPVQSSVRIQISDVAHFTKWWGMRLKRGSRRMFGAWIFLLRSGSGGSLLWPLIKMLE >cds-PLY70511.1 pep primary_assembly:Lsat_Salinas_v7:1:72804080:72804778:-1 gene:gene-LSAT_1X64700 transcript:rna-gnl|WGS:NBSK|LSAT_1X64700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPVEEKKTVVAEKTPAEKKPKAGKKLPKEAGAGATDKKKKRSKKNVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >cds-PLY94245.1 pep primary_assembly:Lsat_Salinas_v7:8:70034823:70036641:1 gene:gene-LSAT_8X50121 transcript:rna-gnl|WGS:NBSK|LSAT_8X50121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 35 [Source:Projected from Arabidopsis thaliana (AT1G26760) UniProtKB/TrEMBL;Acc:F4HPB8] MQDLRFKAKGLLHRDEWEQSIQTYSQLISLCQTHISNPQTRPDPKLQKSLCLAFSNRAEARYKTRDFDQALKDCDEALQIENTHFKTLMCKGKILLNLDRYSMALNCFKIANLDHPSNQDSETLNGYLEKTKKLAFLSRSGAFDFSNWVLNGFKGNLPELAEYIGALEIKKSEISGRGLFATKNIDVGSLLLVNKAIATERGILPESKTQDLGENAQMVMWKNFIDKVVASTSNCKRTQYLISKLSVGENEEDLEVPDISSFRPEYEQECGFLGEKIDMGVMLSILDVNSLVEETFSSKFSGKNGDYHGVGIWVLASFINHSCNPNAKRFHIGDHVIVHASRDIKEGEEITLGYFDVFSPLKTRKEMTKNWGFDCHCKRCKFENGVSEKQEMGEIEMGYERGVDVGNMVYKLEESMRRWMVRGKMKGYLRASFWKVYSELFVSEKLMVKWGRRVPAMEVVVESVVEAVGGDERVLRVVVEGMKRNGGSGGVVEMEKAMKLGRGVYGKVMKKQAMRSLIC >cds-PLY83171.1 pep primary_assembly:Lsat_Salinas_v7:3:94629930:94631349:1 gene:gene-LSAT_3X70661 transcript:rna-gnl|WGS:NBSK|LSAT_3X70661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMTTATPIAVASPISSTPRLFPSQCLANPRFELTTKAQLSNQRNRRNGNDIGNKGRNFNFSWMATVGENVMPTTPVTPTSVPVRVAHELHQAGHRYLDVRTPEEFAAGHAIGAINVPYMFRLGSGMSKNPNFIDEVSSHFGKDDEFLVGCQLGKRSLMAATDLLSYGFTGVTDIAGGYASWNQNGLPIES >cds-PLY79961.1 pep primary_assembly:Lsat_Salinas_v7:4:249541285:249542397:-1 gene:gene-LSAT_4X132700 transcript:rna-gnl|WGS:NBSK|LSAT_4X132700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFICGSPHSQEEDDYDVLWPYPTTSPRKPTRRGLVFGGIRRSKNPTNPYADRGLDKFEALLADLAHKRQEILTQKGSEDVSMVKFVYRSPDEVKPIVVKLGGKSKQDNTSSLESTPEREHQKVDASVKGHNDGNESEEGKIVKTLFGDPKKKIMFDPWLRKLGHGWKPSYYFPLFLILILVLLMFTGRSFAILCTSLGWYLVPIIIESLDKSKQRTKITKRQYIKKARRIDKQSTA >cds-PLY63537.1 pep primary_assembly:Lsat_Salinas_v7:9:25003955:25004488:1 gene:gene-LSAT_0X37761 transcript:rna-gnl|WGS:NBSK|LSAT_0X37761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSLTLGRNLTAEEWGSFQFWFGFVSEHGVQIPLPGASLYSPPQGKFGIPITLFEAGLRLPTTDIFNLIIQEYGFSVREFSSIGINKIADFELLFYAIGRQPRVLAFKHFFNTFTQSGTRTLSRRRGVPILIHDQKSKKNLQEKLLWVNNDLVVLGYSRVKRMWTMLPCFLVVIRS >cds-PLY78719.1 pep primary_assembly:Lsat_Salinas_v7:9:51774426:51777186:1 gene:gene-LSAT_9X46880 transcript:rna-gnl|WGS:NBSK|LSAT_9X46880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGFECCCGDKDGEFYPLLPEETKPFCLPSPLPQWPEGQGFATGRISLGELEVAIVKDFEFIASYTPKKSKTGVTFYKPVSIPEGFFTFGYHCQLTDKQLRGHVIVARDTQNTAVTDAEFPPLKSPLGYDLIWSSNSVYVWKPTPPDGYRALGFVVTIDEDEPDLEEVRCVRDDLTEDCLIDDVILGSSSNLRVWNTKAIKTGMFCKGVTVGTFFCSTDSVNNDDINICCLRNMDPKLEGMPNLDQVKALIDHYGPTVYFHPDELYLPSSVPWFFENGALLFKKGKSDGRSIDSRGSNLPQGGKNDGEFWLDLPEDENDDSFVKNGNLESAELYVHVKPALGGTFTDIAMWIFCPFNGPVTFTIELLNLNIEMNKVGEHVGDWEHYTLRISNFDGELGSVYFSEHSGGEWVDASELEFIEGNKPTVYSSKCGHASFPNAGTYIQGSTKLGIGVKNDVDKSDRFIDSSKKYQIIAAEYLGGVVEEPDWLQYMREWGPTVLYDGRSELEKIIRHLPFFIRLTVETLIDFFPMELYGEEGPTGPKEKDNWYGDERC >cds-PLY72130.1 pep primary_assembly:Lsat_Salinas_v7:7:55636680:55639828:-1 gene:gene-LSAT_7X40440 transcript:rna-gnl|WGS:NBSK|LSAT_7X40440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMDSEDGDANHVDSFEISSASSTVSSLFSSSDVDVSSNLSITSSSSGEILAAAVVPLTLTVEREGEEGRVARKLKKCVGRNKGVAWGFRSVIGRRKEMEDAVAVVPGFMSHTCDRVGGCTNPGSGNSVEISPIHFFGVYDGHGGSQVAKFCAERMHEVIAEEWDQEASNGCKWKQRWESVMSSSFERADNEVLSEAAAPEIVGSTAVAVVLSGCQIIVSNCGDSRAVLFRGTESIPLTVDQKPDREDELLRIEEQDGKVINWNGARVFGVLAMSRAIGDRYLRPWIIPVPEITFTTRTDEDECLIIASDGLWDVMSNTEVGEVARRILRRRRRXXXXXXXXXXXXSAAGEVPAAQVLADSLIEIAVGRNSSDNISVIVVDLKSKRKHHHISSSS >cds-PLY94817.1 pep primary_assembly:Lsat_Salinas_v7:2:179128592:179131310:-1 gene:gene-LSAT_2X101101 transcript:rna-gnl|WGS:NBSK|LSAT_2X101101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPSEEFSLKETSPKIAGSGNYSVGDKLTCTYDLVEQMQYLYVRVVKAKELPHKDVTGSCDPYVEVKLGNYKGITRHFEKKSNPEWDHVFAFSQDRIQSSFVEIIVKDKDVVMDDFIGRILFELHDVPRRVPPDSPLAPQWYKLEDKRGEKLKHGEIMLAVWKGTQADECFAEAWHSDAATVGREGISKIRGKVYLSPKLWYVRVNVIECQDLVPSDRNKPLEACVKVALGGQSTRTRISPVKTANPTWNEDIVFVAAEPFEEGLMLTVEDRGNKDEVLGKCLLPLHSVNRRWDNKTVQSRWHNLEKHPMYDGEKKEVKFASRIHLRVCLDGGYHVLDESTNYSSDLRPTAKQIWKSSIGVLELGIISAKGLSPMKTREGRATTDAFCVAKYGNKWVRTRTIIDNFSPNWNEQYTWEVYDPCTVITIGAFDNGHLHGSNKDLRIGKVRIRLSTLETERVYTHSYPLIALHPSGVKKMGEVQLAVRFSCTSYINMLHKYSQPILPKMHYVHPLSMSQTDTLRHNATQIVSTRLARAEPPLKKEVVEYMLDVGQHIWSVRRSKANFFRIVNVTSNLVGLVKWFDSICHWKNPLTTLLIHVLFVMLILSPELILPTFFLYLFVLGIWRYRWKPRHPPHMDIRLSHADAVTYDELDEEFDTFPTSKGSDVVRMRYDRLRSIGGRIQTVAGDLATQGERFHSLLSWRDPRASALFVTFCLMAAIVLYVTPFQVVALLGVFYVLRHPRFRTKLPSMPANFFRRLPSGADRML >cds-PLY75558.1 pep primary_assembly:Lsat_Salinas_v7:9:33663152:33666031:1 gene:gene-LSAT_9X29580 transcript:rna-gnl|WGS:NBSK|LSAT_9X29580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAWEATVRKTHAARRQASNIFSSSPVANMDEEDEIHAAGEVFHAERYLPNGDYYTGHWLDNFPHGFGKYWWTDGCMYVGDWYRGKTMGKGTFSWPSGANYQGEFKSGYMDGEGIYTGPNGDTYKGSWVMNLKHGHGVKEYTNGDIYDGEWCGGLQEGNGKYQWKDGNCYDGEWKNGMMSGMGKLVWSNGNTFEGLWDDGIPSGHGRFKWADGSFYEGNWSKDAAEQNGDYHPSDDNSKDEHKDWSQEQVYEVDLKECEICPLDKVPILPSHKKLAVWRSTKGSSSTASTSDTAPRSRRMSIDGSSFDVSTVEEANLKARDDTSFSSPSPSNSSVEASPIQIPKVVKKQGDIISKGHKNYELMLNLQLGIRHSVGRPGPTPSLDLKPSAFNPKEKVWTRFPPEGSKYTPPHQSCEFKWKDYCPLVFRTLRMLFKVDAAEYMLSMCGNDSLRELSSPGKSGSFFYLSYDDRYMIKTIKKAEVKVILRMLSAYFNHFRQYENTLLTKFFGLHCVRLTGAAQRKVRFIIMGNLFCTNHTIHRRFDLKGSSLGRLTDKPESEIEATTILKDLDLKYFFRLPKTWNEEFRRQIEKDSDFLEQERIMDYSLLVGLHFREPSHLTPVGDGTVEDSYSAADMDKLLSDPSGHQLGIGMQARIEKMERTIETELIGEATGECYDVVMFFGIIDILQDYDITKKLEHAYKSMHYDPTSISAVDPRQYAKRFHDFILTIFKEDDQ >cds-PLY99210.1 pep primary_assembly:Lsat_Salinas_v7:4:367213456:367214136:-1 gene:gene-LSAT_4X180120 transcript:rna-gnl|WGS:NBSK|LSAT_4X180120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVKGGSRPACAACRFQRRRCSPDCPLAPFFPANQPKIFQNVHRLYGVGNVMKILNMLNDDEKKEEAMKSIKYESYIREIYPVDGCYGRIASLKQNLVDSMRELQYVRLLIHACRNKKDLTQDSDQMINELGNINKDHGFYNYFGSSSSHNNHKPYEWDDQRMKTSNDLTQIQHRFDEFRLSGVEGSPDYTENTCDSFADDQLWFMGSKEDHELRFFASSFFIFSI >cds-PLY76238.1 pep primary_assembly:Lsat_Salinas_v7:4:51597674:51599499:1 gene:gene-LSAT_4X35260 transcript:rna-gnl|WGS:NBSK|LSAT_4X35260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALFNRLSKACLTSTKHNFKISTYARHMSNIPEDTVYGGPKPQNPDKRVTLTTLRAKHRKGEGITMVTAYDYPSAVHLDTAGIDIALVGDSASMVVHGYDTTLPITLEEMLVHCRSVARGAKRPLLVGDLAFGTYESSSQQAVDTAVRVLKEGGMDAIKLEGGAPSRISAAKHIVEAGIAVMGHVGLTPQAISVLGGFRPQGKNVLSAVKVVETALALQDAGCFSVVLECVPAPVAAAATAVLKIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQFALVGEVITKALSEYKQEVENRSFPGIMHSPYKINGAEVDGFMKELQKMGLDKAAAAVAEVAENFVGDKN >cds-PLY79885.1 pep primary_assembly:Lsat_Salinas_v7:8:15471723:15474868:1 gene:gene-LSAT_8X13521 transcript:rna-gnl|WGS:NBSK|LSAT_8X13521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGRQYDCLVNPLGAVRFTFEKAVTSGTDPASLDRNDWGVADLFREFLFDNDGISQVPILTPSTVHRVQPNTLVRFRGMIQDMLGNEFYVGAYKNEETWNTNKFTDVSQFAMDPSADMRVWERRLLYCVPVPGLNSWADSTSDGAVITSTNSPSAIREKRQRDTCPVSTEVEMQDASNELPNSPRAKKMREGESLMTEETDCGRPTVSDVDFRNKFSCLVKIYDSPESDLKLNDVFEFIGVLTFDTEVKNDNNELEDELVNLPPSKVPRLHCLVHRKLSVTDMVFKSPTMEPKPHLVKGIRESLLHYLKVVLGDDELAAHFMLLHLLSKVHSRVDSFAVGKLSLNLTSLDKSSISVFGNRISNAIKTLLPFTHHMPLTVEYLNTASLAPVKDYETNRLVSGALQCAEGSHFMIDETSLQAGVLNSNGVENTRLLKDLMEFQKVEYDFKYYKMNMDADVQILVLSEAKSNILPADLIVPFHPSSVGPLGDVGEETLNEWRWYLATLRSSPHSIETEMQKVVEDDMVAARQVDRSLGSEDFSRWLTMGRLMSLSFGETCLSHQHWQMVKEMERLRKERLQ >cds-PLY85473.1 pep primary_assembly:Lsat_Salinas_v7:3:42703764:42704723:1 gene:gene-LSAT_3X33000 transcript:rna-gnl|WGS:NBSK|LSAT_3X33000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLPNDVDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >cds-PLY62427.1 pep primary_assembly:Lsat_Salinas_v7:7:116513626:116515948:-1 gene:gene-LSAT_7X71901 transcript:rna-gnl|WGS:NBSK|LSAT_7X71901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVDANSQAQVVLRGRRPDALVGFHVLLDEIVCSTLTLLSPRDVARLACASSVMYILCNEEPLWMNLFLNNENRELQYKGSWKRTTLHRLQVLSKCDDDPCRKPLHFDGNFERKTDIQPDEFLQQYDAQKPRPPFRWLIIGQERFGASWHVDPALTSAWNTLLCGRKRMGATEYHIMLGTDHRIETDPLSMRIREDQIKQIWGGDWVYPIVNYIEDPHQFVMMKV >cds-PLY74264.1 pep primary_assembly:Lsat_Salinas_v7:1:77672017:77672858:-1 gene:gene-LSAT_1X65601 transcript:rna-gnl|WGS:NBSK|LSAT_1X65601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASYKSGETENTFIVDLSVGLSTGQIKTGAPCCSERLAKYNHVSVNYRSSISNSGIGMICNVFLKTLTRTLVALCPNITSSGIQLP >cds-PLY63218.1 pep primary_assembly:Lsat_Salinas_v7:6:86535687:86536613:1 gene:gene-LSAT_6X60580 transcript:rna-gnl|WGS:NBSK|LSAT_6X60580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNDNFEVKPKNSSEEALQRWRKLCWVVKNRKRRFRFTANLSKRFEARAFQRSNLESLRTLMLVSHATIQFLNGITYSVPEEVKAAGFQIGPYELGSIVEGRSLEKLKAHDGVEGIVKKISTSTTTGISTSEEVLNKRKDIYEINQFTESPSNGFLVYIWEALQDTTLMILGLCSFVSLIVGITMEGWPKGAHDGLKIIASILLVVFVTATSDYRQSLQFKDLDKEKKKITILVTRNECRQKISIYELLVGDIVHLTVGDQVPTDGLFVSGFSLLIDESSLTSESDPRTVTVETPFLLSGTKVQN >cds-PLY86779.1 pep primary_assembly:Lsat_Salinas_v7:4:296543328:296544272:-1 gene:gene-LSAT_4X147421 transcript:rna-gnl|WGS:NBSK|LSAT_4X147421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLQSPAGNKKRLWVVNHQGCLPCCAEALGNVVATPPSDAIDAPVASENEESHVETKFVSCRLRLSNVRLNRCCGREKGRSNLLLWFSMPLLLTPSLQLFPPSTVVVVACSATAGTTKWVAGLFFRSSVWV >cds-PLY65684.1 pep primary_assembly:Lsat_Salinas_v7:5:275356262:275356681:1 gene:gene-LSAT_5X146240 transcript:rna-gnl|WGS:NBSK|LSAT_5X146240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLANRLARCQRQLARARVDGAIAQGELQWVLKKGVVRAIDRVIESTEFAEGVQGVCGACEVLVFEKGRQLGECSTSSDKSEVPIPGQVLSRAKEVNTALMSFVETNFVGLFRLWELDYDGFRQFCGKWSPRGSSSDSEG >cds-PLY92739.1 pep primary_assembly:Lsat_Salinas_v7:8:66956945:66961201:1 gene:gene-LSAT_8X47460 transcript:rna-gnl|WGS:NBSK|LSAT_8X47460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHHQVHHHLPSSLFSINIAQPPHSSLTVLQSQIHTTVKITPLLHTLTSISFHILEPHLRTRSVSIPNMVPLKKVTTGFGNGFQATPKTSTTNGIPSSLTPPSWDGFASLASYLFNWQEYSDSLLKMAVQVLQQALQSNHAPRAHLGLPELSLGVMPCFGGMAE >cds-PLY63670.1 pep primary_assembly:Lsat_Salinas_v7:4:130825167:130826125:1 gene:gene-LSAT_4X82620 transcript:rna-gnl|WGS:NBSK|LSAT_4X82620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRNRRRRNLIRSLRLLPRTRFRRGSLQGKSEEDDVVETSGDKRRREKLLDKARKSIHEPGSGRVLHLVKAFENILKLPYSNEGELDDQTNNNHERRFSLVLIHHLQVLEADGKDEHSRLPPSDLSSLEQSSPVSYNTCTFYKSLELSTLTHESAVKRKNKTRRVHEESLGDDNSTTKAMNSHEREREKER >cds-PLY93565.1 pep primary_assembly:Lsat_Salinas_v7:2:175600238:175602916:-1 gene:gene-LSAT_2X99701 transcript:rna-gnl|WGS:NBSK|LSAT_2X99701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASANAISTASIICSPKQGGLRNGNGGVSQLRTAQTTTQSHRRFAVRAAAKDIAFDQSSRSAMQAGIDKLADAVGLTLGPRGRNVVLDEYGAPKVVNDGVTIARAIELPDAMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSVKKGIDKTVAGLVEELEKRARPVKGRDDIKAIASISAGNDDVIGAMIADAIDKVGSDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNSEKLIVEFENARVLVTDQKISSIKDIIPLLEKTTQLRAPLLIIAEDVTGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIMTGAEYQATDLGLLIENTQVEQLGMARKITISKDSTTIIADAASKDEIQSRISQIKKELSETDSVYDSEKLSERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAAFVHLSTLVPAIKEKLDDADERLGADIIQKALVAPASLIAQNAGIEGEVVVEKVKEGEWEMGYNAMSDKYENLVEAGVIDPAKVTRCALQNSASVAGMVLTTQAIVVEKAKPKAAVGGVPQGLAV >cds-PLY89509.1 pep primary_assembly:Lsat_Salinas_v7:4:149840864:149841645:1 gene:gene-LSAT_4X92020 transcript:rna-gnl|WGS:NBSK|LSAT_4X92020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSNCTSRFSSSFFVAVRGSIIYDNGLYHLHRQPEIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANRDVPETIEGKKVITLDMGLPVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAVERAIDAANILTMKASIGP >cds-PLY70580.1 pep primary_assembly:Lsat_Salinas_v7:1:88873233:88877611:-1 gene:gene-LSAT_1X75240 transcript:rna-gnl|WGS:NBSK|LSAT_1X75240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERVLKSTSGKLFNMDCLSPASSLKLIAAGKRTLRMIYGAFLILTQIVFSCSMPNAYVHEFDQLFLKSTFRWEFETSFPKVLGDVIESLAGEILVDFGYDKK >cds-PLY81317.1 pep primary_assembly:Lsat_Salinas_v7:4:37908596:37908829:-1 gene:gene-LSAT_4X25401 transcript:rna-gnl|WGS:NBSK|LSAT_4X25401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQQPKHILEFLQFFQFFKYSLYFSSKEYLKVYPCPYCEKYFSAKESAPPAIHQTTQIASATQPLPLHQKQQMLPNG >cds-PLY74237.1 pep primary_assembly:Lsat_Salinas_v7:1:78475858:78480958:-1 gene:gene-LSAT_1X65921 transcript:rna-gnl|WGS:NBSK|LSAT_1X65921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTDGRSLEQTPTWAVATVCFVLILISIFIEQIIHMIGHWFKKKRKKALYESLEKIKAELMLLGFISLLLTAGTTPITKICISEGAANSWHPCSREEEESAGDSGESRRRLLTWSKMGDSTRRILAGSGGDDKCAEGKVSFMSYDGVHQLHIFIFALALFHVIYCILTMALGQAKMRRWKHWEKETKTVEYQFSHDPERFRFARDTSFGRRHLNFWSKSPILLWIVCFFRQFFRSVPKVDYLTLRHGFIMAHLAPQSQSGFDFQKYINRSLEEDFKVVVGISPPIWLFAIVFLLFNTHGLYSYLWLPFIPLVIILLVGTKLQVIITKMGLSIQERGEVVQGVPVVQPGDDLFWFNRPRLILYLINFVLFQNAFQIAFFAWTWYEFGLKSCFHEHTEDIVIRISMGILVQILCSYVTLPLYALVTQMGSTMKPTIFNERVATALKTWHQSARKQIKRNKKSGQVTPMSSRPGTPTHGMSPVHLLQNYRTDIDSFPPSPRTANFDSDNWDTDGSPSPSYHQRRVNEMSIVPHEVELGNREQGNEIHDASSSQLAIVVDTGASEQHEVTIGVPKEFSFDKRNI >cds-PLY94068.1 pep primary_assembly:Lsat_Salinas_v7:4:173189239:173198751:-1 gene:gene-LSAT_4X103221 transcript:rna-gnl|WGS:NBSK|LSAT_4X103221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKLEMKRIEDKSSRQVTFSKRRSGLNKKARQLSVLCDASVAVVVFSSNGKLYEYCSGSTNRLDEKGNVISVSDMTELEEELSAALMHTRARKV >cds-PLY73067.1 pep primary_assembly:Lsat_Salinas_v7:2:29519779:29542239:1 gene:gene-LSAT_2X12361 transcript:rna-gnl|WGS:NBSK|LSAT_2X12361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVKATTQATPIQENIRSGRKRKETCGPMKQVINKTNIDNNLLYQSSEEEEEDDDDDDDSTQKRVCLEWTPELHKKFLDAISELGEGRCFPKEILNLMDVPGLTRMQVASHLQKCRKEKWKVNERGRTPTSSNSSTLSSNVSPLEVSGRKFGCMPLIQTDKNVKEDHSSVIGINLSINGWQIDEKHKNEQTPRVLPIMNEFIQKNIVQPTPDILFPLGNGFDSNQDEGIGSKITKSTNNYFLQAISGSHDVGEGVSDPYNLSSIPRQTSDDFPDYLKDMDGNGPNDDLIS >cds-PLY86357.1 pep primary_assembly:Lsat_Salinas_v7:8:27095290:27097626:1 gene:gene-LSAT_8X17440 transcript:rna-gnl|WGS:NBSK|LSAT_8X17440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSIDSTPVASSLAPGFRFHPTDEELVRYYLRRKICGKPFRFDAISDVDVYKVEPWDLPDLSKLKSRDLEWYFFSALDKKYGNGSRTNRATEKGYWKTTGKDRVVHHRSQQVGMKKTLVYHSGRAPKGERTNWVMHEYRLIDQELEKAGIIQDAFVLCRIFRKSGSGPKNGEKYGAPFIEEEWEDEDESVVVPKQEDCTQELPVDEDSYLDANDIEQILNTDMPVEDVPLPLNFNQDDYSNIISNSDESNKSFDPPVQTVFHEHVEEQSNPMNFDIDYLLDQPYFDATSDFPLDETLFFEANDLKDDVNTDSGLDILDEYMSFYNSDLDNFQPTFDSLQNDNIALDSSSVLKGTHQEQNNDKDIASSSKKEHADQETDIAYPFLKKASYMLENISAPPAFASEFPAKYMASGSHGQSSVNVTSGMIHISNVSFGSGAMDFSLGKNTQLNIVVSFGDMNTGKVNSGGSRSWFYCGLLWILVASLSFKIGSLVCSRSFMS >cds-PLY83244.1 pep primary_assembly:Lsat_Salinas_v7:8:252008686:252010173:-1 gene:gene-LSAT_8X147620 transcript:rna-gnl|WGS:NBSK|LSAT_8X147620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSSIKRPPTPDAEEEEHDREPTLQEIINIKLIESGEKERLKELLRERLIECGWKDEMKSLCRSFTRKKGRSNVTIDDLVHLITPKGRAAVPDSVKAELLQRIRSFLQSAAL >cds-PLY76659.1 pep primary_assembly:Lsat_Salinas_v7:4:115942191:115946562:1 gene:gene-LSAT_4X74560 transcript:rna-gnl|WGS:NBSK|LSAT_4X74560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTARGSGTNGYIQSNKFFVKPRTNKVLTGNSGGYEAGQGIAGVTRKPNKDILEHDRKRQIQLKLVVLEDKLVDQGYTDDEIAEKLDEARRTLEAALASEDAGGATAVVMHSDQKVSDTQTHQVAARKQKQMEALKNALGIVHEDERKKKYALVSDDEKSNDDDEAKNNDGKLEKDIKVGKHGIDELKNYKKKTSKKREVSSDSESDTNTNSSEEEPVKVSRKKHQKSKPRVDSESDSDVDVRRSKRKSSSNKHKKDRKHESDDSDSDELPNFKTEKGKQLYSKSKRHDSDDSDDDSEYEERQKEEKRKERKIEKDVSTPINDRWDGGGSKHDDRERRYERVGRRRHESDDEASDRDIKHHKKEVVERGGKRRNHHDVEDVRGKKERVESGGRRRHDSDDDEDYESKKTKLPLVKNLTKSKSSDDEDKDRRGVKHKRDEEDEEKGKGRGRHYSKYSRENEEREVERRGGRRDHDVEEDVRGKKQRVESGGGRRQNDDVKEDVKGKKEKVEIGGRRMHGSDDDSDIDVRRTSTREKVERGRRRHDEHEPEPEPMMKMMPNKDEDKHKSKASDDENEDEEKRRGVKHKRRGEEDEEEKGRERHYSKYSREREEEEEEYRSRRGERDHSKRSRDDRYDGAKHHHAGRSRH >cds-PLY67563.1 pep primary_assembly:Lsat_Salinas_v7:3:53874871:53878758:-1 gene:gene-LSAT_3X41360 transcript:rna-gnl|WGS:NBSK|LSAT_3X41360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFTQTQSFVRFLVLLCFSLTITISSGATTISVNQPLSGDYTIISEGEQFELGFFKPGNSANYYIGIWYKKIKSNPLSIVWVANRETPVSDRFRSELKIIDGNLVLLNESKSQIWSTNVSTTTTLKSAIAVILDDGNLVLREGTSNSVEPAVWQSFDHPTHTWLPGAKLGYDKRTKKSQRLTSWRSKEDPAVGLFSAELHPSGKEYVFKWNGSQQYWTSGAWDGKAFYLVPETRQSGSPFCNCLTGFNPRSESDWNQSDFSSGCVRKTDLQCGRNMEKQDFLKIRVKNLPPNNSVAVGSAGECRTTCLNDCLCNAYSFVAAQCLVWDGNLLNLSEDDGSGNTIYIKVASKDLPRPKKSNNLIVVVGVVGGVVLFLVVVLVLIYRKKRVSLSVGKTTMEGLLVAFVYKDLQIATKNFSDKLGEGGFGSVFKGVLHDSSIVAVKKLESISQGEKQFRSEVSTIGTIQHVNLVRLRGFCAEGKNKLLVYDYMANGSLDTHLFRGKQVLNWERRYQIALGTARGLVYLHDKCRDCIIHCDIKPDNILVDANFHPKIADFGLAKLVGRDFSRVLTTTRGSMGYLAPEWISGVPVTAKADVYSYGMMLFELVHGKRNVMHCEYSSNTFYPGLVSSVLMKGGDILSLLDSRLNREACVEQVTKICKVACWCIQDEAESRPAMSLVERILEGVSDVSMPPIPQIVNLFVENTGDVVFFTDSPSKECSLAQSNSTGGEPNRRVHHLKDQLLKVESGKQQGDS >cds-PLY88317.1 pep primary_assembly:Lsat_Salinas_v7:3:86675858:86677284:1 gene:gene-LSAT_3X67000 transcript:rna-gnl|WGS:NBSK|LSAT_3X67000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTFRNRSADMARAAEHDISPDNKDYNIMQNFVPNGMQSQRWKDLCREWNTDAWLKRSASGKSNRNTADSGGKIARHTGGSISYDEHRIRFIAKKGRPPTFLELFLITHLDKTSKKKYFDGDVEGKQFCTERAREAYEAYSQALLEKYGDDLVDHPIDDAELWAKTQREISGSSRSSYIYVVGSSDINSLFNGKPSVGAGCSSSYCGSQQEVKELRNQLENVERERVLMQQKQEMMEQQLAQLMRRFGNHPEDQC >cds-PLY66914.1 pep primary_assembly:Lsat_Salinas_v7:7:21638896:21641909:-1 gene:gene-LSAT_7X17080 transcript:rna-gnl|WGS:NBSK|LSAT_7X17080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTKIKGVFKGFKFISQIFVMKEREMEIGYPTDVKHVAHIGWDGSSGSAPSWMNEFKTAPDFAATSIGNSGSALSTWSSQDFGEAMGRRPTDDTLNDIPPVDLPNIPKKQRRRKSKSTCSPKSSSSSKSSRAAKAKANMEIM >cds-PLY94737.1 pep primary_assembly:Lsat_Salinas_v7:8:51568657:51570861:1 gene:gene-LSAT_8X38500 transcript:rna-gnl|WGS:NBSK|LSAT_8X38500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSINNGGGGGGGNHIIDAFGRLLTRVLRHMASELNLKMRKDELNLSDEVVPSESLINKESKLPETDDKGSIIVEEKNRKETEKPQADKEAAVAHAKNRILTTTGT >cds-PLY83072.1 pep primary_assembly:Lsat_Salinas_v7:5:117233551:117237550:1 gene:gene-LSAT_5X51421 transcript:rna-gnl|WGS:NBSK|LSAT_5X51421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRKFGKKVTRIWSRIRLRAIIWRTATRKVLIRRFKNQNLTRMPTRIRAQKINQTAPDCIRVASFNVAMFSLAPAVPKSDGSWVDGIRGHEVSGPKSILKQSPMHTTGAENHAKRVSINLPDNEISMAQNRVLRSPICFPLTGLMDDGNRSILDVLKDVDADVVALQDVKAEEEKEMKPLSDLAFALGMNYVFAESWAPEYGNAILSKWPIKRSKVQKIYDDQDFRNVLKATIDVPRTGELSFYCTQLDHLDENWRMKQIHAIIKSSDHPHILAGGINSLSGSDYSFDRWNNIVKYYEELGKPTPRTDVMRFLNEKDYIDAKHFAGDCEPVVIMSKGQSTSYNL >cds-PLY97695.1 pep primary_assembly:Lsat_Salinas_v7:8:7946630:7949300:-1 gene:gene-LSAT_8X6141 transcript:rna-gnl|WGS:NBSK|LSAT_8X6141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKFSFIAVFLFGAIFSLVTTEPTEDKKILLDFIQKIPHSSAINWRMNSLACSNWTGVTCDHQRSSIIALRLPALSFHGPIPPNTLSRLSNLQILSLRSNGLSGPFPSDFSNLKNLTSLHLQCNNFSGPLPLNLSVWDNLSVLNLSHNGFTGSISPSISSLTHLTVLSLANNSLSGEIPDFRISSLQIIDLSNNLFTGTVPRSLGRFPSSAFLGNNLAPNSGSLSLPALSPQKKPSKLSESAVLGIVIGCSVLVLGLLALLLVVWHLKTEAKNQDLQRTDKNQKGMENLRSRSLGNGNGNGNLVFFEGSNLAFNLEDLFRASAEVLGKGTFGTTYKAALEDSNAVVVKRLKDVYVTKREFEQQMEVVGSIRHENVVPLRAYYYSKDEKLMVYDYFNEGSVSSMLHAKIGNNTKPLDWESRLKIAVGVAKGITHIHTQSGGKLVHGNIKSSNTFLNRHRNGCISEMGLAAVTTPAAVRGGGYQAPETMAAKKIYQASDVYSFGVLLLELLTGKSPANATCGNEVVHLVRWVHSVVQEEWTAEVFDVELLKYPNIEEEMVEMLQIAMQCVGKSPEQRPKMAEVVKLVENIRITGVSSLA >cds-PLY66757.1 pep primary_assembly:Lsat_Salinas_v7:8:72186512:72186859:-1 gene:gene-LSAT_8X52460 transcript:rna-gnl|WGS:NBSK|LSAT_8X52460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDELKKGSVNEVGASFMKGGEEQHSISPKKDDDDDGNKIMKDLVIQELFDDDSNASKKGPNPPQSLSKIPSPPPKSHKSPPKVLLPQKSPLKLKSPQEESIPVRDLGKEKVTKE >cds-PLY95751.1 pep primary_assembly:Lsat_Salinas_v7:5:248833393:248833698:1 gene:gene-LSAT_5X123920 transcript:rna-gnl|WGS:NBSK|LSAT_5X123920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLRGPLVGPDRWWYHTLLKGTVRETLASYGSVPESGPPFPLTNGSSNQLVLPFYFSVALACFQVQALAVEASRQKRTSGPGRSQKR >cds-PLY62121.1 pep primary_assembly:Lsat_Salinas_v7:5:39269306:39270247:-1 gene:gene-LSAT_5X18821 transcript:rna-gnl|WGS:NBSK|LSAT_5X18821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEESVNFVKGYGKVNPSDDETTAVPCQSPSPKRRKTVAAVITLGLFAIIVGSIVGAAEVHHRRTKSPEPQSSSVKAVASIKAVCAVTQHPESCFTDVSTIDSGNFFDPEVIFNFTLRLAINELTNISSLPKTLISKSHDLRTGSALRDCATLFDDALSQLSRSVEAMRVGPVGGEKLLTEEKVADMKTWISAAMTDQETCVDGLEEMGSTAVDEVKSRMQRSNEYMSNSLAILANIETILDQFGLRLH >cds-PLY64814.1 pep primary_assembly:Lsat_Salinas_v7:2:102396609:102397070:-1 gene:gene-LSAT_2X44960 transcript:rna-gnl|WGS:NBSK|LSAT_2X44960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAINSNDFTSINGILAIVCSLFKRFCCGFNSLFNKFRYQPKRNNIMLDLIYCSDNFATPLLSTIQSISRKMNVAAAVGSVATIRQLLEARSLCCRIFYSLNVLDVPEKFKDKADEWMNEFKSYLRERYPGIEDGGHCSSSSHTMFKKQTRNGH >cds-PLY73356.1 pep primary_assembly:Lsat_Salinas_v7:7:63695835:63697671:-1 gene:gene-LSAT_7X46061 transcript:rna-gnl|WGS:NBSK|LSAT_7X46061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIRKPAWLEALYAQKFFTACPIHVNSKKNEKNICCLDCCISICPHCVHIHRFHRLLQVRRYVYHDVVRMEDLERLIDCSNVQAYTINSAKVVFIKKRPQNRQFKGSGNYCTSCDRSLQEPFIHCSLGCKVDFVLKHYRDLTPFLRVCNSLQLGPDFFIPNDTGDDEMTNETPHSTVVDSDDPMSSGSGSSGSENMSMMCTGFVRKKRSGLYSCERFSSKVHSEEDMATSMSRRKGIPQRSPMC >cds-PLY80785.1 pep primary_assembly:Lsat_Salinas_v7:1:70717648:70719907:-1 gene:gene-LSAT_1X59241 transcript:rna-gnl|WGS:NBSK|LSAT_1X59241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARRKEVVVSALQFACSDDVATNVDTAERLVRSAHEKGANIILIQELFEGYYFCQAQKEDFFQRAKPYKEHPTILRMQKLAKELGVVIPVSFFEEANNAHYNSIAIIDADGTDLGIYRKSHIPDGPGYQEKFYFNPGDTGFKVFQTKFAKIGVAICWDQWFPEAARAMVLQGAELLFYPTAIGSEPQDEGLDSREHWKRVMQGHAGANLVPLIASNRVGKEVIETEHGKSSITFYGNSFIAGPTGEIITVANDKEEAILVAEFDLDQIKSKRHSWGIFRDRRPDLYKVLLTLDGSNPSS >cds-PLY71125.1 pep primary_assembly:Lsat_Salinas_v7:9:79766415:79767509:-1 gene:gene-LSAT_9X64461 transcript:rna-gnl|WGS:NBSK|LSAT_9X64461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAAGNFSGVIGNSRWSLAGMTALVTGGTRGIGYAVVEELAALGAEVHTCSRNESELNQRLQEWSDKGFKVTGSVCDLSSRPQREQFIEKVSSLFGGKLNILINNVGTNIVKPTLDYTAEEYSFLMSTNLESCYHMSQLAHPLLKASGAGNIVFISSVAGLVHASVGSIYSATKGAINQLTKNLACEWATDKIRTNCVAPWFTKTSLVEQLVGPKEFEDAVASRTPLKRLAEANEVSSLVAFLCLPGASYITGQTIAIDGGFSVNAFA >cds-PLY82315.1 pep primary_assembly:Lsat_Salinas_v7:1:67017300:67018299:1 gene:gene-LSAT_1X57020 transcript:rna-gnl|WGS:NBSK|LSAT_1X57020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDDAAAAKNAVFPVVLFDGERETDVGNVKIHEDLLFKEFQMKLSQMIGISYNNLTTYLVDSRKSKISPERRKILITGKVNFSVIIRERNCYFLVVLKRSRRDRRRKPSHQSDLDFRLAPDDLSRIHWNQLDINDAQMSGYGPYYYDERFHDLLIQRENYMNMILNSRYGFDSPLNMNFPKIEEVYPKVQSSRALCEDCTAAEKQGKTAEFHLCVYDEVILDGFRSPAGPVSRRR >cds-PLY95959.1 pep primary_assembly:Lsat_Salinas_v7:9:40669773:40671699:1 gene:gene-LSAT_9X36320 transcript:rna-gnl|WGS:NBSK|LSAT_9X36320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQSTLRAKEDVQSFVLLSGHRIPAVGLGTWRSGSQASDSVATAILEAGYRHIDTAWEYGVHDEVGHGLKAAIDAGIERKDLFITSKLWCHDLSPERVRPALLNTLQELQLDYLDLYLIHWPFRLKEGASRPPKAGDVLNFDMEGVWREMEKLKTQNLVRDIGISNFTLKKLNKLTGFAQTMPSVCQMEMHPGWRNDKMLEACKKNGIHVTSYSPLGSQDNGRDLIHDPTVEKIAGKLNKSPGQVLVRWAIQRGTSVIPKSTNADRIKENMQVFDWAIPEQDFNVLSSISDQKRVLHGEDLFVNKNDGPFRSVADVWDHED >cds-PLY78586.1 pep primary_assembly:Lsat_Salinas_v7:1:102700771:102707813:-1 gene:gene-LSAT_1X84160 transcript:rna-gnl|WGS:NBSK|LSAT_1X84160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSARKSVGPGRRGGKTRGTPKVAAQPDPEVVVVPEESKKPVEDAPIEVKEEEPVEIKEEDVKVGSKKGETSKIEKANEEKSGKVEGKSVADNGIDDSKRDQNDVKDSVPEFEKDERLDLEDNDPEESEPEEYIGEDYDEKEAQEQVEEEEEADEMEVGEEDHDPTEEMEAEEEDEVEEVEPEQPHADVADADADEQEHHDVFKERRKRKEFEIFVGGLDKDATEEDLRKVFSAVGEVSEVRLMMNAQTKKNKGFAFLRFATVEQAKRACVELKSPVVHGKQCGVSPSQDSDTLFLGNVCKTWTKEALKEKLKKYGVDTVEDLTLVEDNKNDGSNRGFAFLEFSSRSDAMDAFKRLQKRDVTFGVDRPAKVSFADSFIDPGDEIMAQVKTVFIDGLPASWDEGRVRDLLKKYGEVVKIELARNMPSARRKDYGFITFGTHDSALTCAKSINNEELGDNENKAKVRARLSRPLQRGKGKHGSRGGGGGGDFRSGGGRSASRGFRGPWARPVPHSLPPRGMRRVGGRPPPVVERGVRRPVPVRDRRPVAALRPRGRPVAPPPRSYDRRPPVPSRPKSSLKREFSRREELPPRSRVVADYGPPPPPPRSTSERSSSYRDSYPTRGPTYADLPRGSSHPSSRRAPASAAYTDGGYPPRYERPPPPSYRERPPRDYDPVPGSKRSYAALDDIPPRYADSDVREVRHTRVRMDYDIGGGSSQYGDAYGERMGRSNVGGGYGSSSRSSMSGHDSHGLYSSSSRQGMSYGGGGGSYSGGGGGGGGDGGMYSSSSYGGDYIPRGGDGGGGSGYSSSLYSGRSMGGGGGGGGGYMGGGGGGSGSYY >cds-PLY62613.1 pep primary_assembly:Lsat_Salinas_v7:8:34824863:34828857:1 gene:gene-LSAT_8X28060 transcript:rna-gnl|WGS:NBSK|LSAT_8X28060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase-like 5 [Source:Projected from Arabidopsis thaliana (AT1G20510) UniProtKB/Swiss-Prot;Acc:Q84P21] MAAIDGKSGYCNSNSTFYSKRKPAPLPANETLDVTTFISARAHHGTIAYIDASTGRRLTFSDVWRAVESVSSSLADFGIRKGDVVLLLSPNSIFFPIVCLSVMSLGAIITTTNPLNTNREINKQIANSKPVLAFTISELLPKLADSNLPIVLIGSSTGKGKIVSTLEEMMKKEPNQNRVKERVTQDDTATLLYSSGTTGESKGVISSHRNLIAMVQTVLGRFQLEDNQQTFLCTVPMFHIYGLVAFATGLIASGATVVVLSKFDIHEMLSAIGTYKVSYLPLVPPILVALVNNADQIRKKYDFGSLKWVLCGGAPLSKELTEGFMEKYPGVTIMQGYGLTESTGIGASTDTLEESRRYGTAGKLSANMEAKIVDPDTGKALPVNRTGELWLKGPTVMKGYFSNPEATSSTLDSTGWLRTGDLCYIDEDGFIFVVDRLKELIKYKGYQVPPAELEALLLTHPDIDDCAVIPFPDKDVGQFPMAYVMRKSGSNLSEKGIMDFVSTQVAPYKRIRRVAFIGSIPKNPSGKILRKDLIQLATSKL >cds-PLY86737.1 pep primary_assembly:Lsat_Salinas_v7:2:197153717:197159737:-1 gene:gene-LSAT_2X118760 transcript:rna-gnl|WGS:NBSK|LSAT_2X118760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVIGMVLKVMDLEMFVCNIKSLLRRISSGDIFHHHIQLFLYLFLLHMELPWLMGQVLWTGKADFFQTDSLKSDANRRVFNSVPLDVPMVGLVDVDGSGTISVVLIATVAGPTVEVVQGLGDDNVSA >cds-PLY90502.1 pep primary_assembly:Lsat_Salinas_v7:1:206136474:206142805:1 gene:gene-LSAT_1X125801 transcript:rna-gnl|WGS:NBSK|LSAT_1X125801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRHENRHADGDGGGGSSPYDVFEDRDSQIQKHKGREDSERSKTRERERDRDRDRGSRDRRHRDYPERSSRDERGRDRNEDDGRSRNRDYSRRNDYDRERERHHRHRSRSASPDRSRESSRSRSKSKRTSGFDMAPPSAATMPGAVGQVTDAPQAMPGMFQNMFPFGAAQLGGLPMMPAQAMTQQATRHARRVYVGGLPPLANEQTIATFFSHIMTAIGGNSAGPGDAVVNVYINHEKKFAFVEMRTVEEASNAMALDGIIFEGVSVRVRRPTDYNPSLAATLGPSQPNPNLNLAAAGLTPGGIGGAEGPDRIFVGGLPYYFTEVQIRELLESFGPLRGFDLVKDRDTGNSKGYGFCVYEDQAATDVACAALNGLKMGDKTLTVRRATISSGQMKSEQETIMAQAQQHIAMQKMALQVGGFNLPGLPRLPDEIPTKVLCLTEVINADELMDDGEYEEILEDMREEGRKFGDLTNVVIPRPDPNGEHVHGLGKVFLEYSEPSDCAKAKDSLGGRKFGGNVVTAVYYPEDKYLQGDYGA >cds-PLY97571.1 pep primary_assembly:Lsat_Salinas_v7:5:239065844:239070687:-1 gene:gene-LSAT_5X117520 transcript:rna-gnl|WGS:NBSK|LSAT_5X117520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAMETLQDLIEEAKLRTVWWALCIFAVSYFLAHTSKSMWMNVPIAILMVSGSRILLNEVEFRWRTRKNRPLTYLSHLEKKQLSVNDSRLSTLPPPPKWKRKVDSPMVEAAMEDFINKLLQDFVVDLWYSDITQDKEAPELIRAIIMDVLAEISARVKNTNLVDLLTRDVVDLVGVHLELFRKNQAAIGVEVMVTLSSEERDERLKHHLMASKELHPALLSPESEYKFLKRIMGAVLAVVLKPREAQSPLVRCICRELLTCLVMEPVMRFASPGYINELLELIFLTSTNNGGEDQSPNVKEHKQDQSTSTKSSDLSNTQKNDMTIAKHDANIEHPLDASLNSVTGLNQEKTKSLPAADWARVLEAATQRRTEVLQPENLENMWTKGRNYKKKAQKHATRGQNSNIKKDKLENSSSEKFPPRPHDADQRNTLSLDGGAEESSSVIKFRKSNSTSDLIKETQIETGYPDQVSGSIISEFYTANVGKKDVHNNVNVASDKVLRIEGCVPKLKCRVLGAYFEKLGSKSFAVYSIAVTDAENNTWFVKRRYRNFERLHRQLKDIPNYTLHLPPKRIFSSSTEDAFVHQRCIHLDKYLQELLSIANVAEQHEVWDFLSISSRNYSFGKSSSVMRTLAVNVDDAVDDIVRQFKGVSDGLIRKVVGPSFTVEPMSKSLTWKADELSNSYSYTRQATSESANSLSDNEENGVVDSIPTAQPNGWHSDNELNTKGFPPRVVKHDDLLRSLDHEKRAEILNLAANFPSTSDSMEDPLGVPAEWTPPNVSVPLLNLVDNIFQLNRRGWLRRQVFWISKQILQLMMEDAIDDWLLRQIHWLRRDDIVAHGIRWIQDVLWPEGIFFTRLTTQNSYHSDQDTPKTATHSSGSKVYKQGSFEEQLEAARRASDVKKMIFNGAPSTLVSLIGHNQYKRCAKDVYYFLQSAVCLKQLAYGLLELVIITVFPELHDIVTDAHKKEATRV >cds-PLY92743.1 pep primary_assembly:Lsat_Salinas_v7:8:67323548:67325839:1 gene:gene-LSAT_8X47701 transcript:rna-gnl|WGS:NBSK|LSAT_8X47701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNEKERETHVYMAKLAEQAERYEEMVESMKSVAKLNVELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNESNVNLIKGYRKKVEDELAKICSDILEIIDKHLIPSSGSGEATVFYYKMKGDYFRYLAEFKTDQERKDASEMSLKGYEAHLPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDSLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGTSYPSLLPYLSFDCLTLTYVNETKIAIFFPTQNVGKRLALVFLSVCVKDVNALIIENSPRKPV >cds-PLY85984.1 pep primary_assembly:Lsat_Salinas_v7:3:132145161:132147225:1 gene:gene-LSAT_3X90401 transcript:rna-gnl|WGS:NBSK|LSAT_3X90401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQSSSTNAPSPPRSTQRHHRSISLSSSFSARSEIFSFMHSKKEPSFEIPDAVPTTIDYTSEIPDECLAIVFQFLSSGERKRCSLVSRRWLLVEGESRHRLALNAQSDLLPLIPSIFARFDSVTKLALRCDRRSVSINDEGLILISFRCPNLTRLKLRGCREITDVGMAGLAKNCKGLKKFSCGSCMFGAKGINALLDSCSYLEELSVKRLRGINDGGAAEPIGPGAAASSLKSICLKELYNGHFFGPLISGAKKLKTLKLLRCLGDWDRLLETIATADSCLVEVHLERLQVTDVGLSSLSNCSKLEILHIVKTPECTNTGVVAIAEHCKYLRKLHIDGWRTNRIGNEGLTAIAKHSANLQELVLIGVNPNSASLEAIATNCQKLERLALCGSETIADGEISGIASKCVALKKLCIKGCPVSDEGIEAFAWGCPNLVKIKVKKCKNVTSEVGDWLRARRGSLVVNLDVCEVETEAVDASASDGVQEDAVEFPPIISQVTVGEPEREHEPSSSTSSSSGRRASIFKTRFGFFGVRVFVPCTFRRWTNGNSNT >cds-PLY63373.1 pep primary_assembly:Lsat_Salinas_v7:7:151289753:151290309:1 gene:gene-LSAT_7X88820 transcript:rna-gnl|WGS:NBSK|LSAT_7X88820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESCLPFLALFFLVMQITVTVYARPNPEEYKQHSHVGGGTLVSTDPTKRSPCNQRKSPNEDFEPRPNILVYDNSAGLKDKKMFPNDFEPRPNVSIYENGASVKGKKMFDEEFEPRPNVSVYDNSGSLKGKRTFDEEFEPRPSVTAYKG >cds-PLY89617.1 pep primary_assembly:Lsat_Salinas_v7:9:39424711:39436563:-1 gene:gene-LSAT_9X35760 transcript:rna-gnl|WGS:NBSK|LSAT_9X35760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKILQIAAKETMDPELVDFVDWQKVAGKTVFLRPVEVKLVPMSLEGVAFRTKVLDIDELMNYTSLIAEHRKETMEALDASGYLKSVIYALVDIIKQSSGCYPLEVNVRIPRGLANRSGFHDGGEFDVLDPGALLGGTAGMWLLSILSSCSEGNRRIVVEAGKVVNDFLPLVNLKKVVKEIDDQPLPLVDGIEDQLVEFSIAMRSPTFAKNLGNFEQKVGGSGSLKHYPIELENGEKSQRCCGENGICSHDPQGGGSNVTPQLLRVAEKPVTHLDPTNTPLTDLEREIEAATLGGSTHRKNALRMGCPHPEVGVASSNYNNSRGSRGVIVGSGGSCGL >cds-PLY74319.1 pep primary_assembly:Lsat_Salinas_v7:9:187787819:187788025:-1 gene:gene-LSAT_9X115080 transcript:rna-gnl|WGS:NBSK|LSAT_9X115080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGRPRVIGLSTEQRKRLKLQLKLLKILQLFSWMNRLLDLMQEHCHCYESCKKHSGQRKNCCFHHSST >cds-PLY73618.1 pep primary_assembly:Lsat_Salinas_v7:5:205953416:205957219:1 gene:gene-LSAT_5X93860 transcript:rna-gnl|WGS:NBSK|LSAT_5X93860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSQTSLRKALGAIKDSTTLNLAKVNSDYKELDVNIVKATNHVEHPAKEKHMRAIFAAISATRPRADVAYCIHALARRLSKTHNWAVALKTLIIIHRALREVDPTFQEELLNYGRTSNHLLNLSHFKDDSSPNAWDYSSWVRTYALFLEERLECFRVLKYDVETERLRTRDLDTPELLQQLPALQQLLYRVLGCQPQGAAVDNFVIRLALSMVASESVKVYKAINEGSLNLVDKFFEMQRHDALKALDIYRRAGQQAERLSEFYEICKSLDMGRGETFIKIEQPPSSFTQAMEEFVKEAPRASSVDRKPKGILAIEHKKVAEAEVEVEVPAKLPPSPPAEPLLEPEPEPKPVKVEAHVAEATPDLLGLNDTLQEVSELDQKNAMALAIVPMSDEQGCGAPISFNGSAGWELALVTNETTASSIKLGGGLDKLTLDSLYDDAMRRSNQNQIQTPASYNPWGPQGSMMAPQTGPDPFYGSTMMAAPHNVQMAAMAQQQQMMMPQHGSNPFGNLYPCNPVRPYDQYNGLI >cds-PLY85003.1 pep primary_assembly:Lsat_Salinas_v7:4:224507523:224509206:1 gene:gene-LSAT_4X123141 transcript:rna-gnl|WGS:NBSK|LSAT_4X123141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIITRIIFLLLFLSHAIAYTRFNLSHFIYPKISDEFRPQPSLFLKDILGEISATEHWKSEDFRVSNLEIEKVKFGNLQRYEIEFLLRNKKDYVFNSVDNVSSWKRFKDKEGDFEVMANEVSSKAVLGSIQIEGPVELLVSGDNEMSLLLPWNTSHSGLKRILVGEDVTVEVKNANEVSLFKTSNLGQQADSEHNLIDHIFPYMTCTPLLPVRISGSSSVVAFRTQNPGAHITSNLLSNHVIELLPDKCYSTHTYKKQPCPIKSLRLRIRLLETVLKSLLGDKIGYGAKLKAKIEASTAFRFVIEIERKIRMNDTRWTTMEEWRTKPSVEHVWFDVLARIENRRLMPIVVKKMKPFVGVDSYAWSNLMANMSFTKLSSVLVAPEALTLDVNW >cds-PLY82237.1 pep primary_assembly:Lsat_Salinas_v7:1:69310776:69313434:-1 gene:gene-LSAT_1X60360 transcript:rna-gnl|WGS:NBSK|LSAT_1X60360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESIIPTWQVSFLCGVLTWVVLSSMFNVTQKVRSSVQPWVSHRVITGAPTILQIQKYQHGFWDALFSGISCVVSVPFYTGFLPLLFWSGHGKLARQMTLLMAFCDYSGNCIKDMVSAPRPKSPPIRILTATKDEKENALEYGFPSSHTLNTICLSGYLLHYIFSYNENIDAYYQVATFMFVCLFVGLIGFGRIYLGMHSVVDIIGGLVLGLIILAFWLCVHEYIDNFVTIGQNVTSFWIALSFLLLFAYPTPEHPTPSFEYHTAFTGVALGIVTGVQQTYNQFHHENVSRLFTPELTIPAFGGRIGIGIPTILLVKFCSKALAKWILPITASALGIPVRSSGYVVGLTGSSVVKKSNEVKPSGGYLQKMFFRREDSFDVDTGIRLVQYAGLAWSVVDLVPSLFYQLNL >cds-PLY66958.1 pep primary_assembly:Lsat_Salinas_v7:7:20380722:20385233:-1 gene:gene-LSAT_7X16060 transcript:rna-gnl|WGS:NBSK|LSAT_7X16060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRESFTSLVEVLIFLLLWSNQRLKCLAFLEACYNTNYGLSLMVQTRSMTRNHDNASRSRSRKRKNSCGGVASAWSWSDVTHDVLYLVMMRLAGVVEFLSFSGVCKSWRSLALSNRKMFMASKPPMLMFISPCGNNKTCLLQDFEGRKFKTMIPQLGGRECLGLSCGYLILFGEKTRDFWLVNPITRHQLHFPNVPTIKIDKEITIRIRAVLVFSPSLNSWVFVMTSRATYEIWFSIAEEGEVMDDLDMHDIGNDHYNEENHQFSSGDSKILLNDENQSKIQGNSVTDLFKSLVDTFGKLVL >cds-PLY71452.1 pep primary_assembly:Lsat_Salinas_v7:7:191068824:191071172:-1 gene:gene-LSAT_7X115500 transcript:rna-gnl|WGS:NBSK|LSAT_7X115500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNDLDNDGEYMGDEHEAEDVENNICDELHGRDTDGSDSEIDEHDHMNYKLGDTYAAQVRKGKDIQGIPWERLSITREQYRQTRLEQYKNYENVPLSGQSSQKQCKDTNKGGSFYEFRSNSRSVKSTILHFQLRNLVWATSKHDVYYMSHFSVLRWSSLTCKKSSVLNLAGHVTPREKHPGSLLEGFTETQVSTLAVKDNLLIAGGFQGELICKYLDQPGVCYCSRTSYDDNAITNAVDIYTTPSGAVHFAASNNDCGVRDFDMEKFEMSKHFQFPWPVNHTSVSPDGKLFVIVGDNPEGILFDSTSGKRVAALKGHLDFSFASAWHPDGNTFATGNQDKTCRVWDVRHLSESITILKANLGAIRSIRYSSDGQFMAMAEPADFVHVFDVKNGYQKQQEIDFFGEISGACFSPDTESLFIGVWDRTYGSLLEYGRKRNYSYLDCLI >cds-PLY86488.1 pep primary_assembly:Lsat_Salinas_v7:8:134690416:134691397:-1 gene:gene-LSAT_8X91421 transcript:rna-gnl|WGS:NBSK|LSAT_8X91421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDYSTGYETRRFPVLLRRECTTFSNGEYVKAGLSKLYHWCYKETEEYVGSAWDELKHIRQAVGFLVIHQKPKKTLDEISHVMCPILNRQQLYRISTRYSDDKYVTHSLSPDVIQNMRMLMDSDNSESSSFLLDDDSSIPFSVDDLSKSMDEMNIEDVEPPPLISDNSGFSFLKGRHES >cds-PLY89361.1 pep primary_assembly:Lsat_Salinas_v7:5:70104485:70106065:1 gene:gene-LSAT_5X33721 transcript:rna-gnl|WGS:NBSK|LSAT_5X33721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMENTEFVHESSPNHPQQFSPEKLKTENFLIDDLLNFPADEMVPAVAENIVSGASTDSSTIVDTCNSPLCGGAEHNSPGDVPRRSFTDAPFTSDLCVPYDDLAELEWLSNVVEESFSCEDLQKLQLISGIKTRPNYTSNNQQFQPETVSKANNPIFNTDMAVPGKARTKRSRAAPCNWTSRLLAVSPTASGTTVTMSSESESDIASSSIRKKIVKAGIKRKEVYDNPSHNGDGRKCLHCATDKTPQWRTGPLGPKTLCNACGVRFKSGRLVPEYRPAASPTFVTAKHSNSHRKVMELRRQNEMHQHQYMHQNQRQHQHQSQHQHHHHHHQQQQQQQLFHHSNMIFDVPNNDDYLIHQHVGPDYRQLI >cds-PLY77171.1 pep primary_assembly:Lsat_Salinas_v7:8:25676035:25676938:1 gene:gene-LSAT_8X19620 transcript:rna-gnl|WGS:NBSK|LSAT_8X19620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSTLYPVWVRNIQNVSMSREREEFLMLVKKEINFYHSMMEKEGIDSRKEAMEAYRAAHMESEDSTTNEQPVADEVSSSLINRVNAMLQNLEKEIDDVDEKIGDRWRVLDRDYDGKVTPEEVASTAIYLKDTFRW >cds-PLY95642.1 pep primary_assembly:Lsat_Salinas_v7:7:192181495:192185162:1 gene:gene-LSAT_7X113161 transcript:rna-gnl|WGS:NBSK|LSAT_7X113161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVVAIEASVWNTSLYNTLPKPSLFRLSRRRCPKILHCTAGAIRFSERVILRNIVDSRFPRNTSYSVVRDDRGLRSVWIKSSSSVDNHSTAPSVDFDFLQILVKRGLVLAAVCCGVLVLGCRGVLAAEGVLNGGFVGSGLEQIKLSLTNSLPKVLMVLKVLKEQGLILAALFGLSAFFSMAETSITTLWPWKVRELAEKESENGVFKMLRNDVTRFLTTILIGTTVVNIGATALVTEAATTIFGEAGVSAATGVMTVAVLLLTEITPKSIAVHNATEVARFVVRPIAWLSLVLYPVGRVVTCLSMGMLKLLGLKGRSEPYVTEDELKLMLRGAELSGAIEEEEQDMIENVLEIKDTYVREVMTPLVDVVASDSSATLVDFHTLWLTHQYSRVPVFEQRVDNIVGIAYAMDLLDYVQKGDLLESTSVGDMAHKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTVGIVTLEDVVEEIVGEIFDENDSKEEIEKKTGYIVMRAEGIYDVDANTSIDQLSEDLNIKMPEGHQYETVSGFVCEAFGYIPRTGESIKLVLDKEEEHDEYNTEDDQNQNQNQKDREKEKKQVFQIEILAGNARKVGAVRFERVTAHDEGSDSSSSLLELERNSDTKEMKKVVPKIMKRKFGGDVSDDETCRSEEGDVIPQHQLKQGE >cds-PLY62497.1 pep primary_assembly:Lsat_Salinas_v7:1:84411897:84413677:1 gene:gene-LSAT_1X70420 transcript:rna-gnl|WGS:NBSK|LSAT_1X70420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14050, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G14050) UniProtKB/Swiss-Prot;Acc:O23266] MHHQLLQPLRLCASRRLTSHGKTLHANILKLGLDHYGALPNTLISMYGKCGLIKDAVQLFDEMPQRDVVSWASILTAYNQSNLPSRALSLFPFMFTRDFLQPDHFVFASLLNSCAALNALRIGQQLHAQFMLSPFSSDDVVKSSLVDMYAKCGSVDTARSVFDSISSKNPISWTTLISGYARSGRKSEAVDLLRDMKESDLFSWTALVSGLIQSGHLISAFHLFIEMRKEGIKIIDPFILSTIIVASANLSSLELGKQVHSLVLKLGFQSSLYISNSLIDMYAKCSDIIAAKTTFHGILTKDVVSWTSIIVGLAQHGRAQEALSLYDDMVSTGIKPNEVTFIGLIYACSHVGLVSKGRDLFKSMVECYKLNPNLHHYTCLLDLYSRSGHLDEAENLLNSMPFEIDEAVWASFLSCCKRFGNTQLGIRVADRVMELGVKDPSTFIVLSNVYAGAFMWEKVAKVRKMMVSMDVKKEPGYSCVDLGKESEVFYAGETCHVMKDEILVLLKDLDEEMRRRGYVPDVSFVLSGLGFKE >cds-PLY63550.1 pep primary_assembly:Lsat_Salinas_v7:9:150816854:150818008:-1 gene:gene-LSAT_0X5220 transcript:rna-gnl|WGS:NBSK|LSAT_0X5220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRHDFADAYSTDTSEEEQEEEDEEDVEEESKDCKELIPSTCPPRGRAFLDPRSEWVQEWNRAFLLVCATGLFIDPLFFYTLSISEPCMCVFVDWWFAITVTVLRCVTDALHVWNMWLQFKMSRWWRSPHHHRRLSHDDEIARNVAARIITKAKKSFVFDLFVILPIPQFVENEV >cds-PLY81643.1 pep primary_assembly:Lsat_Salinas_v7:1:111405125:111406515:-1 gene:gene-LSAT_1X89521 transcript:rna-gnl|WGS:NBSK|LSAT_1X89521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHIQLGQISAVIVSSPRIAKEVLKTHDVALADRPKTFGSELVLYRNTDIALAPYGEYWRQMKKIASLELLSAKKVQSFGLIREQELCGFMNFLRISSGKPINIHKTITELVNNVVCKASFGRNCKHQDALLEFLDEFGRVNSRFYVADLFPDFKFLYVVSGLRSTLTKMHKTLDKIFNDIFEEHDSRKRDGGEQEEDLLDVLLRIKEEGGLEFPITNNNIKAIFVVHSNDRQKVLFIRISLSSYIRL >cds-PLY83257.1 pep primary_assembly:Lsat_Salinas_v7:4:146924519:146925200:1 gene:gene-LSAT_4X90701 transcript:rna-gnl|WGS:NBSK|LSAT_4X90701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMIMIAGFIDSVTTPVKTWLDMVLTSPDHHKTTSMVLEEVGAGSSRVDCIVCLSEVALGERLAMLERCRHGFHVECVEAWLKDHPNCPLCRTPISGANEDTHKHKVYLKKLYEMMNYYGFRALETMASWLTNSFSKGLHSSLSQSCSYL >cds-PLY76363.1 pep primary_assembly:Lsat_Salinas_v7:6:170418207:170431877:-1 gene:gene-LSAT_6X102941 transcript:rna-gnl|WGS:NBSK|LSAT_6X102941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEDYPVSRHRLRSLSPTTYQTSLHIMDSDAGNTSQDGRGTDDRDEDDDDEYEDARDGNRLLEFMFGNIDGAGDLDIDYLDEDAKEHLAALADKLGSSLTDIHLSVKTSQTSADVAEQDYDLKAENAVDYEDIDEQYEGPEVQALTEEDYLLPKSDYVSTTVPPVASSSLFDDENYDEDEEEEELQKELDRVDNVDQVDQVQSPSLPVVPQEKCSPEDGSPAVEIENSDPDVMEPQKEDTDMLEEPVEAKSSTPLPVLCVEDGNVILRFSEIFAIHEPLKKAVKREQWFSVPKEKYKSMDVSDIVEEDEEAFLKGSLKGFPYMRHTHVFQDDLSTSHEDKLDLPKSGIVDEDTTVASEVDDQRKDYCHDVIPSSNKLFSDDYFPLDQQDWENRIIFDNSPAVSETSGGSEISGPESDSLLKSKTRVESKSDEEDQGYFCHSVEPFGSRKSPKSYSSSEETIYHPQLLRLESRLETNDAKKDTTVESDTIKRFNKLTLRNKDVLDGSWMDRIVWDPNQSVTKPKLLLDLQDEQMLFEILDNKDGDDLQLHAGAMITTRSAESAPDSLELLHGYGDIAYFHRPKALWYPHENVVALKEQGKLLTKGSMKIVLKSLGGKGSKLHVDAEETISSLKGKATKKLDFKPSEAVKIIYSGKELEDEKSLAEQDVRPNSLLHLVRTRIHLLPRAQKLPSDNKSLRPPGSFKKKSDLSARDGHSFLMEYCEERPLLLGNPGMGARLCTYYQKSSPGDQTATQLRSVPNNFGNILTLDPADKSPFLGDIKPGSTQSCLETNMYRAPIYPHKLSSTDYLLIRSPKGKLSLRRIDRIYVVGQQEPHMEVMSPVSKGVQMYNMNRLLVFMYREFRALQKRGLTPAIRANELSAQFANVAEVSLRKRLKLFCDFQRGSWVMKRNFRIPLEEELRRMVTPENVCAFESMLAGMYRLKRLGISMTHPSGLSSAMNQLPDEAIALAAASHIERELQITPWNLSSNFVACTNQDRGNIERLEITGVGDPSGRGLGFSYVRTAPKAPVSNAIAKKKVAINRGGSTVTGTDADLRRLSMDAAREVLIKFNVPEEQIAKLTRWHRIAMIRKLSSEQAASGVKVDPTTISKYARGQRMSFLQLQQQTREKCQEIWDRQVQNLSAVDGEENESDIEAHSDLDSFAGDLENLLDAEECDDGEDDNNNNNNNYDSNNLVAGVKGLKMRRMPSQAQADVENEDEAAEAAELCRMLMDGDDEADRKKKKVKDTGATPMSNHNLKNKERLKGLNTPVKTMSGVTRPDGSFSINDNAVKSLKDDEMFPYRKNFSGKMKPKKKDDLEQVGGLLNKKLRILNDGMNIMKVGNTKKNARESFACGACGQFGHMKTNKHCPKYRENSNIQIESKDPEKGPTKSTGLGLDSITKSQPKNTIKKMIQKSGSKLAVIEPQPPQEEEKTSLKAKVLKVKCGPANSNKIPEKPTPSTSQISDKPVTSDTETVNKSSIKVNKIIFSNKTKSEDIQPESHKPAASLVIRPPESHRNPPPPPQPRKTIVIKRPKEVFDFDQVSQSQEVSPVTEPRKTKRITELSGFQKQPRSNKSKDHHHNHHNHNSQIWEEDQHALRIAERQRILYEQQQQQAQQARKLEEQERVAEIRRFEEDIKREREEERRQREKKKKKKNIFFEPRNNEYLEESPRPRRDDRRVPERDHHRTAKRKPVVELGGRFGAEYAPTVKRRRGGEVGLANILEKIIDTLKANNVSFLFLKPVTKKEAPDYLRIIDRPMDLSTMREKVRRLEYKSRDAFRHDMWQITFNAHKYNIGRNPGIPPLADQLLELCDFLLSEYDAVLSEAEADIDE >cds-PLY82233.1 pep primary_assembly:Lsat_Salinas_v7:1:69395330:69397453:1 gene:gene-LSAT_1X61300 transcript:rna-gnl|WGS:NBSK|LSAT_1X61300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYYHHNHQGKSVHSSSRISITPERHLFLQGGGDSGLVLSTDAKPRLKWTLDLHEHFIDAVNRLGGADKATPKSVLKLMGIQGLTLYHLKSHLQKYRLSKNLYRQTNSGDTNKVGMIAPAGDANGETNGTQMSNSSVCPQTNNNLQMSEAIQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQAVLEKAQETLGKQNLGKVGVEAVKVQLSELESKVSTHCLNSTFLGIKNVSMQTNQPTDCSDDSFLTYCEGQQSEQEMIGLTFLESKKVDSDLSMTVGGHKRSEWNTSRSYNEKRFMYGDEEVMLENIERQKEFRFPYIGQKLDLNVHDVDHVASSHK >cds-PLY96698.1 pep primary_assembly:Lsat_Salinas_v7:6:77198002:77201001:-1 gene:gene-LSAT_6X55840 transcript:rna-gnl|WGS:NBSK|LSAT_6X55840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPPADQAADLLKNMSLDSQSKTLETSESAKKPSVETGNNGIIQNQPFERSLTPLIPDFMDPTVAYYPNGYPSPAYYYGGYEGATNEWDDYSRYVNPDGVDMSHGAYGDNGSLMYPGYGYTPYGPYSPAGSPVPTTTHDGQIYGAQHYQYPTPYFQPMANTPSVPLKVENSRSTPVDTTTGNPNSIIKGTTNSAPVRPPVYQNSGFNRGAHNSFQDPRYGFDGVHSPIPWLDTPLRNNNITPPLLNTNGFSSKNQNVRPHSHLMSPRPMSGINAANAYMNRMYPNKLYSQYGSNYNSSYGNGWMTVDNKYKPRGRGGNGFFGYTNENIDGLNELNRGPRARITRPRITISVKGQNGNLTNIDGIDKVCAAPDKDQYNHADFPETYEDAKFFIIKSYSEDDVHKSIKYNVWSSTQNGNKKLEAAYQEAQQKPGRCPVFLFFSVNTSGQFVGVAEMVGPVDFNKSLEYWQQDKWIGCFPVKWHIVKDVPNTLLKHIILENNENKPVTNSRDTQEVKLDQGLQMIKIFKEHSSKQCILDDFEFYEDRQKRIQEKKAKQQQFQKQTWEEKLTTVENKKDEVENNHEAKTTVNGVVANGC >cds-PLY67572.1 pep primary_assembly:Lsat_Salinas_v7:3:53961067:53965397:1 gene:gene-LSAT_3X42101 transcript:rna-gnl|WGS:NBSK|LSAT_3X42101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHALRLALLLVLLAKECPRSYDKLRFDPRKQNCRLFATLTGMTSKSRESYANAAVIAEHLSGVGIKPVGNTVSNTLFNQFLIVTSREKSFFFLLNKSLLSCIRSNHGYCCCICAFWKKNFEGSVHPLTRSNYLASPPLVVAYSLAGTADIDFENEPIRTTKDGKNA >cds-PLY71072.1 pep primary_assembly:Lsat_Salinas_v7:3:163597292:163598820:1 gene:gene-LSAT_3X100281 transcript:rna-gnl|WGS:NBSK|LSAT_3X100281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPPEPMFFGYEDDHHPPHDFQGVQTLLMRRSMSYSGIDRCEETRGHGAADDDMSEDDGSQLGEKKRRLNLEQVKALEKSFELGNKLEPERKSQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDILKRQFESVKADNDALKNLNKKLHAELLAVKGGDQSNGVRPINLNKETEGSWSNGSENSCDLNTVAGTMTPSEESPIFYTQISNNMYPTMSSMGQNSSGLTQFLQNSSTTDLLSQRLNQTVGNEGFCNMFNGIEDQQAFWPWPEQHPQHLH >cds-PLY68456.1 pep primary_assembly:Lsat_Salinas_v7:2:214445388:214445972:-1 gene:gene-LSAT_2X136001 transcript:rna-gnl|WGS:NBSK|LSAT_2X136001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLISEKTLRTRWYYSVVKTVSVVEFVFVCPFAKLPQNNEDDDHDMEMHCAAAAQDALMKVPNRVVEEYTFYDDEV >cds-PLY73607.1 pep primary_assembly:Lsat_Salinas_v7:5:207160511:207163961:-1 gene:gene-LSAT_5X96860 transcript:rna-gnl|WGS:NBSK|LSAT_5X96860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELSNNIATALMNSMKNASDWVTFAYDAPFARAVVFGVPIGGHLFVEGLLLVVILFLLSQKSYKPPKRPLTNKEIDELCDEWVPESLIPPMNEEMEKEAPVLESAAGPHTIINGKEVVNFASANYLGLMGDDKLLESCTSALEKYGVGSCGPRGFYGTIDVHLDCEARIARFLGTPDSILYSYGLSTMFSAIPAFCKKGDVVIVDEGVHWGIQNGLYLSRSTIIYFKHNNMESLQTTLEKVTQDNKRTKKLRRYIVVESVYQNSGQIAPLDEIIRLKEKYRFRVLLDESNSFGVLGGSGRGLTEHYNVPVEKVDIITAAMGHALASEGGFCTGSNRVIDHQRLSSSGYVFSASLPPYLASAAITAIDVIEGNPKLLTNLRDNIKTLFTGLLGIQGLKLASDILSPIVFLVLKESTGSTKSDLQLLQDIADRVLKEHSVFVVPSRRSTLDKCKLPVGIRLFVSAAHTESDLRKAYEALKAVAASVLTPQN >cds-PLY85150.1 pep primary_assembly:Lsat_Salinas_v7:9:146278750:146279769:1 gene:gene-LSAT_9X93760 transcript:rna-gnl|WGS:NBSK|LSAT_9X93760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGARLSLTGTNLSRPFTSPARSAYLRRTIVSGVAQNLSYWDSIHSDIDSHLKKAIPIREPISVFEPMHHLTFAAPKTTASALCVAACELVGGNREDAIVAASAIHLMHAAIYTHDHLMLTNSLQSDPETEPKISHKFGPNVELLTGDGILPFGFELLARSMDPAGDNSDDILKVIIEITRAVGSQGMVAGQDSDVEFDQLSSQTRRCLHGCGAACGAILGGGSHDEIERLRRYGSIAGKIQGLLNEMDRNEGGKGQLAEKWRALALEELEYFDSKRIEQISTLVRA >cds-PLY65615.1 pep primary_assembly:Lsat_Salinas_v7:8:40535371:40538988:-1 gene:gene-LSAT_8X30880 transcript:rna-gnl|WGS:NBSK|LSAT_8X30880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPVSNRDDIKAIDKIGHDGIISIESSSSSETFVIVEEGMKIDKGYMSPHFVTNQNNFSVEFENAKVLITYQKISSVKEIVPLLEKCTQLSVPLLIFAEDISISVLETLIVNKNQGLLRVAIVKCPGVGERKKALLQDIALMTGADFLSGDLGLSLEYATSDQLGIAQKLTITSNYTTVVADPSMKAEIKARISQIKKDLSETDSSYLSKKLSERIAKLSGGVATIKVRAHTEMELEDRKLRIEDAKNATYVAMDEGIVPGGGATYIHLLEEIPSIKKLMEDPDEESCVNIIASPGGVNWIFELEELLDAAQSDENAGTSSKSNEESKDVISFLEVDIITPTHNLLARKLTCEILPGKSLLLTGLMKANNSGVRRGKESSS >cds-PLY74393.1 pep primary_assembly:Lsat_Salinas_v7:6:153887887:153888373:1 gene:gene-LSAT_6X93120 transcript:rna-gnl|WGS:NBSK|LSAT_6X93120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLLLLNEAEGVGSEPIDMNIVGLSKKRNRGKKTSRSEHGKNNVDSGKPDVNKDKEDTIPSDNTSVGRMKRKGSARNTHVKDNKKSSDASGKGKN >cds-PLY64523.1 pep primary_assembly:Lsat_Salinas_v7:6:37095777:37098168:-1 gene:gene-LSAT_6X26681 transcript:rna-gnl|WGS:NBSK|LSAT_6X26681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWSGNHRPFIPRPAGQSSFAFLYNYNNDHYVYPPGVGEMKHQIMQAPLAMMDMNQNEYENNNQEKKKRLTSEQLEALENTFQEEKKLDPDTKIKLSHELGLQPRQIAVWFQNRRARWKAKQLEGLYDTLKQEFDAVSREKQKLQEEVLALRTILKEQVSKRQGAGSSTGNTYISGEETVESTSVATIRTIANNHHHHQLSAGQQQGVVATTGAMTTSNSNYGLTYDPTTSMPIPSYSNWAVLPSYP >cds-PLY66803.1 pep primary_assembly:Lsat_Salinas_v7:3:202629953:202631575:-1 gene:gene-LSAT_3X118940 transcript:rna-gnl|WGS:NBSK|LSAT_3X118940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANTVAQLVFIPVPGVGHIMSTIEMAKVFVNHDERLSITVLLINPPYSAFALTTYIKSLHNKAIERIVFIELPQDETLPKLDPKAPMTSFYEFINSHSKHVRNVVADMISRPDSNPVVGFVLDIFCTGMIDVANEFNVPSYVFFTSNAAFLGFKLYIETLVVQNQDVFELSNSDGEMLIPTFVKPVPTNVFPVVYQTQEGLDFLMHSVRKLREAKALIVNTFLELETHAIKSLTSESSFPPVYPVGPVLNLHGVAGKEHDSDDVFGWLDDQPPSSVVFLCFGSMGCFKEVQVKEIAYALEQSGHRFVWSLRRPPQMGKSFEVLPGDYEDPGVVLPDGFLERTKGKGKVIGWAPQVSLLAHEAVGGFVSHCGWNSMLESLWFGVPTATWPMYAEQQMNAFEMTIELGLAVEIKLDYKNNVFNPQDDMAILMANDVERGIRRVMEDKELREKVKEMSKMSRAAVTEGGSSFSSVGCLVHDFINNTI >cds-PLY68703.1 pep primary_assembly:Lsat_Salinas_v7:7:86163229:86165548:1 gene:gene-LSAT_7X58800 transcript:rna-gnl|WGS:NBSK|LSAT_7X58800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFHQSANNALEVVPGNIHPWQSFSTIASTILVQARDPAKLSEEIQNALDEHKLNEAWNLHEQHMNMEGFPRKSVVNKLLTTSSQSLQLQYIERAYHLVEKAIEEHKQNLLEKTTLIYLSLCLAKANLPVHAATVLRKLVETQQFPPVNAWSAILAHMSLTPSGAYLAAELVLEIGFLFQDGRVDPRKKINEELIAMKPNTTAVNIALGGCLLFGTNRKAEQLLDMIPRIGVKTDVIMLIMMGHVYEQNGRKEELKKLKRYIDEAHDLSNVQFREFYNCLLSCHLKFGDLESASQMVLEMLKKAKKAQDSLGVATFRFEAKKMDKCPQIESQESLTLTPEKTMIPQTLDYEDFCGDRKFLKLEEEAKELLNISVMKFQNKKQLITTKHGILQPTDTVFVKLVKGFLEANKVKDLVQFLIKVEKEDSPVSPDSSPLIQVVNSCISLGWLDHAHDLLDEMRLSGFKTGSSVYSSLLKAYCKENKTQEVKSLLRDSRKCGVQLDASCYKALIESHVIDEDTQGALNLFKEMKEAKLDNTSQHSQQEFDMLVQGCGGSGEAKLMAKLLQEIKEGQKVDCGVHDWNNVIHFFCRKKMMQDAEKALKKMRSLGYTPNAQTFHSLVTGYAAVGGKYTEVTELWGEMKVLGLYHGMKFDQELLDSVLYTFVRGGFFIRANEVVEMMEKGKMFVDKYKYRMLFMKYHKTFCKGKTPKFQTESQISRREAALTFKKWIGLF >cds-PLY68880.1 pep primary_assembly:Lsat_Salinas_v7:3:63963176:63964229:-1 gene:gene-LSAT_3X50560 transcript:rna-gnl|WGS:NBSK|LSAT_3X50560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFMLDLTTLWQDVENRTLLSDLIIKNSSQEELKEAFNVFDKDQNGYISTAELRHVMTNLGEKLTDEEVDEMIREADMDGDGQVKF >cds-PLY81199.1 pep primary_assembly:Lsat_Salinas_v7:3:243631682:243632977:-1 gene:gene-LSAT_3X135120 transcript:rna-gnl|WGS:NBSK|LSAT_3X135120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADYLLSSIATVHTAKIIVTDPTNYSFIGSIPEAMLGRISASSNVLQQYRKRPSSGPKELTPAVVRSIEEADKPTKRGKKPDTQKEGPVYKPTKVKTPKKRKSDKAATSQAQPKKQKKPARRIILQSSSDSDLELLLQRTLKLSTLRWRTFNGLFNIGIQTLKLHDRPLKQPTKLYMLTSMTD >cds-PLY87163.1 pep primary_assembly:Lsat_Salinas_v7:5:255448539:255452303:-1 gene:gene-LSAT_5X131501 transcript:rna-gnl|WGS:NBSK|LSAT_5X131501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGGTETSAPAFDYMLYEGDPDHLRTVVATPSQPNPRIDSSLLKLKHRIGHGPFGDVWLATHHQSGHDYDEYHEVAMKMLHPIKEEDMTKFLVKFDELFLKIRKLQSVCWLHGISIISQKAFQHICIAMKFYEGSVGDRMAKLKGGKLPLPDVLRYGVGLAKGIHEVHSLGILVLNIKPTNLLLDEHDQVVLGDFGIPYLLLGVQLPDPDMSFRLGSPNYMAPEQWEPDVRGPISVETDSWGFGCCILEMLTGGQPWFGKSVSEIYNSVVLKKEKPQLPSGLPPAIENVLNGCFEYDLRNRPLIGDILQAFESARDTVSNDGEWIGVGNKVTLNRTSGSFYSTWFLTKDLLQVGDIVRSRKTPNNCKNMAVAEGTIVGLEKDTDRDGFVLVRVQGIHNPLRVNITTLERVTSGLASGDWVRLSDENRNRNHSSIGILHSIDRDGSVRVGFIGHETLWKGHCEQLQMADPYSVGQFVKVKGNVLSPRFPWLHKRNGIWATGRITEILANGCLVVKFPGRFVFRGESDTFLADPSEVEHVSFETCSTLVDKFGHVEDYHWAVRPLTIVFGVLTALKVGFVVGHSVGGRLKKGARKTHGGGGGGGGGQDGQNSGGKSKWLPSPVANMLSREGSAPPVAAR >cds-PLY74018.1 pep primary_assembly:Lsat_Salinas_v7:7:9737867:9740503:1 gene:gene-LSAT_7X9220 transcript:rna-gnl|WGS:NBSK|LSAT_7X9220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTGELLSIEPQELQFPFELKKQITCSMQLRNKTDSYVAFKVKTTNPKKYCVRPNTGIVLPHSTCDVIVTMQAQKEAPPDMQCKDKFLLQSAVASPGTAPKDITPELFNKESGNQVEECKLKVNYVAPPQPPSPVREGSEEGSSPRGSISDNGTVNNTLESNSAPRSFAESHEKSSEAKSLISKLTEEKNSAIQQTNKLHKELELLRREGKKSNVGGIPLIYVVIIGLIGLIVGYLIK >cds-PLY95106.1 pep primary_assembly:Lsat_Salinas_v7:1:93596960:93600318:-1 gene:gene-LSAT_1X77261 transcript:rna-gnl|WGS:NBSK|LSAT_1X77261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLIQLWKKFRGSDKPPAHLGSSRDYNVDMIPKFIMANGALVRVLIHTDVTKYLYFKAVDGSFVYNKGKVHKVPATDMEALKSPLMGIFEKRRARKFFIYVQDYNENDPKTHEGMDLTRVSTKELIAKYGLDDNTVDFIGHALALHRDDRYLYEPALETVKKMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDEEGKVCGVTSEGETAKCKKVVCDPSYLSNKVRKVGKVARAICIMSHPIPNTNDSHSVQVILPQKQLGRKSDMYLFCSSYSHNVAPKGKFIAFVSTEAESDRPEIELKPGLDLLGPVDEIFYDVYDRYEPCNEPSLDNCFISTSYDATTHFESTVIDVLNMYSMITGKVLDLNVDLSAASAAEE >cds-PLY88994.1 pep primary_assembly:Lsat_Salinas_v7:3:73471274:73474861:1 gene:gene-LSAT_3X61740 transcript:rna-gnl|WGS:NBSK|LSAT_3X61740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNLSLFSLALLITALFLKPNITYGSLEEANALLKWKASLEIPNNSLLSSWLPLPMNSNASLPCNSWFGVVCNADWRIHRLNLSSSGIKGTLHQFSFSLLHDLTHFDLGLNNFFGPIPPEIGLLSKLVHLDFSENKFSGVIPHEIGNLHQLTILYLYSNNISGSIPSSLGNVKSLSELSMSYNKLSGSIPLSLANLSNLQLLYLGVNNFSGPIPTELGNLKSLTDLEVSDNQFNGSIPSSLGDLTSLNVLYLYHNQLSGPIPIELGNLKSLTDLAISHNQLSGSIPSSLGNLTSLNLLYLHHNQLSGLIPIELGNLKSLTTLGLSDNQLSGSIPSSMGDLTSLNVLYLHHNQLSGPIPIELGNLKSLIDLQASYNQLSSSIPSSLGNLTSLKVLYLHYNKLSGHIPIELGNLKSLTNLAVSENQLSGSIPSSLGNLSNVQWLTLLDNKLSGLIPSELGNLKSLTHLGVSNNQLSGSIPSSFGDLTSLNGLYMHYNQLAGPIPSELGKLKSLNEFKVNNNQISGSIPPDLGNSTQLQRLDLSSNHLVGEIPKEFGKMRSMLDFSLADNQLSGVIPLELGFCELLEVLDLSKNRLNGSIPTSIGQWSHIHYLNLSNNKLSEKIPPEIGKLVHLTELDLSQNLLTEEIPSEVRTLQNLQKLDLSHNMLSGSIPNAFTNLPRGIDIDLSYNELKGSVPPSPNFVNTSVQGNPGLCGYVTGLKLCASQIPKKKNQPFHHTLILVIILPLIGVVLLGLFMYGLVAYRQQKNKPPQKPLEEENVDYFSITSFDGRVVYDEILKATNDFNEAYCIGTGGYGIVYKAELQPNNVVAVKKLHSSYENVDRNGFLNEVRALTNIRHRNIVKLYGYCSHARHSFLIYEYLEKGSLGSILRSDVLAKDLSWLKRVSIVKAVANGLAYMHHDCSPPIIHRDISIANILLDSDYEAHISDFGTSKLLKLDSSNWTEIAGTYELAYTMVGNEKCDVYSFGVVALEVIMGKHPGELITSLPILSADYPVPANVGDSRIPPPSSQVEKQIKLVLSLSRACLSSNPHERPTMRQVSNLLMKDLL >cds-PLY85453.1 pep primary_assembly:Lsat_Salinas_v7:3:42178245:42182183:-1 gene:gene-LSAT_3X32161 transcript:rna-gnl|WGS:NBSK|LSAT_3X32161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGQLKRAFIDATAGSISGAISRTVTSPLDVIKIRFQVQLEPTTSFALLSKNVYGASKYTGMIQASKDIFREEGFSGFWRGNVPALLMVMPYTAIQFMVLHKVKTFASGSSKSEDHIHLSPYLSFMSGALAGCAATVGSYPFDLLRTILASQGEPKIYPNMRAAFVDIMNMRGFRGLYAGLSPTLVEIIPYAGLQFGTYDTFKRWTMAWNIRGLSDPTRAEESLSSFQLFLCGLAAGSCAKAVCHPLDVVKKRFQIEGLQRHPRYGARVEVKAYRNMYDALSRIMRTEGWAGLYKGIVPSIVKAAPAGAVTFVAYEYTSDWVESVLS >cds-PLY91705.1 pep primary_assembly:Lsat_Salinas_v7:7:26809288:26811293:1 gene:gene-LSAT_7X18860 transcript:rna-gnl|WGS:NBSK|LSAT_7X18860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVEQEIIPYSCNGGKQVALDFADQLPSGYRFCPTDSELIVDCLNAKIESREPPKCRLHEVNIYNHRPEELAEQYRSHENKWYFLTSRDRKYPKGNRPDRAVLGKLGTWKTTQKHRPVYDATSGQMVGHKGSLAYFENEIKTMWLMHEYTINGPNLPFENGDKLNEWVLCKIYKKAQTAGKRRRGADQEVRNQEPLPKRRRVSKNNEINFSNDHQPEQVDVQETNRYSDTCCVQMVAPVHEFDMRGQLTPPPMGSTGDHTWVNNGDIRMNSIPYPNPMQQQPMISSVEGRSCLIQAPPPCYQNQFTSNASNGCQSSYSTASSSVSIEPPASSAQPHDDDDAYTRNTTDHGLNSIQPVQNREACYDQTNMVSSSTACNGFVFSNGVSNSSSMEPLSDYSGCKVPVLVQNSNEDAPTLLDVQNAWDHAADSFHFPDDGDEATISAHVEGGWSLESLESFLNQSKVLPQDDAAEDFHFPDGYEATISAPAEGEWSLESLQRYLNQSMVVPQGDASEDFHFPDSSKATTSALIELEWDLEILESHLDNVNTTPLEIDC >cds-PLY87432.1 pep primary_assembly:Lsat_Salinas_v7:2:135772452:135774816:-1 gene:gene-LSAT_2X64261 transcript:rna-gnl|WGS:NBSK|LSAT_2X64261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLPVREYLIDMGTDMDDKSSGRTPTDDQFFLLYFIMGTYFGPDLKGESHKSVFQRRAEGLPPYPPQKLAGSCMKTVEVERIYYYILRKADQSVTLKLPWLQEFFHGKNHLSTSTRTPGPPFPQFDDLFPPELHPHSIMKGQYEAINNIVFISDPKILYIGQEYLERFKRLTRLEDVCLDRDDARRHTTVDGKVLYNIDVQEIKYHQDLKKPSSSSGQEDETIPSSPLLKQKGSFNGVATNNGTTPTPSIFGSDDYVSPGMKTNSLADLGSEMIFFSSYPTREEWNRILNFTKSGFALSGSATMGQIGPSIGSIDIGECEDSYLFRVSLPGVKRDEREFSCEVEDDGKVLVRGVTVTGEKSVCRFDQIFEMQTHKLCPPGHFSVSFKLPGPVDPQQFSGNFGTDGILEGIVMKARRKVKR >cds-PLY78520.1 pep primary_assembly:Lsat_Salinas_v7:1:189052750:189056851:-1 gene:gene-LSAT_1X119941 transcript:rna-gnl|WGS:NBSK|LSAT_1X119941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFTVSYSGYVAQNLATASGKSNTCRIFHEVFSRPRIFQNPDRDPVAGYGLAATASSRSIPSASMSPTFYVGDHIIAEKVSYIFRKPEVSDIVIFKAPPILQEFGYSSGDLRDGSSHQGTVTSMEPNEGLFVLNSETSKKGKIKAVHLVDVPGHSRLRPKLDEYVPRADGLVFVVDAVEFLPNCRAVSEYLYDILTKSSVVKRKIPLLILCNKVDKVTAHTKEFIRKQLEKEIDKLRTSRKAVSDADISNEFTLGIPGEPFSFSHCVNKLITEEAIFQIFLLLIKIACMAIRFTVSYSGYVAQNLATASGKSNTCRIFHEVFSRPRIFQNPDRDPVAGYGPTATTSSRSIPSASMSPTLDVGDRIMAEKVSYIFRKPEVSDIVIFKAPPILQEFGYSSGDLRDGSSHQGTVTSMEPNEGLFVLNSETSKKGKIKAVHLVDVPGHSRLRPKLDEYVPRAAGLVFVVDAVEFLPNCRAVSEYLYDILTKSSVVKRKIPLLILCNKVDKVTAHTKEFIRKQLEKEIDKLRTSRKFVSDADISNEFTLGIPGEPFSFSHCVNKVTVAEASALTGEIQPLELFIRERVKP >cds-PLY74063.1 pep primary_assembly:Lsat_Salinas_v7:9:10566804:10567687:-1 gene:gene-LSAT_9X10020 transcript:rna-gnl|WGS:NBSK|LSAT_9X10020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLINFEATELRLGLPGTTDDLPETKPPPSTVNTNKRSSSEMDSSISDENDSSRSPPPSKAQVVGWPPVRSYRKNVLQGKKYESEIGSGIYVKVSMDGAPYLRKVDLKVYKSYGELTKGLQDMFKCIIGLYSEREGYKSEHAPTYEDKDGDWMLVGDVPWDMFLTSCRRIRIMRGSEVMES >cds-PLY69099.1 pep primary_assembly:Lsat_Salinas_v7:5:276744837:276748397:-1 gene:gene-LSAT_5X144920 transcript:rna-gnl|WGS:NBSK|LSAT_5X144920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDITPYVESFDTFLFFVSRTFCSPLAIFVQIQGCVICLLLALGWSLAAYIRIREIWEMETSMQGGNSFAFLYHDIHDLEHSKQEDLPGVTVVMPLKGFGEHNIHNWKTQITSLYGGPLEFLFIVDSTEDPAYHALSNLLIDHEDEIDASIIIAGPSTTCSQKIHNQLVGVKKMSEGSKYVLFLDDDVRLHPGSIGSLIAEMEKNPEIFIQTGYPLDLPSRSLGSYCIYAYHMPCSMGFATGGKTFFLWGGCMMMHADDFRTDKHGIVSQLEDGAHKRLIRSPPFSVFPHPLASDITLSRYWNYLRKQTFVLESYTTYENWIRNRALFCTHCYLSWGFVAPYVMAVFHVVAAIRLKLNEYFLEEHIFDSIGLILAGCLAICTGITLHSMWNMTRIEVELCNMLSPESPTLSLKSYNWFLIERSQKGRKLSDLAAKRLYVKKRGDQEQEKAQPQAKPSIARHLSKSFRWRLPKKHQM >cds-PLY83320.1 pep primary_assembly:Lsat_Salinas_v7:1:63318768:63323871:-1 gene:gene-LSAT_1X54100 transcript:rna-gnl|WGS:NBSK|LSAT_1X54100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLHYDNMFEAQIDATYATLEKDGFEKMEVIVTETDWASNGDANEAKAMLSNLPKRLLKKKGTGIVVASEVDYLPFEFKALEIAFEAACTFLETQVHLLPRHPITNGDHHSIMIYYPSATGGEVSFRLATDQDIWIVFVVQVYIVGLEYAHAEARKSLVVDGVVMRNAYGKEVRYPVLLTPNEKQMEREVCIAFRQGVTRIIGAGVH >cds-PLY73873.1 pep primary_assembly:Lsat_Salinas_v7:3:39628808:39629772:-1 gene:gene-LSAT_3X29461 transcript:rna-gnl|WGS:NBSK|LSAT_3X29461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEKTLLFCKKPISVFDICEPKKIPWGEVGVDYVVESTGVFTDREEVEASIYEMVLRKNRNAPGNDSETQCSIVLNDESLEL >cds-PLY83661.1 pep primary_assembly:Lsat_Salinas_v7:4:38960840:38971232:1 gene:gene-LSAT_4X27061 transcript:rna-gnl|WGS:NBSK|LSAT_4X27061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGTKDVGPPRSLSRRSSAMIDPYADDNSIVDSELVPSSLASVAPILRVANEIEKANPRVAYLCRFHAFEKAHRMDSKSTGRGVRQFKTYLLHRLQKEEKETKPRLANSDPKEIQKYYQNFYEKNIRDGQDTKKPEEMAKIIQIATVLYDVLRTVVLPSTKIEDETQRYAKDVEEKKEQYEHYNILPLYAVGVKPAIMELPEIKAALRALRNVDNLPLLRKPGDRDKSVIDILEWLSLIFGFQKGNVANQREHLILLLANIDTRSKSVEDYEQLDGYTVQQLMDKTFKNYTSWCDYLHCKSNLKFEPDADRQQLELLYIGLYLLIWGEASNIRFMPECLCYIFHNMANEIHGILFGNVESVSGARYQPEAVEEESFLQDVVSPIYEVMRKEARRNRGGKASHSSWRNYDDLNEYFWSDKCFKLGWPMDPTSDFFVNSNDELLQNDEHFKVISGKKKPKTNFVEVRTFLHLYRSFDRMWMFLILCFQAMVIVAWHGDGSIFGIFDDGVFESILSMFITSAVLNFFQAGLDIILSFNAWRSLKRTQILRYLLKFTIAAFWVVILPIGYSRSVSNPTGVVKFFSTLGGNGRDESLYNYLVAIYLAPTILSALLFMFPPLRRSMERSNWRVAVLLMWWAQILPLVAPTKTIMNMSVSKYEWHEFFPNVTHNIGVVISIWGPIVMIYFMDAQIWYAIFATIIGGIYGAFSHLGEIRTLGMLRSRFDSVPSAFYERLVPMQKEEHKRDPLENDSLVRKNIAKFSQVWNEFIFSLRMEDLISNSERDLLLVPYTTSVVPVIQWPPFLLASKIPIALDMAKDFKGKEDADLFRKISNDDYMHSAVIECYQTLKGILYGILDDDGDKMIIRHICNEIDTSIQTRLFLSKFRMSGLPSLNDKLEKFLSHLLTEYDNAEKYTSQIINVLQDLMEVITQDVMINGHEILESVHSHLQDNDKKERFVRINLRLTRVKSWKEKVVRLHLLMTVKESAINVPMNLEARRRITFFTNSLYMKMPNAPKVHNMLSFSVLTPYYKEDVLYSEEELHLENEDGISILFYLQKIYPDEWKNFEERIRNPKLKATEKDRTEATRQWVSYRGQTLSRTVRGMMYYKEALELQCFLDSADDSEIFSGYRTVDMNKDHRVLLKERAQALADLKFTYVVSCQIYGAQKKSSDNRDQSCYANILNLMLTYPSLRVAYIDEREDTINGKSQKVYYSVLVKGGDKLDEEIYRIKLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNILEEFHRVHHGDRRPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLADPLRVRFHYGHPDIFDRIFHITRGGISKASKIINLSEDIFSGYNSTLRGGYVTHHEYIQVGKGRDVGMNQISQFEAKVANGNGEQTLSRDVYRLGRRFDFFRMLSFYYTTIGFYFSSMVTVLVVYVFLYGRMYMVLSGLEKKIVENATVKNNRALEEALATQSVFQLGLLLVLPMAMEVGLESGFRRALGDFIIMQLQLASVFFTFQLGTKVHYYGKTILHGGSKYRATGRGFVIFHAKFAENYRLYSRSHFVKGLELAMLLVIYQVYGDTYRSSNLYLFITFSMWFLVASWLFAPFVFNPSGFDWQKTVEDWTDWKRWMGDRGGIGIPPDKSWESWWDSEQEHLRHTNIRGRILEIVLAIRFFIYQYGLVYHLNIARGSQSILVYGLSWLVMITALLGLKLVSMGRRRFGTDFQLMFRILKALLFLGFLSIMTVLFVVCSLTLSDIFAAFLAFLPTGWAFLLIGQACRPCVKGIGFWGSIMELGRAYECVMGLVIFMPIVILSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKKDKTQSA >cds-PLY83390.1 pep primary_assembly:Lsat_Salinas_v7:8:1130825:1140758:1 gene:gene-LSAT_8X1020 transcript:rna-gnl|WGS:NBSK|LSAT_8X1020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal ABC transporter 1 [Source:Projected from Arabidopsis thaliana (AT4G39850) UniProtKB/TrEMBL;Acc:F4JJ27] MTSLQLLQLTERGRSLLSSGRRKTLLLATGIIVAGGTAAYMHSQSRVRRPSETNGLGDDHENERGSRNKSVIKKSRQKKGLKSLQVLAAILLSHMGKMGAKDLLALLATVMLRTAVSNRLAKVQGFLFRAAFLRRVPTFVQLIIENITLCFVQSALYSTSKYITGTLSLRFRKILTKLIHAQYFQNMIYYKMSHVDGRITNPEQRIASDVPKFCSELSDLIQEDLTAVTDGLLYSWRLCSYASPKYIVWILAYVLGAGATIRNFSPAFGKLMSTEQQLEGEYRQLHSRLRTHAESIAFYGGETREESHIQHKFKALVNHLKLVQHDHWWFGMVQDFLLKYLGATVAVILIIEPFFSGTLRPDTSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNRLSGYADRIHELMAISRELSPKDVPSLQRRGSRNYITQADYIEFDGVKVVTPSGNVLVEDLTLKVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTSDQEVEPLTHSEMVDLLKNVDLEYLLNRYPPEKEINWGEELSLGEQQRLGMARLFYHKPRFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWRVNHKREDSLADAGFPKLKSSETERQNDAMAVQLAFSNTRNDSTFSSSKSQSYFSELIAASPSEEISTLLPVVPQLQNDPRVLPLRIAAMFKVLVPTVLDKQGAQLLAVAVLVVSRTWISDRIASLNGTTVKYVLEQDKAAFVRLIGVSVLQSAASSFVAPSLRHLTARLALGWRIRLTSHLLKNYLRKNAYYKVFHMSGDSIDADQRITQDLEKLSTDLSGLVTGMVKPSVDILWFTWRMKMLTGRRGVAILYAYMLLGLGFLRSVTPDFGDLGNREQELEGSFRFMHERLRTHAESVAFFGGGAREKAMIESRFNELLAHAKILLRKKWLFGVLDDFVTKQLPHNVTWGLSLLYAMEHKADRSLTSTQGELAHALRFLASVVSQSFLAFGDILELHRKFIELSGGVNRIFELEELLDAAQSDENAGTSSKSNEESEDVISFSEVDIITPTQKLLARKLTCEIVPGKSLLLTGPNGSGKSSVFRALRGLWPIVDGRLVKPCHDVNDVAEAESGCGTGILYIPQKPYTCLGTLRDQIIYPLSHEQAEKRALSLCQQGQIDVGVADANILDMHLKRILENVKLLYLFEREGRWDASQNWEDILSLGEQQRLGMARLFFHKPRFGVLDECTNATSVDVEEHLYRLACDMGITVITSSQRPALIPFHSMELRLIDGEGKWELRSIKH >cds-PLY63390.1 pep primary_assembly:Lsat_Salinas_v7:7:146233183:146237017:1 gene:gene-LSAT_7X86901 transcript:rna-gnl|WGS:NBSK|LSAT_7X86901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKYRWMLLVLSLILLVEMQFSSAMAAPGCPLDITGSNLTQAAILCSNTESRASCCRYINALVAVSVVHYANTTNNLGVTPELSKICLQTISETLELHGMTRNATLFCGFGTKIPVNYECLGRTTVNQMLESPKFSNVTLNCKVPFGENGCKKCLNSGILFIRNLVGTGDNTTLSTCRDATFVSLATQVDDMSAFEIAGCFFGVQGLNNIPFLESSPPTFPPESSPTPFAASPSQLSLTTPNLPKRHSYHLSLVPGIGIGVIALATLMLVLMIFLIRGKTKELESDKSSMRTFSQPMRKFQECPSSMFKKFSYKETKRATNNFGTLIGQGGFGSVYKAQFNDGLLLAVKRMDKVSKQAVEEFCKEIELLARLHHRHLVSLKGFCIEKHERFLMYEYMANGSLKDHLHTPGVPPLSWQTRIQIAIDVANALEYLHFYCDPPLCHRDIKSSNILLDENFVGKVADFGLAYASKDGSICFEPVNTEIRGTPGYMDPEYAITQELTEKSDIYSYGVVLLELLTSKQAIHENRNLVELCQPHMKSESRFMELVDPGIGDSFDTDQLQTIVTIIKWCTQKEGRGRPSIKQVLRLLYECADPMHNGFVEAVEDERGRGKGNRREKDGNGNGNGISWDGRGLASSSSTSRSYCSRSFLLETTGSYSSPPQSPSNMSSV >cds-PLY65003.1 pep primary_assembly:Lsat_Salinas_v7:8:88801923:88802620:1 gene:gene-LSAT_8X61580 transcript:rna-gnl|WGS:NBSK|LSAT_8X61580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNKHTWTTEEDAKLIKALLELHVSGKYGGADNGFKPDYLKAVQQLLDVSLPNWGLKAEPHIKSRMKTWKNDFNIVHDMVYGTNTSGFGWDTDKCCVTADADVWDEYIKSHKGAACFRDKPFPQFDNLCKIFGKDRATGLGATDLGEDVTEETKEAHMLMWKGWKILLKRRKKLLVAIVNAKGLQQMTPKALIRKLQKK >cds-PLY70584.1 pep primary_assembly:Lsat_Salinas_v7:1:89422281:89424886:1 gene:gene-LSAT_1X74980 transcript:rna-gnl|WGS:NBSK|LSAT_1X74980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLQALCSNPEGIYDILILSFNQVWTSVVEALGQLVRLVTRTLLKATLPKFVPTILELPTLIEAITALLEEQNLGVRKALAEVAASYLDTVLEKLKDILDNIGKSVLQRFLSFFSDKTKIKYSDDIHATLALMYGYAARYAPSTVIEARRWKKSCKATVTYLETNRSHYFYTI >cds-PLY96626.1 pep primary_assembly:Lsat_Salinas_v7:7:44567289:44568376:1 gene:gene-LSAT_7X32861 transcript:rna-gnl|WGS:NBSK|LSAT_7X32861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYIGITQRRIGSVKEVGKIKMSVGIIAYYNLMQVCQISEIVFWSTKVKMGSGYFPDRNLSSFFGQNVDFQPARDCPRNFIIFDRTDNQSRIVYHPDTTTSTMPVRKDENAPGVMNEDLADIDALLSLEDEEEEYEEGDDVSTGRTGGYDGSDTSDTCSSSRRNGGPGVFLGYEVKDSERKRDKMKKMVKSLKGIVPGVNGGMNTVDVLDEAVKYLKSLKVEAQKMGVGDFQG >cds-PLY80760.1 pep primary_assembly:Lsat_Salinas_v7:8:138066564:138068468:1 gene:gene-LSAT_8X95001 transcript:rna-gnl|WGS:NBSK|LSAT_8X95001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSAASLSIISSPSSPFESPYFNKFTRKIPRISSTNLIKFRPITQSLSIEEDAGSPDRFLENNSIADYMRFKKGASGELQTAVVSYRKKFPWSLLQPFLQVDLVSTIHIADKEYFETLQEELESYDCVLYEMVASRESLENRKYPSAIRELTKSKSRGFNIIGFIQRQMARVLMLDFQLDCLDYEPDNWYHADLDFETFKLLQIEKGESFFTFARDMTLRSTKALVQATSIPEELGPWRSKLLWASRVLPMPLVGLFFISSLCADVGDESADYPEIEALSRLDFGAAMKVFLAKRLTSDFTQVVTSDVEEKSVIIGERNRAATEALQTAINKGHNKIAILYGGGHMPDLGRRLKDEFDLVPSRVKWVTAWSIKNRNLASNSLPFLKKMAEVSGWKLNRYQTLALLIFSSVLALDLWFWELFFGTTVDFITQVASDAFQIVSSSNF >cds-PLY87304.1 pep primary_assembly:Lsat_Salinas_v7:3:146734535:146735810:-1 gene:gene-LSAT_3X95200 transcript:rna-gnl|WGS:NBSK|LSAT_3X95200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFFKELDHLRIPFQDIVVATKNFTTIIGRGGYGPVYTGKLSLSGNLTPVAVKRLPFINLSGQGLKEFLTEINLLSRYKHPNLVSLLGYCEEGNEKILVYEYAEHGSLDRYLNKSNTTCPLTWTQRINICIDAARGLDYLHNHVGANHRVIHRDIKSANILLDKNWKAMIGDLGLSKIGRANENETYLITNGGGTHGYCDPTYINTGILTKESDVYSFGVVLFEVLCGRMCFMDVIGEQRHLVPLALRCYEEGKLNVIMDLDSMDSESVKEFTDIAYRCLHNDRKGRPSMNLVIQKLEKALELHEISRTFLQSLFWEKLGDISEGSKFLGIFEEIYFLSI >cds-PLY98212.1 pep primary_assembly:Lsat_Salinas_v7:7:174086042:174087331:-1 gene:gene-LSAT_7X102900 transcript:rna-gnl|WGS:NBSK|LSAT_7X102900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQNWPSFAYQMMSVPSSAAQPPNWVDEFLDFSAARRNSHRRSVSDPIAFIESPFIEECRNSNGINNSLMPCSNNNGFERLDDEQFSSMFPDEDVAANLPSTRSTSSNPSTPSDQNSDKDDAKPTPPPEQLQQHHHQPKNEPEEVEDGGDCQPETESGKPCFNFSSEGTTIVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQTEVSTLSPRVAFLDHQRLVLNVDNSALKQRIAALAQDKIFKDAHQEALKKEIERLRRVHHEQNMQKAEEVEEEVNGATIGENDGGSAAETGVVRRPEGGGRIC >cds-PLY73750.1 pep primary_assembly:Lsat_Salinas_v7:2:95108544:95112094:1 gene:gene-LSAT_2X41661 transcript:rna-gnl|WGS:NBSK|LSAT_2X41661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLIDLNLYPDSPVRDTPDVTAGNLREATTFLTLNIPKLEPKEEPLDEPPQPHHHSHINYANPNINFTHLDTSQPVEQPAGVENDVYSEFSRIQEMFRTAFSNNTEKHDAAGFIDPDAQAIVPVPEENQHSAVGDSSRRKYQQRSGELVRVTNLGVEDERYFRDVVRKTRMLYDSLRVFVTMEDEKRRSHQVFGRIPRARGDLKASSVMKDRGLWLNRDKRIVGAIPGVYIGDIFFFRMELCVVGMHGLPQAGIDYLTSSQSSNGDPIATSIIVSGGYEDDQDAGDVIIYTGHGGQDKYSRQADHQRLEGGNLAMERSMHYGIEVRVVRGFRYEGSPSGKVYVYDGLYKVIDSWLEIGKSGFGVIKFKLIRMENQPEMGSAILKYAQDLRNGQIKPVGYVHTDMSMKKEKLPVLLFNDIDNNHDPMFYEYLSTSVFPPFVYHLGGKGHGCNCVSGCLDDCVCAAKNGGEFAYDQNGLLVRGKPLIFECGPHCGCSATCRNRVSQNGIKNRFEVFRSRETGWGVRSLDLIQAGSFICEYTGVILTREQAQLFTMNGDNLVYPNRFGERWAEWGDLGQIFSDYTRPSYPSVPPLDFAMDVSRMRNVACYMSHSSCPNVLVQLVLYDHSNFAFPHLMLFAMENIPPMRELSLDYGEADEWTGKLAICN >cds-PLY69354.1 pep primary_assembly:Lsat_Salinas_v7:7:80459280:80460097:-1 gene:gene-LSAT_7X56900 transcript:rna-gnl|WGS:NBSK|LSAT_7X56900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIDEPVVKANTRVLPSWGSSSVSSNTGSRGSTKKPPPRKESALNTQKPQSSKVYKNSRWYTVYSQTRKSQESFSKSCYLREDAKTMLPSYLQNPSSSSSRPSLQRDESYGKHDLPVTASSIQTKFDFRPQDNYSWAHSDLAMKNNHGTRLLPPSMYVLSTTHYAGQFGHSRPGVVEEAVGDERPIYQVALRVLDAIMLYCFYFLCCTF >cds-PLY97351.1 pep primary_assembly:Lsat_Salinas_v7:4:287354315:287355720:1 gene:gene-LSAT_4X146360 transcript:rna-gnl|WGS:NBSK|LSAT_4X146360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METPQSSRRITRSQVKTMASENSNINNTSVTIASRDENEDSMNGVSKSRQKTGKPQEREKSALFDITNGSPIVGLAMGSLKTPLYSKKRMTISNSEAKHTTTPGSGESLLRGQVKTLLQKVEEEGVISKICFEHNPLIHKRFINSPMAVLAPTPANTPQVYDFSTTNKNVLDSFTVSPVAENFNFTQMLQDVIRSPNQEDNGETVTRSLFMDFSEKFEGSEDSSVWSVQVNASTSDEGKDGDDDGMDEICNGIRKLSVNNGVKFSGKHIRFVYNSDGEVEGEVESSPPSSSSSSSSSSSSSSSSS >cds-PLY74102.1 pep primary_assembly:Lsat_Salinas_v7:9:14032421:14034727:-1 gene:gene-LSAT_9X11120 transcript:rna-gnl|WGS:NBSK|LSAT_9X11120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGLNRQGLPGDRKNDGDKKEKKFEPAAPPARVGRKQRKQKGPEAAARLPTVTPHSKCRLRLLKLERIKDYLLMEEEFVANQERLKPQEEKTEEDRSKVDDLRGTPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDDVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGEGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNKIESLDPALLRPGRIDRKIEFPLPDTKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHGDFKKAKDKVMFKKKEGVPEGLYM >cds-PLY94160.1 pep primary_assembly:Lsat_Salinas_v7:5:33222905:33225719:1 gene:gene-LSAT_5X14941 transcript:rna-gnl|WGS:NBSK|LSAT_5X14941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSFTLSNSISNLKSRNHRLSTGYVPLPLKSSITFGSINGSSTRRLPSIRCSSSPRKLGDWISTPSPVPERESDGFEVKAASVPESADGAEAAAARKSKLAETIVLGSLFGLWYLFNIYFNIYNKQVLKVFPNPITVTTVQFAVGSVIVFLMWTLNLHKRPKISGAQLLAILPLAMVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVVLSAMFLGEMPTPWVVTSLLPIAGGVALASMTEASFNWAGFWSAMASNLSNQSRNVLSKKFMVKKEESLDNITLFSVITVMSLFLLAPVTFFTEGVRVTPAYLQAAGLNVKQVYIRSLLAAICFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSIGTGVALAGVFLYSQVKRLKPKTKTA >cds-PLY79797.1 pep primary_assembly:Lsat_Salinas_v7:8:275430930:275433378:-1 gene:gene-LSAT_8X157500 transcript:rna-gnl|WGS:NBSK|LSAT_8X157500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPEKPSKPSPGTLKGIHGCLFLVFLTGKECRCVLTIQPAFVFVVDGSSIEDELQALKNELLLIVAQLPENAMVGLIVFDSMVRVYDLGFTECLRVVVLHGECKPSSSQVLERLTLM >cds-PLY91469.1 pep primary_assembly:Lsat_Salinas_v7:7:140962253:140962741:1 gene:gene-LSAT_0X15681 transcript:rna-gnl|WGS:NBSK|LSAT_0X15681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKLSFTLVCFFTLAILHTINAQNSQQDYLDTHNAARAEVGVANIVWNATVAAYAQNYANQRKADCNLVNSGGPYGENLAKGSGTFSGTAAVNLWVAQKAYYDYATNTCAGGHVCGHYTQVVWSNSNQLGCARVQCTNNSWWFVICSYYSSGNINGQSPY >cds-PLY79737.1 pep primary_assembly:Lsat_Salinas_v7:5:178331879:178332469:-1 gene:gene-LSAT_5X78861 transcript:rna-gnl|WGS:NBSK|LSAT_5X78861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY86174.1 pep primary_assembly:Lsat_Salinas_v7:3:242302746:242309633:-1 gene:gene-LSAT_3X135300 transcript:rna-gnl|WGS:NBSK|LSAT_3X135300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGAKMAIKRGNSNMGIRRNNGGGGSWMSIVVLVLFLVLAPVGFFVGRRVYSAASVDQDVSKQNVDWRARLALMHVQSLFSKKVIDVIKANTDDLGPLSFDSFRKNNLSASWKFSGQEDVGPTEIEETNKKRTPTEKEEKLFDDSHPQSVDTPAKLARRQLREKRRETRAADLVKKDDDIIIKLENAAIERSKSVDSAVLGKYSIWRKENDNDNTDTTVRLVRDQIIMARVYKSIAMMKNNTKLARELQNQIKESHRALGDAAADADLNRSVPERIKIMGQVLSKAKNEVYDCKLVTGKLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLPPEKRKFPRSENLENPNLYHYALFSDNVLAASVVVNSTIINAKEPEKHVFHLVSDKLNFGAMNMWFLLNPPGKSTIHVENVDEFKWLNSSYCPVLRQLESSAMKEYYFKSDHQTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQKDLTGLWRVDLKGNVNGAVETCGESFHRFDKYLNFSNPNIARNFDPNACGWAYGMNVFDLDVWKKKDITGIYHRWQNMNEDRVLWKLGTLPPGLMTFYGLTQPLDKSWHVLGLGYNPSIDKNDIDKAAVIHYNGNMKPWLELAMTRYRSYWIKYIKFDHPYIRGCKLGQ >cds-PLY63062.1 pep primary_assembly:Lsat_Salinas_v7:8:74463445:74465156:1 gene:gene-LSAT_8X52080 transcript:rna-gnl|WGS:NBSK|LSAT_8X52080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRSRSRQTGVSRISDDQIADLVSKLQQIIPHNIHATRSDKVSASRVLQETCNYIRSLHREVDDLSERLSELLQSTDANSAEAAIIRSLFM >cds-PLY89571.1 pep primary_assembly:Lsat_Salinas_v7:4:366688025:366692670:1 gene:gene-LSAT_4X177001 transcript:rna-gnl|WGS:NBSK|LSAT_4X177001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYLNRASKAIQVVNRKYKLSPNHHHHCYRLGVPYSSSYEHGFCSNSRNSNRKDDNNAIDLSQYPTEKIRNFSIIAHVDHGKSTLADRLLELTGTIKRGLGAQYLDKLQVEKERGITVKAQTATMFYNYKSKESSTSYLLNLVDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLSIIPIINKIDQPTADPDRVKSQLKSMFDLDPSDVLLTSAKTGQGLEHVIPAVIERIPPPPGMCDSNLRMFLLDSYYDEYKGVICHVAIVDGSLRKGEKITSAATGQSYEVLDVGIMHPELRSTGFLLTGQVGYMVSGMRSTKEARVGDTLFHNKTIVEPLPGFKAAKHMVFSGLYPADGSDFEGLNNAIERLTCNDASVSVARESSTALGLGFRCGFLGLLHMDVFHQRLEQEYGTHIISTVPTVPYIFEYSDGSKVEVQNPGAFTANPKIRVVASWEPTVLATIIIPSEYVGAVITLCSERRGEQLEYSFIDSQRAFMKYRLPLREIVVDFYNELKSITSGYASFDYEDSEYVASDVVKLDVLLNGQPVDAMATIVHSLKAQRVGRELVDKLKKFIDRQMFEITIQAAVGSKVIARETISALRKNVLAKCYGGDVTRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHAILKVG >cds-PLY63446.1 pep primary_assembly:Lsat_Salinas_v7:7:149176249:149177577:-1 gene:gene-LSAT_7X88361 transcript:rna-gnl|WGS:NBSK|LSAT_7X88361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKEMAGKTFFSKKNNVRKKIAILLHSSEPNVFITTHQQPENSENNFSHRSSNASLPSPVMSSCSSPVYFMSPINQMPSPYSKSPWTLPHGRNSEESVIYNTGLIGSLIREEGHIYSLASSGDLLYTGSDSKNIRVWKNLMEFSGFKSSSGLVKAIIVFGNRIFTGHQDGKIRVWKYSDKKKKSYNRIGNLPRTRDYIKSSMNPNNYIEARRHRNVPWIKHYDVVACMCIDEEKGLLYSGSWDRTMKVWRISDSKCLESVNAHHDAINSVVVGFDGLVFTGSADGKVKVWRREFVGKTTKHLLVYTLLDQDSAVTSVVVNASQATVYAGFSDGLVNFWERKKQTFSHGGVLRGHKLAVLCLATAGNLLLSGSADNSICVWRSEGRGIHTCLSVLNSHTGPVKCLAVKNRNEKDHDRKDEEWIVYSGSLDNSVKLWRVSEQLA >cds-PLY76451.1 pep primary_assembly:Lsat_Salinas_v7:5:199570105:199571938:1 gene:gene-LSAT_5X90141 transcript:rna-gnl|WGS:NBSK|LSAT_5X90141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENTQEPEESETKEIQETETREEMLSRHRKEITQLQNKEIALKKAAAKGSKAEQKAKKKQVEEQISQLTSDLKQKHLGELATVGYTSSTTIKEDNIDILAKAIAGVSVTQPEHSKPTKSAKRKEKRAQEEAAREQRIQEEQSNIVSDKMVENEKLEKKVKPLGLIVNEIKPDGHCLYRAVEDQLKIKSKSSSFYTFQELRKMVADYMRKNSSDFLPFFLSETEEDGDYHERFESYCNEVESTAAWGGQLELGALTHCLKKHIMIFSGSFPDVEMGKEYKSGDFLDSSIVLSYHKHAFGLGEHYNSLVPV >cds-PLY67895.1 pep primary_assembly:Lsat_Salinas_v7:1:54856479:54857248:1 gene:gene-LSAT_1X49221 transcript:rna-gnl|WGS:NBSK|LSAT_1X49221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKEFKYYDRLTRLETRISTDPVRNIILASKELRDEKIKEDKEHAKFKDTNLDVNQIYYEALFRDTVVVGDKAKVPCEFGDGSTPDDVQFVDITDGKEDTDDVWLFDDVDPFLTYNSSSKKMREKKLTPRYDHKRKFEGKSMANSSYEEKLDTVFDVLLTMSTQPSRQTTQSPTTEDCMAIVSTFPGFEEGSIGYLKALDVFLKKPTRQNFMVPKTNETKMKFLKRLIEK >cds-PLY84044.1 pep primary_assembly:Lsat_Salinas_v7:6:191339980:191344576:-1 gene:gene-LSAT_6X117360 transcript:rna-gnl|WGS:NBSK|LSAT_6X117360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METTQVEHRWEDQEYDELEESLIDRRKNLKQTPIFTTIDDDLSSNNGGSVGFSNGEDQEKEEEVKDLSQQNGIKVDEDDIEKEKQQTSEYLVYYDADKDAGLRLQSSKGEANGVDSTFHGKIPMKDNINITIHNQENDFNIRIHNQENGNGLDFVINNQIGEHEKQNNLSDNSLHPSEISFEEVDKTESSEIEVIKDVEDEFDVETVIKKQETHDLFCPNCNSCITKRVILRKRKRRIPVPGDIAKRNKPETSNPSEVNLVSDDIQPLISNEDDHERDPDVFRCLSCFSIFMPTGNGFKLFGNKSNRENNQTSVKESWFSRIFASKKVERLNNQGSSTTTIVKMDMENGQVQSATVTVNQQQQQQQYSNPIDSDIPTPSSVVNGDENALPSPQGFDTIKQPIADASDNNNVKESNGSHSHTTNGNMDLEKDQIKSATPSVNRDSNASSAQGSNTFKQPIADESDNNVIESNDSQLADNTDGDQLVTSYHTEFNSQSDYPGMFVVKPPLNHVEPEQDFVFSHHPDGLTLHVPPNIGSLIIDNSQMNQVLDVTIQNNNPDLEIGSRAVEQGGETAATGGRSLDIVKSIVYGGLLEIIASLSVVASAVGADASTLNVLALGLANIFGGLLVISHDLWDLKNEGRGSSSSEEDRYQQLLGRRVDFPLHVLVSVLSYLVFGFLPPVVYGFSFRESNDKDFKLLTVAAASIVCIMILAAGKAYVQSQSLNRSYIKTISYYMAFGFAVSGVSYLFGGLIMELLDTIGLFPPASGPVLNPSFPFHGAGADPTLLATY >cds-PLY63158.1 pep primary_assembly:Lsat_Salinas_v7:4:311283079:311283342:-1 gene:gene-LSAT_4X156441 transcript:rna-gnl|WGS:NBSK|LSAT_4X156441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKGTSPEEIRHTFHLPDDLTEEEKLEPLRIWTNDPHIRLLNRLYARKRKELKIREKAKVSSS >cds-PLY87776.1 pep primary_assembly:Lsat_Salinas_v7:1:46087908:46088798:1 gene:gene-LSAT_1X40201 transcript:rna-gnl|WGS:NBSK|LSAT_1X40201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSASPSAAHVPVLVTILLLTTIAALSTKGEGEEPPKPTPWPEQFHSLLVMNYTGELQLIDLWYDWPNGRNFNIIQHQLGNIKYDLEWNNGTSFFYTLDSNRECSSAQLEVGILRPNWLDGATYVGQRQVDGFLCYVWEKADFITYYEDVVTRRPVHWVFYTGRAAHVMTFEVGAVLEDAKWQVPWYCFEKTTPVAGLVDM >cds-PLY87845.1 pep primary_assembly:Lsat_Salinas_v7:2:65556980:65557848:1 gene:gene-LSAT_2X29200 transcript:rna-gnl|WGS:NBSK|LSAT_2X29200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNFHTFPSHNPENSLLQLHPFCVSGFGLYRFCEWKKKGSNLRPNRAVESDSEFEFDADKAREALRNLDLQLDLISQKQTNPVPKIKASNPSPYGTTVEATKDLPDTGSFLPYAAFGLLIFSIFYNILFINVIKPSVDGPQGEVSPAGLSIMKQILKAELLPSIQLSPSPSVQQ >cds-PLY64306.1 pep primary_assembly:Lsat_Salinas_v7:5:84019390:84022629:-1 gene:gene-LSAT_5X39421 transcript:rna-gnl|WGS:NBSK|LSAT_5X39421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTQLSTNHFGATKPIYAPEPSDVGRLLEAEVISDGLSITLTTSGPIEPGLGNYVEALVHHHDTEFNAKCRETGEIVVIKKVLQDKHYNNRELQVMEMLDHPNVVALKHSFFSTTKKEELCLNLVLDFVTETVSRTATHYTRMNQRMPLTYVKLFTYQACSYATSFMVNPHTHIYPIFQISFSSSFGSNNKAIPSSCGTVSSVERSLYASIVAVASPSAVGAWVDLLPEIQTWEGSVACIGETTASAARKLGLTNVSHPSTPGLHGWVDTIFDALRVHNHLSNV >cds-PLY67170.1 pep primary_assembly:Lsat_Salinas_v7:6:164153370:164153883:1 gene:gene-LSAT_6X101240 transcript:rna-gnl|WGS:NBSK|LSAT_6X101240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRTRPKQGNRRTRLPTVADDREGEDGIFGVDEAEERMWEDAARLLVISVEAARGCSSSFSAACFSPDSGVRREMRVREARGEARRGGLVGGN >cds-PLY86673.1 pep primary_assembly:Lsat_Salinas_v7:4:318533532:318537992:1 gene:gene-LSAT_4X158380 transcript:rna-gnl|WGS:NBSK|LSAT_4X158380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYLSCCSPDFFFHVAVISMIVLFAGMMSGLTLGLMSMSLVDLEVLAKSGKPMDRLRASKILSVVKRHHLVLCTLLISNATAMEALPIFLNNLVPESVAIIISVTLILLFGEIIPQSICTRYGLAIGAAVTPLVRVLVWIWFPVAYPISKVLDCILGKGHVSLFRRAELKTLVDFHGNKAGKGGSLSLHETTIIGGALELTAKTARDAMTPLSQIFSVDINAKLDRNLMNMIIEKGYSRVPVYYDQPGNIIGALLVKNLMTINPADCVPIKNITLRLIPRVSDTMPLYDILNEFQKGLSHIAVVIKLPSGRIEQPTNKGPSEVRVDILNERCQSYGGSRSRRAFNKFKTISGSGNVSRAESSKSRRWSDKFLPEVLNIMEKPFSSFRQEGEVVGIITMEDVMEELLNDEIFDETDHHKE >cds-PLY78213.1 pep primary_assembly:Lsat_Salinas_v7:8:66138001:66141462:1 gene:gene-LSAT_8X46581 transcript:rna-gnl|WGS:NBSK|LSAT_8X46581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYIVDSRGGAIACMLLALLFLGTWPAILTLLERRGRLPQHTYLDYTITNLLAAVIIAFTFGEFGHTNDDKPNFLVQLSQNNWPSVLFAMAGGVVLSLGNLSTQYAWAFVGLSVTEVITASITVVIGTTLNYFLDDKINRAEILFPGVACFLIAVCLGSVVHASNAKDNQEKLDGLKEKDLEDGGGKGEKAKAGTASFLIELESQRAIKVFGKSTFIGLAICLFAGICFSLFSPAFNLATNDQWNTLDDGVPHLSVYTAFFYFSCSCFVIAIILNITFLYHPAFNLPKSSIKAYLNDWEGRGWAFLAGFLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVLIFGEYRKSSRRTYVFLIGMLSMFIVAVGVLMASSGHRKH >cds-PLY87786.1 pep primary_assembly:Lsat_Salinas_v7:8:222785218:222785913:-1 gene:gene-LSAT_8X137301 transcript:rna-gnl|WGS:NBSK|LSAT_8X137301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGPATRKDLMIVNMGPHHPSMHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNAPEQLGNIQVPKRASYIRVIMLELSRIASHLLWLGPFMADIVAQTPFFYIFRERELIYDLFEAATGMRMMHNFFRIGGIAADLPHGWIDKCLDFCDYFLTGIAEYQKLITRNPIFLERVEGVGIIGGEEAIMSPLFGRTESLLEKCL >cds-PLY89944.1 pep primary_assembly:Lsat_Salinas_v7:5:1700069:1701941:1 gene:gene-LSAT_5X981 transcript:rna-gnl|WGS:NBSK|LSAT_5X981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEATLPPGFRFHPRDHELICDYLSIKVHFSDSSPTLDLLHEVDLNKCEPWDLPETACVGSKEWYFYSQRDRKYATGLRTNRATVSGYWKATGKDRCIPAGKRRQVVGMRKTLVFYVGRAPKGNKTDWVMHEFRLHGPLALPLDQPSFKEDWVLCRVFCKNREIVGGKEISNKGRDNEASCCSSLPQLTDPYMMFTEQVPCFSNILNPQSDLIVNNSDINKLPNDATTSTSTYIPPPRPSLIIPSSSPCFGEKKDMIKAILSRFNSVDNHNDSMLSFGEGISDQSFLSDAALPVTMWYP >cds-PLY77037.1 pep primary_assembly:Lsat_Salinas_v7:8:151497917:151501991:1 gene:gene-LSAT_8X102220 transcript:rna-gnl|WGS:NBSK|LSAT_8X102220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFALQLSFPNFDFNTATRPQRIQFVCNASSKQTNSSKQESEDDTQRTSDEHRVVLVERYGNGTSKRYILDDKFQLTTFLEDDTKSNGYSSKELPWLPDIVKDFVLPAGFPGSVSDDYLEYMLLQFPTNVTGWICHTLAVGLDYSSGTTAAASAAAIRWVSKDGIGAIGRFFIGGRFGNLFDDDPKQWRIIFDLTTPLYPAYFLPLASLGNLAKAVARGLKDPSLRVIQNHFAISGNLGDVAAKEEVWEVSAQLLGLGLGILVLSTPGVVKSYPVLASTWMTIRLLHLWLRYLSLSVLQFDSVNLKRARILVNLHVSNSKVLGCEECNKMENILSWEKFSVPQIAFGVPFSDMLVGERSGLKVKMLLKLYAKEKYILVVNQQPFKDFETLVCFKEGATSLSVLRSVWQTYWLYKNQDKQKDGFDDLKESLNELNDQFENFLQQLRDVGWDIDQINLKVRKDVSIQELHVD >cds-PLY66965.1 pep primary_assembly:Lsat_Salinas_v7:6:81277636:81283223:1 gene:gene-LSAT_6X57521 transcript:rna-gnl|WGS:NBSK|LSAT_6X57521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGDIYTDGGGCSVGVRWRCVVVVVRRGRKHDIEGEDKYDDDGSVVRWIVVGFDEDRHGVDLENQDINFYGDARNKVPKIEQSEQPLLKEIPQDDVAIVNGEHHKESLSEKGDRNGNDDSVEVEFKMWESCKIEGKDLSPERETTHEEYFEDEVDSKLESGEGYNQANGTENGATSPSKDQQQMKTKKCNINLVVPYEQIA >cds-PLY85667.1 pep primary_assembly:Lsat_Salinas_v7:7:158295567:158299161:-1 gene:gene-LSAT_7X93000 transcript:rna-gnl|WGS:NBSK|LSAT_7X93000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPYAHLAVYKVCFGVDWPESDILTGLDAAVADGADVNAVKFNEYSSVVVSAGYDRSLRAWDCRSHSTEPIRIIDTFLDSVMSICLTKTEIIAGSVDGTVQTFDIRIDGTVSLYQMMFERSRKRGITVFSDYAWSSGDQVDVWVQDSWHEAIVMDTNKIDLTSLTVQFPEDTKEQILQRIFFVLFCRGYPSHFPKLPLPLQCFFVSVYFVHQVKLVEERNMKPLDSNLAALVLKNYERQDATLLSWNLM >cds-PLY89363.1 pep primary_assembly:Lsat_Salinas_v7:8:139287255:139288804:-1 gene:gene-LSAT_8X95720 transcript:rna-gnl|WGS:NBSK|LSAT_8X95720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTALTEEDIKRLYRIRKTVMEMLKDRNYLVGDFELEMDRRQFIQKYGDNMKREDLVISKSLKNDSSEQIYVFFPDEAKVGVKTIKNYINRMKSENVTRGILVVQQNLTPFARTCISEISAKFHLEVFQEAELLVNVKNHVLVPEHQVLANEEKKTLLERYTVKETQLPRIQVTDPVARYYGLKRGQVVKIIRPSETAGRYVTYRYVV >cds-PLY70159.1 pep primary_assembly:Lsat_Salinas_v7:3:12482618:12492698:1 gene:gene-LSAT_3X11620 transcript:rna-gnl|WGS:NBSK|LSAT_3X11620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Respiratory burst oxidase homolog protein F [Source:Projected from Arabidopsis thaliana (AT1G64060) UniProtKB/Swiss-Prot;Acc:O48538] MRGGRVGRHERRWASDTVPDDMLISGSSSPAYTDTSSVNPGEEFVEVTLDLQDDDTIVLRSVEPATVIHVDNDIGGGMETPASATSSRSPTMRRSSSNRFRQFSQELKAEAVAKARQFSQELRRFPWSYGHTSRASSSSAMQNTAVGSGGLDSALAARAMRRQRAQLDRTRSGAHKALKGLRFISKSKINGLDGWNEVQNNFNKLAKDGFLYRADFAQCIGMKDSKEFALEVYDALSRRRRLKVDKISRDELYEYWSQITDQSFDSRLQIFFDMVDKNEDGRITEEEVKEIIMLSASANKLSRLQEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLNYSQALSYTSQALSQNLHGLRNRSPIKRMSSKFMYYLQENWKRLWIITLWILIMIGLFLWKYYQYKQRAAFEVMGYCVLTAKGAAETLKFNMAIILLPVCRNTITWLRSTKLSNFVPFDDNINFHKTIAAAIVIGVILHAGNHLACDFPRLINQDEIAYDLSMRQYFGNNKPTYTDLVRGVEGVTGVIMVVCMTIAFVLATRWFRRSLVKLPKPFDRLTGFNAFWYSHHLFVVVYILFLVHGWFLYLVKKWYKKTTWMYLAFPVLLYAGERTLRFFRSGSYTVRLLKVAIYPGNVLTLQMSKPPQFRYKSGQYMFVQCPTVSPFEWHPFSITSAPDDDYLAIHIRQLGDWTQELKRVFSEVCEPPVAGKSGLLRADETTKTILPRLLIDGPYGAPAQDYRKYDVLLLVGLGIGATPFISILKDLLNNIVKMEELADSTSGHSRRSDQSSGSGDSYSHDKASPSRKKKSLRTTNAYFYWVTREQGSFDWFKGVMNEVAELDQRGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGVDIVSGTRVRTHFARPNWKKVLSKTCTKHAGARIGVFYCGAPVLAKELGELCHEFNQKGSTKFEFHKEHF >cds-PLY73028.1 pep primary_assembly:Lsat_Salinas_v7:9:37213368:37215109:1 gene:gene-LSAT_9X35401 transcript:rna-gnl|WGS:NBSK|LSAT_9X35401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRREVEDVVELMQHIQSRLPITEAARTSVLSKSWLHAWRTIPTLRFRVRSEQNGKSMKLVDVDHTLIRYLHDNIPIERFWLLIDIENQESASHAEKWIGSVVTTKASCLKELSLSLYLYGAPFTFPDEILSSGENLTKIEVFSPLRCHSVVWMTTTTPVINCVSLRELELFGVSIGEEALNHIFSSCRLLETIVLIDSCKGLKTIKVKNLPCLYELTISSEYDDYDGYIAMEISHVPNLGVLGGYGMVRSSVCLNMIESGFPFLESLILDDMTSWKSESFHFTCASIKKLTLKECLSMLTDVQVHAPKLEFFWFHGVALPPTLLFPDSTLFKKIVVSLKLDSLVDAYFFLKMREALALSRRCDIYITIYNYTTTMMPLDIDMDDLRRRLLMFPPATNVQKLEFEAIEDECLWERSPFFDAFFEICHPKHVVAKPDACLRQKNHFCRLMLREFLEKKTTTTTTTTTTPYWPHHLQHVQIRRNSYEKWETLTDSHRSFLDGSTPGVFMHFYLNWH >cds-PLY70171.1 pep primary_assembly:Lsat_Salinas_v7:9:1671790:1672080:-1 gene:gene-LSAT_9X3920 transcript:rna-gnl|WGS:NBSK|LSAT_9X3920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVRREQGGRRDNGCALINQIGKEIKETMRSYFWNELGALHSLVWLIKGMDQETEKEKAIIGQCLPLWEELRLKTKDWCAKFHVNENHAHKVFD >cds-PLY93977.1 pep primary_assembly:Lsat_Salinas_v7:8:234361284:234364443:1 gene:gene-LSAT_8X142400 transcript:rna-gnl|WGS:NBSK|LSAT_8X142400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEYRAEDEYDYLFKLVLIGDSGVGKSNLLSRFTRNEFNLETKSTIGVEFATRSLNVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRTTFENIERWLKELRDHTDPNIVVMLIGNKSDLRHLLAVSTDEGKTLAEAESLCFMETSALEATNVENAFSEVITQIYRIVSKKAVEGGGDTGSVPAKGAAIDVREESVGPKRFGCCSG >cds-PLY83480.1 pep primary_assembly:Lsat_Salinas_v7:2:182722811:182723861:-1 gene:gene-LSAT_2X104961 transcript:rna-gnl|WGS:NBSK|LSAT_2X104961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQNWQEASNHTPCANNCGFLGSPTTFNFCSKCYEDHCLKDQNMSQAKLAVEKSLSQQPETSFSSISSPATAPSSDPLVVVKTVPEVAVKSQPRNRCAKCNRRVGLTGFTCRCGITCCGTHRYPEQHGCTFDYKTMGREAIAKANPVIKAAKLQKI >cds-PLY95093.1 pep primary_assembly:Lsat_Salinas_v7:1:93967870:93971951:-1 gene:gene-LSAT_1X77821 transcript:rna-gnl|WGS:NBSK|LSAT_1X77821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein-ubiquinone oxidoreductase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G43400) UniProtKB/Swiss-Prot;Acc:O22854] MFRKIISSPSKSKSRKIISISLHSTNYCYHDPSAPPFSPSKHISPRQKWFQITPTSFKGFNRSFSSGYQLNSTQFRVSNLNRIGFSVSRRGFSSETVRDCINYDVVIIGGGPAGLSAAIRLKQLCRENDVDLSVCVVEKGAEVGAHILSGNVFEPRALNELIPQWRDEETPISVSVSSDKFMLLTEKHAIPLPNPFDNKGNYVISLSQFVRWLGQKAEELGVEVYPGFAAKEILFDENENVIGIATNDMGIGKDGSKKDTFQPGVELKGRINLFAEGCRGALSEKLIKKYNLREKGHGQHQTYALGIKEVWEIDAKKHKPGAVVHTLGWPLDHKTYGGSFLYHMQDNQVSIGLVCALNYHNPYFSPYDEFQKLKGHPAIRPLLENGTVVQYGARTLNEGGFQSIPYPVFPGGAVVGCAAGFLNVPKIKGTHTAMKSGMLAAESAFEVLNKGSNMKNYWESLKKSWIWEELYQARNYRPAFEHGLFTGLAISGLEHYLLKGRAPFTLKHGKPDHEATHEASVSSPIEYPKPDGIVSFDVPTSLYRSNTNHNHDQPPHLRLRDPQIPQIVNLPKYGAPESRYCPARVYEYVADDKGELKLQINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYSVM >cds-PLY67076.1 pep primary_assembly:Lsat_Salinas_v7:5:280457955:280463651:-1 gene:gene-LSAT_5X146760 transcript:rna-gnl|WGS:NBSK|LSAT_5X146760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEVGRSVHSIDVVKKKSSSGCLIIKKKVADGVGGVQGFSDSSSRKLYYSAKEKKRPRPIRSDSESSDEFTEPYRQKLDNFHNGSSFSNRGFMGNRESEIEKRVNNGINMHEFDEYNGFHERMNRKDVNDQRHGLKLHWQSGDLKNSASGSSRLIDDKRREFLHDKRNAILGGNSSRPIHGIKSGYKMEDDKSHLPLHYREVSDEPIRLQGKNGVLKVMVKKHKQMSVPHQSYNHHPEVEEWKESRPVVYKKKQPNISHKSHDHWEGPNRKKTAMESSSLHSASRHPEKPVSFKNQVKGEVDLDLERPVLDDSDTSLPIKPSAEKMVKIEKRITPQTKKVTPVKGKESKVKRGSGTEKQLLREKIRSMLLGAGWTIDYRPRRNRDYLDAVYINPTGTAYWSIIKAYEALQKEEQKDHSQVGGEFTPLPVETLSKLTRQTRKKIEREMKKKKRDEGNNNGDADDSNDNYYVETAKQEKAAAKRSVGLGREYDSQIPQGQKSRKLGRCVLLVRSEGKSSENDRFVSYSGKRTILSWLIDSGTVEMSEKVEYMNRRKTRVMQEGWITKDGIHCGCCSKILSVLKFELHAGSKLKQPYLNIFGQSGKSLMQCQIDAWNKQGELERKGFYAVDVDGDDPNDDTCGLCGDGGDLICCDGCPSTFHQSCLGIKMLPQGDWHCPNCSCKYCETEAGVRTESQLLACCLCEKKYHESCSLEMNEKPVDLTDPNLSFCGQKCLEVYSQLQKLLGAKHEVGSGFSWSLIRRSDLPPDASSMELSQRVECNSKLAVALSVIDECFVPVLDRRSGINLIHNVVYNCGSNFNRLSYSGFFTAILERGDEMICAASIRIHGTKLAEMPFIGTRHMYRRQGMCRRLLSAIESVLSSLHIEKLIIPAIAEHMHTWTDVFGFKPLEETHRQEMRSINMLVFPGTDMLQKPLIPEKGSSLHKIRLELELESNIPKADKSESSSPDVKESRQRIAPSDSGSQDASDATLSISSVKVDFRFPVPERKPNVISDSDVQLDGDDTVMQNGEESTENFAISVAIPMKKGVRGGNETTNGIADADSKVEGFALQNGSVTSITKTGGVNSVNPCEVMGKESVSVSMDSDSSKELTDVQDDGIDDAIPIDAISLNVSLEPKPQLSGMESLPSVPNSAANIAELIQFSTGKEK >cds-PLY70399.1 pep primary_assembly:Lsat_Salinas_v7:3:180790879:180794307:1 gene:gene-LSAT_3X108961 transcript:rna-gnl|WGS:NBSK|LSAT_3X108961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVLSGFLTVVFEKLASDSLKKIARYKGIDSELKKLKRRWLNGLQHLAYDIDDLLDDLATEAMHREFTEESGATSSMVRKLIPTCCMNFSLSTKMHDKLDSITTNLQELVKEKNNLGLRVKGESPRTSKRFLLVLDDVWSESYTEWEILERPFLSGAPGSKIIVTTRKFSLLTQLGYSTQAYSLSVLTQESALSLFAQHAFGESSFDSHPTLKSHGEGIVEKCDGLPLALIALGRLLRTKTNEEEWKELLDSEIWRLGKRDEIIPALRLSYHDLSTSLKHLFAYCSLFPKDYVFDMEELILLWMAEGFLPQSHTSKSMERVGVEYFEELVSRSFFQHAPDDKSLFVMHDLMNDLATSVAGDLFSRLDIKMKKEVRKEGMEQYRHMSFVCEKYMVYKRFEACKGAKNLRTFLATSVAVKKSREIFFISNKILTDLLCELPLLRVLSLSCLNINVVPEFIGILKHLRYLNLSRTGITYLPDNVSNLYNLQTLIVFGCRSLKELPKSFSKLKNLQHFDMRDTPLLKKMPLGIGGLKSLRILSKFIIEGDNGFSITALKDLKDLQGKISIQGLDKVQSVMHAQEANLSQKRISELEFEWSDVFDDSQKGTHEKEVFNVLKPHNDSLKELGIVSYGGKEFPNWVGDPSFLRLAHVSINGCKNSTFLPPLGQLPSLKELFIEGMAMVKVVGPEFRGTSLAFPSLKILSFRDMKGWEAWLTNATFPCLEELSLDRCPNLVKISLETLPSLRVLRINRCGPDVLTNLIRVASSVTKLDIRHISGLYDQVWGGVLQCLGAVEELRIEWCNEIRHLWESDAKAGNILVNLRKLEVTYCSNLVSLGEKEEVNFGHGSNLEFIRIYFCKKMQHFSCPDSIESLSIFDCASVTSVSFRNGGKKLKSLSIRGCEKLLQKELINSSMQMLEYIQIGNCPNMTSIIQLSCFIHLTELEIKNCQNMESFPDHELPNLTFLKHLTIENCPSMDASFPRGLWPRNLCSLSIGWLKTPISEWGPQNFPISLVDLRLGGQSEDVTNFSQLSHLLPSSLTSLRIHGFEKLELVSVGLQHLTFLQHLSIYYCPNTIDLPGMLLTSLLSLTILRCPNLKERSDRRDSYWPLISLIPCIYIS >cds-PLY69741.1 pep primary_assembly:Lsat_Salinas_v7:MU044308.1:4699:4854:-1 gene:gene-LSAT_0X43580 transcript:rna-gnl|WGS:NBSK|LSAT_0X43580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTKSIRGWLGWSHANMEKNDSDYYCTPAARLEGDGDDDDGDYDYAPAA >cds-PLY67805.1 pep primary_assembly:Lsat_Salinas_v7:9:167018633:167019200:-1 gene:gene-LSAT_9X103781 transcript:rna-gnl|WGS:NBSK|LSAT_9X103781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIITTLVLVSSAFLFLIHSKHNLQVLEQQEKQPFQEDDQNDVNQVTEAVKKGCFDVGSPDSCSESEVIDQFSCTTEEDSDFDGWQFSGEVYRRPDCSDGSISDEESLIEIALPGGHYVGYQDVEEPKFCCRQRSPESVLWWPEMNEEENMIEIDLSVGSIKCSRFEIKA >cds-PLY68082.1 pep primary_assembly:Lsat_Salinas_v7:5:294539269:294544160:-1 gene:gene-LSAT_5X157341 transcript:rna-gnl|WGS:NBSK|LSAT_5X157341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFAFSRRGKKLGRVKVQLSDSTQGTRSPVRQPKRTNNSHGDNVTTGINDSDDNNQCSSIAPSLDTSNSNNNEGWKKLSTTGDKPTPRFNHAAAVIGKKMIIVGGESGNGLLDDVQVLNFENFSWTTASSRLYLSPSNLALKIPACKGHCLVPWGKKVLLIGGRTSPPSDRVSVWAFDAETESWSLMEAKGDIPVARSGHSVVRAGSALILFGGEDMKRKKLNDLHMFDLKSLTWLPLHCTGPGPSSRCNHVAALYDDKLLLVFGGTSKSKSLNDLYSLDFETMMWSRIKIRGFHPSPRSGCCGVLCGTKWYIAGGGSRKKRHAEILVYDILKLEWSVVHSSSQQSITTNKGFSLVLIQKKETEYLVAFGGLKKEASDQVEVLMLENHDLSTSRRTTLLSEKRSSGLGSGGNFGKQNLASAIEHHGSGRKSLSESLLVDSNPGNGNVSLRKEHVDDDMALKLSRNMEDERSSLPIGETCSPETTEKEEKERNEDSIPFQETDGKTGFFPASSSIYQLYEIKMSALMRKNGILEGKLAAAEKNLSSVIKSKQEAEKRLVDTLKDMELMKEKLVLVEMAQEEANSLSNIVHSDHVRLEHDVAFLKAVLDDTQKELHSTRGVLSGERARAFQLQVEVFHLKQRLQSMENRTPTPRKPFHG >cds-PLY95566.1 pep primary_assembly:Lsat_Salinas_v7:6:173739953:173740558:1 gene:gene-LSAT_6X104800 transcript:rna-gnl|WGS:NBSK|LSAT_6X104800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSDVQQYLITSLISLCCDVVDIWEAIRKREGIQLLISFLGLSSEEHQEYVVWLLALLTEQIDDNKWAITAAGGIPPLVHLLETGSDKAREDATYVLWNLCCHSEDIYACVESAEAIPVFLWFLKIGGTKGQESSAKALRKLIRKADAATINQLLALLWGDTPKSKAHIIEVLGHVFTMASHYDLVQKGSDAYKGLRSLV >cds-PLY99358.1 pep primary_assembly:Lsat_Salinas_v7:1:81708381:81714577:1 gene:gene-LSAT_1X68861 transcript:rna-gnl|WGS:NBSK|LSAT_1X68861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKPLYELAPNVNVVKGPHAHDFPIIIQANNVAFSDYIPKHFMNLGIKDFVGYVKSFPLRYALCDFQSHSTLNKFVSFNIHALLILMLKPLLEPLEMVNIGFLLMWNLFELIFVFLDYKIILKLVQKKSARCKKWIVNTYIIQSSDSEERDDEVDSEEGVDEEDTDNEKDELSTDKGEDFVQGMNSPPRMNKHIRFLSTSSSPPFTGDVLQRGSTPHFLETTESLIQDVISPRTTSPRQQVETITPMPPHIQTATVYQEESSSNFETTDVADMKRYMALGDDDYDDMVTGYTHLLHVIILLHLHLHHHIHLHLFHHLIPLLAPLLVLLPILMLPKNRENNIESPDQQFQMVVIVSTPSHLEISEAGRVKFDLQMEIVVADIPYDDATTDDQPIDVGDQSKTDDYERFLDLGFMPQVVIPVFPLNVVYFDSYFEWEIPQGTNSGIESDNDQLNPRKRKASFSGGAHEAEGLPESMAGAGLWSATSLPAEEHVVHHASDPTGIPAMIMAYEEEPTEDIGDTEHDYSPVEHPCNPEYTPFDHSSSEPSKPVHLPDHTLAGLKLLNSDYETNEEEEDISTSLEITPPRPNPSHRSFRAHTIGTWVKQTPSKTIVIPSRKGAASPRPTKKPCGDYI >cds-PLY61716.1 pep primary_assembly:Lsat_Salinas_v7:5:216944983:216947790:-1 gene:gene-LSAT_5X100220 transcript:rna-gnl|WGS:NBSK|LSAT_5X100220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFGLSVIPVFPKFTRTFSLYISNRSLSVAAYATMATAADFVKGNVLPNGVAVITLDRPKALNAMNLDMDLRYKSFLDEWETDPKVKCVLVEGSSSRAFSAGMDIKGVVAEIQKDKNTPLVQKVFAAEYSLICKIFEYKKPYISFMDGVTMGFGIGLSGHGRYRIITEKTLLAMPENGIGLFPDVGFAYIAAQTPGEGSIGAYLGITGNRISSPADALYVGLGTHFVTSGNLGPLKDTLLSTTFSEDPHHDIEAILGKYSSNPESESKLKSLLPHIVSTFGANKSVKEITEELEKYQQNTDASVSQWATDALVGIKKGAPFSVFLTQTYFSRVASACRNNQHELSTLNGVMKTEYRIALRSSVRSDFAEGVRAVLVDKDQNPKWNPSSLEEVEASEVEALFEALGSEADELSV >cds-PLY97131.1 pep primary_assembly:Lsat_Salinas_v7:4:74548414:74553334:-1 gene:gene-LSAT_4X49840 transcript:rna-gnl|WGS:NBSK|LSAT_4X49840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANSSTFFPILMLLFSCNVYTNACYPSIISFGDSLADTGNLKEMASKSNVRPPHFLFPPYGETFFNKPTGRCSNGRLIIDFVAESLGLPLVPPSLGSETNVHVTELGEGVNFAVAGATALDSSFHEARGVSIPTNASLGVQLGWFKDSLSSICSAVSDCKQLIGQSLVLMGEIGGNDYNHALVAGKSIDEVETYVPFVINTIISAVNELIELGAQTLVIPGNLPIGCSAAYLTIFYGSDNVQYDNSTGCIITLNKFAEYHNELLQRKLQQIRELHPEVNIIYADYYNAAMQFFRSPNKFGFTNGALKACCGGGGPFNYNPSIACADSSSTSCAQPDTYVNWDGLHLTEAAYKVIFKSIYEGPYTTPQFNTLCPISTLQWLGGLSSSI >cds-PLY83250.1 pep primary_assembly:Lsat_Salinas_v7:4:146193090:146194766:-1 gene:gene-LSAT_4X89261 transcript:rna-gnl|WGS:NBSK|LSAT_4X89261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFVVNATQLPLEDTYDYIIVGGGTAGCPLAATLSKTYRVLLLERGGLPFANANLMTREGFLPTLTNFDQFDSPAQAFTSEDGVPNARGRVLGGSSAINAGFYSRADEDFYKRSGINWDTHVVQRSYEWVEKAIVFHPQLQTWQTAIRDGLIESGIEPYNGYTLNHTLGTKIGGSTFDSSGHRHSAADLLNMANSSNIKVAIYATVERVLFAPSYSLLSRQNAIGVVFHDLIGGYHHAMLRNNGEVILCTGAIGSPQLLLLSGIGPRPYLSSWGIPVVRHSPYVGNYMYDNPRNGISIVSPVPLEQSLIQVVGITDSGAYVEAASNVLPFSSPAYSVFLRPTSSPLYLTVASIMEKIIGPHSSGTLRLASTDVRTNPIVRFNYFSNPVDLERCVNGTRKIGALLRSRAMDDFKFWEWSGTDFRFVGPALPIDQSSNILMRDFCRRTVSTIWHYHGGCVVGRVVDHNLRVAGVHSLRVVDGSTFTVSPGTNPQATVLMLGRYMGLTILKERTQMHNNSTQKARTQMHNSTH >cds-PLY71434.1 pep primary_assembly:Lsat_Salinas_v7:8:42703493:42709286:-1 gene:gene-LSAT_8X33100 transcript:rna-gnl|WGS:NBSK|LSAT_8X33100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSPWTSSFILILSISIFRWGVAVSDQQKPTGRAFAKQEADRVFRLPGQPPVSFKQYAGYVTVNESHGRALFYWFFEAEESPEKKPLLLWLNGGPGCSSIGFGGLEELGPFVVKKSKSGLLLNDYAWNKAANLLFLESPVGVGFSYTNTSNDIKELGDKITAQDSYTFLIKWFRRFPQYKSHDFYIAGESYAGHYVPQLAELIFDTNKIIADHDRINFIGFMIGNALLDDGTDQTGMISYAWDHAVISDRVYNDIKTNCNFSSPNSTESCDTSLDEYFEVYKLIDMYSLYAPTCVDTTPNSTQRVFMHGNVSPQTLSKHKGWHKKPTGYDPCQSEYTDSYMNKPAVQAALHANTTKIPYPWSHCSNAITFWHDAPASMLPTIKKLVNGGLRVWVYSGDQDGRIPVTSTRLSLRKLGLKTIQEWSPWYTNNQVGGWTIAYDGLMFVTIRGAGHQVPTFKPKESLQLINHFLSNQNLSSVPF >cds-PLY71086.1 pep primary_assembly:Lsat_Salinas_v7:1:145597821:145598768:1 gene:gene-LSAT_1X103201 transcript:rna-gnl|WGS:NBSK|LSAT_1X103201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASHCLRTCCSCSSFCDCHCHREPTPPPPPPPPPPVTSTRIPDTSVARDDAYYSNHPQIVEGEWLFFSPRDHRRSHYRRYRKNGNGEWKLKHKLTLRDEVGEIGVKNSFYYREGLPPAPTTNTSWQMAKYLLNGTRTQSPNRFVLSIIYINTQRGPSNNAVVDCSGGASQTSSQLRIGETENLAQPPPSGSQSEGGMNLNQVGFQHQGGNITPAPDWEEKMTAIACRSMKLIIVNISICWYVT >cds-PLY84724.1 pep primary_assembly:Lsat_Salinas_v7:5:230060499:230062041:1 gene:gene-LSAT_5X110320 transcript:rna-gnl|WGS:NBSK|LSAT_5X110320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSSGGRSARAPARAPPPAPVNRSPPPAPVQSSGGGSMLGGIGSTIAQGLAFGTGSAVAHRAVDSIMGPRTIQHETVGAAVPDASATNTSVSDACGMHSKAFTDCINSSGSDISKCQFYMDMLAECRRSSGLTA >cds-PLY71711.1 pep primary_assembly:Lsat_Salinas_v7:3:45240155:45242860:-1 gene:gene-LSAT_3X35740 transcript:rna-gnl|WGS:NBSK|LSAT_3X35740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSVVPANRGRRNTMVDDEKLVFETSRGVEPIMSFDQMGIKDDLLRGIYQHGFEKPSAIQQRAVRPIIEGRDVIAQAQSGTGKTSMIALTVCQIVDTASREVQALILSPTRELAAQTEKQILAIGDFISIQAHACIGGKSVGEDIRKLENGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDESDEMLSRGFKDQIYDVYRYLPPELQVCLVSATLPNEILEMTSKFMTDPVRILVKRDELTLEGIKQFFVAVERENWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMRENNFTVSAMHGDMPQKERDAIMEEFRSGVTRVLITTDVWARGLDVQQASECETQEDLYLIVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKTDDIKILRDIEQYYSTQIDEMPMNVADLI >cds-PLY79134.1 pep primary_assembly:Lsat_Salinas_v7:9:91277279:91277620:1 gene:gene-LSAT_9X69821 transcript:rna-gnl|WGS:NBSK|LSAT_9X69821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRCHLLPFQSLDCGHNSQAAGGVVHPKEPPKSDEGSCGHRRYMSRERVAFSAHDSFFISCWHFTKSSWSLHRFSETETAIVAAVVGAVVVADDVVAAVVMHRPVVVASRF >cds-PLY90326.1 pep primary_assembly:Lsat_Salinas_v7:2:198262033:198264075:1 gene:gene-LSAT_2X119141 transcript:rna-gnl|WGS:NBSK|LSAT_2X119141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTSEHPSLPFLLLVVLLLLPAAYSIGVNYGTLGDNLPPPSEVAHFLKTRTTIDRIRIFDVNTTIIKAFANTGILVSVTVPNGDIPSLTDARNARRWIDANIKPFYPATKINYICMGTEVLHWGPQPLIDSLVPAMKVLNAALVKEGFNEIKISSPHSLGILLSSVPPSNASFRPGWDVGTLKPMLEFLKQTKAGFMVNPYTYFGYSPSNANFCLFKPNDGLFDKATGITYTNQFDQLMDAVHVSMKKLGYPDVEVVVAETGWPSGGDPANLHANPVNAAAYIGGLMKKVNSGDGTPLMPGRKFETYIFALFNENLKGPSLDEKNFGLFRPDFTQVYNVGIMHAPQAQAPSPSPLGAASASDAPSSAASPWDDAPFFNKSKPLTGSPVQAPPTSSNTNASATTNTDGAANLNVNFAAKSAAAFILAMTLLV >cds-PLY88754.1 pep primary_assembly:Lsat_Salinas_v7:4:169255007:169255249:-1 gene:gene-LSAT_4X100280 transcript:rna-gnl|WGS:NBSK|LSAT_4X100280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVVNVVLKAMEMIHSDNSSPALPGFGSTPMVRQPRVTYYSFLLSNVDEDNQVIDATEQFISRFYHDLWVQNTNASFGSS >cds-PLY83368.1 pep primary_assembly:Lsat_Salinas_v7:5:94431028:94431762:-1 gene:gene-LSAT_5X43380 transcript:rna-gnl|WGS:NBSK|LSAT_5X43380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDALKSLHCEFMIMKAKNIQVTNSNGYLFVRCYLDAGNNKRVRLDSGEVSQYGEFSSNESFSLDCIGTKQSTDMIIHGTIVLELRWRRNTASMFRGSQLLGKTEVSWRDVFESPNMEMERWVMMKSKKKDVKTPSVRIAMKIETPFGCGEDLVEIRKRRNKWDERCACCHGDCCNSTCLDGELFAIGAALDAF >cds-PLY98002.1 pep primary_assembly:Lsat_Salinas_v7:8:43804653:43805024:1 gene:gene-LSAT_8X33981 transcript:rna-gnl|WGS:NBSK|LSAT_8X33981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYARYQSDMDDIWAWVSYPSPEEDQVEPHTPETESDCDPIMTLLPTPVSVKDDDEPFEDEEEHIKEYEPFEDEEDPFEEDEQHDEEFGRDPVDSSPYLDSSSLKAVTPLPPSFSRSLGFHAS >cds-PLY74922.1 pep primary_assembly:Lsat_Salinas_v7:3:131693851:131695914:1 gene:gene-LSAT_3X88441 transcript:rna-gnl|WGS:NBSK|LSAT_3X88441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNIGMMDAAYFVGRSEILSWINSTLRLNLSKVEEACSGAVHCQLMDVAHPGTVPMHKVNFDAKTEYDMIQNYKVLQDVFNKLKITKHIEVNKLVKGRPLDNLEFMQWMKRYCDSVSGGANQNYNPEERREACKGGKDVSKKPTRSSTSTGAPPKSHNSRRVDTPTPNSTLPPPPVKNTTIDTSAYEQQITELKLTMDSLEKERDFYFGKLRDIEILCRISTMSNIPGIEAIKRILYAAEDDASIVEEAQAMLLNNENKEQEEEEESKSDSQKRKSIVTAEVDAAANTALSPRLKVSDGSDVHSSGSPLLISW >cds-PLY92814.1 pep primary_assembly:Lsat_Salinas_v7:2:149483581:149486094:1 gene:gene-LSAT_2X75500 transcript:rna-gnl|WGS:NBSK|LSAT_2X75500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFYAYPSRPLPSSSQISDFSFGNLVSKIRDFFSSAVSAIVGNVFSAIFTFFFALVGTLLGALTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLLLWQSDESGIRCLLYLIDVIVSLISGRLVRERIGPAMLSAVQSQMGAIETRFDDVQNIFDIGGCKGLPEYSVEKIPKITVTSDNNVDDSGEKILCSVCLQDFQLGETVRNLPQCHHMFHLPCIDKWLVRHGSCPLCRRDL >cds-PLY71957.1 pep primary_assembly:Lsat_Salinas_v7:3:25973550:25973831:-1 gene:gene-LSAT_3X18100 transcript:rna-gnl|WGS:NBSK|LSAT_3X18100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRCHRTGLTKHVHVYKLATAQSVECRIFKIAFSKLKLEHVVIGKGQFQQGEDKHKSFEGIIYI >cds-PLY77699.1 pep primary_assembly:Lsat_Salinas_v7:9:19024464:19028201:-1 gene:gene-LSAT_9X14041 transcript:rna-gnl|WGS:NBSK|LSAT_9X14041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIGRLLGPRYWYGGWDGKKWLLDVFVLDTMSLEWRELAVTGTLPPPRCGHTATMVEKRLLVYGGRDNEAPGWTQLKLPGQAPTARCGHTVTYGGHYLLMFGGHGTGGWLSRYDVYYNDCVVLDRVSVQWKRLAANTEAPAARAYHSMTCIGPCYLLFGGFDGKLTFGDLWWLVPEDDPISKRSEVIQETRNDFQSETKVLVRKLEELNSRRYSRRTMNDTADLVVGLPSFATGITDDDLRESAYEILLAAVGASGYLLSPFCFVALSYYSWACLNMLEEGLVNHPVVGFGESGRKASEMRILLARIEESESFAPSVGELQRIECLRSLREIAIALAERPARGDLTGEVCHWADGYHLNVRLYEKLLSSIFYVLDEGKLTEEVEEILELLKSTWRILGITETIHHTGYAWVLFRQFVKAKLLRTTWLGIFDMCIPTGISLGYVYGGWVNLAREQVLLVLVLVLGFADLYVCRMV >cds-PLY83673.1 pep primary_assembly:Lsat_Salinas_v7:4:43249233:43249718:1 gene:gene-LSAT_4X29001 transcript:rna-gnl|WGS:NBSK|LSAT_4X29001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPKSSLSKWIDKAVKLNVCELDINVQLFDLPLSLFTCKTLTNLKVFARYRNFGVLNVPPLVILPCLKTLDISVHSKRLVKAFRLINGCPVLESLSLLILPQEVGDYNFNIPTLKRLELRTNDFISRHKVVLNLPNLEYLYVDGMLGSNFVMEDLSSTISD >cds-PLY66474.1 pep primary_assembly:Lsat_Salinas_v7:5:327240476:327240823:-1 gene:gene-LSAT_5X182861 transcript:rna-gnl|WGS:NBSK|LSAT_5X182861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNEEGGRGNKGINGKVGEGSSGKKANDVIDDKGGRGKKGSNGKVGEGSSGKKANDVIDDKGGRGKKGSNGNVGERSNGRKTRKKSERILNKKLGTRVEGNNGEGNTIDKPMELE >cds-PLY77050.1 pep primary_assembly:Lsat_Salinas_v7:3:254701178:254701630:-1 gene:gene-LSAT_3X140641 transcript:rna-gnl|WGS:NBSK|LSAT_3X140641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAPCKGWTDDEERALAQYVSEDPINGNDQDSTIFKEKVWLNFCQRMKNKYRNPDSLYSKWRTAKQVAMEFNGIYLNVICNPQSDATEVDFMAKVRHIYKAKVERAFVNESFWGVVKDNRKLLDLKSPDDYTGMSRRSKTSESTHSHLIF >cds-PLY76148.1 pep primary_assembly:Lsat_Salinas_v7:4:56375499:56376201:-1 gene:gene-LSAT_4X38941 transcript:rna-gnl|WGS:NBSK|LSAT_4X38941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVSNQFKQVFKFFDLNNDGKISQMELTNVLLIFGQDKSKATIEAQGILKEVDFNGDGFIDLDEFMAIMDDSISKPNFPISKEDNIDDNDDVRNAFMVFDSDKNGLISAKELQRVLINLGCSNSKLGQCRKMIQSVDKDGDGFVDFEEFKSMMSIGIK >cds-PLY86838.1 pep primary_assembly:Lsat_Salinas_v7:1:16529262:16532186:1 gene:gene-LSAT_1X14461 transcript:rna-gnl|WGS:NBSK|LSAT_1X14461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSVSPDAISVILSNPTPDSSADLPELIVQVLDLKAAGNRYTFTVTDGKTKLKAILQSSLASEVINGNIQNLGLIRVVDYTLNDIPNKNEKYLIVTKCEAVSPPLEAEVKIEPKIEGTGIVLKPKQEVVTKSAAQIVNEQNKNMAPSARMAMTRRVHPLASLNPYQGNWTIKVRVTAKGNMRTYKNAKGEGCVFNVELTDEDGTQIQATMFGEAARKFFEVFQMGKVYYISKGTLKVANKQYKTVQNDYEMTLNEYSQVEEAVNEVAFIPETKFSFVPIDQLGPYVNQKELVDVIGVVQSVSPTMSIRRKIDNESVAKRDIVIADDTKKTVVISLWSDLATDLGQRLLDMADESPVVAIKSLRVSDFQGVSLSTVSKSIIEINPETPDSQKLKSWYSTEGKETSMEAVGSGLSPSMKAGGRSLYSDRVTLDQITSNPSLGEEKPVFYSTRASMSLIKPDQTMWYRACKTCKKKVTEAIGSGFWCEGCQKNEDNCSLKYILAAKFSDVSGGAWFSVFNDEAEKIIGCSADELDSLKSQGDGSDYQLQLKKATWVPLLFRVSVQPREYNNEKRQRITVRSVAPVDFAAESKFLLEEISSMKA >cds-PLY70660.1 pep primary_assembly:Lsat_Salinas_v7:5:71125196:71127487:-1 gene:gene-LSAT_5X33400 transcript:rna-gnl|WGS:NBSK|LSAT_5X33400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLSYLKRPISETPQFQLISADQPPIPSKFSTIHPLRRTSFQFSQSRVSGISPRRSHVVKASSIESTRVYDIPLLSASEAIERLRRNKESYKSTQRYLAMYSSVFGGITTDEAAMVIPMDDHMVHRGHGVFDTAAIVNGCLYELDQHLDRFLSSASKARINLPFDKETTKTILLKTVSASNCKNGSLRYWISSGPGDFQLSPTGCHQSALYAVVIQDQSPPNYKGIKVITSSIPIKPPQFAIMKSVNYLPNVLSKMEAEENGGYAAIWLDEEGFVAEGPNMNVAFVTKENELLMPSFDKILSGCTAKRVLELAGDLVKEGKVRGVKVKDVTVEEGKGAEEMMLIGSGVLVRPVLQWDDQVIGNGKEGVVTESLRKLILEDMKSGPSTVRTIVPY >cds-PLY83543.1 pep primary_assembly:Lsat_Salinas_v7:1:65589908:65598744:-1 gene:gene-LSAT_1X56040 transcript:rna-gnl|WGS:NBSK|LSAT_1X56040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METTASPTTMEDCCVKVAVHIRPLIRDEKLQACKDCVAVVPGKPQVRRQTAGRLIGADPLKAGLCRLKKISTEPRAHRRTWFRRSERLIGVDPLKTGRFGIQRLETSVNELVGEFESVWKPDMGGYSRKLMEYCAAKVLNEMCRNLEEAITEGTFNRFTFDMMLAWETPSSSHEESHREYVAKEKEDTREIPATVKSTNEQDDISLFYSDIMPLLVDNEPSVGDDAFVWLASLVPLVADFVNGRFTFETLTISTANRLHFPAYDIFIKEIDKCIKHLQNQATPKNVVMADDEYILHVEGTASSQRVIRHIGGQSWPGRLTLTNHALYFETSGIISYEDAFKLDLSKDIEQSIKPASTGPLGAPLFDKAIIYESSELEESVEIEFPEMTSSTRRDHWLAIVKEIMLLHKFLAKFKVESPLQKWEMHARTILGIIRLHAAREMLRISPPDPKSFLIFLLFDELPTGSNVLQEVAESLKTVDIGHPCSATSVLRNLNVSQGCTQHTEKETGIANNRPDNLESLETAVEQVREEAKEINIAKAKADELKDEGIGNSALVLMELVKPLKGALPWFKEIIQWERPAITLTVIGITLLVIYKELVGIAMAAFIMWVVSKMIWARKHEIGKKTKFVVCTASDQTTMESIVSAQHGLNTVYNIMQSTNISILKIWSILLSNAPKHTDMVITGMTGCAIVLAVVPVKFMIMAAVVVMFAVTSKLGKGMRKRKNDMGNRRLQGWWDSIPVVPVEIVDKVEEIPKATKVD >cds-PLY75571.1 pep primary_assembly:Lsat_Salinas_v7:9:34092575:34097577:1 gene:gene-LSAT_9X30260 transcript:rna-gnl|WGS:NBSK|LSAT_9X30260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKYDVVKVERTCPVICHWTSEKINTRETFEKDEVGDFGIGDFNDEFVEEELNEEREDHDNGGSDSGSGSGDGGDDKDKDKFEGDFEFEKVKLENEDGSKKNVKKGSKVHDDPKLLNKSLQKDKKRHEKISGKWKDRVETQKKLRGEKQSKTIRNIKRGTARRKLGSFPRGRRN >cds-PLY94985.1 pep primary_assembly:Lsat_Salinas_v7:4:111035243:111037637:1 gene:gene-LSAT_4X70741 transcript:rna-gnl|WGS:NBSK|LSAT_4X70741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTEMVGRHKEREIVLEKRIHINSGDDIVCHCMVEYYSTPPESEQPDSNGDRKGINQPPEDWLPITESRKGNSWTATFHLVSSGIGIQTLSLPLAFMYLGWFWGILCLSVAFVWQFYTILLLVDLHESTSSTRYSRYLQLSVAAFGVKLGKVLAIFPVMYLSGGSCVMFIITGGGTMKLFYQLLCEDCSSKYPLTTTEWFLVFICLAILVSLFCPNLNSLAFVSFIGSIMAVGYCTILWLLVVAKGRVDGVIFDQSKVAESESARIRNIMNALGIIFLAFRGHNVVLEIQGTLPSTPNRSSSKFMLKGVVASYIIIAMCFFPLTAAGYWALGNKIIPANGGLLTALSTTLHHHTLKPVVGLLYVQVVMSCVAAFQIYGMVVYDNLERAYASRKSQKCPKLTRIGIRIFFGGLTFFISVAFPFLPSLGLLIGGIALPVTYGYPCLMWVAIKRPSTKSAIWWLNLGLGCLGVGLSVVLVICAVWNLACIGLDANFFHPY >cds-PLY90381.1 pep primary_assembly:Lsat_Salinas_v7:9:173861462:173861875:-1 gene:gene-LSAT_9X107020 transcript:rna-gnl|WGS:NBSK|LSAT_9X107020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSSTPSSPRDVLVIPDDDVQQSEGIEGASHQPIVPVSLGAGVSPQSSRGSPERLFQGPSTVEALRSSPVFVPGWGLRSDSRLFVRSVALDFTCHALPPATVDDMDSMSNAVLAHNLVYDAAQAMTYLAARSRRV >cds-PLY80280.1 pep primary_assembly:Lsat_Salinas_v7:3:209868665:209869646:1 gene:gene-LSAT_3X122721 transcript:rna-gnl|WGS:NBSK|LSAT_3X122721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKITFFIVLTLLIVAVSNPSLVMDLIVVLTAVFKESPAPEIFGNPKWLFLKPISLHIYLIVFLLFAVSTIYVFLDPVDVLTDVSKSSPVSVADGDETPDVNTIDPPPRTNFPVVRPMIKRYFFDTLNPLKFGRYLCGKFEKKLMIPRTKRSHVKDIILSGESDSFDPETSRDLVPKS >cds-PLY62377.1 pep primary_assembly:Lsat_Salinas_v7:8:113419008:113419253:-1 gene:gene-LSAT_8X76920 transcript:rna-gnl|WGS:NBSK|LSAT_8X76920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCQEIYESGNFPLHFLLKSTSILVIPVVFLVHICIDISEFEFQLLQSLFLALTMLFQAIVDVLFVPDLIDIYFDDELRRR >cds-PLY83031.1 pep primary_assembly:Lsat_Salinas_v7:5:51040565:51041448:1 gene:gene-LSAT_5X24140 transcript:rna-gnl|WGS:NBSK|LSAT_5X24140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARVFAIEQLNQLREIFTRFDMDSDGSLTYLELAALLRSLGLKISGDQIYVLFNNMDSNGNGAVEFEELVSAMSIDVKTEEILLNQKQLIEAFHSFDRDGHGFITPAQLAKSMAKMGQPLTYRELTAMIEEADVDGDGVINFKEFSSIMAKSAADIFGVHVS >cds-PLY89757.1 pep primary_assembly:Lsat_Salinas_v7:1:59344994:59346893:1 gene:gene-LSAT_1X50180 transcript:rna-gnl|WGS:NBSK|LSAT_1X50180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDLSFLALCALLPIIFLIFLKLIVRPRPVKIPIKSRHVLITGGSSGIGLALANQAAAEGARVTILARNLEKLEEAKASIRLSTGIDVAIVSADVCDFEAVKEAVDSVGPIDVLVCNQGVFVAQELENQEMKEIRDMIEINLIGTFNLVKAALPSMKSRTDRKPVSIAFMSSQAGQVGIYGYTAYSASKFGLRGLAEALQQEVIADNIHVSLIFPPDTDTPGLAEENKRKPRLTSIIAASSGAMKADEVAKKAWSGIKSGSFFVPCNLEGFMLSVATAGLSPQRSYLMAFVEVISAGLMRVAGLCFQWSWYGSIENFHAQHK >cds-PLY75696.1 pep primary_assembly:Lsat_Salinas_v7:8:195750478:195753490:1 gene:gene-LSAT_8X126020 transcript:rna-gnl|WGS:NBSK|LSAT_8X126020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPPMLFLVLLALLSLSTCHAHKEATIRELRIAFNQNRLNSRQLVEFYLGEIKKLNPILKGVLEVNPDALYEADRADRERGANVPRSLVDLHGIPVLLKDSIATKDKLNTTSGSFALLGSIVPRDAGIVSKLRKSGAIILGKATLSEWSNFRSLSTPSGWSPRGGQGKNPYVLSATPCGSSSGPAISVAANMAAVSIGTETDGSILCPASFNSVVGIKPTVGLTSRAGVIPVSPSLDTIGPICRTVSDAVYVLETIVGFDYNDRDATKKASQYIPYGGYTQFLKADGLKGKRIGVVRNPFFVFSNGSNLHQVFENHLQTLRHEGAILIDNLEIKGITTISNATASGEAAVAIAEFKIAINAYLKSLVGSSVRSLTDIIAFNNKFSDLEKIKNFGQDIFLAAEGTNGIGDLEKAAIANMARLTREGYEKMMVENRLDAVVSPRADISPVLAIGGFPAISVPAAYDNKGVPVGICFSGLKGSEPTLIEISYGFEQATNVRKIPTFLP >cds-PLY82846.1 pep primary_assembly:Lsat_Salinas_v7:1:87078290:87080903:1 gene:gene-LSAT_1X72000 transcript:rna-gnl|WGS:NBSK|LSAT_1X72000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGVSLKTQELYALVFATRYLDIVTDFISVYNTVMKLIFLGSSFSIVWYIRHHRVVRRSYDKDQDTFRHYLLMIPCMLLALFVHEKFTFKEVMWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRGLYIFNWIYRYFTEPHFVHWITWIAGVVQTLLYADFFYYYFESWKNNVRLQLPA >cds-PLY99516.1 pep primary_assembly:Lsat_Salinas_v7:1:113120408:113121853:1 gene:gene-LSAT_1X89501 transcript:rna-gnl|WGS:NBSK|LSAT_1X89501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTIKPRSTLSKTFHKVLPFKKSTKSLSNNGFCLLLPHDNKFKNSDHGSDSHRYFNKHSVDDAHLRHRAAMEAFVAKLFATISSLKAAYAELQAAQFPYNGEAVQCADQGVVDELKAISDMKRSFLKKQIDSSPPHVTLLLSEIKEQQSLMKMYEITMNKMEREIKSKDFEISSLKNKLVEADSNNKSIEIKLNSSGCFPIFDNVNLSQLTPTNFIDVLHYTLRSIRNFVKLLVRDMGNAHWDIDAAVRAIAPNIVFPKSSYRCFAFESYVTREIFEGFNDDDEEEDHVQMFNQFKKMKSLSTSQLLKENPRSAFGKFTRSKYMRLVHPKMEASLYGNLSQRKTLNTWQFPETAFFGSFSEMARRVWVLRCLAAAFEKQVSVFQVRKGSRFSEVYMESVTDEAFSGGGGELRVAFTVVPGFKIGDTVVQSQVYVPPVARR >cds-PLY67535.1 pep primary_assembly:Lsat_Salinas_v7:3:53995911:53996138:-1 gene:gene-LSAT_3X42040 transcript:rna-gnl|WGS:NBSK|LSAT_3X42040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSWWCNSSGGRSDDVIRVVLPVIAADCDGGRRLKQDLMVAEKWVVGGDDGSGNLGETGGGLVADAKVLLVYIHY >cds-PLY83378.1 pep primary_assembly:Lsat_Salinas_v7:5:94588918:94589683:-1 gene:gene-LSAT_5X43280 transcript:rna-gnl|WGS:NBSK|LSAT_5X43280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALKALHCELKIMNTKNIQATNSSGYFFVRCYLSAGNNKRVRLDSREVSPNKEFSMNESFSLDCIGTKQSMDMIIHGTIVLELRWRSNTAAMFRGSQLVGRTELSWRDVFESPNMQMERWVILKSKKKDVKAPSVRMAMKIETPFRCGVDLIERKRKNKWDERCGCCHSDCSQNTCLDGELFAIGAALEAF >cds-PLY87693.1 pep primary_assembly:Lsat_Salinas_v7:6:46184299:46184649:-1 gene:gene-LSAT_6X34181 transcript:rna-gnl|WGS:NBSK|LSAT_6X34181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METVPLVISNMPHPKHHRDNGSFSCHIAPLQLMDTPSININEDDYFSNHTSEPFTQPSPYSASPSATSPSGNPNKRPKPSTPRPRAPSISPDPPSSASSKASILADDLPLEMQNDL >cds-PLY66370.1 pep primary_assembly:Lsat_Salinas_v7:1:201307999:201310835:1 gene:gene-LSAT_1X127501 transcript:rna-gnl|WGS:NBSK|LSAT_1X127501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKASSSSMAVTAIWCFVAYVTIATMSSSAASKPSFDDNFSIMWSENHFKTSEDGQTWFLSLDNDTGCGFQTKEKYRFGWFSMKLKLVGGDSAGVVTAYYMCTEDGAGPTRDELDFEFLGNRTGEPYLIQTNVYKNGTGNREMRHKLWFDPTLDYHTYSILWNSHQIIFFVDRVPVRVYKNADYENNFFPNQKPMYLFSSIWNADDWATRGGLEKTDWKKAPFVSSYRDFTVDGCQWKDPYPDCVSSTTQHWWDQYEAWHLTKDQKLDFAWVQRNLVIYDYCKDTERFPTLPEECSLSPWD >cds-PLY70543.1 pep primary_assembly:Lsat_Salinas_v7:1:74947380:74949154:-1 gene:gene-LSAT_1X63521 transcript:rna-gnl|WGS:NBSK|LSAT_1X63521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEIEGSPGTSMHGVTGREPVLAFSVASPMVATDTTAKFDLPVDSEHKAKVFKLFSFANPHMRTFHLSWISFFTTFVSTFAAAPLVPIIRDNLDLTKADIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLIMMSAPTVFCMSFVADASGYIAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLLMPVLFEIIKKAGATPFTAWRIAFFVPGWLNAIMGIFVLTLGQDLPDGNLGALQKKGDVAKDKFGKVLWYAVTNYRTWIFVLLYGYSMGVELTIDNVIAEYFYDRFDLQLHLAGIIAACFGMANLLARPFGGFTSDYMAKRFGMRGRLWNLWLLQTAGGVFCVFLGLVNSLPLAITFMMLFSIGAQAACGATFGIVPFISRRSMGIISGMTGAGGNFGSGLTQLIFFASASFSTAKGLSYMGIMIILCTVPVSFVHFPQWGSMFFPASQDIVKGSEENYYVAEWTEEEKQKGMHQASLKFAENSRSERGGKVASAPTPPNATPNYV >cds-PLY64540.1 pep primary_assembly:Lsat_Salinas_v7:6:35390918:35424651:-1 gene:gene-LSAT_6X24480 transcript:rna-gnl|WGS:NBSK|LSAT_6X24480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNFDLIHVLFFFILYSVLEVLITSIMEDYRLIETEEYQEGFENEEEVEEEEEDEEGEELGELIPRVIPIDSIEDEDYEDEDDYENDNYWDEDEDYWDEDDEDDEDEDEEPDELIPVEITSLTISSSISNGRSINDGYLNDSNDLESCEGKESLNRGEIDGLFCPICFEAWTSGGSHQICCLPCGHIYGFSCINKWLKRSPNSRKCPQCKKLCKLKDVRVLYAARLCVADEELQKRVITLEAECAYLKQSHKSVYERVLEEMRNEMDEKSMELKGKFRKICEEMDARHKLNEARCEALEKMFPEMPNMRGN >cds-PLY93874.1 pep primary_assembly:Lsat_Salinas_v7:5:332197536:332201926:-1 gene:gene-LSAT_5X185501 transcript:rna-gnl|WGS:NBSK|LSAT_5X185501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMFTASVYSTTSNRNSTSLSEEAARSTVSSAITSKAHHEFSPSMTLSSISNHVDQEHDHLYPNHQKIKKKRNLPGNPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNNKDEIKKRAYVCPEPSCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKIYAVQSDWKAHSKTCGTREYRCDCGTLFSRKDSFITHRAFCDALAEETARLSAAAAAAVSITNNHHPSTINNVINTPFNIQQNPQNTPSTLFPFNPTLNPTWMVPNQNGNPVRIKPEFINHHHHHHQLLPVISSPFYQEPNKEIIITSPPPPSPTMHSIHVSTSPHHRPAPHLSATALLQKAATVGAVGDHVGSTMSKLDMGELVQVTTAAVISPEYHQHMGLVSGNIATWQKTDRLTRDFLGLTGDQEDDHHGGGVEANVNVGNMLTYTGGIEIPDHGFGFSEPTTASEAWGNC >cds-PLY99683.1 pep primary_assembly:Lsat_Salinas_v7:9:56735136:56737931:-1 gene:gene-LSAT_9X48281 transcript:rna-gnl|WGS:NBSK|LSAT_9X48281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRRLPPRPPSTTFLNQQKAVTDGEDRSPSSTKAGVIDRSPSPVPKASDALPLPLYLTNGIFFTLFFSVAYYLLHRWRDKIRSSTPLHVVTLSELAAIVSLIASFIYLLGFFGIDFVQSFITTARGEEDSFVLEGEVEHHSEPKPRIMDPLPSLALASEEDEEIVKSVVCGSVSSYSLESQLGDCLRAASIRRQALERTTGRSLLGLPLEGLDYDSILGQCCEMPVGYVQIPVGIAGPLLLNGCEYMVPMATTEGCLVASTNRGCKAIFASGGATAILLKDGMTRAPVVRFATAERASQLKFFLEDAINFDTLSVVFNKSSRFGRLQNIQCSIAGKNLYIRFTCSTGDAMGMNMVSKGVQNVLDFLQNDFPDMDVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAVISEEIVKKVLKTTVHGLVELNMLKNLTGSAIAGSLGGFNAHAANIVSAVFIATGQDPAQNIESSHCITMMEAVNNGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASIGSPGSNARLLASVVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSNRDMAAIASTV >cds-PLY85670.1 pep primary_assembly:Lsat_Salinas_v7:7:158416162:158417756:-1 gene:gene-LSAT_7X92641 transcript:rna-gnl|WGS:NBSK|LSAT_7X92641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSCVEFLEFNSMASSSSSWWQSLFSPAKNPFTAHCFKRLQEYAIKAKVKVIRSSSQEDKSLSSLKEMEKIIGYRFKQKLLLQQALTHPSYKNSESYERLEYVGDSILNFLVSKQQFFMYPNLPPGSLTTLRAANVDTEKLARIAVKFNFHKYIRHENPKLSKQIHVFMKALEKYPFHSYGLIDAPKILADIVESTIGAIYVDSNSSIDTTWEVAKILLEPMITPEMLQTNPVRKLNELCHKKKMKIRLRDKWSKEGVYEVFIDNKLKGRGEYKAKKEIALNRAAEDACNNIMNDMDNSESNIIQS >cds-PLY72079.1 pep primary_assembly:Lsat_Salinas_v7:9:197078495:197079122:-1 gene:gene-LSAT_9X121861 transcript:rna-gnl|WGS:NBSK|LSAT_9X121861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVMKTLKTLKFWSRKKKKKKITNLHHQSPPPCCCCHHPVQPSAPPLPTWIDYEEQTYNQHLLEYEVNFSEFSGLPVSYQAHIDPQEDSIGNVPPEPVNPPLSSESSGGGIGCSYQQYLVENPVNGVPVLQSRKTERSAGGVGCVFNIGAHLFRCFFPCFRIRDV >cds-PLY68830.1 pep primary_assembly:Lsat_Salinas_v7:3:62930488:62930997:1 gene:gene-LSAT_3X49481 transcript:rna-gnl|WGS:NBSK|LSAT_3X49481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEPGSTFVRYAEDHQTTEAPRLEGRGIRLFCLMQKYVDLKALGTKLQIVFAFAVEHVKGIIYIEAEKQSDINEVHLTSFLCSVICTIKCMYIFLYIYCMLVIVHLSDYLNFLLNTGM >cds-PLY71316.1 pep primary_assembly:Lsat_Salinas_v7:4:210232821:210234790:1 gene:gene-LSAT_4X117781 transcript:rna-gnl|WGS:NBSK|LSAT_4X117781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSKTHVVLACVNKANSELSSHQKKIFKVDDHIGVAIAGLTADGRVLSRYMRSECINYGYTYESPLPVGRLVVQLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERKFEKFTGSTRDDLIKDALFAIRETLQGEKLTSSVCTVAVVGVGEAFSILDQATVQGLINEFEISGEEAGGAAAEEAGGGGADQGGAAVEQEAAPMDI >cds-PLY68270.1 pep primary_assembly:Lsat_Salinas_v7:1:27486821:27493157:1 gene:gene-LSAT_1X23661 transcript:rna-gnl|WGS:NBSK|LSAT_1X23661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCLQEENGIGNAPQCFQLFLGAGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASEPTVVATKDGRIGMKSQMQVEEDIIVAVAGSATESALLPENCVIEPVVFSGGTGRRGRLCGGCEVVMFWKNRSEDGIVVGVGEDYTLYCSLYNNRSGR >cds-PLY62190.1 pep primary_assembly:Lsat_Salinas_v7:6:32397040:32400648:-1 gene:gene-LSAT_6X23860 transcript:rna-gnl|WGS:NBSK|LSAT_6X23860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTDLRCHFSKDKIKSWIIVLLFGTHKGQKCFEDTSPRTSCYASTGNLVMKKMQIWLITNLLLTRPKSVILPISSESTNRECFWIHVAMVLGVELLMMRIYIDSSNMIHQTLFYLEIRIFEERDQR >cds-PLY99139.1 pep primary_assembly:Lsat_Salinas_v7:2:11426097:11427536:1 gene:gene-LSAT_2X5301 transcript:rna-gnl|WGS:NBSK|LSAT_2X5301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWGVAYLLHIYHLAFLDLNNISLLSAMETKIISKENIKPTSPTPSHLTTFNLSAIDQIIITPYVPIILYYPSNNGDNTNQALERSQLLKKSLSETLTRFYPLAGTIKNDLSIDCNDIGANYIVALVHGRLVEFLQHPDHRLINTFLPCVPSFNESSIGNRVTNVQVNIFECGGIAIGLCISHKILDGAAAYTFLKGWSNMARGAEEVVYPNFTTPSLFPAKGSWLRDTFMVLGESLLKEGKCCTRRFVFGPDAIARLRAKAESNGVQRPTRVEVVSSLIWKCAMAATNEACGIQKPSSLSHWVNLRPKLKSTFSNHLIGNIVWISNAVCLASEETPLHSLVNKVRESISKINVEFVEKAQGDEGSFAMQKSLQELGEITGSIGAIENYGFTSWCRMGFYEIDFGWGKPSWVTGIVAEGAQVFIKFVTLMDTKSGEGIEAWVNLDESEMEILKKNQELLSFASLDPSPLLNGELGALGA >cds-PLY98806.1 pep primary_assembly:Lsat_Salinas_v7:7:25216738:25217584:-1 gene:gene-LSAT_7X19581 transcript:rna-gnl|WGS:NBSK|LSAT_7X19581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLCKRTSGLAHPLPVSQVGSANIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPAEEWHRRNTYGGTWSDPDDFGVVDDSSSRMSITTSTSSSDSSNETTATHVHVHEAQRIWPRKRRMSERDATFGLNTSVGLGAYLGIMGSRRDVVTAVWKTGLDGVWYKCIRCLRQTSAFNSQAGNTNTSTQSERETWWISRWVHGCPMCGGTWVRVV >cds-PLY71959.1 pep primary_assembly:Lsat_Salinas_v7:3:26697700:26699429:1 gene:gene-LSAT_3X19561 transcript:rna-gnl|WGS:NBSK|LSAT_3X19561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDIEAGRPLNSRRAGYNNGMRKQDPTQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSDKLKQASETDHNSDVSASKKITDAKLAKDFQAVLKEFQKAQRLSAERETAYTPFVPQSSLPSRDPASEMDLSSDKTQEQRVLLVDSKRQVLQLISKGKLQTNNFFKLFLDFFRQEVLLLDNEIAFNEAIIEERDQGIQEIHNQIGEVNEIFKDLAVLVHEQGAMIDDIGTNIENSHAATSQAKSHLAKASKTQRSNSSMTCLLLVILGIVLLIVIIVLAA >cds-PLY68147.1 pep primary_assembly:Lsat_Salinas_v7:MU044714.1:28389:29163:-1 gene:gene-LSAT_0X33020 transcript:rna-gnl|WGS:NBSK|LSAT_0X33020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSLSVTEFDLTLDFRWSPQIPNISNTIFTVHPKLLLDVDDFGYGGGPCSVGATAVLDFISEVLSDFVTENHQMVHEEFGIIEGLMTTVHATTAVDGPSTKDWRGGRGAAQYIIPSSTGAAKAVGKVNSIWN >cds-PLY92422.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:618216:620713:-1 gene:gene-LSAT_0X10880 transcript:rna-gnl|WGS:NBSK|LSAT_0X10880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQSTNAESPPIGEGSNNINNGDSTAIVATANSPATPAAVNSPGTGEDLTKSGEITPNGRRSKKPKHESSAGGDHRKEREEWSDTAIAILLDSYTDKYMALNRSNLRGKDWEVVAELVAEGCDKHSRKSIEQCKNKIDNLKKRYKLETQRMESNGGSVSSWIWFKKMERVFGNATITKSGAASDDDKSIGVSSAQRPRRSARLTPNSIPVLDNIKTTTNTKWKRVVFKVSGTSLAGSGQSIDPKVALQIAEEVATASRCGVEVAIIIGGRNFFCGDSWVSATDLDRPTAYQIGMMATVMNSILLQSALEKLNIQTRVQSAFVMPEIAEPYNRLRAMRHLDKGRVVIFGGVGAGTGNPLFTTDTAAALRASEINADAVIKGTNVNGICDKNNVALDHISFRDAVSRDCSSMDLMAIQFCEENAIPVVIFNMLEPGNVSKALTGEQVGTLIEG >cds-PLY65462.1 pep primary_assembly:Lsat_Salinas_v7:7:60529129:60530443:-1 gene:gene-LSAT_7X43660 transcript:rna-gnl|WGS:NBSK|LSAT_7X43660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPPNVLKFETEEHVAVALANYIADLSAKFIAANGSFSVVLSGGTLIDTMRKLVEPPYISSIDWSKWLIFYLDERVVPLDHPDCNHKLAFDGFLSKVAIPESNIYPIKEGLSPEEAADEYEQRLKHLVANKTLRTSPITGFPKFDLMLLGMGPDGHVASLFCWHFQRFEKEKWVTFILDSPKPPSERITFTFPLINSASEIAMVVTGEDAADAVKVALGPHASYGYPLPVQKVSPEGEFTWFLDKATTSQLK >cds-PLY84165.1 pep primary_assembly:Lsat_Salinas_v7:4:369897547:369898141:1 gene:gene-LSAT_4X182141 transcript:rna-gnl|WGS:NBSK|LSAT_4X182141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSKLKILMVEATMILETMIKTDYINNTWWWYWSSMAAAAKTSTISALALRIYTLDAVIGYQKTTITATSSSLKLSKKRKHPCDKPMEQRKKPKKLQDKQESKEQIQNIIS >cds-PLY77012.1 pep primary_assembly:Lsat_Salinas_v7:6:63018508:63019595:1 gene:gene-LSAT_6X46781 transcript:rna-gnl|WGS:NBSK|LSAT_6X46781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTNLQSSPICKLLLLFILQCIIITTTVAQAPAPAPSGPTNITKILEKAGQFTTLIRLFKLTQVGDQINTQLNNSNQGMTVFAPTDNAFSALKAGTLNSLSDQQKVQLLQFHVLPQYLTTSQFQTISNPLRTQAGDSASNKFPLNITSSGNQVNVTTGVMDTTVSNTLYTDGSLAVYQVDQVLLPMSMFGPQSPAPAPAPAKKKKSGADDDTPATDDSSPSADASGAVGFGGLVRGLIVGSVGVIVFIVLICL >cds-PLY99929.1 pep primary_assembly:Lsat_Salinas_v7:7:15762284:15768138:1 gene:gene-LSAT_7X12700 transcript:rna-gnl|WGS:NBSK|LSAT_7X12700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDAMETGNLAPYQERPRTFPNMRSKPNTPLVFRILMTMNVRLLFVLMFVVFGGIFYIGASTSPIIVFVFSICIVSFILSIYLTKWVLAKDEGPPEMAQISDAIRDGAEGFFKTQYGTISKMALFLAVVIFGIYMFRTTTPQQEASGIGRLTSASITVFSFLLGAVCSGMAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSALVVVGMAVIGVAILYATFYVWLGVDTPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIFTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQKCKIEDPSGFILFPLVIHSFDLIISSVGIFSIRATRDPGAMGGMEDPMTILQKGYSITIVLAVITFGFTTRWMLYTEQAPSAWFNFALCGLVGITTAYLFVWITQYYTDYKHEPVRRLALSSSTGHGTNIIAGISLGLESTALPVLVISVAIVSAFWLGNTSGLVDEAGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDILDAAGNTTKATTKGFAIGSAALASFLLFSAYMDEVASFARVPFAQVDIAIPEVFVGGLLGSMLIFLFSAWACAAVGRTAQEVVNEVRRQFIERPGIMEYKDKPDYGRCVAIVAAASLREMIKPGALAVISPIFIGIVFRILGYYTGHPLLGAKVVASMLMFATVSGILMALFFNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >cds-PLY79107.1 pep primary_assembly:Lsat_Salinas_v7:2:16219179:16221365:1 gene:gene-LSAT_2X8760 transcript:rna-gnl|WGS:NBSK|LSAT_2X8760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVISGKRSDPTVLTYASPQPPSKDQCTTALSLPSHRIDNNTNVGRPYCPCTLFISIKPIFSDSIPHGMEFVYTDSSDGTLTYGGYSDHMVADEHFVLHWEDNLPLDSGAPLLCVLITNK >cds-PLY67445.1 pep primary_assembly:Lsat_Salinas_v7:6:69841578:69841835:-1 gene:gene-LSAT_6X49761 transcript:rna-gnl|WGS:NBSK|LSAT_6X49761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPYKVFVLLFSLILLSSSLFCHGQHDITSVKDRAQSRKLLLTSSLTTLSTNPPNKLSAAMEDSETSAEASLRKSPPSGSNPTQN >cds-PLY72319.1 pep primary_assembly:Lsat_Salinas_v7:4:60710018:60712099:1 gene:gene-LSAT_4X41981 transcript:rna-gnl|WGS:NBSK|LSAT_4X41981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFSVFFTVVIIFLFFNGTTMVESLNLSLPSLEDGSSRRELAGIQTSFKLPSPLPNWPPGGGFGGGTMDLGGLQVSQVSTFDKIWAANEGGPGNVGATFYNPVSIPQGFFTLGFYSQPNNMPLFGHVLVGKDVTNDSSNPTLKLPIDYTLVWSSVSLNIKKDGDAYIWFPNPPDGYRAIGYVVTSTPDKPPLERVRSVRSDLTDSLENDTWIWGPNKSLNVNGFNVYSTRPINRGVEAMGAPMGGFVVENGIGSNTLSISCLKNLKGSLVNLMPNLNQIETLVQTYSPLVYFHPNELYLPSSVDWFFQNGALVYHKGDESNPIPIAQNGSNLPQGGSNDDTYWLDLPKDGSSKERVKKGDLQDSNAYFHIKPMYGGLFTDIAIWLFYPFNGASRAKVGLINISLGKIGEHVGDWEHVTLRVSNFNGELNSVFFAQHSWGTWVSASVLEYASGNKPVVYSSLNGHASYPKPGLVLIGPQGVDIGIRDDTAKSDKVMDTGVRTMVVAAEYLGSIVVEPPWLNYLRKWGPKIDYDLDKEINKLEKVMIGKLKSAFDKLIKSIPREVLGEDGPTGPKVKNSWSRDEM >cds-PLY91135.1 pep primary_assembly:Lsat_Salinas_v7:3:153342802:153345108:1 gene:gene-LSAT_3X96021 transcript:rna-gnl|WGS:NBSK|LSAT_3X96021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGICFSRKSTRSTRIYSKRISNQSSTTSINKNSLNRWSRVRSSSVKKEKFDDALLHEQAIAAALLFQQHQQQNGAFDRSISLRHPSGGSNSKCHQGIPRSSSTRRRSLADPMLPLQQLINQEVNHEELETSHIVLVHGGGFGAWCWYKTMALLQECKFKVTTIDSSGCGIDLFDANDIKSLSQYVKPLTDFLEKIEDGEKVILAGHDFGGACISYAMELFPNKVAKAIFIAASMLKSGQSTLDMFSHKENTNDLTRQAQKFLYANGNNQPPTAIDLDKSLLKDLLFNQSTTKDVALASVSMRPIPFAPVLEKLSLSDSNYGSVRRFYIQTLEDNAIPISLQQLMINESPPEKVFRLKGSDHCPFFSKPQALHKLLVEIAKIK >cds-PLY84184.1 pep primary_assembly:Lsat_Salinas_v7:3:145987077:145988299:1 gene:gene-LSAT_3X95560 transcript:rna-gnl|WGS:NBSK|LSAT_3X95560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFFKNAKVVRLRSHLNKFLVAGDDEKTVLQSRNGFPRNEEWTVERVEGKKHVIRLKNCSSGKYLTPSDEPFLTGLTGNKVVQNIQANKLDTSIEWEPIKEGELVKLRAKGGNFLRGNGGTPPWRNSVTHDIPQLMITQEWVLWDVEVVDMVVLESQEAMESTQTSTWESNFLPPPNISVIMGRGESSFKLRGVVVPRPPSSTSDKHFSSSLPCQDKGAAYVESKLLEKLGIIDELTTEIP >cds-PLY92326.1 pep primary_assembly:Lsat_Salinas_v7:9:179908381:179908725:-1 gene:gene-LSAT_9X110880 transcript:rna-gnl|WGS:NBSK|LSAT_9X110880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSCDSNDGPKAGVEVIVVLPSSETILCCVAELPTAPTVTLSLSSPEDSFPLLRLAIFHLEGLRCRVDAPAAVRTVIALFLFPSKFLLPSRLPSYPVVVSPLPSVISDVAVVR >cds-PLY70599.1 pep primary_assembly:Lsat_Salinas_v7:1:88923848:88924762:1 gene:gene-LSAT_1X75221 transcript:rna-gnl|WGS:NBSK|LSAT_1X75221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENGNQERDGMNGEKAEEDEEDESKKLLLPKKGGLSKKSVKKHRKVQWNDRNGHDLTEVLEYQPSEVSDSEDDESDSCICNIM >cds-PLY75614.1 pep primary_assembly:Lsat_Salinas_v7:9:34596069:34598116:-1 gene:gene-LSAT_9X32301 transcript:rna-gnl|WGS:NBSK|LSAT_9X32301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLANT CADMIUM RESISTANCE 10 [Source:Projected from Arabidopsis thaliana (AT2G40935) UniProtKB/Swiss-Prot;Acc:Q8S8T8] MKEQIGYIPPRYIPLGQSDHEPSDLETSILPTNDQPPSPKKNDVPLEWSSGICACFDDLQSCIVGSVCPCFLFGKNAEFLESNSFMGPCTTHFIMCGIINTFCCFITGGLILAFPGCFTSCYACGYRKALRAKFNLQEAPCGDFVTHLCCHLCAVCQEYREIRERSLNIDPHASIVEITPPPLQTMD >cds-PLY69747.1 pep primary_assembly:Lsat_Salinas_v7:5:232486135:232487656:1 gene:gene-LSAT_5X113860 transcript:rna-gnl|WGS:NBSK|LSAT_5X113860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomere repeat-binding factor 1 [Source:Projected from Arabidopsis thaliana (AT1G49950) UniProtKB/Swiss-Prot;Acc:Q8VWK4] MGAPKQKWTSEEEAALKAGVLKHGAGKWRTILKDPEFSSVLYLRSNVDLKDKWRNMSVMANGWGSREKARLALKRVQHVPKDDNPLSLTPADPSDEDSGDVRPLQSSSGSPQVGASKRSMIRLDNLIMEAINNLKEHGGSNKTTIGTYIEEQYWAPPNFKRLLSMKLKFLTATGKLIKTKRKYKIASANASSSDKKRVSTMLRLEGRHKGSSPKVDKDEINVPTKAQIDLELQKMRSMTPQEAAAAAAXXXXXXXXXXXXXXEAEAAEADAEAAQAFAEAAMKTLKGRTAQRLVNINPFFLTG >cds-PLY68225.1 pep primary_assembly:Lsat_Salinas_v7:4:195601607:195602686:-1 gene:gene-LSAT_4X114261 transcript:rna-gnl|WGS:NBSK|LSAT_4X114261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTYLVPDQNTCHQPTSITGENQIPTEEEQAYKKLDEKLKSLLATLPKDKGWRSANIYLHEGFWLSPQVIKGLLMINNYFHAQSTDIFLAAFMKCGTTWLKALMFATTNRHRYSFSDHPLRRNGPQSAFPFLDTHIFLDYPITKFEHLPSPRMFATHFAHSLLPTSMSSPSSTCKFVYVCRDPKDALISKWHFMSKLRSKELPPLSFNEAYELFCNGVSEYGPFWDHVLGYWKASQESPEKILFLKYEDMKKETSVELKKLAAFMGMPFSAEEEKRGVVAEIVKLCSFENLSNLEVNNEGGGAQKFTAQVAVENRDFFRKGKVGDWKNYLTEEMRERIDSITETKFKNSGLTLGLTKEA >cds-PLY72816.1 pep primary_assembly:Lsat_Salinas_v7:6:22705701:22708672:1 gene:gene-LSAT_6X17960 transcript:rna-gnl|WGS:NBSK|LSAT_6X17960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMVYVGNDEHTDVHGQHKRSKSASGPIHALRAGGASHSKENRNQSTVREINSKQGLHCDARSSVLHSSLHESNSNKDTDVASNQKDSLEKDIEQLQMRLQQEKSMRMLLERALGRTSSSLSPGHRHSANHTKGLIAEIELLEEEVVNREQHVLSLYRSIFEQCVSSKQTSVQTSPAHAMKKESISSSRKHPTIISSTFCSSKTFPFRNFHALSTMNAGKGSLLQSKIRHSKANSCSDEIVKEMKGNQSSSRKKPCLQLSLKDHLYECPSRLSEEMVKCMAAAYCWLRTPSSSSSSSTTNKKSSSLSITNGEDCKSMVEICWISTHNNNFSRASYAINSYRVLVEQLERLNLSQMETNAQTAFWINMYNSMIMHAYLAYGIPHSSLRRLTLFHKAAYKISGHVISANTIEQAIFRLRTPRVGKWLETILSNALWKKCGEERQRISTKLGLENYQPLLCFALCTGTSSDPVLKVYTASNIKEELELAKREFLESNIMVKKSKKVLIPKLLERFGKEGNMCQEGLLKWIMENVDKKQLRDSIEKCMEHNKGVGGKKTSHTIEWMPYNSRFRYVFSKDLSEKPWWL >cds-PLY85071.1 pep primary_assembly:Lsat_Salinas_v7:7:6994867:6997950:-1 gene:gene-LSAT_7X8340 transcript:rna-gnl|WGS:NBSK|LSAT_7X8340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G01860) UniProtKB/TrEMBL;Acc:A0A178WMV6] MTLLLQNLPSVPSSAPPLHYTRSHSVVCGVSSSNTDKPRIKPKITRIKVKEPDDYHSTLKALNSKGRIPRKALGQHYMVNNEVNEQLVDAANVGDGDVVLEIGPGTGSLTNVLVESGATILAIEKDPYMAALVTERFASTHHVKVIQEDFTRCHLRSHLSSFMGSDSSDSKPYAKVVANIPFNISSDVVKQLLPMGDVFSEVVLLLQEEAALRMVDASLRTSEYRPINIFINFYSDPEYKFKVPRENFFPQPKVDAAVVVFRLKQEVDYPQVSSTKAFFSMVNSAFNGKRKMLRKSLQHITSSLEIEEALVNIGLPATSRPEELTPQDFVKLHNLIEYS >cds-PLY66004.1 pep primary_assembly:Lsat_Salinas_v7:4:141712692:141715366:1 gene:gene-LSAT_4X88101 transcript:rna-gnl|WGS:NBSK|LSAT_4X88101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAPWMCSFFFGFFLIFSSISAESNYFSPAKLSSTWTNNESFIRSINFRDGSRIRVILNNEKKFRCGFFCNGTCTSYLFAIVVINMTTTRDSLAVIWSANRDNLVREGAILNFTATGELMLQDVDGNIVWTTNTTGKSVASMNLTEDGNLGLLNVHNLTVWQSFDHPTDCLLPGQKLFQGQKLIPSVSSTNWTPQVDLYSLQFNEKGLFFYVGSNPPQVYYGHTVNGLDEGYLELRGRSLCLVISSSRPNYCPSVIDIPPAFPFEYIKLLPDGHLKAFGWETDNDLLLGHLGGCSYPLACGRNAICSADQQCSCPISSTSPATDYFRAVNDRQPNKGCSQVTPLTCNATQNHTFIELNNVTYFTFTSDMEDVDIETCKQACLNNCSCKAALIKYGSNSSGDCYLPSELFTITSLDAGVLPQNVLFFIKVLNSSIPQSHGRSSNRKILVATILGSTIGSLMLLIMVVGFTRFILQKKNMNSESEEEYLDQVSGMPTRFSYDELKTATENFSKKLGEGGFGSVFEGTLKDGSKIAVKCLQGLVHVKKSFLAEVESIGSIHHVNLVRLRGFCARKSQQLLVYEFMSNGSLDQWIYYGDRKQVLPWISRKKIILDIAKGLTYLHEDCRQKIIHLDIKPQNILLDADFNAKVSDFGLSKLIDRDQSQVMTTMRGTPGYLAPEWLSSIITEKVDVYSFGILLLEILCGRKIVDRSQPEESWHLLRVFQRCWEQETLLNIVDRYSEDMQEHGTEVMEMMKLASWCLQTDFTKRPSMSTVIKVLEGVISVELDLDYNFSYQRMQETTYGHEKSSQPVLPPSLSAPR >cds-PLY71790.1 pep primary_assembly:Lsat_Salinas_v7:6:90265246:90268223:-1 gene:gene-LSAT_6X63340 transcript:rna-gnl|WGS:NBSK|LSAT_6X63340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-10A [Source:Projected from Arabidopsis thaliana (AT4G14330) UniProtKB/Swiss-Prot;Acc:Q8VWI7] MAPTPSSKSNNTHIRTPQSKHRLNFNSTKPSPNPNSAVKEPHQHPDHPVEVVGRIRDYPDLKDKPISALQVNSDGRSVRVRTDIGYRDFTLDGVSEDQDLEGFYRNFVQPRINGVKLGDKCTIMMYGPTGSGKSHTMFGSHKQQGIVYKSLSDILGNEDDEIDDEQQKVGVGTFVQVTVLEIYNEEIYDLLSTNSKGGFNIGWSKGNASKVKLEVMGKKAKNATFISGTEAGKISKEIQKVEKRRIIKSTFCNERSSRSHCMIILDVPTVGGRLMLVDMAGSENIEQAGQTGFEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKEIHKTISTLEYGAKAKCIVRGVHTPIKEKNGQDSSSDVILGSRIAAMDQFIHKLQMENKVREKEKMEAHKQLMKKEEEIATLRSKLSEAEDKNAEEEINMKVQERTNMLRSELEKKIEECKKMADEVVEMERRKMEEKILQQQEELEMLRKRLEEIETELTRSNGNGNVNVSCSTEGNGFAKKLMEMYAGEDPGMEKSMDLDKSLDLEKSMDHKTSIKAILGYPFMENLKNSHDDDDDVYVGNSTRKPFLSTVLEEDEDEDEEEEEEKEEEVQKEVIEEKTVYQVKNPIPISAFNLDSMETGSENGEDTAASRHLRIQNIFTLCGNYRELSQHSATPFPSMKKTSEDSEGENKKSLTKVLFDSKENFSPLSSKDKSDVAKKGNLNLNSSLENKIPFSSLDNKLPLLSADSFSPQMAA >cds-PLY96978.1 pep primary_assembly:Lsat_Salinas_v7:2:35739926:35740078:1 gene:gene-LSAT_2X16221 transcript:rna-gnl|WGS:NBSK|LSAT_2X16221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARSKKACIVFFDEVDVIGGARFDDGAGGDNEVQRTMLEIVNQLNGYLVE >cds-PLY71747.1 pep primary_assembly:Lsat_Salinas_v7:3:46842040:46843835:-1 gene:gene-LSAT_3X36640 transcript:rna-gnl|WGS:NBSK|LSAT_3X36640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINKQFAIRYSSLKPQFDNLVIKCKSIRQFKQVHAQIIVHEGFLPHETSLPELCRLASFCAISPHGNLFYAKTIFDQQPNPTVQLYNAIVRGFSASPTQPQEAIFLFRQMLRNGVGPNNMTFPFVIKACTLSSSIEFGILVHTHILKCGFESDFYVQSSLIKFYADAKRLPSAKKLFDAHPERDIVCWNSMVDAYVKSGHMDLARQVFDQMPHRDVISWNSMINGYGIVGNLGEAKRLFDQMPKRNIVSWNSMLAGYVKCGNVEDALRIFRHMPYRDVVSWNAMLACYAQNGKPNETLALFDEMKLAGIRVDETTVVSVLSAIGQLGVLDKGLHLHFYISEQGININLIVGTALIDMYIKCGNITEASKIFNSMQTKDILAWNTMLIGMGMHGYAKDAQNLFKQMQKEGIAPNDMTFVGMFIAFCHAGMIKEGQELLSSMEGAYGVEPKVEHYGCVIDLLSRGGRLEEALDLIRKMPVEPNAYIWGALLGGCKIHGNAKVAEEVGKYLLDLEPQHGGRYILLSNIYAEAKNWDDARMMRDLMEAKGAAKVTGLSLIESEEYIYHK >cds-PLY71970.1 pep primary_assembly:Lsat_Salinas_v7:3:23957628:23959986:-1 gene:gene-LSAT_3X17861 transcript:rna-gnl|WGS:NBSK|LSAT_3X17861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDYRLQTMNHWTPDDNVSMMDAFISSDMTSIWANHGTSQSHTHAPPVTVPPASSSASTSAPHKIVNEFNPDTLQQRLQGMIDTARESWTYAIFWQSSDVEFADTPVLGWGDGYYKGEVNKVKTAPSATSMAEQQYRKKVLRELNSLISGSQAPESDAVDEEVTDTEWFFLISMTQSFANGNGLPGQAAFTNQPVWVAGRERLMASHCERVRQGQGFGLQTIVCIPCTDGVIELGSTELIFQSSDVMKKVKVSFNFSNSPDIMQINTDQVAVAGGDHDPSSIWLTDPVATSTVTTDVTTIKDSVDVIGSQATTVIPSITSHVPKHLPFENPSSSSILENPRYIHNPNPDSLQNQGVFGSRELNFSEFRSFDGATGGRNGNGRILDFGESKRSSTNNNNNGGLFSGQSQFIGAEENNKNKKKRSPGSCGSNEDGMLSFVSGVLPSSSTVKSGGCTFPGADSDHSDLDASVIREVESSRMVEPEKKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAILYINELKSKLDNTICDKEELRNQIDELKKELLSKESRQSSSSAISHPEDMKMSNTSTTNQPILDLDVEVKVIGWDAMIRVQCNKKNHPAARLMAALKELDFEVNHASVSVVNELMIQQATVKMGGRLYTQDQLRLALTNRFSDPL >cds-PLY66834.1 pep primary_assembly:Lsat_Salinas_v7:7:20913086:20914765:-1 gene:gene-LSAT_7X17640 transcript:rna-gnl|WGS:NBSK|LSAT_7X17640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRREFLLQKSAAKRIQCAFRCFKSFKAFKCDKNAAIVIQQFVRECITIKKLLGCNNDTNSHQQVKRYKDSATKLQKWWRGILLSKTRRRTKSAILIQSNVRGWISRRTTAQRRHHIAVIQSYWKGYIERKHSREKVSDIRLRVQKSAANVDDGMRIINRLIAALSQLKNTNSLSGILHICATLDMATKHSKKCCEELVAAGAIDTLLVLIRSISRSIAEQEVLRYTLSTFRNLTCHPHLTQPLIDAPGSIETIFWEFIRNKEDAYFVAGDVLRNICLNKNGVERLGASHALVKRLYNLVEDLKRKAGNEKRNPQTLPAKEQTGRRLKEAVQILKLITNG >cds-PLY77907.1 pep primary_assembly:Lsat_Salinas_v7:1:24643013:24645477:1 gene:gene-LSAT_1X20940 transcript:rna-gnl|WGS:NBSK|LSAT_1X20940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVSKKNYEDLRKQRLEENKKRMEELHLPQLTQALKTAHSPTPSPMKRTKPRVIGTEMVEVRRSSRVAHLPAPVYKEIVVYERVELPRSGRRYSYSRRDLGNRVYASDEARDYAINKAEELEAKLEGGHPSFVKPMLQSHTTGGFWLGLPTHFCRKSLPRTDCTVTLIDEEGEEFPTVYLAHKGGLSGGWRGFSIHHQLVDGDALVFHRIQPTVFKVYIIRCKDYDEEGENLEA >cds-PLY88878.1 pep primary_assembly:Lsat_Salinas_v7:4:253534889:253539653:-1 gene:gene-LSAT_4X133140 transcript:rna-gnl|WGS:NBSK|LSAT_4X133140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALNFLVCHQHSETSSVGIRSSFKPFPVINCKMPKISRLSQGGTGTLCIQLSSTRPKIQMTLLDESLDRGKVIIKPSEILAYDLVQGPLVRWSYIADKTLPCPPTAVLLHGILGSRKNWGTFARRLAQEFPNWQATSITKRGPHTVASAALDVLNLLGHLRVIPRVIIGHSFGGKVALSMVEQAAKPLARPVRVWVLDATPGKVRAGRDGDDHPAELITFLSALPNQVTSKREVISGLVREGFSNDVAQWVVTNLRKTNPSDSSSTAYSWTFDLDGIAQMYQSYEETNLWEVVEDVPRGVHINFLKAERSLHRWALGDLQRIHVAEEQAAEEGGTAGVEMHVLEDAGHWVFPFPFPFPRYNI >cds-PLY92834.1 pep primary_assembly:Lsat_Salinas_v7:5:328711609:328716634:1 gene:gene-LSAT_5X182081 transcript:rna-gnl|WGS:NBSK|LSAT_5X182081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADAVAKPVSLEAEAGKKSETVRDLSSKFIKEREPYNPRVYNVIKRFQSQWPKVQDPNMKDAKDDASVSPRNRIPQHDNQTESNSKVNKYSSDEEDAISNKWKPELAWLTKALEPALQLCRWPLPTGNAQGTKIPPSSRSLAEILASIQRSKLGVKDWSLSDLTIGLYLIYLQQASTNPFQDVKGVKISSDTVVQDLIYHIELAKGAYRESASGLAKNSMLRENNIIKFVKNSSMLRPGYYIGVDIRNKLVIFGIRGTHTVYDFITDIVSSSDVEVNFEGYSTHFGTAEAARWFLTHEMGTIKDCLHKHQGFRLRLVGHSLGGAIASMLAIMIRKKTCDELGFSPEIVTAVGYGTPPCVSRDLADSCSDFVTTVCMQDDIIPRLSVATLMRLRNEILQTDWKTIFEKDDWKSVLDVVSNAKQVVSSVQDAARKLVDYTKFGSQTKFIDIPGVKDSVVTPSIMTSSTSKAVGQKGDEIEEERRDDLTLLPQPHEELFIPGSVYFLKRKEEKHNGNRFNSFTLWKRNSGEHFERILLSNNLVSDHKCDSHYYALRDVLKGIPLPQNQNYPK >cds-PLY91973.1 pep primary_assembly:Lsat_Salinas_v7:7:125010333:125015378:-1 gene:gene-LSAT_7X76001 transcript:rna-gnl|WGS:NBSK|LSAT_7X76001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNINGDSMDSKRNPNIPLPERRPLLKKSRTIADSSTAHFPGPLFPAVRRPSSDESTPPLPPSSSSSTWSPRRSFDSSDSSSTTTSDTFPGQTFGFADRDYVYPSFLGPNTTRNRVTVVKSSASKSLRKQPPVSSPSPSPVRSASMPKSLTTTGGSEMQSASASASTSHSPAPGSDSKSERIVPPVLAQVPVSNLTSSSSLTSSSPARKNATIRSSLIRNLLALLCVVFASYAITLRNEVKKLQEENYDLHRTCLNKDIPHDESTDIFKHESDNSFVYIGNTDTRNIALYTVLFTLVTPFVLFKYLDDLPKLKNLSKRSKNNKEEVPLKKRIAYMVDVCFSIYPYAKLLALLFATIFLIAFGGLALYAVSDGSLAEALWLSWTFVADSGNHADRVGTGSRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIESNHILVLGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIAKLEFSFMGTSVICRSGSPLILADLKKVSVSKARAIIVLAADENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPQLDGLRFEDVLISFPDAIPCGVKVASERGKIIINPKDEYILKEGDEILVIAEDDDTYTPGPLPEVRRGLFPKKVDPPKFPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVLEKERERKLVDGGLDISGLVNIKLVHRVGNAVIKKHLETLPLETFDSILILADESVEDSIVHSDSRSLATLLLIRDIQSKRLPYKEETHTSSTTAFSHSSWIREMQQASNKSIIISEILDSRTRNLVSVTKISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIKPGEFYLYDQEELCFYDIMIRGRERHEIVIGYRLATAERAVINPVDKNKLVKWSLDDVFVVVALGE >cds-PLY96345.1 pep primary_assembly:Lsat_Salinas_v7:1:28346566:28347695:-1 gene:gene-LSAT_1X22901 transcript:rna-gnl|WGS:NBSK|LSAT_1X22901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVKNDTDRIKGPWSPEEDDLLQTLVQKLGARNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRPFTPEEDETIVRAHARFGNKWATISRLLNGRTDNAIKNHWNSTLKRKCSSMSNDDFSFLDRQDLVNYQPPLKRSASLGPDSGCSALFLNPNSPTGSDDFRHSSHRLLNNGPVLNDPPTSLSLSLPGSELRQKFLEETPAPPPPQATALQSGGPNYEFFNPELMAVMQEMIKDEVRHYMSGMEKKGKCQKAEGVIENALINRMDVNRIE >cds-PLY77946.1 pep primary_assembly:Lsat_Salinas_v7:1:24256236:24268605:1 gene:gene-LSAT_1X20880 transcript:rna-gnl|WGS:NBSK|LSAT_1X20880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDVFSEVKDIQKLLLHRLRHFYSYIQTDKKINPELHYFTFQTISSATNNFSSTNKLGQGGFGSVYKGYSTTPGEAKFLVDMYGKLMIDVHGKLSILSSGVSVVDLVSPTPVARNASGTLLDNGNFILQELYPDGSVTRVLWQSFDYPTDTLLPGMKLGINLKTGHRWSLTSWRSRELPADGSFRFTTDPNGTGQIVILRRGNIHWKSGSWKNRGFQNTALQNYCPDVRLYYISNETEQSFMYLTKTYDSSPALRMHLGGQLKASALYVYIQCRSSSTYNTGVGCAEYEFEELSCRKGYYFERRIGNVYIYGDVYEYDESYNLTSYDCQRICWSNCSCIAYTYGTTNRSECKTYGKRIYNPTHAENYPFRVHYTIVSEKGFSKKIQKLLLRQRKRLQRCWISQGNLVDGQKVAVKRLSRSSEQGVKEFENETELIAKLQHTNLVRLIGSCVEKKEKILVYEYMPNKSLDSFLFDPRKKGLLDWNSRFVIIDGIAQGLLYLHRFSRLRVIHRDLKASNILLDDYLKPKISDFGMAKLIEINEFETNTSRVVGTR >cds-PLY77463.1 pep primary_assembly:Lsat_Salinas_v7:4:50843966:50848475:1 gene:gene-LSAT_4X34101 transcript:rna-gnl|WGS:NBSK|LSAT_4X34101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATGRVRGGAWFLKSAPISFGITARNESRGIEAVKKLHDSGLPNIVFHQLDINDPRSIERLTKIIETNFKKLDILINNAALIGIIMHEKEFKTGAGFVQVLDENAHLLAEVIEEPYELGEECLQTNYFATKSVTEALIPLLQLSNSPRIVNVTSSYGELRYLHNEKLKEELRDMKNENGWPLTVSAYKVSKVVVNAYTRVLAKKFPNFIINCVHPGYVETDMTIHTGFLKVEEGARAPVMVALLPDDGPSGVYFQQMEIAPF >cds-PLY93247.1 pep primary_assembly:Lsat_Salinas_v7:6:158744533:158745560:-1 gene:gene-LSAT_6X96480 transcript:rna-gnl|WGS:NBSK|LSAT_6X96480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:URED [Source:Projected from Arabidopsis thaliana (AT2G35035) UniProtKB/TrEMBL;Acc:A0A178VPQ5] MVGDSSLLIVDWITSGRHESGEKWDFGLYKSTNHIYLDTKPMFLDTVLLENTSVSSIGERMQDYQVIAMVILLGPKLKEIQNQIQEDVKKMMSEQLRAPSIGGISVSKACNSDRYTKPSLIASCSPFGPKGTGVVVRIAATTTESVYRFLHHQLAGMESMLGLCPYQ >cds-PLY70468.1 pep primary_assembly:Lsat_Salinas_v7:1:73093052:73094491:-1 gene:gene-LSAT_1X64420 transcript:rna-gnl|WGS:NBSK|LSAT_1X64420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHFLLPLLFIFIASSSSNAQPSPGFYPSSKITTVPFNQAYRNLWGPQHQRFDQNSLVLWLDRSTGSGFRSLRQYKSGYFGTAMKLHPGYTAGVITSFYLSNGEVHPGDHDEVDIEFLGTTPGKPYTLQTNVYIRGSGDGNIIGREEKIHLWFDPTTAFHNYAILWSPIEIIFFVDDVPIRRYPRKSDATFPLRPMYVYGSIWDASSWATENGRYKADYRYQPFYGRYNNFKVGGCADNSPASCRPPSGSPARTGGLSRQQVVAMLWVQRNYKVYDYCRDPKRDHTQTPEC >cds-PLY90151.1 pep primary_assembly:Lsat_Salinas_v7:7:13447279:13450996:1 gene:gene-LSAT_7X10680 transcript:rna-gnl|WGS:NBSK|LSAT_7X10680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSPHVSPEPPKFLPDDLSNASQNSSSRSSKFAWPGKVPHFMKQKKTSTLTRESLQVLAAVEDKKYYSSPYYKGLLNTEKVPGTSTSPEAENSTATATATGVPHEIQNWNLSSPYYKGLLYTEKVPGTSTNPEVENIASGGSTHDLQSLDPSSPYYRGLLYRATSPDRESQTSSRTSFSVRMSEWSASCFSFKTKDEKDPTMIKVYSTENSNEESLRERVSEPSLSPPTPAAMVVPPSSPPLLPPAAKKMVVNAKDTSELKEEKYLWADRYRPVALKDFICNKDKAIELQHTINEDECRHFIFEGQAGVGKRTMIWALLREAYGPDKVQARDECKTFNLKIVQVLEFIAKNEEIELPNKLAERIAVSSKNNLRQAIRSFEATSQNNSLLEEDQVILTGWEDDIANIAKSIVEKQSPKQLYDIRRKLQNLIDHSVPPEFIFETLAVELKKNVEESMHKQIEKTYKEYSGADKHRHANDERKKLIHQFMCIEEFIAKFMSCYKNHLAKKAIDMTEIKEETT >cds-PLY76624.1 pep primary_assembly:Lsat_Salinas_v7:5:223786757:223790888:-1 gene:gene-LSAT_5X105600 transcript:rna-gnl|WGS:NBSK|LSAT_5X105600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium channel AKT2/3 [Source:Projected from Arabidopsis thaliana (AT4G22200) UniProtKB/Swiss-Prot;Acc:Q38898] MEIKQPTFPTVYNTLHATASNNQKMKDRYKESRKKKEEKRDQEEEPMNLRSLSKIMIPPLGVSYIQNHIPSRSSIIISPMDSKYRYWQTLMVVMVIYSAWTYPFEVAFLKSSAQTHKQLYIADSIVDFFFAVDIVLTFIVAYVDPVTHLLVRDPKSIATRYLSTWFVMDLASTLPFELLSYLFTGKHRMGLPYVVLGLLRFWRLRRVKQFFTRLEKDIRFNYFWVRCARLLCVTLFLVHCAGCIYYLLAVLYPHEGRTWIGSMNPNFREADLYILYVSAIYWSITTMTTVGYGDLHAENAAEMVFIIFYMLFNLGLTAYIIGNMTNLVVEGTRRTMEFRNSIEAASSFVGRNRLPKRLKEQILAYMCLRFKAESLNQQQLIEQLPKTICTSIRQHLFLPTVEKVYLFEGISREILLLLVADMKAEYIPPREDVIIQNEAPDDVYIIVSGEVEIIECDPMEKEQVLGVLRSGDMFGEVGALCCRPQSYTYRTKTLSQLLRLKTTALIEAMQTKQPDNVSILKNFLQHHKKLKDLDLDDLLLESGEEDGDGDPNMSMNLLTIAGTGNAAFLDELLKARLDPDISDSKGRTPLHIAASKGHEECVLVLLKHACNIHLRDMEGKTALWDAIATNHHSIFRILYHWASISDPCTAGELLCMASKRNDLNVMKGLLKHGLLVDSKDHHGSTAIQIAVSENNIEMVKLLVMNGADVNDHSLKNKIPPENLKDFVAKREVGYRIMMPDQEPVVETRRGEEGILGRSHSHGQFVGRVSIYRGLPMVRKKNCCTEAGKLIKVPSSLMELKVIAGEKFGFDATNAVVTDEDGAEIDSVEVIRDNDKLFIGEIPYENK >cds-PLY62668.1 pep primary_assembly:Lsat_Salinas_v7:1:35335034:35339267:1 gene:gene-LSAT_1X30260 transcript:rna-gnl|WGS:NBSK|LSAT_1X30260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDVTDGKAAVGGSPYNPDAPGPNDAVDVFLKSRGYHGLFSQIELSLSASNLRDMDMFSKSDPMAVIYTKGNDGSLQEIGRTEIVLNSLNPQWITKHTVTYHFEMVQMLLFRVYDVDRKLHGPQVKTLKLDEQQFLGEATCTLSEIVTKPNWLLTMDLVDVIESTNTSRPKNKGKLNVHAEEVFVSKTTTELTFRCSDLKNMDFFSKSDTFLVISKCVEGGTTIPVYKTEVLKNNLNPIWKPFLLYTSQVGSKDTPLSIECFNYNSNGNHNPLGKVQKSLAELEKLSSSGQGVHMFPSLEKDNQKKILKSQLFVDKFSERVQHTFLDYLAGGCELNFMVAVDFTNSNGNPRQPDSLHYIDHSGRPNAYQKAILEIGEVLHFYDYDKKFPLWGFGARPSDGPVSHCFNLNGSSVNSEVEGIEGIMTAYRQALFNVSLAGPTIFGPVIKAAGLRAGQALAANEKKYFVLLIITDGVISDLQETKDALVKASDLPLSILIIGVGGADFTEMEILDADKGESLQSSTGRLASRDIVQFVPFRDVIQGGEVSVAQSLLAELPSQFLTYMRNRDILP >cds-PLY79007.1 pep primary_assembly:Lsat_Salinas_v7:3:9618712:9621859:-1 gene:gene-LSAT_3X7540 transcript:rna-gnl|WGS:NBSK|LSAT_3X7540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGREGMPSFYLNRGIGGSGSHAGSGNSQGGGLHAPPPGFKTQSNPNMSPHGHSSMRVGSMGPTYQAEHNPSPNFPHGINMGGGGGGGGGVGGSAGGNSGGTVAITTPRSGTDSVGKKKRGRPRKYGPDGSHMALALTPASMAASPGSLTPTPKKNRGRPPGSGRKQRLADVGEWMSTSAGIAFTPHIIHVAAGEDVAAKLLSFAQQRPRALCILSGNGAVSAVTLRQFTSSAATVTYEGRFEILCLSGSYLLPDAGALSDRTGGLSISVCSPDGHVIGGAIGGKLIASTLVQVVVCSFVYGNNNNSKTKTKDETYTPSSREEKSPGAGAQLNEASSAPTSQQHAVAWPPDPRNSQHTEIDLTRG >cds-PLY90197.1 pep primary_assembly:Lsat_Salinas_v7:9:202395882:202398517:1 gene:gene-LSAT_9X125201 transcript:rna-gnl|WGS:NBSK|LSAT_9X125201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPEDCIAHILSCVTPQDVCRFTVVASAIRDAAESEVLWDKFLPPDYHQIISRSVCPLTFESKKELFFKLSAPILIDGGLKTFSIEKATGKKCYTLSARDLYIAWSSNSLFWCWKPCTKSRFSEVVELRMTSWLEIEGKINTRNLSPDTYYRAYLITEVAHYRAFGLDVLPCEVSVEVGESHSQGKINFSHNKCIKPCFERTCRPNEVKEGLRSKFGEYISRFCLERKDGWLEIELGEFYNDGSYEKEVKMNLREVNGVHLKGGLIVEGIEIRPI >cds-PLY68244.1 pep primary_assembly:Lsat_Salinas_v7:3:103575601:103578645:-1 gene:gene-LSAT_3X77541 transcript:rna-gnl|WGS:NBSK|LSAT_3X77541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIVTASGVINSFRKIFLVNSINPNLSRNPETLIFLYIHEPVIATKKINNKDQLVQFLPKTDLRNIVLYVALFCCIQCNLVNCVIRFAASGLKLDSYSTTVYEKVSIQRCDGDEVKGEEEFDRLGSKSLYAFIYPNFMVWALDEHKFGSSIGTQAMQSDF >cds-PLY62783.1 pep primary_assembly:Lsat_Salinas_v7:4:30748337:30748960:-1 gene:gene-LSAT_4X16680 transcript:rna-gnl|WGS:NBSK|LSAT_4X16680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDHQRQSSDRISSLPDDIIEKILTFIPIRDALRTSVLSKRCRYCWTGMQKLVFDDRLINVSSDMGVVKKYKLVITIFHVLLLHKGPISELYIHISDEEIVNEIDQIILHLSRSKNIKKFIFEVYRYDGYYKLPCSFFSLHGLEHLSLKYCVIEIPSMFNGFSMLKSLIFVEVDINATMLQRFLISCPLLEEFTWVNKVHITFYVPS >cds-PLY84187.1 pep primary_assembly:Lsat_Salinas_v7:3:146378647:146380215:-1 gene:gene-LSAT_3X95721 transcript:rna-gnl|WGS:NBSK|LSAT_3X95721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNTNVCSIPMKMALNISTSIVFLASVYQIVIPTASSPPIATIPVPNPRLQPYVRSSLIIHEHDAVTLAATNATRTVQEEITNSIGFIMDDMIQFKPKIPQSRKPEIPQIQNSIEIEGPLSVLPPKSRLLVDGDDVEKSTSTIDVPCDSFSVGDKIVVLPGDRVPADGIVTAGRSTVDESSFTVDPPLVTKLPCVMIVSFSKNI >cds-PLY64582.1 pep primary_assembly:Lsat_Salinas_v7:6:37274852:37277161:1 gene:gene-LSAT_6X26780 transcript:rna-gnl|WGS:NBSK|LSAT_6X26780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASCDDEFVLIGDDSTTNSHQTNQRFHHHLSTTAHLLASKAPPFNALPPPGATHDGVLQASGEGYSDHAFGSQPMVVVTYENESDPNRSGISAARNEKRSDCEDLSDGGTPYSYNKKSKVAGSSSGNGGEYRKDREEWSDTAIAFLLDAYLEKFMQLNRGNLRVRDWEEVAAVVSERCENQSKSVEQCKNKVDNLKKRYKLERHRVMNNNGGNTTSHWPWYQKMEQIVGNSLPLKPALDEDKSVGGINSSARQSKRYTTATSSPNNHQITNMEPKSVTNPRWRRVVFKISGSAFTGTDSQNIDPKVVMLIAREVSIACHIGIEVAIVVGGRNFFCGDTWVTSNGLDRTTAYQIGMMATVMNSILLQSTLEKLGVVTRVQSSFCMPEVSEPYNKQRAIRHLEKGRVVIFGGIGAGTGNPLFSTDTAAALRASEIHADVVIKGSSLHGIYDCAIGESEYEHISFREFASRGVALMDMMAVTFCQENGIPVVMFNLDEVGNISRALCGEHIGMLIDLNGRVG >cds-PLY88240.1 pep primary_assembly:Lsat_Salinas_v7:4:155110763:155111323:-1 gene:gene-LSAT_4X94960 transcript:rna-gnl|WGS:NBSK|LSAT_4X94960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHINYNEYDRFMWGTFLWRYSETQLRLWFGKIYRLLQDQANKGPVKTLKYTVTGFHLAFKEISIPRDVEAAEIEIQLPFYPRYLS >cds-PLY65554.1 pep primary_assembly:Lsat_Salinas_v7:9:143970142:143973967:-1 gene:gene-LSAT_9X93340 transcript:rna-gnl|WGS:NBSK|LSAT_9X93340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFLQQDLILYKGMASSSTSCIPKSFKYDVFLSFRGEDTRTNFTDHLYSALQQKSIYTYRDDERIKKGKRISDELLGSIEDSKFYIIVFSRNYASSSWCLDELVKIMECHKTTIHTAYPVFYDVEPTEVRKQIGAVGEAFAKHEKEEGAGKWREALKEAANLAGWELKKTDDGHEAKLIKRIVEEISLELRSINFSVDEKLVGIETRINEITSSLGTGSDDVRMIGIWGIGGGGKTTLARAVFNKIYFEFECKSFIENVREVSKASLSGLKLLQKQVLSHVLNDQCINISSIYEGKNMMKKMMRNRKVLLVLDDVDHIDQLEALAGDLNWFKPGSRIIITTRDKQVLVAHRLKLIHTVNLLSNKEAICLFSRYAFGKEIPIQEYEELSGQVVCYAAGLPLTIKVLGSFLCGKNKSEWIDALERLKTIPLNETLKILELSYIGLEEDYKEIFLHVACILKGELKGYAIQVLESCGFHAKNGLRVLEQKSLIIIDDNYDDERVSMHDHFEEMGKNIVRRSHPDMPNKHSRLWDSKEIEDILANDLGTEATRCIKFHKGGLDPETIMKGLRKMKELRFLDVALRDFYSIQETNNELMPNFLNALGFLCCNWKSNETPYFPNALQYLRWDEYCFRYLPKTFQANELVSLEIAESDIIQLWEGRERKVLDKLRFLDLSYSMLKTFDLGLTPNLERLTLKGCSHLGALYMPVECVKLRYLKLDGSKLRTLDLGLAPNLELLDLYDCYNLVELHAPNGFLNLKYLNLRGSKLKTLDLRLAPNLETLFLFSCNDLVELHMPRRCLNLRSLLLNNSKLKTLNIGLTPNLEVLSLTSCYDLEELHMSDACQKLTSLFISRSKLRTLNLGLSPNITALHLQECGDLVELHIPRICLNLRSIVLYNSKLRTLDLTLTPNLKSLYLPNCFHLEQLHASIGWLKNVVDLELNGCLGFTSFSFHIKNHNSGRGNESLEVRIAARLHFTLERCPFHPDNKLPKFEFACFRKEELPSLTRNLEKLISMGSCACTKLETFSRSICGLQR >cds-PLY79830.1 pep primary_assembly:Lsat_Salinas_v7:8:15265503:15269487:-1 gene:gene-LSAT_8X11401 transcript:rna-gnl|WGS:NBSK|LSAT_8X11401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylesterase 17 [Source:Projected from Arabidopsis thaliana (AT3G10870) UniProtKB/Swiss-Prot;Acc:Q9SG92] MGEREETPLKPPHFVLIHGISGGSWCWYKLKSMMYNSGYTVTCIDLKGAGIHPSDPNTILSFDEYNEPLLHFLSSLSPHQKVILVGHSAGGLSVTQATHKFPNKISLAVYVAATMLKNGVLTEQDIKDGVPDLSEYGDAYDLEFGLGVNQPPTSAIIKKELQRKILYQMSPPEDYTLASMLLRPGPICALLNAQFPEVNECVEKVPRVYIKTMYDRVVKPEQQDNMITKWPPSHVYSLESDHSPNFSSPFALFGLLVKVAASISCT >cds-PLY85941.1 pep primary_assembly:Lsat_Salinas_v7:9:99972466:99978276:-1 gene:gene-LSAT_9X73901 transcript:rna-gnl|WGS:NBSK|LSAT_9X73901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMILRTTTSSLCDSSSKISNVNRFLPLSAFRATATMGVMWSRNASGKSSVSGFSSKVKGSEPIKHTSTYVHNQHAKEIVIEEEEVVVMSETDSSFESIPGVPNPLGVSKTENGINFSLFSKHATSVTLCLSLQDSGNDASPNDEMIELKLDPHVNKTGDIWHICVLELPLRNVVYGYKINGPQGFHEGHRFDNTVVLIDPYAKLIQGRKIFGDVKNKFSKFYGTYDFSSLPFDWGDNYTPPNIPEKDLVVYEMNVRAFTADKSSGVEENLRGSYLGIIEKIPHLLELGINAVELLPVFEFDEFEFQRRPNPRDHMINTWGYSTINFFSPMTRYASGGGGPIAARLEFKQMVKALHLAGIEVILDVVYNHTNEADDKYPYTTSFRGIDNKVYYMVDGNGELLNFSGCGNTLNCNHPVVMELILDSLRHWVIEYHVDGFRFDLASVLCRGTDGSPVDAPPLIRAIAKDSILSRCKIIAEPWDCGGLYLVGKFPNWDRWAEWNGIYRDDMRRFIKGDTGMKGAFATRIAGSADLYKVSIGIIIIIIISDGKLTI >cds-PLY67808.1 pep primary_assembly:Lsat_Salinas_v7:9:7041183:7043069:-1 gene:gene-LSAT_9X181 transcript:rna-gnl|WGS:NBSK|LSAT_9X181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKRGRWTAQEDEILSNYIQAHGEGCWRSLPKNAGLLRCGKSCRLRWINYLRSDVRRGNISKEEEDVITRLHVSLGNRWSLIAAHLPGRTDNEIKNYWNSHLSRKIIPSRKLSNSLPMRIPSDLPSHNFNKRKGRTSRSTMKINETYRSSSSNTSANDHKVVLAATSSKVQRELPIKQQTKFVNSQIPKSPLTPHADKESDYYVDPSAASYHRYSKDGQTMDYWPEDVERHESGDEVVYEDILSFIDVKDKDGLMNPNGVLSTEEETEKDRVLMDVAIGEEETPNGSDSMKTVIGGNERNNIASIGSENMEVGGTASSSTDSCNTGDWDWNWDFDVEEGIVGFGGEEEDNILTWPWEGTRTYEGTMEGDFVGMHAWLFS >cds-PLY77618.1 pep primary_assembly:Lsat_Salinas_v7:2:162713357:162720545:-1 gene:gene-LSAT_2X84960 transcript:rna-gnl|WGS:NBSK|LSAT_2X84960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G67100) UniProtKB/Swiss-Prot;Acc:Q9FHA3] MEDDQRRQRRKTRGMQSEERAEALRKLKIRRQGGGADIGEERYKIKIDEPIYDTVDDDEYENLVAQRREDFKGFIVDDDGLGYGDEGEEVDWTKSGVIPSSEEESEGELEKKKPKKKTEKKEHKKPSFEAAAALMGKQRISNLFTSAVFNKSKPSVASSDSVLDDVLAEFAPDENDKEKRRRGNKRSSQNGTVLAPAALITSIKTENTPASVVAVNTLSSNSLYKHDVIEEGKEVLEFPKNETDLGVVEEPPVVTSEVEASKDTSELKVEPVMKEADGWTLNAKIKVEKDPTFSATAGLQTNISSDLNAEIGPGVYFDEKPPFVVELDGSLPFYMLDAYEEFSGSNAGNIYLFGKVKAGSTYHSCCVVVKNMQRCMYAIPNGPVFEDSVIMNLERDVEESKISPTAFHTKLHEMASGLKANIKKQLLDRNVSNFSMKPVKRNYAFERSDIERKENYVLKISYPFKDPPLPSDLKGETFRALLGTHSSALELFLIKRKIKGPSWLSLSRFTTSSTAQRVSWCKFEVTVDSPKDIKIATSSKNTGEIPPVVVTAINLKTVINQKQNINEIASASVICCHKAKIDTPMLASEWTRPGMLSHFTVVRKLEDGLFPMGFNKEAADKNIKAGSNIISMESSERALLNRLMIELHKLDSDILVGHNISGFDLDVLLNRVKACKVPNSMWSKIGRLKRSEMPRLNKGNTVFGSGASPGIMSCIAGRLLCDTFLCSRDLLKEVSYSLTELAKTQLSKNRKEIAPHDIPGMFQSSQSLMELIEIGETDAWLSMELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHAFHAKKFMVPDKFYTPKENKTVKRKSDGPEDKVNNDLGENDEIAPPGNEPVKSKKAASYAGGLVLEPKKGLYDKYILLLDFNSLYPSIIQEYNICFTTVERSEDGLVPRLPSATATGVLPELLKNLVERRKHVKRLLKTASGLKYQQFDIQQQALKLTANSMYGCLGFSSSRFYAKPLAELITLQGREILQSTVDLVRNNLNFDVIYGDTDSIMIYTGLDDINKAKSIAGNIIKEVNKRYKRLEIDLDGVYKRMLLLKKKKYAAVKEQIRDGKIYKVIERKGLDMVRRDWSLLSKETGDFCLEQILSELSCEEVVEAIHNKLRKVQEDMRKGEIGLEKYIITKTLTRPPEAYPDAKSQPHVEVALRLKKLGYTSGCSAGDTVPYIICCEKGNGLSTSVGIAQRARHPDELTKDNENLMIDIDYYLAQQIHPVVSRLCASIEGTSPATLADCLGLDPSKFQSKSSEVANNDHSGSVICMTDDEERYRGCEALNLSCPDCCVSFKCPSVLSYIQTVALEKPQDLQDQSTNTTTTFWQKFCCPKCQVEFPPASLSNQVKRRAELFISTYYKGFMTCDDETCDYTTRSLNLRVVGESERGTVCPNYPRCNGRLVRQYSEADVYKQLSYFCYLLDADRCIDKVDAKLRAAVEKEVGRIRGLVGLAFSTAQKVRDRCDYGWVKLSDLFASI >cds-PLY67920.1 pep primary_assembly:Lsat_Salinas_v7:5:298365305:298370138:-1 gene:gene-LSAT_5X158860 transcript:rna-gnl|WGS:NBSK|LSAT_5X158860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHVEGNVVVGGAGWGQDNRTPVTPPFIFLTPPAVCQSQAYPTRSERNIVSVAIIVDLWEQLEAQDAEMQRHDQAIEWMQQAMRDAVLLSSEDEKEENEEPREVLTDTHSKVQFVGQTSLQQVASVIKNPKITSLVPTLLLGLTDPNDHTKYSLDILLQSAGMQAIDELVPMLLFALEDDDMSFI >cds-PLY74444.1 pep primary_assembly:Lsat_Salinas_v7:8:251082074:251082769:-1 gene:gene-LSAT_8X147881 transcript:rna-gnl|WGS:NBSK|LSAT_8X147881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METEGHEVVETLFLEILAAGGKKYIEWVGSLDGWSPRVPLYKVMEGNEPGFFTTYFSWDPSKPSVLLLIGLK >cds-PLY68787.1 pep primary_assembly:Lsat_Salinas_v7:3:159334539:159334787:-1 gene:gene-LSAT_3X100181 transcript:rna-gnl|WGS:NBSK|LSAT_3X100181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEPSAVEGGTSSGEGSAFEGGTSDVGAQWESISWRWESYQKLCKTCGCARSTMGRRCCAILRWEEVVGVGVIKGGRRHERI >cds-PLY96559.1 pep primary_assembly:Lsat_Salinas_v7:4:369328873:369332441:1 gene:gene-LSAT_4X181721 transcript:rna-gnl|WGS:NBSK|LSAT_4X181721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 9 [Source:Projected from Arabidopsis thaliana (AT5G40200) UniProtKB/Swiss-Prot;Acc:Q9FL12] MDKAVRKRGRKPKNAVDAEANPNSNTAVAEEVVASLNAAADTTKSDRRGSKRRRKTGKEKADDVAIVVSPERRASRHSDHNGDCDILTTVADGPPQWESVGKVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHHTQVKVKKRGSDTKYLATVLAIGTECDIAMLTVNDDEFWEGISPLEFGDLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGECVGIAFQSLKHEDAENIGYVIPTPVIMHFIQDYEKNGEYTGFPILGVEWQKMENPDLRMSMGMGNEHKGVRIKRIEPTAPESNVLNPSDIILSFDGVNVANDGTVPFRHGERIGFSYLVSQKYTGDKALVKILRKSKIHEFNIKLATHKRLIPAHIGGQPPSYYIIAGFVFTAVSVPYLRSEYGKDYDFDAPVKLLDKHLHAMAQSIDEQLIVVSQVLVADINIGYEEIVNTQVVAFNNKPVRNLKSLADMVESCNEEFLKFDLEYDQIVVLRTKMAKEATRDILLTHCIPSAISSDLT >cds-PLY67216.1 pep primary_assembly:Lsat_Salinas_v7:6:133710485:133712417:-1 gene:gene-LSAT_6X80741 transcript:rna-gnl|WGS:NBSK|LSAT_6X80741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFWGKTGCSGEDEEEKQQPPTADRLFSSLSSASYQHHHHIRHQPEQQQQQPIFSPPPYHHHSGGGLLLDVHNEVGEPSTKYEEEGEVGRISMVEREHMFDKVVTPSDVGKLNRLVIPKQHAERFFPLDSSNNDKGLQLNFEDRNGKLWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDVVSFQRGVGSTAKDRLFIDWRRRVNTSNPPHLTNLSFSFPNHNLFHPWNPLVLKSHPALPRRDYSNLMLPPPNTTSGNVNNGGSRSVIYFRSGGSVLPQQHLQMIQRNGFGVGVEIDPPPQPPQASQTPPLHGKGSGKRLRLFGVNMDCPFSEDEDDRDYDPPSSHGHSPPPPVMPPSSSSSPSPQSTSIPYLQLSPYGEGNEYDQSTIIQSSSSHAFNIIAKSTASSMSLDLDI >cds-PLY73787.1 pep primary_assembly:Lsat_Salinas_v7:8:150703453:150704504:-1 gene:gene-LSAT_8X101320 transcript:rna-gnl|WGS:NBSK|LSAT_8X101320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARYELDLTISSAKNLKNVNWRHGPLKPYAVVWVDPKNKCSTHVDEEGDESPVWDQKLIIPLNDRIEDSTLYIDIVHANAAEDTKPLIGSAKLKLKDVVDEVGIGEYYVDTLKLKRPSGRPHGKLEVKVSVREPSYRAPDPYYAPPYGVPPPQSQYPAAPPPYGGGYPYAAPPTGYPYSPYGAPPPYGQPGYGQSAGYGGQPPSYGEYKEEKKSKYGMGTGLAVGAAAGLVGGLAIAEGVDYVEDEIAEDAADKVEDDLGYDVDDE >cds-PLY64882.1 pep primary_assembly:Lsat_Salinas_v7:3:17196094:17196748:1 gene:gene-LSAT_3X12441 transcript:rna-gnl|WGS:NBSK|LSAT_3X12441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLWRFEILTFLGTVHLPSLPPNAGGLSIFLASEGGRSSGWDPGWYISCFKSGGSCGVVGDLRSKKMKVKVRNPRQHRSETMKCTSEIKEVVSRSKKKEERCGPSMVEGCDGGGCSRFRLWW >cds-PLY64391.1 pep primary_assembly:Lsat_Salinas_v7:4:23648425:23650548:1 gene:gene-LSAT_4X16500 transcript:rna-gnl|WGS:NBSK|LSAT_4X16500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELLDNNMKTAVENFNSSDVNFHGFMPMQSGDNFSDHHLRPQRSHPLPFMPVQQDSINSIQSFAFNGDHQSGAVYDSINHFPTMVETCVLQRDAGMATIVESFMNSNPPLYDPGCIGENKGYGGKKRKKNKEASGEKPKDVVHVRAKRGEATDSHSLAERLRREKINEKLRRLQDLVPGCYKTMGMAVMLDVIINYVGSLQHQIEFLSMKLSAASMFYDFNTSEMDPLDAMKGNGHETQVIEKMIGEGYGDLPRFQSTWSP >cds-PLY83145.1 pep primary_assembly:Lsat_Salinas_v7:3:96108417:96109104:1 gene:gene-LSAT_3X71901 transcript:rna-gnl|WGS:NBSK|LSAT_3X71901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADHRRQLMEVHRKFAVLAGTATLVGTLSLTVLLTLPGGYYDGAKEIPTVSPHIPNRTSAFPFPFPSPPPPPPDPHKVGESKLSDTWSFNLIMYSAGYGLLLSMFVIVVYMTGKGEELWRWNNAYIFCRNVMWGATFLSFEATIMLIISIIGCHTNFAVYMGAGGLVILFGSVYASYVFWIK >cds-PLY83919.1 pep primary_assembly:Lsat_Salinas_v7:5:9829135:9829707:-1 gene:gene-LSAT_5X5661 transcript:rna-gnl|WGS:NBSK|LSAT_5X5661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNVIMEEENNSKGEFEIEKITTHTVEARPRHLVPTVDHFRRPIVQTTSGGATPQISVSNDGNRMYLMPYADVSKGGADNNGDEENGVDASQMPKGVVNSDNCLISDTQPETVNNSDCSVSVSGTQSQSKLVNSNNNISQKLENHLQDEGDKEVD >cds-PLY90628.1 pep primary_assembly:Lsat_Salinas_v7:6:55099056:55099829:1 gene:gene-LSAT_6X39921 transcript:rna-gnl|WGS:NBSK|LSAT_6X39921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPNVLNDYDQFDLLKRSCNNIDPEYLSRLWKLVYNSNQQNLYSKPMPWIGIYVALASLFCILAMVADLFHGLKNRKLWFPCKYFTVNAASLTVIAVAMKLPMDLSNLMPGTVDQSAKIGSTCFMCTMLANLLPSLATMNSKELVSNIIALAVLVITLAVNVCIQIYTGIFFYSSYDAQYHDPEYFYPEIGFFERAIVFAICVVPMLMLLIIYACSSLAILKSKEILESKYQAAHQKALKDHELQQPGRVLTVEKLK >cds-PLY98607.1 pep primary_assembly:Lsat_Salinas_v7:1:39632279:39632918:-1 gene:gene-LSAT_1X34081 transcript:rna-gnl|WGS:NBSK|LSAT_1X34081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFGACIIPNCTLDFSCGGTQPPTPPFPPPINFTSRYLDGDCNRLGLGPPPSPPSSVASPGPGGK >cds-PLY75738.1 pep primary_assembly:Lsat_Salinas_v7:4:333472229:333488760:1 gene:gene-LSAT_4X165041 transcript:rna-gnl|WGS:NBSK|LSAT_4X165041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQCDRGLKMGHLWCLPGSKRRRKENGSSGMWGLPLVSGDKAPTLGYLVIEKAATIQQQLMKKSLLLVDANEYLLLLLFVSATFFFFTSFACSNGGCQILESCSAATDCAVGLYCGNCAQSGKTQPFCIRGQANVPTSIIGGLPFNKYTWLVTHNAFSIVDAPLLTGPERITFYNQEDSVTNQLRNGVRGLMLDMYDFENDIWLCHSFHGQCFNITAFQPAINTLREVEAFLSSNPSEIVTIIIEDYVHTPKGLNKLFMDAGLDKYWFPVSKMPKKGEDWPTVTNMAQDNHRLLVFTSDSSKEASEGVAYQWRYMVENDPGDPGIQQGSCQNRKESKSLTSKSSSLFLQNYFPTMPVQAEACKEHSTPLMDMVGTCYKAAGNVMPNFLAVNFYMRSDGGGVFDALDRMNGQTLCGCVSITACQIQLQNVTRLCHTRSMVTVNGKFPGPRIVAREGDRLLIKVTNHVSNNITIHWHGIKQLRSGWADGPAYITQCPIQTGQTYVYNYTVVGQRGTLFWHAHISWLRASVYGPLIILPKLNVPYPFIKPYKEVPIIFGEWFNNDPEAIISQATQTGGGPNVSDAYTFNGLPGPLYNCSAKDTFRLKVKSGKTYLLRLINAALNDELFFSIANHTLTIVEADAVYVKPFDTKTIILAPGQTTNVLLKTKSRFPNATFLMSARPYVTGQGTFDNSTVAGILEYETSIHMKNLPLFKPILPSLNDTSFVSKFSNRLRSLGSVEFPANVPQKIDKKLFFTIGLGTAPCAQNQTCQGPNGTRFAASINNVSFVQPSVALLQSHFYNKSEGVYSPYFPINPLHWFNYTGTPPNNTFVSNGTKLMVLPFNTSVELVLQDTSILGAESHPLHLHGFNFFVVGQGFGNYNPKKDPKNFNLVDPIERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTGWGLKMAWLVLDGKLPNQKLLPPPADLPKC >cds-PLY77471.1 pep primary_assembly:Lsat_Salinas_v7:4:50036854:50037183:1 gene:gene-LSAT_4X34241 transcript:rna-gnl|WGS:NBSK|LSAT_4X34241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSLPDSTANLTNNNEISVSIHRFVPWNTSRSFHRPPPKEANTNSLTVTAFIDPFIVPGLSIALSPLPNTQRLTLSSSTASLLRSTRSYVSFNQTATTASPSASFEKKG >cds-PLY97466.1 pep primary_assembly:Lsat_Salinas_v7:6:90627261:90627635:1 gene:gene-LSAT_6X61461 transcript:rna-gnl|WGS:NBSK|LSAT_6X61461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRGYAVRIMTEITYDNVMVHCKSADTDLGVHVLNFSNLEYGWSFCENWIESTLYYCHFWRLMKEQTFQVFNRTMFRACNQGYSDGNICTWGVKQDGFYFFDIHNQVWIKQYDWEWKNKMLENS >cds-PLY80544.1 pep primary_assembly:Lsat_Salinas_v7:6:12389400:12392634:-1 gene:gene-LSAT_6X8501 transcript:rna-gnl|WGS:NBSK|LSAT_6X8501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGLFQQCCYFTASFLLLIAFMSPAAVDQVAAAASEKEILLQFKGNITIDPYNSLISWDSSTDQCRDYSGVFCDSFGNVYKIVLWNTSLVGVLSPALSELKSLRILTLFGNGFTGIIPPEFQELTTLWKINVSSNALSGSIPEFLGDLPNIRFLDLSKNGFQGEIPSSLFKLCEKTKFISLSHNNLSGTIPIIINNCLNLEGFDVSFNSLTGEFPSRICEIPPLAYLSVRNNMLTGKIEDQIANCQTLELLDLGSNLFSGNAPFSALEFANLSYFNVSNNEFDGQIQPIQTCSEKLEVFDVAGNGFEGEIPMSIVSQCSGLKVLNMAYNRLNGQIPVEISNLKRISVIKLGNNSITGEIPEEFGSIELLQVLDLHNLDLNGGIPDSLSSCRFLLELDVSGNSLQGRIPESFYNMSNLRILDLHKNDLNGSIPSTLGNLSKIQTLDLSQNSLSGSIPSTLSNLSNLSHFNVSYNNLSGQIPAFSLQNFNSSVFSNNPELCGPPLDQSCTRDSKNSESKRPKLSVSTIVAIVAASLILIGVIIIIILNMKARRRRGREDETMIIESTPLASSDSNLIIGKLVLFSKTLPSKYEDWEAGTKALLDKNCLIGSGTIGTVYRADFDGGISIAVKKIKSLGRIKSQDEFEQEIGRLGSLRHPNLVSFQGYYWSSTMQLILSDFAPNGNLYDNLHGIGISHSGSSSGIGNPELNWPRRFNIALGVARALSYIHHDCKPQILHLNLKSHNILLDQNYDPKLADYGLVKFLPLLDNYGLTGFHNVVGYVAPELAQSMRLSDKCDVYSFGVILLEVVTGRKPVEGVGGNEVVVLCEYVRGLIERGRASDCFDRSLNGFVENELIQVMKLGLMCTSESALRRPSMAEVVQVLESVRSESEG >cds-PLY63347.1 pep primary_assembly:Lsat_Salinas_v7:7:150978575:150978930:1 gene:gene-LSAT_7X89361 transcript:rna-gnl|WGS:NBSK|LSAT_7X89361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDEPMPKTIRDILPLEDLKKANKDLFIRNFDLKPNLIIYHSHVMYTEKNHRLVSSSSSSDKLH >cds-PLY67890.1 pep primary_assembly:Lsat_Salinas_v7:1:54862017:54864864:-1 gene:gene-LSAT_1X49160 transcript:rna-gnl|WGS:NBSK|LSAT_1X49160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSHTLPIPRRRHSFVSHINKQIASIYRIDPNFNVQRRARVVCQGMLAPRKFMQRRKKIEVFDDAADEEDQKSWRKMMNEIDEVGSAVSVLRTRRTKNQPLPKDLVLGTLVRFKQQKKWNIVAEILEWLRTQHWWNFNEMDALMLITAYGKEGDFNKAERVFSYINKKGYPPSVISHTALMEAYGKGGQCNKAEAIFRRMQSSGPEPSAITYQIILKIFADKFEEAEEIFESILTDEVSSLKPDQQMFHMMIYMYKKAGSNDKARRLFSMMNERGLQQTSVTYNSLMSYESNYKEVAKVYDQMHRAGVRPDVVSYALLISAYGKARREEEALAVFEEMLDAGVRPTQKAYNILLDAFAISGMVDQAKIVFKSMRRDRCTPDLWSYTTMLSTYVNASDMEGAERFFRRIKEDGLEPNVVTYGTLIKGYARINDLETMMKKYEEMQIHGIKGNQTVFTTIMDAYGKNRDFGDAVIWFKEMESCGVVPDKKAKNILLSLANSVEEKAEASKLVGLSDDSSNEHIVVNDNIRFDEDDDDDGDDEEDEDEDDDDDDENENLSIVDERREVTVAVGGRIG >cds-PLY83200.1 pep primary_assembly:Lsat_Salinas_v7:7:5435769:5437394:-1 gene:gene-LSAT_7X4140 transcript:rna-gnl|WGS:NBSK|LSAT_7X4140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFDPEKIEALSGSRSDCERKCLSNCSCMALSYNPKTNSCKNYFGKLLNLGNSSSDLGIIQDSLNIRVHKGVIRKKIGVKTIILITTITSFLAIASIAIIILIILRKKMIKRKKLEEESVFPVTNLKVFTYKELHAATNGFSEQLGHGGFGAVFSGKVDSTLVAVKRLERPGGGEKEFRAEVCTIGNIQHVNLVRLIGFCSEESHRLLVYDYMPNGPLSSYLKNNGRTLDWEARFRIAIGTARGIAYLHEECRNCIIHCDIKPENILLDKDFSAKVSDFGLAKLIGRDFSRVLATMRGTWGYVAPEWISGVAITTKADVYSYGMTLLEILGGRRNVEGPPPEGGGGGESGSNEKWFFPPWAARRITEGDVAAVVDERLAGEYDVEEVERVGLVAVWCIQDEEATRPTMGMVVKMLEGVVEVAVPPPPKLLQALVSGESFRGVGGNSQNDTISRASDDESLSGMR >cds-PLY96781.1 pep primary_assembly:Lsat_Salinas_v7:2:171270862:171271954:-1 gene:gene-LSAT_2X94161 transcript:rna-gnl|WGS:NBSK|LSAT_2X94161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S21 family protein [Source:Projected from Arabidopsis thaliana (AT3G27160) UniProtKB/TrEMBL;Acc:A0A1I9LRJ3] MASSALANFLSIFTPLKAPTQPSKALPSHLSLSNDSSSKHKDAFAPLVASSSSSSSSCSNDLMSVVCPSLAYANTLYFNSAYNVQVIVEDNEPEEKLLGRFRREVMKAGVIQECKRRRYFENKQEEKKRKTREAAKRNRRRRTPFRNPNDDKVESVNKKREDDDDNWDMPSDSPLN >cds-PLY92470.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:32124:36714:1 gene:gene-LSAT_0X14480 transcript:rna-gnl|WGS:NBSK|LSAT_0X14480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin assembly factor 1 subunit FAS1 [Source:Projected from Arabidopsis thaliana (AT1G65470) UniProtKB/Swiss-Prot;Acc:Q9SXY0] MGDAMVVDVPKKSTKRKRVELVMSTEEREARIGALRGELDSLFKYYNESFSRHALIDVDSVKANGSTNSMIASLLEENSLPLSKLVESIFSTLKEKEGSMTPASVKSAVLLIGQRSFYGVQNPNADVLEDDSSSSLWCWETRDIKLLPKSFRGAIKIRRTCRKKIHERITAVSDVITELMKLESASNGVQKVLKASERLVKVLSEVEIRSLVEKMEQKNGADVAEKEVKREEKLVVKQLEKNKREVEKEKLRIERELLKEKLQNEKEMKRLQDEAEKEEKRREIEMKKQLKKQQEEAEKEQKRKEKEEAEQKKQLALQKQASILERFLKKSNGNSPMQVDQSPVKDTESSPKQKTHVPESVVQSMDDALSLKKEFDANELWNVHLRSWHQLVHCGSKKRHWGMRLTPKTVVVKELKLTNIEKLIDGWNENKNDSKDNSVIDSQKFTRSKQLLQFDKSHRPAFYGYWPKKSEVVKPRCPLVKDPELDYEIDSDEEWEEEEPGESLSDCDKEEEEENTEENLSKADDEDESEDGFFVPDGYLSENEGVEVERMDHSELVEEANGSPSCPQIETEELSLFFKQLKHLNTLTEHALKKNRPFVVSNLMHEKSQSQPLSSTPESEQTCLQALSIRAFPVGPSIDLVAPNDIQEDEKQKQEDCSSSSKVGVVPIASGATITDSDLPEIVTIIQSCPHGINVVVKSLRDKFPNVAKSQLKSKVREISIFSDNRWQVKKEILEKFGLSRSPEKTVRRTKSIAAFFSKRCLPPAGKTTNPNQVSPQPMEKAPQAAAFEIQNQNNTYTNQ >cds-PLY76809.1 pep primary_assembly:Lsat_Salinas_v7:MU042712.1:895921:897395:1 gene:gene-LSAT_0X2440 transcript:rna-gnl|WGS:NBSK|LSAT_0X2440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPDALSKAFVDHYYSTFDNNRAGLANLYQDTSMLTFEGQKIQGSQNIVAKLTSLPFQQCKHSITTVDCQPSGPAGGMLVFVSGNLQLAGEQHALRFSQMFHLMPTPQGSFYVYNDIFRLNYA >cds-PLY89200.1 pep primary_assembly:Lsat_Salinas_v7:3:20445211:20447358:-1 gene:gene-LSAT_3X13260 transcript:rna-gnl|WGS:NBSK|LSAT_3X13260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FATTY ACID EXPORT 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G33265) UniProtKB/Swiss-Prot;Acc:Q8LPG1] MGTLPVVYSHQLQGFASNHFDSQCNKVNHVRRSPITSSTVSAAVGIESIFIGSCKVRQRLRRLSSCPSSQRSYTRHLCKAQLVQDFAPAASAGYAILLLGGGLFAYTKSGSKGSLFGGLTGATLMSVAYYLMQSSETKEIGDALAFGASLLFASIFGIRLAATRKAIPAGLLLGLSVSIMAVTFSAYLQDRI >cds-PLY78431.1 pep primary_assembly:Lsat_Salinas_v7:2:167017819:167020598:-1 gene:gene-LSAT_2X88340 transcript:rna-gnl|WGS:NBSK|LSAT_2X88340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTALYNQLKGAQPFFVLAGPNVIESEEHILHMAKQIKAITSKLGLPLVFKSSFDKANRTSSKSFRGPGLAEGLKILEKVKVTYDLPIVTDVHESSQCEAVARVADIIQIPAFLCRQTDLLVAAAKTGKIVNIKKGQFCASSVMTNSAEKVRLAGNQNVMVCERGTMFGYNDLIVDPRNFEWLREANCPVVADVTHALQQPAGKKLEGGGVASGGLRELIPCVARTAVAVGVDGLFMEVHDDPLNAPVDGPTQWPLRHLEELLEELVAIARVTKGKHKYDIDLTPYRE >cds-PLY68955.1 pep primary_assembly:Lsat_Salinas_v7:4:363397540:363399155:-1 gene:gene-LSAT_4X179620 transcript:rna-gnl|WGS:NBSK|LSAT_4X179620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g36730 [Source:Projected from Arabidopsis thaliana (AT2G36730) UniProtKB/Swiss-Prot;Acc:Q9ZQA1] MKDLLRIHAQILTSGLHSHRHVIREITRFCTSNHFSSSSYARLAIQHSKNSKPSSSNNVIRVCTTRKGNNGDLYREKETMLNFKQMHSSRIRPFELTYASVFKACASLLAFEEGRQIQVDCLKRGLDFDVYVRNTMIHFYSSCKKIINARQLFDEMSYRSVVSWNTIISAFFHVSWFYESILYYTKMRNIGIEPDGTTMVVMLSVCAELGNLTMGKCVHTQTIAKGLELNCQLGTSLVNMYAKCGALNYAHLVFDKMHHRNVWTWSAMIQGLAQHGFATHALTLFKKMELTKIQPNHVTFLGVLSACSHGGLVKDGYRFFKEMKGVYGINPRMTHYGAMVDVLGRSGCLKEAYDFILKMPIKPDATVWRTLLSACNIHGGNDFDGVGEKVEKRLLELEPKWSGNLVIIANRYANVCKWEEVEKVRKSMKVKKMVGESCIEVKGSTFRFLSGYVSKASCVNIYLLLYGLNLNMKINDP >cds-PLY80252.1 pep primary_assembly:Lsat_Salinas_v7:6:124722433:124725392:-1 gene:gene-LSAT_6X74280 transcript:rna-gnl|WGS:NBSK|LSAT_6X74280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGIMTYNGLENCILNANSYEDERITNKLHRSPTESPEEDISSCCSSNNPSGSFFSQWSIMKREQNELDDWDYSESPQQYIHKMSPSYTLQYSDMEVMKEKFGKLLLGEDATGGRNGVSPALALSNAITHLAGTVFGELWKLEPLAEEKKNKWRREMDWLLSPTNYMVELIPAKQCSADGRTLEIMTPKARGDVHLNLPALRKLDSMLIETLDSMTETEFWYEEGGSRAEGRDRNVKQSKRWWLPMPQVPIGGLSNDERKKLLNKGKLVNQIFKAAKSINETVLLEMPIPSIIGEALPKSGKASLGEDLYRVLNRVSSSSSDMINSLNLKSENSALDAINRLEAAIHAWKEKVGEHDSGKSPARTSSWSFKDPVSELDKIELLINQAENLSREIKIRFPNLPQKFLDVMKIQYGKDVAHAILEAYSRVLGNLAFSILTRIGDVSQEDMLSNPDSSNETNRGETISIPSSNTKASSHTLIDKMDNIEGKMNFLKTEEDSHVIFLSE >cds-PLY63714.1 pep primary_assembly:Lsat_Salinas_v7:9:86601033:86601590:-1 gene:gene-LSAT_9X67300 transcript:rna-gnl|WGS:NBSK|LSAT_9X67300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLSMEKCDPSALQRSGEEDEDTMSLSDFSIYCDENVESRIEGPSMSDQDEQDFEFSSEIGITSHDLTNPIIFCGKIVHVSSKNIQENENKKPLDMHHGGSMERKVSILTSKSKSRWYVFMFGFGSRRFPTEMHISDLRKRQICVPTKRNDNYKFTKGDPNGIGKSRGLIIRFLGCCVTPTKVTK >cds-PLY70603.1 pep primary_assembly:Lsat_Salinas_v7:1:90192041:90197337:1 gene:gene-LSAT_1X74180 transcript:rna-gnl|WGS:NBSK|LSAT_1X74180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSNESSPPSVSRDWFFPSPSPSFTQPSSSSHRHHLHTPPSRRFSTYPKPSNPSAAGPALRTTSSSSAASSHRDLKYAGFSRRTNFSRRYQRSITPEVDAPSVVPQIPQKCDVSNDKTSTPDKFIDLVGGRLKLRWQMAFLVALLITTFSSLLHKNFSLHNQSNDLQAQIMKLNGRLQLCNLLEPIDIDDLDSQDSIDLPNKRLKNMALAISVTLLSIPFLFLKYVEYISKSRSPDNLKEEASLNKQLAYKVDVFLSVRPYSKPLALLVATLLLIGVGGLALFGVTDDCLADCLWLSWTYVADSGNHANSEGLGPRLVSVSISFGGMLIFAMMLGLVTDAISEKFDSLRKGRSEVVKKDHTLILGWSDKLGSLLNQLAIANESLGGGIVVVMAERDKEEMESDIAKMEFDFRETSVICRSGSPLILADLKKVSVSKARAIIVLAEDGNADQSDARALRTVLSLTGVKEGLQGHIVVELGDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQVWEDILGFENCEFYIKRWPELDGMQFEDVLISFPHAIPCGIKSVSCGGKIILNPDESYVLQEGDEVLVIAEDDDTYAPVALPNVKEAPFIHITRPTRKPQKILLCGWRRDIDDMIVVWRGNLPKEFILPNSMEKILLCGWRRDIEDMIMVLDAFLAPGSELWMFNEVFEGVREKKLIDGGLDIERLMNITLVHREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPYREAKASSHGRKGSFSQGSWIGEMQQASDKSVIISEILDPRTKNLLSMSRISDYVLSNELVSMALAMVAEDRQINDVLEELFAEEGNEMHIRQADLYIKEGEELSFYEILLRARQRREIVIGYRLGNVEKAVINPPGKMEKRKWSLKDVFIVIAEKE >cds-PLY72169.1 pep primary_assembly:Lsat_Salinas_v7:7:57559350:57563948:-1 gene:gene-LSAT_7X42121 transcript:rna-gnl|WGS:NBSK|LSAT_7X42121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAELEVKMVVGSDGVAVITISNPPVNAFAVSIIAWLKEKIEQAMSRDDVKAIVLTGKNRKFSGGFDINVFRKVQQTGKLSLLPDLSVDLVVNRIEDAKKPIVVAIEGLALGAGLEVAMGCHARIATPNAQLGLPELSLGIIPGAGGTQRLPRLLGLPKALDMMLTSKPIVSQEGHKLGLIDAIVSPQELLKVSRQWALDIANNHKPWARSLHLVDKIGSLSEAHKILTIAREKAKITWPNMPQHIACIDVIMEGILHGGYHGVLKEAKVFNKLVVSDTSKGLVHVFFAQRATSKVPNVTDIGLKPRSLKKVAIIGGGLMGSGIATAFIINNIYVILKEVNSEFLLKGIKMIEANVRGLVAKKKLTQSKADKALLMIKGVMDYSDLKDVDMVIEAVIENVELKKKLFNEIEKICPPHCILATNTSTIDLNLIGQTLTCQDRIVGAHFFSPAHVMPLLEIIQTEKTSPQVILDLMTISKIIKKVPVVVSNCTGFAVNRTFFPYKQSAHILLLLGVDLFRIDRLIKNFGLPMGPFQLEDLTGYDLAVAVAKEFATAFPDRAFISPVVDLLIKNGRNGKNNGKGYYVYEKGSKPKPDNMVLPVIEESRRVANLMPQGKTVAMTDEEIVEMVLFPVVNEACRVLEEKIVVKASDLDIASVLGMSFPSYRGGIVFWADVVGSNHIYTSLKKWSEKYGNFYKPSRFLEERAMNGVPLSAPINTGSRARM >cds-PLY99862.1 pep primary_assembly:Lsat_Salinas_v7:4:47560825:47561811:-1 gene:gene-LSAT_4X32721 transcript:rna-gnl|WGS:NBSK|LSAT_4X32721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIFSWVHRRFHKKSVADEDAKKVENDKVSLLENEALAGVCDGWKEGILAIGTLGIDLSKTFQVKDVAYTDNQLLLFGHDDDEEGQEGEMEWPLVLKACKHGFHHVQKQDPSPCDKVAKPNNGKEEVDAKDPTNLNTECTEKKIMNSGERTTLADLLWGDSEKNLLKEKLCDPFNVPYHVSTCTESIKSTLISKKKKIEKDDSTHPIKKTKRLMRKMLKKKIYPDIASQKKETEGILYQDIHVHAT >cds-PLY81938.1 pep primary_assembly:Lsat_Salinas_v7:MU041619.1:31951:33075:-1 gene:gene-LSAT_0X39741 transcript:rna-gnl|WGS:NBSK|LSAT_0X39741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSGKIPNCVGDLQGLTAMRLSSNQLSGAIPSSIALISSLFWLNLNKNNFTGEVPPELGNLQGLEVLDLGDNKLYGNIPNWIGKKLTSLVVLSLHKNNFTGRIPPSLCKNSNLQILDLAYNNLTGTIPRCVGNLNGMVVSHRMNESYFDLDDDKNVIQVMKGVDLEYTTTWDIVFNMDLSSNKLVGEIPVELTALSLLVGLNLSNNHLRGGIPESVGKMKKLETLDFSKNKLSGSIPPSMAALTFLSHLNLSHNNLSGQIPTGNQLQTLIDDPSIYAGNKHLCGPPLQNTCSNHQDPTTTTSKKKHKAADEKMEVWLFYVDIMSGFGTGFWGVIGVLMFKKQWRHKLFMFAEETMDKIYVAVVVRVAKFKRGRE >cds-PLY71741.1 pep primary_assembly:Lsat_Salinas_v7:3:46634685:46635233:1 gene:gene-LSAT_3X34441 transcript:rna-gnl|WGS:NBSK|LSAT_3X34441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQKLSHGVKMIQLGSQGKIFRKTFGIREEEKLLQASQCFLYTTAGAIAGILFVSTERIAFCSDRSLKTYSPTGELLKFQYKVSIPLGKIKGVRESMNMKRLSYNYVEVVTVDDFSFWFLGFVNYKKTLRYVHHAIGSNA >cds-PLY96825.1 pep primary_assembly:Lsat_Salinas_v7:2:171596526:171597236:-1 gene:gene-LSAT_2X93281 transcript:rna-gnl|WGS:NBSK|LSAT_2X93281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDQDDRTRVLYQLCSMIINILGSPPLPIPFPFPTSFVGATVASSSSSSSAPSPHRPHVSPTGFAALFVSISFALMLFGSAIFVIGVMLMPLVIALVMLFYLAGIVSNLTYLVAAVLRPSTRIVSGLVRY >cds-PLY92383.1 pep primary_assembly:Lsat_Salinas_v7:3:123432824:123433420:-1 gene:gene-LSAT_3X85740 transcript:rna-gnl|WGS:NBSK|LSAT_3X85740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCREGFMSPQTETKASVGFKAGVKDYKLTYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYGIEPVPGEENQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPTAYVKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGXEHSS >cds-PLY86879.1 pep primary_assembly:Lsat_Salinas_v7:8:49883941:49885214:1 gene:gene-LSAT_8X37860 transcript:rna-gnl|WGS:NBSK|LSAT_8X37860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKERGKSVKSEHENTNFFSSSNYFSSSLDLPCKKHPFNSSSVGVCPYCLKEKLMNLVCSDCGEQRLSSCSCSDVSSYRNSSCSMGVGSVGRLSFFIENEKGCNGDEKKTLLSHMNQISMIETETDDVVFLKRSSSCVVEVKKSNSFWRIGKIFKKKREKERCSERNNRGGFDHAREVCVMDVSRSRSLSSFMDGNFGHEVGSVACSTAKVSDFNQSESRMSGFRGGSIDFESGFSVKDSDFIRMDDDDDDDDDDSEFIDLKIDLSDKSTKDESVFKKYDPPELTCGDGMGSSSCRVTLNEREIEKVKNNHTKAWKGIFKHHSGKKDLITS >cds-PLY81148.1 pep primary_assembly:Lsat_Salinas_v7:9:67123927:67126988:-1 gene:gene-LSAT_9X57820 transcript:rna-gnl|WGS:NBSK|LSAT_9X57820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREKGIEVSADDIFRVLEADLEFVSGHELKAMTSLVGGVYGVNPPMSCKSSSFDALQEVMEVPKTKRLKLSAMEDAGGYSDGQQKVSHITVERNRRKQMNENLSVLRSLMPCFYVKRGDQASIIEGVVDYITELQQILQSLEAKKQRKVYSEVLSPRLVSSPRALSLSPQKPHLSPRPSLPISPTTPQPYMSRLPPPSPSPSTTFLTSDSANELVAANSRSAMAEVEVKLMGGNLLLKTCSKRVQGQTTKVIAVLEKLALEVLQANISIVDEAMVHTFTIKIGVDCQLSAEELAEHIQHTFG >cds-PLY88239.1 pep primary_assembly:Lsat_Salinas_v7:MU040167.1:2273:4643:1 gene:gene-LSAT_0X36780 transcript:rna-gnl|WGS:NBSK|LSAT_0X36780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLILINYIANHGEGVWNSLARSAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLIMELHAKWGNRWSKIAKHLPGRTDNEIKNYWRTRIQKHIKQAENFSGQTSSGHTDQATTSSTQTCNVLNPMETYSPPYDNFQNFSGPFPTETNENMWSMEDLWSMQLLNSD >cds-PLY80493.1 pep primary_assembly:Lsat_Salinas_v7:2:137353060:137353344:1 gene:gene-LSAT_2X66821 transcript:rna-gnl|WGS:NBSK|LSAT_2X66821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGTDHIEWGMSFAEWERMDIGPPPPYLYDDEDCDFKQHHEEDKEVVELKIDSSYHSQDVLIKGIEMAIMIVRVNPKQLVVDDEIQMQERPRCV >cds-PLY66118.1 pep primary_assembly:Lsat_Salinas_v7:7:31402171:31404037:1 gene:gene-LSAT_7X23201 transcript:rna-gnl|WGS:NBSK|LSAT_7X23201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQRFSSCRGVSFEIQPHKDPFAIDAPRASRRVWLPWGSSKRIVPTSGSNIISRSTSRASSHFCDLETDDEDDDDVLINIEEGYELEDNNKLPLTLPVPELPQAPSKKIVSKPTKQKESRLSVILLDQGLFTVYKRLFMVCLTLNITGLVLAATGRFPYARNHATLFSIGNLFALTLCRSEAFLRIVFWLAVNLFGHSWVPLRLKTATTSLLQSLGGIHSSCGVSSVAWLTYSLVLTLKDRDNTSNAIIGVASAILSLLCLCCLAAFPLIRHLHHNVFERTHRFTGWASLILLWAFILLTKTYEPETKSYRKDVGSRLVKEQEFWLTLVITILIIIPWVTVRRVAVKVSAPSGHASIIKFTGGVKPGILGRISPSPMSEWHAFGIISDGKEEHMMLAGAVGDFTKSLVSNPPSHLWVRQVHFAGLPYLVNMYNRVLVVATGSGICVFLSFLLQQGPADVCLLWVAKGIEQNFGKEIKEWVSRHPKEKVIVHDTALMGRPNVSEMSVTAAKNWGAEVVIVTSNPEGSRDVVNACKSKGIPAFGPIWDS >cds-PLY72670.1 pep primary_assembly:Lsat_Salinas_v7:6:28047991:28053751:1 gene:gene-LSAT_6X20940 transcript:rna-gnl|WGS:NBSK|LSAT_6X20940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLMVVLLSMSCLSFGSKITSVATYDVTSYGAKGDGNTDDSSALHFNSCNGLQLSGTKHINNPMLHMSINGCEGVDVGNIQIFAPGDSPNTDGIDIGDSSHLNIHDSNIQTGDDCVAINGGTYDINVTRVFCGPGHGISIGSLGENGGHDTVEQVRVEKCNITGTKNGLRIKTVPYGTGYARGIVFQDIHLVNVENPIIIDQHYCINSENAYCPAPPNASAVKVSDVMYANIYGSSATKQAITFNCSGKYNYTEIVTNEVGISGHDEISYCQNTQGKFIDTTPPINCY >cds-PLY96197.1 pep primary_assembly:Lsat_Salinas_v7:3:93224427:93226956:1 gene:gene-LSAT_3X69920 transcript:rna-gnl|WGS:NBSK|LSAT_3X69920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFWGLLVVASMPILQVLIVSAVGAFMATDYLNLLPSNTRNSLNKIVFVVFTPSLIFASLVETVTFEDIISMWFMPINIGITFICGGILGWIAIKLIKPKPHLQGLIIAMCSTGNFANLLLIMIPAICMDDGSPFGDHGICKAKALSYASFSMALGSFYTWTCTYQMIQASALKYNSKKEIDKFSNDSDQNTHLLNKQDDDHIDRIMPSSSLTSQDTENQCIVKKKVGSISDQLVEIIKKIVEQLLAPPTLGSFAGLIIGAIPWIKNLLVGEKAPLRVIQDSMTLLGGTIPCLTLILGGNLTQGLKKASVGPTIIITIMIVRYLILPVIGIGVIKMAANMGLVPVDPLFRFVLLIMFALPPGVNISTMAELFSVGQEECAMLMMWTYLAAAFALTTWSSVYMWILS >cds-PLY62620.1 pep primary_assembly:Lsat_Salinas_v7:8:108682824:108685260:1 gene:gene-LSAT_8X75920 transcript:rna-gnl|WGS:NBSK|LSAT_8X75920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEEGGWPLGLRPLNMRPRDYDFSGSISCNTLLSGSPALTSDSSSDVDTQSTGSFFHDKSITLGNLLGVSSIVELSRRSLRSRRTSETITLGNNERSNLKSKLGCFNFCLCRKDIDVDIARNNTVPLGRLLEVERRVAQEHRRGHEHGPLIYGPDELALAQPFGELSNSLFVDGRILPPTQSSPCSGLDSNGRKEGRRLRPPCF >cds-PLY93920.1 pep primary_assembly:Lsat_Salinas_v7:7:180268520:180269038:1 gene:gene-LSAT_7X106781 transcript:rna-gnl|WGS:NBSK|LSAT_7X106781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKVTILVLLIVSLHVQKIYTSKIDLISDSRFLTEAYTLVSQTGIFKLGFFRSGNSENKYVGIWYKKISVQTVVWVANRHLPLPSASSDTLRIISPGNIVLIDETNDVVWSSNTTSSVNAIAQLDDTGNLIVKERIKEKFLWQSFDYPTDTLLPGMKLHYKDMELCRRHLSR >cds-PLY66014.1 pep primary_assembly:Lsat_Salinas_v7:3:255636194:255636652:-1 gene:gene-LSAT_3X140060 transcript:rna-gnl|WGS:NBSK|LSAT_3X140060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSKHLIHLPYMISQSHSHGKKPPVPKGCLAVKVGQGEEQQRFVVPVMYFNHPLFMQLLKEAEKEFGFAQKGTITIPCNIQEFRAVQGMIDQEYGFHHHHHHQLGGCFRIGF >cds-PLY62404.1 pep primary_assembly:Lsat_Salinas_v7:5:309605732:309608739:-1 gene:gene-LSAT_5X168581 transcript:rna-gnl|WGS:NBSK|LSAT_5X168581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIAKDIKQRLSDTDTKAEPTTIKINQADGGGGNGEAAQKSACCGS >cds-PLY68613.1 pep primary_assembly:Lsat_Salinas_v7:2:72443291:72445452:-1 gene:gene-LSAT_2X32900 transcript:rna-gnl|WGS:NBSK|LSAT_2X32900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGEIGFHIQEEILRMLPVKSLVRFRCVCKAWRCLIDSSYFLAAHTVGQDQWQHLLVRYLVRGRPHYICVVDDDTFPRQRSVPTLPLSIKLLTRSRVVGSCNGLVCLDGYYDDPDKSQKPMVVLWNPSIRKSIAIAVPVLVSGMNHWLDETTFGFGVCPATNDPKIVMIPQLAPLHEKSSKIDDPREVMVYTLSSGKWKRLSNSSNVPSKAVRVRRDVQVIDRFIYWSGSHLMAEYGCSWSRWISNMILSFDMTNDTFEVIDLPDSLALRLPTKVSISKLRESLVIFEMCSVSLWMMENGVQKSFTKLFTIIAQQYWSIRALGLGKSGTLIMEVKDVCYGESEIVVYECNSQSFTPIMEVKNVCSEESEINSQRFSALEIGGIRSFSTANSYTETLLLLGRSDLDCSSY >cds-PLY62439.1 pep primary_assembly:Lsat_Salinas_v7:3:196638070:196639261:-1 gene:gene-LSAT_3X117340 transcript:rna-gnl|WGS:NBSK|LSAT_3X117340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTENIAKRAISIAAHIKQTLRSFTAKRSSSSFSSVKFVVGKKRTNDYKAKRYIDQPVEVPSRTTTEEYLMKLDEALEIKPSHQSKKELVCQGIVRTTGWTTISEDEYCVTVVVHRPMKVSLTVIDHRIGVIWSSCYMSRDRMAQESAMRNNGLTKVLLVMQSNCSDTERQIGASLIIATNNKA >cds-PLY91351.1 pep primary_assembly:Lsat_Salinas_v7:8:45497852:45498989:1 gene:gene-LSAT_8X34840 transcript:rna-gnl|WGS:NBSK|LSAT_8X34840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane ascorbate ferrireductase 3 [Source:Projected from Arabidopsis thaliana (AT1G14730) UniProtKB/Swiss-Prot;Acc:Q67ZF6] MDYSFPRETLWRTASKITISAHLFGILANIFMLIWLLHYREGMDLDSSNPYRIFNVHPFLMLFGFIFLIGEAMMAYKTVSADRQTQKFVHMFLNLSALVLGIVGIHATFKFHDKMNMIDMYSLHSWIGIGTFCLFILQWLFGFSLFVFPKASAVTRARLAPWHVFGGRALLYMAICAAETGLMQKFTFLQLTNNRESYLINFLALAILLFGITVDISVSLGRFA >cds-PLY76400.1 pep primary_assembly:Lsat_Salinas_v7:8:85993260:85998752:-1 gene:gene-LSAT_8X61061 transcript:rna-gnl|WGS:NBSK|LSAT_8X61061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 homologue [Source:Projected from Arabidopsis thaliana (AT2G11000) UniProtKB/TrEMBL;Acc:F4IRD3] MEENINNGVEEQESANHEPTSVPVLNPSIPSGEQTVWADVASLLDSACNDLQDGELIHGDNFNLFAAMSALEIMDPKMDSGIVCRYYSVDEAIEDGAAPIPLSLNKTVDVHCMIDVMDHMLSCEATWHKGHSLAQTIFSCIYLLRPDRTSSHALLHSYCTVIRSTCNAVISAVSDSRTHEEEDLFTITSGLPLKRDGDEKCLSMLNVIEETISRQLHACKSPSHKKRSIEDIEPLQTNLDLEEGYCKAVLCRLRFRKHFYHVLVCLRRPQGRGLELARKHIASCLVELDSILKTEEFLRANNRNGMWEDGMESEDSTTASGCQPIGFDSTLNSRLSAPTPPRAIKVFCWKKAVEYFQKLLHDLDIVCSHPIDPLHEGALRFVVEFQKLQPDLVARSHLQLLLVQDGKLFGRDPIFTMICKAAAVPELAKNLDIQKNESFVHLCQLAITLLKILCTNAAWQRRQLGKVLQDWRIIHMQLEIALRKEFEESSSTSLDENLCMKIYKNILNWVEEQTYWIAFRFLILGFELELYAPSEYCMVYWYIYVILIKLGEKMHLRMITNSETAKRKGKKKRESMKDVAREPAIPPAVLLLQCQIYLAEGLTLMISALRNEHGVFQCRGPFNSEQERFIQHFELLQKACIPDHVSYYTFRDYTAHSQFDTLIMCNYFKEAQRIAKELRGSFVNDKNKLTELRHIEQVAEHNGIALNLVSRLGSLDPSLKVSFEFNHHPHFATAVVKRS >cds-PLY85141.1 pep primary_assembly:Lsat_Salinas_v7:9:146532991:146533293:-1 gene:gene-LSAT_9X94081 transcript:rna-gnl|WGS:NBSK|LSAT_9X94081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLWIADIVPRAVTSPLPFHLPHTLSSSSSGVRCLSSVLLQPPSLPSASGSRCGVTTIAESRCFPLSRATIAPAAVPFRCRPPSAARRQVGAVQSRVRSC >cds-PLY87879.1 pep primary_assembly:Lsat_Salinas_v7:3:44405512:44409267:-1 gene:gene-LSAT_0X9321 transcript:rna-gnl|WGS:NBSK|LSAT_0X9321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENNPAPAPAPTPLKPLTPPEWESLIDDHQYGGYRRDRWISLNYTGISLIDLCLSSISRKDLPLNLKLHLIVFLEEYFTSFFPSPESDTETENTLARFLETLRSVINSPVDGVSITYSLKEQLLVSTTSIFILSTIDNSEDSKTPCLTYTSQLEGLIEVLLTVVNRPNHGVDRQLRGSACECLRELERECPSLLSETAGHLWTLCQSEKTHVAQSYVLMLASVVHGIVISKVNVSILTTSIPLIPFNVPQFLIGGGSTRENSVLPIKELRRVMSFLLEWPPFLTPFGLFEFMSIIMPVAVALELQASLLKVQFSGLLYTFDMLLCHAFLGMYLKFPEAFSGQENEVISRLLLISRETQHVLVFRVLALHWLLGFMGIVMSTRKVIKEKIFATALKFYPSVFDPLALKALKLDLIAYCSILLDMSRVADANGNGQMVSDVPVVKLFEDGLESVSGFKWLPPWSTETSVAFRMFHKLLIGASSHSDNGSSTRTLMESTIFHASERMLVSMTLNSQGLIPVIVAFVNRLLRCHKHHVFGERLLQTFNNHLLPKVKVDYRLGSYFPLFEKIAENETVPPGGLLELYGKYMRILVQKHGPDTGLRSWSQGSKVLVLCRTILMHHQSSRFFLGLSRLLAFTCLHFPDLEVRDNARIYLRMLLCVPGNKLRHLLTTGDQLPGIPPSSHSTSFFNIQSPKLSLDSKKSKHISSYIHLDRVKPLLVKQSWSLSLSSFNISNNNNLEVIRDNDTSSWQPETPNSINNNNTDNTPIIPAIEGPNEPLRVTDSKVSEIVEILRRHFSSIPDFRHMEGIKIVISCNLSFQSEAFNRIWGGPEPDLLPALYATVLKFSSSAPYGSIQPYRIPFLLGGPTKKNTPDSLEIVPAGNGHIKEDDNFKSPVLIELQPQEPTPGLIDVSIESNTENGQIMCGHLHSVTVGIEDMFLNAIVPEDVDKDSIPGYYIDLFNALWEACGSSSSTGRETFPVKGGRGVAAVNGTRSVKFLEIPARELVRGVERNLARFVVNVVGDSLIDVVKDGGVLSDVVLKDSGDLDVVVADLNVSEGPLYLEYGENGNENGGYLNKRKMGCFYVLIFLPPMYHLLFQMEVGVGSTLVRIRTDHWPCLAYIDDYLEALFLA >cds-PLY96343.1 pep primary_assembly:Lsat_Salinas_v7:1:28046823:28047263:-1 gene:gene-LSAT_1X23021 transcript:rna-gnl|WGS:NBSK|LSAT_1X23021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEALDTTNKLQKRNISFSIWPPSQHTRDAVRKGLVDTLSTTSILSKHYGIVPTEEATVVAELIEDEAFVVASASASSASAEDDDIEVLQAYSKETSKRMLDHMKSRSAPPETTSTLEEESCVTTANSASNDDESSTVEVAESQTI >cds-PLY87426.1 pep primary_assembly:Lsat_Salinas_v7:MU040443.1:63906:67331:-1 gene:gene-LSAT_0X33640 transcript:rna-gnl|WGS:NBSK|LSAT_0X33640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDEYAKLIRRMNPPRVTIDNNSSPDATVIQVESVNKHGILLEVVQVLSDLDLVITKAYISSDGGWFMDVFNVINPDGSKIKDEGVVNYIKKVLESDAFYVPSLTGSVGLKPSEDYTVIELAGIDRPGLLSEVSAVLTNLGCNVVNAEIWTHNARAAAVVHVTDEKTKTAVEDPKRLSTIKKLLCNVLKGNNDLKTGKMTLSTPGFTHRERRLHQIMFAERDYENVGRVEQEEKENRWRPQVSVLDCAEKDYTVITMRCRDRPKLLFDIICTLTDMQYVVFHGVVHTGKMEAYQEYYIRHIDGSPVSSEAERERVMQCLEAAIERRTSTSEALELELHTADRIGLLSDITRIFRENSLCIKRAEISTTQGGKVKDIFYVTDMTGNTPVDQKTIDSIQKQIGQQASLHVRWNSNQSPEPPPEETRMSFLFGNLFKTRPFHTLKLA >cds-PLY78093.1 pep primary_assembly:Lsat_Salinas_v7:1:6111205:6115770:1 gene:gene-LSAT_1X5181 transcript:rna-gnl|WGS:NBSK|LSAT_1X5181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase SOL1 [Source:Projected from Arabidopsis thaliana (AT1G71696) UniProtKB/Swiss-Prot;Acc:Q9M9H7] MANLNPSLLLFLSLNLLHLFHTVFARGGERDSGFVDITYAASGRHLSEESHFQKLNKLAHGYMTNSDLEKAIKAFHGRCGRISRIYSIGKSVLGVPLWVLEISNNPGKEEAKPAFKFIGNVHGDEPVGRELLLLLSNWLCDNYMKDPLATLIVDNVHLHILPTMNPDGFSLRKRGNANNIDLNRDFPDQFFPMNDDLNERQPETKAIMRWLKEIHFTASASLHGGALVANYPWDGTDDRSKSYFACPDDETFKYMAKLYSGSHFNMSQSSEFEEGITNGAQWYPIYGGMQDWNYIFADCFELTLEISDNKWPPANELRMIWQHNKRSMLHLVASIVKSGVHGRIFSSDCGEPLPATVAIKDINYTIKASERLADYHRLLAPGQQYEVIATMAGYKTRTTTITMKEEAVTLDFILEPDTHFLPNQCSSKSKSKSKSKSSLKISELLPKSQLEVSLTLVVVLGFLFFLMKRKAIINHFKLRKSQQQRRVSAV >cds-PLY85898.1 pep primary_assembly:Lsat_Salinas_v7:2:190078625:190080702:1 gene:gene-LSAT_2X112060 transcript:rna-gnl|WGS:NBSK|LSAT_2X112060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSLSWHWHLSVLLAYNKWDVTRLNFTNASALVAAFQPFLELGGMTLTSLGQMEPWMMVTRIEDGAKLVGYGFEKKLKILAIKDDVKGQQGLFKIRHRAQESDRHRGQKLLGFGSDVYR >cds-PLY79958.1 pep primary_assembly:Lsat_Salinas_v7:4:250032094:250033925:-1 gene:gene-LSAT_0X36661 transcript:rna-gnl|WGS:NBSK|LSAT_0X36661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFIRNSHLSWSVLVVLCMALLVSFCEARVHRGKGSGFVRTKGPNFVLNGSPFLFNGFNAYWMMNVATDPSERMKVTQVLQDAANAGLSVCRTWAFADGGDKALQISPGAYDERVFQGLDFVVAEARKYGLRLILSFVNNYKDFGGRQQYVNWARSSGVQINSDDDFYTNPIVKGYYKNHVQRVITRVNTITRIAYRDDTTIMAWELINEPRCQADYSGRTVNVWVQEMASFVKSLDRHHLLEVGMEGFYGDTMPERKQINPGYQVGTDFISNNLVRGIDFATIHAYPDQWLSGQNEESQMAFMQRWMWSHYQDSKTILKKPLVIAEFGKSSKDPEYNINKRDSYLNAVYRNIYMMARTGGTVGGGLVWQLMVDGMASYCDGYEITLSENLSTSNIISQQSQAMSTLSQLLRIASENVPSGKNHKLQLDHIKGRRSSKTNHHHHHHAHNQKAMP >cds-PLY78396.1 pep primary_assembly:Lsat_Salinas_v7:9:9617911:9620592:-1 gene:gene-LSAT_9X7521 transcript:rna-gnl|WGS:NBSK|LSAT_9X7521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIKVFILAVVLGVFIWILSLSSATAGEASTCLTVYKEGGAPAAVNKSTRCQTATRQGRRKYMEDRTFCTLNIRIPFPHHNGTRDTPVGIVAVFDGHNGAEASEMASNLLLKYFMNHAISFLSNTSTSMGMLPDMREVEHDYANSHNGRNMVTLSTMFDGDGAFQLEILKESLVGAIVDIDSAFSEEASRCNFTSGSTATVVLMADGQILVANVGDSKAFLCSQTFQSPSEAKATLMRLYKKKREDGVSVRIKDFGLTLTHFSAKELTKDHHPDRPDERSRVESAGGHVLEWAGVSRVNGQLAVSRAIGDVSYKKFGVISVPEVTDWQHLTRNDSYLVVASDGVFEKQSPQDVCDLLMELRNTSSSSSSSSSSSSSYSLADSIVDTAIGRGSMDNVAAVVVPFGLKTQPSHGCSEVRLQTYVDEDEPVWMKDNSSRIYVDEDVNSRLLELYC >cds-PLY98326.1 pep primary_assembly:Lsat_Salinas_v7:7:170604051:170604535:1 gene:gene-LSAT_7X100581 transcript:rna-gnl|WGS:NBSK|LSAT_7X100581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCLGLSEAELQEIEGLGLSELEDVGIASQITVEELPTNHVLGDEERMNGEDGIDEPGMGEVMTNEERMDGEREIPSTQQLNQVRKRTTKRSKVNQVRRRKPSERITEIQLLAPFGFGKMLID >cds-PLY96337.1 pep primary_assembly:Lsat_Salinas_v7:5:192464117:192464479:-1 gene:gene-LSAT_5X86041 transcript:rna-gnl|WGS:NBSK|LSAT_5X86041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHTKKLLDTHHCYLLDCGAKIYVWVRRSTNLEERKAANGAAEEHLRVHERPKSNIIRMIENFETVSFRSKFDSWPPLSDVVASKDGRGKVAA >cds-PLY80256.1 pep primary_assembly:Lsat_Salinas_v7:6:124391007:124393478:-1 gene:gene-LSAT_6X74480 transcript:rna-gnl|WGS:NBSK|LSAT_6X74480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELAKVKEANESMEMELVELGTNLVEMETLVESRTCELLEVRKELEMTQALAEENEAIATESKQVPAFEEQIHSNVVVAYAMDLLDLVQKLGLVIDLTNTSRYYSMNDWKKEGIKLFEKMKEQTNEGKFVKEVEVIYGSDLDTSVYGSGFPRASDERPSPLGQNGKSAGGSINVI >cds-PLY84152.1 pep primary_assembly:Lsat_Salinas_v7:6:190697660:190701576:-1 gene:gene-LSAT_6X116201 transcript:rna-gnl|WGS:NBSK|LSAT_6X116201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPIPLLVTATKLAGVLVTITVAANAVSFSRYRKRNLAPFDSPIDETSDTLAIFNVNCSDSDDEEEESFFFGLATAPAHVEDGLNDAWLQFAQDEVVNKQDPTTDTDTVMASATGDGGSQQAAGPLKRKKSLKIAMEAKLRGLVKYIEDEESTSEEQHNVAAWHNVPNPEERLRFWSDPDTELKLAKDTGVKVYRMGIDWTRIMPKEPVNGLKESINYAALERYRWIISRVQSYGMKVMLTLFHHSLPPWASDLGGWKSEKTVEYFMDFTRVVVDCVFELVDYWVTFNEPHVFCLLTYCAGAWPGGHPDMLEAATSALPTGVFYQSMHWIAVAHSQAYHYIHEQSVLLNPIVGVAHHVSFMRPYGLFDVAAVSIANSMSLFPFLDDISEKLDFIGINYYGQEAVSGTALKLVESDEYSESGRGVYPDGLFRVLLHYHERYKHLKIPFIITENGVSDETDLIRRPYILEHLLAVYGAMMMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRFNDLARIPRPSYHLFSKARNNSKITRQDRDKAWYELQKAAREKKTRPFYRGVNKHGFMYAGGLDEPLFRPFIERDWRFGHYEMEGLQDPLSRFARFITRLISFRRNKTEEDEEIEYEQLLFQPA >cds-PLY71476.1 pep primary_assembly:Lsat_Salinas_v7:7:190133680:190136929:1 gene:gene-LSAT_7X116081 transcript:rna-gnl|WGS:NBSK|LSAT_7X116081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKFGVFNEDWKWLLLESLWCSVAAKKTASGFSGFIRRHWPNVCYAFGRLGNLLRFSMIQWKDCLLRGCKSVFDLGTAALFIIIWSCFLSLTSMSCLLYLLLSMVTAVCAVRYLGYTPGLLMVGLFTILILRMYANFWIAGSLLIFGGFLFSLNHTRFVVLVAMVYALYYVKHQVGWSGVLVSINLAFLSCDALTFMLQWCDNLSEKSETTQFEEPKVPESFLEDDITESKFRVNGEEDEHEQVNSCKSSSNKPTFMNKEKKESKSTISIPVVKTHENEVNEMERIISCVDHYETLGFTRYDKIDAILLKKEYKKKAMLVHPDKNMGNPLASESFKKVQCAYEASISILSDSIKKRDYDDHLRNQDSKTLFHKSASSSHQETSDYFSDESRRIHCTKCDLMHIWVCTNRSKSKARWCQDCCQYHQAKDGDGWVEYKGSLGSDQSQKMEIPRAFVCAQSKIFDVSEWAICQGMACRPNTHRPTFQVNMVGLEKSQKCKSNLYPWDLDAQMTDEEEEFDLWLQQAVASGLFCESSKSRKNWTPFKFSPKKVKQQWTRMSRWSH >cds-PLY84793.1 pep primary_assembly:Lsat_Salinas_v7:8:25017610:25018122:1 gene:gene-LSAT_8X18781 transcript:rna-gnl|WGS:NBSK|LSAT_8X18781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFNDWGNGKNCKNPNVDLKGKSGNKAPENLHYIHSSCLVVERNSFFSPVVLSSNISVDPQPATIPEEKPSVEATLGPTLAMTEAPVAQLKLTTTVAILVQISSPPANSVVPLSATIASPIGFLGDYSWMSDIEVSEESLSHPPDFSEKIYKFNDMSWRVHLIGILLWMK >cds-PLY81319.1 pep primary_assembly:Lsat_Salinas_v7:4:37666951:37668986:1 gene:gene-LSAT_4X25140 transcript:rna-gnl|WGS:NBSK|LSAT_4X25140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPVALSITVLSISLCCAYLIKFFYKVWWKPIWIQSKMRSHGINGPPYRILHGNTKEIMNIKKSTDRIPMEGLGLHIGPKVQPHIFSWINTYGNTFVRWNGPKPELVITDLEILKEVMNDKSGAFPKDDVQGYFKILLGDGLVVSNGEKWVKMRKLANHVFHAHSLRDMTQAMVASVEVMLEKWKHYEGKEIEVYEEFKLLTSDIISRTAFGSSYVEGKDIFQMLRKLTTIIARNAYKTRLPFKTRDDAESKMIDQMMRESIMDIVKRREKEARGEVDNFGNDFLGSLMKANQSFDHGYKVTIQDIIDEFKTFYIAGHETTTGLLAWSIFLLAVHQDYQEKAREESFKLFNKTNPNSDDLSRMKMITMIINETLRLFPPVVSLIRKSKHDASLGALKIPGNVRLNFPILFVHHDTRIWGEDAHLFKPERFSQGVAKATNNNPAMFIPFGLGPRSCV >cds-PLY61813.1 pep primary_assembly:Lsat_Salinas_v7:6:57783335:57784074:-1 gene:gene-LSAT_6X43520 transcript:rna-gnl|WGS:NBSK|LSAT_6X43520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMLKYCYELGVKYVTIYAFSIENFKRSPDEVQSLMDLMEEKIKGLINEESIVNQYGVRVYFIGNLKLLSKPVRLAAEKAMEATAKNSRAVLLICIAYTSTDEIFHAVLESCEEKRDKKGEKSMIDVTDIERHMYMGVTPDPDIIIRTSGESRLSNFLLWQSGNCLLYSPPVLWPEIGFRHLVQAVLDFQKHFCYLNNQKKIWSDV >cds-PLY74876.1 pep primary_assembly:Lsat_Salinas_v7:8:107600460:107602196:-1 gene:gene-LSAT_8X73521 transcript:rna-gnl|WGS:NBSK|LSAT_8X73521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKKKSPKSSLKLHSSHVSPLKFEKLFDPEAFWDKDQLGDVLHWIRQIVALVCGLIWGSIPLVGGIWIVVFLLISSGIIYGYYAIILKVDEEEFGGHGSLLQEGLFASITLFLLAWTLVYSLAHF >cds-PLY84101.1 pep primary_assembly:Lsat_Salinas_v7:6:191238827:191239366:-1 gene:gene-LSAT_6X117520 transcript:rna-gnl|WGS:NBSK|LSAT_6X117520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLEISYMHILFLAFLTTSFPFPTKGDLIDDICTEIPDEKSLCLDTLRTDPRSTTQNLEVLAQISIDAAIKDAKGLPSLVKSLVNKVSDPGVKARVSGCVIDAQIALANLIHVKQLVDSKFYVAANENARAANDQLSICENSFQLQPPAIEPVELTQASNLLEALVSILIIVTNHML >cds-PLY65963.1 pep primary_assembly:Lsat_Salinas_v7:4:135976398:135977706:1 gene:gene-LSAT_4X84561 transcript:rna-gnl|WGS:NBSK|LSAT_4X84561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSALFSHNVSFTTTQFSNPNPKSYKLNNRRTLTVSALGADTFDGTTLAVIGGGSVAAIAAAISLADPEKRRQLQAEEVGGGDKEVVREYFTNDGFQRWKKIYGDTDDVNKVQLDIRIGHAKTVENVMKMLTDEGSLRGVSVCDAGCGTGLLSIPLAKEGAIVSASDISSSMVSEAQKKAKEELQGKDDLQMPKFEVSDLESLNGKYDTVVCLDVLIHYPQNKADGMIAHLASLAENRLILSFAPKTLYYDLLKRIGELFPGPSKATRAYLHSEADIERALQKVGWKIRKRGLTTTQFYFSRIVEAVPA >cds-PLY74293.1 pep primary_assembly:Lsat_Salinas_v7:3:126858099:126860135:-1 gene:gene-LSAT_3X87201 transcript:rna-gnl|WGS:NBSK|LSAT_3X87201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEGGGDAAEVVLVIREYNHKTDGDRVEQVESSCEVGPNGELSLYTDLLGDPICRVRNSPAYLMLVAEMVSYGGDGAEERKEIVGMIRGCIKTVTCGEKMSRNKSGEPPKHVPVLTKLAYILGLRVSPSHRRMRIGLKLVCGMEEWFRENGAEYSYIATDDANEPSVGLFTGKCGYTKFRNPSVLVHPVFAHRLPVSNRISIIKLTPSDAESLYRHRFSTTEFFPRDIDSVLNNHLNLGTFLALPKGYIWAGSDHFFKNPPENWAVMSVWNCKDVFKLEVKGASKLRKGFAKTTRVLDRIFPFLRLPSLPKIFSPFGLHMLYGLGGSGPMYTRFAKGLFGFAHNLAMECKCGVVATEVSSEDPLKLAIPHWKVLSFTDLWCIKRLGEDYSDGSVGDWRKSQPGLSIFVDPREF >cds-PLY84773.1 pep primary_assembly:Lsat_Salinas_v7:5:230443059:230444764:1 gene:gene-LSAT_5X110740 transcript:rna-gnl|WGS:NBSK|LSAT_5X110740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFVVFIFALLLKVSTALSSQDINHLFSTVLIFGDSTADSGNNNYINTPLKADHPPYGEDFPGKIPTGRFSNGKLVSDFWASLLGIKQTVPPFLQLNISDFDIRTGVNFTFVGSGYNDMTAQISQVITVTKQLYCFKEYIKRLKKVVGIKVEGALVSISVGTNDFMISYYDLPSRGDDFSMDDYQDYILKKLQNFVNPDPTEVKFTPVRISKSEILSCRNGGTVCFIPSRDAQKSDTSFPFENRPVGIFPGKSSPYGGWSAFNGGIYVIVVARXVWGRLSMGVFM >cds-PLY68089.1 pep primary_assembly:Lsat_Salinas_v7:5:292772950:292773460:1 gene:gene-LSAT_5X153860 transcript:rna-gnl|WGS:NBSK|LSAT_5X153860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKNLQLTFPEVVWDPDPFLKMTLQELVGMKGEYAAKKIEEEMLGATVHVVHEDSAVTVGYRLDQVKLILDSSETVVAINLIIAKL >cds-PLY99109.1 pep primary_assembly:Lsat_Salinas_v7:8:203912979:203913798:1 gene:gene-LSAT_8X130841 transcript:rna-gnl|WGS:NBSK|LSAT_8X130841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTIALSALMLYLFFAGQCGGSLLSLLDHHPDPIGSSLLSDLIRSDLFSNPFRELEQIPFGIEQDDEVIVLPARVDWKETRNSHVITMDVPGMKKEELKIEVEENRVLRVSGERRREKEKEEVEKDGGGDHWHRCERSYGKFWRQFRLPENLDLDKVNARLEDGVLTISIGKLTPDQIKRPKVVSIGAGEPSALLSDSETKSEL >cds-PLY65991.1 pep primary_assembly:Lsat_Salinas_v7:4:140023367:140024419:-1 gene:gene-LSAT_4X85921 transcript:rna-gnl|WGS:NBSK|LSAT_4X85921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLVPFPSGGDDNRSSTPSAKTPPLSRDSLPINSPSSPIPRDPVKLTARSCLYEICAKSRWKRPVFTCCNENGPTNLRSFTYKAVVEMKEEDSKSWILVECIGKPQFNKKNAADSAAEGVLWYLVHLGYPKKA >cds-PLY66313.1 pep primary_assembly:Lsat_Salinas_v7:5:288638769:288639217:-1 gene:gene-LSAT_5X153320 transcript:rna-gnl|WGS:NBSK|LSAT_5X153320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCNSLISHHQHQLPNFCSSSVTLLKSPKIGNCLFKRFQDFAHQFFLSESHSSVPPLISSNGFQPAREGLNDEEDKFLKSGGSDLKFTKLALGAFS >cds-PLY90479.1 pep primary_assembly:Lsat_Salinas_v7:2:7341941:7344106:1 gene:gene-LSAT_2X3440 transcript:rna-gnl|WGS:NBSK|LSAT_2X3440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHRNLVQLVPDEVKKMWNEWALRGLILISLSSQIILSLLGNIRKYNPRTRIRITLWCNYLLAYAVASAALGIITRTALDVCNTSHSISQHHKANSSELMSFWAPFLLHHLGGPDTITAFALEDNELWLRHLVEIGFQSGVALYILLLSWPGCSHLPQLSLLVYLSGFIKCFERVQALRLANTEHLRDSMLGPADPGPNFPKFLDDLHLKKSQGFNVKVESVPEPPVPPVDHAYPQEGKEISIAHDLFQSFKRLFVDLILTFEDRDSSLSYFRHFQSGDAFRIVEIELGFAYDMLYTKASVVYTIMGLILRLTSVFLLFLIPVGFYFLNDRNHYHRIDVAITSLLIGATIFMEIFAVITMLRSDWTDHWLSQRNYTRKSLVFPFLKQPTKKRWSGSIAQLDLLSVALEEKPASLLAIQKFFGVDKYRVKHRYKTYMKVSDDLQDLIYRQFHEFMGGNSDSDPKVLCTHKGSFALRKNKCDALLWSINKVEFDQSILIWHIATALCYYAEDEDKDHVGNIDIHRRESKNISDYLLYLLVSYPVMLPIGIGMIRYRDTCAEAMRFFKEKGPTFATKSEACRKMLEVDCLEVLPSTVKGDRCKSALFDGCRLALTLRSEMERDKMWKVVSQVWIEILAYAATHCRGFHHEQQLRKGGEFLTHVWLLMAHLGITEQFQVSQGHARARINVL >cds-PLY96561.1 pep primary_assembly:Lsat_Salinas_v7:4:368852307:368854660:-1 gene:gene-LSAT_4X181061 transcript:rna-gnl|WGS:NBSK|LSAT_4X181061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDQKMFSSEVELGKFLGSTDVIQDAYRAISQTSSTHHLHPTRSGFNVLAFNSLSDYSILIINSALDLVTPENHKDLHFLSTKVNPECSINKAAIELFEQHFDELLELLKQHKDSPLVVTGHGLGGYLAILFALLHQHAVDVEESKGLKTAKRPICITFGAPLLGDETLRRAICERPQWSSCFLNVVANTDTLASVFSSKTLYKPFGTFLFCTESGGHTAFEDQETILAVLDDIASSNGGNYQTHDYTNELGLIRRKILYRGPSEFGGFNLTPLTAGIMLQFQEIGLLKDDSQDLIAKTKEKRAKMIKTKRMVNAYEPTKKLNEMKISLTYMEWYMKGQRSRGGYYDSFKNAETKTIEDIRSQQEIIRNQRILSQYWKKTIEEKELMPQKEGAKLRKRWLYGGTNYRRIVEPLDIADYYKKGNTNYIESRPNHYVLLERWSEDDKKDQKPSDKTKAASLTEDSCFWAHVEEALISLRDLKNGGLDDITTSLKTSSLKRFEEDILCAIKAFSLSPEVFVPGSSLMKWWIDYKVFKGIGYHSEFAEYMNNERYTEYQ >cds-PLY82912.1 pep primary_assembly:Lsat_Salinas_v7:6:94868288:94874547:-1 gene:gene-LSAT_6X67861 transcript:rna-gnl|WGS:NBSK|LSAT_6X67861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNVQSYKTQFHFLYCSTANFNLPRFPFIRNPNVISPFSLKLRFSIPNTRSRNGLCSLVYATKSNTDMETGLLREEIERPPFDINRAVILAGFAFEAYTTPTENIGKSEVDAAGCQTVILSESFLREIYDGQVFIKLKKGYDFPAMDPWGTSDPYVVLQLDSQIVKSNVKWGTKEPTWNEDLTLYIKHPPTIDLQVAAWDANLVTPHKRMGNSIVSLESICDGNSHEVVVELEGMGGGGKVQLEVKYKSFETIEEEKNWLRIPFVAEFLRNNGFESTLRKVIGSEPVQASQFVEYAFGQLKSINDAYIQRDNSNVSNAQDSTISTDIDSLESESNRKDLNSLESESNQKDLNSVESESNQKDVMISDKNASQSDKDFWKTFGDTISENVVKQLGLPAPDKIKWEGFDFLSKIGLESQSAAEAGYIEAGLATPSSSQDSVNNDEKSNNNNNSSAITAIQSAFPDIKKATQELLKQTDSILGALMVLNATVTELTKDDSKKEDFDKPVLDEKKAEEMRALFSTAESAMEAWAMLATSLGHSSFIKSEFQKICFLDNPVTDTQVAIWRDSARKRLVVAFRGTEQVSQLMDEDEDDGGDEVSKWHVYVTGHSLGGALATLLALELSSSQLAKRGAVDVTMYNFGSPRVGNKKFAQIYNEKVKDSWRVVNHRDIIPSVPRLMGYCHVAQPIYLAAGDVENALESMELLGDGYEGDVIGESTPDVLVTEFMKGEKELIEKLLNTEINIFRSIRDGTALMQHMEDFYYVSLLENVRLNYRTNTKSVDGGSSSVKQV >cds-PLY85493.1 pep primary_assembly:Lsat_Salinas_v7:3:42278872:42282409:-1 gene:gene-LSAT_3X32341 transcript:rna-gnl|WGS:NBSK|LSAT_3X32341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHDSDLPCDGDGCCMICKINPPEEEKITCKTCVTPWHLDCLSARPQTLADAAQWECPDCSNLITSPPPSNVTSGSEPSGALVAAIRAIESDVSLSDQEKAKRRQQLLSGGGSDDGDKPADDKIEAAGAGGTDVLKILSSSFNCSFCMQLPERPVTTPCGHNFCLKCFEKWVGQGKRTCVVCRTSIPPKMASQPRINSSLVIAIRMARMTKSNAASGPPKAYFSVLNQNKPDKAYTTERAKKTGKANACSGKIFVTVPPDHFGPITAANDPERGMGVLVGETWEDRMECRQWGAHLPHVAGICGQSEYGAQSVALSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKTQSFDQKFDKSNEALRVSCKKGYPVRVVRSHKEKRSSYAPEKGVRYDGVYRIEKCWRKPGIQGYKVCRYLFVRCDNDPAPWTSDEIGDRPRPLPVIKELKEATDIADRKGPPSWDYDEEKASWLWKIPPPGSRKHADTGGMEAGKVPRTVRRKTHMMSVSERLLKEFCCLICHNVMNFPLTTPCAHNFCKSCLENAFSGQTFIKERTCEGRRTLRAQKNVMKCPSCTNDISEYLQNPQVNRELMSVIESLQRQTKEAEENAGSGEENEKCDVMEEDKDEEVKDMMSLEVDEKVEESKADVKDEMMEEGDVGRIVSDDSAVKENDNKESIEKASPKSKANEAIEKASPKGKANKKRKAAGVTDNTPKKKLHEEESASVKPESTETKDLKVDKEGKGGNKTPKKKKNGDGKFAASPSPSSPGANTRSKKAVA >cds-PLY99242.1 pep primary_assembly:Lsat_Salinas_v7:6:185388683:185392787:1 gene:gene-LSAT_6X113580 transcript:rna-gnl|WGS:NBSK|LSAT_6X113580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLDKEHSGILDINMEKVRTILTHTYPYPHEHSRHAIIAVVIGCLFFISSDNMHTLIQKLDNNIKWWSMYACLLGFFYFFSSPFVGKTIKPSYSNFSRWYIGWILVAAVYHLPSFQSMGVDMRMNLSLFLTIYISSIFVLLVFHLVFLGLWYLGLVSRLAGRRPEILTIVQNCAVLSVACCVFYSHCGNHALNEKRLGRRDSGLFSLWKKGETSIWLSKFLKIYEFKDEICKSWFAPVGSARDYPLLSKWVIYGEFSCSGPCESSDEISPIYSLWATFIGLYMANYVVERSTGWALTHPLSVEQTEKLKDEQMKPNFLDMVPWYSGTSADLFKTVFDLLVSVTVFVGRFDMRMMQAAMSRVHEGAKEDFLYDHLSVKDHLWFDFMADTGDGGNSSYSVARLLAQPSLYAFNDESLLSLPRGDLLLIGGDLAYPNPSAFTYEKRFFRPFEYALQPPSWYKDEHIAVDKPELPSGVSELKRYDGPQCFVIPGNHDWFDGLQTFMRYICHKSWLGGWLMPQKKSYFALQLPKGWWVFGLDLALHCDVDVYQFKFFAELIKEKVRETDSVIIMTHEPNWILDWYWDDVTGKNVSHLVKDHLKGRCRLRMAGDLHHYMRHSRVPSETHDSVQHLLVNGCGGAFLHPTHVFSNFDKAYGATYEMKAAYPSVEDSSRIALSNILKFRKKNWQFDFIGGFIYFILTFSMFPQCNLGHILHKDDTFSGHVKNFFSTVWDAFMYMLGQSYVSSTGALLLLVAAISFVPSKVSRKRRVAIGVLHVSAHLSAALILMLLMELGVEICVQHKLLATSGYHTLYEWYKSVESEHFPDPTGLRTRIEQWTFGLYPACIKYLMSAFDVPEVMAVTRTNICKNGLNSLSRGGATIYYASVFLYFWVFSTPVVSLVFGSYLYICINWLQLHFDEAFSSLRIANYKSFTRFHIKEDGDLEVFTLAVDKVPKEWKLDPEWDNEVRQPQMASHNRKYPSKWRANAFHQDPINTVRIVDQFEIQPTYKLQVAAVNGSMSR >cds-PLY93178.1 pep primary_assembly:Lsat_Salinas_v7:MU039129.1:7459:10868:-1 gene:gene-LSAT_0X23901 transcript:rna-gnl|WGS:NBSK|LSAT_0X23901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVVPPPKRSDPLSGSTNGQDNLRIYQTWKGSNIFFLQGRFVFGPDVRSLVLTIFLVVAPVSVFCVFVARKLMNEFEEHWGISVMVVAILFTIHVLVLLLLTSGRDPGIIPRNAHPPEPEGFDGNAEGSNQTPQLRLPRIKEVEVNGVTVKIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVFSFCWIYIKRIMDSEDITLWKAMIKTPASIVLIVYTFISVWFVGGLTAFHLYLISTNQTTYENFRYRYDRRANPYNKGVLENFGEIFCTSIPDSKNKFRAYVPKEPGLGGRSVGGGFVSPNMGKAVEDIEMGRKAVWGDGGAGVDSQLSDNEGLNIKDGGIGEMSPEIRTQVDEGDRANIIHARRSSWGRKSGSWEMSPEVLALAARVGGGGGGSTSGPAAVKL >cds-PLY78644.1 pep primary_assembly:Lsat_Salinas_v7:9:49662154:49664512:-1 gene:gene-LSAT_9X45640 transcript:rna-gnl|WGS:NBSK|LSAT_9X45640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARIACHHIVALPLKSSFLTKTSSICFSETSLSIGFRRRFSSNSKISMSLKAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDSRLQVLSNLSKSQKSVPASIEFVDIAGLVKGASQGEGLGNKFLSHIREVDSILQVVRCFEDNDIVHVSGQVDPKSDIDVINLELAFSDLDQIEKRIEKLKKSKSNNSQVKAKEEAEKSALERIQEALLGGKPGRSVSLTEFEKESINHLCLLTMKPVIYVANVAESDLASPENNPHVKNVMELASELQSGIVTVSAQVESELTELPSEERIEFLTSLGVDESGLGNLIRATYNLLGLRTYFTSGEKETKAWTIHAGMTAPQAAGVIHSDFEKGFIRAETVAYDDFVAAGSLSAAREKGLLRSEGKDYVVQEGDVMLFRFNV >cds-PLY79541.1 pep primary_assembly:Lsat_Salinas_v7:1:33612657:33614867:-1 gene:gene-LSAT_1X28920 transcript:rna-gnl|WGS:NBSK|LSAT_1X28920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSRDLTMISTATIAGAVATAFAIRFFVNPRKKLSAGNAASDVVLQSNSSSIDPYNPSKRNGYLSWDDYFMAIAFLSAERSKDPYRQVGACLVSQDGIILGIGYNGFPRGCSDDKLPWSKKSKNGDPLETKYPYVCHAEVNCILNTNHASAAGQKLYVTMYPCNECAKVIIQSGVSEVIYFVEKSLENADVIYVASHKLLSLAGVKVRRHEPRMNQILLRFSQT >cds-PLY97669.1 pep primary_assembly:Lsat_Salinas_v7:8:7993656:7995871:1 gene:gene-LSAT_8X6220 transcript:rna-gnl|WGS:NBSK|LSAT_8X6220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVTALPCCHALSSGSPLPSTAGAKNKLSKPYISFNRTVRLLKLSSSNTSRNNWRFSCFKNDESSSEYSASETSEDMIPGEMVEPETKQPNVNHDWVLNFKKASDAVFTAEPWTVPWTAKTILQVMLLWIASFWLVGSWIIPFIAHTAGFRKESLTYRGQALYSLLTDIVEGISGIAILKLCLAKFYPLSPDWFRFSLKGKWQLDVGLGCLMFPLVNRLSQVNLNLLPLPPPTPVAISNVEQSIVARDPMAMALYAIVVSVCAPIWEEIVFRGFLLPSLTKYMPVWCAILVSSLAFALAHFNIQRILPLVFLGVVMGTVYTRSRNLLPSMLLHSLWNAFVFVDLMR >cds-PLY90100.1 pep primary_assembly:Lsat_Salinas_v7:6:16987334:16989409:1 gene:gene-LSAT_6X7801 transcript:rna-gnl|WGS:NBSK|LSAT_6X7801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRVPPSRRKLKPIHILFSFLFLFITAVEFSCASAQHLLTSEQTTLLNLKQQWGNPPSLRNWNASSPPCNWTGVHCNDNGSVEWFELISKGLTGHIPPFICDLPNLRNLYLSDNFLTGEFPTVFYNCSKLVEIDIAQNGFFGRFPDDIDRLSGLMWIDVGGNNFTGDIPPAIGNLSALMYLSLDNNSFTGSIPSEIGNLSNLETLDLSSNDLEGEIPSGLLVLKKLDSLTLYKNNLSGRIPSVIQSLDLIEIDLSMNKLNGSIPKDFGKLQQLEVLNLFSNHLSGNIPTSISQIPTLKNFRVFKNNLDGELPQEIGFHSKLETFEVFENKLTGKLPENLCGGGTLLVVAAFSNNLTGEIPRSLQSCYSLDSILLQDNSFTGEIPPGIWTLANLSSLMLTGNFLSGELPSTVSWNLSRLEISDNKFSGQIPDSISSWTELNVFKASNNLLSGEIPTSFTSLSQLSVLHLDRNSLSGELPSEIKSWSSLTTLHLARNKLSGPIPQAISYLKGLLDLDLSENQFSGEIPQQMSRLRLSTLNLSSNKLTGRIPFAFDNLAYENSFLNNPDLCASASSPISNLHNCYTKSPHSQKSSPKIIAMIVVLSAFIILVAILCTMIVYRLYLQKKHKHIVDLTAWKLVEK >cds-PLY91379.1 pep primary_assembly:Lsat_Salinas_v7:8:45598051:45600499:-1 gene:gene-LSAT_8X34901 transcript:rna-gnl|WGS:NBSK|LSAT_8X34901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANSSSHVASFVPFVFTIFLIFNIAKAQSNVTRGSSLRPTGATTSWLSPSGLYAFGFYPQTGGYAVGIYIAGIAERTVVWTASRETLPLSNNATLRFTTDGRLVVDQPQGQQISISDTRGIGATVASMQDSGNFVLYDSDRRTILWQSFDHPTDTLLVGQRLVPRQALLSCVSETDHSIGIFKLSMQSDGNLVQYPNLGFPNGPSTAYWASGTFDKGPNVTLNLDSDGFLYLLQNSTFYIRNLTKGGYPREDAIYLMKIDVDGIFRLYFHNLSNTSQNESSFIWASSTDKCRGLGLCGVNGYCALMNEAARCRCLPGFDFVNPESWSSGCKRNYTAETCKIQDGNEGNLSQMMTTLKNSRWEDTAYALPKASNQQECSLACMKDCNCEAALFTGDSCRLQKLPLRYMQVRENEPNVGFIKVYLSSVSNGSDPTIYTSIEVKKVRQVMFFVIGVSIISFAILILLLSGVIMWRSHVWAYKKISEHVNVQLFEDVGLRAFSYAELEKITDGFKEELGRGSFGIVYKGIIESSMKIVAVKKLKQELAQEGEREFQTEMKVIGRTHHRNLTRLLGYCCDHGPERLLVFEYMTKGSLADILFDPKSKPSWSERIRIALDIAHGIFYLHEECETPIIHCDIKPQNILMDEYGNAKISDFGLAKLLEHDQTKTSTLIRGTRGYVAPEWHKKLPITVKVDVYSFGIVLFEILCCRRKLDNNLPSDEAILQDWVYECYETNELFKLVNDADVDRKTLERMIKIGLWCTQEDPSLRPSMKIVVLMLEGTVKIPVPPNPTSFLSVI >cds-PLY97176.1 pep primary_assembly:Lsat_Salinas_v7:2:206223267:206225048:-1 gene:gene-LSAT_2X127600 transcript:rna-gnl|WGS:NBSK|LSAT_2X127600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKPSSSKVILLRYLHHRHSKALSLPKPPISSTPISQIISRNPKLNPWIPSCRLPSLLPSSVSKISQNPSHKLSVETNLTRNFLGFRYISTGSSNLGGKLDMNLLKSKIKEPGAAVKNAFSRYKQAVGLQMEAFWRRNSMVLWGAGGVLVCILLWRIMFGIANTFIGLSEGMAKYGFLALSSAIVAFTGLYLRSRFTINPDKVYRMAMRKLNTSADILEIMGAPLTGTDLRAYVMSGGGVTLKNFKAALRSKRCFLIFPIRGAEMKGLVSVEVKNKSGQYDMKLLSVDIPMATGPDQRLYLIGDEEEYRVGGGLISELRDPVVKAMAAAKEFEARDIKEDKEDAERELEEAERKHREEVEKIQREAS >cds-PLY63625.1 pep primary_assembly:Lsat_Salinas_v7:4:133659180:133660682:-1 gene:gene-LSAT_4X83541 transcript:rna-gnl|WGS:NBSK|LSAT_4X83541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 27 [Source:Projected from Arabidopsis thaliana (AT5G50870) UniProtKB/TrEMBL;Acc:F4KAG5] MVDFSRVQKELQECNRDINVSGISVNPKSDNLTNLIGTIPGPIGTPYEGGTFKIDINLPDGYPFEPPKMKFATKVWHPNISSQSGAICLDILKDQWSPALTLKTALLSIQALLSAPEPDDPQDAVVAQQYLKDYQTFASTARYWTESFAKTSSLGVEEKVQKLVEMGFPEGLVRSTLEVVGGDENMALEKLCSG >cds-PLY89327.1 pep primary_assembly:Lsat_Salinas_v7:7:177631793:177632839:1 gene:gene-LSAT_7X105121 transcript:rna-gnl|WGS:NBSK|LSAT_7X105121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NAD] regulatory subunit 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G35650) UniProtKB/Swiss-Prot;Acc:O81796] MAKRTLPILKQQLHRPSPSVPSPSRSVTYMPRPGDDAPHTITLIPGDGISPLVTGAVEQVMDAIHAPIYFEKFDAHCDMKAIPMEVIESIKKNKVCLKGGLNTPMGGEVSSLNVQLRKELDLYTSLENCFNLPGLPTHHENVDIMVIIENTEGEYVGIEHEVVPGMVKSLKPRRPWFKPGGVSSP >cds-PLY78956.1 pep primary_assembly:Lsat_Salinas_v7:3:70513931:70514861:-1 gene:gene-LSAT_3X55500 transcript:rna-gnl|WGS:NBSK|LSAT_3X55500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAFKQKKDQVRKSGGKRILIKINVVGSSGPIRFVVNEEEVVAAVIETALKSYAREDRLPVLGTKFSDFFLYTPVAGTEALGPWEMIGTFGVRNFMLWKKPGQSEGGAEITRKRSGRWKSWLSRSLSLKANQ >cds-PLY91164.1 pep primary_assembly:Lsat_Salinas_v7:4:161142085:161143101:-1 gene:gene-LSAT_4X97060 transcript:rna-gnl|WGS:NBSK|LSAT_4X97060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKELQCTARNHPQAVPITVESRSETDKYEPNAFQMPKKRRRRGPRPGVLITQVHQHARSPNFHDDFNFEVNEETFASGSSSAPPPPENDAASIKLAMILSFQDSIPQSRGKGICIGSEKDLLIGKLDVKVSELEMENSQNNKHISELQANLGEVRTFYFDLKVKLNGKFGDEFKSSSSMSGKAPATSERVVVRPAPNFNIYQYLSSCPATTKERMEKHKKVSVLKKNKMLLMNNSDQNATAINLKCSSKKLGKANLLIDTTIVQVFACRDLKMTRTCGL >cds-PLY68036.1 pep primary_assembly:Lsat_Salinas_v7:5:292936018:292936375:1 gene:gene-LSAT_5X154060 transcript:rna-gnl|WGS:NBSK|LSAT_5X154060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVKKPMAMVLLLTIFLLISYSYAQGGYSGDPIYKSDPFPGSGSGGKYPTPP >cds-PLY66228.1 pep primary_assembly:Lsat_Salinas_v7:8:223899715:223900046:1 gene:gene-LSAT_8X137261 transcript:rna-gnl|WGS:NBSK|LSAT_8X137261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIGGSVAINQPRAREMQSISGSLFVLVFSSNRGIIEERSWCCSCVCTGSIDWKRTRKNWLVASFCLLTTSLPVDVFFG >cds-PLY84002.1 pep primary_assembly:Lsat_Salinas_v7:8:38882486:38883779:1 gene:gene-LSAT_8X30381 transcript:rna-gnl|WGS:NBSK|LSAT_8X30381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQSASTTLLKINVNQNLMVDLDSLKYNPAIRPLIKCLNHSPLIRVLTMFENVPVSHLSMVYSTSIYDSALDMINFMVHGHQTSITKVKFCNLLGLSSSNHGIHLDSVAISSIIVGFHQIGYTSGLSLISKLNKSALPPISNALFTILFKCLSKRVTDFDSASKLFYTLTFGLFTGRILIMEYLFGLNLLKVPIDNVIIKAYREIPNFGIRVQATLDVVDIPKRGGNRELKIVGGVSKKPKQQRKSKSKPTLFDEKSEDITQSDVRGDDVLFDEEDIAHTSDVPLKTSKSIAKTATPMVCTPPSSSILTPPESYVFEQVLNELFINLSQTLVPLPSKTTSVPIQTNITPLLKSISESTSQP >cds-PLY65037.1 pep primary_assembly:Lsat_Salinas_v7:1:119715638:119722480:-1 gene:gene-LSAT_1X91840 transcript:rna-gnl|WGS:NBSK|LSAT_1X91840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLFELPKGSSPSSSTHGHIISTCGPRSSTQDDRYDVFLSFRGVDTRLSFTNYLYEALIDANINTFLDDEEIETGEDLKPELESAIKASRASIIVLSKNYASSTWCLDELVMILEQRMSSDHIVIPIFYHVEPTHVRKQQSSFGDAMAKHKQMMKVETNPIKINQWAKKMDRWSKALVEVANLKGKDVKGRFESDLIEEIVKDIYRRLRIPLGSVRSFLIGMEYHINFVTSWLKDGSSHTTDILTIYGIGGIGKTSLAKHVYGLYSREFHTSSFIEDITRKCDEQFNGLLDLQEQLCNDISKTSSIKFHDVSIYTAKIENALARKKVFVVLDDISTLVQLEALLGSKGFHPGSKVIITTKDKWLTESCELFKRNIKPKHVKDFLEGLHEIKSRQLLCSHAFMCNHPKAGYEEVTDKLMKYCQGHPLALEVLGKSLYNRDVAYWEGCMEGLKKEISSPINNVLRMSFDTLPSNNDKELFKHIACFFVGTDRDVSETILEACDINTRSGITNLIDRCLLSIGPNNELKMHQLVQEMGRFEVRQESLDKPWKQSRLWCHKESFRVLKQKKGKGNLLGLALDMRMLEKEKLGASFELKTDALSNMDSLMLLQLNYVHMYGSYENFPENLRGLCMHGFHLKSIPAELPIVNLVGLDMSYSNIESFVSCYSNPQRLEKRQKVDGSCLKEKILFASLKILNLSFCKQLHSVSDFDQLPVLERLILRNCIGLLDICESIGQCVELVLIDLSYCKKLEKLPRNIGKLKKVKTVLLDGCNLDESRIKNMDVDSLEIFTVNNIRINKRTSFSSFVGSIPHDLKSFAMSLPRSLVRLSLANNKLSHESFPTDFSCLSMLRHLYLDGNPIISMPSCVRTLPRLEILSMENCKKLKSVEYPPRTLRELSINPFDVHYVKKVVFDPEMCPLKLSVNWLNYLSSVDCTRGSYEIEGVVKIQPMASVEEKVLHRLGWTNLDFLNETRVGTNSSESKIEMMIYEFAIFSTLYEAEEMPSWFRHRSVGPSISFTIPSSSSPSPNNLTGLNFCSIQTSEPSAECFYLSYGQFPITPMMTISNITKNRRWIYERYRDKFSEGLKCWVMLSHWMFGMNEMEAGDHITITVTEPYGEVIKECGVSLVYEDGEKKDEEEDVLGYYKSWNHIIGGDLSPFQTTTGEYLLNNTRFFETGIELYPYHRKVITDGPNFQVICLNGSMLTD >cds-PLY94877.1 pep primary_assembly:Lsat_Salinas_v7:2:180693943:180699968:-1 gene:gene-LSAT_2X103701 transcript:rna-gnl|WGS:NBSK|LSAT_2X103701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLASSSFHGRPYSHFAHSTTSRDNKPPISSYFPLTKPHSIPSVCAFLLSRRITSNRFKIRALNRESNGDSVAEKEAIPFFPHRHSVKIPVGDRHIIVETGQIGRQASGSVIVRDGETILYTSVCLSDTPSEPSDFFPMSVNYQERFSAAGRTSGGFFKREGRTKDHEVLICRLIDRPLRPTMLKGFYHETQILSWVLSYDGLHPPDSLAVTAAGIAVALSEVPNTNTIAGVRIGLIDDKFVVNPTTMEMENSKLDLLLAGSESGILMIEGYCDFLPEEKLLQAIEIGHDAVRGICKEVDNLVKKCGKPKMLDSIKLPPPELYKHVEEIVGDELVKVLQLKSKIPRRKALSLLEEKVMCILTEEGYVSKSETSVGTEITPDMLEDEDEEEEFVVDGEFDEGDVHIKPVSKKPVPLFYAEVDVKLVFKSVTSKYLRKRIVEGGKRSDGRSSEEIRVIDSECGLLPRAHGSALFTRGETQSLAVVTLGDRQMAQRIDILAGVEDVKRFYLQYSFPPSCVGEVGRIGAPSRREIGHGTLAERALEPVLPSEEDFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPLKSPIAGIAMGLVLDTKEFGGDGTPLILSDITGSEDASGDMDFKVAGNDDGVTAFQMDIKVGGITLSTMKHALMQAKEGRKRILAEMLKCSPPPSKKLSKHAPLILVMKVKPEKVNLIIGSGGKKVKSIIEETGVDSIDTQDNGIVKITARDMASLEKSKAIISNLTMVPIVGDVYRNCKITSIVPYGAFVEIVPGREGLCHISELSPDWLSKPEDAFKVGDIVDVKLIEINEKGQLRLSRKALLPVPEGSTDGAATKESGSGSPQKYTERSKFRRMGDGTGEETSSGQRKDKSPAPEGMFIKRYVGSGSRKSEQKTSTTKPDTPAAASASNESGNTLVNGEAQVNG >cds-PLY66687.1 pep primary_assembly:Lsat_Salinas_v7:6:78816273:78816622:-1 gene:gene-LSAT_6X54621 transcript:rna-gnl|WGS:NBSK|LSAT_6X54621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNVWPSASSSSPLPLSSMVRKMPSRFSIHRHRPTSYRSGIGITGTLLPLPFSIISDFILASHQSIFSAFIEEGPIILVYVDSSQQSDVVVDDF >cds-PLY80098.1 pep primary_assembly:Lsat_Salinas_v7:5:147715269:147717266:-1 gene:gene-LSAT_5X64841 transcript:rna-gnl|WGS:NBSK|LSAT_5X64841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFRLLSLGLCFLVLFHGGLAQIQPPRKQQTRLSGLSECQIDRITAREPNRVVESEAGVSEFWIPEENDELECAGVEAVRHTINPKGLLLPYYPSAPELAYVVRGEGIQGTVLPGCPETFETSFPSEGGKGEFFDKHQKVYRYKEGDILALPAGVVHWTYNDGDRPIVTIVLRDTSNVANQLDRTFRKFFLAGNSQSQQGQQGGRGQQQRGQETRWEVPSEGRAQGGKRRDEPHRAEGGRRRGQQEQEGSNIFNGFDDQILQDVFNVDYETVRQLKGQNDNRGFIVQADNFRVITPEKQEQGRERQRSSPSRGKSGRRGGGGANGFDETLCSAQLSANLANPTHADVYNPRGGRVSSLNSHKFPVLDLLQLSAERGILYKNAIHAPYYNLNAHSVIYVTSGSSRLQIVKNDGSAVFDDWVREGQLIVVPQNFAVVKKAGEEGCEWVAFKTNDNAITSQLAGRFSFVRALPEEVLVNSYEISREQAKSLKYNRQEAVVLSPRSTSPRETAKNALLNVLFG >cds-PLY71975.1 pep primary_assembly:Lsat_Salinas_v7:5:121473670:121476029:-1 gene:gene-LSAT_5X53681 transcript:rna-gnl|WGS:NBSK|LSAT_5X53681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVSFEYQCNTTDGYDIPTGKKLVRNNSSFTCLSGAAISANATLANTNICNGLISSEILPSIDSPKSFRRIPSSPSFSKLDLLSSSFQSSMSNLSCSPSTPENLDFDSFLSKPLSLPSRSEDFLNAIEVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTFYETIRFNLNSLDIDYSNEFTNGFDDYFLGDGDMGVVPRRPKNQVLESLQRALNQAENDFLFMVEQEMEDRPDIVSVGSCVLVGLIHGKNLYILNLGDSRAVLATDDDENENKNKINEFTGLKAVQLTDSHTVDNEFERSQLISEHPDDPKTIMHGKVKGKLKVTRAFGVGYLKKKVLNDALMGILRVRNLLSPPYVSVQPSLYMHEISSSDHFVIFGSDGLFDFFTNDEAVKLVHSYISTKPSGDPAKYLLEQLVLKAACSAGFSTEELMSVPAGRRRKYHDDVTVIVIILGTNKRTSKASTCI >cds-PLY73676.1 pep primary_assembly:Lsat_Salinas_v7:5:207318724:207319357:-1 gene:gene-LSAT_5X96620 transcript:rna-gnl|WGS:NBSK|LSAT_5X96620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPNQFDIIVDIITMGNLVVTDIDHKIMLQVKSCDTSFHQQRVLVDADGKPIVLMRGKIMSEHDRWNVFRGNSKSKSDMIFTTQSAHMIQFKTNVQVFLAKKTGKKNVCDFKINGSWTNRNCTIYMGDTSTPIAQMSKMQSSEDVTNKF >cds-PLY97494.1 pep primary_assembly:Lsat_Salinas_v7:1:194546287:194553653:1 gene:gene-LSAT_1X126941 transcript:rna-gnl|WGS:NBSK|LSAT_1X126941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLDPKAKSGVRGKGEVSRGSLDPKCLAPLGENYFGESIYKVLQPNVVATHTAKSAQVYQGHPFIIEAGVSLGGEYFKQGINIFRFANRVPLLLEQDADVVTTTAMKRIKWKRYKINKMLEKIGVFVNIISTKIPFKGTGMEYIGDDISEIAEAVKTCLEDCCNQLKSLIIKQNMDFYIDQVSTAHANIPEIRRQLNGSDTELVTKEKLAQDLHEQAKRAGLI >cds-PLY90761.1 pep primary_assembly:Lsat_Salinas_v7:3:36167511:36169475:-1 gene:gene-LSAT_3X26640 transcript:rna-gnl|WGS:NBSK|LSAT_3X26640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAELHCFEASWKNFSLQDLESATNNFSREYLIGEGGYSEVYKGHLEDGQLIAVKRLTRGTPEEMTSDFLSELGILVHVNHPNIAHIIGYGIEGGMHLVLPLSHHGSLASLLSGHNNQLEWGVRFNIAIGAASGLSYLHEGCQRRIIHRDIKAANVLLSEDFEPKISDFGLAKWLPDQWMHLTVSQLEGTFGYLAPEIFMNGLIDEKTDVYAFGVLLLEIITGRPAVDESQHSLVMWAKPLIASKNFQELLDPQLSGACDMDQLNCIVSIASLCINHIPTERPKMSQVYRMLNGDEGILNSKRRFLKRTAFRRGSSVELYAEDYDPEDLLQPDDLNQQNQIALEL >cds-PLY61792.1 pep primary_assembly:Lsat_Salinas_v7:5:81390631:81403714:1 gene:gene-LSAT_5X37140 transcript:rna-gnl|WGS:NBSK|LSAT_5X37140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPTNSYIEKQSNNQKIPFSNVVITRTRNCFWGREWNTVDIYMAILYTFLHLLALCAPFAFTWDAFWIAIIGNLLTGMLGITLSYHRLLSHRSLKLPKLLEYTFVYFGLLAAQGDPIYWVSVHRFHHKYVDSDNDTHSPINGFWFSHMGWILDSGYLLEKHPTYKNVEDLTNQMFYMFLQKTYIWHVLGCGALLYTWGGFSYLVWGMGVRTVWFSHITFFVNSVCHIWGNQAWNTGDLSKNNWWVGVLAFGEGWHNNHHAFEYSARHGLEWWQIDFTWYIIQFLETVGLATNIKVPTDAQKRKKSFAISDNTYK >cds-PLY68017.1 pep primary_assembly:Lsat_Salinas_v7:8:248732092:248735156:1 gene:gene-LSAT_8X147201 transcript:rna-gnl|WGS:NBSK|LSAT_8X147201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHYWSPSLDVDHEFEKLVNRMHPPRVTVDHITDKKSTLIKVDSANKRGSLLEVVQVLTDMNLLIKRAYISSDGGWFMDVFHVTDHNGKKLSEDNVADRIQQSLGPRGCSFRSLRGSVGVKYASESTTIELTGRDRPGLLSEVFAVLADLKCNVVAAEIWTHNSRMASIVYITDDETGHPIDDPDRLSKIKQLLFYILKGDLDMQGAQTAVSVESTHTQRRLHQLMYADRDYDLSDGTSGTRNGLVTVENCAEKGYTLVNVRCTDRPKLLFDTVCTLTDMQYVVFHATIIAEGAEAFQEFYIRHTDGCPISSEAERQRVIHCLEAAIKRRVSEGLRLELCGEDRLGLLSDVTRIFRENGLSVTRAEVTTRGSKAVNTFYVMDSSGSQVKSETIEAVRNAMLVETETMLNVKEEETFRKSKVSGQESGGGFSLGNLFRNRSEKFLYNLGLIRSCSEVA >cds-PLY68340.1 pep primary_assembly:Lsat_Salinas_v7:8:298518646:298521235:-1 gene:gene-LSAT_8X164760 transcript:rna-gnl|WGS:NBSK|LSAT_8X164760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene response sensor 1 [Source:Projected from Arabidopsis thaliana (AT2G40940) UniProtKB/Swiss-Prot;Acc:Q38846] MMDSCDCFETQWPADELLVKYQYISDFFIAFAYFSIPLELIYFVQKSAFFPYRWVLMQFGAFIVLCGATHFINLWTFSSHSKTVAIVMTIAKLSTAFVSCVTALMLVHIIPDLLSVKTRELFLKQRAEDLDREMGLIIKQEETGRHVRMLTHEIRSTLDRHTILKTTLIELGRTLDLEECVLWMPSRKGMILQLSHSLHNLIPFASTVPINLPIITEVFNSAEAIRIPHNCPLARIRTPVGSYIPPEVVAVRVPLLHLSNFEIDNWPDHSAKSYAVMVLILPLNGVRKWRDHELELVTVVADQVAVALSHASILEESMRARDQLMDQNFALNVARQEAEMAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETELTPDQRAMIETILKSSNLLATLVNDVLDLSRLEDGSLELESEVFNLHGLLREVVRLINPIASVKNTSMTLNCDVDLPNFGVGDEKRLMQIILNVVGNAVKFTKGGHVSIQASVLNPEYLQEWQTPEFCPTFTQGLFYLLVQVKDSGSGIKQQDIPHIFTKFSEPRSASNRSGDGAGLGLAICKRFVDMMGGHIWIESGGLGKGTTVAFLVKVGECNYQNDLSIVRPKARTRPHQGSGELIKHRGDESYRGGGTASFPAHDHNSF >cds-PLY83574.1 pep primary_assembly:Lsat_Salinas_v7:5:17953128:17954292:-1 gene:gene-LSAT_5X8261 transcript:rna-gnl|WGS:NBSK|LSAT_5X8261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGILACFGVMVPFPFYYWLWNHPQTWVNLCGKGRDPSKIMAMVSHFLKLVQFASLFSVSTFSWPPPLYFWPLFLLGQFLNFRVYQLLGESGTYYGVRFGKNIPWVTEFPFGTINDPQYVGSIMSLVACIPWVPFLYIFLWVLGYIFMIHVESKEDPASRANPLS >cds-PLY68540.1 pep primary_assembly:Lsat_Salinas_v7:1:174489823:174501877:1 gene:gene-LSAT_1X115820 transcript:rna-gnl|WGS:NBSK|LSAT_1X115820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVNRVGDFGLAPGISGYYSYLYAKYFASTLWERVCKEDPLSLETGTVIRKKLLQHGGAKDPTQLLNDLAGDGITRSYQNEGHGGGRNQQNRFQFMQNGLIDGHGGGLGSYPRPTARPPSPSLTAQRLIHEQQPSCVSLRVICVADREKEATMEEERRKVEEARIRRSFGFFCLLTYSRTGPVRGYLLLGPVRAFDYNTFYLLPGIGYALAKEFLKAGDNVLICSRSRRDRPFLPLSRYEKSLHLGSREVIVMDRSYTVHQAAALAYGALCAVLCSLLIGSNGRQNHVILGNLVDRFIGWALPLFSNINAGDGTVELAAEDQVFNSILSHKLMLSACVKVFIADYFFRSSLAESSTYADLKVEVILDKSMKINDITDAKIEATLFDINTNEGSNPLSNNVASLELQQPPHFPLGFHGYRLEGKLKNPKLWSADQGLLEGLEIAVKLLSKTSRQGLDEFKISYFGMARSFGGNETQAKVNTQRVFGT >cds-PLY96256.1 pep primary_assembly:Lsat_Salinas_v7:6:158220206:158221813:-1 gene:gene-LSAT_6X97640 transcript:rna-gnl|WGS:NBSK|LSAT_6X97640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:OGG1 [Source:Projected from Arabidopsis thaliana (AT1G21710) UniProtKB/TrEMBL;Acc:A0A178WC14] MITYMKRQRPLPSSPSITTATGEPILSKITSTNSTISSYYSSKKPRKALISDLKTPKRVTLNLNKSELFLPLTFPTGQTFRWKQTSPFQYTGVVGSHLVSLIQLQDANGTDVAYTFHNTPSSDQTAAKSALLDFLNMSICLNDLWNEFSASDQRFAELAEHLSGARVLRQDPLECLIQFICSSNNNIKRITQMVDFISSLGNHLGVVEGIEFYEFPSLDQLSKVSEEELRKAGFGYRAKYITGTVQALQSKPGGGAAWLASLRELELQNTIEALTTLPGVGPKVAACIALFSLDQHHAVPVDTHVWKIATRYLIPELAGTKLTPKLCSRVADAFVEKYGRYAGWAQTLLFIAELPSQKALLQSLTNQTKEATKDVKSQKG >cds-PLY62123.1 pep primary_assembly:Lsat_Salinas_v7:6:47231939:47234435:-1 gene:gene-LSAT_6X35560 transcript:rna-gnl|WGS:NBSK|LSAT_6X35560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENASSPSPPQKPTTLLTFPKALATSSSKIKHVIVDFAIKAKSIGVDDPRRIVHSLKVALAITLVSMVYYLQPLYNGMGDAGMWAILTVVVVFEYTVGATLCKGMNRGLATLLAGALGIGAESFASLFGTTAKPILLGCLVFVLVGAATFSRFIPNIKRRYDYGVLIFILTFSLVSVSGYRVERIIELAHKRLSTILFGGATCIIISMCVCPVWAGEDLHQLIAENLEKLANFLEGFGGEYFRITEGDKPSLASYKSVLNSKATEESLANFAWWEVGHGKFLFRHPWKQYLMIGALTRQCAYHIEALNGYLDRKTEAPSEFQKTIKEPCMKMSSEVGKAMKELGLSIKLMMHPSGSASAMHMESCKKAKEELNTILQTVMVGEHDISETIPVIAVTSILVDIINRVETISEAIEELAKQAQFKKSKNTKLDKKEKPNFLNDGGPPDPANGGKNRESVAITVASLNKG >cds-PLY72694.1 pep primary_assembly:Lsat_Salinas_v7:6:29090057:29090443:-1 gene:gene-LSAT_6X21740 transcript:rna-gnl|WGS:NBSK|LSAT_6X21740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSNITTIFTNSNTATTPISITTSITITASTFAFVASTAYVTTSSTTATSTTATTSITYTAITTATSTFFIAIMINIGDIGKDDGSGGAEAMIKVVAVVVKVAVNKDGSGNGGCGGNGGEGRGGGGGR >cds-PLY97525.1 pep primary_assembly:Lsat_Salinas_v7:5:239576134:239581851:-1 gene:gene-LSAT_5X119140 transcript:rna-gnl|WGS:NBSK|LSAT_5X119140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVYTIKVDDSRPAAGEMPSAGPAYRCIYAKDALMELPPGYDSPWDFFSESVKRNPKNPALGRRQIIDGKAGGYSWLSYQDAYNSAIKIASAIRTRSVNPGDRCGIYGTNSPEWIISMEACNCNGITYVPLYDTLGANAVEYIINHAEISLVFVQESKLAAVLSCVPNCSSNLKTIVSFGNVSGSQKKEAMEQGVDCFSWEEFTSMGNLDDELPAKHKNDICTIMYTSGTTGEPKGVILNNSTFMSEVLSVHQLLVETDKPATEEDTYFSFLPLAHIFDQIMETYCIYSGSSIGFWQGDIRYLIEDLLVLQPTIFCGVPRVYDRIYTGIMAKISTGGAVKKALFDFAYNYKLRNLEKGIQQDKSAPLLDKLVFDKIKQGFGGRVRLMLSGAAPLPQHVEEFLRVTCCTVLSQGYGLTESCGGCFTSIANVHSMIGTVGVPMTTIEARLESVPEMGYDALAPVPRGEICLRGNTLFSGYHKREDLTNSVLVDGWFHTGDIGEWQPDGAMKIIDRKKNIFKLSQGEYVAVENIESTYSRCPLVTSIWVYGNSFESFLVGVVVPDRLAIEEWAEKNGESGDFVSLCNNSNVRKYVLDELNSEAQKNKLRGFEMLKAVHLEPVPFDFERELITPTFKLKRQQLLKYYKDCIDQLYSEAKTSKK >cds-PLY82622.1 pep primary_assembly:Lsat_Salinas_v7:8:175195052:175195991:-1 gene:gene-LSAT_8X113741 transcript:rna-gnl|WGS:NBSK|LSAT_8X113741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAGVLLFAALIGVLAISTTARPCKTIFFITSSSSHYPTDDPFLPKPNFHILRNPNNSPRLTFFVTEIREFHRTRSLPRSASVFDRSIESSSSDDVVSSVPYYSATSTSVKASIRERTMDIMSIVGALLFGVGCGALTAATMYLIWSLFAPRRFDFGADSDEESDDEENGVRSNSNGYVAIPAVAKPVPPTADEVAAMK >cds-PLY66020.1 pep primary_assembly:Lsat_Salinas_v7:1:124212096:124213908:-1 gene:gene-LSAT_1X95241 transcript:rna-gnl|WGS:NBSK|LSAT_1X95241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVLSGQSAATMLGRLYFINGGNSKWMATLVQTVGFPLMLPFIFLFSPSKTPLEHHHQVTGKSSWTTLVILYTTLGIFLAGNCMLYSFGLNFLPVSTYSLICTSQLAFNALFSYFLNGQKFTPFIANSLVLLSFSSMLLVFQSDSKETGKISRSKYIIGFVCTIAASAGWGLMLSITQLALQKILKSTSYKVVFDITVYQSLIATIVILMGLFASGEWKDIKEEMWNFESGQASYIINLVGTAVSWQVFTVGYIGLIFEVSSLFSNVISTLGIPIVPILAVMFFDEKMNGVKAVSMLLAIWGFVSYIYQHYLDDLKEKENSRFVNLDREVNLIETRMTSQ >cds-PLY96546.1 pep primary_assembly:Lsat_Salinas_v7:5:336907978:336909145:1 gene:gene-LSAT_5X188760 transcript:rna-gnl|WGS:NBSK|LSAT_5X188760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSVRKQHNAGYKHKANVRIYYQQYEAQQNQYLIDQKVKEHLGQAAAYQQVGAAYNQLRPRLPIPMMPIPGNPQMPMNPSLIPGMRPLAPLPRPMPGYPGMPPMFSPPGTSSMPGQVNPSMPGPGQVNNGMPRPITMNAPGSSGTPTPTPASGAPPMFNPNMYQPNLNAPPSGGFETSNAPAQSQEADH >cds-PLY86837.1 pep primary_assembly:Lsat_Salinas_v7:1:16699883:16701447:-1 gene:gene-LSAT_1X14540 transcript:rna-gnl|WGS:NBSK|LSAT_1X14540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSWSFNSVVKAFVDDESLSTADAFEKDETGSIGWDVKPPFISGNNMGLFPNKINIENQLFGDIGFPPLMRNSLFTPAMAASQNAFSEGNMSSSKLQSNSRESELFDLKLGRLPDQREAQMVSHNLSPSEVSTTSKRPRDMGFSSSTPFCKVHGCNKNLSNCKEYHKRHKVCEVHSKTSKVIVNGIEQRFCQQCSRFHVLCEFDDGKRSCRKRLAGHNERRRKPHTGVLSEQHGRLFPSYNIKFEGNPATLFRIR >cds-PLY70798.1 pep primary_assembly:Lsat_Salinas_v7:7:113401058:113401306:-1 gene:gene-LSAT_7X70280 transcript:rna-gnl|WGS:NBSK|LSAT_7X70280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGDDDDETAHADENTIGEDTPIFDVGGDGYVVGSAGGDKVGGVGGDVDEDGEYIGSDAFVIASEKAGGGGDDIVDDENVLA >cds-PLY88287.1 pep primary_assembly:Lsat_Salinas_v7:6:126775179:126777985:1 gene:gene-LSAT_6X77500 transcript:rna-gnl|WGS:NBSK|LSAT_6X77500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEFDHLKIQLKDITEATDNFSESKRIGKGGFGVVYNGELSLPKGRTTVAFKRLDRRLGQGNIEFWKEIITLSKYRHENLVPLMHFCIEGDERILVYEYASRGSLDRYLNQISVTWSQRLKICIGAARALNFLHDPMETQQRVLHRDIKSSNILLDEKWTAKVSDFGLSKLGPANQPQTYLFSNAVGTPGYCDPLYLETGFLSKESDVYSFGVVLFEVMCGKLCYEYSNDKITILVPKWKKCYEEKRLDEIIFHGLKEEMGSSSLESFSSVAYKCLEKASEKRPMMAEIVKELEFALKQQEVFEDLGKKLDFEEMIRIAHLAVAPLSYKSQSQLYTLFLKGFLVGDGKTWISINNSGEVIEMICSKTCISEHQLQPYSTKDSRFLNVLLCTINNNFKVNVSTQFLLPDITYSVNLVFKHFGIDNGTYVPFKYKLHEERDYRNSCLAHVRDDGWLMMELYQFRSNCKEHNVRIEFVRPFRIASSFFMYILEGIEFHPVKHAT >cds-PLY92450.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:815912:818690:1 gene:gene-LSAT_0X10541 transcript:rna-gnl|WGS:NBSK|LSAT_0X10541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:root hair initiation protein root hairless 1 (RHL1) [Source:Projected from Arabidopsis thaliana (AT1G48380) TAIR;Acc:AT1G48380] MARGGNDKSGRSAAEQTNPEAEERKRLRKLAISKNLLSEAPAKASSLALNPSKTVIKHHGADVLRKSTRKNRFLFSFPGLISPISGGKLGELKDLSSKNPILYLDFPQGRMKLFGTIVYPKNRYLTLQFSKGGKNVMCEDYFDTMIVFSDAWWIGTKEENPEETQLSFPENMNMGQQKEYDFKGGAGSTSERIQGVTPSRVTQVKVKHQETPVHKLEEEFSDSENDLQDLSQKTPTRHSARTSGKNYKFAESSSADDAIDLDINSESSNEEENVTGGSPISKDPEETEVIEEATESSISATKVKDRGSLVQATISTLFKKKEEKMAIKEEKGKGVKGRRASGTKSTPRKKKAKDSSDEDWGA >cds-PLY77341.1 pep primary_assembly:Lsat_Salinas_v7:5:144984833:144988020:1 gene:gene-LSAT_5X65400 transcript:rna-gnl|WGS:NBSK|LSAT_5X65400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSTFAKNPGGVIKCKAAVASGAGEAMVIEEVEVNPPQPSEIRIKVVSTSLCRSDVTAWLSQAQPPLFPRIFGHEASGIVESVGEGVTEFAEGDHVLTLFIGECMNCKQCVSGKSNICQKLGLERKGVMHSDQKTRFFVKGKPIYHYCAVSSFSEYTVVNSGCAVKITKTAPLEKVCLLSCGTAAGLGAAWNVADVSKGSTVAIFGLGTVGLSVAQGAKIRGASRIIGVDTNPEKKDKAKAFGVTDFINPNDIDETVQQAIKRLTDGGVDYSFECIGDTEMINTALHSCCDGWGVTVTLGVPKTNPNVACHYGLFLTGRTLKGSLFGGWKPKSDIPTLIDMYLKEEIKIDDLITHNFPFKDINKAFDLMVAGKCLRCVIHMPNE >cds-PLY76275.1 pep primary_assembly:Lsat_Salinas_v7:8:33057986:33059477:-1 gene:gene-LSAT_8X26321 transcript:rna-gnl|WGS:NBSK|LSAT_8X26321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFSKCFRVGHSLLSSSIQRSSSSQEATCNNLLTQQIRTFIQMRTNLKVVDNSGAKRVMCIQALKGKKGARLGDTIVASVKEAQPGGKVKKGQVVYGVVVRAAMQKGRCDGSEVKFDDNAVVLVNKQGEPIGTRVFGPVPHELRKKKHVKILSLAQHIA >cds-PLY95519.1 pep primary_assembly:Lsat_Salinas_v7:5:82605286:82608373:1 gene:gene-LSAT_5X37340 transcript:rna-gnl|WGS:NBSK|LSAT_5X37340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNLLVPFSNSHGGNRKNLRKIPFSNVVITRTRNYFLGRKWQAVDIQVASWFIFVHLLALFAPFTFTWDAFWIAFVGYVVTGMLGVTLSYHRLLSHHSLELPKWLEYIFVYFGVLALQYQERNNVEDLKSQTFYIFIQKTYSVICLDVVRYCTHGVDLPTSFGAWWLGVLFFGEGWHNNHHAFEYSARHGLEWWQIDFTWYIIRFLEAVGLATNIKIPTEAQKHKKSFDTSYNPYK >cds-PLY89655.1 pep primary_assembly:Lsat_Salinas_v7:9:96683109:96683444:1 gene:gene-LSAT_9X72640 transcript:rna-gnl|WGS:NBSK|LSAT_9X72640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKEAYRKHLSETFNMNRTRILSFKNKPPTPTNAIPNNCSTSFQQSKPVKARRYIPQVINIHPFTKHISFQVINSVNTGSQVCALLWNKNERELLSSNGFTKNQPTL >cds-PLY98006.1 pep primary_assembly:Lsat_Salinas_v7:8:43201423:43201740:1 gene:gene-LSAT_8X32620 transcript:rna-gnl|WGS:NBSK|LSAT_8X32620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHIKGGLQAAESVDGRLNNEVYSEGRSSTLSKQTNELIYAIFQSYEELKTKRGEFDWGDLVNYLQNRFQEMEYASDYMDFVYIDEAQDLSMRSIILLKKICPGF >cds-PLY83403.1 pep primary_assembly:Lsat_Salinas_v7:8:605517:605759:1 gene:gene-LSAT_8X1401 transcript:rna-gnl|WGS:NBSK|LSAT_8X1401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNAPYFDYEAFPSAVEGYNGNSSTEKNKDTTDDVENKKDFGWAQGMLNETNQCPFLGMPLPNESYHHHPSRGHGHHHH >cds-PLY96732.1 pep primary_assembly:Lsat_Salinas_v7:6:76852724:76855006:-1 gene:gene-LSAT_6X56080 transcript:rna-gnl|WGS:NBSK|LSAT_6X56080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHITTPQVHPEVGWKRPRTKIVCTLGPASRSIPMVEKLLKAGMNVARFNFSHGSYEYHQETLENLRKAMENTGIQCAVMLDTKGPEIRTGFLKDGKPIQVTQGQEITISTDYSIVGDENMICMSYKKLAHDLKPGGTILCSDGTITFTVLSCDTEKGLVRCRCENTWTLGERKNVNLPGVIVDLPTLTEKDKEDLLKWGVPNNINLIALSFVRKGSDLVEVRKVLGEHAKNIVLMSKVENQEGVSNFDEILANTDAFMVARGDLGMEIPIEKIFLAQKTMIYKCNVKGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPDIAVATMAKICMEAENTIDYPLLFKKITSTAPVPMSPLESLASSAVQTANASQARMILVLTRGGTTAKLVSKYRPQIPILSVVVPEGKSDSGGGVSPSDEAPARHSFIFRGLVPVLCPPGSAKASTMESTEEALEFGLQHAKSKGLCKEGDAVVALHRVGSASVIKIINVK >cds-PLY97437.1 pep primary_assembly:Lsat_Salinas_v7:4:16456753:16458312:1 gene:gene-LSAT_4X10701 transcript:rna-gnl|WGS:NBSK|LSAT_4X10701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFQRFGVCVIVIIGLLSTIAQSIRFELESGHTKCIAEDIKSNSMTVGHYSIVNPNEGQPLPESHRITLKVTSSYGTSYHSSENVQSGQFAFQAVEAGDYMSCFYAIDHQPSLKIPIEFDWRSGVASKDWSNVAKKGSVDAMEFELKKLADTITFIHEEMFNLREREREMQELNKVTNSRMAWLSFLSLFVCLSVAGLQLWHLKSFFEKKKLI >cds-PLY97166.1 pep primary_assembly:Lsat_Salinas_v7:2:206001221:206002866:-1 gene:gene-LSAT_2X126620 transcript:rna-gnl|WGS:NBSK|LSAT_2X126620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVLKGYWSLRMEGVESKSQSQGWDTQIMAENVEGFQQCVKEILDLIKQDETFSEITAEIYDCKKLNLTKLVTELSRMHGELADHLIKEVNKNTHKFTTPIKIKTQQVDSSSSTSPQVTESSKKHEFKTPADFEFTFSSGGGFQISRSECSESSILKSSNSASASESIMCINKRLVSPVNDDALKVKETKVDDAEELLKNISVLEEELSNSNKKVQSLKDENALLETKVHDTEEVMKRISLLEEELSTWNKKVQSLEDENALLETKVHDTEELLKNISVLEEELSTSSKKAQSLLEDKNALLEVEKAKVAESTRRIETMGKVIEVYRTQVLTTDNEISKLKQELARKDIDQTQFLSLGQTITSLQQQLDSKQVRNDELHEKAIRFTADISKRDDEIIELSTKINQMRTVHAAEEDKLKTDITRLETEVREKCELVDDLNREHDAAVISCTDELKVKVVELEKEVEVVSEEKREAIRQLSFAVDHYMSAYKQLHQDFVSLNRRFV >cds-PLY96952.1 pep primary_assembly:Lsat_Salinas_v7:4:174232696:174234078:-1 gene:gene-LSAT_4X102800 transcript:rna-gnl|WGS:NBSK|LSAT_4X102800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDEIGVWMTCPMTKYLQDELSKRFNLFKSWEIPSKVEFFNQHSRSIRALVGNGIHGADSSLIESLPRLEIISSHSSGLNQIDLVKCKEKGIFVTSTPDSLTDEVADLAVTLTLATLRRICAGDRFVRSGLWKQRDFKLTTKVSGKSVGIVGLGRIGSAIAKRLEGFGCPISYYSRSEKPNSGYKYYPNVIELASNNEILVIACSLTEANHHIINREAIDALGPNGFLINIARGAHVDESELVSALVEGRLGGAGLDVLEHEPEVPQELIHLDNVVLSPHVGTCTHETRKAMADLVVANLVAYFSNQPLLTPVCF >cds-PLY83026.1 pep primary_assembly:Lsat_Salinas_v7:5:51785498:51793402:1 gene:gene-LSAT_5X25060 transcript:rna-gnl|WGS:NBSK|LSAT_5X25060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G73960) UniProtKB/Swiss-Prot;Acc:Q8LPF0] MAKPRKQKNEEQKAENSEAVVKHQKLCLSIDIEKRRIYGYTELEVVVPENGIVGLHADNLMIENVLVDGGPARFEIFPHYQQMDSDDRWCSVSSANSAADAAGSVYVSCLERELVPNLLIMCSNEVVKPVPEQPEQIVHENGTQTETEIQTETQTQTQTSGESKQNVKLIHIDYWVEKIETGIHIEKDIMHTNNQIRRARCWFPCMDDSSQRCCFDLEFTVANNLVAVSTGTLMYQVLSKDDPPRKTYVYKINIPVAAQWISLAVAPFEIFPDRHNNLITHICLPAHLSKLRNTVGFLHSAYSHYEHYLSTKFPFGSYTQVFIDPEMAVSSLSLGASMSIFSSQILYEEKIIDQTIDTRIKLAYGLARQWFGVYITAEAPNDEWLLDGLAGFLTDSYIKQFLGNNEARYRRYKANCAVCKADDSAATALSSSDASKALYGTQCIGFYGKIRAWKSVAVLQMLEKQMGPESFCKVLKNLVAPPKDTSRPLRSLSTKEFRHLANEVGNLERPFLREFFPRWVGSSGCPVLKMGFSYNKRKNLVELAALRGCTSTPESSNSKVESVKREGDAGWPGMMSIRVHELDGMYDHPVLPMAGETWQLLEIQCHSKLASKRFQKPKRGSKQHDGSDDNVDTVASAADMRSNNDSPLQWLRADPEMEYLAEIHFNQPVQMWINQLEKDKDVVAQAQSITALELFPRLLSIVNALSNLLCDSQAFWRVRIEAAFALASTASEETDWAGLLHLIKFYKSRRYDEKIGLPKPNDFHDFAEYFVLEAIPHAVALVRAADKKSPREAVDFILQLLKYNENNGNPYSDVFWLAALVQSVGELEFGQQSVSSLSSLVKRIDRLLQFDRLMPSYNGILTVSCIRALTQITLKLSEFIPLGSIIDLIKPFCSHKTQWQVRIEAFRALLDLEYHLKGIDAALTLFIRYLDGEPSLRGQVKLAIHAMRLCQISGDSDSDDDHGVVRETLVALLRLLESPSAFNNVTLRHYLFCILQVLAGRPPTLCGVPRDETLIKGHTEICNELKNFFAAIVNQSKPPPEHSLDTLTPPDDGFVSVPETTAEPIPDNDGIPPLEFAIPDPPKEEKYNSLVAENVVILDSSEPVKEPDTVSNSQERKKPVLKIKMKQSSASSRAEETDNVLPERSQGGHNGGDLGTSSSVSVSVEAPPPQQTQRNSNEGTNVINQNLEDVNSCHDVGSRVTASIGSAKLAVDGEGDALLKELQCTADSSKVQLNDVDMDIEMEKENPSKFISLRVLNETDDVSLMGPVLGSKEKKEKKKKDKEKEKEKKRKKKDHKDDPEYLEKKRLKKEKKRKEKELAKLMVITSSSIELKNKEKVRGEEVGPVGQLTNVATSLEDAGKRIEKTGGTTSTHKLKIKIKNRTLSRP >cds-PLY96052.1 pep primary_assembly:Lsat_Salinas_v7:8:21427601:21432945:1 gene:gene-LSAT_8X16621 transcript:rna-gnl|WGS:NBSK|LSAT_8X16621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTTGTVSRYAIPDQAEFGKVARLPEAMLQYIEKNSEVLIRHIKETDPLETGHPIQSSQPVRPTEGHTSSPARKKQIALNVKKTTGLGTKRKCETLPAPQAKRPTIGSQSSTSHVSLSSEEDDVSQKNGDIAHTPPPSSQKQTPGIHSHINLEATETSPSFHTTRLEKNLWGSPGHNTKDQGSQGRVFEPMLKSSSIPGKESCSMAHRPLQTGFFGISIGEAEVRASESESVRQARDEQERQKREEILRAEQERFSQIYYNNIYLYEQMLREQKIRDEMEKEESKKVKAVKHAEYRKKRKEVLMENKRKEEELKAKMQREQEEREKTEALREAEAKKEEERKELVRMLREEQQKREEEEWAQTSAKLLEQAMSLEPSTKTGMESAQDMEKDFVFTADDGLIQDSDSNSIMETLKPSKSTIFLATSETESQEAPKGETKTFPTTTVETSKPRRRTKWKKKKKSKYISRVEFNSLNQKLSQVLEVVNKIPPSKEKFVTKEEFGEMQKIVTSLAQSVPNLETREKMLRESFVKSTADALKKMEQKRTNDTFKYLDRMDDMLKMVKEIQQSFDDLTNTMGHQHGDEIVRLNEQLCDYRNKNIILQAVLVKVIQSAQQLLRPCNIRFDEILFAIQKVQNSVDVLPKTLSNDELSKQVPQSFQKVFDLIENLKGSRFVDEAGEDENVLITETSPSPEIDVVSKPQSPPPPLKTTIPPTECEIHESPKIPSPPTSFVMPPAQIMPEFPETPKAPINDKGKMPLEISELSLMKTFPVCEKEMKQQVKEHALTLWVNDIKQLRDDELVPKQTTAPPSSDKYQWDIPMSPNARYYSVFQQLHPHLSREMQIPIEMNRLEEFFKAHAQPPKDVWSLRRIIRVLGYKKRSFQKEEYFGFEVVRSDNKKYFFSEADFPNLNPNDLYVIAKHFQTKLLTHQPSRFPFLQIQRFLRSLTYDLGSIDAERFDSFVDNPPGEMNQELEGIENRHRGPTEDPELGIIFSNEKGSPRLFFRLKQKHLCKTEFLEKMINLTLRSNASAALKVKIIEELEWWVAVRKWIKRVKSLVTNKI >cds-PLY94974.1 pep primary_assembly:Lsat_Salinas_v7:4:113643422:113648221:1 gene:gene-LSAT_4X71781 transcript:rna-gnl|WGS:NBSK|LSAT_4X71781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDASESIGQKPKIQIYSTPNNEVTTFWKEKYERDAKKYWDVFYKRHQDRFFKDRHYLDKEWGHFFSEAEEKVILEVGCGAGNTIFPLAATYPGIFIHACDFSPRAVELVKAHKDFKKEKINAFACDLTSEDLVKHIPPSSVDIVTMIFVLSAVSPEKMPLVLKNIKKIMKPNAYVLFRDYATGDLAQERLISKDQKISENFFVRGDGTRAFYFSEEFLTNLFQENGFQIEECGLCCKQVENRSREIVMNRRWVQAAFRLDDKIPKISKETEEGFDIDISEGFAFEMFGISSPKDEITEYKLRDWNFKIKLLSKEFQHTCKSTGLMLWESAQLMASILASNQNIVSGKTILELGSGSGGICSMIAARTARLVVPTDGDNKTLELLQENVASNLEESLISKLKIKKLEWGNEEHIESVKKVSGEKGFDVVIGTDVTYVVEAIMPLFKTAKELISIDGVLILCHVFRRVDEGCILSAASCFGFRLVDRWPNGMLNGSPIIEDWFEGRIGKEDVENTALNIMYFHAK >cds-PLY93079.1 pep primary_assembly:Lsat_Salinas_v7:4:106816396:106817876:1 gene:gene-LSAT_4X69460 transcript:rna-gnl|WGS:NBSK|LSAT_4X69460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVLGEHQEVIINKKDLQVWNNAVFDNGADSESLNSNNLIKSPSWFVKKPVTVNRSSDSCDSIHSSLSSKENQIPVSSSKPSGFVHHPLHKSKPLKNLPFGLSKSGVLENLEEKTNEEIEIENEISRLYARLEAIRLEKQGKPVAAKVVEPLKNKDSGVRKMEESGFPKTKIKRRGFSLGPNEIMSTTNPKSKQSGTTPIQSTQNRRQSCFWKLDDIEEERIGFSRGKPRQAITTVGSKRPTKKDDLILGSIHPKKLFGEQSVPAKKPLKPGRVIPSRYNQATVTSSLRKRSAIDNIIGGKSRGTEGRLKKKWEIPSEIVIPKKLDLEDDYSENGSGDFAGSIDVVAPLMMQEVVPEALPRIRAVRCGDEPGRDSGPAKRVAELVGKKSYFGGCVDEERVCQILSFEED >cds-PLY70155.1 pep primary_assembly:Lsat_Salinas_v7:3:12251217:12255615:-1 gene:gene-LSAT_3X11501 transcript:rna-gnl|WGS:NBSK|LSAT_3X11501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGTVDTSTAQALSPSYVNSFRISAVTSRLLVHLGGDIHTENTEFFNLCLSLARGIDYAVANNEIPGRAPDLPYLIKQVCQRKNEPQLQAAIMVLMISVKSACTSGWFNEKEKEELHLLSEEMQSSFCSVNVKDMNSNMKNKESNLHPTLSTIMSRYFPGMKMGEILCLVEAMTGYDSYVTDLHISKSARASTNDKIYLFVAQIDNIETSSCLISPQQVNFLLNGEGVERRTCIFRDPGPQAPTPVTHMLKYGSNLLQVVGQFNGRYIIVIAFMSVVSNPTCPPILDYVPLAAAAPDSDNEIIEGPSRISLKCPISFSRIKIPVKGHTCKHLQCFDFNNYVGINTRRPQWRCPHCSQSVCFHDIRIDQRMVLKEVGEDVSHVKISSDGSWEAVTESNENSDKQNDAPPVHQDTSIQNIDDIMDLTEGDNNEVDDKKPSVNNVNKNIPPHMEDGFWREFYSPSLPTRIANTRPDAADLIPGRPIFNSIPGQSQSQSQSQNDNSNNGSNVHSRYPTHMLNVTRAPNTIQALPAQTSSRSADVDRQQQNFSQILTNLQSARMSSQIPQQKGRNMSPLPPDRFWSHPPQSINQRTPVPVPMRPSAPTPPAARPPGGGGVGPNPMTSQSHYSLADIQRATNVLRTPSTPVSIQTPAPSMPVTSTADQRDPGSSGDANWRPTGRMRGSLSGRAYSEALNQYIIQPNQPVQAARPPVLNTPRPFIPPHLQALMANNLRSQNGEGGGPDSDGGVLPDK >cds-PLY75272.1 pep primary_assembly:Lsat_Salinas_v7:7:65086449:65090893:1 gene:gene-LSAT_7X46741 transcript:rna-gnl|WGS:NBSK|LSAT_7X46741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCVQDVEKVCEEIDNLGLSTDHNTAQFASDQITLSNSFPMESICEDTVVAEEKQSNFTPMLRSGEWSDIGHRPYMEDTHICIQDLAKNFNNKLQNEETVSFYGVFDGHGGKGASHFVRDNLPRIIVDDANFPLELEKVVTRSFMETDAAFARSCALESALSSGTTALTAMIFGRSLLVANAGDCRAILSRHGLAFEMSKDHRPCYEKERVRIESLGGFVEDGYLNGQLAVTRAIGNWHIKGLKETGTHMGPLISEPELKLITLTKEDEFLIIGSDGIWDVFRNQNAVDFVRRRLQEHNDVKRCCKEMVEEAMKRGAMDNLTVVVVCFQAEPPPHVVVQRGRVRRSISAEGLLNLKFHLEG >cds-PLY85749.1 pep primary_assembly:Lsat_Salinas_v7:1:48314822:48320200:1 gene:gene-LSAT_1X45101 transcript:rna-gnl|WGS:NBSK|LSAT_1X45101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP95 [Source:Projected from Arabidopsis thaliana (AT4G32420) UniProtKB/Swiss-Prot;Acc:Q8RWY7] MSKKNPFVYLDVSIDGDPVERMVFELFSDTVPKTAENFRALCTGEKGNSQKTGKPLHYKGSFFHRIIKGSMAQGGDFYKRDGSYGESIYGGKFPDESSKLKHDAPGLLTMAIADRDSRGSLFNVTFGANRHLDRKFKCWKWNFLNRKYVIFGKLVQGLDVLKKIENVGDEDGMPTVTVKIIYCGEVNESENQSSDKKAASKLKSGKDVSSEVHSHEVKRKGKHKKSSKDKRKKRKRYSSSDSDSSSDMESDSSDSDSDSDSDDSSSSSSDVSSSSDDRRKRKKRSRKDRHKRGKRKDKKREKKRRRRDKKSKRKSKRVSGSGSESSSESEHASEDNNGDVKKRNRKHNNSNNKSPTAEFEDGKTGDAFAFDGHVKEEEGEYPKENGGDRPSNGVDLAKADIVDDHLGNSRSRSKSPPRRELSKSMSISPRRSQSVSGTPPRRAPSRSPVRSESSSKSPVRSISRSPVRGKNVRSITPSPSPPRRSVSRSPLRTSSRKSSRKSVSRSPVRSSRRSYSRSPVRSSKRSLSRSSGRPPLRRSPSRSPVRPPMRGGRRSYSRSPVGGRRAARSPERSLSRSASPDGSPKRIRRGRGFSNRFSYARRYHSPDRSPVRSYRYRSDRDRDRDRYRGRRSRTRSPSVSRSPVPVRYRRRYSRSRSPVETSRYRPSPPVERRRAPRSRTPHSQSRSPYERRSSPSPSPRRSVKSKSKSKSPSRSRSMSRSRSRSSSGSPPGKKGLVSYGDGSPDSQK >cds-PLY91940.1 pep primary_assembly:Lsat_Salinas_v7:8:197952291:197952796:-1 gene:gene-LSAT_8X127621 transcript:rna-gnl|WGS:NBSK|LSAT_8X127621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMPRIIQAKQILQRSLSNGTRTSTMDLPKGYFAVYVGEQEQEKKRFVVPVSLLSQPSFQDLLHQAEEEYGYDHPMGGLTIPCSEHIFFDLATSLGAI >cds-PLY82757.1 pep primary_assembly:Lsat_Salinas_v7:2:147420461:147423199:1 gene:gene-LSAT_2X73601 transcript:rna-gnl|WGS:NBSK|LSAT_2X73601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESAAKSVDEVLEEIMRIHRSLPPRPGIDDVEGAKILIRNLEAEEQAKFDAIVRQKKRKEVAEELFTILVEMQKNLVRFQSEEQKKEAIKLLDLENYHQLFDEMIQRASSCCDNSTQSVASTSSNSFSSFSTSNFSNASPHTVTLPPKPISIKTSELLTRDDSYVTKSKPIFNGDVIRTGLQSNDVFRPQIVDSTLKPATTSGQNGAKLNLMKLASLIEVSSKKGTKDLNLCNKLTDQIEWLPDSIGKISTLITLDLSENRLVSLPSSISSLSSLTKLDIHSNKLIQLPESLGDLLSLIHLDLHANQLTSLPLTLTKLIHLQELDLSSNNLSILPESIGSLINLQKLNIETNEIEEIPHVIGQCVSLKELLADYNKLKALPEAVGKLESLEILSVRYNNIGRLPTTISSLISLKELNVSFNELESVPESLCFATTLVKINISNNFADLRSLPRSIGNLENLEELDMSNNQIRIFPDSFRMLSKLRILKTEGNPLEVPPKDVLDKGAQAVILYLNELHEKVEEKIQPIKKKKSWTQFFFSMSNKRKRITLAR >cds-PLY86760.1 pep primary_assembly:Lsat_Salinas_v7:4:296469060:296469484:1 gene:gene-LSAT_4X148180 transcript:rna-gnl|WGS:NBSK|LSAT_4X148180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRMVQLWEGGQRKVLNKLRFLDLSHSMMSTFDLGLTPNLETLTLQECNDLLEIHMTAGCLKLTSVDLEGSRLRTIDLGFAPNLERLILVECNNLENFTFPINA >cds-PLY89727.1 pep primary_assembly:Lsat_Salinas_v7:7:42310307:42312905:-1 gene:gene-LSAT_7X31180 transcript:rna-gnl|WGS:NBSK|LSAT_7X31180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPDVLFNLRNNFYLGAYQAAINNSDIPKLTEEDLIERDCLVYRSYIALGSYELVINEVDSSAATPLQAVKLLALYLSSPDNKESIISSIKEWLADDAIGNNPILRLIAGTIFMHEQDYNEALKHTNAGGTMELYALNVHIFLKMHRSDYAEKQLRVMQQIDEDHTLTQLATAWLNLAGGSKIQEAYLIFQDFSEKYQMTSLILNGKAVCSMQMANFDEAESFLLEALNKDAKDRETLANLVVCSLHLGKPSSRFLSQLKLSDPEHMLLKRGLTAEENFNRAIQTVA >cds-PLY82572.1 pep primary_assembly:Lsat_Salinas_v7:2:183145876:183154745:1 gene:gene-LSAT_2X105500 transcript:rna-gnl|WGS:NBSK|LSAT_2X105500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGYMLELVATDMGKGVPVGYYSSSLKELLKALDDSAGNGSEWLELSPADSTKTTQADTSSVSCGRIKCSVLSPRSIVANTDKGFIRDRNSGSLQVGPTKEGPWTTVRLNYVAHVACWPLGNVVVASEVLVEDGNRYVNIRSLVSVTNNTDLVLELCLQLDASSKENLDTLEDSRTDSPKDAIETDVQKQIVIGELKPRESLPLPLFVLVHSGLYVLQLRPTLDDDHKEYSWSSVMDKHAVSEDDSRPKETSGIHVSNMNEFEELIYCYEISGTSSIPSHGLWLCLAIQASEISKDIRYDPIQDWNIVVKSPLSITNNLPLTAEFFDLEMQRNGHFKACSRGVFTPGETVKVLNPDIRNPLYFSLFPQRGWLPIHEVVLISHHFLDPAKTLGLRSSVSGRCPPLTFRLVDMSAKKAKRNPFKSKKTNEVILEEITEEEFHEGYTIASALNFKLLGLSASISDNGNDHFGDVTDLSPLADMDGSLGVSAYDADKKCMRLFVSSKATPYQFVPTKVLGFHIVGYGCTTCIGNSGDLEESVASTISENAKELNWLGAITSAAALAIAQKGLDRLIVVPSYLGFLQMGQDISIGSTSVGRSAAIVSNMSVEILVPQTVIALVYEGEWKQPHSFKTGAKVVVHEPRSGTSDHIVVISGTPNETQSTQSLLQAFILADKS >cds-PLY98014.1 pep primary_assembly:Lsat_Salinas_v7:8:42898306:42900745:1 gene:gene-LSAT_8X33001 transcript:rna-gnl|WGS:NBSK|LSAT_8X33001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALGAASAQKELNCERSCGNVSIVFPFGSSERCYHTPDFLVTCNRSSGEPIPFLGNSTSNIVISNMSADTSEMEIMMFVASDCYNISGPTDTRRAYLKLAVTDRDIQISTKNKFIAIGCDTHAYFNGTRGDVSVGTGCISRCGSNKLVTNGSCSGVGCCEVAVPEGMNSFLTSLSSYNSHTNITDFNPCSYGFFVEEGKFNFSTTNLLDFQSLKMPMLLDWGIGNSTCDIAIKDVDKFLCRENSICDKTYKGRGYRCICREGYEGNPYVRCNNVDECQKEDHDCVHICIDNPGKYTCKCRKGYSGDGWKDGTGCTANQSMLIKISVGSSFAAIVLIVFVNWLYFGLKKRKLMILREKFFKQNGGILLQQRISGDGGANDQAKVFTIEELKRATNNYHDNRIIGKGGYGTVYKGVLSDSRTVAIKKSKLTDQNDTQIEQFINEVIILSQINHRNVVKLIGCCLESEVPLLVYEFIPNGTLSDHIHNKGKPSDITWDIRLRIATETAEALSYLHSAASVPVIHRDVKPMNILLDDSFVAKVADFGASRLVPMDQIELETMVQGTLGYLDPEYMQTNQLTDKSDVYSFGVVLVELMTGKKALSFDRPEEERNLAMHFLSSLKQGRLFQILDEQLQKNDDHNEIIKVSTLAARCLHVQGDERPTMKEVAMELEGILASLIQKHPWVQSTLNEDEAEYLLKGPTDDYECTEVATGSSSTFDSISKLTILPIASGR >cds-PLY78944.1 pep primary_assembly:Lsat_Salinas_v7:8:3254070:3257100:1 gene:gene-LSAT_8X2141 transcript:rna-gnl|WGS:NBSK|LSAT_8X2141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRRTSFALFLLCLLVFLAASRVSAKDLFVDNDNGEATGSTIDLGRRSKVVVDTLKNNVIGGDKIDPKAVAVLNLDSGLGVFDAFFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALFVMTILSTGLGRIVPNLISRKHTNSAATGMPLICFLYAFFGLRLLYIAWRSKSKASQKKEMEEVEEKLESGQGKTSVRRFFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNAVGVAVGAIIGHTICTSVAVIGGSMLASKISQRTVATVGGLLFLGFSLSSYFYPPL >cds-PLY80081.1 pep primary_assembly:Lsat_Salinas_v7:4:349076709:349080837:1 gene:gene-LSAT_4X171980 transcript:rna-gnl|WGS:NBSK|LSAT_4X171980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIASFPYTLTAATDSSPFVDIDQGNKHTIFHSLLLIKTFKYIHIYITPSTIETVTLVAPQLYALHYLCSELTCFSCPLSSSQNGIKSRDFFTKSHPVSSFLTSLANPIPLYLTPTRLDSPHLLLPTRTDQVSHHLPISVAHAANEHFVYDITSKVKTKFLGNSVDVYPLGRTRLKLKKDGVTLPCLKDLFVQLLGKERLTHGDIFVFGTPKRLVIGVENLCSKQVANEVEVRGPPVSKALIIKEIQLRRVLS >cds-PLY73023.1 pep primary_assembly:Lsat_Salinas_v7:9:37305098:37305946:-1 gene:gene-LSAT_9X35200 transcript:rna-gnl|WGS:NBSK|LSAT_9X35200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKSRRSIDTNAKEFENFFQGWLVRQEHYLEDLRSAMQTCDASEDDLRDLIARVLAHYLQYFEEKSRIANHDVSLVFSPPWFSSFERSFFWIAGFKPALTFRVVDRSVEDMNKEQVEMMERLKAETKAEERELENELAMIQESVAAPPIVEVARRGENWLANGKYDKMESAFETLKEQLEVVVANADMLRSRVAERVVEILTPVQNVRFLAAVTELQLKIRTRGWQLDSQRGDKGR >cds-PLY69897.1 pep primary_assembly:Lsat_Salinas_v7:4:67987598:67990232:-1 gene:gene-LSAT_4X46080 transcript:rna-gnl|WGS:NBSK|LSAT_4X46080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSRTSPEPAYHVDGTDTEYISGLSTLLAATIQETKESVSQIEYLFCKQLFPDFQSKLKKVYSEAKKAAEEECKKKENDLIDQVKTLQSEKQKFLEENQFLKMENSKVKKVSGSSSKHGNDLEEELKRKNKEIAEKRELQQSLRELLDSKASLVHGNEKRLKELEDENHLLREKTNGQELELEELRLTLRCKSRIIEEKEESNCELLELVQSKVFLIEQKERQLKEANDKKNELLAKLEISEKKMDEKTECEETLLTKTRTQASEIVKTRKLLDDCENVKQLLIAKVKGLEEEIDKLQMDVRERSNESTEGMELHGKLLQQIEGKNAEIMIEKQKRREVVDAYKKLKSQYIYLCSKSGLTPENMIGSTSKEVNKDPLGHDQNILNSPVNGQKSPNIPVPVCETTNHTTNQQNCEVKKEMKSTSNDITKKSNLLSGTKRPVSHWRDTRPTKNRNGPDPHDDFLDTPLENIKQDLKKVSKEKEEEEVIHHLPDRVPKDMNFESSDDETQDPSGRPEKKNFKYVEPVRKKADRANLKGIECKQCKKFYDAVLPEGSDNSKQNLRCEHHEGVSRHRFRFAPPSTPEGFWNIGFESEM >cds-PLY65737.1 pep primary_assembly:Lsat_Salinas_v7:5:275879580:275880019:-1 gene:gene-LSAT_5X146461 transcript:rna-gnl|WGS:NBSK|LSAT_5X146461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADWSHLPPGFIHEQKKKSLRKESKEEVEEFAEVATKPLKAFPYDTPGQTFIVFEKPEGIPTVGRFSNVLKFFVKRLIPRLVRWRKMA >cds-PLY78909.1 pep primary_assembly:Lsat_Salinas_v7:8:1944601:1947307:-1 gene:gene-LSAT_8X701 transcript:rna-gnl|WGS:NBSK|LSAT_8X701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METKYFCQGLEIIVLGDLGYKLVDENCKYDHVRDKIVGGGCSYITLLLVLSLILLIAFTDFAQIVNPNIHIDALQHHTTSHGGEVERLVEKRRRKGGKEQIGNNTIGGGSWVCSATEGRWKG >cds-PLY85410.1 pep primary_assembly:Lsat_Salinas_v7:9:79522008:79523887:-1 gene:gene-LSAT_9X63420 transcript:rna-gnl|WGS:NBSK|LSAT_9X63420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPVALDRIIERLIEVRSTKPGKLVQLSEAEIKQLCVASREIFMKQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPQSNYLFLGDYVDRGKQSLETICLLLAYKIRYPENFFLLRGNHECASINRIYGFYDECKRRFNVKLWKAFTECFNCLPVAALVDDKILCMHGGLSPDLSDLDQIRNLQRPTAIPDTGLLCDLLWSDPSKDVKGWGMNDRGVSYTFGPDRVSEFLTKHDLDLVCRAHQVVEDGYEFFAERQLVTIFSAPNYCGEFDNAGAMMSVDENLMCSFQILKPAEKKNKFLMSTKIVFPKIMLVLEKKRKRKVGVALSFMKIQSTIAFVLKLERNDEE >cds-PLY77578.1 pep primary_assembly:Lsat_Salinas_v7:2:164765312:164765527:-1 gene:gene-LSAT_2X86500 transcript:rna-gnl|WGS:NBSK|LSAT_2X86500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGCDDLGLSQRLYGFPFASSNSDVAAPSNDWVDVAKGGWEREVAATGGEEMGVAAGEEGIRRVTAESFL >cds-PLY66997.1 pep primary_assembly:Lsat_Salinas_v7:6:141301605:141302137:1 gene:gene-LSAT_6X85600 transcript:rna-gnl|WGS:NBSK|LSAT_6X85600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDVESDPISIPKLQLFSMALPRLPEPSGMLTPPLQTSASVPFRWEEQPGKPRPCTNLILHPTTTTANRCLELPPRLAMVESNIITKTPSPTTVLDGPGDTGGAGNGKSIFSSSSFRFARERRRKGQRQGSFDSNCNAPTYLIQA >cds-PLY74806.1 pep primary_assembly:Lsat_Salinas_v7:6:119452262:119455521:1 gene:gene-LSAT_6X72620 transcript:rna-gnl|WGS:NBSK|LSAT_6X72620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSMASPSNVNMGFSERALSATRAAFLSAIIVNPLDVAKVNKGSVPPLFTLKDQDGKTVSISKFKGKHLVVYFYPADETPGCTKQACAFRDSYEKFKKAGTQVIRISGDDAESHKGVLWDNLQLSVAQYVNSDVHVSDSFQKKDKRITGLVQRQKGKQGRIRKNLSRKHVKYTGRTVISPEPNLKITEVASKTGGLPKKKEVLLEDHDPVWLELHHSHIADASVRLYDKMTSFVSKNKVAQMHGSSHLRDDHFLIPIFISLKQENLLNDEISKLERDMEAQEEHVQNCQKDMNTLEARISSYRKGFNKHKSKRDELHDKRKDLWWTESEITGLLDILLNSLLMHLK >cds-PLY89711.1 pep primary_assembly:Lsat_Salinas_v7:7:42423786:42431240:1 gene:gene-LSAT_7X31021 transcript:rna-gnl|WGS:NBSK|LSAT_7X31021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHSSQHFAFGPSKITSMSFSKVVGSGARDMLTGSDAYNDASSDTTLFSSSLPVLPHEKLISNNAENDFQSLEGNDLLEELEDHAIGNLLPEEDELLAGVIDGFDLNTFPSRADELEDYDLFGSGGGMELESDMDTLNVSMSKVGLTDGVVGNGVAHYTLANGVATVAGEHPYGEHPSRTLFVRNINSNVEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHYSIPKDNPSDKDINQGTLVVFNLDPSVSCEDLLQIFGAYGEVKEIRETPHKRHHKFIEYYDVRAAEAALRSLNRSDIAGKRIKLEPSRPGGARRNLMLQLSQEFEQDDTRSFRLQVGPSMANSPPGVWPQFGSPIEHSPLQSLSKSPVMGSMSPTLPGLASILHPQPARIAPIGKDHTRSNRTHLEQNLFNGNSNTFQHSHSLPESKLSQFNESISLSSFNGGGSTSTGGGSGIETLSGPQFLWGSPNIYPEQTQPQQQPKGPSPNHGFAIATRTRSPSISMGHPFATSKGLTTHGLPITARHGSLLGSTQVQHHHHHHQQHHVGSAPSGIPFEGHFGLGRYHESPETLFMSPPAFGGGVGVGVGLGHIDRGGFLGSHGCVENGSPSFSPRLSPMFLGNGHYPGLGPTIAETMSDRGRNRQVDQNGSQTDSKKQFQLDLDKITSGEDTRTTLMIKNIPNKYTSKMLLAAIDENHSGTYDFLYLPIDFKNKCNVGYAFINMLSPTHIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKTALVTHFQNSSLMNEDKRCRPILFHSEGSEVTDQEPLSSSSLNIQMHRSNGSDSGDSSGSPPKDGAAEQS >cds-PLY98439.1 pep primary_assembly:Lsat_Salinas_v7:3:228841634:228844471:-1 gene:gene-LSAT_3X129800 transcript:rna-gnl|WGS:NBSK|LSAT_3X129800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKMLILVVILKLQTASVDLAAVDLICIDSVSAITPRAEIEREIGTQQMGLQAPLMSRALHKT >cds-PLY62649.1 pep primary_assembly:Lsat_Salinas_v7:3:36952958:36955957:-1 gene:gene-LSAT_0X37201 transcript:rna-gnl|WGS:NBSK|LSAT_0X37201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYTCNRDIERLMKSEPTDSALKLFDGMPIRNAVTRDTIISWVSRGTLSLYKSNLSDSLNMLEDKSLKTEDDEIEFSDFPSWSGDERGVRVLVNVDSFGAVGDGVSDDTKAFGDAWKEACSTATAVLLVPPDRTYLVNATRFKGPCAENLIIQIDGTIVAPDEPKNWDPKNPRIWLYFANLKGVLFQGDGVIDGSGKKWWAASCKKNKTNPCVGAPTALTIDQSSNIEVKGITVQNSQQMNFAISRCESVRIFDVVVSSPEDSPNTDGIHLTASTNVVLQNCKIGTGDDCISIVSGCSNIKMESIYCGPGHGISIGSLGKDNSTGFVTAVVLDTAFLIGTTNGLRIKTWQGGSGYVRAIRYQNVQMVDVANPIIIDQFYCDSPKSCKNQTSAVEISQIMFEDISGTSKSQNAMKFACSDTVPCNHIVLNNIYLKRSDGKAAQTFCNSVKGFKYGYVQPSADCLTQSNNDSDSFINTFQQDELLKSHSLIHSEL >cds-PLY62390.1 pep primary_assembly:Lsat_Salinas_v7:7:108258709:108260099:1 gene:gene-LSAT_7X68880 transcript:rna-gnl|WGS:NBSK|LSAT_7X68880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRSYDKVADICNQLMLQISSQGIAFQDEWSYVVHLLGHIYLNDLKKYKNLRKQCRKLVGESNNNGVSQSDSLTQGMESVESEEVVSSRESLSSEEIDSS >cds-PLY76361.1 pep primary_assembly:Lsat_Salinas_v7:6:170509399:170511378:1 gene:gene-LSAT_6X103140 transcript:rna-gnl|WGS:NBSK|LSAT_6X103140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENFEDGAREHLLSVNVNENDGFNGSESKIQVKNLTRCLDKGASILKNVSLEIPRGKIMGIIGPSGGGKSTLLRALNRLWEPPSGTVFLDGKDITGVDVLELRRKVGMLFQLPVLFEGTVADNIRYGPLLKGKKISDEQVYKLLTFADLDSSFFNKHGNELSVGQAQRVALARTLANEPEVLLLDEPTSALDPISTQNIEDVLVKLKSKGMTIVMVSHSIKQIKRIADVVCLLVGGEIVEVLSSEKLSEAKHPMARRFLDLSS >cds-PLY77829.1 pep primary_assembly:Lsat_Salinas_v7:1:21591705:21593065:1 gene:gene-LSAT_1X18321 transcript:rna-gnl|WGS:NBSK|LSAT_1X18321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEDMMIMTKGKNVFLKKQKYFIRPILKELLRDEIWDIGTGHLLHQGFVYQFNHGMFLHSKIIDCWVAFLNKMENYKDESSLSRFFFDTTIVTEDILNELKSEDMKCRLFATLLQIYTKKFDVKPSFRDVALVFFPIVDDGKYYLLIFDLRSSLYYIVDHVKRTGTLERKYGMIPNLVKKLFCNYLTSQHHPMAKTLTFKAARVMNISWLVEKAGTACGIYLMRHMETYMGEYEGRWECGLTGKMPTDVSATIKLRTKYMARLLTSDFNKFKSMIVKDFEAFRKHDILEQDMLLRESAENRKKKRKTKGRR >cds-PLY65750.1 pep primary_assembly:Lsat_Salinas_v7:5:272705021:272709740:-1 gene:gene-LSAT_5X143200 transcript:rna-gnl|WGS:NBSK|LSAT_5X143200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTSTVDGAGAPSAVVVAVAVKGGGNTGGIGGKGSRRAVRWAVENLFQKADRFILIHVIPNITSIPTPSGNHIPIDELDANVVAMYVEDMKSQIEELFIPFKKLYKGKKIETMVVEGDNPATGLVEYASMSGINSLVLGSCSSNWLTRKLYGEEVPLDVLKQAPKTCNIYIVSRHGLISNSDNSSSHQLSCGMNKLWCSLCSSSNEFKIKSSTLETSSVASNHEKVSIMSATDERSSSRRTFGDVILQNGSSVSSTCTDKSNLHAEVEKLRLELRHAVNMYNQACDELVHTQNKVQMLSFDSKEDANRIHSSVQREEKFRKIAAEEKEKHMEAIKEVEMARNMLAIEAYEREIAEIKAMKESSEKQQIVEALFNNNDKRYRRYTINEIELATDSFSKSKVVGEGAYGKVYKCNIDHTTVAIKVLWSDTSEKKREFLKEIEVLSQLSHPHILQLLGACPERGCLLYEYMENGSLEDHIYQKTTKHHLPWSTRFRVLFEVACALAFLHNSKPDPIVHRDLKPGNILLDRNFVSKIGDVGMAKLITDMVPDNVTEYKDSILAGTMYYIDPEYHRTGTVRPKSDLYAFGIIILQLLTLLNPKGIIEKVELAISRGNLVDVLDDSISDWPLMEAEELALLGLKCCSPRCRDRPDLDMEILPVLKKLCEVGDTSRKIGGNNNDVPSHFYCPIVQEVMNDPHIAADGFTYEHRAIKIWLERQNESPVTKRHLKHKTLTPNHTLRSAIQDWRLLSTSSNSKNSV >cds-PLY83832.1 pep primary_assembly:Lsat_Salinas_v7:3:48350307:48355589:1 gene:gene-LSAT_3X37501 transcript:rna-gnl|WGS:NBSK|LSAT_3X37501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRTQRPPPSNRSRNPDPRSDETIYNIIPLNNLHPDHPSHRFPEVHAAIAALEAGVDLRRSPSIQLQPNDDLLDWLGILFGFQRHNVLNQREHLVLHLANAQMRLQPPPDNINTLDPAMLRRFRVKLLKNYTHWCSFLGRKSSVWVTTSSGADRDLLYAALYLLIWGESANLRFMPECICYIFHHMAEELNKILDEYIDENTGRPVLPSIYGENSFLNRIVKPIYNIIKAEVSNSKNGTTPHSNWRNYDDINEYFWSRSCFEKLKWPMEIGSNFFETKSKEKWEGKTGFVEQRSFLNLFRSFDKLWTMLFLYLQAAIIVAWKDSNDPQLHALNDKDVQAELLSVFITWSSLRFLKALLDVVMQYKLVSRETSFWLGVRMALKISVSIVWITVFATLYARIWSQKKKDMGWSDNTTKEIIIFLKTAFVFIIPQVLAPVLFIFPIPTDLIETTNWRVFYTITWWFQTRAFVGRGLKEGWVDRMKYSMFWIMVLATKFCFSYFFQIKPMIHPTKDLLHHSNITYEWHQFFSKSNRFAVGLIWLPVVLIYLMDLQIWYSIYSSFVGAGVGLFSHLGEIRNMQQLKLRFQFFAGAIRYNLMPVELSLVPINLIKTQWGMARDRLHRLRLRYGLGLPFKKIESNEVEAFKFAATWNEIILNFRKEDIVSDEEFELLEMPRDTWNGRVLRWPCFLLCKELYLAINQAKELADTGDEWLWHKIQKNEYRRCSVIETYDSVKKLLLSIVEDETQEIAILRKLFEEIENSIDIRMFTNTFNMSALPMIHEKLVTLVDLIIKSKKVFEKVDNTLQELYELFIRDFFTKKRTIKELIDDGLVPDSWPLSGAGSLRLFLENVVKLPDPSDEVFYRQTRRLHMILTSPDLLNNIPVNIEARRRLAFFSNSLFMNIPHAPVVEKMMAFSVLTPYYNEDVVYQKEQLVTENEDGVSILYYLKTVYADEWANFLQRMRRQGLVSEDELWTTKLRELQLWASYRGQTLARTVRGMMYNSRALQKLNLFDAASKENIDTDGVNALETCYKRGMESIKYTYIVACQIYGTQKAKKDPNANEILYLMIANQDLRVAYVDEVSTRVGIDYYSVLVKYDWTLEREVEVYRIQLPGPLKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFKVSYGIHRPQILGVREHIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKIRFHYGHPDVFDRFWFLTRGGISKASKPINLSEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFFFNTMMISLAVYAFLWSRLYLALSGVENVVADNANTNNALATILNQQFLVQLGLLTVLPMIFENTLEFGFFSAIWDFIVMQLQLSSVFYTFSVGTRSHYFGRTILHGGAKYRATGRGFVVHHTSFAENYRLYARSHFIKAIELGLILIIYVFYSPVAKGTFAYIALTISSWFLVVSWIMAPFVFNPSGFDWLKTVGDFYDFINWIHFRGSVLATPEESWEKWWYDEQDHLRTTGVYGKCSEIILDLRFFFFQYGMVYKLGIAAGSKSIAVYFLSWICMAVVLVAYMTIVYARTKYSVRKHIYYRLIQFLVSVLGILTIIVLMVFTQFKLLDLLTSLLVFLPTGWGVLLIAQLFRTILEKAQLWETVVSIARMYDMMFGMIVLAPVAALSWFPGSQSMQTRILFNEAFSRGLGYAKWLLKGKPKRKQRFQVINFGILQVLIV >cds-PLY67482.1 pep primary_assembly:Lsat_Salinas_v7:6:71487666:71489422:-1 gene:gene-LSAT_6X51260 transcript:rna-gnl|WGS:NBSK|LSAT_6X51260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQLNNLGSWWWEAMSSNKHELTLAISTLILTGLWYIWKFSMSSNGGPPLPPGPRSLPIVGYLPFLGRDLHKQFANMSHSYGPIFKFHIGGRLHVVINTPDLAKVVVREKDEIFANRNPSIAALAISYGGQDIVWSDNNSDWRNLRKIFVHEVLSNKNLEASSCFRRDEVRKTIKNVFSKIRTSINISEIAFSTEASVLTSMVWGNTSAQKIKGSNFGSELQMISSNIVELMGQANVSDIFPSLAWLDLQGVERKVKRELHQLDQIFTRIIDDRIESNSKKSKEAVGHEGKKDLLQILLELMDQKDAVSINLTQLKALIQIWLKKLLCQNIMVAGTETTTTLIEWAMAEIMQNHNIMKRVQEELEEIVGLDNIVEESHLPKLQYLDATIKETFRLHPVVPLILPRSPSQDCMVSGYTIPKGCTVFLNVWAIHRDPRYWDNPLEFNPERFLANKCDFIGSNLNFFPFGSGRRSCPGVPLAEKMQMFILASLLHSFEWKLPEGEVHDLSEKFGITLKKREPLIAVPSPRLPNESLYM >cds-PLY65296.1 pep primary_assembly:Lsat_Salinas_v7:8:105016154:105016703:1 gene:gene-LSAT_8X71040 transcript:rna-gnl|WGS:NBSK|LSAT_8X71040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGETEVRIEIILEGVVCCYAPPLPPGKVIVCITCSEIREFEYLEKQISNPRGGGEEESWSQLIEGWALTPILNFGVSVNFGDINGWTALYWAARFGMRGESGGDMRITLSLFSSTTLPSLTNCSNL >cds-PLY67165.1 pep primary_assembly:Lsat_Salinas_v7:6:162343465:162344118:1 gene:gene-LSAT_6X99480 transcript:rna-gnl|WGS:NBSK|LSAT_6X99480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEASEAYLVGLFEDTNLCAIHAKRVTIMPKDMQLARRIRGERA >cds-PLY75464.1 pep primary_assembly:Lsat_Salinas_v7:7:71882567:71886301:1 gene:gene-LSAT_7X52080 transcript:rna-gnl|WGS:NBSK|LSAT_7X52080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWLLNRHLGIKNFTLLKSLFLGLHMGSHAFMHAFSSDPLISLTIERGWSESASPNVRAPPALVLNFSRYYDAYRGIIVYFRVIDGTVKKGDRIYFMASGKDYFADAIGVLSPNQLQVDELYDGEDIMIRYNRMKGRPTHWLPGTDHAGIATQISTVVLEFDHHSFWNDDSDFSNVFDVDWFISFLAKDVVIVKSVTDKYMRSLENPPYTMRVPHKFEPHYYLHEVLPVLMRRHINMAIAGNHGLDVQFIGLNFLESLVSEFSPSTSTAMSLPREFHEQC >cds-PLY77901.1 pep primary_assembly:Lsat_Salinas_v7:1:24693193:24697602:1 gene:gene-LSAT_1X21000 transcript:rna-gnl|WGS:NBSK|LSAT_1X21000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGRLSSYITRGVSTVSGPFHPFGGAIDIVVVQQQDGSLKSSPWYVRFGKFQGVLKARERVVDINVNGVEADFHMYLNPRGEAYFLRKEIVSDQESRSGEADRDGRCLRTVKSKSLDYNFDHCNSLTDVNNTQPFSRNLGFVLERKNMKNEDGVGVGVGRKSADSAEIAADLLEMKWSTSLSSSTKSNKNLEQHNKDDDCDEITGGKLEGSLVLHEEHFVNKSSSEDNKINPQVDEVMVTKVDKDGIVEIYEGHGGNLENGILKEESLRDGSNGSHGQETVEEPLKLGDGCLTEVACIQQEKVSEDVKPCNVVAVSVSQVKDEVKSPSELNSQLSISPNLGSDSSPSTFEHEIIKDMKGNLRRCSSDTVIAKSYKLLKDDGVPHPHPHQHTKSLPNLCVNFYEFDSKQGISKWDILRKDASRIIKDGDKQPCEDTKSKDHKNNDAASGKSWSLWPFNKTRSKRFTQDIRKDSEFGCGSEVVEGEKDPLSKSQHKMRRELTPTPQQLESLNLTEGKNTVTFKFSTAVLGNQQVDARIFLWKWDSRIVISDVDGTITKSDVLGQFMPLVGRDWSHIGVTHLFSAIKENGYEILFLSARSISQADITRQFLINLRQEGKALPEGPVVISPDGLFPSLFREVIRRAPHEFKIACLADIRACFPDDWNPFYAGFGNRDTDEFSYLKVGIPKGKIFIINPKGEVVVNRCHDTKSYSSLHSIVNGIFPPKSEPQEVNLDKIDEIHSPERGL >cds-PLY63094.1 pep primary_assembly:Lsat_Salinas_v7:8:74454631:74455830:-1 gene:gene-LSAT_8X52061 transcript:rna-gnl|WGS:NBSK|LSAT_8X52061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSLVVSRICCSRININREDDHTVCTDQTCVNLKICDEKRLYMVWLKTLGEIVHNRDKPGMRVVYQGALVHQRLLVSSEVARRYEPGNQAKGDVRHQASIAKSRFSAKDYSDHMALVRAYEGWKEAEREGSAYEYCWRNFLSHQTLQAIHSLRNQFIHIFKDTQLHEAKSRINTSSYKFFKESVYPYCFFYSCSAATEHNYLATSDSAK >cds-PLY88753.1 pep primary_assembly:Lsat_Salinas_v7:4:166117839:166120341:1 gene:gene-LSAT_4X98481 transcript:rna-gnl|WGS:NBSK|LSAT_4X98481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGYSRVKDEEIHPQLLDLIPKERNWFTTKRDDDDDDNLDVEARTRSRSRSRSHGNGDEKTLELRLGPPSVEDWSPKNYKTPSKASVIHVPNTSQKSAPTPVVGWPPIRSFRKNIASSSNSKPAPPSDSQNVVVSDNVVKREKTMESSQKGFFVKINMNGVPIGRKVDLNAYDSYEKLSTAVDELFRGLLAAQKDISDNQEQEKAITGLLDGSGEYTLVYEDNEGDRMLVGDVPWHMFVSTVKRLRVIKSSEISNICCEFSIVVFFIYYAFCIFLFN >cds-PLY88997.1 pep primary_assembly:Lsat_Salinas_v7:3:73290276:73293406:-1 gene:gene-LSAT_3X61501 transcript:rna-gnl|WGS:NBSK|LSAT_3X61501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGLQFGRSRGGEDRFYNPAKARRNRQNQENIRRAQSDVSPTQSTTSSGREEPENRLIQPSKLVPLESSVAAVPASSPLCNLERFLDSVTPSVPAQHPSKRTMRGWRTSDVEYQPYFVLGDLWESFKEWSAYGAGVPLILNEADSVIQYYVPYLSGIQIYTDPLKSSIKSRQPTEDSDDNSFRDSSSDGSSDYEHERGSLHYLREKSNNHHPKNDILHRMDHLSMNDQHNVLQEGFSSDDSDSATTQSSLLFQYMEQNQPWGREPLTDKILDLARCFPELKSLRSCDLLPSSWLSVAWYPIYRIPMGPTLKDLDACFLTFHSLHTPTTGNECEHPPVVSHSNGTDSIPIPVVSLPAFGLASYKFKAPLWVPNELPLMTSLLQAADTWLTSLQVNHPDYLFFSRR >cds-PLY71331.1 pep primary_assembly:Lsat_Salinas_v7:7:185679631:185680777:1 gene:gene-LSAT_7X110340 transcript:rna-gnl|WGS:NBSK|LSAT_7X110340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SCI1 [Source:Projected from Arabidopsis thaliana (AT1G79200) UniProtKB/TrEMBL;Acc:A0A178WDT9] MGTDRKSKKKRSPAEFSSEDEGKNKRRRSSETKSDKKERDSDRKHKSHKSSSTKEGKHKHKSKHHKHERRVISNFKELSDADYFSKNNEFATWLKDEKDMFFSDLSSESARKLFAEFIKDWNKQKLDSKYYDGIVTGPRTSHNWKIKVDTKG >cds-PLY99826.1 pep primary_assembly:Lsat_Salinas_v7:5:115290397:115293770:-1 gene:gene-LSAT_5X50740 transcript:rna-gnl|WGS:NBSK|LSAT_5X50740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:MIEL1 [Source:Projected from Arabidopsis thaliana (AT5G18650) UniProtKB/TrEMBL;Acc:A0A178UJV2] MAGPNDERLDFGKMGYGCKHYRRRCMIRAPCCNEIFDCRHCHNEATNMLKNPYDRHELIRSDVKQVICSVCDTEQPVARSCTNCGVNMGEYFCELCKFYDDDIDKGLFHCDDCGICRVGGRENFFHCKKCGTCYSIGLRDNHLCVENSMRHHCPICYEYLFDSMKDTAVMKCGHTMHRDCYNEMIKRDKFCCPICAKSIMDMSATWKMIDEEIEATLMPDDYRHKKVWILCNDCNDTTEVFFHIIGQKCRHCRSYNTRTIAPPVLPQE >cds-PLY94594.1 pep primary_assembly:Lsat_Salinas_v7:8:181768327:181772670:1 gene:gene-LSAT_8X118021 transcript:rna-gnl|WGS:NBSK|LSAT_8X118021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKTMNEESEVKGGMWALQQKFDQPMDEEASRLKHMNTETKCSTLLLVRLAFQSLGVVYGDLGTSPLYVFYNTFPKGINDTEDVVGALSLIIYSLTLVPLIKYVFIVCRASDNGQGGTFALYSLLCRHAKISTIPNQHRTDEKLTTYIYTPTHENSFPEKTKKWLEAHALKKNALLVLVLVGTCMVIGDGILTPAISVLSASSGIKVTHPGMDNDFIVVVAVFILIGLFCLQHHGPDKVGWLFAPIVLLWFILIGGIGIYNILKHDKTVLRAFSPLHIYWYFKRRGKDGWTSLGGIMLSITGTEALFADLAHYPLSAIQIAFTTVVFPCLLLAYCGQAAYLMKNKEQVFDAFYHSIPEAVYWPMFVIATLSAIVASQASISATFSIINQACALGCFPRVKVVHTSRKFLGQIYIPDINWALMILCILVTTGFKNQTQIGNAYGTAVVIVMLVTTFLMILIMLLVWHCNWFLVFIMTFLSLIVECTYFSAVLIKIDQGAWVPLAIAAVFLLIMYVWHYGTVKRYEFEMQNKISMGWILNLGPSLGLVRVPGIGLVYTELASGVPRIFSHFITNLPAIHTVVVFVCVKSLPVYSVPEDERFLVKRIGPKNYHIFRCVARYGYKDQKKDDEFEKKLIDSIFLFVKLESLMDGSSDSDEYSLYEQDVSGLSDKNTFSSVVDILISDDDTVSETGTETASSHGGGGREEIEFLTRCRDAGVVHILGNTVVKARRDSGCYKKICIDYLYAFLRKICRENSVIFNVPHESLLNVGQVFFV >cds-PLY64574.1 pep primary_assembly:Lsat_Salinas_v7:6:34570762:34571914:1 gene:gene-LSAT_6X25141 transcript:rna-gnl|WGS:NBSK|LSAT_6X25141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKYFGNAYRGDPGVPHSGPEKFICIWVGSMAYSAMTWSNPYMWQLSNRFNWHDHAMLHEQYHWKKAMQKKQPYKFSWNENWSKEARDSYYFNWPVYFP >cds-PLY87533.1 pep primary_assembly:Lsat_Salinas_v7:8:95913960:95914704:-1 gene:gene-LSAT_8X67200 transcript:rna-gnl|WGS:NBSK|LSAT_8X67200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGRNKHTRTIEEDARLIEALLELRVCGKYDGADNGLKPGYLKAVQQLLDTQRNSPFDMEGLEEIVEEMQQTSHVNCKRKRPPTDDMESSYKKAVKEMKECFKEVGEKLNEPI >cds-PLY71644.1 pep primary_assembly:Lsat_Salinas_v7:9:136343430:136344618:-1 gene:gene-LSAT_9X87901 transcript:rna-gnl|WGS:NBSK|LSAT_9X87901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHNDLPVSIQPEVEDEEESLKYLDIVQKTAENAVPYVSKAYDYAKDNSGTLKPSMETTEGTLKTVFDPAVDTFHDVPVNVLKFFDRKIAESVSKAKSISVASDTKNDVGVVETASGLAKTAYTEIEPTAKEMLVKYEPVAEEHAASAWQSVNKVPLFHGVANAVIPTAGYVSEKYNQTVQQTSEEGYKASSYLPLVPTEKIAKVFKAPDQEEEEEEEEEEKERVVHSGAEGAVHGD >cds-PLY81246.1 pep primary_assembly:Lsat_Salinas_v7:4:305831009:305831473:-1 gene:gene-LSAT_4X155960 transcript:rna-gnl|WGS:NBSK|LSAT_4X155960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFPSSDIPYMVEKMRVASHPLSSEAYVPSWAVIKDSLFSEDNDAQEWSYCAHPPATMKLLAAQSAAHMADSLRYAAAQTFSLMVAAIDRVCFQCINETQLKTLQDVVASLKGELRDSEAERRRLFEQYCIVAYEKPTLEDHVATLEDQAEX >cds-PLY85617.1 pep primary_assembly:Lsat_Salinas_v7:8:162612990:162613988:1 gene:gene-LSAT_8X108081 transcript:rna-gnl|WGS:NBSK|LSAT_8X108081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARGEMVRKVALMVVVVFYCLVVQPPRAVEGQLSCPLVVTSLLPCATYLTSGGPVSRHCCSGVRSLQSAATTTDDRQTACQCMEEAAAMLPGINIYNARSLPAKCDVDVSYDINPDTDCSKVGLYPFGVLARDPDSAEVQQQQQRQHDSINVGSHASKSDCLHNG >cds-PLY79015.1 pep primary_assembly:Lsat_Salinas_v7:3:7078348:7078518:1 gene:gene-LSAT_3X4961 transcript:rna-gnl|WGS:NBSK|LSAT_3X4961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDKYSAAVAVIFAIVVPLVLSSMFLGEKKVKQRGVPIELGGEVGFASHAAGSGGK >cds-PLY75586.1 pep primary_assembly:Lsat_Salinas_v7:9:32068962:32071478:-1 gene:gene-LSAT_9X28841 transcript:rna-gnl|WGS:NBSK|LSAT_9X28841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPSLYFLFIFFYISCTPFLVAGATAARTPIRDGMLPNGHFELGPKPSNLKKTVIIGKYSLPKWVIKGIVEFVSGGPQPGGFYFAVPRGTHAARLGNEASISQYITVEAGSTYSLTFSATRTCAQDEKLVIYADGHSGELPIQTLYSTDGGDTYAYAFKALRSTVKVTFHNPGIQEDPTCGPLLDAIAIKKMTPIKYSAGNLVKNGDFEIGPYVFKNFSTGVLLLPKIHDIVSPLPGWIIESLKPVKYIDSKHFEVPSGLSGIELVGGRETAIAQIIRTVPNKFYRLTFTIGDAHNGCHGSMMVEAFAARETLKLKYESQGKGGFKTGVLKFKAISNRTRLTFYSAFYHTKLNDYGHFCGPVLDDVRVWFY >cds-PLY82457.1 pep primary_assembly:Lsat_Salinas_v7:2:186177121:186177333:1 gene:gene-LSAT_2X106661 transcript:rna-gnl|WGS:NBSK|LSAT_2X106661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g36985 [Source:Projected from Arabidopsis thaliana (AT2G36985) UniProtKB/TrEMBL;Acc:Q7XXN8] MEVEEIKRSNSISNRDGGCNGGSGGDGHEQHTCNRSFGKKCSHIAKKQRAKFYIVRRCIAMLVCWHEKEK >cds-PLY64412.1 pep primary_assembly:Lsat_Salinas_v7:7:82750414:82751949:1 gene:gene-LSAT_7X57820 transcript:rna-gnl|WGS:NBSK|LSAT_7X57820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFAHKFTVLEVKVIEGLGATMDVVLFNGVLHEGDEIVVCGFQRQSSLTETIGDSFRPYFADLQALLLKFLQDEISNNVRVASLKAVGSFIEFTPDAFEVRMYRSPNSIVNKAGNQFLNKQRMMSQVHQALIA >cds-PLY89903.1 pep primary_assembly:Lsat_Salinas_v7:8:67928332:67933863:-1 gene:gene-LSAT_8X49981 transcript:rna-gnl|WGS:NBSK|LSAT_8X49981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSPPAPAFSSQSLKYNVFLSFRGEDTRKTFVDHLYTALEQQGIYTYKDDETLHRGELIGPSLFKAIEESQIAVIIFSENYADSSWCLDELACIMKCKDTKGHIVMPIFYGVDPSEVRKQKQKYGEALAKHELENKRKVESWRKALVDASNISGWEPKHIANGHESKVIKEIVETISQSLQPVTSSANENLIGIAARIQRLKLELQIGSGDVRMIGIWGVGGGGKTTLASSIYNEICSKFDGCCFVENIREESGRYGLGKLQEKILCGVLKQKEVQTIGRVEEGRQMIKDRLCHKMVLIVLDDVNQLDQLKALAGSHDWFGEGSRIIITTRDEHVLTAHKVDVIHNIILLNNDEAMKLFHKLSLQDYSPKEDYERLSKDVVSYAGGLPLALAVLGPFLCDKDTDEWMSALARLKEIPNDDIVGKLKISFDGLTEVEKDLFLDIACFFRRERKDRVMEILDACGFHPVIGVKVLIQKALITISNGRFDMHDLVQEMGHYIVRGEHPNNREKHSRIWKEEDVLKISAMDATVELKNIKALQIGLNWLPKKEQDLPLIAANMKNLRYVKWDVNHENPLLNNFPPRELRYLHLSRVLQKQLWEGCKLLPNLKIMELWSSNIIMTPDFDGIPNLERLKLYQCMYLEKIHPSIGRLERLVLLSVEACTSLKMFPPVTRLKKLETLSFHHCPQLFNPSEIQQQNMDNLGRNNLPGVECCFQGPSLCQNKIGLQLIHNMQELGLLKKLELSNCGLGDEDIGSDVWELANLQVLNLDGNKFSRLNFGLFGLPRLKWLNLSWCQELVEVSELPPSISILTMDYCYSLRSFGDISNCKWLWKVSHAGGYNLNPLDGEILLNSMLQAIDIEHHFIDFALAHQIPKGFVGRCFMGKTFTCRFPIEYRKPSGASIKSHSDKFTLRLPDDWYNEFCGLLIRVVASSGILDIDIIIKQEPDNREYVFKNWRFDKQPEPEYDGKVKTYVGYVPFSSLRRTTSLNSSYNIISFHIHKMYRTSFTAELVPRRSKDDLLQTTQVATNCSEFWNNGQTFEIQQDLGSSIKISWGR >cds-PLY73722.1 pep primary_assembly:Lsat_Salinas_v7:8:168847077:168848100:-1 gene:gene-LSAT_8X110060 transcript:rna-gnl|WGS:NBSK|LSAT_8X110060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGQPATFFLFFINLVLFLIITIIASWAVNHGIDDTHQQASVLSIPARIFPIYYPFGNMATGYVVILSLLAGVLGLATSLTGIYNVAQWNASNLYAASASSLLSWTLTLLAMGFACKEIEIGWTGNTLRVLETILIVVTGTQLFGMIAIHAGIEEVSRYGGGGRV >cds-PLY99838.1 pep primary_assembly:Lsat_Salinas_v7:4:44820592:44824053:-1 gene:gene-LSAT_4X30620 transcript:rna-gnl|WGS:NBSK|LSAT_4X30620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAESLIASAAINIGLALVIICLFSIFRKHHSNANIYYPRRLSLRHQHTISFDHSFTRFLPSLDWIRDAVRVTEDEILCSLGLDALVLIRFFKLGIKFFVVCSCVGLMVLLPLNCSVSHGPSSNTRSMDSFTISNIPKGSNRLWVHFSSLCFISFLGIYLLHKEYKVVLMKRIQQLRNLRDQPSQLTVLVRRVPLCDEHKAFSCNVDHFFSKYHPNAFHSYQILYSGNHLEELPVAFVTFRSRWDAALASQTQQHPNPLMWITQMAPEPRDVLWKNLSIPYKHLVLYRTGVFVTQILFTIFFAIPVTAVQGIAQFEKLKKWFPPAMAVQLIPGLSSVITGYLPSVILSGFVYVVPFVMSGMARLAGYVSRSRQEQKASNMVFYFLMGNVFFLTVLSGSLLDQIGKSFINPKDIPSRLARAVSARADFFMTYILTSGLSGFSLEILQPGLLTWDILKMHTWGRGKKKSNYLYSFPYYRVIPFVSLFLLVGIVYSVIAPLLLPFLVVYFLLGYVVFINQMQDVYETTYETCGQYWPHLHHHVVVAIIIMQITMIGLFGLKSKPSASFATIPILLVTIAYNEYSKFRFLPTFNKCSVKDAKDNDEFDKDGPNDASCREAICAYRPPCLPQACVGMEESTSTRPLLSSMS >cds-PLY68121.1 pep primary_assembly:Lsat_Salinas_v7:8:34254460:34254669:1 gene:gene-LSAT_8X27280 transcript:rna-gnl|WGS:NBSK|LSAT_8X27280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDLADGNHTSQVLLTAIYHKITVSSLSLFLLVGKEGGSFSRRKKTDEKKEWQKEKREKERATRLKKLN >cds-PLY84910.1 pep primary_assembly:Lsat_Salinas_v7:6:14919204:14927649:1 gene:gene-LSAT_6X10880 transcript:rna-gnl|WGS:NBSK|LSAT_6X10880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPVSNQDSSLSVGQQKPLLPSKRKATAESFSNNPLPLHSSIPNKRVVQIDAHVNSSSPRVSPLSANKKQTQVQTMPNGQPNKRMMRNESMSNKTVSPRVQMSKSKTAPLEVSPKAQSESYVRAKMRETLAAALSVGIQNKEELSNEDKNVAPQSTPTADVDIKETSEGQKPQYNYVMPDTEGSFGDTFFVKDELLQGNGLSWAWDMEVDEKSTSVPIGQQGQGVENGNGNGNGVEEVIFSPQELGLKIEGELFKLFGGVNKKYKEKGRSLMFNLKDRSNPELREKVLSGKISPERLCSMTPEELASKELSEWRMAKAEELDKMIVLPDSDVDMRRLVKKTHKGEYQVVDFEQDDGISVEVAVGSTSMASQFRPKKKKVESDGQQVKGEEVKEGEETGNRVTVSTEGTDFMQELIVEEFKDEGFLPPIVSLDEFMESLDTEPPFENLTVDGKETKGAGVSDKKETGNEKPSLGPTSAEVEARVELSSVKSGETSAERKVLAPSVAIGECLWEGDLQLSISSSVSVMGLFRSGEKTSTKEWPGSIEIKGRVRLEAFEKFLQQLPMSRSRAVMVVHFNLKDNSPEFHRASLSESMDSYVAEERVGFGEPNPGTEVYFCPPHKRITEMLSRLLSKDQTDIQKSTDNGLIGVVVWRRPQPAAATLPPHHKHHRKNTVSSRRQETISTNTNSKQLHPPPPPPPDHDGGGDDDDDIPPGFGPGVVARDEDDLPEFSFSGSGSNSNSAQVGQLSRMVQSNNTPRPVAHMRHLVHEYGQTGNNNNNNNNNNSNNNNGNNNNNNNNNNIHWNGNRPWNRDEEDDIPEWQPQQQHQIHHQGELPNHMVNQVRPMMGPPVMHVRPPPNLMQNVWVQLPGPHALLPNAAMPGRLYGGQWRPEEPRGREPTSQNGFCRRDIVLFGFAASVATVFPVEGSVADEEIKMAPIVDDLNAYSYLIPLEMPSKNFSFKWVESRKPERYSSAAPLSPNARLRIVAERVDFFDNLILSVTIGPPNPIFLKSNDKSTWEAKDVADSVLSDKSALRVTSSQRMAESSVLDAHTSEIDGEPYWYYEYLVRKSPTKTVYSSIFRHYVAASVERDGFLYTLNASTLNKQWDMMGPVLEKTVASFRLLPPTENYVPPYKDPWRFW >cds-PLY75959.1 pep primary_assembly:Lsat_Salinas_v7:5:247327601:247330064:1 gene:gene-LSAT_5X124440 transcript:rna-gnl|WGS:NBSK|LSAT_5X124440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATHLFCSICVLLLAIFSLADGGSIGINYGRIGGDLPSASKVVKLLKSQGIGRVKVYDTDPSVLRSLSGSGIKVTVNLPNELLYAAASKQSFANKWVQRNIKAYYPRTQIEAIAVGNEVFVDPKNTTGFLITAIKNIHQALVKYKLDSDIKLSSPVALSALQNSYPSSAGSFRPELIESVFKPMFEFLRETGSYLMVNAYPFFAYEANSDVISLDYSLFRENPGVPDAGNGLRYFNLFDAQIDAVFAAMSALKYDDIPLVVSETGWPSKGDENEIGASVVNAAAYNGNLVKRILTGGGTPLRPKANLTVFLFALFNENKKTGPASERNYGLFYPNMEKVYEIPFTVDDLKNYREDNSSPVSGGGDAAQARFSTPVAGDGNGSGFSWCVARDVGKDKLQSGLDFACGEGGADCRSIQPGSTCYDPNTLQAHASFAFNSYYQKMRRASGACYFGGAAYVVTQPPKFGKCEFPTGY >cds-PLY68982.1 pep primary_assembly:Lsat_Salinas_v7:9:139643441:139646364:-1 gene:gene-LSAT_9X88840 transcript:rna-gnl|WGS:NBSK|LSAT_9X88840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAKDRQSKTDSPKVEVGEIDTRAPFQSVKDAVNLFGEGAFSGEKLTIKKIKPHSTDRVLVKETKLHLAQKELDKLKEQLKSAETTKTGALTELERAKRTVDDLKNKLQIINEAKESAIKATEMAKDQAKKLDVGETNSNGVLNHDLEKSKQQYAAVFTELDAAKQELRRIRHDYEVAMEEKSDVIKEEQEAEVMSKVNLDKAGEISKEILSVQEAIEHVMLAAIEAKQEQEKIVSEKNIQKLAHKSALEESAKKLVALREQIDPEMSKDLESQFAKTTSEIKRLELEMENARASDLDSLKNVTLELDGAKDSLQKVAEEETSLRNLLESLKIELENVKKEHEELKEKEAETESMAGNLNVKLQKSKMELEAACVEEARVSGGSDEMVAALRQLLAESETAKRESEKMNEEAEELQKEAAAMEACLEEAEVELRIALMEADEAKSAESKALEEIKLISERTDAARASTSESGSKITISREEFESLSRKVEESEKLAGMKVEAAMAQAEAVKASEKEAVKRLEVAQKEIEEMKAATEAAVKRAEMADAARKAVEGELRRWREKEQKKAAEAASLILQESQSLPSTPLRKQIPVNPPPPAASQKPVNIQKQKTKKILVSNLSGIFQRKKSQVDGGSSPSYLPGEKPM >cds-PLY62837.1 pep primary_assembly:Lsat_Salinas_v7:4:29573481:29574086:1 gene:gene-LSAT_4X20401 transcript:rna-gnl|WGS:NBSK|LSAT_4X20401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLSLRVAPLDLQQGGNSCIPYVHVPAARMSILVYIATAINTFLFLLTKHLLFLRSFGTGTEMGAFSTLFTLVTGGFRGRPMWGAFWVWDAHLTSVLILFLIYMGALRFQKLPIEPAPISIHAGPIDIPIITSSVNWWNTLHQPGSISQYGTSIHVPMPIPIMSNFANSPFSTSFMFILETRLLIPSFLESPLTEEIEA >cds-PLY66939.1 pep primary_assembly:Lsat_Salinas_v7:7:16928584:16931128:-1 gene:gene-LSAT_7X13740 transcript:rna-gnl|WGS:NBSK|LSAT_7X13740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast dicarboxylate transporter [Source:Projected from Arabidopsis thaliana (AT5G47560) UniProtKB/Swiss-Prot;Acc:Q8LG88] MDEHTSPLLPLHGGEDSIHRSKSFHTQIKSIFTLKNLCVLLGPLLCTIICLSVKLEGPSPTTSRNMLGVLAWVFAWWLTEAVPMPITSMSPLFLFPIFGIAAADDVAHSYMDDVISLVLGSFILALAVEHYNIHRRLAFNITLLFCGDPLNPQLLLLGICATTAFVSMWLHNVAAAVMMMPVATGILQRLPSGSGESTVITKFCKAVVLGVIYSAAIGGMSTLTGTGVNLILVGMWKSYFPEADPISFNTWFYFGFPMAVLLFFALWVILCVIYCPRGSGDVLSAYLDKAHLKRELELLGPMAFAEKMVLAVFSMLIVLWMTRSITDDIPGWGALFNDRVGDGTVSVMMATLLFIIPNKKQVGEKLMDWNKCKKLPWNIVLLLGAGFAIADGVRTSGLADELSKTLQFLAHVPYLAVAPTICLISGGITEFTSNNATTTLLIPLLIQIAQTINVHPLLLMIPGSIGAQFAFLLPTGTASNIVGFTTGHIDIVDMLKTGLPLKIVGTVVLSVLMPTLGKSLNS >cds-PLY65459.1 pep primary_assembly:Lsat_Salinas_v7:5:240570516:240573398:1 gene:gene-LSAT_5X117961 transcript:rna-gnl|WGS:NBSK|LSAT_5X117961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLIGGPQKILHKISLDDHMILLLLWHILHLIVQVIYFVWEKMRAIESYLIANGIVKTYEDLNLDRVKYLGIVVDSDEARETSKVIELLEWISDIGVKKVCLYDREGVLKKSKELFMEKFDSMENSETNQKRKMDFEFVSIVDGKETVAKAANLLYKKYYSDPNSEKPFFTETYLTEALRILGSNEPDPDLILIYGPTRCHLGFPAWRIRYTEMVHMGSLKNKKFGLILKAINKYTKVKQNYGS >cds-PLY72669.1 pep primary_assembly:Lsat_Salinas_v7:6:27753365:27756163:1 gene:gene-LSAT_6X21420 transcript:rna-gnl|WGS:NBSK|LSAT_6X21420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative L-ascorbate peroxidase 6 [Source:Projected from Arabidopsis thaliana (AT4G32320) UniProtKB/Swiss-Prot;Acc:Q8GY91] MSMTGFDLSFRHLCSPYITFRFEFPTQIRRKITCTAIRPTICSTSAPSTAVSDSNENQARTSYGRRMMISMIAFLLPFHQSMQHSSANANAADDLLFLQEEIRKVLTKGKAAGVLRLVFHDAGTYETNENSGGMNGSILYELDRPENKGLKKSLKIILEAKKMVEETQPVSMSVSVSDVIAVAGAEAVSLCGGPKIPVRLGRLDSMVPDPEGKLPEETLDAFGLKQSFKRKGFSTQELVALSGAHTLGSKGFGNPIIFDNSYYKVLLEKPWLSPGGMTSMVGLPSDRGLIEDDECLRWISKYADDQDLFFRDFKNAYIKLVNSGAKWRRPNS >cds-PLY62598.1 pep primary_assembly:Lsat_Salinas_v7:9:73303348:73304827:-1 gene:gene-LSAT_9X61240 transcript:rna-gnl|WGS:NBSK|LSAT_9X61240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIREGENDGMGVSLKDLSKKLEDFAKARDWEKYHTPRNLLLAMVGEVGELSEIFQWKGEVEKGLESWEESEKEHLGEELSDVLLYLIRLADICGIDLGHAALNKILKNAIKYPPISL >cds-PLY95830.1 pep primary_assembly:Lsat_Salinas_v7:5:63962923:63964349:-1 gene:gene-LSAT_5X29501 transcript:rna-gnl|WGS:NBSK|LSAT_5X29501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSLRRTALHLASAEGHVEIVCELLNVMSPEGWRFHDQEGRTALHLAAMNEQLEILKALIQKEPDLGKELQGNGDTILHTCIPWNRFEAMKLLSELWNDEELAKLTDCNDNTLLHLAVVHKQIQTVKYLLQKPSVRAAGNIVNRHGFTALDILDHCPQDLNALQIRSLLIEANFLRAKDVSHSFRPFQRSTESKSSDTVANPESKPKGCMSRIWNWYLNHNGDWSEKQRGILILAAILVAGTSFYSGLHPPGGTFTGSKDGPLGNAVQTEVVMGNFTIFVIQNTIIMVISMMIALVLLSGISLRNKFTLWVLNLATVCILFFTTLTYLQEIAWMSPDTWVNAPTLYMCFVWILLFLFVAFIHTIFFIIWVIKKLLNARTKKRNNDYVVV >cds-PLY76797.1 pep primary_assembly:Lsat_Salinas_v7:MU042712.1:1014173:1015443:1 gene:gene-LSAT_0X2520 transcript:rna-gnl|WGS:NBSK|LSAT_0X2520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKAVNLFDRIDLQNVLLIQVLIRCAQEKNKMEVLFETNTGEIMHRQDFESMRPEHVIHHRVIDSWAAVLNYEEQKSKSKPYRLFFNTKIMSSELLDETKSFDERFLTFETRVDKFLSNVKANVDFNDLKLVVFPIHNGDQMYAVVFNLTYPQVHIIDSIQTKSLEKTYGMTPTSLKLYFIRYLEKTTFIINNIEGLRSTTVKMMKIDWNTKELTTENGALLMRHMEKYCGEKQGKWNVEMEKGSDVQAVQFVKLRALYAVKIATHEINNHKERVIKEAIEFGKFDHVTRKKMLEDGIQRMDELEMGSK >cds-PLY99188.1 pep primary_assembly:Lsat_Salinas_v7:6:129257064:129258198:1 gene:gene-LSAT_6X78320 transcript:rna-gnl|WGS:NBSK|LSAT_6X78320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFFIREFAHLKISLESIKSATHNFDACHFIAEGGFGKVYKGEFSRTEGQTIGAVKRWNNDLDLEFRREIMLLSDNKHENLISLIGYCDEEKERILVYEYAPNKSLDFHLADPKLTWVQRLKICLGAARGLEYLHNPREAQRVLHCDIKSANILLDENWNAKIADFGFSKYGPANINRSYLMTQAKGTYGYADPEFVETMIYTKESDVYSFGVVLFEVLCSRFCIDFSCDDGRRSLIKWVKKSSKEEIRDKIIDSNLRQQMELPSFDKFVKLALQCVEREPKRRPSMDFVVRTLESALKCQVCYSFSFPCIVFFTGENKKNTKYN >cds-PLY93780.1 pep primary_assembly:Lsat_Salinas_v7:6:147733251:147734811:-1 gene:gene-LSAT_6X88621 transcript:rna-gnl|WGS:NBSK|LSAT_6X88621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHENNAGVARLKIGFDDHKPDYVIMTEPEGLDDDRLGGGGGMAPSPSSPSGDCTSIWWSFWWWGKLVFLVIFLAILGICFFAWIGPFLMDKEVIPILNWETETFSKPVLAILIFGSVALFPSLFIPSTPSMWVAGMSFGYGLGFLLIISGVTIGVSLPYFLGSLFYRRIQSWLERYPKKASIIKLAGEGDWYDQFRAVMLLRISPFPYALYNYCAVATDVKFVPYLFGTLVGMVPEIFVAIYTGIMIRTLADASKDQRSLSILQVVFTVGGFLLTIATTIIVTVYAKKRLSELRQEEEQLSLLQ >cds-PLY99884.1 pep primary_assembly:Lsat_Salinas_v7:4:46312449:46317600:-1 gene:gene-LSAT_4X31641 transcript:rna-gnl|WGS:NBSK|LSAT_4X31641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESEGETGGTSMKNVGGQVCQICGDNVGTTAKGDPFGACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPAIAGDKEEDVDTDEETTNFPFSSQTQSEKQKTAERMLSWHMTYGRGEDTNTPNYDKEVSHNHIPLLTSGQEVSGELSAASPQRLSVSSPPPGGERLTHSLPYSAYANQSPNVRVVDPVRDYGSTGLGNVAWKERVDGWKMKQDKNVVPMTTSRPASERGQDIDANTDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLVILCIFLHYRITNPVTNAYPLWLLSVICEIWFAFSWILDQFPKWLPINRETYLDRLSLRYEREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLSFESLSETSEFARKWVPFCKKYNIEPRAPEWYFNQKIDYLKDKIQPTFVKDRRAMKREYEEFKIRVNGLVAKAQKVPDEGWIMQDGTPWPGNNTRDHPGMIQVFLGQNGGLDSEGNELPRLVYVSREKRPGFQHHKKAGAMNSLVRVSAVLTNGPFLLNLDCDHYINNSKAIREAMCFMMDPNLGKYVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKKKREDGFFSSCFGGSRKKSSKSSKKGSDKKKSNKNVDPTVPIFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSAAPETLLKEAIHVISCGYEDKTDWGAEIGWIYGSVTEDILTGFKMHARGWRSIYCMPPRAAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYNGRLKWLERFAYINTTIYPITSIPLLIYCTLPAVCLLTGKFIIPQISNLASLWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYLFKWTTLLIPPTTLLIVNLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGKQNRTPTIVVVWSILLASIFSLLWVRIDPFTTKVTGPDVTYCGINC >cds-PLY65655.1 pep primary_assembly:Lsat_Salinas_v7:5:273586102:273588869:1 gene:gene-LSAT_5X142541 transcript:rna-gnl|WGS:NBSK|LSAT_5X142541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIQDFSDDIDVKVKKYQRGESADLEGLKDKKLKGQLANREELYKKSANAAAKAEKWLMQTESGLLEPEGIEKTWRFSQQSIAQEVDVASRKNQFDIILPDLGPYTIDYTSSGRYMLTAGRKGHLAIMDMHTLKPVKDFQVRETVRDAVFLHNELFFAAAQKKYTYIYNRAGTELHCLKEHGAVLKLQFLKNHFLLSSINKSSHLRFQDITTGQMVGNYRTALGRSDVLQLNPYNSVITSGHSGGTVCMWKPTTSTPLIKILCHHGPVTAISFHPNGHLMATAGMDKKIKIWDLRTYKTLQTLPGNAKTLSFSQKGLLAASTGSFTQILQTSDSSNYNRYMIHTMAKGYQINRIQFRPYEDVLGIGHSMGFSSILVPGSGEPNFDSWVANPFETVKERREKEVRVLLDKLPPESVMLDPTKVGNMREAKRKEKKTKAEVEEEKAAAVAAAKSVAVKKKTKGRSKPSKVARKKQEGIENAKRGFVEENEGRDKKKQKRITEVNELPIALQPFVSKKGK >cds-PLY70585.1 pep primary_assembly:Lsat_Salinas_v7:1:88440721:88443155:-1 gene:gene-LSAT_1X75580 transcript:rna-gnl|WGS:NBSK|LSAT_1X75580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHTEPSLSVYADEDMLLDNRKGELKVKVGNDEFSFAENMGLSVENHENDPLNGFKKLGILQNEEQIEPVCINGTERFDESGDVVENYKRMLDEDPSNPVLLKNYAHFLQLNGDVNGAEEYYFRATMADPKDGEILMQYAKLIWELHRDQDRASGYFQSAVHAAPENSDVLAAYARFLWEIDENEEKDEATTFGVGVNDLERYYKTMVNESCCDPLLLTNYARFLQQTKGDLEGAEKYYSRAIQANPDDSEAVSQYAQLVWELHRDQHKANAYFEQSVKLTPSNSDVLAAYAKFLWEANMED >cds-PLY79947.1 pep primary_assembly:Lsat_Salinas_v7:3:108903781:108903942:-1 gene:gene-LSAT_3X79481 transcript:rna-gnl|WGS:NBSK|LSAT_3X79481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVTYEGVSQSNGNEDATEGDPKDDEDDNVLDVEGDNLPDVKAKPMLNEDIS >cds-PLY92720.1 pep primary_assembly:Lsat_Salinas_v7:7:5174759:5177235:-1 gene:gene-LSAT_7X4680 transcript:rna-gnl|WGS:NBSK|LSAT_7X4680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKGSQILKEARKVHQHMSNTCCYYSTANTPVPVAVAVDPFAAHPSHHHLSTIESKSQLLKSYTVTPPIKPWPQKLYPKRLVSIITRQQNLDLALQIFYHAGNYHPGFEHNYETYHSIIHKLSRARAFEPVETLLSQLQKKSSSIKCGENLFIDVLRNYGIASRPKDSLRIFLRIKEFGVTRSVRSLNTLLNVLIQNRKYELVHALFKNSQKKFNITPNVFTCNILLKAFCKKGDIEGALMVLDEMPAMGMVPNVVSYTTVMGAYVSQGDMVGAKKMFDQILDRGWIPDATTYTILMDGFCKQGRLVDAVRVMDEMEDNKVEPNDVTYGVMIEAFCKNKKTGEALNLLDDMFEKKYVPTSALCCRLIDVLCENGKVEDACDLWKKLLRKNCTPDNAISSTLIHWLCKKGKIWEARKLFDEFERSSIPSVLTYNTLIAGMCEMGELCEGGRLWDDMVEKGCVPNAFTYNMLIKGFCKVGNAREGVRILEEMLGKRCLPNKSTYNILLVGLCNSGEEDEVTKVLEMAASNGQMIDADVWQLLVTKFGSNFSKIGEGLFHSILLK >cds-PLY95221.1 pep primary_assembly:Lsat_Salinas_v7:1:212272103:212274013:-1 gene:gene-LSAT_1X129281 transcript:rna-gnl|WGS:NBSK|LSAT_1X129281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASAMDGVAATALRSVLHRVKQAAERSSRVPDRIKVVAVSKTKPVSLLQEVYDAGHRYFGENYVQEIVEKAPQLPEDIEWHFIGNLQSNKVKPLLTGVPNLFMVETVDDEKIANNLDRVIGNIGRKPLKVLVQVNTSGETSKFGVDPNGCLELVKHVTSNCTNLEFCGLMTIGMPDYTSTPENFKTLANCRSEVCNALGIPEEQCELSMGMSSDFELAIEMGSTNVRIGSTIFGAREYPKKQ >cds-PLY68381.1 pep primary_assembly:Lsat_Salinas_v7:7:388188:392373:-1 gene:gene-LSAT_7X620 transcript:rna-gnl|WGS:NBSK|LSAT_7X620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CDPK-related kinase 3 [Source:Projected from Arabidopsis thaliana (AT2G46700) UniProtKB/Swiss-Prot;Acc:Q9ZUZ2] MGQCYGKTIPTTDNDGPTTIIAAGEQQRPYPQSPLPSSANNGVINVPSVKNTPARSSAGTSPWSSPYPHGIASPLPAGVSPSPARSSTPGRRFFKRPFPPPSPAKHIKASLARRFGHAKQPREGPIPEDGAAVEPEVQSLDKNFGYNKNFGAKYELGKEIGRGHFGHTCHARGKKGELKDHALAVKIISKVKMTTAISIEDVRREVKILRALSGHKHLIHFYDACEDTNNVYIVMELCEGGELLDRILSRGGRYTESDAKLIIVQILSVVAFCHLQGVVHRDLKPENFLFMLKSEDSDMKLIDFGLSDFIRPEERLNDIVGSAYYVAPEVLHRSYSLEADIWSIGVICYILLCGSRPFWARTESGIFRAVLRADPNFDDIPWPSVSPEAKDFVKRLLNKDYRKRMSAAQALTHPWLSSENHPIPLDILIYKLVKSYLHASPFKRAALKALSKALTEDELVYLRAQFMLLEPNKDGRVSLDNFRMALLRNATDAMKESRVPDILNAMAPLSYRKMDFEEFCAAAISTYQLEALGTWDQIGSTAFDYFEQEGNRTVSVEELARELNVGPTAHSIIKDWIRSDGKLSLLGYTKFLHGVTLRSSNTRH >cds-PLY98528.1 pep primary_assembly:Lsat_Salinas_v7:1:40119853:40121264:1 gene:gene-LSAT_1X35400 transcript:rna-gnl|WGS:NBSK|LSAT_1X35400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEPLEHDYIGLSDSSSMERSSESSNFSTETEKNNAFNLKATELRLGLPGFTKDIEEDDSKIGFVSTKNFFPGDKRGFSDVDADDCRNWRFNGGCDADSNKVSSSSALFSSKNVKYTDLEINQLAILSSVMNQIEEKKKAPFTTENGSAPPIAKAQVVGWPPIRSFRKNTMATNLPKNDGVAENLGTGGCLYVKVSMDGAPYLRKVDLKTHCNYADLSKALERLFTCFTLGKCPSNGRREGLSESNLKELLHGSECVLTYEDKDGDWMLVGDVPWEMFIDSCKRLRIMKGSEATGLGMQISLTLLTFLLIIATYFHSYPL >cds-PLY96029.1 pep primary_assembly:Lsat_Salinas_v7:3:194469682:194477824:1 gene:gene-LSAT_3X116081 transcript:rna-gnl|WGS:NBSK|LSAT_3X116081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SE [Source:Projected from Arabidopsis thaliana (AT2G27100) UniProtKB/TrEMBL;Acc:A0A178VZD4] MAEVMDTPVDAVERRSSRERKDEDGEGNDDGEDNNKSTGIENPDAASSPPPPLPPRRNDRDSRERRNDERDRDTDRPPNRRSDYYEHRNRSPPGPPPRDYKRRAASPNSPPPPYRDRRGGGHSPPPRRSPPFPPFKRSRRDDGGYDGRRGSPRGGFGPGDRRFGYDYPGGYERDMGGRPGYPDDRPRGRYGGRSSGGYQGGPSDWDSARGGYNDHGNTHREGLMSYKQFIQELEDDVLPSEAERRYQEYKSEYISTQKQAYFDAHKGEDWLKDKYHPTNLLAVIERRNESARKLAKEFILDLQNGSLDLGPGVTASSSNKTGQTSNPNSEDEQDPGGKRKRHGRKDSDPFSPKAHPISSEPRRIQADVEQALALVKKLDLEKGIEDNILSRGDSDRSHRDRSHGGSGGGSSGPVVIVRGAAAVKGLEGVELLDTLITYLWRIHGLDYYGMTEKSEPKGFRHVRPESKNSDAKTNGMEWEKKLETRWGERLKGQDPLEVMTAKEKLDAVAAESLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVMEVTAKVREDIYFQNYMNDEDAPGGIPIMQPSQPKDRPQRRRPGMENRLKDDRRRDNDGRNNGGGGERFDSRGENPESMEFQANNDGGGDDDQMFDSYGGQGLHAPFPSDIPPPPVLMPVPGAGPLGPFVPAPPEVAMRMLRDQGGPSPFEGGGGGGRNGRSGPQMSGPAPIIALPPSFRQDPRRLRSYQDLDAPEDEVTVIDYRSL >cds-PLY98830.1 pep primary_assembly:Lsat_Salinas_v7:7:23467205:23469211:1 gene:gene-LSAT_7X18640 transcript:rna-gnl|WGS:NBSK|LSAT_7X18640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFQGEVCGGSWWSSPRTTTFIGSSYMSNYGGCWPQSDIMNMKTRSTDESSGGRTTTISPDSAFQMMGSPPSTTTNWNQALLVNGRSEESYSQTLPEIMNNLPSGGHDSSNFGMDEQQPSNFITSSGDSNGNLGGTSYGYPSSLLQTLFHNTSPPPPAAPSQQPLYDFQSNLDDYNSVSSMPGFSSSVKSKQQALGGLHLANKTPFWNASTLDLNHNRAGFFTSTQSPFLSSTYEEKPIFPNNKSQNEQIRDLGSSVKKSSGEPTFKRPRLETPSPLPTFKVRKEKLGDRVTALQQLVSPFGKTDTASVLHEAIEYIKLLHDQVNVLSAPYMKNGATMQRQQIHDKVKTIMEDKQQDLRSRGLCLVPVSSTFPVTTEAATDYWTSSFGTTFR >cds-PLY75763.1 pep primary_assembly:Lsat_Salinas_v7:3:68864898:68866181:1 gene:gene-LSAT_3X56201 transcript:rna-gnl|WGS:NBSK|LSAT_3X56201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTIMAKLQRFGRIRQLHTIISQEIIRPASPTPPHLRTHNLSLLDQFAAHIHMPIVFFYPNYNNGNPNILKKSLSQCLTQYYPFAGRFPGPHASHINCNDEGVEFLEASIDTRLDDFILQKEKEEILDQLIPSVHNTSPNLVEVQLNHFAGGGAAVAVSISHKVADGFTMVNFFNHWATVSRGGSPINPSFISSAISNTEMPEFELKSIEKLNYARKRLVFPNAKLNELKNKVNAMAATPMNPTRVELVTSLLFKCAAGAATTKSGSSKPTNLFHTVNLRSKIIKNCQEVAAGNISTMVIANMADSGAIKLNEVIAKLRNGIMELEGLRDVQEAAGNLLGRLSMLAGDHETRAYTCSSLCSFPLYELDFGWGKPLEIMVRFPDVNDSCILLMDTPSRDGIEALVRLQKEEMAILEKDQSLLAYVEDI >cds-PLY83712.1 pep primary_assembly:Lsat_Salinas_v7:4:41657546:41660889:1 gene:gene-LSAT_4X28041 transcript:rna-gnl|WGS:NBSK|LSAT_4X28041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFSKAVGSRATGMLSGSDTYHASNDATFFSSSLPVLPHDKCVKGNGLLEDMEDHGIGSLLPDEDELLSGMMDGLDLNLNPFPNHESDEIDLFGSGGGMELEMDPESESDNLNFNMSKVSHGDGIISSNGMSQYMNGVGSGTVAGEHPYGEHPSRTLFVRNINSNVEDLELQMLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHYSIPKDNPSDKDVNQGTLVVFNLDPSVSCEDLLQIFGAYGEVKEIRETPHKRHHKFIEYYDVRAAEAALRSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQELEQDDTRSFRLQVGTSIASSPPGVWPQFGSPIERHGHSPVQNLSHSLPGLASILHPQVQPTRIALPPIGKDHYGRSNYTQFDQMFSNGNSSSNNGSVFQQSRSLQGTGTGTISSFGASSSTTSGIETLSGAQFLWGSPTPTTSQPPPMVQTQPFAKGLTTHGFSLPGQNGHFHHVGSAPSRMIPFGGGRHHHDFMSHSAFGGQMDRGFLNSHGSVNNIMENSSSPSFSNMSMSSPRVFLGNGLNYSGIGSMNNDNNVESMAMAMNMNMNGGGRNRNGNQLQMQMDNKKQFQLDLDKIKSGEDTRTTLMIKNIPNKYTSKMLLASIDEIHSGTYDFLYLPIDFKNKCNVGYAFINMLSPMHIIPFYQAFDGKKWEKFNSEKVASLAYARIQGKAALVTHFQNSSLMNEDKRCRPILFHSEDVDVDQDPLDPTPF >cds-PLY83441.1 pep primary_assembly:Lsat_Salinas_v7:9:88099756:88100294:-1 gene:gene-LSAT_9X69340 transcript:rna-gnl|WGS:NBSK|LSAT_9X69340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFVDSCLIKKKGRKATSSFDKIGWENIQKRIKEKTGYSLEKKQLTNKWENMKKEWKLYDRLMRLETGLGGTRSLVDASPEWWEEKIKENKDYAKFRNTDLSIFDEKYAFLFRDSVAVGDQTMTPLQFKTIAIQTKKIWRAKEIVMKSI >cds-PLY65640.1 pep primary_assembly:Lsat_Salinas_v7:7:27014892:27016926:-1 gene:gene-LSAT_7X21081 transcript:rna-gnl|WGS:NBSK|LSAT_7X21081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPNSANLQSVQLCNLQVIVRFAKPFSQNPTLKTLLKQSTIVISNLKDFRMLAMASRLRKLQIVKSLIESRSYTTATITRSESDNSSQKKVSLYSRISPLGNPNLAMTPELDDWIEKGKKVRPSELKQIVHDLRKRKRYHHALEVSEWMNKKGLSAFTPVDHAVQIDLIGKVHGFLEAEKYFNTLTDGDKTDKTYGALLHCYVRQRETEKSLSHFQKMKENGFLSSVTFNDIMCLYIRNNQTDKVPDLLHEMKTNGISPDNLSYRMCINSYGDKINIKEMENVLKEMENDPKITMDWNTYSVVANCYIKANNIDKANETLKKAEKLVEKDGLAYNHLISLNSRLGNKDDVLRLWNLQKTACKRLINRDYSAIVKSLVRLGEFEEAEKVVTEWFSCGNVYDFRVPSIIIDGYLEKGLCEKAEGMLGKLSKDGRGTSPGSWGSVVAGFVKKGDVEAAVRCMEAAVSLPVVEEGWKLEPEVAGKLLDGVGEKGSSEDVELFMGKLKKFMGFDRGMYHRLLQGCINGGKEVGRVLDEMKADGIEEDEETKKILGLQKNKV >cds-PLY64106.1 pep primary_assembly:Lsat_Salinas_v7:1:1329651:1330549:1 gene:gene-LSAT_1X161 transcript:rna-gnl|WGS:NBSK|LSAT_1X161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQEWILEAVKQDQELLEAPTDVSQSTDVTMCYIQQNLLSILEDISNSLIPNDEAANSFGIKLLLNCTWSINDPTTRNHVFSLLPAVSKGLPDRILDHILDILTIICESAITQWDSHSKKVFEDLISTIVPCWLSMAENLEEMLQVILNILPDVTEHRGLSIIVHLLSTLGENGILASLLFLLFHSVASKSNNLESFSIEWEYTFALQVCQQYSCMVWLPSLVALLQKIEMGTWVPQLFVQLLIAMKFIGEKLQDPKIAFKIKSGEDVDTI >cds-PLY78618.1 pep primary_assembly:Lsat_Salinas_v7:4:151803879:151806089:1 gene:gene-LSAT_4X93380 transcript:rna-gnl|WGS:NBSK|LSAT_4X93380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIFPFYFPFQFSLFSVLGLFMSLFILMVGFFCFLTVWLSTCPLQILTASFRSDTCYVYVSTGSFSRLIYQQIPLPQILLYSILIAHFLTSTASPFFRLPLSLQLAFPTAHVSAAHWLSSLTTILIPSSCRSSPPPQSTSSSDHLLLFSFSHPQGLTTSTDFSRSAAMARKVFIPLGYRREGNNLDTVRDYLLLELYNTISCLSTGPEMRNMQMQFAFCISFCWIFVVFPKYRLGFLLKISSSKSYSSFQLEKVPNLDVGNALFWEPPLDILSESRISSGLKVCEISIPSQSTTCTTTPLKFKPDELFGSSSIGITDSIPMRLVSDFHVNYEPTTFQKCHSMIISEHLDAVPENKSPTSLERLRKQ >cds-PLY67669.1 pep primary_assembly:Lsat_Salinas_v7:4:3303814:3304290:-1 gene:gene-LSAT_4X1221 transcript:rna-gnl|WGS:NBSK|LSAT_4X1221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNENENNDHQEFFKKEQELVTKVKTLKEKLSIENQDLEKKMQLLINETYKAYDVGYEELHTTIHGLEKTNLDLLHVLSTTVSQSSNVSRETIDEILQTSKDVHDHLKNPLIMTTNEVCGKTQLIFAKVFKILEDLKVLFEEKSKPFSSKRGKGHCSNL >cds-PLY78103.1 pep primary_assembly:Lsat_Salinas_v7:1:6346338:6348634:-1 gene:gene-LSAT_1X5401 transcript:rna-gnl|WGS:NBSK|LSAT_1X5401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAALGLMRRIPPKHTEAALSALVSLLPSYSSDLLSQVDQPLQVLCDVDSAKEFILCDYNRDADSYRSPWSNKYHPPLEGGLYPSPELRTLEIEANEVFSVYRDQYYEGGTSSVYLWEDDEKEGFLACFLIKKDGSKYAHGVRGYLNEGGWDAIHLIEVGPEVEGMADYCLTSTVMLSMTTDHENSGTFSLSGSIRRQMKAELSVADGHLANMGKLIEEIEGKLRYSLDQVYFGKTKEMIWTLRPPTELPSTRLLP >cds-PLY81648.1 pep primary_assembly:Lsat_Salinas_v7:1:110951137:110952887:1 gene:gene-LSAT_1X89761 transcript:rna-gnl|WGS:NBSK|LSAT_1X89761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METVLVKTESKPHVVFVPYPAQSHIKCMLKLARLLHHKGGLDITFVNTEVNHRQLLESGGPNSLDGEPGFQFETIPDGVPEGTPNFMYAVTASILVNFLDPFLDLMRRLKNPGTCILADGMMPFTVEAAEQLKLPIIHFWTFAACAFMGYYQAPVLIEKGLIPFKDESCFTNGYLDTVIDSIPGLEGFRLKDLPGYIRTTNPNDDSYNYVVECVKATRRVSNMIIHTFEELESTVIKVFTSMIPHVYTIGPLELLLNPIQLEEETKKLDIKGYSLWKEEDGCLKWLESKEPHSVVYVNFGSLISVSLEQLLEFGWGLANSNHYFLWIIRPDLVIGESASFPRELQELINERGFIASWCSQEEVLKHPSIGGFLTHCGWGSTIESLTAGVPMLCWPFLWDQLTNCRQMCMEWEVGMEIDHKVNRDEVERLTKELIGGDKGKRIRSKAQEWKKKIEIATGPKGSSSLNIEKLANDIIMFPRK >cds-PLY69940.1 pep primary_assembly:Lsat_Salinas_v7:4:67863994:67864598:1 gene:gene-LSAT_4X46201 transcript:rna-gnl|WGS:NBSK|LSAT_4X46201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVALVVLGLLVQGTVAHPCGSTFFSALVQLIPCRASVAPFSPIPPNEACCTAVKVLGQPCLCVLVNGPPISGVDRSMAMQLPEKCSVNFEPCELAKKKE >cds-PLY98487.1 pep primary_assembly:Lsat_Salinas_v7:5:208392357:208393695:-1 gene:gene-LSAT_5X94821 transcript:rna-gnl|WGS:NBSK|LSAT_5X94821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNCTQPRPADHNSKSGGKAMQTPQGYASATAGPYVGSGAPSSMYIGVQPYGSSLSMPPPYDVHVPSAYHYSYGTRLFGGSSPYRPFPPPTLGLRPGFYPEENTKKDGKSDNDWVCPNCVNLNFSFRTVCNMRKCNTPKPGSQASKSGKNSKGDMPDGSWKCDQCNNINYPFRTKCSRQNCGAEKPSESGKSPSEEAEENDQVCLLSSSSNLCCLKMCFFPFTFSNSIKLFYKCKSQKSY >cds-PLY89767.1 pep primary_assembly:Lsat_Salinas_v7:1:58792482:58795456:1 gene:gene-LSAT_1X50821 transcript:rna-gnl|WGS:NBSK|LSAT_1X50821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKGFVLYLLAGFSVAVLSVFLISDHHSYKINHLPHRSSPDSVTLDSDEKTWPELEFDGRIVLATIIGFLGSAFGTVGGVGGGGIFVPMLTLIVGFDTKSAAALSKCMIMGASASSFWYNLRVQHPCREVPILDYDLALLFQPMLMLGITLGVALSVVFPYWLITVLIIILFLGTSSRSFFRGIEMWKEESILKKEMARPRETFANSRGELLIDTYEPLIPREEKSPMEIFTFNLRWKKLMLLVAVWAAFLVLQIIKNDLVVCSTWYWVLTVAQFPATLAVFGYECVKLYKESKKRKSLGNTESVCEASIEWTVPHLIFCALCGILGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMMFSSSLSVVEFYLLNRFPIPYALYLMSVSILAGFWGQFFVRKLVAFLKRASLIVFILSAVIFASAVTMGVIGIEKSITMIQNHEFMGFLEFCSSQ >cds-PLY78617.1 pep primary_assembly:Lsat_Salinas_v7:4:151743606:151746865:1 gene:gene-LSAT_4X93300 transcript:rna-gnl|WGS:NBSK|LSAT_4X93300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSTSALLTCKRPQLTSFHRRPQAHLTSLETGTIAQGLYFCVPFREQLLKYYEKVKNPDVEENLLTCLAELFTQISTQKKKTGVIAPKRFVQRVKKKMNFFVDAHEFLNFLLNELVDILEKETKGTKEHSSPPEKIPNGIHVPLANGVRKEPLVTWVHKNFQGILTNETKCLRCETVTARDETLLDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKQPHILVIYLKRFKYMEQLGRYKKLSYRIRFSMAPQKAIETIGKTLSFQYERWQPKAKYKIQLDPTVEEVKKLCTTCRKYAKSERVLFHYNGHGSYTWYIPLPISDLDSWLKTPSIYVFDCSVAGMIANAFIKDWTPSSSSLGTSPRDCILLAACEAHEKVMSFLLISSFL >cds-PLY98284.1 pep primary_assembly:Lsat_Salinas_v7:7:166530720:166531350:1 gene:gene-LSAT_7X98700 transcript:rna-gnl|WGS:NBSK|LSAT_7X98700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVVKVTMNNEKKIRKALKIAVSLSGVESASFVGSDKTQIAVTGERVDSVELANLLRKGIGYTELVSVGPVEEKKPAAAKETNPTVAPLDFTVNPYQYYYGSYGMPYYAY >cds-PLY67283.1 pep primary_assembly:Lsat_Salinas_v7:5:134945140:134947026:1 gene:gene-LSAT_5X58541 transcript:rna-gnl|WGS:NBSK|LSAT_5X58541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNFGSCFTSKETEVFPVETRFKFPSPLPSWPQGESFASGTIDLGGLEVCQVTSFKRIWSTSQIGSNDTDITVFEPSPIPDGFFLLGCYCQSNDTPLFGWILAGKDVSGGTLVNPVDYTLVWSSKDSCYIWLPTPPEGYKSVGYAITTSPEKPSLDKIRCVRADLTEEPETDALLWGSDDVSVYGLRPKVRGRQAQGVSVGTFIIVKDGDDSSLLSLSCLKNNNFEKSTSFLPNVAQINALIQEYSPRFFFHPKETYLPASTTWYFTNGVQLYHTREESNPILVEPTGSNLPQGGSNDGTYWLDLPVDETERERVKKGDLQNGEAYIHVKPMLGATFTDLAIWLFYPFNGPSTAKLGLVDIPLGRIGEHIGDWEHVTLRISNFDGVLYRVYFAQHSGGTWVDTPSLEFLDMSNRFAAYPSLNGHATYPTPGVVMQGTDVIGIRNDAAKSDKFLDVKDKYLIMAAEYTSDVIEPPWVNYARKWGPKITYEIGTEIEKLESSLTGIVGSAIESLVDIIPTEFFSEDGPTGPKMKPEWDGDER >cds-PLY75445.1 pep primary_assembly:Lsat_Salinas_v7:7:74332593:74334167:-1 gene:gene-LSAT_7X51681 transcript:rna-gnl|WGS:NBSK|LSAT_7X51681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVTVMTSHHIRSVEVLVITRTMTHHIADSGGDLDNIDWIAIFEKVLGTQRGHVRGIGPKPSSASGTSASSQWQSHSQEPQPTHDVDVNDFLQNPAFVTAIEDIIRTFKNQVNDENNDEKDDGEDEGN >cds-PLY93919.1 pep primary_assembly:Lsat_Salinas_v7:7:180258147:180260511:-1 gene:gene-LSAT_7X106820 transcript:rna-gnl|WGS:NBSK|LSAT_7X106820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISCSGYTAPEYVGDGIYSIKSDVYSFGALVLEIVSEEKNRGIFHEQHNNNLIGHAWELHKEGKSLELVAIMCQLKSIDSPQVLRSIHTSDRPTMASLILMLGNEGPVPSPKEPGFFIGKNTEDTRHSSGTYDTSSRNDLSITILNAR >cds-PLY99512.1 pep primary_assembly:Lsat_Salinas_v7:1:114210894:114211796:-1 gene:gene-LSAT_1X88581 transcript:rna-gnl|WGS:NBSK|LSAT_1X88581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEINLATRDFNPENLIGNGGFGMVYKGKLPKHGQNCIFAIKRLDPKGLQGKNEFLSELKLIASFHHQNIIPFVGYCEEDKEMIIVSKYAVNGSLDRLLQDSNKRNRLTWAQRLKICLGAAKGLDYLHSGLGNNKRVIHRDVKSGNILLDENMEAKICDFGLSKEGLGTQQLTRFFTKAAGTNFYLDPVYQESGILSKKSDVYSLGFVLFEILSGTLSYVRTNFVDGSPQFLINLVRRYYNEGPEKLIDPVIRDQVDSRCFHIFKELAYQCISLNSKERPTMDTIIDRIEDAMDFQVSSFI >cds-PLY90241.1 pep primary_assembly:Lsat_Salinas_v7:8:14594929:14595448:1 gene:gene-LSAT_8X10680 transcript:rna-gnl|WGS:NBSK|LSAT_8X10680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEDALQVFDEMKKVGVCPSLETWNCLLLSSIQEGYTNLVWELYGEMMQSGIVADLDTASCLIQAFCLDRNVTEGYHLLQLFLNKGYVPHKFAFDKLLFEFILDQKYDRVPALLRRKRPSLHEWWEGFLGERMEGDKPILA >cds-PLY81128.1 pep primary_assembly:Lsat_Salinas_v7:9:67425276:67426260:1 gene:gene-LSAT_9X57381 transcript:rna-gnl|WGS:NBSK|LSAT_9X57381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNALLDSQTYRRAWLMVCNLHSYYLIVNFLDEGSHVENVKVMKKMALVTDFAKELDNNRKRCLEIEKKSILDEIGCLILKAEVENLQKRNKELEEQIARFQKVMINEEKGKMTIIDLTCEENEKDEFLRLTIKNKVLEREKAKAEIELRLWKEKVKKLVSQVCEFESKLNMKGMNENCSKVKKRLPFEDDGSFNKNIAPSIPGFAPPLSSVIIDISDEDVSYDDQIPKVQNHSNSILKNADEDHTDCFKLQSQVSIKRKRSCRIVASEDETSDDDDAPICTLIKKVKEDESM >cds-PLY83697.1 pep primary_assembly:Lsat_Salinas_v7:4:44529057:44532139:-1 gene:gene-LSAT_4X29901 transcript:rna-gnl|WGS:NBSK|LSAT_4X29901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGGLVSPWILGTFLPSSSEFSTSSPSPANSVCRSHFRAPKDLKFVLHEALDFAGFNTTYAREARKGFCLQIQKLSGIERETSIIINRGVDLGKTALYIAAEDDSLISHSSVPLPVDAFIDRLDDLSMDYCSRYSSSFRSSPDIFLECLERYMYVDKGFRRSNSRNQLEQRAVYLHSVLTHRVGSICMLSLIYSEILKMLRMWGLVNFDVEISSPNDSYGSPRGYLKQRSTESDHQHIMTTESLLLKILRDLKHAFWPFQVDQSKSPFLRAAEAANCSDRSAYIDKSGLEVASAKAARHRLERGVWTSVRFGDIRRALSACERLIILEADCMELRDYGALLYHCGFYKESLQYLHLYKDSQKQMKQIPDSLEEEALEKLIIRLNLILMEDKMANRPSSIGSSLYNNTDPW >cds-PLY61871.1 pep primary_assembly:Lsat_Salinas_v7:6:57653365:57655738:-1 gene:gene-LSAT_6X41760 transcript:rna-gnl|WGS:NBSK|LSAT_6X41760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAAPHLASPRFTDNLEADDIPIMIDKHGFKLHIPFHGRKLTEVLCDLFVGNSRTVMISCISPNAGSCEHTLNTLRYADSFVGSQEMKLLAGVDKPGSLIDNYVTQLSCMLSRKATSLVSLQARLTRFHHLLKEQEILGRKRLPH >cds-PLY70526.1 pep primary_assembly:Lsat_Salinas_v7:1:72681486:72681815:1 gene:gene-LSAT_1X64961 transcript:rna-gnl|WGS:NBSK|LSAT_1X64961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKYNGGGYTLSSLRCVASGAAPLSKDVASRFREKFPWVGLKPGYGLIESCATGVVILSNEEAKAQSAASGGLLQTFSAKVVDVESGIAQPPYSKGEIWLKGPIVMKGY >cds-PLY90756.1 pep primary_assembly:Lsat_Salinas_v7:3:36699872:36701489:1 gene:gene-LSAT_3X28400 transcript:rna-gnl|WGS:NBSK|LSAT_3X28400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSIDMPAGLSEVLELNDKYASPVHIAADLLSQPKQSIKSEAINTNTIPEEVDCPSFGSLPCGVVKRNKKLKAFKPGNQEPNARLLTKKFVNLIHADKDGILDLNKAAVILEV >cds-PLY63289.1 pep primary_assembly:Lsat_Salinas_v7:3:90790991:90795537:1 gene:gene-LSAT_3X68721 transcript:rna-gnl|WGS:NBSK|LSAT_3X68721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTSGSGQQPQEGEKKSLNSELWHACAGPLVSLPTVGSRVIYFPQGHSEQVAATTNKEIDSHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDTFLPVELGIPSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTIFYNPRASPSEFVIPLSKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGIGDLDPTRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWYPGASSFQGDSRDDAVNGMAWLRGETGEQALNSLNFQSGGMFPWMQQRVDPSFLQTNLNHQYQAALAAGLQGVGSGDALKQQLLQFQQPVQYLQHSTHQSMSVIPQQRVDPSFLHSHGQMLENLTRSTQNQESQQHQQNPYTETYNMMQSSIPSPSFPKTDFMDPKFTSNIAPPPTMLGSDPNFSRTGQGQSQTQSWVSKFGQPQVNTCSTSPFQGKDGGSSNSIDQESSSLDATQNQNLFNQINIDSSGLLLPTIDTTDLSTMPYYMQDSSELLTPTGGGGGGQIDQTTPNRNRTFVKVYKSGCVGRSLDMSRFNSYHELREELGEMFGIEGLLEDPQRSGWQLVFVDRENDVLLLGDDPWEAFVNSVWYIKILSPEDVQQLGKQEVESLGGGQNSGERIHRDHLSLSGLPPSMGSGSLDF >cds-PLY82775.1 pep primary_assembly:Lsat_Salinas_v7:2:146304743:146306112:1 gene:gene-LSAT_2X72420 transcript:rna-gnl|WGS:NBSK|LSAT_2X72420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLKPTTVFPSPIVLGKKMLFVRISLSTRELSELDSLTVNLLAINTLSLVQKGDLGFAITYQARTVVNYPAAKIHLSSIPMRA >cds-PLY84336.1 pep primary_assembly:Lsat_Salinas_v7:5:188459205:188464256:-1 gene:gene-LSAT_5X84061 transcript:rna-gnl|WGS:NBSK|LSAT_5X84061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPKEKERFVSSFNSRLKKAVYLAMDVRQIMGAFLTFSMFVMLGNMIKRDHFDGDVLLDVPMVANVEQDFVKMSKHSLLQLHNKASKTNGQRLTLCWNNSLLEKVKEPSKGFVTFSLTNGPEYHVSQIANAVLVAKHLGATLVLPEIIGNQGEKRSFEEIYDVEKFMKSMNGLIQVERRKNPESSTQTSVTVKVPYNANRNYIKTNIKPLFQTTPNVRVITYFPSSNVREGKVDKDMNPYSCWAMFEALHLKPELQHVVDSIVSRLRGGEPKGEFMAIDFKSEKMGTSVCRGEGNEGTKSCYNMVEITKFLRKIGYEKNTTIYVTQPRSGRELDVLKNSYTNIYTKEEVMEEAEKQKFSSRLEREIIDFHLCSVSDVFVPAVSGLFYANVVGNRIATSNPEVLVPSQIESTMARYHMSSYISKRSHPAYACFCQ >cds-PLY74994.1 pep primary_assembly:Lsat_Salinas_v7:5:86341844:86342353:-1 gene:gene-LSAT_5X39780 transcript:rna-gnl|WGS:NBSK|LSAT_5X39780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDHKTISPSFRDRRRKQTLKISCFNRHSDSHFHSPTPSPSPTLKKSPSTWLRSKLRLNDLAALVDYNPGDGGKYCRTRSSGGTIINSHRRHCKNEFNYDPLSYSLNFEDERFHNPNFLSRLPLSPPATNNLEVPPPRIEAVERARTDRDAQVQRSSSVSSMSIPVNS >cds-PLY93440.1 pep primary_assembly:Lsat_Salinas_v7:9:64381359:64386068:-1 gene:gene-LSAT_9X55980 transcript:rna-gnl|WGS:NBSK|LSAT_9X55980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLTDFFNSSATSQSLQTLDFLRFPPPQLPSPELFNFDNLSCFDQVTSLNTSPEIERFSVNESLSKFFSDVLPQYINSDIDQQTARAVTSEEKIDGCLDGASNNISTLVEFETPELQLSLKDSCVLHEEKMQFFFETADEEVNLDLLDFSHEVQKLLDIQKSIFHIEDYHVEFQEDHRSDIFDNGSLIEGQISSTRNTFPLLEIDETSLGINSNISEDKHIIFESNEPQQWIQKDELAFDDNEHFLSTEFNILEHLLNHPPVPCHQFEVSCVKFDPEYIINAIDHGYSSLTLTPLVFEPLKFFDTNTSHFSQVFSNTEVINEKEHCEQMFGDTTLITFNGLIVEHELTLRDDSFKSLPVPIFSDHEKILSVQEMVEEIFLKLKLQPSSTSDDIYLDWHLLEEDISNSSHNIFTSLKMFEDIDTYSVDADMKSCNIQIPILEFVLFDECSDEQKCKENIEVLKIQMSGNSMDSVPHDGIASSKLNDINKKMEIGEALVDNKVNKDPQFVESMSEFNDLDFFLNSLEDTFVKKQKETTDKKPEMDHALPVVSSKSLLKTHDSTKIPELPSAMESKKIDTNTCSLPDAIIIVNTQNVDTEMIISRRSTYQKILAMEKQGVQVVERDLNLPVDVILSAAVCLVLYDIKNIRTKTSSSDSELSSCVENIAANVLTSISFAFSGCILIFEGEVGFLGGIMESSAELYAAAASLGIDLQLFCSYSYDTTDEIIINCISHAAKSTKGLYPKMPESETLAESFLSRFPSVNPLSAHAILSSVGSLIHFLEMPHQQRVCAVKKYLVPESSIILFTALCKYGEREDSKSGMTDCCSSVSSGHDSGHCCPKIDHESKKRKYIDMPMDYSFNDVTWDTPKINMDFDEMYFGEKQRSKGLQEGYKGQVIDISDDDMAGEDFTFGHTVSFPTRSAPDNYGTRKNDWWSLPSFPTAAEITLNSQTDLKVSSIVNSPMNFCKEKGECKNDETPLSKAISSAQPQKGSPWTIDFLNRIKEKSRMRQQSLPLISSAPCFGHSGNSSKFRKRKSPSILDFYRYKSNSNSKSNMQNMEHKQKGTIQLSHSSKPVKSSSPLLPQTWTPTDKRAKRKLTFATDGSKGQSKLIWSDKTDHQTLNRRL >cds-PLY80376.1 pep primary_assembly:Lsat_Salinas_v7:MU041980.1:248636:251934:1 gene:gene-LSAT_0X36841 transcript:rna-gnl|WGS:NBSK|LSAT_0X36841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTLQRIHSIHPLNLSTNHSCVRDNSSDVPIPGFHRFLRQPDRYKLNFRFSLSLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPATAPPASPPRPHKKKKLDKRYSKIDDSYTSLEQVTEALTLAGLESSNLIVGIDFTKSNEWTGSKSFYGKSLHHTADHSLNPYEHAISIIGKTLAAFDEDNLIPCYGFGDATTHDQDVFSFYPEDGFCNGFEDVLSRYREIIPHLKLSGPTSFAPVIEQAMTIVEQSRGQYHVLLIIADGQVTRSVDTESGQLSLQEQKTVDAIVKASKLPLSIVLVGVGDGPWDMMKEFDDNIPDRDFDNFQIMSKDTSSIRKETDFALSALMEIPSQYKATIELNLLGSRIGMSSWRAALPLPIPQASSSSYNTSKALELVPFSRVYGQTSQLLISESISRSTNHKNLCPICLTNTNDMALGCGHQTCHECGETLQLCPICRNLIETRIKLH >cds-PLY97746.1 pep primary_assembly:Lsat_Salinas_v7:1:195647380:195648918:-1 gene:gene-LSAT_1X123760 transcript:rna-gnl|WGS:NBSK|LSAT_1X123760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAIFYNSRQYPPTLLLTADHDDRVVSLRTMKLLVVTTLPKKPINGAHVIQSYCLGHTESLTGNVIICLLPSLCQGWKELELALLYMRKLLERVCKCLLKVLVSWDFLRIIFNISACYQVKSWCVVMKQTGRTDIRMKVEIPQDTELVEPLDEACIWRQARGTATETLGAENKAESTEKVQLQFTNLPFCFLKFYHICET >cds-PLY62041.1 pep primary_assembly:Lsat_Salinas_v7:5:130419793:130421885:-1 gene:gene-LSAT_5X55541 transcript:rna-gnl|WGS:NBSK|LSAT_5X55541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDVVRTLESALDYQVDQLNTLSLKNDGDPGLSTSKPFEDDIEAEVNSYFHQMFSGQLTVDAMIQMLARYKESSEKREQSIFECVIANLFEEYKFFNKYPESQLKPVADLFGLLIKNKLLTHLTLGIALRAVLDALRKPADSKMFSFGTIALEKFLELLVEWPQYCQHILKISHLRDTRLELVTFIEKELDKIS >cds-PLY88422.1 pep primary_assembly:Lsat_Salinas_v7:8:87968400:87971475:1 gene:gene-LSAT_8X62941 transcript:rna-gnl|WGS:NBSK|LSAT_8X62941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRKNKKVGSNNVNPDQSKLDDGNTTKIYDKDTTIFISMAHELKDEGNKSFQNKDYEGAILKYQKALKLLPGNHLDVSYLHSNIAACYMQMGIADFPRAIHECNLALEITPKYTKALLKRARCYEALNRLDLALRDVNMVLDLEPNNLMAMEIVDRVKSRMEDKSNLEKKDHKSELDIDSIRILEPLKEKVHKKKKKSTKLDRIDEKKDELKDFERDDKKMEDKIVVEEKINTSSKEEPKRVVKLVYGEDIRWAKIPFNCDIIKLREIVDERFPISKAVLMKYKDEEGDMVTITTNEELRWAESSNSDQRNAIRLYIFEVNPGQDPFFDHVRRLEQKQKLLNSSACIDDWILEFAHLFKNYTGFNTDSYLDLHELGIKLYSEAMEDTVTSEKAQEYFQMAADKFQEMVALAFFNWGNVHMSRARKRVYFKEDDDSLSEIEDSYDWAQMEYLKAGERYKEAIKIKPDFYEGFLALSQQQFELAKLSWCYVVGKNVNLESWDSTETVNLYNEAEENMEKGMKIWEEMESERVNGGLRPKLEFQKTDLGKFVEDVKEDEVAERAANMRSQMNILWGRMLYERSIMEYKIGNPVWHESLEMGVEKFEVAGASRNDIGVMIKNHCSNVTTQEGVGFDIDEIVEAWNDMYEAKMWQTGVASFRLEPLLRRRVSKIFHALEHA >cds-PLY82979.1 pep primary_assembly:Lsat_Salinas_v7:1:18008608:18010584:1 gene:gene-LSAT_1X15700 transcript:rna-gnl|WGS:NBSK|LSAT_1X15700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPIDIVGKTKEDASLPKATMTKIIKEMLPPDVRVARDTQDLLIECCVEFINLISSESNEVCGREDRRTIAPEHVLKALEVLGFGEYIEEVYAAYEQHKLETMDTVRGVKCTNGAEMTEEEALAEQQRMFAEARARMNGGVAVGGVVAKQPQVGVEVEVEPNLEAADS >cds-PLY95170.1 pep primary_assembly:Lsat_Salinas_v7:1:170669838:170670913:1 gene:gene-LSAT_1X113361 transcript:rna-gnl|WGS:NBSK|LSAT_1X113361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIETPLGFHRFFSPIILLHHILSKPIRVPSNVSPLLLTIDLSTLFRQGSSKNQECIPALLVLVVRRRGLLVAVTGGVVLFRCRKSIASWQFYSIATSSDQFSPGNVAHHGTTMVQPTVALHHRLSLQALEMLEKKEKVLLKKVGVKVEQRKELTRA >cds-PLY90349.1 pep primary_assembly:Lsat_Salinas_v7:2:199529265:199532327:-1 gene:gene-LSAT_2X120861 transcript:rna-gnl|WGS:NBSK|LSAT_2X120861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSRFAFLFSSLVIFLITATTASGGSNETDYQALLQLKLMITHDPHEALSSWNTSSHFCNWSGVSCGKRHRRVTALRLYSQELEGSLSPYVGNLSFLHFFSIANKSLQGMIPPELGRLSRLRILNLGHNKFNGVIPTNLSGCSNIEELRLYYNNLVGSIPEEISFLPKLTLFAVGFNYLAGGIPPVLGNITSMEVFSIAYNPLGGSIPDTLGQWKSLIEFGVGLCNLSGVIPHSIFNLSLLTHFSVAENQLTGTLPSLLGAMLPHLEYLQIWGNQLNGPLPPSISNCSKLQLVEVNNNNFRGKLTINFAVQKDLVFLDLGDNMYGSGQADDMKFIDSLKNCSKLDTLDVRRCKLKGVLPTSIGNLSDQLSCLDLGGNYLYGHLPSGIGIVGLTTLYLRKNRFTGKIPSTIGKLQKLQLPYLYENQFSGPIPDAIGNLSLLTRLDLSSNRLEWHIPSSLGNCSHLLELYLEENKLSGRIPKELLQISSLTIALDLSQNNLSGSLPTEVGDLNMLTSLDLSNNNLSGNIPNSIGGCTSLVFLSLKGNLFQGMVPSSRGVSTLDLSHNKLSGQIPQFLRRFSFEYVKLSFNDFEGEVPIQGVFANASAFSVLGNSRLCGGLPELGLPKCKETHDKHKKRFPLFVIFILIASTLFTISCFTYVWCKKRKGQLSLSSKDERFMKVSYGQLLKATNGFSEDNLIGEGGFSSVYKGVLGDHVDRIVAVKLIHLQNRGAHKSFIAECEAWRSIRHRNLLKIITSCSSVDFQGNDFKALVYEFMPNGSLHDWLHSTASVSKLNLLQRIKTLIDVASALDYLHNHCLPTIVHCDVKPSNILLDDDMVAHVGDFGLARFIGTNSNQNSTSGIRGTIGYAPPEYGVGSEITSSGDVYSFGILLLEVMTGKRPTDNIFNEGLSLHEFADMVMPDHVTDVIDDDLLNFLQEDAIATQYTSAHAKKIEECLSSTVKIGVSCSMESPLQRMNIENVVHELQHILDKLQNM >cds-PLY90184.1 pep primary_assembly:Lsat_Salinas_v7:7:13524035:13525781:-1 gene:gene-LSAT_7X10741 transcript:rna-gnl|WGS:NBSK|LSAT_7X10741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKTLRMNVGHGESSYASNSLIQESVIRKSETVLQDTIKGMVKTEAAFSKSFVLADIGCGPGTNTLLLASMVIDIVLELRKENDHKAPQFQICLNDLFGNDFNSIFQLLPNFYANLKKEKGENIGSCFVSANPGSFYGRLFPDESVHLVHSSWAVHWLSQIPEGIENNKDNIYMATPSPPNVFEAYEKQFQTDFIKFLQMRSKEVVHGGCMVLTFLGRSSVDPTTTENGGRILELLSQSLLDMVKEGLVQESDLHSFNIPNYTPCEDEVSKAVHNEGSFSINTYNVFQGNWDPRDTDFTNVKDSDEKSHIHAKNCTTALRTVYESLLTSHFGNLLNIDVLFQKLTMKVAEDLANKKIRHLNVVISLTRK >cds-PLY83789.1 pep primary_assembly:Lsat_Salinas_v7:4:41476744:41478285:1 gene:gene-LSAT_4X27481 transcript:rna-gnl|WGS:NBSK|LSAT_4X27481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE4 [Source:Projected from Arabidopsis thaliana (AT1G06230) UniProtKB/Swiss-Prot;Acc:Q9LNC4] MNSEKIFSRKSFKGLNNKKKKNSTPAHSSNLASEDANPSQVLVMGSRVPPGNGGSKFGFRRQENRVTIQLTSKSKQEMREIKRKLVSELELVKRLVKKIEEVGSRPLNQLSISVLENTQGTTTSENVEKEKRTPKANQFYRKSDFLLARDRIPATESHKKLKPSGKKQGGVDAKFSNKLFKSCSTLLEKLMKHKHGWVFNKPVDPLALGLHDYFDIIKHPMDLETVKSRLDKNWYNSPMEFAEDVRLTFHNAMTYNPKGQDVHAMAELLLNFFQEKWKLIEADFIRESKLAVNNEIVLPPPPPPPPAPTIDPKPKPMNPSTMGRTPSSKKPKAKELNKREMTYDEKQKLSMDLQNLPSEKLDNVVQIIKKRNPSLSQKDDEIEVDIDTFDTETLWELDRFVTNYKKGLSKNKRKADFPNQETMEVEPNVQENIPQPIVVDAFKEADETDVQMEKKVEEEVAQGDNKSSSSSSSSSVSGSSSSGIN >cds-PLY97847.1 pep primary_assembly:Lsat_Salinas_v7:2:215873141:215874428:1 gene:gene-LSAT_2X135541 transcript:rna-gnl|WGS:NBSK|LSAT_2X135541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 7 [Source:Projected from Arabidopsis thaliana (AT5G53660) UniProtKB/Swiss-Prot;Acc:Q9FJB8] MAATLGFPFTAAQWMELERQAMIYKYMVASVPIPYELLLPIIQNLPSLASQLKYSTKQDLEPGRCKRTDGKKWRCSRDVAPNQKYCERHMHRGRPRSRKHVELFKNPTTNNSRIRLPNPTSQLSTHSNHCRVLGSTILPSATEAPLFLDSNMHSKTVNNRGLDWSLDVEYEQQWRQIMQTSCSIFNSDFGNFTDFGTPRRDFITDKTSVVKDSSTLDLSMAMGLGLGLGKHERDLGLVSPVSCGTAPGGPLAEVLIPGPSSVAVGSNPTSPYPTGSDSISVSPAATTVSSPCGVLQSQRTMLSLSDGSVCNSPTLASTPTDIVAFQWFT >cds-PLY72140.1 pep primary_assembly:Lsat_Salinas_v7:7:54401021:54402645:1 gene:gene-LSAT_7X39500 transcript:rna-gnl|WGS:NBSK|LSAT_7X39500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWQAYVDDHLMCEIEGNHLSAAAILGHDGSIWAQSANFPQVKPEEVTGIINDFNEPGTLAPTGLHIGGTKYMVIQGESGVVIRGKKGPGGVTIKKTGMALIIGIYDEPMTPGQCNLIVERLGDYLVDQGF >cds-PLY95249.1 pep primary_assembly:Lsat_Salinas_v7:8:269319495:269321591:-1 gene:gene-LSAT_8X156101 transcript:rna-gnl|WGS:NBSK|LSAT_8X156101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSFTLAIFIVLTGILCWQWWSTHVRRRKLPPGPMPLPIIGSLHLLGDLPHRDLHKLSQKYGSIMSIRLGSIQSVIISSPEAAKLFLGTHDAIFASRPNTEAAKYLSYGSKGMTLTEYGPYWRSVRKFCTLELLSVTKVNSFAGMRMEEIRLMVEEMKVASMGRKVVDLDEVVGALVEGMTCRMIFGKKNNDMSFFKRTLDESMEVSGAFNLADYVPILAPFDLQGLTKRFKSLKKDIDGMLDALINEHEESSLIGSQRLGEMDFIDILLSLKHQYSNTHDELSYTIDRSSMKAILLEMVAGALDTAKTSIEWILAVIIKHPRVMKELQKELKTVIGDKKEVEETDLTKLTYLHIVIKETFRLYPISPLLIPHESMEDIIINGYYIPKKTRVIINYWAFGRDPKVWSENWDDFLPERFLDKDIDFRGADCQLIQFGIGRRGCPGMNLGLLTVGLVIANMIHCFEWELPDGMSPSDLDMNEKFGLTIPRIKPLLAIPICRI >cds-PLY78735.1 pep primary_assembly:Lsat_Salinas_v7:9:49058863:49060287:1 gene:gene-LSAT_9X41540 transcript:rna-gnl|WGS:NBSK|LSAT_9X41540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQFSASFWAPHLVLLLDQQKQLKNCLKHTTYNDAFLDRPHNSTLDYFTYGGSGFFFAPYGAYWKFMRKIVISELLNGKTLESLLPIRRDEMIRLLKVLSQSAKVGKSVELEGELIKMTNNVISRMLMSKWCSGEDDKAEDIREIITEIGELIGTDTSALTTEWALSELINHPNIMKKAVEEIDHVVGKNRLLQESDIPNLPYLQAIVKETLRLHPTVPMIQRISTKDCIVGGYDIPAKTTIFFNVWGHGRDPTNWENPLEFRPERFEGRKLDVRGQDFQLLPFGSGSRMCPGISLGLHVVHATLGSMIQCFEWKVGKEGNLTSVDMEEGIGITLPRANPLVCVPMARLHPIHPGCQFMTRSSR >cds-PLY96396.1 pep primary_assembly:Lsat_Salinas_v7:2:88886056:88888257:-1 gene:gene-LSAT_2X37941 transcript:rna-gnl|WGS:NBSK|LSAT_2X37941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNETASISVLPEVSLSEILSLTSPRNVCRAATVSKEFKSAADSDTVWKRFLPPDYDEVIAQAVSPVAFDSQKKLYLHLSDSHILLDRGYLSFQLDKESGKKCYMLGARGLSIAWKDDTRYWEWGHIPESRFPEVCILRQVWWLEIRGRIAAVKLSPKSTYVAYLVFRTTGYVSGLAVPGKTKVIYGGTEMKTENVYLERPGAIGRTGHVPVFPWRRKDGWMEIKLGEFECNEGDDGVVEMAFDEHEAWKNGLIVEGIELRPK >cds-PLY71248.1 pep primary_assembly:Lsat_Salinas_v7:1:154756057:154759758:-1 gene:gene-LSAT_1X107200 transcript:rna-gnl|WGS:NBSK|LSAT_1X107200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:BB2 [Source:Projected from Arabidopsis thaliana (AT3G63530) UniProtKB/TrEMBL;Acc:A0A178VG02] MDGNGNRQMEVHYINTGFPYTVPESFMDFFDGISHQTSHFPHSGPIHDQEHAYWAMNMSSYKYGLPLPGIGNVPYYDPYEVHNYAPRMDMNRSAWEYPVMMNVTESATTDVQSAENSVPSMQAIPEECSPNHDSASSSQVVWQDDIDPDNMTYEELLDLGEAIGTESRGLSQDLIDSLPTTRYKSGGFFLRKKSGERCVICQMRYKRGDKQINLPCKHVYHTECGSKWLSINKVIN >cds-PLY97298.1 pep primary_assembly:Lsat_Salinas_v7:MU038264.1:125371:126904:1 gene:gene-LSAT_0X29140 transcript:rna-gnl|WGS:NBSK|LSAT_0X29140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEAHQENNLRVICKKQHHTSDKDNNRQKDYSARFSNILASRMIDAVGEIMKGLRCCIGRPLPINITTVYCKGEGMEISVIQIDNLISDQLNTIASKNNIQTSANGATKFLLV >cds-PLY79338.1 pep primary_assembly:Lsat_Salinas_v7:9:54782528:54783203:-1 gene:gene-LSAT_9X49100 transcript:rna-gnl|WGS:NBSK|LSAT_9X49100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGDLCYAAAQTSALMVAATNRVRRASANQGQLKMLHGALACMKVEVHVSEAGRQVLVDQNNIVACEKTALEDQDATLENRSEQLEDQVSSLTREKDMLANRLAMVIESAEFASRVWGVREACEALGFEKGKKLGGFPTIVGEPAVPDPGCIARRAEEVDDALSSLAETDFTGLFCLGKLDHNSFHQFCGR >cds-PLY64265.1 pep primary_assembly:Lsat_Salinas_v7:7:2126143:2126808:1 gene:gene-LSAT_7X900 transcript:rna-gnl|WGS:NBSK|LSAT_7X900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDQILLSLTHGDHFSTNDSGYQMIEQPGAIYLRYLVDIHKKYLMNYEFNTSSLAERRIFLAHYQTITYSQTSCGANSLHFPSHGKPFSLRLALSLSRGTLVIGSIGTGRSYLVKYLAKNSYLPFITVFLNKSLDNKSQGFDNIDVDASDDSDASDDIDASDDILDMELELLTSMNALTMDMMPEDEDLLYITLQLELAKAMSPCIIWIPNIHDLDVNESN >cds-PLY99044.1 pep primary_assembly:Lsat_Salinas_v7:6:151873078:151882973:-1 gene:gene-LSAT_6X90740 transcript:rna-gnl|WGS:NBSK|LSAT_6X90740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:G2484-1 protein [Source:Projected from Arabidopsis thaliana (AT4G17330) UniProtKB/TrEMBL;Acc:F4JP43] MDYDDNDAQEQNLHLTGEGSSKVSPVLHSYPLPKFDFDDNLQEHLRFDSLVENEVFLGITSQEDNQWIEEYSQGPSAIPFNSSVAESRSQNVWSEATSSESVEMLLKSVGQEEKVLEETMAEELDPNLKQCDGNDQDEFQENFIEQSHVTFTSQSQETHISPGELDSVVVSDKYGLSFGDKKADNLCDDANPEGSNLADEMQEDPSVNKVECGNADTIQNVDASVEECQESPLAVPETLNENETDLSVNYSNESEHIDKICDDANHVVNDLANEPIVVELQEDPFVSKVECGNAGSSQNVNASVEVLETHNENTDDLPKSFHSSAPEHIDNISDDANEEGNNLGNESFANESQEDLSVSKVEGGIAGSSLNVDASVENPQEVLETPIENTDLSKNFNSSEPGHIHKIHDDAKEEDINLADESFVNELQEDPSVSKVEEASIEEHQENEHASDLSKNFHSSEAEHVDKLCDDVNQKDSDLGSKSFVNELQDLSASKVEDGVAGSSQNVDASVVEGQDNTQEVLETGNENASDLNFHNSEPEHIAKISDDANQEGSNLASESLVNELQEDPSVSKVEGSDNNNVGSTLLSTNSSAEVPVVDISSEDEGAENNAPNIDTKDSNQSLPVNISNVVKVGDETQSSELDAASMDQDFTFSERGDARDPLESKDMDIDEVEAPNSQKNVEPSLSGNATPNIDTEDSNQSSSVKISGLAHVGDKETQSLEPEDVSMDQGFTFNERGDTTLHLDYKDTDMDVDRAHDTQKNDESSLSTEVCEEAIVMTQISEPDIVALPDAVADVESSKISSPVTQEADIVAEDQPRSPILGVSLLHDDNKEKAEVGGNCQKGAPQVDSSPLPLVKKDSDSHDDLPVGSSDVGPSEQTVDVNQSDLKCSKPLETTPLSYDLSEEANNADAGGLLDPKESMAGEGGVEPLNLTVNISNEQQASVSVAVPSVHETEGGSASLDKDKPQIFSFLDTKSIEPSQSAKDKHEVTKGTMENTPLSKISNEGYGGLLSVSSSSARKEGKSFTFEVTGQTGIQNIDFSKVSPVSSSGGLLDSNKPHQDTLVTPQTPSVAPVESGAKKTSERKPRRKSVGKETAKKGNHSKETTPTRRSGTEKSPSPLITSENQPDLNIPTSIPHQSFTDIQQVQLRAQILVYGSLISGMSPEEPHMIAAFGQSDPGRKAWEAAWHACIERVHGHKTQVNNPLTPLQSRSANRDPEQETKTDTAQSKVLSTPIITGSIVSLSPMNPIPSPLWNISTPHDRLQTNPTPRSAIFDYRQTLSPLHPFQAQRVQTFAGHNQSWPSQGPFPGQWLASSPLSPFNARFSSLPITESVKLTTVKESGAPGLSVTPVDLSSSPIISPIPPSSISHIKNTIVSSSQPSNDSKTRKRKKPTPNIVDLTQTPVSSPPPPVPFSTPVSITPKTNQFLSALSPETTHGQPKPVDRNTEKTVTKEEIMTKIEESKLQATEAAEHAAVAVTHCQHVWSQLERQKSSGLASDDEAKLASSAVSIAAAASVAKVAAYAAKIASNVAEQARLMADEVLLSSRTEIHKTTTPASVISVAREAARKRIEAASAASKHAENLDAIVKAAELAAEAVSQAGKIVAMGKPLAISELVEAGPEGYWKTPQASKKQNVEAASSDKKIQTLKHDDLFKNQMMITSYENDKSTARIREGIDLTKTIGAVPDLEIGPSDASNAADVDMMPQSTSPTWKDNNIKEGCLVEVYKDDNKNKGAWFAANVLTLKDGKAFVCYTEIPSDEGSGKLMEWVPLEVEGTEAPRIRIAHPLTTMRFEGSRKRGRTVFSDYAWCSGDQVDVWVQDSWHEAIVVDTNKIDLTSLTVQFPAQGKTSIVRSWHVRPTLVWKDDKWIEWSSLKGRHSSEGDTPQEKRQKFDKEKDKAWNNIETSSRHQESRIPTFSSQNTSFDIGKTSMDDNKQKTRGPMRSGFQKERSRVVFGVPKPGKKQKFMDVSKHYVGDGSSKNNNNNTTTNINPLRKIFTNESKEKQIAEAKSKPLKTTRKPPIPTIVRTLAQKDKSKPSVSDKNVSADENMPGQQQKDIGFGSSSSSSKDAPLNTPKQSSTSKKVVVSERLNKRKIPSGNDKSTKLEVKENLTSESEPRRSNRKIQPTSRLLEGLQSSLTISKMPTVSYASQRNHNKGMSKGSPRPG >cds-PLY97677.1 pep primary_assembly:Lsat_Salinas_v7:8:8266555:8268739:-1 gene:gene-LSAT_8X6421 transcript:rna-gnl|WGS:NBSK|LSAT_8X6421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFFGSSEISPSPPVPTASGNNAHMMYVFNRNGVCLLYREWNRPLRTLDSNQDHKLMFGLLFSLKSLTAKMDPSSSVEKGNLGVPQLPGQGCSFHSFRTNTYKLSFMESPSGIKIILVTHPKASDLRESLKYIYNLYVEYVVKNPLYTPGTPIKSELFNTTLDQYVRGLG >cds-PLY81536.1 pep primary_assembly:Lsat_Salinas_v7:2:127854720:127855316:-1 gene:gene-LSAT_2X59620 transcript:rna-gnl|WGS:NBSK|LSAT_2X59620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQPWCGNSNLGVSFENTRRQEEFQEMLQQEMVSQGGVTQGLDLRNSGEVQNQTNIDMTSFDGCNIDLEFMEAALIPCGMYSNGGSLDHLAWDCN >cds-PLY85761.1 pep primary_assembly:Lsat_Salinas_v7:1:47929699:47929941:-1 gene:gene-LSAT_1X41760 transcript:rna-gnl|WGS:NBSK|LSAT_1X41760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVYGSTTKVASTNSNHCCFVRPVSMVCYVQLEARSRLVLVAHGVTGSRWRPGLIGIVSRREVVAGESQGEEGDDSIQER >cds-PLY88068.1 pep primary_assembly:Lsat_Salinas_v7:6:181200638:181205593:1 gene:gene-LSAT_6X109560 transcript:rna-gnl|WGS:NBSK|LSAT_6X109560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sucrose-phosphate synthase 4 [Source:Projected from Arabidopsis thaliana (AT4G10120) UniProtKB/Swiss-Prot;Acc:F4JLK2] MARNEWINGYLEAILDVGANIQSQKGVDTKMKIEKLQDNVKRDKHFNPTNYFVEEVVNSVDESDLHRTWIKVIATRNTRQKSNRLENMCWRIWHLTRKKKQIALEDTQKLTKRRIEREKGRNDAAEDLSELSDGEKEKGEVMPVNKISRINSEMQIWSDVVNQSRQLYIVLISIHGLVRGDNMELGRDSDTGGQVKYVVELARALADMEGVIRVDLLTRQIACTDVDYGYGEPIEMLSCPPEGSGNCGAYIVRIPCGPRDKYIFKESLWPYIPEFVDGALSHVVNMARSLGEQFDNGKPVWPYVIHGHYADAGEVAARLSGSLNVPMVLTGHSLGRNKFEQLLKQGRLSKEDINSTYKIMRRIEGEELALDAAEMVVTSTRQEIEEQWGLYDGFDVKLERKLRVRRRRGVSCLGRYMPRAVVIPPGMDFSFVKAEDIDSPEGNGDLQSIIGRDKAQNKRAVPPIWSEIMRFFTNPHKPMILALSRPDPKKNVTTLLKAFGECKPLRELANLTLILGNRDDVEDMSSSSGVVLTTVLKLIDRYDLYGQVAYPKHHKQVEVPEIYRLAAKTKGVFINPALVEPFGLTIIEASAYGLPVVATKNGGPVDILKALNNGLLVDPHDQKAIEDALLKLVADKNLWAECRKRGLKNIHRFSWPEHCRNYLSHLEHCRNRHPTTRQKIIPTKEEPMSESLQGVEDLSLRFSIDGEIKSNGDLDPAIRQKELIETLTKMSTNRKPNPSYSPGRRQALYIIAVDSYDANGDPTETMSMIITNVMELAVGKPGEIGFILLTGLSLQEIKEVLKRCEVNIESFDALVCSSGSELYYPWRDLVVDEDYDNHTEYRWPEENVRSTIVRIARQENEEDDNMVEQIKTSNSSSRCYTYIIKQGAKTRRVDDLRQRLRMRGFRCNTVFTHAAKKLNVIPLFASRAQALRYLSVRWGMDLTKMFVFVGEKGDTDYEDLLVGLHKTIILKDSVEYGSEKFLRSEESFKKEDMVPPETSNIAISKGYEIDDISEVLGNIGIN >cds-PLY83305.1 pep primary_assembly:Lsat_Salinas_v7:1:63585798:63588904:-1 gene:gene-LSAT_1X53680 transcript:rna-gnl|WGS:NBSK|LSAT_1X53680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSSRNKQILELSVGTSNSSVVSNAGDEEHSHSHSHSHSCSNLTYGFEILKQNNLEEFQEHRSDHMVVTKQLFPAIDGGGGGGGFIQQHWLDNGDDDRLFMLRQQQQQQQQQLHIQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHSAARAYDRAAIKFRGVDADINFNINDYEDDLNQIKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEIEAARAYDKAAIKCNGREAITNFDPSSYEGELSLETNNGDKSDYMDLNLGIAPPSLTEGAKVDDDTFRSLHEINYILGDMSSQAARTTGTTVDNHPLSHGMGERLTGVLPLFYYFLAPLLRDPPYWAAPRQVKAHCGGATPPVSFLPAAASSGFGNSPSPAAPAPAPPIPHCPYNVNNIPLYYCRS >cds-PLY81035.1 pep primary_assembly:Lsat_Salinas_v7:9:174429571:174433542:-1 gene:gene-LSAT_9X106281 transcript:rna-gnl|WGS:NBSK|LSAT_9X106281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGSDGGAAAKTVVESKEWYLAAYAPENVPTSDHLKLRSVTISLEYDSVADQHVAVQLLLISVDPYLRSTITGRDGDLYLPRPPLNKAITGFGIGRVVRSKNKNFNEGDIVINPFSPVAEYSIIPADFLRKIDQTTDIALPNYLSCLGVPGFTAWVAIEVLGNPKPGSNVFISAAAGGVGMFAGQLAKLKGCRVVGSTGSNDKVQLIKDEFGYDEGFNYHEESDFDVALAKYFPDGIDLYLDNVGGKLLDDVLNHVNKGANIVISGMISQYNTIPAEREGVKNLLNMVGKDVKMQGFLCGSYLNQFGEFAQQMEKYINEEKIKPKHKINQGIESFFESFVSLFSSSNLGKVIVQVAT >cds-PLY66278.1 pep primary_assembly:Lsat_Salinas_v7:4:63715432:63718893:-1 gene:gene-LSAT_4X44660 transcript:rna-gnl|WGS:NBSK|LSAT_4X44660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTISNLFTVLFLLVSNAFVYGICDASSYSSLVSSSLDHQLHAPNPITEQPLAKIALHKAIIALHASASVRVNPTLLGAKGDDTEWVNVELDYPEATQADWVGVFSPAKFNSSESEYCSSETPFICTAPIKYKFANHSTFDYIQTGKASLSFQIINQRADFAFALFTGGLENPKLVAVSDPISFANPKAPLWPRLAQGKTWDEMTVTWTSGYNIDEATPVVEWGWKGQSQLSSAGTLTFTRGSMCGPPARTIGWRAPGFIHTSSLKELWPNTIYDYRMGHMLLNGSIIWSKTYTFKSSPYPGQDSLQRVIIFGDMGKAERDGSNEYATYQPGSLVTTDELVNDLDNYDIVFHIGDLSYANGFLSQWDQFIAQIEPISSIKPYMIASGNHERDFPNSGSFYDTRDSGGECGVPAQTMYYVPADNRAKFWYSTDYGMFHFCIADSEHDWREGSEQYAWLEKCFASVDRQKQPWLIFAAHRVLGYSSNNWLANAGAFEEPMGRANLQKLWQKYKVDIALYGHVHNYERTCPIYQNQCVNLETSHYSGTVRGTIHVVVGGGGGHLSDFTEINTYWSLYKDHDWGFVKLTAFNHSSLLFEYKKSRDGLVYDNFTISRDYRDVLACVHDGCEATTLAI >cds-PLY90384.1 pep primary_assembly:Lsat_Salinas_v7:9:173528684:173531566:1 gene:gene-LSAT_9X107241 transcript:rna-gnl|WGS:NBSK|LSAT_9X107241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQASPFLVSVILLLLFQFINGEDPYRFFTWNVTYGDIYPLGVKQQGILINGQFPGPQIDSVTNDNLIINVFNSLDEPFLLSWNGIMQRRNSWQDGVFGTNCPIPPGGNFTYNLQVKDQIGSFFYFPSLAFHKAAGGYGGIKVASRSVIPVPFSPPAGDYTVLAGDWFKQNHTDLKAILDNGHDLPFPDGLLINGRGSNGFTFNVDQGKTYRFRVSNVGLTTSINFRIQGHKLILVEVEGTHSLQNTYDSIDIHLGQSYSILVTTDQPPKDYYIIVSTRFTTPVLTTTSALHYSNAVSGLDDTPPGGPTTEIDWSLNQARSLRRNLTASGPRPNPQGSYHYGLINTTRTIRVVNSAPVVGGKQRYAVNGVSFVLPDTPLKLADYFKISGVFSLGSIQDGPSGGVNLQTAVMAADFRGYVEVVFENPEDSVQSWHVDGHHFFVVGMDGGQWSEASRTGYNLRDTISRVTVQVYPKSWTAVYMPLDNVGMWNVRSENWARQYLGQQFYLRVYSPANSWRDEAPIPNNVILCGRAVGHQP >cds-PLY72907.1 pep primary_assembly:Lsat_Salinas_v7:1:208613068:208615242:-1 gene:gene-LSAT_1X120961 transcript:rna-gnl|WGS:NBSK|LSAT_1X120961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRMMLEIPIPEKWKQLYYVWDIRVFIILSLFFQMLLILVAPLRKRTKNNWIILSLWCSYLLADCAANFALGLISSSQGNPNENQAKKDSRVGIHHKDLLAFWAPFLLVHLGGPDTITAFALEDNELWPRHLFGLLFQCVVAFYVFIQSLPENRLWIPTMFMFLTGFIKYAEKTRSLFLASANRFKEYMFPTPDPGPMYAKLSVEYHSRREAKLPTRIQMVPEPERAAISVKNSIKGDLTELEMVRHAYEFFKTFKGLVVDMILINRRQQNRSRDFFLNRTAKDAFKVIEIELNLIYDVLFTKLPVVFCLTGAISRFLSFATICAGIFLFIFEDKTNFRSFDVMITYILLFGALILDVTALLMLLFSDWTIIYLWKSLHVEIDKKSIKTTIISAFLRLLTYQDTKDHPQTRKWEIKFLRRRWWEFISTYNLIDYCLHPRPTLNQRFFDKFGLTGFFDGLKYVEYKNVDQKLKDFIFEELKIKSELADDLETTKEISSARGSWVIQLQQDWHSLLTHVVDVDYDQSIIIWHIATELCYNKELQKETKDDKKDHNLRDIAKVLSDYMLYLLIMQPNMMPVIVAGIGQVRFRDTCAEVKRLLDIGVDWDQKNACMKILDVPTDVPPVTISGDRSKSLLFDSCILAKDLMGIEEKDPSENNKWLIISKVWVELLCYGASHARANTLADQVSKGGELITIVWLLIAHFGLGDQYPINEGKARAKLIVGK >cds-PLY66060.1 pep primary_assembly:Lsat_Salinas_v7:2:206565452:206566610:-1 gene:gene-LSAT_2X127280 transcript:rna-gnl|WGS:NBSK|LSAT_2X127280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTLEQEISADQFPTARILLSIWIFSVVSLVFIYLGSDKDGSVSITNITHRFYHPTHLPPPQSPSSSSSKDDEKSYGALLEEALAKVSNENKTLIIAFVNKAYMEGDKPMLDIFLDGFWVGEDTYKLTKHLLVVAVDQPAYDRCTFLQLHCYKLKTEGVETLFMADDLLQMMWRRTLFLGHVLKRGYNFIFTDMDVLWLRDPFPRLNVDESLDLQISVDKFNGDQWSEDNPINTGFYMIRSNNKTIALYDELYGEKDNSVVGKKEQDVLLDLMRRGEFERLGLRVRFLDTIFFSGFCENSKDVKKVSIVHANCCRSIKAKESDLIKVLHDWRRFKASFNDEFHWSDHRACRNSWNRR >cds-PLY64310.1 pep primary_assembly:Lsat_Salinas_v7:4:24805221:24806881:1 gene:gene-LSAT_4X17720 transcript:rna-gnl|WGS:NBSK|LSAT_4X17720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAKRSYEYLTNHVPKLLLVTIIFAIFIQAFKQAPYQLLDDNYFIHTLTFCLLAISILAFYFLNKPQTIYLVDFACFKPPSMLRVPYATAVEHAHIILASEPKSVSFQVKIFDRSGLGEETCLPHSLHYLPPKPNMMDARDEAEMVIFSAMDSLLQQTGISPKDIDILIVNCSLFAPTPSISAMVVNKYKMRSNVKSYNLSGMGCSAGLISIDFAKNLLQVHHESYAVVISTEIITPNSYTGKERSMLVPNCLFRIGGAAILLTNKRSQRKHAKYTLLHVVRTHKGSEDKSYRCVTQEEDKEGHVGIALNLDLMVIAGNSLKSNISSIGPLVLPASEQLLFIFNLFGRKFLKLDLKPYIPDFKKAFRHFCIHAGGRAVIDELQKSLRLTSEHVEASRMTLHRFGNTSSSSLWYEIGYMEAKGRMKKGDRVWQIGFGSGFKCNSAVWVCNREIEAAKNGAWADCIHRYPVNEPEAVKP >cds-PLY72961.1 pep primary_assembly:Lsat_Salinas_v7:8:135566470:135569522:-1 gene:gene-LSAT_8X94241 transcript:rna-gnl|WGS:NBSK|LSAT_8X94241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVATAATASLFPVSSPQPNGAKTSGKHGGVPDNLDVRGIKTKSVNSGSNGMQVKANAQAPTEVNGSRVRLMNGIKNDDNLTSHAPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLEWKTKRPDMLADLDPFGLGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHFQETALNHVKTAGLLGDGFGSTPEMCKKNLFWVVTKMQVMVDRYPTWGDVVQVDTWVAPSGKNGMRRDWLLRDWKTGEILTRASSNWVMMNKETRKLSKIPDEVRGEIEHYFVDAPPVVEDDNVKLPKLEEAKADYVRTGLTPRWSDLDVNQHVNNVKYIGWILESAPKEVVEKYELASMTLEYRRECRKDSELKSLTSVLGNGNGIGDSGSGHVDCQHVLQFQGGGGGEIVKGRTGWRPRYENQIKGIDVFSGGKA >cds-PLY94948.1 pep primary_assembly:Lsat_Salinas_v7:4:109089761:109090638:1 gene:gene-LSAT_4X71240 transcript:rna-gnl|WGS:NBSK|LSAT_4X71240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAITSVSCNVSIKTRMSFTDCVGLPNCPTLDFGQPGRGSSCSRIPMRVTMRDRSKNRKPLQKGRNLSIEAIQTIQALKRAPKSVEKQQVIDSKFSRLLKFDMMAILRELLRQENSVLALMVFAEIKKEYWYKPQVSLYAEIISVLAKNNMYDDVDMIFLELKTEKGRLEGKTEGFNLFLETLMSYNITRLAMDCFELMKEVGCEPDRSTFKLLVSYLESKGERSLSESIRQEAWKYYGDSIEYVDEQDEMATS >cds-PLY73577.1 pep primary_assembly:Lsat_Salinas_v7:4:320920529:320921683:-1 gene:gene-LSAT_4X160141 transcript:rna-gnl|WGS:NBSK|LSAT_4X160141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQITFSYTSSSSSSNDHPPKTISSSRLSYHNSLHTVRKAIQKPTSKHFIAPLPPTPPKVYKVDSSNFKETVHALTSDTKYQSSSVRRLKDIAPPPLVLSTVPKPSLFPRPLPPSEGGGNVSPLSALTLSPDFCKFLNETLDTTRFKSKSPVIDYFAGLSPLGLSLSPVTRGYDPSGVALMSTFGLSLSPSSLSWCSSLLLSPSTLSGITQNPIL >cds-PLY83451.1 pep primary_assembly:Lsat_Salinas_v7:9:88467889:88470916:-1 gene:gene-LSAT_9X69060 transcript:rna-gnl|WGS:NBSK|LSAT_9X69060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSVNTSINEVGKCLDSQLWHACAGGMVQLPPLNSKVFYFPQGHAEHAASGNVNFGDFSRIPPYILCQVSKVTFMADPDTDEVYAKIGLLPLRNLNDFDSDDNGILGFDQNNQNQENPTSFSKTLTQSDANNGGGFSVPRYCAETIFPKLDYTADPPVQNILAKDVHGQIWKFRHIYRGTPRRHLLTTGWSNFVNHKKLIAGDSIVFLKSDNNDLCVGIRRAKRGINENNDKFFRNPIEKFNGESVFESVKLAANGQPFEVFYYPRASTPEFCVKASTVMAAMRIQWCPGMRFKMAFETEDSSRISWFMGTISSIHINDQQLSPNSPWRLLQVSWDEPDLLQHVKRVNPWSVELVSSIHLSPFSPPRKKLRILQPPDLPPLTTANHHHPTSIQGARQNNSQFGQNNHLKSFPIEIANPLTRFKSTSQTTKETDEHISCLLTMGNTFKMNDDDNDEKDKSKNKKKPIFVLFGQPILTEQQLSESWSGDVAAAPNVSDGSVVLQNGPVESSSDEAGPWSKKSKQQKSTRMTS >cds-PLY79353.1 pep primary_assembly:Lsat_Salinas_v7:9:54707712:54708335:1 gene:gene-LSAT_9X49301 transcript:rna-gnl|WGS:NBSK|LSAT_9X49301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQGCGYKPHEIAQALEMVNVMPDPVSPVEMDVNVNEIEDHLEADLEEDVNEIEDNLEMGVDVNEIEDHLEAEGGVIHDLVPPVQGEVIIQDLDANSWVSEDDEETEYNFIEDTQVVGSHRKRKISERIMKINLKKAVYDKDGRGSSIEKPVNLE >cds-PLY63175.1 pep primary_assembly:Lsat_Salinas_v7:4:311108195:311108873:-1 gene:gene-LSAT_4X156780 transcript:rna-gnl|WGS:NBSK|LSAT_4X156780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTITSPLHQILKATLEFTKSTYLTKYKICSWSIQTSIHPHSSQQAITHLSPQKMNTAIHITCNTTIEGRLSNVSECTSSSSSSVSDQEDCTQINSSGGRRSRKWRKFMKKVIEGSKKSIYGSSKPLIFQYDAVSYSLNFDEGKHSDENYMYGSRCSQV >cds-PLY61806.1 pep primary_assembly:Lsat_Salinas_v7:6:57201134:57203189:-1 gene:gene-LSAT_6X42481 transcript:rna-gnl|WGS:NBSK|LSAT_6X42481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEENNSNDTRHSGAGDVDDRSEASDYTSEDEGTEDYRRGGYHAVRIGDTFKHSRYVVQSKLGWGHFSTVWLAWDTHKSRYVALKVQKSAQHYTEAAMDEITILKQIAEGDPDDKKSVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTMIKYSDYRGIPLYKVKQICYHILGGLDYLHRELSIIHTDLKPENILLLSTIDPEKDPPKTGKPLILPSEKVPPDTGASKDSKILNGDLTKNQKKKIRKKAKKAAQNSSGKEESEDVEACKEKSMDSSHGNRRRSRSARKKLLTEVDVKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVLLGSKYSTSADLWSFACICFELATGDVLFDPHSGENYDRDEDHLALMMELLGTMPRKVALGGRYSRDFFNRHGDLRHIRRLKFWPLNKVLMEKYEFSEEDANELADFLVPILDFVPEKRPTAAECLNHPWITGQIQMNSREKAEREAMEVGIGKIAIGGVDNSELR >cds-PLY83559.1 pep primary_assembly:Lsat_Salinas_v7:1:64763364:64769452:1 gene:gene-LSAT_1X55881 transcript:rna-gnl|WGS:NBSK|LSAT_1X55881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKAPGNSNDSDIAHNNPPRSDLNSSQQWFMEGAEAELFPNKKQAVEVPHPNSYTALLNSNISSWGNSSSFHSQFTERLFDDETSSISYSGIRKVKVNQVKESEGFVSVSLGHTYSTGDNINNTINDHAYSKADDESITMGLSFDRGNDSMISMADTFNRGDNSFMSMGEPYSCPSASKDNSNITTMTQSFIKEDDNNIAMVAFNEHSNALLMDQVFNKDDTMSKTDSSGLSMRHSFSKVENNIISFGGLSDDADNMNSSGRLVCNYDFLMGQPSVQRLEEHGFSESTSDALVSGVQVDTILRKKDQKGNKKAPPNNFPSNVRSLLSTGMLDGVAVKYIAWSREKELRGVIKGAAYLCGCQSCTFSKAINAYEFERHAGCKTKHPNNHIYFENGKTIYGVVQELRNTPQSVLFDVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKEEGKIVS >cds-PLY61803.1 pep primary_assembly:Lsat_Salinas_v7:6:58388721:58390017:1 gene:gene-LSAT_6X43121 transcript:rna-gnl|WGS:NBSK|LSAT_6X43121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPVRPLPVNPLNKPINPTSKVGNNPFDGFTPSQIQNDAFVLVAEGLGERLGYNGIKVALPMENTTGTLVSCNTTSSPSYAYLKLVVLKLKEKVACLADNDAKLVVDPNNKYRMQTKPHGDGDVHSLLYSSGLLKEWHVYIFLFDWFLFLLEHLSHYSLLSNLFMKDASLRWVVFFQDTNGLLFKAIHASLGVSETKEYHVNSLSVPCKPKKLLDTLEGLLIQMLNLVELSCY >cds-PLY73959.1 pep primary_assembly:Lsat_Salinas_v7:4:159956890:159959292:-1 gene:gene-LSAT_4X97300 transcript:rna-gnl|WGS:NBSK|LSAT_4X97300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPTENWDVTRINVAHIDHPVGVIDPNKNRRSRGEEEPINDDTSRFSPTSVAMRTNGEAMTEGGDREARRCAVRYSGCRDQSRSSVGLEEAEETE >cds-PLY66722.1 pep primary_assembly:Lsat_Salinas_v7:6:79503377:79506763:1 gene:gene-LSAT_6X55000 transcript:rna-gnl|WGS:NBSK|LSAT_6X55000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIECSVCHSKLVNPSAKTVSRAYDRHRSKLSKKHRALNVLLVVGDCMLVGLQPILVYMSKVDGKFNFSPISVNFLTEVAKVVFALVMLLIQARNQKIGEKPLLSVSSFVQAARNNVLLAVPAFLYAINNYLKFTMQLYFNPATVKMLSNLKVLVIAVLLKMVMKRKFSIIQWEALALLLIGISINQMRSLPEGSTAMGLPVAMGAYVYTFIFVTVPSMASVFNEYALKSQYDTSIYMQNLFLYGYGAIFNFLGILGTVIIKGPESFDILQGHSKATMLLIVNNAAQGILSSFFFKYADTILKKYSSTVATIFTGFASAALFGHTLTINFMLGISIVFISMHQFFSPLAKVKEDENGVLELEPVQSNHRDAFVNIAAGANEEATHHVEADERRPLLPT >cds-PLY80700.1 pep primary_assembly:Lsat_Salinas_v7:5:219079569:219083541:-1 gene:gene-LSAT_5X103581 transcript:rna-gnl|WGS:NBSK|LSAT_5X103581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPSPAPTAPPVNTTSPPPAAAPPLPTPTTPVSPSPAASSPPAPVPPASSPPAPDATPPSVSPPAPPTSTSPPPSTTSPSPPTPRNSPPSPSGRSTPSPPPPRSSSSTPPGPSSSSDSSSGISTGVVVGIAVGGVLILAVLTILFLCCKKKRKRSQAPVGYYVPPLPPPKVDSYGGPQQWQQSAPPPGDHLLTMPPLKPTPPPAPSAAPINSPPSQPPPPALFNSGGSGSNYSGGSNPLPPPSPGMSLGFSKSTFTYEELARATDGFSEANFLGQGGFGYVHRGVLPNGKEVAVKQLKTGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGAQRLVVYEFVPNNTMEFHLHGKNRPVMEFPTRLRIALGAAKGLAYLHEDCHPKIIHRDIKAANILLDFNFEAKVADFGLAKITSDVATHVSTRVMGSFGYLAPEYAASGKLSDKSDVFSFGVMLLELITGKRPVDSAQTFMDDSLVDWARPLLTRAMDDGNFDTIADPRLQKDYNHSEMARMVSCAAACVRHSARRRPRMSQVVRALEGDVSLSDLTEGTQPGSVGSLYGSRGSSDYDTAQYNEDMVKFRKMALGGTQEYASSEYSRPTSEYGLYPSGSSSEGQNTREMEMGKYKREGFSDGF >cds-PLY88663.1 pep primary_assembly:Lsat_Salinas_v7:7:47663612:47665137:-1 gene:gene-LSAT_7X34280 transcript:rna-gnl|WGS:NBSK|LSAT_7X34280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEDQRDLYYHDPFHDDQRTSETLFSFFGPNSTVRDDSSPPNHQRFHDYMGLSHFFNGSTGYNTSTTTFGYSSSSSQQVFSLHDDQNPVPIPGNLIGVNEMTPVTPNSSSVRSSSTDAADEEHELNEGKKENQTKSIPEDVEDCPKKVSKQKKKEEKKQREPRFAFMTKSDIDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCTVKKRVERSYQDPSTVITTYEGQHNHHLPATLRGNVGGMLYPPSMMVAQGAMMAGSGGGSSFPNEFLAQIPHGFYNSGGGGGGGGGGGGGGGLFNYNQTTLTPLQQLQIPDYGLLQDMVPSMTFKQEP >cds-PLY70137.1 pep primary_assembly:Lsat_Salinas_v7:3:11181477:11182721:1 gene:gene-LSAT_3X7101 transcript:rna-gnl|WGS:NBSK|LSAT_3X7101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHRIDSSDLEGVREKMKTMVEVRKKAVTEGVDCIIPMSSTSYLVGFALNRIHFIMLTLLLVVILSVTHVVQWCPATPKPSETPLQKNTSSLPKAPPQGNTMLVINVVFARETSRNSVETLVTSNKESIYTMG >cds-PLY74544.1 pep primary_assembly:Lsat_Salinas_v7:7:33127366:33127881:1 gene:gene-LSAT_7X24461 transcript:rna-gnl|WGS:NBSK|LSAT_7X24461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTLEGFIFNPIGVISWKNLKSLTISYGNLDEDLVENILSGSPVLETLVLRYCYGYKLLDITSKSVKNLVFSEYMDPEDEFEADIIEINAPNILSLTIRGELLLWKLLLLNVSSLVEANLDYMNGETTPIEVEEEMFKGFILNLHHVNELKIGAFCSKVKFIFH >cds-PLY71513.1 pep primary_assembly:Lsat_Salinas_v7:5:122048075:122048797:1 gene:gene-LSAT_5X52941 transcript:rna-gnl|WGS:NBSK|LSAT_5X52941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWYLNLKHTKTQVVRGDGWVDRCCEHGYVGVCRPGTEDDQKCADACKMHCTTHETSGKCVEANVCRCIECID >cds-PLY78663.1 pep primary_assembly:Lsat_Salinas_v7:9:51242912:51250624:1 gene:gene-LSAT_9X46241 transcript:rna-gnl|WGS:NBSK|LSAT_9X46241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFGRVPSYDNFRPFGCRVYLYLRDYSPHKLSPRSIPCVFLGYHSQYKGYLCLDPRSSRIYITRHARSEGFCLGEMGKEKKSGGCFGWFIVLIVVGAIALALGLTLRNKFHKGGGDAPVPGPPGAVTKKYGDALEVALQFFDIQKSGKLENNEIPWRGDSALQDGKDADLDLSKGMYDAGDHMKFQFPMAYTATVLSWAILEYGDQMNAAGQLESAKNSLKWLTDYFINAHPEPNVLYIQVGDPDLDHKCWDRPEDMTEKRPAIQINASSPGSDVAAETAAAMAAASLVFKSNSGYSSSLLKHAKELFTFADQSRGLYSESIPEVQTYYNSTGYGDELLWAASWLYHATKDHTYLDYVTGSNGESYANWGSPTWFSWDDKRPGTQVLLSRVSFFDSKVASNANLQKYKKTAEAVMCGLLPKSPTATESRTKGGLLWISQWNALQHPVASAFLAVIYSDYMLTSSTQKIHCDSNSFRAADLRKFAMSQANYVLGDNPMKMSYLVGYGDKYSQFVHHRGASIPVDATTNCSNGWQWLESDTPNPNVATGALVGGPFLNETFVDSRNNSMQTEPSTYNSAVIVGLLSGLVTTSSVVTSFT >cds-PLY98642.1 pep primary_assembly:Lsat_Salinas_v7:1:37766901:37775132:-1 gene:gene-LSAT_1X31320 transcript:rna-gnl|WGS:NBSK|LSAT_1X31320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANRLPTLCQRCYHHWKLSAFQFRRDLHRRHGNQPPPFFFFSFPLMLLSISTVVLCYILGTAATVMGSRINHRDGCNCSRALPSVSLYSFFQLHATVRVEVVRCIWDMDNEDDYVEYVPITKRRAIVAQKILQRKGQASTFEDETEKAKEVEMKPSLLVKASQLKRDQPEVTPAEQAVQQEKEMMENLSDRKTLMSVRELAKGITYTEPLPTGWKPPLPIRRMSQKACDGIRKQWHIIVDGDEIPPPIKNFKDMRFPDPVLKKLKEKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPLIMMALQEEIMMPIAAGEGPFGLIICPSRELARQTFEVVEEFLLPLREFGFPEIRPLLCIGGVDMRSQLDIVKRGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPAKIQNFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQEVKLVYLLECLQKTPPPVLVFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREYAISSFKASKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEDVDAITNASGVKGCAYCGGLGHRIGVCPKLEHQKSMQIASSRRDYFGSGGYRGEI >cds-PLY69300.1 pep primary_assembly:Lsat_Salinas_v7:4:104771245:104775738:1 gene:gene-LSAT_4X67501 transcript:rna-gnl|WGS:NBSK|LSAT_4X67501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIWTSQVRTHELRLLLANSIHFHCCWFISQSPTTARSTWPSSVFRFRRRLCQEKLRQQCVWIQYRHRISYFSKKLRSIVSKQVGPTDQGKAQGCITCLCSFASIVSPLIFNPLTALFLFDNAPFEFPGFSLVCASFAVFPIHLDQYDRKEQLKKSGLGKAIMFLSKSDEETTSNRKLAKDLVDKGVIVYLAVECTESFKFLGAFPVLLEMGVLSVCSLESMSSLDMVSLVCLITTSQWEQPPRPSS >cds-PLY68607.1 pep primary_assembly:Lsat_Salinas_v7:5:738490:740319:1 gene:gene-LSAT_5X341 transcript:rna-gnl|WGS:NBSK|LSAT_5X341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSSHSPHQDENQDLQLDLPPPPPPPLPLPPPQLHHLHSSTTSFHFHPQIQQHNHREPQINNSQNVPSINMRQLLLSCAQHISRSDLSAAHRLITILSSNSSPYGDSSDRLVHSFTKALSLRIRLHPPPPPTFPNMINPPLPIINTTVNSSSNFMMTQRCNSSFNYIDHYGDTILQSSYLSLNQITPFIRFSQLTANQAILEAIDQSHQHQQQHQRQSSIIPDPQNIHILDFDIMHGVQWPPLMQAIADRYPPPTLRITATGTNLNILRRTGDRLSKFAHSLGLRFRFYPLLLPHTNNESVDHLIDHLSAVLLLPNEMLAVNCVLYLHRLLRNRDKLCLLLRKIKSMNPRVVTLAEREANHNHPIFLSRFTEAMSYYTAVFESLEATLPPNSRERIEVEQVWFGREIADIVAAEGENRKERHERYRSWEVMMRSAGFRNVALSAYALSQAKLLLRLHYPSEGYNLEAINNSFFLGWQNQPLFSVSSWY >cds-PLY83136.1 pep primary_assembly:Lsat_Salinas_v7:3:95845895:95851339:1 gene:gene-LSAT_3X72040 transcript:rna-gnl|WGS:NBSK|LSAT_3X72040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSDGVEDEEKWLTAGIAGLQQNAFYMHRALDSNNIKDALKYSAQMLSELRTSRLSPHKYYELYMRAFDELRKLEIFFKEESKRSCSIIELYELVQHAGNILPRLYLLCTVGSVYIRSKEAPAKDILKDLVEMCRGIQHPLRGLFLRSYLSQVSRDKLPDIGSEYEGDENTVMDAVEFVLQNFTEMNKLWVRMQHQGPAREKEKREKERNELRDLVGKNLHVLSQIEGIDLELYRDTVLPRILEQVVNCKDDLAQYYLMDCIIQVFPDEYHLQTLETLLGACPQLQPSVDIKTVLSGLMERLSNYAASSIEVLPEFVQVEAFAKLNNAIGQVIEAQPDMPVFGAVTLYSSLLTFTLHVHPDRLDYVDQILGACVSKIAGKGKLEGKATKQIVALLSAPLEKYNDIDTALKLSNYPLVMEYLDDSTNKVMSNVIIQSIMKNKTHISTAEKVGALFELIKGLIKDLDGDDNDEMDEEDFKEEQNSVARLIQMLYNSDPEEMLKIICMVKKHIMSGGPKRLPFTIPPLIYNALKLVRRVQSQDENGSEDETSSATPKKIFQMLNQTIEVLSMVPVPDLALRLYLECAEAANDCDLEPVAYEFFTQAYILYEEEISDSKAQVTALYLIIGTLQRMHTFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDQDGIKDGERVLLCLKRALRIANAAQQMANVTKGSGGSVMLFIEILNKYLYFFEKGNTQISVASIQGLIELVTSEMQSDSRSTSDPAADAFFASTIRYIQSQKDKGGATGEKFEAIKV >cds-PLY80642.1 pep primary_assembly:Lsat_Salinas_v7:5:244952601:244952816:1 gene:gene-LSAT_5X122661 transcript:rna-gnl|WGS:NBSK|LSAT_5X122661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKITAVTIVDDSGGSSLLFGTCRSGTENHRSGGVNRGSETENVDMESFGAYRSDGSGWPVVGGSNNGDGWW >cds-PLY74555.1 pep primary_assembly:Lsat_Salinas_v7:7:36888841:36894312:1 gene:gene-LSAT_7X27300 transcript:rna-gnl|WGS:NBSK|LSAT_7X27300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 6 [Source:Projected from Arabidopsis thaliana (AT1G30330) UniProtKB/Swiss-Prot;Acc:Q9ZTX8] MKLSAVGFGQQLPEGEKRCLNSELWHACAGPLVSLPTVGSRVVYFPQGHSEQVAASTNKEVDAHTPNYPSLPPQLVCQLHNVTMHADVETDEVYAQMTLQPLNSDEQKEAFLPADLGAPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGICDLDPNRWLNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSQFPLRLKRPWPPGLPAYNGMKEEDLGMNSPIMWLRGGGGGGGGGDLGQSLNFQGLGGSPWLQPRFDGGGGGGIGMLGMQTTTDIYQAMAAAALQEMRSIDSVKQSNPSLLQFQHQPIPTGSMSQPAFIPENQHVSLLPQLQPQNPFTMGQFGSTSQSNFSDSNGNLLGPFSHDETSQLLNIPRSASLLTSTGWPAKRVAVDPLLVSGASQSLLHQVEQLGPPNTGLAQNTNLSQTAVSLPPFPGRECSIDQEPNNNDPQSHNNILFGVNIDNSNLLGLSNPFASSNDFSMNPMLTPSSCIDGSGFIQSQENTGQTNPPTRTFVKVYKSGCFGRSLDIAKFSSYHELRSELAQMFGLEGQLEDPLRSGWQLVFVDRENDVLLLGDDPWPEFVSSVWCIKILSPQEVQQMGKQGLELLNSVPIRTPSRQESMNLSTGVASVSSLEY >cds-PLY95538.1 pep primary_assembly:Lsat_Salinas_v7:6:173197854:173201321:1 gene:gene-LSAT_6X105821 transcript:rna-gnl|WGS:NBSK|LSAT_6X105821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSFPLLLPTSSLTSTYWNHHISHSMLKLLEKALIILSSLNTEKIEDGEEVAVEEVEDEQSKMEGMASIALLLDGSISGHFVQLPESVYYQAINRARVEQMEDRLKEDILLEAARWSNGGSMGASHTDSVKTSLQVYLEIQTRKFLVDYERIPATDEKSPKEHDFDTLVDRISRADLKTEIIFNCQMGHGRTTTGMVIATLIYLNRIGASGSFKGGLGRPVFWHNMREEPVIYINGKPFLLREVERPYKNMLEYTGIYCERVERMEARLKEDILKEPERYGCKVPKALFKGGSVVYMSLHVIAFSLLPIKVLRTVRFIAFMKDWKSNDLVVSMSLGHLCMSPEELIISFVAEQEGKEILDQHASWSGKFI >cds-PLY76878.1 pep primary_assembly:Lsat_Salinas_v7:3:5576620:5578644:-1 gene:gene-LSAT_3X3840 transcript:rna-gnl|WGS:NBSK|LSAT_3X3840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRGRGRGRGRGDPFSFSGFGGMPFPSLFGSGGRDPFDDPFFTQPFGGNGMFQPSPFMGVPPFGSSLFPPNGTSPFMDAHAHTPTLYDHMPNTSRGPIIEELNSDEEEQQLEAQHGNQLSVMRDTHSRPQAHSFSFQSSSVTYGGSNGAYYTSSTIRRAGSDGVRFEEYKEADSVSGEAAHRISRGIHDKGHTVSRHLKSDGQVDTMQTLHNINEDEVNGFEEAWKGRGGWALASSSSGRRRLGVGEHAQSSKPRRRR >cds-PLY79004.1 pep primary_assembly:Lsat_Salinas_v7:3:8148965:8151206:-1 gene:gene-LSAT_3X5860 transcript:rna-gnl|WGS:NBSK|LSAT_3X5860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGEEKSRFLLGISLTDRPKWQQFLICSCGFFFGYLVNGICEEYVYNRLKFSYGWYFTFVQSFIYLILIYIQGFTPKQMVNPWKTYVKLSAVLMGSQGLTKGSLAFLNYPAQLMFKSTKVLPVMITGAFIPGLKRKYPPHEYLSAVLLVVGLILFTLADANSSPNFSVVGVVMVCVSLIMDSFLGNLQEAIFTINPNTSQMEMLFCSTIVGLTFLVPPMVLTGEVSKAWNSCYEHPYVYGILVFEAVATFVGQVSVLSLVALFGAATTAMVTTARKAVTLLLSYMIFTKPLTEQHVSGLILIAMGVILKMLPNHNVQRLHTNVKLHLKEKKSANLEENRPLV >cds-PLY67986.1 pep primary_assembly:Lsat_Salinas_v7:1:79277412:79287693:-1 gene:gene-LSAT_1X67660 transcript:rna-gnl|WGS:NBSK|LSAT_1X67660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANMGALATTTLLCLSILLESLLLLEVAATPHIGYPSLFYGDPPCLPKDSRPACKPPPTPIGRGGTITGTSRGGYDNKKIVNSVKDPRINQELRRRGLKVAVIGIAKTIDYDIPIIDKSFGFDTAVEEAQRAINTTDVEEESNKTCIGLVKLRNNDEEQADGYYKNLLYSLKQKLDAKDELSEMNKLRRRIVEVDFLLSQEQYKVAKSEKEVHDARKAIGRYRMIVALLFACLALYVLKLGGSM >cds-PLY67587.1 pep primary_assembly:Lsat_Salinas_v7:4:352214035:352216416:-1 gene:gene-LSAT_4X173760 transcript:rna-gnl|WGS:NBSK|LSAT_4X173760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTFTLRLFSLLLISTTTSTATAQPYQATDHFLLDCGASSTTTSFSRRWSGDEHSEFLPFNSHVTSFLSTPTAQYPPPPENLYTTSRIFNTSSFTYKFPVSQGPKFLRLHFYPATYSNLKENQSFFSVSSNGYSLLTNFSASRTSCFLSQPRPKVSSFFKEFIIYVKDTQILNITFTPSPNSYAFINGIEIVSMPENLHFKAEKYKYLDQISGPVVDNYTALETIYRLNVGGGQISSKDDTGLYRSWDGDDNYIFGASFGLTWGMDSPIVYTTNTPNYTAPEIVYQTQRSMGLLSQHYNLTWALPVDSGFYYLLRLHFCNIIPQYTKKFQMVFKIFINNQTAEHEADPFFWTQGSGCPVYKDYGVYVVDPDGKGNKQDLWLALHPFYGQYLDGYLNGLEVFKLNMTGNLSSPNPERSCTTPPSRLTYPIKEKKKKTPYGVILGCVGGGLVMFSLLVLMVLCYRRRTMGKSSPRVTSKSFRSLLPSDRCHSYTLKEVKFATDEFNENCVIGNGGFGKVYKGYMEKTRNTVAIKRLNKSSSQGFHEFQTEIAMLSKLRHVHLVSLIGYCDENGEMILVYEYMAQGTLQEHVYNTNNPPLSWKTRLSICIGAAKGLHYLHTCGKRRIIHRDVKSTNILLDEKWVAKLSDFGLSKLGSKDPLKTHVSTLVKGSLGYIDPEYCKTKQLTDKSDVYSFGVVLLEVLCSRPVILRRLSDEQVSLVTWGKSCYRRGTLHEIIDPKLSGEIAPECLRKFGEVANSCLHEEGSERPSMEDVVWGLEFALQLQDIANEAVPENQ >cds-PLY72510.1 pep primary_assembly:Lsat_Salinas_v7:2:140382076:140383407:1 gene:gene-LSAT_2X68560 transcript:rna-gnl|WGS:NBSK|LSAT_2X68560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVFETALQFVLGELQKAVHVVWQIIQTHRFKSLLKRLEKTLRSIDQVFYESWRLSKVLDRPEKEMIMFICYLSNATAIVLKCSSIKYRTMNKKILHSKKLIRLNNELLRFFQIDVQEKTMNTNMTYSIAISGLEDNSSVVAFSADGYSSTCSVYAGQLLNLPLTDSFANLTSIRFEHVSFSSSIQPLFILPFLQKLSFVMCEIGDAFKNSVTDQSPYIPSNLTDLEFDCCYDLRELPSGVCNLVHLQNLSITNCHELDALPRKLGNLSNLEILNLHCCTKLQEIPESIGSLHNLSFLDLSDCLSISLLPDEIGELCNLRVVKMSGVHGLQELPDSMSKLSQLEEVICDEETSYLWMDFESDLNNLKINVVDDDRFESFMKIVQ >cds-PLY94190.1 pep primary_assembly:Lsat_Salinas_v7:5:321527035:321530800:-1 gene:gene-LSAT_5X178221 transcript:rna-gnl|WGS:NBSK|LSAT_5X178221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSKFWWIFLNSFVGLFNFAFAGDPFVYYDWTISYITASPLGVKQQVIAIDGQFPGPILEATTNWNVVVNVKNDLDEPVLLTWNGIQHRRNSWQDGVLGTNCPIPAGWNWTYEFQVKDQIGSFFYFPSTNFQRAAGGYGGITINNRDVIAVPFGAPDGDITLVIGDWFIKSHKDLRKDIEKGVDLGSPDGILFNGLGPYRYDSSIVPDGIAYQVINVEPGKTYRFRVHNVGTSTSLNFRIQNHNLLLVETEGSYTVQQNYSNMDIHVGQSYSFLVTMDQPASNDYYIVASPRFVNSTKASGVAILHYSNSQAPASGPLPDLPNESDPSFSMTQARSIRMNVSAGAARPNPQGSFKYGEITVTDVFLLLNKPPEIIDGKRRTTLNGISFFVPSTPLKLAQQYNVLGIYKLDFPNRPMNRPSIIDTSVINGTFKGFIEIILQNNDSTVQSYHLDGYAFFVVGMDYGVWTENSRNVYNKWDGVARSTTQVFPGAWTAILVYLDNAGLWNLRAQNLDSWYLGQEVYLSVVNPEVTEKTELSLPENTIFCGVLSSLQKDQAQRVKFSSAPSIPTLVTRIFIALIITFVGISVR >cds-PLY76198.1 pep primary_assembly:Lsat_Salinas_v7:4:51414263:51416140:1 gene:gene-LSAT_4X34900 transcript:rna-gnl|WGS:NBSK|LSAT_4X34900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 59 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G46200) UniProtKB/Swiss-Prot;Acc:Q8VYD3] MMMRPMNPPPFHPVAPAPWVPPMPPLTSGFWNPTNVQSSLKELQNTLHLAEAMEKELEMLMAMKDGNLEETENTDSNETIIGRFQKFLENNMMTLDTQEKISLEAANGLISKLNIDLQPFKIITDDKTPWEEKSAAVKLANKLHKHKRNKLWRKRKRQQIGEMLAKEHEQFEKADQEADEWRAKEIAKEIAKQRVEKMKEIAKQKAKEERIRLESELELVLIVEKLQELRSIRIQKLTKQGHFLPEEDDKFLERIRVAVEEEERQAMAAADTNTAKDAITNFLNSEDDQSFVKNGDKVIEEKINEIEVKEGTNAVVDVNNHGNGYYDYSASLPLEFYHYYHGSSTDMGTLIEVRRTWDAYIRHGGSRIPGHWVEPPPPADEVWASYLVKH >cds-PLY65215.1 pep primary_assembly:Lsat_Salinas_v7:8:20021003:20024173:-1 gene:gene-LSAT_8X15381 transcript:rna-gnl|WGS:NBSK|LSAT_8X15381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEDHMEQSSDEDSDSELEEYEEKSYEELKGGKYHIKLPNESFTCPFCSNKKKHDYQYKDLLQHATMVGKTDSKKRSKKDKANHLALSKYLNKDLSEASGSLQVNNEVDHLADHDGDEMFVWPWKGIVVNLPVKLTDGRYVGSSGSSLRDELTMKGFNPTRVIPLWNFRGHSGSAVVEFKKDWTGFNNAMSFEKAYEADHHGKKDWNPGNDHTHSSGIYCWVARGDDYRSNNIIGEHLRKIADLMTISDIMEEEDHKEIQLKSTLTNVIEVKKRHIEEMESKYMETEVTLRNLIAEKDKIHQYYNEEFKKIQSSAREHYQKILNDHEKLKLRLESEKKELQLQGEELQKREVVNENERKILAEEIEENAARNSSLKIATAEQRKADESVLKLADDHKREKEKLHERIIMLEKKLDAKQAVELEIERLRGQLNVMKHMGDDDLEVLKKMDEIHNNLKEKEEEFVDLESLYQTLVVQERKSNDELQEARKELIEGFKEVPKGTDIGVKRMGELDNKPFYNAMKKKYNETEAEDKASEICSLWEEYLRDPNWHPFKIITINGKPQELIDESDGKLEGLKRELGEEVYKAVITALREINEFNPSGRYIITELWNFAEGRKATLKEGASCLLKMWDAKKRRRT >cds-PLY64080.1 pep primary_assembly:Lsat_Salinas_v7:8:94326657:94327393:-1 gene:gene-LSAT_8X66640 transcript:rna-gnl|WGS:NBSK|LSAT_8X66640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKRPWEMIPSGHRIGTRVPLFRELRDEEVDLLRERFAGSQANRINVDWLQVVEHNFLFVGM >cds-PLY63299.1 pep primary_assembly:Lsat_Salinas_v7:3:91511782:91512093:-1 gene:gene-LSAT_3X68321 transcript:rna-gnl|WGS:NBSK|LSAT_3X68321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDKLAVKEQKIRSWLELKQTKKELENVKEDMILTKGRNFEINQILVRIMEEKYAPYVDYMSQLLDMKCAPILPQIKLSVAICGATSQQGGMRLEIFFKRNQL >cds-PLY90571.1 pep primary_assembly:Lsat_Salinas_v7:6:55003559:55006196:1 gene:gene-LSAT_6X39981 transcript:rna-gnl|WGS:NBSK|LSAT_6X39981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTNLVYNQFRPVESCAFITKEYKQFLMPLVHQSSYQNLYNKPMPWIGIYVALASLFCILAMVADLLHGLQNRKLWFPCKYFTLNAASLTVIAIAIKLPMDLNNIMYGIVDQAAKLGSMSFMCTIMANLLPSLATMDSKELVSNIIALGVFILESKYQVAHVTALRDQELQQPGRLTVEKLKQHVSNYWIMAETGSPQFMTACSTTTSASGHTIPIIPSSGRNCKVVIQHMKVLILSICIGFQKAFVVSCKMISVIPIFFVICALCCLRCWKWLKGLFHVSRVESVENPKEAQLGKDKDLSQYVLQLEDDMEFAERTLKGILKSVNHLIRKAEKQQPKHLIKLLAESRGFDGVENFDSHHVQPLLSQEYPNCWSLPLVTLTTIAMSLPNIQKNLVDCLLIRGVSEGLVYVKLVEESLNATDDHVWIQKAAQTLWVEVEVYNKWLGNKLQNPDSQLHTAGQILQLLRDKAKSMVMEAEGTSIGVSNDNTKRRSISANSMYRIAETMLLSYHTNIDELSQEELFVQLSLMISDILAACLTNLPHVILMKCHTSVVERRESSVHAAAQLLGEIIQIINTLQERELPSLSPAELASIDKWRAYLKNPYP >cds-PLY74801.1 pep primary_assembly:Lsat_Salinas_v7:6:118935419:118938147:-1 gene:gene-LSAT_6X71121 transcript:rna-gnl|WGS:NBSK|LSAT_6X71121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPNPSLTTIYTTLAALASILIVFVIFSFSTQPNCLRPNYVRVRTHDSLLPPDTTNISHLVFGLVGSTNAWHHRKSYIESWWRPNITRGYLYLDTAPTDDLLPWSEASPPFRISDNITTLFEESRHNGEPVMVRLIHAVIEIFRDEREDVRWYIMGDDDSIFFVDNLVDVLSKYDHTKYIYIGGHSESIAPNEILSYDMGFGGAGLIMSYPLAKMVQKNIEDCVRRYPQLKCADQTLMNCVNDFGVALTAHKGLHQMDLHGDVSGFLSSHPKVPLLSLHHFDQLDPIFPSMDRSESAKHLMKAANIDQPRLVQQTVCYDRQLNWTFSCSWGYSVHIYENIIPRSVLKAPLQTFKPWILESTPPLFIFDTRPLSNDPCATPHVFLFESIKIINETEVITNYVRVASRGLPACEIAGNHSADLINRIEVVSPMTKPKQDGKAECCDIVENKMDLVRLKLRDCMEDELIA >cds-PLY94661.1 pep primary_assembly:Lsat_Salinas_v7:1:40972757:40974027:-1 gene:gene-LSAT_1X36341 transcript:rna-gnl|WGS:NBSK|LSAT_1X36341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLHLLILSLLLLSTFAPPSHGANSTTQPPNTIPEECLKATGGPCHSKTKSLKLKLVAIAAILVASMMGVILPMLSRAIPALQPDKKLFVLVKAFASGVILATGYMHVLPDSFDCLTSKCLPENPWRKFPFTTFIAMLSAVLTLMVDSYAMSWYKKYGPRNENLDPKISGHFHGDGSSGIDSPTSQLRRYRVVAQVLELGIVVHSVVIGLSMGASDNLCTIRPLVAALCFHQFFEGMGLGGCILQAEYERKMKAMMVFFFSVTTPFGIALGIGLSNVYRENSPAALMVVGILDAVSAGLLNYMALVDLLAADFMGKKLQEDMKLQAVSYVAVFLGAGGMSVMAIWA >cds-PLY82965.1 pep primary_assembly:Lsat_Salinas_v7:1:19017520:19020168:-1 gene:gene-LSAT_1X16521 transcript:rna-gnl|WGS:NBSK|LSAT_1X16521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTISERSEKSSYIEPSIVMDHIKKQQSYKVEEPIPISTMTLVSNGCVDYRGRIADKRKTGGWRASPFIIVNEVAERLAFFAVAVSMVLYLAREMHQSLPNAVTHVTDWIGAAYVLTLVGAFIADAYLGRFLTIIVFSFIYFGGMVMLTISAKMDSLRPPLCLKRPCPPASDGQTAFLYTALALIAVGTGGIKPCVSSFGADQFDEEDEREVVKKYAFFNWFFFAINMGALLGITLLVYVQQEKGFAWGFGIPTIAMFTSILILLAGFSSYRYKKPMGSAFTRFVQVMVVSVKNHLRGVGVVSGSQLYEVSTQESDIFGARKLFHTPQYRFLDKAAVIEDHESSITRNRWKLCTVTQVEEFKCFIRVLPIWATTIALSISFAQISTFFLSQAATMDRKLGSNFVIPSGSVPVFSAINALILVPIYEKLIVPFLRSKTGHRRGITSLQRMGVGLFISIFAMASAAVVEHKRRTHSNPTTLSVFWLFPQFFLMGSAEVFTYVGQLEFFYDEATDGTRSLSSAMFLSEIGIGSWLSTAIVKIVQRATGDEEKGWLRNDLNASKLDYFFWILMAINGVNLVVYMWVARRYKGRDGASAIGSVRDESTTVVDQS >cds-PLY70261.1 pep primary_assembly:Lsat_Salinas_v7:4:205853789:205855324:-1 gene:gene-LSAT_4X116140 transcript:rna-gnl|WGS:NBSK|LSAT_4X116140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGIQSRLSLREAACTSVLSKSWLHAWSTIPNLRFHIQDKMKLVDMERTLIRYFDNNIPFQRFELDIDIKNQEAASHTEKWIRSVATKTCLKELSLTICPSGASLDLPAEILSGKNLTKIRVSAANSAVRMTTNRHPACVSLRELHLSRVRISEELLHAIFSSCSLLKKIELIVCSKDLKTIKVKNLPCLSHLQIVTSDRGSTAFEINHVQNLRFFGCNVRIMNRVDKRRGPLINSHSISLGSSVTDLTLGGGMIRDNASLDMINKLGLPFLKSLTLDMACWALGSFHFTSTSINRFSMLGCSSKLLDVVHVTAPKLLFFSLTGKIIPSLLFPYSNLEQIEFRMGLHMDDLDAYFFLKMREAFMVSRKCKVIIKTFNYNVPLPLEINIDDLRTRLLLPPAINVQQVLFKTIGDECTWERSQFFDAFFEICHPDHISIWPDSMLKHNNHFCKFMLRAVMERNKNKTATTSYWPSYLKNVKIKQPHNREWETLTDSHTSFLEGPTPEFRLTWC >cds-PLY67823.1 pep primary_assembly:Lsat_Salinas_v7:8:187378779:187381216:-1 gene:gene-LSAT_8X121940 transcript:rna-gnl|WGS:NBSK|LSAT_8X121940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQTDEKSTTNNQPKCSVRSQIRENKANQPPRTKNTYAKPLPVKQPDTNMESEEASQTPKTVISSVDQQNIINLEKERDESDSETVTDSISTTSGDEKLETIPIVSQKPPENKTISTSTKTTPSYNGIKDSKGGVKELIVIDDLLYALVGIEGRYISINRVGGNDNSFIFNVDGSMDLALQAKIMAGNYLVRSLLEKMIESANSAYLGILERISVLFLIKSWDFRVVLSWIRKICFSSLNDVNK >cds-PLY94449.1 pep primary_assembly:Lsat_Salinas_v7:4:240086004:240087580:1 gene:gene-LSAT_4X128701 transcript:rna-gnl|WGS:NBSK|LSAT_4X128701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSIEYNLRSRYQVFADLFIDPHQMLILTVATALRTIGYELRTGHPWICENGVAPDKALDPAVLSCLKQFSAMNKLKKMDLRADVDNSGTIDNGEFVKVYYILLASSYLQKFLQFMNFSQLYIFRCEGGDASK >cds-PLY72492.1 pep primary_assembly:Lsat_Salinas_v7:2:139886526:139888661:1 gene:gene-LSAT_2X68300 transcript:rna-gnl|WGS:NBSK|LSAT_2X68300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHQSVGFTNPERTQLNKCSSSSSGLDFRVLNFNKRLYCTSNETGNSSSSLQSTEPIASEHGDDNKIKDQVSPSTNEGSKHVSALDPEEIYRRLRDAPISIKLGLEECEELSEIFRCFARSGWASNQALGIYIGASFFPTAAHQFRNFFFKKCDRDIVDHLLSLGPGTESDKFLFPIFVEYALEQHPDEIKKFRSMIASADLTKPETWFPFARAIKRKIIYHCGPTNSGKTYNALQRFMEAKKGIYCSPLRLLAMEVFDKVNSLGVYCSLLTGQEKKYVPFGNHTACTVEMVSTEELYEVAVIDEIQMMSDLYRGYAWTRALLGLQADEIHLCGDPSVLSIVRKICKETGDELIENHYERFKPLVVEAKTLLGDIKNVRSGDCVVAFSRREIFEVKLAIEKHTTHKCCVIYGALPPETRRHQANLFNEQGNEYDILVASDAVGMGLNLNIRRIVFYSLSKYNGDKIVPVPPSQVKQIAGRAGRRGSIYPDGLTTTLHLDDLDYLIECLQKEFDEITKVGLFPFFEQVELFAGQIADITFSQLLQKFSENCRLDGCYFLCKHDHIKKVANMLEKVEGLSLEDRFNFCFAPVNIRDPKAMYHLLRFAQSYSMNVPVSLAMGVPKFSARNDMELLDLESKHQVVSMYLWLSNHFKEESFPYVKKAEGMASDIAELLGESLIKADWKPESRNQGKKGEDREEGYQRPRSMVKMQEK >cds-PLY76722.1 pep primary_assembly:Lsat_Salinas_v7:8:295087232:295089691:1 gene:gene-LSAT_8X163340 transcript:rna-gnl|WGS:NBSK|LSAT_8X163340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGTATSDVTDGPVLTLINKRIRNLRKKLNRIAQLEDSIAQGKSIIKNKEQEELLKSKPAIIAAVDELEKFRQPLSVAIDEEISLALQRRHVTASEDNDNDDDSNKAQTLDKEQQETEPPALAVDDLLSLIYFGSMFEVKSQNDFNSIMLTRSHERNCCLTYDYVTDDDAAVMLGERDLDLISMMGSLLISRPVDSSLSHQDALQRCVEHAKLWLSKSEQHIDSNSNVTYADLREKLTKIMGSDYFKITPEMKAPADVAAEAAGNYSFQVPVQLEASVTRYEQKEEDVTDHQRSETYEDQSAPIENSHKDETEAENSIEVQVQEEPTEPQAGGEDNKDQHVNRRPYQNQRGGGGGGRGGGRRGYSNGRGGRSGGRGGPYQNGRNNYYEQQPGNYYPRNYNGGGRGRGGGGGGGRGSFGNSYNHHVNASGVEAVES >cds-PLY85265.1 pep primary_assembly:Lsat_Salinas_v7:3:71140157:71152113:1 gene:gene-LSAT_3X55101 transcript:rna-gnl|WGS:NBSK|LSAT_3X55101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLALIVLSKRWWWWDFGSRSDNRSNHGVYSINPVTEKKAIKEEKMKLEEKYMWAIVDSVKEKLQSNHKLSGPIQLLRHFMNSNTFFLCISSIANSVLSCV >cds-PLY69833.1 pep primary_assembly:Lsat_Salinas_v7:6:4860929:4862047:-1 gene:gene-LSAT_6X2700 transcript:rna-gnl|WGS:NBSK|LSAT_6X2700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRKIKKKKRQLIDPPDEVIQETDFESGNDSSPIHNEDSGFKSPQRDFPVKSTFEVTGNLGGSVKVSNTDTTTNMSDPASTTIPEKKKLIPAKVSMAEFIPEEDRTSDITMNIFHMDINVKKGEAKERYILFVEEIKKVEESVTQKVEALKSKMSKEVAKIEQTHLTLHGKIDVIVEAIKILVE >cds-PLY80879.1 pep primary_assembly:Lsat_Salinas_v7:8:128032372:128033697:1 gene:gene-LSAT_8X87881 transcript:rna-gnl|WGS:NBSK|LSAT_8X87881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKLDKKIVKKRVKKFKRPHSDWKICVKENWRRPKGIDSRVRRKFKGVTLMPNIGYGSDKKTRHFLPNGFKKFVVHNAKELEVLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >cds-PLY67322.1 pep primary_assembly:Lsat_Salinas_v7:8:235616931:235619085:-1 gene:gene-LSAT_8X141661 transcript:rna-gnl|WGS:NBSK|LSAT_8X141661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLIAAFCLLALFGLTNADIQADKLYELLKAKRSRNSPVVNTWSATDEATNEYSPVYIPPQDGLAEQDKIGSLPGQPSGVDFNQYSGYVTVNPTAGRALFYYFVESPTDSSNKPLVLWLNGGPGCSSMIGAMSELGPFRITNDGKSLFRNDYAWSNVANMLFLESPAGVGFSYSNTTSDYDHAGDKNTADDAYIFIVNWLERFPQYKTRDFYITGESYAGHYVPQLAFNIVFNNKKTNQTIVNIKGIAIGNAWIDDETSEKGMYDYWWTHAINSDATHDAILKYCDFANETSTDMCDKSTNKAWDEMGNVDIYNIYAPICLNPDLRNASTTGSINAFDPCWQYTLVNYLNNSAVQKELHVKPTSWDVCSDVIPGWNDSPVTILPTIKYLIENGQRIWVFRQAGDTDARVPITSSRYSVNALDLPIVTAWRPWYLNKEVGGYLEAYQGLLLITVRGAGHTVPSYQPQRALTLFSSFLGGILPPSS >cds-PLY88381.1 pep primary_assembly:Lsat_Salinas_v7:5:61199894:61200235:1 gene:gene-LSAT_5X28121 transcript:rna-gnl|WGS:NBSK|LSAT_5X28121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNKHTWTIEEDAKLIEALLELHVSGKYGGANNGFKPDYLKAVQQLLDVSLPKSCLKAEPHIKSRMKTWKNHFNIVHDMVYGTNTSGFGWDIDKCCVTADAEVWDEYIKVL >cds-PLY82049.1 pep primary_assembly:Lsat_Salinas_v7:9:157901938:157905847:1 gene:gene-LSAT_9X99421 transcript:rna-gnl|WGS:NBSK|LSAT_9X99421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGQCDKSLKMLLSLGIDDLHDDSFEGSPNEDTIFKDVFFGHENGRSSKKCLVTGAINFENDDNTPKNSSFPSTGEHSITTTQEDSGSLSEEFVSIKRRKVSLPEHSNPDVENIVNSETPSKETDSCLCQPSSIVTCRLVESSVHGVKSRCYLLKGHVSDKDATKCRLCCFNTNYPNDSPVDYFKKLPKDSCYLELDEKDDQDRKILPLSDTTSCQTINVDEDEDQDQESCRKLSFSSFEDENNKSESKFKRLKRRKGKPSEKRKTHVRNASKKYKNRMNSCRLLPRGNDGDHDLTENCFPIGVRTRTVLSWLIDSGVIQVNEVIEYRNPRDNFVIKHGLITRDGIQCGCCGNKFSVSKFKIHAGFTLNCPCLNLFMESGKSLTLCQLEAWSTEYNLRNGPTQTVNVQEIDQNDDSCGLCGDGGDLICCDNCPSTFHQECLCLQELPEGDWYCPNCSCWSCGKVVDIHKAKIKSVWKCLQCKHKYHKECVGKIEVGIGMEPSTWFCSKSCKEIHSGLDSRVGMMNSVSDGFSWTLLKCTHGDRNVLSDHHFVALKVEWNLKLAVALTIMEECFLPMIDPRTGINLIPHVLYNQGSEFARLDYEGFYTMVLEKDDMLLSIACLRIHGIGVAEMPLIATCNKYQRQGMCRRLMNAIEEMLKSLKIEKLVLCAIPSLVETWTKSFGFMHLEPQEKKYLRSINLMVFPGTIWLKKHIYKGEIQSKLFFFFFFFYFFV >cds-PLY63891.1 pep primary_assembly:Lsat_Salinas_v7:1:125740938:125743424:1 gene:gene-LSAT_1X94021 transcript:rna-gnl|WGS:NBSK|LSAT_1X94021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGTNHWISRDPLAMRMREDQIKQIRGGDPVYPTIPMKSIYTSKATMNPKPKSDTIGARLPPLDLFLNQHHQSKVKSSDDEAMAKIGDIGEKEDNDDEGKFKDDENITRNWSVLKTTPKLRKS >cds-PLY92824.1 pep primary_assembly:Lsat_Salinas_v7:2:2528948:2543702:-1 gene:gene-LSAT_2X1721 transcript:rna-gnl|WGS:NBSK|LSAT_2X1721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFILEIIAGPIVECLLPPVKKNLGYLFSSTKHVRNMSAKMNQLDVISIDVKNHRDANNIKNLEIPERVPGWLEEVEQIRKDAQSISSTESGCFNIIMRYRAGRKACKTTEAIESLITEKLQMSWSDAQKPLGRVHSKIASSDGDAQNHFKSREKPFKDALGFLQQNQMIALCGMGGVGKTTMMEQLKKHLEGEKKFDLFLKVVIGKKEASAIQQALAECVSLSLTETDPTRRAERLGIAFTELVKQNKKVLVILDDVWESIDLKDIGLSPFPNSFKLLLTSRKENTCKQIAEKCNFDLKVVRVELMEELEAQNFFWKIIGVAKQNDRELNQIGTEIVRECGCLPLAINLVASRLKFEEKAAWRDTLRRFQNKNLDYVQESVKISYDYIKEDEEKEIFLLCGLFPDDFNIPIEELTRHAWGLRLLNGVSTLGEARDRTETCVQSLKKANLLMECDDDGCVRMHDLVLDFVVGRVSKGDHPWIINHGDNSKWTSAGTSESCNRISITCTGMSEFSSESKYPNVSLLRLMDGDKSLMFPEDFYERMENLEVIAYEKMQYPLLPRSLQCSTKLRTLVFHRCTLMLDCSVIGELLNLEVLSFAYCGIRKLPSTIGKLKKLKLLDLTGCVNLRIDDGVLKDLVNLEEFYMRVADEKAIRFTDSNHAELAKLSEHLSALEVEFFDNGTPENMLFTKLKRFRISMGCGLGDNPGLKMHSFENTLMLVTNKDELLESRLNELFVKTEVLYLEVDGMDDFHQQSFNNLRVLDVFKCANLRFLFTVPIANGLMNLERLTVSQCPVLEVLAHSENGGDGAIRFQGLKFLSLKMLPELIGLCNTANVIELPQLVELKLDGLPNFSSIYPEKTSATSSMSSNVSAIQPFFNKGVLIPMLEKLEILRMDKLKEIWPYQFSSSDEVNACMLREIRVRECDNLVNLFPTNPMSLLGRLEKLDVSNCGSIELLFNIDMSCVGEIEEYSSNLRLIKVHNLGKLRELWRMKGESSSDILIRSFQAVESIQIYNCERFVNVFTPTVTNSDVRTLMNVSIDGMRPWEETGSNIELVQNSREINVISKAEIISVVDGNIPDVESSIHPKPFHVNHLQTLWLNGGCKDVEVVFEIESSSSNSSSSTDFTTTLHKYNHQPPPLLLPHLKELYLYDMERMSDVWKCNWKKLVIPQNQSQSYSFHNLTTIQIWNCKSIKYLFSPVMGKLLPNLKEVWIERCAGIEEVVSKRDINDENEEIISSTHTNTISSFPLLDQLILSSLPSVKSIDGSTTITTTSIHDQFQCSQVGVASWFLCQYSKKIDIVECPALSRVFPSNVVGQLNKLEELIIVECKSMVEIFESKEINKDGVDSTTNVGDGSDDTCTAITIPRSANMTLLQLPNLTILEIERCEVLEYIITSSTLESLKQLKELTVKQCKAMKVIVKEDTEHTEKSKSIIVFPHLKSLTLVDLPDLKGFFLGTNEFRWKALEKVKIYGCPQMMTFTSGHSMTPKLNYIHTELGKHSLDQYGLNFRLTNATHEDETQLPMCSTPDMIKLVQFPWSFSNLVEVDAQWNGKLLESRVIFPCKELLNLKNLEKLSITNAYESVAEEVFEVAEGTNEDVDIETQSVVVFEKLKEVALDGLRNLKHMWKSNRWIVLNFPNLTKVSIKRCRFLGHVFTSCMVGSLLQLQELKISNCESMDVIVKQVEDSETRPTTEVVFPCLKSITLHNLPNLMGFCLGKEAFEWPSLDTLKIKYCPKITVFTNGQSTTPELKLIDTTFGLCHVTEDPNSFIKTYQQEVCGVSFGYY >cds-PLY96045.1 pep primary_assembly:Lsat_Salinas_v7:8:22516980:22518983:-1 gene:gene-LSAT_8X16141 transcript:rna-gnl|WGS:NBSK|LSAT_8X16141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin TIP1-3 [Source:Projected from Arabidopsis thaliana (AT4G01470) UniProtKB/Swiss-Prot;Acc:O82598] MPLYRITVGSPGEAAHPNTLKSGLSEFISTFIFVFAGSGSGMAYGKLTYDAPATPSGLVAASLSHAFALFVAVSVGANISGGHVNPAVTFGSFIGGNISFLSAIVYWIGQLLGSLVACLLLQYVTGGMATPAFGLSPDVTVGNALVLEIVMTFGLVYTVYATAVDPKKGNIGIIAPLAIAFIVGANILVGGAYDGASMNPAVCFGPAVVSGVWKNHWIYWVGPFLGATIAALIYDNIFIDEHEALPVTDY >cds-PLY63463.1 pep primary_assembly:Lsat_Salinas_v7:7:150403960:150405281:-1 gene:gene-LSAT_7X89740 transcript:rna-gnl|WGS:NBSK|LSAT_7X89740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSKIVPYYMNGNPSSLFCILPRDDSSRFFPPGSAPVYLNVYDISTINTCISWTGLGAFHTGLEVHGVEYGFGCHQESESGVFEIEPKKCPGFKFRESILMGTTKLSPSQVQRFIELQSNNYYGDTYHLFGKNCNHFCDDMCQKLTGNKIPRWVNRLARLGSCCRCILPKSIKGSAVKNKSNSSDDDDEYEKKSLRGSFSCFSSFSTHNKLRKVSISSLYKHSLYKGCLPPWELQVDSQRLCEEEEDEDEDDEY >cds-PLY94897.1 pep primary_assembly:Lsat_Salinas_v7:4:108321024:108322483:1 gene:gene-LSAT_4X68780 transcript:rna-gnl|WGS:NBSK|LSAT_4X68780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPLKLSFPFILIVFFTCCHFHPCRSGLLSEPAQPLKPPDLSTPNTIPSFPVQTAARTCQLDLSAELFGGVNAACGHNLDRSRCCPVLAAWLFAAHARSALQVNSSTSSPASSAGMPIMPDDSQKCVNSLQSSLQKQNIHIPQPNASCDAVLCFCGIRLHQITSLTCNTAFNLTARNATPKAVVRNLEKNCRNSTYAGCTKCLGALQKLNGEGKNGTTKGDRTSKMLNRDCQLMGLTWLLAKNKTAYIPTVSAVLRAIMYTAHPPHDSMCSPDQENMPLAVDSLQFDKSESSSSSSSSSLSWPSAVFLVMTILPLLIFV >cds-PLY77934.1 pep primary_assembly:Lsat_Salinas_v7:1:25560304:25564540:1 gene:gene-LSAT_1X21640 transcript:rna-gnl|WGS:NBSK|LSAT_1X21640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFYVWDESAYVPVVVKNKPAEILVGNIKAESVHSTYQKQKQGCGQRANKSMEEQCGQVRLQKHSKTLGSFGAWNQCLNHLLTLTESHIETVILEKFIEAIKRCPDANSSAALKHVYDLYALNRIWNDIGTYRNVDYAEIGWDCISNHSSINSTTLGSFTGFVILKFIYEGSRENSSKYVLPSFQVHGGVSHKVKPLEALTVFQDVKIVGLADVVKGRINGIANNGQGLNQVHHQDYYLWYKDVRMLTFTIGLHLMISSPNLISAWFCPVACNRPLKDSPVLMYLPGLEGTGTGLALLYMRKLLESELNLAKSLLSEIGQCTTAYPYNQLFGALVLKNYERQDATLLSWNLMYIVD >cds-PLY81856.1 pep primary_assembly:Lsat_Salinas_v7:9:181534322:181535150:-1 gene:gene-LSAT_9X112561 transcript:rna-gnl|WGS:NBSK|LSAT_9X112561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPTAAVDYTLTNSAAATPGGVKFNNDIGAEYTIQTLRSATSFIWTTFNQNTDADRKNIARVSVFIDDMDGVAYTSNDEIHVSANYIQGYSGDVKTEITGVLYHEMTHVWQWNGNGEAPGGLIEGIADYVRLKAGYAPSHWVQPGQGDRWDQGYDVTARFLDYCNGLRDGFVAELNNKMRNGYNHGYFVDLLGKTVDQLWAEYKA >cds-PLY96347.1 pep primary_assembly:Lsat_Salinas_v7:1:28055447:28055974:-1 gene:gene-LSAT_1X23041 transcript:rna-gnl|WGS:NBSK|LSAT_1X23041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMRDNCLAFVIIFLLMITQLSVVHARSLERTPLTTTTTIAATECEQSGGGMAEFGVSSTNDTSSSRRLKMRTLEYILASGPSKRGPGH >cds-PLY70188.1 pep primary_assembly:Lsat_Salinas_v7:9:583663:586090:-1 gene:gene-LSAT_9X161 transcript:rna-gnl|WGS:NBSK|LSAT_9X161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEINANEAKKVVPLHTWILISNFKLAYNMLRRPDGTFNRELAEFLDRKVAANTVPVDGVYSFDVVDRATSLLNRIYRCSPLENEFSRQPGAGILELEKPLSTTEIVPVIIFFHGGSFTHSSANSAIYDTFCRRLTGLIKGVVVSVNYRRSPEHRYPCAYEDGWEALKWVHSRSWLLSGKDPKVHVYLAGDSSGGNIAHHVAVRAAESGVEVLGNILLHPLFGGEERKESENKLDGKYFVRVQDRDWYWRAFLPEGEDRDHPACNIFGPRGISLEGVKFPKSLVVVAGLDLVQDWQLAYVEGLENAGQQVKLLFLKKATIGFYFLPNNEHFYTLMEEIKSFVSSS >cds-PLY94681.1 pep primary_assembly:Lsat_Salinas_v7:6:20179241:20180653:-1 gene:gene-LSAT_6X15221 transcript:rna-gnl|WGS:NBSK|LSAT_6X15221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTQDLHAAARSGDIVAVQTIITSNPLSVNSRDRHSRTPLHLAAWAGQTQVVNILCKNKADIGASAMDDMGAIHFASQKGHLEVVKTLVSSGVTIKSTNRKGMTPLHYAVQGSYIDLIKYLVKKGANISIKNKAGKSALDLASNEEILSLLSSPTESKETDKNDTDSKTEDQESQPKTESCEKEDDGKQKDESVKRKVQDDVGNEVINVGIKKTKVSLNHLITSDDTQEEED >cds-PLY85567.1 pep primary_assembly:Lsat_Salinas_v7:2:196635285:196636807:1 gene:gene-LSAT_2X117840 transcript:rna-gnl|WGS:NBSK|LSAT_2X117840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFGSPGKPSGLALRLCQCLFAAASLAVMASASGFSTATSFCYLIAAMGLQVLWSFGLACLDIHALRLNKDLHNHILLSFLLVGDWVTVILSLAAASSSAGVMILFMKDTDICRLQPSLSCYTFQISIALAFIAWFLLAISSYVVLWLLATV >cds-PLY81028.1 pep primary_assembly:Lsat_Salinas_v7:9:175075276:175081406:1 gene:gene-LSAT_9X107620 transcript:rna-gnl|WGS:NBSK|LSAT_9X107620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEHGGGGGCCPPMDLFRSEPMHLIQVIIPIESARLTVSYLGDIGLIQFKDLNVEKSPFQRTYAGQIKRCAELARKLRFFKDQMSKADIIPSGKLDTKAGLNLDDLEVNLGDLEAELVEINANSEKLQRGYNELVEYKLVLQKAGEFFKVAQSSASEHQGEGSSHQGAEESLETPLLNDQESTVDQGKQVKLGYITGLVAKEKAMAFERILFRATRGNVFLRQSSVDEAVTDPSSGEKVQKSVFVVFFSGERAKSKILKICEAFGANRYPFPEDLSKQAQMITEVSGRLSELKTTIDTGLVHRTNLLETIAKQYEQWNDLVRKEKSIYHTLNMLSIDVTKKCLVAEGWSPIFATQQIQEALQQATIDAKSQVGAIFQVLHTKEMPPTYFRTNKFTESFQTIVDAYGVARYQEANPGVYTVVTFPFLFAVMFGDWGHGICILIAALFLILKEKKYSSQKLGDIMEMTFGGRYVIFLMALFSIYTGLIYNEFFSVPFELFSPSAYACRDDACSEATTIGLIKVGDTYPFGVDPVWHGSRSELPFLNSLKMKMSILLGVAQMNLGIVLSFFNAIYFKNSVNVWFQFIPQMIFLNGLFGYLSLLIILKWITGSKADLYHVMIYMFLSPTDDLAENELFPNQKTVQLVLLLLSLIAVPWMLLPKPFILKAQHNRTHQGDSYVALEGTDESLVEGGHHGSHDHEEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYNNVIILGVGIIVFVFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFALLVNEDE >cds-PLY69753.1 pep primary_assembly:Lsat_Salinas_v7:5:231358337:231359002:1 gene:gene-LSAT_5X111520 transcript:rna-gnl|WGS:NBSK|LSAT_5X111520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTVVDRVRLAGARQGKLKVLQGALVGMKEKVRNSKVERQVIVEQIDTLAYEKAALEEQVATLEDQSERLEDQKGAVHVISRVIENDVFAKGVQDVREACEALGFEKGKQLGGCSISSGKSEVPGPSQVASKPKVVNTVLTSFVEMDFAGLFHLGELDYDGFCQFCGKQNPRGSSSDSEG >cds-PLY99047.1 pep primary_assembly:Lsat_Salinas_v7:6:149337262:149338168:-1 gene:gene-LSAT_6X89700 transcript:rna-gnl|WGS:NBSK|LSAT_6X89700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPSIFQDKMKEHVLETLKRRLNARIEYAKFLQDNVKEMAKEVENIRSGEIKKTTVEDLDEFLSKLFNDEITLHNISRPRLVTMYKYMGIQPFEKDAYLRYMLKKRLQWIKNDVDALSEDELREDW >cds-PLY72010.1 pep primary_assembly:Lsat_Salinas_v7:8:147597757:147599051:1 gene:gene-LSAT_8X99360 transcript:rna-gnl|WGS:NBSK|LSAT_8X99360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRWSHKSVKEEKPRKNTPNPSFSSSLLDEIYRSMDGDDVKSGREEKTSKKQSISSGGGGGGGGRPKASSAVEDEAVASLRRAFLVEKWMNMKQNEKNINTRTRPSSIPDVYRKPVIDNDPIFFSSGTSSSDSSYGIWFSEPESFRGSRFHKPSCFGPFSRPESVKTNGFSKKHQQMEFYYCSDDHQTNGIDRGALIKSKARALKIYANLKKIKQPISPGGRLTTFLTSLFANGQVKNPKDLNPPCFDHKKSERTSKSTHGSTCSSASSFTRSCLSKNSPRSREKKLNNGIRRTVRFYPVSVIIDEDSRPCGQKFIYDTQDLTKDEDYNSYDDDDDDTKSDSSSDLFELDHLLALKECEELPVYETTHFGKNRAIANGLIC >cds-PLY72414.1 pep primary_assembly:Lsat_Salinas_v7:5:23390593:23391245:1 gene:gene-LSAT_5X11820 transcript:rna-gnl|WGS:NBSK|LSAT_5X11820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVLVAVVLFVLLTPGLLFQLPGHNRVVEFGNMHTSGASIIVHAVIYFAVLTIFLIAIGVHVYAG >cds-PLY76500.1 pep primary_assembly:Lsat_Salinas_v7:4:175078141:175080187:-1 gene:gene-LSAT_4X103600 transcript:rna-gnl|WGS:NBSK|LSAT_4X103600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILVGTRVSMMSLVYFFDYATISISTVTGWCVIASFILSSVAGAGFLLYLIERAKKCLDFSATLYIIHLFICIIYGGWPTSITWWIVNGTGLALMALLGEYLCIKRELREIPISRLRSRSEEDDDPAVLLDIDLDSFNED >cds-PLY98365.1 pep primary_assembly:Lsat_Salinas_v7:5:314319571:314320945:-1 gene:gene-LSAT_5X171801 transcript:rna-gnl|WGS:NBSK|LSAT_5X171801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGKRMYGSWGLKRDPVYWHPCPQKCRLGEIVSARSFSAPKFKFKVQSSLLFLVVVKFDPHKWYWDILGIGV >cds-PLY63782.1 pep primary_assembly:Lsat_Salinas_v7:6:26078135:26078888:-1 gene:gene-LSAT_6X18640 transcript:rna-gnl|WGS:NBSK|LSAT_6X18640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVVLHPQDSFNNHHDNLYSTHQTIKMVPTHMKHNHPSPTPNFTVSDRRRQTPPKKIVRKKGRTTEVVASEKSVKTTEFFAGFAFVDSPPPSSVPLPGFFMKNFVEARNDDPTTGLRRILGLSLS >cds-PLY83684.1 pep primary_assembly:Lsat_Salinas_v7:4:41085754:41086099:-1 gene:gene-LSAT_4X28701 transcript:rna-gnl|WGS:NBSK|LSAT_4X28701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPVGDKPSLMTFIDVETVFHEFGHALQHMLTKQDEGLVAGIRGIEWDAVELPSQFMENWC >cds-PLY77106.1 pep primary_assembly:Lsat_Salinas_v7:7:183845125:183848526:1 gene:gene-LSAT_7X108681 transcript:rna-gnl|WGS:NBSK|LSAT_7X108681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQPGNGMAPVPMAPMQPPPQQQQYQQAPPQQQWMMPPPAQQQHQQPLPPHMWAQQQAPPQQQQQAQHMMQANQQSQQYSSAPASSDEIRSLWIGDLQYWMDETYVTNCFYNTGEVLTVKIIRNKQTGQPEGYGFIEFRSRAGAENALQTYNGTLMPSTEQNFRLNWATLGAGERRNDDTPDYTIFVGDLAADVSDYILQETFKTVYPSVKGAKVVTDRTTGRSKGYGFVRFGEESEQVRAMSEMNGVLCSSRPMRIGPAATKSGGGGGGGAGGGGGGGGGMQKAPYQSGQGSQGESDPNNTTIFVGGLDPSVSDETLRGVFGQFGELIHVKIPVGKRCGFVQFANRGCAEQALSILNGTELGGQNIRLSWGRSPSSKQGQSEQPHYGGGGGGGGGGAYYGYAQGYEAYGYAPPPQDPNAYYGVYAGAAGYGGYQQPQQVLWVVKGLTWICVFLQ >cds-PLY93355.1 pep primary_assembly:Lsat_Salinas_v7:9:64725720:64728292:-1 gene:gene-LSAT_9X55680 transcript:rna-gnl|WGS:NBSK|LSAT_9X55680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxalate--CoA ligase [Source:Projected from Arabidopsis thaliana (AT3G48990) UniProtKB/Swiss-Prot;Acc:Q9SMT7] METLTGLLKHVADKFPDRRAISVSGKFDITHSRLNHLIEHAASSLVAAGVKPGDVVALTFPNTIEYVIMFLAVIRVKATAAPLNQAYTADEFEFYLSDSESKLLLTAKEGIEAAEIAASKIGIPHITATLNDVESGIKLSAASESSSDFTALKIVNEPSDVALFLHTSGTTSRPKGVPLTQLNLASSVNNIKFIYKLTESDSTVIVLPLFHVHGLLAGLLSSFGAGASVTLPSAGRFSASTFWSDMIKYNATWYTAVPTIHQIILDRHLSKPEPTYPKLRFIRSCSASLAPSILARLEEAFHAPVLEAYAMTEATHLMSSNPLPEDGPHIPGSVGKPVGQEMAILDENGVEQKAGENGEVCIRGPNVTTGYKNNPEANKSAFLFGWFHTGDIGYFDSDGYLHLVGRIKELVNRGGEKISPIEVDAVLLSHPDVAQAVCFGVPDDKYGEEINCAVIPRDGSGLDEDEVLRFCKKNLAAFKVPKKVFITDSVPKTATGKIQRRIVAEHFLTRISTAKVPKFGA >cds-PLY94395.1 pep primary_assembly:Lsat_Salinas_v7:6:9278192:9279621:1 gene:gene-LSAT_6X6181 transcript:rna-gnl|WGS:NBSK|LSAT_6X6181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLKVYADRLSQPSRSVLLFCKVIGIDFEEIQVEVLKNQRFSPEYKAINPMSQVPAIVDGHLKLFERYPSDVSKRAKIHSVLDWHHSNLHRGSVGLILNTIMAPKGFPSSPQAAKEAEKILMKSLNKLETFWLKDGSFLVGSSKPSIADISLVCDIMQLQLLSDKDFDRILSPYKKVVEWIQDTISATAPHFHEVHGLLFKAQKRIRGQMATQTVSALSKI >cds-PLY76664.1 pep primary_assembly:Lsat_Salinas_v7:4:117041214:117041975:1 gene:gene-LSAT_4X74220 transcript:rna-gnl|WGS:NBSK|LSAT_4X74220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSILIAITVTILLLAVCPISGGHMNPVISFSAALVGLISLSRAFIYILAQCVGALLGALALQAVVSKTIAQTTSLGGCTLTIIAPGPNGPVVMGIETIQALWLEIICTFIFLFASIWLAYDDRQAKSLGIVIVFSIIGVVLGLLVFISTSLTGKRGYSGAGMNPARCLGPAIARGGHLWDGHWVFWVGPTIACIAFYIYTKIIPSNHFHANGFKHDFFNVLRALV >cds-PLY67011.1 pep primary_assembly:Lsat_Salinas_v7:7:174128238:174129359:1 gene:gene-LSAT_7X103821 transcript:rna-gnl|WGS:NBSK|LSAT_7X103821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQMSDYLCEDLIVEIFARLPPKSLLRFRSLSKSLYTCISTHGFIRMHTLRSPKKIHFIHLISDDKNEKQQEFFYTSHGEEEELALYLCPKRGYIDITTEVPFPSGPPIGSCNGTFCLWTKKGLILWNPSIRRKLIVPEFPQRSEPFSLRGIGFGFDPISDDYKVVQISYVKGNNSFVYAVKSGTWCEIASPKHQNQIQIFRYDSFFFNGVLHWVTYINQREKKNACISCILTFNLSTHVFDMIPVPMSIRNWTTSGLTTIQGSLALISCNGEISESWIRVWRDASWSVGFKLKIDQLFILGVLELHPQALLLNTFSHGLHVYNLKTGVSSRVGDFNAASSLCNFYQCVETLHLLDMGETIAETELQKNYERKK >cds-PLY83010.1 pep primary_assembly:Lsat_Salinas_v7:5:51341905:51345366:1 gene:gene-LSAT_5X24260 transcript:rna-gnl|WGS:NBSK|LSAT_5X24260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRPSRVIDPKVRKVGFFTPGPPPDRTQSGPAATPPTQSPVSNTLSPVMIPPARHASDNLSRAVGMPVPAGLQLHAHPPVDSLQVGSYTLSDSVLSPSPTQSPSSRVGLDGEFSEDSVNWMKRSNSGKFAAVLPTGRDFTQSIEASIDENSGDLVAELPLQKNPKPLKSKTTKAERRALQEAQRAAKATAKGEGSKSVATSATSAPAQTSKPVRQPNQKKESGPLLVSSTLVNEKKGSEKAVEKDRKKEMPPPRMQFDDKNRVEKAKKRSVVKQTEARNRVELFRHLPQYERGNQLSDLESKFFQLDHVHPAVYKVGLQFLTGDIMWSNTRCIAMLQAFQKVIEDYTTPPEKILTRDLTSKLNSSVSFLIECRPLSISMGNAIRFLKARITKIPLTQTESEAKISLCSDIDRYINEKIVLADKVIVGHAVTKIRDGDVLLTYGSSSLIEMVLLHAHELRRQFRVVIVDSRPNLEGRVLLRKLVEKGLSCTYTHINAVSYIMHEVTRVFVGAASVLANGTIYSTVGTACIAMVAHAYHVPVLVCCESYKFHERVQLDSICSNELGDPDVIAKVPGRRDNCLDDWASKEGLQLLNLAYDVTPADYVSMIVTEHGMIPPTSVPVIVREYGREHILI >cds-PLY78312.1 pep primary_assembly:Lsat_Salinas_v7:2:181286341:181287065:1 gene:gene-LSAT_2X102901 transcript:rna-gnl|WGS:NBSK|LSAT_2X102901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPNKAVVVLNGRFAGRKAVIVKQFDDGTRDRPYGHCLVAGIAKYPKKVIRKDSAKKTAKKSRVKAFIKLVNYNHIMPTRYTLDVDLKDVVSVDALQSRDKKVTACKETKARLEERFKTGKNRWFFSKLRF >cds-PLY97635.1 pep primary_assembly:Lsat_Salinas_v7:5:237649049:237651297:1 gene:gene-LSAT_5X112420 transcript:rna-gnl|WGS:NBSK|LSAT_5X112420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTQKSVYHQCVVSPLPPITSDKRLPICIITGATSGLGAAAALALSKKGFFVVLAGRSSHKLSKVMSDIRSQSEEVQLKAFEVDTSSFSSIMRFKESLEQWLLESDMHPSIQLLINNAGIYALTSRLTTEGHHDQMMGTNYIGPFSLTQVLLPFLKNSPVPSRIINITSFTHRNVSSFQADKETVSGKRLSKFKHYPCAEIYEYSKLCMLLFSYELHRQLQGSGSQGVSAIGVDPGLVKTNIMREVPWCIRQLAFIVLQFLGLLQSPEAGVSAVIDAALAPPETSGLYFFGGNGRTVESSALSYNMKLSRELWDTSCDIFQDSMLNYNKVSVSSEKVD >cds-PLY77486.1 pep primary_assembly:Lsat_Salinas_v7:4:47917430:47918758:1 gene:gene-LSAT_4X30800 transcript:rna-gnl|WGS:NBSK|LSAT_4X30800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPTCGMLLKYELPHFHLPARFFCPTCPYVSQIEQKVKIKRKQRLVKKEIDPIITQDDMKNAPKTDQAHCPDCGHNKASYIQFQTRSADEPMTINFTCEKCGKCWRED >cds-PLY74523.1 pep primary_assembly:Lsat_Salinas_v7:7:32553678:32556873:-1 gene:gene-LSAT_7X25100 transcript:rna-gnl|WGS:NBSK|LSAT_7X25100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 8 [Source:Projected from Arabidopsis thaliana (AT1G29200) UniProtKB/Swiss-Prot;Acc:F4HZX7] MGKSGSKHGDANSPCGSFKYQFRYQKMVQGSDPTKGRRVSGGVYQWDKLELFNGLRSNSMKLGSLKGAYVGKRHTWIRKHFSSLVFTLLLMGFLFLLDSFMGSIFEPSVLQSNPPPKKLSTETEMFGDKSGKNVVQMYGHLASMASGALAERELKQEESRFWKESYRKASLWSPCADRKESRNTGNFQSNTGYILVSANGGLNQQRVAVCNAVAVASLLNATLVIPRFLYSNVWKDPSQFGDIYQEDYFMKTLKDEVNIVKDLPPHLKSLNFKEIGSLITDADLTKEATPVEYIKKILPILSKNGVVHFLGYGNRLGFDPMPSDLQRLRCKCNYHALKFVPKIQEIGSLLIRRIRKYNEPRNKLDKELLGDFITNPQSKSKSNGQDTDREPLKYLALHLRFEVDMVAYSLCDFGGGKIEKDELQTYRESHFPLLMQRLKKSKPISPEELRISGRCPLTPEEAALVLAALGFMSDTYIYLAGSQIYGGKSRLRPLTNLYPHLITKEDLLSPNELAPFNNFSSQLAAVDFIACATSDVFAITDSGSQLSSLVLGFRTYYGGGNAPTLRPNKKRLAEVLSKNNKTVINWNDFEARVKNMITEAQSVRLRGWGRSIYRQPRCPECMCRFQ >cds-PLY82482.1 pep primary_assembly:Lsat_Salinas_v7:2:183436282:183440325:-1 gene:gene-LSAT_2X105781 transcript:rna-gnl|WGS:NBSK|LSAT_2X105781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLSPSPSPLLNKHKEIRLWPSCKHPKTLSFRATTPDHDSLFIDPKEFLPEAEVELTMPGYYFSNSSESATASMSTESETYFDYNESSSVEIIVRGVKSERLFFKPDTTSAILETQGSGRQRTTPVDIDGGVFPYKESVAMVMESEDPYGDFKKSMEEMMESLDLKDWDCLEELLGWYLRMNGKNNHEFIIGAFVDLLVGISGGDDSCSDHSIASFNSAASTFSSPISSPLYQVGSEKNIDQGKMIWIWEMFHKIALEFTELNKKVIPRILQWKRVIDISWESCMSFIHGYQDVYKHLWLRLTPNNVEHSTIWLIESSPFSNGVQDKQAKRTPKKMARTGVPSSSSMHKQITPIPFAATIMGTYEERLTTIEATIQGLQTCQNMIANDMVQIKKEMSNSFTQIRQELTLMRATVPCVMDNYENMFVDADEFIDKMEGDEKKHVEEEAKSTDHTVVPNKEDEKESYIKVAMFKDVDKKVVGIHDGSNDECGNNKDDKVVIFEDVEKKKDRSIVDKGPMYKIPKNVDLNKHKKRPRAYFKSSYMSIPSTSREPLRPPHFKIDALQSEEIFALYDKRDEEALSFTYRGEYVGYPWGIDFWKHIFCHNDPIEERGWLETSHIDMWSLLLLDTKLPDERWTIMPIHFMAFFDEKSLIPFANGSKPPYEDWANVDLVLFPININNCHWLTGGMDLRTWNLTLYDSSISEVSNEIILENLLAFKENFNDFLKLIDYWTKTGRESTHMNLNIQFAKGVPQQVNGSDCGVFVCMWLEALSSGKELNIKKDDVAAECMEYRRRMARIIWARRD >cds-PLY81908.1 pep primary_assembly:Lsat_Salinas_v7:8:120077081:120079137:1 gene:gene-LSAT_8X84381 transcript:rna-gnl|WGS:NBSK|LSAT_8X84381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEVKLYAAAVSPFVFRVKIALNIKGIKYENLEQDLSNKSAELLKYNPVYKKVPVLVHNGNAISESLVIVEYIDDAWKGVSILPHDPYEKAVARFWAKFIDDTCIPALLKTFGRDGNKKTDKEACDQLQILENELKVKGTKFFGGDSINLVDIAADFIAYWVGIIQEVTGKTLVTKDKFPTITVWADHFINLEVINQVLPPREQLLAFFKKMFAKA >cds-PLY67474.1 pep primary_assembly:Lsat_Salinas_v7:6:68830438:68839592:1 gene:gene-LSAT_6X50280 transcript:rna-gnl|WGS:NBSK|LSAT_6X50280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METGATIEDQFSKLHPCFQTNIRIGIIGAGASGLSAAYALCKLGYSNVTVIEKHEFVGGMCESVDIEGRIYDLGGQVLAANSAPTIFHLAKEIEAETEELDTHKFAIIDATGKYVDTKVVDDYISIISLTLKLQDEANANGRIGVHAVSDIASEPTPSFLKSNGLESVPESVAYGFTASGYGFVQDMPYAYVHEFTRTSMAGKIRRFKGGYMSVWDKISKQLPVKIHCNTQVLSVNRNGNTIKIKAKIVNGEAQHMEFDKLIISGSFHLHSGKTYRSPSKTKDETVNEVMDLSDFEMELFDKVETIDYYTTVLKIHGLEHIPVGFYYFGEFIGDPNTIGNPVAMQRFYPDKNIFLFWSYGNSTDIVGHKVTRLAVDAATSIGGKVEKVILQRRFKYFPHVNSQEMKDGFYEKLENQLQGQKNTFYVGGLMAFELTERNSSYAFSLVMKHFASDNPIPRFPYIKRLFTMKSDYHSWIAKQLDEEPGVKFPDISSIGGYLRHWGNHEHIANKTLYIWINDKGETISRRTYKEVNANASHIAHKLLTNTKPNINPGDRVLLVYIPGLEFLDAFFGCLRARVIPVPAIPPDPSQTGGQSLLHIENIAKRTKAVAILSTFNYNVLVKANSAKNKIMLTRKTKNLPSWPNLPWIHTDSWIKNFKGGDDISYDDILVQESKILPKDLCFLQFTSGSTGDAKGVMITHGGLVHNVRLMRKVYKSTSKIIGVSWLPQYHDMGLIGGILTCTLSGGSCILFSPITFIKNPLLWLQTMSKFRATHSAGPNFAFELLVRRLVSKKEKIMKLDLSSMVFLMVAAEPIRSKTLKRFIELTQGFGLSQEVMAPGYGMAENSVYISCAFGDGEPIFQDWQGRICCGYVKMNDADMDIRIVDPETGEEHKENGKEGEIWVSSLSAGVGYWAMEELSHKTFSNQLQGHFGKMYIRSGDLGRIIDGKLFITGRIKDLIIVSGRNIYSSDIEKTVESSSEFIRPGCCAVVGVPEEILLAKGVMTPENSDMGLVVISEVKGNIVPNEEVMEHIHSCVVEEHGITISSIVFIKEKSICKTTSGKIKRFECLKQFTEGKLQVIKVYTQKQSLIQSITPKAIKEDVSVISKKEIINFLKKLLSDQTGIQTGNISVTESLVSYGIDSIGVVRAAQKLSSFLGIPVGAIDIFTATCIDDLADFAESLVRKSCAESSSPLSNLDKKTYSVKTSIEPTFIRKFVIWVLHLFGLVYLLLLLTIPIYLSVSSFTFLIYKTPFSSYLLSLTFSPLAWMFYILSSCLTIALFGNSFLQPNYGQTPDTSIWSINFVKWWTLYKAQDIVSRNLAVYLKGTVFCNLWFKILGAKIGSSVLLDTIDITDSYLVTIEDGAVIAEGTLIQSHEVKNGVLSLQPIRIGENSCVGPYAVIQKGSIIEDGIEIPTLETVKGSQREFKVSKIPKLEKGINLQEVKVKHEPFYHILGIYTIGFVSSLSAAICYIIYLWVSQKPQSLQHFSFLCLCASVHWLPFNVLAYAIIFKDIPTNPLSFSFTIAIAYLSHGIIHTSLTSIFLHILSKAKHKNHFIIWFCNRLSTSCHLKFARFLSGTEAYCVYLRLLGANIGHHCSIRAINPIPVPEFVSIGDGVHLGDFSRIVAGVYTSKGYVYGTVKIQENSIIGSQSVVLPGAVIEPNVILGALSIAPMNSVLKTGGVFVGSQTPIMVKNMTHVLDDRIEEMDKKYKKVIGNLAANLAAATLKVKARYFHRIGAAGKGTLKLYENIPGFPDHKIFSPGIRYSVVLRHSNCLSSDDDARFDPRGAAIRILSNTENSPLLDLTLKTGKAFHARTIGDFATWLVCGIRAREEHVKHAPHIRDAMWDSLRKADSYVGLHYYSNICRLFRFKDGKEMYVKFKLRPFDKTINEDSGKVDPTGILPPETGAIPRDENDKRPLLFLEYDFIHRVNSEKVRYVLQFQFREVPNDENGREVALDCTKPWDEQEYPHMEIGEIVIDEMLTKEESEKLEFNPFLRCHEVDVIRATSCSQSASMDHGRSVVYSICQHLRNNKPLPEAWRSFLDQSDVKVDLTGCPMGNMIHKKGVRKVTLRRPWHKNLWMLTGQPILQVFIPYFLMGLIIFTPLNITFFLKGKNGQQLHWMLPFLWVISGVLSGLLCVVMKWVVVGKKKEGESVMIWSKGVYMDTVWQAIRTLVGEYFMEMTSGSFMFGIWMKLMGSDVAWDEGVYVDGMGAVLNPEMVSIQRYGSVGRESLLFGHIYEGEGGEVKFGKIKVKEGGFVGSRSVVMPGVIVEKEGNLAALSVAMKGEIVK >cds-PLY80830.1 pep primary_assembly:Lsat_Salinas_v7:3:192010512:192011383:-1 gene:gene-LSAT_3X114281 transcript:rna-gnl|WGS:NBSK|LSAT_3X114281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRKDGCGHHQADERRKHYRQLIGTLISVIFIILFVILLIYLILRPTKPHFTLQDLTLYAFNISAAATTTLTSNLQITVSSRNPNARIGIYYDKIDVYATYRSQQITLATLIPPSYQGHKDITVWSPYLYGTDVPVAPYLAMSLAQDESAGTVLVNVKAAGRVRWKVGTFVSGGYRLNVNCPAYITFGNRNSGYAVGAAVKYQLVEGCTVDV >cds-PLY90296.1 pep primary_assembly:Lsat_Salinas_v7:2:198638475:198639686:-1 gene:gene-LSAT_2X119741 transcript:rna-gnl|WGS:NBSK|LSAT_2X119741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRASSSVGVGLSLVFGCLLLALIAELYYLLCRKKRVTNTEIQETYSSPAREFLYLFCWKKPSSLTSTGLNTDTQVHEPQPSSSSSWLRPLGEEYDDMTIETELLRLQNLSGPPRFLFTIKEETKEDLESEDRSTKRGSRGRSLSDVVFTVETPFFTPLASPPFLTPPVTPRDSVYRPFSPLLHTSTDAEFNRIWASPPPKFKFLRDAEDKLQKRKLIERFGVDDFNHDDGVKDDENGSFITLIVSKEKDNISSSSQVLPLAASPPTFRPQFHKNPNSY >cds-PLY88646.1 pep primary_assembly:Lsat_Salinas_v7:5:139786300:139786650:-1 gene:gene-LSAT_5X62240 transcript:rna-gnl|WGS:NBSK|LSAT_5X62240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAENISNGVTNEDLAINLLQAQLEVSLVREEIANDLRELCRSLTREIDALNHEVDDVSAGQLNLSNMVADLKNHFSSLQASYVNIVLGKKKSKKVKWVFGVFFVVVPGVLTYMLFK >cds-PLY85213.1 pep primary_assembly:Lsat_Salinas_v7:8:183002541:183003402:1 gene:gene-LSAT_8X118921 transcript:rna-gnl|WGS:NBSK|LSAT_8X118921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFSAYAPPPTALSGALRILKPDFPEGQTTVAGDYPSEAHAPSFSTGLLNSSQLQHQIGDQRAVTVSADVVDLSPPEETDLRAFGHSIVTSLTGNNNRVLDENYASGASFSDLLHQRNSGYDLANHFSNGEGPRISQINQRPHSIIGSSIDNTPFDPLANAMNTLTGINLRNAYNQYKQFDDLDDDDDDDLPTWS >cds-PLY71781.1 pep primary_assembly:Lsat_Salinas_v7:5:123414435:123418332:1 gene:gene-LSAT_2X64600 transcript:rna-gnl|WGS:NBSK|LSAT_2X64600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYIYTVVFAGSHDKYVVKKQGSFPSVTLYNTTCGDKESIEVTTPPIPDLNAKLNMFGRVMKGEDIVQATLTVRTGLSALFNNLVIIYIDVPVSGSSLQEWVLVDGASKQNNINLFSLSLQGNDPSCLHEFLLPTLNMNLSHGNIRLQMLDRNWGAGWHLLSVLLAAKPVDRIRHFPKPIVIPGYGGKRKSELGNLDTHNNGRGKRAGEVLMRSNGQKINLRKCVKSKH >cds-PLY97855.1 pep primary_assembly:Lsat_Salinas_v7:2:216908838:216909196:1 gene:gene-LSAT_2X135401 transcript:rna-gnl|WGS:NBSK|LSAT_2X135401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQNHLNGELPKLIYRNKFLENLGLRGNNLVRNLLQDICQLTHTIPSNNGNYTCLQVLNQK >cds-PLY68753.1 pep primary_assembly:Lsat_Salinas_v7:2:211574315:211577180:-1 gene:gene-LSAT_2X131260 transcript:rna-gnl|WGS:NBSK|LSAT_2X131260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKFVSSYILSLTLFLSLVFVFSMAFTPQDNFLINCGSTTNAMVDGREFLGDSNKPGSDFLSSDKSITLSNPNRNLSELYSTARVFTSPSTYGFGISKPGTHLVRLHFSPFNSQNFKLSSSNFSVSLHGSLILNNFRTEFTIVKEFILMVDETHLDIMFDPLGKTGFAFVNAIEVFSAPIDLIVDGGAKSINADGVQEFKNLSSQILETVHRINVGGSKLTPFNDTLWRNWVPDEQFLAIKSSAKIVTTTQLPNYQKGGASKEVAPENVYMTAQEMNRGNLPMNSIFNLTWGFPIDSTIGVRHFVRLHFCDIVSLSVNQLYFNVFINGFLAYKDLDLSLLSFHVLASPFYADFVIESDHSGVLAISVGPSDLSTSLRKNAILNGVEVMKIVNPVSREFRSKKKHIWILIRLIVGILILLSSAILAIFILLKLRKKIKKKTRRSESNGWTPLRVQGGSTHSKFSEGTHNITTKLKIPFVDLQSSTNNFDKDLIIGSGGFGIVYKAILRDNFKVAVKRGVPGSRQGLPEFHTEITILSKIRHRHLVSLVGFCEEQSEMILVYEYMENGPLKNHLYGSNLPPLSWKKRLEICIGAARGLHYLHTGSAQGIIHRDVKSTNILLDDNFLAKVADFGLSRSGPCLSETHVSTGVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPAVDPLVGRDEVNLGEWAVQWQKKGLLKRIVDRRIVDEIKPESLKKYGDTAEKCLADYGVDRPTMGDVLWNLEYALQLQQTEAITETVNPSDVGQTGAPEIVAGVGVGVGSSNGDGSLSGIRTSQVFSQLVTNDGR >cds-PLY94719.1 pep primary_assembly:Lsat_Salinas_v7:1:200958432:200959275:-1 gene:gene-LSAT_1X125281 transcript:rna-gnl|WGS:NBSK|LSAT_1X125281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNVKGDCAKQGFVYDVDSDEWVTMAEGMLGGWKGPATSMDEETKYVVDESRGILKRYINNRDDWSEVMEDKRLKGAYVESNRWSTMGRYRVDVASFELLAFPELQVRLASSPISQ >cds-PLY96035.1 pep primary_assembly:Lsat_Salinas_v7:MU038538.1:642:1781:1 gene:gene-LSAT_0X45640 transcript:rna-gnl|WGS:NBSK|LSAT_0X45640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHTFVHKDQIDLPPGFRFHPTDEELISHYLYPKVSNINFSALAIGEVDLNKVEPWELPWRANLGEQEWFFFCVRDRKYPTGSRTNRATSAGYWKATGKDKEIFKEKSLVGMKKTLVFYKGRAPKGEKTDWVMHEYRLDGKFSTINLPKSSKGEWVISRVFYKTTGGKKISISALLRMKNGNTYEHDFGSADLPPLMEISSVEGGSRTETSHVTCFSNSMEEQKPKNEEIMGSWSSGNSLMESRTDNVCFLSNQMAPSVESYQYQDTTWMQDPSILKILLEANNDSSIRQNLKTELVDDQEYGMNLGGQVDLDNIWNY >cds-PLY67839.1 pep primary_assembly:Lsat_Salinas_v7:9:144595491:144598652:1 gene:gene-LSAT_9X92461 transcript:rna-gnl|WGS:NBSK|LSAT_9X92461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHKDTRIGKTEKGRGRLVLFAIQTLCAFFLFSLLYTELSFKGTTTSQQIKLDIMITGRQIISDWKPDDISNAVKKETSPEVKKNMSAAVKKNDRNQSLQFLLTRLVGGEDRIKLDSTGFACDKSLSSVICVANKAVKIDMSKNQVHLQPPDTPAAGNTTTVVRPYAMQESPYVMNYITPVTITTTTTDPAPLTCDHNHQHPAVIFSTGGYTGNLFHEFNENIIPLYITTRLFRSKVHFVVVDHKPSFLQKYRRVFPRLSDHEIINPATDSSVHCFPGAVIGLKFHKFIGINTSENPTDYYSMPDFRQFIRQTYKLKTKTVFETQNPPVLLLISRQKTRKFLNQAEMVQMMEELGFRVIIASNDKEMSNVEKFSRVINSCSVMVGAHGAGLANEIFLPDGGVMIQVRPLGFQYGTDAFYSEPGPGMGLRYLEYLIEPVESSLAEVYGLDHPVVADTASVAAKGGYAAAREIYLNKQDLRINLNRFRATLVEALGFIGRHSDVANAR >cds-PLY77323.1 pep primary_assembly:Lsat_Salinas_v7:5:145063804:145068207:1 gene:gene-LSAT_5X65440 transcript:rna-gnl|WGS:NBSK|LSAT_5X65440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMAELSPRTDTSTDGDTDDKALGFHSGQSHSHGLVVSDASDKSRDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRMKLSQLEQELQRARQQGIFISSSGEQSQSTSGNGASSFHVEYSRWLDEQNRRISELREAVSSHAADGELRLIVDGVITHYEDIFRIKGDAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLITQLEPLTEQQLLAINNLQQTSQQAEDALSQGMDALQQSLAETLAGSLGSSSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALIAINDYFSRLRALSSLWLARPRE >cds-PLY89819.1 pep primary_assembly:Lsat_Salinas_v7:4:323917253:323917537:-1 gene:gene-LSAT_4X161641 transcript:rna-gnl|WGS:NBSK|LSAT_4X161641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLKSSNRSKGEERKPIAEIGSLIVVYSVGLRISCNQVFDRLNCTPLFFWYEDSGKNLPCALQIFVGVYFSQVRVDRICIHTPKSRERRLFLSS >cds-PLY68329.1 pep primary_assembly:Lsat_Salinas_v7:4:158053624:158054797:-1 gene:gene-LSAT_4X94681 transcript:rna-gnl|WGS:NBSK|LSAT_4X94681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSHGHHRPPWIAVPKEAGLQRCGRSCRFRWLQYLRPTLNRENFTKEEIQLICDMQRTKGNKWSEIAKFLPGRSDNSIKNVWNKHYKKKLINKNKNPASPSINFYSQPIFTSPENNFMSSSDENFTGHVQGTGVHHHGDYLYDLLTLTNNPPIHMMPPPFQSSPTTTQQIFQDDHTLSTENNLYLQPNHQLFPSPIFYTPPINHQSNFQDDKSSSSSICHDLPPFPESFIFQIDNTVSIPTCETGIKSTYYQGLYNRENSSDWMYPSFSYNLVPEKHQYDESNYLYDPSLVVPRDPTQLIADPHLRLTSTEHNNGNLMIWSQCLN >cds-PLY81479.1 pep primary_assembly:Lsat_Salinas_v7:5:335273025:335273336:1 gene:gene-LSAT_5X188480 transcript:rna-gnl|WGS:NBSK|LSAT_5X188480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQIEQQHVDRLKNHARKFEYEVTKLRDFAKERHEIFVEQVKKLEDSVNIKVVELKFEMKNGGEKIENNYSILHGKFDAIAEATTKLVEYNNLYSTKHDAKTE >cds-PLY62730.1 pep primary_assembly:Lsat_Salinas_v7:8:48178469:48180853:-1 gene:gene-LSAT_8X36960 transcript:rna-gnl|WGS:NBSK|LSAT_8X36960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor BIM2 [Source:Projected from Arabidopsis thaliana (AT1G69010) UniProtKB/Swiss-Prot;Acc:Q9CAA4] MKSSKGHQEEEEDDYEEFGVKKDNTPSFNTSKDGKSSDKANATRSKHSVTEQRRRSKINERHILRDLIPNSDQKRDTASFLLEVIEYVQYLQERVQKYEGSYQGWSTEPTKLMPWRNSHWRVPNFGQPPVIKTDSGQPPSFPVRFDENVQIAPPINTTSQNQNPARTDPSDMNCNMIDSQPELSNKTTLMPIPVLPGQSAPVVDGGFSHPALHGSSDCPGPAARDGSQQDELMVEGGTISISSVYSQGLLNSLTDALQNSGVDLTQATISVQVDLGKRANRGLISTTKEHENAQQPVGRFQEFSNGENSDQSQPPKRLKT >cds-PLY64283.1 pep primary_assembly:Lsat_Salinas_v7:7:109818301:109820019:-1 gene:gene-LSAT_7X69820 transcript:rna-gnl|WGS:NBSK|LSAT_7X69820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRRHAEGHEKETAENGPWVFTLDAPSWLLLVKQKSFWKNSGVFPGMLQFRGLEMINNSHYLYRMTVVHAIYLLAPVMGSEITFSKLLPTLITLSKDIVLNIKFNVAKVLQLLIPIVDHSVSNNMVFQENGPMCKR >cds-PLY93451.1 pep primary_assembly:Lsat_Salinas_v7:9:64530136:64531061:-1 gene:gene-LSAT_9X55760 transcript:rna-gnl|WGS:NBSK|LSAT_9X55760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMSIRRFEHETPNNTHDTFEVTFFEDTVLPIVTKTPSIVDVWVTRIEHKYRRRPHSLVVGVDVEWRPNLIRSYENPVATLQLCVGRRCLIFQLLFSPTMPQSLKNFLRNPSYTFVGVGINNDVEKLMQNWNLEVANTADIGDLAAEEYGMRNLRNAGLKGLTRRVLGKELIKPQNVTMSDWDDERLTLAQVQYACIDAFLSYKIGSILISGNYN >cds-PLY75517.1 pep primary_assembly:Lsat_Salinas_v7:9:32937695:32938829:-1 gene:gene-LSAT_9X30821 transcript:rna-gnl|WGS:NBSK|LSAT_9X30821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSNSYRMKHDHGLLSLSRNSSSKITPSNYITCTLFVIDPNCINDNKPLVGKSSPLSYSRLSRTRSGISTLIRSLLTVISIPAIIPTFRWLSLPTQLSPTPSLGRKVTGTLFGNRRGHVSFAVQYDPRSAPVLIIELAVSTAALVKEMSSGQVRITLECEKQQHSGLSSRRGDSKLFNEPTWTMYCNGRNYGYASSRACSDSDWHTLSTVQRVSVGAGVLPVLEGSRKSSAGGSCGESEGEFLYMRARFQRVVGSRDSEAYYMMNMDGNRGPEFSIYLLRV >cds-PLY82827.1 pep primary_assembly:Lsat_Salinas_v7:1:87086091:87089157:1 gene:gene-LSAT_1X72020 transcript:rna-gnl|WGS:NBSK|LSAT_1X72020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSLKCGDCGTLLKSVEEAQEHAELTKHTDFSESTEAVLNLVCSTCGKPCRSKTESDLHTKRTGHTEFSDKTSETAKPITLEVPKNQSSDDVDMVDASTTGEPEEMVVPDVDLKLLEELESMGFSKERSTRALHFSGNSSLEAAANWIVEHEEDADIDQMPLVSAKSKSEPSKPSLTPEERKAKAQELKERARKKKEEEEKRMEREREKERIRIGKELLEAKRMEEENERKRIIALRKAEKEEERRAREKIRQKLEEDKAERRRKLGLPAEDPTAPKPSAPVVEEKKSSLPIRPATKSEQMRECLRSLKQSNKDDEAKVKTAFNTLLTYIKNAATKPDEEKFRKIRLTNAAFQDRVGKLEGGIKFLELCGFEKIEGGEFLFLARDKIDRAVLNSAGTELNSAINNPFFGVL >cds-PLY71511.1 pep primary_assembly:Lsat_Salinas_v7:2:122300729:122301097:1 gene:gene-LSAT_2X56501 transcript:rna-gnl|WGS:NBSK|LSAT_2X56501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTSKSSKFPTLLLILLLFSACATTTMVFGVAHGIRIPEHVCKKTISMRKCEVRGCEDKCSKKEPFGVGKCSGNMCICSYYCELPPM >cds-PLY90645.1 pep primary_assembly:Lsat_Salinas_v7:6:51392773:51393543:1 gene:gene-LSAT_6X37340 transcript:rna-gnl|WGS:NBSK|LSAT_6X37340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGTLSEETEVKVPASKAWKLYGTLELAKVAAGKVLEAIDVVEGDGGVGTILKVTVKRGSDTKYYKEKFTKIDNENMVKEGEVVEGAFLDMGFTFYRIKIEIKDNPNDDTGLSCLVKLSVEYEVKEEAAANASLVTNEPLLAIMSVANEHLLKTS >cds-PLY91176.1 pep primary_assembly:Lsat_Salinas_v7:8:186440992:186442692:1 gene:gene-LSAT_8X120421 transcript:rna-gnl|WGS:NBSK|LSAT_8X120421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANIRVLKEWFDRVDSEKTGNITTIQLQTALAVGNLQFPVTVVQQMIRMYDFDRNGTMSFEEFVALNKFLLKVQQAFSDLERGRGFLVPDEVYEALMKMDISLDSPAFYTVCESFDKEKNGRFRLDDVMSLCIFVQSARNLFNSFDTSKQGRVTLDLNQFIFCSEFTFFKYVLMFMALYIINDL >cds-PLY93389.1 pep primary_assembly:Lsat_Salinas_v7:9:58106650:58109164:1 gene:gene-LSAT_9X51240 transcript:rna-gnl|WGS:NBSK|LSAT_9X51240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTESRIAMAKTVVSTIGSVAAAAMVVRSVARDYLPPEFQDYLHLGFRNFINKFSTQITMVIYEFDGFAKNEIYKATELYLSSRISPQIHRMKLTKRANEKDIKVAMETNEEFTDIYNGVKFKWCLVSNKAPTREHYQNDGMNRSTGSDVRMLELTFHRKHKDLALKDYLPFIINDSKTRKQEEKSVKIFTVDTMMVRSGCPSMWAPVNLDHPANFTTLAMETDVKEKVMKDLDRFIERREYYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLNFDIYDLELTDVRSNSELRKLLVSTANRSILVVEDIDCSVELHDRVTAEPPRSTRRNQGWGYHDERKVTLSGFLNFIDGLWSSCGDERIIIFTTNRKDKLDPALLRPGRMDVHINMSYCTPCGFRLLASNYLGISEHNLFEEIEDLIVKVEITPAEVAEQLLKDSDPDIALGGLIDFFDVKYKENEEAKAKAKEEECANIKVEEEEKEESVAKENGKKKQIDMNGTD >cds-PLY96895.1 pep primary_assembly:Lsat_Salinas_v7:2:109396570:109396944:1 gene:gene-LSAT_2X48281 transcript:rna-gnl|WGS:NBSK|LSAT_2X48281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLFSVVLCNWKLILVCFLRKGNYKWKGKYKWKWSGHLPVQESIQEPVQEYVKEPVQAPIKVHVQASIEAPVQAPGASRIGKMHVRKRKVSERITEIGLSKKVVPRGGICCSQNKPVTLDDMLV >cds-PLY78892.1 pep primary_assembly:Lsat_Salinas_v7:5:307708082:307708908:1 gene:gene-LSAT_5X167080 transcript:rna-gnl|WGS:NBSK|LSAT_5X167080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHHPHWWSIIIHDVINNSNLTPEGTPEGPQPQFLRMKAYRICTASDSRFSHPVIILDTILAKLDENSASLRSYQRYILGTTSSPKMKRKHSHHASKKSAKKKKRAKSDQPPPIPSPAVSDDNLGVELDMPSSPPKSVSPVGFQSVLESPSNRVSLDYNSLEDDDQDGENQSKLEDLPKSFPVQDNQDEDTPMQTVDILSSEGMIVDDEPHDMSIVLYSKPSTRIFNIDLDDYSPSPQKEYQEHDDT >cds-PLY92961.1 pep primary_assembly:Lsat_Salinas_v7:5:48276393:48277022:-1 gene:gene-LSAT_5X23521 transcript:rna-gnl|WGS:NBSK|LSAT_5X23521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGPPRGPTVSPDRSEGVLAFSTKQDRLNPTNKQLTMVGLGVIDHIDIVGITHLADVNRMQTPTIGSLDTGSTTYNHSTIYSYVLTLMRHPITS >cds-PLY71673.1 pep primary_assembly:Lsat_Salinas_v7:3:46730367:46732473:1 gene:gene-LSAT_3X34321 transcript:rna-gnl|WGS:NBSK|LSAT_3X34321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLIRPTHFPTRLLQDDVKLLNYCGTKKLEMGKVIHARSIVSNDQSGTNGVFYILSKFKALMSARRDFDQMNKQNVVSWSDDLISEKFPKGLAFRFLRTYISKWVSKRDRDFRLNHYKSALVLTFCIIVEDVFLGRQLHGYLLKSGLECHPYVKTPLVHLYRMLSDVVGAVEVLVSIPQTDTSTYNMFVVEKGFLDEALNIIRKMMADDMVWNKTTYIGMFGLCARLKYSELGNQVHNKLLKSDVELDVSVCNAMVKMYRNCGNVGDALNVLRAYKARDVVSWTTMMHGSIPRSDYEASIKLFSKMQHDNVVPDESTFCVILDASAQLFSMSLGNLFHSFAEKTGFKGDKKVQDALISMYLRTGDIKAAEKVFFSMTTDDIRTWDMMICGYCLHGFGNESLALFQEMLESGELDPTYATFVAVLSGCGQLGLVEEGFYYFYELMKQKGIEPDSVHYTCIMKILIKAGQPDEAFNFMASTPLIKFDSYAWKIMLNAPHVDKNNMVTRLADLVPDEWLADEKEALQSFGAANLTELTKIVRDRNKEPELSWVEVKRQTHKFVSVVSGDPEFTKHHKMMKSLFASIKADAGNVLEDSCECDGYHSEKLAVGYALLNAHDMAPIFIIKASGKMCDDCHSFMKLISKVRKSLITVRDDGYFHHFQDGSCSCADYLG >cds-PLY91262.1 pep primary_assembly:Lsat_Salinas_v7:3:74595939:74597792:-1 gene:gene-LSAT_3X57701 transcript:rna-gnl|WGS:NBSK|LSAT_3X57701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNNQIPFASHSKTFNARFQLVNLISVILFFGFGLCFGMILTFHLKNVSFNLQFTQFSLSTDTEIDNHDVFSSPPPPPPKIRLENYIHPTEVMHDLSDRELIWRASMVPKVAEYPFDRTPKVAFMFLTRGSVLLSPLWEMFFKGYNGLFNIYVHTSGSPSNWTEPEVHSVFHGRRIPSKDVEWGKVNMIEAERRLLANALLDFSNQRFVLLSETCIPLFNFSTIYSYLINSNHNFVESYDLPGPVGRGRYSSKMYPTVKITEWRKGSQWFEMNRDLAIEVISDTIYFPVFQDYCNGSCYADEHYLPTFVTRKFGGQNANRTLTFVDWSKGGPHPTRYLRYDVTKEFLEKLRGDMSCEYNGRSNQACHLFARKFTPHALDRLLRLAPKVMQFNP >cds-PLY92469.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:1343875:1344839:-1 gene:gene-LSAT_6X23301 transcript:rna-gnl|WGS:NBSK|LSAT_6X23301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKISLFNFQQLFIIDINNTIIYAFPFASSSIRNLSKFFKIFDLALRLITLPISKTVKVLNVRNSNVLNLICGIFFIALSLTCYNKAASLADSAPASIPLPLRVEPKPKTGIRQQDLLKKVVEVKPKKQKVSTKSSGDVSTVSSECKKPQINNVEEDKNPIKSLLAAYESSDDDD >cds-PLY84233.1 pep primary_assembly:Lsat_Salinas_v7:7:77360174:77364623:1 gene:gene-LSAT_7X53840 transcript:rna-gnl|WGS:NBSK|LSAT_7X53840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADVDATISIDNPVSAPPESDVLSKNARKKELKNKQKEEERRRKEEEKEKKAAAMPKSAVQKQSAADDEDMDPTQYFENRLKTLATQKAAGMNPYPHKFHVSMSILEYIEKYESLNSGDHLEDVQVSLAGRLMNKRSSSSKLFFYDLHGTGGKVQVMADARRSDLDEAEFSKYHSGVKRGDIVGIVGFPGKSKRGELSIFPKTFMVLSHCLHMMPRQKSAAVAENANVKKIDAWTPGSGRNPDAYILKDQETRYRQRYLDLMLNSEVRHIFKTRAKIVSYIRRFLDNLDFLEVETPMMNMIAGGAAARPFVTHHNDLNMRLFMRIAPELYLKELVVGGLERVYEIGKQFRNEGIDLTHNPEFTTCEFYMAFADYNDLMEITEQLLSGMVKELTGGYKIKYHANGLDSDPIEIDFTPPFRRIDMIGELEKMANLSIPKDLAGDEANKYLVEACKKFEIKCPPPQTTARLLDKLVGHFLEETCVNPCFIINHPEIMSPLAKWHRSKPGLTERFELFINKHELANAYTELNDPVVQRQRFADQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGVDRLAMLLTDSQNIKEVLLFPAMKPQDEAPVKAAEVVKS >cds-PLY94545.1 pep primary_assembly:Lsat_Salinas_v7:2:157742228:157743043:-1 gene:gene-LSAT_2X80060 transcript:rna-gnl|WGS:NBSK|LSAT_2X80060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSRLILVLMLISVFLPPSTPDPSDESCLTKLFESMEDPNHNLMNWTKPSFENPCSGFLSNLAGATCNNGRIYKLSLPNLALRGSISPFISNCTNLQALDISNNSLTGPIPNELQYLVNLAVLNLSSNRLSDAIPPSLTMCAYLNVIDLHDNTLSGTIPPQLGSLARLSVFDVSNNKLSGPIPASLGNRTGNLPRFNASSFLGNKDLYGYPLGPMKSKGLSVVAIVGIGLGSGLLSLVLSFTVVCVWLRVSEKKMAAEQEGKISQLMPEY >cds-PLY89079.1 pep primary_assembly:Lsat_Salinas_v7:9:29177478:29179356:1 gene:gene-LSAT_9X26961 transcript:rna-gnl|WGS:NBSK|LSAT_9X26961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLVYTFLIYSSPLVGISFIVHRVLLGIDRQKIKKAEQDDKRKKFAKSRRSFKSKYGDRTHLSRRNTNTKTDNFCYSDTDFEDNDDIFSKSLSELLAEKSSLFEKKPTIIGEVNVESAVGRHECSSSYDYRKYGNHHVNDDDHKNEVKGGCWDFSADEGDDPQDKTVRWAEDDQKNVMDLGLLETERNKRLEGLMQRRRSKKNLGFQDKDATTENNNNGQISAIKVVKINPFLEVNSGGKKSPGSAPSLLATRNPFDLPYDPHEEKPDLSGDNFHEELTASHHKEPMFCRHQSFSLGAFSHPDATHDNRKMSFYKDLATKRFTLAALAASTIADDNPKEEGEEDGTEKRTKEIDAYLKASISEGNRLQRNANSFDCSPSTMLDERKADIVFFYGGKKRIGGHAPSNSIVSDLQVELSDEETSLKDLDLDKEKGSWLNLSNLSKSDSEVALKPDEEEDDTNPLSDEHYVAPEDNPGIISSSVVSTDLPSSSTQEMGNRPQSLNSNIVETNQLQEPPDGLTEAAIRQAHVTSSSPKSVLERGFSTDQTSQYNFSNEVQQPDNHT >cds-PLY99014.1 pep primary_assembly:Lsat_Salinas_v7:5:28988271:28989262:1 gene:gene-LSAT_5X13980 transcript:rna-gnl|WGS:NBSK|LSAT_5X13980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFRAGCVVRYLIVSSFVASGYGVTFSSLHKTVVLSASSPKGHVLTAGEDELTITWAFNETFPAGIDSSYKTIKVKLCYAPVSQKNRPWRKTVDELNKDKTCQHKIVERPYTPSNNSFTWRIERDVPSASFFVRAYALDSQQVQVAYGQNTDATKVTDLFHVEAITGRHVSIDVASICFSAFSIVSLVGFFYMEKRKAKVVQDK >cds-PLY63885.1 pep primary_assembly:Lsat_Salinas_v7:1:125564339:125566660:-1 gene:gene-LSAT_1X94280 transcript:rna-gnl|WGS:NBSK|LSAT_1X94280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGLENVKQSRHQEIKWAQREDKVYITILLADTKDAKVNLAPEGVFTLSASAGQHEYDLKLELFDKVNVELVEHVATCLIKIAERVQESSEMLDELSKHGLIHQVAHIIDLINHTTLSYYVHTGLIGLLVKLASGSMVAVKTLFDLNISSILKEILSIYDLSHGVPSPRTIDGHYNQMHEVLKLLIQLLPVVSRNQEVPLAAEKEAFLVTHPNLVEKFGNDLLPVLIQVVDSRVDLYICYGCLSVVDKFLAGVFTRKDIRVLMLALNISDTILQKNTDVFMGPFVKEGVLFAIDALIDPEECSQFMFSMFNDLQLLNTSSKICWKRCDSLLMLFF >cds-PLY68681.1 pep primary_assembly:Lsat_Salinas_v7:7:85750686:85751232:1 gene:gene-LSAT_7X58620 transcript:rna-gnl|WGS:NBSK|LSAT_7X58620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIAKHYETGETLPKEIYQKLLAARTFRAGTLSLRQLKFATVDLELHSKYVPGGSKSIYDVERRVSEKTQVLPLLEEDRFLCGFGHIFAGGYAVGYYSYKWAEVLSADAFSAFEDAGLNDDKV >cds-PLY71166.1 pep primary_assembly:Lsat_Salinas_v7:9:83544845:83545462:1 gene:gene-LSAT_9X65201 transcript:rna-gnl|WGS:NBSK|LSAT_9X65201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY61713.1 pep primary_assembly:Lsat_Salinas_v7:5:215948453:215950738:-1 gene:gene-LSAT_5X99441 transcript:rna-gnl|WGS:NBSK|LSAT_5X99441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSSPYPNYMTSSKFGCIPGAMHEHERIKLKQASSWSLQFKAPMKVNATKVDAIDWSMVDPKKESPNMVSDLRVERMIHDDSIFQEIFCIRLYEVGPDETASIETLMNHLQETTANHMKKSGLMHDGFGFGSEEMSKHNLTWVMAKIQMIVDRYPIWGDIVQMETWKAAHGKNGVCCNLTLHDCKTGEILVRASSYWVMMNTKTRKLSKFPNEVRAKLEQIYVDKPPLIEQDTRTWSKSEEKINEHICKGLKSVPKTIIENYEIHSMTLDYYREFTKDNILQSFTSILTNNSDETSNYDIVDCQHLLRFDIDGDNSNIMKGRTRWRLKQGNK >cds-PLY95521.1 pep primary_assembly:Lsat_Salinas_v7:8:115693160:115694030:-1 gene:gene-LSAT_8X80421 transcript:rna-gnl|WGS:NBSK|LSAT_8X80421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQYPVELMVVFIFGLSGMIVAAFAGLIMVRDLDAWKLKPDKMLASIIYMGISTGFLSGLIQVWALRLKGPVYVAMFKPLSIVIAVAMGVIFLGDSLHLGSVVGGIIISLGFYAVLWGKAKEDGGVHYNEASSSAQTSPLLQEHALEEGQ >cds-PLY81897.1 pep primary_assembly:Lsat_Salinas_v7:8:122753036:122756962:1 gene:gene-LSAT_8X83661 transcript:rna-gnl|WGS:NBSK|LSAT_8X83661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF GAP-like zinc finger-containing protein ZIGA4 [Source:Projected from Arabidopsis thaliana (AT1G08680) UniProtKB/TrEMBL;Acc:F4HXP0] MSSRKEEERNEKIIRGLMKLPPNRRCINCNSLGPQYVCTNFWTFICMTCSGIHREFTHRVKSVSMSKFTSQEVEALQEGGNQRARETFLKDWDPREQRLPDNSNVEKVREFIKSVYVDKKFFASKASGKPPRDTLSLRNHEDETRRASSYHSYSQSPPYDYQYEERRYGKQAPALTKKPGSDRGMLRFLSTSRLSDHGQEDSFANEVANARVSDYSGSSGADPFRSGTQSPPYQKELGFSSLSREMSRDNLTEDVQQQSSVNTFSSPNAKGESDQKPGPSGTTSTASAEFGKFDGLDLFSAPYAPPSQSTTTSAESEKFGGLDLFSAPYPYAPQSTTPAPAIAPSVIDLFDFSASTLSINNNTSSVNQQFQPFDPSALDLFTVVPQQQTTTLKVKDIENEGWATFDMPWHAQPAKNSTTIVPETSTSEGSFGKFDQPPSNSMDKNSQWSFHQDFGVDDTAIPLGVGAQNNQQSSWSSFQESNTPFQDPLPVDPYLAWGISENMKMKQADVDARPASFLNTTPHVIGSLDSSNQLPVLDAAQSHGIDTKSRNPFDFPSDDDLEASNMFLDMSSLQSSLPNHNWFPESAAPGMQIPNIQAQGPVASIGGGNPFG >cds-PLY89779.1 pep primary_assembly:Lsat_Salinas_v7:1:6935442:6939393:-1 gene:gene-LSAT_1X5060 transcript:rna-gnl|WGS:NBSK|LSAT_1X5060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFEIPFPIKLKLVFLLQTARIVSLLSESYNPHVRYGEAMAVGISCAGTGLSDESHGLMSGVAVSDAPTSSEPLDSCATIKAYMTHELINKVVNQLEKTVNTMLEATVSRQIQVQLQTSGKQALQEALKSSMEASVLPAFEMSCKTMFDQIDSTFQKGMVDHTTAAHQQVESIHSPLAFALRDTINSASSITQTLTSELTDGQRKFVALAGSKSVNPLLSQTSNGPTSGFHEKDVHMLAALKHGTLSYIFNNFVDARAFSEVVMEAKAAGYTEPDPRDDPAGTDVIILARERGLNLELSDIPVQSLVSDPLKVVMQAGDAELEMRGRCSAGQKVLASLIIRIALELEEEKKPQAKRDKMLQMQVLHSSFQLLL >cds-PLY78098.1 pep primary_assembly:Lsat_Salinas_v7:1:6185007:6189255:-1 gene:gene-LSAT_1X5080 transcript:rna-gnl|WGS:NBSK|LSAT_1X5080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 3 [Source:Projected from Arabidopsis thaliana (AT1G22760) UniProtKB/Swiss-Prot;Acc:O64380] MAESTKSELVLQHSIVNAGSPTSPSTPNCISSLYVGDLEENVDEGQLFDLFNEVKRVHSVRICRNEENGVSFGYGYVNFTSSEDANIALRLLNSKRLNGKQIRIMFSDPDPTMRRSGIGNVYIKNLDSSIDNKELYEVFCVFGDVSTCKVVVDSRGRSKGFGYVQFQKEEDAKVAIRKLNGMLIKGKQVYVAKFIHQKERNEANKSLKFTNVYVKNFPETTTEEDFVQAFGKFGSITSVVIMRDMYGKSKCFGFVNFQDPKAATDAIEGLNNDGIGWYVRRAQKKTEREILELKANSDQDRKLQYEQMKEANLYIKNLDETITDDEKLKALFSPFGTITSYKIMFDSRGVSKGFGFVAFSTREEAKKAMVEMNRKMVGRKPLYISVAERKEERMARLQAQFAQPRASGLVTHLTTDKTGVHLGAPELQSRGYGLIDEPIMVGYGPSNFMAPVRTRNPHVMLGQVMCDGTPNQDLVNVFSGRNLMDNQMDQVLMGNNMVRLPFNVSTEVTSSSDVHLFGLIDTTKLASVLVSASPENQHQILRTYLYPLVEQIEHRNATSVTDMLLDMDNSEVLHLIESPNELKKKVGEAMALLISTLSCVANDDDHFGSLSLN >cds-PLY88145.1 pep primary_assembly:Lsat_Salinas_v7:5:221499493:221500897:-1 gene:gene-LSAT_5X103240 transcript:rna-gnl|WGS:NBSK|LSAT_5X103240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase 4 [Source:Projected from Arabidopsis thaliana (AT5G43850) UniProtKB/Swiss-Prot;Acc:Q8H185] MAILQAWFMDNSHEDPQLPHHRNPYEFVSPDHLAELGVLHWKLNPEKYEEDEELEHIRATRGYNYMDLLDLCPEKVENYEQKLKNFYTEHIHADEEIRYCLEGSGYFDVRDKDDRWIRIWIKAGDLIILPAGIYHRFTLDTSNYIKLMRLFVGEPVWTAFNRPQEEHPARRKYIVNFGEKIGMPLEAH >cds-PLY83999.1 pep primary_assembly:Lsat_Salinas_v7:8:39376301:39377254:-1 gene:gene-LSAT_8X30300 transcript:rna-gnl|WGS:NBSK|LSAT_8X30300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGCSKIRHIVQLRQMLRRWRKKAAMASRKCIPSDVPAGHVAVCVGSNCRRFVVRATYLNHPVFKKLLSQAEEEFGFSHSGPLAIPCDEYLFEEIIRFLSRSDSSANRFVNLEEFQRHCHVGIRSNIDFWPESRPLLR >cds-PLY81095.1 pep primary_assembly:Lsat_Salinas_v7:6:130973634:130975712:-1 gene:gene-LSAT_6X79980 transcript:rna-gnl|WGS:NBSK|LSAT_6X79980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFEETFTAYLVGKDLINKSFHFEYNRLKNDLNFCRHHRHNEASVDSHGDDFNQTQCHRCEWMFTELTTKATKMTDYFGLRVGQLVHLHFSPGMRRFLAGPFQYFKDDHEAALLHKGWMLIQFAVMNAIALRKILKKYDKVHESESVINFKSKLQAKHLDIMQSPWLIELVAMYMNLKGSDSLISDELFGPLSCDLNVSNQGSALTLTLLGSEKLECNLTCPICLDIVFQPYALSCGHIFCKSCACLAGHALIIEGFKSASPESKCPVCRESGVYGKPVCMSELGWLLKRR >cds-PLY69190.1 pep primary_assembly:Lsat_Salinas_v7:7:103367597:103368008:1 gene:gene-LSAT_7X68141 transcript:rna-gnl|WGS:NBSK|LSAT_7X68141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREAAKNGMRSGLVVIGAVAFGYLTLQLGFKPYLEKAQLYNPDPDEDLNRQSDQQKQQQQQLSSISLNCYPFRWVTLMSSSSMEMMLSTLPIQFSHKID >cds-PLY69115.1 pep primary_assembly:Lsat_Salinas_v7:5:283852325:283857609:-1 gene:gene-LSAT_5X149621 transcript:rna-gnl|WGS:NBSK|LSAT_5X149621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPGAYQEHLPAVPDWLNKGDNAWQMTASALVGLQSMPGLVILYASVVKKKWAVNSAFMALYAFAAVLICWVLLCYRMAFGDELLPFWGKGAPALGQKYLARQAVLPETIHYYSDGRVESPATRPFYPMATMVYFQFTFAAITMILLAGSVLGRMNIRAWMAFVPLWLVFSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPYAANVPASVAVLNTNISAATSLLVWTTLDVVFFGKPSVIGAVQGMMTGLACITPGAGVVQSWAAIVMGILSGSIPWFTMMILHKKSILLQKVDDTLGVFHTHAVAGLLGGLLTGLLAEPTLCNMVLPVTGSRGAFYGKNGGKQFLKQLVAALFVIGWNLVSTTIILLFIRIFMPLRMPENELMIGDDAVHGEEAYALWGDGEKYDPNRHGSIFGAAELTPNDHNYNHTTARGVTINL >cds-PLY62557.1 pep primary_assembly:Lsat_Salinas_v7:9:74913287:74913508:1 gene:gene-LSAT_9X62400 transcript:rna-gnl|WGS:NBSK|LSAT_9X62400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAWTLTTHVIGIYNIIYHYPSIFKAISPHYIYQFFSTNGHEGWLLLNGMVLCITGKSFLPFFSFFNFFMLNF >cds-PLY86575.1 pep primary_assembly:Lsat_Salinas_v7:1:8900996:8904711:1 gene:gene-LSAT_1X7980 transcript:rna-gnl|WGS:NBSK|LSAT_1X7980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQSELTGEYNDQNVAGGRTIVVGVKLDSESRELLTWALVKAAHPGDRVIALHVLNDNEIVDRNGKSALLSLVKAFDSILAVYEGFCNLKQVDLKLKICRGTSIRKILVREAKSYEANEIIVGTARTHHAIKSSSSVAKYCAKKLSKNCAIIAVNNGKIVFHRDSSSSPSNVGAKENSDHHKKRLLGVIHRSRSLNPKLLHNGDEEIKCNQIDCKKSDLALVKTESDRLKNGFKYNCSICSPDSVLPNTACVLEETSDDDNFMALVPIQNLQSSSNSTHNLPDRRPGWPLLRRAISSNRLSSDRSPARQISVVQWAMRLPSRNLLQITNVADNKKDRSLDGENGALVLVNGDEIQSDSCSSKRDSVSLPEELEGLHEKYSSSCRLFKYQELVAATMNFKPENIIGKGGNSQVYRGCLADGRELAVKILKTSEDVLKEFVLEIEIITALHHENIISLFGFCFEDTKLLLVYDLLSRGSLEDNLHGNKKGKGFGWNERYKVALGVAEALVYLHSKSEKPVIHRDVKSSNILLSDDFEPQLSDFGLAQWATPTGLHITCTDVAGTFGYLAPEYFMHGKVTEKIDVYAFGVVLLELLTGRKPISSAYPKGEESLVMWAKPILNSGKFARLLDPNLGEYDADQMERMALASTLCIRRAPRARPNMSTVVKLLEGDVEVTKWARLEVDSTGGSDSRLHITNIPEEEDEEFSQSNLRSHLNLALLDIEDSSLSMSSIEESISIEEYLRGRWSRSSSFD >cds-PLY99180.1 pep primary_assembly:Lsat_Salinas_v7:6:129269794:129271829:1 gene:gene-LSAT_6X78380 transcript:rna-gnl|WGS:NBSK|LSAT_6X78380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKSSIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGCGVALLYASKELDNFVTANFDQKIGVQIIQNALKAPVYTIAANAGVKGDVVVGKLLEQDNPDLGFDAHNEESFSLKIVVDGQNSVNSQALRIPFPWLVFNEMIKVNSVFLLDTTVVSDSVLLLFGGSISKGDIDGHLKMLGGYLEFFMEPSLAELYHNLRKDPDELFQYKFFDTFVYGSGFPRAADERPSPLSKHGIVISVAVKPQAFGANSVAETISEFKHLLLVYKFNSKFTTFLCISSIRRQTYF >cds-PLY72571.1 pep primary_assembly:Lsat_Salinas_v7:3:198973070:198978086:1 gene:gene-LSAT_3X120901 transcript:rna-gnl|WGS:NBSK|LSAT_3X120901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVLSALFTLVFEKLTSEALKKMARSKGIDSELKKLKKTLDQVKDLLNDASQKEVIDEAVKRWLIDLQQLAYDTDDLLDDIATEAIHRELTRETGASASVVRKLIPSCCTSFSQSNRMHARLDDIAAKLQELVEAKHNLGLSVITYEKPKIERDEASLVDASGIIGREDDKKKLLQKLLGDTYESSSQNFNIVPIVGMGGVGKTTLARLLYDEKKVKDHFELRAWVCVSDEFSILNISRVIYQSVTGENKEFAGLNLLQEAIKEKLQNKLFLIVLDDVWSESYGDWEKLVVPFLVGASGSRIIMTTRKEQLLKQLGFSHQDPFHSLDPLQRLSQDNSLSLFAQHALGVDNFDSHPTLRPHGEQFVKKCGGLPLALRILGRLLRTKTDEEEWKYLLDSEIWNLGNGDKIVPVLRLSYNDLSATLKLLFGYCSLFPKDYEFDKEELVLLWMAEGFLHHSAARKSMQQWGHKCFEELLSRSFFQHAPNDKSLFVMHDLLNDMATFVAGDFFSRLDIEMKREFRKEVLQKHRHMSLVCEDYMVYKRLDPYRGAKNLRTFLALSIGVLKDLENFYLSNKVLSDLLQDLPLLRVLSLSNLSISEVPKVVGSMKHLRYLNLSRTRIANLPENVCNLYNLQTLIVSGCKMLTELPKSFSKLKNLQHFDMRNTWRLNEIPLGIGELKSLQTLFSDIGLAITELKNLQNLHGKVCIPGLGNVQNAMDARAANLSQKRFSELELNWGSEFNVFRTGTLEKEVLNELMPHNGTLEKLNIVSYRGIEFPNWVGDPSFRRLTHVSIEGCEECTSLPMLGQLPSLKELFIGGMSKVKIVGLELLGTGLVFPSLEILRFDSMSGWEEWSTNSGAFPCLQELHIEDCPNLVQVSLEALPSLRVLKLRICGHGLLKRLVYVASSITKLEIDDISGLTDELWRGMIGFLGAVEEINIRECNEIRYLWESEVEASKVIMNLKKLDVYSCSNLMSLGEKEEDNIHSGSSLTSFRTLKVWKCNSLEHCSCPDSVEDLDIESCDSITSVSFPTGGGQKLKSLTITDCKKLLEKELGGREKTNLKPINELSCFIYLTTLAISECPSIESFPDHELPNLTLLTYLKIQNCICMDASFPRGHWPPKLCRLEIGGLKKPLSEWGPQNFPTSLSNLKLHAGPYDGMKKFDQLSHLLPSSLTIFGIDGFEKLESVSTGLQHLTSLQRLIIQKCPKTIDLPENLLPSLLSLIIFKCPNLKERFGRGGSYWPRVSLIPCFKIDGYFHN >cds-PLY89535.1 pep primary_assembly:Lsat_Salinas_v7:4:158902135:158903370:-1 gene:gene-LSAT_4X95701 transcript:rna-gnl|WGS:NBSK|LSAT_4X95701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGIVVIFDFDKTIIDVDSDNWVLDELGASDLFNKLLPTMPWNSLMDKMMGELHLQGKTIHDIEQVLNRVPIHPRVVPAIKAAYASGCDLRVVSDANTFYIETILKHLGIRECFSEINTNPGFVDDEGKLRILPFHDFHKSEHGCNLCPPNMCKGKVIERIQATVAGEKRIIYLGDGAGDFCPSRKLVEGDYMMPRKDFPVWKLICENRDVVKAEVYEWSDGEDMERILLQLIASIIPIEKMENKNANQLFDCKFERIALGALSKPIYVPH >cds-PLY85783.1 pep primary_assembly:Lsat_Salinas_v7:8:250595497:250599947:1 gene:gene-LSAT_8X147761 transcript:rna-gnl|WGS:NBSK|LSAT_8X147761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTSGYNIRDLVGEAHIRWLKPGEVLFILQNFEEKQLTHAPPEKPPSGSLFLFNKRVLRFFRKDGHNWRRKKDGRTVGEAHERLKVGNVEALNCYYAHGEHNPSFQRRSYWMLDPLMDHIVLVHYRDITMTGNSGGSISTLSTGSSSLIQSPDSYATPLSRSGGGFSQSTSSPSSVEVSSDVVTMSNGTSPLSLIEGTDNFEIDVALRRIEEQLSLGEENLKDLSLYYSENEISNDSGFSIDDQDYGDVSITNNQQTLIWDDVIEYGGNPVEEKGANNNPSLPQEIEDFKFPAYTPDRNLSDRYPDFYSTFFDQGQTEMPLESNLGLSISQEQKFNIRDIAPESGSASESTKVLIIGKFTCDSDTYKNKWYCMFGETEVPIEIMQEGVLCCYAPPLPPGKVTVCITSGNRESISETREFEYLEKHRGGKKCWRSSEELLLLVRFVQMLLSEKEGGEEVEESWSQLIEGVLDGSLASYDAIDCLLEELLKEKLKQWLSSSSSSCGLLSKREQGIIHMVSGLGFGWALTPILNSGVGVNFRDINGWTALHWAARFGREKMVAELVASGAFTGAVTDPSHEDPKGKTPASIAATSGHKGLAGYLSEVSLTTHPSLQTQISHNIQPQTTSLNPNNISNEDLSLTDTLAAVRNATQAAACIQSAFRAHSFRKRQQKESFQLEEEDEYGILPSHIETLSTFLKNGNDYNAALSIQKKYRGWKGRKDFLALRQKVVKIQAHVRGHQVRKNYMVFCWAVGVVEKVVLRWRRKGVGLRGFKQGGDETEDEDIVKAFRKEKVDVSIDEAVSRVLSMXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIYIFNQHRTSFVLISYWYCSSMLQAEREGLESQGGSTSQNDVMNT >cds-PLY96498.1 pep primary_assembly:Lsat_Salinas_v7:5:336889282:336890469:-1 gene:gene-LSAT_5X188701 transcript:rna-gnl|WGS:NBSK|LSAT_5X188701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELMIVAGKVVPILQLEHGVAVVTVYAGSFMTSLDMGDLLTFSISIIKAEQAILQCLDVAAKAPHWLIGVDEGIHMNKKFHENALSSPSIQSPGASVHEQSEETLSLSTLHIVTLFLPIMPLVLVKLIDNSLMDVSQSDLEANLFKHYQQDAVKTWS >cds-PLY65350.1 pep primary_assembly:Lsat_Salinas_v7:6:40714724:40715343:-1 gene:gene-LSAT_6X30260 transcript:rna-gnl|WGS:NBSK|LSAT_6X30260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAFSRGRLKLPIAKVNSEIYEIDYRGPETHSYMPPPNESGGVNTNGGPMKHHKASHSRSKTLSTENATKEV >cds-PLY76101.1 pep primary_assembly:Lsat_Salinas_v7:9:31869174:31872299:-1 gene:gene-LSAT_9X27260 transcript:rna-gnl|WGS:NBSK|LSAT_9X27260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPAFIADDLHTSFSNGLHLKLNEFETATVIGNKTYVIGGINDPTSTGVRIFNKSTGNWVIPTILGTKPKPFKGHSTILLNKDRILIIKTNSKSNDSIWFLEVDTQFVEDQKKKFETEVVAWSKGVIGDAERPIVISGPSGVGKGTLINMLMKEFPSMFGFSVSHTTRDPREKEENGVHYHFTNRSVMEEEIKAGKFLEFAAVHGNLYGTSVEAVEVVADAGKRCILDIDVQGARSVRASSLEAIFVFICPPSFEELEKRLRERGTETEEQIQKRLRNAKAELEQGKSSGLFDHVLVNDDLEACYERLKKILGLDGSSDATLKTQTEVFDLPMDFSLSKINEKILINSGIDERRIVLDLSSMKGGAPGRTRGLCMYTTGN >cds-PLY72245.1 pep primary_assembly:Lsat_Salinas_v7:7:88363085:88365241:1 gene:gene-LSAT_7X60281 transcript:rna-gnl|WGS:NBSK|LSAT_7X60281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVLLNSSKLCGNLQCDQVDNIPIVHGRLRSLYKSVHSSTRIAVNSSTPFANGNGSVSEDLTGLFSSLTRALKNLGKSSWHRAHICLKGFENHNLYPTLVDSFNVGCPGLDRLNNSIKATAKFELEDKYVESLHEIYILSKAVRKILSWEATISFISLEGSMKGEEGIDEKPDKKKKVMGKGTTLLMQFIKDNLLSVSVANNVNDNSCSTLPEKVAQCFLSHFESLLPKIKQVVESNESNESRRLPKLAKGTRDFAKEQTVVREKAFAIIGNVFKRQGAMALDTPVFELRETLTGKYGEDSKLIYDLADQGGEICSLWYDLTVPFVRYVAMNGLTSFRRYQIAKVYRRDNPSKARHREFYQCDFDIAGDETIAADFEVVRILVELLDELNIGDYEIYQVIIIGYILFSWQYYRLYLSMGGSRKIRGVQNLETVRPHQLLEQMVCTAFRVAADTLNQTRFGGLKNMTIKIDQLYFTIASALKPLQANKLPGDMEIIQDVKRLCVVFEHVEKLLTLGSGSGNQWSHQQREIGIWSCKDCGKVKAGGPYTLK >cds-PLY89467.1 pep primary_assembly:Lsat_Salinas_v7:8:91958708:91963262:-1 gene:gene-LSAT_8X64740 transcript:rna-gnl|WGS:NBSK|LSAT_8X64740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGGGSEGVVQRGKLIVHIAENGHSFDLNCDEYTLVEAVQRYLESLSGIPLNDQLLLYLDMKLEPQQPLSAYKLPSDDREVFLFNRAKMRTNSTPPQPVHLEAPDHIHPNPAKPSSSSHEPHPLDEASDPALKALPSYEIQFRYHYQLGDAIYRRTLLKYETCERLSREQRVQEKALEIARGNLDHIYKMILHNYNDFVKRYSHQQRSHSNLLVNFWRDLERLRNVKLLPALQSDNRRCLSDFVKEESLRKMVEDCGNSHRQFEIKVGDFKQEFRELKGSTELLFSSEASIINRDLERTIKEHRQYINEQKSIMQALSKDVAMVKKLVDECLTSQLSNSLRPHDAVSALGPMYEGHEKNHLPKMHSCERAISNLFAFCKEKKSEMNTFVHEYMQKIAYIQYTIRDVRYKFSVFNEAINRQNVQFEQLKIVRGIGPAYRACLAEIVRRKASMKLYMGMAGQLAERLANKRDSEVRRREEFIKIHSLYIPRDVLALMGLYDMPSTCDVNVAPFDGNLLDLDISDLDRFSPVELGGFSFKSSVDGNDECDEPEDFIGISGTSKMEVENAKLKAELASCIAMLCFYPMDVEAECWDEGKMDILLKDGAEKTAEALRLKDEYGKQLESILKEKQIQFELYEKRIKELEGRLSDEYLLEENKLDKNDSFSIGKSEISGDGEAHMDEGSFGYNSSGILNPHLDSSMRDPHRDVNEKDEKEVQAPDVGMPLVGSSTADNMVQLPKSEGDEHGKIRDRVMELQNLLEEKSNELTEVEAKLQAAIEEVGKLGRDLEISRKLLDESQMNCAHLENCLHEAREEAQTHLCAADRRASEYNALRGSTLKVRSLFERLKTCVSAGGIAGFADSLNSLAQSLATSANENGDDGTAEFRECVHVLAKKVGILTRHRAELIDQFTKAEASHEQLTKELEEKKELVNTLYIKHQSEKQANKEKISFGRLELHEIAAFVLDKSGYYKAINRNCNHYYLSQESAALYADNSRQQPAYIVGQIVHIERQTVKEVNSGDGNPYGLAVGCEFFVVTVAMLPETAVPPPPAAAS >cds-PLY70123.1 pep primary_assembly:Lsat_Salinas_v7:3:10973692:10976082:-1 gene:gene-LSAT_3X6100 transcript:rna-gnl|WGS:NBSK|LSAT_3X6100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRMIALGFEGSANKIGVGVVTLDGTILSNPRHTYITPPGQGFLPRETAQHHFQHILPLIKEALETAQITLKDIDCLCYTKGPGMGAPLQVSAIVVRVLSQLWKIPIVGVNHCVAHIEMGRIVTGADDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAIGNCLDRFARVLMLSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSYIEATAEEKLKNNDCTPADLCYSLQETLFAMLVEITERAMAHCDKKDVLIVGGVGCNERLQEMMRVMCGERGGNLFATDDRYCVDNGAMIAYTGLLAFAHGSTTPLEESTFTQRFRTDEVLAVWRDNKDTIEHKTQGS >cds-PLY80074.1 pep primary_assembly:Lsat_Salinas_v7:4:347912739:347915350:1 gene:gene-LSAT_4X171261 transcript:rna-gnl|WGS:NBSK|LSAT_4X171261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEAERRNLRDIIENKSHIILVHSTSGYKHSLREVLDAPNVMNIIKDTKAAQEVRVLKDFFTMLTNDPHRAWSIYQLKLFCCHEYPEKPLTVKFQSRINMSCVNQETGVVEPSLFPMLSDWQRDYTMEDILTQLKKEITSAS >cds-PLY91660.1 pep primary_assembly:Lsat_Salinas_v7:8:13141057:13141437:-1 gene:gene-LSAT_8X10461 transcript:rna-gnl|WGS:NBSK|LSAT_8X10461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVDPWAHPNFNLWSVSIEPPKNKPVVEYGKANDKRFTIRVVHGGFFTDYPGKAYQQTKVHFISHANIDLLDMELLWCFSRSLRYTSLGNWYHMPIGEHSGLSMVPILKDECLEPFKALVRAHEFK >cds-PLY65629.1 pep primary_assembly:Lsat_Salinas_v7:7:28074562:28075209:1 gene:gene-LSAT_7X21600 transcript:rna-gnl|WGS:NBSK|LSAT_7X21600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPEIVDDDIKHLLEKISHDIQRIGDQQNLMTRYYSLDFDFNDVKDILTHTRNPNYNGDWLSARKENLYYLNNLLNEWQLINKRNSFAHVKDREACLNIKKSLKKMMKEFKGEESSSRGDAEYSVTRHQDPKHLTFERNKKDVYRWSSRHGPRKVHGFENNVMAMERELVMRNINVPYKVFGVVGVAGIRKTTLCQDIFCRKLVKEHFCPRIWVC >cds-PLY80120.1 pep primary_assembly:Lsat_Salinas_v7:5:17052858:17055084:-1 gene:gene-LSAT_5X7781 transcript:rna-gnl|WGS:NBSK|LSAT_5X7781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKSFTLCQLEAWLAEYKVRRGATRTVEVEEIDDNDDSCGLCGDGGELICCDNCPSTSHLTCLCVQVYFGLHSRIGIMNSISDGFSWTILKCIHGDQKIHSGLVALKAECKLKLADALTIMEECFLPMVDPRTDIDMIPHVLYNWGSEFARLNYEGFYTVILEKNDVILCVASLSKYRCQGMCRRLMNAIEEMLKSFKVEKLVVSAIPSVVDTWRDGFGFTALESHEKKEPHKK >cds-PLY83421.1 pep primary_assembly:Lsat_Salinas_v7:5:329763425:329764655:-1 gene:gene-LSAT_5X186481 transcript:rna-gnl|WGS:NBSK|LSAT_5X186481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHVETLNLSRINTKEAEIDEFCIKKKLEPSYERPLSCLVFHSAVRTIRMSQFRKSTKMAVMSWFSNFNNSTSHYNFEFCLLKWEMLKKQKGTTHIAQGKSSWPELVGATGGAAAKKIEKENPRVDAIVLLDGTPTTRDFRCDRVWVWVNSSGVVIRTPKIG >cds-PLY78153.1 pep primary_assembly:Lsat_Salinas_v7:4:96672383:96674155:-1 gene:gene-LSAT_4X62240 transcript:rna-gnl|WGS:NBSK|LSAT_4X62240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERRSWPKFGDAVQEDVGAKLTMVSTEEIIFERPRAPALLKQQGAFAKGQTKTAPVEEEVPPLLGENGKIEVWRIDGEEKTELPKEDIGKFYSGDCYICLYSYHSDEKKEDHYLCCWIGKDSIQEDQKTAVQQTTSMFNSMKCKPVQSGVPVKFSREGKESLAFWLALGGKQSYSSSKVTQEIIREPHLFEVISNKGKLEIAEVHSFEQDDLFPEDILILTTWFLGNI >cds-PLY76778.1 pep primary_assembly:Lsat_Salinas_v7:4:188254828:188256380:-1 gene:gene-LSAT_4X108640 transcript:rna-gnl|WGS:NBSK|LSAT_4X108640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASITIKCFVGLHQSSPEKYHRHYSFTAPSKCVVRSQRHHRRLNVVQAAKSSPKITGRNLRVAVVGGGPAGGAAAETLAKGGIETFLIERKMDNAKPCGGAIPLCMVGEFDLPLDIIDRRVTKMKVISPSNVSVDIHKTLKPHEYVGMVRREVLDGYLRERAIASGATIINGLFTKMDIPQEKNAPYILHYNAFNGESVSAGKKMSIEVDAVIGADGVNSRVAKSIDAGDYEYLIAFQERIKLPEDKMKYYENLAEMYTGDDVSPDFYGWVFPKSDHVAVGTGTIGHKPDIKKFQDATRRRAHDKIVGGKIMRVEAHPIPQHPRPRRVLERVALVGDAAGYVTKGSGEGIYFAAKSGRMCAEAIVEGSEYGRRMVNEGDMRSYLKKWDKTYWPTFKVMDVLERLFYRSNPAREALVELFGNEYVQRMTFDSYMYKKVAPGNPLEDIKLAVNTIGSLVRANALSKKMAN >cds-PLY95937.1 pep primary_assembly:Lsat_Salinas_v7:9:42629953:42630960:1 gene:gene-LSAT_9X39201 transcript:rna-gnl|WGS:NBSK|LSAT_9X39201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKLTLKDLFTRGIDIQAVNVVINFDFPRNAETWGRLGRFGHLGLAVNLITYEDRFNLSETESSQVVDKVHHQVRRAPSFSGPLNLPNPASGNSLSAPIKPFGGIF >cds-PLY94870.1 pep primary_assembly:Lsat_Salinas_v7:2:179735030:179735856:1 gene:gene-LSAT_2X101700 transcript:rna-gnl|WGS:NBSK|LSAT_2X101700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNTDLNSGGFLGSQNISGFGYGIGISVGMLLLITTITLASYFCNRTTQTSPSPSVAQIRAQRNSLGAALGQPDSTHCVVDVGIDDETLLSYPTMLYKDAKIKKRDSGFSTCCSICLGDYKGSDVLRQLPDCGHLFHVKCVDPWLRVNPTCPNCRTSPLPTPLSTPLAEVVPLARRRD >cds-PLY98970.1 pep primary_assembly:Lsat_Salinas_v7:7:51022134:51023558:1 gene:gene-LSAT_7X37040 transcript:rna-gnl|WGS:NBSK|LSAT_7X37040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQSAKPISSPVPVVWYPSLAVLMLAVGLVITASFFIYEATSSRKSRSLAKEVVTGAVASVFLGFGSLFLLLASGVYV >cds-PLY89952.1 pep primary_assembly:Lsat_Salinas_v7:2:192853313:192854776:-1 gene:gene-LSAT_2X114901 transcript:rna-gnl|WGS:NBSK|LSAT_2X114901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKEKRLRDEKTKEELMKLKAVEQEEGRRVRKELIQRVVKESKRKENELKSEKKKEQKEREKNKALINNDEIIQGLEKNASENEQIATKQLQLVLSELREAEEKKEEERKELVGMLHEEQQKREEEERVQTTNKLIELAIHCEKSLDQFTKTGMESAQYMEKDFVFAADDGLIQESDSHSIMETLKPSKSTIFLGTSETESQEVPKGETNTLPTTTARRTKWKKKSKYVSMVEFNSLNQKLFEVLELVNKIPPSKEKFVSKEKFVEMQKLVTSLAQRVPNLETREKMLRESVVKSTADALKKMEQKRTDDTFKYLDRMDEMLKMVKEIQQSYDDLTNIVGRQHGDEIVRLSEQLCDYRNKNIILQAILVKVIQSAQQLLRPRNVRFDEILFAIQKIQNFLDVLPKTLSNEELSKQVPQSFQKVFDLIENLKGSKSMDEAGYSSIKRGEDENVVITETSPSPKRDEVSRPRPPPKTTIPPSSPNIDLI >cds-PLY83429.1 pep primary_assembly:Lsat_Salinas_v7:5:330033288:330033770:1 gene:gene-LSAT_5X186660 transcript:rna-gnl|WGS:NBSK|LSAT_5X186660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIAGPVASRGNNASSSGSAGSSSGEAFVVQGKVYCDPCRIQFPTKISYPIPNAKVVLVCRAREQSGESYNVEGTSDANGMYSITATGDHEEEICDVHVTESPDSKCPEVMDDERSARVSLTDKNGVRGNSRAANPIGFMVKEVDPRCKEILAEIGIIGL >cds-PLY96108.1 pep primary_assembly:Lsat_Salinas_v7:3:100869641:100871946:-1 gene:gene-LSAT_3X73580 transcript:rna-gnl|WGS:NBSK|LSAT_3X73580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPKSILLYVVLPLLTFFLLSRLRRKPLPPGPRGWPLIGNMLMMDQLTHRGLARLGEKYGGLLHLKMGFSHTVAVSSPEIARQVLQVQDNIFANRPATIAISYLTYDRQDMAFANYGPFWRQMRKLCVMKLFSRKRAESWDSVRDEVVSMVKITAASSGTAVNLGELVFGLTHDIIYRAAFGSISHEGKEEFIRILQEYTKLFGAFNLADFVPWLGFIDPAGLNTRLPKARAALDRFIDKIIDEHLAKERKTGDEEDNDMVDEMLAFYSEEGKVNEGEDLQNAIRLTRNNIKAIIMDVMFGGTETVASAIEWALTELMHTPESLKRAQQELADVVGLDRRVEESDFEKLTYFKCVIKETLRLHPPIPVLLHQSSEATSVAGYHIPKGTRVMVNAFAINRDKNSWKDPHTFNPSRFLQDGAPDFKGSNYEFLPFGSGRRSCPGMQLGLYAMEMAVAHLLHSFTWQLPDGMKPSEIDMNDVFGLTAPKAIRLVAVPTPRLLCPLY >cds-PLY99685.1 pep primary_assembly:Lsat_Salinas_v7:9:56854253:56857004:1 gene:gene-LSAT_9X48340 transcript:rna-gnl|WGS:NBSK|LSAT_9X48340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3B [Source:Projected from Arabidopsis thaliana (AT2G33610) UniProtKB/Swiss-Prot;Acc:Q84JG2] MATSSGGGETPLKPQAQPPKPTSEATPTPENQKLQPLDSSPNPVTPPNPTSAPPIPTTDSKQTTTPATVNSRPRETYSVPDSDVIHVPSYSRWFSWNNIHECETRMLPEFFDGKSPSKTPKVYKYYRNAIIKKFRDSMKETPPSKENPMPKITFTEARKTIIGDVGSVRKVFDFLETWGLINYFGSPITKAQLKWEDKESKNVSTSSQQNTDPGTGITNSVPDSGVPKKKFCSSCNSLCTIACFSNIKKDTTFCARCYVRAGVNTADFKRVEISEEVKTDWSEKETLHLLEAVMHYGDDWKKVSEHVVGRSEKECVDRFIKLPFGEQYVGPPDSIDPEEHFDDEPNPKKKMRPTPFDDASNPILAQAAFLATLSGVEVAEAAASAAVKALTEVNYETSKEILESSADDAKDQDSVTTNGKSNKNSLEGKYLEAKLQLEKEEQDLVKTISDIAEVQAKDIHDKIIRFEEFELQMEKEWQQLQQMQNLLFADQLSILFHKNDGTNRNENMEQKVKTELS >cds-PLY82747.1 pep primary_assembly:Lsat_Salinas_v7:2:147751692:147757046:-1 gene:gene-LSAT_2X73920 transcript:rna-gnl|WGS:NBSK|LSAT_2X73920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II family protein [Source:Projected from Arabidopsis thaliana (AT2G17510) UniProtKB/TrEMBL;Acc:F4INI6] MLQNKSFVRKTKQGKVIKVVREHYLRDDIYCGASFCQNCDTKSARFSSPDSTILVVDTNVVLNQIDLLENPAIDDVVVLSVVLEEVKNKNLAVYNRLRALCSNTLRRFFVFSNEHHKDTYVKGMVGESPNDRNDRAIRVAAQWYKNHLGGPTRVLMITNDRENKRKATEEGISADTIESYVKSLNQPALLDLLVQPHFSEDMEIEDLRPSKKKVIYTEHKPMSEITSGIRCGIYHQGKLRVNRYNPFEAYVGSESIGDEIIIFGRGNMNRAFDGDVVAVELLPQDQWHTEKSLSIAEEDDEEDDSIHLAPNSADDAPRITNLPQGSSINSNIAPSRPSGRVVGIIKRNWHAYCGSLEPMAMPAGNAGIAHALFVSKDRRFPKIRIQTRQLGNLLDKRIIVSVDTWDCQSKYPSGHYVRTIGDIGDRETETEVVLIENDIDARPFSAQVLSCLPPLPWSVSPEDLSNPIRQDLRHLRIFSVDPPGCKDIDDALNCTLLPNGNFEVGVHIADVTNFVHPGTPLDSEATQRGTSTYLVERRIDMLPKPLTEDICSLRADVERLAFSVIWEMTPEAHVISTRYTKSVIKSCAALSYVEAQARMDDSRLMDPVTIDLRNMNTLAKIMRQRRIERGAITLASAEVKFQVDTETHDPLDIGMYQIREANQMVEEFMLAANVSVAEQILKSFPQCSLLRRHPSPTKEMLEPLLRTAASVGLDLDVSSSKSLADSLDQAVGDDPYFNKLIRILATRCMTQAVYFCSGDLSPPEFHHYGLAAPLYTHFTSPIRRYADVIVHRLLAASLGIYKLPTIFQDRPQLTSIADNLNYRHRNAQMASRSSIELHTIIFFRKRPTDTEARIVKIRANGFIVFVPKYGIEGPVYLTMRGEKGGGEWVVDEQQQKIKKTDGSIAYGVLQSVKIHMEVVEPQPNRPKLQLSLI >cds-PLY65619.1 pep primary_assembly:Lsat_Salinas_v7:8:40136740:40138358:1 gene:gene-LSAT_8X31300 transcript:rna-gnl|WGS:NBSK|LSAT_8X31300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAALDQVSCFGFSRQLIRRTVKNLLKVYGDDGWKLIEEDGYKVVIDFILDEQESEQKQNLLKNEASSQEKPGTELIMTEVKEESAKDESFEGNNNAALTIHTENEECLEDTSMDMDKTAHSSTLHDDHSAHEFNIQCSENAPHSGPSSDATHAPVNLPVHRRKPCYGWISDDDDNEEETFFIALTPAR >cds-PLY68257.1 pep primary_assembly:Lsat_Salinas_v7:3:189223267:189223479:1 gene:gene-LSAT_3X113501 transcript:rna-gnl|WGS:NBSK|LSAT_3X113501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISISSSNNSDNIENVAKKIDKETSEAQKMLQLAAKQRMNTDARRTFFCIIMSSEDYIDALECLNFVGGF >cds-PLY75907.1 pep primary_assembly:Lsat_Salinas_v7:9:199843800:199851612:-1 gene:gene-LSAT_9X123461 transcript:rna-gnl|WGS:NBSK|LSAT_9X123461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDSNSIAGRRLTAIHSHLLTSTDNTHSQIETNSTAGEFFDEQRYSVVLPEKLKEGKWNVYRSARSPLKLLTRFPDHPDIETLHDSFVYAVDTYPDYKYLGSRVRVDGTVGEYKWMTYGETATARSAIGSGLQYYGLSKGACIGLYLINRPEWMVADHACSAYSYVSVPLYDTLGPDAVKYIINHADLQAVFCVPNTLNILLSFLSEISSVRVIVVVGGVEEHLPSLPETSGVKLVSYSKLLSQGHNNLKPFCPPKSEDIATICYTSGTTGTPKGVVLTHGNLIASVAAMTLSIKFNSTDIYISYLPLAHIYERANQIMLSYCGVAVGFYQGDNLKLMDDLAVLRPTLFCSVPRLYNRIYAGIMNAVNTSGVLKHRLFTAAYNSKKQAIMTGRKPSPIWDRLVFNKIKAKIGGRVRFMGSGASPLSPDIMDFLRVCFGCSVIEGYGMTESCSVITIMDDGDNLSGHVGSPNPACEIKLDDVPEMNYTSDDQPHPRGEICVRGPIIFQGYYKDEVQTREVLDDEGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPDKIENVYAKCRFISQSFIYGDSFNSSLVAIISVDPDVMKDWAASQGIKYNDLGQLCNDPRAKAAVLGEMDVVAREAQLRGFEFAKAVTLVAEPFSVENGLLTPTFKVKRPQAKAYFAKEISNMYAELSAMDPTLHKPL >cds-PLY82294.1 pep primary_assembly:Lsat_Salinas_v7:1:68538351:68538530:-1 gene:gene-LSAT_1X60281 transcript:rna-gnl|WGS:NBSK|LSAT_1X60281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEGICERTDGNKVEEKLMSSCSKWSNLEEKQIRDTVAGADESQWSAIRGEPAVANEVR >cds-PLY62180.1 pep primary_assembly:Lsat_Salinas_v7:6:31227071:31229865:-1 gene:gene-LSAT_6X23781 transcript:rna-gnl|WGS:NBSK|LSAT_6X23781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNVGQGVVGSLCYINGSKASFFNGNQETGVPCSSGPQVNGSNDHGTMGEVVTISEMREMLGHNRASFRSMKPRWSSAVDQELLDAKFQIENAPFIENDLTLDPSLYVNVSRFKRSYELMEKTLKVYIYKEGEKPIFHQPQAVLKGIYASEGWFMKHMKASKHFVTKKPKEAHLFYLPFSSRMLEEKLYVVDSHTHKNLIQYLKKYLDLISGRYSFWNRTSGSDHFLVACHDWAPSETKKYMNNCIRAMCNSDVKNEGFELGKDVALPETTIQSSKNPLKQFGGQPPSKRSTLAFFAGRMHGDLRPILLHHWENKDPEIKIFKKLPKSKNNKNYIEYMKTSKFCICAKGYEVNSPRVVEAIFYGCVPVIISDNFAPPFFEVLNWESFAVFLKEKDVPNLKNILVSISDSRYLVMQERVKLVQQHFLWHVKPVKYDIFHMILHSIWYNRVFRVNPR >cds-PLY97636.1 pep primary_assembly:Lsat_Salinas_v7:5:239772603:239773040:-1 gene:gene-LSAT_5X118301 transcript:rna-gnl|WGS:NBSK|LSAT_5X118301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRQWSTNKLVLILDAVHWNPEAPPRTLLRVRLQCDGRSHLILLKNRKRSAMPGRGDEKRNDFEERNLGSQCLCTLEEMCQPRDALLLTPLGSETGLNLSLG >cds-PLY80904.1 pep primary_assembly:Lsat_Salinas_v7:2:76577416:76583300:1 gene:gene-LSAT_2X35420 transcript:rna-gnl|WGS:NBSK|LSAT_2X35420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKSVHAGKAKIDFNVDFTHRLCAAMMVHSFRNSGTSSPLSLIVGSLCVKHPNLFGKSEKLDLLWDKGLSDSNILIAYRKPRPEWLAQHSFVFQHSISPEVGIHGVPVDNFSKTGSGGVNLCRFSAGLDLDEPGTSNWTTKTSIKFEHVRPVNDDGHSISRDLHGYSVTSSGNFHDNMVVLKQESRFAKANDHSFTRFSLQIEQGIPLMSKWLIFNRFKFAASKGVKVGPGFLLASVTGGSIVGDIAPYQAFAIGGVGSVRGYGEGAVGSGRSCLVANTQLTFPMNLMLDGVLFCDCGTDLGSGRYVPGNPGLRHGKPGAGVGVGYGVRLKFPMGHFQVDYALNAYHQKTIYFAFSNVAS >cds-PLY72028.1 pep primary_assembly:Lsat_Salinas_v7:8:114887255:114889032:1 gene:gene-LSAT_8X79401 transcript:rna-gnl|WGS:NBSK|LSAT_8X79401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEARDRLARPNNGVADIYRIRRGSVDSLGILPDDGDNERGFSRTPFRWGATPLTGGTSGQPMEGAPSTRTITGAAARGGGAGRGLFGTPGTVYRRGSRNQNTPPSGSTVRRGRGRRPGSQSVLPSWYPRTPLGDITHVVRAIERRRAHLVDGGGQVLGSPNPVRIDHQPAVHDPSPFGAHLEHELSLVTPNPKLATKTFRPPPLKIFTDVTNQDGGDSEFLTPQKKLLNSIDIVEKVVMEELHRLKRTPTAKKVEREKRVRTLMSMR >cds-PLY64231.1 pep primary_assembly:Lsat_Salinas_v7:7:2331572:2331877:1 gene:gene-LSAT_7X1160 transcript:rna-gnl|WGS:NBSK|LSAT_7X1160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSGGSGGRWSSGGGGGIIREDIFRNSVATGEVYPLESEGMICEECKTGDTVTIELAQSLLINHTTGKEYKLKPIGDVGPVIEASGIFAFARKAGMIPA >cds-PLY69980.1 pep primary_assembly:Lsat_Salinas_v7:8:63334243:63336374:1 gene:gene-LSAT_8X45681 transcript:rna-gnl|WGS:NBSK|LSAT_8X45681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFFNLLASIFFCFLCFQSDISFSDIIADRLALTRFRSAVRGNTLRWNISNPSPCLWQGVTCDNSSNRVTALRLPGARLSGQIPLNSIGSLTQLQALSLRGNLLSGEIPQDLEFCSELDMLNLQNNRFSGEIPVTLFRLSNLTRLDISGNNFSGEISPNLSNLTRLTLLFLQNNQFTGQIPDINTSLTQFNVSMNRLNGSIPTRLANFPIESFTGNDLCGSPLSSCSNEGKSNKLSGGAIAGIVIGSILGSILIIVVIFYLCRNFIRSRSSTRAVQDAASTVPPSPVKPPEYAARSPDHIMVGENTGSDEGYSSRVENKDELVFFGHGGFFLDDLLRASAEVLGKGTIGTTYKAYLDHSEVIVKRLKNVSVTKREFTKRIVCIGELYHENLLPVRGYYFGKEEKLLVFDPKPMGSLSSYLNGNEEARSLLTFEVRSRIAFQVAIGLEHLHSHNLQHGNIKSNNILLTEEFQALISESGLIQLVSSPTTGLSGYRAPELIDTRIASKDADVYSFGILILELLTGKDPTILLNEEGVDLPTWVQSVDEGKWMSDVIDLNLGNDSSNEEKIMKLLHLGIRCASKVLRRRGSMTEVAQQIKKICVV >cds-PLY68218.1 pep primary_assembly:Lsat_Salinas_v7:8:267630227:267630755:1 gene:gene-LSAT_8X154740 transcript:rna-gnl|WGS:NBSK|LSAT_8X154740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGFPSKYIPSDLVMNNLVALDMSYSNIKSSINVDRHPKLENREKLIRSCAKDKRFIGSLKILNLIFCEQLRSLVGFVEFPALEILIATNCNELVEVCESVQIRVELVYIDLRYYNKLEKLTMGMLNKVKTLLLDGCNLGESRIEIRDK >cds-PLY96172.1 pep primary_assembly:Lsat_Salinas_v7:8:101995855:101998704:-1 gene:gene-LSAT_8X69741 transcript:rna-gnl|WGS:NBSK|LSAT_8X69741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNPRRGFRVCVLFVLIPFLCWVESVNGIGANWGTQSSHPLPPETVVRMLVDNGIQKVKLFDADYGALRALSKSGIEVMVGIPNDMLSTMASSMKAAEKWVSKNVSAHLTNSVNIRYVAVGNEPFLATYNGSFLRTTFPALQNIQAALVKAGLGAKVKVTVPQNADVYESGASGVPSSGDFRADIHDYIFQITKFLNDNGSPFTVNIYPFISLYIDSNFPVEYAFFDGQATPVNDGGTTYTNMFDANYDTLVWALQKNGLANMGIIVGEIGWPSDGDRNGNNEYAQRFMQGFMSRVAGGKGTPMRQGPIEAYLFSLIDEDEKSIQPGNFERHWGVFYYDGQPKYALNLGTTVTGALVPARNLKYLQRKWCVLKDSVKMDDPSIAQSVSYACALGDCTSLGYGTSCGDLDAKQNISYAFNSYYQINNQVDEACKFPNVSTVTKDDPSTGSCRFGIMIEPYYAGADERRASVGFLVGVVLLLLMVL >cds-PLY94718.1 pep primary_assembly:Lsat_Salinas_v7:1:200903433:200920455:-1 gene:gene-LSAT_1X125261 transcript:rna-gnl|WGS:NBSK|LSAT_1X125261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWELKIAEGEGPYLYSTNNFVGRQFWEFNPDGGTPEEKEEIKKVRQNFKDNRKKGGFHACGDLLMRMQLMKENAIDLTSILPTRLSEGEQVNFEAVTTAVKKAVRLQRAIQAKDGHWPAENAGPLFFTPPLLIALYISGTINTILTEEHQKEMKRYFYNHQNEDGGWGFYIEGHSTMIGSALSYVALRILGEGEDGGDGAIAKARKWILDHGGASSIPSWGKVYLSVLGVYEWEGCNPLPPEFWLFPSAFPFHPAEMWCYCRTTYMPMSYLYGKRIQGPITHLVSSLRKEIHPIPFEDINWNKQRNNCCKEDYYYPHSFLQDALWHSLHYITEPIVKYWPFSKLRGRSLDRVVELMRYESEETRYMTIGCVEKSLQMMCWWAENPNGDEFKYHLARVPDYLWIAEDGMTMHSFGSQLWDCALATQAIIASNMTEEYDECLKKAHFYIRESQVKENPSGDFTQMCRQFTKGSWTFSDQDHGWTVSDCTAEALKCLLLLSNMPQEIAGEKDDNARLYEAVNVLLYMQSPVSGGFAVWEPPIPKPFLQLLNPSEVFADIVVEKEHVETTSSIIGALIEFKHAHPRHRKEEIEHSISNGVRYLEETQWYNGSWYGYWGVCFIYGTFFALRALSSAGKTYDNNEAVRKGLKFLLSIQNEEGGWGESLLSCPTEVERDRMPLHKAAKLLINAQMDNGDFPQQEITGVYMKNCLLLYAQYRNIFPLWALAEYRKRVW >cds-PLY99182.1 pep primary_assembly:Lsat_Salinas_v7:6:129948035:129949403:1 gene:gene-LSAT_6X79140 transcript:rna-gnl|WGS:NBSK|LSAT_6X79140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAPFLPDLHPNSLHLNEGNKNRPTPFDTLPLQPPSLSNEHCFSSPFLSSQVLTATSGNLCHHRKCVNKSTTTIIFDHHHLQERFLNDNDLLESEPVMNDLNFDFKLQILSDLLRQLAMKREEE >cds-PLY95853.1 pep primary_assembly:Lsat_Salinas_v7:5:65407007:65410541:-1 gene:gene-LSAT_5X30841 transcript:rna-gnl|WGS:NBSK|LSAT_5X30841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRASKTFFSTFKDLIPHHHLQQTEKDISKNHQVRKKYTIQLGENELVLKELDLLNEDANVYKLIGPVLVKQDLAEANANVRKRIEYISTEFFVQEETSGFNSSGYGGKAK >cds-PLY84742.1 pep primary_assembly:Lsat_Salinas_v7:5:228885922:228888358:1 gene:gene-LSAT_5X108680 transcript:rna-gnl|WGS:NBSK|LSAT_5X108680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:2-carboxy-1,4-naphthoquinone phytyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G60600) UniProtKB/Swiss-Prot;Acc:Q0WUA3] MGCGLHLHNTPNFTRVYPIVSLSGNLKTSSWCCGLHLHNTPDFNGTHIRRLKLYRERRRRSQPTSIKSQPDDPATISVHAHEDEIPKATLVWRAIKLPIYSVALVPLTVGTAAAYLQTGLFKAKQYVLLLASSVLIITWLNLSNDVYDFDTGADINKKESVVNMISSRSMTLTAALVFLGLGCMGILWASMEAGNVRSTLLLASAITCGYIYQCPPFRLSYHGLGEPLCFAAFGPFATTAFYLLQGGGIRAEMLPITTTILSASLLVGITTSLILFCSHFHQIAGDKAVGKLSPLVRIGTETGSNVVKVAVISLYSLLVLLGICKALPITSIFLCALTVPMGRIVVSFVEDNHQDKTKIFMAKYFCVRLHGLFGAALAVGMVAARILTRNNVPQPLIS >cds-PLY98613.1 pep primary_assembly:Lsat_Salinas_v7:1:36906212:36909731:-1 gene:gene-LSAT_1X32080 transcript:rna-gnl|WGS:NBSK|LSAT_1X32080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSGEESDFSESEIAEYKDKPLEQLRDGTLKVKYPNGILRCPFCAGKKKQNFKYKDLHQHASGVGKGSSNRSAKQKANHLALAIYLEHDLAHESEQPPKVAEPKPVAPVSEENDLFCWPWTGIVVNIVKDPENEEAIESTEYWMKRFSKYKPEVVEISWDEEKTTAQALVRFNNDWTGFKNAMEFEKSFEANHHSKREWVASDKSSGSSIYAWLARANDFESQGAIGDFLRKNRELKTISDLVQEAMQTRNKAVVELTSEIDTRNENLDDLQIKYNQKTMSLSRMLEEKDSLHSAFYEETRKMQRLAREHVKRVLDEQEMLNADLEKRRKKLDSWSKELNKREALTEREKQKLDEEKKKNDVQNNSLHMASIEQKKADESVLRLVEEQKREKEEALKKVLELERQLDAKQKLEMEIEELKGKLQVMKHLGDEDDAAVQEKIKEMNNELETKMEEMENMENLNQTLVVKERQSNDELQEARKVLIKGLQDMLSGRTNIGVKRMGEIDMKAFHDACKEKFDNEEAQIKASELCSLWQDKLKNPEWHPMKMIKVDADNHKEVINEEDELLKNLKAEWGDGVFDAVVGAFKEMNEYNPSGRYVVNELWNFKDNRKATLKEVISYIFKNLKSLKRKR >cds-PLY96726.1 pep primary_assembly:Lsat_Salinas_v7:6:77531669:77537176:-1 gene:gene-LSAT_6X55640 transcript:rna-gnl|WGS:NBSK|LSAT_6X55640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDFNEQSEEISPTTNGQSEETSSASTKKRKRKFDPFIEGISKAVALLGKDLQEASATMSQSLNAKVELQKKTSVVTSEILKIPSMDQMDKFKASRKIMREPEAVLTFWNLEELFQALILNGSRGKASLKLNGDVETSFAIFRVVAMETEKYGLGSVEIGCVMACNLHTNNCHASMTSHQGGSVCFLMTSHLGYTKKGSMSIRFHTWFLEKLKNKEHEVLSMFDAIDEYTMGHLKECEGKKLVSATKKGLKFQETEEEHPKHEYPKKKFEEISVYSLKTWMLHVQYELLRV >cds-PLY88980.1 pep primary_assembly:Lsat_Salinas_v7:8:129313250:129314648:1 gene:gene-LSAT_8X88860 transcript:rna-gnl|WGS:NBSK|LSAT_8X88860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSGLKIVKRLSFVRNLTGPLLEKIEKLEISVGGFGRQLQEKFEDIDVDDDMIDEDEMLDGLMRDYGDEEAYVAVIEHSYGIILSEKKNIEKALKGGNEKFPDNLLLKEWEEIWNIGSGHVLHQGFAYHFKSNTFIHAIIIDCRTSLINRMEELRDVGSMRYWMHQLHMIEPKHYLIRC >cds-PLY66609.1 pep primary_assembly:Lsat_Salinas_v7:4:282766819:282771162:-1 gene:gene-LSAT_4X143760 transcript:rna-gnl|WGS:NBSK|LSAT_4X143760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDASDRIVYLHGDLQLNIIEARNLPNMDVFTGHIRRCVTFEACRNDNSSAATTDTKLRSGHRKIITSDPYVKVSVPQATVARTRVLKNSKNPKWDERFIIPLAHALAHLEFHVKDDDVFGADVLGSVKISAEKIATGETISGWFKMENSSKKNSELKLEMTFFPVEKNPLYQHGIAGDPAHKGVRNTYFPVRKGSQVTLYQDAHVTPDCKMPEIQLDGGKVYQHNSCWEDICYAVSEAHHMIYIVGWSVFHKIKLIREPTKPLPRGGDLTLGDLLKYKSEEGVRVLLLVWDDKTSHNKLFIKTTGVMGTHDEETRKFFKHSSVMCVLSPRYGSSKLSFFKQQVVGTAFTHHQKCVLVDTQASGNNRKITAFIGGLDLCDGRYDTPQHRLFHDLDTVFKDDVHQPTYPAGTKAPRQPWHDLHCKIDGPAAYDVLLNFEQRWKKATKWREFAFIAKKMAQWQDDALIKIERISWIISPKYPVPKHGDHTIVPEDEPLLHVSKEDHPDNWHVQIFRSIDSGSLKGFPKTVDVCEAQNLISAKSLVIDKSIQTAYIQAIRSAQHFIYIENQYFIGSSYAWPAYKDAGADNLIPMELALKIASKIRAGERFAVYVVIPMWPEGVPSSATVQEILYWQSQSMQTMYTIVAREIKAMQLDAHPQDYLNFYCLGKREELPPGMPQSSPDEKVSNSQTFKRFMIYVHAKGMIVDDEYVIMGSANINQRSLAGSKDTEIAMGSYQPHHTWAAKKRHPHGQVYGYRMSLWAEQLGVLEGCYEEPGSLESVKRVNEVAEDNWRRYVSDEFSELQGHLMMYPLKVDVDGNVGSLPECESFPDLGGKIIGAHSATLPDILTT >cds-PLY70401.1 pep primary_assembly:Lsat_Salinas_v7:3:180508915:180509871:-1 gene:gene-LSAT_3X109060 transcript:rna-gnl|WGS:NBSK|LSAT_3X109060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVNDQYLTNVLLKINAKLGGLNSMLCVEHSPSLPHVSKAPTIILGMDVSHGSPGQSDIPFIAAVVSSRHWPLISRYRAS >cds-PLY95050.1 pep primary_assembly:Lsat_Salinas_v7:5:227358649:227360042:-1 gene:gene-LSAT_5X107101 transcript:rna-gnl|WGS:NBSK|LSAT_5X107101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSLNKTLILLILLLATLARGQGNRGRGQGTRVGFYRATCPRVESIVQSAVRSAVQSNATIAPGLLRMFFHDCFVNGCDASILIEGPSTEKASGPNSLLTGFEVIDAAKAQLESACPGVVSCADIVALAARDSVVLTGGRRWQVPLGRRDGLVSQASDTANLPGATDPITVQIRKFADKGLNTQDLVTLVGGHTIGTAACLLFSYRLYNFNNTNGPDPDINQAFLPQLRALCPNGGNAFRRVAMDTGSVNSFGNSFYENLRNGRGVIESDAKLWSDRRTQRYVQGFLGVRGQPGSRFNAEFGRAMVKMGNIELKTGRQGQIRRVCTAIN >cds-PLY72222.1 pep primary_assembly:Lsat_Salinas_v7:7:58987065:58994262:-1 gene:gene-LSAT_7X42860 transcript:rna-gnl|WGS:NBSK|LSAT_7X42860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase SGR2 [Source:Projected from Arabidopsis thaliana (AT1G31480) UniProtKB/Swiss-Prot;Acc:Q8W5R2] MAESSGKYEDAKTFAEMLRNTSSNIRRLADEIQQCEGRQKYLAQTGSPSDGGDVRWYFSKVPMAETELAAAVPITEVVGKGDYFRFGMRDSLAIEASFLQREEELLSNWWKEYGECSVGPKGSLSSIPESDPQSYDLPSSQSSKLYTDEEERVGVPVKGGLYEVDLLRRHSFPVYWNGDNRRVLRGHWFARKGSLDWLPLREDVAEQLEFAYRGKVWHRRTFQPSGLFAARVDMQGSTPGLHALFTGEDDTWEAWLYIGLSGFGSMVSFGVTGLKLRRGYARSQSAKPTQDELRQKKEEEMDDYCSQVPVRHLVFMVHGIGQSLQKANLVDDVGTYRQVTESLADLHLTNYQRESQRVLFIPCQWRKDLKLSGESAVERCTLPGVRGLRVTLSATVHDVLYYMSPIYCQDIIDSVSNQLNRLYLKFLKRNPGYDGKVSLYGHSLGSVLSYDILCHQEKLSSPFPMEWLYNDHNTHEISPEGNSSLSSGGEDLTTNNEGVLVIPPASVDTEAMLPETHAQESEKNDDLDESRNDEGVLVIPPSADTEAILPETHAQESEKNDDLDESTGSNSETITNQIDEEVNKNDEDVVIRSLKEEIDILNARIKELESHRVEENKQEASIMTNESGSKSSTSLKNETMKSYRPYIKYTKLLFKVDTFFAVGSPLGVFLALRNIRIGVGRGKDYWEDETINEEMPSCRQMFNIFHPYDPVAYRIEPLVCKEHIDRHPVIIPYHRGGKRLHVGYQEFVEQVALRSQAVADNISHLRVKVLTACQSRDSDGQEETSEEAEERGRPYGAIMMERITGSEDGRIDHVLQNKTFEHQYISAVGAHTKYWRDPDTALFILNHLYRNIPKEASKKNTNNTRITNQESDEKWEEVSDDEQLSLTFADEKLIRQFSDKAKKFTEN >cds-PLY71882.1 pep primary_assembly:Lsat_Salinas_v7:4:377159701:377160012:-1 gene:gene-LSAT_4X186041 transcript:rna-gnl|WGS:NBSK|LSAT_4X186041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRVESRTAKRKREVSQARFRQLIQKEEGVSGGSSRPKGKVSKSARLPSYRPTMGSEFQASQAAIASKRARFSEVFLDRGKGEVPEVQPVGRRGSDGTKKSAK >cds-PLY67825.1 pep primary_assembly:Lsat_Salinas_v7:8:187144826:187147086:1 gene:gene-LSAT_8X122021 transcript:rna-gnl|WGS:NBSK|LSAT_8X122021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDFGHDRGEGRESVKSRDLVMTLDVTEVEVRCPGKVKNQDEKKRIKGCFEQMVEKILKIQQVSEESFFGALEVNIEHLKLTWSNFC >cds-PLY86801.1 pep primary_assembly:Lsat_Salinas_v7:5:14265117:14268010:-1 gene:gene-LSAT_5X6401 transcript:rna-gnl|WGS:NBSK|LSAT_5X6401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQMVDIEAQSSAQTSSPPSIFNKVDHPVTLKFQEVVYTIKNKKQGWIKRKKNHEPTEKQILKGITGMVLPGEMLAMLGPSGCGKTTLLTALGGRLGGKLDGTITYNGKPFSSIMKRYTGFVTQDDILYPHLTVTETLVFTALLRLPKKLTTQEKITHAEAVIDQLRLTRCKNIIIGGPNLRGVSGGERKRVSIGQEMLINPSLLFLDEPTSGLDSTTAQRIALTLWELARGGRTIVMTIHQPSSRLFYMFHKVVLLSEGNSLFFGKGSEVMDYFNTIGFSPSVTMNPSDFLLDLANGISPDNSSYGDQNAVKQKLVLAYKSNLDEKLKAEVVEFNDDENDVLDNKKHERWSTTWLQQVMVLLKRGVKERKHEFFSTLKIGQVIAVSFLCGLLWWQSDKAHLQDQSGLLFFYTGFWGFFPLFQAIFTFPQERQMLGKERSSGMYRLSSYFISRTIGDLPMELVLPTLFCIITYWMAGLKSDFGSFLYALFTLLFSVLASQGLGLAVGALVMNQKSATIMGSVIMLSFTLAGGYYVQHVPDFISWIKYISISQHTYKLLIASQYEHGQTYKCGNLTCLVEDFPAIKSVGLDGQVLSVVALALMLVLYRVVAYLALMRIGVPK >cds-PLY68903.1 pep primary_assembly:Lsat_Salinas_v7:2:194060870:194062910:-1 gene:gene-LSAT_2X115281 transcript:rna-gnl|WGS:NBSK|LSAT_2X115281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase L2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G55040) UniProtKB/Swiss-Prot;Acc:Q9M2W2] MAALNLSCYYHLFHSLSHSPPPRNVASVSILHGRNNIALSPTPLTFHRQKLHNNLLVLATTMSTSVQEDLPPALDSTSEPPPVFDGTTRLYVSYTCPYAQRVWITRNCKAGLQEKIKLVPIDLHNRPDWYKEKVYPPNKVPALEHRNEVKGESLDLIKYINTNFEGPSLYPDDPLKKEFGEELLSYTDTFNKSVTTSFKGDGVDEAGAAFDYIENALSKFDDGPFFLGQLSLVDIAYAPFIERFQPYLVDVKNYDIKVGRPKLAAWIEEMNKNEDFNQTRRDPKELVESYKKRFLVWVPNYELTV >cds-PLY66404.1 pep primary_assembly:Lsat_Salinas_v7:9:127459988:127460227:1 gene:gene-LSAT_9X82040 transcript:rna-gnl|WGS:NBSK|LSAT_9X82040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAICEGNQEAETEAAVVGGGEGGCSSISFVVYSFPDPMATHEGGRRSGFSGSHSIGEEDDVEERGWNGGNNPPPFGSVF >cds-PLY63143.1 pep primary_assembly:Lsat_Salinas_v7:4:66190202:66192017:-1 gene:gene-LSAT_4X44160 transcript:rna-gnl|WGS:NBSK|LSAT_4X44160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding-like protein 8 [Source:Projected from Arabidopsis thaliana (AT1G02065) UniProtKB/Swiss-Prot;Acc:Q8GXL3] MLDYEWGNPSTIMLNGTVAVDESTSHPDQSRHIFEQYSQSFNETTASSYLNPNDFLHHSHNPHHHYLNNPTQTHPHFASLYDPRAYGGNCAYPPSPTPLLTLEPEGGSGNVNSHGHGQNGYMIMPKPEPSGCAIDFTNNRIGLNLGGRTYFSSAEDDFVNRLYRRSRPLEAALVSSPRCQAEGCNADLTHAKHYHRRHKVCEFHSKASTVITAGLTQRFCQQCSRFHLLSEFDNGKRSCRKRLADHNRRRRKSSQNPDHSKGSVTVSGAQSSSSEIISRYQSDSRG >cds-PLY68426.1 pep primary_assembly:Lsat_Salinas_v7:2:60075462:60082789:-1 gene:gene-LSAT_2X28080 transcript:rna-gnl|WGS:NBSK|LSAT_2X28080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIIPSFFTGRRSNVFDPFSLDIWDPFQGFSSVLNNLPESSRETAAITNARIDWKETPEAHVFKADIPGLKKEEVKVEVEEGRVLQISGERSKEHEEKNDRWHRVERSSGKFLRRFRLPENTKMEEVKATMENGVLTVTVPKAEEKKPEVKSIDIGGKRNCSMFSLFPTRGSHESDCDFQEVSDSNNGGDGEGGWRWLRLTWWHEL >cds-PLY90611.1 pep primary_assembly:Lsat_Salinas_v7:6:54676822:54679131:1 gene:gene-LSAT_6X40621 transcript:rna-gnl|WGS:NBSK|LSAT_6X40621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQINFGSKLTEYLQLLKQLEYYGNVPNDLIHSFRSYALIVYRSDRQNSFSEPMPWIGIYIAIASLFCSFAMVADLIHGLRNRKLWFPCKYFTLNAASLSIIAVAMKLPMDLSNLMPGDVDQFAKIGSMGFMCTMMANLLPSLATMDSKELFTNIIALVVLVITLVVNVCIQIKTGVVSNTEVEHMLGGDHYSNYLSFYNQSIAIIYVAMILMLLMIHACSSLAIVKSKQILEVKYQSAHEAALMDQELQQPGRVLTVEKLKQHVSNYWIMAGTGSPQFMIACSATTSASGVVCALSTVLHVILMLFTVVNIKDYKSDYKWSMVMILIIQFIGSLLGTIAPLCRCFAALSFKLSIKWIWNNMKVFKVESYWTQKLSDLKESSIPFVSGSRKFKFHVQNLEALILGFCIRFQKAVVVACKIIGLIPVFIVICIFNCSCCWKWLKSKLTAFGIVFLKNSEQHETNENFSHYVLQLQGDMELAGITMKRISKSFDRLIKKAEKQEPSNLIKLLKGSTGFEGVEKFDNHHVPPLLLQEPLNCWSLPLATLTTIAISLPNIQKNIVDCLLSGVSEGLVYVKLVEESLNGIDEHVSIQKSAETLWVEVEVYNKWLGNKLQIFAPEVNTAGQILQWLRDTAKKMVVEVESTGIGVLIENSKCKSICANSMYRITESILLSYNTNIEELSQEELFVQLSVMIADILAACLTNLPQVITIKCHTSVIEKREASVHAAAQLLGETVQIINTLQDCELPCLDPDELAFIDKWRAYLKDPFP >cds-PLY81073.1 pep primary_assembly:Lsat_Salinas_v7:6:130731315:130732249:1 gene:gene-LSAT_6X79421 transcript:rna-gnl|WGS:NBSK|LSAT_6X79421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLATVAALNAVLFTVRGQMEALLRSEPGAPLTVNQQVVAGAGAGVAVAVLATPTELIKCRLQAQGAAVTGPGAAVTAAIKYSGPMDVAKQVLRSEGGIKGLFKGLIPTMGREVPGNAAMFGVYEALKQYFAGGTDTSGLDRGSLIVAGGLAGGAFWVSVYPTDVIKSAIQIDDYRNPKYSGSIDAFKKIVKAEGVGGLYKGFGPAMARSVPANAACFLAYEGVRSSLG >cds-PLY82517.1 pep primary_assembly:Lsat_Salinas_v7:2:185700637:185706594:1 gene:gene-LSAT_2X107041 transcript:rna-gnl|WGS:NBSK|LSAT_2X107041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIGTVGAFVHGCSLPIFLRFFADLVNSFGSNANNIDKMSQEVLKYAFYFLVVGAAIWASSWAEISCWMWTGERQSTKMRIKYLEAALSQDIQFFDTEVRTSDVVFAINTDAVMVQDAISEKLGNFIHYMATFVSGFVVGFTAVWQLALVTLAVVPLIAVIGGIHATTLAKLSSKSHEALSQAGNIAEQTIVQIRTVLAYVGESRALQAYSAALKISQRLGYKSGFSKGFGLGATYFTVFCCYALLLWYGGYLVRHHHTNGGLAIATMFSVMIGGLALGQSAPSMSAFAKARVAAAKIFRIIDHKPSVDRNSESGLELDSVSGLVELKNVDFSYPSRPDVKILNNFSLSVPAGKTIALVGSSGSGKSTVVSLIERFYDPTSGQVLLDGHDIKGLKLKWLRQQIGLVSQEPALFATTIQENILLGRPDASMVEIEEAARVSNAHSFIIKLPDAYDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADVVVVLQQGGVLEMGTHDELFAKGENGAYAKLIKMQEIAHETAMNNARKSSARPSSARNSVSSPIITRNSSYGRSPYSRRLSDFSTSDFSLSIEGGYPSYRHEKLPFKEQASSFWRLAKMNSPEWTYALIGSVGSVICGSLSAFFAYVLSAVLSVYYNPDHEYMVKEIGKYCYLLIGVSSAALIFNTLQHFFWDVVGENLTKRVREKMLAAVLKNEMAWFDQEENESSRIAARLSLDANNVRSAIGDRISVIMQNSALMLVACTAGFVLQWRLALVLVAVFPVVVAATVLQKMFMQGFSGDLEGAHAKATQLAGEAVANMRTVAAFNSEAKIVNLFTISLQKPLHRCFWKGQIAGSGFGVAQFLLYASYAIGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMKSVFDLLDRKTEIEPDEIDSIPVPDRLRGEVELKHVDFSYPSRPDIPVFRDICLRARAGKTLALVGPSGCGKSSVISLIQRFYEPSSGRVMIDGKDIRKYNLKSIRRHMAVVPQEPCLFATTIYENIAYGHESATESEIIEAATHANAHKFISSLPDGYKTFVGERGVQLSGGQKQRVAIARAFLRKAEVMLLDEATSALDMESEKCIQEALERICSGKTTIVVAHRLSTIRNAHVIAVIDDGKVGEQGSHSHLLKNYPDGCYAKMIQLQRFSHGEVVDMVSVGSGSGSGSGSKRLEG >cds-PLY93018.1 pep primary_assembly:Lsat_Salinas_v7:4:193177969:193178280:1 gene:gene-LSAT_4X111100 transcript:rna-gnl|WGS:NBSK|LSAT_4X111100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNWNETRSLGLLVLGCMLDSGSWERNGKDGDDLPEASRQRWRRRMATVAVSGDVGEWQSSVGLFPPGFRLGSGPNQRRKQMGVVFGGDLQLRGGGIRWWSIYS >cds-PLY68323.1 pep primary_assembly:Lsat_Salinas_v7:3:239696615:239698062:-1 gene:gene-LSAT_3X133881 transcript:rna-gnl|WGS:NBSK|LSAT_3X133881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMSHPFDDKKILERVPVGPPFSLSDLKKAIPAHCFKRSVIRSSYYVVHDLIVAYVFYFLANTYIPFLPPSLAYLAWPVYWFCQASILTGLWVIGHECGHHAFSEYQWIDDTIGFILHSALMTPYFSWKYSHRNHHANTNSLDNDEVYIPKRKSKVRWYSKILNNPPGRVFTLVFRFTLGFPLYLLTNISGKKYGRFANHFDPMSPIFTERERIQVLVSDIGLLAVFYVIKLAVTAKGAAWVTCIYGVPVLGVHMFFVIITYLHHTHLSLPHYDSTEWNWIKGALSTIDRDFGFLNRVFHDVTHTHVLHHLISYIPHYHAKEARDAIIPVLGEFYKIDRTPIFKAMWREAKECMYIEPDQASEHKGVFWYHKM >cds-PLY81138.1 pep primary_assembly:Lsat_Salinas_v7:9:66351198:66352774:1 gene:gene-LSAT_9X58281 transcript:rna-gnl|WGS:NBSK|LSAT_9X58281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKQAQSLFAEEEYDDSQDNLLEEAEGLCSLSPTQRLYGFGACCLAGLLCMLLSTIVFAIPIKFAVLFTFGNLLAIGSTVFLMGATRQLQMMFDPVRIYATSIYIGFVVLALICALGIHSKLLTILAILCEICALIWYSLSYIPFARAVVSKVTIRLFDTEI >cds-PLY88269.1 pep primary_assembly:Lsat_Salinas_v7:8:152863293:152863611:-1 gene:gene-LSAT_8X102720 transcript:rna-gnl|WGS:NBSK|LSAT_8X102720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKICRYVFRITHVPRLEDWSVMPVRIGFMLQPHGFFNCSPAMDVPPSPGACESDVKEGHVKESIATKSVSNGLIAML >cds-PLY91586.1 pep primary_assembly:Lsat_Salinas_v7:8:216967065:216969900:-1 gene:gene-LSAT_8X134220 transcript:rna-gnl|WGS:NBSK|LSAT_8X134220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSYPKARINVKEQLNEENFYLPTEEGEQGLLPVLILSMKESTQSKRPAVVFVHPTNANKEWLRPSLEDYASRGYIAIAIDSRYHGERAKTPTAYQDALVSAWKIGDTMPFIYDTVWDLLKLADYLTTRDDIDHSKIGITGNSLGGMHAWFVAFVDTRYSVVVPVIAVQGFRWAIDNDQWHARVDSIKPVFEEARIDLGKEAIDKEVVEKVWNRIAPGLASEFDSPYTVPVIAPRPLLIINGQDDPRCPIEGIDVTISKTQKAFEDAQCLNHFKVIVEPGIGHDVTSSMLKDVSDWLDKFLKP >cds-PLY61846.1 pep primary_assembly:Lsat_Salinas_v7:6:59412670:59412978:-1 gene:gene-LSAT_6X44360 transcript:rna-gnl|WGS:NBSK|LSAT_6X44360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGLNINLVVIMALMLSSVQFHGTEAQTTHVVGNALGWNIPPNGPSAYITWASTQTFRVGDVLLFNFITGFHNVVEVPQAAYGPCTTANPISMVRPAPLESP >cds-PLY82069.1 pep primary_assembly:Lsat_Salinas_v7:8:53916705:53916896:-1 gene:gene-LSAT_8X39981 transcript:rna-gnl|WGS:NBSK|LSAT_8X39981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLFSADDRIGDAEFEFTPFLEAVRMHLNSNILNNTIITTVKPMRTNCLAEECYITWTDGRVA >cds-PLY84205.1 pep primary_assembly:Lsat_Salinas_v7:7:77372246:77374448:-1 gene:gene-LSAT_7X53881 transcript:rna-gnl|WGS:NBSK|LSAT_7X53881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEEISTSGNRSVEIIDGINGLQKVVLHQHHGSSVEVYLYGGHVTSWKNEQGEELLFMSNKATFTPPNPIRGGIPICFPHFSNVGSLELHGFARNRLWSVDNDPPPFPTNPTNRVFIDLIFKSTEDDLKTWPHSFEYRLRVSLGPVGDLLLTSRVRNTNTDGKPFSFTVAYKTYFSVSDISEIRVEGLETLDYLDNLQNRERFTEQEDAITFESEIDKVYLSTPTKIAIIDHEKKRTFVIRKDGLPDAGVWNPWDKKAKAMPDFGDDEYKHMLCVEAAAVEYPITLKLGEEWKGRQQLLVVHSSYCSGKLDPHIVC >cds-PLY67345.1 pep primary_assembly:Lsat_Salinas_v7:4:20006073:20008170:-1 gene:gene-LSAT_4X13821 transcript:rna-gnl|WGS:NBSK|LSAT_4X13821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLNGTLVKETTIKSDGDAFLELFCYKPYEISDMCPPSIQSCDILDGKWGSLGSVIIWKYSHNGSDCTAKEVIEEYDTEKKLVCFKVVDGTLMDYYKSFFLTIHVDTKGEKHSVTWKLDYEKLNENVEDPKTLMDFCLNVTKDIDNHRHKHFNESEMNLSGNLVKEIVIKSDGDAFLELFCYKPYDISNMCRSSIQGCDILDGEWGSVGSVIIWKYHHDGMDCTAKEVIEVNDREKKLVCFKVVDGTLLDYYKSLFLTIHVDTKGEKHIVTWNLTYEKLNENVEDPKSLMEFCLNVTKDIDNSQHKHFNESEMNLSGNLVKETTIESNGDAFLELFCYKPYAILSMCPNNIQGCDILDGEWGSVGSVIIWKYHHDGRDCTAKEVIEANDKEEKLVCFKVVDGTLMDYYKSFFLTIHVDTKGSKHLVTWTLAYEKLNENIEDPKTLMEFCLKVTKDIDTHQHKHNN >cds-PLY68749.1 pep primary_assembly:Lsat_Salinas_v7:6:1591857:1592467:1 gene:gene-LSAT_6X1241 transcript:rna-gnl|WGS:NBSK|LSAT_6X1241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGILNPGSHFKSDNGFKPGFFGAVETRLAVSLPNSGIKAKPHIKSRIKTLKSDWSVVHDMISWNNTTGFGWDYENKMLEAPQSVWQAYAQVHKNAAKWRGKKFPHYWDLCIVFGKDRANGRDAQTAADVIYEINNEQQESDDYMQRTRDGLEDIDVDAPVNSPTYTHSKEDSSTQ >cds-PLY85924.1 pep primary_assembly:Lsat_Salinas_v7:2:189343690:189344802:1 gene:gene-LSAT_2X111341 transcript:rna-gnl|WGS:NBSK|LSAT_2X111341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable membrane-associated kinase regulator 3 [Source:Projected from Arabidopsis thaliana (AT2G37380) UniProtKB/Swiss-Prot;Acc:Q9ZUS8] MSSNLLLSSNYEDEEFIDMEVSSSSSPSKTREFEFQKDGRSMNNASPADELFYKGKLLPLHLPPRVQMVKSLLLQNAKAKDQGQQQEDQFITFSTPPLMVQSCNISPSESSRVSTELTPDEYFFDWSTELTGFIGDHHHHHHHIHPTNRNYYAPPWSKKLRLTQKLKASRNYLKSLFNKSACGSDAKQPVIQQDSDKDEHFLTKYLKVNKKKTGFGKYPTLGNVLKGIEDEGNEDGFDSSCSNRKSFSGAIKRKCSPSSTSSSGSSSSSSSSSSFNYSNGIYELCKRNSTADSELEGSIEAAIDHCKKSQQVLNQSVFFPSSVL >cds-PLY94352.1 pep primary_assembly:Lsat_Salinas_v7:5:170684589:170685125:1 gene:gene-LSAT_5X75221 transcript:rna-gnl|WGS:NBSK|LSAT_5X75221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVNKNQNVLYSVSVANSLGVGNRAHILVPSEYTSWADRIKYYLEGHDSEIWTFISTGKHTPEFLKDIRVPEAVVSLETSKVISRSVSFATQLHDRKIKKFEAKEMQELLSGISHDIYEQLLDENKSSPFNVWNALKKHFEGTDKILTNRKKATLTDMDNFKMLPHETLFDVYSRYT >cds-PLY79765.1 pep primary_assembly:Lsat_Salinas_v7:1:180737278:180737775:-1 gene:gene-LSAT_1X115960 transcript:rna-gnl|WGS:NBSK|LSAT_1X115960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKIVRSVGRKDKHIKICTSKGARDRRIRLSANTAIQFYDVQDRLGYDRPSNAIDWLMKEAKSAIDVLNADQYHHLQELLQPDTTTYVFNPSEAFHRTPEDRDQHNLNQDQVNSFSSYPFEVISSRNENELQGENAIPVSRDINFAWNRSYNAGESFELVNREPL >cds-PLY94283.1 pep primary_assembly:Lsat_Salinas_v7:1:91582851:91583261:-1 gene:gene-LSAT_1X73701 transcript:rna-gnl|WGS:NBSK|LSAT_1X73701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQRRKKRMILNRESARRSRKRKQKHLDDLKSQLNQLRNENNQIISSVSITTQHYISVEAENSVLRAQVAELSHRLQSLNEMIAFMYQPVDTGCRFEDEQYGSGGGTEFVDEFMNNSLSYLYANQPIMASADMIQY >cds-PLY67271.1 pep primary_assembly:Lsat_Salinas_v7:5:135790981:135801087:-1 gene:gene-LSAT_5X58881 transcript:rna-gnl|WGS:NBSK|LSAT_5X58881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHNNGASYSPNWVYDSPIHSDVEAKLRRLLVSAGNADTILGIQVCAYKDGKVIIDTAAGVMGADDPRPVQPDTLFPVFSVTKGITAGMIHWLADKGKLKLDENVANIWPEFGTNGKDQIKVHHILNHTSGLHNALADMTKNDPMLFCDWDECIKHIALVAPETEPGREQLYHYLAYGWLCGGIIEHASGKKLQDILEEAFVLPLNLEGEFYIGIPHGVESRLATLTLDKDGFNMFAALTATSEFKSAVPSSFMPSMLESLISSSNTLNVRRAILPASNGHFSARALARYYAALVDGGAVPPRRSSSLPPLGSHPQIPITDSQTTNSKSNLYNGIPETDDTRDAIDTKIFSNLKSKIHDAFLGNGDYKDLILPNGRFGLGFRRVNTTDGSMIGFGHAGLGGSTGYCDINNRFSIAVTINKLTFGPLISEIIQFVCSELDLPVPEDYAASWEFLKKPVIN >cds-PLY85060.1 pep primary_assembly:Lsat_Salinas_v7:7:6193213:6193635:-1 gene:gene-LSAT_7X5501 transcript:rna-gnl|WGS:NBSK|LSAT_7X5501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKSILLLLMAMVVASMEFYQSAAREHVVGDSFGWIVPPNDDFYIIWSLHNVFKFNDALIFNFANGSHTVAEVTKEAYRNCDAGNPISLHTTSPARFTINSLGNHFYICTIGPHCNSHQKLAIRVTPTANNSSALLPH >cds-PLY80732.1 pep primary_assembly:Lsat_Salinas_v7:3:84910721:84914747:-1 gene:gene-LSAT_3X64061 transcript:rna-gnl|WGS:NBSK|LSAT_3X64061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 2 [Source:Projected from Arabidopsis thaliana (AT3G48900) UniProtKB/Swiss-Prot;Acc:Q9M2Z3] MGVKGLWDILDSCKKTLPLHHLQNKRICVDLSCWMVQLNKVNQSHCAMKDKLYLKGLFHRIRALIALNCSLIFVTDGSIPGIKVTTYRRRLHLDNEGRDESYLNKIIPLQRNMGSEFSCMIKEAKILASALGVPCLDGVEEGEAQCALLDSESLCDGCFSLDSDIFLFGARTVYRDICLGEGGYVVCYEMDDIERKLGLGRNSLIALAVLLGCDYGPGVPRLGSEMACKIVKSFSESEVLQRIATEDLSVLKKANCSKKRNQALGYNNKENIPPNANRCNNNKENMNIPPNGNKNDLPRNYKFLQVMDAYLTPQCHSADSQTVQRVLGMHSFQRTMLHQTCARFFQWPPEKTDEYILPKIAERDLRRFANLRHTSSHLGVELPLDKMPVKCPVSGIIKRRKAQGKECFEVSWEEVDGLSSSIVPAELMERACPEKIMEFEERIAEKKKPKPRNPRPNKKSVNEIDAKLQQLMLEIEGAASSDQNYRLLDEPLSNTRSEGGKRTESDQNNENTSFRYQLLDEPLIEVRMRESKKDEKTMYRLLDEALINTRSNSREGKLKLTENTTTTSCGAAETEVVNLSTPLVDKKSKGKDETEMEIIDLLSPLSVVCSRKHGGGGGGGVDVVELSESETEVSPEHARKARELRLFVASIRND >cds-PLY80634.1 pep primary_assembly:Lsat_Salinas_v7:5:245966228:245966845:1 gene:gene-LSAT_5X122220 transcript:rna-gnl|WGS:NBSK|LSAT_5X122220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQGGKRKAKAVPSPVLQDESEERTVTKVQEDNTFKNNVEDTSYTSKPPSIETILKVSSPPISSILEPDIFETIMKNPFLNLITPPPPPPCNPPSLPMSTSPITTSIPISSIPSLLMMSSAEASQPQISIPFSTPIFTKSTFPTTTTITTLSEVPIIKSISEEIKTPDILGNTSEVGPNANIGVSYEPSSFVPPTFNEDVDIMFR >cds-PLY75804.1 pep primary_assembly:Lsat_Salinas_v7:3:69134818:69136350:-1 gene:gene-LSAT_3X56000 transcript:rna-gnl|WGS:NBSK|LSAT_3X56000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRRLQFHSPLTRISSVHHRLFHSTTTNPSDYNTPCPPSPATTPDPLTPVNPSHLLRVCTILYQQQDSPESRLHTSLSRCDFDLTHEFFLQICNKFPYSWKPVYKFHKFSQTQTFNHTPVTVNKMLDVVGKSRNIDLLWDLINEIGHSRLVTDKTYKIAIKTLASAREMKKCVEFFHVMNGFGYGYNLGTLNKVIETLCGCKLAEEAKHIVLKLKEWIKPDGFTYKCLIRGFCDVGDLVEASKIWNVMVDEGFDVDIDGVEKMMETLFKTNRFDEAMKLFQSIDDLGLSTYKLVIHWMCKKGKLGHARKVFDEMRERGIQPDCTILGSLIYGFLSNGRIREAYNIAESIERPDISVYHGLIKGLLRLKKANEATNVFREMIRRGCEPTMHTYVMLLQGHLGKRGRKGDDPLINFDTIFVGGLVKAGKSLEASKYVERVMNRGVEVPRFDYNKFLHYYSNEEGVVMFEVMSKKLREVGLFDLGDIFERYGQKMATREKRRERDRSNSQIVT >cds-PLY74377.1 pep primary_assembly:Lsat_Salinas_v7:6:153883022:153884349:-1 gene:gene-LSAT_6X93101 transcript:rna-gnl|WGS:NBSK|LSAT_6X93101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPIKFIIIGLAAFAEIKSQGSEFPFKTHPRSMNIAITSLLFYGLASAAQHIISACKRFGPASVYAIVAHSGRIGSLCILFKSIEQQHGYEN >cds-PLY79094.1 pep primary_assembly:Lsat_Salinas_v7:3:9133624:9134202:1 gene:gene-LSAT_3X8021 transcript:rna-gnl|WGS:NBSK|LSAT_3X8021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLNSLASLNLTLHLSFGQLLHSRSSSLTFTHMLHSRYRSKEDLREYVVHHEHIRIVNENKPIIDDVMAVDWMSNGASVSLKPGSAMRVTFLKLKGNLGENEKARVLEVIGGIKDQFQAIEQLSLGENFSHERVKGFTIASIVVLLGQADLAALDSNLEGVNSQEEKAGDSIERVVVVDYLTPPPQVANL >cds-PLY97434.1 pep primary_assembly:Lsat_Salinas_v7:4:13604285:13604629:-1 gene:gene-LSAT_4X8660 transcript:rna-gnl|WGS:NBSK|LSAT_4X8660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEALGLTPKRSSKPQVTKIDKHEFSELVKRGSTAEDLGAVYAEAAHVQGLGFAKGYLKHEKSQVHIAACGDNGGTQKCKYKER >cds-PLY74045.1 pep primary_assembly:Lsat_Salinas_v7:8:246866297:246871582:1 gene:gene-LSAT_8X147080 transcript:rna-gnl|WGS:NBSK|LSAT_8X147080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVFQGFACRSQVSFHIPLINRVHKARQNYSVAAIESLAESVSVHNEDNQLSTARIVKVGRGNDESKPHEWKKLCSKELGVKTSRIIKPAKFVLNVLRKKGYEVYLVGGCVRDLILKRTPKDFDILTSAELKEVMRAFPHCEIVGRRFPICHVHVDDAIVEVSSFSTTGRRSKFSLRKPKGCNESDFIRWRNCVQRDFTINGLMFDPFARIVYDYIGGMEDIQKAKVRCIAPANISFVEDCARILRGVRIAARLRFQFSRETSHFVKELSDSLLRLDKGRIHMEMNYMLAYGSAEASLRLLWKFGLLEILLPIQASYLISHGFRRRDKRSNMLLSLFGSLDKLVAPDRPCHCCLWVGILAFHEALVEEGRDSLVIGAFSIAVHGGGSLSEAVDIAMKISPPETSFHEVIISPTTYLYSKHELMEEVLRLAASVKAALRRLTDEHFVSQALINYPQAPQSHLVFISWALSLKVNSIFDCVKRGKTRRTFLPKQGNEIDYQSLALGRLDEVRAIFGRLVFDTLYPSNLPPTLH >cds-PLY67676.1 pep primary_assembly:Lsat_Salinas_v7:4:1938253:1939655:1 gene:gene-LSAT_4X1980 transcript:rna-gnl|WGS:NBSK|LSAT_4X1980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKYTTIEIVQENPKSSVFKLYLNRPRHSNALSREFFTEFPNALSSLDNNPNVAVIVLSGKGKHFCSGIDLKTLASISADFQSPSDRGRSGEKSRREIKFMQKAITAIEKCRKPVIAAVQGACIGGGVDIISACDMRFCTEDAFFSVKEVDLAITADLGSLQRLPAIVGYGNAMELALTARTFSGSEAKVLGLVSKVFGSKPDMDEGVGAIADGIAAKSPLAVIGTKAVLVRSRDMSLSQGLDYVATWNSSMLLSDDLKEVAAASLQNRKPSFSKL >cds-PLY71420.1 pep primary_assembly:Lsat_Salinas_v7:MU043955.1:296617:297642:1 gene:gene-LSAT_0X14901 transcript:rna-gnl|WGS:NBSK|LSAT_0X14901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLRETEGSGSSPSFSFYTSNSSTSTAAAKVTGEEREEQAALFHEFGDSNEDDFEFSLKLTEEEVSAKVINSRGWTVYPLFNQDLLLKDEVDPEVHASDSITSSLHKMFIDKPEESSSCSSSEADELEALPSGTYCVWRPKTEGGSSPVMNKIKKSSSTGSGSKKWKIRYLLRRSNSQGKEPVVVLTRKQKQNSGEVSKVAGRLKAQTPVHEQFYVQKRAENEMGKRKSYLPYRQVGLFSNVNGMGKMLPF >cds-PLY83341.1 pep primary_assembly:Lsat_Salinas_v7:1:63828309:63828626:1 gene:gene-LSAT_1X53340 transcript:rna-gnl|WGS:NBSK|LSAT_1X53340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADPLDWTTIPTEEEQVVPHLPEPEDSPLHELPVPLSPLTSDEETYEEDDPSDQARDSNEPIDLETKPIEEETGVVTEAVDKEINPMEEEVGYSEWEDEDPEEEI >cds-PLY70524.1 pep primary_assembly:Lsat_Salinas_v7:1:72744992:72745297:1 gene:gene-LSAT_1X64881 transcript:rna-gnl|WGS:NBSK|LSAT_1X64881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRTRNIMVATGLVAFASAGLAFPFYMATRSSSNAPVIDSSKPLPPQATFRGPYINTGSRDVGPDYQTYSKK >cds-PLY74975.1 pep primary_assembly:Lsat_Salinas_v7:3:154729130:154729423:-1 gene:gene-LSAT_3X98100 transcript:rna-gnl|WGS:NBSK|LSAT_3X98100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEFKSLHEKIDQLLLPSKVSSSEAYSKAAVESILKRVTKEHVANSTTMSKAVSDFTEVCKTMTEKVDKLMADTIEFMNDYKTTYYSNTASVNQSI >cds-PLY76542.1 pep primary_assembly:Lsat_Salinas_v7:8:90938827:90939039:-1 gene:gene-LSAT_8X63501 transcript:rna-gnl|WGS:NBSK|LSAT_8X63501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRKGKMTMKVVKQLDNLQPSPAESMAKGQLLHQTTKGPPKTPVRMMLGGVVVVATLAYFTLYAHKKPEA >cds-PLY82174.1 pep primary_assembly:Lsat_Salinas_v7:1:13459783:13461780:1 gene:gene-LSAT_1X11600 transcript:rna-gnl|WGS:NBSK|LSAT_1X11600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKSAFLLRQNVTVRCNILSYNMITATFHSQTRFSSPQMNYELTDSVLSFKGMIETIPVPPVRQFNHILIKIAKMKQYPTAISLILDHDLLGFNSSVKPNLYTFSIAINCFCHTGRVDLGFSVYGKVVKLGYKPDSAIINTLIRGLCDNGNICEALKFSEMNMNNGLQPTVVTFGTIINGICKKDGPQAAHRFLQLVEETKGFHLGATEYNTIINGLCKDRHLTEAREIYFEMEKKGILPDVITFNSLIQGYCNLGLWEKVNGLSTEMKDQGISYDVVTFSILVHYWFKQGRTEEAHKIIKLMLESGMKPDTYMYTSVIHGYCLLRKVDYARKIFTFMIAQGCVPSAFTYTTLINGYCLVGKVDEAREIFEVMIQEGYAPCVVSYSILIEGYCKSKKKEKIEKAWDLFSEMYGNGIVPNVVTCTSLINGLCHVGRLKEAFQLLKDIPNWGIYPNIFTYSTLIDSYLKNEKMDEALKLFKTMECIGIKPDIVVCTSLIDGMCRAGKVDGGYQVFLRLAASGLHPNCHTYNVLMGGFFKHGYLKDVNDLIQEMEVEGCMMDGVTYNLVIQGFLQHNETKRALLYLESMLDVGFSANASTTTKLVYLLATKHLNRASKELLKKFFSQQKKKVRCCGILDRRGIRFRSNLCFVAKKYGIKLHKKSQRVL >cds-PLY94036.1 pep primary_assembly:Lsat_Salinas_v7:7:99463399:99464260:-1 gene:gene-LSAT_7X66840 transcript:rna-gnl|WGS:NBSK|LSAT_7X66840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFIDILLSLKHRYSNTHDDLSFTIDRSGMKCILVDLIAGSIDTVKTSVEWILAALIKHPRVMKKLQQELKVVIGDKHVVEETDLTNLSYLHMVVKETLRLYPIAPLLVPHQSVEDIVINGYNIPKNTRLLVNYWAFGRDSKVWSENWEEFLPERFLDTEVDFRGHDYQLIQFGIGRRGCPGMNLGLLNTGLVVSNMVHFFDWELPSGMSPSDLDMKEKFGLTTPRANPLLANPIYHN >cds-PLY68561.1 pep primary_assembly:Lsat_Salinas_v7:2:22014908:22018318:1 gene:gene-LSAT_2X9340 transcript:rna-gnl|WGS:NBSK|LSAT_2X9340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTANQIVSSRMEGSPKSIQDLAKAFSLYDVEMLIRREELLQFAQTAITGLKETGDIAWKGDIKKAKTPWEFIPIGHKIETPVPLFKELYIPGDHKPPPEDHR >cds-PLY65110.1 pep primary_assembly:Lsat_Salinas_v7:4:5236970:5239954:-1 gene:gene-LSAT_4X2801 transcript:rna-gnl|WGS:NBSK|LSAT_4X2801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTSRPAVVIDNGTGYTKMGFAGNVEPCFIAPTVVAVNESFITQPNRSSTKGSSSWLTQHSAGVMADLDFFIGEEAISKSRSSSTYSLTYPIKHGQVENWDAMERFWQQCIFNYLRCDPEDHYFLLTESPLTPPESREYTGEIMFETFNVPGLYIAVQPVLALAAGYTSSKCEMTGVVVDVGDGATHVVPVAEGYVIGSSIKSLPISGKDVTLFVQQLMRERGEHVPPEDSLEVARKIKETYCYTCADIVKEYNKHDKEPSKYVKQWRGIKPKTGAPYSCDVGYERFLGPEIFFNPEIYDKDFTTPLPAVIDRCIQSAPIDTRRALYKNIVLSGGSTMFKDFQRRLQRDTKKIVDARVLASSARHGSEVKAQPVEVNVVSHPIQRYAVWFGGSVLASTPEFFTACHTKAEYEEYGASICRTNPVFKGMY >cds-PLY87309.1 pep primary_assembly:Lsat_Salinas_v7:4:271330750:271342877:-1 gene:gene-LSAT_4X139620 transcript:rna-gnl|WGS:NBSK|LSAT_4X139620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVIGHLSYERVQVWETMYSSGDLKDILAVYKKWDTYEWKKLSKSGKKCNIDSGGAPRHTGGSIGFEEHRLKLESYNKALSQKYGDDPTQYNVNDPELWTQTQLLRKGGKQKGPIYGAGYSDLQFLMTGAYSYESTSASADFAKSQQELLKLKTEPYLLLQLFLATSKDRDHKFLTKAVEEAYKGVDNGDGGPFGAVVVCKDEIVVSCHNMVLKHTDPTAHAEVTAIREACKKLNQIELSDCEIYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKAHMEIKKADGNGAVIAEQVFENTKAKFSMY >cds-PLY70650.1 pep primary_assembly:Lsat_Salinas_v7:5:72459594:72462169:1 gene:gene-LSAT_5X33980 transcript:rna-gnl|WGS:NBSK|LSAT_5X33980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPTLLTNPKVVEQQCFKAINVNNDISHCDSTVDAKLSWVRSQIIGGIAEIHTPFGIRKLTYADHTATGRCLRYIEDYIIHTVLPFYGNTHTSDSYVGDQTMKMLHEATEFVKKCLGGTHDDALLFCGSGTTAAIKRLQEVMGISIPSVLREKVLESCVTNEERWVVFVGPYEHHSNLLSWRQSLAEVIEIGLNNEGLINIDDLKSQLNLYRGTGRPMLGSFSACSNVTGICSDTRSLSRLLHEYGAFSCFDFAASGPYVEIDMRSGADDGYDAIALSPHKFLGGPGSPGILLMSKALYKLKDSPPSTCGGGTVNFVNCFNEKDTLYVNDIEEREDAGTPQIIQRVKVALAFQVKEYISCEVICKKERNYIEKALERLVKNPNIWVLGNTKVERQPILSFLVYATTYTSDIEEGTHNKPLNGAFVAKLMNDLFGIQARGGCACAGPYAHFLLGIDEQHSLAIKSAVEMGYNGAKLGWTRVSFPYYMSNEEYEFILDAIEFIASYGERFLSLYQFNWTTGSWTFKTKAFEEILLKEKDLKFCTLFGTNATKAFHVKRTKLKTHNCKTTEGAYIDTYSFYLDVANYIGKLLPKFPSHHTVPKVIDLDHVIYFV >cds-PLY64433.1 pep primary_assembly:Lsat_Salinas_v7:4:249263404:249266710:1 gene:gene-LSAT_4X132280 transcript:rna-gnl|WGS:NBSK|LSAT_4X132280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESNSASDHDDHSKQRGPTTKEKANKVKLVEKFVVDPKSRKQTLQSIEKKWRNFKHYLYAKFIMNRSKDPKANLFKPPKDYPFIKKEDWKVFVSHRVTKKWEEKSTIAKNTRAHHKYHHRLCRKGYAGLINDIGKVVGKPVTPVKKHATPVKEGATPLKEEIGSNKKEKGTGKMVDHEQKEPCDVEEVDDMEEGDGKEKTIKKKEKQNATLQRRWTRAQMKTRIRIEKSSILKMTAMMADGQVTKVDSIKVQSENDLFGYDSYTYLNWEDFEAVLTMDEVTGADIVSYMIRHWVLGVLNMKSDTCYYLDSLSSSNFNMQLEQIVDLLVQHRMWLLPAKIGDGRVEYTIDDIDEIREEWSEFVTGFIYR >cds-PLY90290.1 pep primary_assembly:Lsat_Salinas_v7:2:197554778:197555803:1 gene:gene-LSAT_2X118340 transcript:rna-gnl|WGS:NBSK|LSAT_2X118340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWNPQTLQFLSQSFLDTLSPQPELRRRAENKLVDAANTPNYGLAVLQLVAEPSVDEQIRQCAAVNFNNHLRTRWVPSSANHIPDSEKEQIKTLIVPLMLSATRKIQSQLSEAIAMIGNSDFPKLWPDLLPGLKSSLETAINANDFASVNGILSTLNSLFKRFRQEVKSNPILFDLKYCSDNFAAQLLSTAESISSKINGVGCVATLRQLLEARRLCCMIFYSLNVLDVPEKFSDKADEWMNEFKNYLSERYPGIEEGGDADCVSLVDEVRAAVCENISLYMEKKEEVLQKHLSEFVEAVWSVVVVESASASERLTLSGLKFLTMNCLVGMRYCSGLLFQI >cds-PLY94142.1 pep primary_assembly:Lsat_Salinas_v7:5:33111656:33113737:1 gene:gene-LSAT_5X15061 transcript:rna-gnl|WGS:NBSK|LSAT_5X15061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVHEEPQESVHRKTPKLPGTVNWGTATIVGVFAGMLYGGSKEASASVSKDAEVTLKLGSTSDKREQYRLMRDAMEKRFIRITRGSIVGGVRLGMFTAAFYGLQNLLAEKRGVHDVYNVVGAGSATAATFGLIMPGSLAWRGRNVLLGSVLGATFCFPLGWLHLKLVEKANEGESVVLLPEGNKAKGGVGAAIERLGGSTSE >cds-PLY80023.1 pep primary_assembly:Lsat_Salinas_v7:9:45248194:45248556:1 gene:gene-LSAT_9X41020 transcript:rna-gnl|WGS:NBSK|LSAT_9X41020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCHSSSSLERNLHRVLITIIGRIVDLDFPAPEYMPIDRHQTNFLLDCDTLERMETLEDRGNNKYSWLNGDKTLVYILPSWISDSFDLDDADIWLPPDHLNPTGVLPDSEDEEQKEEDDD >cds-PLY86889.1 pep primary_assembly:Lsat_Salinas_v7:5:260725781:260727867:-1 gene:gene-LSAT_5X130841 transcript:rna-gnl|WGS:NBSK|LSAT_5X130841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAIGVLLTTPVYSYLEEELDSRFKLFRIWNFPQKDEFLKENSDFIRAIVGTPNSSVNREVIDSLPALEIISSFSVGLDHVDLAYCKEKGVRVTNTPDVLTEDVADTAIGLILATLRGICECDRYVRAGLWKKGDFKLTTKVPFDSSLPRKSCLGKKSTWYVDIQLVFIEQSIKHVIRTSRLQSGDH >cds-PLY76253.1 pep primary_assembly:Lsat_Salinas_v7:5:29936964:29937644:1 gene:gene-LSAT_5X15980 transcript:rna-gnl|WGS:NBSK|LSAT_5X15980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPIPEVKQVKLLNRGESLIITSYDIWDDLSTEAAIKYCRRLPSVVMFFLRRITNASMILRLYVQRYV >cds-PLY88297.1 pep primary_assembly:Lsat_Salinas_v7:6:126449966:126450298:-1 gene:gene-LSAT_6X77301 transcript:rna-gnl|WGS:NBSK|LSAT_6X77301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVEALNQDGWVVEACQESLDNLLADMCGLVCGKKTMGKVKRKKIEKKKKVEWKETYEDELNETCEDAVWHVNEDGGENVGEKIGDADKAGGERKYGGSSYFSYLCLF >cds-PLY71113.1 pep primary_assembly:Lsat_Salinas_v7:9:81331130:81332904:-1 gene:gene-LSAT_9X65040 transcript:rna-gnl|WGS:NBSK|LSAT_9X65040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFYLFLLFFSFFHSISSKNLILPLKLQSLPSGSLQNPPNKLSFHHNVSLTVSITVGSPPQPVTVVLDTGSELSWLRCKKTPTSPLWYNPLRSTSYTPVPCSSPTCRTRTRDFTLPVSCDPKKLCHAIVSYADATSVEGNLAFETFRFTNSDLPKVVFGCMDSGSSSNPDEDSKTTGLLGMNRGSLSFVSQMGFPKFSYCISSRDSTGVLLFGDAKISWLQPLSYTPLVNMSTPLPYFDRVAYTVQLEGIKVAGTVLSLPKSVYVPDHTGAGQTMVDSGTQFTFLLGPVYTALKNEFLKQTKAVLRVYEDPDYVFQGAMDLCYRIERTRVGLPVLPSVTMMFRGAEMSISGERLLVQVPGLVKGNDQIHCFTFGNSDLLGIEAYVIGHHHQQNMWMEFDIANSRVGLAEVRCDLASQKLGIGF >cds-PLY69466.1 pep primary_assembly:Lsat_Salinas_v7:6:42091602:42091928:1 gene:gene-LSAT_6X33381 transcript:rna-gnl|WGS:NBSK|LSAT_6X33381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNLHRASYHSFDDESILDDIRDFTTKYLQENLEKLDGYISSLVTHALELPLHWRLPRVEAKWFMAVYEKRSDINPTLIELAKLDFNMIQAIHIEDLKHSLRYIIIWV >cds-PLY79208.1 pep primary_assembly:Lsat_Salinas_v7:4:235545908:235546258:1 gene:gene-LSAT_4X127381 transcript:rna-gnl|WGS:NBSK|LSAT_4X127381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLYKFAPNDNVIKGPHAPDSTIIIQETNVVFFEDIPKQFTNSGIKDFVDYVKICPLRYAFCDVQDPFYPTQVYVFYYLCSVYSDARTITGTIGDGQYRITIDVDAFRTDIRLP >cds-PLY70487.1 pep primary_assembly:Lsat_Salinas_v7:1:74907461:74907730:-1 gene:gene-LSAT_1X63361 transcript:rna-gnl|WGS:NBSK|LSAT_1X63361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTLRLKIVHATIANRLSIDDNIDAPATKFADLGVDSLDTVEIMMALKEKFGVSIGEGGA >cds-PLY81384.1 pep primary_assembly:Lsat_Salinas_v7:4:36577596:36580095:1 gene:gene-LSAT_4X22721 transcript:rna-gnl|WGS:NBSK|LSAT_4X22721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLRVVPCAQQYQPTRTKMEIFNLIFILLCSVFVSAHGFSRNLPILSFDEGYSHLFGDNNLIVLKDGKSVHLSLDERTGSGFESQDLYLHGFFSASIKLPADYTAGVVVAFYMSNGDTYNKTHDEIDFEFLGNIRGKEWRIQTNIYGNGSTNIGREERYGLWFDPCEDFHQYSILWTSTSIIFYVDDVAIREIKRTESMGGDFPSKPMTLYATIWDASEWATNGGKYKVNYKYAPYVAEFSDFVLHGCTVDPTELSSINCEARKSSDSIPIGINASQRVKMENFRKKYLQYSYCYDNGRYKKLPSECVFNAKEAERLKRFDPVTFGGGRSHHHRRHANGNGKGKRHVAI >cds-PLY95228.1 pep primary_assembly:Lsat_Salinas_v7:8:179061823:179062843:-1 gene:gene-LSAT_8X116441 transcript:rna-gnl|WGS:NBSK|LSAT_8X116441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARYGRVYKDADEKEQRSKIFQENVRYIESSNSVMNKAYKLAVNEFADLTNQEFTSTRNRFKAHECSPSASAFRYENVTAVPSSMDWRKKGAVTPVKDQGQCGCCWAFSAVAAMEGITQLKTGKLVSLSEQELVDCDTSGQDQGCEGGLMDDAFDFILNNKGLTTESNYPYKGVDGTCNSNEESNHAAAITGHEDVPANSESALLKAVASQPISVAIDASGSDFQFYSSGVFTGECGTELDHGVTAVGYGASADGTKYWLVKNSWGTGWGQEGYIMMQRDVDAQEGLCGIAMMASYPTA >cds-PLY79466.1 pep primary_assembly:Lsat_Salinas_v7:9:4073747:4076119:1 gene:gene-LSAT_9X5901 transcript:rna-gnl|WGS:NBSK|LSAT_9X5901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKKYEEYMQTQDQKKLPGVGFKNLKKILKRCRRETTQLQNSPLLLNDSAHHNSHARCLHPCPVCDGSFFPSLMKEMSVVVGCFNERAQKVLDVHLATGFHKYFMWCKGKLHGQGNGNHHSLIQEGKDLVGYALINAIAMRKILKKYDKIHDSKQGQAFRSQVQSMHMELLQSPWLCELIAFHINLRESKKAFRKCSEILLEGCSLVFNDGKPSLSCELFDAVKLEIDLTCSICLDTVFDPVYLSCGHIFCFMCACKAGSVTIVDGLKATEPTAKCPLCRQAGVYNGSLHLDELNILLSRRCPEYWEERLQSERAERIRQTKEHWESQSRAFLGI >cds-PLY86404.1 pep primary_assembly:Lsat_Salinas_v7:2:148241915:148242695:1 gene:gene-LSAT_2X73460 transcript:rna-gnl|WGS:NBSK|LSAT_2X73460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVHFYSIVTILLFALPPLEVTSHHHHHHHHHHNHNGLKSLHFALFQHETINKTGYIIVNGVAGPPVSQTTTPFGTLFAFEDPLTLKPNYTSKVVGMAQGTSITSGLDGLQSISIASIALNVKKHKGSISVVGVTHNTKHANHPVVGGTGDFLFVQGYVTSSPVNLVGLTVTYKIEFHLYWPYASSKP >cds-PLY82120.1 pep primary_assembly:Lsat_Salinas_v7:1:13888556:13889018:-1 gene:gene-LSAT_1X11180 transcript:rna-gnl|WGS:NBSK|LSAT_1X11180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLDNSYNSWADQWDTRSEYVNPTSKKNNTVKEKVGDGLGKTKAVALTGMKKVKQGTSIGFHWIKEKYNKSANKR >cds-PLY65390.1 pep primary_assembly:Lsat_Salinas_v7:1:60663400:60666316:1 gene:gene-LSAT_1X51520 transcript:rna-gnl|WGS:NBSK|LSAT_1X51520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGATYMFGSYSGDVKSSLGYDQTTLNLLSTFKDLGTNVGVISGLINEISPPWVVLLIGATMNFSGYFMIWLAVTGKIKKPSLWQMCLYICIGANSQTFANTGALVTCVKNFPESRGVVLGLLKGYVGLSGAIITQLYHAIYGLDSRSLILFIGWLPAAVSMVFLRTVRIMKIVRQTNELRMFYKFLYISLGLAGFLMVIIIIQNSFKFSKPEFIGSASVVVILLFAPFLIVFKEELNLWKANQEVVNNIKISPVKIVTEAPNTNHLPPPSEKEVSCWRTVFTPPRRGDDFTILQALFSIDMLILFTTTTFGIGGTLTAIDNLGQIGRSLGYPTTSITTFVSLVSIWNYLGRVSSGFLSEILLTRYKFPRPLMLTLVLFLSCVGHLLIAFGVPNSLYISSVIMGFSFGAQWPLIFAIISELFGLKYYSTLYNLGAGASPVGSYILNVVVAGRLYDKEATRQLKAKGIIRKHGEDLVCNGIECYKMSFLIITATTLFGCLISLVLVIRTKKFYRSDIYKKFREATEVSEMVVPPSTTTPPPPERNMMEEDNK >cds-PLY84219.1 pep primary_assembly:Lsat_Salinas_v7:7:78789923:78791507:1 gene:gene-LSAT_7X56340 transcript:rna-gnl|WGS:NBSK|LSAT_7X56340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARLFSQTLIRTTSSASKSLQTFKLSISQQHRFASQSGKSQLIEVDLESDSDVEVLGLRKLEDAIHSIIVRQSAPDWLPFVPGSSYWVPPRRHRPDSHGIISVLRKFSKPLTDEESMSISSSRGWPSSAYFIEGTSVMQPLTMEMEAEVVHSNEENIPDAENEEG >cds-PLY89084.1 pep primary_assembly:Lsat_Salinas_v7:9:28429759:28431759:-1 gene:gene-LSAT_9X24940 transcript:rna-gnl|WGS:NBSK|LSAT_9X24940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEHGGRRWPVLVVLAASPFHGHMTPTLQLAKALHANGFSIAIAHSKLNPPNPSNHPSFIFLPLSDNLSAIDDSGNFSNFIHTLNKNCKPSFQKHMTRLIGEQNRGNKSIVVIYDNLMFCAGSVAVDLNLVAIVFRSCSAAYFPANLVRQQLHQESRYLEQDYVMQEMVPNHHPLRYKDLPFSKSPIEDWQQLFAIISQSIRPSAVIWNTIKVLEHEALTQIQKYYQVPVFSVGPLHKITPTDLPTSFLEEDTSCIAWLDKQPPKSVIYVSFGSLMTLDKKVLTEMACGIAKSNQRFIWVVRPGSVGESEWTEFLPEGFIEETRERGLIVKWAPQRKVLAHIAVGGFWSHCGWNSTLESISEGIPMICQPFNVDQMVNARYVSYVWKIGLELEDLKSEEMESMIRRVMVDEEGEEMRVRAIGMKEMVKEAVQNGGCSYDSLEELVGFISSC >cds-PLY83737.1 pep primary_assembly:Lsat_Salinas_v7:4:41766713:41767521:-1 gene:gene-LSAT_4X27960 transcript:rna-gnl|WGS:NBSK|LSAT_4X27960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSGVLVKQVIIKSDGDVFHDLFGNRPYHISEMTPDFIKGVDLHDGEWGIVGSVSVWNFTHDGKEKVAKQVIEEIDKEKKLVRYKVIGGEILEAYKSFFVTIHVDTKGEENVVTWTFHYEKLNESVDDPNSLMDLCLRITKDIENHHLAKSN >cds-PLY96943.1 pep primary_assembly:Lsat_Salinas_v7:4:173357755:173362517:-1 gene:gene-LSAT_4X102360 transcript:rna-gnl|WGS:NBSK|LSAT_4X102360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPKLVNRRRGRFGLEKQARIEPKSSPSTTETKRGSHSRRCLRWFSGTNFVAVLGGFHEGEFQKWFLVFNISKSEIKMTRRRIEIIKRKRNAMQKFLRNDVADLLKNGLDSNAYGRVEQLYVDQNLSSCYEFVEQSCLLILNHLSAMDKQKECPEECKESISTLMFAAARFADLPELRELRSLFAERYGNSLEPYVNKEFVKNLKAETPSEDIKQVMMLEITLEYGIEWVPKASEHKLYKPPQFVQDSCENVNERNKQLPKLHHQNGVETTEKKQSKVAKKEHTVENEFPYKYKSWAEAEVETKNNNKQRTGNSLPYKSRGEVVKKEKEEEVKVKHSPYWSSTSSSSRSSCSTISQDDNSSSSSSSSASEDGGGVYDASKSSLPPYLKPDKYTQKSASKGTCTLRSVGPPYVKTEAATNSNATLTTPPKQQPSVPRSMRVRRPLQAVSGSHGHNEISKETPTSKVSYDDEEEEENNKRIRERKTLNKLGCDFRQSAHAPTRITSLPVEVESLETEETKKGLARAVTDLSQGHGHGHVHPKLPDYDDFVARLAAFRATNTTS >cds-PLY68910.1 pep primary_assembly:Lsat_Salinas_v7:2:194064813:194067207:-1 gene:gene-LSAT_2X115321 transcript:rna-gnl|WGS:NBSK|LSAT_2X115321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLNLLKLTSSISVHHHHHQRHRHKWLRRAITTGNSRTLPAMCSGWWRITTQFAPQAHVINNNDNNRLGFRSPKKFRYCSGNKGGKVFVGGKVNMESASSDNRDDKRTAETDHGSSVMPALPSDDRRHLKPPPSQQNSSSKLLTLPTILTIARVAAVPLLISTFHMNSRLGTTATTGIFIAAAITDWLDGYLARRMNLGTAFGAFLDPVADKLMVAATLVLLCTRPPEAAIFGQLPWLLTVPSIAIIGREITMSAVREWAASQGSKLSQAVAVNNLGKWKTATQMTSLTILLVIRDSSFTEVGFLGTAGVGFLYVSAGLAVWSLVVYMKKIWKVLMMM >cds-PLY68902.1 pep primary_assembly:Lsat_Salinas_v7:2:193291754:193292722:1 gene:gene-LSAT_2X114020 transcript:rna-gnl|WGS:NBSK|LSAT_2X114020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEECRHDEYATAQDSYYEDEMIDTLSLSDLLIYDNNVDAQDFYRVDDPNPNLDDDADQFEFSSELLMTSVSSNVVFCGKIIPYKEPSVSQNTHKPESKKQQKQHKQRWRLVTFFKKPGKSKANIESKKLDLKYDVPIRRVSILASATKPRWYLLMFGVGSSRFPAQMHIRDLKKRQISMSIKDVDNKIRGGGIGSWRLVRFLGCGGGGGGDSHEAIIRKLS >cds-PLY78988.1 pep primary_assembly:Lsat_Salinas_v7:3:10276025:10277808:1 gene:gene-LSAT_3X6860 transcript:rna-gnl|WGS:NBSK|LSAT_3X6860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTWISIFTGKSGFAASSTAEDVTKGVDGAGLTAIVTGATSGIGLETTRVLALRGVHVIMPVRTLESGKKVKESIVEKIPNAKIDVMELDISSLESVRQFASQYCSKGYPLNILILNAGIMTPPFSLSKDNIELQFATNHVGNFLLTNLLLDTMKKTATESGKEGRIVILSSEIHRMTYKEGIRFDKINDENSYSAFSAYGQSKLANALHAKELTRRFQEEGVNITANCLHPGIIATGLARHGGFNAFFYGVFNRFLKNIPQGAATTCYVALNPQVKGVSGEYFADSNLGKASKHAQDPELAKKLWDFSLNLTKSK >cds-PLY98936.1 pep primary_assembly:Lsat_Salinas_v7:7:51511645:51513273:1 gene:gene-LSAT_7X37400 transcript:rna-gnl|WGS:NBSK|LSAT_7X37400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLDAIKPSPVPTGEENTPNQSTTTTPTKPSTTIVLLFSVLLFFSSVTSTNHHHSTTALQIARPLSKLTHPVVILISSDGFRFGYQFKTPTPNIHRLIKNGIEAETGLIPVYPTLTFPNHYSIATGLYPAYHGIINNQFIDPIAGDTFTFTSHEPKWWLGEPIWETIANQGLKAATYFWPGSEVKKGSWDCPVNFCAHYNESVPFEERVDTILHYFDLPNEEIPVFMTLYFEDPDHQGHQFGPDDAHVTKAVSNIDGLVGRLINGLEKRGVFEDVTIIMVGDHGMVATCDKKLIFLDDLASWIKIPKEWVQDYAPVLSIRPPSDQSPSEIVAKMNQGLRSLKNDDKLKVYLKEDLPSRLHYWESDRIPPIIGLVDEGYNVEQKVSKSKECNKCGGLHGYDNAFFSMRSIFIGHGPRFARGRKVPSFENVQIYNLITSILNIHGASNNGSFSFAKSVLLPHH >cds-PLY99841.1 pep primary_assembly:Lsat_Salinas_v7:4:45351475:45396975:1 gene:gene-LSAT_4X32141 transcript:rna-gnl|WGS:NBSK|LSAT_4X32141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYGSKALALSSLLLLLLTLESSFITPSYSKSIVQTLPGYPGQLPFKLETGYVGIGEKEEAQLFYYFVESERNPEEDPLLFYLTGGPGCSAIITFFYQIGPLSFNFDNAPGNITISLNPNSWTKMANIIFVDMPPGTGFSYATTKEASISSDSILAKQANKFIRKFLIDHPKFLKNPLYITGISYMGIVTPIVTLEVYKANERGDQPTLNIQGYILCSPLTHKFMDFNSRFEYAHRMALISDDIYEAAIGNCRGNYVNTNTANSICIDSLQRYMECTSGLNMENILDPFCNKSDVKPYCRENYYNFGEDWMNDEAVQQALKVRKGTIRKWELFNMTMHYFEEKNDTFCYAYDIFSSFAYHKKLVSKNCRALIFSGDHDMTFPYVGIEQWIVALHLEIDSPWKPFYVNNQVGGYETTYAQKEFSLAFATVKGAGHSVAQYKPKEAMALAERWLASKTYSSSM >cds-PLY92190.1 pep primary_assembly:Lsat_Salinas_v7:6:75340344:75343300:-1 gene:gene-LSAT_6X52901 transcript:rna-gnl|WGS:NBSK|LSAT_6X52901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGMMPSDTTVGVGHDAFNTFFSETSAGKHVPRAIFLDLEPTVIDEVRTGSYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGVDDDGADDDEEY >cds-PLY65152.1 pep primary_assembly:Lsat_Salinas_v7:9:159998291:160000724:1 gene:gene-LSAT_9X99841 transcript:rna-gnl|WGS:NBSK|LSAT_9X99841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPHYEQRLVDEVIYLHSLWHQGPPSRSLPYTNLQPITPTQFKKHKKTPKFKPRNPKKVGSFSGTEWPVKPLPEAPQLTQSGWPELKLKPNPQQTTRLLTPEELENHNWNQIQQRALKAAKEFYSRNSGSDDEESDEDDEDDDMDEEDGDVNEKEYDFFWKMFNEDEELKGYYVKHCGGGGEFSCLVCGGVNEKKHLKRLKKFKECVALVQHSTSIAKTKKIRSHRAYGRVICKVLGWDIDRLPSQIVTEHNPSELQGNDVNGACNSGFNKIIDDGSLNLEVNPDEKAHDSETVDDESMVCEESLTLANVEEEEDTGKQALNDVETTTRGVVNNLVVYIVQEHVINNGARSSRNKKVEMNMNLLLEIVVDIYNRQTLQPRRKDLLYTKLRNEHAIATRFIIVFRRVMHDAENGENKNTLLENALKAYRVKTTKDFKLDGF >cds-PLY68563.1 pep primary_assembly:Lsat_Salinas_v7:2:21046848:21047693:-1 gene:gene-LSAT_2X10741 transcript:rna-gnl|WGS:NBSK|LSAT_2X10741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATDDSGNNVETFGFIVMDGNNTLFGTLSGDTKEVLHKYNDGLRMKHGRGGQSALRFARILKEKRHNYVRKTAELATQFYINPSTDQPNVSGLILAGCADLMNDLSQSDLFDPRLKEKILNVVHVSYGGESGFNHAIYLSSEILGNVKFVQDMRLIEKYFEAINQNRGTVGIDETLEALHMGAVETLLVWENLEINRYVLRNNVSGEIIIRYLNKEQETDESNFRDSVSDAELVVEEKMSLIEWFVNEYGRFGCKIEFVTNKSNKGLCFCRGLDGVGGILR >cds-PLY86700.1 pep primary_assembly:Lsat_Salinas_v7:4:8484280:8485335:-1 gene:gene-LSAT_4X5541 transcript:rna-gnl|WGS:NBSK|LSAT_4X5541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQDMPTVYPVSETIPTSNHSSNGSYGAVFIVLAVIIILSAFACFLGRLFNKRQDVEKPKPKPSKHNLPTKEKHAMQMRNAKDGDIEFGYDKRFASAKVAATGDPTMDRSDPFGEPKMVRPNSLHEPTMGRPNSFHEQTMGRPNSLHEPTMGRPNSFQGPYNMGSGSNSFGEPAMGRPADSFQEPYKVGSNSFREPTIAMGRPDSFHEPTMGRPNSFDHKGDQSQGEHQMRFDANRDNKLNFTPRTRPHRY >cds-PLY93410.1 pep primary_assembly:Lsat_Salinas_v7:9:64567145:64567621:-1 gene:gene-LSAT_9X55700 transcript:rna-gnl|WGS:NBSK|LSAT_9X55700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTLDRPDFPKCPPLALPLSGYGFFASFLSSWSTGKKEMNECSFGKMYNNTPTETKAKGESSRWTYRTEIRSKIDILIQPLLVAHKQLLNLQIHWRRASGCYKMVPQALLEGNLFCHVRLVRRLRKHPMPEGGVCLRSDECSARTANHEGHSCGAVHF >cds-PLY97874.1 pep primary_assembly:Lsat_Salinas_v7:2:217124555:217125327:-1 gene:gene-LSAT_2X136720 transcript:rna-gnl|WGS:NBSK|LSAT_2X136720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLIISSVFASPSVNNDIVVAIQEMERANYFTFVMLINMVPPSLFQGNITFLMPSDRSLSRTKIPQNSVVDLLLNHSIPSPLLFDHLIHLPTNSTLPTSNPDLMLKVSNSGRRGLFLGNVRIISPNICTHGYSVRCHGIDDVLSIDIKKPEITCPRVGSPIPATAPLLAPVSQAPTSTPPHADAYETSGGRRPHIELALTCIAFIWFTQPYDIHVSDPHKFVMFIKYKSTES >cds-PLY78360.1 pep primary_assembly:Lsat_Salinas_v7:9:9674439:9677466:1 gene:gene-LSAT_9X7580 transcript:rna-gnl|WGS:NBSK|LSAT_9X7580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAFLSVIKEEYPSGGSSGNGKQPMVVQLVPQPIEGLHDSGPPPFLTKVYDMVDHPDLDRILSWSRGGQSFVVWDPQAFSTNLLPRYFKHNNFSSFIRQLNTYGFRKIDPDIWEFANEAFLRGQRHILKNIKRRKAPSHTPPQQQPNNPCVEVGRFGLNGEVERLQRDKQVLMMELVKLRQQQQNTRAHLQEMEHRLLGTEKKQQKMMSFLAKALQNPDFLQKLSRHGKKNELEEAMIKKRRRPIDQGPSCVISGESSKKGEEFGDVSDLQVSELEELALEMQGIGRAKRTQVEEQKEIDEGKDFEEEFWEELFSERFDMTASEGGQAN >cds-PLY92940.1 pep primary_assembly:Lsat_Salinas_v7:3:112858584:112859148:-1 gene:gene-LSAT_3X82721 transcript:rna-gnl|WGS:NBSK|LSAT_3X82721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKFTRSLSRSLSRSVSTSGDANRMWRRTTQRGFDGGRSIRTVRLGEDSHGRFSKIKKMFNFINGSKKSGIEASKSRRSSKIASSNDEFQNRLLVEIYKNMSSVHELRGQV >cds-PLY66354.1 pep primary_assembly:Lsat_Salinas_v7:5:290266885:290269228:-1 gene:gene-LSAT_5X156441 transcript:rna-gnl|WGS:NBSK|LSAT_5X156441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTCDQFEPWRDLRGKIVMITGASSGLGRDFSIDLAKAGCRIIAAARRTDLLQSLCDEINNMESSISDHIDVHAHANQRQIAVAVELDICADGSTIEASVQKAWEAFGRIDVLINNAGIRGPVRGALDLSEEDWEKAFKTNVTGSWLVSKYVCRHMVALNQGGSIINMSSCAGLNRTHEKGAVAYISSKAAFNTMTKVMAMELGKHKIRVNSICPGIFKSEITEELLQKKWLKNVTSKIVPLGDFGTTDPALTSMVRYLVHGSPDYVTGNIFIIEAGYTLSTVPLYSSL >cds-PLY80129.1 pep primary_assembly:Lsat_Salinas_v7:3:107208472:107210533:1 gene:gene-LSAT_3X78560 transcript:rna-gnl|WGS:NBSK|LSAT_3X78560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVLCPNFEREDALETVLDVPIPEEMFTNMGTSVNLRWQNMSTWMRAQTSDKWLSPIIVNRYNELTFLLYIVGSPLLPLQIQLDNRTRSIRSSSIEASTAKYIVQQYMAAIGGQPALNAVQSLCAIGQLKISSSDFHQGDETVKAESREQTGAFVLWQKNPNLWCLELLVAGCKVIAGSNGKISWRQSSNQLRPISKGPPRPLRRFLQGLDPRSTGDLFLQAVCIGEKVINDEECFILKIDTSQSDLEEHTDSRYEIIHHTIWGYFSQRSGLLVKFEDSRLLTVNYDNGDGVFWETSTESVIEDYRYVEGVNIAHSGRTSVTIFRYGEQSSNHKRQFEEKWTIEEVHFNVWGLTKDFFTPPPELTKEDKTT >cds-PLY79713.1 pep primary_assembly:Lsat_Salinas_v7:8:125254602:125255141:-1 gene:gene-LSAT_8X86741 transcript:rna-gnl|WGS:NBSK|LSAT_8X86741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSMNRLRKRCYCGDPVGRWTSWTPLNPGRRFIGCPNYQNGLKDCKYFRWVDPPLPSQWYADLLLVLHNNVDLENHRIFGEFGQEQPAGNFFGDVVEQPMAQQPIAQQAVEGGRWKSLLYVSVVFLCFCWLCXEQPMAQQPIAQKAVEGGRWKSLLYVFVVFFVFLLVMLMDW >cds-PLY68919.1 pep primary_assembly:Lsat_Salinas_v7:2:193977042:193977593:1 gene:gene-LSAT_2X115220 transcript:rna-gnl|WGS:NBSK|LSAT_2X115220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSNPLFSSPLPLSPLRSHAPLPLTFSFTTKATPNDSSSTDGPTSESPETESFEDRLSQVRLRYRSGTGKKADARKSKKSGKKSGGSSGSGANMYLPPVPLKEPISGGVKVDFGFSPYSERMNGRVAALGLAALVLVELATGESVIKYHTPSIIFIQVYFVAAVTAVYCKVEKEKVSIWPQS >cds-PLY96762.1 pep primary_assembly:Lsat_Salinas_v7:2:172293654:172308784:1 gene:gene-LSAT_2X95241 transcript:rna-gnl|WGS:NBSK|LSAT_2X95241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATLIIRPLACFSSPPPPHPPPPLLHYASSFRLWTPFFRGGSRILSSPFNNHDFLRRHSSSSSQFPSLTALSAIATGPDRIARFDSQESDTDPISIFNDRILKEQYSRRASGRPNTESDSGSSSDVSNEEVDKYIQMVKQQQQRGLLELKKGHMLRKGGSNNGDANFSYKVDPFTLEEGDYVVHKKVGIGRFISIRPSIPDGETTPIDYVYIQYADGVAKLPVKQASRFLYRYNLPNESSAPRGLGRLKDTSIWEKRKIKGKVAIQKMVVDLMEVYLNRLRLRRPPYPKNPAMAEFASQFPHNPTPDQLQAFIDVEKDLTERETPMDRLICGDVGFGKTEVAQRALFYVVSSGKQAMVLAPTIVLAKQHFSVISERFSNYPNIRVGLMSRFQTASEREEYFHMIRNGELDIIIGTHSLLGSRVSYDRLGLLIVDEEQRFGVKQKEMIASMKTSVDVLTLSATPIPRTLYLALTGFRDCSLISTAPPQRVPIKTHLSEYSEAKVISAIQFELDRGGQVFYVLPRIQGLEEVLAFLEKSFPDVEVALAHGQLYSKRLEKTMTRFVEGEIRILVSTNIVESGLDIQNANTIIIQDFQQFGLAQLYQLRGRVGRSNKEAHAHLFYPNKLLLTPAAKKRLEALEECGDLGQGLQLAERDMTIRGFGNIFGVQQTGDIGNVGIDLFFEMLFESLSMLELDINPRLSSEYINYLDNPIEVVKDAEKAAEKDTWSLVQFTVDLRRQYGKEPYAMESLLKKLYVKRMAADIGITRIYVNGKTVVMMTNMNQQVFKMITDAMFSDTHRNMLAFQAGQIKRERHALLVEGINGKTLLRPIISFQSWRIGILFFAFGNALNFISFGYAAQSLLAALGSIQFVSNIAFAYFVLQKTVTVKVLVATTFIVLGNIFLVAFGNHQSPVYTQEQLAEKYSNITFLFYCLLLVVVVLMHHYVYRRGELLLAIPGKDLMRYWKLLLPFSFAVVSGAIGSCSVLFAKSLSNLLRLSMSSSYRLDSWFTYSILLLFLCTAGFWMARLNEGLSQFDAILIVPMFQIAWTFFSICTGFVYFQEYQVLDALRTAMFILGMISVFIGISLLAPDDSKEVKESPLSSVASSHVNPEIERLSKPCEESQLQIKDMKSLARVMATKATAAMVKAKSTCTLLIGMGDDTMHASSVLAMPMVSSKITGFRGVGGEHRSKLSLRSPSGWGRIPVEDETVNMLDTTSSEED >cds-PLY72226.1 pep primary_assembly:Lsat_Salinas_v7:7:55284436:55288908:-1 gene:gene-LSAT_7X39860 transcript:rna-gnl|WGS:NBSK|LSAT_7X39860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEEERTVMEEREEKREKRVKCGDRVIYISKDSFRLLSSSEFVINLLKILTMQYLDEGCNGIDDKKASLLMMNFTIDEVEFAMNRLDAPVNELVDFIFAAQLANANDKRISVEFQKKVVSQVEYPLLANLRGLLLDLVARLVGALSQMR >cds-PLY77240.1 pep primary_assembly:Lsat_Salinas_v7:4:178144829:178145655:1 gene:gene-LSAT_4X104621 transcript:rna-gnl|WGS:NBSK|LSAT_4X104621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPLPTCFHPITTVSSANRVTRIKPPAASASGKWWTPLFGMPSDPDYIHNPETATGGSVTGTSDPDNGRSRFAPGCFTEEKAKQLRMKTSEIANFHDIMYHSAIASRLASDVSGRQDG >cds-PLY86008.1 pep primary_assembly:Lsat_Salinas_v7:1:48938565:48941707:1 gene:gene-LSAT_1X42980 transcript:rna-gnl|WGS:NBSK|LSAT_1X42980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFDGPIIVGAGPSGIAVAACLKQDGIPSLVLERSDCIASLWQHRTYDRLKLHLPKQFCELPLFGYPKNFPKYPTKKQFVSYMEAYAKHFEIKPRFNQSVARAEFDAQVGVWRVNTQDSVYESRWLVVATGENAEAVVPEIQGIERFEGVVRHTSEYKSGCVFREQRVLVVGCGNSGMEVSLDLCRYNASPFMVVRNSVHVLPREMFGFPTFGIAMGLLKWLPLRVVDKLILFMANLTLGNTDKLGLRRPKTGPLELKNATGKTPVLDTGALSLIKSGNIKVVEQGVREITRNGAKFMDGQEIAFDSIVLATGYKSNVPFWLKGSDFFTKEGMPKMSFPNGWKGENGLYTVGFTRRGLLGTTCDALKIAKDVTGQWRSTTCKP >cds-PLY68129.1 pep primary_assembly:Lsat_Salinas_v7:8:233115691:233116479:1 gene:gene-LSAT_8X141021 transcript:rna-gnl|WGS:NBSK|LSAT_8X141021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTRYGDDSDSHPTYDHGLWIEASGGIKKGRVLGFGYVSDPQRFLMPSLVAPSTTSDNLEVIMVRICEEMNEELKSERDEMKQALLAECTEIEAQKQEIAKMYNEILKLAQGNSTN >cds-PLY84432.1 pep primary_assembly:Lsat_Salinas_v7:8:280800681:280801384:1 gene:gene-LSAT_8X158941 transcript:rna-gnl|WGS:NBSK|LSAT_8X158941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQKKKHFDNWFDSSILGTPYRDQWYAVPDTYRNEPTPLVPDTPNLMNPVPVPCSHTRPYHELCDYGPKSRFFFFNPIITFAYNK >cds-PLY80326.1 pep primary_assembly:Lsat_Salinas_v7:7:154484259:154485518:1 gene:gene-LSAT_7X91480 transcript:rna-gnl|WGS:NBSK|LSAT_7X91480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKWSMVVLLTTIKPLVGVGEALSRLNVVIRHYKALHPKNVIAYDDAVSDLGKICYFHCDSIELADEGQTLHLPEIVFVEVSCL >cds-PLY68263.1 pep primary_assembly:Lsat_Salinas_v7:3:189314159:189315327:-1 gene:gene-LSAT_3X112881 transcript:rna-gnl|WGS:NBSK|LSAT_3X112881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTIVKSREEDADDSSPLSSLPDEIILQIINKLIDLKTLCFCSLVSRRFSSIVLQVDSVSFTAPLLNSPTSDKITSGDVDGDGFPTKLFRFLINGVVFKPLHLLRRMIVAPSKPLPPIISSFYGQSFRSAVTFLSKFKGVKSLHIELPCSSHRGIDNRCLFKWKVKFGNRIESFFFLSPNSISDSDGFHVNGNGDEQDMDLSNDLFRQKVHIAFQCLKDVILRHRMLLYIIKDLPMLEEASITDSGRRGRLSLSGEKLAEVKKGWVSSAMETLKSEMNRIEVPASVSQCHIPVLDMPISGYVMKGVTLVVMEMNGLHDEKDSLMNSEDGGSEDKEEAVYTEAVMEILEKHKDRMKVLL >cds-PLY89827.1 pep primary_assembly:Lsat_Salinas_v7:4:324480897:324482931:1 gene:gene-LSAT_4X160940 transcript:rna-gnl|WGS:NBSK|LSAT_4X160940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRASSAWVASHSSHVTVDSEGIEKVVESVENSIPKVEWNYEGIHYFDNGPLTVQYLFVLDALNFCFWPDQELNYDDLASGLKSALENDQSVFDADRLQKYTGPELRELLKWPRPLPLEDERVRLMHEVGFELEKSFKGKASNIVESCGKSATTLVATIARHFPGFRDHTVYKGHQVFLYKRAQIFAADVWGAFKGKGYGEFNDVGSITIFADYIVPAVLQQLGVLRYSPSLADIIGANKEIGSGTEEEVELRACSIYAVEKMRDLIVKRTGKQVLSVELDLWLWAYGVSNPSLQHHRTLSIYY >cds-PLY73885.1 pep primary_assembly:Lsat_Salinas_v7:3:40000793:40001101:-1 gene:gene-LSAT_3X29881 transcript:rna-gnl|WGS:NBSK|LSAT_3X29881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPPPSLDSINYGDFLNLNNLHLIFCDCGDKIAANQIEMLTLKDHVGKDFIVCRVDHISLHHKLEDHDRKLKAIVVVMGGVMVAMLGMMMVGVKVLLKLG >cds-PLY67637.1 pep primary_assembly:Lsat_Salinas_v7:2:207786132:207788411:1 gene:gene-LSAT_2X128500 transcript:rna-gnl|WGS:NBSK|LSAT_2X128500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor-like protein 1 [Source:Projected from Arabidopsis thaliana (AT5G51300) UniProtKB/Swiss-Prot;Acc:Q9LU44] MKDFTLGIDLDPEIQALNIRLLEISRKFQSGLPLDDRPEGARSPSPEPIYDNLGIRINTREYRARERLNKERQDIISQIIKKNPSYKPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSMKEGRMQQKKDYKPDPSENEDLHVLVEAETQESLDAAAGMVEKLLQPVDEVLNEHKRQQLRELAALNGTIRDEEYCRLCGESGHRQFACPSRNSTFKSDVLCKLCGDGGHPTIDCPVKGSTGKNMDDEYQNFLAELGGTIPESLTSQSCSTLALGPSLKEYDETNLYIGSLPLAMDDESLLQLFAPFGEIVMGKVIRDRMSGASKGYGFVKYSNVEQANTAIAKMRGYSLDGRTIVVRVAGKPPSQVGVGVTPQMPPSYSNSAPNFVQYCPPPPPTYSPYQPPPPCSTMRPPLPLLPLPSTYGGVQYPATAYPTTTFAYAPYYGVPPAPAPSPSSNTINIANAPWASNSTVPPPGVGVGVLPETNNTSSGDMAYEKFMAEMR >cds-PLY63324.1 pep primary_assembly:Lsat_Salinas_v7:9:132979156:132980135:1 gene:gene-LSAT_9X84900 transcript:rna-gnl|WGS:NBSK|LSAT_9X84900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSTATCIDIILAIILPPLGVFFKFGCKVEFWICVLLTLFGWIPGIIYAIYAITKWVGSHIFYMDRTSIFMSLLIHVNFILEETTTNI >cds-PLY77935.1 pep primary_assembly:Lsat_Salinas_v7:1:22326438:22333894:1 gene:gene-LSAT_1X19460 transcript:rna-gnl|WGS:NBSK|LSAT_1X19460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDLELRRACEAAIEGTSQKVVLSIRVAKSRGVWGKTGKIGKGQMAKPRVLAISTKEKAQQTKAFLHVLKYSNGGVLEPAKLYKLKHLSKVEVLTNDPSGCTFMLGFDNLRSQSVAPPQWTMRNIDDRNRLLLYILNICKDVLGRLPKVVGIDVVEMALWAKENTPVAPKKRNIEDGPTTEADVVSESDMKVTVEKELVSQAEEEDMEALLGVYVMGIGEAEAFSERLKRELHALEAANVHAILESEPLVDEVLQGLEAASVCVDDMDEWLGIFNVKLRHMREDIESIETRNNQLEMQSVNNKALIEELDKLLERLRIPSEFAACLTGGSFDEARMLQNIEACEWLTGALRGLEPPILDPSFANIRAVRDKKTELDKLKTTFVRRASEFLRNYFASLVDFMISDKSYFSQRGQLKRPDHADLRFKCRTYARLLQHLKSLDKNCLGPLRKAYCSSLNLLLRREAREFANELRASTKASRNPTVWLEGSTGSNQNVNNADTSTVSEAYAKMLTIFIPLLVDESSFFSHFMCFGVSALAQPGSPANGNKSNEDDLGIMDIDDNDDSNNNNNKSISELGALNESLRDLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYISGQKADAAGYVRLLLDALEERITAQFTRFVDEACHQIERNERNVRQMGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYIKFTDPKYADIILLENYAAFQNSLYDLANVVPTLAKFYHQASESYEQACTRHISVIIYYQFERLFQFARRIEDLMYTITPEEIPFQLGLSKMDLRKVVKSSLSGVDKHIGAMYKKLQKNLTSEELLPSLWDKCKKEFLDKYESFAQLVAKIYPSETIPSVTEMRDLLATM >cds-PLY73000.1 pep primary_assembly:Lsat_Salinas_v7:9:36542927:36545232:1 gene:gene-LSAT_9X34440 transcript:rna-gnl|WGS:NBSK|LSAT_9X34440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNSEMTQHTDVIDLECGGNGENEIATHHLRRSTSDDNQCFSDAEEGSCYSQFYSTYGDGNYDDPEIGHASRNSRRVSSVGSDCSVNVIGDEGKVVIVHLGKKVERDCRICHLSLVDDDGDDDAIELGCCCKDDLAVAHKHCAETWFKIKGNKTCEICNSIVSNLIGPNETPSIHGSMDMNPGAIIVGPTHMSSATRGGRGCINGHRLLNFILACLVFAFVISWLFHFNIPS >cds-PLY96349.1 pep primary_assembly:Lsat_Salinas_v7:1:28430337:28431137:-1 gene:gene-LSAT_1X22961 transcript:rna-gnl|WGS:NBSK|LSAT_1X22961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIEDYRNRRMSLAEYNDFHSIDRELYATLVYDLWRDPIEAIQMMAIWIWFERMSIGFPNLTRRILTLPFQWIDKIGDEALLCWACIDNISLLFSASVMDFPLTSLLLKKDMPIEFLRKFREVSIVGINDVITIVCATCFKDIWDGAIARNAHMDLLQCMSSIEPVTPTKTTKTQPDDRTLFVTFSRGYPVAEWEVREFFNGLFGDCIESFYMQEAAAGEHALFAKIVLNNISFIHAVLSGGTKAKFTINGKHVWMRKFIPRDQRG >cds-PLY99624.1 pep primary_assembly:Lsat_Salinas_v7:8:287839984:287840965:1 gene:gene-LSAT_8X161120 transcript:rna-gnl|WGS:NBSK|LSAT_8X161120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTTPSQVHQTFATFGFDGAFNFWDTDGKQRLNAMSRCSAPIPCSSFNDDFVVEFLIALHRAFLEFISFYRNGEQTTVDD >cds-PLY66556.1 pep primary_assembly:Lsat_Salinas_v7:4:341701325:341704784:1 gene:gene-LSAT_4X168581 transcript:rna-gnl|WGS:NBSK|LSAT_4X168581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRSMAAASSGIDLPIMHDSDRYDLVKDIGSGNFGVARLMRDKQTKELVAVKYIERGEKIDENVQREIINHRSLRHPNIVRFRELILTPTHLAIVMEYASGGELFDRICNAGRFNEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLRQEYDGKIADVWSCGVTLYVMLVGGYPFEDPNEPKDFRKTIHRILHVQYSIPENIQLSPECWHLISRIFVGDPTQRITMAEIKQHKWFLKNLPENLMDEDKMMTNQFEEPDQPMQSVDVIMQIISEATIPPVGLYDLDMMDDDLDDFDSDPDELDIDSSGEVIYAI >cds-PLY76191.1 pep primary_assembly:Lsat_Salinas_v7:4:52525353:52529323:-1 gene:gene-LSAT_4X34741 transcript:rna-gnl|WGS:NBSK|LSAT_4X34741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSPETEHPVKALGYAARDTSGVLSPINFSRRETGDEDVRFKVLYCGVCHSDLHSVRNEWHNAKYPMIPGHEIVGVVTEVGSKVKKVKVGDKVGVGCMVGCCHSCDQCGADQEQYCPKMVQTYNDSSMVTYGGYSDHMVANEHFIVTWPKDYPLDGGAPLLCAGITVYSPIRYYGLDKPGMHVGVVGLGGLGHVAVKFLKALGVKVTVISTSPEKKEEAINTFGADSFLVSRDQAQMQSGVGSMDGIIDTVSADHPLVPLIALLKPNGKLVLVGGPTKPYELPAFPLLFGRKMVGGSLIGGIKETQEMIEFAAKHNITAAIELIPIEYINTAMERLAKTDVRYRFVIDIDASSNNLWIVNPSLRFKGF >cds-PLY92282.1 pep primary_assembly:Lsat_Salinas_v7:2:209956168:209957359:-1 gene:gene-LSAT_2X130241 transcript:rna-gnl|WGS:NBSK|LSAT_2X130241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATFDKENMESEEEDVKVLSKSDPLLGDPPVYTITPIPQRPPPLMEVTVFKEEPTENDSKGSTPVSKQMLVAQPRRASKDRHTKVEGRGRRIRMPAVCAARIFQLTRELGHKSDGETIRWLLEHAEAAIIEATGTGTVPAIAVNVNGTLKIPTTSSNGKEWEDGRKRRKRGSEFYDVNDSSSSNFAPVAPVAPQGLVPLWTNAGMHGGAFFMIPQSLPGGGGASSAQLWAIPTGLNIGARPIPSYVSAIQAGGGGGGGGGGVETPSESVSNNSESEDKSGKVLTKLAPSSSSVNTSQMIRNLSLKIYEKRELQLMVGSSNPSS >cds-PLY65229.1 pep primary_assembly:Lsat_Salinas_v7:8:20742298:20744707:1 gene:gene-LSAT_8X15681 transcript:rna-gnl|WGS:NBSK|LSAT_8X15681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPMNTVFDAKRLIGRRYSDASVQDDMKLWPFKVTSGPAEKPLIGVDYKGENKQFAAEEISSMVLIKMREIAEAFVGSTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKAGSVGEKNVLIFDLGGGTFDVSVLTIEEGIFEVKSTAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGVDFYTTITRARFEELNMDLFRKCMDPVEKCLRDAKMDKRSVHDIVLVGGSTRIPKVQNLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKVGGKKNKITITNDKGRLSKEDIEKMVQEAEKYKAEDEEHKKKVEAKNTLENYAYNMRNTISDEKVSAKIAAGDKKKVEDAIEQTINWLDANQLGEVDEFEDKMKELEGICNPIIAKMYQAGGVPDAAXPPVMQELLRLVVAVLDPRLRKLIK >cds-PLY99388.1 pep primary_assembly:Lsat_Salinas_v7:7:144726508:144730743:-1 gene:gene-LSAT_7X86320 transcript:rna-gnl|WGS:NBSK|LSAT_7X86320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/proton antiporter 2 [Source:Projected from Arabidopsis thaliana (AT1G49810) UniProtKB/Swiss-Prot;Acc:Q9C6D3] MSSTLLPFKTHHLSHSLHPQFRKRSFVSLPPPPPLFPPRGPQLLHRNGVLARAEDKAREGGGNPSSIQQQPKPNSDKQFQDVTSSGSCDPLCSVDETSSQEYEATYQPKTDLLKALAVFGAAATGAVAINHSWVAENQDLAMALLFGLGYAGIIFEESLAFNKSGVGLLMAVSLWVIRSIGAPSTDIAVAELTHASAEVSEIVFFLLGAMTIVEIIDAHQGFKLVTDNITTRKPRSLLWVVGFVTFFLSSILDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTLPTMKSLFVPSVVSLAVPLALMSFSSEVNGKGQNTDDVLASEQMAPRGQLVFAVGIGALVFVPVFKALTGLPPYLGMLFGLGVLWILTDAIHYGESERQRLKVPQALSRIDTQGALFFLGILLSVSSLEAAGLLREIANYLDAHIASSELIASAIGVVSAIIDNVPLVAAAMGMYDLSSYPQDSQFWQLVAFCAGTGGSMLVIGSAAGVALMGMEKIDFFWYLRKASGFAFAGYAAGIATYLATQNLHFSPTVVASLPFVSGS >cds-PLY70359.1 pep primary_assembly:Lsat_Salinas_v7:4:99693523:99698215:-1 gene:gene-LSAT_4X65140 transcript:rna-gnl|WGS:NBSK|LSAT_4X65140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVVSGANGHDNSSSLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSEGKVFDQKESGDMLSSLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQKLSEVLSDDNCQESKNPTDPITPPPPIIKNSATDEHEPLTPDSDRGSPVIKKQRVSLSQGVGLTHQILDSSLRQHPVVYGMSVNNQD >cds-PLY66036.1 pep primary_assembly:Lsat_Salinas_v7:4:284507135:284511447:-1 gene:gene-LSAT_4X144921 transcript:rna-gnl|WGS:NBSK|LSAT_4X144921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSIRKIFKYDVFLSFRGEDTRTSFVDHLYHALKQKSIHTYKDDEEIKKGENITDELIGSIEDSKFYIIVFSKGYASSSWCLNELVKIMECQKTNEHTAYPVFYDVEPQEVRKQEGAVADAFDILKNKEAAGIWRKALKEAADLAGWELKNTDDGHEAKFIRKIVEVVSLELRSISFNIDEKLVGMETRIKDLVPSLGIGCDDVRMIGIKGMGGGGKTTLARAVFDHISFHFEGTSFVENVREKDSLSGLTQLQNQVLSDVLNDKDIKVSGVYEGKHMMKRRMPNRKGLVVLDDVDHINQLEALAGDPNWFKAGSVIIITTRDEQVLVAHRVKFIHDVNLLSYEEAICLFSRFAFGRDIPIQGYEELSRQVVHYAAGLPLTIKVLGSFLCGKDELEWIDALERLKTIPETQTLKKLEISYISLEEDYKEMFLDVACFMKDWGKDAAIKVLECCGFHARNGLRVLQQKSLITMYYDILDMHDHIEEMGRNIVRRGHPDEPHNHSRLWVEDEIGEILANDLGTKATRCIQFHSMKFNPHIFIKGLRKMKELRFLSVDGYSSSDLEFSMAGSDFPNAIRYMDWSNYPFSSLPTMFQANNLVALKMFRSRIVQLWEGGESKVFNKLRFLDLSYSRLSTIDLGLTPNLETLTLHSCRDLLELHMTAGCLKLTSVDIQGSRLSALDLRLAPNLEKLLLSECNNLEKLHLPGRCLNLIELTLTDSKLRTFDLGMTPNIEKLTLQESCCLKELHMANECQKLTKLNISHSKLRTFDLGMTPNIEKLTLQESCCLKELHMANECQKLTKLNISHSKLRTFDLGMTPNLDTLNLQESRCLEELHMANECQKLTKLNISHSKLRTFDLGMTPNLDTLNLQESRCLEELHMANECQKLTKLNISHSKLRTFDLGMTPNLKKLSLKECHKLVELRTPIGCLNKLVHLDLSGCLGFSSFLFYIVDYTSCSVDESLEVGPSAKLELIVETLERCPLHPDNNLPKFQFECLYKEDRTSFPTNLEMFLSVGMCACTNLETFSQNICGLRRLRKLELKGSFLETVKDLDQLESLEELILSSTTIKHLPDSICMLKHLKYLELIDCLLLERLPEDLGRLECLEKLTLQSTTIKHLPDSICMLKHLRYLRLGFCWLLEKLPEGIGELESLRILTLSSAKIKHLPDSICMLKHLQYLRLEFCWLLEKLPEGIGELESLRMLTLSSAKIKHLPDSICMLKRLTFLQLDHCLLLEKLPEELGRLEHLRYLEVRGTSIIHLPQSILLVKGLRIFGSRELLESCGFTSEIQGPLYDCYVQL >cds-PLY92603.1 pep primary_assembly:Lsat_Salinas_v7:7:160947010:160954392:-1 gene:gene-LSAT_7X94440 transcript:rna-gnl|WGS:NBSK|LSAT_7X94440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter PHO1 [Source:Projected from Arabidopsis thaliana (AT3G23430) UniProtKB/Swiss-Prot;Acc:Q8S403] MVKFSKELEAQLIPEWKDAFVNYWQLKKHVKKVKLSRISKLSQTTCSQDYGISIFDPVRSFFRRLTSNATNQQPDQILQVKNTLSEQGDEMSEKDEDEDDETELVEHLNSEEDEVKVFFEKLDEELEKVNQFYTNKESEFLERGEMLNKQLQILLDLQQVLGSRRKSSGRFKFARSPSSTGLLSSYSSGPTSDSETPTEFAESHGEGTQTNDVISALEKNGINLLGAATRGKTKKGKPKMAMRIDIPATTPTRTITAVTSMLWEDLVNNPKKEGGPSDYINRKKIQCAEKMIRGAFVELYRGLGLLKTYSSLNMVAFVKILKKFDKVSNQQSSATYLKAVKRSYFVSSDKVVKLTDEVESSFTKHFAKDDRKKAMKFLKPRQQKSSHMVTFFVGLFTGSFVTLFCVYAILAHISGMFSRGTETDYVETIYPVFSMFALLSLHLFMYGCNLFTWKATRINYNFIFEFQAGTTLKYKDAFLICTCMMTTVVSAMVLHLILISNGFSPTEIDAIPGILFLIFIGLLVCPLNIFYLPTRYCFLRVIRNIICSPLYKVLMMDFFMADQLTSQIPLLRHMESTACYFLAGSFKTHHYHTCKSGKLYRELAYVISFAPYYWRAMQCARRWFDECDVNHLANLGKYVSAMIAAGARLTYARQETQLWLIIVLVTSLIATVYQLYWDFVKDWGLLNSKSKNIWLRDELVLKNKGFYYIAIALNFVLRVAWLETVLQFKVGLFESRLLEFSLASLEVIRRGHWNYYRLENEHLNNVGKFRAVKNVPLPFRETDSDG >cds-PLY68469.1 pep primary_assembly:Lsat_Salinas_v7:2:213927925:213933606:1 gene:gene-LSAT_2X134721 transcript:rna-gnl|WGS:NBSK|LSAT_2X134721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent zinc metalloprotease FTSH 11, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G53170) UniProtKB/Swiss-Prot;Acc:Q9FGM0] MSALQAFLICKPPIVSNLVPHSRRLQFYRIHSFNLGSFHSTSYRKKIRPFFALHQENVDSNSSLASSSNGNHNLAPESEGQVIDFQEDEVSNSEECLNEVEGEINAAGDEAGREKGKLPLLVFLMGFFARIKLGFEKMLLSDGFSWWPFWRQDKRLELLISEADLNPKDAAKQSALLVELNKHSPESVIRRFEQRQYAVDSKGVAEYIRALVATNAIAEYLPDEQSGKPSSLPALLQELKQRASGNLDESFLNPGISERQPLHVMMVDPKVSNRSSRFAQELISTILFTVAIGLMWVMGAAALQKYIGGLGGIGASGVGSSSSYTPKESNKEIAPEKNVKTFKDVKGCDDAKQELEEVVEYLRNPGKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVQNPDVKGRQEILDLYLQDKPLADDVDVKAIARGTPGFNGADLANLVNVAAIKAAVEGAEKLNAAQLEFAKDRIIMGTERKTMFISEDSKKATIMPRGSALGMVTQLPSNDETSVSKKQLLARLDVCMGGRVAEELIFGRDHITTGASSDLQSATELAQYMVSSCGMSDVIGPIHIKERPGSEMQSRIDAEVVKLLKDAYERVRSLLKKHEKSLHALANALLEYETLNAEDIKRILVPYKDKEGQGRVIADQELQQDQGELVLA >cds-PLY95568.1 pep primary_assembly:Lsat_Salinas_v7:6:175041274:175045349:1 gene:gene-LSAT_6X107121 transcript:rna-gnl|WGS:NBSK|LSAT_6X107121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:serine hydroxymethyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT5G26780) TAIR;Acc:AT5G26780] MVMAIALRRLCSSHIKRHYLRRPSNGSSLACMSSLPNPAAHDIEVSRVNWTKQLNAPLEEIDPEIADIIELEKARQWKGFELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAERLCQKRALEAFNLDPSKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGYIDYDQMEKSAVLFRPKLIVAGASAYARVYDYARMRKVCDKQKAILLADMAHISGLVAAGVLPSPFEYADIVTTTTHKSLRGPRGAMIFFRKGLKEINKKGQEVMYEFEDKINQAVFPGLQGGPHNHTITGLAVALKQATTPEYKAYQEQVLANCKKFSQSLIEKGYDLVSGGTDNHLVLVNLRNKGIDGSRVEKVMELVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFLEDDFVKVAELFDASVKLALKIKAASTGTKLKDFVATMNGDEKFQTEIIKIRGEVEEYAKQFPTIGFEKETMKYKN >cds-PLY84122.1 pep primary_assembly:Lsat_Salinas_v7:6:189992444:189992689:-1 gene:gene-LSAT_6X116641 transcript:rna-gnl|WGS:NBSK|LSAT_6X116641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIKINWFVLFLGLMLITGTIESGSDLPRKMSSHTTNDVAIENKYTMDKHPIHADGFDDDFGKGIDSHHFYGFAKRPGSGK >cds-PLY76020.1 pep primary_assembly:Lsat_Salinas_v7:5:319793309:319794764:-1 gene:gene-LSAT_5X177380 transcript:rna-gnl|WGS:NBSK|LSAT_5X177380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHVNLYALSFSSSYLSLPFIPPPTIDTSARPSSPRPSPPEEQLSSQQKSEPGEVEDGGGYEHETEAQDSGGQERVCYNVAKILNDAIYDPWSHLNQFFVDLVISAAEKLGLETCIWRKPFEHNVKRTSFHGLSHFWPQRNS >cds-PLY76576.1 pep primary_assembly:Lsat_Salinas_v7:5:222724813:222725037:-1 gene:gene-LSAT_5X103901 transcript:rna-gnl|WGS:NBSK|LSAT_5X103901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGFVDKHNSGNSSSLTNMGNDTNGDIGRLEACWQPWLAVAHVFSGMAQCRLVLAGNEATIDGSEVVATFDGR >cds-PLY93416.1 pep primary_assembly:Lsat_Salinas_v7:9:59741778:59745164:-1 gene:gene-LSAT_9X52661 transcript:rna-gnl|WGS:NBSK|LSAT_9X52661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGTEENHNSGSVVVFGGEGTLSGSTVAPPPPPPPPPPAAESLVGAVIAVNATPGSDGFKKKRGRPRKYGPDGKPKVTLSPMPISSSIPLSGDFPGWKQKQEKPITSIKRKQKLEFRPPGAQLASSIGADFTPHVLTVNSGEDVNMKIISFAQQGTKAICVLAANGAVSNVTLGQPNASGGTLTYEGRFDILCLSGAFTPNENGGAKGWSGGMSVSLAGPDGRVLGGGLAGMLVAAGSVQVILGSFLTDLQQEQQKSKKSRFEPFATTPFFKETYGDHEPNISFTLTNPRSLDTERNGSLHVSESNTSQFEVSC >cds-PLY69257.1 pep primary_assembly:Lsat_Salinas_v7:2:129124057:129126128:-1 gene:gene-LSAT_2X60440 transcript:rna-gnl|WGS:NBSK|LSAT_2X60440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALSMLDSNSQMDKLTSEIFSILENKFLFGYDHPSPTTTPPMEEMKSSGTGKVRVLSIDGGGSTDGVLAATSILHLESSLRRKSGIPDARISDFFDVVAGSGVGGVLAALLFTRGKDGAPLFTADDALKFVVDNGHKLSRFSKQGIFRRLFNTPAKGGKVFRRTFGDLSLKDTVKAVLIPCYDLTTGAPFVFSRADAVEMDGFDFKMSDVCAATTAVSGPVATVSVDRRTKISAVTGDIAMNNPTAMAITHILNNKQEFPFCNGVDDLLVVSLGNGDPFCGVAGNQTPSRSAFVKIVGETVSDTVDQAVSMAFGQSRTCNYARIQANKGFIHSDNKIKEKDMLKVVEQMLIQKNVESVLFQGRKCNDTNMDKLKFFAAEIVKETERRRTDILPTVVLRQTTTSSSPRTSSATTLSITSSN >cds-PLY84508.1 pep primary_assembly:Lsat_Salinas_v7:1:29997114:29999057:1 gene:gene-LSAT_1X25800 transcript:rna-gnl|WGS:NBSK|LSAT_1X25800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCRTTLNAFTTNSTSTSIAAVSRSSVLLSNITLNSFPTVGTRRGGGAASITTSHLLYYYSLRRLDSKTQLLRRPNKKLRRNIVAYATFLELPLLPFPSDQVLVPSEAKTLHLFEARYLKLLDECLFKKKKLFVHFVLDPIVVSSSSKEASFAARYGCLVSIEKVEQLDVGALVSIRGIGRVTLLKFAKADPYLEGIVLPLQDNVPQNESQISSKVLELKEALHSLNSLEIKLKATKDEPLQTQTANSLEWALKEPSIDCEEAFIPSFAERVSFAALQNVSGSTQSEMLKLQEEKLKAMDVKETLQRLENCTGFVKNRVSMTAAKLAIQSLNMQ >cds-PLY67849.1 pep primary_assembly:Lsat_Salinas_v7:9:144833162:144833665:-1 gene:gene-LSAT_9X92220 transcript:rna-gnl|WGS:NBSK|LSAT_9X92220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSSKVEKPKSVPETVDKEVMKPVQEPIIQDVQKEVVPLITGVLKRMKKRAHRPRHSLESRLIIEDVHDKSISSPKEVYVSKSKRIWKPQLNRKGVVFREIQAPVSSASKKRRAQAMVKKIKKKQKKLQDPLDEVVVETNFEDDGSQYPLRNDNVGFASPQRDNLVK >cds-PLY73720.1 pep primary_assembly:Lsat_Salinas_v7:8:170116360:170116722:1 gene:gene-LSAT_8X110920 transcript:rna-gnl|WGS:NBSK|LSAT_8X110920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSDDSGEAFNFESGHGSADSGDDSDDIEYNVDESNIQFDVDVDMSEFHNVFDVDEHVILNNHSKDEGNYMVDDELEVIATDDYQFARFHEDDRKRLLKELSKSSTCSHGEVHVQPFHIS >cds-PLY91421.1 pep primary_assembly:Lsat_Salinas_v7:3:56410302:56413004:1 gene:gene-LSAT_3X43581 transcript:rna-gnl|WGS:NBSK|LSAT_3X43581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECYTISDYSCPKLDKYQKFLENDFYIDVGLMSVIEHIPETVTIPKTWFRFLTKTNLIELGETPPYYPDYIGVLSKIRDCTKIGGESFVLLILTDESGSEIAINLWKDCIGNPQKFDRASLHPPPATTVVAVTNLKPSISNGALRHGSSHATHIYVNPDIPETISLTNLTLTTATTNTRNTHYSIRDEVKKQIKTPGNIKLLLLYRHTLINSPISMNLQDKTFLVRASIKDFVYQNSWYQTTCPNCKDPIFRRGKNWFCSAHGHIDKANYTYKLSVIVSDATDTITATMSETSCRKLLKSTLDNILSNNPLTDGHFLPQSITNHKEEPKKMSIQMLRGSSPQNIRFIIIDHETLKMTTDTSIPTTPAPTQIARKQQNDTSPEPSTAVQKVAWSLSYQKKSKKQLNVHYIRRSHPTSITSQVKIKCSIFTATQLPPVDPIKRIQDESPLNQKHTTTMETIMQKLIGYLQMMKTSLTNLQQTYTTVNTDMQTLLHDAPEELPYKELTVATQVIADLDNITVLMLDMFGMMQENMSEAIDVCSKIQHDHQAP >cds-PLY93914.1 pep primary_assembly:Lsat_Salinas_v7:7:180764662:180764919:-1 gene:gene-LSAT_7X107480 transcript:rna-gnl|WGS:NBSK|LSAT_7X107480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISGASPPPPLRSSPPPMKLESTALKIADEAAASDEEQHEDASSGLSLPSSGETSYIATVQVVYGYEVLGKDHNHPSIPFSLFLL >cds-PLY62524.1 pep primary_assembly:Lsat_Salinas_v7:1:82438616:82442778:-1 gene:gene-LSAT_1X71541 transcript:rna-gnl|WGS:NBSK|LSAT_1X71541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLIRISCFLSTTPTTTTTTAKTTTTTHPTAIQSYFKTSKTRPHKSNSNVILCCQSPNYIPNHIQDPNRVRILDTTLRDGEQAPGASMSPKQKLDIAHQLAKLGVDIIEVGFPASNKADLETVKLIACEVGNAEVNQNGRIPVICGLARCNKNDIDKAWEAVKYAKFPRIHIFIATSEIHMKYKLKMSKEDVIEKARTMVAYARSLGCNDVQFSPEDAGRSDREFLYEVLGEAVKAGATTLCIPDTVGYNWPREFGQLIADIKANTPGIQNVIISTHCHNDLGLATANTLEGAYSGARQLEVTINGIGERAGNASFEEVVMTIKSKGELLGGLYTDIKTEHIVMASKMVEEYSGLMVQPHKAIVGANAFAHESGIHQDGVLKNKSTYEIMSPEDIGLHRSNESGLTLGKLSGRHALKAKLFELGYKFEGNELNDLFWRFKSVAEMKKVVKTLSFDDIYIKCLLTKEIIFFNYA >cds-PLY84214.1 pep primary_assembly:Lsat_Salinas_v7:7:77272128:77274070:-1 gene:gene-LSAT_7X55041 transcript:rna-gnl|WGS:NBSK|LSAT_7X55041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVQNILHMNTGDGDSSYACNSFLQETAMWKTLPVLRHTIKFMANNDIIFNTQCFKVADLGCSSSINSLLVASTIIDMVHDLCEETKHKSPQFEVCLNDLFGNDFNTIFKMLPEFYSNLKKKKKKREHFGHCFVSATPGSFYRRLFPNKSLHLIHSMYALHWLSQVPEGIENNKSNIYMSKSSPPNVLEAYQKKFDTDFRKFLQMRSEELVQGGCMVLTFVGRSIADPTIDDCCIIWKLLAQSLHDILKEGLIQESDIKSFNMPYYNPCEDEVRNIIQNEGSFSLDMLNVFQVNWDPHDTDYISTKDFDVPSHIHGENAAKALRAVMEPLLTSHFGNSIIDILFDKFKKHVSLQLAKKKIRYYNIVVSLSRK >cds-PLY84870.1 pep primary_assembly:Lsat_Salinas_v7:4:294010299:294012135:-1 gene:gene-LSAT_4X151201 transcript:rna-gnl|WGS:NBSK|LSAT_4X151201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 10 [Source:Projected from Arabidopsis thaliana (AT2G18290) UniProtKB/Swiss-Prot;Acc:Q9ZPW2] MATESSEGEEETKLTGGNPQLVVDGDLREMAKMAAWSVSSCKPGNGVSSLRDDNYETYWQSDGAQPHLVNIQFQKKVKLQLVALYVDFNLDESYTPSKISIRAGDGFHNLKEIKTVELVKPTGWVHISLSGNDPREAFVNTFMLQIGILSNHLNGRDTHVRQIKVYGPRPNPIPHQPFQFTSSEFITYSTVR >cds-PLY90270.1 pep primary_assembly:Lsat_Salinas_v7:4:184256324:184257220:1 gene:gene-LSAT_4X107720 transcript:rna-gnl|WGS:NBSK|LSAT_4X107720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIIEHWIYFSCNLFKWEAFDPIRHRWMRLPTMDCNECFMCSDKESLAVGTELLVFGKEIDTNVVYKYSILTNSWSPGIETTTPRCLFASASLNEIAIVAGGCSPSGKILNSAELYNSEIGTWARLNSMNKRRKMCSGVFMDSKFYVIGGVDENFNELTCGEVYDLETRVWTVIPGMFPVAAGKDAPAMSKAPPLVAVVKDELYAGFYEEQEVRRYDKGRNVWVRVAGLPEKASSMNGWGLAFRACGDELIVIGGPRAAYGGMIELNSWRPDESPPEWNLLARKPSSGFVYNCAVMGC >cds-PLY93278.1 pep primary_assembly:Lsat_Salinas_v7:4:303722383:303725234:1 gene:gene-LSAT_4X153101 transcript:rna-gnl|WGS:NBSK|LSAT_4X153101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVITQARQLRLSPPPTTTISSTLYDPTSLSLALMHSDSSFSLYPSISPFSPPPLHSATTTVVSPPSSSATFLRLRSTDMSRVIFLVSSPNLVGSSILLRFYILRPDNQFARVRVICNQSDLSFDERKLGVLFRVNHGVSIKLAGSINVFAMYSVSNRKVWVFAVKLAGEGDNVKLMKTAVIDCDSPVFSISVSIGFLILGEENGVRVFPLRPLVKGRISKDRRRDASKKSDKSEVQKIKLLNGLTPETNGSTILYVNSAKVTGNSSKTINVNGNIEEKIGKHSDTSARLKCVKTRQNSKEGGVRFVAFNSSKVRLGSKKAISVHFLGHNKFLILDSDGELYLLSLSNPFSGSETICEMKKLTLTMKVQSLAVLPDDSTRAQTVWVSDGNYTIHAMVVSDMESPGNENDTKNNEETIQHSATEAIFTSEKIQEIIPLASNAILLLGQANNIFAYAIS >cds-PLY91044.1 pep primary_assembly:Lsat_Salinas_v7:2:55094209:55094784:-1 gene:gene-LSAT_2X24680 transcript:rna-gnl|WGS:NBSK|LSAT_2X24680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENKGKRERDSVFKKNGILLFTLSTTVYNKGIKSVANIVINHTTGEKQDGSGKYYIFEGGTPDKRLDWGSSLICKDYDYCVGNGNIDTGDPITGSPGIDHVNPIVQKELCDWINWLKIEIGFDGWQFDYVKGYSLSFTKIYMTNTSPDFAVGELWSSLTHGQDEKPYYNQDNHRNELMKWAEEREFLAGMS >cds-PLY81218.1 pep primary_assembly:Lsat_Salinas_v7:4:103937965:103938831:-1 gene:gene-LSAT_4X67901 transcript:rna-gnl|WGS:NBSK|LSAT_4X67901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPKKKGKPRKEQCEPSQASCDRSERQEPVPLPPKKKGRPRKEQFEPNQASCDRSERQEAVPMLPKKKGRPSKKVQSYPNQASGSKFVKRKRKLGIKKGGDIVEDRVLLDEHDGLNGLVEGRGCEEQMKDLFDKEDIDDDSLVDMMCTFEASLSQAKYNYQKGDGFQDAMDAIIQSIHHANDEKGVEDVEPDLTKILEEVEDAMDAILKGTDEKSQYENEGNLEPEFTEGNASDVLPEMVMLDLENVPDLVGAGYSMAEIKSMKGVQVELDDMPPIEMVSLTSSFYNC >cds-PLY72388.1 pep primary_assembly:Lsat_Salinas_v7:4:147453200:147456095:1 gene:gene-LSAT_4X91421 transcript:rna-gnl|WGS:NBSK|LSAT_4X91421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHQHQRLKQQQQQQSLMQQALLQQQSLYHPGLLAPPQIEPIPSGNLPPGFDPNTCRSVYVGNIHTQVTEPLLQEVFASTGPVESCKLIRKDKSSYGFIHYFDRRFAALAIVSLNGRHLFGQPIKVNWAYVTGQREDTSGHYNIFVGDLSPEVTDAMLYACFSVYSSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLTGKWLGSRQIRCNWATKGAGVSDERQGSDSKSVVELTNGSLEDTKEPSDSDAPENNPQYTTVYVGNLGPEVTQLELHRHFHALGAGVIEEVRVQRDKGFGFVRYSNHTEAALAIQMGNTQSILYGKQIKCSWGSKPTPPGTSSNPLPPPGPAPMLSAANLLAMSRMGGVGXYAPPPPPSKTTSSGYRWWWWFKSGDIVIYWLSMDT >cds-PLY69751.1 pep primary_assembly:Lsat_Salinas_v7:5:232780857:232781383:-1 gene:gene-LSAT_5X114201 transcript:rna-gnl|WGS:NBSK|LSAT_5X114201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFLMHAVKKHSLHNSYRSLSTGSTCRRHLLDGAVVEGSSHRRTRSEFQPPTAEYLQQISSGSDYVSRSMSFKKDISTSYHSTPNAFHASKVKNN >cds-PLY76924.1 pep primary_assembly:Lsat_Salinas_v7:2:199943373:199948665:-1 gene:gene-LSAT_2X122301 transcript:rna-gnl|WGS:NBSK|LSAT_2X122301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKNWNLQIPNTGGSKNSVQSVQASATSLRQYKEIPTEANAGNSENMKDDLSGLDKAIGVVLGQRTIERNQLFSLARSLSEKALKKVQSVATHDQATVKELETLYKDCRSNSCIEHAMGIMEHEKAPNDLSKKIYDVSISANGNDNKNTSNNKKNLILVFESLFDEGAVREISNFAMASNESEYMLVPHKHKINFYKTTKLRVSTDFVDTVDPYHFIFFPYLLARNFDTRVAFDFLGEVVSTDPMRVIVEYGREKKLMNLVAQDLSGMRIAVALWGSFAMKLNTYISQHHNETAPVIILLRQPQVGNCLFGSRLHINDDMPQILEFKSNLNALDTNVESSSRTSQLNSDTVVANPEDYYLRFQIKNIDEILDFNEEVGLTIIATIIGFDMDDGWYSFYWCDCSKKVTENDDDVDAGPFHCDGCGFVSDVFRKIRIVVRVQDESGSSSFVLFERHVKDLIHRGNQCLMEKIANIPDEFKILVNKEFVFKVQISMFNLQNNYRAYTVHKLIDDERVLAEVFKRSPNHQHHNINDNGTPINKPNKENTNSMHDDNLDVVDLEAITPSSSTRKRPIEIDANTDSLEWYSSKTRVVRDTLKIPKLEKLD >cds-PLY66815.1 pep primary_assembly:Lsat_Salinas_v7:5:45963997:45968993:-1 gene:gene-LSAT_5X23161 transcript:rna-gnl|WGS:NBSK|LSAT_5X23161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGEEKRHQMMQNLFGDQSEEEEEEEVESEHESNRQPDYASDEGDMGLKPEGEDVVEGQGEAEVGSDSEGEELQEMDQEQIESEGERDQSSQEVDLGDQREESEGKDSGSDQLGQKVVTSRRREVVESESERSEENQYMDNADEDVDQPRSPSRSPEEEKDEAHISQTAPEIRDVFGESDDDEEPAEYDAVQTHLEEDANRSPMEEDEGYEKDLRPEDMLADEEGRYDSEEDHIIKAKEKPVGPPMELGIPLRPPPSHPEKMNMIKVSNIMGIDPKPFDPKTFVEEDAFVADESGNNKRIRLENNIVRYRAVRNANGTTSYESNARFVRWSDGSLQLLIGNEVLDISVQDAQHDQAHLFLRHEKGILQSQGRVLKKMRFMPSSLTSNSHRLLTALVDSRHKKVFRVKKTVTDIDPEREKEQKEKAESQSIRANELLSRKKEKVNRKYTPTVRKERQLSPGFLEDALEEDDEQDYYDSRRRRRFEEDLEMEARAEKRIINAKKSQGGGHKDTPRKSLQGMNMKSSRRGPPDDYESEREESEYETEGEEDETETRRDRYDDDEDEYEEPLADDASDEERELKHNKAARQEIESEEESPPRKASASASHRRKALVYDSDED >cds-PLY92514.1 pep primary_assembly:Lsat_Salinas_v7:4:322597632:322599332:-1 gene:gene-LSAT_4X160580 transcript:rna-gnl|WGS:NBSK|LSAT_4X160580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHNRRRSSFFSNGIPIVAGTAVALLLLWAFWPIISFTFPSPRHTINFKAKGHSYKIFPDINHTIVTGVLNFNLTHDPPEPTFYDDPELTYTINKPIKNWDEKRQEWLSLHPRFISGLEERVMLVTGSQSKACQNPIGDHLLLRFFKNKVDYCRIHGYDIFYNNVLFHPEMFTYWAKIPTVRAAMLAHPEAEWIWWVDSDAVFTDMDFKLPLKRYKNHNFVVHGWPEMIYKKKSWTGLNAGVFLIRNSQWALDFMDVWSGMGPQTPNYEKWGKILKETCKDKFFPESDDQTGLVYLLLKEKEKWGNKIYVEDEYYFEGYWEEIVGKLEKINEKYNTIEQKVRILRRRHAEKMREGYYALLEEYLGGVGYGIEGWRRPFMTHFTGCQPCSGTHNESYSVQKCWDAMKKVLNFADNQVLRAYGFVHPSLGDSSSVVPLPFDYPS >cds-PLY72280.1 pep primary_assembly:Lsat_Salinas_v7:5:196057128:196063284:1 gene:gene-LSAT_5X87720 transcript:rna-gnl|WGS:NBSK|LSAT_5X87720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMSAPKPGPMIGLRIVVAGDHGTGKSSLISTAIPANDPPVLPEVPSVLAPIRLPDDMFPYCVPITVIDTSSSLQHRDELAEELKTADAIVVTCSYDQLATLDLDHRLCTFWLKYLQDLQVKAPVVVAFCKSDIKQPIHLPKLMLPILQRYPQLENYLECSAKLRTLTPDIFLYAQKAVLFPTPPLYDRETKTLKPRCLRALIGVFTLFDSDKDGCLSDKEFNDLQAKCFGTPMEDIKVQCVKSCVEKIMPEGAVIDNKLTLRGFLTNHAELIEHHHPEITWTILKKNEYDNEIKFCCDRLLPPIKRTLDQSVELTTEALEHLRRVFSLFDIRGDGASNARELEDLFSTQPSLDEAPSVEVNVLGELSVTGFLSKWALMALLNPVLTVEILKDMGYAGNPTSAVKVTQRRRVDRSDRNVFQCFVFGAKEAGKSSLMHAFVGRPFSQDYTPTIEERYAVNIVDFPDGRRKTLILREIPEDATRKLLTHKDALAACDIAVFVYDSASATSSAKATELLVQVESTGYVVPCLIVAAKCDLVPFATTIQDTTRVSMGIEVPIPISTKFGDCNHIYRKIVSAAEQPHLRIPNSRKQSGSVLFW >cds-PLY93077.1 pep primary_assembly:Lsat_Salinas_v7:9:26278237:26279735:-1 gene:gene-LSAT_9X23261 transcript:rna-gnl|WGS:NBSK|LSAT_9X23261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSILGKINNSENLGSSSSFHEFKKQASFFLKEKIKTARLALTDVTPAQLLVEESTNGNPWAPDARTLKMISKAAFEIDDYWRIVNVLHNRLVRFDANNWRISYKAVVVLEHLLTHGPESVAEEFQTHKDAIQEMTNFQYIDEKGFNWGLNVRLKSERTLKFLNELSSLKEERAKARKLSRGIEGFGSFNHRISSGHGVLQQSSLEKYKRSHSQFIEHGDLDENQISPMIQDENSYSRNPIKKTPEISQVSDGFGGNMIVEKLGSQLSSKENMAPKEDHIVGESNPLLGDVKGDSWVMIDVGEEDEKDHPFNNEENQAKMSLI >cds-PLY77944.1 pep primary_assembly:Lsat_Salinas_v7:1:26414921:26415619:-1 gene:gene-LSAT_1X22241 transcript:rna-gnl|WGS:NBSK|LSAT_1X22241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSRNQLQVTHVQWQEGVKTRLRKCSFFEWKDEEQADGYYKNLLYSLKQKLDAKDEMSEMNNLRRRIAEVEFLLSQEQYKVAKSEKEVHDAMKAIGRYRMIVALLFGCLALCVLKLGGQCS >cds-PLY63098.1 pep primary_assembly:Lsat_Salinas_v7:8:75667737:75669884:1 gene:gene-LSAT_8X53401 transcript:rna-gnl|WGS:NBSK|LSAT_8X53401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor-binding protein [Source:Projected from Arabidopsis thaliana (AT4G15802) UniProtKB/Swiss-Prot;Acc:Q8GW48] MDGQDSGDTKQSTADMTQFVQTLLQQMQSRFQTMSDSIVTKIDEMGERINELEQSINDLRTEMGAEGGGSPSPSPLSTSKKPDAKPEEPSSAE >cds-PLY91027.1 pep primary_assembly:Lsat_Salinas_v7:7:178444846:178445487:-1 gene:gene-LSAT_7X105581 transcript:rna-gnl|WGS:NBSK|LSAT_7X105581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRALRKIHYSLCRLDTLIIFINQVRSNLRSRQEGLKVNEVTCRGNALPFYSAVTGLGICVKVVKNKLAPAMKKAELEIEFGRGISRASEVLQLGCLKEGNSYFIDGEVVNGKIQAETYLIQNTIICDKLVMTLRRHLFRIEQDSES >cds-PLY93285.1 pep primary_assembly:Lsat_Salinas_v7:4:302337805:302339697:-1 gene:gene-LSAT_4X147261 transcript:rna-gnl|WGS:NBSK|LSAT_4X147261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCHDLLEMEGNKYVHVVSVVKTGDGYERKEVLLEDHDPVWLELRHSHIADASECLHDKMTNFVSGNKAAQMHGRPVCLDGGEMSTRDLQKMVQALPQYNEQMDKLSLHVDLAGKINGIIRKMGLRDVGQLEQDLVFGDAGTKDIIKFLKEQDATYEQKIRLLMIYVATHPKKFETDKLVKMLEVQDKNGIQAELEEEIKFQKDLNNNLTIELNKTQESNLEVVSILQELDEQIEQQKLEKNSLEASEQSIVDEDNVEEHTGVEVSRITTKDNFRLELELQKFQESQEKLKIP >cds-PLY69796.1 pep primary_assembly:Lsat_Salinas_v7:4:244197099:244199561:-1 gene:gene-LSAT_4X129341 transcript:rna-gnl|WGS:NBSK|LSAT_4X129341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSHFERWEKDPFFSAAEEVQESADRMESTYRTLIHALKEPSAWKTEELRRDLRTAHGTAKWQLEEFEKAVEQSYIKSSTEDAKTRHREFIKAIDCQISKASKALDESAIPTGKPPRPWIRLNEGETEELASFLSGSKSNVDQKQKQKLPSKVQCLNEKNEKKVSGHRRTASAGADIGGAWNIVVDDDGGKPEEPPRKIPSFSGFLNAMDSAAKLQWSKNGYRKLKLIDNRHEADVKLPEIQPLSKGINACYERSKSCLENGDDCYQKQLYGWYGGIQRQLQRSQYYVQYSRPTQIIFWILVLIFIFGEFAFSYSKNIFNFNLPTFILSITTFYSMNLFKC >cds-PLY90908.1 pep primary_assembly:Lsat_Salinas_v7:1:57468057:57470369:1 gene:gene-LSAT_1X48041 transcript:rna-gnl|WGS:NBSK|LSAT_1X48041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGGAGDGVSVSVAVVACTAMTIFYVAILYSPTLILRLPPPTSFKSYMTRRFICAGISTFVSLLVCAFILPIKSWETPYLFSAYGIHPHHTWEAVLLPLFLTSLMYTGSFLLKFFSLWTSLTEQSGQQIDLSLHGIKTVLQNFINWIHSHLCNISSWRLYIVAPLTEELVFRACMIPLLLCGGFKPYTVILLSPVFFSLAHLNHLLEFYMHQDSSFLKACMVVGFQLGYTVIFGSYASFLFVRTGHIAAPLVSHMFCNFMGLPAFFSPRTRMVSVGFVAGVVGFVYLLFPLTSPELYNDRIDNCKCWHRYCEWRS >cds-PLY70304.1 pep primary_assembly:Lsat_Salinas_v7:2:90184390:90188155:-1 gene:gene-LSAT_2X36660 transcript:rna-gnl|WGS:NBSK|LSAT_2X36660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMLVKFDVMEMVASWKKGLCADISQRHLQIQHKIDDSESVSQEDKDLARWSVLDVGTGNGLLLQELAKQGFYKNNTSNGGILDWNEGEE >cds-PLY81925.1 pep primary_assembly:Lsat_Salinas_v7:8:122544324:122550264:-1 gene:gene-LSAT_8X83900 transcript:rna-gnl|WGS:NBSK|LSAT_8X83900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:4-alpha-glucanotransferase DPE2 [Source:Projected from Arabidopsis thaliana (AT2G40840) UniProtKB/Swiss-Prot;Acc:Q8RXD9] MVNLGLFSEIKPLASVNVRFRIPYYTQWGQSLVVCGSEPVLGSGNVKKGLLLTPFHEGDALIWGGSLSVPAGFQSEYNYYVVDDERNVLRWEAGNKRKLLLPDGVENGKAVELHDLWQNGSDSLPSKSAFKNVIFRTSSKLAIEKPLGTIKNKLDQEDLVIVQFKICCPNIAEGTSVYVIGSFVELGKWKVNDGLKLNYVGESIWEGDCVIPKGAFPLKYSCIFLNTFFTYKYCKYGKNGNFSLEYGSNRELIVDISASGPNYIILSDGMMREMPWRGAGVAIPMFSVRSEDDVGVGEFLDLKLVVDWAVDSGFHLLQLLPINDTSVHKMWWDSYPYSSLSVFALHPLYLRLQALSKNMPDDINQEIQTAMKELDGKHVDYEATMTTKLSIAKKLFDLEKDSILNSTSFKKFLSENEEWLKPYAAFCFLRDFFETSDHSQWGTFSQFSKNKLEKLVSEDSFHYDIISFHYYIQYHLHLQLSEAAEYAKEKGVVLKGDLPIGVDRNSVDTWVNPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLSQMANYFTAYRIDHILGFFRIWELPEHATTGLVGKFRPSIPLSQEELEKEGIWDFDRLCKPYILQDFLQEKFGPSWIVLASHFMNEYQKNRYEFKDDCNTEKKIVAKLKSLIEKSLLLESEDKLRRDLFDLLQNVVLIRDPEDATSFYPRFNLEDTSSFKDLDEHSKNVFRRLYYDYYFNRQETLWRQNAMKTLPALLNSSDMLACGEDLGLIPSCVHPVMQELGLIGLRIQRMPSESDLEFGIPSQYGYMTVCAPSCHDCSTLRAWWEEDEDRRRSFFKTMVGSNSLPPKQCVPEIVYFVLRQHVESPSMWAIFPLQDLLALKEAYTARPATEETINDPTNPKHYWRFRVHVTMESLLKDKQLTTAIKELVRGSARSYPGQEEEANLVVISEKQQIKNEEEKEKIRVTNQDPKITSIAVL >cds-PLY79712.1 pep primary_assembly:Lsat_Salinas_v7:8:124642734:124644123:1 gene:gene-LSAT_8X86441 transcript:rna-gnl|WGS:NBSK|LSAT_8X86441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCVAFVSNSCSDSNRIKSQGFSDWMGIYNISDSTQFTGGNPWTSRLPAVKEEDDSSSSSSIGKNSDAGGGDSDDEVQSTDNGPLNQLNDLEKVLPIKRGLSSFYAGKSKSYGNLEDASSVQSIQDIVKPEDAYTRKRKNMIAHTVLFEKLRKSSASSSKRLANSTTTGTHARSLPPLPPNTTNESSASSSPSPSPSASASPSPRMYYFPWRSLSLSDLHHASSITDSVINERDKEDEH >cds-PLY84030.1 pep primary_assembly:Lsat_Salinas_v7:6:187593111:187594112:1 gene:gene-LSAT_6X114720 transcript:rna-gnl|WGS:NBSK|LSAT_6X114720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLFNIDVEIQSTVPAPKLFKAYHDFDKLAHKVDPETYKSVEIIKGDGGPGTVRNITFGDGLPFTSGKSKIDTIDEENLSLSYTIFEGDVLTDVLDSATHHVKFIPSADGGSTYKHTIVNKCKGDNKLTDDQVKLTKESFTKTFKAVEAHINANPNAY >cds-PLY66668.1 pep primary_assembly:Lsat_Salinas_v7:1:53883184:53884194:-1 gene:gene-LSAT_1X46781 transcript:rna-gnl|WGS:NBSK|LSAT_1X46781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSADNLNSEADDSSSNSFTSPPSSPNHKPKHPKTKTIKRSRDSSTKHPIYRGVRMRNWGKWVSEIREPRKKSRIWLGTYRNPEMAARAHDVAALSIKGNSAIINFPDLVGSLPRPISSSPRDVQAAAAKAAAMDTFDFPPPPSTVVSEPEELSEITELPILGTSFESAELNNEFVYIDSMVDGWLYPPHEWINKSGDDDGGHYSGQKNVVSNEFESLLWNY >cds-PLY74572.1 pep primary_assembly:Lsat_Salinas_v7:7:40141692:40141916:-1 gene:gene-LSAT_7X29041 transcript:rna-gnl|WGS:NBSK|LSAT_7X29041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDAEGNSKCFGFVNFENAEDVGKAVEGLNGQKFDNKEWYVGKAQKKYEREQELKQRFEQSMKEAVDKSQSIQL >cds-PLY88902.1 pep primary_assembly:Lsat_Salinas_v7:4:64401014:64401202:1 gene:gene-LSAT_4X45100 transcript:rna-gnl|WGS:NBSK|LSAT_4X45100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKDWSMTRNQNRDTSRKIPDNSGVAPWSDLNHDVLLLVIMQLELILCHSVEFASHIVRCKI >cds-PLY82227.1 pep primary_assembly:Lsat_Salinas_v7:1:67767217:67768790:1 gene:gene-LSAT_1X57780 transcript:rna-gnl|WGS:NBSK|LSAT_1X57780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVKSENVEEKVKVEEENVVATKVTVNPDTKLQVEEKKENKKVDTNKKKEAPVTINKELLQAATIFVDYLDKENASIRIGAITGLGLAYAGTQNEQIHDKLSQILSEPKAGFDVILFIAIALGLVYMETQNKEPAMINLMVVFMRTISSLQPSQTMIGHLLEMEVLDQSTSIAIFIHTCSCLLNQSIYGVRVNW >cds-PLY90359.1 pep primary_assembly:Lsat_Salinas_v7:2:199430074:199431754:-1 gene:gene-LSAT_2X120641 transcript:rna-gnl|WGS:NBSK|LSAT_2X120641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAYGHPMEREYSSRSLTSAEGSEMGSQFRMESVIYMSSCAATIFIGGLVIVGILLMTLLTALTVMLQSCQSREAGVIESFKYDHCKMESQHAEINNLEAYSLPGFCKEVAVKYIKEGHYMRELNASVSLIENYFNGIRPNDGGHDVVLMDIDDFLPADTLRINPLSYGYNRYGLNDCVREAKHMKHVFLIELYIKLKSNGWPLILLSRKPEKLQDAIVEDLISAGCGGWSKLIMRSNEEMKEDTRDYFSRQKVGIEAEGYHIRGVISSHMDALGGTIITTQNFKLPNPLPVAPPRSIMSD >cds-PLY73211.1 pep primary_assembly:Lsat_Salinas_v7:8:178725812:178730147:1 gene:gene-LSAT_8X115920 transcript:rna-gnl|WGS:NBSK|LSAT_8X115920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGRKELLKKTLKKTANAWKLIVNLRLSGDGTNIYDFTYVENVAHAHVCAERALALDGSASKGAAGEAYFITNMEPIQFSEFMSLILVGLGYESLQAKNQDCCICDDAYCKNGGTYLLSCEGAELVFHMVAPDSSINNYQLHHSINVQAEGEALVIKANGVNGLLTCCIRPSSIFGPGDKLLVPSLVAAARAGKSKKELRSPQESQHGDAVMEAITKKRNKSVLGKGSIQHYNFVYVNSKALAEQVTQEHFDNGLIYPSFTNICKISTHIAARVAAKAYELGSTRNRKNTNNSWAS >cds-PLY98151.1 pep primary_assembly:Lsat_Salinas_v7:1:141953998:141954998:-1 gene:gene-LSAT_1X104720 transcript:rna-gnl|WGS:NBSK|LSAT_1X104720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSLSPLQAFRSIVTGNLHPLLESLKLGSDFLSLKWIHECFQVLPILNNEFAKLMAEIDYPVSSWEAGSIDEYLDYTMSILELLNAINSSLSHLNQARVSLSHALSLMESTPAMGVERMREITLHDSIKGFKGSGGDEERNRKGKERIFHEAILVMKGTAFWVCGVVLYGLRSDVWPLMEIMKSGVVVDCCLMPLDSIFRNKIIEKRGLVKEVEDVNETVRMIVSKGIGDFDVAMELKRRLEMVRNGLKGLKEEEEGLFAEVMAARNEVLETLRRKNK >cds-PLY79284.1 pep primary_assembly:Lsat_Salinas_v7:4:371118579:371122258:1 gene:gene-LSAT_4X182720 transcript:rna-gnl|WGS:NBSK|LSAT_4X182720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNASKCHHPQGQQDNFERGTPVEFRNEIQTLSKIEHLNLVRFYGYIEHGEDRMILVEYVANGTLREHLDGKCGSGLESGERLNIMIDVAYAITYLHTYTDLPIIHRDIKSSNILITDKLRAKVADFGFARISVEDPGATHISTQVKGTAGYLDPEYLSTYQLTDRSDVYSFGVLLVEMVTGRLPIEINKAPNEKLTTKWVSVAETKRREVVLAMDPKLRRNPTALMVVEKVLKLARQCLATTRQLRPSMKRCAEILWRIRKDYHEYSEVMEATNHYVQVHVLVLVIFSWARLKFSGIIELMISDSIFLAFPLIVLTSVRLILMYQIINAIISGRDVLVIMVADGGKSLCYQLPSGLHHGIALVDQVMGLTALGILASMLTSPTSEDFRVVLDKELGLILKLRDMMKGFRASSDTLMTSY >cds-PLY74367.1 pep primary_assembly:Lsat_Salinas_v7:5:56735809:56736060:-1 gene:gene-LSAT_5X26841 transcript:rna-gnl|WGS:NBSK|LSAT_5X26841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGNHPNGVSHENMAINLLQTQLELPLIREEIANDLCDIRQGFNRYLDALNHELDDVHASQLDISNMVVDLKNRLSSLQVVYV >cds-PLY83901.1 pep primary_assembly:Lsat_Salinas_v7:3:49184954:49187985:-1 gene:gene-LSAT_3X38200 transcript:rna-gnl|WGS:NBSK|LSAT_3X38200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSRYRHKNLVSLLGFCDEGDEKILVYEYEFNGSLEKYLSSNSLTWGQRLKICIGAARGLEYLHNPLETQQRVLHRDVKSANVLLDQFWEAKIADFGLSKIGPANQEFTFLVSNAVGTFGYCDPLYAETNFLTKESDVYSFGVVLFEVLCGRLCIGKPDDKHRLLTKLAQISYEQGKLDDIIFHGLRGQMEPNSLRTYSSIAYRCLKREREERPNMAQVLEELELSLEYQASSTSPLSVFVIMIHKFDQQMLIKTDKLSFGFQESFQSGKPMEYEEIIQMADREQPLVYTNKRELKLLLSSGIRFDWGRRWFSLSKKEQNCEMISASEFTFQDPGIVQWIPHSTSRFSEVAKIERPHNLQIVVDIETLFLSPGITYAAYLVLKYCDTFSSSSHVDQSKMTREPVFLGLQFKFKDANESSFSHLADWTDNGWMILELCQFVSYRKVAKLELLLEGIPCYNFMLLEGIQFLPIEMQAIEEEPLVVVDNSNTMIDANWEQKLPNDYQYIIKAAKDRVPYNITNKEIYTLLSNGILTNKGQMLFSLDKDGIKCCMASARAFLEDNDGEFSRFSDLASFRWISLHESRFEKVAECQSGRVLRINCPINSHMLTPNTTYATYLVCKLPEVSHVALKCPLEVKDINFGSPNFNEVRFIYFRSPKTPLIMLTNSDQDSNQRPTMNPLSRPKIESMLRQRKDEWMEAQIWTFKADAATSVNVTFALTCNERERLTGLIVEGIEIRPK >cds-PLY65580.1 pep primary_assembly:Lsat_Salinas_v7:5:339019824:339020630:1 gene:gene-LSAT_5X190920 transcript:rna-gnl|WGS:NBSK|LSAT_5X190920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSPVALLSFLLLSLPLLYSAAPLTAYEALEQYDFPAGLLPLGVTGYTLNEDTGEFEAYLSETCSYSVEGYDLKYKSTISGKISKDKITDLKGISVKVVFFWVNIVEVTRDGDELSLSVGILSASFDISGFIESPQCGCGFDCNDIRIDEITEENKMDMVSVLSF >cds-PLY69702.1 pep primary_assembly:Lsat_Salinas_v7:5:211585476:211587920:-1 gene:gene-LSAT_5X97040 transcript:rna-gnl|WGS:NBSK|LSAT_5X97040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39530 [Source:Projected from Arabidopsis thaliana (AT4G39530) UniProtKB/Swiss-Prot;Acc:Q9SVA5] MNPQFSKIYKFSNFTSQIINRRSRKHELAKLLQSPSATDNPNSYFKSLHGQIIVSGSQSDTLLANILIKSYSKCNNLTYARTLFDEMPERDISSWSSMISSYTQHGYSEEAWTIFLMFQRSSNKTPNEYVLASVISATTQLGNVVKGSQLHSLVVKTGLDQDVYVGTSLVDFYSKINKIEDARLIFDNLPIKNARTWTTIIAGYSKVGKSEVSLQLLTQMKENNVVPDRYVLSSALTACSIIGFFKGGKQIHGFVLRREASMDISVSNALVDFYVKCGEVKTGRKIFDHMEFKNVISWTTMISGYMQNLFDKEAMNLFIEMTKNGWKPDGFACTSVLTSCASLEALNPGRQIHCYTIKTNLEKDEFVNNSLIDMYSKCNCLIDARRVFNGIQHHKVIGYNAMIEGYCRHENLDEALDLFHEMRVKSIDPSLLTFVSLLGVSASLTTLELSKQIHSFIIKFGISLDVFACSALIDVYSKCSFTSDARKLFDGMPERDIVIWNAMISGYTHVSESENAIKLYQELQLTYQKPNEFTYVALITASSNLVSLSHGHQFHTQILKTGLNLDPFVTNALLDMYAKCGSKKDAQNLFNSTFFKDIVCWNSMISTYAQHGDAKESLKLFKKMINEKIQPNYVTFIAVLSACDHMGLVKEGFDHFSTMGKFGISPGVEHYACMVSLLGRAGRVYEAKEFIEKLPIRPPAVIWRSLLSACGVVGNVELGKYAGDMAILNDPKESGSYVLLSNILASKGMWSEVKKVREKMEGNGVVKEVGCSWIEINNEVRVFIARDKSHREADLIYSVINSLIDHVRTHQTLV >cds-PLY89475.1 pep primary_assembly:Lsat_Salinas_v7:8:92100872:92101720:-1 gene:gene-LSAT_8X64680 transcript:rna-gnl|WGS:NBSK|LSAT_8X64680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNVTGKFFGVFGCKICMLPSNGFVYGCSICEYYVDVHCAFLPKEITHEAHPDHLLSRIDASSSLSETPCNACGYYLINCIAFYCPSCDFYLDIECAFLLPGMISHKYDDHPLNLRYDPAENHPSDYFCEICEDEFNPESWFYHCSFCVQSMHTACAPLILQCEQVVYTRYKRCIFYFANVKFGGTCLIKDHQHRLTFTQGIKCHGRCNHCGEELQYRMIFNCLECKYAVHRRLVTPTALVTGQDAAKFLHMPTIEIPSRIMKKRILSKQIKKKKHIYNALP >cds-PLY85190.1 pep primary_assembly:Lsat_Salinas_v7:9:148500035:148502894:-1 gene:gene-LSAT_9X94960 transcript:rna-gnl|WGS:NBSK|LSAT_9X94960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRERSSGLIIGISIGVVIGALLAIIGLLCFRYHRKRPQIGNSSSRRAAKIPIRTNGADTCTILSDSSMGTESSRTSVQTGIPVWFSGVKKGHVVAASGILEYSYKDLQKATYNFTSLIGQGAFGPVYKAQMTAGEAVAVKVLATDSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQHMLIYVYMSKGSLASHLYSENHELLSWDLRVQIALDVARGLEYLHDGATPPVIHRDIKSSNILLDQSMGARVADFGLSREDMINRNASNIQGTFGYLDPEYISTRAFTKKSDVYSFGVLLFELIAGRNPQQGLMEYVELAAMNTEGKVGWEEIVDSRLEGNFDEQEVNDVAALAYRCINRSPKKRPSMRDIVQILSRILTTRHNKTHHRRDSSVAGDEIALNVDELGRRSPMNSEHRRLESVDSTADSLEQV >cds-PLY79734.1 pep primary_assembly:Lsat_Salinas_v7:5:178578612:178579399:-1 gene:gene-LSAT_5X82340 transcript:rna-gnl|WGS:NBSK|LSAT_5X82340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVVQTSEVFVWVLIKSHNPNHKETITAHISYVASTRTPNIHVPDVPEGRKESHEGPLFLKVFVPGVFSGFVETVGSALKHYRIASVQIWQIWI >cds-PLY99065.1 pep primary_assembly:Lsat_Salinas_v7:6:150393388:150396433:1 gene:gene-LSAT_6X90220 transcript:rna-gnl|WGS:NBSK|LSAT_6X90220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHWPKTFPLNLLLLSLLVVHFSQASRLTLFNTLKLGSTVNEPQGNSTTWAVLVAGSNGYQNYRHQANVCHAYQILKRGGLNDENIIVFMYDDIANDPSNPRPGTLINSPNGSDVYAGVPKDYTGESVTAANFYAVLLGNTTGVTGGSGKVVASKPNDRIFVYYTDHGGPGTLGMPNMPYIFANDFIQVLKTKHASGSYDEMVIYLEACDSGSIMQGLLPDDLNIYVITSTNPSELGWATYCPDMNPPPPPEYDVCLGDLFSVSWMEDSDSQDLNTETLEQQYLKVKTRTLNSNYSDKGSHVMQYGTVSITNETVSVYQGSTPSNFSANPIQSLGSMDVVNQRDADLYSMFQKYKRSTDESEQKELLKKIKEIRTHREHLDSSVDMIQGHLLGNAKGSVRDEGSVLVDDWECLKSMVRIFETHCGSLTQYGMKHTRTFANLCNSGVTKDAMDADSEATCSSYNMGKWNPATVGYSA >cds-PLY92384.1 pep primary_assembly:Lsat_Salinas_v7:3:125525304:125526489:1 gene:gene-LSAT_3X86181 transcript:rna-gnl|WGS:NBSK|LSAT_3X86181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDIRNDSSSSGEEDGDAEWRAAINSVSSTTPSNGSAAVNGTTTTSTASPTRENQANSKSIKLYHIKAQKLLDDILEKTIEVVKHQPTKVAENHSDVNGGGVRLFRDAPVGILFDQTEELQGPLKRPRIIPNVEIDEKSRKFRKQIKSVVVEGDDILVSAKEGREKRLAKLEAMDAASKAKARREEERVADLKKIRGERWLPAIAREMRSNANVSEHSGV >cds-PLY97360.1 pep primary_assembly:Lsat_Salinas_v7:3:245084389:245088353:-1 gene:gene-LSAT_3X135180 transcript:rna-gnl|WGS:NBSK|LSAT_3X135180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNNEEQARSLLGVSLSTRPKWQQFLLCSSGFFFGYLVNGVCEEYVYNRLQFSYGWYFTFVQGWVYLGLIYLQGFTIKQIVNPWKTYVKLSVVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPPHEYISAFLLVVGLILFTLADANTSPNFSIIGVLMVSGALVMDSFLGNFQEAIFTMNPDTTQMEMLFCSTVVGLPFLIPPMILTGELFKAWSSCSKHPYVYGVLVFEAMATFIGQVSVLSLIAIFGAATTAMVTTARKAVTLLLSYLIFTKPLTEQHCTGLILMSMGIVLKMVPENKPMQIWASKIKELSSLSGEENTRTRTENNEEEQRPLV >cds-PLY98854.1 pep primary_assembly:Lsat_Salinas_v7:7:24518398:24533984:1 gene:gene-LSAT_7X20540 transcript:rna-gnl|WGS:NBSK|LSAT_7X20540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASSGRSINLLRVGIHCDDEACPPCDCKVGISIERIAWTSDNVNILVNAMELPIFEKKLNKVKVLLDKYSQDHNETPKKNKTKNKVDEPCGKISRLHVHHQTFLHLQLCYVGFLDFDIWSYEVYGSYSRSPVDIHVGEVDDSNYFLSPAFKFEQVVPLFMKAIGWQGGSILSLETLKCVVVAENRAWDALVAQGLRSVSCCVSKIQSSSSIQVDLDLDQGYRGLLVL >cds-PLY76234.1 pep primary_assembly:Lsat_Salinas_v7:4:54536207:54539345:1 gene:gene-LSAT_4X37140 transcript:rna-gnl|WGS:NBSK|LSAT_4X37140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFTVSYSGYVAQNLATASGKSNTCRIFHEVFSRPRIFQNPDRDPVAGYGPAATASSRSIPSASMSPTLDVGDRIMAEKVSYIFRKPEVLDIVIFKAPPILQEFGYSSGDVFIKRIVAKAGDWVEVRGGKLLVNGVAQDEEFILEPLKYEMKPMLVPEGCVFVMGDNRNNSYESHDWGPLPVKNIVGRLYAVISFETLWIMDLSSGIIQEMVKGSSKIIEICGQLIKEKSSLVKEILPSQQLPQNNFSVGGISRAGLLYSVFLAETLDVVQDIDWVISLGNAFAKQYELYTYDDEHSALLHRFLNCDSPLQLSECRLILVLD >cds-PLY82182.1 pep primary_assembly:Lsat_Salinas_v7:1:13854203:13854430:-1 gene:gene-LSAT_1X11240 transcript:rna-gnl|WGS:NBSK|LSAT_1X11240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYVRCLLFFQNYYLSSVDLHRILSNLGAVNTGGPSGVDLEKKMVKEENLQLPALAEDVIECHGGEESDGLARGD >cds-PLY98124.1 pep primary_assembly:Lsat_Salinas_v7:1:139072216:139074174:-1 gene:gene-LSAT_1X99240 transcript:rna-gnl|WGS:NBSK|LSAT_1X99240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITKVLESIGNLKHLRYLNFSYTRVTYLPEEVSELYNLQTLLVHDCYELSSLPKNFAKLINLRHLDIRNTPNLNKMPLGIGGLTSLQTLTKVIIEEGNGFKISDFKGLTDLQGQLYIMGLEKVINPVEAKDANLHQKKGLDVLEMEWSDVFDDSRNEMIEYEVLKELRPDLKLKKLKIFNNSGMRFPTWVGNPSFDQLTELTLRGCRSTHLPALGCLGSLRKLVVERMNEVKTVGFEFLEPPNSNLGIAFPSLECLKFDDMKAWQRWSINSGDGHGAPRSFPCLHEICIANCSELAEVSIGLIPALRVLYIEECSEAVLRSMVGLSSSLVELNMLNVKGLTQLHGEYLMHLRPLDHLYIENCDELRYLWERESEACMSLVSLQKLEVRNCKNLVSTAEKEANIGISMESLKSVKFRICETLESYNCPNSVEKLVIRDCDSMTSLTFSAVQEQPSPLTELIVGDCDNIQLQPRPIPAKGFSLSRLTSLQIGLCKNLKSFPHEQFQSLTSLDKMWLTDCPSIDYSFPCGVWPPNLSTLGIGGLNKPMSEWGPQNFPASLVELELFGKNSGVVSFAVADDVGNTTTPSSSSSSSSSFLLPPSLVSLRLIDFTDVESFSEVLQHLPCLKTLEILNCPKLGDLKTIYNPSNVTIYVM >cds-PLY84803.1 pep primary_assembly:Lsat_Salinas_v7:8:24108467:24113810:-1 gene:gene-LSAT_8X20800 transcript:rna-gnl|WGS:NBSK|LSAT_8X20800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:MRH2 [Source:Projected from Arabidopsis thaliana (AT3G54870) UniProtKB/TrEMBL;Acc:A0A178V659] MLNNGSSKHEKQHVTPPPSARTVGSGGAPSVRSKTPSSSASRRSVTPNSRSHTRQSDDDAEPGRVRVAIRLRPKNTEDLSDADFFDCVELQPELMKLKLKKNNWSSDSYRFDEVFTESASQKRVYEAVAKPVVEGVLNGYNGTIMAYGQTGTGKTYTLGKLGKDDASERGIMVRALEDIIASASPASDSVEMSYLQIYMESVQDLLAPEKVNIPIVDDPKNGEVSVPGAAVVKIQNLDHFLHLLQIGEANRHAANTRMNTESSRSHAILMVSVRRSVIDKEENDTSFQGKDDTSVLVGGHVIPTVRKSKLLIVDLAGSERLDKSGSEGHLVEETKFINLSLSSLGKCINALAENSPHIPTRDSKLTRLLRDSFGGSARTSLIVTIGPSSRYHTETASTIMFGQRAMKVVNYVKLKEEFDYESLCHKLENQIDILTKEVDRQQKSKADNTLRLENNLKECQNSFEEAQKSLIARCEVLEKDKSQLELEMKDVLKELNFQKDQNEIVCKEVERLERSLKHCEKYEVETSTYQKVLADTTQMYEKKISELMSQLDDERERSNNIDQQLNSMKNLLSGHEKSMEQYEIECSTYQKALAETTQMYEKRIMELVIQVEDEHERYVGLEDDMISLKKASSDHHSSLQEIDTLRKELQEMSQLHEAALDELELVKTEYKSLSSEKDKLSNELFTVREALSLEEKRRKAVEKELSSIKNAVPESEDDFEDKRPSMKENIARGSTNGAPLGLHNSNKSRESNSFQRTTIAKICEEVGLQKILALLQSADLDVQTHAVKVVANLAAEDINQEKIVQEGGLDALLILLRTSQNTTLLRVASGAIANLAMNELNQGLIMGKGGAALLAHTSSKTDDPQTLRMVAGAIANLCGNEKLHLLLIEQGGVRALLGMVRSGNNDVIAQVARGLANFAKCETRAITQGHKEGRSLLMDDGVLSWLLANSNTSSISTRRHIELALCHLAQNEDNTRDFVTSGGVKQLARISVESSRDDIRSLAKKILRLNRAFQAEVQHG >cds-PLY62092.1 pep primary_assembly:Lsat_Salinas_v7:2:57892364:57894087:1 gene:gene-LSAT_2X25961 transcript:rna-gnl|WGS:NBSK|LSAT_2X25961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMTYILTRNEDDDDNASSSETIETDDVGPWSYLNHDVLLLVMMQLGVIDFVAFSGVCKSWRSVALSNWKTFMESKPPMLALIPPNGHDDRQCWLVDSKGKEFRTIIPHSGGWGSVGLSCGYMILLRWETYDFWLVNPITRHELHFPPAPCVSDFISKITSVLFFSPSISRLVFVILASNQIWFSIENEGAWNRVSSTFDFTFKDLHVFKGRIYSVSNKGHFCELTLQPEPKLTLLETKSLLDEDVSFQELVSWGENIYVRENLKAYKLDFGEMEWVPFQYTGDEHAFAFFISHLSHSAAAKPESWGSEPGRCFINEGGGKARFFIAVEWYFPHECLNVNLLQDA >cds-PLY89582.1 pep primary_assembly:Lsat_Salinas_v7:4:366757493:366759518:1 gene:gene-LSAT_4X176921 transcript:rna-gnl|WGS:NBSK|LSAT_4X176921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKGPGLYTDIGKRARDLLYRDYQADHKFTITTYSPTGVAITSSGTKKGEQFLADVNTQLKRNNITTDIKVDTNSNLSTTIVIDEPAPGLKAILSFKVPDQRSGKLELQYLHDYAGICTSIGLTANPIVNFSGVIGNNVTAIGTDVSFDTKTGNFIKYNAGISFSNADLIAALTLNDKGDSLNASYYHTVKPLTNTAVGAEVNHIFSTNENTISVGTQHALDPLTTVKARINNHGKANALIQHEWRPKSLFTISGEVDTKAVDKSAKFGLALALKP >cds-PLY93351.1 pep primary_assembly:Lsat_Salinas_v7:9:60482969:60483603:1 gene:gene-LSAT_9X53401 transcript:rna-gnl|WGS:NBSK|LSAT_9X53401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNMLKNCFCCTSHEDQEPTISAQKKTDYPWNMYTLKELVHATNNFHNDNKIGEGAFGSVYWGRTMKRLKSINAKAEMEFAVEVEILGRVRQKNLLGLKGFYAGGDERLIVYGQLAVDCLLDWPRRMSIAIGSAEGLA >cds-PLY79844.1 pep primary_assembly:Lsat_Salinas_v7:8:18516399:18518464:1 gene:gene-LSAT_8X14540 transcript:rna-gnl|WGS:NBSK|LSAT_8X14540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNEIINYNLSLQGQLEKSCDTLESIGFDSENEMYHTLAFLAGVMIWSQKGGPYLAIYLWGFMFVLSLVMMTIYLVLIAPLFNKFTPVDAELKKELERWNELLKNEAVKLCQENYFTSGFFEGSESNSIVDSYELKILLSTTSVS >cds-PLY87394.1 pep primary_assembly:Lsat_Salinas_v7:4:12131896:12134900:1 gene:gene-LSAT_4X7920 transcript:rna-gnl|WGS:NBSK|LSAT_4X7920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKRVSLTPQSPAQPQQDLKHRVITCLNKLSDRDTLAVAATELESIALSLNHDSFAPFLTYLSATSSSDKSPVRRQCVRILGVLSITHGDALSPHVSKMLAAVVRRLRDPDSAVRSACVGAVSSIASEISKPSFSSLSKPLVEAVLTEQDQNSQIGSALCLSAAIEASPDPEPAQLQKLLPRVLKLIKSDGFKAKPALLTVIGSIAAAGGASSNRNSLNSLIPCLVDFLSSDDWAARKAAVEALGRLAVVEKVHLTAFRSSCLASLENKRFDKVKVVRESMNQTLELWKEIPGHLDEVPVSPQLNVISSSKDNGPPITSLQTPLKETIPSSRNSPIQRSSPTTTQSRSPPKSNYRKPNASIARKVDFEKETDSIVNIPVSMDCKEKFINKKMEPSERVDTDKTIILETEKRVTEQVISNKTREGNFGKPNGSRFGSRVVPFIDDCEFDINGNTIEYDYGNQKEVEDLSRIQKQLVQIENQQSNLLNLLQKFMGNSRSGMNSLETRVNGLERALDEISYDLAISSGRVSTTDSCCMGTEFLSPKYWWRTEGQYGPRSPFRGTHQSLSDRCPDITKLGSPTHTASPGRSFESLMNARKKKAAQVQNRNFDRFDGGSLGNCIQQRI >cds-PLY86110.1 pep primary_assembly:Lsat_Salinas_v7:7:139579646:139580187:1 gene:gene-LSAT_7X83101 transcript:rna-gnl|WGS:NBSK|LSAT_7X83101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVKDNLQDSMEAIGVGMMMVVVAVWGAQELYGYRSAGDECCGYAALERPISDPAQPTAALVGPNTLLAGKGMGRDELEAPAVGAGGGEGGGLWSTATPKLDLSFQAVDGMSLAKLSIFLFLLSMRRYSFPSTVQ >cds-PLY83223.1 pep primary_assembly:Lsat_Salinas_v7:9:122639327:122639596:-1 gene:gene-LSAT_9X77341 transcript:rna-gnl|WGS:NBSK|LSAT_9X77341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAATTAGEGGAFSHLCQRLEQQRCFLVSPASTTTAQGSSNLGGSIGLSGFVTSDNWLQQLLVFSVAEEGWHRLGETACLLDSSDRDTR >cds-PLY64462.1 pep primary_assembly:Lsat_Salinas_v7:3:16167787:16169359:-1 gene:gene-LSAT_3X11941 transcript:rna-gnl|WGS:NBSK|LSAT_3X11941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSLYLLYLLHSHRSLQITSRISSFQMRSKHSISRAQNVSSKRPLRQFVTSKDKSAGRNSKGRITIFHRGGGAKRSQRTIDLKRNTLSVGVVERIEYDLNRTSQITVVRWVEGAAFDRPKKVNSL >cds-PLY89902.1 pep primary_assembly:Lsat_Salinas_v7:8:68637001:68638578:-1 gene:gene-LSAT_8X48901 transcript:rna-gnl|WGS:NBSK|LSAT_8X48901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLRMSMAKSIARESKNRCILAFTRWTHAIAQPPPLGSAISRSAVVPPMVLPEFEKSTDTFNNEIGSGFYVGSGSMELMAVPKKKTSPHKRGIRNGPRALKPIPVIIRCKVCGRVKLPHFFCCSGLRDTNGQNDSTS >cds-PLY76258.1 pep primary_assembly:Lsat_Salinas_v7:8:33278189:33279658:1 gene:gene-LSAT_8X26380 transcript:rna-gnl|WGS:NBSK|LSAT_8X26380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDKSDSEVRARAAKLNLDCGEEEGNLLEGRDIHIHFPAGAVPKDGPSVGVTLELYYFVQHSADQFERLLKEICADEDGDLLIDSSIKRIPKVFVVSTLVNVAPAQPFIFRNYQYPVGTQQVPLTIVQVYLGGKMVLLWQITLQYLQYVKHTIYGHMQKRRSCFNRLLFCSNPIDERCDMELDETDHTVWLKLEASTEEYIQNNSTAFKKYCALKNPSSPPKSPTVPRQLYVPVRILHEKLHNSP >cds-PLY69167.1 pep primary_assembly:Lsat_Salinas_v7:5:285757708:285757893:1 gene:gene-LSAT_5X149981 transcript:rna-gnl|WGS:NBSK|LSAT_5X149981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGDALLVRGKASMKQNVKHLALEPNPGSWNRVSSPLLFDNLIGTGFIGGCVNECCGGYRK >cds-PLY98129.1 pep primary_assembly:Lsat_Salinas_v7:1:139373695:139378471:-1 gene:gene-LSAT_1X101021 transcript:rna-gnl|WGS:NBSK|LSAT_1X101021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVELHEISEGSSSSSSTHGRGYDVFLSFRGVDTRNSFTNHLYNALMHANITTFLDDEEIETGEDLKPELESAIKLSKASVVVLSRNYATSTWCLDELVLILEQRRTSKHLVIPIFYHVEPTHVRKQQSSFGDAMDKHRQKMEAEIDENKKIQWAQKMDRWNKALIEVADLKGKDANGRIEVELIDEIVNDIFRKLRIPSRFPLPQLIGMGNSIEFVTSWLKDASSHTTNLLTILGLGGMGKTSLAKYVYALHFHEFDTSSFIENITGRCDEKYNGILDVQKQLYNDILKRSSVQVHEVSTYTSMIENAVAHKKVFLVLDDIGSLDQLDALLGSKGFHPGTKIMITTKNAWLTKSCALFKRNIKPKYVEHKLEGLSMIESKKLLSYHAFMCNDPKVGYEEVSEKLVEYCEGHPMALKVLDIAETILKACDIETRSGITNLIDRCLLSHRQNNELMMHQLVQEMGRFLIREESPDKPGERSRLWCHEETFKVLKQKKATENVLGLTLDMRMLVKEKLHGSLELKTDALSKMDRLMLLQLNYVQITGSYKNFPEELRWLCMHGFPLKFIPSDLPMENLVVLDLSYSNIESFGICCSYPQRLHRRLTLPVNVKGLYLDENPIVSLPSCVRSLPRLETLSMRFCKKLTTVEHPPHTLTHLNLYSDKPMLQKLVLDQESSPLQLWIQWDRLPPSPFELQGMVKIEPMAGVEEKVLRSLGWTKPDILNKKCVGTATIFGEKEEFEIQMYYEFGIFSTLYEGEELPSWIMDRSTRSSISFTIPSSPNTFRGLNFCCVLTSRFALDKGLGSVGNVFIYIPVIIISNITKNLTWIYHHYIDVVYLGGMYLTFSNHWMFGMNEMECGDHVTITLNESQYDDGDAVIKECGVSFVYDDGEEEEDEDVLGYYKSWNHIIGGDLTPFEPTTGVYFLCKRRFCNSFFNMVSLGYDYQLGEGTNCKDDRLYFKALSQRKSGLPENAT >cds-PLY94513.1 pep primary_assembly:Lsat_Salinas_v7:2:156552106:156557368:1 gene:gene-LSAT_2X82001 transcript:rna-gnl|WGS:NBSK|LSAT_2X82001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNGNGNGSVATGKGSGGRNGLPKIQTQKRQNAICHDDTAPTVKAQTIDELHSLQRKKSAPTTPLDGVQGAFANLTEEERHKQQLQSISASLASLTRETGPKLVRGDPARQSETPRVSHAPDHHFAPTFSASDSSLKFTHVLYNLSPAELYEQAIHYEKGSFITSSGALATLSGAKTGRSPKDKRVVRDDTTEDELWWGKGSPNIEMDEHTFLVNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIVSARAYHSLFMHNMCIRPTAEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLGRREMVILGTQYAGEMKKGLFGVMHYLMPKRQILSLHSGCNMGKNGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSDKGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYLDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEEGVKEPRATFSACFGAAFIMLHPTKYAAMLASKMEKHGATGWLVNTGWSGGSYGSGSRMKLAYTRKIIDAIHSGKLLNANYKKTEVFGLEIPTEVEGVPSEILDPVNTWSDKKAYKETLLKLGGLFKNNFEVFLNHKIGKDDKLTQEIVGAGPKF >cds-PLY75898.1 pep primary_assembly:Lsat_Salinas_v7:9:198414889:198417807:1 gene:gene-LSAT_9X122560 transcript:rna-gnl|WGS:NBSK|LSAT_9X122560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNDNIQNFYTNWEHNGGFDHHYTESPNVSVSATLEGSSNNGGFEYQTDSNNRNLNLHPQGGSPIGLNLRKSNSLINLVEMTLSQERELHKNSSSNSKPTPEKLKASNFPALLLQIGSWKRVSRNEGDLVTKIYYAKKKLVWEFLDGPLKSKIEIQWSEISAIRALIYEGQPGHLEVEINQPPQFGREINPQPRKHTQWKQSTDFTGGQASICRRHSIVFAPGVLDKQYEKLLQYDNRLFNLSQQPFPINNYSFFYNDPNHYVDYSYMDPHHRPTNHFLRDHAPILPNLDEGTLRHVDEYQDRVHIQFEGNPTIPIGMQKHFLPYYEQDTIIPIQEPGMKMLSEESDYINNRIPGLLVNSRISIQESNYRISVPNPYADSWETGTENLGCSNGYQQFNPNWT >cds-PLY89176.1 pep primary_assembly:Lsat_Salinas_v7:3:22692667:22693675:-1 gene:gene-LSAT_3X16981 transcript:rna-gnl|WGS:NBSK|LSAT_3X16981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVVCSKKEQLALLKFKHNVKDDYEMLSSWVGNDCCRWERIQCDVVTGEVESLNLRGNKAYSYDDQGYQYLVGNEVNSSLAELRRLKYLDLSANSFGGSRIPEFIGSLKHLRYLNLSDAGFSGLVTPHIGNLSNLKVLDLCSNYRLMTDDVAWTFRLLSLEHLDLSAFDLSRTKNWDMLLYMIPSLKELCLSSCQLSNADVRPFLNSSKILPNIKHLDLSKNYFKGPLPGFFQNMTSLAFLDISHFNISLTCMELRTLAKLDPFFIRASFVKL >cds-PLY83342.1 pep primary_assembly:Lsat_Salinas_v7:1:61644580:61650163:-1 gene:gene-LSAT_1X52921 transcript:rna-gnl|WGS:NBSK|LSAT_1X52921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFHCRCFSFEYEPRSRGKSSSTETFYTASSDFKRSKSDHHTSSNFALKGNNLRVFTFGELKSATSDFSMSSKIGEGEFWSVHKGTVKSLECPFDEIQVAVKLANGRLPGHIKRLREASLLGVIDHPNLVKLVGYCVEDDETEINPPILVYEYMRNGSVEDCLSSATSTTHLSWTMRLKIAQDVARGLAHLHEGAEYQVIFRDFKSSNILLDDRWNAKLSFRWLSLHPHPLNYISTSSVTTGYSAPEYNQTFIETGHLTAACNVWSYGCFLYELITGRLPLDRNHSKNDLRIVKRVKSYLQSKRVTVDPRLEDDYSIKSAQKLWIIANRCLSKNPKSRPKMSHVLKMVTEKTFETGKSPVRTAKFIAHKEWIVVGSDDGFLRVYNYNNMESVVELKAHTDFIRSMVVHPSLPYILSASDDKLIKLWDWENGWECTKTFQGHEHYVMQVAFSPRDANVFASASLDCTIKMWNLGSSSSHLSIVGHSKGLNCVEFFETDEKLHFVTGSDDYTVKVWDYDTEVCIQTLEGHTNNITSILHVNLDFNLIITGSEDKTIHAWNATTYKLDQVFTSELGRVWTIGFIKDSSQVILGCDEGILVGHVIRACS >cds-PLY63731.1 pep primary_assembly:Lsat_Salinas_v7:9:84017842:84019404:1 gene:gene-LSAT_9X66461 transcript:rna-gnl|WGS:NBSK|LSAT_9X66461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSASYIHMVQHLIEKCLIFRMSKEECMEALSKHANIKPVITSTVWKELEKENKEFFESYLQSQSSTGASRQMSESETSELIQKMISDNKSNNSDKDDDDE >cds-PLY97118.1 pep primary_assembly:Lsat_Salinas_v7:4:71592622:71594140:-1 gene:gene-LSAT_4X48420 transcript:rna-gnl|WGS:NBSK|LSAT_4X48420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASERKLANPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQQIGA >cds-PLY98711.1 pep primary_assembly:Lsat_Salinas_v7:8:8854721:8855635:1 gene:gene-LSAT_8X7201 transcript:rna-gnl|WGS:NBSK|LSAT_8X7201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRDIGFDKDIWIDVSEAEMVGMLLYLSNALVAEVALQTHHIADSGGDPDTIYWIAIFEKVLGTRRGHVRGIEPKASSAAGTSASSQ >cds-PLY73431.1 pep primary_assembly:Lsat_Salinas_v7:4:180836094:180838468:-1 gene:gene-LSAT_4X105160 transcript:rna-gnl|WGS:NBSK|LSAT_4X105160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENSKWVATVASIWIQCSCGASYAFGIYSAALKSSQGYDQSTLDTVSVFKDIGANIGVLSGLLYHAVTNNHRDSTPPPSSRFGSGLSLVYLAGAIQCFAGYFLMWLSVTGVIDRPHVLFMCLFMFMAAHAQTFFNTANVVVAVRNFPDYGGTTVGIMKGFLGLSGAILIQIYQTLFYGKPTTFLLMLALFPTIVSLLFMNFVHENPSNTTHDKHHLNNFSLIALAIATYLMIILIFQNIFIFPSWAHFLTTIILLILISSPLQIALTAQRNEREESSSPTITPLIVASEAQVDSHSVVEMNLIQAMSTVNFWLLFLAMICAMGSGLATINNISQIGESLNYSKVKINAMVSLWSIWNFLGRFGGGFVSDLILHRYSWGRPLFISLTLAAMVIGHLIIALGGSLYFGSVIVGVCYGAQWSLMPTITSEIFGVKHMGTIFNTIAAANPVGSYIFSVRVIGSIYDKEAREEGGSCFGIHCFMVSFFVFAGVCVFGMFVSLVLFFRTKGFYVLLMERRSKHLE >cds-PLY87983.1 pep primary_assembly:Lsat_Salinas_v7:6:172144691:172145889:1 gene:gene-LSAT_6X105921 transcript:rna-gnl|WGS:NBSK|LSAT_6X105921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQSKKLKNLQSIFKDKAKIIKATFSITNRTTSSIQIAVLRATTRSAQSPPHDHHVSTLLTLGNTTRHSASACISAILHRLHHHHQPNVYVTLKALLILHYMITRGSFSIKEQPLLQPMSNTGFESLNLSRFMDNTDMQSREFAVWAQWYASFLENNLSTSTILGCFLSSSKMEIEKKKEKLKFSLYMDLFKEIEALVLIIEEICKAPNSLNCQTNDIVYEVMRLVGEDYRMIQYHMMIRLTELSERLHNLRTNELTGLTRCLERLEGCKGRLTELFMNRKRNESFWELVSEVISELMRLKKYAEMKSVSRKMIEYASESTELKTSRYFGLLPYGENNYWLTLTR >cds-PLY77024.1 pep primary_assembly:Lsat_Salinas_v7:6:175727031:175729719:-1 gene:gene-LSAT_6X107640 transcript:rna-gnl|WGS:NBSK|LSAT_6X107640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSLTVMWDGSLVVAVNNKGTCYVWRLLRGTQASGILKQNEVNSKSSTKMESPIPIPMTEYSDLDRVGLEGTGAGLVDMRKLLENGLNLAKSLLSEMGQCTTAYPYNQLFGALVLKNYERQDETLLSWNLMYIVD >cds-PLY92924.1 pep primary_assembly:Lsat_Salinas_v7:3:112935745:112936220:-1 gene:gene-LSAT_3X82761 transcript:rna-gnl|WGS:NBSK|LSAT_3X82761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENFTRSLSGSVWSSVDANRVWRRTTHGGFDGGRSMRTVRLGEDNHGRFSKIKKMFNFISSKKNGKDASKAYRSSKISSSNDEFQNRLLVEIYKNMSSIHELGST >cds-PLY96310.1 pep primary_assembly:Lsat_Salinas_v7:5:192341439:192345389:-1 gene:gene-LSAT_5X84941 transcript:rna-gnl|WGS:NBSK|LSAT_5X84941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPREIINLQVGQCGNQIGMEFWKQLCLEHGISKDGILEEYATQGGAGDRKDVFFYQADDQHYIPRALLVDLEPRVINGIQSGEYRDLYNHENIFISQEGAGAGNNWASGYEQGKHFEEDLMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYILETLNDHYSKKLIQTYSVFPNQNETSDVVVQPYNSLLTLKRLTLNADCVVVIDNTALNRIAVERLHIQNPTVSETNSLVSTVMAASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMAGYTPLTVERQANMIRKTTVLDVMRRLLQTKNLMVTCNARTKQASQAKYISILNIIQGEVDPTKVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVRTAHRVSGLMLASYTGIRHLFSKCLSQYSLLRKRQAFLDKYKSFPMFADNDLSEFDESKDILDSLVDEYKACESPDYIKWGMEDPHNLLTDEGTDIGSIDPTYQYQ >cds-PLY96843.1 pep primary_assembly:Lsat_Salinas_v7:2:110727790:110730426:-1 gene:gene-LSAT_2X51540 transcript:rna-gnl|WGS:NBSK|LSAT_2X51540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAYDMEYEQDDGTQVYELDTEDGQNKDIDYNSHSDSEEKKSKAHFLQGHLRRGGISSDKIVESSETTPISLKTKEPSKPVTPVIAKPTKKQVQTNSATATPDTQLISTKSATVVPEIISHQEHQHQNSKKRKPTYISSDALLKNISSNKNKKNA >cds-PLY67215.1 pep primary_assembly:Lsat_Salinas_v7:6:137170766:137171251:1 gene:gene-LSAT_6X82520 transcript:rna-gnl|WGS:NBSK|LSAT_6X82520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPLIVETFCEALGGFSDSQGSGDSSDSDDSDFIVDEDKLLNDPKVEMHDFYLNINDNLEWVGDTPITIENVVMSDAEMEVINTNVLQSESSSDEGKMSKRRNKIWAAKRAQINDADQVSDPFYILQTFSSSHEAKDRIYLHAIETRRELDIVKNDKTGSG >cds-PLY93797.1 pep primary_assembly:Lsat_Salinas_v7:6:142050290:142058357:1 gene:gene-LSAT_6X84660 transcript:rna-gnl|WGS:NBSK|LSAT_6X84660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQINRRGRELWGFMAIRTTEKETRGKGRISGVLIDRGKKKNEDTAVFGGSSSSNTTVDGGGFSRLLKANSKNINEKKPCKRFVLYYHDILFNGTNASNATSAATTNDTKLGNFKLGKLVIFDDPMTKDNHLLSPPLARAQGFYFYDMKTTYNAWFSYTLIFNSSEHKGTINIMGADMMDEETRDLSVVGGTGDFFMTRGICTFRTDTFEGAAYFRVQMDIKLYECY >cds-PLY67353.1 pep primary_assembly:Lsat_Salinas_v7:3:153792543:153794362:1 gene:gene-LSAT_3X97620 transcript:rna-gnl|WGS:NBSK|LSAT_3X97620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKPHVLIIPYPAQGHVIPLMELAQRLVDQEVKVTVVNTEVTHKQVTSNSLENDGFSDQLQSVSIPDGLEPWEDRSDLCKLTVSILQTMPGKLEQLIDTINKEDNSKVTCIIADNCMGWAIKVAKKMGIRRATVWPASVTTLTSMLSCQKLIDDGIINNNGIPLNQQMIKLSETMPLIKPTNLWWTRFEDLPTSEAFFEVVKEAAEASRLTEWHLCRSTTELEPGALNLFPQLLPIGPLLASNRRADQVGHFWQEDSTCLAWLDQQPPCSVIYVAFGSFTIFNQTQFEELALGLELSNRPFLWVVRQGMTKETTAAYPDGFVERVGSRGRILSWAPQQKVLAHPSVACFVSHCGWNSTLEGVTNGIPFLCWPYFADQFQNEIYIRDIWKTGLGFEKDEAGIIKRGEIKGKVEQLLGDNTFRAKAMDIKEKVTSSIREGGCTHQNLCNFIEWIKEKDTDAKKQFDTI >cds-PLY69412.1 pep primary_assembly:Lsat_Salinas_v7:5:301878457:301880611:-1 gene:gene-LSAT_5X161480 transcript:rna-gnl|WGS:NBSK|LSAT_5X161480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like tyrosine-protein kinase PXC3 [Source:Projected from Arabidopsis thaliana (AT2G41820) UniProtKB/Swiss-Prot;Acc:O22938] MINLQELILSGNSLFGEIPVSVLSNKNLNKIDLSNNRFNGSIPQSVCNSSRLQYLLLGQNSLRGEIPNEIGNCVKLLELQLGGNYLTGTIPPEIGRIKNLQIALNLSFNHLSGSLPPDLGKLDKLVSLDLSNNQLTGNIPLALKGMLSLIDVNFANNRLSGPIPTFTPFQKSPNSSFWKNEGLCGYPLNSFCGNSNGSDPSFHHKVSYRIVLAVIGSGLLVFLSVTVVVLLFMMREKQEKAAKTVGNEDEEIDDNTNKPLVICGNVFVENLKQAIDFDAVVKATLKDSNKISSGTFSTIYKAEMPSGLTLSVKRLKSVDKTIIHQQNKMIRELERLSNLCHENLIRPIGFAIYEDVALLLHEFLANGTLVRFLHESSKEPGYKPDWPMRLSIAVGVAEGLAFLHHLAIIHLDISSGNIFLDSDFKPLVGEVEISKLLDPSRGTASISAVAGSFGYIPPEYAYTMQVTAPGNVYSFGVVLLEILTTRVPVDEEFGEGLDLVKWVQGAPGRGETPEQILDAKLSTVSFGWRKEMLAALKVALLCTDTTPAKRPKMKKVVEMLQEITENK >cds-PLY95543.1 pep primary_assembly:Lsat_Salinas_v7:6:173758098:173759581:1 gene:gene-LSAT_6X104840 transcript:rna-gnl|WGS:NBSK|LSAT_6X104840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase subunit 6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G08480) UniProtKB/Swiss-Prot;Acc:Q941A6] MATEGASEQSLWQGFKDFWSERFRILDGYHPYIRRQNPLPSWSSSDVEEFIASDPVHGPVLKTTRDAVKFLAVGGILGAVSTGGFAWKYSKSPHGAALSLAAGAVFGMSFGQEIANHSLQLYRLDTMAAQVKFMEWWEKKAGGRF >cds-PLY67112.1 pep primary_assembly:Lsat_Salinas_v7:4:242250823:242251868:-1 gene:gene-LSAT_4X129880 transcript:rna-gnl|WGS:NBSK|LSAT_4X129880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRSISFAEDQVNGGDDVDGGKMKKKIREDLVPDTEKGTGETVESPPETPDTAAGVLLDENLLYEVLKHVDARTLGAAGCVNKQWHRTAQDERLWELICTKHWANIGCGNNQLRSVVLALGGFRRLHSHYLWPLSKPSTSAATSSSSSTVAAAASSSAWPCLPPPRTIVPSKPTTTAAKTRWGKDEVQLSLSLLSIRYYEKMNFNNRK >cds-PLY75047.1 pep primary_assembly:Lsat_Salinas_v7:2:68481636:68483578:-1 gene:gene-LSAT_2X30380 transcript:rna-gnl|WGS:NBSK|LSAT_2X30380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTKALEPAFQGVGSKPGLEIWRFENFQPFPLLKSDYGRFYMGDSYVVLQDEAGTAAIKTVELDAILGGRVVQHREIQSHESDKFLSYFKPCIVPLEDDGKLQEEGYSGELWVIFGGFDPIGKKVLGDDDIVLEWTPDKLFKYESPFGFLYSLSDKLSLHNSKFVFL >cds-PLY86732.1 pep primary_assembly:Lsat_Salinas_v7:2:197127502:197127798:1 gene:gene-LSAT_2X118801 transcript:rna-gnl|WGS:NBSK|LSAT_2X118801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTDDIGRSDWMTVDPPLGNIMVLGPPQVDDFEALGEGFDDHEIFIGLKDREDENCENNVHEVGKDS >cds-PLY70508.1 pep primary_assembly:Lsat_Salinas_v7:1:72627551:72628471:1 gene:gene-LSAT_1X65041 transcript:rna-gnl|WGS:NBSK|LSAT_1X65041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIWRLCEKFGNLVEVSIVGRRDISGSFFGFVWFADVVSPDMLVNQLCGIVCRGKKLKANCAKHARSEGRDAYLFHLSSPKENPPPPGNVRGVSSHVGSGGIDSHSFADVTRVLGSGKVPASAPPLVFEGSLEIHDWASKSNLVGEAQNFDTLYNFLSPVELEGYDISESKFLGGLQVVIKLTYDRADEAFKANKSNWHKWFNWVESFGGIENSFERIVWVKIVGVSILACDEASFSSIASNFGKVLSNLNPFWNNFDVSQAKVCILTAFRRKLNEAMEVSFNGIIFKIGVFEVDDDWIPFKPFKP >cds-PLY82005.1 pep primary_assembly:Lsat_Salinas_v7:9:157281759:157282680:-1 gene:gene-LSAT_9X98381 transcript:rna-gnl|WGS:NBSK|LSAT_9X98381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWTWKEEHLDIILVPSGLLIMCVYHLFLFYRYLKHPETTAIGYENHNKKAWVEKMLLIDTKDRGFVVAVLNSHLSASTSLCSISLVLCSLIGALLGNSSNNFLTSTFIFGDTSKSTSSIKYIGILSCFLLAFACFVQTTRHFVHASFLISMPTGSVPVLCIQKSVIRGNNFWTVGLRALYFAITLLLWIFGPIPMFVGSVATVIVLHFLDVNKAPMIEYGSHKGSTSDHLRKIGHELASVVRPFEHNGRP >cds-PLY62117.1 pep primary_assembly:Lsat_Salinas_v7:5:39083161:39086862:1 gene:gene-LSAT_5X18841 transcript:rna-gnl|WGS:NBSK|LSAT_5X18841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPDLFKATVAGVPFVDVVTTMLGPTIPLTTAEWEEWGDPRKEEFYFYMKSYSPVDNDVIDKDGEGFTMVTKKSGNAGTATMKGYGTGDIHPNVSGSKDKQGQSSPNSMEKISAESCPASDLLVNNSDSGQTEAIESKCDTRVNSNLGNHDCLNPMNDILDTIEVKKQGRKERRHKEAQDVLAAATTAIAASSRLSSFRKDTFEESAHHENCYFDLRNNCHKRITLPYTGDKYLLHKQSTKWITVMTMAPPRLEKGAGVLDKPVIEKTTPGRESEFDLRKSRKMAPPYRVMLHNDNYNKREYVVQVLMKLTRIGRDAVLYVESLIESIMGGLEGLINILDSEGASTRSQLKLQMAFDGQERYMKRSWEPSDKADLHFVYKDVEGVSTQWDDIQRKLRNLPPKPSAFKPDPFTPAEDEDSKPKTKSRIDNKTEELKDLEDDLDDSCFLEEYKYLQLP >cds-PLY65930.1 pep primary_assembly:Lsat_Salinas_v7:4:137171276:137171667:-1 gene:gene-LSAT_4X85301 transcript:rna-gnl|WGS:NBSK|LSAT_4X85301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSIHRSIASIFTRPNVGLNNLNSDLQQWRGIRVKILNNNLERGLTYMQRIMQSSGIERMIKNEQLYHIKNSEKRILARKNLQRRLKSQDLARKLKSILVRKVRYEDAFLIVLSLCNTCF >cds-PLY61742.1 pep primary_assembly:Lsat_Salinas_v7:5:216522886:216534477:-1 gene:gene-LSAT_5X99761 transcript:rna-gnl|WGS:NBSK|LSAT_5X99761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ homolog subfamily C GRV2 [Source:Projected from Arabidopsis thaliana (AT2G26890) UniProtKB/Swiss-Prot;Acc:F4IVL6] MDFVFRHTSDHTSHPPPEASTSSPTSPSRPPPPQPSSIEEPEYLARYLVVKHSWRGRYKRILCISNYTITTLDPTTLSVTNSYDVSKDYEGASPVIGKDENTLEFNVNVRTDGRGKFKGTKFSSRYRASILTELHRIRWNRIGTVAEFPVLHLRRKTAEWAAFKLKVTYVGVEITEQKSGDLRWCLDFRDMDSPAILLLSDAYGRKNAEPGGFVLCALYGRKSKAFQAASGTSNSTIVANLTKTAKSTVGVSLSVDSSQSLTVSEYLQRRAKDGVGAEETPCGGWSVMRLRTAAHGTLGAPGLGLIVGPKGGLGEQGDAVSRQLILTKVSLVERRPENYEAVIVRPLSSVSSLVRFAEEPQMFAIEFNDGCPVHVYSSTSRDGLLAAVRDMIQTEGQCSIPVLPRLTMPGHPIDPPCGRAHLQFPQVSQRSRADMETATMHLKHLAAAAKDAVAESGSIPGSRAKLWRRIREFNACISYIGVPPNIEVPEVTLMALITMLPAAPNLPPESPPLPPPSPKAAATVTGFIACLRRLMASRTATSHVMSFPAAVGRIMGLLRNGSEGVAAEASELIAVLIGGGPGDNNLLTDSKGDQHATIMHTKSVLFAHQGNLVILVNRLKSMSVSPLLSMSIVEVLEAMICEPHGETTQYSVFVELLRQVAGLRRRLFALFGHPAESVRETVAVIMRTIAEEDAIAAESMRDAALRDGALLRHLLSGLFLPVGERREVSRQLVALWADSYQPALDLLSRVLPPGLVAYLHTRSEGDAPEEDYPEGSVMSSRRRRLLQQRRNHTVKGVNSPRNSELPSTGVPSIQPSSTVFTGENLSSEIPSVDQSTAIGSPDVQSVSDTSFLESVVTNSVDVENASGVQNLDLIAPAQIVIENTPVGSGRLLLNWLEFWRAFSLDHNRADLIWNERTRQELRSALQAEVHKLDVEKERTEDIVPGVVSVSVSSAPSETNGGQDGQPQISWNYTEFSVRYPSLSKEVCVGQYYLRLLLESGTNARAEKFPLRDPVAFFRALYHRFLCDADTGLTVDGAVPDEMGASDDWCDMGRLDGFGGGGGFSVRELCARAMAIVYEQHYVTIGSFEGTAHMTVLLDRTDDRALRHRLLLLLKVLMKVLSNVEACVLVGGCVLAVDLLTTVHEVSERTVIPLQSNLIAASAFMEPLKEWLYIDKDGAQAGPVEKDAIRRFWSKKDIDWTTKCWSSGMADWKRLRDIRELRWALAVRVPVLTPPQVGESALSILHSMVSSHSDIDDAGEIVTPTPRVKRILSSPRCLPHIAQAMLSGEPAIVEVSAALIKAVVTRNPKAMIRLYSTGVFYFALAYPGSNLLTIAQLFSTTHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPTAFAAAMVSDSDTPEIIWTHKMRADNLIHQVLQHLGDFPQKLSQHCHCLYDYAPMPPVTYPELRDEMWCHRYYLRNLCDEILFPNWPIVEHVEFLQSLLVMWREELTRKPMDLSEEEACKILEISLSEVTRDESDKSYSNGNLEDTSSLSKRIENIDEEKLKRQYRKLAMKYHPDKNPQGREKFLAVQKAYERLQATMQGLQGPQPWRLLLLLKGQCILYRRYGDVLEPFKYAGYPMLLNAVTVDDDDTNFLSPERSPLLVAASELTWLTCVSSSLNGEELVRDGGIQLLARLLARCMLVVQPTTPGNEPSAIIVTNVMRTFSSLSQFESARTEVLELTGLVEDIVHCTELELIPSAVDAALQTIAHLCVSSELQNALLKAGVLWYLLPLLLEYDSTAEEHDTTTEAHGVGVSVQTAKNLHAIRAANALSRISGMAVESPTPYNKEAADALKSLLTPKLASMLKEELPKDLLIKLNSNLELPEIIWNSSTRAELLKFVDQKRATLVPDGSCTLKDSHGFLYEALSKETLIGNVYLRVYNDQPDFEISEPESFCVALVEFISKIVHNEIANVPESTHELETNHDEEKTTDDSVNKEDLGVVGNLQLGLTSLQNLLTSDPNLASVFSSKEKLLPIFECFSVPVPSGSKIPQLCLSVLSRLTTHAPCLEAMVADGSSVLLLLQMLHSSASCREGALHVLYALASTPELAWAAAKHGGVVYILELLLPLREEVPLPQRAAAASLLGKLVGQPMHGPRVAITLARFFPDGLVSIIRDGPGEAVVSALEQTTETPELVWTPAMAASLAAQVATMASDVYQEQMKGRVFDWDVPEQASTQQELRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHHDIHGPDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLLSAVAFEARREMTMSSEEESRRADENPSQTPQELVRLSCLRVLHQLAASTTCAEAMAATSVGTTQVVPLLMKAIGWQGGSILALETLKRVVVAGNRARDALVAQGLRVGLIEVLLGLLDWRAGGRNGLCSQMKWNENEASIGRVLAIEVLHAFATEGAHCTKVRDILDSSEVWAAYKDQKHDLFLPSNAQIASAGIAGLIEHASSSRLTYSLTAPPPHPNSSTKSPTSESNGLS >cds-PLY64785.1 pep primary_assembly:Lsat_Salinas_v7:2:101641640:101643903:1 gene:gene-LSAT_2X46681 transcript:rna-gnl|WGS:NBSK|LSAT_2X46681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTTPEDVLKGSNRSKVVHSGVGEHSRVIHRDLKSANILLDANLEANICDFGLSRLSPRNQQDTLVCTTPRSSGTRFNQRRKDGWMEIKLGEFIKYNEGDVSEVEMEGIELWLKSTDQYLPFVKHEYTHTQTEAEQYDQ >cds-PLY84279.1 pep primary_assembly:Lsat_Salinas_v7:1:45361516:45362620:-1 gene:gene-LSAT_1X39460 transcript:rna-gnl|WGS:NBSK|LSAT_1X39460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMRQILNEIRGMKVKDAAAHVKPLLTVSNLKTSTQRALDNYHVKYIQTDSIQPLYHLCFGGMIFSYLIALPEERRHLEHQQHIKEHGGH >cds-PLY90699.1 pep primary_assembly:Lsat_Salinas_v7:8:143246089:143247876:-1 gene:gene-LSAT_8X96801 transcript:rna-gnl|WGS:NBSK|LSAT_8X96801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALKEFLPPAKSSSSTFYDHASDPWFKRRYSTPTENEHAEIIKNKNNSVPLYNTSERLKYRPLKPHDFGDGGAFPEIHYAQYPLDLGRKKYSSSGPKTLPVTVDKHGNLTFDAIVKQNENASKIVHSQHKDLVPKILKDEEDEEEVEKQKKIEETTLQTKAALERIVNVRLSAAQPKHVTTQSQDSKFIKYKPSQQSSAFNSGAKERIIRMVEMPVDPLDPPKFKHKRVPKANGLPPVPVMHSPPRPVTVKDQQVWKIPPCISNWKNQKGYTIPLHKRLAADGRGLQDVQINDNFAKLSEAFYVAQQKSREAVSLRSKLQKETMMQEKERKEQELRALAQKARSERTGTATGAPPAAPPPPPSGKTMMDVDERETRETREERIQREKIREERRRERERERRLEAKDAAMGKKSKITRDRDRDISEKVALGMASGGAARGGEVMYDQRLFNQEKGMDSGFGTEDQYNVYDKGLFTAQPTLSTLYRPKKDADGEMYGGADEQIEKIRKTDRFKPDKGFTGANEKAGPRDRPVEFEKEVAEEADPFGLDQFLTQVKGGKKAMDKVGSSGTMKASGGASMREGYEGSGRSRIGFERGR >cds-PLY80350.1 pep primary_assembly:Lsat_Salinas_v7:7:156012279:156012722:-1 gene:gene-LSAT_7X92301 transcript:rna-gnl|WGS:NBSK|LSAT_7X92301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKPVYEFSPNINIIKGPHASDATIIIQSTNVAFSESQRSSRILESKILSRYAFYDFTEPFYPKQVCEFYYTCSVDTIARTMSRTIRDGQYRVIIDMETIRTALRRTLYDRYLKTPSEDNCKIVREKLGYSFKIKGLTHDSYKNTLR >cds-PLY93250.1 pep primary_assembly:Lsat_Salinas_v7:6:160878320:160879443:1 gene:gene-LSAT_6X98321 transcript:rna-gnl|WGS:NBSK|LSAT_6X98321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVIKDCAKIGVSFKANAFNSNIPLSFQHPVHGYVMNIVDETDVHQLKNVISETNEIVHLYLEVFNGWVEYTEAVDKIVPYSVEYIASDNVEECPKEETVAVNTMEEENLYDFGRLCYKTLSDDEVSNEGL >cds-PLY98993.1 pep primary_assembly:Lsat_Salinas_v7:7:49766752:49769297:1 gene:gene-LSAT_7X34581 transcript:rna-gnl|WGS:NBSK|LSAT_7X34581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAAEGSQFDTRQFDTKMNELLSTDGQDFFTSYDEVYDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFTKGLDVIQQAQSGTGKTATFCSGILQQLDYNVVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSAGVHVVVGTPGRVFDMLRRQSLRSDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKVQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTLEDEKMLSDIQKFYNVVVEELPSNVADLI >cds-PLY65277.1 pep primary_assembly:Lsat_Salinas_v7:1:151819044:151822656:1 gene:gene-LSAT_1X105921 transcript:rna-gnl|WGS:NBSK|LSAT_1X105921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQDLVRRHQNFLPYTLLYHIWICPFCFQQNQFPHHFIVYLKQVFLQNSTGRIGYAILEEGKDFGKLVEGLSWMSNGASATSPLPTPTTSSNFSVLQLLLRDKLTRPNYLE >cds-PLY61838.1 pep primary_assembly:Lsat_Salinas_v7:6:58528755:58531442:-1 gene:gene-LSAT_6X45160 transcript:rna-gnl|WGS:NBSK|LSAT_6X45160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPCIFVIFSLLLPLFVTTTASQLGGGGDDTNDVMKKKCLDKERDALLLIKASLYDPSDTLSTWTPDDHDCCNWNGVMCSKQTGHVTRLDISLGDLEGEISPSLLNLTYLNLLDLSGNSFHGTIPTFIGSLTRLIYLNLGQNKLNGTIPRSIGSLKNLTYLYLSDNSFYGTIPPELGNLTNLQYLDLSNVGMCRVENIEWLSHLSLLEWLTMDGISLAKANEWVDVILSLRKLSYLSLGGCELSQVMYPYSSSFLNSSSSSSSIESLHLGNNNLNSSMYRWLRLLASNKLHQLHLSGNMLDGIPKYLGSLCTLEYLFFYNNSVVVKLPDFLNNLSGCTSLSLQSLVAYNSQFTGSLSDEIQKFSSLHSLYLSHSHLNGSISEKLWELPRLQTLDVSFNDLRVPLTYHLSGLSYVKDIDLCSCKLGPGFPKWIHTLKNLTRLDLSNNGISDTIPLEFWDMSSSQLHYLNLSSNNISGEVPDLSSNFDNESVIDLSSNSFYGPIPNLPSTLSSLNLSRNKFSGGISFICQFVDGLLEFLDLSHNSFIGQLPNCLWHFKELKVLSLGHNNLFGRLPPSIGSLIQLDVLYLYNNNFSGELPLSLTNCTSLISLNLGANKFSGNVPVWIGENLSKLYVLILRSNNFFGTIPLHLCQLANLQLLDLSMNNLHGAIPSCLSNLTSMVQQGFLQDVDYYRDVGNYASDHQIYVDHAMIEWQGYEREFFSTLKLVKSIDLSSNNLTGQIPHEITSLHDLISMNLSKNVLSGEIPQKIGEMKNLLTLDLSRNNFSGRIPSSMSQMSLLNDLDVSFNNLSGRIPSSTQLQSFPPSTYSGNARLCGPPLTKKCPGEEESKVPPLIGKGEGKEEDIDELEKWFYIGGGMGFATGFWIACGSLLLNRRW >cds-PLY94679.1 pep primary_assembly:Lsat_Salinas_v7:6:19617790:19618420:-1 gene:gene-LSAT_6X13680 transcript:rna-gnl|WGS:NBSK|LSAT_6X13680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVVTRMVSERPVVIFSRSSCSMSHTIKSLLFEFGVNPTVYEVDEIPRGREIEQALLRLGRNPIIPAVFIGGEFVGGANEIISLHLQQSLQPLLKNAGALWV >cds-PLY71360.1 pep primary_assembly:Lsat_Salinas_v7:4:346083617:346085910:1 gene:gene-LSAT_4X170421 transcript:rna-gnl|WGS:NBSK|LSAT_4X170421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGKNAGAVPLTPSKSKHSSKSKLPENVNPNVTSPNPKALNSPSVKSATKVQKSAMKKPNQISSPSPKNKIRERKFVVAKKNSKRDKDKTLISVDCKCKASGNSDKCLCVAYETLRASQEGFFNRSEVAVQEENPSLPVGLQGKSEEDDVFETSGDKRRREKLLDEARKSIPEPGSGRVLHLVKAFENILTLPDSNEDEFEDQTNSNPERRFSSTSFSPSDLLLTAENLGLRSSLDGSHGSTSNRISGGGRQSRRNSSDSSSSSFGGSRWKRRTLKATSQRPFKLRTEQRGRTKQEEFMKKVQEMIIQQQKQRIPIAQGLPFTTDEPECLVKPPVKESTKPIDLVLHSDVRAVERAEFDHHVHEKLSYIEQYKLEREKQQKLEEEEELKRLRKELVPKAQPMPYFDRPFIPRRSEKQPTIPKEPKFHDHPHHKKIKCCMSWSDMHVQCQQR >cds-PLY67305.1 pep primary_assembly:Lsat_Salinas_v7:8:236400435:236401032:1 gene:gene-LSAT_8X142961 transcript:rna-gnl|WGS:NBSK|LSAT_8X142961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSGTYITICAAAILVMVFAGSQVATAVTCMVTELAPCAAAFSSPSPPSQQCCTKLKEQRPCLCQYMKNPSLKSYVVSPNAQKVATSCGLPTPKC >cds-PLY93947.1 pep primary_assembly:Lsat_Salinas_v7:1:182397314:182402176:-1 gene:gene-LSAT_1X118280 transcript:rna-gnl|WGS:NBSK|LSAT_1X118280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKHTLVSLLIIFALSISASNSKSIVKRLPGFDGDLPFSLETGYIGVGKDEAVQIFYYFVESERNPSEDPLLLYLTGGPGTSVLYSMMYQIGPLNFNLETSTEDNITLKLNPYPWNKVANMLFIDAPAGAGFSYSTTYEGTICSDSLLASYAYDFLRKWFTDHPRFLSNPFYVSGISYMGIIIPNVALNVYKGFSYSTTYEGTICSDSLLASYAYDFLRKWFTDHPRFLSNPFYVSGISYMGIIIPNVALNVYKGNERGNQPQLNMKGVISVSPLTDKFNDFNSRFEFAYRLSLISTDIYESTKQTCKGNYISNDVDNVLCWNNLQQVEEATSKINLENILDPMCDTTYTDPTCREARYVFIGIWANKKEVQNALHVREGTIENWVIKNGSIHYDMGKKDTECYSYDVFSTIPTHKQLLAKKCQYLIICGDHDMTFPHVGTEKWISNLNLPVEKQWEPWFVNDQIAGYQRTYVQREYSLKYATIKGAGHGVALYKPEEALTMVDGWLASQTYSSDS >cds-PLY99000.1 pep primary_assembly:Lsat_Salinas_v7:5:29160425:29162257:1 gene:gene-LSAT_5X14040 transcript:rna-gnl|WGS:NBSK|LSAT_5X14040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQTYGPLMLIRLGTVPVLVASSVDAAREIMKTHDLIFSNRPKLNIPGRLCYGSKDIAFSQYGEYWRQVKSIAVLHLLSNKRVQSYRQVREDEVSLMIESIREAHESVVNLSELLISLTNNVVCRVALGRTYDGKKFKGLLDRFLELLGSFTVGSYIPWLTWVDRLSGLERRADEVAKEFDEFLEGVIEERLNKKGVGVVTQYLVDILLEIQKDNTTGFHLERDAIKAIIMDVFSAGTDTTFTNLEWAICELLRHPQTMKRLQQEAREVGQGRSMITEDSLDNMPYLKAVLKETLRLHAPVPLLILRESTQDVKLLGYDITAGTQVIINAWAISRDGSTWEEPDKFMPERFLDNPIDYKGLHFELIPFGAGRRGCPGIQFAMVVNELVLANLVYKFDFTLTAGEDLDISESIGLTVHKKCPILVVATPRC >cds-PLY83679.1 pep primary_assembly:Lsat_Salinas_v7:4:40132780:40135214:-1 gene:gene-LSAT_4X26180 transcript:rna-gnl|WGS:NBSK|LSAT_4X26180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGASMGPSEASVAMYNLKDAYSFWDVLNNSPVWQDRIFHALAALYGIVSIVALVQLIRIQQRVPEYGWTTQKVFHFLNFLVNGARCLIFIFRHDIQELTPEIYQHMLLDLPSLAFFTTYALLILFWAEIYYQARAVSTDGLRPAFFTINGIVYAIQIALWLIIWWKPINTLVILSKVFFAGVSLFAAFAFLLYGGRLFLMLKRFPVESKGRRKKLQEVGYVTTICFTCFLLRCIMMCFNAFNESANLDVLEHPVLSFIFYLLVEILPSSLVLFILRKLPPKRGIIQYHAIR >cds-PLY79198.1 pep primary_assembly:Lsat_Salinas_v7:5:126216012:126217924:-1 gene:gene-LSAT_5X54481 transcript:rna-gnl|WGS:NBSK|LSAT_5X54481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWVRRLKVCLGVARGLAYLHDPGETQQRVLHHDIKSSNILLDENWNAKIVDLGLSRFCPANQKYTFIVTNNTVGTVGYCDPLYLESGILTKESDVYSFGVVLFEVLCGRLCFVNNGSFTQLVRKHYRQNNLNEFVWGNIRDEIHPSSFKAFSTIAYQCLKSDNEKRPLMSDIVRGLETALQYQVPLFKNMNERLLDDICQRLKPRFYTDNSYLIREGDPVNEMLFIVRGCLDSETTDGLFNSGFLKEGDFCGAELLIWAVDPESGVNHPSSTRTLKAARDVEAFALPAEELKFVVLHFRHWRTWAASFIQAAWRRYSMRKNKVASIRQIIAFRTIIQDMKIAKELLKLQKPREPYSRR >cds-PLY68021.1 pep primary_assembly:Lsat_Salinas_v7:6:99447133:99453263:-1 gene:gene-LSAT_6X66241 transcript:rna-gnl|WGS:NBSK|LSAT_6X66241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFFYMRISNVYIVIVVSSNANVACAFKFVVEAVSLFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPTDKPVPNATLQVTGAVGWRREGLSYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKVLMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPTKSGKSIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVTLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVIKIPVPKQTAKTSFQVTSGRAKYNASIDCLVWKIRKFPGQTEPTLSAEVELISTIAEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >cds-PLY69122.1 pep primary_assembly:Lsat_Salinas_v7:5:284854988:284855194:1 gene:gene-LSAT_5X150621 transcript:rna-gnl|WGS:NBSK|LSAT_5X150621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTANQEDLKQKWMRRRNMPMSPATMVVAGIVTAAAVGYFYYANKKRETVDGNRHYPENTPPPLARHP >cds-PLY70980.1 pep primary_assembly:Lsat_Salinas_v7:9:71184672:71187134:-1 gene:gene-LSAT_9X59260 transcript:rna-gnl|WGS:NBSK|LSAT_9X59260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENMGNVLMQKYEFGKMLGQGNFAKVYHGRDLKSGQSIAIKVIDKEKVLKVGLIDQTKREISVMKMIKHPNVIQLYEVMATKTKIYFAMEYAKGGELFEKVSKGRLKEDIARKYFQQLILAVDFCHSRGVYHRDLKPENLLLDEFGDLKVTDFGLSAHDSCTRVDGLFHTTCGTPAYVAPEVISRRGYDGGKSDIWSCGVILFVLFTGHLPFNDSNIIQMYRKISRADYKCPNWVPPEVRRLLKKILDPNPLTRISMKKIMENSWFKKGLDTKQREMSMSASADADRDISVKRDIVPVLAPIPVPTTPEVVHSCFWQEPKKLDFTKVSSFNAFDIISLSTGFDLSGLFVGNDEKEEVKFTCRKTASDIISKFDEIAMSLKMEVGMKKGGQIKLRKPSEGRNGELTIEVEIFEITSSFHLVEVTKSCGDALEFRKMLKEEIKPSLEEIVCAWHGELP >cds-PLY70528.1 pep primary_assembly:Lsat_Salinas_v7:1:74093878:74097193:-1 gene:gene-LSAT_1X63021 transcript:rna-gnl|WGS:NBSK|LSAT_1X63021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol kinase EVAN [Source:Projected from Arabidopsis thaliana (AT3G45040) UniProtKB/Swiss-Prot;Acc:F4J4C8] MATGMASSMLNGERAVVLLFVSSILYSTRISLLYEGLSFSLLTIFALFVEISVESYGSAFPFKTRPGASSGILLGAVTLPGVAISKLIQLSRALSVHEVGVEEVEFIRLQYWATSTTCLCVLVFLCFMCHHESKKTTNSASLHNDCHMKFSISSIALFTAVCYLSFSAKSSSKWNMALTLLWIVCHGVSAVKFIQHVLNTFPSCASFGEALLVTAGFVVYFGDMFACTTARLSSIAYGVERSEINTIIQGMLLGLLIIPMLFKSILKKWELYMTTTSSNSEVKRSTIFYLCLAFILLSVVPLWMQFVQDFHVHPFLWVLKFVFSEPHKRLSLCVYWVAVICASVLRFYNISKNSKIERILLRKYYHLVAVLMFVPAVIFQVSTHTHTPPPPTPPPPPPPSPPSSEFLANGHEFCFQPLFLNLAFGAALGVFLVLEIIRVWRIWPLGPLVHKFMNAFTDHRDSDLLIVSHFSLLLGCALPIWMSSGFNDRPLAPFAGILSLGIGDTMASMVGYKYGVLRWSKTGTLVIAFDGGDSERFT >cds-PLY76172.1 pep primary_assembly:Lsat_Salinas_v7:4:53036667:53039361:-1 gene:gene-LSAT_4X36221 transcript:rna-gnl|WGS:NBSK|LSAT_4X36221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPKSSFRIAPSSSSQFLPWTPFKFPSKPSKILCSRNPNPPSPPAPINLISILRVIPDWADAIQESRLKQKRQLYKYEDWVEHRSSLRHLRHLLSSLSSRVVLSLVPPVIAFTTVAVVVASYNTAVFWDLLPEFFPILRASSLPYQLTAPALALLLVFRTEASYSRFEEGRKAWTKVISGTNDFARQVIVSVETESVLKTALLQYILAFPIALKCHLIHGSDIGGDLKNLLEDNDLDLVLSSNHRPRCIIQFISQSLKLLNLESSTLTTLESKVTCFHEGIGVCEQITSIPIPVSYTRLTSRFLVLWHLTLPIILWDDCHWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDELCKLVYDNVQETLKSEQKIRKILNTKIKDAVSSRKLAANGHPASGGGQSIWPNNSSNSINN >cds-PLY71805.1 pep primary_assembly:Lsat_Salinas_v7:3:58615245:58619929:-1 gene:gene-LSAT_3X45921 transcript:rna-gnl|WGS:NBSK|LSAT_3X45921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENGVTKVEEVKLKVGEFDKDVLGDGMKGIGIDDALEEANGGVESVSETVEAEETLRKDGSDENGEDLSDSLVLDGDVDAGQRIVDTIEDAIQTPCETQTVVEKAHVDPVEEVISTKVIEHDMPVDSDEHEEKGINLEEVTDERNVSGSIFSDHPSELEANEAVNDGNSEADLGENTSDNAARDQASELETGVSVVDGKDEVETVVVTDVSKDEPNVLENNGVVDKGVNEVTKVSNADDNESQMQTLEDIHDTKPVDVILGNSENGVSKTVDLDENLLHEDCADGDSMSHTTQPDDEIRVEVNGDVPSQLHEKETLVTQGKDEDNMNQKEKVESSRNNLLNMQNELNIEQLEKGEQKSFDPSSVHQDDKNLQQESTSAINEPDNKVESPKVESPPHPSEKREPKDYDMKQNTPLKKEPKLNLSTEKPATPTSIPNPNPDPKPNPPPIPIPNSKPTTTLTPPPAQTRPAGLGNGAPLLEPAHRVVQSQPNGNTPPPQNQVIDEPTGEPEENDDTREKLQMIRVKFLRLARRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVAAFSFERASAMAEQLESTGQEPLEFSCTIMVLGKTGVGKSATINSIFDEVKFNTDAFQLGTKKVQDVVGTVQGIKVRVIDTPGLLPSWNDQRKNEKILQSVKKFIQKSPPDIVLYLDRLDMQSRDFGDMPLLRTITDIFGQSIWFNAIVVLTHAASAPPEGPNGTTTSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILVEANMLLKLQDSPPGKPFGTRARAPPLPFILSNLLQSRPQLKLPNEQFGDDEDDDVDDDDDVDAKSDDESSEYDELPPFKRLTNAQLAKLSKLQKKAYYDELEYREKLFMKKQLKEEKKRRKMMKKMMEEAKNIPEVEDDESNGSATVPVAVQDMNLPVSFDADNPTHRYRALDSANQWLVRPVLDPHGWDHDVGYEGINIEHLLALREKIPVAFSGQVTKDKKDANLQMEISTGIKHGKSKSNSKSKSTTLAFDMQTVGKDMSYTLRSETRFLNFKKNKASAGFSVTHFGDSVTGGMKFEDKLSVNKRGQLVVAGGAVIGRGDVAYGGSLEATLRDKDHPLGRFLSTVGVSVMDWHGDLAIGWNGQTQIPIGRFTNLIGRVNLNNKGSGQVSVRLNSSEQLQIALVAFVPVICKLLGYYQPRDTSY >cds-PLY72548.1 pep primary_assembly:Lsat_Salinas_v7:2:140092195:140094748:1 gene:gene-LSAT_2X68420 transcript:rna-gnl|WGS:NBSK|LSAT_2X68420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVGRLVGMETWPQKDLLLQPKLRVTTITRRWVESAIVSEVKLMEERNMKPLDSNLAALSARCSKDLELNLARSFLSEMGQCTTAYPYNQRLGAFVLKNYERQDATLLSWNLMYKVD >cds-PLY64726.1 pep primary_assembly:Lsat_Salinas_v7:5:21783400:21783741:-1 gene:gene-LSAT_5X11180 transcript:rna-gnl|WGS:NBSK|LSAT_5X11180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNLKLIHTDLKTEKILLLYPEYVKVPDYKGSLRSAKYASFFKRVLKLSAIKVNDFSTVVVLMVVLFVTHDGQVCIFIYL >cds-PLY88346.1 pep primary_assembly:Lsat_Salinas_v7:2:10501692:10503090:-1 gene:gene-LSAT_2X4101 transcript:rna-gnl|WGS:NBSK|LSAT_2X4101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLLYWDDMLLTVGPYGDLVRYIYDEAIILIPECDGARILSNLNMVFLQRVPASTESIFKIGSTEPITLLYDALDHLDRRNAKNEIKAEARHQPKHALPPYPPTHLSSPPPLLTYAPPVKDTHLIPLLHGSLPKGTFFMCLLSQKIVSSFCLQFDVPATSRLQSGFIIFSLYNDFLFLFLLHSTLAPEQLVWCGMDSVLLYWDDMLLTVGPYGDLVRYIYDEAIILIPECDGARILSNLNMVFLQRVPASTESIFKIGSTEPITLLYDALDHLDRRNAKVGCYFDL >cds-PLY65788.1 pep primary_assembly:Lsat_Salinas_v7:5:269750121:269752946:1 gene:gene-LSAT_5X140420 transcript:rna-gnl|WGS:NBSK|LSAT_5X140420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPEGGGLGLGSPTPPLPRPKSPPELYGKRRELAKVVMLEREIGFLQEELKSTETLQPASFCIKEVADYVIANPEPLITTGKKTRKSCGFWKWLCGSSCFNMSWLCCCCCCNDCSLQMPRCCCSCSLPDCCSCSLPKCNCSCNPCSCFPPCLKSCFCCCPKCDSTCCPKLQPSCPDFSCCCKCSSFFSCCCFPTKCKCKCSCPKLPKCSCPKLPKCSSPCASCCSIKCCYSCYHCC >cds-PLY64496.1 pep primary_assembly:Lsat_Salinas_v7:3:14879158:14881743:-1 gene:gene-LSAT_3X10281 transcript:rna-gnl|WGS:NBSK|LSAT_3X10281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKRPRSTESDEQVVQQCAPMIRRAESSSVKFNNYNHNPLVVVFAHGAGAPSTSEWMTRWRTLLANALNPVEVVTFDYPYISGRRKAAPDAEKLVGFHLEFVRKVAAKYPEHPLILIGKSLGSRVSCMVAAENDIGALAVVCLGYPLKAKNGAIRDETLMKLTTPIMFVQGSNDNFCPLKLLEVVRQKLKSLNDLHVIEHGDHSFQIAKKNLELTGMTHEEAEQRAAESIAMFVSRIKNENVSLYE >cds-PLY94368.1 pep primary_assembly:Lsat_Salinas_v7:6:8697377:8698931:-1 gene:gene-LSAT_6X5240 transcript:rna-gnl|WGS:NBSK|LSAT_6X5240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQPSLHHRSPCRTPPVLSADHNTGLQRCANSFSWLSDLGHPKAASLRTIPLPFPDLCACLFDGNIATSNFRSYSTQSSVVAGASSCRVPPLQITATPFLAIDDDGDDTSHHANEPPPSAASPSDNFNKGLNPQLLQLLVPTVCFFT >cds-PLY78337.1 pep primary_assembly:Lsat_Salinas_v7:2:181289515:181290912:1 gene:gene-LSAT_2X102881 transcript:rna-gnl|WGS:NBSK|LSAT_2X102881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADKETSIVLKNEDESNRPPNPFSLLFTKFTQVFNFPPRPQPPEKKEIAKVETEKKEIVRDGEVVGVAKSATVTYPDGRSKTVTSLKLESEDAVQETSPAVLWQVYAIGGFFVLRWAIGRWKEGRARKKPSDDVPPSPTPNDDQ >cds-PLY71932.1 pep primary_assembly:Lsat_Salinas_v7:3:23946117:23946368:-1 gene:gene-LSAT_3X17821 transcript:rna-gnl|WGS:NBSK|LSAT_3X17821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDLEGSTEDWQGKEDDGIDLEVDNEPDECIPMNRTKDDEFLSKLCPNDQVTPNSPPHEELYEHVVDDEVISNEQAIYNDNVP >cds-PLY73294.1 pep primary_assembly:Lsat_Salinas_v7:MU043501.1:832329:834310:-1 gene:gene-LSAT_0X19060 transcript:rna-gnl|WGS:NBSK|LSAT_0X19060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSINPPLPPLHLQPHDNHSSLFGCRHIGESVRYQIQNWHLEVSEVFIHLEPSNKLFDVQQQEENKIEEMITNMLSSNFSQISVENLCSKQVENEVEV >cds-PLY67105.1 pep primary_assembly:Lsat_Salinas_v7:4:243247338:243250460:1 gene:gene-LSAT_4X130100 transcript:rna-gnl|WGS:NBSK|LSAT_4X130100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSISRLSLIHIIIFFVFSSYVIPNPYVASVNLSIDTDKQALISIKSQTSTQPPDALATWDPNSSPCNWTRVSCGEGGRRVVALDLSGLQIPGPLSPHIGNLSNLTSLQLQNNRFVGKIPETFTNLFRLRILNISTNNIEGNIPANISQCIQLRVIDFMQNQLSGSIPEDLTRLVNLQTLNLAKNHLSGSIPPSIANLSSLSTLNLGTNTLSGPIPSDLSRIPNLKNLDLTINNLTGTVPPSIYNMSSLESIALASNDLWGDIPYNVGETLPKLLVFNFCINRFTGTIPGSLHNLTNIRIIRMAHNKLHGTVPPGLGNLPELEMYNIGYNNIVSEQGQGLGFLNSFVNSTKLDFLAIDGNHFDGVIPESIGNLSKTLRILYMGSNRISGRIPPSIGQLKGLGLINISYNSISGEIPSEIGQLENLQVLVLGKNRLSSNIPNSLGNLRNLSEIDFSSNELEGRLPISFRNFEKLISMDLSMNKFNGSIPGEVLDLPSLTTILNLSSNSLTGSLPRQIQSLGRVVTIDLSNNNLSGNIPESIQNCKSLEQLILSKNSLSGNIPNSLGEIKGLETLDLSSNQLSGSIPVELQNLMALRFLNLSFNNLEGKVPSNGVFSNLTSVHLEGNQKLCYDSKCTKGDSDTHKAVVISVVVISSLVAILILSIALFFYFRRNNVMIMDSSDSFKGQHKMVTYEQLRSATGNFNEDNLIGRGSFGSVYKGCLNLEGRAMEIAVKVLDMETTGSLPSFLAECAALRHLRHRNLLKLITSCSSLDHKNMDFLALVYEFVKNRSLEYWIRNKMGFLERLKVAIDVASGLSYLHHECVVAPVVHCDLKPSNVLLDEDLTAKIGDFGLASMLVDKDKSFSSSHVLKGSMGYIPPEYGMGAKPSTKGDVYSYGIMLMEIFTGKSPTDESFVGGLSLKTWVQSAFPADLDQVLDPEMLHQKDELCSDGRTRSLKIQLDCLKTVIEVAVSCTNNSPDRRITIIEALRRLKCVQDLFHKHGS >cds-PLY68688.1 pep primary_assembly:Lsat_Salinas_v7:7:85972723:85973711:1 gene:gene-LSAT_7X58720 transcript:rna-gnl|WGS:NBSK|LSAT_7X58720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKYIDFLLTTASGKAVAERFPGKLAAPFEKTKLSSYALAAMVESAILSEELMQGFDQETAAVVVARLTSYKMEMEESFDATRWIDRNLIRLCSKFGDYRKDDPSSFSLNPSFSLFPQFMLFNDSPDETAYFRMMLNRESITNAIVMIQPSLISYSFNSLPSPALLDVASILADRILLLDSYFSVVVFHGMTIAQWRNNGYNHQHEHQAFAQLLQAPHDDA >cds-PLY64974.1 pep primary_assembly:Lsat_Salinas_v7:8:162128835:162131227:1 gene:gene-LSAT_8X107920 transcript:rna-gnl|WGS:NBSK|LSAT_8X107920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFDSLRTSFYCFFSLQHTFSFTRPFHKKIFSLSGSILPVSATMESQLSCVLVLSGKSETEKELAKSLKENSSLKLADDLKLRTFLQSEIEMQSENNEFNIDTYMDSLTTTSFGRFLLSSPRLPSTQDVVSLNFCEIPIGSMCIADVQFKGRGRSQNVWESPKGSLLFSFTMQMEDGRVVPLVQYVVCLAMTEAIKDLALKNGIPPLDVRIKWPNDLYLDGIKVGGILCTSKYRSKKFHVSAGVGLNVNNDKPTTSLNAVLRKLNSGYQLQREEITSAFFNKFEHFFHILINQGFQPLEELYCKTWLHSGQRIIVQEQNENQDLPIESVVTIQGLTSSGYLMAISDNSEICELHPDGNSFDFFKGLVRRKLN >cds-PLY85228.1 pep primary_assembly:Lsat_Salinas_v7:1:148298331:148299947:1 gene:gene-LSAT_1X105220 transcript:rna-gnl|WGS:NBSK|LSAT_1X105220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKLISMLLVLIFVSTSVLAARPPATPMEGLLPNGNFEEPPKATDIKKTVLLGKTALPKWEISGLVEYIHGGPQPGGMYFPVAHGVHAVRLGNEATISQNIAVKAGSLYAVTFGASRTCAQEEVLRVSVPPQSGDLPLQTLYSSDGGDVYAYGFKANSSSVRLTFHNPGVQEDPKCGPLIDAVAIKELLPPRPTRLNIVKNGGFEEGPHRLFNSSNGVLLPPRQEDITSPLPGWIIESLKAVKFIDNKHFNVPSGVAAIELVAGRESAIAQILRTIPNKLYSLSFAIGDAKNGCHGDMMVEAFAAKDTLKAPFKSEGKGKSKVVSMKFKALSARTRVTFYSSYYHTRADDFGSLCGPVIDEVRVFPVRA >cds-PLY95015.1 pep primary_assembly:Lsat_Salinas_v7:5:226548245:226548568:-1 gene:gene-LSAT_5X106361 transcript:rna-gnl|WGS:NBSK|LSAT_5X106361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVRNDLCIPGLEDKSEQENRVSVEEEFDYSERRKWLRSVKQDAKAMVPTGFSGLVAGVCSMAIGELVSVYTQRSLEVALVKRETTIVEKEKSTHLYRSSYYMFYLL >cds-PLY62485.1 pep primary_assembly:Lsat_Salinas_v7:1:82372627:82375629:-1 gene:gene-LSAT_1X71501 transcript:rna-gnl|WGS:NBSK|LSAT_1X71501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSHIPSFFSPTTNPSSTNRIRTLFYFKPSITQPHKSFAILCSRRPDYIPHHISDPNYVRIFDTTLRDGEQSPGATMTTKEKLDIARQLAKLGVDIIEAGFPASSEADLEAVKLIAKEVGNVGAEEGGHIPVICGLARCNKNDIDKSWEAVKHAKFPRIHTFIATSEIHMQYKLKMSKEQVIEKARSMVAYARSLGCNDVEFSPEDAGRSEREFLYEILGEVIKAGATTLNIPDTVGYNWPREFGQLIADIKANTPGIENVIISTHCQNDLGLSTANTLEGAYSGARQLEVTINGIGERAGNASLEEVVMTLKCKGELLGGLYTGINTRHIVMASKMVEEYSGLMVQPHKAIVGANAFAHESGIHQDGMLKNRNTYEIMSPEDIGLYRSNESGLTLGKLSGRHALKSKLFELGYDIDGKELNDLFWRFKSVAEMKKVITDDDLVALVSDEVFQPQIVWKFGDVQVTCGTLGLSTATVKLIDSEGKEHIACSTGTGPVDAAYKAVDLIVKTPVKLLEYSMSAVTAGIDAIASTRVVINEENNQTTTHLTGGKNARSYSGTGASMDIVISSVRAYVGALNKMLGLKMQSK >cds-PLY89000.1 pep primary_assembly:Lsat_Salinas_v7:3:73357867:73358751:1 gene:gene-LSAT_3X61560 transcript:rna-gnl|WGS:NBSK|LSAT_3X61560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNLFELKLGQGGFGSVYKGTLSNGSLVAVKILSESKGNGEDFINEVASVGRTSHVNIVSLVGFCFEGDKKALIYEFMPNGSLEKFIYNPGFLSSSQLGWEKLHEIAIGIARGLEYLHSGCNTQILHFDIKPHNILLDKDFSPKISDFGLAKLSPERRSMMSMSLMRGTPGYIAPELFSRSFGQVSHKSDVYSYGMMILEMVGGRKNVEVGVDHTSEIYFPHWIYKKVKFNEELGLHTSMSDEEKEMVRKMIIVGLWCIQTNPVNRPTIMKALEMLEGDLESLEIPPKPYLSSP >cds-PLY66551.1 pep primary_assembly:Lsat_Salinas_v7:4:341213496:341216426:-1 gene:gene-LSAT_4X168960 transcript:rna-gnl|WGS:NBSK|LSAT_4X168960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANPRCYLDISIGGELEGRLVVELYKDIVPKTAENFRALCTGEKGIGPNTGVPLHLKGSCFHRVIKGFMIQGGDISARNGTGGESIYGLKFEDENFELKHERKGMLSMANSGPNTNGSQFFITTTRTSHLDGKHVVFGRVIKGMGVVRSVEHTTTVENDLPIQEVVIQDCGELLEGEDDGVCDFFKDGDTYPDWPLDLDVKPEEVSWWMTAVDAIKAFGNEQFKKQDYKNALRKYRKALRYLDICWEKEDIDEGKTDSLRKTKSQIFTNSSACKLKLGDLKGALLDADFALREMDDNVKALFRQGQASMALNDIDSAVESFKKALVLEPNDGGIKKELAAAKKRIADRRDQEKKAFARMFK >cds-PLY72171.1 pep primary_assembly:Lsat_Salinas_v7:7:58423353:58424176:-1 gene:gene-LSAT_7X40580 transcript:rna-gnl|WGS:NBSK|LSAT_7X40580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:MBF1C [Source:Projected from Arabidopsis thaliana (AT3G24500) UniProtKB/TrEMBL;Acc:A0A178VDH9] MPTRTTGAMSQDWEPVVLHKSRPKAQVLRDPKAVNQALRAGAQVQTVKKFDGGTNKKAPATAVYARKLDEAAEPAALDRVAPEVRQVIQKARIDKKLSQADLAKQINERPQVVQEYENGKAVPNQAVLAKMERVLGVKLRGKIHK >cds-PLY73132.1 pep primary_assembly:Lsat_Salinas_v7:2:190601538:190603088:1 gene:gene-LSAT_2X113181 transcript:rna-gnl|WGS:NBSK|LSAT_2X113181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKLKIKALHHVLEVRWIWMDTCKPSLDGRLGCRDECLNRMLNIECVKGTCPCGDLCSISSDGGWFMDVFNVINPDGSKIKDEGVVNYIKKVLKSDAFYVPSLTGSVGLKPSEDYTVIELAGIDRPGLLSKVSAVLTNLGCNVVNVEIWTHNVRVAAVVHVTDEKTRNAVVPWCSTLFASCAICGAASWWAWSSCCWHGVPWLCYSAQCNGEFKNDM >cds-PLY91662.1 pep primary_assembly:Lsat_Salinas_v7:8:10287197:10291381:-1 gene:gene-LSAT_8X7441 transcript:rna-gnl|WGS:NBSK|LSAT_8X7441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPSRAGVGMIHSNSSSGIFFQGDMQSQALGNPHLGSFGGNIRSNMVPLSGDMNNVATSGPSIGASSLVTDANSGLSGGGGGGPRMQRSASANTESYMRLPASPLSFSSNNISISGSSVIDNQDPHSQSQQVPRQGASTATSLPPPRQVSLSLPNGPRVPGSFIQDNDNISHLQKKPRLDIKQEDMMQQQVLQQILQRQELQSPNPQLQTLIQQQRLRQQQQQQQLLQSLPPVQRAHLLQQQQQQQQQLQLRQQLQQQSLQPSSSVKRPYDGGVCSRRLMQYLYHQRQRPADNVISYWRKFVAEYYSPRAKKRWCLSLYDNVGHHSLGVFPQAAMDAWQCDICGSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDLPREYRFPSGIMVLEYGQAVQESIYEQLRVVREGQLKVIFTPDLKILTWEFCARRHEELLPRRLVAPQVNQLLQVAQKCQSTIAESGSDGVSQQDLQTNSNMVVTAGRQLARSLELQSLNDLGFSKRYVRCLQISEVVNSMKDLMDFCRETKAGPIEGLKSYPRQTSSSVKSQMEQISSIPDRNTLSKLVSLTNNTQQQMGPRAPLNNGTTTQTQAAVALSNFQNMLMRQNSMNSNSQSHQQQEASSSFNNSNQQNYPFQTGSGSGGLLNPNPHMIQQQQQQQRPIFNQQSPSHSGSQMQQHMIQQLLQDMSSGGGGAKPSHSGQSAASGSMGRYGMSSSGTSRPPAVPTRSNSFKGASRSDSSAGVGVGVGVGVVGVGVGVGDRKDLGSLCDDIVGDIGSEFNGSGFFDNDELEYGGWKG >cds-PLY63759.1 pep primary_assembly:Lsat_Salinas_v7:6:26868971:26870685:1 gene:gene-LSAT_6X20521 transcript:rna-gnl|WGS:NBSK|LSAT_6X20521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRVASASEYLVITGSGIDDIKIAKKAWVFPGQTYAKFDITPVNYTFDVQAMSAEKLPFILPAVFTIGPRSDDNESLHKYAKLISPLDKQSHHLNELVMGIIEGETRVLAASMTMDEIFKGTKDFKKEVFEKVQWELNQFGLWIYNANVKQLVDVPGHEYFSYLGQKIQQEAANQAKIDVSEAKMKGEIGAKLRDGQTLQNAAKIDAETKIIATQRQGQGKKEEVKVLTEVKVFENQKEAEVAEANAELAMKKAKWAKESQVAEVEATKAVALREAELQKEVEILNALTRTEKLKAEFLSKASVEYETKVQEANWELYKKKKAAEAILYEKEKEADAQKAIAEATLYSRQQAADGELYAKQKEAEGLVALAQAQGTYIRTLLGAFGGSYAALRDYLMITGGMYQEIAKINGEAVKGLQPKISIWTGASGGGEGGDGGPLKEVAGVYKMLPPLFETVHEQTGMLPPTWMGKMNVKES >cds-PLY78531.1 pep primary_assembly:Lsat_Salinas_v7:1:103770301:103778278:1 gene:gene-LSAT_1X83821 transcript:rna-gnl|WGS:NBSK|LSAT_1X83821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTAVGVAVRALLMVLFCVMVVAFAYLFAIDGFISCFNFGDRWGIAILMEFSVYPAVIGAWVFYKESSWIQAAIFAVSMYVLGSLLSVGYVLVQFFKLSREESSTNPLYFVLVRRHKREHTSGISVVTARVMFSTLACLTLGALIYTLIKDISGSYAESFSKCFLTNMTDLYVHAVMLSVWIAYKESSWIIASLWIILHLCFGSITLCVYVVWQLFCLLPDQPASLIIFNGNDIHLQRSDPLLMSHANVQV >cds-PLY89750.1 pep primary_assembly:Lsat_Salinas_v7:1:59765502:59766038:1 gene:gene-LSAT_1X51221 transcript:rna-gnl|WGS:NBSK|LSAT_1X51221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKNDIISPSASSSGKHPKYRGVRQRRSSGKWVSEIREPKSPNRIWLGTFPTPEMAAVAYDVAALALKGGGAELNFPNSATSLPVPASSAPRDIQEAAARAAGAAGARMDAFLGAHGSGVATVDQPLIGGGGFVDEDMIFDMPNVLANMAEGMLLSPPRFDFHSEEAAGNSMDHDLWN >cds-PLY62156.1 pep primary_assembly:Lsat_Salinas_v7:2:152897762:152899538:-1 gene:gene-LSAT_2X77740 transcript:rna-gnl|WGS:NBSK|LSAT_2X77740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTKSKIPTVKTIVSTIGSVAAAAVVIRSVARDYLPREFRNYLYLGVRNFINKFSTHLTMIIYESEGFQDNEIYNATELYVAARMSSDIHLIKVTKTPNQNNIAVAIEVNEEFTDIFNGVNLYWSLVSKKTPHRHTRSQDGMNHSSRTDIRSFELTFHRDHKDMVLNEYLPFVLKEAEIKKQEQKTLKLFTVTPTSSYSRPTTWTSVNLDHPANFATLAMDDDMKENVMKDLDRFVERREYYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANHLNFDIYDLELTDVKSNSELRTLLVATANRSILVVEDIDCSVKFHDREAAEAARALAQKKGKLLPGEEKNVTLSGFLNYIDGLWSTCGDERIIIFTTNHKDKLDPALIRPGRMDVHIHMSYCTPCGFRQLVSNYHGITDHTLFKQIEDLMCEINVTPAEIAEQLLKNDDIDIVFDGLIEFFDVKRKENEEAEAKAKKMKEEKEELATKDDEKNQKSG >cds-PLY69178.1 pep primary_assembly:Lsat_Salinas_v7:3:205197222:205198853:1 gene:gene-LSAT_3X121560 transcript:rna-gnl|WGS:NBSK|LSAT_3X121560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGILSYDRKAELIAFDETKTGVKGLVDAGITEVPRIFLLPSPENLNSDQELSLPTIDLKGIHEDPIRRKQAMEEVKDALGSWGFFQMVNHGIPVDMLEEMKKGVLAFFEQDSEVRKQWYTRDRSANRVVYNSNFDLYSAPVANWRDSVMCTVYPNPPQPEELPSPCRDIFLEYSQQVMKLGCSILELMSESLGLPPNHFFDMGCAEEIQVTGHYYPPCPQPELTIGTTEHSDAGFITILQQDLVGGLKIFYQNQWTDVRPIPGALLVTNDKFVSARHKVMANKVGPRISVASFFLANLKPEALKVFEPIKELLSEDEPAKYRSTTAKGFLDYFYSKGLDKTPALLHFKN >cds-PLY84584.1 pep primary_assembly:Lsat_Salinas_v7:1:28843002:28845732:1 gene:gene-LSAT_1X24320 transcript:rna-gnl|WGS:NBSK|LSAT_1X24320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAERNSTFEMPRVKLGNQGLEVSRLGYGCMGLTGIYNNPLPEEEGIKVLKEVFSRGITFFDTADVYGVQHANEILVGKALKELPREKIQLATKFGIFKIEPTGIKVKGTPEYARSCCEGSLKRLGVDYIDLYYIHRIDTTVPIEDTMEELKKMVEEGKIKYIGLSEANADTIRRAHAIHPITALQMEYSLWTRDIEEELIPLCRELGIGIVPYSPVGRGFFGGKAIKESVPENSILGSHPRFTGENFTKNKVVYDRVEAMAKNHGCTPIQLALAWVLHQGEDVVPIPGTTKIKNLDENVGTVKVKLTKEDVEEISNAVPIDEVAGCRTGEALLRISWKFANSPPKNNT >cds-PLY64469.1 pep primary_assembly:Lsat_Salinas_v7:3:16394221:16398309:1 gene:gene-LSAT_3X11781 transcript:rna-gnl|WGS:NBSK|LSAT_3X11781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVSMAISFSFHDPHFYSLSISDKEYRLWGHEKKPAAGVDINLHEEVDLVVEHGKPVNDHNTLNLNFPDTVVPGMPEFDRKLGAPTKRMAPLVTLQKKVYMSILRRELPKLLALSSGTCSHQSLENIVSQHLSFYAYYHEHLAGKWQTGSLRPASLEATYVWTPCSSDYMELRKYPYERLDGSIRVEERFATIQSFSRKSGIGNSNSEADSDSAFVFMISSRAGGVGLNLVAADTVTRERALRNWVFDETAGNIHRDFGSGYPGGEITVDLE >cds-PLY66813.1 pep primary_assembly:Lsat_Salinas_v7:5:45856637:45858983:-1 gene:gene-LSAT_5X23101 transcript:rna-gnl|WGS:NBSK|LSAT_5X23101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLLTLIIYACITGVAAYVLLNLRTRHAKRLPPGPTPWPIVGNLPHLGTIPHHALAALAKRYGPLMHLRLGFVDVVVVASASVAAQFLKAHDANFASRPPNSGAKHMAYNYQDLVFAPYGPRWRMLRKICSVHLFSAKSLDDFRHVRQEEVAILTRALVGAGKSTVKLGQLLNVCTTNALARVMLGRRVFGDGSGGGDPKADEFKDMVVELMVLAGEFNIGDFIPALDWFDLQGITKKMKKLHARFDSFLNTILEEHKTGSGGPSGHVDLLSTLISLKDDADGEGGKLSDIEIKALLLNLFAAGTDTSSSTVEWAIAELIRHPELMKQAQQEMDIVVGKDRLVTELDLNQLTFLQAIVKETFRLHPSTPLSLPRIASESCEINGYNIPKGSTLLVNVWAIARDPKMWTDPLEFQPARFLPGGEKPNADVKGNDFEVIPFGAGRRICAGMSLGLRMVQLLTATLVQAFDWELANGLDPRDLNMEEAYGLTLQRAAPLMVHPRPRLAPDVYKTH >cds-PLY75476.1 pep primary_assembly:Lsat_Salinas_v7:7:73926382:73929964:1 gene:gene-LSAT_7X51480 transcript:rna-gnl|WGS:NBSK|LSAT_7X51480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGVSKAPYFFSTSEHQILCLDTITSKYTCCLFKFKYGVDQTTRHSQRSLFRALQLHSVYTLQCVSQCTIYISISESLDKSCLGPLRKAYCNSLNLLLRHEAREFANELRAITKASRNPTVLLEGSTGSNQNMKVSKSIYFLHIVPFFSHFMCFGVSALAQPGSPANDNKTNDDDLGIMDIDDNDDDDNNNNKTGLITCMTQGTTSLLSNTVYAISDAATQDPPGAGKTKTILGLLCAILQTS >cds-PLY93406.1 pep primary_assembly:Lsat_Salinas_v7:9:64507314:64507961:-1 gene:gene-LSAT_9X55780 transcript:rna-gnl|WGS:NBSK|LSAT_9X55780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSIRYFQHDIPTDTHEHYEVTFFTDTVLTLVTKTPSFVDTWISQIEHKHRRRLHCLIVGLDVEWRPNLIRNHENPVATLQLCVGGQCLIFQILFSPTMPQSLRNFLRNPSYTFTGVGIDKDVEKLKEHWNLEVANTVDIGALAAEEYGMRNLRNAGLKRLTRKVLGKELMKPEDVTMSRWDDERLAAEQIQYACIDAFLSYKIGRILISGNAS >cds-PLY72200.1 pep primary_assembly:Lsat_Salinas_v7:7:55706308:55706607:-1 gene:gene-LSAT_7X40400 transcript:rna-gnl|WGS:NBSK|LSAT_7X40400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQASLFALIIPNQTSPWKQSQPISFNTVKPSKPTTRFTTIKVAATWEVPTKEAPIGFIPPELDPNTSSPIFVGSIGGLLRKARVEEFYVITWNSLKE >cds-PLY85628.1 pep primary_assembly:Lsat_Salinas_v7:5:1841117:1842839:-1 gene:gene-LSAT_5X881 transcript:rna-gnl|WGS:NBSK|LSAT_5X881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYIWLHKLHSIIKSHPRYYPSSRRVYKQIQIFGIILDTEIYHETNKGISMGFTWYCVHIVVLNDPGRLLSVHIMHTTLVAGWAGSMTLYELAVFDPSNPVLDPM >cds-PLY84156.1 pep primary_assembly:Lsat_Salinas_v7:6:188374760:188378587:-1 gene:gene-LSAT_6X114481 transcript:rna-gnl|WGS:NBSK|LSAT_6X114481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCEKEGIKRGRWTSEEDETLIKYIQLNGEGSWRSLPKNAGLSRCGKSCRLRWINYLRGDLKRGNITAEEEEVIVKLHGSLGNRWSVIASHLPGRTDNEIKNYWNSHLSRKVYRFFRGKNDSAFKSNNITDVVQANQKIGRVSRCVAKKYNKNRVASNIKSPIKPTSNKLAQQTVNKDKTDYHEISSYSVPTTKNVTEDRVRGIEWSFQEERENQNLGPNEFCFQYDEMMDISRFLGSGAVDSCGVLSIHDQEEAGKILADMSVENAKKNETEDEFLSWNSSETVGLYSNGDHMELGCAGDDGDEMLLWLWDDEEEPEPHHG >cds-PLY92234.1 pep primary_assembly:Lsat_Salinas_v7:6:75855670:75860790:-1 gene:gene-LSAT_6X53181 transcript:rna-gnl|WGS:NBSK|LSAT_6X53181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHVNTRRQRVGFRGVFLFIFFVFLDLASLSCAARQPPTSSQNLKVHKHLKRLNKPPVKTIQSPDGDTIDCVPISHQPAFDHPFLKDHKIQMRPNYHPEGLYDENKMNTESKQRENPIHQLWHVNGMCDEGTIPIRRTKEDDVMRASSVKRYGKKQHKSIPKPRNLPKSADLGLDNESGHQHAIAYVEGDKYYGAKATMNVWEPKIQQSNEFSLSQIWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSNIAMGASISPVSGFRNSQYDISILVWKDQKEGNWWMQFGNGYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPNGHHTSTQMGSGRFPEEGFGKSSYFRNIQVVDKENNLKTPKNLGTFTEQSNCYDVQTGSNSDWGHYFYYGGPGKNPNCP >cds-PLY66867.1 pep primary_assembly:Lsat_Salinas_v7:7:18537053:18539877:-1 gene:gene-LSAT_7X14861 transcript:rna-gnl|WGS:NBSK|LSAT_7X14861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLMSHCEIRYIFLREILIREGGTQDEAINYVVVAGVQWFLEDIRFVPYKPTEYEEKWQKHHKLLALDHQGMLNIAPTNTLLFHLCITKGESGFMEDSEIIDVDILEFLVSKTARESYL >cds-PLY86396.1 pep primary_assembly:Lsat_Salinas_v7:4:233554991:233559040:-1 gene:gene-LSAT_4X126801 transcript:rna-gnl|WGS:NBSK|LSAT_4X126801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGQKGTIGNLPEGLAMEHGSSSSDSWNMRNPPNQETQNISMWSMGESSSNSVLNSVSQDPKTSEHSWPPANINITHNHVHGPLFVQNSHLETIPHNVTPSTFIPIKPSSFPGSSSSNPFEIEGQGQGLLRHLPCKRKATELSIGQSSSSNMFQNPNPVNDLQNDSIIPRLGLNIGSEIENTRRNVRIRINNSRQQEQPSNPPLRLNSVDLRSPPVVAITPENSNSHSHSNSNSNSNSLSQETQPMLRVPALRRNTHGSGSGSSRWNRNRSSDNSRTLPTNISEHPIFVPPQTAMNWNLNSGGNGVSNSTAGNSNPVPPPSSIIPNLPMNRGSPPHYPSRRLSEILRRSLLSSMDPGGGGGLSGSGNLFSRIPPAVGTSETGIPAVVGVPGGHNHRHHVLPHSRSTDRLVDGSFGFPYLARNVVGGSDGRGRLVSEIRNVLDLIRRGEGLRFEDVMILDQSVFYGMADIHDRHRDMRLDIDNMSYEELLALEERIGNVNTGLTEENISSCLKHKTYATVSDIDPCCICQEEYKNGDDLGGLGCGHDFHTNCIKQWLLQKNLCPVCKSAAFASSK >cds-PLY85528.1 pep primary_assembly:Lsat_Salinas_v7:2:195972325:195973945:-1 gene:gene-LSAT_2X116801 transcript:rna-gnl|WGS:NBSK|LSAT_2X116801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METHGRDTILSSRQSTATRRRIILFPLPFQGHINPMLQLANILHNQGFEITIIHAEYNSPIHSNYPHFTFKSISDRFFELATKADAGVILQYLNRSCEDPFRDCLTGLLAEYGEGSVSCLITDAGFHFTQAVADSVKLPRMVLRTSSLGXDSVKLPRMVLRTSSLGSVLAYVALPFSSESGYFNLTKEDSNYETSVPEFPLMKVKDIVKMTNNPESMGEFVSDMLHQMKASSGIIWNAFKELEERALETISHDFSIPSFTLGPFHKYFPASSSSLIEQDRSVLSWLDNQAPKSVIYISFGSVALITESEFQEVAHGLANIGLPFLWVVRPWIVPGSQWLEALPEKFLESVGDGGRIVKWAPQQDVLAHPATGCFWTHCGWNSTLESICEGVPMVCSPCFVDQPVNARYVSDVWKIGVFLEDSFDRVGIKKAIKRVMMDKEGEEIRERITSLKEKVNLSLEEGGSSHQSLKGLVDYILSF >cds-PLY79171.1 pep primary_assembly:Lsat_Salinas_v7:4:219217250:219225024:-1 gene:gene-LSAT_4X119740 transcript:rna-gnl|WGS:NBSK|LSAT_4X119740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFCCSFCMQILLLLVFFNITEAKYGDEDLGIQRREKFGEKIQSHSCIHDQIIEQRRKRPGNKVFSVTQQVYQVPTHLHHKGRELLGASKSLSFSKNEKLPIRIYLNYDAVGHSADRDCRNVGEPPVGSDSGGPTCNPHNDPPILGDCWYNCTLDDITGEDKRQRLQKALGKTAEWFERALGVERVRGNLRLSGYSACGQDGGVQLPREYVEKGVANADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVIHVLGFDPHAFTHFRDERKRRRTQVTKQMVDEKLGRMVTRVVLPRVVMHSRYHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYEANYSMAEVLDWGSNQGTEFVTSPCNIWKGAYHCNTTQFSGCGQSSLADYCTYFVAYSDGSCIDTNSARMPDRMLGEVRGSNSRCMASSLVRNGFVRGSMAQGNGCYQHRCVNMTLEVAVDGIWKACPEAGGPVQFPGFNGELICPAHHELCSVKKNPLLVSTQCPNSCNSNGDCVNGKCICFLGFHGHDCSKRSCPRNCNGNGKCLKNGICQCADGFTGIDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCRNTSLVLENLSICKHVLMNDVDVGGNGNGQHCAPSESSVLRQLEDVVVMPNYHRLYPTGARKILNMFIGRNCDKAAKRLACWISIQKCEKDGNNRLRVCYSACQAYNLACGASLDCSDQTLFSDQDLCTGLGEMESWF >cds-PLY81829.1 pep primary_assembly:Lsat_Salinas_v7:3:34260453:34261428:1 gene:gene-LSAT_3X25341 transcript:rna-gnl|WGS:NBSK|LSAT_3X25341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYVGNLDPRVNEREIEDEFRTFGVIRSVWVARRPPGYAFVDFDDKRDAQDAIRELDGKNGWRVEMSHNSRGGGGGGGGGGRGGGRGRSGGSDLKCYECGEPGHFARECRGGGGGGGGGGRRRSRSRSRSPARYRRSPSYGRRSYSPRGRSPPRRSLSPPRKANYSRSPPYRGRDEVPYTNGNGLKDRRRSRS >cds-PLY73524.1 pep primary_assembly:Lsat_Salinas_v7:MU043441.1:48774:56093:-1 gene:gene-LSAT_0X32180 transcript:rna-gnl|WGS:NBSK|LSAT_0X32180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFAYCRSWFLDGRTIARNVKTTGLPSIHQIKDCEASFECPQCGYRIDDYNNVSNEWPGLPIGVKFDPSDVELLEHLAAKCGVGNEKTHPYIDEFIITLNGEEGICYEHPENLPGARKDGNVVHFFYRTTNAYTKGQRKRRKIHSGSSLIRWHKTGKTKAIFKNGVQLGYKKIMVLYGGSDGSSKPSKRNWVMHQYHLGTDEDEKDGEYVVSKVFYQVEKDTKNTSIEQTYPSMRITDPRTPMIDAPDPPRPGKSQSYQDVSAEYVLRSPSKELYFPSEDSFCDGLDWL >cds-PLY81291.1 pep primary_assembly:Lsat_Salinas_v7:5:72992926:72995307:1 gene:gene-LSAT_5X34541 transcript:rna-gnl|WGS:NBSK|LSAT_5X34541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMYRYKKVISIAIHGGSKLSISHSYSTTGTISHHFTHHIDQFLSIRSPKTLQSLTKYHAYIITTGHHSTNVFISSKLISLYAYLHKPVSSKHVFDAFDGDKDIFLWNSIIKAYFSNGMYPQCLECYASMRGFTSLLPNQFTVPMIVSACAELGDLINGTMVHGLVFKVGIFQETSAVGSSLVYMYSKCGYVENAQQVFDEMRLRDVVAWTALIIGYVQNGESEKGLRCVCEMYRTCGEDEKPNFRTLEGGFQACGDLDSVNSGRCLHGVSLKSGLGCSIAVQSSIFSMYSKCGTLEEACMSFCEVPIKDIKLWTSIIGVYGKFGCVKQSLDKFMEMLFSGIDPDPMVISCVISGLSNSTCVSFGKTFHGFLVRRNYHEDHMVHISLMTMYFKFGLITYAENVFNGVIDIELMNTIVHCYGKLGYGIKCIEFFTKMINLGMNPDCYSLVSVISSCSKMGEMNLGKSLHCYAVKRFMTEYTLVSNSLIDMYGNTNNEELMIARKLFCITKKDIITWNTMISAYVNSKHYDEAFSLFNKMVFQGIKPNLATLISMLSACAQIGSCEKGEEIHSNYIDQEMILTNVTLATSLVDMYAKCGKLEKSENIFNQMSEKDVISWNVMISGYAMHGDATSAIETFEKMEGSKSNVKPNELTFLALLSACNHVGFVKEGKYLFRRMGDYGLKPTLKHYSCMVDLLGRLGNLYEAEDLVLTMPIVPDGGLWGTLLSACKTHNNPEMGIRVAKRAIECDPKNDGYYVIISNLYDSVGMWEEAEKMRNFMKERGVEKAVGYSSSNP >cds-PLY89307.1 pep primary_assembly:Lsat_Salinas_v7:2:49397122:49397883:-1 gene:gene-LSAT_2X22161 transcript:rna-gnl|WGS:NBSK|LSAT_2X22161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCHRLKSRLFTYTLPFTSKTLPYFLTTLLRPEVIRVLKFQLLLKLIWGIVLLFRAENEAL >cds-PLY97183.1 pep primary_assembly:Lsat_Salinas_v7:3:257287240:257288472:1 gene:gene-LSAT_3X140981 transcript:rna-gnl|WGS:NBSK|LSAT_3X140981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP72 [Source:Projected from Arabidopsis thaliana (AT3G45280) UniProtKB/TrEMBL;Acc:A0A384LL62] MSLIDIVFRVDAICKKYEKYDIDKQRELNTYGDDAFASLLASLDSQIEAALRKSEKASMETNRATIVAMNAEIRRMKVRLMEDVPKLEKLAKKKVKGLSREELTARCDVVLALPERIQEIPDGASTTLLQESNEFRSEYEMRKIKQDEGLNVISEGLDTLKNLAHEMNEELDKQVPLMDEIEDKVDKVTSDIRNTNMKLRQTLLKVRSTRNFIIDIILLCIILGIASYLYK >cds-PLY97023.1 pep primary_assembly:Lsat_Salinas_v7:8:65957099:65958756:1 gene:gene-LSAT_8X45021 transcript:rna-gnl|WGS:NBSK|LSAT_8X45021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSNLEKSVVGIPIHVHVCLNMKWAIYCIKFGDVFGDIDSLKGFNNASPSSAKGTNNKGVSKTPS >cds-PLY95247.1 pep primary_assembly:Lsat_Salinas_v7:8:269170770:269172732:1 gene:gene-LSAT_8X156020 transcript:rna-gnl|WGS:NBSK|LSAT_8X156020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSFSLAILLVLTGILFWQWWSTHVRRRKLPPGPIPLPIIGSLHLLGNLPHRDLHKLSQKYGPIMSIRLGSIQSVIISSPEAAKLFLGTHDAIFASRPNTEAAKYLSYGSKGMTLTEYGPYWRSVRKFCTLELLNVMKVHSFAGMRRDEIRLMVEEMKVASMERKVVDLDEAVGALVEGMTCRMIFGQKNNDKSLFKGVLDETMEIAGAFNLADYVPILAPFDLQGLTKRFKLLKKDIDEMLETLINEHEENSLIASQRPDEMDFIDILMSLKQQYSNTHDELSYTIDRSSMKAILLEMVAGARDTVKTSIEWILAALIKHPRVMNELQKELKTVIGDKKEVEETDLTKLTYLHMVVKETFRLYPVSPLLIPHESMEDIIINGYNIPKKSRVIINYWAFGRDPKVWSENWDEFLPERFLDKDIDFRGADCQLIQFGIGRRGCPGMNLGLLTVGLVIANMVHCFEWKLPNGMSPSDLDMNEKFGLTIPRIMPLLAIPIYRT >cds-PLY91211.1 pep primary_assembly:Lsat_Salinas_v7:3:74519313:74523295:1 gene:gene-LSAT_3X62261 transcript:rna-gnl|WGS:NBSK|LSAT_3X62261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSPPPTPQLHLTHFLLFLLLLFHLSPLQATPPASDHPDLAPLMSFKASSDVSNKLTSWNYSSDPCNISSAFYGVSCLHNRVTRLVLEDLDLQGRFDSLASLTQLRILSLKRNRLTGPIPDLSNLTDLKLLFLSYNQISGEFPPSLPSLFGLYRLDLSYNNFSGEIPATINRMKHLLTLRLEENQFSGSIGVLSLQTLQDFNISGNQISGEIPTALSGFPESAFTNNRVLCGLPLSNCTEPKIPGIASPVGKPANTVVSSSPSSMPSTASLPNDHKKSGSNHHSSGKISTLAIVAIIVGDVLVLAVVSLILYCYFWRNFTGKSGTTKSTSSQILEGEKIVYSSSPYPNPTAQSGFERGRMVFFEGARKFELEDLLRASAEMLGKGGFGTAYKAVLDDGNVVAVKRLKEAAIGGKRDFEQQMEVLGRLRHPNVVSLKAYYFARDEKLLVYDYMSNGNLFWLLHGNRGPGRTPLDWTTRLKVAAGAAKGLVFIHNSCRSLKLTHGNIKSTNILLDKSGNACVSDFGLAAFAPPTTAPKSGYRAPELSSMDARKTTQKSDVYSFGVLLLELLTGKCPAVVEHGGTGYGGAVDLPRWVQSVVREEWTAEVFDLELMRYKDIEEEMVGLLQVAMSCTAAAPDQRPTMGYVLKMIEEIRGVEVSPSHELLDSVSDSPSVSDDTCRASE >cds-PLY83766.1 pep primary_assembly:Lsat_Salinas_v7:4:41083912:41085033:1 gene:gene-LSAT_4X28660 transcript:rna-gnl|WGS:NBSK|LSAT_4X28660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGQLFLIKHLLILREQIAPSDIEFSVTHKELDFSQLLEHLRRILRGQTSLFDWSRSTSLARTLSPRVLESQIDAKKELEKNLKATCEITKLVVDPMLSFVTAVRVALSSGDQNQKLE >cds-PLY74952.1 pep primary_assembly:Lsat_Salinas_v7:8:102163805:102165000:1 gene:gene-LSAT_8X70141 transcript:rna-gnl|WGS:NBSK|LSAT_8X70141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWNLNTPSEWDWENLAMYSSKEIEVAKNLQFSSHESQENVVVDNVDFSFSASADSSSKETIKSTFRGFDDLPRDFLDKDDSSWIGENGSFSNMVEEASVLSGEAMIGLKLGRHASTSISSSKMATTSVSLFPTSSPMIKRSRASYLSSQSPRCQVEGCNLDLSSSKDYHRRHRICANHSKSPKVIVAGMERRFCQQCSRKVTFINQKAKLSSTPFCTQCKAAQATVRRDPIQLHTVVLFNVIWYSSKPEKYLVAPIFINTGLRTNDEYRRPQMNFLLNRASIPRRDSAPPESSCDFKGEESFLGLAKGGGVDVLPPNGALHFGSERFMPRSMSHNGMYATYEHR >cds-PLY74531.1 pep primary_assembly:Lsat_Salinas_v7:7:34079513:34080484:-1 gene:gene-LSAT_7X25460 transcript:rna-gnl|WGS:NBSK|LSAT_7X25460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCVKSNHQGIRIKKPDPWNYHEEITREQFRAIRSEFWQHVQVYGGRQEIWDALRRASEALIHIAQAIVDSLGIIPHVTDLRVSFDNRGTRYELQLYVLSELSNLIGG >cds-PLY91183.1 pep primary_assembly:Lsat_Salinas_v7:8:186572558:186576043:1 gene:gene-LSAT_8X120341 transcript:rna-gnl|WGS:NBSK|LSAT_8X120341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRTLPILKQLLHRPAPPVSTPSRSVTYMPRPGDGAPRTVTLIPGDGIGPLVTGAVEQVMDAMHAPIYFEKFDVHGDMKTIPAEVIESIKKNKVCLKGGLNTPMGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHENVDIVVIRENTEGEYAGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKTVTAVHKANIMKLADGLFLESCREIASKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAVFEQGASAGNVGKQKIVDRKTANPVALLLSSAMMLRHLQFPSFADRLENSVKRVISEGKYRTKDLGGNSTTQEVVDAVISKLH >cds-PLY76426.1 pep primary_assembly:Lsat_Salinas_v7:8:154757675:154757827:1 gene:gene-LSAT_8X105461 transcript:rna-gnl|WGS:NBSK|LSAT_8X105461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRALGNPQDMFSDTAIQLQSVFAQWKQNTHALVHGATTPSATASTSLTWG >cds-PLY93242.1 pep primary_assembly:Lsat_Salinas_v7:6:160144089:160145251:1 gene:gene-LSAT_6X98601 transcript:rna-gnl|WGS:NBSK|LSAT_6X98601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTKGKMSSKATLLISFAVVIVSLCLPSLTTAAYPYSSPPPPQPKKSPPPPPKHHYIYKSPPPPPPPVYKSPPPPVYKSSPPPPVYKSPPPPTPVYKSPPPPKKPYVYKSPPPPPPVHKSPPPPVYKSPPPPAYKSPPPPVHKSPPPPVYKSPPPPVYKSPPPPHYVYKSPPPPVYKSPPPPVHKSPPPPVYKSPPPPVHKSPPPPVYKSPPPPVHKSPPPPVYKSPPRPLHKSPPPPKKHYIYKSPPPPPPIYKSPPPPPPKKPYVYKSPPPPTHVHKSPPPPTPVYKSPPPPPTPVKKHPPPHYIYSSPPPPHH >cds-PLY98419.1 pep primary_assembly:Lsat_Salinas_v7:7:90173406:90174627:-1 gene:gene-LSAT_7X62701 transcript:rna-gnl|WGS:NBSK|LSAT_7X62701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFIVVVLQYITRSLVYLLTHVVWLMLFVTLTVAAGLLFLSVDGPHGKHVEELLEYARFGLWWVALGVASSIGLASISGDKMDVTEELDASSLENNGVASNLNHMKRWFLSHA >cds-PLY71460.1 pep primary_assembly:Lsat_Salinas_v7:7:191663547:191665364:-1 gene:gene-LSAT_7X115181 transcript:rna-gnl|WGS:NBSK|LSAT_7X115181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMKRISDEDGEEKWAKHYSSNHQILLVGEGDFSFCVSLAMSFGSASNIVASSLDSYDVLIKKYKRAKRNLEILDSFGAQLLHGVDSTKMKLDAYLRMRKFDRIVYNFPHAGFLGKESDHLVIMMHRSLVRGFFRNASGMLRPNGEVHVTHKTACPYYCWNIKELATQYCLTLLECVKFKIKDYPGYKNKRGDGRNADHPFPLGKCSTFKFILSSKANKLSTSNPQQPQEIPLQRANERIAMNHPFPVMMNKECFRVFKEYFNHSCSSSGESHDNLPYRDQDMLKIGYERYNAENNGKPLDGYVCLVEELRKFSRQRVAFLRNWLLEIDHQYLSVQVLTEKSLA >cds-PLY62854.1 pep primary_assembly:Lsat_Salinas_v7:4:30109047:30111120:-1 gene:gene-LSAT_4X17020 transcript:rna-gnl|WGS:NBSK|LSAT_4X17020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGPRKSLDRISTLPQDAIDLILSLMPIQDALRTSVLSRKWRYSWTSMPKLVFSDMGVSSGNKEIDKYKFVKAIFHVLLLHRGPILEFCIILFVHKNIFSEIDQMILHFSRSNNIKKFTFLICGEIYLLPCSFFSLQGLEHLDLTHCEFDLPLMNKGFNRLKKLRLFEVDITNKMLQGFLTNCPLLEEFTWARGYYTNTKLSECEFVELFKCLPSIQVLRISQLYIEHLGAGSNSMPHKLPISLPHLRILILNVCFLDLSTVLCVISSSPNLEKIKVEMCWDHDEHCLQHTFNNLPDIQEDYSGLNLDHLKELEITNFHNHGVEMEFVKLIMGKSPVLKKARIELHYRVSVNEEVKMLRGLVHMPFPRASPTVGFTIKRYNEIDLL >cds-PLY88639.1 pep primary_assembly:Lsat_Salinas_v7:5:139078549:139080053:1 gene:gene-LSAT_5X60621 transcript:rna-gnl|WGS:NBSK|LSAT_5X60621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIYLFMYLGSNTSRRLRHTRPFPTIGTTGLSLIYCCKIKQLSQGPVAIRMTKRVISNGLEMETGSGLELEEKCYEEILVTDDRLEGLNVFSEERKPFYKDE >cds-PLY96736.1 pep primary_assembly:Lsat_Salinas_v7:6:161606674:161609986:-1 gene:gene-LSAT_6X98021 transcript:rna-gnl|WGS:NBSK|LSAT_6X98021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKNYAIKREDTCMMEAYEVKMKSRKGRRPSMASLIVSLIVVIVSLSYPLPITAELKPYEPFNHPIHAKPPPPPPKSPPPPPSSNLFSLPLPPLFPGTPKSPSPPKSPSPPPPKGKQKKKSSSPPKEKGKKKKKKKGKEKSPPPPKEDEKEKSPPPPEEKEESPPPPEETEKSPPPPEETEKSPPPPMGNEKSPPPPKTGPDLPIKIPNPPIALPKLPGLPTVPSPPQSPPPTPSLSIKGIIFPPAAPILPPLVPGLAPGLPPLPLPDPIPKPPPGVLPPPVPGLAPGLPPLPLPDPVPKPPPVIHGKSPPSPPKSPPPTRPLSIHIPILPHVPPILPPPVPGLAPGLPPLPLPNPIPKPPPGSLPPPVPGVAPGLPPLPLPDLKPKPPPSSPPPESPPLTSSFSIQGAILPHIPPVLPPPVPGLAPGLPPLPLPDPIPKPPPGTLPPPVPGLAPGLPPLPLPDPIPKPPPGTLPPPVPGLAPGLPPLPLPDPIPKPPPGTLPPPVPGLAPGLPPLPLPDPIPKPPPGALPPPVPGLAPGLPPLPLPDLKPKPPPSSPPPESPPLTSSFSIQGAILPHIPPVLPPPVPGLAPGLPPLPLPDPIPKPPPGALPPPVPGLAPGLPPLPLPDLKPKPPPSSPPPESSPPTPPLSIHGPILPHIPPILPPPVPGLAPGLPPLPLPDLKPKPPPSSPPPESPPLTSSLSIQGAILPHKPPVLPPPVPGLAPGLPPLPLPDLKPKPPPGTLPPPVPGAAPGLPPLPLPDLKPKPPPSSSPPESPPSTRSLSIQGVIFPHVPPILPPPVPGLAPGLPPLLLPDPIPKPPPGALPPPVPGAAPGLPPLPLPDPIPKPPPGGSPSSPPPPTQYIYGNSLPKPLNSSAPPKYIHATSPPSSPKSHPPPQTFHGIIDPLPAPPLPLMPPPVPLPPPAPGLAPGLPPLPVPDPKLPKPPPLPVPDPKLPQPPPLPIPDPKLPQPPPLPIPDPKLPNPPPLPIPKPPLYVHGK >cds-PLY97675.1 pep primary_assembly:Lsat_Salinas_v7:8:7943879:7945599:1 gene:gene-LSAT_8X6120 transcript:rna-gnl|WGS:NBSK|LSAT_8X6120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASIRRRIQIEVNSSDTIDDDKEKTDLGSDEPTPSDGNVTEDHDPFSGGKVRRKASIARSFRGDYIDVRSKPYLMKILEKQGDRGVIFADEVLKFTGSGKMKRRILVITNFAIYVVDQETYTLKRRIALAAVEKLCLSELSDNFFSIIVPTEYDLLLASTRKTEIVSLLVESMKNTSNYELEVHLSNSFEYHATSEIVKEIQFEEVEGGIRTRIVKK >cds-PLY92504.1 pep primary_assembly:Lsat_Salinas_v7:2:152521542:152528332:-1 gene:gene-LSAT_2X76641 transcript:rna-gnl|WGS:NBSK|LSAT_2X76641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKEEVHSDDGSFELIDFDDYAVNMEDMNTKADFFRNRDISSDGSYEFLSSELSDILVLTEGEASAYEDLSAQIKFFSLESENEAKSDPSEEEEEEFSGMEFPDSVDMSRKRNFMTMAYENSNFDVHDYPFHIKLKDDITIKEMAKNHILRYLPAKSLAKCQLVSKEWDLWISSPFFAHTQSQYFSQTSGFFQDDDETIRFISLDNSSYGVPYPSLYFLPQKVSIRSSCNGLLLCQASDDENEIYVCNPANKEWIQLPNSGYYHGKEPKNVLAFEPSSLNFEPRYQVICPFSVPGEGPILYFDIYDSNTKSWRTCDEICLDFDESDVKSEGLYVNGIVYWETTRGELLAFDLKNEFYSVQKLPLGGALSKVNGELCYVKGYYCHSVKMCVLDVYGGGVMSLKSTMSVDVHLDGVEDGEMVDCRVLGNSCDDVVAFILEKSQGQNCLFAYYMKDQKVEGPWVLIMEVKEEVYSDGSYEFVNLDDISSNTDRSSDGSYDFLSSSEEEASNTTLVNEDNTQIKSCSLGLPINENSKFKDSTNSHDEEDDDDEEEDDEDDENEEDKDEEDEEDDDDDDDDDDDDDDEGGEDEDDDEDGEDEEEKDENDDKEGMKFLASNNMWETTSGKLLAFDFKHEIYGVQILPGGGALSKVHGVLCYVKGHYRNSTKKFVLDVYHGGLRSLKNTMSFFAPIDDVKKGDEVYYSVLGNSCDDVVGVILKKSCEELNLFVYHVKDGKVEGLWFISSSGFNKLLPYANSLVSIA >cds-PLY70033.1 pep primary_assembly:Lsat_Salinas_v7:5:201452351:201453382:1 gene:gene-LSAT_5X90781 transcript:rna-gnl|WGS:NBSK|LSAT_5X90781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKSFMIKKKLAKKMRQNRPIPHWIRMRTDNTIRYNAKRRHWRRTKLGF >cds-PLY67611.1 pep primary_assembly:Lsat_Salinas_v7:5:117381641:117383319:1 gene:gene-LSAT_0X33780 transcript:rna-gnl|WGS:NBSK|LSAT_0X33780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNYRSWKCVVCRIRKKPGVFSYTFEKDNIYFFKACIDCCVSRITRKAEADTIKEEAKMKVEHEGHPQHTLSLKLRPVAVFCDACKTKDEGLFYECNSGDFWIHKTCASLTHTINLPHHPNHKLVLVYSLPEIFFNFWYYCEICNEYIQQNEWLYHCANCRYFVHIRCALNTEQASGNLRNVSSTSFVDEVVEDLLEFPMLETFTDPLKLLHLDKTTDESKEIKHWSHNHPLILNVAHHGNNTHGIFSSDPIEVCHGCVRPLSLPYYSCKDRCSFSLHKYCAELPLKLQYPLHPDHSLVLINAAGHGIKYKCIGCFSYGNMYLYICEICEFYLDVNCAFLPQTIKHKSHNHPLIQVIDPEPLCSACTKYFEGISYACKPCDFILDMYCAMRSPHSLDHKYCKGHKIPLMYPPIIDHPEDFYCDICEEEMHPKLPLYYCHKCKNSFHLDCISRINRRENMLYKGTRNVSYHKHPLTFVRRKKTPKYVCSVCNQDINGYLSLECRARVCNFSICYECHFKKL >cds-PLY71992.1 pep primary_assembly:Lsat_Salinas_v7:8:148693945:148696344:-1 gene:gene-LSAT_8X100241 transcript:rna-gnl|WGS:NBSK|LSAT_8X100241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METEADDYRKEVHDFISKLIKSESVRLNQSEQSNIVTICMVPNTLRNLNPTAYTPRITSIGPLHKGDKHLQAMEEHKVTYMLRLFCRTRESTEEDIEKITYDCVQEVLRNLTRARACYAQSLTNYEDFKLAKMMVLDGCFILELIYRFKYGIGEGDLIFDNNLVMSDIKHDLLLLENQMPFFILEILFRITVERIRKQTSLTDLVFYFFKDINIISDSELSINRDHDYIVGLLRDVTIPNRQMVELFYRALAAIDERPLDHCHILGLLQSCYRPRAPKLGRVRNISSATEIAGAGVTFKAQTDEYSPLAVKFIQPSLIPGLGSLFFRETCFRIPVLCINDSTPSFLRNLIAYEQCYPLSRHYVTSFAFLMDKLIDTKDDVSLLVRSKVLQHNLGAVEDVTNLFNNICKGIVVRDFYYTEEWKRLDEYCNRFWPSMLVSLRRLYRSTTWKTLTVIGASVLFTLTLLQTIYSVRA >cds-PLY72015.1 pep primary_assembly:Lsat_Salinas_v7:8:237020872:237030748:1 gene:gene-LSAT_8X143940 transcript:rna-gnl|WGS:NBSK|LSAT_8X143940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLHSLSLSLLLFPFFFFISIAAQSNDTRYPSCLSYNCANITISYPFWRLDSEIPTQFCGYEGFGINCSNNVPIVYFGSDSYYIPSISYESKSIVLVDYDVSPVVPAVACPRVRHSIDWGDLPFNFWGQNVNLSFHFNCTGVPYFAREIGCLSNLTNKSCVNSLNFEPENFNWTVYSCDDAVVTTVFDVFSSAMELETEFSRALRQGFEVKWGRTEDCEKCEESGGRCGHNNSTTELMCFCSGGAITMGHCKAITLLLTIDTFNSSVLNLHLHFKLYILTMMFPLLIIFILFPILSFATIQQFNECSTTFYTCGQAITYMSYPFWGNGRPSYCGLEGFELTCREDNITTLKINNTTFRVGRIDQNNTKLTLAFDDLWEGDQPNACLLRPNESIDLTTFRYTFFSYVPDGSLFLGIYFSCLEDVVRSIPNRNRFNCSGDEDGRVSFIGNRSIAVGDACERVIGVPVLRTAFEDFNRSETMPLQELLWMGFQMEYRVDGGGICSRCQTSGGSCWSNSNSSVSKPSCICPDGVSRLVCDSGSGRNWVVKAIAGVVGSLFGIFVMGGIWYILRRRNNKKKRYYGSSYMSRNISSYPSSITDPEKNDTYHGVQIFKYRELEKATNYFDSKKELGDGGFGTVYHGKLKDGREVAVKRLYENNYKRVEQFMNEVGILAHLRHRNLVSLYGCTTHHSRELLLVYDYIPNGTVADHLHGEKSKPGSLPWITRIKIATETASALVYLHASDVVHRDVKTNNILLDNSFSVKVADFGLSRLFPTDVTHVSTAPQGTPGYVDPEYHECYQLTSKSDVYSFGVVLIELISSKPAVDITRHRHEINLSNMALNKIRNDALGELVDPSLGFETDYEVRKMINAVAELAFRCLQNERDCRPSMEEVLEGLNSIQNGGYVKEKEEDLVLDDDGLSLKEYSQTMSPDSVAIAWSTSTISTSGG >cds-PLY89483.1 pep primary_assembly:Lsat_Salinas_v7:1:122039592:122039864:-1 gene:gene-LSAT_1X91461 transcript:rna-gnl|WGS:NBSK|LSAT_1X91461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKGVFCMFLVIALVVAPGTEGQCMTFFLENAPCTNEADATCCDLLREATDFWNPHVESVWCVCQGLQAGGIPVTRLNQCGVVEGTDPYC >cds-PLY75579.1 pep primary_assembly:Lsat_Salinas_v7:9:32675458:32677876:1 gene:gene-LSAT_9X30680 transcript:rna-gnl|WGS:NBSK|LSAT_9X30680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRNKHTYRHPKPPSSSMESRRRRSGTRESKKKRRCKDKKEGEVEPEVDPEREQYKNNVRRCSKHIIGQASKTHNVVCPYFMTV >cds-PLY73978.1 pep primary_assembly:Lsat_Salinas_v7:8:62566237:62568070:1 gene:gene-LSAT_8X44320 transcript:rna-gnl|WGS:NBSK|LSAT_8X44320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENYKDESSLSRFFFDTTIVTEDILNELKSEDMKCRLFATLLRIYTKKFDVKPSFRDVALVFFPIVDDGKYYLLIFDLRSSLYYIVDHVKRTGTLERKYGMIPNLVKKLFCNYLTSQHHPMAKTLTFKAACVMNISWLVEKAGTECGIYLMRHMETYMGENEGRWECGLTGKMPADVSATIKLRTKYMARLLTSDFNKFKTMTVKDFEAFRKLDILEQDMLLRESAENRKKKKEKQRVVGCVDALFKFWDDDVMHCENQRFLLSME >cds-PLY71534.1 pep primary_assembly:Lsat_Salinas_v7:7:30094239:30094397:-1 gene:gene-LSAT_7X22100 transcript:rna-gnl|WGS:NBSK|LSAT_7X22100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRADRDWILQVGLVRVMDKLTEHPEFTGGISRILHAAFVDGEESGWASLKA >cds-PLY88481.1 pep primary_assembly:Lsat_Salinas_v7:1:150775934:150781682:1 gene:gene-LSAT_1X106561 transcript:rna-gnl|WGS:NBSK|LSAT_1X106561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPPPLQHHQINTTAIADGSSTTTSTSTTTPWSCETNWTVTRGSLDSAVTFESFDFPIDSEPTGPKPPLLLVPPSTSDFEPCEIKLNFTQKHEIRQVYVRSTARVYEIYYAPDLHSENEYLCTVRCNAASVTDVKNAISANLEGLNEISPKGRVSGENNIGTNEDDWVEIKLPVGNTYSPNQTSNAIRNYQNYYEATAEMNDSEPCKSLTLRLLSLQSKGFVHVDEVYVFADCIHSDDSQNQNLLNHPEPPSSGTSLMTMLVPTLLGLSKSRSIQSTTQHSTSKSVEKPINTESQPTTELTRCQLPPSTAELTRCDNRTESSGGFPVAVSNGIGIRDSESGGTDELTRCHVGGSSLDKEKIHEPNTSLMEQLVSRVSRIEDMLLRFEENMLNPINHIESRLHHVEQQLQSLTKNPQIPVLPSSPTSQPKSENTNQFHAQHSEEKQQLNNDFEKPKKSVSIDDALAAALAGFSSFTKPKDEFPVLPLESTSIPSESFLNNSEDLTTTYADVSTDIPSNKTDVHQDSIESVNILTFDKNADQSPDVKQVSESVNVLTFDKNDDQCPDVKEVSESVNVLTFDKNDDQCPDVEDGSESVNILTFDKNDDQCPDVEDGSESVNVLTFDKKQDSIESVNKILTFDKNDILKHFPDGSPDVKDGFECDFETCVLEVKFASMENGNLKSHLEDFLSYTDENPAMIDEGLLIEETTGNGNSLLLDLDGDDVAQGVLQDLPNVHVEPSFDSLI >cds-PLY91734.1 pep primary_assembly:Lsat_Salinas_v7:9:17394200:17396701:-1 gene:gene-LSAT_9X16300 transcript:rna-gnl|WGS:NBSK|LSAT_9X16300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PEP-RELATED DEVELOPMENT ARRESTED 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G48470) UniProtKB/Swiss-Prot;Acc:Q1H5E9] MASMAKPILSSIFIPPQASFHFKTTQKAPALHLPMKRSFSVLRASYEVGGGYTQEELDAREKGRNKHQHQASTDETSTWSPAQYEALLKGGEQVTSVLEEMANLLEDDQMDEESEELAVLLAAQGVIGKRVDQMESGFMMALDYMINLAEKDQDDKRKSLLEVIKETVLSHLTKKCPPHVQVVGLLCRTPRKESRHELLRRVAAGGGAFENENGAKVHLPGANLNDIANQADDLLETMESRPVVPDRKLLARLVLIREEARCMMGGGLLDERNNRGLTTLPRSEVNFLTKLVAQKPGKTVREMIKDVMLGKAEGADNSDEEESTRGRKAGASGSKPNPVRPGMFLETVSKVLGGIYDGNISGITAQHLEWVHQNTLQVLQEIAF >cds-PLY82094.1 pep primary_assembly:Lsat_Salinas_v7:1:128771667:128771990:1 gene:gene-LSAT_1X96660 transcript:rna-gnl|WGS:NBSK|LSAT_1X96660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEARMWIKTNKDQASMVPNWRQFLNKAQDKVVAGIESRITAWTFLPIENGEAMQIFHYENGQTYEPHRDYLC >cds-PLY73905.1 pep primary_assembly:Lsat_Salinas_v7:3:39951291:39952331:-1 gene:gene-LSAT_3X29821 transcript:rna-gnl|WGS:NBSK|LSAT_3X29821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWQGQKVTEQLMQIVLMVFAMGAFLTGYIMGSFQLMMLIYAGGVVLTTLITIPNWPFFNRHSLKWLDPSVIQKHPKPVAGFISKKKLPKK >cds-PLY78976.1 pep primary_assembly:Lsat_Salinas_v7:6:165587599:165591138:-1 gene:gene-LSAT_6X100040 transcript:rna-gnl|WGS:NBSK|LSAT_6X100040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RH39 [Source:Projected from Arabidopsis thaliana (AT4G09730) UniProtKB/TrEMBL;Acc:A0A178V449] MMVKLGGTARILTLSLSSTSTTRLSFSILSFPKINRPRRVYQGFRGLSTPAAAATTTPFTDEPSLESSKHSILLERLRIRHLKDSVGNTYKTNNKKPQSIPFQESEIDDGSSKHFKNKKEEETSFSELGLSEEVLAALTEMGITAPTEIQSLGIPAVLDEKSVVLGSHTGSGKTLAYLLPLVQLLRQDEALNGMVMKPRRPRAIVLCPTRELCEQVFGVAKSISHHARFRATMVSGGGRLRPQEDALNAPIDMVVGTPGRVLQHIEDGNLVYGDIRYLVLDEADTMFDRGFGPDIRKFLGPLKNRALKADGLGFQTILVTATMTNGVQKLVDEEFEGIEHLRTSSLHKKIALARHDFIKLSGAENKLEALLQVLEPSLAKGNRVMVFCNTLNSSRAVDHFLGENQLATVNYHGEVPAVERVENLKKFKSNDGDCPTLVCTDLAARGLDLDVDHVIMFDFPKNSIDYLHRTGRTARMGAKGKVTSLITKKDMTLADRVEEAMRKNESLESLTVDNVRRDNSSRPKLQHNKSKASKSIKISDQKTNKNFRSSVGPTSSKVTPFSKSKPPVKRGPKSSATKVASFSKSKKPMGHASGSTGPTKFSKPKKPIVKIGGKTKSSSNSQKGGGVGKSTSKLNVVGFRGRSSSSGSVRAS >cds-PLY68695.1 pep primary_assembly:Lsat_Salinas_v7:7:83928307:83929225:1 gene:gene-LSAT_7X59581 transcript:rna-gnl|WGS:NBSK|LSAT_7X59581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGYFVLSILEPRNPMNRPKSYDLLFTAVSAVTVSSMATVEMEVFSNTQLFSLAILMLLGGEVFTSMLELQIQKVKLSEKMKNRSNTSNTDIHLKYNSMKFLGVIVVSYFVAFQISGFLLVSLYVGLVTSAKEVLVKKNLNIQVFSIVTTISTFSNCGYLPTNESMMVFKKNLGLLVILIPLSLLGNTLYPVFLRLLLLFFGKINSREELKYVLDNEAELGYYHLLSGVHCWYLALTSIGFIVIQFVLLISIGWKSQAMDGLNPSEKVIGSLFQVVNTRHAGESVFDLSLISPAIIVLIITMM >cds-PLY98873.1 pep primary_assembly:Lsat_Salinas_v7:5:20617140:20619114:1 gene:gene-LSAT_5X10461 transcript:rna-gnl|WGS:NBSK|LSAT_5X10461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDVRGVVARELHLSRGVEKPSGRVTYIVVLEGLSRFSVEELNTRGTYTTGRISPIDMTEAEMKQVEQDTDFIVLSTQFIQIAMELISVLEHMRAIKEELGDNDDEDDDVAALERKM >cds-PLY88276.1 pep primary_assembly:Lsat_Salinas_v7:2:3446560:3449460:1 gene:gene-LSAT_2X2161 transcript:rna-gnl|WGS:NBSK|LSAT_2X2161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNNQPERRNSNTQLLQELEALSQTLNNTNTSSIPTTKNRRTNSLVLSRTSIPPILNTGKNDRADDDDHDNGIKLNPKPRSRRMSLSPWKSRPSEEISQKDPVVTKNLFQDSKHDSSSSEKKSGLWNWKPIRVLSHIGKQKISCLLSVEVVTIQGLPASMNGLRLSVSVRKKETKDGAVQTMPSRVSQGAADFEETLFLRCHVYSVPAGSGNAKAKFEPRPFVIHAFAVDAEELDFGRHTVDLSQLILESVEKNIEGTRIRQWDMSFNLSGKAKGGELVMKLGFQIMEKEGGANIYNQVEGQKSGKSKLFSPSMGRKQSKSSFSIASPRMQNRADTYAPSQEGRGNDFQGIDDLNLDEPPQEPAVPVVEVVAVSPPPPPEEPEPKMEDLDLPDFEVVDKGVEVLDKEGTEGTQSEDNSDKRSVSSEVVKEIVQDQAHLNRLSELDLIAQQIKALESMMKEEKSDDQYDEETESQRLDEDEDKVTREFFQMLENEDGKEALYEQDANTNSSFDGEDEKVFIPDFGKGLGCVIQTRNGGYLVSMNPLDNLMGKKDTPKLAMQISRPMVLDSKLTGTEMFQEMAAMGFEKLSSEILQLMPIEEVEGKTAEQIAFEGIASAIISGRNKEGATSSAARAISTVKSMATGMTTGRKERISSGIWNMNENPLTGDEILAFSLQKMEEMAVEALKVQADITEGNANAPFDISPNSKDNSSPLENAIPLEDWMKDNNIVTSCNEEETITISVVIQMRDPLRQYEAVGGPLIALIHATTVEVEASQETQEKKFKVAGLNVGGLKLRSGGKKNDWDTQKQRLTAIQWLVAYGLGKAGKKGKRVMVKGPDVLWSISSRVMADMWLKSIRNPDVKFTI >cds-PLY95312.1 pep primary_assembly:Lsat_Salinas_v7:4:299810929:299812060:1 gene:gene-LSAT_4X152221 transcript:rna-gnl|WGS:NBSK|LSAT_4X152221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSALTVAMCWKLVTIKKDKLNAISVAVYHKPDSNECYHLRKTQQPPMCELNDDPDAAWYEPLHACMHEVPMGETYRGSHWPEEWPDRVQKPPYWLNKSQIAISGKPTANDFIADYEHWKQVISKSYMSKLGINWSSLRNVMDMRATYGGFAAAVKDLNLWVLNVVNIDSPDTLPLIFERGLFGIYHDWCESFSTYPRTYDLLHADYLFSNLKSRCNIKSVMAEVDRILRPGGNLIARDESSMIMEIEKVLKSLHWEVNSTFTNKQEGIISGRKSIWRPSIYTAPFLFE >cds-PLY73548.1 pep primary_assembly:Lsat_Salinas_v7:9:77278143:77279303:-1 gene:gene-LSAT_9X63160 transcript:rna-gnl|WGS:NBSK|LSAT_9X63160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERVRLYTRGTILGYKRSKSNQYPNTSLVQIEGVNTKDEVSWYQGKRMAYVYKAKVKKNGSHYRCIWGKVTRPHGNTGIVRAKFTSNLPPKSMGSRVRVFMYPSNI >cds-PLY67759.1 pep primary_assembly:Lsat_Salinas_v7:9:164126823:164127281:1 gene:gene-LSAT_0X6500 transcript:rna-gnl|WGS:NBSK|LSAT_0X6500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLSFSTLPISTSTSTTKKPLFSTNSSHEKRSHRYKFSCTATADDNDKTVENSDTPKLILPKSPSLDMQNVDRRNLLLGLGGLYSAANLTTIPLAFGIPIQAPNDISSCVAARSSIPNQKEALRGIACCPPKRSTRPPGRYNFRTDQAIRV >cds-PLY64757.1 pep primary_assembly:Lsat_Salinas_v7:3:186682074:186682394:1 gene:gene-LSAT_0X33581 transcript:rna-gnl|WGS:NBSK|LSAT_0X33581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEILTSSNAKVLEETTKACQASEKRISEAIEKFRLLQKEVKEFMVEFRTTSDKNTTNMNKAIEGFQTSLKAEKEALLKLRADIKLDNLDLNSSITTQLTKLQSDFH >cds-PLY88247.1 pep primary_assembly:Lsat_Salinas_v7:4:153879209:153880853:-1 gene:gene-LSAT_4X93600 transcript:rna-gnl|WGS:NBSK|LSAT_4X93600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDRIRYRCQREIPSFSSTLLDKIYRSIDERDGEEKSVVYRESVKKRQSIDGCFQVDGSGSKNMRGMVVGRKSVAGFESSSQRSEGNSFFYNSTLGSSCSGYGVGFSSSSEAETVYGCPARPKPIRTSTHDANECDKQSVTYPQNHQPEDLQPKGKRKGKFGKTKSGAMKIYGYLKKGKRPLSPGGRLAAFLISLFTTGNAKKSFCTGGDDEAVIHGKRESKSANASMPSSVSSFSRSCQSKTPSSSSRGNIITTEIKRSVRFYPGTVIVDEHRQPRERKSVNGDRSDSLSVKFARNSVSEEIQKHSTENKFHIEETKRNLLKNYQKKVDYPFDSIKTNAVTDNDEDDEDDSASYASSDLFELDHLSAIGMDQCMKELPLYETTSIAANRAIAKGLLV >cds-PLY70852.1 pep primary_assembly:Lsat_Salinas_v7:8:116746565:116747368:-1 gene:gene-LSAT_8X81440 transcript:rna-gnl|WGS:NBSK|LSAT_8X81440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFTIAKAKSHSISSTTTPPETDDLGHGATDPVTTQLQLAHYQSAASLDKEAVLRRIRYHKRLRKLKCTFESLVNKSPSDGYDKWFEPTDSFTSP >cds-PLY93743.1 pep primary_assembly:Lsat_Salinas_v7:6:142230224:142230595:1 gene:gene-LSAT_6X84840 transcript:rna-gnl|WGS:NBSK|LSAT_6X84840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPVFIQVDVHFQGIFAKYPIRYNDRITQRLSDIDFASVDKNGCYDFIEMFTGERCEKLYYCQPYIDFPKGLTLTNNEKDYVDFITIAYECGVILFIYVDHFGNSNMYAWLDEHKEDVDNIEE >cds-PLY70109.1 pep primary_assembly:Lsat_Salinas_v7:3:10715710:10717965:-1 gene:gene-LSAT_3X6320 transcript:rna-gnl|WGS:NBSK|LSAT_3X6320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMGDYKVDMINDGMQEFYVQFHGPKDSPYDGGVWRIRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGDAAALMMRDRTAYEQKVKEYCERYAKPEDAGAVPEEQSSDEELSEAEYASSDEEVAGKADP >cds-PLY76998.1 pep primary_assembly:Lsat_Salinas_v7:6:63964246:63967521:-1 gene:gene-LSAT_6X46340 transcript:rna-gnl|WGS:NBSK|LSAT_6X46340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNFGNGKGKPVTGDDDDDEDEGNERDLEAWERAYADERSWESLQEDESGLLRPIDNQALHHAQYRRRLRSLSSASATSRIQKGLIRYLYLVIDLSRAAGEMDLRPSRMVVVAKQVEAFIREFFDQNPLSQIGLISIRNGVAQCLTDLGGSPESHIKVLMGKLECAGEASLQNALELVHEQLDQIPSYGHREVIILYSALSTCDPGDVMETIQKCKNSKIRCSVIGLSAELYICKYLCQETGGLYSVALDEAHLKELILEHAPPPPAIAEFAIANLIKMGFPQRAAEGVISICSCHKEAKFGGGYTCPRCKARVCELPTECRICGLTLVSSPHLARSYHHLFPVTPFDDVAPLFVPNHRRPKTCFGCQQSLLNPGNIPGRCVTCPKCKQFFCLDCDIYIHESLHNCPGCEGLRDSKSLS >cds-PLY88723.1 pep primary_assembly:Lsat_Salinas_v7:7:193805274:193809222:-1 gene:gene-LSAT_7X114480 transcript:rna-gnl|WGS:NBSK|LSAT_7X114480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSDVHLEKIVHTYNIDIAPYEESQSSSQCMRNMSDSIDSVEAILRYKFNNRSLLEAALTHSSYTESESYQRLELLGDAVVGLVITIFFYLAYPDVDPAQISLLRSANISTEKLARVAVRNGLYKYVRHKTILLNDKVRKFLIAVEDEDEMVVHGGQMKAPKVLADIVESVVGAVYVDCGFCLQSLWTIIRELLEPMVMLDVLEKQPQPITMLYEACQKEGKFVDIKNWRKGERNIASVYVDGKFIASGSSENKENAKLHAAEVAVSKLTRLKSIDVISSSSSVHMMYPDCYKSECSRDMENSIAAVEAILRYNFKKKSLLEAALTHSSYTESRSYQRLEILGDSVLGLAITNFVYLAYPDVDPGQISLLRAANISTEKLARVAVRNRLHKYVRHKTILLNDKVREFVIAVEEEEEMVVHGGQMKAPKVLADIVESVAGAVFEDCDFNLQILWVVIRELLEPMVMLNVLEKQPQPITNLYEACQKEGKIVDIKNWRKGERNIASVYVDGKFIASSSSENKENAKLHAAEVALSKLTRLKSTDAMSLQMKDDSGEATEIKGAKRKILELCNRRRWPKATYRVEQESGPAHNKRYIASVQIELSGKIFFMKGEERSKVKDAENSAASSMFYSLRELGY >cds-PLY72679.1 pep primary_assembly:Lsat_Salinas_v7:6:29151821:29152805:1 gene:gene-LSAT_6X21641 transcript:rna-gnl|WGS:NBSK|LSAT_6X21641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGAIVIAASSSWARALIKISPYTFSAIGIAVAIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPSSQIYEPESLRAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPSKV >cds-PLY88872.1 pep primary_assembly:Lsat_Salinas_v7:8:4717803:4718510:-1 gene:gene-LSAT_8X1961 transcript:rna-gnl|WGS:NBSK|LSAT_8X1961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSSLLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFASDLDKATQNQLARGQRLRELLKQSQSAPLGVEEQVLTIYTGTNGYLDSLEIGQVRKFLVELRTYLKTNKPQFQEIISSTKTFTEEAEAILKEAIKEQRERFILQEQAA >cds-PLY88809.1 pep primary_assembly:Lsat_Salinas_v7:4:92952470:92952736:1 gene:gene-LSAT_4X59241 transcript:rna-gnl|WGS:NBSK|LSAT_4X59241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSVNINEDDFFSNHTTQPSPSAASPSGNPNKKAEHLTLRPRAASASPKPLSSASHKASITADDLALEMQKALRHLTQGPTIPQCL >cds-PLY62877.1 pep primary_assembly:Lsat_Salinas_v7:9:129813571:129813792:1 gene:gene-LSAT_9X83681 transcript:rna-gnl|WGS:NBSK|LSAT_9X83681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEVFGYVFENLNKEGESMPVPSPLVGAGDDVITGAGTEALCFFALVFFFLGTKAVTIKVEAITGAGGDENED >cds-PLY80431.1 pep primary_assembly:Lsat_Salinas_v7:3:170546545:170548524:1 gene:gene-LSAT_3X103661 transcript:rna-gnl|WGS:NBSK|LSAT_3X103661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPRKHQQQASSKRFRRNSIDVPRKKLCTRRGGGRICSATIGTQESVVQQVPLVDEGENVMGDNVGQSVVQQVPVVNKGVQEEGVVQEVPVIHIQDGHMMQEGESSQASVMEGNDTFRQVGSSIGRKLKSTNDENEQGIDAILEGVNFTNKTKSSPLNNDNEVEDNQFIEFTKGKDDDILPEKIQLGPEEIASMLEAGYSMAEIEAMREVQVELDDSPPVELDVNDFIDGHHSDDDAGLDGGAEDAGDEAAGDGGLDDDVGLDVGPDHGVGYEAASDGDEEGPSGGDVDDGDGPEGDGDEEGHGGGDVDDGEENQGDDEGHQVVPMVRRRTRKNSERITKIKLMKGVYDKDAGGSSSVKPINLEYIVC >cds-PLY68078.1 pep primary_assembly:Lsat_Salinas_v7:5:293450277:293451726:-1 gene:gene-LSAT_7X62041 transcript:rna-gnl|WGS:NBSK|LSAT_7X62041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPCFNRVLVEKIIPPSKTSAGILLPEASSKLNSGKVIAVGPGSRDAEGKLLPLCVKEGDTVLLAEYGGTEVKLDDKKYHLYDDHDILGTLHD >cds-PLY90546.1 pep primary_assembly:Lsat_Salinas_v7:6:51543004:51544937:1 gene:gene-LSAT_6X37540 transcript:rna-gnl|WGS:NBSK|LSAT_6X37540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREIVSIHVGQAGIQVGNSCWELYCLEHGIQSNGYMPTNVTSSSEEGNSFGTFFSEGITGKYVPRAVFVDLEPTVVDEVRTGSYKKLFNPKNLISGKEDAANNFARGHYTVGKEVVDHCVDRVRILADNCSNLQGFMIFNSVGGGTGSGLGSLLLQRLSNEYGKTQKIGFTIYPSPKVSTSVVEPYNAVLSTHSLIEHTDIVVQLDNEAIYGICKRGLDMEKPTYRNLNRLISQTISSLTTSLRFPGSMNVDISEFQTNLVPFPRIHFMLSSYAPVISSVKAYHELISVPEITNAVFDPSNMMAKCDPRRGKYMACCLMYRGDIAPKDVNSAVGAIKTKKTIRFVDWCPTGFKCGINNQAPSVVPGGDLAKVKRAVSMISNNTAVSEVFNMINHKFDVMFAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVLQDGMEEDEDQGYEY >cds-PLY71229.1 pep primary_assembly:Lsat_Salinas_v7:6:19256975:19258924:1 gene:gene-LSAT_6X14841 transcript:rna-gnl|WGS:NBSK|LSAT_6X14841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCCKFPSSLSDGGKKMKRKRRKNATADEFSEVIPIPSHDFKLECAALTRRGYYPDTPNKDNQDCFCIKTSIQGNPNVHIFGVFDGHGHSGKQCSNFVKNRLIEILSSDETLLEDPVKAYNSAFSTTNNELHDNPDIDDSMSGTTAITVLVINDKLYVANVGDSRAVISVKPDHQGRIVSQDLSDDQTPYRNDECERVKECGARVLSVDQVEGLKDPSVQSWGDEESSGSDPPRLWMQNAMYPGTAFTRSVGDSLAEKIGVMAVPEVSVTQLTHNHPFFVLASDGVFEFLSSQTVVDMVDRYMDPRGACSAVVAESYKSWLEHENRTDDITIIVVHVNGLINSGGEAGGSGGQPPPLRPDVSPQQSEMYGSVASDFS >cds-PLY68706.1 pep primary_assembly:Lsat_Salinas_v7:5:278929781:278935148:-1 gene:gene-LSAT_5X144181 transcript:rna-gnl|WGS:NBSK|LSAT_5X144181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGMVNDKGGARAELYEHKITPYFIFACIVAALGGSLFGYDLGVSGGVTSMDHFLKEFFPKVYRRKQEHLKETDYCKYDNQILTLFTSSLYFAALFSTFFASHVTRNKGRRASILCGAVSFFAGASINAGAQNIAMLIIGRCLLGVGIGFGNQAVPLYLSEMAPAKIRGAVNQLFQLTTCLGIFIANFINNATEKHKWGWRLSLGLATVPAFLMFVGGLFLPETPNSLVEQGKLEEGRRVLERVRGTKNIEAEFQDLVEASEAAKAIKHPFRNLLKRKNRPQLIIGALGIPAFQQLTGMNSVLFYAPVIFQSLGFGSGASLWSSTITSGTLVVATFISMAFVDRFGRRAFFLEAGIEMIIAMVCVAVTLAIKFGQGVELSKGIGIFLVVMICIFVLAYGRSWGPLGWLVPSELFPLETRSAGQSIVVCVNMFFTALVAQCFLVSLCHLRYGIFLLFAGLIVVMSCFIFFLLPETKQVPIEEIHLLWQKHWLWKSYCEPEEEKTGMKPVQVV >cds-PLY75371.1 pep primary_assembly:Lsat_Salinas_v7:6:180253323:180254632:1 gene:gene-LSAT_6X110460 transcript:rna-gnl|WGS:NBSK|LSAT_6X110460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELKDTARAVAFLAKMTLHRSLVVQLLERGLLDPNKMRRLLDSSSPREVTLDILMIISDLARMDKIGNAANHCDMFYEELRKCIPLLSAEEDDKSKANSCGALSNLVRNSNKLSQHIVSKGAMQLVSDCCLNPPTRRDAIDESPLKIALFSLAKMCAHSPCTQFLRSSELYPVIGQLRQSSESTIASCASIIFNRTSSQP >cds-PLY62430.1 pep primary_assembly:Lsat_Salinas_v7:4:10665374:10668875:1 gene:gene-LSAT_4X6280 transcript:rna-gnl|WGS:NBSK|LSAT_4X6280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKIIYKGDNISIYSRFSNHQGFLSDGKKNEALRIAGDVVIIPAFGAAVDEILTLSNKQVQIVDTTCPWVYKVWNTVEKHKKGDYTSIIHGKYSHEETVATASFAGKYIIVKNIDKKFKFAVSKGFDPDKDLVKAGVANQTTMLKGET >cds-PLY95736.1 pep primary_assembly:Lsat_Salinas_v7:2:115813980:115815647:1 gene:gene-LSAT_2X52881 transcript:rna-gnl|WGS:NBSK|LSAT_2X52881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYQTLFFVLSSLFPLIIYALTSWRRRNSRLPPGPKGFPIIGNLLEFGDKPHQSLAILSKRYGPLMSLKLGSNTSIVISSPDITKEFFNTHDVAFLNRSAPKAIQLGDFHKYSIVWMEAGDQWRKLRRMTKEYMFSVQQLDASEVLRREKVQELVNHVNQCCIEEKPLNVGACAFTTSLNILSNFMFSIDLAEYGPKSTQQFQDLVLQAMQAGAKPGLPDLFPILHSLDPLELIWSENVYAKKMLAIFDKIINDRLRTRSDGVSTKSNDVLDLLLDQHSSFTQNDMRHLFLTLFMAGTDTTSSTLEWAMSELIRNPEKMKIARLEVDKLMQNNNNGNIQESDISQLTYLQAVIKETMRLHPPAPFLIPRQALHDVAIHGFVVPKNAQILCNVWAMGRDPNIWSDPEMFMPERFLDVKIDYKGQDFELIPFGAGRRMCPGLNLANRMLHIILASLIHKFDWKVVGNTRPQDIDMGEKYGITLQKAEPLMVIPIKL >cds-PLY62318.1 pep primary_assembly:Lsat_Salinas_v7:3:211209241:211210401:-1 gene:gene-LSAT_3X125401 transcript:rna-gnl|WGS:NBSK|LSAT_3X125401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKSKPIELLRERLKNNPLIHVVADDGILETDKFEFWVAHDEILQLLNKRTLDVTILTVWEMNLHSIARVKNKCSFLNPHKILGESCQENPEAVINYIVDVMRIQQGKQFLIAPYLQRAFARYGKYTSNPISWTLAECNQQPGDWECGYYVMKWMMDFVMVEQHGFLSRTTTLWKDTTPFSETTLFSIVVKWATQFLNKYIKDVVF >cds-PLY76354.1 pep primary_assembly:Lsat_Salinas_v7:5:225838617:225839282:-1 gene:gene-LSAT_5X107560 transcript:rna-gnl|WGS:NBSK|LSAT_5X107560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRHISENPRILADNVEPEKKRKNHEMSDCCVVMGSSNSKEQQLLEAAAFHLILLSNPLQQSYDSDDGCTGNNGSLDGGFRMIMGKKKRIQDYGVEAIVKHKNDRDVKSVTSSSSSSSAETIKSGISSVSIGENEGRVQRLQQHRLRSIVDIYHVTRRL >cds-PLY96058.1 pep primary_assembly:Lsat_Salinas_v7:8:22157469:22166392:1 gene:gene-LSAT_8X15960 transcript:rna-gnl|WGS:NBSK|LSAT_8X15960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKESIKGLYARTKPFMFMIFLQTSYAVGSLVTKSALNKGLNHYTFAVYRNAIAALFFGPLAFFTERKARPKMTVSIFLKIILLGLLEPVIDQNLFYAGMKSTTATFASAMCNILPAITFVMAWMLRLENVKMKSLHSQVKIIGTLVTIGGAMIMTLIRGPEVQFPWTNNHTLHHESPVNTQDQIKGSLMITAGCISWASFVILQAVTLKSYPADLSLTSLVCMMGTLEGSILTLVVEKANTSIWSINWDIKLFAAIYNGLVCSGFAYYISGVVMQDRGPVFVTAFNPLSMVIISMLESSFLAEKLNLGNIVGAIVIVLGLYLVIWGKSKDQKQQGHEPSLNQQENGMKRPIKHNVDVINSHGKPSSDVEVV >cds-PLY75201.1 pep primary_assembly:Lsat_Salinas_v7:2:203140245:203141309:-1 gene:gene-LSAT_2X123920 transcript:rna-gnl|WGS:NBSK|LSAT_2X123920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVYSITNFPVVFVEATADFTVSDFEKAPRYVTEWRRFLALEVADVLQGAPPLVVQLTWLSDGAAALGFGFSHCICDGIGSVEFLNLFAQLATGRRNGSSADFKPKPVWQRHLLDKTPFTLRQQPTQLLHPEFNRVTDHCKFMSRFTPDKLTPTSVTFDEWRLNELKHSINPTSQLRLLCTTFEVLSAYIWKSWATALDFPPQQNLKLLFSVDIRHRVKPSLPTGFYGNGIVLGCAQTTARNLTEKGLAYATELIKEAKNRVDNNYVKEVVESVSLNGASCVPDPVGVLVLSQWSRLGLEMVDFGMGRPVHLAPVCTDRYCILLPVDDHSRSVKVMLAVPSIAVDKYLDLLSDV >cds-PLY67956.1 pep primary_assembly:Lsat_Salinas_v7:3:217198021:217198593:1 gene:gene-LSAT_3X125261 transcript:rna-gnl|WGS:NBSK|LSAT_3X125261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMPRCGFPDKETHHNHNTNNSLHTVSHYRFFPGRPKWPRAKKHLTYAFGSRFPTRFMPPIDRAFRKWATATRYFTFSRARSYRSADLKISFARGSHGDGNPFDGPGGVLAHAFAPTDGRLHYDADDRWAVGAVRNAYDVETLALHEIGHLLGLGHSQFQNAIMWATFRSGVTKGLSSDDVRGLRALYGF >cds-PLY80804.1 pep primary_assembly:Lsat_Salinas_v7:5:240214528:240215123:1 gene:gene-LSAT_5X118560 transcript:rna-gnl|WGS:NBSK|LSAT_5X118560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASASRSAIVSGARTIAARSNLISRTLTPKSVPVSPFHSSTRTVPRAASRIVAALGTVESMLPLHSAIASARLRSNIAVDSTCWSWLSQDLGA >cds-PLY62210.1 pep primary_assembly:Lsat_Salinas_v7:4:274767763:274768050:-1 gene:gene-LSAT_4X141061 transcript:rna-gnl|WGS:NBSK|LSAT_4X141061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTVGYKTLTKILKSLYKLLTYPEYLFQEESITQRRSWGENLTYYTGIGYLSDAVVGVGKGLVEGVKASEARDTMKLRVNRNEIRGGWIRD >cds-PLY78571.1 pep primary_assembly:Lsat_Salinas_v7:1:105618299:105619047:1 gene:gene-LSAT_1X82880 transcript:rna-gnl|WGS:NBSK|LSAT_1X82880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQILLGEIGRRSGGDNLLEREGYVVSAGFPLDLVALGRGLDATDFIDTLVRRLFQYAGSKESHYERFHLSNGSMDDHNRGSGQMMDGTQINIDVTTLGAIIALGLMYLKVTLWQEG >cds-PLY85904.1 pep primary_assembly:Lsat_Salinas_v7:2:190095380:190095795:1 gene:gene-LSAT_2X112120 transcript:rna-gnl|WGS:NBSK|LSAT_2X112120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNQEVNNGLPLTFTPVNPKKGVIRESTDLNIIFLAASTCIQSNVWMLEEYDGQLIVTSHGVAGNPGQETISNWFKIEKYEDHYKLMFCPTVCDICKPFCGNIGVVIAKNGSRRLAISEVPLKIMFKKA >cds-PLY99882.1 pep primary_assembly:Lsat_Salinas_v7:4:47515228:47519200:-1 gene:gene-LSAT_4X32681 transcript:rna-gnl|WGS:NBSK|LSAT_4X32681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRQGHDYAAAAMAFAQQQQQQAANIQNQQPPPPPPPYGFHPQHQQQFLPSVHGPPFIPPHPSIQQFPRHPLMQQPQIHPHPQSHLHHLHLPQQQQQQPPPNFPPHMPPHVSPSPFHGPYDAPPPPAPPPSDPEQQKTIDKLVEYAVKNGPEFEAMIREKQKDNPAYSFLFGGEGHSYYRYKLWLASHPPGAMFNPSFPPSNVNMLHTSNPMMNNQSPLNPPHLPPAPQMHQQHPYPPFYEQQHHQPFVSHGRPEFDQSSRAFKGLSGPLPSDVASEMNNMLNSLTGTKESIKGAKMWFMQRSPFAPALAEALRDRVFSLDDSERQLHIIYLANDILFDSLQRRVNPHEIDNEALAFRHVLGSMLARIYHNPVNKDENQSRLQKILQFWASKEVYDPDTIRVLETEMLSGPPVGSFPKNDLSTIPGDPSAIPGHPQQASASNMVHWQPDKQGMIPNLSDQEQLPPGMAPPIPTPQFHSNPGYPSSIPLQSSIQQIPPPQAPEKLPPYPLFPPGLIPGMVRKMQIGSGVPYSPMSPLDIPTVIPPSTISPSEILESVSKFFKEIGEVNPSEGPMGAKSDDEDEYEYERETPVRKGGACIPPPPNLQVDPETGTYADGTVEKKPGSSGSGRLGLGATANPNEVSQYDDVYTSYRKQRSTNYHSSMSARASTR >cds-PLY91190.1 pep primary_assembly:Lsat_Salinas_v7:4:125047178:125049312:1 gene:gene-LSAT_4X78581 transcript:rna-gnl|WGS:NBSK|LSAT_4X78581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMSSSVVAYSFRHIPPLKQRSIRYFSHQSPLRRRPLCSPCSVLVATSTSSCSLPNSASFTGNSLFRRMERYWAASSINKNKGMVEHLQRYGVIQSKKVAEVMETVDRALFVPDGSSAYEDSPMQIGFNATISAPHMHATCLQLLEKNLQPGMHALDVGSGTGYLTACFALMVGPQGHAIGVDHIPELVTMSIKNIEKSAAAPLLKDGSLALHVGDGREGWVEFAPYDAIHVGAAAPEIPQPLIDQLKPGGRLVIPVGNVFQELKVVDKKEDGSVSVRSETSVRYVPLTSREAQLRGY >cds-PLY66190.1 pep primary_assembly:Lsat_Salinas_v7:6:164708939:164712652:-1 gene:gene-LSAT_6X100121 transcript:rna-gnl|WGS:NBSK|LSAT_6X100121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METGRVSMIVAPPPPPLPPTFVAPGFRFHPTDEELVRYYLRRKLCGKPFQSQMVPEVDIYKSEPWELADYTSVKSRDLEWYFISPTDKKYANSSRVKRSTERGFWKETGKTREINHKSEKIGQKKTLVFHSGRSPHGIRTNWVMHEYKLLDQELQTAGVAQDAFVLCRIFEKSGIGPPNGDWYGPFFEEEWDDEEALIVPVRDTMDDVANDDETRAEGNNTMQMDAQMIPVVCKKVRSENGVLNSEPELETLTLFHHKTSKGSDPNSSNANGSHDSTMTSQGQTTTNLLSAVVTTIETHPPATPPSFDASALEKSLPPEYMELIRDMENKIREVSMEKDALKIELMQAQATINVLHSHIDQLSKENTELKRGV >cds-PLY63443.1 pep primary_assembly:Lsat_Salinas_v7:7:152329185:152332421:1 gene:gene-LSAT_7X89300 transcript:rna-gnl|WGS:NBSK|LSAT_7X89300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRWNCRGSSEKLLAGESGIELMDWFYVSKFPTRFGFTVDGYIDWKNGQRLDDCLHYCIVAGKKVLEDADIGGDKYAKNTKDEAYYEKKIEDLWKMNIHGKALIVEEDDSDGGNIEVWSTDSEDEEV >cds-PLY96614.1 pep primary_assembly:Lsat_Salinas_v7:7:45134567:45135723:1 gene:gene-LSAT_7X33401 transcript:rna-gnl|WGS:NBSK|LSAT_7X33401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGYNKHNGEKGLFPILGGYGGGGGHYPPQHTAYPPQGYGYPPGGYPQAGYPPYGGYPSHGGASGYPAHAYPGSSAPYHSGHGGHGGHGMGFLAGGAALGAAAYGAHHVSHGYGSHGYGAHGYGGYGQHHGKFKHGKFGKHGKFGKHGGKYGKKWK >cds-PLY83582.1 pep primary_assembly:Lsat_Salinas_v7:5:17803931:17804927:-1 gene:gene-LSAT_5X8121 transcript:rna-gnl|WGS:NBSK|LSAT_5X8121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSYAYWLGLGVYHSGVQVHGVEYAFGSHEHATTGISGGEPRQGNGFAFRKQILIGFTEKKWMEVRGVMEELAEDYKGNSYNLIARNCNHFCNDACVRLTGNAIPSWINRLAKIGSLCSCIIPASVNSIKVGIKANKVHHEGEFSKNHRSRSGNGRYAASSSNSSLSSEKSSLPLYPVVDRSRSHRSKASLPPSSPMILNFCFSSPASP >cds-PLY85372.1 pep primary_assembly:Lsat_Salinas_v7:5:243110110:243113374:-1 gene:gene-LSAT_5X120460 transcript:rna-gnl|WGS:NBSK|LSAT_5X120460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSEGEDAVRRRGAVTEYRKKMLQHKELDSRVRGVRETLRSTKKDYAKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTAGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFVRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFSYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYNADFGKE >cds-PLY87437.1 pep primary_assembly:Lsat_Salinas_v7:2:135279547:135280527:-1 gene:gene-LSAT_2X64760 transcript:rna-gnl|WGS:NBSK|LSAT_2X64760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTCDVSMLSRVLEDLDLSDIDWCSYVLECLKNTNHAWNSVSDTSYYVGPIVLLTVIYLEHVSCDVVTVDRGRPAICFWDVETMRLREEYEIRNGGIGSGELQDPYIPQDDNAENVNSANGSVEEYLSTIESMFNKLVEDNHLLHSKLVDAIEMHPLVCDFYEWQAKIIIFLNEESMKYGGRSSTHANSVGPLSQWCSDKAEQINRSCQMTKNSVKSFHNSPFPNWSIGMTQEFADIISNSPLKDIMKTPKD >cds-PLY92074.1 pep primary_assembly:Lsat_Salinas_v7:5:323426260:323429187:-1 gene:gene-LSAT_5X177641 transcript:rna-gnl|WGS:NBSK|LSAT_5X177641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNFWASTHYKELLDQEEVDVVHNLDRERGITLEDFKLIKLQMTNYIARLAQNVKVRQRVVATAVTYMRRVYTRKSMTEYDPRLLAPSCLYLAAKSEESTVQARLLVFYIKKFYADEKYRCEIKEILEMEMKILEALNYYLVVFHPYRALSQLVQDAGMSDATQLTWGLVNDTYKTDLILVHPPHLIGLACIYVASVLREKENTAWFEDLRVDMNVVKNIAMEILDFYDAHKMITDDRVNAAMHKLTIRT >cds-PLY99497.1 pep primary_assembly:Lsat_Salinas_v7:4:58225526:58226936:-1 gene:gene-LSAT_4X38841 transcript:rna-gnl|WGS:NBSK|LSAT_4X38841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRRKSKRVSKRAKKQIKEDRISRLPDDLIDHIYSFLDSKFSVQSSLLSRRWRNTWKGHPRLKFKTDLSTGHKFDNFVHKFLSKRKKDAEIPIIDIISNSIPIRLLKKIIAYSMSHGTKVLSILYMYDIPTRRGGFDLSLLKSHFLQDLYLDIDFELLKSPNLTWYLPTLTTLHLQRVTFTLDPPNDDGSLELFSSFSNLKYLVLLDCRLWNVRTFYITSSALENLTLICLVRSCQFVISAPNLSSFIYDHMTPSLLLANDLDLLEMVSFRTIYDRPTENPPNYVETMINTFHQLHKVKHLILDKDAVLYISRFCGLRERRPCPFVSLESLTLDEMPCSIFRKHVVLDDILSYFRSGSPGCVAYIEYID >cds-PLY84909.1 pep primary_assembly:Lsat_Salinas_v7:6:15137637:15139254:-1 gene:gene-LSAT_6X11281 transcript:rna-gnl|WGS:NBSK|LSAT_6X11281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome non-ATPase regulatory subunit 11 homolog [Source:Projected from Arabidopsis thaliana (AT1G29150) UniProtKB/Swiss-Prot;Acc:Q9LP45] MVEWTRSEKRTFLRQRVEARLAALLMDSKEYSEALTLLSGLVKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALDDPRAVYSLKYMLLCKIMVNQADDVAGIISSKALKFLGPELDAMKAVADAYSKRSLKLFETALQDFKAQLDEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIGHIASLIELPLDHVEKKLSQMILDKKFAGTLDQGVGCLIIFDDPKTDAIFPATLETIQNMGKVVDSLFVRSAKIMA >cds-PLY99125.1 pep primary_assembly:Lsat_Salinas_v7:8:70964959:70965168:1 gene:gene-LSAT_8X51020 transcript:rna-gnl|WGS:NBSK|LSAT_8X51020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQKNWQGQWLWVNRNLVGRGFRRMRDFLDCLPKLFVSNLTLCKRLGNITGVGENWEDSVLVAAGMSAA >cds-PLY61663.1 pep primary_assembly:Lsat_Salinas_v7:5:89777259:89780971:-1 gene:gene-LSAT_5X42720 transcript:rna-gnl|WGS:NBSK|LSAT_5X42720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRRIKLPPKSTTMVKPTFKKVQVVYYLSKNGQLEHPHILEVTHFVHHQLRLKDVLERLSVLRGRGMPSLYSWSCKRMYKNGYVWNDLGENDVICPSEGDEYVLKASQLVHHSPDKHESQMAAPKRSQITPKRHTEFNEEELDFEEKRINYTTTPSRCSRDILPKPTIVTTPSPPSPSKRFEDGDPYPPLSRNSVLLHLMACGGSMGFKGKTADHHHHHHHQQPPPPNTAARKSSCSSSSLHKDVLCKAAVAVAVGVGEEDEICCMSENPRFGNLQAEEREYFSGSIVETMTTEDRVQVEPMLSKSSSYKEERISKTTVSMVEDEEEVKREKAVAGKCIPKRFC >cds-PLY97851.1 pep primary_assembly:Lsat_Salinas_v7:2:216546338:216547895:1 gene:gene-LSAT_2X134881 transcript:rna-gnl|WGS:NBSK|LSAT_2X134881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGPIVEDIQTEKDVQHDEHPVIEDVNEEDDHNDVDADSEDDQEDGAQGGGDSAKQSRSEKKSRKAMLKLGMKPVVGVSRVTIKRTKNVMFFISKPDVFKSPNSETYVIFGEAKIEDLSSQLQTQAAQQFRMPEMGSVMAKPDVSAASAAEEEEEEEEEVDETGVEARDIDLVMTQAGVSKPKAVKALKTHNGDIVSAIMELTT >cds-PLY70205.1 pep primary_assembly:Lsat_Salinas_v7:9:575030:575197:-1 gene:gene-LSAT_9X141 transcript:rna-gnl|WGS:NBSK|LSAT_9X141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFFLLAIARILGVVAALPTGMLESNEEGVIWNKKHGFLTFVSLNEEVNTYMLWV >cds-PLY90514.1 pep primary_assembly:Lsat_Salinas_v7:2:43057811:43059841:1 gene:gene-LSAT_2X20460 transcript:rna-gnl|WGS:NBSK|LSAT_2X20460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDFTQGPSSSYKNCPCFRDQMPRDDDDNDHRLNGVTLTSILCDPLAPTPLYPFCNIRLSIPNHAHDGVSRLTLDEKVLEFVHGGSGIPCLGISAYERQWGTDEIIYKSLKSHDGSQVSEAFFGASQTWLSNKEAKYIEGCFW >cds-PLY63601.1 pep primary_assembly:Lsat_Salinas_v7:8:189706707:189710565:-1 gene:gene-LSAT_8X121540 transcript:rna-gnl|WGS:NBSK|LSAT_8X121540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVANTVAGKILDLLFSGAKREIDYIRNYTKNADILKNEAQKLKDMSDRIQQRINAAKENGEALLAGVQNWMDKADIEISKVEEFLEEEANAKKTCFNLRPCVNLSTLHHYSKMSINKTLFLLQHQEDGQTYESCVSIPTPTPRLVDLYQTKNLDDIDTHKLALRKIIEAIKDESIKMVGIYGSGGVGKTTLAKEVAAEVKNLFAEIVFITVSQTVDVKEIQKNVEKAAKRIIINKEKVLIILDDIWKKLVLSDVGIPCGNEHMNCKILLTSRRRNVCEHMNTDRNICVNTLTKKEAWFLFKRIVGDEKLANDSGLEKIAKEVTEECGGLPLIIQAVGNALKNKKIDIWEAALDRLRKHAPLELDPEISSAFTHLKLSYDLLDSKEAKSCFLLCSLFKEDGVISLLSLAEYGVGIGIFKDLDSINDAEKRVRMAVDTLTSSSLLLSEGDEVKMHDVVRDVALLITSSSEGEEKEKFLVEAGNKDITEWQPRNRTSESYTKISLMDNRIHKLPDHELHFPLLDTFLIQNNRLSIIPDDFFGGMKEVKVLDMSWNKITSLPQSLKLLTKLITLDISYNKNLIEICIVGELKDLEILKVRGTGIKVIPEEIGQLTNLRLLDAEGCPYLSHVAPGVISKLTWLEELYFGTDDKNAVSRLGLMEISKLKSFRALHLSMHSDDCHLFPEGTCFEKLKEFYFQFWKGRRFLFEIESKSYLKRRLHISDSNFPFKMPIKKLFQVSDGIILTEIAGLDNIIPDLYGESTIDELKFIRMISCENASCLVKITDEDEDATQTFVASNDLMLGQRKTTKEKYFSQVEQIRLTDLKNLKLLFDCSFQYISLRNLQAIEVARCTSLSVLFPLSVAQGLSNLRKIRIRRCTSLMVVISGGDEQTTDNDIEFPRLTRIELGFLPQLKSFYSGDSTVKYPSLEFIKVENCPSMKRWGSGVHVMSNVKFGDDQEILNLFHKN >cds-PLY89389.1 pep primary_assembly:Lsat_Salinas_v7:4:120816417:120820447:1 gene:gene-LSAT_4X75880 transcript:rna-gnl|WGS:NBSK|LSAT_4X75880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSSSSSSKTSILFTFVSILLFLPLVFSLPKNETTFRPLQELKKLKRIRNHLNKINKPPVKTIHSPDGDLIDCIPSHHQPAFDHPKLKGQKPLEPPLERPKEDDKYSIDETIETFQLWTQSNESCPEGTIPIRRTTEADVLRATSLKRFGRKIIKPVRRDTSSGGHEHAVAFVNGDQYYGAKASINVWTPVVTDPYEFSLSQLWLISGSFGNDLNTVEAGWQVSPELYGDGYPRFFTYWTTDAYQTTGCYNLLCSGFVQTNNRIAIGAAISPRSSYKAKQFDIGIMIWKDPKHGHWWLQFGSGLLIGYWPSFLFSHLQRHASMVQFGGEIVNTRSNGYHTSTQMGSGHFADEGFGRTLNMDTGGCNLDRDY >cds-PLY72267.1 pep primary_assembly:Lsat_Salinas_v7:7:86919435:86921413:1 gene:gene-LSAT_7X60100 transcript:rna-gnl|WGS:NBSK|LSAT_7X60100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVCVKNVKQEDVEEWDEGMPLPGDIIEGIAASDSCTLEDIEDRLFVPTKGKAELRLQLRRMSKKNDEGLVWLKVRRGNQMLHLCVRVVHEKRFRLHRKFSFRAASNDKHVAVLDNLDFDQCTELQEMSRRAVNVVPRGFNQEAIKYEWRRKVATYLPDHRSTVVSSILFMPLANEHDINSTTTRAMAWFSAAVSSGTPIVFVNIQTEQISSSKKCNSSRVPTQNVHGIRLWFLPGTEEIPIELIPEPGENRFGIDVKRTDEGFVCIFAVTIGSAADRAGLRQLFENSIEMGHMVVISRLEGKNVMPTMVSSDGLLHCCNHDDIRDTLVGAVDQLESISLHIMSWPTTQNIHTTQPVGAAALRPPM >cds-PLY82913.1 pep primary_assembly:Lsat_Salinas_v7:6:93826686:93828173:1 gene:gene-LSAT_6X62340 transcript:rna-gnl|WGS:NBSK|LSAT_6X62340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVIHDSKELHIIFQIHAFLLKTSLQSDNFIITKLLRNFSLNSSNNLLYARSLFDEMPCPDTFLWNTMIRAYLNSENPDECLSLFHQLRRQDHHFIDSFSLSLVVQACGRSGFLQNGQTIHTQVLKLGFGNDLFVQTGLTEMYVKFGWIEFARKVFGEMKDPDLVSYNVMLAEYVRIGEISLARQLFDKMSQRDLVSWNIMIHGYASLPHGGKYLVSWSKQSHEALNLFHDMQLANFLPDKITIVSVLSACGDLCALTTGMKVHKYIIQNRIEIDIKLATSLVNMYAKCGDINTALKVFNGIKKKDVFLWSAMIMGFSNHGYGDLALDHFNNMINEGVKPNGVTFIGVLSACSHIGLVDKGWEYFNSMSDVYGLTQEMEHYGCMVDILSRAGHLDKAKDLIMNMPFEPDVVVWRGLLGGCKIHKNVEIGEDVNRKIIALEGYDDGNYVLLSDIYCEGKRWEEAVNVRKKMEEVRIQKSPGMSSIEVDTTPNQDLD >cds-PLY73661.1 pep primary_assembly:Lsat_Salinas_v7:5:203782626:203783632:1 gene:gene-LSAT_5X92181 transcript:rna-gnl|WGS:NBSK|LSAT_5X92181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTRRTTGPVLRSHSPAGRFYNSSSSSFASASSAFSSRSTSFFEQRSASPTPVNVFGTSSSSVRFSFDNRPISPSRSISSLPRNHNQAVKKQETPKRTCMCSPTSHPGSFRCSLHKNTNNNSNHHNTATYPSNRLNARRSTMTNSLVRIGTVEGGDLVKRALAALIRPSSHQQRRRTSFQARPSRLSVMSKAEEL >cds-PLY94680.1 pep primary_assembly:Lsat_Salinas_v7:6:19995638:19996874:-1 gene:gene-LSAT_6X15101 transcript:rna-gnl|WGS:NBSK|LSAT_6X15101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRRILNDALRTMVNAEKRGFASAQLQPISNVISSFLTIMKQRGYVKNFEVYDPHRVGKIKVELLGRIKDCRAITYRQDIKAHEIENYRLRTLPTQQWGYVVITTPNGVLDHEEAIRQNVGGQVLGYFY >cds-PLY90707.1 pep primary_assembly:Lsat_Salinas_v7:8:143214956:143217568:1 gene:gene-LSAT_8X96740 transcript:rna-gnl|WGS:NBSK|LSAT_8X96740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALKELLPPAKSSSSTFYDHSSDPWFKQRYSAPTEDEHTEIIKKNPVPLYNTPERFKYRPSKPQDFGDGGAFPEIHYAQFPLDLGRKKDSSSGPKTLPVTVDKHGNLTFDAIVKQNENASKIVHSQHKDLVPKILKDEEDEEDEEEVEKQKKIEETTLQTKAALEKIVNVRLSAAQPKNVTTQSQDSKFIKYKPSQQSSAFNSGAKERIIRMVEMPVDPLDPPKFKHKRVPKANGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVSMRSKVQKEMMMKEKERKEQELRALAQKARSERTGTATGPPPAAPPPPPSGKSMMDVDEREPREPRETRETREEREERIQREKIREERRRERERERRLEAKDAAMGKKSKITRDRDRDISEKVALGMASGGAARGGEVMYDQRLFNQEKGMDSGFGTEDQYNVYDKGLFTAQPTLSTLYRPKKDADGEMYGGADEQIEKIRKTDRFKPDKGFTGANEKAGPRDRPVEFEKEVAEEADPFGLDQFLTQVKGGKKAMDKVGSSGTMKASGGASMREGYEGSGRSRIGFERGR >cds-PLY84936.1 pep primary_assembly:Lsat_Salinas_v7:6:15045561:15046029:1 gene:gene-LSAT_6X11140 transcript:rna-gnl|WGS:NBSK|LSAT_6X11140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANCRLFPFLILMLLSFPSLLTTHEDPTYKDMQVGVIIDIESRVGKCVRGHSILDLLENIEVQEIIGPKSTVEARFLEVLEDKANILILSF >cds-PLY86718.1 pep primary_assembly:Lsat_Salinas_v7:4:8500246:8506205:1 gene:gene-LSAT_4X5560 transcript:rna-gnl|WGS:NBSK|LSAT_4X5560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFWIVEQGYLEKTSGAAAPIGICYGRVANNLPPPSDAVNLIKTNGISSVRLFNPDPESLKPFSGTGIQLTIGVPNEELPSIATSTATAAQEWLQSNIFAHVPADQIRYIVVGNEVFLKDPFYTPHVLPAISNIYEALKSIGLSEKIKISSAQAASILSNSYPPSSSYFDANILPDLNRLLQFLHDTSSPLMVNVYPYFSYINNREFVSLDSALFRPGNSLHDQDLVYDNLFDQTVDAFIYAMEREGYGEIPVVVTETGWPTAGGEAASGENALCYNGNVVKRGLDNVGTPKRPGIGVEVFLFDLFDEDEKKGNEFEKHFGIFGVNGVKAYDLNFQ >cds-PLY65501.1 pep primary_assembly:Lsat_Salinas_v7:3:1786308:1788645:1 gene:gene-LSAT_3X740 transcript:rna-gnl|WGS:NBSK|LSAT_3X740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKSASSLVLGQGGLDIAQSFFKPIHGASPPPPTRRTTKISVIGVGNVGMAVAQTILTQDLADELALVDVDQDKLRGEMLDLQHAAAFLPRTTISASVDYSSTVGSDILIVTAGARQIPGESRLNLLQRNLALFSTIIPPLTAASPEAILLIVSNPVDVLTYVAWKLSGFPPNRVIGSGTNLDSSRFRFLIADHLNVNAQDVQAYIMGEHGDSSVALWSSISVGGVPILSFLKRQQIAYEKQTLEKIHKEVVQGAYEVIGLKGYTSWAIGYSVANLARTILRDQHKVHPVSVLAKGLYGIDDGEVFLSLPTQLGRNGVLGVTNLHLTEEESRQLKNSATTILEVQRQLGI >cds-PLY77805.1 pep primary_assembly:Lsat_Salinas_v7:3:35028461:35031205:-1 gene:gene-LSAT_3X26180 transcript:rna-gnl|WGS:NBSK|LSAT_3X26180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKEKQFNVGDLVWAKVNCYPWWPSIIYDEALTSSHVQQAKKEGLMLVSFFGDNSYNWLDPKKLLHFESNFSMYSNRSSSRLFLKAVNEAVYELNHRAALGLTCPCLFFSSYRPASVEGFLEVDIDGYSTGGVYSVQQIEGFRQEFQPLQTLSFVQQLALDPTNVHQDPSILKEVARVLAFRKAKYAEVDEPYFLAFGVQPQRLVDPSMTSNQQETALLQAPPPPLADDEKKPTIKLQKKKKRRLASSKPNATKTSDKVKRNLHHDSEDDVHEKKRQVKVEIINKKLDIISTTTNDDKQQPDQPQPEAATTTTKCELPLKKWQRRRSVSSIGGPKLALVLSSERERERERKNEGKSGGISIVEKGPTMVLSMKFPPDAALPSVSELKAKFAKFGPMDISGVRVSYLKSRCQVVFMNKSDAQKAYEHVVKFKNMFGQTHVSYRLHPFGNSIKEAKEGGSKETALVTSSHMQQQQQLLNQETTYANKYQKAAEVNQGSVDISDKMIYLLNECNRIVFELNQF >cds-PLY85797.1 pep primary_assembly:Lsat_Salinas_v7:4:80427226:80429182:1 gene:gene-LSAT_4X54680 transcript:rna-gnl|WGS:NBSK|LSAT_4X54680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLAQSPTTTTTTGGRCFSSSSTYSSSFSFKSSQVPIARITNHRHAVSCKGALDDDDHHHENSGKFDRRNVLLGLGGLYGAAATFGSNSLAYAAPIMAPDLTKCGPADLPQGAVPTNCCPPYTTKIHDFKLPPPSTTFRVRPAAHLANKDYIAKFNKAIELMKALPDDDPRSFKQQAAVHCAYCDGAYDQVGFPDLELQVHGSWLFLPFHRYYLYFFEKICGKLIDDPNFAIPFWNWDAPDGMKIPDIYTNKKSPLYDALRDAKHQPPSLIDLDYNGDDENLSRSKQTSTNLTIMYRQMVSSSKTASLFMGSPYRAGDEASPGSGSLESIPHGPVHIWTGDRNQQNGEDMGNFYSAARDPIFYAHHANIDRMWSVWKTLGGRRNDFTDKDWLDSSFLFYDENAEMVRVKVRDCLDSKKLGYVYQDVEIPWLKSKPEPRLKRALSKIKKLAVARADEHIPFAKDVFPASLDKVIKVLVPRPKKSRSKKQKEDEEEILVIEGIELKRDEFAKFDVFVNDEDDGMRATADKTEFAGSFVNVPHKHKHGKNVKTRLRLGISELLEDLGAEDDDNVLVTLVPKNKGGEVSIKGIKIEHED >cds-PLY72045.1 pep primary_assembly:Lsat_Salinas_v7:2:204339103:204341524:1 gene:gene-LSAT_2X126000 transcript:rna-gnl|WGS:NBSK|LSAT_2X126000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSREHKKATLHEKLQLLRSITNSHAKNDSSIILDASKYIEELKHKVQILNQDIASGQSSSYQNSWPLVTVESVEKGIRVNLYSERSCPGLLVFVLKVFEELSLNVLEARVSCTGSFHLEALGDEIDENGESIDAQMVKHAISNAIENWTQNNHHQG >cds-PLY69569.1 pep primary_assembly:Lsat_Salinas_v7:4:84652345:84652889:-1 gene:gene-LSAT_4X55961 transcript:rna-gnl|WGS:NBSK|LSAT_4X55961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPANPFHALLYLVFMLTACALYLFSKSWIEVSGSCARDVAKQLKEQQIVMLLRYNFGSNPAGSFSVMLRLGFGQPIKVNWAYVTVQREDTSGHYNIFVGDLSPEVTDDMLYVRFSVYSNCS >cds-PLY71353.1 pep primary_assembly:Lsat_Salinas_v7:4:346177937:346179066:1 gene:gene-LSAT_4X170881 transcript:rna-gnl|WGS:NBSK|LSAT_4X170881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATARTVKDVSPHEFVKGYAAHLKRSGKMELPEWTDIVKTATFKELAPYDPDWYYIRAASIARKIYLRGGLGVGALQRIYGGRKRNGSAPPHFCKSSGGIARHILQQLETMKIIDMDPKGGRRITSNGRRDLDQVAGRIVVVAP >cds-PLY76733.1 pep primary_assembly:Lsat_Salinas_v7:7:62363882:62364867:1 gene:gene-LSAT_7X43160 transcript:rna-gnl|WGS:NBSK|LSAT_7X43160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQTRHIVGGSLGWTIPSGGAVSYTTWASHQSFTVNDLLVFNFTDGEYDVAEVSEAAYGPCTATNPISLATNGPATLTLTTAGTHYYICTFRSHCQIGQKLTIDVSEAASSIPPGATPVTPPTIRRPPRPVTSRTAVETPNTATPFAPCPRITSAPPPPTDGAPSFTGMVDDRGDQAVN >cds-PLY81152.1 pep primary_assembly:Lsat_Salinas_v7:9:68238308:68240061:1 gene:gene-LSAT_9X56521 transcript:rna-gnl|WGS:NBSK|LSAT_9X56521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIAVASSSRSFRLTRMPFLGQKPTSRTSQFPSSIRNFDPIARFRRTPRLTVCFVAGDQKLETQIVEDNGSGNNPGPSGGEGSDEEITQVMLSSTSNRVVEEKMARKKSERFTYLVAAIMSTFGITSMAVMAVYYRFSWQMEGGDVPFVEMFGTFALSVGAAVGMEYWARWAHEALWHASLWHMHESHHKPREGPFELNDVFAIINAVPAIALLNYGFFHKGIFPGLCFGAGLGITVFGMAYMFVHDGLVHRRFQVGPIANVPYLRRVAAAHQLHHTEKFNGVPYGLFLGPKELEEVGGMEELEKEIKRRIKMYNK >cds-PLY69576.1 pep primary_assembly:Lsat_Salinas_v7:8:10146194:10148666:-1 gene:gene-LSAT_8X7281 transcript:rna-gnl|WGS:NBSK|LSAT_8X7281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWLFRRPGPSGFSQYSTAEQVTDGIDASGLTAIVTGGSSGIGRETARVLALRGANVVMGVRNMAAGKQVQESIVTEIPTAKVKAMELDLNSMESVRKFASDFNSTGQSLNLLINNAGIMMTPFMLSKDKIELQFATNHLGHFLLTHLLLDNMKKTARKSKKEGRIVNVSSEAHRITYPEGIRFDKINNEKEYSKYQAYGQSKLANILHANELTRQLKEEGAEITANSLHPGVISTNLFRHIFTPPSFAEGFVSKLSSCVMKNVEQGAATTCYVALHPDVKGVSGEYFSDSNLAKTTEMAKDMDLAKRLWEFSSNLIK >cds-PLY87594.1 pep primary_assembly:Lsat_Salinas_v7:8:111481301:111481699:-1 gene:gene-LSAT_8X77720 transcript:rna-gnl|WGS:NBSK|LSAT_8X77720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYVAILGLGQLDMAGLRLMCAFNDGDNLMDGVGYDGGAIVLGVYMGVGGDVNDDGVAGGAGVSGSEGGPGGGGDSGGEGVMCGADGTGGGGNEVGVDGAGGNGDYGGEGGVDGAGGSNCEGGVDGAGGSNI >cds-PLY79132.1 pep primary_assembly:Lsat_Salinas_v7:9:91042268:91043624:1 gene:gene-LSAT_9X69901 transcript:rna-gnl|WGS:NBSK|LSAT_9X69901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEILTHDQSRFDAIKSRLTTTTTTGKKGIKTSMATMPATSGTLFNSGNYIVTIGMGTPKKDLSLIMDTGSDLTWTQCQPCSGSCYSQQDPMFSPSLSTTYSNISCTSTECSLLPSATGNLPGCRSLTCVYGIRYGDGSYSVGEFAKEKLTLSKNEEFDNFMFGCGQTNDGDYGTATGLLGLGSGKLSIVSQTANKYGKVFSYCLPSTDSSSGYLTFGKSGISRNVKYTPLLTSLGGSTFFNVKLVSMTIGNTRIAMRSSMIMDSGTVITRLPPKAYLALRNTFRAEMTQYPLTKPLSILDTCYDLSNHTDVRMPTISMGFGQNVNVGIQPQGALIATSRDKMCLAFTGNDDDSEPSIYGNIQQMTTQIVYDMAEGKVGFSPQGCE >cds-PLY95226.1 pep primary_assembly:Lsat_Salinas_v7:4:247794161:247798319:1 gene:gene-LSAT_4X132480 transcript:rna-gnl|WGS:NBSK|LSAT_4X132480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAGVFFSHIEEGQCWGTEPGYYSLGYSPLPWLPILPTAFKDLYPSSTFRIFPLASLSSNFQMSIQTFRSLSSFGGAREGESPLPPLSNCFSLFYSATTIVAYVSSSGVRKLLISISDLRFNIKNTNCLLLILLDSAIMVWLCVLTDSACCSSAFVVCLPSLSPPASNLGVTLLCRSQDGDIININVTVYLDVTEQCLERGISVCKDVSILMTRVRCYYNRFRHMGNNRYCSMPNLQLRIR >cds-PLY96238.1 pep primary_assembly:Lsat_Salinas_v7:7:182866878:182867744:-1 gene:gene-LSAT_7X108120 transcript:rna-gnl|WGS:NBSK|LSAT_7X108120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:21.7 kDa class VI heat shock protein [Source:Projected from Arabidopsis thaliana (AT5G54660) UniProtKB/Swiss-Prot;Acc:Q9FIT9] MASMKLEVVSEDQSLRKWCVPLREDIFATFMAKGSPIMHKVFGDKSLFGPLLFTKFFDPSDAFPLWEFEPGVLLSNLQNPCVDWLQTDSCYVLKSELPKIEKSSVRICVENGKVMEISGVWKNKGESSRTDWRSGEWWEHGFVRRLELPENTDWKKTEAYVYNDTVLDIRLPKTPSMEYCTEGVIKESSSG >cds-PLY73879.1 pep primary_assembly:Lsat_Salinas_v7:3:38942261:38944278:1 gene:gene-LSAT_3X30081 transcript:rna-gnl|WGS:NBSK|LSAT_3X30081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SIEVE ELEMENT OCCLUSION C [Source:Projected from Arabidopsis thaliana (AT1G67790) UniProtKB/Swiss-Prot;Acc:Q9FXE2] MKQENSNVTANAAWGLSSLALRLSRLCNCLRLQVDASQKQIEEQLYKMLMDLLKDQTQVDNQKVLHLFFSLNDDLPFKDSSCSQSKVGISKLKQKIVMLLVSKPDLLPVDQTLLLLQQTHEHPHNKNIEQDYEIVWVPISSSETWTLDELISFDYLSNSLPWLSVRQPWLLNSAVMRMIREEWKFEEKPLMVVFDSHGLVSNYNAMDMVLIWGAKAFPFSEWREKELWEEQKWNLQLMFDGTDQSLTNTVDGGRNICICGSSNLDWIEEFESRIKKLQNSGLQIQVIYIGSRNASENTQTKVGFFNKDISFTPIKIRFFWRRLEKIKDSILRVGQFHNFANYETLLKQVSELLDTDDDNSNWAVFGCGNSKDSVKLQGNKILKFFERVHVWALKLATLGLVGAIRSVDDDDEVNNTMMTCDHTNMVPYDQGPVICDKCKRLMKPFVVYQCDGSK >cds-PLY64589.1 pep primary_assembly:Lsat_Salinas_v7:6:34756281:34760161:1 gene:gene-LSAT_6X24961 transcript:rna-gnl|WGS:NBSK|LSAT_6X24961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G03420) UniProtKB/Swiss-Prot;Acc:F4KFB3] MISPMATQLHLHLPLPHSHFFLPSYKHFLTAAPEPRLCQFGVRHRRCLLVTDCASSPNETRVAGRKPARTNADLCNDLREFMSEAGLPDGHVPSLKELSHHGRQDLANLVRRRGYKLIKELLAASQEVKVNDSNVDETLTDNQENTNTEEDESTDLDENGTKLAEAVLLSNEETSTKSSLQEKVMKFIQSGELETIEDSANLANGSVLSSQLVNSTSQLRNISSNDQLQSAEDVEMRTKEDEAEINRIKVILHHKELELSQLKEQIEKNKEALCELQAKAETEINKAQKLVLDKDAELLAAEESLSGLKQVQVDYWGEGESVEVAGSFNGWNHGVKLDPQPSSNITDPIEFRNTRLWRSMLWLYPGIYEIKFIVDGKWMIDPMKEVVARGSIHNNVLRVDR >cds-PLY82475.1 pep primary_assembly:Lsat_Salinas_v7:2:183526867:183528592:-1 gene:gene-LSAT_2X105941 transcript:rna-gnl|WGS:NBSK|LSAT_2X105941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNAPFVLSFTLLLTAPLLFLFSPRSLPPKRLLISLPNKIDDASLFRRAILSSVQPRQHVSRLGTTYAKPKIAFLFLTNTDLHFAPLWEKFFDARKYRQRDLYNIYVHAYPKSNVKSPGGVFENRFIAAKKTERSSPTLISAARRLLATAILDDPLNSYFALVSQTCIPLHSFRYMYQTLFQRPTESTRVSTRLSFIEVLSEEPQLWDRYIARGENVMLPEIPFDKFRVGSQFFILTRAHSLMVVKDRKLWKKFRLPCLNVDTCYPEEHYFPTLLSMKDPKRVSKHTLTRVNWTGSVDGHPHTYHPPDVSPELIQTLRVSNSTFSYFFARKFSPDCLPALMEMADGVIFRD >cds-PLY87945.1 pep primary_assembly:Lsat_Salinas_v7:4:339036577:339036744:1 gene:gene-LSAT_4X167940 transcript:rna-gnl|WGS:NBSK|LSAT_4X167940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHEGYHYNQGSEGWIMGFQAREPDNLLTLFLLLNNEELHTIWFLIHLLGSNNDL >cds-PLY77391.1 pep primary_assembly:Lsat_Salinas_v7:7:9552846:9553550:1 gene:gene-LSAT_7X9361 transcript:rna-gnl|WGS:NBSK|LSAT_7X9361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLQQQCRTAIYHDLCVQTLLPYTVKTVPGPQQLAKISLAVCLSKARQTKEYVDMVAKQFNKTKNYKDSQAVQECSRQINNGVNQITLSVKEFQRIGKDAEENFPLHEDNMQSWVSAALTDIDMCIDGILGDVIGGREKAIMKAKILNVKQLASNSLAMLNRYTLRHRASHIVKNP >cds-PLY62002.1 pep primary_assembly:Lsat_Salinas_v7:2:172713841:172714398:1 gene:gene-LSAT_2X95320 transcript:rna-gnl|WGS:NBSK|LSAT_2X95320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEVCGSTSAGPRVHEKTNRCIQSANNIPSQKETSVELRMSQPKYGVNIAKKISAYSRARGPTWPAINGPSMPNQRASWDSNQILADVKHEPKSHGMKEISAWRNKNRGRVSLIITHKPSYRRTLTRASDEINDSPSNNMTRKDNKRAEKCRWYPHPTNGRHFPRSQHALDEEEGKVPVPPEQER >cds-PLY82215.1 pep primary_assembly:Lsat_Salinas_v7:1:69131059:69134746:1 gene:gene-LSAT_1X60701 transcript:rna-gnl|WGS:NBSK|LSAT_1X60701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASYMKYLKFLETISFLYVSVTVICTGSSQNHDEECSGLFQFKQSIIHQDDIACGAHGSQVFHSWNNSFDCCSWEGVTCSHDHDQYYGQVMGLDLSERSLCGRINSNSTLFNLVHLQRLNLSGNDFGESEIPSKIARLKQLRSLDLSYSGFSGQIPTEILQLFQLSSLDLSWNSLKLQNPSLKDLVENFTELEELHLSGVDISSSVPHFLANFSSLKSLKLRDCSLGNEFPAAILELPKLQVLNLADNTNLAGSFPEFHGKSILKEVILGGTGFFGIVPESISHLKHLTVLSLSYCSFSGRIPRSLSNLTQLTILDLGENHFTGSVPSLVSLLNLHGLVLDGNKFEKGRFPNWLGKLSKLSKLYLSDMNINGEIPLFLSNLTKLSEVGMDRNSLTGGIPSWLFNLTQLTYLNLQMNQLQGPIPNTFSNFKSLKYLHLGGNNFSGRVELDMFLGLNKLQVLFLGYNRISLVTTNNYTNTTLPEFEWLELSSCNLKEFPAFLRFQNKLTALHLDSNNIDGLVPVWYWNNSRETLELINLSGNSITGFDQHPHFLPWRILEVFFIDNNQLRGQQPIPPQSTVVYLVSHNNLTGEIPPSICELKSLQHLDLSFNNMSGTLPSCLGILSNSLVSLNLRRNNFQGKMMNAFMPGSLLENLDLSENRFTGQLPRSLMNCINLEFLSLGDNAFHDVFPSWLGTLSKLQVLVLRSNKLDGSIQGSSQFPMLRIIDLSNNNFSGQLHQNHFQTWHAMSPNHLGVSSVMESNISSKHVHINWPYTVTLTHKGVRTEYPHILTIDMSIDLSCNHFEGEIPQSLQHLQGLQSLNLSNNHFTGRILPSLGNITNLEALDLSRNDLSGEIPQQLVQLRFLSIFNVSFNHLQGRIPQGKQFDTFDNSSYIGNPRLCGQPLSKEGQDLKVPGVQPTSNVSESLFPSERIDWIFVFCGVGSGLIVGVVIGNFLYERYSYRFTKRKDRWVRPLRNTRRN >cds-PLY86409.1 pep primary_assembly:Lsat_Salinas_v7:2:148184323:148186486:1 gene:gene-LSAT_2X73400 transcript:rna-gnl|WGS:NBSK|LSAT_2X73400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RKD5 [Source:Projected from Arabidopsis thaliana (AT4G35590) UniProtKB/Swiss-Prot;Acc:O81791] MASPSQQSPPPPLTHPHSLKALVAFRNLICPELIRTVHLYRSEEGGEESEVEREYVFHKEKDYEEISFCKVFTLKKFEVSSHFEGLVNGVWLCIYVFDASCWSPADIDRLPTVLTISRNHKLDSIPTLANDLQTINQLSCEMGRFKHCKGESEEDGEEDKDNRYKNHHMCDVDLNSLPYGLSENDESDQSATGKKKRRAATKDIASLNLEDLSKYFDLPIIEASKNLKVGLTVLKKKCREFGIPRWPHRKIKSLDGLISDLQEEVKRQQEEDKGGGGGGGAALAVVERQKMIESEKETIEKKPFMDIQRETKKFRQDIFKKRHRARLLETQCRTLPLF >cds-PLY88636.1 pep primary_assembly:Lsat_Salinas_v7:5:140607684:140608966:1 gene:gene-LSAT_5X61781 transcript:rna-gnl|WGS:NBSK|LSAT_5X61781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLDEFQHLKIQLKEVKSATGNFDDSNIIGRGGFGKVYKGVLSRSKGQSMVAFKRLDRNYGQGDPEFWKEILMLSRYTHENLISLLGFCDENGEKILGYEHAFHGSLDRHLSSTTLTWRNRLKICLGAATGLCYLHDPKETQERVLHRDIKSSNILLDENWNAKISDMGLSKIGPANQKHTFLVSNAVGTFGYVDPMCVEMSILTKESDVYSFGVVLFEVLCGRLCFENYNNHFQSLVPMWKKSFKQKKLDEIIFEDLKHHIDMRSLETFSDIAYRCLQKSRENRPKMSHVVEKLVIALRFQEISEEVEQPFDYEDMSQTAAPPLLYRSEEELKLLLSEGIFVNGGKTWFSLDKNGNHLEMIPAAECLIPIDVVPPVRANYDRDKAM >cds-PLY79125.1 pep primary_assembly:Lsat_Salinas_v7:2:17031273:17033354:1 gene:gene-LSAT_2X9901 transcript:rna-gnl|WGS:NBSK|LSAT_2X9901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDKMPQRRSRMVKGVVNEEVKHIFEMLSMHDKGQNGQRWLPEGRTLPAVSWASGNIDNRARHCGGDKSSSFRWMCMKESCRRGLLYNKYETNEVLGVHATYSCRAWV >cds-PLY93220.1 pep primary_assembly:Lsat_Salinas_v7:6:160510650:160511933:1 gene:gene-LSAT_6X98381 transcript:rna-gnl|WGS:NBSK|LSAT_6X98381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLIVPLLVALLSLSIPLSITAYYKDKTPYTILNHPIHGKSPPPPPPPKSPSKSPPPPKTPPAMHGKSPAPPPPPKSSPPPPYIHGKSPSTPPKSPPSPPKSPPPPKSPSPPSPSVHGKSPPTPPKSPPPPKSPSPPKSPPPPPPSTHGKSPPSPPKSPSPPKYPSPPPSIHSKSPPSPPKSPTPPKSPPPPKYPSPPPSIHGKSPPSPPKSPAPPKSPPPPPPSVHGKSPKSPPPPKNPSPPPPPPPSIHGKSPPTPPKSPPPPKSPSPPPPPPKVTTTTI >cds-PLY69335.1 pep primary_assembly:Lsat_Salinas_v7:7:81233178:81236587:-1 gene:gene-LSAT_7X57121 transcript:rna-gnl|WGS:NBSK|LSAT_7X57121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFFQLWLISLVTIPFLCSLESVNGIGANWGTQSSHPLPPETVVKMLTDNGIQKVKLFDADYGALKALGKSGIEVMVGIPNDMLATMASSMKAAEKWVSKNVSDHINNNVNIRYVAVGNEPFLSTYNGTFLKTTLPALQNIQAALIKAGLGPKVKVTVPQNADVYESSTGVPSSGDFRTDIHDFMIQLTKFLNENGSPFTVNIYPFISLYIDSNFPVEYAFFDGNAAPVNDGGTTYTNMFDANYDTLVWALQKNGFTNMGIIVGEIGWPSDGDRNANNQLAQRFMQGFMSHIAGNKGTPLRTGPIDAYLFSLIDEDEKSIQPGNFERHWGVFSYDGQPKYALNLGMNNAGALIPANGVKYLQKKWCVMKDSARLDDPSIAQSVSYACALGDCTSLGYGTSCGDLDARGNVSYAFNSYYQKNDQADEACKFPNVSTITKEDPSKGTCQFNIMIEPYYGGANRSFQSIWSFLLGLVMFLLL >cds-PLY76257.1 pep primary_assembly:Lsat_Salinas_v7:8:32815925:32819883:1 gene:gene-LSAT_8X26721 transcript:rna-gnl|WGS:NBSK|LSAT_8X26721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDYGLPRDLSTLQKARSFYKPLLPPCLQGTTVRVEFGDATTAADPSGAQAISQSFPHTYGQPLAHFLKPTSIVPDAQIINDHPAIKVGVVFCGRQSPGGHNVVWGLHEALKIHNSSSTLLGFLGGSEGLFAQKTLEITDDVLATYKNQGGYDLLGRSRDQIRTSEQVNAAMAACNALKLDGLIIVGGVTSNTDAAHLAETFSQAKCSTKVVGVPVTLNGDLKNQFVEANVGFDTVCKVDSQLISNLCTDALSAEKYYYFVRLMGQKASHVALECTLQSHPNMVILGEEVVASKLTIFDLTKQICDAVQARAEKEKYHGVVLLPEGLIESIPEVYGLLKEIHRFLRQGVSIDKISSQLSPWASALFHFLPPFIREELLLYPESDDSAQLSQIETEKLLAVLVEAEMSKRLKEGTYKGKKFTAICHFLGYQARGSLPSKFDCDYAYVLGHICYHILAAGLNGYMATVTNLKNSLSKWRCGAAPITAMMAVKDYGSASGSITIGRPVVHPAIVDLRGKVYEVLRQNATKFLMDDVYRNPGPLQYDGPGAESRTVSLCIEDLDYMGRIKELNVYLDKVRSIVKPGCSQDILKAALSAMSSVTDILSVMSSPSNGNI >cds-PLY71582.1 pep primary_assembly:Lsat_Salinas_v7:1:116034958:116037162:-1 gene:gene-LSAT_1X88101 transcript:rna-gnl|WGS:NBSK|LSAT_1X88101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFESWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLLISAISLIAGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPASRGEYVRWNNFLDVLPHPQGLGPLFTGQWNLYAQNPDSGSHLFGTSQGAGTAILTLLGGFHPQTQSLWLTDMAHHHLAIAFLFLIAGHMYRTNFGIGHSMKDLLDAHIPPGGRLGRGHKGLYDTINNSLHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLEHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDILLSSTNGPAFNAGRSIWLPGWLNAINENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >cds-PLY83820.1 pep primary_assembly:Lsat_Salinas_v7:3:48318367:48320403:-1 gene:gene-LSAT_3X37620 transcript:rna-gnl|WGS:NBSK|LSAT_3X37620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGARGGGRSGSHITGRDAGDRNASQSYNDAESQPSSSVRGSNILEQVPRKNNIDKLEDGSVSKHTGESISIRQHKKRMQGMLKRLPTGVELYARLHTKRSTQEYITPKAAKVKVVYERVRNEMHGEMDAKAAEMEAKHQQMREEMDAKAATIDAKQQQIDAKYEAMEKMYAALQNMMGN >cds-PLY72269.1 pep primary_assembly:Lsat_Salinas_v7:7:87367788:87368487:1 gene:gene-LSAT_7X61041 transcript:rna-gnl|WGS:NBSK|LSAT_7X61041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFDTPFFRNLHHILDATEDTNTNSKSSNAGPTRAYLRDARAMAATPADVKEYPSSYVFIVDMPGLKSGDIKVQVEEDNVLVISGERKREHDQEEKEGAKYVRMERRIGKFMRKFALPENANTDKISAICQDGVLTVTVEKLPPPEPKKPKTIQVNVA >cds-PLY74656.1 pep primary_assembly:Lsat_Salinas_v7:5:177199449:177202178:1 gene:gene-LSAT_5X79541 transcript:rna-gnl|WGS:NBSK|LSAT_5X79541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGNGKSSKTGEISMTENKSGEVVVPISGEEKYVKRATFGVTRDAKPGAVNESPHRKSVDSYVISSPNSNSPKTPNPETLSRRRTIARSIKSKPKSRFGEQPLIDPNMLDDMPEPAGQSSNSSMRISARSSSRIAGNTTASPTGTTTRTSSITPKTPLMASPKGAGAEKDDDEDEEIYKKVKIRRQLKLKRVKTKVLLQWVLLLLLVGCLIASLMIPKLKNYKIWSVELWKWFVLIIVKICGMLVVNWLMQFIVLLIELNFLLRNKVLYFVHGLKKSVHVCLWLIGVLTTWIWLFNGNHVKRSKTATRVLDFLTWTIVSLLVGSVLWLLKTLLIKILATNFHVNNFFDKIQESIFLQYVILTLSGPPIMESLENVGGSNSNSNSKSQLSLSVKKKKNGKDSKTQKGVIDVSKLYQMRREKVSPWTMKILVDTISNSGLSTFSGELEETAYYNGDDSGESTDKEITNEMEAIAAAYHIFRNVAQPGSEYIEDIDLRRFMIREEVDIVFPMIDVAEKGKIDRKTLTDWVVKVYNGRKALAYALDDTKTAVDELNKLITAILIVVTIIVWLLLTEIASTKVLVFLSSQLVVAAFIFGNTCKTVFEAIVFVFMMHPFDVGDRCVVDGVQMVVEEMNILNTVFLRYDNEKIYYPNSVLATKPISNFYRSPDMGDKVEFSIDFATPFEKIGILKDMIKIYLEKNSQLWHPNHIFIVNEIQNVNMIKVALSINHTMNFQDYGEKSKRRSELVLELKKMFEELKIKCHLLPQEVHLRNLEAPTY >cds-PLY72175.1 pep primary_assembly:Lsat_Salinas_v7:7:52871637:52872634:-1 gene:gene-LSAT_7X38100 transcript:rna-gnl|WGS:NBSK|LSAT_7X38100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDKTKGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRKFAQKAMGTTDVRVDVKLNKYVWSRGIRSVPRRVRVRIARKRNDDEDAKEELYSLVTVAEIPAEGLKGLGTKVIDDED >cds-PLY90284.1 pep primary_assembly:Lsat_Salinas_v7:3:247803945:247808873:-1 gene:gene-LSAT_3X136420 transcript:rna-gnl|WGS:NBSK|LSAT_3X136420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTNHSLLGKLLMHAHKVLDIMSMLGFHPYETAFGYLAYRYAPKGLENKIIELQDLEFRFNFTKKSMFISDGWLAASQLVNNLIKDGTVERVIPHAMIVNVDVKGYIKGIISPELLADNHDVEKQKLVIIAIFVSAAVVQLKTIAGTLSGDETLSSSNVQKLDIYGKAQINVDNLEDSIKKMATTPEPFIDSRDPLSEKRLQWHQKAVISVIEAGGLNSLVGNITKLCDLYLTEIVLDDESEKKVLIALENAGIFTLGGLVKDKVRKGVPRYAM >cds-PLY81404.1 pep primary_assembly:Lsat_Salinas_v7:8:31845730:31846080:1 gene:gene-LSAT_8X24841 transcript:rna-gnl|WGS:NBSK|LSAT_8X24841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEARYRGCCCCFLNRHSFLPPSRRHVVDYRCRIALPSSSVVVGRCWDGRITLVSIVSMFSVMNRIASHSPPVSSPQLVIGVRRCRLEAAQSGGCSTPLMFPSATYHREMVVGLMFF >cds-PLY69912.1 pep primary_assembly:Lsat_Salinas_v7:4:69141607:69143085:-1 gene:gene-LSAT_4X47260 transcript:rna-gnl|WGS:NBSK|LSAT_4X47260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTLSLYLRLINLSSLNTPVSSKVLPPQLQWLLLRRKKVAFYLPPKRDHIHLTITTPGSQKSKTAEVTYMSLENLETEEAHRKLVFISQALRSPITFLGPLVELALQEQARVWKATNMDLLMRMELMRKEKDKKEEDHAAEIAEVIVEMKGSTIVAFWEAKIKLVEDMANARSWNGVD >cds-PLY71949.1 pep primary_assembly:Lsat_Salinas_v7:3:25778021:25779361:-1 gene:gene-LSAT_3X19181 transcript:rna-gnl|WGS:NBSK|LSAT_3X19181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTATTKDDAQALFRSLHSAYSATPTNLKIIDLYVVFAVFTALIQVGYMAIVGSFPFNSFLSGVLSCVGTAVLAVCLRIQVNKENKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >cds-PLY85722.1 pep primary_assembly:Lsat_Salinas_v7:1:47165499:47166298:-1 gene:gene-LSAT_1X41540 transcript:rna-gnl|WGS:NBSK|LSAT_1X41540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDPNDDFRSSSSRCRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRQDIIGVCIRIFKTDGVSPEDFFGALMARVYDDAVREWIGGIGVEGIGKRLVNSREGPPTFEKPKITVEKLLEYGNMLVQEHDNVKRVQLAETYLDSATLRDANRDSIARGEFYGELS >cds-PLY83391.1 pep primary_assembly:Lsat_Salinas_v7:8:657603:657821:1 gene:gene-LSAT_8X1341 transcript:rna-gnl|WGS:NBSK|LSAT_8X1341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPHQAECSDYFVVTGEDNVSSEKASKEELMSSEKLISPVPEWKEDSDYEMKKLMFDIHVRSIWLFDSLSYF >cds-PLY88326.1 pep primary_assembly:Lsat_Salinas_v7:3:86503260:86505581:-1 gene:gene-LSAT_3X66881 transcript:rna-gnl|WGS:NBSK|LSAT_3X66881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVHSTRRQANFLKTCINGINALSGIGILSIPYALSSGGWLSLVVLILISLAAFFTGLLIRRCMESNPLATSYPDIAGIAFGRKGRIIALVFTCLELYLVATGLLILEGDNLHKLSPHFGFKFGSLKMDGRHSFVIVAGLIILPSMWLSDLSVLSYLSLGGVLSSLIVLICVFCVGASGVGFHRKGSLVNFEGLPTAVSLYTFCYGAHAMFPAIYLSMKKKSQFSIVLLLSFMICTITYMTMAILGYLIYGDDVQSQVTLNLPTEKASAKVAIYTILAGPVAKYAITIMPIASAIENYLPVKHRHNKVISATIKMCVLASTVVLAITLPSFESVASLSGAALVVSVSFLLPCACYLKIFQVYRSFGFDFFVIMGLVVLAILLGVVGTYSSVIETFKHI >cds-PLY91596.1 pep primary_assembly:Lsat_Salinas_v7:7:12487800:12489400:1 gene:gene-LSAT_7X10021 transcript:rna-gnl|WGS:NBSK|LSAT_7X10021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKHNCKLCLKSFANGRALGGHMRSHMLNLYVTPKPPLPSSPPSSSTEEDEDDGDDNLYGLRENPKKSLQLGDPDFAFPGALTVTLTTTAAGGGGVVGGSSVVLQDRESETETSKKAIVRRRSKRIRRQETSWLGDQQHFHELTRNKNQNQWQYLKKSKIFKPCPKTAESSTPEPVSSISDTSPEEDVAYCLMMLSRDKWSDEEEDREHEESDNESESDREIINVKRTPTRTKYRCETCNKVFRSYQALGGHRASHKKIKQSHHDNIHQTQNVAMEDKIHECPVCFKVFASGQALGGHKRSHVTASSASMAAAKPVAKQSINLIDLNLPAPIDEEDDEMSQIEVSVVSDGEFVITH >cds-PLY66969.1 pep primary_assembly:Lsat_Salinas_v7:7:123487105:123490396:-1 gene:gene-LSAT_7X74820 transcript:rna-gnl|WGS:NBSK|LSAT_7X74820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTHDQKRDIFSEEPTVDWKGRPSNPIKHGGMRAAAFVLGVQAFEIMAIAAVGNNLITYVTNEMHYSLSKAANIVTNFIGTVFLLTLFGGYLSDSYLGCFWSMLVFGFIELSGFILLSVQAHLPQLKPPPCNMLNGDRCIEAKGVEALIFFVALYLVALGSGCVKPNMLTHGGDQFNKSDSKQSKKLSTYFNAAYFAFSLGELIALTLLVWIQTHSGMDIGFGVSAIVMAMGLICLVSGTLYYRNKPPQGSILAPIAQVFVAAFFKRSQVPPSTPHMLESHFTMSNESPITDRFSFLDKACIRRQEGNTNNTKESPWRLCNVNQVEQVKILISIIPIFASTIVFNTILAQLQTFSVSQGSIMNNQLTKSFHIPPASLQAIPYILLIFIVPLYDYFFVPFARKITGNDSGITPLQRIGVGLFVATFSMVSAALTEKKRRDTFLNSGQTLSIFWITPQFLIFGLSEMFTAVGLIEFFYKQSLKGMQSFLTAMTYCSYSFGFYLSSVLVSLINKITSRSMDDGGWLGGVDLNKGRLDLFYWLLAGLSLINFVNYIFWARWYNNSCNRSPSGKAHHNSIEEGFHNNFGPAKVVGDDNIH >cds-PLY69137.1 pep primary_assembly:Lsat_Salinas_v7:5:285771758:285772012:-1 gene:gene-LSAT_5X149940 transcript:rna-gnl|WGS:NBSK|LSAT_5X149940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMVKEGGSMTYQVSVLTPMNYPVWVIKVKAIMGAHYIRETVESRAFGGRIYQIRSDRQRYGCQDKKGMDRQHYINIMAVEVQI >cds-PLY80849.1 pep primary_assembly:Lsat_Salinas_v7:MU041859.1:354627:355478:1 gene:gene-LSAT_5X38600 transcript:rna-gnl|WGS:NBSK|LSAT_5X38600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDRSNSSNQFNDDGDENQIVELLLRTNISTKRKQLFWYDIRNFPDSLLIEILARLPVKLIFSFKSVCKHWQNLISHPSFCRFYHSILNSNAASSLLPFRILYTFLYVRSFKEFVNRFGTEIHSMSEFSLLFLSTYKNKYDRFRVLAMSNGLILCCWRSGSRFVYYICDPLTRQWITLPRCEPKYSFKEGLITTVNEDHMLTGYTVVRLEHGTSNYLNLEILTSETGKWISYKLPSSIPFVHEEAGSPIIVMGLSIGEFVIVRGLMACLRLILTKIQKLFA >cds-PLY87267.1 pep primary_assembly:Lsat_Salinas_v7:1:51180852:51188010:1 gene:gene-LSAT_1X42621 transcript:rna-gnl|WGS:NBSK|LSAT_1X42621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYYPLEGINHLEIPVEDIQSATNDMADEYVISSNKEFTTYKAQLFWSGQLIDIVAQSYDRLDADGVSMFENTALILSGLKHKNIVTFLGYSGDPYWIFILSKYEPNRSLDEHLREASTLPWMERLQICAGIAHGLSYLHYEEGRDYSVIHCNIKSSSILLDENWEPKICNFGHSIRTPVAHRHRLHHAKHSGTEGYMDAIYEETRGVTEKSDVFSLGVVLFEVLFWKEAWSDDEDGESLVESARSHYEEETLEDLIDADVWEEMDEKSIKIFSETAYSCLKEQRAHRPSMDQIVRQLDKALQLQMKFENLEDSGGESSEVTSFDRLKGKDFEHLKIGLSDIEFATENFAERYCIGSGGYGKVYKAVLHLDQGTNSSTIEENNKDELMPKTGKTVAIKHIFSRGDKQGEDGFVAEIEALTSCKHPNIISLLGFCVEGPERILVYEHASNGSLDDYLESIGNRANLSWTQRIRTCLGIARGLHCLHSGNDNKQNIIHRDIKSANILLDERWEAKIADLGLSKLYSLNENSSTINTIHIAGTEVYLDPEYWSTGKLKKATDVYSLGVVMCEIMCGRVAYDKIYMAEDEKGLGPIARRHYDKGTLKELIDPVLKQESDEILFTQNEGLSEESLATFFEIVYGCLAESQAKRPKMDVVIKGLEQALFLQENFKNNLKFSLEHIKLATQSFSEKNIIGEEKYWHLYRGEVPQANGSNIIIAKRFKGDTGFLKEEFSTEFINLHEYTHKNIIGLVGYCNEMDERIIIYENASKGRLNGYLKDVNLTWMKRLKISIDVASGLDFLHGGNVTKDVVVHRSIRSSSILLDGEWKARIGDFGLSYVSSRDDPISFDLRNTSCTTPDTEYEKSTDTLTKESDIYSFGVVLFEILCGSGTLSFVKEVDELGNFVNHHFPEGKLVFEGIKEQIVPQSLTVFQEIVVQCLQYKREDRPMAAQVLMQLKKALEFQVKHEEIKVENYDEGEKSFSENDVSKKKHYMLSAKEALYESSNVKLFDSIPSTQSRFQEVIELARQQVFHIKCKIESQRLSSDTEYACYIVFKLSENCHGLHCPVIVRDLLQHKNKEKGILHFRSPTPCNVNDTNQVPKEREDGWMEVNVCQFNSSNQLRDDCVSINLKLISYEGTMSGLIVSSLEFRSI >cds-PLY94777.1 pep primary_assembly:Lsat_Salinas_v7:2:180689084:180691751:-1 gene:gene-LSAT_2X103681 transcript:rna-gnl|WGS:NBSK|LSAT_2X103681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA+ type, core domain containing protein [Source: Projected from Oryza sativa (Os03g0792600)] MLWVDKYRPKSLDKVLVHEDVAQNLKKLVTEQDCPHLLFYGPSGSGKKTLIMALLRQMFGASAEKVKVENKTWKVDAGSRTIDLELTTLSSSHHVELNPSDAGFQDRYIVQEIIKEMAKNRPIDVKGKKGFKVLVLNEVDKLSREAQHSLRRTMEKYSASCRLILCCDSSSKVTEAVRSRCLNVRISAPKEDQIVKVLEFIGKKEGLQLPPGFAARIAEKSGRSLRRAILSFETCRVQQYPFTNNQVIPPMDWEEYVSEIAADIMKEQSPKRLFQVRGKLYELLVNCIPPEVILKRLLHELLKKLDSELKHEVCHWAAYYEHSMRLGQKAIFHIEAFVAKFMSIYKGFLIATFG >cds-PLY68962.1 pep primary_assembly:Lsat_Salinas_v7:9:140341392:140343315:1 gene:gene-LSAT_9X89900 transcript:rna-gnl|WGS:NBSK|LSAT_9X89900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAVGGGGGGGTILFVGDLHWWTTDSELESELCKYGHVKEVKFFDEKASGKSKGYCQVEFFDPSAATACKEGMNGHVFNGRPCVVAYASPYSVKRMGEAQVNRTQQMTQSSVGQPKRGPGDAPVKPGGNTMVSGGNFQGNTGGDNNNNNNRGFGRGNWGRGNGQGMAGRGPGGRGGMGGRGIMGGRGNGFGPPPMMHPQSMMGQGFDPSFGGPMGRMGGYGGFPGGPTPPFSNMLPSFPPVGGLPGLAPHVNPAFFNRGMPMNGMGMMPGGVDGGPNMGMWGDPNMGWGGDEHGGRVGESSYGEEAMSDQQYGEGSERGNWQNVAKEKDWSGTSDRRPRDDREQPGSGYDPPREKDVVHEDEWPERKHREERESGRGDRERERDRDRDRERSRDRDRERGRDHRHRDERERERERERYGDHHRYRDADVEYDDEWDRGRSNRGHGKSRMSQEDEHRSRSRDADYGKRRRVTAE >cds-PLY66046.1 pep primary_assembly:Lsat_Salinas_v7:6:49859524:49863580:-1 gene:gene-LSAT_6X37161 transcript:rna-gnl|WGS:NBSK|LSAT_6X37161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQSGKLMPNLDQNSTKILNLTVLQRMDPYIEEILITAAHVTFYEFNVDLNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTENLVENLLGDFEFELQIPYLLYRNAAQEVNGIWFYNSRECEDVANLFTRILGAYSKVPPKQKVNKSEFEELEAVPTSAVIEGPLEPGLTNTRSTDVPEDSSFVNFFSTAMNLGHNPPNQVVNSIPTYHNSTPIPLPSRDTPSPIPNNPPPPPPPLQNPSSDNSNSDHPVNNNTNNNHVTNLIKPLSFFTPSSSSAPSPSPLMRQPSSSVPVSALQPPLNVQRNVGIPLLQPFPPPTPPPSLTPTSNSAPFYGPLTREKVRDALVMLSQDNQFIDMFYQALLKVHQS >cds-PLY85092.1 pep primary_assembly:Lsat_Salinas_v7:7:7494199:7499075:1 gene:gene-LSAT_7X6740 transcript:rna-gnl|WGS:NBSK|LSAT_7X6740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAATDLKRPLILPAFDASALTHTFTDDNSPSQVAITINDQVGYFQSGIPTNQISSRFATQSNFDDDSTRTYENPYAIIGSDGFEASGSTTVDPFKNQTAKIEGVYEWVKMLICVPIALVRLVLFGLCIIIGYIATKCALQGWKDKQNPMPRWRCRVMWITRLCARGILFSFGYHWIQVKGKPAPRDAAPIIVANHVSYIDPIYFFYECSPTIVASESHDSMPFVGTIIRAMQVIYVNRFSYQSRKHAVNEIKRKASGSRFPRLLLFPEGTTTNGRQLISFQLGAFIPGYSIQPVVLRYPHIHFDQSWGHIALAKLMFRMFMQFHNFMEVEYLPVMSPSQHHKESAARFAERTGRAMASALNVESLSPFMVEMAKIQKLCHLSSSEAVEFLDRFLAMNPDSSGCVNMEDFMRVLRLKPSSLSTKIFGFIDVEKYGIITFKEFLVGSAHVLKLPLFQRACEVAFNESDEDKDGYISMLEFGGSTSPAIPNLAMSEIHALFDLFDTDGDGRISKDDFFTCLRRNPLLIAHFNHHFMHKDLNAATGSEEMV >cds-PLY97814.1 pep primary_assembly:Lsat_Salinas_v7:9:2152574:2163134:-1 gene:gene-LSAT_9X5521 transcript:rna-gnl|WGS:NBSK|LSAT_9X5521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L7-1 [Source:Projected from Arabidopsis thaliana (AT1G80750) UniProtKB/Swiss-Prot;Acc:Q9SAI5] MRMVFICLSLCLFISLVFGEGPPSAYDAIKKYNLPIGLLPEGVVGYNLDPKSGEFSVDLSSSCVVRAGKYKLRYSSTITGMISKNKIEKLSGVKAKIAFFWIDIESVNRNGDQIEFQISNFATKDFPITIFNKCPEYSISTIMADDEAKPLNYVSEIVLKKRKSNEDWANRRKEQLEQRVKKSKSDNFVIKKPEQFIREFRDKESDLIKMKYRGKRPIKSSAFPQSKLLFIIRIQGKNDMHPQTRKLLYSLRLRRMFTGVFVKANDRIMEILQKIEPYVTYGYPNLKSVNELIYKKGLAKINKQVFPLTDNNIIEQAMSEHGIICIEDIVKEIANVGPHFKEVCSFLCPFNLNKPEKALQGKKKAYKDGGDSGNREDQINELISKMN >cds-PLY88514.1 pep primary_assembly:Lsat_Salinas_v7:2:154752907:154755136:-1 gene:gene-LSAT_2X79740 transcript:rna-gnl|WGS:NBSK|LSAT_2X79740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRLFKVKEKQKEEAKNANGNGSFKKQSAGELRVHKDISELNLPKSCTISFPNGKDDLMTFEITIKPDEGYYMGGKFLFTFNVGATYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIVYGLYHLFTEPNHEDPLNHEAAGVLRDNPKVFKSNVRTAMAGGRVGDTYFTKCI >cds-PLY82876.1 pep primary_assembly:Lsat_Salinas_v7:4:17375049:17378499:1 gene:gene-LSAT_4X12300 transcript:rna-gnl|WGS:NBSK|LSAT_4X12300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDKDKVDQISGGFSSDPTWTFQGDYSFFGSDVRENSVLSEFGWSFQPPPAAFDRIDSDVSLPEVGVGGVVGSDQVQTGSVDDQAAGDVSMSTPMVSSSSSEDRAETSTASGGAASGGKPPSSERASKVKKKGPKKIRQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTNSKCTVKKRVERSSDDPTIVITTYEGQHCHHTVGFPRGLMTHHETAYARQLAPTSSALQPLNYSRPHFPNLVDVVRASQSRPQLLLSNESKDHNIPHKLPQSSSQDSHVDQGLLGDIVPPRMRNQ >cds-PLY73811.1 pep primary_assembly:Lsat_Salinas_v7:7:69068644:69074217:-1 gene:gene-LSAT_7X49641 transcript:rna-gnl|WGS:NBSK|LSAT_7X49641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMFRKLVYKKPPDGLLEISERVYVFDCCFTTDAWDKQNYKGYMGNVVTQLKDHYPDASILVFNFREGDTTSQLASALSNYEMTIVEYPRHHEGCPLLQMEVIHHFLRSCESWLSLGLQNVLLMHCERGGWPVLAFMLAALLIYRKHYSGESKTLDMVYKQAPIELLHLFSSMNPLPSQLRYLQYVSRRNVATEWPPLDRALSLDCVIMRMIPDVDGNGGFCPIFRIFGQDPYMPGDKTTKLLFLTQRSSRTVRYYKQAECELVIIDIDCHIQGDIVLECISLQDDKVHESIIFRAMFNTSFIRSNILILTGDEIDIPWDAKYQFPNDFKAEFLFSEMNASAPVVSMDSFFFDEKDGLPIEAFAKVQEMFSSADWLAPKNDNAGFNRVNSQSTLLPTSSSHPLNRVNSQSTLLPTNEMQVSGFPPSILPPGFPLKESDTKTGSTSQSIPSPVAPSTPFKENRDTGHKPPHATSSPQTESPSSMNEKGTGAGLPGNLSLSSTSSPRAPPASPPPSPPTPPQQEKVATPAPSNAPPPPPAPFAKGGGPGPPPPPPPAPSANGGGAPPPPPPRGPNASGAPAPPPPLGRGRGSLQTMAKTQQLKKLKPLHWLKLTRAVQGSLWAETQKSGEAVKTPEIDISELENLFSAAIPPDKNAAKSKSAPIANKPEKVQLIDHRRAYNCEIMLSKVKIPLHELMDYVLALDESALDVDQVDNLIKFCPTKEEMEVLKNYKGEKDKLGKCEQFFLELMKVPRTESKLRVFSFKLQFGSQVRSSCKFKRVMQTILQLGNALNQGTARGAAVGFRLDSLLKLTDTRARNKRMTLMHYLCKVLVDKLPELLDFSKDLDSLEPASKVQLKYLAEEMQAISKGLEKVVQELSMAENDGPVSEKFLKALKEFLCTAEGEARSLASLYSLVGKNVDALILYFGEDPARCPYEHVVSTLKNFVRTFNQAHDENCKQIEAEKKKAEKEALEEKSKPNDSKTSDEITPKPNDEKSKPSDPKISDEITTKPNDEKSKQSDENSKVIDSQIDQSEQTKNPPIKTE >cds-PLY84485.1 pep primary_assembly:Lsat_Salinas_v7:7:127775115:127778336:-1 gene:gene-LSAT_7X76640 transcript:rna-gnl|WGS:NBSK|LSAT_7X76640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIVNGGEEIIEEISSSSLPLLSLNHVSFICKSVRRSVKFYEEVLGFVLIRRPSSFDFEGAWLFNHGIGIHLLEMDTTPRKKGVINPKDNHISFQCSDMDLIIKKLEKLGIKYVTALVKEGGVEVNQLFFHDPDGYMIEICNCHVLPVLPISSCPLKKIPNISQINHGDSYEKMSSKNYFCGEDEALMMENLSIHSNFCRHIVVANSMDMFPYPNLW >cds-PLY82261.1 pep primary_assembly:Lsat_Salinas_v7:1:69215280:69216176:1 gene:gene-LSAT_1X60561 transcript:rna-gnl|WGS:NBSK|LSAT_1X60561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLELPKLQVLNLADNINLTGSFPEFQMNSLLEEVILFSTGSLSNLTQITALALGDNKFTGSVPSMDSLLKLDVLELNGNRFEQGHFPNWLGKLTKLSALFLCEMNINDEIPPFLANLTKLSMIEMWKNSLIGRIPSWLFNSTQLTDLDLTANQLQGPIPNTFSNFKSLQSLNLAINNFSGRVELDMFLGLNKLQSLSLGYNQISLVPTNNYTNTTLPELNRLFLSSCNLTEFPAFLRFQNKMVPYSLTKITFMAWYRCGSGTTAKKH >cds-PLY67414.1 pep primary_assembly:Lsat_Salinas_v7:4:240831029:240831274:1 gene:gene-LSAT_4X128580 transcript:rna-gnl|WGS:NBSK|LSAT_4X128580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKNGVNLTMFFLFIITYCYWESHVKLADFITTSPNSIHLIKDIFILAGQSNMAGRGGVVERNLDGIIPPKSSPVRERFYD >cds-PLY82228.1 pep primary_assembly:Lsat_Salinas_v7:1:67134842:67135625:1 gene:gene-LSAT_1X57140 transcript:rna-gnl|WGS:NBSK|LSAT_1X57140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVGKLVTVLTVIFVVSIVVLAAELLFVLWRRRSFRGQTSPPRISGNNQRPDHLPTSHSDSSTKELLYFFCLKSQTRVEPSETPTPTRSSPEDPVIDVFKLLEENGPSRVLCTIKEDEREDVESTSMSRVDSVEITVAERVSLQTCLECEAAEEIGLNIGDIKTAVLSPCDSPMFFTPVGSPPQDGMCL >cds-PLY71831.1 pep primary_assembly:Lsat_Salinas_v7:3:60245993:60246283:1 gene:gene-LSAT_3X47421 transcript:rna-gnl|WGS:NBSK|LSAT_3X47421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVDEQREKAGIREIETIDEIKSWNQHQAQNRELESTHGAQIFLVKRAGRKSQTVKAVVVVEVGGINGTGKRRCGGGRRQVGVVRSREKRVNEMRL >cds-PLY66483.1 pep primary_assembly:Lsat_Salinas_v7:5:327033680:327035392:1 gene:gene-LSAT_5X182580 transcript:rna-gnl|WGS:NBSK|LSAT_5X182580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 10 growth stages; BEST Arabidopsis thaliana protein match is: Thioredoxin superfamily pr /.../(TAIR:AT2G31840.1); Ha. [Source:Projected from Arabidopsis thaliana (AT4G28590) TAIR;Acc:AT4G28590] MALNPYLTFHSLLPLFTHTKTIRYPASISNINFIRKHRILSAVSKDSDVSFEDDRKPRKNPKPRRKPATANTENPKNEEEKPFPTTIPRKPRRGRRSEAAAVEDFMRSSLEETFAAIREQNSEVLEGKENVIRERITDEEDDDDDDNGDKKEKEKKKKGMVVEEEDPNWPLDAEVGWGIRASEYFEKHPIKNVIGEDGVEIDWEGELDDNIVVKEINCLEWESFAFHPSPLIVLVFERYNRASENWRALKELEKAAKVYWNAKDRLPPRTVKLDMNIETDLAYALKIRECPQLLFLRGNRIIYREPQIRTADELVQMIAHFYYKARRPSWMKDAKLY >cds-PLY61949.1 pep primary_assembly:Lsat_Salinas_v7:5:158296404:158298574:1 gene:gene-LSAT_5X69000 transcript:rna-gnl|WGS:NBSK|LSAT_5X69000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGACSPPISHLLPNPNKTLITVNKRKPLFIIVSSSSKPQLTIQRRQLLLLSLPISITTIQLSCYSGSAVAASIFDPVTDAERAASSEVSRRVAEAVELLEKGREFQAQGDYVQALKYFTQVVREYKDFALSEYARVGRALALYEVGDKNEAIAEMEDVSISLKGSPEVHAALAAALYSDKHAPVLAENQFTIATLLDPKYTDLGYVKDTKHWPPSLVQSLQDFITLS >cds-PLY62887.1 pep primary_assembly:Lsat_Salinas_v7:9:130377452:130380203:1 gene:gene-LSAT_9X84221 transcript:rna-gnl|WGS:NBSK|LSAT_9X84221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METETSFFRGLAISNNYTLIDDLGSLNQERDVEEYIENFQALSVFIPYQSDEQRLGIFIRGLQEDIKNWIRLLRTTTCKKAIDLARHVATAITAPGERPSVNGKVSNYTSGSNLNFHDLTEQSIGPNSQTTKALLPDTASLPLPLKPYTSPKLIVIPICNVITTSMFKQEGEDEDSDSKSNSSYLVTTLTPNSELALKVYHPYFINKGLCLTTDSRGGLIVPAISLPSIYNSVYVDKPRCKMKLLFSTEIGTWVFVSEVKSTSDISTQGVNDPSKGLIGGLTNEALYSFGVRLEKQIEHTFGDQYKPTDAMIKEHGKPKLVFILGGEGEEINLEVYSFTGVGALSMYNTDKSIVTFVEASMNITYQKKWPLYLSTKNTILKKHDERFKDIFQEVYERNTKSKFEAAHVWYEHNLIDDVVAYALKSDERYVWECKNCDGDLQSDFLATTYPPVTRHYRVHQKRGKTSKISIASICVWTCVLTHMTTLDNNSKLLDFNEKFEAACIDTFEFGKMIKDLAILTIWTVLQTLGLRTSLYFKMVVLIENVIKNGSSLKTREKWRDRIVILCAFCFALMQKHPYVALLLKQNKMLKVVIRKVMKSGVPNFCVVLLALNDLGYKARGIRLDFGDLAYLSCEKRKFFETIGMEFGVPRFGKTGITAGNDLNEETLDALNKQASFL >cds-PLY88465.1 pep primary_assembly:Lsat_Salinas_v7:8:86133522:86138114:-1 gene:gene-LSAT_8X62640 transcript:rna-gnl|WGS:NBSK|LSAT_8X62640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSSFSSKRQDELQPNHPCDCDLPSRVKTSRTPDNPGRKFRVCQNSLDEGRKDGRGHYRMKAEESCNLTLKICTLENEISICMMKIEEEKNRNKQELDKPSPFGGQWADVVYMRPHVTTI >cds-PLY66338.1 pep primary_assembly:Lsat_Salinas_v7:5:288931703:288932898:1 gene:gene-LSAT_5X153081 transcript:rna-gnl|WGS:NBSK|LSAT_5X153081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSLSSPNPRYCLSNPFSLVTNNPRLHVFTNDQYAGVGITSPEEPIVTPVGLHRELMPKHVAVIMDGNRRWARSRGLMPQAGYLAGVGALKVVVDLCRKWGIQVLTVFAFSSDNWLRPKVEVDFLMRLLESTLKDEVASMSRDEIRVSVIGDISKLPPSLRDFITHCENTTKNNSRLNLVIAINYSGKYDIVQACQSICQKVKDGEVEPEEINEFMIDNELCMKLIRFPDPDLLIRTSGEIRVSNFFLWQLAYTELYFTETLWPDFGEDELLRAFHTFQQRRRRYGG >cds-PLY71985.1 pep primary_assembly:Lsat_Salinas_v7:8:149338884:149340452:-1 gene:gene-LSAT_8X100481 transcript:rna-gnl|WGS:NBSK|LSAT_8X100481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANGDVDIVVEDVQGLFESIRSSPSAFPLIQTFIDMVPSGRRDISPTSFDPRVVSIGPLHREDKTLQAFEHQKLMHASDLFPRGTSNQTLKECVQKVLATTDRIRACYDNIKIEKYNDNELAKMMVIDACFILQFIRVLTNSSDEAVAFLRASSIIYDLMLFENQIPFFILQDIFNSTILTSEPKASLPHLILQLVQFFNIFETNIPVQSSYPIEPNDHILGFLEKCCRPSKEYSPLQALPSVAMHSTVELERAGVMFKPKTDARWAMAMNFQPSAFNCFSWSRDKPTLRMPVLRIDNFTESILRNLIAYEQSSSTYRTCGYVTSYALAMDMLVDTEEDIAKLIESKVVVNHLGSNEKAADMINSLCKELPFRRFYYFDDWREMDRHYNSYWPKNIAALKRTYFSNPWSMIALVAAIVLFALTVVQTIYTVNAI >cds-PLY70175.1 pep primary_assembly:Lsat_Salinas_v7:9:539888:540169:-1 gene:gene-LSAT_9X5020 transcript:rna-gnl|WGS:NBSK|LSAT_9X5020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSKLLKSKAATDHYRIVATSHYHNIAAYNIYSASHLISAKWRCFCVYNASCFAFLSLVGNVSYNQHHLLCFASAPPHGPNSADRCAFVCVHP >cds-PLY72172.1 pep primary_assembly:Lsat_Salinas_v7:7:52510792:52514289:1 gene:gene-LSAT_0X25561 transcript:rna-gnl|WGS:NBSK|LSAT_0X25561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPKFATNKGLLETHELYKYIVENNVYPREPKPLKELRALTTTHPWAVMGTAPEAGQLIEILLKVIGAKKTIEIGVFTGYSLLLTALAIPEDGKIVAIDVDREAYEIGLPVIQKAGVEHKINFIESEGLPALDKLLEDPENHGSFDYVFVDADKGNYINYHELILKLLKVNGIVVYDNTLWFGTVAKPEDSVPEGYRRGRTAIVEFNKALATDPRVSISTVPLGDGLTICRRL >cds-PLY93214.1 pep primary_assembly:Lsat_Salinas_v7:6:158950971:158952886:1 gene:gene-LSAT_6X97240 transcript:rna-gnl|WGS:NBSK|LSAT_6X97240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKCDELEVVGGGAIITDWNDIPMELLLRIVSLLDDRTVIIASSVCSGWRYAICSGLSHISFSWCKNNMNSLVLSMAPKFKNLRGLILRQDKPQLVDYAVETIANNCHDLQEVDLSKSFKLSDRSLYALAHGCRSLIKLNISGCSSFSDIALAYLTGYCRKLKILNLCGCVKAASDKALKAIGYNCGELESLNLGWCEEVSDAGVMSLAFGCHDLRALDLCGCVLITDESVIALANNCRHLRSLGLYYCQNITDKAMYALAQSRVKNEDEVWESVKSRRYEEDEGLMNLNISQCTALTPPAVQALCDSFPALHTCPGRHSLIISGCLNLTSVHCACAFQAHRALNTLAHHAY >cds-PLY64198.1 pep primary_assembly:Lsat_Salinas_v7:7:1666255:1670555:-1 gene:gene-LSAT_7X2840 transcript:rna-gnl|WGS:NBSK|LSAT_7X2840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEKAFPAWSWSVDDCLKAYNVKLEKGLCSYDVEKQREVHGWNELKKEKGKPVWELVIQQFDDMLVKILVVAAFISFMLAYFEETDESQEYSGGGYVEPVVIVLILILNAIVGVWQETNAEKALEALKEMQCESCKTLRDGYLVPDLPARELVPGDIVELRVGDKVPADMRVAVLKTSTLRVEQSSLTGESVPVLKGNHTINDDDSSCDLQAKDNMVFGGTTIVNGSCTCIVVNTGMRSEIGEIQKQIHEASMEESETPLKKKLDEFGNSLTTVIGAVCLAVWVINYKYFLTWEMAGSWPTDIRFSFDKCTYYFKISVALAVAAIPEGLPAVITTCLALGTRKMAQKKAIVRKLGSVETLGCTTVICSDKTGTLTTNQMSVSEIFTFGGKTTNAPRMFHVEGTTYDPKDGGIVDWSCFNMDANLQAVAEICAVCNDAGIYFDGRVFRVTGLPTEAALKVLVEKMGVPDPDVKARNKQIMANYLIDNNSVKLGESKRVGTLELDRVRKSMSVIVREPTGQNRLLVKGAVESLVERSSAVQLANGRVVPMDEASREVLLWRHAEMSGKGLRCLGLAYKDDLGDLSDYNGQHHPHHPKLLDPACYSSIETDLIFVGVVGLRDPPRDEVDSAIEECRGAGIKVLVITGDYKSTAEAICKEIGLFDEFEDLRGKSFTGKDFMGLSPLQQRDILSKPGGKVFSRAEPRHKQEIVRMLKEMGEVVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVGEGRSIYNNMKAFIRYMISSNVGEVISIFLSAAVGIPECMIPVQLLWVNLVTDGPPATALGFNPADVDIMRKPPRKSSEALIDSWVLFRYLVIGCYVGIATVGVFVVWYTQASFLGVDLIGEDGHTLVSVSQLTNWGDCPSWPDFSVAPFAVASNRVMAFPNPCDYFTTGKLKPMTLSLSVLVAIEMFNSLNALSEDNSLLTMPPWKNPYLLVAMLVSFGLHCLILYVPFLAQVFGVVPLGFNEWLLVICFSAPVILIDELLKLLARTTKSTQIKLKTA >cds-PLY74959.1 pep primary_assembly:Lsat_Salinas_v7:3:155193028:155196633:-1 gene:gene-LSAT_3X98880 transcript:rna-gnl|WGS:NBSK|LSAT_3X98880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLNSTTLVPGNTKDEKALAAQYQLMNYMSTHMFVRRLVSQKRRRMLVGGYDLDMSYITDRILAMSFPAERMRAIYRNPMWQVKEVLEMRHAGHYKVYNLCIEQDYDPSHFNDRVERFPFDDNHVPSLPMIKEFCQSVHSWLSSDPKNIVVIHCMAGKGRTGLMVSSYLVYSGWLAEEALQVYADKRTTNNLGVTIPSQRRYVNYWQKSLSFPSSCPPDVNIPEPSCREFRQIRLIDTKNIETVFFVVSQMQEVSGQRYRPSTDTCRNFCRKIRNGGRHSFSFIEEDEEETQSCLDCYFGKTVQVNGDVCVTFYEKNIGGRLFYACFNTAFIEDNSIHFSITELDKVGSKGKSIAGPDFRVEFLFGPPNPNPNELFSGLTLTDGSEDSDCGDGCNSNR >cds-PLY76089.1 pep primary_assembly:Lsat_Salinas_v7:9:30773065:30774407:-1 gene:gene-LSAT_9X28061 transcript:rna-gnl|WGS:NBSK|LSAT_9X28061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKSIFFTITFVFLISLPTLSRSQRCNKDDEKVLFKIKKSFGNPYHLASWQKTLDCCQWYNVECDANTSRIISLTIFSGNISGQIPDEVGDLPYLQTLVLRKLTNLTGEIPSAITKLTHLTMLRLSWTNLSGPVPSFLSKLTNLNFLDLAFNDLTGSIPPELSTLKNLNAIHLDRNKLTGVIPESFGTFTGNVPDLYLSHNQLTGTIPKSLGYLNFSTIDFSRNQLTGDISMFFGTNKTIQTADFSRNSFEFNISEVVFPASLTSLDLNHNKIYGSLPAMLTGLNFQYFNVSYNRMCGQIPQGGDLQTFENTSYFHNRCLCGSPLPACT >cds-PLY93010.1 pep primary_assembly:Lsat_Salinas_v7:4:193157596:193158286:1 gene:gene-LSAT_4X111080 transcript:rna-gnl|WGS:NBSK|LSAT_4X111080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERGFHFCSEQGGIPAGGSIAPSLLETGRAFTAKGLQVLEYVGKEIVDLLIAESGMEVDKNGGEGGHGTEDDQLLEEITFNRCFYIYGGPEHLELSCSRSVQFSGTRGSCDFRTFGHSHCCCPQVFTEGLVVKLRDTDHLC >cds-PLY96372.1 pep primary_assembly:Lsat_Salinas_v7:2:54074334:54080713:-1 gene:gene-LSAT_2X22661 transcript:rna-gnl|WGS:NBSK|LSAT_2X22661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASEDSNTLFPIFIFSILALPLVPYTIFQLYHLATKKAKIAKCQCSVCLQSGKYHKSIFRRISNFSTYSNLTLLMLWVIMVLLAYHIKSSSHEVEIFEPFSILGLEHGATDSEIKKAYRRLSIQYHPDKNPDPEAHNYFVEYISKAYQALTDPISRENFEKYGHPDGRQGLQMGIALPQFLLNIDGTSGAVNLLGIVGICIIMPLVMAVMYLSRSSKYTGNYVLKHTLSAYFYLMKPSLAPSKVMDVFIKAAEYMEIPVRRSDGEPLQRLFVLVRSELNLDLKNIKQEQAKFWKQHPALVKTELLVQAQLTRESGCLTPSLQRDFRRILEVAPRLLEELMKMAVLQRPPHGHGWLRPAIGVVELSQCIIQGVPLSARKPVGGSVEGIAPFLQLPHFSEAVLKKLARKKIRTFEDFRDLTQQDRFDLLTKTAGFSPLQSQDIETVLETLPSITFDIKCETEGEEAIQEGDIVTMRGWVTLNRSNHKIRSLPHCPNYPFHKEENFWLLLADPTSNSVWISQKVSFMDESAALIAAPKVIRESKEWAGATPKELNLAVKEAVEKVRNGSRLVIGRFLAPEEGTYNLTLFCLSDSWLGCDTKMSLKLKVLKRSRAGMRGGVAVVEEGVEDGGEEEEVEEDELYDDDESEYSEDEEDVEHEVVDDDDVNDDDEDNDNDEDKKEN >cds-PLY88954.1 pep primary_assembly:Lsat_Salinas_v7:8:129449112:129452369:1 gene:gene-LSAT_8X89800 transcript:rna-gnl|WGS:NBSK|LSAT_8X89800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPGPAVNPFRPDFNGFSENKKPTGATNVNPVREESFPTDDEKVRRERKEQVNKKIASQKAISVILRREATKAVIEKKKGSTRLFPGTVLEALHERIAALRWESALKVFELLREQLWYRPNSAIYVKLIVMLGKCKQPERANSLFQAMIDEGCDVNQESYTALLSAYSRSGLFRKAFSILEEMKNTPNCHPDVYTYSILIKSCLHFHEFDKVQSLLSEMVSQGVNPNTVTYNTLIDAYGKAKRFADMESTLVEMLRQRECKPDVWTMNSTLRAFGGSGQIETMEKCYDKFLSAGIQPNIKTFNILLDSYGKTGNYKKMSAVMEYMQKYHFSWTLVTYNIVIDAFGRAGDVNQMEFLFRLMQSESIKPNCVTLCSLVRGYAQNGKAEKIGGLLRYIESSDVMVDTVFFNCLVDAYGMMGCLAEMKGVLVMMESKGCEPDKITYRTMIKAYNMNGMSNHVKELRLMLSSVGKSDSRR >cds-PLY98969.1 pep primary_assembly:Lsat_Salinas_v7:7:49837259:49838099:1 gene:gene-LSAT_7X34221 transcript:rna-gnl|WGS:NBSK|LSAT_7X34221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKIRNYRNWTITEDAKLVEALVNMVNMGGYKADNGFKSGYLLHLENALKEKIPNSGILGKPHIESRIKTMKKDWQVVYDMVNGTNTSGFGYDSSTHSVTAESAVWDSYIQVHKEAGKWRNKIFPHYEDLCIIFGKDRAQGNKAKDFSQMEEDANNEEQSKQVEDVFEEQTTENEESPNTCSKKRKRVDAVIKGITIAANTLGEKLEKAANSMNQAILGETEVQKKASMVIPFLSKTFCKTNAPSNSSRF >cds-PLY90099.1 pep primary_assembly:Lsat_Salinas_v7:6:16256930:16257878:-1 gene:gene-LSAT_6X7880 transcript:rna-gnl|WGS:NBSK|LSAT_6X7880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISQLSLIVQLTQSMDKRFTKVERDLAVMKRFMAPGDDDDDDDDDMVIDDTLPNSPEADTTIDQPIPNTDDQSETDDDEGFHDLDFIPQATIAFAVPLNIVYPNSYFNGEFPQGTNSDIESGDDQINPLNKKASSSRGAHDTEAGSSATDDSSAPLPSKKSKLILDLNKLASTWRLYVDELREIMMENNVALPNLFNPLPPLMKVLLIRSIKLNLSIIVWRNNTRFQT >cds-PLY92466.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:828901:831525:1 gene:gene-LSAT_0X10481 transcript:rna-gnl|WGS:NBSK|LSAT_0X10481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional D-cysteine desulfhydrase/1-aminocyclopropane-1-carboxylate deaminase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G48420) UniProtKB/Swiss-Prot;Acc:F4HYF3] MLNSTWSIRRLTPSPSLSPFFSLPTTNTPKLRPNQTPFRFMASTTQLQQQQQQSTSEFLTQRPYHPPTWASKLNPIPSHAFSLGHFPTPIHKWNLPNLPKDTEVWLKRDDLSGMQLSGNKVRKLEFLLADAVAQGADCIITIGGIQSNHCRATAVAAKYLNLDCFLILRTSKVLVEKDPGLTGNLLVERLVGAHIDLVSKEEYSRIGSLTLTNLLKEKLIKEGRKPYVIPVGGSNSLGTWGYIEAIREIENQVQNGTIKTSFDDIVVACGSGGTVAGLSIASCLSELKTKVTAYCVCDDPEYFYDYVQGLLDGLEAGVNSHDIVKIENAKGVGYAMSTAEELKFVKEVAESTGVILDPVYSGKAAYGMMKDMAENPRKWEGRKIMFIHTGGLLGLYDKNEEIGSLVGGWRRMELDESIPRKDGTGKMF >cds-PLY62851.1 pep primary_assembly:Lsat_Salinas_v7:4:30436440:30438371:-1 gene:gene-LSAT_4X16900 transcript:rna-gnl|WGS:NBSK|LSAT_4X16900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAQCQSSDRISTLPEDTIEKILTHMPIRDALRTSILSKKWRYCWTRMPKLVFDDNMFTRSSIFENDISKDYNFEDKIIKAIFQVLLLHKGPISEFCLCIVDAEIVNEIDMIILHLSWNKNIKKFIFECVEGYKLPCSFFSFQELEHLDLRCCKIELPHMFNGFSKLKILKFDAVEITAKMLQRFLTNCPLLEELTLHFAAGGMPWKLPTSLIHLRRLVLDVCFLIQDEISSTLCLINNSPNLEKIQINMIYNGEKTLANLPDIQEDYSGLNLDHLKELEITRFGIFATEMEFLKLIMAKSPLLKKARIELSILVTVGEENKMLRDLLFLPFPRASPAAKFSIERPKY >cds-PLY96927.1 pep primary_assembly:Lsat_Salinas_v7:4:8889582:8894996:-1 gene:gene-LSAT_4X7040 transcript:rna-gnl|WGS:NBSK|LSAT_4X7040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRAIRACKTAAEERAVVRKECASIRAAVSDNDNDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIAAPGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHTNQYIVGLALCALGNISSAEMARDLAPEVERLLQFRDPNVRKKAALCSIRIIKKVPDLAENFVNPVASLLKEKHHGVLLTAIQLCADLCIVSEEALEYFRKKCTEGIVKVLKDAVNSPYAPEYDISGISDPFLQIRLLRILRILGHGDADASDFMNDVLAQVATKTESNKNAGNAILYECVETIMSIEDSGGLRVLAINILGRFLSNRDNNIRYVALNMLMKAISIDGQAVQRHRATILECVKDSDASIRKRALELVYLLVNENNVKPLTKELIDYLVVSDQDFKGDLTAKICSIVEKLSPEKIWYIDQMLKVLCEAGNYVKDEVWHALIVVITNSSNLHGYTVRSLYRAIQTSCDQEAVVRVAVWCIGEYGDLLVNNVGMLDIEEPITVTESDAIDVIEAAIKQHTSDLTTRAMCLMALLKLSSRFPSSSQRIKDIVNQSKGSLLLELQQRSIEFDSIIGKHQNLRSALVERMPVLDEASYSGRRGGSGVSTSGINNIPNGVVKIVDAPLVDLLDLGVDEPTHPHPHPHPPPPNSSSGANFLHDLLGVGADISSSSPQSGGRNTMHGHKSGTDVLLDLLSSGSPPAPAPAPAPATQKSSSTSDLLSLTQLNNNNNNNNNNNNNNNNKASPSPFSALDTLSSSLPTPGASPMMDLLDGFGPNPTTPPPPTPKEEENGRTYPPIVAFESGALSLTFNLSKQPGSPQITLIEAKFTNKSSQLYTDFVFQAAVPKFLQLHLEPASSNTLPGNASGSITQKLRVTNNQHGKKSVVMRIRISYKLNNKDMLEEGQISNFPRDL >cds-PLY74577.1 pep primary_assembly:Lsat_Salinas_v7:7:37768257:37770141:1 gene:gene-LSAT_7X27920 transcript:rna-gnl|WGS:NBSK|LSAT_7X27920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSSGASWIAAELSYGHFRLLDIRSGNLINSWKAHDRYVTKGADAGTMSGLMKANSYGVRRGKESSR >cds-PLY92992.1 pep primary_assembly:Lsat_Salinas_v7:1:147829222:147829535:1 gene:gene-LSAT_1X102641 transcript:rna-gnl|WGS:NBSK|LSAT_1X102641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDISNYGRRVDHHGDMCIDIDHISYEELLTFDEQIGIGSGLSEDFISGYLKTRIFMSSKLEVVSSADQELSFCTICQVRANS >cds-PLY63117.1 pep primary_assembly:Lsat_Salinas_v7:8:75976550:75976768:1 gene:gene-LSAT_8X54781 transcript:rna-gnl|WGS:NBSK|LSAT_8X54781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLASYAQLGDKIALLKGKLASLYFENDGLLDKIDILEQGVHKLKGDLNASSLKNMNSQGKYNGVGWSGAKVE >cds-PLY70272.1 pep primary_assembly:Lsat_Salinas_v7:2:92239933:92242904:1 gene:gene-LSAT_2X39740 transcript:rna-gnl|WGS:NBSK|LSAT_2X39740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYDDSERKCHPLLQGERDEYYKNGSFSSSEMATLSSICDVIVQPLPLNSLDDHGNKVEESIRSFATASGSLYPIPNKVVHLLKTKAFLEAMMVVKLVLTLLSTRLGTLLLSGTLCLSHKWPYVHNFSEIPLDKREKIVQKWFEHRFLTPIRLGFVFIKTLCLLVFFTQVGKKADNPAWEAIGYHVDINEEHPKKEQNKRPLQKGMVEIMKETDQTLVHSLLQKGLDVTEELKENICKIKCDVVIIGSGCGGGVAAAILAKSGKKVVILEKGNYFTQKDYSKLEGPSLDQLYESGGILPTLDGKVMIQAGSTVGGGSAVNWSACIKTPQSLRKEWAEEHNIKLYESHEYTSAMNKVCERIGVTEKCTKEGFQNQVLRKGCQNLGLKVDSVPQNASENHHCGTCCYGCRSGDKKGTDSTWLVDAVDHGAVIITGCKAKKFMLTRNQNGKKRRKKCLGVIAQVLNDKILKRLHIEAKVTISACGSLLTPPLMISSGLKNPNIGKNLHLHPVAMAWGYFPEGETDLTGKSYEGGILTSVHKPGSEETYILEVPALGPGCFAGLTPWVSGQDLKERMLKYSRTAHVFSLVKDRGSGEVTSAGRISYKFSKFDNENIKKGLRQALRVLIAAGAVEVGTQRSDGQRLKCKGTSEEEIEEFLETVDAVPGPMSMVKDWNIYCSAHQMGSCRMGKSAKEGAVDENGESWEAEGLYVCDASILPSAVGVNPMITIQSTAYCLAERIADIFR >cds-PLY61973.1 pep primary_assembly:Lsat_Salinas_v7:5:161262393:161263819:1 gene:gene-LSAT_5X70480 transcript:rna-gnl|WGS:NBSK|LSAT_5X70480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIELPAKGTDLWELPLRYNNKGITIGSPPRPPPQVHENPIISKSKDQSSTYIRTGIKKQNRRNKQQNIAELEPSCWNRICPQDVVDAGIHLNPAKKKHSVWFSLTPFCDQNRKNTLQLLVEPYIQIIIEENCNPDVSILMKYIVLQLKHVCQQEVGIFLNGKLLAPEMKLLDVLKQWMAIVDSERKITKIGCSAENFYVKLSYARRE >cds-PLY86346.1 pep primary_assembly:Lsat_Salinas_v7:8:27671873:27680290:1 gene:gene-LSAT_8X22640 transcript:rna-gnl|WGS:NBSK|LSAT_8X22640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSSQSGTRMVGDLRYAAVQASALMVVVADRGGRVQYTMNQKHMKRQKARREVNRWDPIWSPPLPTTTYDRTPPPLVVSGSTPLSTLAGPPSPVSNSDEQNKPPQAQQATRSLQNHHQQFPYQTRQTYHRSPLPTIKRLRSLLTFKPMPPTSGTQERIPNCETYYHHIHRSLTKAS >cds-PLY98851.1 pep primary_assembly:Lsat_Salinas_v7:7:25245364:25248476:-1 gene:gene-LSAT_7X19661 transcript:rna-gnl|WGS:NBSK|LSAT_7X19661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN/FHY [Source:Projected from Arabidopsis thaliana (AT4G21470) UniProtKB/TrEMBL;Acc:A0A178V341] MFFVVNLQTSFNLKKFVNASLKSAGRVLILTTNWMMNSLRKLVSCVILDLDGTLVNTDGIVSEVLKVYLVKYEKKWDGREAHKIIGKTPIEAAAAIVEDYELPLSKEDLLSEISPMFSDQWCKLKALPGAYRLVKHLRGHGVKMALASNSPRASIETKISYHDTWKESFAAIVAGDEVKAGKPSPEIFLEASKRLNVDPSKCLVIEDSLPGVAAAKAANMEVVAVPSLPKQSHLYTDADEVITSLLDLHPEKWGLPPFEDWIDGTLPLEPWYIGGPVIKGYGRGSKVLGIPTANLSVEGYATVLSENPAGVYFGWAKLSNHGFYKMVMSIGWNPYFNNTEKTIEPWLLHKFDKDFYGEELHLLVVGYIRPEANFPSLESLIAKIHEDGKRAENALELPLYAKYKDEMYFKSIAHGQNSHL >cds-PLY77912.1 pep primary_assembly:Lsat_Salinas_v7:1:21098618:21101542:-1 gene:gene-LSAT_1X17381 transcript:rna-gnl|WGS:NBSK|LSAT_1X17381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATLKANNTYIFPDDISSSDFSSDFVWGSATSAYQIEGAAFEGGRQPSIWDAFCLKNPGAIDNGDNGNKAINAYYKTKEDVQMMKKMGLKAYRFSISWSRLLPGGKASMGINQEGVDYYNNLINELIENGITPYATLFHWDLPNALEEDYMGFLSELVVLDFVDYAEFCFWEFGDRVKHWITLNEPYTFAAMGYAYGTMAPGRGGGDTETQQAVLASGNNLGTRNRARAFNNKEAGNPATEPYTVAHNLLLCHANTVRLYRDRFKESQGGVIGITLNTQFYEPLDPTSQDDKDAANRGIDFIFGWFMNPIFNGKYPQSMIDNVTDGRLPEFTNKQIELLTGSFDFLGLNYYTAQYATTAAPTDVVSYLTDSKVHQQPDDLNGVPIGPQRSNDIYITENGWPDANNNDLKLEEARVDEKRVNYYNTHLQSLRDAIRDGSKVVGYFAWSLMDNFEWASGYSVRFGLFYIDYAHGKYTRYPKTSAIWFMRFLNAKKAIEINKAS >cds-PLY70555.1 pep primary_assembly:Lsat_Salinas_v7:1:89298721:89300938:1 gene:gene-LSAT_1X74780 transcript:rna-gnl|WGS:NBSK|LSAT_1X74780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEHKRSPVSVEQGSLTSLTPKRHKAGLSISSKERKEKVGERIAALQQLVSPYGKTDTASVLLEAMEYIHFLHEQVKVLSAPYLHSNSTNEYQELGPYNLKNKGLILVPISSTMGVASSNGADIWAPIKTNSDNRRKLTNMM >cds-PLY65630.1 pep primary_assembly:Lsat_Salinas_v7:7:27644509:27645583:1 gene:gene-LSAT_7X21680 transcript:rna-gnl|WGS:NBSK|LSAT_7X21680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENYLASTSGSTTTINHPSPPITPVTISNPNNPYPTTFVQADTTSFKKVVQMLTGSSETVKQSAATTRPDLAARNPIPAMKTGPNKKPSKLYERRSSLKNFKISPLAPGFTNGGGSSGSPRNPNAPEIMSPSLLDFPSLVLSPVTPLLSDPFNRSPANDCSPNLDVEAEEKAIAEKGFYLHPSPATTPRRESEPQLLPLFPVTSPRFSGSSSSS >cds-PLY67422.1 pep primary_assembly:Lsat_Salinas_v7:4:240898408:240901036:-1 gene:gene-LSAT_4X128940 transcript:rna-gnl|WGS:NBSK|LSAT_4X128940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALPHLLNVIGLMFLCRTTLIYSSSSLSSPPFGLNLGSSLSVEKKEDFLISPNGFYTAGFYRVGENAYCFSIWFTKALSDGNRTLVWMANRDLPVNGKFSKLSLLKTGNLVLRDAYQRFPIWTTTTRDSTKSAQLKMNDSGNLCLQNKHGKVLWQSFDSPTDTLLPDQPLTKDAPLVSSRSLTNYSSGYYKLFFDSDNVVRLVYSDPKLTGIYWPSPDVRAWESGRNIYGSRRIATMDSSGHFISTDDLLFNTSDAGDQPLRRLTLDVDGNFRAYSLDEITGIWHVTWQALSNTCYIHGSCGENSTCSNDPVYGRKCSCLPNHRMINHTDWSYGCEPEFKPTLCGNGEDQFLHLPHFDFYGYDRRYMPNTTLEECKQVCRNMCDCKGFQFKNDWKKGFSICYPKFLLVNGLSSSDFNGSMYLKVPKDIPLSSKNIKVGEEFSLKCSWKPAIQLDRSYDVNRQNESIQLLMLIITSVLGALEIICITYFCYGTHSHSTTEGYLQAASGFRRFSYAELKKASGNFSNEIGRGGGGVVYKGVLSDNRIAAIKHLNESSKSQGEAELLAEITTLGKLNHMNLIEMWGYCAEGKHRLLVYEYMEYGSLAHNLYSSQLDWDQRFDIALGTAKGLAYLHEECLEWILHCDVKPHNILLDCCYKPKVADFGLSKLLDRDGTGNSEFTRARGTRGYMAPEWLFVNLPITAKVDVYSYGVVMLEMITGRSPTGANQSGGSEGRLDSWVREKMIATSGPNGWVEEIIDLTIEGEYDKKRMEILIKVALQCCEEDKDGRPTMSQVVDMLMHVEEHH >cds-PLY65179.1 pep primary_assembly:Lsat_Salinas_v7:7:59973805:59978809:1 gene:gene-LSAT_7X45481 transcript:rna-gnl|WGS:NBSK|LSAT_7X45481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGKQGWTVADAVDYKGFPADRSKTGGWVPAALILGIEICERLSTMGIAVNLVTYLGGTMHLPSSTSANVVTDFLGTSFLLCLLGGFLADSFLGRFKTIVIFACIQTLGTGTLALSTKLPSLRPPPCHSDENCEEANGFQMGILYLALYLIALGTGGLKSSVSGFGTDQFDEKNEAEKTQMAYFFNRFFFFISLGSLTAVTVLVYIQDEVGRSLAYGICTISMFIAILVIMSGIKRYRYKKSAGSPIVNIFQVLVAAIRKRNMNLPYDYNMLHENSPEGSRIHHTDQFRCLDKAAVVAEGDFVKNGSGATLNPWNLCTVTRVEEVKMMTRLLPVWATTILFWTAYAQMITFSVQQASTMERSIGGFRIPAGSLTVFFVLAILLTCAIYDCFIIPLWKKWKGKPGFTDLQRMALGLILSTLGMAAAAVVEMKRLSVAKAVGGTTTVNPLPISVFMLIPQFFLVGAGEAFIYTGQLDFFITRSPKSMKTMSTGLFLTTLALGFFMSSFLVSVVKKVTGSHGGEGWLADDIDNGRLDCFYGLLAILGVINFGVYLVVARWNKKDDKAVEMESVV >cds-PLY91034.1 pep primary_assembly:Lsat_Salinas_v7:1:202658038:202659514:-1 gene:gene-LSAT_0X32560 transcript:rna-gnl|WGS:NBSK|LSAT_0X32560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNTSTKSSQTKSNAGILKPLLEENMNTIVYCISAILTSDSEALLTLIKFHITRRCSSYYHTITFLQLRNRFKRCQVLLSYKRTRLLKNGFRFFSFTIDPGFLMKNGSYKVYPFNSGFCILEPGFLNNRILWCAFSLICNSERITRFFTINRDIP >cds-PLY94813.1 pep primary_assembly:Lsat_Salinas_v7:2:176400391:176403508:1 gene:gene-LSAT_2X100281 transcript:rna-gnl|WGS:NBSK|LSAT_2X100281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHALRLALLLVLLAKVYPSSSAYEFEHCENTVKQWASSSLHSTNIKDGHILKDLLFFLHVPRTGGRTYFYCFLKKLYSNSLECPRSYDKLRFDPRKQNCKLFATHDDYSIMSKLPREKSSVVTILRNPIDRVFSAYEFSIEVAARFLVHPNLTSATKMSRNLGSKKSVISTLDIWPWKYLVPWMREELFSRRDARRRKGPPNSYGDDSYNMEEIVTPLHEFINHPVALDLVHNGATFQIAGLTNNSNTWKVHDVRHCVMTYQTLGNYVLQVAKRRLDDMLYVGLTEEHKESATMFANFVGAQVISQLVGTETVNYNKLEQGSLVSKTGFHTSHNQGNNTSIIEEQNENMSVGKLMETYETCVSKLRSTQSKRRMSSLRRIFPANFTKEARRHVPEEVLKQITLLNSLDVELYKHAEIIFEKQHQKLLDSSMPVSERWQMMNSNRSYIGNAWEVVYLSVFIFLVLFIFLYGELGVVRIQMLRDVGH >cds-PLY84683.1 pep primary_assembly:Lsat_Salinas_v7:2:150051571:150055139:-1 gene:gene-LSAT_2X76020 transcript:rna-gnl|WGS:NBSK|LSAT_2X76020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLICSSLLPYSVDTVGVVLMEAVPPDLPSDEVSKLPSDPLKAKSITLLVNKFESEVMGSKISSKWLWLISLVLQWGDRLKMIESGEGVDWAVADALTFATLLVEGNHVRLSGQDVERGTFPELPP >cds-PLY62914.1 pep primary_assembly:Lsat_Salinas_v7:4:328113750:328114075:1 gene:gene-LSAT_4X164220 transcript:rna-gnl|WGS:NBSK|LSAT_4X164220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSFSRDPPSSGCYFRRRSQRPSTTSGAPFVTISDFASSTVAHRAHPLTSHLRHACSSPSPAVVGDGG >cds-PLY74136.1 pep primary_assembly:Lsat_Salinas_v7:9:12754635:12758294:1 gene:gene-LSAT_9X10560 transcript:rna-gnl|WGS:NBSK|LSAT_9X10560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHVVGGKFKIGRKIGSGSFGELYLGVNISSGEEIAIKLEPVKTKHPQLHYESKLYTLLQGGTGIPSFKWFGVEGEYNIMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQLINRVEFMHARGFLHRDIKPDNFLMGLGRKANMAYVIDFGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLRAGTKKQKYDKISEKKMLTPIEVLCKSYPSEFTAYFHYCRSLRFEDKPDYSYLKRLFRELFIQEGYQFDYVFDWTILKYPHIGATSKGRNLVANAGLNAGTSAEKPGRTSAGEDIRDRVSGAVEALSRRNSSRHEHSRNRIPDDIPSSKDVQPDSEKLRSSRNGDSSKRAAIPGGRPSSSGEPMEVRSSRHVAGSGGGGRLSTAHRVQPGYDTKQSVFSRATKSGNDDPVRSFEFLSLRK >cds-PLY85085.1 pep primary_assembly:Lsat_Salinas_v7:7:6709829:6712917:-1 gene:gene-LSAT_7X5861 transcript:rna-gnl|WGS:NBSK|LSAT_7X5861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal biogenesis factor 11 family protein [Source: Projected from Oryza sativa (Os06g0127000)] MSTLDVARTELALAVLYLNKAEARDKICRAIQYGSKFVSNGEPGTAQNVDKSTSLARKVFRLFKFVNDLHALISPVSPGTPLPIVLLGKSKNALLSTFLFLDQVVWLHRSGIYKNKERAEIIGRISLFCWMGSSVCTTLVEIGELGRLSKSMKKLDKELKDTNKYKNEEYRAKIKSSNERSLALIKAAMDIVVAAGLLQLSPKKVTPRVTGAFGFASSLISCYQLLPAPPKSKSS >cds-PLY81278.1 pep primary_assembly:Lsat_Salinas_v7:2:26471545:26472331:1 gene:gene-LSAT_2X13401 transcript:rna-gnl|WGS:NBSK|LSAT_2X13401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISTTSASSFFTSPNFSLNLNSSSKSSSNSVNFRRAPISAAYATAEKTETIRSLRNSPPSSLYEVLGVRIGADTQEVKAAYRRLARVLHPDVGRSDSSADEFMKVHSAYATLTDPAKRADYDRTLVQRRAGVSSPVSFSGGYRSRRWETDQCW >cds-PLY84506.1 pep primary_assembly:Lsat_Salinas_v7:1:30007152:30008400:-1 gene:gene-LSAT_1X25881 transcript:rna-gnl|WGS:NBSK|LSAT_1X25881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAENKEIPSQYDLNAKWDACLDLGVRRFVYSAGIGAFAGLLLFRSPVTRWASIALGAGVGIGSAYSDCSHKFDASTSTSPTVAKQSAFA >cds-PLY72504.1 pep primary_assembly:Lsat_Salinas_v7:2:142122339:142122617:-1 gene:gene-LSAT_2X70021 transcript:rna-gnl|WGS:NBSK|LSAT_2X70021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAYDVAALLKGSDAVLNFPELAGYYRLPESPEPALIKSAAAEAADLMKFMDDILDMSTPTKDIAEGMIVSPSQSQQQTTDESSSGNLRL >cds-PLY66557.1 pep primary_assembly:Lsat_Salinas_v7:6:167286547:167291337:-1 gene:gene-LSAT_6X102281 transcript:rna-gnl|WGS:NBSK|LSAT_6X102281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSREKPLFDLNEPAVEDESDTVPSTINTCKLSTSASSSSHKTVNTRAFSHVSSVSSFQPFIQSKREQPSEVGEDLKKEAAKNILEKEEGEWSDVEDPSIKTNRTSSSIPEMSTSGYDEKSQTKATTESESTDQNDCNLTTVSSSTSSKNENNNHTSKLPIPTNPGKRPKLDQQKEAMLGKKRSRQTMFLNMEDVKQAGPIKILTPKKHNFQPPIVTRIVKESRPVLDSIKEAKPIDQSCNEGNNNHVESSDTKSDCNESDLSSGPVTRSRRTNSGTDLAGEDKDKLFSDHIHRQSSFKQPNDSRQMKNPHIPIKKPPLNLITQNDSKSLVKKLPSRKPTTVTTLYQDTSVERLLREVTNDKFWQHPEDADLQRVPGSFESVEEYIRVFEPLLFEECRAQLYSTWEESTETSSRDSHTMVRIKNVERRERGWYDVILLPTNECRWNFKEGDVAVLSTPKPGTVRLKRNNAPTNVEESEVIGRVAGTVRRHIPIDTRDPTGATLHFYVGDSFDSNSDDDHILKKLHPKGVWFLTILGALATTQREYIALHAFRRLNSQMQTAILQPSPEQFPKYEEQAPSMPECFTTNFVDYLHHTFNQPQLSAIHWAAMHTAAGTTNTSTKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTALLKKLAPESYKQATESLSSENAPTGSIDQVLQNMDQNLFRTLHKICPKPRMLVCAPSNAATDELLTRVLERGFIDGEMKMYRPDVARVGVDSNTRAAQAVSVERRTEQLLMKSRDEVYGWINQLRAREAQLSQQIASLQRQLNLQAYTGRAEGSVGVDPDILTARDQTRDSFLQNLAAVVENRDKVLVEMSRLLILEPRFHSGNFNLEEARASLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVGVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTILLSVQYRMHPQIRDFPSRYFYQGRLTDSESVKSQPDENYYNDPLLRPYVFYDVTHGRESHRGGSVSYQNVHEAKFCVRLYERLMKVVGGGGGGGGVGKVTVGVITPYKLQLKCIQREFEEVLRSDEGKDIYINTVDAFQGQERDVIIMSCVRASSYGVGFVADIRRMNVALTRAKRALWVMGNASALVQSEDWAALIADAKARKCYMDMDSLPKDFLAAPPLPPPPPAYGPPPPKFSNSRGFMRPNFRNRPYEHMGTPSGDESKTNSNSNSSRNGNSYRPFNKPPLEDNHDWSRDSWQQYGAHKRPNQAGVLGKRDQ >cds-PLY70761.1 pep primary_assembly:Lsat_Salinas_v7:8:8653662:8655062:1 gene:gene-LSAT_8X6800 transcript:rna-gnl|WGS:NBSK|LSAT_8X6800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPVERSGGESTAADTTSRSLPTPFLTKTYQLVDDKTIDDVISWNEDGSSFVVWNPTEFAKDLLPKYFKHNNFSSFVRQLNTYGFRKLVPDRWEFSNDCFRRGEKRLLCDIQRRKIASASPAPAIAATPVTTVAALSPVHPVTVSPSNSGEEQVVSSNSSRGATTYLSRETTASGGTNAELIDENERLRKENVQLNKELSQMKNLCNRIYMMMSNYATNYNNPSEGNTNTSQQQTATAETTTVTPLNLLPLKRLSEDRDGSGSRRRSPEPEEDISPRLFGVPIGVKRAREEAAEQHHEPRVHVKLEPSDDTAKGVGNGVDCKEARWMMKHCQRSDRKVLL >cds-PLY98292.1 pep primary_assembly:Lsat_Salinas_v7:7:166494105:166495001:1 gene:gene-LSAT_7X98620 transcript:rna-gnl|WGS:NBSK|LSAT_7X98620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMVGTVGPPVPNLDACLEYVPKMNYDTLSSKPQGAICIRGNVLFAGYYKREDVTVEVLVDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVIVENLENVFGPVSDHDSIWIYGNSFESCLVAVANPNKQAIEHYANAHNISGDFEALCENPKIKEYVLGELTKVGKENKLKGFEFVKAIHLDPVPFDMECDLLTPTFKKKRPQLLKYYQVI >cds-PLY65397.1 pep primary_assembly:Lsat_Salinas_v7:1:60667330:60669692:-1 gene:gene-LSAT_1X51541 transcript:rna-gnl|WGS:NBSK|LSAT_1X51541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFDDVVIIKQAEKEDEATVITINCPDKTGLGCDLCRTILFFGLSIVRGDVSTDGKWCYIVFWVVGKPGTLWSLLKKRLLEACPSCSSANTLIFYHPESQPPKPADVFLIKFCCHDRQGLLHDVTHLLCELELVIQRVKVSTTPDGMVMDLFFVTDTRELLHTTKRKEDTHDHLKAVLKDDLLSCEIKMVGPNFTACSNGPPSFIPPEITEDMFNLELLDENKHESVSVSVSVMIDNSLSPAHTLVQIVCHDHKGVLYDIMRTLKDYNIQISYGRFMKKDRKICELDLFIVQADGKKIVDPNKQSALCSRIRMELVRPLRVGLVNRGPDTELVVANPVELCGKGRPLVFYDITLALKMLNMDIFSAEISRHLIGGREWEVYRVLLNEGDKLCVQKGKIEEGVRKMLMGWDR >cds-PLY65791.1 pep primary_assembly:Lsat_Salinas_v7:5:270899445:270901325:1 gene:gene-LSAT_5X141420 transcript:rna-gnl|WGS:NBSK|LSAT_5X141420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLELLENMNAQFGLTSPWWIGVDVCHLNLHKTFCIPHGGGGPEMGPLVATRGIPAPEQSKPLGTVSAAPWGSTLILPISYTYSHYLILFRGVSGTVAHEFIVDLRPLKTSAGIEPEDVAKRLXCCKRLIDYRFYGLTMSCSIPGTLMLEPTESESKAELDRFCDALISIRQEIAEIEKGTVDINNNGAPHPPQLLMADKWTKPYSREYAAYPAPWLRTAKFWPTTCRVDNVYGDRNPSATA >cds-PLY79111.1 pep primary_assembly:Lsat_Salinas_v7:2:16039430:16040764:-1 gene:gene-LSAT_2X8581 transcript:rna-gnl|WGS:NBSK|LSAT_2X8581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSISDQSLFPQTPVESKEHEDHYNKICEDYNHLMETLPKGNGWRAKHLYNYNGFWISPKYIKANLLLHAYFKPQPTDIFLASFMKSGTTWLKALIFSTLTRHLYSFSAHYLHHHGPQSTFPFLESECENFPITDFTHMSSPRLFATHFPRTLLPESMTSCKFVYICREPKDVLVSKWVFMNKIREKDLPPFSFDEAFDLFCEGVSNYGPFWEHVLSYWRASLESPEKILFLKYEEVKRQSEVVVRRLAAFMGIPFMAEEVEKGVVENIVKLCSFENLSNLEVNKKGVEKFGTVEVENREFFRKGEIGDWRNYLSDEMKQRIDGIIDEKFKGSGLIFGS >cds-PLY74720.1 pep primary_assembly:Lsat_Salinas_v7:5:26150154:26150711:1 gene:gene-LSAT_5X13141 transcript:rna-gnl|WGS:NBSK|LSAT_5X13141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVSRVTILILFSIFITLFISCVDGQSCKASGKLRGKKPPHGTCVNDPDCCKEGKFYTTYKCSPVVTKHTTATLTINSFQKGGDGGGPSACDGKYHSDNTLIVALSTGWYNNRKRCSKFINIHYNGKSVKAKVVDECDSTVGCDAEHGYQPPCPNNIVDASKAVWKALGVPKSDWGEAKVTWSDA >cds-PLY72791.1 pep primary_assembly:Lsat_Salinas_v7:MU043667.1:19724:23227:1 gene:gene-LSAT_0X27660 transcript:rna-gnl|WGS:NBSK|LSAT_0X27660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSALGLPLCFGWNGDPRVPQHLPWSAVDCQFDGDKIKWFNDGLYMVCCVKLNNFRMHCVLITTFVRCPFHPHYTEYTKCGYYVLKFMKAVVDEGLEVLNNDFWGKNEYTNVSLIRANVPLQHRVSKVLHHRQLSYRIFNHRVQSFQNREDKDGELLIAGGDEVADGLKNSEERSTDFGDAFPHRKIETKSAISAILMALGDGVLQNCYVLIKGMVKAPSLASKYDNFCLPKAMKLINRSGTPNHHWKN >cds-PLY62364.1 pep primary_assembly:Lsat_Salinas_v7:8:113240792:113241295:1 gene:gene-LSAT_8X77301 transcript:rna-gnl|WGS:NBSK|LSAT_8X77301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRYYCRRWSCWEREKEENHFVGDILSFPFPFPFSFGLPKPHHINTTSDPFKSHHHHRCVIDSCPPPSPHLRLVNLEGKKKYKLCGLLDVMGSGFQIHGDKFQIEDFRRMKVVSSVQIEEEEGFRCLLTPFRHHQEHTITGASYVLKSLPLSPSFSLTPDNNPKP >cds-PLY70385.1 pep primary_assembly:Lsat_Salinas_v7:4:98443410:98443829:-1 gene:gene-LSAT_4X63641 transcript:rna-gnl|WGS:NBSK|LSAT_4X63641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKSNSSWSGTSRRKNREIIRCSCGDICPIYVSRTPKNPGRKFRGCPNYQDEDGGCGHFKWVDEEEDEFRAFKKQLNLQHKDIESVMLLKLIVGLLVSILVCLVVVVIKM >cds-PLY81972.1 pep primary_assembly:Lsat_Salinas_v7:9:153081765:153100032:1 gene:gene-LSAT_9X96901 transcript:rna-gnl|WGS:NBSK|LSAT_9X96901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMVSATSSGPLLNNTSFYDVFMSFRGQDTRNSFTDHLHATLERTGIRTFRDNDEINRGQELEPEIERAIKESKGSVVVLSENYADSRWCLDELVLILEQRRRFNHFVLPVFYHVEPSDVRNQRHNFAIEVDDGVEGSKWTEYNVNRWKAALTEVADLTGVVVSGPETESITKIVDAIDSELDLKLVSTPAHLIGIETRVTGIDSWLKNEQSGDNFLAICGMGGCGKTTLAQFIYNSHKPKFESSSFLEDIGKHYEQPHGLLGLQKQLLTNVLGGKNQRISGVSEGTRKVEEALQAKKVLIVLDDINDHDVLNVLLGTSTLHTQSKIIITTRRLDMHASFWPISRGCLLYRLELMNNHEALELLSCHAFGSKVPMEGFKELAIQLAQYCGGNPLALKVLGSSLFVNPEDPCERSSLIEIWRSRLNSLNSLKGDLDFKIHSILQKSFDSLPSSSNKELFLHIAIFFVGEYEDYVVKILEHDWHAKSGIRTLVNRCLLTVSSSKKLMMHQLVQEMGRKIVLEESKDPAKRSRVWQYYESYRMLEKGEGSETIEGLALDGATFPNQIPTTSKTDSFAKMDNLKLLQLKYVKLTGSYKNFPDLRWLCWHGCYFTKIPSGLLMSSLVAIDMSYGNLITFEPPMVLNSLKILNLKESCKLVSINKLSPLPNLETLILWNCSSLTHVCETIGGLESLVLLDFTGCKNLWKVSSNRNNINLLKRLKTLCIGGGIQKQSSFSLPDSLKFLFLNNCHLGNNNDVPLVFSGQPLFYMNLGNNMFKKLPSYINLKTLRVLELTFCPNIKSLLSLPSTLEELYTYWCFSLKKITFESHRFRLRKFMYQSCSGLFEVEGLFKLVSIAQLDEAELGHMKWIKTYKACRVDLVGDEISRDIIWHTPVCLFEYGIVSTFLPHIQDQSIRMSDNYMSSSPFLSFHVPCCPKNRRIQGLNVTSLYRPSGDDEDTWVLFTKISNTTKGLTWMYNPVVSCKPGFGEDAVWLSYWPIGNMLDTGDEISVSVIVGNGLIVSGCSASLVYMDGEVELEKGKNYTKVEEVIGGDLSEFELTTGKYYLCRRDFFKSTIPDWLKMLVGDATGLKGWRKYRQTQHLDVSFMKLETFRPYDFPNMKVIRTADMKFMDEFGLKRAAYQEIYDE >cds-PLY74633.1 pep primary_assembly:Lsat_Salinas_v7:7:41928669:41931590:-1 gene:gene-LSAT_7X31280 transcript:rna-gnl|WGS:NBSK|LSAT_7X31280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKKKTSPKDALRTSKREMAVATRGIEREIASLQMEEKKLVAEIKKTAKIGNEAATKILARQLVRLRQQITNLQGSRAQIRGVATHTQALYANTSISTGMKGATKAMVAMNKEMAPVKQAKVIKEFQTQSAQLDMTIEMMSDSIDETLDKDEAEEETEELTNQVLDEIGVGIASQLSSAPKGRIGPKKVDNAAPSSVTNDVDDLEKRLASLRRL >cds-PLY85910.1 pep primary_assembly:Lsat_Salinas_v7:2:189869544:189873736:1 gene:gene-LSAT_2X111701 transcript:rna-gnl|WGS:NBSK|LSAT_2X111701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLQSAPSTSGSSTQSPLTNRPLIHTPFIFPSTSFKLPPISTNHSLKHKHNNYRFRIRASTTLESTNGAATIAVGLDSPSSTVNTSGIPYGRQYFPLAAVVGQDAIKTALLLGAIDREIGGIAICGKRGTAKTVMARGLHAILPPIDVVVGSTSNADPACPEEWEDGLADRVEYDSAGNVKTQVVRSPFVQIPLGVTEDRLIGSVDVEESVKNGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTDGVNIVEREGISFRHPCKPLLIATYNPEEGSVREHLLDRIAINLSADLPMSFEDRVAAVGIATQFQEQSKEVYKMMEEETDSAKTQIILAREYLKDVSISREQLKYLVMEAMRGGCQGHRAELYAARVAKCLAAFEGREKVSVDDLKKSVELVILPRSMINENPPDQQQQPPPPPPPPQNQNSENQNEEEEEEEEEDNEDEKDEENEQQQDQLPEEFIFDADGGLVDEKLLFFAQQAQRKKGKAGRAKNVIFSEDRGRYIKPMLPKGPVKRLAVDATLRAAAPYQKLRREKDTQSLRKVYVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDAAEVLLPPSRSISMARKRLERLPCGGGSPLAHGLTTAVRVGMNAEKSGDVGRIMIVAITDGRANISLQRSNDPEMAADAPRPSSQELKDEILEVAGKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDSVISAATKDALSALKNS >cds-PLY69222.1 pep primary_assembly:Lsat_Salinas_v7:1:79763640:79764419:1 gene:gene-LSAT_1X67940 transcript:rna-gnl|WGS:NBSK|LSAT_1X67940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQKLGKNKQYYVFIRYRGVGNAKELERRLDAVKVGGNILAVNIALHERKVPVRNQTQSEQYRRINVEQTAMAQNHGIKTGAILRDHRSYADILKPVNVVQTYPPGPPLPVPVILHPEPATRSWLRKISLVGEAKSLEHLGNLPKLLLAKGETCAEVKYIGGLRFLMLFDHSIAAKEFMLDELKWKEYLKWVRWEDQVDSHEERVAWIRVTGLPLHLWGQRNFRSITDGCGKTIAPFEDIPHRVDLSHAKIGLLTSRRT >cds-PLY72759.1 pep primary_assembly:Lsat_Salinas_v7:4:372957757:372958270:1 gene:gene-LSAT_4X183960 transcript:rna-gnl|WGS:NBSK|LSAT_4X183960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEETHDNRSSYPKDLPWQELKAKTDVDESIFEKKGIIQDPVKEYVGSGSGSESSTHHSKLNDDLQSKQLINDEIKIKTPETEPRSLPHQQVPQPDDFPTQALEQL >cds-PLY94457.1 pep primary_assembly:Lsat_Salinas_v7:3:251180086:251187350:-1 gene:gene-LSAT_3X138540 transcript:rna-gnl|WGS:NBSK|LSAT_3X138540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEARDEYESLDLDLEDFKGDFSFDGLYAKLVNGMLPAFRDEEIHSMEGLISVTTNDAVPSGKSAKGHSSPLFPKVDALLLIFKDSCTQLYELQKQIDGRLHKLKKDVVSQESKHTKTLCEIEKGVDAIFSSFARLDSRISSVGQTAAKIGDHLQSADSQRETASQTMELIKYLMEFNSSQDDLLQLSSLFSDDKRVGEAASIAQKLRSFAEEDIGRHGVSLESGNATASKGLEVAVTNLQEYCNELENKLLTRFDAASQRRELTNMGECAKILSQFNRGTSAMQHYVGLRPMFDVEVMNEDSRLVLGDQDYTRDSTEVDNALSTRYRQIIDTVRKESATIRAVFPSPNDVMSILVQRVMEDRIPNLLEKLLVKPSLLNPPPMKQGGLLLYLRILAVGYERTQELARDLRNVGCGDLDVEGLTEALFLEHKDIYLECEKASMKQHYKAKIEELIAEGQIPSRGTPVSSSTQHISVTVVNEFVSWNEEAISRCNLFSSQPAILAANAKAVFSCLLQQVRQYTTEGLDRAREGLREAASMNQRFHLGRKVAAAPSAAEGAASAGETSFKSFMVALQACGSSVAIIQQYFGNTISRLLLPVDGAHASSCEEMASAMSSAESSACKGLQQCIDILIVEVDRVLSAEQKPTDYRSADDNLMADHRPTVACTRVVAYLSRMLESAFTALEGLNKQSFLSELGNRVHKAVTTHWLKFSFNASGGLKLKRDITEYGDFLRNFNTPTVDEKFELLSILANIFIVAPESLASLIEGTPSIKKDAQRFVQLRDDYRSARLASKLSSVWH >cds-PLY96795.1 pep primary_assembly:Lsat_Salinas_v7:2:170844503:170848953:-1 gene:gene-LSAT_2X93641 transcript:rna-gnl|WGS:NBSK|LSAT_2X93641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AUG6 [Source:Projected from Arabidopsis thaliana (AT5G40740) UniProtKB/TrEMBL;Acc:A0A178UQ56] MDREKERETELESAMYTNCLLLGLDPSIIGVGANNGTPRVGLFRHSNPKLGEQLLYFILSSLRGPKDFDRVWPIFDSTQSRDFRKVVQSIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTYAADVVSNPLPASLTDVAFSHAATLLPVTKARIALERRRFLKNAETAVQRQAMWSNLAHEMTAEYRGLCAEEAYLQQELEKLHDLRNKVKMEGEPWDELVSSSSQNSHLVQRATRLWDSLLSCKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDESTQVSSGDLDKEQADRSQVNRENLKENISSSQPGDDRSGRGQPTVDIAEVLRRWTHALQRIHKQSLHLAKANGGEGPDLLRSASDGGTSGHAESLATTLAEHRQHLASIQACVAPTIQNSISELSEEVNTISANLPQMTNHHGRSTSPVQPQSTGRKTESSSDDVTEISSRLSTVQIDNKVSSALKLPPLFSLTPNSTAKTGNFYKRQAQLHTNVSENLPLEQTASNSQVNTPQTDNENDYVRNMKKSVREAALATRSSNTAQSQQDTHSVSDDGSEHFFVPLSGTGFSRVGPGPEKKQHTSTRSKQLFASEANTTSSSSDGINKYNESHDTFSHSNLDLLNDLDAININGFMSAAASNYAESEGRLSFYDVDETHDQVFSPPFLMEADSFEDLLGMNIF >cds-PLY96186.1 pep primary_assembly:Lsat_Salinas_v7:8:101637038:101637304:1 gene:gene-LSAT_8X69360 transcript:rna-gnl|WGS:NBSK|LSAT_8X69360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLYGLSLMRFHMEKYTLSLRLFTVFSSFILDFLFDYVNLHGLNPNCRYTLLHASMAAVKDIDKPFGITNIKSYMSLVLNLVELNYET >cds-PLY82636.1 pep primary_assembly:Lsat_Salinas_v7:1:192492392:192493918:1 gene:gene-LSAT_1X127740 transcript:rna-gnl|WGS:NBSK|LSAT_1X127740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISKLHLNYSILAARKSVAVVFLGTFCAIGIMTIIFVVLGRTFHYIDEILPFRYAIIPDKTAAVLGLNKFFYVGLIAAHKNKTPLAKDTSAKEGHVAFHACADLKDVQFQELRE >cds-PLY66208.1 pep primary_assembly:Lsat_Salinas_v7:2:168539598:168545925:1 gene:gene-LSAT_2X90700 transcript:rna-gnl|WGS:NBSK|LSAT_2X90700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKHNTQTSRKVSNGSFRSIFMHADGADMFLMTFGFLGAVGDGISTPTMFYFTSTIMNSIGDFSSLSNDVFIDKINKNAEYLCFLAIGKFIACFLEGYCWARTAERQASRLRSDYLKAVLRQEVAYFDLNVTSTAEIITSVSSDSLVIQEVISEKVPVFVMYVSLFCGAYAAAFILLWRLAIVALPFIIVLVIPGLIYGRVLMRLSRKMREEYNKAGAVAEQAISSVRTVYSFVGENKSLTEYSAALEGSFKLGLKQGLAKGLAIGSNSVSYIVWSFLIWYGSRLVMYHGARGGTVFAVGAVITFGGVSLGSALSNVRYFSDAMAASERIREVIKRVPEIDSDNMEGEILQQVSGEVEFKNVKFAYPSRPESVIFKDFNLKVPAGKTVALVGGSGSGKSTVIALLQRFYDPQGGEICVDGLRIEKLQLKWLRSQMGLVSQEPALFATTVKENILFGKEDASMDEIIEASKASNAHNFISQLPQAYDTQVGERGIQMSGGQKQRIAIARAIIKSPRILLLDEATSALDSESERVVQDALDRASVGRTTIIIAHRLSTIRNANMIVVVQDGQVVESGHHDHLIQLENGLYTSLIRLQETKQNDEPITHSPLGPSSVSSTYDVHNTSSRRRSINVSRSNSVNHGAEDKFASQTNQVFPVPSFKRLLAMNIPEFRQALYGSLGAILFGAVQPVYSFGMGSMISVYFLVDHDEIKHKTTMYSLWFAGLAIFTMVINIIQHYNFAAMGEHLTKRVREKMLSKILSFEIGWFDQDENSTGALCSRLAKDANVVRSLVGDRCSLLIQTFSAVTVAFILGLVIAWRLALVMIAVQPLVIIGFYSKRVLLKTMSQKAMKAQEESSKLAVEAVSNLRTVTAFSSQPRILKMLQETQKAPMRESIRQAWYAGFGLALTQSLMPCTWALDFWYAGKLISAGQLGAKALFQTYLILVSTGRTIADAGTMTNDLAKGSDAVQSVFAVLDRHTLIEPDDAAGKKSDIITGHIEIRDVDFAYPARPNVMVFKGFSIDIEAGKSTALVGQSGSGKSTIIGLIERFYDPMKGVVKIDGRDIRAYHLRTLRKYIALVSQEPALFAGTIRENIIYGASETVSESEVIEAAKAANAHDFIAVLKDGYDTQCGDRGIQLSGGQKQRVAIARAILKNPSVLLLDEATSALDSQSEKVVQDALERMMVGRTSVVVAHRLSTIQSCNTIAVLEKGKVVEKGNHSSLLAKGPSGAYYMLVSLQKPNSG >cds-PLY96282.1 pep primary_assembly:Lsat_Salinas_v7:6:157179474:157180977:1 gene:gene-LSAT_6X96180 transcript:rna-gnl|WGS:NBSK|LSAT_6X96180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKPTPELLPEDLDVEASESEQETDSESESESEGDEDIKLSEPSKTSIYNKEGLLDKLNDITWPEDIDWINKLSLDIQQEQEVDVNDDLARELAFYTQALEGTREAFLKFQTMGLPFLRPTDYYAEMVKTDSHMEKIKGRLLSEKRRMEEAEERRKMRDNKKKAKEVQAVKQKERVKQKKDEIESVKKWRKQRQQSGFAEKKDGDMGLPFEGGKESDTFKKNKRQGVSPWDRSGGKGKGKEQKGGNGNGNGKRKSREFRDSKYGFGGKKGMKKQNTAETTNDFKGFRNSDSGQNKKRKKF >cds-PLY93857.1 pep primary_assembly:Lsat_Salinas_v7:6:146051005:146051769:1 gene:gene-LSAT_6X89361 transcript:rna-gnl|WGS:NBSK|LSAT_6X89361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIRSSILVAAVVLALGLLSAGAGLAAELTRVKASQIRIVGNECIHPSSPAMGLAITAAAALFLLRIVVRVATGPGYACCRTHPNIPKLIRYCIILAWLLSFVAVGQFIAGAKLCSRRDLHVNEEGYYECYFLKPGMLSTAAFEALVSLCLTLFYYLVIVSTQNIPKKQSASEVEAPSVANDPQIPPVPPSMQ >cds-PLY82187.1 pep primary_assembly:Lsat_Salinas_v7:1:13560227:13563438:-1 gene:gene-LSAT_1X11701 transcript:rna-gnl|WGS:NBSK|LSAT_1X11701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKCLIVHILKLILSTISFFPVVAYGSTDEAAALLKWKASLQQQNNISVLSSWISILPNSQNGSMAQTMPTMFWCTWYGVSCNSDGSVTRLNLSSSSLSGTLNGFTFSSFPNLTDFDLSENNFSGVIPAEISNLSKLVLLDFDYNHFSSVIPPEIGQLSNLETLRLFENQLNGSIPHEICDLKFLSELSLYANALTGTIPLCLGNMTYLRDLFLNENKLVGSIPYVLGNLSNLSQLHLHNNFLTGAIPNSLGNLSKLTILNLFSNRIHGPIPPEIGSLSSLKWLILLNNSLTGSIPSSLGRLQSLTLLRLYSNSLSGPIPEEFGNMTSLVTLSAGKNLLNGSIPKSFGNLLQLENLYLNSNQFSGHLPQELGNLKFVALELSENKFSGSLPDNICNGGKLELLLVRQNKLTGTIPKSLYNCTSLVRVRLDGNQVSGNISEIFGVYPHLRFISLNDNKVYGELSDNWSKCENLTTMQLGGNDIGGSIPPSLGNSTQIEMLNLSFNHLVGEIPKEFVRMNRLLNLVLSSNQLSGSLPAEIGSLSALSLLDLSMNNFTGSIPFTLGSCLNLFHINLSNNNFTNEIPVQLGKLSHLSILDMSQNSLTSEIPSQIASLSSLEKLNLSHNKLSGNIPKSMEAMNALWSIDVSYNELEGPIPNSKGFLNTSLEDLQGNKGLCGNITGLQQCDNDLIVEKHDKNKRQKLALIVSLPLLGALLLLSIFIGMVIFYYRRKKLSSTRQVIEDHVLDFFSISSFSGKETYDEILKVTQEFNEAYCIGKGRCGAVYRAKLTSNEIVAVKRIHSSLDMVDRNSFLREVKALTEIRHRNIVKLYGYCLHTKHSFLIYEYLEGGNLYEMLRKEATQTLGWTKRVNIIKGIAYALSYMHHDCSPPIVHRDISSKNIMLDLEGEACVSDFGTSKILNPNSSNESALAGTFGYLAPELAYTMKVTEKCDVYSFGVLALEVIKGEHPGSIIASLSDGKMKLQDMLDERLPTVSIEIKKVLTNIIILAIRCLNNNPAMRPTMYYISKKISADCNAFL >cds-PLY83470.1 pep primary_assembly:Lsat_Salinas_v7:2:80579713:80579889:-1 gene:gene-LSAT_2X35180 transcript:rna-gnl|WGS:NBSK|LSAT_2X35180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTYENQKQKPSSDAQKGNEASASSKGKEKLVDEEEEEEELSEGEKLVREKCDRELDDL >cds-PLY83868.1 pep primary_assembly:Lsat_Salinas_v7:3:51060881:51061920:-1 gene:gene-LSAT_3X40960 transcript:rna-gnl|WGS:NBSK|LSAT_3X40960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDSKLVSLIFFSQLHRCGVPIVKHGNPIAFIRIEIARNMKSSTTFFSKMWTHLLRRHKNSDSPSIQSRKSGHLRSKEEIGTPIHRLRSMSRL >cds-PLY96991.1 pep primary_assembly:Lsat_Salinas_v7:2:33194660:33196402:-1 gene:gene-LSAT_2X16780 transcript:rna-gnl|WGS:NBSK|LSAT_2X16780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPNLPPGPHRLPVIGNFHQILAGKDLHQVLWEFSHKYGPIMLIHLGSQPYVIISSSELANQVLKTYDHILNNRPLSKGFQRLTFNYMDVAFSPSNDHWKKMRKVFITEFAGSKRTRFFKNVLDSEVNTMLDSFSSHLLNTTINLEDQIEHLITDVACKLAVGKSYREGTLVRGKTLKEILDELVIMLSGSLSDIFPSVGWILDGLRGWNGRLEQCFSDLDSFLEMVLDEHIDRTESERGDHEKDLIDACRSQLTRDELKSLLMNVINGSVDTTTAATIWAVSEIIKNPRVMQKLQGEIRSCVGRKPRVDESDITQMTYLKLVVKETLRLHPPPFLLVRECTSHCQIDGYDILPRTRILINSWGIGRDPKIWKVDATDFNPERLENLEVDQCEMVPFGGGRRSCPAANMATSIIEFTLASIFYSFDWKLPNEVNNENLDMEQEGFPVVKRKVPLCLVPMKHSWED >cds-PLY92118.1 pep primary_assembly:Lsat_Salinas_v7:9:192451794:192453725:1 gene:gene-LSAT_9X116360 transcript:rna-gnl|WGS:NBSK|LSAT_9X116360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRDRAVTLSKTKKKGREHKETIVQSIRDAVEEYNSIYVFSFENMRNLKFKQFREQLKSSSRFFLGSNKVMQVAIGRSDSDEIRPGLHKVSKLLRGDCGLCLTNMTIEEAQRIFGEYEDHDFARTGSIASETVELKEGPLDQFTHEMEPFLRKQGMPVRLNKGVVELVGDFVVCEEGKPISPESSRILRLMGIKMATFKLSLICRWSPEDYEVYQEGLQGSDIESS >cds-PLY69375.1 pep primary_assembly:Lsat_Salinas_v7:4:114717746:114719920:1 gene:gene-LSAT_4X72580 transcript:rna-gnl|WGS:NBSK|LSAT_4X72580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVDDAPAIGIDLGTTYSCVAVWKNDRIEIIPNAQGYRTTPSCVAFVDAARLIGDGAKNQATINPANTIFDAKRLIGRRFSDSKVQEDKKLWPFKVIEGPSDTPKIVVSYKGKAKEFLAEEISSMVLGRMKEIAESYVGKPVKDAVITVPAYFNDSQRQATKDAGTIAGLNVISMINEPTAAAIAYGLDEPFKMKDKEKKKKNVVVFDLGGGTFDVSILTMVEGDVGTFEVKAVAGDTHLGGEDFDNRMVDHCVKEFKRKWKKDLTPNKKALGRLRCACEKAKRILSSDTLTSIELDCLHEGIDFSMKFSRAKFEDINMEYFDRCIKTLEACLSDAKMKKPEVNEVILVGGSTRIPKVQCMLQKLFYQKELYKSLNPDEAVAYGAAVMAAKLSGNSDKRCRDLVLLDVTPLSLGIEVRGKKFNVVIPRNTPIPTKISKIYCTTKDNQSWWEIVVYQGERSRSTDNHLLGMFRISGIPPAPKGVVNTIACFEIDASGILTVTAGILSTGKMNKLMITNENRRLSKEKIEKMVKDAEDYKHEDQEYKKKVDAFNALEDFIYDMKNKIKNMDYSERLKMMEHKIADATKWIEHHEDASIDEVQAMKEYLESICMQEF >cds-PLY81862.1 pep primary_assembly:Lsat_Salinas_v7:9:181134811:181136004:-1 gene:gene-LSAT_9X111221 transcript:rna-gnl|WGS:NBSK|LSAT_9X111221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGRPSAAVASGDLQIVSAPSNRTAISALPPRHPTNTSSALVEYTPPVINQEEEDLEVKLRRILDHVPVRVNNTSGSSAGSGSGDFHQYRQMRRKEQDRLARMDVDFHKRKQVAEFNKRREERLKATEERTAKKRLKRQKKKQKKQEKKIKLEGGDNCEEEHHKEDDNDKSSDEEDDQNE >cds-PLY69814.1 pep primary_assembly:Lsat_Salinas_v7:6:3490137:3490599:-1 gene:gene-LSAT_6X4001 transcript:rna-gnl|WGS:NBSK|LSAT_6X4001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLDQIMSDLSYLRESKYSEAEILMCLNITQSQLRGFDALIHQSKQAAKETHEDNGEDVPETQEDNGEDGAEESQEDNDEDGAEESQEEGVDDTQVRVRTQVRVRTRKTSERITKNMLKKIVIDKKGK >cds-PLY96141.1 pep primary_assembly:Lsat_Salinas_v7:3:97921579:97922746:-1 gene:gene-LSAT_3X73060 transcript:rna-gnl|WGS:NBSK|LSAT_3X73060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold shock protein 1 [Source:Projected from Arabidopsis thaliana (AT4G36020) UniProtKB/Swiss-Prot;Acc:O65639] MAEEASTGVVIRFHAAKGFGFIKPDDGGDDLFVHQSEIQADGYRSLQDGQKVKFLVVEKNNRQQAVNVAALDGSTGDRNRNRDGYGGGGRRGGGDGYGFSRGGGGGGYNDGGGFRGNNGNGGGFRSGGGGGGRECYNCGGVGHIARDCSSSAGAGGGGGGCYNCGGFGHLARDCSRGRGVNGGGGGGGGGGGGYMGGGGGGSGSYY >cds-PLY84322.1 pep primary_assembly:Lsat_Salinas_v7:5:188450650:188458309:1 gene:gene-LSAT_5X84040 transcript:rna-gnl|WGS:NBSK|LSAT_5X84040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGSPYRRHKNDVESAGAGSGGFEDYESTSGPFDIVSTKSASVDRLKRWRQAALVLNASRRFRYTLDLKKEEEKKQIIAKIRTHAQVIRAAYLFQAAGAQSNGIQRSLPNPIPTGDYSISPDQLAAMTRDHDFSALQNYGGVKGLSEKLKTNPDKGILDDESDILERKTVFGSNTYPRKKGRSFWRFVLDACRDTTLIILMVAAAASLALGIKTEGIKEGWYDGGSIALAVIIVIVVTAISDYKQSLQFQNLNEEKQNIHLEVVRGGRRVEISIFDIVVGDIIPLKIGDQVPADGILVSGHSLAIDESSMTGESKIVHKDQKAPFLMSGCKVADGYGTMLVTSVGINTEWGLLMASISEDNGEETPLQVRLNGVATFIGIVGLVVAVVVLIVLLARYFTGHSKNPDESVQFIAGKTSLSDAVDGAIKIFTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNLMTVVEVYICGKKIDPPNDTSAMPPRLVSLLIEGIAQNTTGSVFLPEGGGNVEVSGSPTEKAILQWGVNLGMNFDDARSASSVVHAFPFNSEKKRGGVAVKLPDSEVHIHWKGAAEIVLAACTSYMDADERLVPLDGDKVEYFKKSIETMAAGSLRCVAIAYRQYNGENFPTDEEELEMWEMPEDDLVLLAIVGLKDPCRPNVKDAVQLCVKAGVKVRMVTGDNLQTARAIALECGILESNADAKEPNLIEGKTFRAMSEDQRLETAENISVMGRSSPNDKLLLVQALRKRGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMDRKPVVRREPLITNIMWRNLLIQALYQVTVLLVLNFDGKRILHLDHESEEDAKKKKNTLIFNAFVFSQIFNEFNARKPDEMNVFKGVTKNRLFMGIIGFTVVLQVIIIMFLGKFTTTVRLSWQFWLISIVIGVISWPLAVVGKLIPVSERPFSEYFSRIFTTCRSSQPSNRGSLRGNEEDA >cds-PLY97296.1 pep primary_assembly:Lsat_Salinas_v7:3:177324927:177328136:-1 gene:gene-LSAT_3X106720 transcript:rna-gnl|WGS:NBSK|LSAT_3X106720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAELDARKAQKLSTTAKTLQRQIKTNNICDDCTRSQPDLELNYWDFSRKSTTDDCFLGLTLAVNPSVSNIAVGGFGHGLYVEQWAPLVKELAVIVARFYVYLFYRENICHIVKSPANESWKIMKLATDITSKVVASLEGAVVPRPHNSGHHTNESCYTSEYEQHLTAVVGLPLGDPSMKAPASIIYNILGEDEGERGFILAHEVIRRALCTPGAYVHWYDKPGWVTMDHRPPYLTLGIPAAF >cds-PLY97282.1 pep primary_assembly:Lsat_Salinas_v7:1:44361569:44362529:-1 gene:gene-LSAT_1X38601 transcript:rna-gnl|WGS:NBSK|LSAT_1X38601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNSDIYHTVDDFYFSALHDNDEIFPISDEKYAEELQLQEALVSSASLTSPSSSSFPSTSTPRPALKIRKQTLLPSEAAQSSESFCGICMDSKSSSEMFTNATVCRHLFCSDCIRGHVSAKIKENIVLVKCPEPKCKGLIGPEICRSIVPKEVLERWEDALCETLILGSQKFYCPFKDCSAMLVDDGGEAVTSSECPNCNRLFCAQCKVAWHSGMDCSEYKSLKEYERNPEDLMLMELAKNKKWRRCPSCNFYVEKNEGCQHISCRCGYHFCYGCGKEHNGSHACVLPDLSA >cds-PLY99713.1 pep primary_assembly:Lsat_Salinas_v7:9:56383506:56389515:-1 gene:gene-LSAT_9X48081 transcript:rna-gnl|WGS:NBSK|LSAT_9X48081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat protein SKI3 [Source:Projected from Arabidopsis thaliana (AT1G76630) UniProtKB/Swiss-Prot;Acc:F4I3Z5] MDSQEDPVFTQLTEAVAANPDDPSLHFNLGLFLWEGIGSESKEKAAEHFVIAAKLNPQDANAFRYLGHYYSKVSVDTQRALKCYQRALTLDPQDSESGESMCDLLDKEGKESLEVAVCREAAGKSPRAFWAFRRLGFLQVHQKKWSEAVHNLQQAIRGYPTSADLWEALGLAYQRLSMFTAAIKSYGRAIELEESRVFAMVESGYVFLMLGSHRKGVEQFQQALQISPENVAAHYGLGCGLLELSKECISSGAFRWGASILEEASKVVKTGTFLAKNVSCMWKLHGDIQLTYANCIPWIDEDHVLETEKALADSILSWKNACSSAALSARRSYQHALHLAPWQANIYTDIAITIDVINYFKEEKKHDPIQKQLPEKMILGGLLLESSNNEFWVALGCLSHHVELKQHAFIRSLQLDVSLAVAWAYLGKLYRKQGDKKLAQNAFDRARSIDPSLALPWAGMSADMSIRQLPEFQMGLAKLALLSGELSSSEVFGPISQALHHAPQYPESHNLLGLVCEARCDYPSAITSYKLARYALNTSSRDASKLLDISINLARSLCKDGKAYEAVQECEELKKKGVLDREGLHIYALSSWQLGKNDQALSVVRILAAGVKSLEPQKASTSISFICRLLYYISGQEAVMTSILKMPKDLFKSSKVSFVVSAIHVLDQSNQLEAVVSSSRSSLISHEEITGMHFLIVLSKLVKNGSEHCLGIQSGIDHLRKILHKYPNSYFLRNVLGYLLISSKEQKDAHLSTRCFTVRSSQSECRKEKGLKCAPEILGASTVACYTNNKHTFPTCKDQSTPQHGSLKLLQKWWHQEPWNKNARYLLILNYIQKAREERYPQHINTGLEKLITLALSDESLSCPYQKFQLSLCASEISLQSGDHMNCIKHAKNASNLSIPDDYLFFAHLQLCRAYAAENNHVSLHEEYTKCINLRTDYHIGLICLKYIECQYGLQGDENINIIELRFEECSKDIKYSWNVWTAVWKMVQGLIAVSNRDFVGAEEFFAYACSLNGSESCLFLCHGAICMELARQQCNSDFLLLAVGSLKKARDNCYASLPILQLLLGQAEASLGYKGQWSQYLKFEWSSWPPEMRPGEIFLHMHLVSKQLQEGGYSASRTIHGKNPLRWILQAIHLNPSCSRYWKALQNS >cds-PLY73220.1 pep primary_assembly:Lsat_Salinas_v7:8:178130117:178132938:-1 gene:gene-LSAT_8X116421 transcript:rna-gnl|WGS:NBSK|LSAT_8X116421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEANGTLQANTKTTTEPVRPLANFPPSVWGDRFLSFSLDNTELEGYAKAMEEPKEEVRRLIVDPTMDSNKKLSLIYSVHRLGLTYLFLQEIEAQLDNIFKAFKLQDYDEVDLYTTSINFQVFRHLGHKLPCDVFNKFKDSSSGTFKESITNDVKGMLGLYECAQLRLRGESILDEASAFTVTQLKSVVNTLEGKLAQQVLQSLKRPFHQGMPMVEARFYFSNYDEECSTHESLVKLAKLHFNYLQLQQKEELRIVSKWWKDMRFQETTPYIRDRVPEIYLWILGLYFEPRYSLARIIATKITLFLVVLDDTYDAYATIEEIRLLTDAINRWDISAIEQIPEYIRPFYKILLDEYAELEKQLAKEGRAKSVIASKEAFQDIARGYLEEAEWTNSGYVASFPEYMKNGLVTSAYNVISKSALVGMGEMVSEDALAWYESHPKTLQASELISRLQDDVMTYQFERERGQSATGVDSYIKTYGVSEKEAIDELNKMIENAWKDINEGCLKPREVSMDLLAPILNLARMIDVVYRYDDGFTFPGKTMKEYITLLFVGSSPM >cds-PLY86048.1 pep primary_assembly:Lsat_Salinas_v7:8:296729179:296730391:1 gene:gene-LSAT_8X164201 transcript:rna-gnl|WGS:NBSK|LSAT_8X164201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEQQQHPHDNQMHSSPHPSPAKDDMIGYVMALEAALLPCLPARELQAIDRSPHPSHQIDVERHARDFMEAAKKLQLYFISLQREDQPTKEEILQKDIAMMEEELKTKTQLIKKQERWIQGWRKELRDQLEKHNTELERV >cds-PLY76889.1 pep primary_assembly:Lsat_Salinas_v7:3:3971636:3973061:1 gene:gene-LSAT_3X2160 transcript:rna-gnl|WGS:NBSK|LSAT_3X2160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTTDEWISSRTGIRNRRLLSGNESLNGLGVEAAQKALQMAEVEPDDVDLIFFCSSTPDDLFGGGPTVQNALGCKGNPLAYDIRAACTGFLLGLVSASFHIRSGGFKNVLVIGADCVSRHVDWTERKICVLFGDAAGAVLLQACDCEDDGLFGFDLHTNGDGKKHLNAGIKQSEANIVNGLVSGLMLPSVSASISNIQMNGQEIFRFVVNAVPETMEASLSKADLRVSDIDWLLIHQANQRIIDSVAKKLEFPRERVISNLENYGNTSAASIPLALDEAVRSGKVKKGETIMLGGFGAGLTWGSAIVRWA >cds-PLY78160.1 pep primary_assembly:Lsat_Salinas_v7:6:110887713:110888123:1 gene:gene-LSAT_6X69061 transcript:rna-gnl|WGS:NBSK|LSAT_6X69061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKAIPKKGSRGRIGSRKSTRKIPKGVIHIQASFNNTIVTVTDVRGRVVSWSSAGTSGFRGTKRGTPFAAQTAAGHAIRAVVDQGMQRAEVMIKGPGLGRDAALRAIRRSGILLTFVRDVTPMPHNGCRPPKKRRV >cds-PLY81310.1 pep primary_assembly:Lsat_Salinas_v7:4:37198217:37198408:1 gene:gene-LSAT_4X24700 transcript:rna-gnl|WGS:NBSK|LSAT_4X24700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSPIAGDRQQPLAITFFVVVRSNEAILAIERHLNAAAGRRWHGQSGESPRPTKEAVNDYVGA >cds-PLY93421.1 pep primary_assembly:Lsat_Salinas_v7:9:63645013:63646781:-1 gene:gene-LSAT_9X54260 transcript:rna-gnl|WGS:NBSK|LSAT_9X54260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKIFPRSIRVLQSISISQNPQKFTPCCLRDFSQSTSIPKKQQRVRDHGYDNYMEIEKKIRKVLKVQELILSQPNSMVSSARLDNLSRRLGFKQFEAGRFILKFPHIFDVFEHPVQRILYCRLTRKALIQIQQENEALINQIDHAVTRLRKLLMLSNTGQLGLDHVRIASREFGLPDDFEFSIILKHPQFFRLFEDKESKTKYIEIVERDPDLAVCAIEKMREKEYREKGGEAENIRFSFLINFPPGFKTGKYYKIAVWKWQRLPYWSPYEDVSGYDMRSLEAEKRMEKRAIAMIHEILSLTVEKKISLERIAHFRVTMNLPKKLKDFLLQHQGVFYISTRGNYGKLHTIFLREAYNRGELIEPNELYLARRNLAKLITLRRPNMEHELVYYRRERVSNDLDRINEDNEGNEGTESELESEMERERGEAVKKRRRATKKPYSRAIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVVSKQKSQGKTGGNAPKGKGPKLGGGGGKR >cds-PLY74533.1 pep primary_assembly:Lsat_Salinas_v7:7:35177720:35178225:-1 gene:gene-LSAT_7X26981 transcript:rna-gnl|WGS:NBSK|LSAT_7X26981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTVRALLSENPAVIFSKTTCCISHSIISLIRKFGANLIIYELDELSNGDGIEEELMELGCSPSVPAVFIGKKLVGGANEIYSLNLESKLKPLLIDANAIWM >cds-PLY65787.1 pep primary_assembly:Lsat_Salinas_v7:5:273362277:273362688:-1 gene:gene-LSAT_5X142720 transcript:rna-gnl|WGS:NBSK|LSAT_5X142720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVALYSWFSKRSYKNGFVWHDLPKNGFIYPTHNQVYILKGSKLFDVPGGDAQFDSKSDENVSYILKRSLSSGTLKSIIKFDFSVGNWFRRSKKEKSKLICRFWWLE >cds-PLY83835.1 pep primary_assembly:Lsat_Salinas_v7:3:48696632:48697066:-1 gene:gene-LSAT_3X37160 transcript:rna-gnl|WGS:NBSK|LSAT_3X37160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTEEHDRLHNMEVETQSIHEESDEDFNEDVDFLKEIDFTEISDDIPTNIELDLDDDEFGPFPGLDSGCFRKVNKVASSATKIGEDSNVLKILLSSSKPLEISSGQRDVNSEISPSVSTVSTSALLFVESSQPQTSQSSLQRSQ >cds-PLY97828.1 pep primary_assembly:Lsat_Salinas_v7:5:197785247:197785673:-1 gene:gene-LSAT_5X88320 transcript:rna-gnl|WGS:NBSK|LSAT_5X88320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFALLNMLYNPRSQDAIESDDLQFLEWHKGGRMFHKLAGIDPTQIIDFGAVVKHSWSLVGGNGHVGSGVVIRPNVTIGRSTNIGYNVALANYTIGESCVIHHGDCIAEYAN >cds-PLY65880.1 pep primary_assembly:Lsat_Salinas_v7:4:86270340:86272681:-1 gene:gene-LSAT_4X56520 transcript:rna-gnl|WGS:NBSK|LSAT_4X56520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDDRTSSDGSSGGGKVWGLFKLPFRSTNNSSTHTTSSTSSYQIEGSNPHNNININNNHSSSSGSSVSSVARSLLPTRRRLRLDPRNKLYFPYEPGKQVQSAIKIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGESIIATVFKFVEPPENNEKPMDQKRKVKFKIMSLKVKGMMDYVPELFDEQKDQVAVEQILQVVFLDVERPSPALEKLKRQLAEAEAALESRKKPPEEIGTKIVGEGLVIDEWKERRERYLARQQVEGVDSV >cds-PLY73652.1 pep primary_assembly:Lsat_Salinas_v7:5:202500977:202503258:1 gene:gene-LSAT_5X94541 transcript:rna-gnl|WGS:NBSK|LSAT_5X94541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKRVTDNVEKYEIGRTIGEGTFTEFKFAKNTETGVAIVVKVLAKNTKLKHKLVDQVLQERTKLKVQVLQGNDTTSDRYSLDHPFHQEFYSYIKQLSGVHEPIKPTKQGSMNHVEVPLGDKTLESKLKKL >cds-PLY91526.1 pep primary_assembly:Lsat_Salinas_v7:7:140940172:140940660:1 gene:gene-LSAT_0X15721 transcript:rna-gnl|WGS:NBSK|LSAT_0X15721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKLSFTLVYFFTLAIPHTINAQNSQQDYLDTHNVARAEVGVTNIVWNATVAAYAQNYANQSKADCNLVNSGGPYRENLAKGSGTFSGTATVNLWVAQKAYYDYATNTCGGGHVCGHYTQVVWSNSNQLGCARVQCTNNSWWFVICSYYPSGNINGQSPY >cds-PLY82645.1 pep primary_assembly:Lsat_Salinas_v7:5:84541468:84541662:1 gene:gene-LSAT_5X37940 transcript:rna-gnl|WGS:NBSK|LSAT_5X37940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVVPAAEAMAILPEVNAEQGPTGDFTNVRCSWLMELTQRSGFLTWKGHSIRMNMKKRWKLR >cds-PLY94148.1 pep primary_assembly:Lsat_Salinas_v7:5:35420460:35422520:-1 gene:gene-LSAT_5X17060 transcript:rna-gnl|WGS:NBSK|LSAT_5X17060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKRFDDNSRRILRFPAVLPCQDVNPVTLLRSLITLSNSITNYQSELFATQRKNVRESIRQIGILSMFFCEIMEQDVSGLPDPAVLCFSELHHSFQKIRFLFEDCTRSGARVFILMKSHFVATQFLTLIRAVATALDILPLNSIKVSTEMKELVEMVAKQARRAKMEVDPDDDYAMRRVMLILNQFENRFEPDPIIIKRVLYYLGINSWSDCHSEIRFLDEEISLVSLENNERDLHLLSTLAGFMRYCRGVLFENFVLENTDDQSAGRSNLEILSCLNPEDFRCPISLEMMIDPVTVSTGQTYDRVSIEKWLKSGNLICPKTGKKLATTELVPNLNLRKVIQQYCIDHGVSITKFQKQARDISSTILPGSPSSAEAIKFLSEYLVRKLRNGTEKQKSKAAYEIRLLAKSNIYNRFCLIGAGAIPLLLTRLSSSDSTIQENAIAALLKLSKHSNGKKVIINNGGLNSILEVLKNGSKQESKQIAAATIFYLSSVQANQKLIGEIPDAIPALIEQIKTGTSCGKKNSLAALFGILLYPRNHQTALSSGIVPLLSSLISCSDKPEIITDSLAVLATLAESFDGSDTIMKASSLPLIIKTLQTSPSRAAKEYCVSILLALCNNMGVEVIAVLANNANLIGFLYTMSTNGGSQAGKKARSLIQVMHRFHETSSSGMVANWDSSRIATIHSC >cds-PLY95451.1 pep primary_assembly:Lsat_Salinas_v7:8:193323701:193325946:1 gene:gene-LSAT_8X124920 transcript:rna-gnl|WGS:NBSK|LSAT_8X124920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKPTNYNQIQRPCVIQSVHNLCSKGRLKEAVQSLENLASKGLRLDTRSLALLLQECANYRSLKEGKWVHLHMNVTGRKRPGTFLSNHLIYMYSECGNWVCARKVFDKMSIKNLYSWNNMLSGYAKLRMMKPAQTLFNQMQEKDVVSWNTMVIGYAHTGHYNKALKFFKDLRMSNIRLNEFSFSGLLTVCIQTKELKLTKQVHCQVFHLGFLSNIVLCSSIIDSYSKCGEIHNARNLFDEMPKRDVLSWTTIVSAYAKSGDMKSANELFNIMPNKNPISWTSLISGYTRNGFPYEALNLFTKMISQKVTPNQFTFSSALCASASLTSIKIGKQIHGFLIKSHLTPNTIVLSSLIDMYSKCGSVQLSQIVFNLVTDTHNTILWNTMLSALSQHGHGKQSLELFSNMVRSKVKPDRITFIILLSSCSHSGLVQEGVNLFNNMIQDHGISPDEEHYACLIDLLGRGGCFDEVVNCLQKMKVEPDVRVWKALLGVCRIHGNMELGIKAGEKLIELEPDSSVGYVLLSGIYAISGKWDLVGKVRKIMKERGVRKELGFSWLENEKKFHAFSVSDKLNGFEGEIESVLEILASEMEEEEDDDDDDDDDESFRDS >cds-PLY86011.1 pep primary_assembly:Lsat_Salinas_v7:1:49164670:49165566:1 gene:gene-LSAT_1X43120 transcript:rna-gnl|WGS:NBSK|LSAT_1X43120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPAYDDNFSKSRVDPWIQKLPELPGNPWRLDRHTLSVLIALCDLGLNPEALAAVVKEFRRDPPLTTTTTTTPPSPPLP >cds-PLY62244.1 pep primary_assembly:Lsat_Salinas_v7:5:162273253:162274302:1 gene:gene-LSAT_5X71120 transcript:rna-gnl|WGS:NBSK|LSAT_5X71120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNSPTSSRSVTETVNGSHRFVIQGYSLSKGIGIGKYIASDDFTVGGFQWSIYFYPDGKNQEDNSTYVSVFIALASEGTDVRALFELTLVDQSGKGKHKVHSHFDRSLESGPYTLKYRVEWLFLLSIVLGCIQFRSQNLTLDHILVCYWITQKLQMLSLMLLEKSFMLINWYWLLDPLFFTLNSLDQRELTLTLTLTMRFASQNLAAVMRSDGFEYLKEECPKLQSEILKMVAGCEDECSIGGGGGGGAKSRSVCGQLSDGGDANGRRVRQRT >cds-PLY98282.1 pep primary_assembly:Lsat_Salinas_v7:7:166670913:166671719:1 gene:gene-LSAT_7X98820 transcript:rna-gnl|WGS:NBSK|LSAT_7X98820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVVKVTMNTKKKASKALQIAVSLSGVESASFVGSDKDQIAVTGEGIDSVELTTLLRKGVGYTELVSVGPVEEKKPDAAKETNPTVASLNVNPYQYYYGSYGMPCYAYAYAYEI >cds-PLY69697.1 pep primary_assembly:Lsat_Salinas_v7:5:211955608:211956585:1 gene:gene-LSAT_5X98101 transcript:rna-gnl|WGS:NBSK|LSAT_5X98101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDWMCASCQHMNFKKRDACQRCHCPKFASPEEVSCYGMNRTEVLAGDWYCATFNCGTHNYASRNACYRCGGLKDYSAMMAATTAACYGYDASAVPGWKTGDWICNRLGCGVHNYASRMECYKCKTPRE >cds-PLY72463.1 pep primary_assembly:Lsat_Salinas_v7:2:142642907:142649719:-1 gene:gene-LSAT_2X70281 transcript:rna-gnl|WGS:NBSK|LSAT_2X70281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNERRGKKRKQPPSFSTTVPVEEAPPKHPLTVAKNPNPIFFIGSPEKRSRTRRMINRLYFVISYSTTCYKLQLSYENICQVQLHRSHGNTTKFVVIQLYGAPRIFQKVEEDIHNYYSDIPDDQWIRATDFTPYSSIGQSSHLCLELPYGVELPNLSRYFPYYEENNRQFKLMKGHSFSKNLDLVPIVGPTFYLPYNIVFKICALVQHGCIPGPLLDSSFFELLDPQRRHIGSIEYVLEKLYYVKDCCYDPIRWIKDEYKNNNRIRSSPAISLDSDLVYVRRIQITPSKVYFCGPEVNVSNRVLRHFAQYIDNFIRVSFLDEELEKLYSTDLSPRANNIFGKTRTGIYKRILSVLKNGIVIGNKKFEFLAFSSSQLRDNSVWMFASNGRLKAADIREWMGDFSSIKNVAKYAARLGQSLGSSKESLSVAHHEVLKIPDVGVIRNGVKYIFSDGIGKISAEFAKRVSIKCGYDFIPSAFQIRYGGYKGVVAVDPTSSIKLSLRNSMCKFESQNTKLDILAISKYQPCYMNRQLITLLSTLGVKDHVFEKKQKEVVDLLDAVLREPMKAQEALELMSPSENTNIMKEMLSCGYKPNAEPFLSMMLQVFRATKLLELRTKTRIYVPKGRTMMGCLDETRTLEYGEVFVQFSEAGRRTMHHDNDVNGGGNKCRVVVGKVVVAKNPCLHPGDVRVLRAVDVPMLHHMVDCVVFPQKGHRPHPNECSGSDLDGDIYFVCWDSDLIPPKQIEPMDYNPTPTMQLDHDVTIEEVEEYFTNYIVNDSLGIIANAHTVFADREPEKAMSKPCVELAKLFSIAVDFPKTGVPAEIPANLRVKEYPDFMEKPDKTTYKSQNVIAFDFKTEYDYKLGNLMDYYGIKTEAELLSGSIMKMSRSFDRRNDAEVVGLAVRSLRKEARNWFKKGINDDHNVEIGDDDDDVYAKASAWYHVTYHPDYWGRYNEDMRRDHFLSFPWCVHDKLIEIKRSKARFRRNVAFNLI >cds-PLY98700.1 pep primary_assembly:Lsat_Salinas_v7:3:57627015:57627272:1 gene:gene-LSAT_3X44521 transcript:rna-gnl|WGS:NBSK|LSAT_3X44521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVEFWGRNVTSFDSLDLRFDSEGSIDLHGVLVGLLNNRMRCCNGYEQEMAAGGFLARILSTGSSPVELIVVSSSNRNRARGHGV >cds-PLY94608.1 pep primary_assembly:Lsat_Salinas_v7:8:181868182:181868931:1 gene:gene-LSAT_8X119361 transcript:rna-gnl|WGS:NBSK|LSAT_8X119361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASHLLFLALIMISCSIVLASDSSPLQDFCVADSNGPVQVNGLACKDPKLVQATDFFFSGLNMIGNTSNAVGSRVTPVTVAQIPGLNTLGISMARVDFALWGINPPHTHPRATEIFTVLEGTIQVGFITSNPENRLFSKVLQTGDVFVFPEGLVHFQRNVGNCNAVAIVGLSSQNPGVITVANAVFGAKPDIPDDLLAKAFQVEVKVVDQIQSKF >cds-PLY65388.1 pep primary_assembly:Lsat_Salinas_v7:1:61210036:61211556:-1 gene:gene-LSAT_1X52240 transcript:rna-gnl|WGS:NBSK|LSAT_1X52240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMLSFKKNDKKKDAGKTKPVDEGGAKKSDAGSTTVVLKLDLHCDGCAKKVTKSIRHFEGVESVNVDIDGDKLTVTGKVDPTSVKERLEHKTKKKVEIISPQPKKDEKKGDDKSPEKKSDEKKTDAKKPKEIQSSMVMLKIPLHCDGCAHKIKRTISKIKGVESVIPDASKDLIMVKGTMDVKELAPYLKEKLKRDVDIILPKKDEKGDDKKNDKKEKDEGGDKKIKEKSLGGGEKKKEGKAVGGDGGGKDGSRGLEVVNKLEYHGQNPYTYTIPTYNQSYYNQDYGVSTSYNHGLINEGYVNHGYSNEGYVNHGYATQYLNGPAPPPSMYLHDSRVPDTGMFSDENPNACSVM >cds-PLY75816.1 pep primary_assembly:Lsat_Salinas_v7:3:68919614:68921424:-1 gene:gene-LSAT_3X56140 transcript:rna-gnl|WGS:NBSK|LSAT_3X56140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENFIKHMRVRFAGMDEEIAEEASRVRDQNTPSPRTRSFKGDNRKGQNWYRRQSSREPTYDYDSDYMESDEFRTAVAAAAFAIGSVEERRRTRRRRDDSLSKGKSKTDDGAVSVTRRARERISSSSSSSNNKMKNKDDNTVHMSTPSLLPKKSSESQEKVSDKANRPSNTDFTSEAFSSSPFVKKTSDSFQKQSDKRKPETGLESSRREQPTEQLTFPATEVDRKRSQPTLEDVKADAWEKNEMERIKERYERLNAKILEWETEKKEKAQKKLSRTKDESGKKRARVLQNYKTEIEMIDQIAEGARSQAEENQRKEVIKVKEKAEVIRITGKVPTKACLCF >cds-PLY79291.1 pep primary_assembly:Lsat_Salinas_v7:4:370592139:370593935:-1 gene:gene-LSAT_4X182341 transcript:rna-gnl|WGS:NBSK|LSAT_4X182341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSETQRNKCAACYRQFNKKEHLVEHMRISYHSVHEPMCGICGKRCRSFESLRDHLIGPLPKVECERVFRDHGCTICLTVLNSPNSLRVHQDRCQLSRGNNGVLHRFANMGIHDDLRIDSGKTRAVALACKMVGGGSDGSLDLCARVCIIDEYENILFHSYVKPQLPVTNYRYETTGVRPEYLRDAMPLRHVQRKIQDFLCNGEPIWKIRSRGGKARILVGHGLEHDMKCLELEDTAKYPPLMKTSKLSNSLKYLTKSYLGYDIQNGIQDPYDDCVATMRLYRRMRSQAHRMEDYPLATDSQNSNNFASWRQGELERMSPNELLAISRSDYYCWCLDSKDVA >cds-PLY72488.1 pep primary_assembly:Lsat_Salinas_v7:2:139919076:139926944:1 gene:gene-LSAT_2X68360 transcript:rna-gnl|WGS:NBSK|LSAT_2X68360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSEIGRRTMVEEEEDREDERRRKAEDGAVKEYGVGDHGDLRRLGKRWGALDLGLNGDKVSNGKKGFSHGFIIHPRNRWYRAWEKFILLWAMYSSFFTPMEFGFFRGLPNHLYLLDIICQTLFFIDIVLQFFIAYRDIDTEKMIINPNLISLRYLQSHFILDLLACIPWDIIYKACGRKEEVRYLLLIRLVRTRKVLEFFSKMEKDIRVKYLFSRILKLLVVELYCTHTAACIFYYLATTLPANEEEYTWIGSLKLGDYSYSNFRDIDLWKRYITSLYFAIITMATVGYGDIHAVNLREMIFVMVYVLFDMVLGAYLVGNITALVVKGSKREIYRERIKNLLKYMDRNRLGRDIRDDIKYHLQLPYDRTHTDSADLKDLPTSIRSMITETLYKPHIEKVPLFKGCSLEFINQIVSRVHEEFFPPGKIIMEQGSVVDQIYFICHGKLEEVVVYEGGPEEEISILNPHDSFGDVSILCNIPLPYTIRVQESCLVLKLDHQSFSNILKIYFHDKQKILNNLLEGKESDVHMKDMVRDIKTHIGFQDAQLSLRVNISVYNGDLFELKSLIRAGADPNKKLFDGRSPLHLAVSKGHEDIVAFLIHEGVDVDISDNFGNTPLLEAIKRGYDNIASLLIKESNSLMINSKDYDLRTPLHVATSQGSYVVAKLLLESGASVLSKDRWGNTPLDEARLSGNMILMKLLEEAKSFQMLEFPSCSQETRDKMSRKKCTVYPFHPWELKNESKYGVVLWVPDTIDELMKTSFDHLNLEFSTSFCIVTKDVGKIVDVNMITDGQKLYLITMEA >cds-PLY65114.1 pep primary_assembly:Lsat_Salinas_v7:4:4895269:4898769:1 gene:gene-LSAT_4X2340 transcript:rna-gnl|WGS:NBSK|LSAT_4X2340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAPCLMRSVSQPLFASCEYKEGDPLRALTTSISFGRFMTEPLDWEKWSSFSHNRTLEDVQKHSRPGAVAEKKAFFEAHYKKIASKKSTKTKSPQKENKPPNHSPQANISPTRFNTLSPIDEPQENESSKPIATTTTTTPAFSQHIDEQDIEIASCKDVCSPITNLVLEQFGNVEDDMASSRCQVEVEQGKVSSENISLEKHKNKINKGSEISLSKKETSRKTPTPPIRPKKAANDVTEKKRSVLQSLHMSMNFPPAVIKNRILKTISKPKDRLIQQPLNLKVSINGVKKASQVLPPPENKSVCTKKPKSSSSSSTVPSSFSFRSEERAAKRKEFFQKLEEKGNKKETEKIHLQPKLKTTNDNKKLRRSTALEAKTFASETKVIRSSSIQKVPQMQPCSPKIGRKPTPRLVHKDTCCQSPSKPKNFIEINKKKLSSSMAFMSKKQC >cds-PLY79873.1 pep primary_assembly:Lsat_Salinas_v7:8:18315244:18317168:1 gene:gene-LSAT_8X14400 transcript:rna-gnl|WGS:NBSK|LSAT_8X14400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNHQVNNAKIPHVLIFPLPFQGPVNCALKLAELLCLSSIHVTFLNTEHIHRPLLRHTQVVSRFNSYPNFRFETIPDGVEHEKPVSGDRFMEVMEAVDTVSRPLFREMMVSGSLSSKSERPVTVMIPDACFSFAVDIALETSTPVVVFDTVSPCCLWTSYLNLPTLIQAGDVPFKGDDLDELIKSVPGTEHIMRRRDLASFCRTNDLSDPVIQLILKEARTAPQAQGFIINTFEDLDALILTHMKKLCPNIYPIGPLHSLHKARLMANTTLVSSEATLSNSVWKEDRTCLSWLDKHPPKTVLYVSIGSLATMTVNQLLEIWHGVVNSEKPFLWVRRPGSITGEYDESQIPIELLERTKEIGCIVDWAPQEDVLAHPAVGGFLTHSGWNSTIESIVEGVPMICWPYFVDQQVNSRFVGEVWKLGIDMKDTCDRLIVEKAVKDVMGTRRDMFIQCADGWANLAKESIAEMGSSSMSLSRLIDDIKAMSSTTK >cds-PLY97831.1 pep primary_assembly:Lsat_Salinas_v7:5:197493242:197495387:-1 gene:gene-LSAT_5X88520 transcript:rna-gnl|WGS:NBSK|LSAT_5X88520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEDDYLLRSNLSNSFSALTVSGRFNHYNASNNQPNRKPIKEDDILQQIEEQETEILQLRKHLAEYTVKEAQIQNEKHVLEKRIASMHKAFDQQQQDLFESTSNARSYRQEITEENIRLGYALGIAEEERSIFISSLAPLLSDLSLHPPSLDAYSIISNLRILFKHNKERLAIADEKLRNPQYQPLTLHPHRRDDSSSHSPWTHTNTHSHSNFSPSQEPVHIERQTKEQQEEDEEEEEEVVNSHYLPSILEEPSSSSQLEADDDSEDDNFSDENEEQDLNKPLPTIEGLQILGESFPGNEIQASGYSRNGTTHCGFEWVRHLQDGSVNYIEGAKQPTYTVTADDVDTYLAVEVQPLDNKQRKGELVKCFANDNKKITCHPDMLREIEKIFNLGHANFKLLIWKESSDTWENGMLEIKKSGYVIKINNGSVVVDEKYAANMVVSLPGEMPLEFSILSSSDVEHYLRVDDNLNDVSCSRDTIVLTMRLFIKRAVDRKLGKKKRRGLFFK >cds-PLY63994.1 pep primary_assembly:Lsat_Salinas_v7:4:13198619:13206356:-1 gene:gene-LSAT_4X9041 transcript:rna-gnl|WGS:NBSK|LSAT_4X9041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQRNVSDVGGDDIVTQVSNDSFITAMSSHDSPNLNKQSRNIVNSQCKWGKENPRLHAKSTIVVNGGNRQVMSSYANTTTVRQKLSPDGMIRKTAHILVITGYYVFDNYLNIIPKLIYKERIGRWIKMGMSMALDFRSMTSGGLQWHSKKIDVANRWLIKNIFMFFGLLQICGGLRITDHHIKKKRWRGLESSSVEGHNVLVVWVNHNRLRQLSVDLWIIDFAGEELSGFEDDWGYXXXXXXXXXEAAVRVNGR >cds-PLY67692.1 pep primary_assembly:Lsat_Salinas_v7:4:3789063:3790472:1 gene:gene-LSAT_4X3441 transcript:rna-gnl|WGS:NBSK|LSAT_4X3441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCYQKRKTDGNFAGAPMITNRKRLKSSSGLPVVVHSGQCDFLDTLPNDIVLCILAKLGSTAGCAADFFGVLSTCKRLNGLGLHSLVLSRLSTNCFAVKAKNWSESSHRFLKGCSDAGNAEASYTLGMITFYCLQNRGSGASFMAKAAIRSHAPALYSLAVIQFNGSGGSKNDKDLRAGVALCARSAFLGHIDALRELGHCLQDGYGVSKNVAEGRRLLIQANTRELAAVFSTTPSLFSGKRQKWNLVGSGCPLLSDFGCNVPAPESHPANRFLGEWFSEKPPSPELRLCSHGGCGRPETRKHEFRRCSVCGDVNYCSRACQALDWKLRHKTTCREAVDVNGGEINGNMNENNIIEMVVES >cds-PLY80958.1 pep primary_assembly:Lsat_Salinas_v7:4:294939929:294940996:-1 gene:gene-LSAT_4X149281 transcript:rna-gnl|WGS:NBSK|LSAT_4X149281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAPRVYVDDVNVCPNVALIPYSMLKLVDPKNQLLVQYLASIDSSTTTGVIPPKVYQKGEEGTMKDSKATKKKKKVEKPQIIEGAPKKVRKIQFTRKGVLVREVLYPGSLASKKCQALDVAQQIKKRKHDQVRVALENVKGDTGNGSDSEMSDIRIEDSYIGSPRKDTPIKSTFEETRHLGVTVNVFDTDININSSDQQQITIPEKDISQTIWVWNIESGQEEVGTLDINVDLYNMDTNVNMYEGMIDDDSTVTCSTATSITLPPPTSPIPTSIPVLTVSPTFFGIIQEPITTLSSLQST >cds-PLY97969.1 pep primary_assembly:Lsat_Salinas_v7:3:19275912:19276223:1 gene:gene-LSAT_3X14980 transcript:rna-gnl|WGS:NBSK|LSAT_3X14980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPEKKNMKSPKPPKRILFQKAVQTKINITKKERKRMAQERESGSRLLKRKDGLKCIPMGRDRMKEYKAANLKELNPVVLDNPQVLVEKNEGDGSEDEIDEGE >cds-PLY72920.1 pep primary_assembly:Lsat_Salinas_v7:9:194260547:194261022:1 gene:gene-LSAT_9X118721 transcript:rna-gnl|WGS:NBSK|LSAT_9X118721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANPGHADNLHNLGQVDIQYRSLATYMCWVPCYYGKTKIAFKIDAKTNPNWFATAIEFEDGDGGLRSVEIASPGSQQFVPTKNIWGAVWEADVSPSFHGPYSFRLKSPTGKVVVTSNVVLNGFVPGQTYFSNVNF >cds-PLY84114.1 pep primary_assembly:Lsat_Salinas_v7:6:189842265:189842614:-1 gene:gene-LSAT_6X116481 transcript:rna-gnl|WGS:NBSK|LSAT_6X116481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGREWELSFHLGMRPWTVVAYSAPVTAATAIFLIYLIGQGSFSDGMPLGISGTFNFMISNSYKSSLVQV >cds-PLY61968.1 pep primary_assembly:Lsat_Salinas_v7:5:159528430:159538771:-1 gene:gene-LSAT_5X69300 transcript:rna-gnl|WGS:NBSK|LSAT_5X69300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVDDSSSSPSFRELDDVFLQSQARIWLAEVLHTRFDEQISICDLLSDGELLFEVSKQLWNMLLVKYMELKNIKARMFIPVDTRKSSGRYRPYSNVDSFLKVCKVMGLSGVDLFSPSDVVEKRDTRKVCICIRSISKKARSKQLDVPDFDIVTKTVAMSTEAIRCIRRSLESFTSSDTHGRSKHGRLKFRQKNSVVSHQQEDELSCLEESDEAKSSFSDTPYADFLYLDSGDSPDIIDRYTPTHDEFDFDFDFDFDANSEPDDHTTSIPSTLDGKVSVSCNLEPRFEEATVRNLEFSARAFSPCWDDAKSDCSVDRDIEAGNQICGTHEDSGDSLVLMKDDITNVAESIQEIGDDDNAKKEDNQLWTKGNIGVHFYSEDETKADSKDEGKDDGINCLSEANEKKIVNTEKKSTYIAPLLKTVAKGTAVIGILFLLHLRFILNHCIAFNVHWTETGTGTDVMSSMQSPQTVAMSTEAIRCIRRSLESFTSSDTHGRSKHARLKFRQKNYVVSHQQEDELSCLEVFDEAKSSFSDTPYAYFLYLDSGDSPDIIDKYTLTHDEFDFDFDFDFDANSEPNDHTTSIPSTLDGKVSVSCNLESRFKEATVRNLEFSTRAFSPCWNFLS >cds-PLY78472.1 pep primary_assembly:Lsat_Salinas_v7:4:262168884:262171002:1 gene:gene-LSAT_4X136221 transcript:rna-gnl|WGS:NBSK|LSAT_4X136221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPLLCIGDLLSDVGEEDTTTTTDDIIRKCHRHSSVEDADLANQRIQPSDLTKLYQESYKCLNDALSGTSHSWTTLTLELCLALETTNKLIQSSDSHALEALEKIRELERITKKGNSVIKEAEFIHNAMKDHSGLNAHD >cds-PLY69023.1 pep primary_assembly:Lsat_Salinas_v7:9:138760183:138762316:-1 gene:gene-LSAT_9X89580 transcript:rna-gnl|WGS:NBSK|LSAT_9X89580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVELELQPLDSTNDKDDDNTIRTGTLWSTIAHIITAVIGSGVLSLAWSTAQLGWIGGPVALFCFAFVTYVSATLMSDCYRSPDPITGARNRSFTDAVRVILGEKQAWICGLLQYVSFYGTGIAYVVTTATCMRAIRKSGCYHTQGHDADCDYRGNIYMLLFGVVEVVMSQIPDFHSMVWVSVVAAAMSFCYASIGFGLGCAQVIENGKIAGSITGAPAASVAHKLWITFQALGDIAFAYPYAIILLEIQDTVKSPPAENQVAKRASAIAILLTTFFYLGCGCFGYAAFGNDTPGNLLTGFGFYEPYWLVDFANACIIIHLIGGYQLFSQPVFAFSERWFTGKFPNSGFLTKFYELKLPLLPAFRLNLFRLCFRTAYVASTTGIALVFPYFNEILGVLGALNLWPLAIYFPVEMYIVQRRVESWSRKWVVLEIFSGVLMVVSVVALVGSVAGLIEAKSK >cds-PLY88897.1 pep primary_assembly:Lsat_Salinas_v7:3:223054228:223054474:-1 gene:gene-LSAT_3X129360 transcript:rna-gnl|WGS:NBSK|LSAT_3X129360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAIMKQIQHQLKQKQEQKASLIKQLELHLKKKQLVAQQQSNKKNTFKKYHPMIVNHKQYIK >cds-PLY80140.1 pep primary_assembly:Lsat_Salinas_v7:3:40628293:40634007:-1 gene:gene-LSAT_3X30640 transcript:rna-gnl|WGS:NBSK|LSAT_3X30640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g24260 [Source:Projected from Arabidopsis thaliana (AT1G24260) UniProtKB/TrEMBL;Acc:B4F7R9] MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSSMLKTLERYQKCNYGAPESNVSAREALELSSQQEYLKLKTRYEALQRSQRNLLGEDLGPLNCKELESLEKQLDTSLKHIRSARTQLMLDTLSDLQKKEHALNEANRTLKQRLIEGNQVNSLHWYPHAQQEVCYERQPQPQHQNDEAFFHPLDCGPTLQIGNGYQTDSLTAGAGAGPSMNNYMQGWLPC >cds-PLY96888.1 pep primary_assembly:Lsat_Salinas_v7:2:109137668:109137964:-1 gene:gene-LSAT_2X48700 transcript:rna-gnl|WGS:NBSK|LSAT_2X48700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGILMPQIIQARQILKRSLSNGTRTTETDLPKGYFAVYVGEQEKKRFVIPVSLLSRPSFQDLLRETEEEFGYDHPMGGLTIRCSEQTFYDLASGLGAF >cds-PLY69229.1 pep primary_assembly:Lsat_Salinas_v7:1:79837038:79839334:-1 gene:gene-LSAT_1X68341 transcript:rna-gnl|WGS:NBSK|LSAT_1X68341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g21140 [Source:Projected from Arabidopsis thaliana (AT5G21140) UniProtKB/TrEMBL;Acc:Q84VP9] MPPLSWRQHTLIQALLSRGPLKEKDFHAIFSDVTGKSLDSHQQLFNDYLRKINMELSYVQFELRACRNQYDSGVFYGVVNTVADEQSKLGSKYTVPQIAFYKAIIESIVLDHTAQGTISNIKALNIQLENQGASSSQVPTAFKNFSMTQKEKTIRELVNDQWLCAMSDGDIGLGVRSFLDLRSWFHNNQVPPCDVCNESGIKADLCPNESCSVRIHKYCLQAKFSQQRMEKVCPGCGTQWPYVVMAKAEVVEEEERDVQQPPPPCGPHMRKRQRSSRHVEEGERESNSQPPENGQPSRRQVAVKVDYDDNGFVPSQLPGTKRATRNSARLHR >cds-PLY79855.1 pep primary_assembly:Lsat_Salinas_v7:8:18958858:18960876:1 gene:gene-LSAT_8X14820 transcript:rna-gnl|WGS:NBSK|LSAT_8X14820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRCFGTPGIASGLVLRIFQCLFASASIAVMAASSGFSSATSFCYLIAAMGLQVLWSFGLACLDIHALRVKKNLQSQILLSLVVVGDWVTAILALAASSSSAGVMVLLDRDSEYCKVDQQLSCSMFQISIAFAFLAWSLLAISSHTVLWLLASLSS >cds-PLY89240.1 pep primary_assembly:Lsat_Salinas_v7:5:311799466:311801272:-1 gene:gene-LSAT_5X169160 transcript:rna-gnl|WGS:NBSK|LSAT_5X169160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTAASYWCYRCTRFVRVSAEDTLLCPHCEGGFVEAVEASEPPPLGTRRRFPATAMYMLGNNDRSDLRSRRGARRNNGDRSPFNPVIVLRGPAEGNGAVEDSGVGERGFELYYDDGAGSGLQPLPPTMSEFLMGSGFARLLDQLSQIEMNGLGRAGHPPASKAAIESMPTIEISEAHVSTESHCAVCKEAFVISAEAREMPCKHIYHSDCILPWLTLRNSCPVCRHELPTDSTDSNSSNLERSEPTEEESSAVGLTIWRLPGGGFAVGRFSGGRRAGVGGGERELPVVYTEMDGGFNNNSGTPRRIMWESRRNSAGGESGIGRAFRNMFSFFGRLRPSSNSTTNSGGASMARSRSLSSSVFGRMTRRRSRTWILDEQNGMSRW >cds-PLY72065.1 pep primary_assembly:Lsat_Salinas_v7:2:204717289:204719189:1 gene:gene-LSAT_2X125161 transcript:rna-gnl|WGS:NBSK|LSAT_2X125161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICAPNSPPSPSESLFEEEKEERTKEKRCRAKIQVQNLSSLSRGDTGAATDSFQSHTLSNQFMSRILGCYKLVSEPWFEGFGYTLGCV >cds-PLY92895.1 pep primary_assembly:Lsat_Salinas_v7:3:181561408:181562743:-1 gene:gene-LSAT_0X21521 transcript:rna-gnl|WGS:NBSK|LSAT_0X21521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPGSLLWPVTEPRLQLYFLLGLVYSTITPILLPFIIIFFEFAYMFIHHLVRARAAKLNLDCGEEEGNLLEGRDIHIHFPAGAVPKDGPSVGVTLVTYNGNQVVSSMSMSLLVDERCDMELDEKLLDNLTSQASLKSRGPNTGYKTRYDDVNLITIRENTEGEYGGICYIIKCNDLT >cds-PLY78767.1 pep primary_assembly:Lsat_Salinas_v7:9:50596808:50598446:-1 gene:gene-LSAT_9X46720 transcript:rna-gnl|WGS:NBSK|LSAT_9X46720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPAGRFGSDGESYPVALASHEGVVKDSSLFWETLRSFHRFMGTPLMVPVIGGKELNLHVLYTEVTKRGGFDKVVRLKKWREVSESFGFSTTTTSASYALRKRYMKFLYHYEIVYFLTSESSTHSDSGGFITLEATGIIDNKFEYGYLVRMKLDSEILHGVLYHPQHNNVVIPNMDLTTSRKRRNRRKSGDPTRPKTNRSGYNFFFAEKHAELKSKYPDREREFTKMIGDSWNNLSPEDKSVYQKQGLVDKERYQREMAEYKERAKHLNVEHDLRLME >cds-PLY61825.1 pep primary_assembly:Lsat_Salinas_v7:6:57144656:57145282:1 gene:gene-LSAT_6X42360 transcript:rna-gnl|WGS:NBSK|LSAT_6X42360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYDALIWSTTKVEFDQSILIWHIATGLCYYSEPDDDKDEADSDVCRTESKHISDYLLYLLTTYPVMLPIGIGMIRYRDTCAEATRFFKEKEPINGKVEASRKLLEVKCTELPPYKVKGDRSKSALFDGCRLALTLRNMEKKEMWKVMSQVWIEILAYAATHCRGFQHEQQLRKGGEFLTHVWLLMAHLGITEQLQVSQGHARARFNVS >cds-PLY83166.1 pep primary_assembly:Lsat_Salinas_v7:3:95549083:95549643:1 gene:gene-LSAT_3X71721 transcript:rna-gnl|WGS:NBSK|LSAT_3X71721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSMRSYGLIDADSRCLFMINAQPGEHLHLDQLIGVNLSPIQALKIEEGDEDLWCLLIDHEPAYSTFWMEVTMNRGSIATARFVDGSQEFDDEETMEIAEIVVEYDKYSRYLRKISELPVTIIGKNKDDGSKEGEGEICVVCQEEYEAGLTIGTLKCGHVYHEKCIKKWLVQKNLCPICRSTALS >cds-PLY90025.1 pep primary_assembly:Lsat_Salinas_v7:5:186443873:186449029:1 gene:gene-LSAT_5X82980 transcript:rna-gnl|WGS:NBSK|LSAT_5X82980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGGTQKPVFDGFSRQGSLHNLTLDEVQQQLVDLGKPFSSMNLDEFLKNVWCAKANQGINNGSSPDYSELTQLAYASSLPRQLSLTFTKDLTKKTVDEENLTFCHLQLITGYGVAKVVEVGHSNFKKGDLVWGFTGWKEYIIITAPDTLLKIQHTDILDACPLFDVMSTTLEKLPPSSIAARATTGSMIILANMIVVASVSSNSQQVFPDDLLLQLLKLMLHLDVEIRFSGHQIFSVLLIPNSNHVRRDTDASTSNQTIRWSSDTAYVLASKILCLFPSSYIASCCLLTFYDIFAPGAVLKVLMSNRREKQKLVAILEHFDQVPDRKGAMPMFSKLPDVKPVCDSQKLEDTRITNQPLCRSTKKPGSPFTISSIRIVLNHRFQCFLEMLHTLIMSQLSASRFSQHTSMEAEALNELRQSETCKSITDMGLQPRKANRVGAIGPGSIVIATAFILANFPVIFKEDDENYLEAALGEIKVKGLAHANSYSSEAYLRQAVEGSIQLKQQVYADLEHYCPQHFILASSSPTLDLNLIGERTKSQFRIARAHFCSSSVLEIGSDPVYSIERLREENDAVILAVGATKPRDLPVPGCELSGVHFAMEFLHANTKSLLDRNLEDGNFMRGTKRSTLYSHLFSNYNNILPFS >cds-PLY74053.1 pep primary_assembly:Lsat_Salinas_v7:5:91179065:91179274:1 gene:gene-LSAT_5X41720 transcript:rna-gnl|WGS:NBSK|LSAT_5X41720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLSVALSPIEASFNIEAILESQEAGVCFCIIVTVPSMIGSLELFQIEQTSYALIYTVTTLQFSLIMDR >cds-PLY99560.1 pep primary_assembly:Lsat_Salinas_v7:8:81465589:81466001:1 gene:gene-LSAT_8X57821 transcript:rna-gnl|WGS:NBSK|LSAT_8X57821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFETEFVLYQWPIMEKVEMGSYMGIPDSRCGYIAYIVDVVYIWVRRTSGEDDENQWQIVGDDLIVRKGLATSSIVQIVREGEEPDQLWKHLHCFSFQNTGEKH >cds-PLY99260.1 pep primary_assembly:Lsat_Salinas_v7:6:184573174:184576355:-1 gene:gene-LSAT_6X112781 transcript:rna-gnl|WGS:NBSK|LSAT_6X112781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSREEDNLPADHNHDPNLYRSFNASTSSSSSSFNSSPPDFLRNVQAAFKRHRMMPRMNLNNFQPRRLVAHRQETSNSSSPILDSKTDESHHVSAKPLAKNMTTLTTYNHESASVTPPSISGTPTEEGKFKSVDAQRCQPSFNCDCKNKNSFDLPCLQCKQVVPVDAPKKVQFTLENDARSHMGSLALTEMECDTNNQLNAPAVLNHDPNLQNVHNLDSDLTSRPNDERNFLQSDFGHHMTSSSNLGSTCCATTLVNSVHAPMVNSTIHSYPENKCELPRNQQGKETGLSSSTSSMLKDPPPVSDKSTKGDPLSVDAIDLKNDVKSASLKSGKQEKPSSKKDASAPRKRNYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISQDCTIYALKKIKLKGRDYATAYGFCQEIEYLNKLKGKDHIIQLIDYEVTDKALLKEVMSGCMSNKDGRVKEDGCIYMVLEYGEIDLAHMLSHKWRELDTSSSTIDENWLRFYWQQILLAVKTIHEERIVHSDLKPANFLLVRGSLKLIDFGIAKAILSDTTNIQRDSQVGTLSYMSPEAFMCNETDANGNTIKCGRPSDIWSLGCILYQMVYGKTPFADYTTFWAKFKVITDPNHEIHYQPPPPNPWLLDLIKKCLAWDRKQRWTIPQLLQHPFLVPPIPVPVSDRNLIQLVSDSCREDRNAMLMCSRLQELVTDPVGLGSVDEKCKLLDEMSRLCIQLKELLGKPESN >cds-PLY65781.1 pep primary_assembly:Lsat_Salinas_v7:5:275341619:275341999:-1 gene:gene-LSAT_5X146181 transcript:rna-gnl|WGS:NBSK|LSAT_5X146181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METSESISTPSVAIVITPPIKTIPPPPTTTLSPPPPSSPEFLDLLRCNHQNLWNYFRRKMKRYIGCFKSEDSSSLEIKGEFKNDRFEGVSNTSTTIPSPNYYSHMNNEERDEKLKSAIVYCKQSTN >cds-PLY85790.1 pep primary_assembly:Lsat_Salinas_v7:MU040901.1:31722:34809:-1 gene:gene-LSAT_0X39260 transcript:rna-gnl|WGS:NBSK|LSAT_0X39260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPRKKPRLPKANPKADEQPKSDPASVILSSDDEADDDLSLKIVEKAMLRACGNETNTNPSSSSKPEEITTEVKKRIRKRKEKMGARDNAVEDPKSDSAPIFLSSTDDADNDLSLKAVEKEMLSNETNTNPSASSSKPDENLTEMKKKRKKRKERRKEKMEDNAVEDEEPKDSDVTLETNPAEKSDNIVLRKLLRGPRYFDPPDNNWGNCYNCGEGGHISANCTSARRKKPCFVCGSFDHNVKQCNKGKDCFICKKGGHRAKDCPEKSIGGYQNAKICLKCGDSGHEMFSCKSAYSPDDLKEIQCYVCKCFGHLCCVNNRNAGPREVSCYRCGQLGHNGWECARVHAETTSNGTGTGTPSSCYKCGQEGHLARKCTTTSTKKEKRKNEYSTPRKSRSQSRDNHVSHDLGNPRKKNKTQFGQSTSSHSQLNNKRRSSSNSRGGWTTEDPGEWGSPPPKFQDRYKSGERSHTYNNNSNNGSGYNSGYNSNSNSNSNSHGRKLHYETSNGSYHHQHTHSHRYSASRFGNSSNFGKRDYNTWDY >cds-PLY93121.1 pep primary_assembly:Lsat_Salinas_v7:8:253345360:253346445:-1 gene:gene-LSAT_8X149320 transcript:rna-gnl|WGS:NBSK|LSAT_8X149320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPTGVAEVEAQKKRIYMEYSAAIYTQLTRLFIQAPVFVSFLLPIENTVEKVASFQTGGASWFIDLTIVDAFYILPLLAAISCWITVETYTGTILIVVNPFTKLPHLMLFSRRQGAIQTR >cds-PLY78277.1 pep primary_assembly:Lsat_Salinas_v7:1:129569193:129571358:1 gene:gene-LSAT_1X95780 transcript:rna-gnl|WGS:NBSK|LSAT_1X95780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMESQTIFLLTFPLVSPVCKTSSLIIFKSPARITATRRHVIASHNSAAAPTREKDAKKRVVITGMGVVSVFGNDVDTYYDSLLAGKSGISLIDKFDASAFPTRIGGQIRGFESTEYIDRKNDRRLDDCQRYCIVAGKKALEDAGLRRHEQSKINKERAGVLVGSGLGGVTVLCEGLESLMESGYKKISPFLVPYTLTNMGPALLAKDLGFMGPNYSISAACATSNFCFCAAANHIREGKADLMIAGGVDAPIVPVQLGGFVACNALSQRNDDPQTASRPWDKDRDGIVMGEGAGVLVMESLDHAMKRGAPILAEYLGGAINCDAYHITNPRFDGLGVSSCIRSSLVDAGVSVEEVNYINAHATSTVIGDLAEVNALKKVFKNTEGIKMNATKSMIGHCMGAAGGMEAIATIKAIQTGWLHPTINQFNPEPDVEFDTVANKKQQHEINVAISTSFGFGGHNSVIAFSAFKP >cds-PLY71739.1 pep primary_assembly:Lsat_Salinas_v7:3:45969613:45971830:1 gene:gene-LSAT_3X35181 transcript:rna-gnl|WGS:NBSK|LSAT_3X35181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWVTCQRKSRRRSDNYPLSQIPNVPKDIRVDRVGGSHFHNHNNLEHSSATTNDEPTNKNSGKMMFQLGVSKSGDADNVSQSSSIYERGSKSKSGEEGTSGMVWKQSSSPFIGLPETSHLGWGHWFTLRDLEQATRRFSHENVVGEGGYGIVYKGTLINGTEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGTHRMLVYEYVDNGNLEQWLHGDVQECGVLTWEARMKVLLGIAKALAYLHEAIEPKVVHRDIKSSNILIDHDFNGKLSDFGLAKLLDAGESHINTRVMGTFGYVAPEYANTGMLNEKSDIYSFGVLLLEAVTGRDPVDYNRSANEVNLVEWLKMMVGNRRAEEVVDPSLDPKPSTHALKRALLVALRCVDPDSEKRPKMSQVVRMLDADFPFREERRNRRSRTASMDSETMGGTADIERPGPVVKSET >cds-PLY90543.1 pep primary_assembly:Lsat_Salinas_v7:6:54253417:54255505:-1 gene:gene-LSAT_6X40960 transcript:rna-gnl|WGS:NBSK|LSAT_6X40960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNQRKKIGNNKSPTPESKFRSDFSICSKEKDLAGAISLFDSAVSQNLKLNLHHLNCFLYICSNSIEDNKDVAIDKGFSIFNHMISSKINPNEATITAVSRLAAAKDDADLSFELVKSLLKYNDKPRLRTYDPALFCYVKKSDAEKAYLVEKDILSMGLCLEEPEIAALLKVSAETGNEEKVYEYLHKLRIGVKCVNESTAEIITNWFKGETGAKVASRLKDCDMGRVKDMVLKNGGGWHGLGWITKGKWVLQRTTIDSNGVCCACKDQLACVDIGREETEKFAQSLMALAIERETRSNFTQFQNWLDEHNDFEAIVDGANIGLYQQNFAEGGFSVSQVEIVVKELYNRSKKWPLVILHDKRIRALLANPSNRELLEEWIERGVLYGTPVGSNDDWYWLYASVKLKCMLVTNDEMRDHIFELLGGNFFPRWKERHQVHYTFPKGKLQLQMPPPYSILIQESERGTWHVPLVSDHNDESLRTWLCISHSDLCKTCSEETSSSHVGITGKRKERSSS >cds-PLY84134.1 pep primary_assembly:Lsat_Salinas_v7:6:188005538:188009484:1 gene:gene-LSAT_6X115080 transcript:rna-gnl|WGS:NBSK|LSAT_6X115080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSVSRNSTAGGDSNVPDQFPAGLRVLVVDDDPTCLMILEKMLRNCKYEVTKCNRAEVALSLLRENKNGFDVVISDVHMPDMDGFKLLVHIGLEMDLPVIMMSADDSKSVVMKGVTHGACDYLIKPVRIEALRNIWQHVVRKKKREWKDIDTSASLDDVDRKEEKQAEDPDYSSSANEGHNWKNTKRRKDDEDEGEGEGEGEERDDSSSLKKPRVVWCVELHQQFVAAVNQLGIDKAVPKKILDLMNVPGITRENVASHLQKYRLYLRRLSGSHQSGGNTPSFFSTPDAGYGSISTLSDLEIQALAASGQLGQLGQLPGQSLATLQAAALGRSGYSKSPISVPLIDQRNIFSFENPQSRYGQVQNRQGHNGNITRPMNLLHGIPTTMEPKQLVGMQGSFAGLTSQGLIPMTGQSQNHGSRQPVLPGQVVSSPGNHVTESTGNRFQLRGNLGIPSYGLVNNGNQIQTRPLQGADLTFDPSHHMNKNMHGGLNVLQHNNYDSGQKIGGNKVIFSTGEGSGTHVVDDSSMLKAEKVNYKSTFCPEEYSQDDLLTAMFTQQQQQEGIGQGENELGLDWYSLDNLPV >cds-PLY99141.1 pep primary_assembly:Lsat_Salinas_v7:2:11318503:11320125:1 gene:gene-LSAT_2X5401 transcript:rna-gnl|WGS:NBSK|LSAT_2X5401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METTIISKENIKPSSPTPSHLKTFDLSALDQIVLSPYVPIIIYYPNINGDNSNQALQKSQVLKKSLSETLTKFYPLAGTIKNEDSIDCNDIGANYIVASVQCRLDEFLKQPDHQLIHGFLPFVPSFDKSSVGNQVTNVQLNIFECGGIAIGLCISHRIVDGASVYMFLKAWSNMARGVEEVEYPNFTTPSLFPAKGSWLRDIFKGLGRSLLKEGKCSTKRFVFGSDAIARLRARAKSNGVQRPSRVEVVSSLIWKCAMDATKEASGIQKPSSLSHFVNLRSKLKSTLSNNFMGNVIWISNAVSLPSDETPLHSLVNKVRESISKVDAEFVEKAQGDEGCFAMQKSLEEMGESTGGIDNYGFTSWCRMGFYEIDFGWGKPSWVTGIVGDGSPVFMNLVTLMDTKSGEGIEAWVNLDESEMEILKKNQELLSYASLDPSPLLNGEIVEIGALGAGIEQTTEQFVKENRFASKGLIFNA >cds-PLY91305.1 pep primary_assembly:Lsat_Salinas_v7:5:251024240:251027136:1 gene:gene-LSAT_5X126060 transcript:rna-gnl|WGS:NBSK|LSAT_5X126060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSYSTQSSSSSSKKLLFRQLFEKESSTYTYLLADASHPDKPALLVDPVDRTVERDLSLVKDLGLKLVYAINTHVHADHVTGSGLIKTKVPGVKSIISKASKASADILVEAGDKIQFGDLYLEVRATPGHTVGCVTYVTGDGADQPQPRMAFTGDAVLIRGCGRTDFQGGSSQQLYESVHSQIFTLPEDTFIYPAHDYKGFTVSTVGEEMLYNPRLTKDEETFKSIMENLKLSYPKMIDVAVPANMVCGLQDVESKAA >cds-PLY62486.1 pep primary_assembly:Lsat_Salinas_v7:1:83675451:83678123:1 gene:gene-LSAT_1X70060 transcript:rna-gnl|WGS:NBSK|LSAT_1X70060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKDSFFSTEGNGIPHAWNSVFGMEIESQVSEMNCSSEQIPNCFFNRNWDNSMDQSDPFESALSSIVSSPVNSHPGIPIPSCGGENVVLRELIGRLGSICNSGEISPQSCIQGNNSANTSCYNTPLNSPPKLNLSIMDHHQIQGNLPIPRNHQLPLAPFPADPGFVERAARFSCFGGKNFGVKESEFPHMVESGKLSRVSSNQSFKTTGSQFVGIQEEGTGTPIPASDKKFSRLSMSLTSENKELDNSMEESSLSEQIPGGETGIKGQHTSSNGRKRKAIPKGKGKETQFSAQSTKDTTTVVEQEKEESDAKRSRSDEEGNATEKEKEKEKEKVEGNGNGNQKQTKETAKLPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRTDMNMEALLSKDTFQRASMSNVMNHIDASVQPFYGMIPDGQEHPLMAMMHRNSNMKSDGFGEANAFWENDLQSVVQMGFGQNQAQSFHGTMGGGQMKVEL >cds-PLY86664.1 pep primary_assembly:Lsat_Salinas_v7:4:280770886:280772547:1 gene:gene-LSAT_4X142660 transcript:rna-gnl|WGS:NBSK|LSAT_4X142660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSPRDENVYMAKLAEQAERYEEMVEFMEKVVAAADGGEELTIEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEGHVSTIRDYRSKIETELSSICDGILKLLDSKLIGSASNGDSKVFYLKMKGDYYRYLAEFKTGSERKEAAENTLSAYKAAQDIANGELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEDSYKDSTLIMQLLRDNLTLWTSDMQDDSAEEIKEAPKADE >cds-PLY86466.1 pep primary_assembly:Lsat_Salinas_v7:8:3872076:3874826:-1 gene:gene-LSAT_8X2520 transcript:rna-gnl|WGS:NBSK|LSAT_8X2520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTLSPNDEGVAKRFWVRFNKESILSLYTPFVVSLASGNLKLDTFRHYIAQDVHFLKCFAQAYELAEEYADDDDAKVSISELRQSVLEELEMHGSFCQIEGINCPANLTTPFEKTKVAAYTISAMVPCMRLYAFLGKELQFLVDKNHHPYKKWIHNYSSEAFQAAACQTEELLDKLSVSLTGEELDIMQKLYHQAMKLEMEFFLAQPLDQQTVVPLLQGHNRKYHRVMVFSDFDLTCTVVDSCAILAEIAMDTAPKSDQTQQESQNEITRMPLAELKNTWERLSREYMEEYEQCKESILVNQKVGDFDYEGLKKALIQLSDFERRANTRVVESEVLKGLNLEDIKHAGECLILQDDCMDFFQNITKKENLNVDVHILSFCWCGDLIRSAFSSKGINNLQLHANEFIYKGILSTGEIMKNMESPIDKLQAFSNILKEHDQCDKKNLSIYIGDSVGDLLCLIEADIGIVIGSNSSLRKIGTHFGVSFVPLFSGLVMKQREVVEGRFFSWKGVSGVVYTVSSWAEIHSFIVAS >cds-PLY98767.1 pep primary_assembly:Lsat_Salinas_v7:1:8662044:8664602:-1 gene:gene-LSAT_1X8881 transcript:rna-gnl|WGS:NBSK|LSAT_1X8881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CAD1 [Source:Projected from Arabidopsis thaliana (AT1G72680) UniProtKB/TrEMBL;Acc:A0A178WLT3] MTMTCDAGKENCIGWAARDPSGFLSPYQFNRREVGADDVSLTILYCGVCYADVAWTRNVQGHSKYPLVPGHEIVGIVKEVGSNVERVKIGDHVGVGTYVNSCRECEYCDEGLEVQCIKGAVYTFDGIDTDGSVTKGGYSSYYVVHQRYCYRIPENYPLASAAPLLCAGITVWTPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKSFGLKVTVFSTSLSKKDEALNLLGADNFIISSNDEEMKAMEKSLDFVINTASADIPFDRYLALLKSGGVLSLVGFPSEVKFLPINLILGMRSIAGSVTGGTKQTQEMLNYCAAHEIYPNIEIVPIQYANEALERLINKDIKYRFVIDVGNSLK >cds-PLY86347.1 pep primary_assembly:Lsat_Salinas_v7:8:28496977:28498417:1 gene:gene-LSAT_8X23120 transcript:rna-gnl|WGS:NBSK|LSAT_8X23120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAATNTGNTLTSGHVKANTLPRRAYITFLAGDGDYWKGVVALAKGLRKAKSVYPLVVAMLPDVPMDHRHKLLSQGCIIREIEPLYPPENHTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVFDNIDHLFDLPDGHFYAVMDCFCERNWRNSPQYQIGYCQQTPDKVHWPEEQLGPKPPLYFNAGMFVSEPNLSTYHDLLESVKSTPPTLFAEQDFLNIFFRDIYKPIPNIYNLILAMLWRHPENVELDKIKVVHYCADGSKPWRYTGEDVNMDREDIKMLVSKWWDIYNDESLDYVHGDSKVTTTHLMAADKPTPPVTKRGCPLFVSAPSAA >cds-PLY71732.1 pep primary_assembly:Lsat_Salinas_v7:3:45347564:45351552:1 gene:gene-LSAT_3X35601 transcript:rna-gnl|WGS:NBSK|LSAT_3X35601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSAKKSATKVEATPAVVAQETKSMKKGKRDAEEIVEKKVVSSKKQKVVNGGVTQAVEKKKVETKTQKKNVKKQESSSSEESSSESDEEPKKVVAPAKKAAPVVKKPVKESSSSDDSDSSDDEPKPKKQSAPTAKIGSNKKPVSKPESSSDESESESESSDDSDSSDEEEAPKKPAAVAKNGAAAATKKAESSDESDSDDSSDEEDAKAAKKPAVVKNGAAATSAKKAESSDDSSSEESDSEEEVKAAPAKKPAAAAAATKKADSDDESSEEESSSDDEEPQKKKSKPTETKAAAKPVAKKEESSSDEEEESSDEEPAKPQAAKKVAAKKDSSSDEESEEGDEEESSDEDEKMVDAPSAKKAPQTPATPQATGSKTLFMGNLSFQIEEKDVVNFFKYAGEVVEVRFAMRDDRFAGYGHVEFATPQEAQEALKLNEEPLLDRPVRLDLAKERGAFTPGSGGNERSFQKSGGQGQGQSIFIRGFASDDFDTIRSSLEEHFGQCGEIARLSIPKDYESGAPKGVAFIDFNDSASFNKALELSGTEVAGGTITVEEAKPRGDGGGGSGRGGGRGFDGGRSGGRGGRFGGRDGGGFSGGRGGRFSGGRGGRDGGRGGRGGRGGRGPNRPSMATPGTGKKTTFD >cds-PLY87327.1 pep primary_assembly:Lsat_Salinas_v7:3:111959296:111959625:1 gene:gene-LSAT_3X80721 transcript:rna-gnl|WGS:NBSK|LSAT_3X80721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEINIQNPPLWKWKGLKLTSNSSAADFIDENVPRKEEFILGPGAGAGVGCGVGVGLGLVAGAGFGGSTWNQARITFGLGVGCGVGVGFGFGQGFGFGSSFQTLKSRIVK >cds-PLY98278.1 pep primary_assembly:Lsat_Salinas_v7:7:173888887:173890293:1 gene:gene-LSAT_7X103021 transcript:rna-gnl|WGS:NBSK|LSAT_7X103021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTPENSVQLKVFVDKKKKKVMFAEAANDFVDTLFSLFTLPLGTIAKLSRKHADSNDIKFGSLTSLYKSVVSFDRKYIMLEDLLINPKNSSASICKNLKVNLDDTEPIPPHLSSLWGFVMSSANFIITDDLNVIPLTLDTIIVLFNSLGVENINLLEERTMDFGFEEFLNLLMWSLTTNNPLTNLVLGGDVISSWSKQASFMVYNDLEVIPPPSIATFSKLNTLGVPVGDMEVLEVSFGEQEALSLLKVCLTSNQL >cds-PLY95605.1 pep primary_assembly:Lsat_Salinas_v7:6:138674545:138678626:1 gene:gene-LSAT_6X83700 transcript:rna-gnl|WGS:NBSK|LSAT_6X83700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKPIIQDPSTDVKFHPNNLKLFNKKDEKDSFLKLSLPLFITFWLPFMISFSTFGLNHGNGGNVGVFSKNFTNLSSYPYLEDQGRNHTDRVLLELNISRVHNDSTSYEEETEGNPLDETTSADEVFWKVLGYSSFVCERQIQDLYLENRQEEVKSSRTHLTYRDLKEVTGAPSGLTNITHRLEPDGTKYNYAAASKGAKVVAHDKEAKGASNILWEDHDMYLRNPCSVPEKFVVIELAEETLIDAITIANFEHHSSNFKKFELAGSLVFPAESWYELGTFEAENVKHKQYFKLPEPKWARYVMLRLITHYGSEFYCTLSVFQAFGVDAIEKMLEDLIMASPESTERKLLNPNLKTDGELKNMIEGVDDGLKVPETVAKGNGRIHGDAVLKILMQKVRLLENNFLSLEEEINGVNMRHSDVVPHLEKEIAKYSGLVEETRSEIENLYPWKEILKPVNYSSGVNTIRAYSFLRLNDLRDNKGATKQITRKGRGIGSGKGKTAGRGHKGQKARLVNEFVMLYESC >cds-PLY74949.1 pep primary_assembly:Lsat_Salinas_v7:8:102604749:102606307:-1 gene:gene-LSAT_8X71860 transcript:rna-gnl|WGS:NBSK|LSAT_8X71860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSQTLTTLVIFSLVSFSYAASGPPKLPKPKAIHLPVRKNQTTLQYYTTFESGNPEEANIIDALIDLGSPSVWYDCTSYVSSSYKRASCGSSRCTKARGSSCIDCNKTLRPGCSKKDTCGVLTYIPYLVYYTDQVLSEDTMRVYSTDGAYVWFSYYIKKFQFSCALTVEGLPGDHAKGLAGFANINISLPSQISSSLKLAKKFALCLPSSSESGLGDIFIGGGPYYMSPSIEDQSLSLVTTPLVVNPIATNPFFADEEPSLEYFINVKYIEIHGKRVAFSPSLLSIDKNGVGGTKITTMEPYTTLHSSIYNSLVKEFTKEASMNKIKKVKAVAPFVECFDSRTVPNTITGPAVPNIDLVLEGSTVRMRLYGANSMVKAKKNVICLAFFDGGISPTTSIVLGGHQLENYILEFDLTASTLGLSSSLLLQNTSCSHSRVY >cds-PLY61795.1 pep primary_assembly:Lsat_Salinas_v7:5:82220593:82221121:1 gene:gene-LSAT_5X36801 transcript:rna-gnl|WGS:NBSK|LSAT_5X36801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSCCKMVMLMNFSLNFKLKNRDTQKKSRLMKIGLSGTDYNVEKKKKIKKVIEKYWKWDLTNNTQHIWLRNPKKVIT >cds-PLY68719.1 pep primary_assembly:Lsat_Salinas_v7:5:278263124:278263513:-1 gene:gene-LSAT_5X143581 transcript:rna-gnl|WGS:NBSK|LSAT_5X143581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNLTTTTSTPSTSSSTSSSSCYSAASTLTSSSSTASLVTSEEVSTGTTLEPADELSQIVELPSLGASYDSTRSRDDFVFVDSVWDFYSPPRWPEGCDGGYFSGEPPSSFWSLPNSSGGFYFDASLWQQ >cds-PLY93082.1 pep primary_assembly:Lsat_Salinas_v7:4:107714143:107716106:1 gene:gene-LSAT_4X69800 transcript:rna-gnl|WGS:NBSK|LSAT_4X69800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFSVLFILILSLCVLCVSVSSAARFNELFHPYWAPDHFTIYGQTVNMKLDKLSGAGFSSKSKYMFGKVNIQIKLVEGDSAGTVTAFYMSSDGPKHHEFDFEFLGNTTGEPYLVQTNVYVNGVGNREQRLKLWFDPTKDFHSYSILWNRNQVVFMVDETPIRVHTNLEHKGIPFPKDQAMAVYSSIWNADDWATQGGRVKTDWTHGPFVASYRGFEIDGCECQTTTVDSYNMRRCSSGDGWWDRPTLSGLNLHQTHELMWVRANHMIYDYCTDTARFPKVPIECEHHRHH >cds-PLY77218.1 pep primary_assembly:Lsat_Salinas_v7:3:245870745:245871716:1 gene:gene-LSAT_3X135881 transcript:rna-gnl|WGS:NBSK|LSAT_3X135881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVYISKASPVIIPLITLVDNARVRDKLEYIEIDQREYTLDDVIMKPDPSHTDTTVLKKTLSCSYSNLPFKEVI >cds-PLY93461.1 pep primary_assembly:Lsat_Salinas_v7:9:59386268:59386781:-1 gene:gene-LSAT_9X52401 transcript:rna-gnl|WGS:NBSK|LSAT_9X52401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEELPKYLYKVHCPFEIREHDEPRTLKPESFLPTFNEDFDEDEFCYWIEDVESGFEYCDVAEDEEVEVVVRCTLPRDGEAFKWWQGIQELSKKVDEKHPIGWDEMKRLFMAKYLYPKDCLIDSSNKTS >cds-PLY73358.1 pep primary_assembly:Lsat_Salinas_v7:7:62606667:62606840:-1 gene:gene-LSAT_7X44701 transcript:rna-gnl|WGS:NBSK|LSAT_7X44701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGEMDAKAAEMEAKHQQMREEMDAKAAAIDVKQQQIDAKYEAMEKMYAALQNMMRN >cds-PLY89358.1 pep primary_assembly:Lsat_Salinas_v7:5:70194340:70196395:-1 gene:gene-LSAT_5X33620 transcript:rna-gnl|WGS:NBSK|LSAT_5X33620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTERNYNLVKMKSSSGIRDGSSAKTKFDPSVIKNKVVSGSSTKQSIDSKHKFSSTTTTTTTSNVSKIEAKGKSILSSSKTKTTTKTVKSREKKVYSLPGQKYDVPEEREPLRIFYESLSKQIPSSEMAEFWMMEHGMLSPERAKKAYEKKQRRQKEIRMGTPVKSQPPPPPSRPETSKKPQPFSPPNRGGESSKKPLPPPQPVSKNGDAKANKRILEDSSEDDDDFVLSHKRRKG >cds-PLY74099.1 pep primary_assembly:Lsat_Salinas_v7:9:14029284:14030813:-1 gene:gene-LSAT_9X11140 transcript:rna-gnl|WGS:NBSK|LSAT_9X11140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKKGPSPKESATPPKKNCKVCEKAVSKYKCPSCLIPYCSLVCFKKHKETPCVKQVPAPETDTSTSTSPIDVDRPCYVDVDDDVLPQSQLECIASCTEIRDALKDEDLQKLIRKIDCSADTRTELDKAMEQEVFRLFTEKILSMVNRSGDQQL >cds-PLY71606.1 pep primary_assembly:Lsat_Salinas_v7:2:104280391:104280666:1 gene:gene-LSAT_2X45620 transcript:rna-gnl|WGS:NBSK|LSAT_2X45620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAENGKHLRCLIDSKKVKNRSSKFASVDAKKGYSSSFSTTSLLLQQLDTKGTGRASSMEENKQQQQVIQGCLAAMESAKEGENRRDLMSS >cds-PLY78798.1 pep primary_assembly:Lsat_Salinas_v7:3:168476635:168477476:-1 gene:gene-LSAT_3X105761 transcript:rna-gnl|WGS:NBSK|LSAT_3X105761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKPMLLVGSSLGAAVAIDLAVNHPEAVDKLILLDASVYAEGTGSLSRLPKFVAYAGIGRLHCLLPWWEDATVDFMNSGGYNVTSQINQVLNKTLIIWGADHQIIDSKLAVASISFFRSNG >cds-PLY70722.1 pep primary_assembly:Lsat_Salinas_v7:8:166506907:166510146:1 gene:gene-LSAT_8X108400 transcript:rna-gnl|WGS:NBSK|LSAT_8X108400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSKEVSWKLADHPKLPKGKLVAVVVLDGWGDASPGKYNCIHVAETPTMDSLKNTAPDKWRLVRAHGTAVGLPTEDDMGNSEVGHNALGAGRIYAQGAKLVDLALASGKIYDDEGFKYIKESFANNTLHLIGLMSDGGVHSRLDQLQLLLKGASERGAKKIRVHVLTDGRDVLDGTSVTHAETLEKDLSELRAKGIDAQIASGGGRMYVTMDRYENDWQVVKRGWDAQVLGEAPHKFKNAVEAIKKLREAPNANDQYLPPFVIVDDGGKAVGPIVDGDAVVTVNFRADRMTMLAQALEYEKFDKFDRVRFPKIRYAGMLQYDGELKLPSHYLVSPPLIERTSGEYLVNNGIRTFACSETVKFGHVTFFWNGNRSGCFNSEKEEYVEIPSDSGITFNEKPKMKAFEIGEKARDAILSGKFDQVRVNIPNGDMVGHTGDVDATIVACKAADEAVKTILDAVEKVGGIYVVTADHGNAEDMVKRDKKGEPIVGKDGKVQILTSHTLQPVPVAIGGPGLASGVKFRKDVPNGGLANVAATVMNLHGFVAPDDYETTLIEVA >cds-PLY96751.1 pep primary_assembly:Lsat_Salinas_v7:2:170694286:170694977:-1 gene:gene-LSAT_2X93521 transcript:rna-gnl|WGS:NBSK|LSAT_2X93521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKREGRQHGFVRSYIIFPTPLSQQRRVKTVDSASVAGLFTKVSRKPTNQSKFTGKCGKARCIGCHIHPATKSKDKTKGTMKLRSIGSDHGIIGYPSGTSATGVLAYLASNACYDGDDDEYDGAIEDCDYDYR >cds-PLY74825.1 pep primary_assembly:Lsat_Salinas_v7:5:113811695:113813432:-1 gene:gene-LSAT_5X49901 transcript:rna-gnl|WGS:NBSK|LSAT_5X49901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTLKKTPGVCHLPMLILDIDATIEGVKEVFHVNPYLFLGFFEEGHFCCYISLGFSLTVPLFVIGRHATKAEYLVKSESFIKGKKDIISQVKGELHMDLGVVVKLMSNLLIKIIKFRKEGFRFQQVMQMSRKTNQPQFIRGTISKGKNKEKQK >cds-PLY75361.1 pep primary_assembly:Lsat_Salinas_v7:6:180166524:180170022:1 gene:gene-LSAT_6X110320 transcript:rna-gnl|WGS:NBSK|LSAT_6X110320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFAATFSSKSLVNNSELLPKMSQTELAGEYRDRSVAGGRTVVVGVKLDSQSRELLTWALVKQAQPGDRVVALHVLTNNEILDREGKSSLLSLVNSFDSILAVYEGFCNLKQVDLKLKICRGTSIRKILVREAKSYNANEIIVGTARAHHTIRSSSSVAKYCAKKLPKNCSIIAVNSGKIVFHRQSPSSTSCAKGSSDHHKNQILSLIQRSLSLNPTLLNNGDEVKCNQSDCKKLDLAVVKSESECTPIAFKDNCPICSVASILPVHEVTCGNGDDDEEDNSMALVPVQKLEASSSSTSLLLRELPELQPGWPLLQRAISSDKSRVHQISVVQWAMRLPTRHCFQITNSDSQNDDQKDRSLDIIPENGAIVCVGGDEFQSDLSSSPDHDSIRLPKELEGLHEKYSATCRLFQYRELLSATSNFKPENMIGKGGNSKVYKGCLPDGKEIAVKILKSSEDVLKEFVLEIEIITALHHQNIISLFGFCFEDNNLLLVYDFLSRGSLEDNLHSNKKDPAFGWSERYKVALGVAEALVYLHSNCDQTVIHRDVKSSNILLSDDFEPQLSDFGLAKWGSTTSSHITCTDVAGTFGYLAPEYFMYGKVTDKIDVYAFGVVLLELLSGRKPINSGYPKGQESLVMWAKPILSSGKFLQLLDQSLGNKYDADEMERMALASTLCIRRAPRARPHMTTVVKLLGGDIEVMAWARLEVDSTGGSDARLQVLEEEGFSQSNLRSHLNLALLDVEDSSLSMSSVEESVSLEDYLRGRWSRSSSFD >cds-PLY83071.1 pep primary_assembly:Lsat_Salinas_v7:5:117213700:117216410:-1 gene:gene-LSAT_5X51440 transcript:rna-gnl|WGS:NBSK|LSAT_5X51440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGETEVRIEIILEGVLFCHAPPLPLGKVIVCITCSEIREFEYLEKQISNPRGGGEEESWSQLIEGWALTLIINSGVGVNFRDINGWTALYWAARFGMRDLSIADKCEQKRGIPDLSISSPQADKSTEFQGDAVVSVIIFHPSHVMAVSASYGGDFKEESYMVVVAFSSDASVLVVAAETVIIFWDPKKNILVVVIGSSLRVGTYIHALASF >cds-PLY83786.1 pep primary_assembly:Lsat_Salinas_v7:4:43542100:43542366:-1 gene:gene-LSAT_4X30141 transcript:rna-gnl|WGS:NBSK|LSAT_4X30141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPREKGGLPHEREIGVLGDEGWYGGATLSDRSSSGWLRIEKQGSAHGAALFSWQSTRYLGGAVVQLSLGGDSGVDGERWCGDAKVIGT >cds-PLY64445.1 pep primary_assembly:Lsat_Salinas_v7:3:14710081:14717849:-1 gene:gene-LSAT_3X10081 transcript:rna-gnl|WGS:NBSK|LSAT_3X10081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDELVGNEGTAVTDIDNKLFLRRGSSNMLEMEEMLIPGDVNVQENTMGLYLDVLDGKNVARVDTSAHACTSPRCVNDPGVMVEELTVKSYDSGNLKIDASSMKGKMHNAQIKWQHLHQRAEGKLTGVWEDSGSTIFPELMNNNQQIENQNDTIDLSLREDKQPASTSGNMLLSPGGIRTKILSQSGFSQYFVKNTLKGKGVICNGPARDGLLAHIRGQNHPNAGLIDSKSAPDSIKETNVSHVHDSLSLREWLKAGHNKVDKSKSLYIFKQILDLVDSSHSQGVALQTLRPSCFKLMTSNQVLYLGSPLLENNGSEKRQLEHDLVLGANQTSKRRKHVDNQKSFRRWPQFSMLSGNGFPQDSGYGFNGESIPTRSNTHIIQCMSNNLLRGSPTSQMVTNFGCDPLEEQWYASPEDPKGMCATSASNVYSLGVLLFELLGSFESARAHDIAMMDLRQRILPPSFLSENPQEAGFCLWLLHPEPSLRPTTRDIQQSQLVSGIQQSSIKELSSSITQEDAESDLMLHFLASLKEQKQKCGTKLLDEIRCLESDISEIKSRQPNNSLAFPGPAQHKLKSSSTHGGSRLIANMNHLEHAYFSVRSTVKNPDYDTEEHEVLTSRENSTGIGPNPKKMEAAADNKPSDRLGIFFNGLCKYARYSKFEVKGILRNGDFSSMGNVICSLGFDRDEDYFATAGVSKKIKVYDFESLVNNSVDIHYPAVEMSNKSKISCICWNNYIRNYLASTDYDGVVKIWDAGTGEAISNHIEHERRTWSVDFSRVDPMKLASGSDDCTVKLWSINEKKSLTTIRSAANVCSVQFSPYSSHLLCFGSADYRTYCYDLRNISTPLCTLTGHERAVSFVKFMDPGTLISASTDNTLKLWDLNKAASFGCSPTTATSCTLTFKGHTNEKNFVGLSVADGYIACGSETNEVFAYYRSLPIPITSHKFGSIDPISGKETENESNQFVSSVCWRQKSEMVVAANSSGCLKLLQMV >cds-PLY77898.1 pep primary_assembly:Lsat_Salinas_v7:1:26469715:26472293:1 gene:gene-LSAT_1X22260 transcript:rna-gnl|WGS:NBSK|LSAT_1X22260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPMAIFLLFALFVVSSAMDMSIIGYDATHMTTTDASSSSWRTDDEVNAMYESWLVKHRKFYNALGEKEQRFQIFKDNLRYIEQHNSGDHSYKLGLNKFADLTTEEFRLGYTGAKTIGSRRKSNNVKSDRYSPRSGDALPDFVDWRTKGAVAAVKDQGSCGSCWAFSTIGSVEGINQITTGKLITLSEQELVDCDTSYNQGCNGGDMDYAFKFIIKNGGIDTDTDYPYTGKDGRCDSSRKNSKVVSIDSFEDVPVNDESALLKAAANQPITVAIEASGRDFQFYTSGVFTGQCGTDLDHAVVVVGYGTEDGKDYWLVRNSWAADWGEEGYIRMERNIKEKVGKCGIAMEASYPIKNGQNPPNPGPSPPSPVKPEIVCDQYATCPQSTTCCCVYNYHGACFAWGCCPLEGASCCDDNYSCCPHDYPVCNLRRGTCSKKKNSPLEIQALKRILATPTNLKRTDA >cds-PLY82886.1 pep primary_assembly:Lsat_Salinas_v7:4:17672585:17675807:-1 gene:gene-LSAT_4X11880 transcript:rna-gnl|WGS:NBSK|LSAT_4X11880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEFETLEDGVVVPLGHPKKQLTSKVNSTPLYTLYHYYLLFFLVKGVGATAPDMSEFETLEDGVVVPLGHPKKQQTSKARLLYNEYIAYNVDQIRMRYVVHVNFNFNKNTMLMHLFDANGVIKKYDTPEQILEDLFPLDLDYYDRSKASTSVQEVVLPFVLIGLADINKAKSIAGNIIKEVNRGYKRLEIDLDGVYNRMLLHKKRRNMQL >cds-PLY86248.1 pep primary_assembly:Lsat_Salinas_v7:8:57934461:57935263:1 gene:gene-LSAT_8X41821 transcript:rna-gnl|WGS:NBSK|LSAT_8X41821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATESNSASAYLTNMDEVKQVFSRFDVNGNGKITAVELVDVMKALGSDTSLDVVKQIMEDIDTDCDGFINLEEFAGFLKGSANAGGEDDGGMKELHDAFKLYDLNKNGLISASELHQILSRLGMEENCSLDDCTNMIKSVDSDGDGYVNFEEFRKMMSKSNNSSK >cds-PLY81850.1 pep primary_assembly:Lsat_Salinas_v7:3:30767334:30772014:1 gene:gene-LSAT_3X22421 transcript:rna-gnl|WGS:NBSK|LSAT_3X22421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQPSATNVQTTRKKKKKGKVKWSNLYTFSCLRSPPPVTQSDFGQPGFSRVVFCNDSGMHRTKPYKYPINDVSTTKYNVVTFLPKSLFEQFRRVANLYFLLVAVLSVTSLAPFSPLSLIAPLVFVVGISMLKEGVEDWHRFLQDLEVNSRKVKVHIGNGSFLDRPWKVLRVGDVVKVSKNEYFPSDLLLLSSSYEDGVCYVETMNLDGETNLKIKRCLECTLGFDEELKFDKFKATIRCEDPNSSLYTFVANLEFGDEDEDTLISSYPLSPSQLLLRDSKLQNTDYVYGVVVFTGRDTKVVRNSMKSPSKRSRVEKKMDHVIYVLFFMLLLISLITSIGSARYTDRKHTAKEWWYLQLLQDTDASFDPNKPLISGFLQFLRALILYGYLIPISLYVSIEVVKFLQAMLINNDLQLFDEMSGKSVEARTSNLNEELGQVEMILSDKTGTLTCNQMEFRKCSIEGISYGGDVNAIVRAASHRMNINIESYRFNIDGEDSTPRDSIEMHEICSDHDNEVNNSVLDDAKTMRNLGIKGFNFRDDRLMNKMWVHGSNVWDMMMFFRVMALCHTGIPVENGEETGSHKLKYEAESPEEVTFLIAAQEFGFQFCKRTQSSMFVKEIDSSAEEVEREYKLLNLLEFSSSRKRMSVIVRDEVGQIFLFCKGADNIIFDRLGDSGRTYQHATTMHLANYAEDGLRTMAFAYRKIKDLEYEEWSSTFAKAKATIGSEREELLENVSEIIEKDLMLLGAVAVDDKLQDGVAECIDKLAQAGLKIWLLTGDKKETAVNIGFACSLLRHDMKQFHLSLSRDAESKNQLKAMKDDILNQIEASYQVTVNEKTKDDPFALVVDGKALEIALGDDIRDHFLQLAVNCSSVICCRVSPKQKALITRLVKKYTGKMTLAIGDGANDVGMIQAADIGIGISGMEGMQAVMASDFSMPQFRFLERLLIVHGHWCYKRISKMILYFVYKNIVFGLTLFYYELYSKFSGDVLYDGWYMLMFNLFLTSLPVISLGVLEQDVSSDICLQFPALYQQGQKGIFFSWKRILGWMGNGILTSVTIFILNVNILSSSAFRQGGEVIDISHLGVITYTVVIWTVNCQIALIITHFTWIQHIFIWGSILCWYIFLLFYGALPPVYSGREFKLLIEAVGPAPVYWIVTLLVVVVALLPYFIYMVITRSFYPMDDHVIQEMKYGRKVVVDDDMWLREKQSSRKVTHIGFSARVDAKILYLKDQLHKKRMFIIKSITNSPIRRSITINSLENRDSS >cds-PLY93438.1 pep primary_assembly:Lsat_Salinas_v7:9:63213580:63214050:1 gene:gene-LSAT_9X54761 transcript:rna-gnl|WGS:NBSK|LSAT_9X54761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHEAITTLFSLQSTEALRIFQDDEPNDDDILVSFSDLQFDPEKDNVPDNMIMSGKQFNILNNKLDSLLQIQEDIGVQNIVYGFEMEYMLNSQENRFRSLVENIKKKLVERLKVHDNSFEYEIKKLRDVAKERRELFVEQVKTIKESIDLMMVELKS >cds-PLY93783.1 pep primary_assembly:Lsat_Salinas_v7:6:144167124:144167339:1 gene:gene-LSAT_6X87121 transcript:rna-gnl|WGS:NBSK|LSAT_6X87121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVFIPEEYMVKRRMEKKAAASSKGGSMNACNNNNGEKVTRKSHSPSHALKVHQNEYGFGENPVFNFCFSP >cds-PLY90524.1 pep primary_assembly:Lsat_Salinas_v7:2:43173218:43173852:1 gene:gene-LSAT_2X20500 transcript:rna-gnl|WGS:NBSK|LSAT_2X20500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQFARLSLACPWIQQQFERLTYSGISGSMLIFNSPKHFVAYYALPRLWVPRYPPILVVPEDPATGNQERRAFPPFPPTLWP >cds-PLY82906.1 pep primary_assembly:Lsat_Salinas_v7:6:93836891:93839842:-1 gene:gene-LSAT_6X62421 transcript:rna-gnl|WGS:NBSK|LSAT_6X62421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLIFPTRTAAILIPNLVFNPSNSLSSISNFLPVRNPNYLPQLRRLRLSSAYSSPITEENGHCHQTEGEIHVIVGPMFAGKTTTLLRRIKSESSNGRFQDKVSKGFLNMDETLKNLCFSGRLAEAVGLLCHTGHQVDSETYSLLLQDCIFKKDYQFGKRIHSQMIISGFVPNEYLNIKLLILYAKSGDLVTAHILFKKLLIPNVISWNAMISGYVQKGLEEQGLNLYYKMRQNGLTPDQFTFSSVFRACATLATLEQGKQAHGVMIKTQINGNLVVNSALIDMYFKCSCPYDGHLVFNKASDKNIVTWTSLISGYGQHGRVKEVLDAFHRMINEGFRPNSVTFLVVLSACSHGGLVKEGWEYFQSMRRNYVITPGEKHYAAMVDILGRSGRLDEAFEFVKNAPCKDHPVIWGALIQACKVYGNMDMVKIAAKKYFELESDNVGKYVVLSNAYATFSQWDNVAEIRSGLKELGMKKEPGYSMIGVQKKLLFLIKRVILPASIIFFYDSINFLNAAVIKSSKDTRYGLDSIVTHDGEKLSCWPLEALSSFKERIGLEAYHKLEVIGIDEAQFFEDLYDFCIKAADHDGKTVIVAGLDGDYLRRSFGVLDIIPIADTVTKLKARCEVCGKPAFFTLRKTEETEREVVAGADVYMPVCRNHYLTGQKVKQSARNVLETHNLQCGSFL >cds-PLY92144.1 pep primary_assembly:Lsat_Salinas_v7:8:5247834:5250116:1 gene:gene-LSAT_8X3961 transcript:rna-gnl|WGS:NBSK|LSAT_8X3961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVLPALPVGYRFRPTDEELINHYLRLKINGYDDDVTCIREIDVCKKEPWDLPDLSVIESIDNEWFFFCPKDRKYQNGQRLNRATIAGYWKATGKDRTIKTSRGSRVIGKKKTLVFYLGRAPKGERTHWVIHEYCATEKELDGTHSGQSPFVLCRLFRKHDGKDDDPGSPKFIDVDQNTASSPSLVKSSTEDPPSDPVTPMLTGQIGDTDEANVDPLGYLDLQGLCDPIPEHLDSKIFSPLHSQMHVEFGSSYLGNPSIDNPMQYQYGTNAKDIMEFLDNVLVEPDRFPLEDTSYKDACPAQASMETARFKEPESIGELKGKMGHDANGNGPYVQDSRTPCHMGHELQGNYPDENIIWFSPVEDQVKSFVKEEHELCSNNGNSLASGNDVNSGTGIIIRNWKKAKLPGIPFQGTANRRLRVNMKLCVRPSYNQEQPTNMCFNEEQSIKDKVDDYKEAMEEDHVAYDDENSSGTGIVIRTRENPIPSSSIPFQGTASRRIRLQKKLQLGFIRKPMKLDTSEEESSVTEAKEPAEISTDEKSVDQDSGSNVIQAKESSFYSKAKTSLASIPVLYMPKVLVVMGLCAGGVALWKCLRGG >cds-PLY78088.1 pep primary_assembly:Lsat_Salinas_v7:1:6125683:6128337:-1 gene:gene-LSAT_1X5160 transcript:rna-gnl|WGS:NBSK|LSAT_1X5160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTWRSTAFGIYGYLNFTKSGFLEHSKNFKPEDMATRIDGKNCVVTGANSGIGYATAEGLASRGANVYMVCRNRERGEAALSKIHSTTGNQNVHLEICDLSSINDVKSFASRFKEKDVPVHVLVNNAGVLENTRVTTSEGFEMNFAVNVLGTYGMTELLVPLLEKCEGDARVITVSSGGMYTSPLTTDLQFKDGEFNGVEQYARNKRVQVALTEKWAEKYGNKGIGFYAMHPGWAETPGVTNSLPAFSKSMQGKLRTSEEGADTVIWLASQPKQNLVSGGLYFDRTEASKHLPFAATSNSHNAIDSIMATLDSLANFSI >cds-PLY72837.1 pep primary_assembly:Lsat_Salinas_v7:6:22609768:22611597:1 gene:gene-LSAT_6X17840 transcript:rna-gnl|WGS:NBSK|LSAT_6X17840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTGELLNVEPTELKFPFELKKQISCSLQLLNKTENHVAFKVKTTNPKKYCVRPNTGVVMPRSTCDVIVTMQAQKEAPPDMQCKDKFLLQSVVASPGVTPKDITPEMFSKQAGRVVEECKLKVIYLPPPQPPSPVPEEPDEGAPSPKVSFTDGGINVNTKTSDQVSRQYVDSDDNSSEARSLISQLTDEKNAAVQQSNKIRQEMQLLTRGGNNKSQGGGGVSFIVVIALALIGLAVGFLIKN >cds-PLY63386.1 pep primary_assembly:Lsat_Salinas_v7:7:148527968:148528351:1 gene:gene-LSAT_7X88020 transcript:rna-gnl|WGS:NBSK|LSAT_7X88020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASASASATLSPVNLTVVAPTRSSGRTTSTKVTYIKGLNSFGGLKAQNTVAALGLPVSTEQQFANFVYSMKKPSSKNIAGGGGALSSTCNAAAEIFQIAAIMNGLTLVGVAVGFVLLRIEAAVEEAE >cds-PLY65430.1 pep primary_assembly:Lsat_Salinas_v7:9:185270398:185275688:1 gene:gene-LSAT_9X113760 transcript:rna-gnl|WGS:NBSK|LSAT_9X113760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAIYFLNSLTSMGSKKRSSDSVEEIDIQKSNTSSDSKVSSEHLKKKIKKVKKNEEEIEKSNNNDNSSNGVKPMERKKKRKALDKEKHRATSGDNTEMKPILANLEVKEVEKSRTSNSLPEFHIGVFKDLGSADGLVREAAAERLVMELQVVQKAYNMLEKKEDVDGGLKLEAEKDDGLNNCAPSLRYAVRRLVRGVSSSRECARQGFALGLTMLVGAVSDIALDSLLKLIVDLLEVSSSMKGQEIKDCLLGRLFAYGALARSGRLIQESEYIKEFTGAVITLATKKRYLQEPAVIVILQLVEKLPVKVVLKQVLDAPGLHEWFEGATEAGNPDALLLALKLREKLSDDNRSFGNLLPNPYSSSSMFSPEHLSILSSCLKESTFCQPRVHGVWPVLVNILLPDVVPEGTDSSTGLNSTKKHKKKPKSSSYEEDLQKNLQNFWDVIIEGSLLLSSHDRKHLVFDVLLLVLPRLPVSCIPIVLSYKIVQCLIDILSTKDSWLYKFAQYFLEKLSDWVSNDDGKRVAVTMALQKHSNGKFDCITRTKTVKDLMSGFTTEPGCMLFIKNLIDMFLDVRPLEEPSDQSQTTDDNSEIGSIEEKDVTSDFLKSWVVDSLPSVLKHAKLDKTEDSNELPEGEGKFGVQKEILKFLAVQGLFSSSLGTEVTSFELDQKFRWPKAATSSSLCRMCIEQLQLLLANAQKGEWPHTVTSGKEANDLGSYFMKFLSVLRNIPSVSLFRSLSDEDEKAFKKLQAMENWLSKEERNCGMSADAHRLHALRYLLIQLLLQILLRPGEFFEAASEIIICCKKFFPSPDLLDSSGEDEADADGDDAPVLMDVFVDTLLSLLPQSSAPMRSSIEQVFKYYSDDVTDDGLLRMLKVIKKDLKPARRQDSDTENDDDTDDDDLLAIEEAEDSDEAETGGEVADDQSEESEGIADSAGKGDSDSDSDGGMDDEAMFRMDSYLAQIFKEKRNQAGGETAHSQLVLFKLRKQQVLKVFTNLAQAFVNPNTTEGSEQLGDRICKIMEHKIFKAKHYPKDESVQLSLLEPLLEKNLKLASKPFKKKKSAITPSKKKQSASFQRYRKIVNLAQNSIYWILKIIDSRSFKEDELEKVFEIFKGALTRYFDGKNSMLKLNFLKEIFRRWAWIGRRFFGFLVEKCGGAKSKFRRVEGLDLVLEILKPLNIEGSDVGKKMVSKHMSEICVLIKELVMNMPEKQSKRAEVRKFCGKLFTIFTNLKLSAKFLESLDPEVCVACEGQIGKVFLDLKKQQV >cds-PLY94130.1 pep primary_assembly:Lsat_Salinas_v7:8:29806312:29807769:-1 gene:gene-LSAT_8X24141 transcript:rna-gnl|WGS:NBSK|LSAT_8X24141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSKLAAATAERVVKATRRQALTLTEAAAGRIRHLLQQRQRPFLKLGVKARGCNGLSYTLNYADEKGKFDELIEEKGVKILIDPKALMHVIGTKMDFVDDKLRSEFIFINPNSKGQCGCGESFMTTSSTESTKAGGTS >cds-PLY64197.1 pep primary_assembly:Lsat_Salinas_v7:7:4512850:4513290:-1 gene:gene-LSAT_7X3360 transcript:rna-gnl|WGS:NBSK|LSAT_7X3360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLNMIISFDVTNEKFETIDLPDSLACHNIMDLHISKIRDSLAMLQERENIYTVWMMEHSVQRPFTQLFSIETRHHIVGFRESGIPILQVTDNHHDDYEVKYEIVVYEPNLEHDNVLETSVSCCCFEMHSYMETLLLLGRPRWKSY >cds-PLY96054.1 pep primary_assembly:Lsat_Salinas_v7:8:21403198:21408353:1 gene:gene-LSAT_8X16701 transcript:rna-gnl|WGS:NBSK|LSAT_8X16701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKSKKASSPHSAVTSMPSSQEVALRVASCNLRADLNLEKFDPTLHIMIEFLKSHPIHKPLTKSVKFPLNIIHMAYCTAVYNSKEEYIEFNISKDHTTKLCKAEFLKAIGLPESKEKKTLYEPTNEELFDVFDQMGYLPPRLEMTPDFKKGKLPAIWQFLVHIILRCLTGKTGGTDTLSRVLLVFLFGLYTGKEVDFGTTIWKDFASYVFPKKKDIPCARFWALALQRGYKKLKFPLPEGDEMFSPRALLRYSIPDQSEFGKVARLPEAMLQYIEKDSKVLIGHIEETAPPQSGHPIQSSQHISSPTQQKKNGLKVKKTTKRKGETIPAPQAKRKPPKSIPTSQKVNDVEKRSALRSQSPISSSSQEYWDIRHTQPPSSQNDDGGVEKSQDQGPRGRPAHSSLQTGGIGASNGEAEVRTSESESVRQTRKQSERKMLRKAEAKKEEERKELVRMLWEEQQKREEEEWARTSAKLLEHAMSLGPSTNIGEEYSEDMEKDFVFAAADGLIQDSDSNSIMETLKPSKSTIFLATSESESQEAPKGETNTLPTTTVTQTKWKKKKSKSISRVGYNSLNQKLSEVLDSVKNIPQSEEEFVSKEEFGEMQKIITSLAQTVPNLEAREETLWESIVNSTADALRDMEQKRIADTCKYLDRMDEVEKTLKEIQQSYDDLSNTMGHQHGDEIVRISEQLRDYRNKNIIVQAVLIKVMQFADQLLRPCNVRFDEVLFAMQKAQKSMDVLPKTLCNDELSKQVQQSFLKVFELIEDLKGSMVEDETGFSSTKWGEDNNVVITETSEETHPPQIDEASKPQPPSKITIPPTSFITPPAQTAPEFLETTKTPIIDEDQQHALTPLVYVIRQLKDDELVPKQTTAPPSSDEYQWDIPMSPNARYYSVFKPLHPHLSREMQIPIEMNRLEEFFKAHAQPPKDVWSLRRIIRVLGYKKRSFQKEEYFGFEVVRSDNKKYFFSEADFPNLNPNDLYVIGKHFQNKLLTHQPSRFPFLQIQRFLRSLVSDLGSIDAEQFDSFVDNPPEEMNQDLEGIENRHRGPTEDPELGIIFSNEKGSLKLFFRLKQKHRCKTEFLEKMINLTLRSNASAALKVKIIEELEWWVAVRKWITRVTDFVTNKIWT >cds-PLY66672.1 pep primary_assembly:Lsat_Salinas_v7:1:54493172:54493550:-1 gene:gene-LSAT_1X47301 transcript:rna-gnl|WGS:NBSK|LSAT_1X47301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNINVAFSYGKWWVRVGWGGCGCGDCGGSGGCGGDSACGGGGGGGGGGDGGSVIAMVRGEHLDRTGPD >cds-PLY67442.1 pep primary_assembly:Lsat_Salinas_v7:6:73422223:73432216:-1 gene:gene-LSAT_6X52280 transcript:rna-gnl|WGS:NBSK|LSAT_6X52280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTSWADSVAAAENAAAGSYVGNSNSLAPNRSTYVPPHLRNRPGSAEAAPPPATANTGSTSAVPVVGGGAPNRWAAPVPGPRNDFRSGYGGGGGGGRGGGGGWNNRSGGWDRGRDREVNPFGDEENTTSEQDFLEQENTGINFDAYEDIPVETSGDNVPPPVNTFAEIDLGEALNLNIRRCKYVKPTPVQRHAIPISLGGRDLMACAQTGSGKTAAFCFPIISGIMRGQFGQRAPRMPRTACPLALILSPTRELSCQIHEEARKFAYQTGVKVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQFIRYLALDEADRMLDMGFEPQIRKIVQQMDMPPPGVRQTMLFSATFPKEIQRLASDFLANYIFLAVGRVGSSTDLIVQRVEYVQESDKRSHLMDLLHAQRANGTHGKQALTLVFVETKKGADSLEYWLCMNGFPATTIHGDRTQQEREQALRSFKSGNTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNDNNSSMARPLSDLMQEANQEVDSVSCYCKVDAGLKTVAGARKFVPGSKICIQPDINPHAHKTKNSRKERTRIQPPLLPGLPDDLAIACLTRVPRAEHNKLRLVSKRFFRLLSSNYFYSLRKTLGMAEEWVYVFKRDRDGRISWHAFDPTYQIWQPLPPVPVDYENALGFGCAVLSGCHLYLFGGKDPLKGSMRRVVFYNARTNRWHKAPDMQRKRHFFGSCVINNCLYVAGGECDGVHRTLRSVEVYDPNKRRWSFITDMSTPMVPFIGVVYNGKWFLKGLGAHREVLSEAYTPESNTWVSITDGMIAGWRNPSISMNGKLFALDCRDGCKIRVYEEDTNSWKKFIDSKVHLGSSPAMEAAALVNLNGKLCIIRNNMSISLVDVSSKDKKVESNPNLWENIAGKGHIKTLFTNLWSSISGRNGQKSHIVHCQVLQV >cds-PLY98547.1 pep primary_assembly:Lsat_Salinas_v7:1:37609216:37614145:1 gene:gene-LSAT_1X31461 transcript:rna-gnl|WGS:NBSK|LSAT_1X31461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECRGLSNRKSWLYWSLMLMIIQILIFRQTKGDCIEEERKALLEIKASHMKSYDSENDHFLPTWVDYGSGTPGDGGGDCCDWERITCNTTTGHVTELSLYYLRGTDDDIKFWSKFWPLNVSLFLHFKELRSLNLSDDFLDKEIMKTGLEKLSTLKKLEVLDLSFNDDIDNDILPSLMTLTSLKILDLSFTSLNGNFPLKFAALENLEVLDLSNCNFNGVSILKKLKSLNPGYNRFNESAATSLNTLPSLTNLDLSNNPFLGPFPAQELAHLTNLEKLDLSFTQLNGTPDIQEFHDLPNLEVVLLRENGFGGTLPVEAFTSFQQLKVLDLSWNHFVGSVTSDIHALSSLRVVSFAYNYLNGSLPDHGLCELKNLLELDLSGNMLHGTLPDCLKYLSSLKLLDISSNQFSGILMPSLIANLTSLEYIDFSHNIFEGSFSFSSLSNHIKLEVVRFRGDNDKFEVETEEPIGWIPMFQLEILELSNCNMNRYKGRVLPGFLLHQHQLQEVDMSHNHLEGKFPNWLIENNTNLEVLNLRNNSFTGMLLHRNANMLELDMSGNRMIGTIPDDMQKFFPHIQHLNLSRNSLSGAIPSSLGDLRDLRVLDLSNNELSGEVPKGLFTNISELFFLKLSKNKLQGQVLSGNLSLGKIQELHLDSNCFTGKIANEPRKYNNLMILDIRNNFFTGMIPGWISNTSELSELVVRNNSLEGRFPCGPTLFTFLDISQNSFSGPIPSCLNLQYVKHLHLGSNRFTGSIPNSFRNLTYVLTLDISNNDLSGRIPKFLGELSNLRILLMRKNKFHGSIPKHLCQLYNVSLMDLSDNSLSGSIPNCLKNITGPSYLAFLKRTISWYPMSSSYDYNSVLQRWQPAYVNNQGFETQDEVEFTTKRLFLSYKGGILDYMAGLDFSCNKLTGEIPQQLGFLTQLLALNLSHNHLTGPIPVSFSNLAKIESLDLSSNGLTGKVPSQLIKLTSLSTFNVSRNNLSGRLPEMQAQFGTFTEASYEGNPLLCGPPLMKKCTTTNSQLTNPSDEEEDNDKWYDIDMTFFYGSSGSTYAVFLLGFVALLYINPYWRKWWLDMIEDYMFTCYYFLYDSVSS >cds-PLY64877.1 pep primary_assembly:Lsat_Salinas_v7:3:17764188:17765689:-1 gene:gene-LSAT_3X12801 transcript:rna-gnl|WGS:NBSK|LSAT_3X12801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFHSFLTVVLLVICTCTFLKMQFPTILEQKTGFRGFFWKAARIGERLSPWVAVGCLSMGVSIIFF >cds-PLY91640.1 pep primary_assembly:Lsat_Salinas_v7:8:12195285:12197733:1 gene:gene-LSAT_8X9281 transcript:rna-gnl|WGS:NBSK|LSAT_8X9281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVATAIILHFRPLRHRHLLLLQNATSSLRFSSSSSSNSDDNGTSQSQSQPQSSSSSSSDYFSDVKASLKQTPQQPRRSSSFSSNPSPRDESTQKLSSMDDIRKSLSGYTRVQPPPPPQPKQPVISFQELYKRSVMPKADQQSGAKTAPSLDSIRMSLNHMPPQSQQPRSPLDGISQSLKYKIPSTKAVVSDETKAEFVRMYSYGEMGEKLRKLRPVNKTTKFSLGELSERLKMLREIEEKEIESTNGLKYMHLRESLKKLQDDGITKKNAAQRANILGQLGGTPSFMSSPPKEVLVEKASVSIFWLISAYFHPDHMSSAEKQKIELKNVRDKFKISESDCGSARVQVAQLTTKIKHLATVLHKKDKHSRKGLQAMVQKRKKLLKYLRRTDWDSYCFCLSELGLRDSADYKL >cds-PLY84754.1 pep primary_assembly:Lsat_Salinas_v7:5:229778251:229781273:1 gene:gene-LSAT_5X109740 transcript:rna-gnl|WGS:NBSK|LSAT_5X109740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESSSLMLNQLWKRFKGDENPPETLGSSKEYNVDMIPKFMMANGILVRALIHTNVTKYLNFKAVDGSFVYNKGKIYKVPATDVEALKSTLMGLFEKRRARKFFIYVQDFDENDPKSHEGLDLNTIPAKELIGKYGLEDDTIEFIGHALALYTSDSYLEQPAIDFVKKIRLYAESLARFESGSPYIYPMYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDDSGKAIGVTSDGETAKCKKVVCDPSYVPDKVKKVGKVARAICIMSHPIPDTNDSHSAQVILPQKQLNRKSDMYLFCCSYSHNVAPQGKFISFVTTEAETDDPQSELKPGVDLLGPVDEIFYETYDRYEPTNDAEADSCFVSTSYDATTHFETTMKDVLATYTKITGKELDLTVDLSAASAGGSEE >cds-PLY67101.1 pep primary_assembly:Lsat_Salinas_v7:4:242019539:242021740:-1 gene:gene-LSAT_4X129680 transcript:rna-gnl|WGS:NBSK|LSAT_4X129680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQGTRNHAPNDLSLGVKQTNCGSTSTLDALDAIKEQITKKRISTTSLVPIKARAGTNKNIHNQTASKVKPIEYLCDCLKTNPLVNIVANSGILEVGTYDFSVTSEEYFRFLRKQTTDASIFTAWQLILHSMVRTRMNKCDFLNPYNILWEACQKNPAFMRYDKDTSTPIRWIFAECNQQLGGLESGHYVMRWMFDFLTTRQHGFPSKSDSIWDDKSPFEEKVLLATVATWSREFLNNYMKDVVL >cds-PLY72192.1 pep primary_assembly:Lsat_Salinas_v7:7:53104079:53113336:1 gene:gene-LSAT_7X37961 transcript:rna-gnl|WGS:NBSK|LSAT_7X37961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGIAEEALVLLRRRLCDLNFIFSLFSDSPVNNYRLKILNGQFEELHQRQSQWTVPATELRESIRLAVVEVLLPVYRSFIKCFGSSYRGGCYCRYNGTTLSIRIFNLVSSKAMDDPFVVLETASTPVTSPPSVFIDPLEIVHKMNKSGSTNSGVCRGVFDFDYIDPLHGFGKPATLSILHLVTSLKTGLEATQEAALDALFLLRLAWDGVSESQFNQVLNIKLDQINEACKFLDEKWNTKFLVIIAQKNHHTKFFQQGSPDNVHPKLPLAEVPDCFFQLSKHLEAVSSEHFNLCCVELKKTKDEKQMTTPISEVRATKVPVKEYEFDEKKMANVQSHLVKAEPKTPNIPFFPKEDKMDEPGSTFVRYAEDHQTTEAPWSDEKNTYMLYLKDPTIWKVKCMKYVDLKSLGAKLQIVSAFSVEHVKGFIYIEAEKQSDINEAYKGLCNRSYQQFVYLQTVGKVGAYTYNIHFWLGKDANQDEAGAAAVRAVELDSVLGNRAVEYRELQGHESNRFLSYFKPCLIPPEGGFGYEVKTPEEEEEKEKEDEEEDGEEKEEKEKPEPRLYTCKGK >cds-PLY86428.1 pep primary_assembly:Lsat_Salinas_v7:1:10206640:10209796:1 gene:gene-LSAT_1X7841 transcript:rna-gnl|WGS:NBSK|LSAT_1X7841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFPCLPILGHLYLVRSPLYRALGKLSARHGPMLMLQFGTRRAFLVSSPEAVEECLTTNDLAFANRPLLLAGKHLGYDYTTLAWSSYGSHWRNLRRIASLELLSAHRLQTLNEIRAEEVRLMAKKVYQKAVRDGTVEMKSVFFELMLNVMMMMIAGKRYYGDSVADVEEGRRFKEIVMETFVVMETTNVSDYLPWWKWVGGRRLEKKMVALKEKRNGFMQGLLEELRRKMAVVAEGGRSEEKKSLIEVLLILQETEPENYKDEVIKGLMQVLLSAGTDTSSGAMEWMLSLLLNNPETLKKAQAEIDKCVGEDRLVNESDISNLPYLRCIMNETMRMYPPGPLVFHESATDCTVGGYHIPSGTMLLMNLWAMQNDPKNWENPKKFKPERFEGLEGSKDGYKLMPFGSGRRRCPAENLALRMVGLALGTLIQCFDWERTSNEMIDMTEGKGLTMPKAKPLVAKCQPREKMAKLLSQI >cds-PLY71421.1 pep primary_assembly:Lsat_Salinas_v7:MU043955.1:128343:129007:1 gene:gene-LSAT_0X14981 transcript:rna-gnl|WGS:NBSK|LSAT_0X14981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELHVSRKYGGADNEFKPGYLKAVQQLIDVSLPNSGLKAEPYIKSRMKTWKNHFNIVHDMVYGTNTSGFGWDTDKCCVTADAEVWDEYIKVLHVFVINHFPNLITYVKSSGRIELLVIHGATDLGEDVTEETQRNSPVDVEGLEEIVEETQQTAHVNSKRKRPPTDDTESSYKEAAKENERDFQGGW >cds-PLY98142.1 pep primary_assembly:Lsat_Salinas_v7:1:141380012:141380203:-1 gene:gene-LSAT_1X102401 transcript:rna-gnl|WGS:NBSK|LSAT_1X102401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYEYTEVVFPRELFKYGYNEWIQIQDIIDKHKGIHAQEVKLALQQLISKVNKLNLVPSAGSS >cds-PLY93614.1 pep primary_assembly:Lsat_Salinas_v7:2:175624243:175624623:1 gene:gene-LSAT_2X99740 transcript:rna-gnl|WGS:NBSK|LSAT_2X99740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPPSTNNPLQLGPPNPTPTTSAAARKKNRHTKVNGRGLRVRVPALCAARIFQLTRELGHRSDGQTIEWLLHHVDPSLFPTSSRDSAVAPENQRSSELDLFPNLSFTSLLMQVEEDEIKTKRKI >cds-PLY87699.1 pep primary_assembly:Lsat_Salinas_v7:6:45538798:45540722:1 gene:gene-LSAT_6X34681 transcript:rna-gnl|WGS:NBSK|LSAT_6X34681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRNQLRTPLTTFIRKTIPQSISLQSLEETIKNQPNFSTPSPCTFNTNAQTRVSRNPQIHLIWSSEFRGKSSGFCSSASSTTCCWNCKSQGPFLICQSCGSIQPVDHSVDYFNIFGLDRKFDIEVGSLEGKYKDWQKKLHPDLVHTKSEEEREYAAEQSARVIDAYTTLRKPLSRAIYIMRLEGVEVDEEQTVSEPELLGEIMEIREAVEDANDSQALNQIQSQMDEKLSHWYDFFANAFQSKEYDDAIKSIQRMTYYQRVKEETVKKL >cds-PLY80342.1 pep primary_assembly:Lsat_Salinas_v7:7:155342957:155348952:-1 gene:gene-LSAT_7X92121 transcript:rna-gnl|WGS:NBSK|LSAT_7X92121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELDKPIMKTNMLFLIFGLWVMVVAMADKGITVNTSSSRRPKVVNIGALLTVNSVIGRSVKPAIIAAVDDINSNPSILGETHLNLILHDTNCSGFIGTIEALELMEKEVVAAIGPQSSTIAHVISHVVNELQVPLISFGATDPTLAALQYPYFLRMTQSDYYQMSAIADLVEYFEYKEVIAIFVDDDYGRNGISSLGDSLAKNRAKISYKAAFTPGASETDISELLTEINLMESRVYVVHVNPDSGLQIFSIAKKLNMMTSDYVWITTDWLMAVLDSQEPPNPDAMGLVQGVLSLRQHTPNSNYKKTFITKWKNIKEKETNSFNSYALYAYDSVFFLAHAIDTFLKSNQEITFSSDPKLKNNNGSHLQLSALQTFDQGQKLLETLLSTNYTGLTGEIRFDSDKNLVHPAYDVLNMGGTGIRTIGYWSNYSGLSVNSPETLYKKPANSSTSAQRLYSVIWPGETSVKPRGWVFPNNGKPLRIVVPYRHSYKEVVTKDVRSPEGVRGYSIDVFDAAVNLLPYPVPRKYILYGDGVRNPSYSNLVAAVAENIYDAAVGDVTIITNRTRIVDFTQPYMESGLVIVVPVKKSKTSPWAFLQPFTIEMWLVTGGFFLLVGFVVWILEHRLNHEFRGPPSQQIITIFWFSFSTMFFSHRENTVSTLGRLVLLLWLFVVLIINSSYTASLTSILTVQQLTSGIEGLDGMLASNEPIGVQDGSFAYNYLIQELNVAESRIRSLKDSEDYLNALRLGPKLGGVAAIVDELPYIELFMSYTKCEFRIVGQEFTKSGWGFAFQRDSPLALDLSTAILQLSENGELQRIHDKWLASSSCSSKVTEIDVSSLSLSSFWGLFLICGVACFISLSIYFCRVLCQYRRFNPDEEEPHELPEPESARRSERRTFRSTSFKDLIDFYDKKEAEIKEMLRRNRRQVNGHNDSPL >cds-PLY81717.1 pep primary_assembly:Lsat_Salinas_v7:3:30372573:30375213:1 gene:gene-LSAT_3X23061 transcript:rna-gnl|WGS:NBSK|LSAT_3X23061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFSAHSHSSSFAKVTLAHLLKFIPSFNTLPFSSTRFRSTSAPSSRTQLISHIITSFRQKDHDQHLLNHSSISNLRPHEVEPILFGLRATPDLAISFFEWSERHLGFTHHNLESFCSLIHLLLQKRMFDDARGVFDRMTERFRDFDCFHAFHMGLTSYQSNASTVYSFLVDNYCRIGNIDRAVELFFQMSKMGISISPYALMRMMNSLVDMNRIDIILNVYQEITNETRENNDLCSNLYGYVMGGFFKKGDANFGFEFHKAIIKRGLVPNVVTCNKIMKGLCNDKCIGIANDFLSLMTEIGPIPTVVTFSTLMKAYCKETKLKEAFQLYDMMLVIGITPDLVVYSILVDGLFRAGKLDEGYQVILVALDKGIILDVVVLSSMINAYVKKGNLQKGFDVYKKMLDEGIKPSVVTYGILINGLCQIGNLDEGITIFGQILKQGLHPSVLVYTSLIDGMCKSGNLRYGFWLYDEMVTCGYTPDETVYSVLINGLTKQGRMHDAIRFFYKSDLKHGIVAYNTLIDGFCKLNLMKEAVKLYMKMGTYNLKPDIVTYTILIKATIESGRLPEALNLFYQSLKTGLLPDRVTYSVLIDGFCKENNVFIGLWILEMMLKNGVKPDIDVYNILINGFFKTGQLQKALEIFGQVRACGLEPDIVTYNTIMSGYCSLKMLNEAVEVFYKEVKKKANVVTYTILIDGYCKEGKVEDAMSMFLVMLEQGLEPNVITYSSLIDGYFKMLEMETALELHESMLRNEVCPNIVSYSVLIDGLCKRGLMEEALMVFHGALGRRLLPDVIVYGILIHGYCKVGRLGDAVLMYRRMVEQGIVADGFLKGILAEYGVT >cds-PLY86989.1 pep primary_assembly:Lsat_Salinas_v7:5:256967095:256968328:1 gene:gene-LSAT_5X132120 transcript:rna-gnl|WGS:NBSK|LSAT_5X132120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAGNPLNLTSLNHVSFLCRSLQESVDFYTKILGFVPIKRPQDFDFDGAWLYNYGIGIHLIQSENPDKVLKKTEINPKDHHISFQCENMGAVEKKLKEMGIEYRRQRVEEYGIHVDQLFFHDPDGFMIEICNCDILPVIPITGEMGLWSSRIRALPLQAAVTNTTVCSAVKR >cds-PLY71501.1 pep primary_assembly:Lsat_Salinas_v7:2:122285584:122287372:-1 gene:gene-LSAT_2X56540 transcript:rna-gnl|WGS:NBSK|LSAT_2X56540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEEKLRTGNLYHEPTINPGLGTPRCPRCLSLLDSDSDKGEWTITPVLHDATAVAGCGLGGMLSAFYGLNTGMPYLQKHVKGPKWLPFVIGVPPLLMFSAASAALGGYGLPNFTQLSVTSYYAASSASHYGISLITRYIEDTYMTRSQKQRLR >cds-PLY90237.1 pep primary_assembly:Lsat_Salinas_v7:8:14321810:14325460:-1 gene:gene-LSAT_8X13680 transcript:rna-gnl|WGS:NBSK|LSAT_8X13680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTLTRVAVSSSSICLWLLFASSSLYSSCFCNQNSHQIQCIATERSVLIQFKNNLVDRANRLSSWSGDDCCSWSGVVCDNSTHHVQELRLRGPDDGIHGHCHGTYDTDEELEEAKKLMLGGIISPSLIKLEQLRYLDLSCNDFGITPIPAFIGSLQNLRYLNISRSQFTREIPHQLGNLSDLLVLDLHDDPVLGNLQSASLKWIENLKRLQYLDMSGINLAEASDHWLQAINTPSLKEVHFSSCGLTQIPSEPTRVSFTSINVLDLSYNIFNGLLPGWVFSLHDLVSLDLTNGFIGGLNPGTRGGFDSTPSLTSLRVSGNTFVNSSSILNSLYSLSNLRILDVSNCNITEPILGNLQNLSFIVHLDLSNNQIGEVIPKSLSNLCNMTTLDLQSNNFSGDVTELLERFCECESPKLELFALRGNYVTGRLPEKLGRLKNLGSIDIAYNKLTGILPRSLGSLSLLKTLQLNINQLEGSIPDSVGDLSSLNFLDLSFNKLNGSLPQSIGKLGKLTFLTLHHNSLTGIVTENHFANLTALTTLWVGDNKLSFDLVNNWIPPFQLNVLRIGSCSLGPLFPSWVQSQTSLAELDLANANISDTMPNWISTSFSSVTYFNISHNNIMGKLGDVSFLTAAAILDLSSNHFHGELPRDFNKPDLGFLDLSYNNLSGSLDQFLCDGIQESRQLSVLNLANNNMSGGLPDCWMNWEYLVFLNLEKNKLSGKIPSSLGNITSLQSVDIRNNKLSGEIPMSLLNSKSLLIVELAENELTGRIPTSIGRDDTSLKILSLRSNKLEGEIPNELCHLSSIQILDLADNSLSGYLPKCFTNFSVISGKETSSPIVPYDVLFQNQVLGSLKMREPFHETRSSIDQDQRFDLSQYIFDERDGFKHHTSSYEASSSTQPPHQPDSL >cds-PLY96942.1 pep primary_assembly:Lsat_Salinas_v7:4:173861033:173861434:-1 gene:gene-LSAT_4X102080 transcript:rna-gnl|WGS:NBSK|LSAT_4X102080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQVAGKLKLEPAQFAELEAFAQFASDLDKATQNQLARGQRLRELLKQSQSAPLGVEEQFQEIISSTKTFTEEAEAILKEAIKEQRERFILQEQAA >cds-PLY96481.1 pep primary_assembly:Lsat_Salinas_v7:2:124633213:124633968:-1 gene:gene-LSAT_2X57600 transcript:rna-gnl|WGS:NBSK|LSAT_2X57600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIDKDDVVHGTGDDDEETDTKDLSLLQSSKYTREANVDDVDHLINDAFQHDSPPVSPLRITTFESHAEETCNMDVPMNTYNVEIYIMTNETPSTSPPTSTIVIPPKVPKVESNKEETRSQDILDKASNVDSNVNIGVTSAPETFTGLLPPPNSPIKSTFVQTTPIPFHSPTFDGILHQPITSLFSSHSTDLSTDQVMDNSHNMYSDEEGFHYGSLTLDPNEENILDEAHMPGKQYKILNYKMNMIVTSQK >cds-PLY71138.1 pep primary_assembly:Lsat_Salinas_v7:9:82247040:82247441:1 gene:gene-LSAT_9X65740 transcript:rna-gnl|WGS:NBSK|LSAT_9X65740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNKPLGKCWLLRKVNRSVQFGFCRFKVTVEELGHALLSQVFKIILQSHTCKLPSLHRQLQFVTELGKIEIFGVSHGTFFVEVLVLFTTFDSYAMTLKSQMRLFAC >cds-PLY92098.1 pep primary_assembly:Lsat_Salinas_v7:4:106505077:106505869:1 gene:gene-LSAT_4X69101 transcript:rna-gnl|WGS:NBSK|LSAT_4X69101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFGEIYPSELTFIFEPKKQISCMLNLTNNSNRYIAFKVKTTDPKLYCVRPNKGILKPDSTCEIKVTRQSCTILPTTDTIVKQKFLIQRVFASEDMTLEDIDSLFNSKDTHNEKKLKVVITDVIPKMVEMKSKSEEQDLTADIVKEIVQMKSQIKGLNMLLKEAEETISKLKEQKSDCGCKGKKRRHCLYIKCFNVKLA >cds-PLY97231.1 pep primary_assembly:Lsat_Salinas_v7:1:43078510:43081415:-1 gene:gene-LSAT_1X37420 transcript:rna-gnl|WGS:NBSK|LSAT_1X37420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTKQESKWNATHNRNSWLYQGQALQWAIYRYNVFWLPLLAKHSESKITEGPLVVPLDCEWIWHCHRLNLVRYKSDYEEFYGSILENSNVVSSINRRTSRKETEETWNKLYPNEPYEYDMSRASSSEFSETLYNGGAQSFSKYDFVFAVERQSPFFYQTCDQKRKRFPIRPTFHTLFKV >cds-PLY79350.1 pep primary_assembly:Lsat_Salinas_v7:9:53633124:53634253:1 gene:gene-LSAT_0X17920 transcript:rna-gnl|WGS:NBSK|LSAT_0X17920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHAGIKEASQEKYISILNIIQGEVDPPQVHDSLQRIRERKLVNFIEWAPASIQEDELSKAIISYRVEADTWNAFVEFLEAAWDFQYSFMEEKEKKVKNQGSYYLWCSR >cds-PLY73922.1 pep primary_assembly:Lsat_Salinas_v7:3:39365880:39366143:-1 gene:gene-LSAT_3X29920 transcript:rna-gnl|WGS:NBSK|LSAT_3X29920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTTIAFTNAPTIEALKLEPSLDGPSLGDMSVAPASFEAAGVAAESPFAAPASPAGVEAESPFEGGSSSAADAIPTMANKTSAKMAN >cds-PLY65767.1 pep primary_assembly:Lsat_Salinas_v7:5:268900475:268902861:1 gene:gene-LSAT_5X139800 transcript:rna-gnl|WGS:NBSK|LSAT_5X139800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRSAAKRLLPYSYFSVKHCLQTSRLPITTPRLRFRFLSSTTSDSPFPNGFNTNTNTTTSSSSTQTDEFHNPSDSGASRRTPPRANYEEEQARVLAASLLHVARLGWTEAAMIAGARDVGVSPSIVGAFSQKEGALVEYFMDECLKKLIDAIDSGELQLQDLVPSERIAKLVRYRLELQAPYISKWPQALSIQAQPSNFTTSFKQRAMLVDEFWHAVSDEGNGVDWYLKRTVLGGIYSTTEIYMLTDNSPDFNDTWVFLNERVRDAFDLKKTFQEVKYFAEAVGAGVGGSFQGFMKKDENQEENIACGHVKSKILVMIMVNIKGLKYGVKVQEISEWVPKIVNDDDEITDAKGEGQDDFDERSENESDDGGDSEHDDEYIVSEGIIGTFEAKHPSIDAID >cds-PLY67691.1 pep primary_assembly:Lsat_Salinas_v7:4:3088648:3088917:1 gene:gene-LSAT_4X900 transcript:rna-gnl|WGS:NBSK|LSAT_4X900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQEVGKYESRDEEPKKKLEVKNALENYTYNMRNTTKDEKLGEKLTPVDKKIEDAIDEVIVWLYTNQLAEGDEFKDKMKELFPILKLLG >cds-PLY65276.1 pep primary_assembly:Lsat_Salinas_v7:1:151581995:151582853:-1 gene:gene-LSAT_1X106020 transcript:rna-gnl|WGS:NBSK|LSAT_1X106020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTIRKNGFSLEKDLDAPPGQRYKMKVVFFSKNITFGVADVKELISILADSQRECSMMGAYKMHAFLMTSNPGWKAMNASSEVDNARKVQNGSEAAADLQISLMIGQRLMDNIFMRSFTSKPKNPASDNVVQATPSQL >cds-PLY65100.1 pep primary_assembly:Lsat_Salinas_v7:4:5176419:5179155:-1 gene:gene-LSAT_4X2661 transcript:rna-gnl|WGS:NBSK|LSAT_4X2661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEYGRPFIILREQESKTRLRGLDAQKANIAAGVAVSRILRTSLGPKGMDKMLQSPDGDITITNDGATILEQMDVDNQIGKLMVELSRSQDYEIGDGTTGVVVLAGALLEQAEKLLERGIHPIRVAEGFEISSRIAVDHLEQIAHKFDFNATNIEPLVQTCMTTLSSKIVNRCKRSLAEIAVKAVMAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGIIVDKDMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRKQEQKYFDDMVQRCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTTEKLGKAGLVREKAFGTTKDRMLYIEHCANSRAVTVFIRGGNKMMIEETKRSIHDALCVARNLIRNNSIVYGGGAAEISCSIAVEAAADKYPGVEQYAIRAFADALDSVPMALAENSGLSCIETLSAVKAQQIKENNPCCGIDCNDTGTNDMREQNVFETLIGKQQQMLLATQVVKMILKIDDVISPSEY >cds-PLY95043.1 pep primary_assembly:Lsat_Salinas_v7:5:227097868:227098845:-1 gene:gene-LSAT_5X106901 transcript:rna-gnl|WGS:NBSK|LSAT_5X106901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSENERAQNAFVTFKEPQGAETAVLLSGATIVDQSVTIVLAPEYTLPPFTTTLSDQQNTATGGQGVGGAESAVQKAEDVVSSMLAKGFILGKDAVNKAKLFDEKVQFTSTAAAKAATIDQKIGLTEKINLGTTLVNEKVKEMDQKFQVSEKTKTAFATAEQTVSVAGSALMKNRYVLTGTAWVAGAFSRVTKAAGEVGQKTMEKVAVEEQAAGTGTTRTTHQEPPPTSTHPATS >cds-PLY66830.1 pep primary_assembly:Lsat_Salinas_v7:7:17050734:17054357:1 gene:gene-LSAT_7X13681 transcript:rna-gnl|WGS:NBSK|LSAT_7X13681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRFQAVALVASPTYPNAISWSNENLVAVASGHLVTILNPAMPSGPKGLITIPTSKPFSFGVIERKDLLSGCMLPICLSRDIRPCVRSISWSPLGLAPNSGCLLAVCTTEGVVKVYHSPFREFSSEWVEVLDVSEMLHSYFEKIRYGESDSDIPFLEYNDDMPISKLLIRIKDKRQNLLEYHKRPSISAQQYASRSAMLSSLVVSWSPMFHGDTSSKGCSILAIGGKSGNISFWRVHEPQCYSITQRSKPPGALLIGLIQAHDSWITAISWSKFDSQLLLSTGSSDGSVKIWRGYTDDLAKSTEDGDAAFSLLKDVIKVGLGPTSVLSLIVPEASPQKILLAVGKGSGSLQVWIYDTLIHKFDGIAPLSGHDQIVTGLAWAYDGHCLYSCSQDNSLNSWIIKGDSLHRVSLPPNILGVKTFTDVPNVSDACFGIAVSPANLVVAVVRSFDVNLLNPMYEARSQKAAVEFFWIGGQNLGILKDEESDDENFPGFPNMDLVNFGQNILWSLNQYENLHKPLVLWDMIAALSAFKDSQPNYVEQILVKWLISNLKFEWGPPEIILPRVNTHLSNLTSRQLHLLNVMNRHVLLRETELDNNNGEQDLKFWIKILEMSEKELRERLVGCSFSATVKGYNGNLHPVGLAQMKSWVANNERVVKDYVKLLASKVKKIEKRYVGEEECSYCSSSVPFEDTEVGFCKVENHKLARCAVSMVVCPLTPLWFCVSCKRWVSNFAPESLFKLTRYPPTVDFEKRCLTFQNGEILLKPLCPFCGVLLQRLQPEFLLSTTSV >cds-PLY72738.1 pep primary_assembly:Lsat_Salinas_v7:4:373497867:373498796:1 gene:gene-LSAT_4X184420 transcript:rna-gnl|WGS:NBSK|LSAT_4X184420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKTEDSDIKLTEFGLSNFIKPGDSYLIPGTDGVRTKLKLALETGIHDSIGIDLVGMSVNDIITSRAKPLFFLDYYATSRLHVDLVEKDIKGFVDGYQQFDCALLGGELKAPMKVFGDLHGQFGDLMRLFDEYVFPSTSRDNVHMLCF >cds-PLY80490.1 pep primary_assembly:Lsat_Salinas_v7:2:136634724:136638081:1 gene:gene-LSAT_2X67361 transcript:rna-gnl|WGS:NBSK|LSAT_2X67361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:4-alpha-glucanotransferase DPE1, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT5G64860) UniProtKB/Swiss-Prot;Acc:Q9LV91] MSVDAYAVGEDLPVDYADWLPKAEPGDRRRAGVLLHPTSFPGPYGIGDLGDQAFQFIDWLHEAGCSLWQVLPLVPPGRKANEEGSPYSGQDANCGNTLLISLDELVKDGLLMKEELPEPLDSDRVNYDTVASIKDPLIAKAAKRLISSEGHLKRQLENFQKDPDVASWLEDAAYFAAIDDTYNTYNWYDWPEPLKNRHLSALEDIYQSKKDFIDIFIAQQFLFQRQWKNIRHYAQTKGISIMGDMPIYVGYHSADVWANKKYFLLNRKGFPVLVSGVPPDAFSETGQLWDSPLYDWKAMEEDKFSWWVNRLQRAQDLFDEFRIDHFRGFSGFWAVPSEAKIATLGKWKVGPGSSLFDAIFRAVGKINIIAEDLGVITEDVVQLRKSIGAPGMAVLQFGFGSDSANPHLPHNHEQNQVVYTGTHDNDTIRGWWDALQQEEKCNVLEYLSISVDDDISWALIRAALSSVAQTSIIPMQDILGLGSSARMNIPATQSGNWGWRIPNSMSFSSMETEAKKLRDMLLMYGRL >cds-PLY98331.1 pep primary_assembly:Lsat_Salinas_v7:7:173707765:173710096:-1 gene:gene-LSAT_7X103160 transcript:rna-gnl|WGS:NBSK|LSAT_7X103160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGLQHGRSSGGEDRFYMPAKARRIRQHQENLRRAQSNVTPTQSTTSSVREESENQLMQPSNPEPLESSVVAVPATSSLCNLERFLETVTPSVPVQHLSKQRTMRGWRTTDVEYQPYFVLGDLWESFKEWSTYGAGVPLILNEANCVIQYYVPYLSGIQIYVEPLKSSINSRQLTEDIDDNSFTDSSSDGSSDYEQEKGSLHYLREKSNNHHPKNDILHRMDHLSMNDENNVVQEDFSSDDSDSATTQSCLIFEYMEHSQPLGREPLCDKILDLAQRFPELKSLRSCDLLPSSWLSVTWYPIYRIPMGPTLKDLDACFLTFHSLHTPTTGNECEHPPVVRHFSGTGVVSLPAFGLASYKFKAPLWVPNELKRLECPDGLFTILYVFVTYIEVLVLKRLECPYHVMCQ >cds-PLY65447.1 pep primary_assembly:Lsat_Salinas_v7:9:184341511:184342056:-1 gene:gene-LSAT_9X113420 transcript:rna-gnl|WGS:NBSK|LSAT_9X113420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNQFENLIESIKSKVKALKRKKSKKVYVKMDKTNSVRVEIRSRHARKLIDKTLKAADKPGKRPV >cds-PLY63332.1 pep primary_assembly:Lsat_Salinas_v7:9:134237235:134237840:1 gene:gene-LSAT_9X86401 transcript:rna-gnl|WGS:NBSK|LSAT_9X86401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGFNDSSTPARELFTYTEDTFLNASKANISSFVSVRLSSDETYPLWKTQMLCILKIHNMVGLVDDKVDLPTASSEEIKDKYNTLLKGWIFGSINQNLLIHVIGFNPVKDVWIKLQNIYDPPDSSKEGLRAASC >cds-PLY99925.1 pep primary_assembly:Lsat_Salinas_v7:7:16382896:16383902:-1 gene:gene-LSAT_7X14141 transcript:rna-gnl|WGS:NBSK|LSAT_7X14141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYLGVGVSPGNVPVYHGSDLKVVDRRVRVAELILRSVICGLALVAAVLVATDTQVKEIFTVRKEAKFTDMKSLEFLVVANGIAAAYSLIHIVRCVVGMIRGSVVLNKALAWVIFSGDQVMAYMTVAAVGAAAQSAAFAKLGEAELQWMKICVMYDKFCNQVGEGIGSSVIVCVSMVMVSGLSAFSLFRLYGGGNKRGRKTSAAW >cds-PLY65134.1 pep primary_assembly:Lsat_Salinas_v7:2:75691236:75692213:1 gene:gene-LSAT_2X33241 transcript:rna-gnl|WGS:NBSK|LSAT_2X33241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCNNKSYCNKSNVGIRSLESDKQVHKGSHKSYDNLSGKKDIKTISQQQNVILAVTCLLERLRGASSASEPSSQKVKGQIIYLEPHETGMVIDFCMHLL >cds-PLY69046.1 pep primary_assembly:Lsat_Salinas_v7:9:142714530:142719921:1 gene:gene-LSAT_0X15220 transcript:rna-gnl|WGS:NBSK|LSAT_0X15220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSNQSLKDLQWLFQSIQTESLNLKSISFHLSQPTSCCHQETQDSIHINISSDSHFQFSKILTLLSTSSKQNLLQNVEFYQVEWQMEEMTSLEVLLDGSENVKQLAFKSNRLNADCMGKLSKMLRKNESIKEIILSESRIGSFGATLIASALKVNSCLEELQIWEDSIGSKGAEELSKMIEANSTLKLLTIFDSNSITATPLISAVLARNRSMEVHLWSSERNRNNSSKIVEFAPESQTLRIYRLSTSGACRVAGALGWNTTVKSLDLTGVRLKSRWAKEFRWVLEQNRSLREVNLSKTCLKDKGVVYVAAGLFKNRCLESLRVDDNRFGGIGVEHLLCPLTRFSTLQIQANVTLKSITFGGRRTKIGRDGLIAILQMINTNQSVTRLGIYEDQSLKPQDIIRIFKNLERNASLKCLSLQGCKGVDGDLVLKTIMETLQVNPWIEEIDLARTPLQNSGKAEGIHLKLGQNAKSEPEVEVDLLKDMPMTVPKSCRVFICGEDFAGKTTLCNSISQNFSSSKLPYIEHVKTLVNPVEQAIRTSGMKVKTFKDEDTKFSIWNLGGQHEFFSLHDLMFPNHGSASCFVIVSSLFHKPNNKEPKTPLELEEELQYWLRFIVSNSRTSSQQCMILPNVTMVLTHYDKVDQLTDIQNTMNVLQRLRDKFQGYVDFYPTVFTVDARSSASVSKLTHHIRKTSKTVLEKVPKVYELCNDLIKILSDWRSENHEKPSMKWKEFNDLCQIRVPSLRVQSRNNQMIKKMETRRKAIAMCLHRIGEVIYFEELGFLILDFQWFCGEVIGQIVRKLSCNEQNYGGFVSRKDFEKILKGSLHSQIPGMGSRIFENLDASDLVKMMIKLELCYKQDPYEEESLLLIPSLLEESRTRTPKWELITSDCVFVGRHLECHDSSHMFLTPGFFPRLQVHLHNKIIGLKSHHGATYSLEKSLILININGVHIRVELGGQLGYYLDVLACSTKNLTETLKLFRELIYPAIQSLCNGVTLTENILRPECVKNLTPPRHRKTQFVPLAKLKQALLSVPADGMYDYQHTWTPVFDSGRQVVRAGFDFARDLLSDDDFREVLHRRYHDLYDLAMELQVPTENNSDEPIHDETGKIDPSFAGIAKGVEEVIHRLKIIEQEIRDLKQEIQGLRYYEHRLLTELHRKVNYLANFNVQIEERKVPNMFYFVRTENYSRRLVTNMISGMTALRLHMLCEFKGEMHVVEDQMGCEMMQIDNRAVMSVAPYMKGFMKLLTFALKIGAHIAAGMGEMIPDLSKEVAHLAGNPLMLGAAGAAATAGAFGAVGAAVAGRSRGRSTNVQQDMKAAQQWVVDFLRERGCSTGKDIAEKFGLWRVRYRDDGQIAWVCRRHMYTRANEIVEVPL >cds-PLY81698.1 pep primary_assembly:Lsat_Salinas_v7:3:32666299:32667458:-1 gene:gene-LSAT_3X24501 transcript:rna-gnl|WGS:NBSK|LSAT_3X24501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDRVYPSSKPNGNTTAATTNTRLPPPPSKAQQPNRHPYRPNSVTNQRTRRRSRRGCFCLCCFWSVLIITLLILISAIAGCILYLLYRPHRPTFSIASLKISEFNLTTTADDTTRLTSNLNLTISSRNPNKKITFYYDSISITCLSEGTQVANGNFANSFVSNPNNITIIRSSLSSNSQLLETETVNRMRSDLKKKSGLPLKLLLDTKVRFKIESIRSKKIGIRIKCEGIHSLIPKGGSGNSRNSSSSSVAATVSAAKCKLDLRIKIWKWTF >cds-PLY96735.1 pep primary_assembly:Lsat_Salinas_v7:6:77487315:77490469:1 gene:gene-LSAT_6X55701 transcript:rna-gnl|WGS:NBSK|LSAT_6X55701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPPADQAADLLKNLSLDSQSKTLEIPEPTKKPSVDTTDTGNGQNQPLDRSITPLIPDFMDPTVAYFPNGYASTAYYYGGYDGTTNDWDDYSRYLNPDGVDMSHGVYGDNGSYMYNGYGYAPYGPYSPAGSPVPTVGHDGQLYGAQHYQYPSPYFQPITPTSGPTIPPKGEITTTASSDQPPLPVDTANGNSNTIVNGVKGTTGQTQVRPTQTQTQYQNSNFNGNGSYGRGAQNGYQDPRYGFDGVHSPIPWLDTPLYSDAQARNNSNIAPVSNNGVASRNQNLRPHSHLMGLQSPRPMSGVNTTGYMNRMYPNKLYNQYGNTYRSYGYGSSGYDSQSNGRGWLTGDNKYKPRGRGNGFFGYNNENGDGLNELNRGPRARSVKNQKILTPVTLAVKGQNIPFTTPSEEKEVEVKEKVSVSVSVTPDREQYNHTEFPETYEDAKFFIIKSYSEDDVHKSIKYNVWASTQNGNKKLEAAYQEAQQKPGRCPVFLFFSVNTSGQFVGVAEMVGPVDFNKSLEYWQQDKWIGCFPVKWHIVKDLPNSLLKHITLENNENKPVTNSRDTQEVKLEQGLQMIKIFKDHSSKQCILDDFEFYEDRQRRIQEKKAKQQQFQKQVYCIIK >cds-PLY66884.1 pep primary_assembly:Lsat_Salinas_v7:7:17248193:17249186:1 gene:gene-LSAT_7X13541 transcript:rna-gnl|WGS:NBSK|LSAT_7X13541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEQPQIVEHVVLFKVKPDADSSKLAAMVSGLNGLASLNLTLHLSFGQLLHSRSSSLTFTHMLHSRYRSKEDLREYAVHPEHVRVVNENKPIIDDVMAVDWMSNGGSVSPKPGSAMRVTFLKLKENLGENEKARVLEVIGEIKDQFQAIEQLSLGENFSHDRAKGFTIASIAVLPGQADLEALDSNSEIVNSQKEKAGDSIDSVVVVDYVIPPPQEANL >cds-PLY85609.1 pep primary_assembly:Lsat_Salinas_v7:9:97845879:97853185:-1 gene:gene-LSAT_9X72780 transcript:rna-gnl|WGS:NBSK|LSAT_9X72780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVDANSQAQFVPRDRRPDALGGFHVLPDEIVCSILTLLSPRDVARLACASSVMYILCNEEPLWMNLCLNNENHELQYKGSWKRTTLNRLQVLSKCDDDPYRKPLHFDGFNSLFLYKRLYRCYTQLNGFAFDQGNVERKTDISPDEFLQQYDAHKPVLISGLADTWAARKTWTPEQLLLKYGTTPFRISQRSAKKLSMKFEDYVSYMQEQHDEDPLYIFDDNFGEVAPDLLKDYNVPNLFPDDYFDVLANDQRPPFRWLIIGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGRVPLGVTVHVNDEDGDVDIETPSSLQWWLDFYPLLADEDKPIECTQLPGETIYVPTGWWHCVLNLETTIAVTQNYVNSKNFEFVCLDMAPGYQHKGVCRAGLLALSEGHNGEFSSMDDNDNELSRKEKRVKIDDHMEEFCYDINFLTMFLDKDRDHYNSLWSTSNCIGQRELRDWLWKLWIGKPGLRDLIWKGACIALHANKWSNCMEELCAFHNISLPVDEEKFPVGTGSNPVYLVADNVVKIFVEDGLEASIHALGTELEFYSIMQRSDSTLKHHVPNILASGIVFIENGKYKIVPWDGKGVPDVITKNSTIQEIFSDTEYPFGIWSKKLFEYRKADMSLDELVISGGQTNIWPYIITKRCKGKIFADLRDTLSYKEVSNLASFLGRQLHHLHVLPVTPLNDSAYLQMGKELGLNHENDFNEKTNLPKASKVFFTILNRKKKDVSSRLTKWGYPIPSALIEKVNEYLPNDFVELHSLFKDKNGLFQVRNSCSWVHTDIMDDNIHLEQCSSGVNGSNNDKEISSWLPSYIYDFSNMSLGDPILDLIPIHLDIFRGDLQLLNLLLENYGLPFGKRNSWDNGSVVRRISYLTMCYCIIHEENILGAIFGMCKELRSAKSWEEVEETVWGALNNYF >cds-PLY63392.1 pep primary_assembly:Lsat_Salinas_v7:7:149803874:149804035:1 gene:gene-LSAT_7X88720 transcript:rna-gnl|WGS:NBSK|LSAT_7X88720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMCRSDGGVDQNDIRTDGSNTKCADDDYEDDGAQVTTTVMFFSISVAPTMVVK >cds-PLY63290.1 pep primary_assembly:Lsat_Salinas_v7:3:90247947:90249390:1 gene:gene-LSAT_3X69520 transcript:rna-gnl|WGS:NBSK|LSAT_3X69520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATDERNHYTAKRKRDINEDVDDSPAVANGGVDFSLLEEIEKSQNSVEVLDVKTLKKLVLSFERRLRDNIAARLKYPDQPEKFADSEIELHEEIEKLKILAGAPELYPDLVNLNTIPAILDLLTHDNTDIAIDVVGLLQDLTDEDVLDENDEPARILVDCLIENNVLESLLQNLLRLSETDPDEVAAVYSTLSTIENLIEVKPAVAEMVCERTKLLRWIIGKIKVREFDSNKQYASEILAILLQSSVANQKRLGQMNGVDVVLQAVAMYKSRDPKSLDEEEMVENLFDCLCCLLMPLENKERFLKAEGVELMIIIMNQKKLCYGSAIRALDFAMTNYPPACERFVDVMGLKTAFPAFMDSNNQKQEEI >cds-PLY69793.1 pep primary_assembly:Lsat_Salinas_v7:4:244793953:244794565:-1 gene:gene-LSAT_4X130720 transcript:rna-gnl|WGS:NBSK|LSAT_4X130720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELDGLRFRGWSDQKHVVVNKCIKIRNPNILLRNGLMKLFFLEAEHEGKTMLEEASALGHLDSTFVLGMILMAEGIHRKKETLDMLNNAYRRAKGKCNLRATCSKVHLHLNRDGRKHEHFHGIHRTCAMHKSMISVLDAFVNGYKWVFECEICLWGACFFNFSREFGIIYE >cds-PLY73227.1 pep primary_assembly:Lsat_Salinas_v7:8:178877496:178883782:-1 gene:gene-LSAT_8X116121 transcript:rna-gnl|WGS:NBSK|LSAT_8X116121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Solute carrier family 40 member 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26820) UniProtKB/Swiss-Prot;Acc:Q8W4E7] MVAVFVSPTTRVSSTKMFSSLSRRQCDAYTYSSITLRSSPRRLIPRFTNLRLNNFCTRCSIMTNTELLNNVITEDVHHDPSIDASSCSVPIVHLNSETHDTESANLLVECTFVDMILTTLPILSEEEQEVIAAMPAHPAGLYALYASCLAGNLVEQLWNFAWPAAIALLHPSLLPVAVMGFCTKVAVIAGGPLVGKLMDLFPRVPAYNFLTTIQASAQLLSAGMIIQAHMAHASLETSVLMRPWFIILVLAGAVERLSGLALGVAVERDWVVLLAGTNRPIALAQANAILSRIDLLCEIAGASLFGILLSKYETITCLKLAAALMMWSLPVVIGLTWLTNMLSSGVLDRAKCPQTCSKEHMPHAHNLVDMSMGAIKHGWDEYMKQPVLPASLAYVLLCFNVVLAPGGLMTAFLTQHGLSPSLIGGFSCLCAFMGVGATFMSAQMVKQLGILKAGAAGLILQASLLTMAVAVYWSGTLSKQTGLLFFLGFIVLSRLGHMSYDVVGAQILQTGIPPSKANLIGATEVSIASLAESIILGVVIIANDVSHFGFLAMLSLLSVVGAACLFCRWLTNPTDVQRSLFAF >cds-PLY81012.1 pep primary_assembly:Lsat_Salinas_v7:9:177566631:177569353:1 gene:gene-LSAT_9X108961 transcript:rna-gnl|WGS:NBSK|LSAT_9X108961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSMAALRSIFMDGGCFSRFQEESKSLKIRKMPTRFSVVSAAQKSDKSIKVGILGASGYTGVELIRFLANHPYFDISLMTADRKAGQSIGSVFPHLITQDLPDLVAVKDADFSTVDAVFCCLPHGTTQEIIKGLPSNLKIVDLSADFRLRDINDYGEWYGQPHKATELQKEAVYGLSEIYRKKIQNARLVANPGCYPTTVLLPLVPLMNASLIALENIVIVSNSGVSGAGRSAKEANLYTEISEGIFSYGITRHRHVPEIEQELSNAANSNVTISFTPTLMPMSRGMQSTINIQMAPGVSVEDLKQQLSRFYENEEFVAVLPDNQAPHTKYVQGSNACHINVFPDRIPGRAIIISVIDNLVKGASGQALQNLNLMMGIPENTGLKCMPLFP >cds-PLY97450.1 pep primary_assembly:Lsat_Salinas_v7:1:214749648:214752543:1 gene:gene-LSAT_1X130700 transcript:rna-gnl|WGS:NBSK|LSAT_1X130700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIIISLVRSFHRPLCSSSSSTPKHLLKSRICPLWSLSFLFCLPPPPRSTILRCCDSHSLSPAAALRRGSFCSATLPSSMSMVSDDNPLLKDFVFPPFDAIDDCHVRPRMRALLKKLDSDLVELEKTVEPSWPKLVEPLEKMLDRLSVVWGAGETCAAEGKEVITAKTQEFLALLPIVRDHIIDGFSPEAREVFHREFDLFEKVTPISGALYPLPKEERRAGINMYISVVSFISGEPSMAGVKSWHQELSRNMIKLVKKRCLLPLRCFVDSLQWSTMGICGGLYAL >cds-PLY69517.1 pep primary_assembly:Lsat_Salinas_v7:6:44091671:44092615:1 gene:gene-LSAT_6X32381 transcript:rna-gnl|WGS:NBSK|LSAT_6X32381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METSGKVKKGAAGRKAGGPKKKSVSKSVKAGLQFPVGRIGRHIKNGRYAKRVGSGAPIYLAAVLEYLAAEVLELAGNAARDNKKKRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKSLETATKEPKSPAKAAKSPKKA >cds-PLY97904.1 pep primary_assembly:Lsat_Salinas_v7:4:89886463:89887934:1 gene:gene-LSAT_4X59081 transcript:rna-gnl|WGS:NBSK|LSAT_4X59081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHLLIGSGLVNPSKPILNSLLENNPPAQIVQSLIKSCAKDVKFCSSESLVVFDCVLGWYCEKGLCFQALEVFNLTRDLTSDASEVFPIRSYNTLLNALQENNEVKLGLCFYAVVIRHGVLIDGFTWRILAKIFGKQGKIEAMLRIINMGMHDPLIYDLVIECGSEMGMFKVALHMFDEMSKRNLNPGFNTCVSILNGACKYKNEEVIKFTMDSMVEKGYISKPLINHDSLIQNLCNMRKTYAADMFFKISCDLQNSLQNKTYGCILQALSMEARVKDAIETHQVIENRGIQVNPVFYNEFINILCNEYPSKAINTLLIDMISKGYKPSSLALSNYIISQCKKRKWKEAEELANLAFQESIFLEALCCGFLVKHYCKRTQIDLAINMYDQMEENGLTLDSTTYNLLLNGLVEVFRVKDAERIFNYMRIKNLVTSESFVIMINGYCRGNEMRKGMILHDEMLQIGLKPSAKLYKQLICNFR >cds-PLY76017.1 pep primary_assembly:Lsat_Salinas_v7:5:320607723:320609492:1 gene:gene-LSAT_5X175601 transcript:rna-gnl|WGS:NBSK|LSAT_5X175601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANNNLQHSGSIPPPKPWEGVGSSSGPAPFKPSYPGSTSDVVEASGTAKPGEIVPTKDASTTNPNAFGRPWMHQNTYGGAGYGLYGNNAYGGSYGSYGGIGSYNTGLYGGGYGGIYGGGGGMYGGGGMYNTSFGGPVGGGYGMGMGGPYGGGQDPNNPFGAPSSPPGFWISLMRVMHGVVTFFGRIAMLIDQNTQAFHMFMSALLQLFDRSGLLYGELARFVFRLLGVKTKPNKIQPTGPSGPHGPQNYIDAPKGAPVAAWDGLWGNNAHN >cds-PLY84209.1 pep primary_assembly:Lsat_Salinas_v7:7:78107889:78110144:-1 gene:gene-LSAT_7X55861 transcript:rna-gnl|WGS:NBSK|LSAT_7X55861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSSQTWIQALFYLALITVSLYFLQFYILSDRLMVSHHKVTLKKHPNLPLRFNSDGTFKILQVADMHYGTGLTRCRDVLTSEFEWCSDLNTTLFLKRMIDAEKPDFIAFTGDNIFGSSTNDAAESLFKAFGPAMAARLPWAAVLGNHDQESTMTREELMSFISLMDYSLSQPNPLDPTKQQVTTNIDGFGNYDLRVRGPPGSHLANQTILNLFFLDSGDRAVVDGFKTYGWIKESQLSWLRGASKEHNQEKYESEVSTSPIPSLAFFHIPIPEIRSGQFREIFGQYSEHVACSMVNSGVLKTLVSMGDVKAVFIGHDHLNDFCGNLDGVWFCYGGGFGYHGYGRAGWPRRGRVILAELKKGKSEWMGVGSIKTWKRIDDKKLTKFDEQILWTSSGHPF >cds-PLY82787.1 pep primary_assembly:Lsat_Salinas_v7:2:147083998:147084381:1 gene:gene-LSAT_2X74220 transcript:rna-gnl|WGS:NBSK|LSAT_2X74220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHLSYTRVRRRSYGTKGFRLNSKRFSVQRLRAKFFNFFRILMRTWRSSSYSKRTTMSCSNLSYGSSRRDFVAKENASRVDVCRLKSFTRSNSFYSEAIEDCLEFIKRSSVSLDEKPETCIMIKIEK >cds-PLY65381.1 pep primary_assembly:Lsat_Salinas_v7:6:40184446:40185273:1 gene:gene-LSAT_6X30521 transcript:rna-gnl|WGS:NBSK|LSAT_6X30521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDPSQVVDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIAKVMRPRSMAKELAGTVKEILGTCVSVGCTVDGKDPKDLQQEIADGDVEIPLD >cds-PLY93295.1 pep primary_assembly:Lsat_Salinas_v7:4:300729523:300733441:-1 gene:gene-LSAT_4X150641 transcript:rna-gnl|WGS:NBSK|LSAT_4X150641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSIHKSFKYDVFLSFRGEDTRTKFVDHLYHALQQKSIRTYKDNEKIKKGKKISEELIESIEDSKFYVIVFSKNYASSSWCLDELMKIMECHRTTEHTAYPVFYDVEPSEVCKQSGAVEEAFAKHEKEEAAGKWREALKEAADLAGWELKNTANGHEAKFIQKIVEELSQELHSISFNIDKKLVGMESRMKEVVSSLRIGFDDVCMMGIKGMGGGGKTTLARAVFDQISFQFEGKSFVENVREVSTASFSGLKSLQNQILSDVLNDKGINVNSVYDGKHVIKKMMPNRKVLIVLDDVDHIDQLEALAGEPNWFKPGSRIIITTRDEQVLLAHRVNVIRDVNLLSFNEAICLFNRYAFGKEIPVHGYNELSRQVVCYAAGLPLTIRVLGSFLCGKNEFEWIDALERLKTIPEKETLKKLEISYIALEEDYKEIFLDVACILKGWQKDLAIKVLESCGFHARNGLRVLEQKSLIFFDDKRVQMHDHIVEMGRNIVRRRHPNKPHKHSRLWIEDEIQEILANDLGTKATRCILIHHMKLDPHILIKGLRKMKELRFLYYVVGNHFRDLEFNNKAIPDLPNALRCLCVNYYPFRSLPTTFQANNLVALEMVLNKLRFLDLSYSQRLNTLDIGLTPNLETLNLRHCYDLVEIQMSGGCLNLISIDLSYSSRLRTLDLGLAPNLETLILTECRDLVELHMPSRCLYLRSLQLTNSKLRTLDIGSTPNLENLKLGYCYYLEELHMADECQKLENLDISYSKLRSLNLGLSPNLRNLDLNGCYNLVELHTPIGCLEKLAHLHLSGCLGFRSFQFNLKHYTSSRVDESLEVGPLAELDLIVESVERCPLHPDNSLPKFLFGLVYKEDRLSLTRNLEILISVGMCACTNLETFSGRICGLRRLRNLKLEGSILEVPKDLGQLECLEELTLWRTDIKHLPNSICMLKHLKSLKLISCLLLEKLPKDLGRLECLEDLTLFSLGITHLSESICMLKALKSLKITHCRLLEKLPEDLGRLECLEKLDLSYTTIKQLPGSICMLKHLKFLELMYCWLLEKLPDDLGRLECLEKLSLRGCKLLQDIPNNICMMKCLKVLNIKGTSISHLPQSILLLKGLCIFAPRWLLQSCGFTSEIQGRVYETDVYAMVG >cds-PLY78636.1 pep primary_assembly:Lsat_Salinas_v7:4:150556304:150556615:-1 gene:gene-LSAT_4X92521 transcript:rna-gnl|WGS:NBSK|LSAT_4X92521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFHFTILEKHFSYFPSSFLLLSPVTPTTAAPTTDKQHYSNDFPPPPLFTLATVSFVHFRYPHLRSPMNQQICIKSDLIYIRSTRNLTGSTSDRDLETATTNQI >cds-PLY80233.1 pep primary_assembly:Lsat_Salinas_v7:6:125603928:125604431:1 gene:gene-LSAT_6X77000 transcript:rna-gnl|WGS:NBSK|LSAT_6X77000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGYSTVVDPFKQLEGLLDVSQGGSTSSSSSIPTNGHDQNLLIINEKDDFTPVNGSPNVSNKTTATLTKGPSLKDALDKDALVRQMGVNPTSQNPNLFSDLLS >cds-PLY68672.1 pep primary_assembly:Lsat_Salinas_v7:7:85660542:85665665:-1 gene:gene-LSAT_7X58561 transcript:rna-gnl|WGS:NBSK|LSAT_7X58561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin dehydrogenase 7 [Source:Projected from Arabidopsis thaliana (AT5G21482) UniProtKB/Swiss-Prot;Acc:Q9FUJ1] MIAYLEHFVHENDSDAMLQQEDEETASRLCQAMDLQGTIDYRSTGYAGKDFGGLHASTPFAVIRPSGTHDIASVIRFASQSPNLTVAARGNGHSINGQAMANRGLVLDMKESSDAGIDLIRYTDGYAVDVGGGALWEDVLRWCVSEFGYSPRSWTDYLGLTVGGTLSNAGVSGQTFRYGPQTSNVTELEVVTGNGDTVICSDTQNSELFFSVLGGLGQFGIITRARVLVQPAPDMVRWIRVVYSEFDEFTQDAESLITQSEGESFDYVEGFVFVNSDDPVNGWPSVLLNPDHAFDPTPMTRFDNPVLYCLEVALHYNKGNCSTTVDTRVEKLLGRLRFMEDTQFQVDLTYVDFLLRVKEAEKQAKANGIWDTPHPWLNLFVSKTSIMDFDRFVFKKILKDGIGGPMLVYPILRSKWDERASIVLPEGEIFYIVALLRFTNPYQKSPSVRDLVSQNQEIIQTCVRSGFDFKLYLPHYNTEDDWKQHFGDRWLWFVEMKSRYDPMAILAPGQKIFTRHDESC >cds-PLY63806.1 pep primary_assembly:Lsat_Salinas_v7:6:23956451:23957957:1 gene:gene-LSAT_6X19180 transcript:rna-gnl|WGS:NBSK|LSAT_6X19180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKRGRWTAEEDDTLIKYIKANGEGNWKSLPKNAGLLRCGKSCRLRWINYLRGDLKRGNMTLEEDELIVKLHKSFGNRWSMIASHLPGRTDNEIKNYWNSRLSRQIYRFFTGKTGETQSSTDVAILVNQKTQRVGRVSRNVAKKYNNDKGFKNYKSSALPATKLSSPPIAVNNQVDPNIGDCSSFVPKNVEESQVYDINIGVSDEFGFSGLRSLDDESIDIGYFLESEAMDSSGVLSIRDEDQIEQMLAETYAGNFTYSERNVDEREYDLSFSSSKTISSGFNDIEWDMEFGFTGFDPCDEGDDVLVWLWEDGNP >cds-PLY91012.1 pep primary_assembly:Lsat_Salinas_v7:7:179144132:179147256:1 gene:gene-LSAT_7X106360 transcript:rna-gnl|WGS:NBSK|LSAT_7X106360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLSFATPASNFRVISNSSRTAAPLQSRSRISMVNHHQHFAPLFVPEVESAVDTLYPEFRAVDNLVAQNSSRVLKAFQNARVGSHHFSGCTGYGHEEAGGREALDQAFAEIFGAESAIVRSQFFSGTHAITCALFAFLRPGDELLAVAGAPYDTLEEVIGIRDGNGLGSLKDFGISYREVALADDGGLDWDALEVALKPETKCALIQRSCGYSWRKSLSVEEISRAIHMIKAQNPNCLVMVDNCYGEFTETIEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKEKWVKAAAARLSAPGLGVDCGSTPGDIMRTFFQGLYLSPQMVGESIKGGLLIAEVMSNKGYKVQPLPRVPRHDIVQAVQLGSRERLLAFCEAVQRSSPVSSYTKPIAGVTAGYASEVIFADGTFIDGSTSELSCDGPLREPFCVFCQGGTHWTQWGLVLGEVLKSL >cds-PLY71471.1 pep primary_assembly:Lsat_Salinas_v7:7:191603756:191603992:1 gene:gene-LSAT_7X116500 transcript:rna-gnl|WGS:NBSK|LSAT_7X116500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAARNVRQLSMKGEIEEEKWVKHYSSNHQILLVGEGDFSFALSLAMSFGSASNIVATSLDSYGNVFLLLLSSVRS >cds-PLY91298.1 pep primary_assembly:Lsat_Salinas_v7:5:251370386:251371848:-1 gene:gene-LSAT_5X126421 transcript:rna-gnl|WGS:NBSK|LSAT_5X126421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTGLECPFINNGLNCQKNGRPDNTYLNYRWQPHSCSLPRFNGEEFLVRNKGKKIMFVGDSLSSNQWQSLVCMLYNHARLIIPSIIHGGSISTVSFPEYGVSVMYLKNGFLVDIVVEEKGRVLKLDSISRGAKWEEADILIFNSYHWWIHGGQLKTWDYYRVGDMLYKDMALMDAYRIALTTWAKWVDSNINPKKTQVFFQGISAVHYEGKDWGAPNIKNCEGQTGPIQGFRYPGNRYPGEQVVKDVLQKMKNPAYLLDITLLTQLRKDGHPAKYADGPMDCSHWCLAGVPDTWNQILYTVLLKD >cds-PLY90953.1 pep primary_assembly:Lsat_Salinas_v7:9:169839510:169840462:-1 gene:gene-LSAT_9X105600 transcript:rna-gnl|WGS:NBSK|LSAT_9X105600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLEDKDPRRIFEGEALMRRMNRDHYLGLFSRSALCNVLYLGSPHLLATSAHLFPPETSGSPHLTGDWNDCSSFQALGLIKVKWFTFRFA >cds-PLY90477.1 pep primary_assembly:Lsat_Salinas_v7:2:6878847:6880643:-1 gene:gene-LSAT_2X3341 transcript:rna-gnl|WGS:NBSK|LSAT_2X3341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAKGDQETGSPVSVADGTKNSLFRYNSPLIQVGLIGLVCFCCPGMFNALSGMGGGGQVDHTAANNANTALYTTFAIFGILGGGIYNILGPRLTLFAGCSTYILYAGSFLYYNHHKHQAFAIVAGALLGVGAGLLWAGQGAIMTSYPPTHRKGTYISMFWSIFNMGGVIGGLIPFIMNYNREDAVSVNDGTYIGFMVFMTIGTLLSLAILHPRKVIRNDGSRCTNITYSNVMTESVAIAKLFTNWKMLLIIPAAWGSNFFYSYQFNNVNGALFTLRTRGLNNVFYWGAQMIGSVMIGYIMDFSFKSRKTRGLAGIGVVAVLSTGIWIGGMFNQRGYNHHDVVSKTVKILDFKNSGSDFAGPFVLYFSYGLLDAMFQSMIYWVIGALADDSAILSRYVGFYKGVQSAGGAVAWQIDTHKVPYMTQLIVNWVLTTVSYPLLALLVIKAVKDEDKVTEEESPSGFPNAVKESKADFTKSVEESNGEFTKST >cds-PLY91147.1 pep primary_assembly:Lsat_Salinas_v7:4:161465097:161468291:1 gene:gene-LSAT_4X96981 transcript:rna-gnl|WGS:NBSK|LSAT_4X96981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIIVDQPQFENEDKVQIPKNGEKSELVLDGGFCVPHANTFGHNFRDYDVESERQKSVENFYRVNHINQTVDFVKRMRHGYEKLDKVELSIWECCELLNEIVDESDPDLDEPQIEHLLQTAEAIRKDYPNQDWLHLTALIHDLGKVLLHPSFGELPQWAVVGDTFPVGCAFDKSIVHKKYFVENPDYNNPTYNSKNGIYSQGCGLENVMMSWGHDDYMYLVAKENRTTLPSAGLFIIRYHSFYALHRSGAYKHLMNDEDAENLKWLQIFNKYDLYSKSKVRVDVEKVKPYYQSLINKYFPAKLKW >cds-PLY93510.1 pep primary_assembly:Lsat_Salinas_v7:5:325475360:325475785:-1 gene:gene-LSAT_5X179621 transcript:rna-gnl|WGS:NBSK|LSAT_5X179621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAASISTTFLPLYKPIKSFVSTSQTPKFFPKTKHGADASIVWAVSKEQDMIPIQSNDFTDHQVGILVIEIVREVDGGKDVQLIGGFGGSEGRLCFEGGFSTASSSCDGNQVVEGENIDKLIDRTSNATIVLVAGTFGIAK >cds-PLY84850.1 pep primary_assembly:Lsat_Salinas_v7:1:59939299:59941912:1 gene:gene-LSAT_1X52661 transcript:rna-gnl|WGS:NBSK|LSAT_1X52661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVHALSPLAATTNHHRLSSVAPKFPFRVTKRRSLTIKSSIENKPKPKPKPASTAINGSWVGPGWLTALTRSLSLIQSDDSNIPIANAKLDDVSELLGGALFLPLFKWMNEYGPIYRLAAGPKNFVIVSDPDIARHVLRNYGTKYAKGLVAEVSEFLFGSGFAIAEGSLWTARRRAVVPSLHKKYLSVIVDRVFCKCSDRFVEKLKSYALNDTNVNMEEQFSQLTLDVIGLALFNYNFDSLKADSPVIESVYTALKEAEARSTDILPYWKSKTLCKIIPRQIKAEKAVTIIRETVEELILKCKEMVEKEGEKINDEDYVNDADPSILRFLLASREEVSSEQLRDDLLSMLVAGHETTGSVLTWTVYLLSKDPSSLKKAQEEVDRVLQGRNPSYEDIKNLKFITRCINESMRLYPHPPVLIRRAIVTDELPGNYKVTPGQDIMISVYNIHHSSQVWERAEEFIPERFGLDGPVPNETNTDYKYIPFSGGPRKCVGDQFAMMEAIVALSIFLKHMEFELVPNQKINMTTGATIHTTSVISSQ >cds-PLY86304.1 pep primary_assembly:Lsat_Salinas_v7:8:55460364:55463121:-1 gene:gene-LSAT_8X41240 transcript:rna-gnl|WGS:NBSK|LSAT_8X41240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNNSLISTFRPTVHQILLRPHRPDTSGSSTFRLKPYSKNSVSLILSTGEGRLPDLKIMAKKKPIEGVSDQMNAIASQNLDQAPARRRVRLAFTQVQEQLDHVLFKMAPTDIRTEEWFETNSKGQEIFCKSWLPRPGVRIKAAVCFVHGYGDTCTFFFEGIAKKIAAAGYGVYAIDHPGFGLSEGLHGYIPKFSDIVDNVIEQYTKIKGRPEVRGMPRFLLGQSMGGAVALKVHLKEQREWDGVVLVAPMCKIAEEMKPPEPLQKILIFLSRLMPKAKLVPQKDLAELAFRDPQKRKLADYNVISYSDQTRLKTAVELLNATNELESEVEKVSSPLLILHGAADRVTDPNISKFLYEKAASKDKSLKLYEGSYHCILEGESDERIFQVLDDIIAWLDAHCSVR >cds-PLY71723.1 pep primary_assembly:Lsat_Salinas_v7:3:47096300:47099235:-1 gene:gene-LSAT_3X36460 transcript:rna-gnl|WGS:NBSK|LSAT_3X36460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELSFSMMNTVVCVVGVIVMIYGWRFFNWVWLKPKKMDKFLREQGLNGNPYKFLYGDMKEMVQMTADAKLKPINLTDDIVPRIMPFLYNSAKTYGKGKNFYTWMGPRPFVHVTEPALIRQILANYSQFQKINGGNPLAKLLARGLVDVEADQWAKHRKIINPAFHVEKLKHMVPAFYISCSEMINKWEELTKEKSCEVDVHPHLQTLTSDVISRTAFGSSYQEGRKIFELQKELAALVIKAFQSIYIPGSRFLPTKSNRRMKEIDRDVRATINKIINKRVTTMKAGESSSDDLLGILLDSNYKEIKQKGNTNFGLSTEEVIEECKLFYFAGQETTANLLVWTMILLGQHTNWQDRARDEALKVFGKRKPDIDGLNHLKIINMILLEVLRLYPVGIGLGRMIHEETTLGNITLPAGSLLQLHMMLLHYDNEMWGDDVKEFKPDRFSEGVSKATKEQASYFPFGGGPRICIGQNFAMLEAKMALVMILRSFSFELPPSYIHAPHTIITLQPQYGAQLILHKL >cds-PLY63633.1 pep primary_assembly:Lsat_Salinas_v7:4:131800048:131800236:1 gene:gene-LSAT_4X82040 transcript:rna-gnl|WGS:NBSK|LSAT_4X82040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDREEDEAPPTHDGTGGEGKKARRRLIPMLLGRLIATREIVRGYNVVVDHGTGEGTRWVGQ >cds-PLY94348.1 pep primary_assembly:Lsat_Salinas_v7:5:119423775:119424206:-1 gene:gene-LSAT_5X52780 transcript:rna-gnl|WGS:NBSK|LSAT_5X52780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPIPLMFIIFLYHHFHTSITIQNDKFPSLFHSLTYNLQYVDAIFLIGLHDHGNLEPVISRSNIGGSRRKRMRTYFEVYMHDILCSSNLPARQVTGLLGNI >cds-PLY86094.1 pep primary_assembly:Lsat_Salinas_v7:7:140393196:140398021:1 gene:gene-LSAT_7X84360 transcript:rna-gnl|WGS:NBSK|LSAT_7X84360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMETSSTSLSGVQTRNSGSQLMLSDQPVPLYNFHLSPIHVCHLNINISKNQSPMSQRTEAFLVRRLLVRKNEELGREGGCEHTMHDGKKSWLIAAKRLLRGILENLNLIHLA >cds-PLY77421.1 pep primary_assembly:Lsat_Salinas_v7:3:149204501:149211530:1 gene:gene-LSAT_3X96041 transcript:rna-gnl|WGS:NBSK|LSAT_3X96041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRAREESVKLAKAANVNASLEGDLNLLKDYHPNWIKKEYWEKMINEVWTTSKWKRLSQSWKNNRNKLEHGSVSKHTGGSISIRQHKKRMQAMLKRPPTGVELYARFHTKRYTQEYITPKAAKVKDAFESAMVAKFGDDTSCYPLLDNETWCDVSGGVKKGRIYGFGYVSDPTSFLEGTSSRLELSDLEDSLEPTTPLNLSERDEDENSEEEPEEYPEEEPDEDVDQELEEDPEEEPEGNHMEYHVEPRYETFDMPYVEYTPTSPGFYWEHLYKEEMPQAQHQTHSQKRKIEEIDEHRSRLVHENQIRVEAIEESKRKIRRLEKEVMELRKTTITKLWEGFMARAKRAKAATYGFLDRVVGRDPP >cds-PLY95357.1 pep primary_assembly:Lsat_Salinas_v7:5:267267539:267272033:1 gene:gene-LSAT_5X139301 transcript:rna-gnl|WGS:NBSK|LSAT_5X139301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQLTALIENKSFKSSKNYFQFPKNPPNETSDTLELDCSDTFGPLPLLAGNSEIPSDDPVVIYSRSHSLVGPTPCVSHLLNLRKLTICETDESLEDFSDVIDKEIEEEDSENGCKRNLIREATAFLLDVLKPNLPEHAHLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQRNLIREATAFLLDVLKPNLPEHAHLQTKVLEINLVTFPNVVDAILANGMFSHYDRPRIAQLCEKAGLYVRALQVERATRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLWYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPIEPLVDECEKSCSKGREIKGDGTNMYDFTYVENVAHAHVCAKRALASDGSASKRAAYFITNMEPIKFWEFMSLILVGLGFEWYILDFLFVFKFLAKTLDVVQDIDWVISLGNAFAKQYELYTYDDEHSALLHRFLNCDSPLQLSECRLILVLD >cds-PLY95762.1 pep primary_assembly:Lsat_Salinas_v7:3:27779476:27783865:-1 gene:gene-LSAT_3X20480 transcript:rna-gnl|WGS:NBSK|LSAT_3X20480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSATSEHHLQAETLFTYGNRIILIPSSSISFNSFILLLVELFSKLAAPATTPPPTLESLSPSIFVPSPSSISTSIALSHAPIIGIPPVVLVIPPLAPTIPPIAISKVNQVIWDERIEMNAPWKQEQNEMLLLLLPLVNLSSMKNFLLPFSKDNSSGCAGDETLCHICQANPTTVYLALHCQHSYFMNYRGKVEEHVHFQEFEPLMTHRRAYGWCLDDISLRKLRENMMWYPLSFIICNTKPVGHQDLVGLVISILLFVDFILVLLMLLQLYSNSMVDLFLVLSLLPLGILLPFLAGISALFSHTPKRSSGIACFYALWNITSLVNVVLKQLNFPLQLSFCDGKGDIKKAKTSWEFIPIGHKIGTPVPLFKELMKKWSFSRINLLAVKLTELSGLLRKKLKQKKVT >cds-PLY64727.1 pep primary_assembly:Lsat_Salinas_v7:5:21368597:21369260:1 gene:gene-LSAT_5X11321 transcript:rna-gnl|WGS:NBSK|LSAT_5X11321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLDDIMTTLDSRDTIIREVDQLLKVPIDSKIRLLELSRILSGVVWANEAYGSYWSWDPKESSSFITWIVFAIYLNIQTNKNFQGANFTIVAILGFPIILICYFGVNPLGIGLQSYGSFTLTSSKILKKVLTNTNGTQCI >cds-PLY91953.1 pep primary_assembly:Lsat_Salinas_v7:2:20359426:20360682:-1 gene:gene-LSAT_2X11301 transcript:rna-gnl|WGS:NBSK|LSAT_2X11301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDELPFHIQEAILKRFPIKSLIQFRSVSKAWKSLIDSSEFIAAHSVSHTQPQHLLVSYTDEQVPKYVSFVDDDSFPQHRFVPSLPLSIRDPQIVGSSYGLLCFKGYRFSGSSYRKRIAVLLNPSVRKSIAIALPDMLYTNHLIVLGFGVCPVTIDTKIIQITQLHWSWGNELKSEIGNFWEVKVYKQSSGKFTSLSGNLPSISIHIMGPQVVIGKSIYWCAVDCTTVDSVFKTRNLIMSFDITNEKFEVVDLPERMAIITFSLLSVSKLRESLVILEYNKCGYNDTIEEQVCCTVWMVERGVERSFTKLFSIKAPGDLMTAVGFRMRGAPIMGVQDYTFESTEELVVYEPNSELSNHLISGYRFTVNSYIETLVLVGSSDCSSY >cds-PLY61689.1 pep primary_assembly:Lsat_Salinas_v7:5:215249860:215260602:1 gene:gene-LSAT_5X98501 transcript:rna-gnl|WGS:NBSK|LSAT_5X98501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGETGGNDEDGKNSANMLRNTPSNIRRLADEIQQCEGRQKYLAQTKSPSDGGDVRWYFRKVAMEKNEVAANVPRAETVEKSDYFRFGMRDSLAIEASFLQQEDELLSIWWKELAECSEGPKGSPASVSKSDPLLQPFSLETSRSTKPDDEDRVGVPVKGGLYEVDLVKRHCFPVYWDGDNRRVLRGHWFARKGGLDWLPIREDVSEQLEFAYRGRIWRRRTFQPSGLFAARVDMQGSSPGLHALFTGEDDTWEAWLNVDASGFSSIVKLGGIGIKLRRGYAPSRSPKPTQDKTFQHQYISAVGAHTVRSSGDVKSLAIQNRRFTPAIIQSSASRRSKIVCQAATNVPGEVPSSASTSSGMSTYERIIETLTTLFPLWVIIGTIIGIYKPSAVTWLQTDLFTVGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPILGFLIAMTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIIMTPLLTKLLAGQLVPVDAAGLAISTFQVVLVPTILGVLMNEFFPKFTSKIITVTPLIGVILTTLLCASPIGQVADVLKTQGLQLLLPVAALHGAAFFIGYAISKLSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVYWRNQPIPVDDKDDFKE >cds-PLY90844.1 pep primary_assembly:Lsat_Salinas_v7:6:100474907:100475095:-1 gene:gene-LSAT_6X63681 transcript:rna-gnl|WGS:NBSK|LSAT_6X63681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKLWLEYSFGWIQMAEHVVKLYTESTGCSIETKESALVWQNWDAYLGFGFPQTKEMLHHLQ >cds-PLY62065.1 pep primary_assembly:Lsat_Salinas_v7:2:57173145:57178844:1 gene:gene-LSAT_2X25900 transcript:rna-gnl|WGS:NBSK|LSAT_2X25900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMTRRMSRKQNHDDASSSSSSSSKMIKTDDVDVGPWSYLNHDVLLLVLMQLGVIDFLAFSGVCKTWRLVAISNWKTFMASKSPMLLRISTRGNDKDKECCLEDSQGRKFKTILPRSVGSSFIGLTCGYLIFFREKTYDFWLVNPITRHELHFPPVPFDCVFFGTPKFTAVLVHSPSISSMHVLIMLNIIRNEIWISREGEEGSWNRVALLIDVKYLHAFKGKIYTLCCKAGSLKGRHICELTLNPKPKMKWLKIKNFPKQTFLFPKLISSGEKLYVMENFSVYFHNVHELDFDKMEWVRFQKTTDECGFFLSEFRHGAVVKPELWADLQSQPEGCNFFEEEGHGKFFTTRQWYFPHECSSLSP >cds-PLY82591.1 pep primary_assembly:Lsat_Salinas_v7:2:184389050:184393158:1 gene:gene-LSAT_2X107660 transcript:rna-gnl|WGS:NBSK|LSAT_2X107660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQNTNTDPRPSQILYAHNSAPSAVSSPLETAVRRKRGRPRKYGTPEQAAAAKRLSSSSSPSTSVPPLSPRKKDLSLGVGGSSASTSFKKSSLGNTGQGFIPHVITVTAGEDIGQKIMSFMQQSKLEMCVLSASGSISNASLSQPATSGGNIAYEGRFEILSLCGSYVRTDFGGSTGGLSVCLSSQDGHIIGGSIDGPLIAAGPVQVIVGTFAIEGKKEAVTVIKGDASTKLPPHNVGPPPVPNLGFLSPPESSGRNVVVSGGEEQQSIDGYQFMIANRSLPVGDWRNNNDSRNTGGYDFSGRVNHGVHQSPKNGDYDRFQD >cds-PLY67520.1 pep primary_assembly:Lsat_Salinas_v7:6:72689535:72692802:-1 gene:gene-LSAT_6X51760 transcript:rna-gnl|WGS:NBSK|LSAT_6X51760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSEWKDKAETLELELQQCYKAQSRLSEQLVVEVAESRAAKSLVQEKEALIPNLENDLSQARDECSRLAALLEEKTKGLELLMGENKELKSQYEEMRTRAINAETENKTLIDRWMLQKMQDAERLNEVTKAISNWKLNGVLNGELNPIKLKILIVG >cds-PLY99767.1 pep primary_assembly:Lsat_Salinas_v7:9:53261387:53262898:-1 gene:gene-LSAT_0X7560 transcript:rna-gnl|WGS:NBSK|LSAT_0X7560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFQQLQTSYLRLAAHRLAHHYSLHSMVSPDKNLPDDSGSKIIIQKTSESHLPLIRLADIPIKQSTHNSTTKVVKVAIKQRPNKTSQISCGPNSNSPKSNSLKSVEERKEEYNRARARIFCFNASSSLEEDMDNVRCTSNSRVAIFRDHEVERKDPDYDRIYDRYIQRFDPGFGFNVGSYLVQPMYTPVLNYNTEFPQLGSTHRAPSEHQPQWVAPSLRGYRPSESTVTPFNHDHGVYMQYPSQQPGMTFIHPHEHIHQQLAQCKACLGMKRNMIIPASGSKARLETEIYLFLQACLLCRYASCLFSMNFT >cds-PLY97236.1 pep primary_assembly:Lsat_Salinas_v7:1:44796801:44803953:1 gene:gene-LSAT_1X39180 transcript:rna-gnl|WGS:NBSK|LSAT_1X39180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEASDNRLSDLVGALKSWVPRRSEPANVSRDFWMPDQSCRVCYECDSQFTFYNRKHHCRFCGRVFCAKCTDNWVTPQSTDSDTIREDSGKIRVCNYCFKQWQQGGLDTSVNHGIQVTSLDLITSPSTASLVSTKSSGTADSSFVTCTSFPHSVDSYQQNPNHSGISPRQSSVMESNLNDEFTVDNSYYDELQLDDVSNDYGSRKVHPDGEEATVAKSESSSSLQNSFDSRYFEKKEDEPDVNDECEASSSSLYAAQEIESEPVDFENNGVLWLPPEPEDEEDEREPLLFDDDDDGDGAGEWGYTRSSDTVGSGEFRNRDKSNEEHKQAMKTVVDGHFRALVSQLLQVENLSVGEEDDKDDWLEIITSLSWEAASLLKPDTSKGGGMDPGGYVKIKCLATGRRSDSMVVKGVVCKKNVAHRRMTSRIEKPRFMILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIDAHQPDVLLVEKSVSRYAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLSSQKLGYCDLFHVEKFVEEHGTAGQAGKKSLKTLMYFEGCPKPFGCTILLRGASGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLNSPLTVALPDKASSIDRSISTIPGFTLPPNERSDKYTETERSNNAPMADVFSSIMSQKAQVMLMPLGSNTHNHQVSLDLPIETKDSADVTANGFGHPHPQHSLVKSSHNIDDGLYLQMDGKNGKEESVSSKEEFPPTPSDHQSILVSLSSRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDNLFDQGYRCPSCEMPSEAHVQCYTHRQGTLTISVKKLPEILLPGEKEGKIWMWHRCLKCPRVSGFPPATRRIVMSDAAWGLSFGKFLELSFSNHAAASRVANCGHSLHRDCLRFYGFGKMVACFRYARIDVHSVYLPPAKLVFKFENQEWIQNELNEVVSRAELLFSEILNALSQIAEKNFGKSSVNSSSPSRRQIADLEDMLQKEKAEFEESLEKILNQEGRKGQNMIDILEINRLRRQLLFQSYVWDHRLVYAASVHSNSPRGDLNESRSENNDSYKDSDVTETQPQVMSKDTEDSDHENSDPSTSHDVGVNRSFSEGQLSVMASLSDTLDAAWTGNNHTGVQKDNTLSVISDADVADSSVADRVEESKSSLSSPSLSTRNSESIDEATSWFSMPFLNFYRALNKNFLPSSQKLDTLNDYKPVYISSYRESELQGGARLLMAVGVNDTVVPVYDDEPTSIISYTLLSADYISQMSGDFERLRDGEASSSSSSSIFTSQSADPVMFQSFSNFDETTLESLRSMGDESLMSMSASRSSLILDPVSYTTALHARVEFTDDGPLGKVKYTVTCYYAKRFEALRRICCPCELDYIRSLSRCKKWGAQGGKSNVFFAKTLDERFIIKQVTKTELESFIKFAPAYFKYLSESIGTGSPTCLAKILGIYQVTKQMKGGKESKMDVLVMENLLFGRNLTRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIEAMPTSPIFVGNRAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGFLGGPKNASPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPAVVPSKSHTQTEDVVE >cds-PLY67279.1 pep primary_assembly:Lsat_Salinas_v7:5:133416543:133417623:1 gene:gene-LSAT_5X57761 transcript:rna-gnl|WGS:NBSK|LSAT_5X57761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLASITVPRCLHCSSLGLFHQLHGVEAMCAELMQLHAGIKELTSTRQKLTSQVQGMTQDLAGATVDRV >cds-PLY95149.1 pep primary_assembly:Lsat_Salinas_v7:1:94675020:94676985:-1 gene:gene-LSAT_1X78580 transcript:rna-gnl|WGS:NBSK|LSAT_1X78580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein PSF2 [Source:Projected from Arabidopsis thaliana (AT3G12530) UniProtKB/Swiss-Prot;Acc:Q9C7A8] MSGQSDPRGSTFSAAELEFLAEDETIEIVPNLRMERLSLICGDFGPFRPQIATQVPIWLAVALKKRGKCTIRPPDWMSVEKLTQVLEAERDSASSFEALPFHYVEISRLLFDDARDDIPDVYMVRSLIEDIKDVRFHKIGTGLEKLSSRTYAMKLNLSAMEVNVIRPFVTGGLETFYKLGNPDLIQESSDRPEHRRPQPADRGPRRELRR >cds-PLY66885.1 pep primary_assembly:Lsat_Salinas_v7:7:17722046:17723912:1 gene:gene-LSAT_7X14601 transcript:rna-gnl|WGS:NBSK|LSAT_7X14601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKIGRRFEGKVAIVTASTQGIGFSIAERLGLEGASVVISSRRQRNVDEAIKKLKTQGIEALGLVCHVSNPQQRKNLIQQTLEKYGKIDVIVSNAAANPSVDAILDTQESTLDKLWEINVKTSILLLQDAAPHLTKNSSIVFISSISAFQPPSSMAMYGVTKTALLGLTKALASEMAPHTRVNCVAPGTVPTHFASFITDNDTIRDAIMEKTPLKRLGTVEDMAAATAFLASDDALYVTGETIVVAGGMSSRL >cds-PLY62084.1 pep primary_assembly:Lsat_Salinas_v7:2:56321422:56321960:1 gene:gene-LSAT_2X25501 transcript:rna-gnl|WGS:NBSK|LSAT_2X25501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNWRSEHSSLSLHKYILYDILSHDFLLQTQNFQLIDLLFSFLEPTCSHSALLAGYFTKVCSTSITASIHVGEMEKELEEAVESEDFETAERVSDSLASAEGNRELLYVALRDAKADCDAIDSKMQEAFELQIVTKEECAALLQIFVVGTVSSF >cds-PLY78126.1 pep primary_assembly:Lsat_Salinas_v7:2:61632420:61638972:-1 gene:gene-LSAT_2X27601 transcript:rna-gnl|WGS:NBSK|LSAT_2X27601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAVSSPCPSTPQWNYDRPFLTGQFHQESKGHSVDIGLESEKPIGCYNASVQELIVIDDLLSALVGIEGRYISINRVGGNDDSFIFNVDGSMDLALQESSKRIFPLCKSYLIINQFVESRSQFKSGLVNHAFAAALRALLLDYQAMVAQLEHQFRLGRLSIQGLWFYCQPMMGSMQALSTVIRKASASNFVGSAVLNLLQSQAKIMAGNYLVRSLLEKMIESANSAYLGILERKWVYEGVIDDPHDEFFIAENKSLQKESLTEDYDAMYWRQRYSLKDDIPSFLANSAETILTTGKSLNVMRECGHTVQVPALENSKLMNFGSNDHYLECIKSAYDFASGELLNLMKDKYDLIGKLRSIKHYLLLDQGDFLVHFMDIARDELDKTPNEISVEKLQSLLDLALRSTAAAADPLHEDVTCSVDTGSLLKRLSILKDLQTGGGGGGGESVSEADVLEEEPLITGMETFSVNYKVQWPLSLVISRKALTKYQLIFRFLFHCKHVHRQLCAAWQLHQGARARDMHGTAISTSSILCRNMLKFINSLLHYLTFEVLEPNWHVMHNKLENAKSIDEVIQYHDFFMEKCLKECSLLSPILLKKFEKLKLVCLQYASATQWLMSSIEAPDSNSSFDDLDSSSLENVKVLKLRKSSKKPNSPTDESTVIECVLKFEREFSSELESLRPILSSRAQAEPYLTHLAQLILGVGMDHHM >cds-PLY89723.1 pep primary_assembly:Lsat_Salinas_v7:7:42607438:42609832:1 gene:gene-LSAT_7X30901 transcript:rna-gnl|WGS:NBSK|LSAT_7X30901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTLTHTLFSSATSTSSFPTLHCRLKPHVHSLNSHRSAHLPTPTSLQLFNPLRTAVENRGNLGMKQKWKRGFGPVCYSPPVTTSNLQWICTISAAVLMFAKGTAIQKSFIVPFFALQAPASLTSWIKGEYGILTAFLALLVRLFFSIPGELELPFIALLMVIVFPFQLANLRGRQEGVALSLIIAAFLAFQHFTRLGNLRGAFDQGSIIATLAILSIVAVPIMLLI >cds-PLY80888.1 pep primary_assembly:Lsat_Salinas_v7:8:126237455:126238849:-1 gene:gene-LSAT_8X88181 transcript:rna-gnl|WGS:NBSK|LSAT_8X88181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTKLLLLSLLFLVAVHGGAGGFVGGGRTTRNGWSNSNTSTLNNAYIALQAWKSAIKDDPKGILNSWVGSNVCDYKGVFCQDSSVTGIDLNHGGLEGILVKELSLLKDMTLLHLNSNSFTGTIPDSLKDLFALTELDLSNNQFSGPFPTIILQIPNLAYLDLRFNLFSGPIPELVFTKNLDAILLNNNNFEGQIPQSLGNSPASVINLANNKLTGDIPISFGYSGSGLKEILFLNNQLSGCIPQGIGMWSDLQVFDASFNSLMGHIPDSISCLEDIEVLNVAHNELSGELPDLVCELKSLMNLSVAYNFFSGFSQECGKLYGRNVGFDFALNCIPGREMQRPEPECDMIPGGSLSCLRIPSMKSFSCGTSFRSSNSRIP >cds-PLY75434.1 pep primary_assembly:Lsat_Salinas_v7:7:72807663:72813302:-1 gene:gene-LSAT_7X52961 transcript:rna-gnl|WGS:NBSK|LSAT_7X52961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTENLSGFVHPYERHVFLCYKTREDWPARVESSDSDLLPKRLVGVIKERMNDIIVKFLIADIKLFFIDSLDWVGILKSRRIRDFDNYATRLVGKFESVDTYYRHCSSSPYVTKVVIPLLCISALDDPVCTWEAIPWDECRQPSSILVPGESNESGSALKIGVVLSGGQAPGGHNITYRNAAKVPDILIVPSDLTYFVKVLILEGTSEGGEEVKCMCVNPGRLARGKGGGHFVELNFHGTPGSSSASVIREFFHFYTFFNSIVIPKPNEPFVSELLTTLPTTIYEQVSWVVGGLVLTAGLLFVRLEIRRLERSHGILQAACNNVLLAVPTFLYAINNYLKFISNLLTCPATSQSSCIQVILLERKLSKEIVKGEFSHGFLLMLYMFCFL >cds-PLY95525.1 pep primary_assembly:Lsat_Salinas_v7:8:115543763:115545264:-1 gene:gene-LSAT_8X80261 transcript:rna-gnl|WGS:NBSK|LSAT_8X80261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTLTPATSPPSPSSQLGDCSTVINAGFQPIWDAIVNVKPQLFIWLGDNIYGDIRRPFKLFGNERTIGPRKNVPRFLPSSVDEMQEKYKIAKNIHGYSCLREIAKAGVYASYTFGPQGRQIKVILLDTRYHRDPLRNDGTILGTA >cds-PLY89370.1 pep primary_assembly:Lsat_Salinas_v7:9:117243339:117244564:1 gene:gene-LSAT_9X80381 transcript:rna-gnl|WGS:NBSK|LSAT_9X80381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTESLVHYVSAIVTVLLASTLVLITTSDVTPPPSSPPPGGCADSVISFSPCLPFISAPPNDLSDEPSSQCCDIFNGAFASGKAECLCYLVRQNTLLGFPLNASKLLSLSDLCLLNNDTQASHANNASTSLQSICSGSTTLPPLISITRKPRSGSTARRSPPSSPTLRSPPPPPPPTSASKQPIPKPPKSERSNNGNHLAPAVALMPIYLGFFV >cds-PLY74909.1 pep primary_assembly:Lsat_Salinas_v7:3:130640082:130640390:-1 gene:gene-LSAT_3X88860 transcript:rna-gnl|WGS:NBSK|LSAT_3X88860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVITPTPSLPEMPESGRVEADLQKEIVVKIQTVIRQTNDQPIPDAGDQSETNDYEGFLDLGFMQPAVVPLNIIYIDSYFTGEIPQGTKGRLHPYGDSMTLNL >cds-PLY86899.1 pep primary_assembly:Lsat_Salinas_v7:5:265683605:265684112:-1 gene:gene-LSAT_5X138100 transcript:rna-gnl|WGS:NBSK|LSAT_5X138100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMFRTANPGMTIEAIKLDNGEWSYDAKEIMEMEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGRGALSDHDQRRVEVKSPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDR >cds-PLY90276.1 pep primary_assembly:Lsat_Salinas_v7:7:115719493:115719756:-1 gene:gene-LSAT_7X71561 transcript:rna-gnl|WGS:NBSK|LSAT_7X71561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDDLHYVVVQASTLMVVAADRVCRADVSKMQLKSLQGVAVGIRKELQDSEAERQVLSEQNRIVGCKKAALEDNVATLEVQIERLES >cds-PLY66718.1 pep primary_assembly:Lsat_Salinas_v7:6:79994618:79996843:-1 gene:gene-LSAT_6X57140 transcript:rna-gnl|WGS:NBSK|LSAT_6X57140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLWKIFFNSKSSDISTNCEPTHEVNLAVKWVDSCEACLEDGSNDHEVQFQNETDPHASDAKSSFLHSVANMIGMLIGLGQLSTSYGLENGGWISSFLLVALGIACAYSSHLLGKCLENNRKARNYTDIGHHAFGSHGRAITAAFIYLEIFMALVSYTISLHDNLKMVFLGTNIRFSWAQHVSTSQVLTVFAVLVALPSLWLRDLASISFLSISGIIMSLMIFVTVACTAVFGGVTANHTIPALRLKNIPHISGLYMFSLAGHIVFPDIHRAMKDPSKFTKVSIVSFTFVTLLYASLAFMGAKLFGPEVNPQITLSMPRGLVFTKIALWATVLTPMTKYALEFTPIAIEIEHRFLYSMTSRTKMIVRGTIGSILLLIILVLALSVPYFQYVLGLTGSMVSTAIALVFPSVFYIKIFWNKISKPILALNVFLIAIGCLLAVFGSISSMKLLVECFFRVHSAS >cds-PLY78246.1 pep primary_assembly:Lsat_Salinas_v7:7:193964994:193965412:1 gene:gene-LSAT_7X114761 transcript:rna-gnl|WGS:NBSK|LSAT_7X114761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVKSMTSEKNSLIYMNNATEAKASKILVGLRFTKDMQIHATRSFSGRWRMSTSLAHALFVQPTLLLLDEPTNDLELRVFLCLEEYLCKWKKTLVVVSHNRDFLNTVCNEIIHLCDLKLHMYCGNFADSDSG >cds-PLY80509.1 pep primary_assembly:Lsat_Salinas_v7:2:137410511:137411748:-1 gene:gene-LSAT_2X66720 transcript:rna-gnl|WGS:NBSK|LSAT_2X66720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVSKEQVESALRSKLSPSHIEVIDTSGGCGASFQVEIVSEEFEGKRLLERHRMVNGALVEEMKDIHALSITKALTPDQWNIKQQESATSQPPSTTT >cds-PLY74072.1 pep primary_assembly:Lsat_Salinas_v7:9:13351565:13352769:1 gene:gene-LSAT_9X11520 transcript:rna-gnl|WGS:NBSK|LSAT_9X11520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVTLGLLGNSPAVDDGGGGGVPSGGSTTARSILTVSKEDNKNNMVTMSVEVTSSYPVVDENLDDLELGLGLSIGIGGGLKSKAVVAGPCWNQYARILTAKDFPSLVSKPNSSSSSSSVNIPNSSTSGSKRTAADSVSPPNGNSVVGWPPVSRAHRIPSLANNSKSQTEELNSIPEQNKNKNTTNRIKDYSTARNEISYKKYRSVKVNMDGTLIGRKVDLNAHTSYEALAQTLEEMFWGRRGGSSRLLDGTSEFVLTYEDKDGDCMLVGDVPWQ >cds-PLY70872.1 pep primary_assembly:Lsat_Salinas_v7:9:15256458:15258266:1 gene:gene-LSAT_9X13021 transcript:rna-gnl|WGS:NBSK|LSAT_9X13021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSPKSVKSFFLACKDTPAVAKIHALLIVSGYINTGNCNTQLIASYSRTGDIELAHKVFDRIPKKRVDAWNAMLIAYSRKDSPGEVINLYHELNSEGIRPDSSTFTVALKACTSMMNLELGEEIRKQAIEYGYEHDVFVGSSLLNLYAKCGKMNDALKVFEKMPRRDVVSWTTMITGFAQSGRGDEAIDIYRLMQKEGMKGDRIVILGLIQACANVNDTKLGLSVHGYLIRSHLLPMDVVIQTSLVDMHTKTGNIELAARVFKSIHYKTIVAWSALISGYAQNGFAINAFDLLVEMQSFGFKPDTTSIVGILLASSQIGSLKLSKSLHGYVIRTLALNQILGTTLIDTYSKCGSLPYARNVFDEIPLKDTVMWNTMIASYGSHGHGNEALLVFHNMLESKSKPDHTTFASLLSALSHAGLVEEGRLCFKLMVHEYNINPTDKHYACMADLLARGGHVEEAYNLIGSMKTEPGLAFWVALLSGCHNHGKFSIGEMVTKKILELNPDDNSGIYALISNFYAKAKKWDEVADVRNKMKRSGTKKLPGNSVVEVNGKLHAFLMEDKSHFQYQEILKILKILDFEMTSTDVTITTIHTSYENEVKGR >cds-PLY77521.1 pep primary_assembly:Lsat_Salinas_v7:2:162762658:162763017:-1 gene:gene-LSAT_2X84880 transcript:rna-gnl|WGS:NBSK|LSAT_2X84880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRHHVMSLQTIATLVVGGFALWKECGIRWSRLNDAMRLVLVFGHDRNGDNEIIPVVSGIDSYGNGWKFTNRRQPRRQLLLRSSSDATPFPGFPSVFVIAGDKSCSMVLGGRSHSGSWR >cds-PLY78021.1 pep primary_assembly:Lsat_Salinas_v7:9:44099376:44102605:1 gene:gene-LSAT_9X40081 transcript:rna-gnl|WGS:NBSK|LSAT_9X40081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGFSWKLADHPKLPKGKLVAMIVLDGWGEASPDKFNCIHVAETPTMDSLKNGAPDKWRLVRAHGTAVGLPTEDDMGNSEVGHNALGAGRIYAQGAKLVDAALESGKIYEDEGFNYIKESFANNTLHLIGLMSDGGVHSRLDQVQLLLKGASERGAKKIRLHVLTDGRDVLDGSSIGFAETLEKELSDLRKKGIDAQVASGGGRMYVTMDRYENDWEVVKRGWDAQVLGEAPHKFKNVVEAVKTLRQVPGSNDQYLPPFVIVDESGSPVGPIVDGDAVVTFNFRADRMTMLAQALEYEKFDKFDRVRVPKIRYAGMLQYDGELKLPNYYLVSPPLIDRTSGEYLVHNGVRTFACSETVKFGHVTFFWNGNRSGYFNAELEEYVEIPSDSGITFNVQPKMKALEIGEKARDAILSGRFDQVRVNIPNGDMVGHTGDVEATVVACKAADEAVKMILDAVEQVGGIFVVTADHGNAEDMVKRNKKGEPALDKEGNVQILTSHTLQPVPIAIGGPGLAEGVKFRKDVPSGGLANVAATVMNLHGFVAPDDYETTLIEVVD >cds-PLY81888.1 pep primary_assembly:Lsat_Salinas_v7:8:120376727:120380459:1 gene:gene-LSAT_8X84601 transcript:rna-gnl|WGS:NBSK|LSAT_8X84601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSYRVRSVGDYVVGKQLGSGSFSVVWHARHKVHGTEVAIKEILTGKLNKKLEESLMSEIDILSNINHPNIIRLHEMIKEPGKIHLVLEYCKGGDLSMFIQRRQGRIPKSTSLHLMQQLAAGLKVLRDNQIIHRDLKPQNLLLSSNEDNSTLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLHKYDAKADLWSVGAILFQLVTGRTPFTGNNQIQLLQNIMKSTELQFPPDVKDLSPECVDLCRKLLRQNPVERLTFEEFFTHPFFTQSKPDEISRKPRRMTDGFSYPKSPAYKNKEENSQEDNLPFTLDDESNGPDMVRRSPLRSTYGFSLDNEVNRTNFSKDMEISSRYSSIRHKQENNGFGHGNRKSSEGNLKESLTSIDPRPVNIRSKVVDSLELIDQDYVLVSGPLGDTSSVASVSKVSQKTFKSGSPPVHSRVNIHSTPSAPLPIIGGTSSKIRLTGSFESQCSAPSGTSHGSVDIIDALEQPSTDSMARIKSLHDCASAISDLVNEKIESGNRLEAFSIQLVILAIWKQALDICHTQAASAIKGSPSLDISTSNKTSKREQDNTDINECLENAKSPEDVCSHIERIFLGEVETAEELTKVIEPGNVGMPDAMETIFQAALNFGRHGAVDEYMGFAENAAVFYSKAVRLLSFLLVEAPSLILNPPFSITNSDRYRLRTYIDVINNRQSHSRSQRMTLLKGGGGGDDQHSSA >cds-PLY86582.1 pep primary_assembly:Lsat_Salinas_v7:1:9005197:9005987:-1 gene:gene-LSAT_1X8161 transcript:rna-gnl|WGS:NBSK|LSAT_1X8161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVDVASPPTDDPYKPFAYVNFVILTYSLGYDNFDYSFFLFEKKIRRFSEKKMNADPWARPKFNLWSVSAEPPKNKPVVEYGKENDKHFTIRVVHGGFFTDYPGKAYQQTKPWVYQFGELVSYPIREHSGLSMVPILKDECLKPFKALVRAHEFKEIEHLYVEHRPVFVPNNFPHFLMNSPAKRVEKLIHMFVTEHPTAWVDDGITYIQHMLNMTFPREKIEDAMDMAKENVAAWKNIA >cds-PLY83259.1 pep primary_assembly:Lsat_Salinas_v7:4:146738286:146741693:-1 gene:gene-LSAT_4X90780 transcript:rna-gnl|WGS:NBSK|LSAT_4X90780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLSMVKREFSKIYDPKITVYNDFDETFRQLRKESEAHVEEMKKSKQVVSKQVSNIRKKQGQMQNFGFEGGKKSGKDDVDGDNVKTVTMENEEVESKGKENGDRNTNGGAFDINKLQKICCKCGKKANNNPVVNKVSKEEPKKKHAKKNRVWDDSPKEKETLLDFTDPGSENGHSFMAIEQVVESDSIIDKDEIVSSESVENDDEKVDSKKKGWFYPCSSVVRNDKCTLLEQVEEIAERLCESVAVNLVGKKLASFTKVSSTVQASQLCLFLSFYDYLIYKI >cds-PLY88377.1 pep primary_assembly:Lsat_Salinas_v7:5:62924011:62927145:-1 gene:gene-LSAT_5X30201 transcript:rna-gnl|WGS:NBSK|LSAT_5X30201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVKYDWNFDHYFEEDVPATALPQFGLLSPVRVCSNCYNYASWIGNSDGVASVNGVNSVTDSVSRLDISTPSNSNTNQSAAVDCKCGMPLCICEVPSNDDVAPMQASHTHFNHLHLHHTKNLNECWLC >cds-PLY77203.1 pep primary_assembly:Lsat_Salinas_v7:8:25643261:25646791:-1 gene:gene-LSAT_8X19501 transcript:rna-gnl|WGS:NBSK|LSAT_8X19501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELYRIILVFIFSIIFFILPCSAAVDRVYINQPIKDGNTIVSDGEMYELGFFSPGKSENRYLGIWYKNISPCTVVWVANRQTPIKDASGVFQVTTEGVLLSHSGGNSNTIIWSSNFTVSSRHVNPVAKLLDSGNLVVWDDYGNKEKLIWQSFDYPGDTILPGMKFGKDLITGSERYFTSWKSPDDPSIGLYKFWVDTNGYPQVFLGEGEHETLRIGRWNGVGFQGVSVENMNPIFSTEFVVNEKEIYYRYKLKSTTVQRVILMWDGMVRRLQLNKRTQEWVEYANVVVDACSRYGPCGPFGSCSIKSSVPCSCLEGFEPKVPEEWKAGDWSSGCQPKKPLDCRTPADFFYKISGVVFPDTRHSSYNESMSLGECEMACRRDCSCTAYANLNIRNGGSGCLLWFDDLLDVRESDDHQELYIRMAISELAAKGQFSFNKKKGVLTVVLSVSIAALLLSAVAYACRKKMKRLHKRGRGSRAHTLDKDHTTVQMENLDELPFFSLHKLAEATDNFNINNKIGEGGFGPVYKGVLENGRVIAVKRLSETSQQGLDEFQNEVICIAKLQHRNLVKLLGYCIHENERILIYEYMDNKSLDSLLFDETKGSLLVWPQRFRIIHGIARGVLYLHQDSRLQIIHRDLKAGNILLDSEMNPKISDFGLARKFVGQDAMAKTKKVVGTHGYISPEYAVHGRFSIKSDVFSFGVVVLEIVSGKKNRGFSHEAHSDNLLGHAWRLHKEDKSIELMSASLRNSCVVSEVLRSIHVGLLCVQHHVEDRPTMLSVVLMLISEGALPPPKQPAFFTEESYREVDIVSSLEEYTITLLHAR >cds-PLY64435.1 pep primary_assembly:Lsat_Salinas_v7:4:249235166:249240590:1 gene:gene-LSAT_4X132220 transcript:rna-gnl|WGS:NBSK|LSAT_4X132220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRISTPYASRRGFVNWLLHAGAGGPYIRPRSLTGLQTRYKWDHGGGGSDDNGSRTTTMTRRIKAEANCPRCSKQMNLVFSNNTHLIPPSSSAGNESESPPDPGSDSNRKGAYQAVNLCPNCKTAYYFRPYKMAPLQGRFVEIGRVKNSNGNGRDKRQANDDDEYANKLRASFWETLKAYGGEPPENWTNPPPPPPLSGNGLAVHTPPGPPFPPGLNVIRARGPGGGGGGGGEKYGSGGSNLGKNLPTPKEICEGLDKFVIGQKRAKKVLSVAVYNHYKRIYHASLQKGSGADPGRSKTEDDDDNVDLEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGDDVESILHKLLTVAEFNVEAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPVRANMRNSALTNAAVTSSLLESVESSDLIAYGLIPEFIGRFPILVSLSALTEDQLVQVLMEPKNALGKQYKKLFQMNDVKLHFTEKAQRLIAQKAMAKNTGARGLRAILETLLTDSMYEIPDAKTGKDRVDAVVIDEESVGSVDSCLSGCGGKILRGDGALENYLAKTRSKEQMQEADGQLVEGEEETSSKAMSM >cds-PLY73752.1 pep primary_assembly:Lsat_Salinas_v7:2:95724696:95725598:-1 gene:gene-LSAT_2X41321 transcript:rna-gnl|WGS:NBSK|LSAT_2X41321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSLMMPKVLYFCIPFHDLVENPAGFGNYNEMFTIMDEVLAVAHQRDMKTQGRNLQVPGMVNVNGRAGKSLIRLWPLYARTLKLTYEEQKRLIEV >cds-PLY84020.1 pep primary_assembly:Lsat_Salinas_v7:8:35944041:35944523:1 gene:gene-LSAT_8X29021 transcript:rna-gnl|WGS:NBSK|LSAT_8X29021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRIGLPLGSNSDLKTSRHEHPFNFPSSSNRSLPCLPNEDPLQVVDGNRMMRGYVVALFGKHEALRWAIAIHELGSLEMRFSLGSLKVC >cds-PLY68857.1 pep primary_assembly:Lsat_Salinas_v7:3:61485120:61488200:-1 gene:gene-LSAT_3X48540 transcript:rna-gnl|WGS:NBSK|LSAT_3X48540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAVAAAVGNLIQGWDNATIAGAVLYIKKEFNLQNEPTIEGLIVAMSLIGATLVTTCSGAISDSVGRRPMLIISSVLYFFGGLVMLWSPNVYILLLGRLLDGFGIGLAVTLVPVYISETSPPEIRGSLNTLPQFMGSGGMFLSYCMVFGMSLQESPNWRLMLGVLSIPSFLYFIFTIFFLPESPRWLVSKGRMVEAKQVLQRLRGREDVAGEMALLVEGLEVGGETTIEEYIIGPAHNQPITEADKIKLFGHDEGVSLIARPVTGKSTIGIASRQGSASSAGAPFVDPLVSLFSSVHEKLPDAVGSKGSMLFPHFGSMFSVTGNQEKHEDWDEESVGGREGDDYQSDVPGNDDNDDNLQSPLISRQATSMEKDMIHPASSIISMRNNSVVHIDGEQVNSTDIGGGWQLAWKWPEKDVEDGKTKEGFRRIYLHQENGVGAHRGSHISLAGADMPADGESFQAAALVSQPALYSKDLMDQNPVGPAMLHPAEAVTKGINWSDLSEPGVKHALFVGIGLQILQQFSGISGVLYYTPQILEEAGVGVLLSNWGISSISSSLLISNISTLLMLPCIAVAMRLMDISGRRSLLLTTIPVLILSLIILVIGGLIDFGSVANAAISTAGVVIYFCCFVMGFGPIPNILCSEIFPTRVRGICIAICALTFWICDIIVTYSLPLLLTSVGLPGVFSMYAVVCIISWVFVFLKVPETKGMPLEVITEFFSVGAKHKN >cds-PLY91267.1 pep primary_assembly:Lsat_Salinas_v7:3:76951531:76951770:-1 gene:gene-LSAT_3X59701 transcript:rna-gnl|WGS:NBSK|LSAT_3X59701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKPLNAAPMGSSVVEQIARKGDLISGRVPLIHYLRLRQLPIVERKGTEAAVLPSSGTEGCSLFYPTTITQGGKDFGPL >cds-PLY83283.1 pep primary_assembly:Lsat_Salinas_v7:8:191955426:191956154:1 gene:gene-LSAT_8X123841 transcript:rna-gnl|WGS:NBSK|LSAT_8X123841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKILVKVERHVATIKRLMALDDDDDDDDMHIDDTPPNSPGDNPPPPLPPSSNLPRPSHPPHRTSYSLPNSPPQSDVVKKGENNQGVPQLMEMQVVIASLPEMTGRVEAEINPQKRKASSSRGALNIEDGSSSAIGDTSVPPKKKRKLIFDLDELA >cds-PLY61708.1 pep primary_assembly:Lsat_Salinas_v7:5:216000225:216003559:-1 gene:gene-LSAT_5X99521 transcript:rna-gnl|WGS:NBSK|LSAT_5X99521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSSPGMTPVKFGCNRGAMHKHKKIKLKYASSWSSQFKAPTKVNATKAGALDWSMVDPEKEDPNMVSDLLVERMIQDGFIFQEKFCIRVYEVGPYHIATVETLMNHLQVNSQETTANHMKKGGLIHEWFGSKEMFKHNLTWVMAKIHMVVDRYPTWGDFVQIDTWKAAYGKNGVCCNLTFRDCKTGEILVRASSFWVMMNSKTRKLSKIPNEIRAKLEQIYVDKPPLVEQVTRTWSTSEKNINEHMCKGLKPRWSDLDINNHVNHVKYIGLILESVPKTIIENYEIDSITLEYYRECTKDHKLQSFTSILTKDNDEISDFEIVDCQHLLQFESGSNIMKGGTRWRLKHGKSKDL >cds-PLY74021.1 pep primary_assembly:Lsat_Salinas_v7:7:9625726:9626318:1 gene:gene-LSAT_7X8901 transcript:rna-gnl|WGS:NBSK|LSAT_7X8901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIVHDIAEDGIPKLENSKREKEALEQMCKLLGGGPRAEEIHELWMQSLIEIEENDSILGEVQSCS >cds-PLY95750.1 pep primary_assembly:Lsat_Salinas_v7:5:248806896:248810168:1 gene:gene-LSAT_5X123880 transcript:rna-gnl|WGS:NBSK|LSAT_5X123880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSTGIDGGGEGVSLIERERSHVVELAASTEGSDPVSEDQITPLLTQSDKPKLSIFTVSYPKRKNNKDQIARLIEAETSPFTQFIIWTWGGSRYSGMLSMVLSSSIYFIMEVLQDVGSAQAIPLFEIAFTRCVMISILSYMWLRRSGEPIFGPPNVRNLLVSRALTGCISLLTFIYSVQRLPMSQTMILSFTTPVMASIAARFILHENLKLAELAGIGFSFFGVLFILGSPISIQEVSGEGGGVHGAGHVFAVLIGSLSSLAGGISYCLIRSAAKVSDQPMGTVFAFGLLSSPVAAICMIATQEFVLPSFYSFILMIVLSILAFFAELFLARGLQLEKTSKVANIQYLEVALFELWGMGSSRVIVSFGKFVGCFLVFVSAFCTIYFGPEKEIEME >cds-PLY72107.1 pep primary_assembly:Lsat_Salinas_v7:7:52645755:52645973:1 gene:gene-LSAT_7X39000 transcript:rna-gnl|WGS:NBSK|LSAT_7X39000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGIVVVVKVPWLMMASVATVEMVVGLTWTIALVLEVPVATTTVVVAAVAVWMSTTATLVADSVVEAEVEVG >cds-PLY81669.1 pep primary_assembly:Lsat_Salinas_v7:2:24473264:24478106:-1 gene:gene-LSAT_2X11741 transcript:rna-gnl|WGS:NBSK|LSAT_2X11741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFKSSPSSSSSLPTLRWTYDAFLSFRGEDTRNNFIDHLYAALDQRGLHVFKDDKALHKGKAISQDLLEAIKESRFAVVVFSKNYAGSSWCLDELVKIMECKDQMGLMVLPVFYHIDPSDVRQQKRDFDTAFQQHDDKFKREMDQVNRWRKALAAAASLSGWHVKETGSGGESSVITEIVEEILYGTKSHGMEKKLIGIESHINELYSLLGMEMTEEVHFVGILGMGGIGKTTIAKALFRRIAHNFECSSFVRDVRENSSSKRDICALQERVLSEILNTSQRFFVNDPEDGAYMIHQRFCKNKVLLVLDDVDDVEQLKFLSASRRHWFGPGSRIIITTRDEHLLSGANAKYKPDFLHMNEAIELFCLHAFRKNSPPKGYEELSYRAINYASGLPLALEVLGAFFHGREVCVWESALDKLAEISDDKILDILKLSYDGLDVYEKKVFLDIACFFKGKDKEHVSRVLDSFGFHAKIGIKVLEEKSLITISNKRLDMHDLIQAMGWQIVRERFMDSRLWQLEQIHDLAKGKKNPEAIEAIMLMDNEYLIEDYDTKLGLSADVFERMKNLRLLDIDGKFTSTQPTFLPDELRWLHWNDYPFLFLPLGDMCKLVGLKMEHGSDIKHLWEGRKILPNLKFIHLESLCKLTSFPDVSGAPNIKRLIFSKCWGLEEVHESLGSHRGLVYLDMNGCSRVKHLPSRLEMESLETLILSGCESLERFPEVSPCLSSLKKLDLSWRRIHEKSFPKNLDAFSSLEELYLSGNHKLVELPASICHLSRLRRLELNNCSQLESLCALPSSIQVLKANDCISLKKIGDVLKDSEWLYKIWLTNCHKLLEDEENQRYLDKMLQLSFIKSCAAVNHRLSISIPGSKIPSWFKEKKDGCRIGLKLPHKWHTKIMGFLVGGVFSKWGWGYVCPRIIFKLVNDGNIILKSEVNHIKAIETTENGGNVWISYMPLGFFQKMYHDLQPQDWSHIQDNLKMTISLTDGTQSVAIGAHVIYKENVQQLKTSFSDYGNMVHVDDEDLRYDELISGNTYVYEDKFDEEALMPLRSRTSARCNKRNLHCVITLSGPR >cds-PLY63266.1 pep primary_assembly:Lsat_Salinas_v7:4:200435990:200438040:1 gene:gene-LSAT_4X112081 transcript:rna-gnl|WGS:NBSK|LSAT_4X112081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP26-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74070) UniProtKB/Swiss-Prot;Acc:F4HTT6] MEKIWQNSSLLHSTSKQHHPPPPPPPATTTTSPAVIKLSRRHLAICTNSSLLLLTSQFQPTARAQEQTLFPPENPQTLDDNPVDTTNTAIAPADDNQLDTTATTTPIEANPIETTTTTTPVEENPVETSATTTPVEENPVETSATSNVTEENQSETSITASSTDETSSVTTNDVPDTNDSTTAATTVDSCTDKLPTKRAFLDISIDGKPIGRVVIGLYGNSVPTGTTRFSDFVSGAAGVSYRRKEFIKITPSYIQHGGVRSYGVDAELAAKTGRSFAVNNLVSELEKENGKCPGTKNVAGTVGIIVRDPLKPPPKQKLVARNGKLVIDEEEIGKEPNGTEFLISTKDSPELDASTLVVGKVLEGMEVVEKMSQVKTVQENTSSPYFRVAKLIGDKRAVVAERGFNRPYSKVIVTNCGLMA >cds-PLY82668.1 pep primary_assembly:Lsat_Salinas_v7:4:62777195:62778801:-1 gene:gene-LSAT_4X43380 transcript:rna-gnl|WGS:NBSK|LSAT_4X43380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSGGKGISASAKPYKRTPPSWLKISPQDVQDSICKFAKKGLTPSQIGVILRDSHGIAQVNSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >cds-PLY91647.1 pep primary_assembly:Lsat_Salinas_v7:8:11405927:11406219:1 gene:gene-LSAT_8X7740 transcript:rna-gnl|WGS:NBSK|LSAT_8X7740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCCYLTHTTEVTHQLIRDNLHETPTYGGWVEAKGPRYCPSTKDKIVRFQDNDSHQIFLEPDARSVPDLYVQVS >cds-PLY80366.1 pep primary_assembly:Lsat_Salinas_v7:7:154246028:154248618:-1 gene:gene-LSAT_7X90480 transcript:rna-gnl|WGS:NBSK|LSAT_7X90480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSHLQFQSLSFAKTLNQSSKPITPKTLISYKPASKTLAIRAVISQKPPATQKFQHCFTKKDDGYLYCEGLKVQEVMEAVERRPFYLYSKPQITRNVEAYKEALEGLNSIIGYAIKANNNFLILQHLKNLGCGAVLVSGNELRLAIRAGFDPTRCIFNGNGKLLDDLVLAAQEGVFVNIDSEFDLDNIVSAARIAGKKVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDAVKSYPDELKLVGAHCHLGSTITKVDIFRDAASIMVKFIDEIRAQGFDINYLNIGGGLGIDYYHTGTVLPTPRDLIDTVRELVLSRNLNLIIEPGRSLIANTCCLVNRVTGVKTNGTKNFIVIDGSMAELIRPSLYDAYQHIELVSPPPHDSAVSTFDVVGPVCESADFLGKDRDLPSPASGSGLVVHDAGAYCMSMASTYNLKMRPPEYWVDEDGSVAKIRHGETFEDHMRYFEGL >cds-PLY75692.1 pep primary_assembly:Lsat_Salinas_v7:8:195573798:195577481:-1 gene:gene-LSAT_8X125901 transcript:rna-gnl|WGS:NBSK|LSAT_8X125901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH121 [Source:Projected from Arabidopsis thaliana (AT3G19860) UniProtKB/Swiss-Prot;Acc:Q9LT23] MDNRLPPNSEKFHLAPPDNRPPPNQLNAELRKLQKADREKLRRDRLNEQFTELGKTLDPDRPKFDKATILCDTIQMLNDLTAQVSRLKSEYTTLTEESRELTQEKHDLREEKASLKSDIENLNLQYQQRVRASSSIYPWGGHMDQSVVMQPHPHPHPPTYPYPIPMQMPMPPMHHPPIQPYPFFGNQNPSNPCSTFFQYVSPPPVHQPSSRSHVSTRQPSKNKSSSGKNEDSNDVATELELKMPGSTLDQEGSGGESKLKKSHRKENSISDESSLSPSGCSSSHTVQASSSNSVVGGLNGNGGGHR >cds-PLY63595.1 pep primary_assembly:Lsat_Salinas_v7:4:134870901:134871242:-1 gene:gene-LSAT_4X83901 transcript:rna-gnl|WGS:NBSK|LSAT_4X83901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEDKKVESLRPKTDHVVVGLSKGSTTLSSQSQSQTGTHMRNVKDVFLSAFSSSNGKKRHDSPYSFVNVLGVPRMAVAGAKPKFFVPLMKDDVKENESMLLNEVKRWDKDSVV >cds-PLY72763.1 pep primary_assembly:Lsat_Salinas_v7:4:372623551:372634490:-1 gene:gene-LSAT_4X183620 transcript:rna-gnl|WGS:NBSK|LSAT_4X183620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSLKSVSDLPDPFRATFSFRYFNSLQSECFSACFHSDINMVISAPTGSGKTVLFELCILRLLSKFITQEGRFIHTKGTLKTIYISPSKALVKEKLRSWNQKLGSWGINCLELTGDNESYNIKSIQEADIVLTTPEKFDAVTRFGIKDGGLSFFSDISLVLIDEVHLLNDPRGAALEAIVSRIKMISRSPQMETSPLAHVRFLAVSATIPNIDDLAEWLMVPIQGIKRFGEEMRPVKLTTKVFDLLMQYSSGKSALVFCSTRKGAQEAAQRLAQTVMTHGYSNPFIKSMDQQERLREASLSCGDKQMQSYILYGVGYHNGGLSPKDRSLIEGLFLNGDLRVLCTTNTLAHGINLPAHTVIIKSTQHFNKEKGTYMEYDRSMILQMCGRAGRPPFDDTGMVIIMTRRETVHLYENLLGGCELVESQLLSCVTEHLVAEVVQLTVPDITRAIEWIKCSYLYVRMKKNPQNYAIKKELSGIHIEKHIQEVCVQKVKELSEYQMILTEEDGFILKPLEPGRLMTKYYLKFDTMKHIMKAPANCSIEDALNIVCRAEEISWIQLRRNEKKLLNDINNDKDGRLRFHVLGDKGKKKKRIQTREEKLFILVNDCLTGDPTAHDLSLTQDTNSVCSNGCRIAKCMKEYFIYKKNYKGALNSSLLAKSLHQKLWEDSPYLLKQLPGIGMVTAKALQSMGVKSFETLSEADPRKIEMVTGRKFPFGNHIKESLLSLPPKVDMKLEEMTCPNYGKSKLVMTLTRLSESPQTNKRHYADVGTKKLSLPPSTDVCIIDPENNDSPQVPAGRSQKSLKSKNEEASIPSFKLLDEDSDEGEPVVEIENDDDDDCKIINERTVFDHIREKAKSLPSFTTTPTTECPPSLETLSLIRKRTRERHLALDDPSEFSANPCEEEFQSSEPHRNSKNNTLTGETIFDHIRKKAKSFPRVDEIKTLTTKSTVTNFDLFADTKSIVLDLEPMKPREYHPKSPSLANRQLCSLATETEKRQVSRQARTEIGINWVPNMRT >cds-PLY69865.1 pep primary_assembly:Lsat_Salinas_v7:6:2086539:2087550:1 gene:gene-LSAT_6X580 transcript:rna-gnl|WGS:NBSK|LSAT_6X580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGFMVIMEIAFCPSYIVDIVHCDPRALKDILCGFNNLECKWEKNIKMRTKPIMATVEWFVFEVDMVENNAGYASPETPTGGRSLRFL >cds-PLY66306.1 pep primary_assembly:Lsat_Salinas_v7:5:290255660:290258593:-1 gene:gene-LSAT_5X156361 transcript:rna-gnl|WGS:NBSK|LSAT_5X156361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSKFLAGVTRRKSFNCHKYSPTFLSTLMLIASEKHMSMSTGVDAFETNHPVTSKSQVYDIAAGKYRKLVEFGSSKSQTHQPPVVRTLNPHICMSSCHYSTQYTDSIATNSQHKLLGNIPKYVKIVEVGPRDGLQNEKDIVPTAVKVELIKMLVNSGLQVVEATSFVSPKWVPQLADAKDVIKGIKGISNARFPVLTPNLKGLEAALAAGVKEVAVFAAASESFSRSNINCSIDESLARYRDVASAARKLFIPVRGYISCVVGCPMEGEVHPSKVAYVAEELVKMGCDEISLGDTIGVGTPGSVIPMIEAVKKVVPIEKLAVHFHDTYGQALSNILVSLQMGISVVDSSVSGLGGCPYAKGATGNVATEDVVYMLNGLGVKTNVDLRKLILAGDFIRKHLGRPSESKAATALKKTVFCASKL >cds-PLY92052.1 pep primary_assembly:Lsat_Salinas_v7:5:324812865:324813143:1 gene:gene-LSAT_5X179401 transcript:rna-gnl|WGS:NBSK|LSAT_5X179401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGRGCKNQLEGRLDFPLYTFPNVVAPDVMIQWNEKLAWSKERKVHIPAKINWNKMEEVGLSEAIEPFLTKSFDGVQGRFICMAWGRLFHIQ >cds-PLY73509.1 pep primary_assembly:Lsat_Salinas_v7:4:21907732:21909789:-1 gene:gene-LSAT_4X14961 transcript:rna-gnl|WGS:NBSK|LSAT_4X14961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKDEDFKLLKIQTCILRVNLHCEGCKHKVKKILQRIEGVYQVSIDAEQQKVTISGSVDSATLIKKLVKAGKHAEIWSNNNQSQSQSQNQNQQNQKGSNMKDDKKNKTQKDDFTKSIESLKKQSKLQPLTSGEDDDPFEDDEDLRFLKGKTNQLGVLRQNQKQQQKQQESTANSANNARNSKAKTQPNNGSGKKVQVNVGGQKGNFENLNEGKRVNEVSSIMSNLVSGGGGGGGGFETPDNGGFAMATGGQQQMMNYNMNGLGLGLGYNQHQQEYNSSAAAASMMMNMQNRQAMYQRSPMMPPTTGYYYYNYNPAPYTYNESPHGHGYYYTNTNGGGNMLSDENTSSCSIM >cds-PLY65749.1 pep primary_assembly:Lsat_Salinas_v7:5:270687296:270687547:1 gene:gene-LSAT_5X141140 transcript:rna-gnl|WGS:NBSK|LSAT_5X141140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACKKMALEQQCNNVEVELDDTLQKNKALIIRLESLERELLDKEKLLLDRKVEVSRVGSDLDWLVKDGFVMIVDKFIELPEFL >cds-PLY81830.1 pep primary_assembly:Lsat_Salinas_v7:3:30351681:30354008:1 gene:gene-LSAT_3X23121 transcript:rna-gnl|WGS:NBSK|LSAT_3X23121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSFASPLVRSASIKQDVVIRNTVNFHRSIWGDQFLMYEERKDRECEEQLANELKEEVRKMLIITTQHRKLLELIDAVQRLGVAYHFEKEIEECLNHIYVSYGHGDLWIHNNDLQGTSLWFRLLRQQGFNVSSGIFNKYKNSDGSFMESMKDDVQGMLSLYEAAYMRVEGEQVLDEALAFTTYHLANILENHTSLIEAQIHQALQQPLRKRLPRLEALRYIPIYQQEASHNEPLLKLAKLDFNLLQDLHRKELSQISKWWKDLDVSRNLPYVRDRIVEGYFWILAVYFEPQHSDARIFLMKACNLVIILDDTYDNYGTYEELEIFTEAIQRWSMSCLDMLPEYMKLIYKELLDVYKEAEDLLEKKGQTYRCYYMKEMVKEYARNLLIEAKWVNERYTPTVEEHRSVTLVTCAYAMIIAKCYVHRDDLVTEETFKWVSTYPPLVKASCLILRLMDDVATHKEEQERNHVASSVECYMKQYGVTEEHTHELFTKQVEDEWKVINKESLRPTDVPRPLLMPPINLSRVCDVLYRRGDDYNHAGKEMINHIKSLLVNPLSG >cds-PLY96899.1 pep primary_assembly:Lsat_Salinas_v7:2:108743425:108745113:-1 gene:gene-LSAT_2X49721 transcript:rna-gnl|WGS:NBSK|LSAT_2X49721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRRVKLGSQGLEVSAQGLGCMGMSAFYGAPKPEPDMIKLIHHAINAGITLLDTSDIYGPQTNEILIGKALKGEVREKVDLATKFGVKYDSEAMEVSGDPAYVKYACEASLKRLGVDCIDLYYVHRIDNRVPIEITMGALKELVEEGKIKYVGLSEASASTIRRANAVHPITAVQLEWSLWSRDVEEEIVPTCRELGIGIVTYSPLGRGFFSSGPKVLENLEDGDFRKYLPRFQAENIEHNTIMFKKVSDMAAKKGCTPSQLSLAWVHHQGNDVVPIPGTTKIENLEQNIGALSVKLTAEDMAELEAIAAADSVKGGRYGDGISTFKDTETPALSSWKA >cds-PLY85754.1 pep primary_assembly:Lsat_Salinas_v7:1:48049699:48050580:-1 gene:gene-LSAT_1X41980 transcript:rna-gnl|WGS:NBSK|LSAT_1X41980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANSTSPVPPVSGGAKGRRKMPNSRGHPKYVGVRQRPSGRWVAEIKDSLQKVRLWLGTFDTAEDAARAYDQAARTLRGDNARTNFELPNSNDVPCVSLDTEPFSFEEACSSDGGDEGLLGVLKAKLSSKHTKTTNVMCFNSTRKRKASPPPPSPPDQAVKCGGGKVVVDILPPDPDRVCDAIEVASPNHYDGLIQPCYEPLPPATEPWSSEIPWVASNQSSGLFESSLMDSMWPVFSTEANQLTGTGIWPSDQPVVQCEYGWDGGGLSQYSTNSVAINASNWDPFVYVNSVLG >cds-PLY82118.1 pep primary_assembly:Lsat_Salinas_v7:1:14396668:14397081:-1 gene:gene-LSAT_1X11960 transcript:rna-gnl|WGS:NBSK|LSAT_1X11960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLHGFLFDQKPTPTNICIVNHELRRHLVRTVSNGSNNGATTGGGLTQRRQSPAVEWSRRQGPLEQHRRRHKRLRAVTERRRWRRVDRDESSALNGQATTKPTSRENLRHCCGCIDGRLRWATSVGFVDRKHKSNA >cds-PLY92886.1 pep primary_assembly:Lsat_Salinas_v7:3:181436844:181438681:1 gene:gene-LSAT_3X108660 transcript:rna-gnl|WGS:NBSK|LSAT_3X108660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQSTLQRARDDEKFHLKQVNFVYKEDYERPKVKVKLPNLKTRLPKLNKDSAMPRSNQASHGSLDTYLCGSTVSGGLSWPQLLKICIGVAFALDYLHNHMAEKHRIIHHDVKSANVLLDENWNAKLLDFGLARIGLANQHNTIVITNIVGTHGYCDPQYEKQGFITKELDVYSFGVVLFDVLCGRLACIFLVTMMNGDYSSIIGLERDTKLVSLIKIIDHRTKIEINPRTISKFSAIAYQCLHKTREERPTIAELAFQLGKLGKSK >cds-PLY68953.1 pep primary_assembly:Lsat_Salinas_v7:4:363564546:363566368:1 gene:gene-LSAT_4X179120 transcript:rna-gnl|WGS:NBSK|LSAT_4X179120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVSFAPSQHGEDDDSRRQFVVKGHWKPSEDRKLRELVALHGPKNWNMISEQLPGRSGKSCRLRWVNQLDPQIKTSMFTREEDETLMAAHMVFGNQWSHIAKFFPGRTDNGIKNHWHVLTSRRRKHIVGVPSSSSSSSSFRCVSGDSTNGSSSSTITLMGKSTVINEDTKCSGEEIKMSLAVADGGGSGGTGDYCNEAMETDDGYMAEVRLSFTNPPNDINLNTATSNHFTTIQTTINQPLSPPFIDFLGIGN >cds-PLY69121.1 pep primary_assembly:Lsat_Salinas_v7:5:285700181:285702829:1 gene:gene-LSAT_5X150041 transcript:rna-gnl|WGS:NBSK|LSAT_5X150041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKCHLLKRVVEELILLGTSACPVATTTFLVFSKSVISMLFLSHMGKSELAGGALAIGFANITGFSIMKGLCMGMDPICFQAYGAKRYSVVSQIYIKTFFLLLLISIPITFLWLNIEPVLQGLGQDRVITKVAASYLVFSLPELPALAHLLPLRSFLRTQGLNSPATISATCATILHLPINYFLISYLNLGMKGIALASTCFTYNMNIGLVLYLYLSKVSIKPWVATTNMVFVLKGWGPLLSLAIPSVCSVCLEWWWYEIILFLSGVLENPESCVAATGIIMQLTGIVYVLPFSLSMSISQRVGHELGAGQPARAQWAAGVGISIAFIYGLVIFVIYIALRNVLGILYTHETQILILLSSALPVMGFAEVGNALQTAACGVLTGSARPKVGVRINIAAFYLIGLPMSIVMAFILKMGYQGLWLGLVASQVACALLMVYTLIKTDWINESKRAEELTLIVNKDDKESIELVP >cds-PLY65030.1 pep primary_assembly:Lsat_Salinas_v7:1:119773637:119780876:-1 gene:gene-LSAT_1X91720 transcript:rna-gnl|WGS:NBSK|LSAT_1X91720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLRHRTSLRGLFVSNSPCPIMTSKHFLNFKPTTSSFNYPDNKTNLYPAHKFISSTFPSKSSPKLVPLSLKPSNLSFSSHASIPRPVSEAYLEKADDEIIIDTPQLKALEVKLKEIGIVSESFSPGQKNGLICPMCKGGDSGEKKLSLFISDDGNSAVWTCFRAKCGWKGSTRAFADVKSSYKRMNALPKVKKIKELSEHELNLEPLCKDLVEYFSERMISEETLRRNHVMQRRYEKQIVIAFTYRRKKELVSCKYRDISKKFWQESDTEKILYGLDDIEGASDIIIVEGEMDKLAMEEAGFRNCVSVPDGAPPKASSKDLPSQEQDVKYQYLWNCKEYLEKASRIILATDADQPGQALAEELARRLGRERCWRVTWPSKNEKEQFKDANEVLMFMGPAVLRGVIENAELYPIKGLFNFRDYFGEIDSYYHQTLGNELGISTGWKALDDLYNVVPGELTLVTGVPNSGKSEWIDALLCNLNENVGWKFALCSMENKVREHARKLLEKHVKKPFFDVRYGKSVERMSLEDLELGKKWLSDTFHLIRCENDCLPSIEWVLRLAKAAVLRHGVNGLVIDPYNELDHQRPPNQTETEYVSQMLTSIKRFAQHHSCHVWFVAHPRQLQQWNGKPPNLYDISGSAHFINKCDTGIVIHRNRDPEAGPMDRVQICVRKVRNKVSGTIGDAYLKYNRVTGEYLDIKETMNRN >cds-PLY63545.1 pep primary_assembly:Lsat_Salinas_v7:9:150701909:150703941:1 gene:gene-LSAT_0X5321 transcript:rna-gnl|WGS:NBSK|LSAT_0X5321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTLSPATSSQLRSGTNGLFSPAQGLIAKSAKSQSAGRERGMKVTCQAASIPADRVPDMEKRKLMNLLLLGAIGLPSTGMLLPYTYFFVPPGSGGSGGGTAAKDALGNDIVVAEWLKTHGPGDRTLSQGLKGDPTYLVVENDRTLATYGINAVCTHLGCVVPWNKAENKFMCPCHGSQYNNQGKVVRGPAPLSLALAHADVDDGKVIFVPWTETDFRTGEAPWWS >cds-PLY89074.1 pep primary_assembly:Lsat_Salinas_v7:9:28054057:28054299:-1 gene:gene-LSAT_9X24541 transcript:rna-gnl|WGS:NBSK|LSAT_9X24541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLRGRYQGKLEHRAVPLYETLPPPTACLLLSQYPLSLRVVRPHQRAARVRTLLLFLRLHLLVQLGRPLMTCWIARLG >cds-PLY93956.1 pep primary_assembly:Lsat_Salinas_v7:8:110632922:110637119:1 gene:gene-LSAT_8X75520 transcript:rna-gnl|WGS:NBSK|LSAT_8X75520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSFKFHCLLKLCLVLLLCFFNTINATEVSHDGRAITIDGQRRIIISGSIHYPRSTAEMWPDLIRKAKEGGVDAIETYVFWNAHEPLPRKYDFSGNLDLIRFIKTIQSEGLYAVLRIGPYVCAEWNYGGLPVWLHNMPGIELRTANTVFMNEMQNFTTKIVNMVKEENLFAAQGGPIILAQPDAPQPMINTCNGWYCDNFTPNNPNSPKMWTENWTGWFKNWGGLDPKRTAEDVAFAVARFFQSGGTFQNYYMYHGGTNFGRTAGGPYITTSYDYDAPLDEYGNLNQPKYGHLKELHDVLHSMENILTHGNITNINFGNSVSGTIYATTNGQSCFFVNANTTTDADIVYEGNHYNIPAWSVSILRNCKQEIYNTAKVNTESWVMKKQCNEAESEPEALKWVWRPELIDDLALRGTGRVSAKKLIDQKVANDVSDYLWYMTNFYLTKNDPLWSDDMSLRVNATGQVLHVYVNGEYLSDQSATYGVFNYVFEKKIKLNPGPNKIILLSATIGLQNYGPKFDLIQTGVPGPVQIIGKKGDETIIKDLSTKKWYYKVGLDGFDNKFFSGNTSEWRVDDLPISQRMIWYKTTFKAPLGNEPVSLDLEGLGKGVAWVNGNNIGRYWPSYIAQQTGCSNEACDYRGPYNNTKCVTNCGKPTQKWYHVPRSFLVNGENELVLFEEYGGNPMSVNFQTVRVGRTCGNAYENKTMEISCKNRSISSIRFASFGDVKGSCGSFRKGSCQAKNDVISVIQKECVGKEVCSIEANEGVFGSTNCDHGIRKRLVVEAVCA >cds-PLY67928.1 pep primary_assembly:Lsat_Salinas_v7:5:298958370:298958573:1 gene:gene-LSAT_5X159741 transcript:rna-gnl|WGS:NBSK|LSAT_5X159741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQYNFFPTDFLYPQSTKISKDVLLPQTLPLIIQKPEILLEDSTKMKSANGIKKQIKTPKLSTHQ >cds-PLY91097.1 pep primary_assembly:Lsat_Salinas_v7:MU039484.1:1545505:1545954:1 gene:gene-LSAT_0X5521 transcript:rna-gnl|WGS:NBSK|LSAT_0X5521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCYHGITHDERDNGRNRGSWTVPKMTHNENTDIGRGEDALQAVGSSAIIQHRDASVQRYKQKRRNRLFAKTIRYEVRKLNVEKRPRIKGRFVKRN >cds-PLY78897.1 pep primary_assembly:Lsat_Salinas_v7:5:305445363:305448910:1 gene:gene-LSAT_5X164821 transcript:rna-gnl|WGS:NBSK|LSAT_5X164821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASISTCFTITPKSHSKPSSVFSLRPSCQFHYSFRTHNNVFHRELLRFERQQSSNRRSFVSEIRSSFNVPSVEPTARIRPGRIIESDKLPADVRKRTMEAVDKCGRRVTVGDVASKAGIKLTEAQRALQALASDTNGFLEVSDEGDVLYVFPKDYRSNLAAKSLRIKFEPLIEKTKSGAEYLIRVTFGTALIASIVIVYTTIIAILTSSSEEDNRGGRRRGRSFDSGFSFYLNPADLFWYWDPYYYRRRRVRKEDNGMNFIESVFSFVFGDGDPNEGIEEERWKLIGQYIASNGGVVTAEELAPYLDVENADKTDDDSYILPVLLRFDGQPEVDEQGNILYRFPSLQRTGGRKEYVGRKWNEFVGGVDKFFKEKKWDFSKISNAERAMVAGLGAFNLFGVIVLGTMLKNMTVTPSGFISFVSEIFPLLQIYAASIFAIPLVRWFITQKTNAEIEKRNRARELRARALELPDVSLRRKILSARDMSERTVIGKDRIVYTTERDIFEQDYDTQEWDRRFKEIDKSD >cds-PLY72359.1 pep primary_assembly:Lsat_Salinas_v7:5:6135751:6135978:-1 gene:gene-LSAT_5X3601 transcript:rna-gnl|WGS:NBSK|LSAT_5X3601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQWDGGGQLSALTLASRLFTASDSEVGPEMVFWWLGLRPIGKTRGCWGGNGGWTREKKMATGELGLGLKGCMQS >cds-PLY89007.1 pep primary_assembly:Lsat_Salinas_v7:3:72728372:72728699:1 gene:gene-LSAT_3X62221 transcript:rna-gnl|WGS:NBSK|LSAT_3X62221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHWFNIAQGMAFGTGSVVAHITMDSIMVPRIIQYETVGVVVPDASATNTSASDACGMHSKAFIDICLHSNC >cds-PLY63637.1 pep primary_assembly:Lsat_Salinas_v7:4:131765384:131766317:-1 gene:gene-LSAT_4X81981 transcript:rna-gnl|WGS:NBSK|LSAT_4X81981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METIKGNTGKNNFLVKTWKRCRSFSHIHSSKGSLSRLPKSRSWSGKETMKKKIAPGGFFPVCVGPDKQRFAVKTKYASHPLFAMLLEDAEKEYGYHCDGPISLSCDVDLFYKVLAEMEAKDVQPLGRSYAFGSCSPFNPSRRLGSNGAAQMAKLGYGYYGPISLQARLR >cds-PLY86036.1 pep primary_assembly:Lsat_Salinas_v7:3:73970117:73970821:-1 gene:gene-LSAT_3X61340 transcript:rna-gnl|WGS:NBSK|LSAT_3X61340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVVGNTSGLLLSKTLHFPERDMFDDNKTVSIELLLYRDSSNFGTWDGDGSIISYTKFRNPKLQGFEQFEEYYYLNLRKITIGGADGNGGTIIDYGSTFTTMDNPIYDPVEKEFEIQMYKGNCNRATYAESPEGFRICYAAIGGKVSMFPELTFHFKGGAKLSLPMADYFSQVEPE >cds-PLY89356.1 pep primary_assembly:Lsat_Salinas_v7:5:70183919:70184844:1 gene:gene-LSAT_5X33661 transcript:rna-gnl|WGS:NBSK|LSAT_5X33661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDHPSTSTLDTPPQPPPPIDSSQYPPEPLTERVLRALENRLLLLHRSNNDFFILGATGNVYTVTLSATPSCTCPDSTTPCKHILFVYIRLLSVSLDDPCLWRRTLRPCQLTRLLSAPVSVDAVAGVAIRQRYQELYLHRATTNPDGEGPPVQVEEGSNCPVCLEEMGRGDRKLVACETCKNPIHEECLMAWKKTSRRRLTSCVICRARWRDPAERERYINLSAYVSADENTIHGQQDVDYSGVNVND >cds-PLY82496.1 pep primary_assembly:Lsat_Salinas_v7:2:182968976:182970714:-1 gene:gene-LSAT_2X104220 transcript:rna-gnl|WGS:NBSK|LSAT_2X104220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 727 [Source:Projected from Arabidopsis thaliana (AT3G54300) UniProtKB/Swiss-Prot;Acc:Q9M376] MSQKGLIYSFVAKGTVVLAEHTAYSGNVSTVAVQCLQKLPSGSSKYTYSCDGYTFNFLLDSGFVFLVVADESAGRSVPFVFLERVKDDFTKRYGACIGNDHPLADDSDDDLFEDRFSIAYNLDREFGPKIKEHMEYCLNHPDEMSKLSKLKAQITEVKGIMMDNIEKVLDRGEKIELLVDKTENLQFQADSFQRQGRQLRRKMWLQNLQMKLMVGGAIAVFIIIVWLMACRGFKC >cds-PLY84289.1 pep primary_assembly:Lsat_Salinas_v7:1:45214773:45216325:-1 gene:gene-LSAT_1X39620 transcript:rna-gnl|WGS:NBSK|LSAT_1X39620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPNNNNNTNSRPRRRLDLTLPLPLPQPQIAVPLPLPPSSALQHQHINFSDLHRINRIGSGSGGTVYKVLHRPTDTLFALKVIYGTHDDDARHQIRREIEILRGVDNLNVVKCHDMFDRAGEIQVLLEYMDGGCLQGTHLSDESLLADLTRQIISGLYYLHRRKIVHRDIKPSNLLINSKKQVKIADFGVSRILEQTMDPCNSAVGTIAYMSPERINTDLNEGKYDGCAGDIWSVGVSILEFYLGRFPFTVGRQGDWASLMCAICMSQPPKAPATASREFRDFVACCLQKDPARRWTAAQLLRHPFVTGAALNHKHSTYSQVHPTYELLPPPCPQFSSSS >cds-PLY90314.1 pep primary_assembly:Lsat_Salinas_v7:2:199404706:199414026:-1 gene:gene-LSAT_2X120601 transcript:rna-gnl|WGS:NBSK|LSAT_2X120601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEANHLQEDYKAAAFEEEDAYYVEEFGEDDPDGDGEGNKRDFTKLELKADHVNRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIIAVLNKLSKTVLKRLLSDEVISRARISNEGDDGFAVSRSVGEVEGRHEELLTEAQLAAAAEEKEAHSFEIDPAQVENVKQRCLPNALNYPMLEEYDFRNDTVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKHENSKKKQALYVMNPNKFRACEFLIWFHGEQRDDKVIVFADNLFALTEYATKLRKPMIYGATSHIERTKILDEFKNGKKVNTVFLSKVGDNSIDIPEANVVIQISSHAGSRRQEAQRLGRILRAKGRLQDRMAGGKEEYNAFFYSLVSTDTQVITSLPPPDSKAELSYDCLKDQVSLLNKVST >cds-PLY64200.1 pep primary_assembly:Lsat_Salinas_v7:7:3888150:3892586:1 gene:gene-LSAT_7X2680 transcript:rna-gnl|WGS:NBSK|LSAT_7X2680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEFYAAATTSASVRRQMEYLKGNAAKIVENHINQASTYIRTEDSDNPLVYSKTCITLGSTIDSAIAMNVVPSSFHLPQLLLIVREVYRRKTELQQPSLMLLMLPIKAACKVGWFSDGDKADLLMIVEEVCKGFSDTEKMSIEPNYAHSCVSNIILRFYPTMKVENILTSFDVKAGYGTFVVDFHISQGTLPRNCRNLWLLVARMDNMDTVACIANPSNVDFLINGKGVPKRTKSTMGKGPQLPSNVTKMIKYGVNLLQVLGDFDGQYIIAVAFMNLNPSPDLPPLKDYVHTTSTVVDSDCNVIGTSSLISLDCPISKLRIRTPVKGHLCKHPQCFDYKYFLEVNSRWPTWNCPICNIPLCYLDVRIDQTFVKMLNEVAEDVSNVMVSANGSWTVATKTKRQTIESITRNNNHVPNSSLPSQSLHTTDQADAGPSTTEQAQSTTVALAFEHLRGGGEQQHYTDLDPSQPDVGTTEQAQSTTISTPPPVGSQPQQAPPPTGDVMPVRRMRGSLRGDQLAEARDRLLAPPRQPVLWSRPTATLPIALLMQPTTTNSSHNTLNLPN >cds-PLY68221.1 pep primary_assembly:Lsat_Salinas_v7:4:221930298:221932381:-1 gene:gene-LSAT_4X120840 transcript:rna-gnl|WGS:NBSK|LSAT_4X120840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLELDHMSPLPLVVEALYGHYMSLLIPAMEELVMKAAYLYHKTSFLLFPNITLYDWDLNEDEQIRENFENVIQDRYKDIMGTFRNRSADMARAAGHDIPKDKKNFDIMQNVVPNGMQSERWKDLCREWNTDAWLKRSASGKSNRNTADSGGKIARPTGGSISYDEHRIRFEAYSRALLEKYGDDLVDHPIDDAELWAKTQREISGASRSSYIYGVGSSDINSLFNGKSSVGAGCSSSSCGSQQEVKELRIQLENVERGRVLMQQKQEIMEQQLAQLMRRFCNPPEDRC >cds-PLY80561.1 pep primary_assembly:Lsat_Salinas_v7:6:12410695:12412593:-1 gene:gene-LSAT_6X8521 transcript:rna-gnl|WGS:NBSK|LSAT_6X8521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFEEADVVTLLKIAIEDPKDDYVILKEPEGLGGGNGRGGGGCCSLPSSSSSSSRSCSSVGGGFWCSLWWWSKLVLVFIFLAVVGVCFFLWIGPFLMNKEVIPILNWETETFSKPVLAVLIFTSVAIFPTILLPSTPSMWVAGMSFGYGFGFLLIISGVIIGTSLPYFIGSLFYHKIQGWLERYPKKASILKLAGEGNWFNQFRAVALLRISPFPYMVYNYCAVATDVKFGPYLFGTLTGMVPEIFVAIYTGLMIRTLADASNDQHSLSVTQILGTVGGFLLTITTTVLVTVYAKRRLNELQKDEEQLLLQ >cds-PLY90044.1 pep primary_assembly:Lsat_Salinas_v7:MU039662.1:38460:38801:-1 gene:gene-LSAT_0X34941 transcript:rna-gnl|WGS:NBSK|LSAT_0X34941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPSEKEMNTLLRNEALSLFFIKAPIRSHSPLLTGSRLISLPLATQMFQFAKFEKSKERRLATELGYGFPIGDPWITDGISLWPFASESVLPSQCPCIHPMHSFRSCTHGAVH >cds-PLY73127.1 pep primary_assembly:Lsat_Salinas_v7:2:191515020:191518847:1 gene:gene-LSAT_2X112481 transcript:rna-gnl|WGS:NBSK|LSAT_2X112481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSKWRKVKMALASNLCVYVPTADADDSPPHSDGFSDAALLSPSPAGWSMSGSATRPASPSLRLSKSFNRSSKKTCTICLASMKRGEGQAIFTAECSHSFHFQCIASNVKHGNHICPVCRAKWKAVPLLGPTNSGPQLGRTRINPVNWSQDNPVMTLLRPNSPRHVTATSPIFPGPEPPVFNDDEPLNLKYSNKTCSDSDKSLLKRVSVKTYTEVPSVPQFTSVDDFTVLIHLKAPASVSGVKSSNTNQGQSQGPTFSQVNQTPRAPVDLVTVLDISGSMAGTKLALLKRAMGFVIQNLGPADRLAVIAFSSSARRLFPLRKMSDSGKQQALQAVNSLVANGGTNIAEGLRKGAKVMEDRRENNPVASIILLSDGQDTYTVNGNSNSGGRKNQLTYELLVPRSIENSGIKIPVHAFGFGTDHDASSMHSISEISGGTFSFIETEGVIQDAFAQCIGGLLSVVVKGAKVTIESVNPNVVLGSLKAGSYKNELMADGKSGCIDVGDLYADEERDFLVSVNIPTELTLNKTCLLKVGCHYTDPLTKETVNLESEDVRIERTEKVGEQAVVSIEVDRQKNRLQAAEAMVQARAAAEEGDLSNAICTLEKCRRVLSETVSGKSGDRLCMGLDAELKEMQERMASRHMYEASGRAYILSGLSSHSWQRATARGDSTDGSSLVQAYQTPSMLEMLTRSQASLLGSSLGSGQGQRVVRPVWSFASSHSQPKPR >cds-PLY73162.1 pep primary_assembly:Lsat_Salinas_v7:2:191176044:191177737:1 gene:gene-LSAT_2X112821 transcript:rna-gnl|WGS:NBSK|LSAT_2X112821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMSKGSKMLQFINYRMRVTIQDGRQLVGKFMAFDRHMNLVIGDCEEFRKLPPAKGAKKNEEREDRRTLGLVLLRGEEVISMTVEGPPPPDENRAKAVGAAALAGPGLGRAAGRGIPTAPLIQAQPGLAGPVRGIGGPAPGMMQPQISRPPVPNMSAPPMNYPQAPVIRPGQMPYPGQGPPPQMPRGPPPQMPPQFAQRPPGQYQVPPPGQYGQRPMAPPPQMMRGPPPPGGAPRPGMPGPPPPARPGMPPPGGQIPVFGPPRPGMPPPPNSQQQHQQQ >cds-PLY73379.1 pep primary_assembly:Lsat_Salinas_v7:6:111781838:111783900:1 gene:gene-LSAT_6X69160 transcript:rna-gnl|WGS:NBSK|LSAT_6X69160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHFRSMVTVYRRERARAASSVMKIVAESVISVKIAETFVKKKVCGIPFDQILCFGNLGIYVHGWCMCSL >cds-PLY95476.1 pep primary_assembly:Lsat_Salinas_v7:4:264797249:264797431:-1 gene:gene-LSAT_4X137281 transcript:rna-gnl|WGS:NBSK|LSAT_4X137281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRWRAIATVADCNYGGRAAATMGGCGRPCCYISISVCSTRDQDKATATMAFGGGGLQ >cds-PLY67720.1 pep primary_assembly:Lsat_Salinas_v7:4:1959899:1960321:1 gene:gene-LSAT_4X2000 transcript:rna-gnl|WGS:NBSK|LSAT_4X2000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGGKGLLAGKTPAAAAANKDKDKKRPISRSARVSLQFLVGRIHRHLKTRTSSNGRVGATAAVYSAAILEYLTTEVLELAGNASKDLKVKRITPPLIRTFGFICIVTVLFS >cds-PLY80285.1 pep primary_assembly:Lsat_Salinas_v7:3:209698998:209699324:1 gene:gene-LSAT_3X123080 transcript:rna-gnl|WGS:NBSK|LSAT_3X123080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKCYNKEVGFELIGTSNGERNRRLHQVDEETNEQKDKSGISGEAERSRERAGVDLRQPSWVQSPSDREGEVRTKSANEVVRWGLTDREEGIVDPRRAFIFALVIVFI >cds-PLY94920.1 pep primary_assembly:Lsat_Salinas_v7:4:108275051:108279041:-1 gene:gene-LSAT_4X68721 transcript:rna-gnl|WGS:NBSK|LSAT_4X68721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNHTSYPENFLNEFFIPEYILVSGGKFEVPSVGPTCPTIVFVNSKSGGQLGSELLVTYRSVLNENQVFDLGEEAPDKVLRRLYLNIENLKLNKDALATTIEKQLRIIVAGGDGTAGWLLGVVSDLKLSHPPPIATVPLGTGNNLPFAFGWGKKNPGTDRESVLKFLKQVMEGKEMEIDSWHILMRMKSAPKEGSCDPIPPLELPHSLHAFNRVSDTDELNVSGYDTFRGGFWNYFSMGMDAQVSYAFHCERKLHPEKFKNQLTNQSTYAKIGCTQGWFAASLFHPSSKNIAQLIKVKVMQRHGGWKDLKIHHSIRSILCINLPSFSGGLNPWGTPNQHKSRDRDLTRPYVDDGLIEIVGFRDAWHGLVLLAPKGHGTRLAQTHRVRFEFHKGAAECTYMRMDGEPWKQPLPVEDDTTVVEISHLGRVKMLATQNCISKSVKDPSIPHSHEQEDDDDKYDSENEDEASTGEEFRKFGAADTFKIPEDVDISRLS >cds-PLY67597.1 pep primary_assembly:Lsat_Salinas_v7:2:78266438:78267950:1 gene:gene-LSAT_2X34501 transcript:rna-gnl|WGS:NBSK|LSAT_2X34501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDETVDVPRYFMCPISLQIMQDPVTAVTGITYDRDSIEYWLSTAEEVLCPVTKQPLPRDSDLTPNHTLRRLIQAWCVTYANYGIDRIPTPKPLLNKSHVMKLLRNLKSPELHVISLDTLHLLARESEKNRHCLIDAGTNGAMISLITKFYKESMTITVGLGQALKILHLSWLTVPEKKHIAKEDHDLIDSLLWLLGFDNMIGDDLMVDVQTDAILLLEMVMGVASTSVLERLRFNFFKQTVNVIRKRTSPQAMKAVLRMLIDVCPWGRNRMKTVEAGAVFELVELEINGVEKNMSELLFCLLAHLCSCADGRAQLLKHAGGIAMVAKRMFKVSPGTDDRAVQILSLIGKFSATDEVVAEMMRVGAVLKLCMVLQVDYAPYLKKKAREILKLHSNVWNNSPCMPLIF >cds-PLY89638.1 pep primary_assembly:Lsat_Salinas_v7:8:221422247:221424882:-1 gene:gene-LSAT_8X136521 transcript:rna-gnl|WGS:NBSK|LSAT_8X136521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSEYKMRFIDVFHGFLSLVVFVAVAGVDKNVVNCFYPKPSEVMEEILSTLPIGVGVADENLRLIKTSLPEAVEACVDAAGHEFDPSPQHCVDATGHKFDPSLQQTLLRVASYGQAFCR >cds-PLY70397.1 pep primary_assembly:Lsat_Salinas_v7:3:180404109:180408974:1 gene:gene-LSAT_3X109121 transcript:rna-gnl|WGS:NBSK|LSAT_3X109121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPPHLLSFFVFIFIFIFIFLTSVVSSQQFSTSDQTTLLNLKQQWGNPQSLADWNSTSSPCSWPEVQCRNGSVIMLQLDSKELTGTIPPFICDLQNLENMILHDNLITGEFPRVFYNCSKLVELDIAQNLFFGRLPDDIDRLSQLQIIEFGGNNFTGDIPPAIGNISALTSLFLYQNLFNGSIPPEIGNLSNLETLGLAYNEFADPVIPQEFGKLRSLKVMWMAATNLVGNIPESLSNLTNLTSLDLSLNKLEGEIPPGLFLLTNLDVMFLYLNKLSGNLPSLIQSQNLTQIDIAMNRLNGSIPDDFGKLQKLQVFNLYSNRFSGQIPTSISQIPSLRIFRVFRNNLTGELPPEFGLHSKLESFEVSENNLTGTLPENLCAGGALTGVIVFSNNLTGEIPSSYESCNKVNSIQLYNNNFTGEIPPGVWTLLNLSTLLLTGNSFSGELPGKVAWNLSRFEISDNKFSGQIPSEISSWMKLNVFKASNNLFSGVIPPEFTSLSELSVLYLDGNSLSGELPSQIKSWNSLTILNLARNKLSGDIPSSLGSLPNLLGLDLSENQFSGEIPPELSNLRLTSLNLSSNKLTGKIPSAFDNSAFQNSFFNNPNLCSTSHISNLHSCYTKSSRKKFSPKIIATIVILSSFLLLLAILFTLFMFRDHLKKKPKRTRTTWKLISFHNLDFTEENILSCMTDANLIGSGGSGKVYRIGVGPPRGFVAVKQISNKKFNRDVENEFSSEVQILGSIRHSNIVKLLCCLSNPNSKLLVYEYMENQSLDKWLHGKKRKPEMELRLEPPHFVLDWRRRLQIAIDAAQGLCYMHHDCSPVIVHRDVKSSNILLDSEFKAKIADFGLAQILEKQKPGDDNMASIVGSLGYFAPEYAYTTRINERIDVYSFGVVLLELVTGKEPNGKDGDLNLAEWAWKHHGESDSMVGVCDPEIKDSDTYMEEMSTMFKLGLICTSTLPSSRPSMKDVLEILRRCNPMDELPEEMKEGRDEFDVAPLLKRERSVTGPNENEGVLVSQV >cds-PLY95338.1 pep primary_assembly:Lsat_Salinas_v7:1:42259986:42262212:1 gene:gene-LSAT_1X37100 transcript:rna-gnl|WGS:NBSK|LSAT_1X37100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKSFTLCQLEAWLAEYKVRRGATRTVEVEEIDDNDDSCGLCGDGGELICCDNCPSTSHLTCLCVQELPEGNALVGFVGMWSMITSLQVYFGLHSRIGIMNSISDGFSWTILKCIHGDQKIHSGLVALKAECKLKLADALTIMEECFLPMVDPRTDIDMIPHVLYNWGSEFARLNYEGFYTVILEKNDVILCVASLSKYRCQGMCRRLMNAIEEMLKSFKVEKLVVSAIPSVVDTWRDGFGFTALESHEKKEPHKK >cds-PLY84965.1 pep primary_assembly:Lsat_Salinas_v7:2:123791319:123792408:1 gene:gene-LSAT_2X57181 transcript:rna-gnl|WGS:NBSK|LSAT_2X57181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSATDITDELPIYNPASTIGWRERESQSWSTRSVVKYKRIHLIPLVVMLCFFILWCFSTSGISILSCFVSKLFKSNIYTFDVYYLFLFVELETKYGRTHFVPRAKKPKQKNAETDVDLTVLALESPPDGFLSLSNGPYASLAVLHQPHVSFLDSHDHNAPLTASHEPHASFSVSHELKPPLSVSHDPSASFSDSHESNKLLSDPHELEASYTVSHDAISYPGISNEDAP >cds-PLY75776.1 pep primary_assembly:Lsat_Salinas_v7:3:68537175:68541477:1 gene:gene-LSAT_3X51921 transcript:rna-gnl|WGS:NBSK|LSAT_3X51921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter PHO1 homolog 10 [Source:Projected from Arabidopsis thaliana (AT1G69480) UniProtKB/Swiss-Prot;Acc:Q6R8G0] MKFGKEFKQQKVPEWIEAYMDYNGLKRILHEIKQSKLQEEPQTPSRISQQRLSLYRPFSGLNVRSSSDDESTDDVEDQVIAVETVRQDDTREVYNTNFLMSPRQSEVTFFEKLDEELNKVNTFYRDKVEEVIEEATSINKQMNALIALRIKVEQPDINKCHIQRIISTDSGSIESSNINSPSRVSLDEEQQSEMNDWNQMRSSCVTNPSENRHIDSKSDKHKSDLLDVLDRVKINNTLESPMSTIRSVLNDSKDKDLRFKKEELKEAEGRMKVVFIEFYRKLHLLKHYSYVNILAFSKIMKKYEKIAIRRAARSYMKIVDDSYIGSCDEVTLLLDRVEGTFIKYFANSNRREGMKLLRPKRKKEKHRVTFFSGFFSGCSIALLIAAILLIQARKVMSKQEHTMYMENVFPLYSLYAYIILHMLLYAANIYFWKRCRINYPFIFGFKQGTELSYQDVFLVSTGVTVVTLSTFLLHVHMKLDSVHSIYEKYVELIPLILLILLLLIFFCPFNILYKSSRFFLIKSLFHCICAPLYKVSLADFFLADQLTSQIQAMRCLEFYICYYGMRWYQKEQKCHTMDLYNVFYIIVAIIPYWIRFLQCVRRLVEEKDWVHLINGSRYLLTIIAVVFRTVFELKMKKTWKVLALMTSIASIMFNTYWDIVVDWGLLQRKSNNLFLRDKLSVRHTSVYFVVMVLDVMLRLTWLQLILKFNLHYLKGTAISSLFSCLEIFRRGVWMFFRLENEHLNNVGKYRAFKSVPLPFSYYEEEDEEDDKDD >cds-PLY85429.1 pep primary_assembly:Lsat_Salinas_v7:2:70671515:70674857:1 gene:gene-LSAT_2X32260 transcript:rna-gnl|WGS:NBSK|LSAT_2X32260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPGSGPDPAPSTPDSSNSIHGTNLPSASSPLNPAIRELAYLMLLNFVKSCPSFQIWTGCWRASFLTGFVRYWTPEIRNLMRNLLEAESEKESKLKSIMQRVIGRFCEHHVSWRQLVSIAAGVYIDAKSLGHPVLGSDSLGGGGNTFFPNDVYIGGADHVQ >cds-PLY97863.1 pep primary_assembly:Lsat_Salinas_v7:2:216994108:216995040:1 gene:gene-LSAT_2X136821 transcript:rna-gnl|WGS:NBSK|LSAT_2X136821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLGFAIWEPPHGRYNMLRYPWGQFVKVGGALRHCAFMVMAMHGCILSEIQAAAELRMMFRNEIQRVGSEGAKVLRELGNKLEKLEKLSQDFDLLEKVHEAAEELQMLIDEKSYHLVSATSRQRHKELKDLDQEETEEETSTEAQQGPYLKHSHTFKNIDRHITNISMNLPSFANWGSCDEEALKQQLQWPSRLSVLGDAVLNEREVRTYESASALSLANFTSSLIEFVARLQNLLNSFQELSDKARFSDPKSPLDQKEEGEDFGFWTRFNNNMGFNT >cds-PLY62647.1 pep primary_assembly:Lsat_Salinas_v7:3:37261753:37263545:1 gene:gene-LSAT_3X27720 transcript:rna-gnl|WGS:NBSK|LSAT_3X27720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVQATLPTIQDTNAKEPNSCNHPVLNYGCSHYRRRCRIRAPCCNEIFDCRHCHNEAKNDINVDQKERHTIPRHQIQQVICTLCGTEQEVRQMCVNCGVCMGNYFCKACKLFDDDVSKQQYHCDGCGICRIGGRENFFHCDKCRCCYSNFLRDSHPCVEGAMHHDCPVCFEFLFDSIDDVTVMPCGHTIHKNCLKEMQQHYQYACPLCFKSVCDMSKVWEKLDMEVAATPMPEFYQNKLIWILCNDCGTNSKVAFHVVAVKCPNCKSYNTRQTGG >cds-PLY65503.1 pep primary_assembly:Lsat_Salinas_v7:3:895408:895877:1 gene:gene-LSAT_3X1120 transcript:rna-gnl|WGS:NBSK|LSAT_3X1120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRQQTFSLLSVFSSVLLSMGVGGLASIVFGLIVDAFGGASFNAINTIAFSIAGAGGDDDTLMSTAARFPAQAIGAAGGVMSLLELMSL >cds-PLY68911.1 pep primary_assembly:Lsat_Salinas_v7:2:193717332:193717754:-1 gene:gene-LSAT_2X115121 transcript:rna-gnl|WGS:NBSK|LSAT_2X115121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAETFVEIILAIILPPIGVFLRYGCGIEFWICLLLTILGYLPGIIYAIYVLVV >cds-PLY65836.1 pep primary_assembly:Lsat_Salinas_v7:8:174633595:174636875:-1 gene:gene-LSAT_8X113821 transcript:rna-gnl|WGS:NBSK|LSAT_8X113821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTEQTNGLGPSPPSQPTAEEFTGKRQSLIHTVLAMIIWLGSVHLNVFIILASFFLLPLHKFFLVLGILAILIAIPINEKSRSGRALGRYICKHVIGFFPVTLHVEDYKAFESDQAYVFGYEPHSVWPIGVVALADLTGFMPLPKIKVLASTAVFYTPFLRHIWTWLGLTAATRKNFSSLLKAGYSCIIVPGGVQETFYMEHDSEIAFLNTRKGFVRIAMENNSPLVPVFAFGQSYVYKWWKPRGELFLKFSRAIKFTPVIFWGILGSPLPFRQPMHVVVGKPIYFKKNNSTPTMEEVLEVHGQYVEAVKDLFERHKARAGYPGLQLRIM >cds-PLY79854.1 pep primary_assembly:Lsat_Salinas_v7:8:15005273:15006833:-1 gene:gene-LSAT_8X11081 transcript:rna-gnl|WGS:NBSK|LSAT_8X11081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGPGCESVDNFKTILEQEGIYHLLSGELKVPLSSCKQKTVCLLFSANWSRPCKAFIPQLMQTYNALKDVDYELEIIFISFDRDENGFKEHMKSMPWLVVPFDVNLQKKLGNLYKVNQIPSFIPLNIDTKLLAKDSVGLIKDYGPDAFPFTKKRQEELKAFDEAKRQEGKLEHLFTNGIDSKGEKICSSELVGKTIGLYFGANWCPPCRDFTTQLIEAYNDIAKNKDQEFEVVYVSTDRDVKEFELGLTKMPWVAIPFNDKTRQDLCRIFEVKWIPTLIILGPNGKTITTNGRKLVSLYGAKGFPFTESKILGVESSLMKEGDELAREVMDRKHEHILKLDMAKRYICDFCKKRGSFWAFSCNVCGYDLHPTCIEETH >cds-PLY94638.1 pep primary_assembly:Lsat_Salinas_v7:1:40770237:40771163:1 gene:gene-LSAT_1X36301 transcript:rna-gnl|WGS:NBSK|LSAT_1X36301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSYGTIPSSSDGGSSKVEFLSRAKERIQTGLGVSRPWKQMFDLHSINIPHGFADAISRIKTNFGYFRMNYALIVLVILFLSLLWNPISLIVFVVSMAAWLFLYFLRDEPLVIFHYTIDDRVVLAVLSVVTVVLLLLTGATMNIILSVLIGLAVVVVHAALRKTDDLCLDEDGVEAGGFLAASSP >cds-PLY76481.1 pep primary_assembly:Lsat_Salinas_v7:5:198455222:198456168:1 gene:gene-LSAT_5X88960 transcript:rna-gnl|WGS:NBSK|LSAT_5X88960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTRLIAESKAKYFNGDGEGLQYITSTTQQAYEAYRRRLVKKYGDDPTQQRTNDPELWAATQMQRQRGKCKGRIYGIGSSDLHFSISGIYSFGSTSSSAEQSQQEVLRLREKMENMQIEMQAKLDLQLKERDVEMDARFQIRQHEMDVRHAQLEEQVAVILRKLNPSGNPHNSS >cds-PLY84238.1 pep primary_assembly:Lsat_Salinas_v7:7:77136545:77137328:-1 gene:gene-LSAT_7X54921 transcript:rna-gnl|WGS:NBSK|LSAT_7X54921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGQNSRICVDLSSSSSTCISPSSSMISPKSNDPLENLLNLNDFEIQIILDYISHETHTIETHPNNEVKELAGIPIIFKETTPPESSPSPAVTYSSRDFSPGGEMISDNKAPAKVNEGLSDVIHNQRQPSMVGYRGVRRRSWGKFTAEMRNPKTGVRMCLGTYKTAEEAAMAYDREAFKLRGRRAVVNFPSLIVLQNKCP >cds-PLY61682.1 pep primary_assembly:Lsat_Salinas_v7:9:137931088:137934072:1 gene:gene-LSAT_9X88440 transcript:rna-gnl|WGS:NBSK|LSAT_9X88440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGLTFKLHPLVIVNISDHHTRVKSQSQPPTANGGDATSASSSSPALSSPRVFGCVIGVQRGRTVEIFNSFELLYDPSNHSLDRTFLEKKQELYKKVFPNFYILGWYSTGADAEESDMHIHKALMDINESPVYVLLNPLINHAQKDLPVNIYESELHVIDGIPQLIFVRSSYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRIRVLHHYLIAMQKGEIPYENSLLRQVSSLLRRLPAIESEKFQDDFLMEYNDTLLISYLAMFTDCSSTMNDVVDKINIAYDRHSRRGGRTAFI >cds-PLY80319.1 pep primary_assembly:Lsat_Salinas_v7:7:156529234:156530513:1 gene:gene-LSAT_7X92520 transcript:rna-gnl|WGS:NBSK|LSAT_7X92520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNLPQPAMWEQWWVLNRHGRDREENSEPCYWWLLDRPWSISPVSVSDATFTMGYASVSDYNTQAFQPKQFVSGQRVIASIMSLPDSLTTERLLLNLNGNLALVYMEGYISQSNFKIGQNFTTKIVSKSKGENSNNIQWDLSIKASILSDESYVSKSQDFSYSIGETTVQQEDHKTN >cds-PLY71106.1 pep primary_assembly:Lsat_Salinas_v7:5:195255702:195256136:1 gene:gene-LSAT_5X86860 transcript:rna-gnl|WGS:NBSK|LSAT_5X86860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFFLLFYLVRITSVSSMANINFKPCVKTSWPMYIHNKINDPIIIRVQSKNDDLGNRTLPFNGSRDWRFCSNIGDKTHFYAHFYWKSRTAFFDVFTVPMAERYCSNGIPFKVQRCHWLLREDGFYISYKADYVSPHKLHTWS >cds-PLY62356.1 pep primary_assembly:Lsat_Salinas_v7:8:113388391:113388994:1 gene:gene-LSAT_8X76981 transcript:rna-gnl|WGS:NBSK|LSAT_8X76981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLCFCGKFAVVKCSWTPKNPGRRFYACPQKDSACRFIGWVDPPMCERSKVIIPGLLRNINRMQAWCTALKIMLLASWVKERLM >cds-PLY90422.1 pep primary_assembly:Lsat_Salinas_v7:8:213356016:213359754:1 gene:gene-LSAT_8X133340 transcript:rna-gnl|WGS:NBSK|LSAT_8X133340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKELGFLERTGVISLKEQLARTALRNVRLKGHTYVELREDNKKVIFFCVLCLAPCYSDSVLHDHLRGHLHKQMYEAAKATLLKQNPFPFNDGMLFFHNTEEDNHLVPSNGRNLMQKRSINENNLAIVAFKGNASDYELSSEGSLDSGQGDESLDLDDLIIPNVLHKDKVTDLEVREIGIGKISLKSLEQEGVSKGIKKIWCEWFGKSDSVNNDKIPYHDFAVVSFAFDFDLGRKGILDDLQGFLSSSSRPAIEGNANPKGKKRKSLSDPEDYSESLSNQYEESSSEESLASGSSNSRNLVEVYDDNSLQLRVFPNKCTRKEIRARQRLASERVCDICQHKMLPGKNVATLLNMKTGRIVCSSRNLNGAFHVFHISCLIHWVLLCESEVYTKQMVVAPEVKKKSRRKKGAKFKKAEEESKKQIYSAFCPECQGTGVHIDEDDELEKPTVSLSEMFKYKMKASDGHKEYIKNPELLHNCSTGFYFPSQSQEPLQENVSALKLLRFYHAVELSNE >cds-PLY82938.1 pep primary_assembly:Lsat_Salinas_v7:1:18128860:18129015:-1 gene:gene-LSAT_1X15741 transcript:rna-gnl|WGS:NBSK|LSAT_1X15741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPWAVLGDDVATVFSSIGASLPPHVFINHKTLALDGIRFPSPLISFSVVE >cds-PLY97666.1 pep primary_assembly:Lsat_Salinas_v7:8:6355266:6357139:1 gene:gene-LSAT_8X5181 transcript:rna-gnl|WGS:NBSK|LSAT_8X5181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLDNIECVSSSDGMEDEEIHTHQFSSKPHNNNNNIGILPSGLTPATSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCRYFSLGCPEIFPYYSKLKHEALCNFRPYNCPYAGSECSVTGDIPFLVTHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDEADARNYSYSLEVGANGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGKIWKEQQNLETGVCIPNLCS >cds-PLY70989.1 pep primary_assembly:Lsat_Salinas_v7:9:72465662:72468424:-1 gene:gene-LSAT_9X58660 transcript:rna-gnl|WGS:NBSK|LSAT_9X58660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPEINRDSIATTALLPKSQPPENEIAGTSSLSGAVFNVSTSMVGAGIMSVPATLKVLGIIPGFVMILITAFVVEVTVEFLLRYTNYTGEANTYGGVMAESFGKFGSISLQLCVMITNLGALIIYLIIIGDVLSGSQSDGVLHSGILQECFGFHWWNSRAYSVLFVVIFVMLPLLLLPRVESLCHASAVSILLAVVFVVIISGMAVYAMLEGKTQELRLIPDFGDGFSFFNLFTTIPVLATALACHVTIHPVRAELENQSDMTSAVRISLILSVAIYFAVRFVGYLLFGDSIMADMLVNFDETSNSPGGLVINAVVRLSYAVHLMLVFPVIFYTLRANMDEMIFQQKSLLANDTTRFVSLTCVLLAFIYFVAIAIPNIWYFFQFMGSTTVSCIAFIFPAAIVLRDVHGISSRKDRVMAIMVVVLAVVTSGIAISTNLYSSIGNST >cds-PLY97062.1 pep primary_assembly:Lsat_Salinas_v7:4:73212873:73213148:-1 gene:gene-LSAT_4X49540 transcript:rna-gnl|WGS:NBSK|LSAT_4X49540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQEVAEVGDTTKDYHDPPPVSFIEPKELSKWSLYRAVIVEFVATLLFLYVTILTVIGYTGHIDKGADPCGGGGILGIASAFVSMIFVLRN >cds-PLY94023.1 pep primary_assembly:Lsat_Salinas_v7:8:99147041:99149984:1 gene:gene-LSAT_0X12601 transcript:rna-gnl|WGS:NBSK|LSAT_0X12601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGRYYCYKEVLPFAAMVTMECTNVGLNTLYKAATLRGMSYHVFIVYSYAIAAFLLLPAPFFSTRSRVLPPVNFSIVSKIGLLGIIGCTSQIMGYTGIIYSSPTLASAISNLVPAFTFILAVIFRMETLSFTKKSTRAKFFGTVVSVTGAFVVTLYKGPKLIWSLAHSPSQSPIVSLTSSQPNWALGGLFLTSEYILVPLWYIVQTQIMKEYPAELTVVFFYNLIVSILAAIVGAFTQPDSSSWKLKPDIALASILCSGVLGSCLNNSVHTWALRLKGPLFVAMFKPLSIAIAVALGVMFLGDDLYLGSVIGATIISIGFYTVMWGKSKEDLVKDEVINLDSSSTPRSPLLQYKDEDMESR >cds-PLY63008.1 pep primary_assembly:Lsat_Salinas_v7:8:183899830:183903124:-1 gene:gene-LSAT_8X119500 transcript:rna-gnl|WGS:NBSK|LSAT_8X119500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLAGIGIGCGTRVVVPEVFPQSKFILRPSLLQTRKTSNTFISISLVPKRTFSISASVSDDSNSFNDRQVRVRFAPSPTGNLHVGGARTALFNYLYARSKGGKFVLRIEDTDLERSTKESEEAVLRDLSWLGLAWDEGPGVGGDYGPYRQSERNDLYKQYAEKLLQSGEVYRCFCSNEELEQMKEIAKEKQLPPVYSGKWAHATDEEVQQELSKGTPYTYRFRVPKEGSLTINDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDATMAITHVIRAEEHLPNTLRQALIYKALGFPMPSFAHVSLILAPDRSKLSKRHGATSVGQYREMGYLPQSIVNYLALLGWSDGTNDEFFTLEQLVEKFSINRVNKSGAIFDSTKLRWMNGLHLKALPMEELIKTVGDQWKSSGILKESEGIFIEDAYKLLEGGIDVITDAEKLLSDLLSYPFHDTLSSSEGREMLEEGLIVVAESLLAAYDNGEFMSALEEGKSGWQKWVKAFGKSLKRKGKSLFMPLRVLLTGKLHGPDMGESIVLIHRAGTNEIVTPQLGFVTLEQRLKSLREVDWEAFRKVDEPATVASH >cds-PLY83442.1 pep primary_assembly:Lsat_Salinas_v7:9:88371927:88373838:1 gene:gene-LSAT_9X69161 transcript:rna-gnl|WGS:NBSK|LSAT_9X69161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASATAATAASISHRSPLCKSVDKPSPAFSKPTLKPLHKTLSFTLTHKIRSTTAAKNPISDVISSSESYPDEEDLVFDNDDKPREECGVVGIYGDPEASRLCYLALHALQHRGQEGAGIVTATAEGVLKSVTGVGLVSEVFNQSKLDQLPGDNAIGHVRYSTAGQSMLKNVQPFVAGYRFGRVGVAHNGNLVNYQTLRAELEENGSIFGTSSDTEVVLHLIAISKQRPFFLRIVEACEKLKGAYSMVFITEDKLVAVRDPFGFRPLVMGKRSNGAIVFASETCALDLIEAKYEREVNPDFTNKVLEGKRVVVVDDSIVRGTTSSKIVRLLKEAGAKEVHMRIASPPIIASCYYGVDTPSPEELISNRMSVEEIREFIGADSLAFLEIDSLKKMLKGDSKNFCYACFSGDYPVIPSGIVKRVGDFVDDGLNGSIGSIDGGWLQGSKENKGEDLDVNYEEQVPV >cds-PLY95409.1 pep primary_assembly:Lsat_Salinas_v7:9:188367378:188370759:1 gene:gene-LSAT_9X115741 transcript:rna-gnl|WGS:NBSK|LSAT_9X115741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLHTLELLKKEVPLEEESLCIPKDIKTGLVLVDIINGFCTIGAGNLAPREPNHQISEMVDESVKLSRIFCENKWPVLAFLDTHQPGKLEHPYPSHCLAGSHESNLVPALEWLEKEPNVTIRRKDCYDGYIGSIQEDGSNAFADWIKTNNIQLLLVAGICTDICVLDFVCSTLSARNRGFLAPLEEVVVYSHGCATFDFPESDARGTKDALAHPQEIMHHMGLYMAKGRGAKIAKDVTFDGLKK >cds-PLY78921.1 pep primary_assembly:Lsat_Salinas_v7:8:3162671:3164956:-1 gene:gene-LSAT_8X2240 transcript:rna-gnl|WGS:NBSK|LSAT_8X2240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSMSPSPTAHRSIPSFRCRAEKPIVAAAFPYSHSVFPLSTPLSLPSSCHSKVLFATPDAGVSSETGVWAMQDFYSLRKDVEATSKRVEEIRASAGLEQLGEEVAKLEKATGSDSFWDDRSSAQQTLMALTDIKDKMNLLKEFQDQIDDAETIVNLTEEMDSTDTALLEEAATIVKELNKALDKFELSQLLSGPYDKEGAVINITAGAGGTDAQDWADMLLRMYVRWGERQRYKTRVVEKSMGEEAGIKSATIEVEGRYAFGYLSGEKGTHRIVRQSPFNSKGLRQTSFSGVEVMPLLPEDSLNVEIPEEDLQIGFSRAGGSGGQNVNKVETAVRITHIPTGVTVRCTEERSQLANKIKALSRLKAKLLVIAVEQRASEIKQIRGDAVKADWGQQIRNYVFHPYKLVKDVRTGYETTDIVSVMDGELDPFIKAYLRYKYTESMSN >cds-PLY81747.1 pep primary_assembly:Lsat_Salinas_v7:3:32427772:32429946:1 gene:gene-LSAT_3X24260 transcript:rna-gnl|WGS:NBSK|LSAT_3X24260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNESIYHFLVEFQKGRTDFSELGSIFFRLTQTMTDPPLEFIWFYSALTFQTAKSSNLRDPHNFLLSLKDLFHSLVSFRIPSDSSYLTQVSLLAPVLYQLVNLKFLISSCKAEIEGLVDAVVSHIILCCNNDLVEQEVRGFKSSVSWVSLVPIWIADQVNENQGRVDGLQLFFPLSTEDIRQGINEDSGTAYLAGIVMIESFLLRLCLMFDSEASRKELHKDVKSYAAQIIKGFKKNPTFLVMLFKLLLEPNLPVAELLSSTDELLLKKLLFDVALDLGSFLNYDTSSWLSDVQYKDIAILWLFLTNSALQFASENGDHAGVGCYMNGFSASQLPLQIISWVTSGTNMKPTTPDISSPKDLIGWLLVLEKQGLKICDYSISGLHEKTLKDKKSMGINNGVKDGDSMIEDPPIQINGRRKRDTMGFECEVQKQIKVIKCCHENQGDGGFRSKNEVGNAVSDQEMVDMVR >cds-PLY62011.1 pep primary_assembly:Lsat_Salinas_v7:5:116040391:116042044:-1 gene:gene-LSAT_5X51221 transcript:rna-gnl|WGS:NBSK|LSAT_5X51221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRQNLAFLKCKKDDPNPEKCLDKGSQVTRCVMSLLKDLHQKCTKEMDAYAGCMYHNTDEFELCRKQQKDFEKSCPLS >cds-PLY88672.1 pep primary_assembly:Lsat_Salinas_v7:5:67759164:67760196:-1 gene:gene-LSAT_5X31460 transcript:rna-gnl|WGS:NBSK|LSAT_5X31460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMNSTAEGGVKGRRKASNSRGHPRFVGVRQRPSGRWVAEIKDSLQKVRLWLGTFDTAEDAARAYDDAARSLRGANARTNFELPETANSLRCLPENAEPFSFEEACRLDDADDGLLGALKAKLSGGGSSKNAAEKWKNLGLPTTQHHIGVKRKTPPAAVASTSNVITNIPLVNQKPEDLERGQWDNTCYEPLPPSATTSWPLEIPWPAANDQVVDVFSSFLDNNTTDSLWPLSTGAAQANLGMTATGVWPEQPAVHCDQSWVGGVSGGGGGGVQGVNGAINASNWDPFIYINSF >cds-PLY92680.1 pep primary_assembly:Lsat_Salinas_v7:4:153048628:153054365:1 gene:gene-LSAT_4X94381 transcript:rna-gnl|WGS:NBSK|LSAT_4X94381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYVSQKDATTGCALVMRPAVIMTLRVSRIGPGMSATMMMPATESQSVAANLNAPTMEVEFAECDCCGLTEECTPAYMERIRERYQGKWICGLCGEAVKDEIVRSERLITTEEAMTRHMTFCRASKSSGPPPNPAVHLIAAMRQILRRSLDSPRSLRSMPSSPTKNTGAGAGALARSESCMPTLTLAVVDSSPYDELEAPEE >cds-PLY73952.1 pep primary_assembly:Lsat_Salinas_v7:5:36593788:36595294:1 gene:gene-LSAT_5X18100 transcript:rna-gnl|WGS:NBSK|LSAT_5X18100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSSLKMQYPPSGPSGWGQLGNRVTSGPVANKSAETSAFSPLIGRKVRTRWPDDNNFYEAVITDHNPVEISREDIQWWIRYSVHGMSRPVGRDNGPGGGGGAGRGRGLPKSQAAAASIKDFPRSQNGIGKKGRDNIQSLHTDTLIKEVERVFGSSHPDPVEIQKTKKVLKEHEQELTDGIARLAYISDGESGDLVKMAMVNTNGPNHLPSFGQLIGNLTQDIPLLSVNITFN >cds-PLY83089.1 pep primary_assembly:Lsat_Salinas_v7:5:88970576:88979504:1 gene:gene-LSAT_5X42341 transcript:rna-gnl|WGS:NBSK|LSAT_5X42341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDEDIQPLVFDNGTGMMKAGFAGDDAPKIKFPSIVGRMRDNGVTVDTDGKDAYVGDEALSKSGILTLKYPIDHGIVCDWGDMEKIFHHTYNELQCAPKKYPVLITEAPLNPNANREKMTQVMFETFNVPSMYIAIGGVLALYASGRTTGIVLDSGEGVSHTIPIYEGYALPHAILRLDLAGVDITDSLMKILSERGHLFTTTAEREIIRDMKEKLAYVALDYEQELETAKTSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHEMTYNSIMKCDLGTRKDLYGNIVLSGGSTMFPGFADRMIREITALVPSSMKVKVVQPPERKHSVWIGGSILASLSTFQQIREMIATEVATAIWSSSQAISGDALFLDVDLSVTPTCTYVPRKLSREELVKLLPDKWITNYEQIHQAPVQSTTTLDFFHHQNGQV >cds-PLY83915.1 pep primary_assembly:Lsat_Salinas_v7:5:9969117:9970838:-1 gene:gene-LSAT_5X5821 transcript:rna-gnl|WGS:NBSK|LSAT_5X5821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVPRSGDAIFANVERVNSELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGIRLIDEFLAKSNVTRCVNFRETAEVIAKVGFKMFLGVTASVTNWDSEGTSCSLILEDNPLIDFVELPDTCQGLYYCNILSGVVRGALEMVSMKTEITWVRDMLKGDDAFELQCQLVNYPGGENLIILFTCLILADQRV >cds-PLY70180.1 pep primary_assembly:Lsat_Salinas_v7:9:990707:991415:-1 gene:gene-LSAT_9X4560 transcript:rna-gnl|WGS:NBSK|LSAT_9X4560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKDQSSKSLARSSYEKISKVTFGSLRRISHCPKNTNIPNPSLTTTDAPQIPSLPLHQPVSGETLQNQIPCEFIPPPVPVVKPTKKFVRFSSSNIKKTRDGDGGAGGKELTGQTTFSEQNVNGYIDRTKMKMRAPSNVSSISRRESFNDKFSSYISRTKFRLRTTSTVGADGKTVSSG >cds-PLY83845.1 pep primary_assembly:Lsat_Salinas_v7:3:52350741:52352323:1 gene:gene-LSAT_3X39581 transcript:rna-gnl|WGS:NBSK|LSAT_3X39581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPQLYSDPSEKQADLIDPIKLKTLFNSQQKYLNNFFDKLDLSQAHVFTQTLLNSTGTIFITGVGKSGFVSKNISQTLVSLGIKSQFLSPVDALHGDIGILNSNDVLVMFSKSGNTEELIRLVPCARAKGAFLISVTSVEGNALMGMSDLNVHLPLERELCPFDLAPTTSTAIQMVFGCTVAIAMMDAKNLTKEGYAANHPAGRIGKSLIFKVRDVMKKQDELPVCHEGDLIMDQLVELTSKGCGCLLVIDDDYHLIGTFTDGDLRRTLKASKEGIFKLTVGEMCNRNPRTISAERMAVEAMKKMEAPPSPVQFLPVIDEDNKLIGIVTLHGLVSAGL >cds-PLY81018.1 pep primary_assembly:Lsat_Salinas_v7:9:177467241:177468764:1 gene:gene-LSAT_9X109041 transcript:rna-gnl|WGS:NBSK|LSAT_9X109041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPICCFLFLSIFIFIFSSPSSSIPLNNAFTAAITRRHLSDTPKEIDPPPDDDLACEIETDARLNFPNPRLKKAYCVLQQWKKVIYSDPENMLSNWEGADVCSYKGVFCEKALDDPNVVTVAGIDLNHGDIAGQLICLLGLLTDLAIFHINSNRFCGTIPTSFSRLTILHEFDISNNRFVGPFPNVVLEMPKLKYLDIRFNDFEGGLPPELFDKDLDAIFLNDNRFTSTIPENIGNSNASVIVFADNDFKGCIPKSIGQMTSLDEVIFANNELSGCVPEELTMLENIKVLDLSNNNFVGTLPNGFERLKNVESIDIGRNELIGKVVESVCTLPKLMNFSFSKNFFDGLEPKCEKPMKAELVLDDRENCLPKKPNQKTEQKCSPVVNQPIDCKSLGCKKPSRTSDEESKKRKPRRRSPPPPPPVQSPPPPPPVQSPPPPVFSPPPPVPSPPPPTPSPPPPSPPPPVLSPPPPPPPVPSPPPPSFNDVDIPQYIGSRYPSPPPPVHPGY >cds-PLY77504.1 pep primary_assembly:Lsat_Salinas_v7:4:50198615:50201905:1 gene:gene-LSAT_4X34201 transcript:rna-gnl|WGS:NBSK|LSAT_4X34201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSESPAKVRLVRCPKCENLLPEVTEYAVYQCGGCGAVLRANNKHIESSVSSEKSGDESDGVPNKISKESPVNLDFSKRSVKSMSLASESGVKSNGSSGRRHERDDDNSINFEEPKPTTAGNRSGSRRSVRSSNWRYRDSNLQEASSSYNYAPRHEYAELVHNTNNLEVEDDRDELLRKLDELKDQIVRSRDKPKEKFPINHHIPSYIDPPPYIHHNNHSLLPSSNQVQSFNDPFRSQNHRRTPIMPFEQNPYNHPYYSGQYVSNEITDNRLFHHPSCSCLVCYNKHHQAPPPPPPQPPPHPIAPPVLQNDPGFYHHDYNRSLNSRSGESRTRWSNEIRRPPRGILATGVRRCRPVSGGSPFVACCKCNELLQVPKKDTKKMRCASCSEVMLLSIVNKKLLLSIYTVTRMDSQKPKDNHIHKTRGDAKWGGTEFSSEDYDNSGNFDFESMDRLAIGGPSLTSYKSAVSYTSEEDLKNGIKDENTVDVTVEDDKPSPPPSGSPLQDHFDYSTKYNRAEKGNMSKRSNMEKPINVGSMNMKDDDALASEIEISSNEYGVNTGTSQESGDANTDRNGGSGSFFASMIKKSFRDFSKSSQHDDMGKVNVVVNGHHIPDRLVKKAEKVAGPIQPGEYWYDSRAGFWGMMGGPCRGIIPPFIEEFNYPMPEKCADGNTNVFVNGRELHERDLDLLASRGLSTETDRSYVIEISGRVLDADSGEELEGLGKLAPTVERKKHGFGMKPPKAAVI >cds-PLY87929.1 pep primary_assembly:Lsat_Salinas_v7:4:32543036:32543218:-1 gene:gene-LSAT_4X21200 transcript:rna-gnl|WGS:NBSK|LSAT_4X21200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSKGRADGDGVNWWWFMFGYKGGQRGDARRWLMAIKMVWLGESYVLGNKHAVEMMVV >cds-PLY83189.1 pep primary_assembly:Lsat_Salinas_v7:4:287918119:287919795:-1 gene:gene-LSAT_4X146621 transcript:rna-gnl|WGS:NBSK|LSAT_4X146621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELVTVHINFLSPLAPLFSALQPQDVGVNAFLQNLVFVTAIEDIICSFSMQVDNATNDDDENNDGEDD >cds-PLY66842.1 pep primary_assembly:Lsat_Salinas_v7:7:20407780:20410579:-1 gene:gene-LSAT_7X16000 transcript:rna-gnl|WGS:NBSK|LSAT_7X16000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTKALLFFALFSLLAIASVADTESTESDSGLILNFYRDSCPQAEDIIKEQVMLLYKRHKNTAFSWLRNIFHDCGVESCDASLLLDSTRRTLSEKETDRSFGLRNFRYLETIKEALERECPGVVSCADILVLSGRDGIVALGGPYIPLKTGRRDGRKSRADILEQYLPDHNESMTVVLERFKNIGIDTPGVVALLGSHSVGRTHCVKLVHRLYPEVDPVLDPGHVEHMLHKCPDAIPDPKAVQYVRNDRGTPMKLDNNYYRNILDNKGLLIVDHQLATDKRTKPYVKKMAKNQDYFFKHFGRAITVLTENNPLTGNEGEIRKQCNVANKRH >cds-PLY65232.1 pep primary_assembly:Lsat_Salinas_v7:8:19554581:19556262:-1 gene:gene-LSAT_8X14081 transcript:rna-gnl|WGS:NBSK|LSAT_8X14081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMLKGWKYGYLEIFLNYISTHVYFQREQVSKPSVGASRRLLQFAVRDAVTTSQSTGLTSEPSLKRLRSVVSTPNEDFQSRPKLRSIARVPKAVAVAIKVVTNAAKDVVKVKPSGNVFDRLGRSVEEDLSDTPHHHHFNEYRGIADEDTQITEATVPTYYDARIASNFAYDNEGYDVVDPRGKMGISQISNESVMSDYSAVDVVDGIGSTNANNNKPRRDQNSSNKMVNASSNVNSGKPSHNPKPRAILETENQKLVEETANSIIVSNGNVSELESQIDSFEVEMEGLSI >cds-PLY74278.1 pep primary_assembly:Lsat_Salinas_v7:8:165017402:165017665:1 gene:gene-LSAT_8X109241 transcript:rna-gnl|WGS:NBSK|LSAT_8X109241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRLQLMIKSYIQEIGDMDAEVATVLRKKPSAVRKESLKDFEKLKLRKIYSKEWYVVYQARERTGIDFLMGCFFLSDKHLYTTSFLE >cds-PLY95210.1 pep primary_assembly:Lsat_Salinas_v7:2:98074345:98074941:-1 gene:gene-LSAT_2X43501 transcript:rna-gnl|WGS:NBSK|LSAT_2X43501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKSSGATRKADTKLAVKKTAAKGKAAKDPNKPKRPASAFFVFMLVKLVGQNGS >cds-PLY72440.1 pep primary_assembly:Lsat_Salinas_v7:2:140355868:140361785:1 gene:gene-LSAT_2X68520 transcript:rna-gnl|WGS:NBSK|LSAT_2X68520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRVTDFINLNLNPSTIIAEYIWIGGSGLDLRSKARTLSTPIDDPQKLPKWNYDGSSTGQAHGNDTEVILHPQAVFKDPFRRGNNILVLCDAYNPLGDPIYTNKRFDAAKIFGHPDVVAEAPWFGLEQEYTLLQKDTKWPLGWPIGGFPRPQGPYYCGVGAEKAFGRDIVDAHYKACLYAGITIGGVNAEVMPGQWEFQVGPSAGITAADELWVARYILERVAEIAGVIVSFNPKPVPGDWNGAGAHTNYSTKSMRKEGGYEAIQKAIEKLGLRHEEHIASYGEGNEHRLTGLHETADINTFSWGVAKRGVSIRVGRETEKEGKGYFEDRRPGSNMDPYVVTSMIAETTILWKP >cds-PLY71859.1 pep primary_assembly:Lsat_Salinas_v7:3:60208082:60210719:-1 gene:gene-LSAT_3X47500 transcript:rna-gnl|WGS:NBSK|LSAT_3X47500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKKRNIASVDTAVVDICRREVGHLSTRKFAHRLAASEDLVLRLDLVRKLEKHRGCVNTVSFNGDGDILVSGSDDKRVILWDWETGSIKLSFNSGHNNNIFQAKIMPGTDERTIVTCAADGQVRHATILECGKVETKLLARHQGRAHKLAIEPGSPHIFYTCGEDGLVQHFDLRTGEATELFSCQPVHGRSFMQVVNLNAIAIDPRNPNLFMIAGSDEFTRLYDIRRYRSNSNSSSAFGQPVDHFCPKHLLGDENVGITGLAFSDQSELLVSYGEEFIYLFSKDMGWGSNVNSVLDVDRTMESDSKPGPLQYKGHRNCMTVKGVNFFGPKCEYVVSGSDCGRMFIWRKKDTEVVRVMEADKQVVNCIQPHPHTSMLASSGIESDIKIWTPTALEKAPPPTNIEKSRPKMNTWMYQMVSPREMALQLLSMRSQQASPIENVSVNREGNMLEFILSFDANSSEEDDNEEGGPTSAHDYFY >cds-PLY88421.1 pep primary_assembly:Lsat_Salinas_v7:8:88384296:88387836:1 gene:gene-LSAT_8X61480 transcript:rna-gnl|WGS:NBSK|LSAT_8X61480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSIDGSVWKRRSKEICCDDDKSQLLVASIKKKNKNKNKKTVNQGTRTEYPLLRFEDLPEYMKDNEFILNYYRADWPLKQALFSLFRWHNETLNVWTHLIGFVVFVGLTITNVMHVPQVSQFLNLLTWSFPLGPGANASHNSIMDTPKLIDLKHESPLDMDIGPPLLAATRWPFFVFLGGSMFCLLSSSTCHLFGCHSHHLNLLLLQMDYVGITIMIITSFFPPIYYIFQCEPIWQFVYLGGITAMGVFTVITLLAPALSSGKFRSFRAFLFMGMGLFGLVPAVHAVVVNWHEPQRNGILAYETAMAVSYLTGTMFYISRIPERFKPGWFDLAGHSHQIFHCFVIMGALAHYGAALVFLEFRGQVGC >cds-PLY90911.1 pep primary_assembly:Lsat_Salinas_v7:1:56009036:56009703:-1 gene:gene-LSAT_1X47621 transcript:rna-gnl|WGS:NBSK|LSAT_1X47621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIYDLVRDTESMEYFPQPSIPDSSCTMQYDGYMEGEDESNEVHDHIIGALKESLEEFDIKAKDFFKKIISNGDLEIPLGRLAREAIGESQAKHLLEKITKKVGKKMKARNHGDFVVGERGASIDEELNQKLERFKVKTVNIGGDAVKEDKK >cds-PLY66201.1 pep primary_assembly:Lsat_Salinas_v7:2:168044079:168046007:-1 gene:gene-LSAT_2X90441 transcript:rna-gnl|WGS:NBSK|LSAT_2X90441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKTEEETSSVVAPAAATHRDSYEVLNISRDSSDQQIQSAYRKLSLNWVNQNVRNEALQDDKNTEATYHYSAAISAIMPLQTNIWLNSLMTQTVGVIVYILLSGIPPFWAGE >cds-PLY78835.1 pep primary_assembly:Lsat_Salinas_v7:5:305388521:305391724:-1 gene:gene-LSAT_5X164920 transcript:rna-gnl|WGS:NBSK|LSAT_5X164920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLNFKSTPPISMPIRRHLKTKTSSSNRVSVIRSSTEISDTTSTSSTSIKAEPKSGVSSITPPPNFKPPEPKRFAVRPDKVADVITASLNLLFRFGTGVFVSGYSVSFVPKNEFPSGQYGLEVSGYIVKESSKLGPRPEKPIEIYEFEGCPFCRKVREIVAVLDLDVLFYPCPRNGPTFRPKVGQMGGKQMFPYMVDPNTGVAMYESDDIIKYLVQKYGDGSVPLSLSLGLLTTLTAGFAMIGRMGKGSIYTKAKMPAQPLEVWAYEASPFSKLVREVLVELELPHILHSCARGSPKRQELFERVGHFQAPYLEDPNTGVKMFESAEIVEYLRATYAL >cds-PLY73769.1 pep primary_assembly:Lsat_Salinas_v7:8:92669414:92677103:1 gene:gene-LSAT_8X64421 transcript:rna-gnl|WGS:NBSK|LSAT_8X64421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKTALLSTWPWENLGVFKYMLLGPLVGKVIHSRLEGDDHNLASTWCFNILLISFLRFALYMWYTNTCNMLFLTRNRRICTKGIDFEQIDKEWNWDNFLILQALIASLAIYLFPYGFPNLSVWETKGLVVIVVLHVFVSEPLYYWMHRLLHGNYLYTPYHSFHHSSTVPQPVTAGSTTFLEGLLVTTVIGVPILICCLLGFGSKTVIYGYVFVFDFLCCFGHSNVEIMPHWIFDDFPFFKYLLYTPTGRLHQTWVVPRFGFQYFLPFASEGINKHIEEAILRADKLGVKVISLAALNKNEALNGGGTLFVKKHPNLKVRVVHGNTLTAAVILNEIHEDVKEVFLTGATSKLGRAIALYLCRRGVRVLMLTHATERFQNIQSEAPTDCQKNLVQVTKYQAARNCKTWVVGKWITPGQQRWAPSGTHFHQFVVPPIFAFRRDCTYGGLAAMKLPDDVEGLGSCEYTMGRGIVHACHAGGVVHSLEGWTHHEVGALDVDRIDVVWKAAIKHGFQPVCSHPK >cds-PLY70423.1 pep primary_assembly:Lsat_Salinas_v7:1:71332590:71335007:-1 gene:gene-LSAT_1X61861 transcript:rna-gnl|WGS:NBSK|LSAT_1X61861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEPNDIDQFHPVSLSPSIYNLPVQDLLDDNKRRDFINICVPLSKASMKGDWKTAKSILNCQEHLVRYSITENCETAVHIAAAAQRNTKSINFVQHLVGKMEKADLQLQNRQGNTALCLAAAAGNVEIAKIMVKSNEDLPTIANGEAMRPLFIAVLFRHHEMVNYLYHQSQRMGAPDWTPKDRKWVFLRCIELDLWDFALKILKDYPLLAQCGSALGALARKPSAFNESKQHCIWRIINPIICLKREHVETDSKAMQILRKIWTRIEEKPKAEIDKILRGPKVLKDGIQTYPSRILFVAAEMGNTKFLVELISRYPDLMWKKNENNQSIFHVAVSHRHEDIYNLLYEIGSMKALIISLADKDGNNMLHLVGKKAEKRRDQDVSGVVFQMQLDLIWFKEVESMIPPLYKEQKNTSGLTPYELFTLNHTTSVIDGEIWMKGTASKCTLVATLIATIVFAVAYTIPGGYDQNDGFPMFLHNGPFLLFVVLDAISFILSSISILVFLSILTCRYTQEDFRNSLPEKLLVGLSMLFLSIVTMMISFSVNFFVLYRHRFITLAIFVSLVAIIPIVSYAYLQYPLLKDAFRSTYGSKFLFRPKEQMLYYHNPRF >cds-PLY76545.1 pep primary_assembly:Lsat_Salinas_v7:8:91028361:91029041:1 gene:gene-LSAT_8X63560 transcript:rna-gnl|WGS:NBSK|LSAT_8X63560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSTGEEATGQNAVSSISIEIKTPKRTTSIQRRNSYPRKKEDVTSSRVQETLERKRTVYKNKFSLDREFNDQRVLHDVASTADLVKTRKPPISNKLKIRLPRRQERRDYHEGRVHGDQVESKRKIVSPNSTPIGSEGGRSEKVADQTSSLSGRTVKGGRRGRSALQVPVKEAEVREYDEDTIKAAETLLMMRRDRRSMAESTCRNSKCLGFDLIEPPPTSPKNEAN >cds-PLY67786.1 pep primary_assembly:Lsat_Salinas_v7:9:165785393:165785611:1 gene:gene-LSAT_9X102981 transcript:rna-gnl|WGS:NBSK|LSAT_9X102981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIATFLEITSATKEEASFFLESHNFDLDSAVSTFFEKPLGLRLHTIIDLAFVGTWSAGDSSPWDLRLRATVV >cds-PLY72095.1 pep primary_assembly:Lsat_Salinas_v7:4:10389087:10389356:-1 gene:gene-LSAT_4X6941 transcript:rna-gnl|WGS:NBSK|LSAT_4X6941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVAVGITDGLEVDGSDTVDINIRCSGITKFVIHVSLDSSSDDPFFVQFEFLCWLRSNATFFNPPPPMKLIQVSPYVFVSFSFMNTFSP >cds-PLY78900.1 pep primary_assembly:Lsat_Salinas_v7:5:306457834:306458616:1 gene:gene-LSAT_5X166360 transcript:rna-gnl|WGS:NBSK|LSAT_5X166360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFIALITKTPIYNAAAFFSCAYPANDRVGSLAEGNGVHGGQSPAEDIVTLSELLDMQISWRDEPKKPNNSFNNKDSDPTNPLNLGTTNLDNFFIERITSNASEEQ >cds-PLY61844.1 pep primary_assembly:Lsat_Salinas_v7:6:57797868:57798797:-1 gene:gene-LSAT_6X43500 transcript:rna-gnl|WGS:NBSK|LSAT_6X43500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRFEFFLRRQIELILYKIVDTQVNQKASNVKTGCNISGLQEDVSYVSKVHIHRVVE >cds-PLY71630.1 pep primary_assembly:Lsat_Salinas_v7:9:134788848:134791799:1 gene:gene-LSAT_9X86880 transcript:rna-gnl|WGS:NBSK|LSAT_9X86880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSHLIYFRRLFPRNPCLHKTIFISQLILKPFSSSTPTPDQTNPKPTSLSARMSFVFDQIDAIEKERSEKDETLQRIRSWRESKKEKQPIIEHEETAKHADDVTVSGVKEKGLMTRGVELVHPWPEWIELMERLVQQNYFDCRRKDEDKMISDSGFGDADADGGFMAEVMEEGFDFTRDWKTVQTAGLNFGKDRFDILRSLPRQDIQLLVGYGCPSADKKVVFSAKLLRKHVHLDEGDVCSSCSLRSSCERAYLLTNKEDEARTIDIMRVLMTYGFDPVNGSVVNDSLLKKKKVKMAIRKLLHEVVKLSGIPIDPNLPPPIIKRPPPKVKQTPPPPRKRVGRDDVEMKKGDWLCPKCDFMNFAKNTVCLQCDAKRPKRQLLPGEWECPECQFLNYRRNMACFHCECKRPKDEFTENQMQERERGPGPRAKMDNNTPSRKEVSDAWNFDFDENESDGAEVSAFEYADSRKLDEEYMTRSRDTSFQNRRPLRPPESEYADSSPKRPGVGFDDFDDEEDDDVNNYELDTNRLPNGPLVNFSDLDVDSDSDPEDSDGNRNHNRNRNRKHDGVDFNSDEDLPVHRNWKSSHVANSGRGSRRKDSDLSSGDEDLSDDDFPPKRNKGGRNTRSNDYRKGKDEFLNERDDRSRGRKAIPDRRRQSPFSKIAGSRRDGHGNEGGGSRDFKSRRTENFGGDKQKNRRDSGDSYMDHERFSRPRVNVR >cds-PLY93544.1 pep primary_assembly:Lsat_Salinas_v7:2:174848799:174849330:1 gene:gene-LSAT_2X99921 transcript:rna-gnl|WGS:NBSK|LSAT_2X99921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEENKETYAKLKSMAQPSDRKDDDEASESKHNQLVAQEEDGDYDCQTPTSDDHKIQPPRFSLPPPPPPRKLLQKRIRRSSVDRTLMEFFEHTRREEVDAFFQSFTVRVSSSTSRKKRSHSV >cds-PLY82558.1 pep primary_assembly:Lsat_Salinas_v7:2:184937983:184938317:-1 gene:gene-LSAT_2X108261 transcript:rna-gnl|WGS:NBSK|LSAT_2X108261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVDQEVCLKYSKKLVSSHTTEQQGFHTLNAVIENVDRYVSLANQAAIPTILPYYSISIESTIIKVMHQVTSE >cds-PLY72294.1 pep primary_assembly:Lsat_Salinas_v7:9:104782102:104783657:1 gene:gene-LSAT_9X75321 transcript:rna-gnl|WGS:NBSK|LSAT_9X75321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSTSKAEAERLLGIAEKLLQGKDLNGCRDFALLAQETEPLLDGSDQILAVVDVLIAADKRINNHPDWYGILQLESRRNDDDLIKRQYRRLALLLHPDKNKFPFADTAFKLVADAWALLSDSSRKSAYDNESFAFSKVDLVSMKNQREKENQNQNQNNPIQREKIPVRRNPADVGAAANQAGATATVTSNQKEANIWTACPYCYNLYEYPKIYDGCCLRCQSCKRAFQAVAIPQSSLPPSIPGKEAYYCCWGYFPMGFAMSNSDKAKTLTIPNWMPPMFPTNAKAWPSADSLPNGSTVPLAEPXXXXXXXAPTPPPPVPVSAPAPAPAPAPAPVPVPVPVQVQPPKPTLQKQPTPTAGATVPKKRGRPRKNPLP >cds-PLY90687.1 pep primary_assembly:Lsat_Salinas_v7:8:141804137:141805649:-1 gene:gene-LSAT_8X97380 transcript:rna-gnl|WGS:NBSK|LSAT_8X97380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKLVLVTLQDGVEEGRGFSNAEKDPTTSKELNEEPYEGSRRCRAQAAESSAPQNRKKADGNADCHRPRQPTATLQLPSAPTEGHRSFVASSFGLAKPQRSSLFPSFSSFSIVPIDRTIAHRRRKPTARRSPTSVVAATVPPSLLLLLPIW >cds-PLY62677.1 pep primary_assembly:Lsat_Salinas_v7:7:100946139:100950815:-1 gene:gene-LSAT_7X65761 transcript:rna-gnl|WGS:NBSK|LSAT_7X65761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLARPHHADNTQFPGDFRFTFGRNYPHVYSSASTDFTTNRRYNNNNNHRRSYNNSHDFSNESRHQYNIYNHIDPEVLPSLKRRKFSSTNWEGYGTSYNHNNNNNNNNNNNNNNNNHNHNPSFKYEYSPSSSKITFPLPDGNAKVSTSTSYKRDRTRFEDEDVEFMSRDQIERFSPSRKDGIDALQETHLRYSYCAFLQNLGLRLELPQTTIGTAMVLCHRFFVRRSHASHDRFLIATAALFLAAKSEETPCPLNDVLRVSSEIFHKQDFNVLCYLLPMDWFDEYRERVLEAEQMVLTTLNFELNVQHPYTHLTSILDKLGLAQSLLVNLALSLVSEGLRSSLWLQFKPHQIAAGAAYLAARSLNMDLTTYQNVWQEFYTPPSVLKDVVQQLMELF >cds-PLY83961.1 pep primary_assembly:Lsat_Salinas_v7:8:35635489:35635767:-1 gene:gene-LSAT_8X28100 transcript:rna-gnl|WGS:NBSK|LSAT_8X28100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIVINVQINIATVIVILTRLVVTFVTYPEFASNQVILDAYVVFRVMEIHLMNKIVAKTRWKTLIASVQEILLVVLLFKLSYDSLYVVINLL >cds-PLY90105.1 pep primary_assembly:Lsat_Salinas_v7:6:17342079:17343453:1 gene:gene-LSAT_6X7481 transcript:rna-gnl|WGS:NBSK|LSAT_6X7481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSGHKHDDGRQNLATERLKGKVAVVTGGARGIGGATATILAENGAHVIVADVLDDAGESLAKSIGGLYIHCDVSKESDVESAVQLALSWKGKLDILFNNAGIIDNGRSITNLEMEKVATLINVNIKGVIHGIKHAARAMISVGNGGSIICSSSSAAIMGGLASHAYTVTKGAILAVSKSAACELGVHGIRVNCVSPHAIPSEMLVNAYRDHLGKPKMTMEEVSNRIGECGSLLHGRCGSMDDVAQAVLFLASDEAGFITGHNLVLDGGFTSSKVEMSFIYRHKS >cds-PLY77593.1 pep primary_assembly:Lsat_Salinas_v7:2:163904987:163906679:1 gene:gene-LSAT_2X86820 transcript:rna-gnl|WGS:NBSK|LSAT_2X86820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g37170 [Source:Projected from Arabidopsis thaliana (AT4G37170) UniProtKB/Swiss-Prot;Acc:O23169] MQEKDVCSWNTMVSGYTKAGRLKDARNLFDEMPERDNFSWNAIISGYVRHDLPNGALQLCRTMLQDYNVKLNKFTVCSALAASSATQSLIIGKEIHGHIMRTGIDSDAAVWSALSDMYGNCGSLDEARHIFDKTSDKDVVTWTSMIDRYFKHGKREQGFLLFSNLLKSGNKPNEFTFAGILDACAHHNTESLGKQIHGYMTRIGFNQSSFAASALVNMYCKCGNIEAADKVFKWIPKPDLASWTSLINGYAQNGKPEEALKLFDSLLDTGIKPDHITFVGVLSACTHSGLVDKGVEYFNSIKQKHGLDYTIDHYACVVDLLSRSGRFIEAEEIIKKMPMKPDKFLWGSVLAGCRIHGNLDLAKQAAKVLFKIEPENAATYVTLSNIYAAAGKWGEVADIRKMMDVNRVVKKPGRSWTEIKRKVYTFLMGDTSNPRLKEIHELLGELQKKMREEGYVPKIDHVLHDVEEEQKEENLSYHSEKLAIAFAILVTPPGTMIKVFKNLRTCVDCHTAIKYISKIESRKIVVRDSSRFHCFEGGSCSCKDYW >cds-PLY89814.1 pep primary_assembly:Lsat_Salinas_v7:8:215757407:215757598:1 gene:gene-LSAT_8X136221 transcript:rna-gnl|WGS:NBSK|LSAT_8X136221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDFDCSVQRFEAMMSFVCEKKLQRRPWVKLKAVFWQGNSEGISDWNMQPVFAAIPPFIPFF >cds-PLY62406.1 pep primary_assembly:Lsat_Salinas_v7:5:309468757:309469287:1 gene:gene-LSAT_5X168420 transcript:rna-gnl|WGS:NBSK|LSAT_5X168420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVKRQLEDDTDHEIENMAMANCLMLLSRVGKSSSLSPEPGRLFHCKTCNKPFQSFQALGGHSASHKRPKLNDEHNQSPAKPKTHGCSVCGQEFAIGQALGGHMRRHRDDATGKTIQVAGKKQSGTGMRGLCLDLNLTPYENDLKMWPIVT >cds-PLY80744.1 pep primary_assembly:Lsat_Salinas_v7:8:137818022:137819960:-1 gene:gene-LSAT_8X95440 transcript:rna-gnl|WGS:NBSK|LSAT_8X95440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYTSVRSFTPCLTSVSPPSSSLVTGTSRLSSSSYIAPSSISRFPRCASAHRTASAAMVVCMAPDEEKLTRRNPLDFPVEWERPKPGRRPDIFPQFSPMKTPLPPPSPYDPPAEDEEEDEEENKEEEEEDPDKEQSDKFTLADRGEGGRRQGLHSSLREVKEGRWGPLPE >cds-PLY71535.1 pep primary_assembly:Lsat_Salinas_v7:7:28642753:28647272:-1 gene:gene-LSAT_7X20760 transcript:rna-gnl|WGS:NBSK|LSAT_7X20760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLHNRVEPPLTKDSKGIARAPVRLAKLSVDKVLPAPCSTSVLYPETGGNLHCFTAITSCAVLDILTPLYEEYSGRKCTYYRDYPYSSFVSPYEILIESTEEPLLNSLPKLISLLSSSFKGAVSADVAITTTDIVSKSVAVEFEVGGSGIRIGGMAKGSGMIHPNMATLLGVDGDTSTNDTIIALASGLSGSNRISSLHSSEGNQLQMCLMRIGWRRGNMFDRGDGPGTGLAWGCDLSYDYVKINYATSLGPGSLDKCWSSKCSHGYQDVIDYSYALSNARNQKIGEKPLLSVSSFVQAARKNVLLFVPALLYAVNNYLKFTTNLLPCPAASQSSCIQVILLERKLSKENLVMSFF >cds-PLY93689.1 pep primary_assembly:Lsat_Salinas_v7:2:201896209:201897399:-1 gene:gene-LSAT_2X123241 transcript:rna-gnl|WGS:NBSK|LSAT_2X123241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTDLHLTSHLLGRRKNFNGRAIERLKGVGGGGGGNGSAAVVVMTGDGDGGDNYVSGGDGGGNGGGGASDGLVVVVVVMVMVGR >cds-PLY89594.1 pep primary_assembly:Lsat_Salinas_v7:9:39619688:39623491:1 gene:gene-LSAT_9X35521 transcript:rna-gnl|WGS:NBSK|LSAT_9X35521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNHLCFQIFFIQFYLILFGVSGICQIEQQSILIRLKNELQFNSSLSSKLVSWDPNAADCCTWIGVNCSIGGQVIGLDLSNETISGGIDDSSSLFRLESLETLNLAGNNFNSTPIPSGFGSLTSLRNLNLSNSWFSGQIPGELSHLTKLQVLDLSSLFSFRSLKLESPNLAMLIKNLTQLKVLHLDSVNISAQKSDWCQALSSSLLDLEVLSLSTCQLSGPLDGSLGNLHSLSVIRLAQNNLSTPIPDFFGNFRNLTILHLGACNLRGTFPSKVLELQKLQSLDLSSNMNLHGSLSDFPVNGSLQSLVLSNTNLSGAIPESIGNLKSLSRIELPNNNFSGRIPKSMENLTQLTYLDLSSNKLTGQIPSFQLCKNLTHIDLSRNSLSGIIPSAHFQDLQNLVLINLRFNTFNGSIPPSLFNLQQLQKIQLSNNNFDGVLTDFLNASASLLDTLDLSSNKLKGQIPKSFFQLGRLNILLLSSNNLNGTIHTSEFQGLSNLTTLDLSFNNLSIITSPIPLPRLPKFFSLKLASCNLQHFPKLQNQSRLINLDLSDNKIDEEIPNWIWEVRSEGLAYMNLSHNQLTGLQEPYVLPDLAVLDLHSNRLHGAIPIPPQTATFIDYSNNRFNSSLPETIGINLLYAYFFSVSNNSLSGEIPESICDATYLKVLDLSNNLFTGRIPQCLIDSGGSLGVLNLGNNNLTGRIEGIFPTTCGLNTLDLHSNSLEGEIPRSLANCTMLEVLNLGNNKMNDTYPCSLRNNTNLRVLVLRNNKFHGSVRCSEQQRNNWSNIQIVDIAHNSFNGPVPADCFWQWDAMISNDDGEASGKKHLSFTVLSLDPIYYQDTVEVTIKGLELELVKILTIFTSIDISSNRFSGEIPDTIGRLNALYMFNVSYNEFTGPIPSSIGNLRQLESLDMSSNNLTGNIPSELTALPFLSVLNLSYNQLEGRIPTGSQFQTFQNTSYKGNIGLCGSPLNKICTTSDVIKPKHTTTVFEDSNGYDWQFIFTGVGFGAGAAIVVGPLVLSKQGRNFWDKYTNKIVEIICLVLGIHYAAYGLFNQDEHDENETQDMDESSDEDEFLSEVDQSKGRYCVFCTKLDFSRKQAIHDTKCTCFDQTPTLSTSVSTSSTEVESPFTKL >cds-PLY87083.1 pep primary_assembly:Lsat_Salinas_v7:5:257063960:257064638:-1 gene:gene-LSAT_5X132361 transcript:rna-gnl|WGS:NBSK|LSAT_5X132361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSAVSFRRQGSSGLIWDDRFLSGELNRFPEGKPPASSDADQVPTTGNDQSKFSKTTIQSINTVRRNRSNNGGERASTATEPSSPRIPVCGFCSAFGKSNKTHTRTVSRKRSFA >cds-PLY90191.1 pep primary_assembly:Lsat_Salinas_v7:2:15040539:15041264:1 gene:gene-LSAT_2X7260 transcript:rna-gnl|WGS:NBSK|LSAT_2X7260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAACILTEVVLVAANDIALASGYKKKLDTLHQTVDLICAKLRDAERQKGTEAMIVWLKHLKQVVDEADDVLDEVHFEMLRSEVKKPDRIARKVPSLPSLKNFSFRREIGRKIENITKKLLPFNKQANFLGLQNEQFARFPDCVYRETNPYIDEFEVVGRDDDELYIIQLLTQPTTEEKLTIVPIVGMGGIGKISLAKSIYNNSKIEQYFDVRAWLCVSVKVVFCTLLAKMLESLQGL >cds-PLY62311.1 pep primary_assembly:Lsat_Salinas_v7:3:211560898:211562844:1 gene:gene-LSAT_3X125861 transcript:rna-gnl|WGS:NBSK|LSAT_3X125861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAERKITFIRDLDNMKDDYTLKVSIIRLWKSLSDGNPTIVRSIEMILMDEMCTKIRASVYPRDFQMFESKLKEDQAVYIRSPTIAPNKYTFKISDVTSKLNLHGRTTVNECLHFQSKTTYGFSFVSFETIISSTATSNESIDIIGEVVSLGKLDSRDVSKSLHRLPLQIRNLEGLQVNVTLFGDIAYQLISYLEAHKEVGRVIVLLQFARINVYNATPSINSYYEQTRMFINANLPEIVTFTDSLVGLRGLQNPSASLTVESSKSYSESDDFLNNYKVKNVVDLIEPQVVGQYIIVGTIYGICQDIDWYYDACTNCGKKVETEDVFSGPDSGDASVVLKCNGDNCKNKKISSVPRYKIPIRVQDDSGTITLTLFDRDAYRLVKKRARDLIDKIKQV >cds-PLY81190.1 pep primary_assembly:Lsat_Salinas_v7:2:191827731:191831179:-1 gene:gene-LSAT_2X115021 transcript:rna-gnl|WGS:NBSK|LSAT_2X115021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEILHYFLFFGLLMSYLGICRAQDTITANQTLRDGDTLVSADEMYELGFFSRGNITNRYLGIWYKNKSPLTVAWVANRETPIRDSSGVFRVDTNGSLLVIAGSNNTVVWSSNPGPVSPPTINPVAQLLNPGNLVVRDQSGEGSLIWQSFDHPGDMFLPGIKLGKDLISGLDRRLSSWKSVDDPSPGLYEALMNTNGYPQLWVERDSVPYSRFGPWNGLTFNGIPTHISNSIYTHDFVMNDKEVYYWFTLVNTSVVTRIYFTPEGIPLRMNWNERTQMWFLYSSANIDMCSLFALCGSNGRCDPKNSPLCSCMEGFEPRNPDEWSASQWSSGCRRRTALSCSNGDGFRVFNNVKLPDTRRAWFNRSMTLGECETACKQNCSCNAYSNIDIRMNGSGCLLWFGDLMDIRTVDESQDLFVRMAVSDLTVQSIPEFTSRPAGSNKKRQTIIVVVSILSILVMVILILAILYGRRKNKRSRVKKIPVQTIDEEYIMDSQDDDTELTAFSLTKISKSTNDFANDKKLGQGGFGPVYKGVLDDGREIAVKRLSKTSRQGIGEFKNEVKFIAKLQHRNLVKLLGYCIQGDENMLIYEYMPNKSLDSFIFDKIKSFILGWSDRFRIIHGIARGLLYLHQDSRFKIVHRDLKTSNILLDVDMNPKISDFGLARMFREHENEANTNNVVGTLGYIAPEYAVDGIFSEKSDVFSFGVLVLEIVSGKKNRGFSHQNDSDNLLAHAWRLFDEGMAMELLAAHMRESCVASEVLRSVHIGLLCVQHFAKDRPTMSSVVLMFDKEGALPQPKQPAFFAKGSLPQLNLASVDDITMTTLEPR >cds-PLY82115.1 pep primary_assembly:Lsat_Salinas_v7:1:13440054:13447211:1 gene:gene-LSAT_1X11560 transcript:rna-gnl|WGS:NBSK|LSAT_1X11560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHKKRNVATRSKAGTAAVNTPPAPPSFPIGNDSSDGVEASSLSTEHNLGVHSSTVQIVQRKTDVPLAIESDSYSTVKVECEKALTALRRGNHKKALRLMKEMCGKHESSNQLALIHRVQGTICVKVASIIDDPNAKQRHLKNAIDSAKKAVMLSPSSIEFAHFYANLLYESANEGKDYEEVVQECERALAVENPVDPGKESLQDESQQKILSADARIGHVQNELRSLVQKSNIASISSWMKNLGNGEEKFRLIPLRRVPDDPMDVRLVQARRPNEIKKANKTDEERKKEIEVKVAAARLLQQKLESSQSQTDGDKSSELSSGSSQRVGERRKSAKVKKNATSAERKDCVHPFWKSMNLNSKKDLFKISVSDLKAHFRSLKDGLAYEVVSEALSFGEANNSWKFWMCCRCNEKFPDPELHMQHVISEHMGSLLPNLQEMLPPSVDNEWTEMLLTFPWKALDVNAAINMVEMQSGSLAASFDDRPYFHPDSWPLAEDIERTKLLEKIHSSFQLLIKHKYLAASHLTKVIQFAVDELHSRLLNCGVDQSPICICFLGATELRKVLKFLQEISHSCGLSRYSEKGNLLEDLNRSTRVIEMTEKIVFNEDRSCLLLEPCLLNSVGSENGLVYDDDSLLSWIFSGPTSGEQLTFWTQSREERVHQGMETLQMLEKEFNHLHALCERKLEHTSYEEALQQVEDLCLEEGKKREYSTESTRQSYEFVLRKRHEDLMVSCDDAMPPVNKFEVDALANVLKEAESLNVNQFGFVNAHLCDLESGEDDYLHQVDSCIEVAIQKQKEQLSIEIQLSKIDARIMRTVTGMQQLESKLSPASVHDFGLIVVPLVKSFLRALLEDLAEKDATEKSDAAREAFLAELALDSKKGAGNDKLKDKKKNRDYRKIKDSKAINNSEVHILAHENAETVDENEDAFNQLKDEAMRRKIELEAEERKLEETLEYQRRIENEAKQKHLAEQLKNNHADNELRSSRQEPLKQNNEGIAEDAVLLFDQKTGRKSRRQKNATKLIDGKQQPVLLEKENVEVGQILLIKGVEDDNNSSYSGETGTKTLRQLQAEEDDEERFQADLLKAVRQSLEGEGSRVTSIEVFSDKAKAKANANASDVYGTGLKNEAGEYNCFLNVIIQSLWHLRRFREEFLRRSTSAHRHVGDPCVTCALYEIFIALNTASMETRGESVAPTSLRIALSNLYPDSSFFQEAQMNDASEVLGVIFHCLHESFISGDSDIIKQVESNGNVAGGWECANSGCTAHSLFGMDIFEQMNCYNCGLESRRMKYTTFFHQINANALRTMKVMCPESSFDELLNLVEMNHQLACDPEDAGCGKLNYIHHILSTPPHVFTTVLGWQNTCESVEDIKATLATLATEIDISVLYRGLDPMNRHCLVSVVCYYGQHYHCFAYSHDHQQWMMYDDNTVKVIGRWEDVLTMCEKGHLQPQVLFYEAVH >cds-PLY90879.1 pep primary_assembly:Lsat_Salinas_v7:1:56620660:56625172:-1 gene:gene-LSAT_1X48761 transcript:rna-gnl|WGS:NBSK|LSAT_1X48761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRKHLHELLEQDQEPFQLKSFIADRRSQLKSTTTDAGKTALRIRKRNPIVESTSSSTTARNFCINHVCLVSFQDSPDFRKSPFVDFTSKEMKSPCNNAAVFLHIPARTAAMLLDAATRVQKPKTGSKHIGFGLLGSFLRRLKDRSTRTKSREIGPVNATPSSPPTRRSRKKIVNGGVEVDGGGDEKRLSNSCNNSRLSSWTSSEKTSELETSCSSRSIHNSEEIECLCSNPSSPFRFSLQRTPSPTRRKPDSSSPVASPSRHFQQENKSYEVEHPQEIHGATEDIEKEQCSPVSVLDTLFDDDEEEHDGGVTEEDCYDIECSYANVQRAKQQLLQKLQRFERLAGLDPIELEKHMLEQHYEDEDDDDDGGENYIYDQEITHEEFVKEISNHLGVGKIPWYMKKLVFDLISEENKNEEHEVVIERVCKRLHSWKVVELNTIDMMVETDFGSEGWKRYNEEKSRDIGIDIEQAIFGFLVEELAQELVESIVQ >cds-PLY84132.1 pep primary_assembly:Lsat_Salinas_v7:6:189911456:189912143:1 gene:gene-LSAT_6X116540 transcript:rna-gnl|WGS:NBSK|LSAT_6X116540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCPTGTSQSLWPARNISDLRSAFEVLDTDHDGKISREDLKTSYADADDEVIATMMTVADSNKDGYVEYDEFEKVLRSDYSGSGSGSVMADVFKSMDRDGDGKVGYGDLTSYLSSAGFEVNDDEIKAMLGLGGVDGGADGVTFDEFLKILSV >cds-PLY92335.1 pep primary_assembly:Lsat_Salinas_v7:9:179317347:179317685:-1 gene:gene-LSAT_9X111100 transcript:rna-gnl|WGS:NBSK|LSAT_9X111100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVDWKKIERARGEKGKRFGGFQIECLVWLRGCEGNGKQKQRCCWWSRWVQRGLLKQFLGYFLQFRWLQVSGRGLLRQLPSSSSSSSFFFVLTEINGRKWCLVWFSFLTGEE >cds-PLY80985.1 pep primary_assembly:Lsat_Salinas_v7:9:174856530:174857212:1 gene:gene-LSAT_9X106500 transcript:rna-gnl|WGS:NBSK|LSAT_9X106500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRRIRKQSAAEKSDNGYLRYLRPGALAQLRDSKINARSLLRSCNSQIYLHRSIPSSPSRSSEAVGSIQQQQQEVTGTFTTTDGELPFHSARSYAPRCPQRKRLTAARSVFYLNHNSTSLTSDGPEPAIDVFSADFLVAH >cds-PLY93849.1 pep primary_assembly:Lsat_Salinas_v7:6:142711182:142711517:1 gene:gene-LSAT_6X85060 transcript:rna-gnl|WGS:NBSK|LSAT_6X85060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSGVPNFCVDLLALNDLGYKARGIRLDFGDLAYLSCEKRKFFETIGMGFGVPRFGKTGITAGNDLNEETLDALNKQASFL >cds-PLY83437.1 pep primary_assembly:Lsat_Salinas_v7:5:330325578:330327362:1 gene:gene-LSAT_5X186860 transcript:rna-gnl|WGS:NBSK|LSAT_5X186860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTINFTLKYLQEDHVYSSFNTAPKLILITLLVSLLLLGFYMIKRSSCGVYLIDFACYKPPDAQKCTKQFMLDKAKHSGYFSEETVYFMRKVLGKSGIGDSTYLAEVYLANIPDPCMKESRREMELSVFGSIDMLLAKTGVRCEDIGILVVNCCIYNTMPSLSSMIVNRYKLKESIISYNVVGMGCSAGLMAIGLAEQLLQVHHDSYALVMSTESITENCYLGDDRSKFLTNCLFRVGGAAILLSNRPSDHNNCKYKLLHTVHTNESSSDRSYNCIVQEEDDAGRRGITVNKDLFNAASTVVKSNVTALGKLILPVSEKLMYLTNNIARKLRPKADIQPYIPDYSKSVELFLPHVGGKPMLDELQKNLGFDENAMESSRMTLYRFGNTSSSSIWYELAYAEAKGRVKKGDRVWQIAFGSGFKCSSVVWRALRTVDYDEMNLWTDEIDEFPVDVDCDDGPLPIFFERSK >cds-PLY71734.1 pep primary_assembly:Lsat_Salinas_v7:3:46688649:46689379:1 gene:gene-LSAT_3X34361 transcript:rna-gnl|WGS:NBSK|LSAT_3X34361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHRSSSKHDTGVEIKSTNKGLLLSKPYEPCHSISSTSSKTNGTEREASSSPKLIEIMKQKLSHGAQMIQLGSTPGKIFRKTFGIREEEKLLQASQCYLYTTAGAIAGILYVSTERVAFCSDRSLKTYSPTGELLKFQYKVSIPLGKIKGVRESMNMKRLSYNYVEVVTVDDFSFWFLGFENYKKTLRYLHHAIGHECLCNRC >cds-PLY98670.1 pep primary_assembly:Lsat_Salinas_v7:5:303809662:303811669:1 gene:gene-LSAT_5X163700 transcript:rna-gnl|WGS:NBSK|LSAT_5X163700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVHVARGHGGDGGGDPPRQPHTIPRACESYVAKPKRSFGRNLNLYERSRLNKKKPLPLEFDITRILYKGKIMEEYRKMLEEVDGDDSLVDQTECLKHALGERSGHTRGVGRKVKNIAPYYVPSTSTNAQNFNEFAQELTQQMSRQFTEQMHQMFLSQNPNAQLPQFQFIFDPSGLQVPNGQ >cds-PLY71895.1 pep primary_assembly:Lsat_Salinas_v7:8:278210895:278213011:-1 gene:gene-LSAT_8X159661 transcript:rna-gnl|WGS:NBSK|LSAT_8X159661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMKGTLPFLAMVIGQIAQVGLTLAGKKAIETGMHNFSYVFYSNFFASLILLPTSFLIHRSSNRPPLTLSVAGGFLVVGILGFLVQVVGYAGLTYASATVATAILNLIPGFTFILAIIFGVERLDHGGVTKWAKIIGTLVSILGAIIVTFYTGPAIITSHLTLVAPQHLLGQSSEFILGGVLMLIDCVLAALFIIAQAVILKRYSAVLILMLAYCSIITVLSLLASLILEHDLSAFSLQSKTRFLAILYAGCFGAGFQLTIGAWCVKMKGPLFVAMFHPLGIVIAAIMGVIFLGDSLYLGSLLGSGVIVIGFYGVMWGKGKEDRIVEGNMIESSKTPLLQDDIHDDNVMLP >cds-PLY64109.1 pep primary_assembly:Lsat_Salinas_v7:1:1143299:1146022:-1 gene:gene-LSAT_1X280 transcript:rna-gnl|WGS:NBSK|LSAT_1X280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALNLRQRHTECIARMLNLNQPINANGTANEEVYKILIYDKFCQDILSPLIHVKDLRKHGVTLYFLIDKNRKPVSDVPAVYFVKPSQQNIERIISDASNSVYDYFHLNFSSSIPRPLLEDLASGTLNSDSIQRISKVHDQYLEFVTLDDNLFTLADKSCYVRLNDPRATDREIEEIIEKLVSGLFCVLATLGVVPIIRCPHWGPAEMVASLLDQRLRDHLLVKNNLFSESGNFTSSFQRPVLCLFDRNLELSVAIQHDFRYRPLVHDVLGLRLNRLTIQGDKGGGAGGGMKSYELDRVDPFWVANGNLEFPEVAVEIETQLNKYKKDVEEVNRRTGGGNDGAEFDGTDLIGNTKHLMNAVNSLPELTERKQTIDKHTNIATVLLGEIKERSLDSYAKKEYEMMVRGGIDRAELLSVLKGKGSKTDKLRFAIMFLISTETIPQIEVEMVESALREAEVDTCAFQYVKKIKSLNVSLASANAASRSNIVDWAEKLYGQSISAVTAGVKNLLSSDRQLAMATTVEALMEGKPNNPEIESYLVLDPRAPKSTTSGQMKGPFKEAIVFMIGGGNYVEYGSLQELARTPSSAKHIIYGTTEILTGADFVHQLAALGQKMGLGSSSSSIPSSAPA >cds-PLY89381.1 pep primary_assembly:Lsat_Salinas_v7:4:121081664:121084205:-1 gene:gene-LSAT_4X76080 transcript:rna-gnl|WGS:NBSK|LSAT_4X76080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPLVYVSSLVILVFFFIKLYYFSRPSTKKNLPPSPPKLPVIGNLHQLSPLLHRSLHSLSQLHGGPLMLIHMGCIPTLVVSSAQAASEIMKTLDLVFANRPELKMWRKLLYELKEVSVAPYGEYWRQVKGIMVLHLLSNKRVESSGEVRDEEIAFVVKKIMKSCNQVVDLSDMFVTLTNDVVCRLTFGRKYSEGESGRKFKNMLNEFFELLGGVSLEDFVPQLAWVDRLRGMNAKVERVAREVDEFLEGVVEERLKKQSAGGGGGGEDFVDILLKIQKDDRIDTSLDRLAIKALLLDAYTAGTDPTATVLEWTFTELLKHPKILKKLQDEVRMVLKGKSHINQDDIDNMKYLKAVLKETLRLHPPIPTLVPRVASQDVKIMGYDVMKGTSVIINAWALARDPKVWDEPDEFKPERFLDCAIDYKGLDFDLIPFGAGRRGCPGIAFAMTTNENVLANLLLKFDWELPNGKEEDLDMTERPGLAIRKKTPLLAIATPSLHN >cds-PLY75220.1 pep primary_assembly:Lsat_Salinas_v7:2:203624409:203626589:1 gene:gene-LSAT_2X124440 transcript:rna-gnl|WGS:NBSK|LSAT_2X124440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVEVSDQVVCIDFVLGTKCRATVRLRSLSATTSIAFKIQTSSPHKFLVNPPSGLISPLSQSTFQIILRPQPKIPSTFPRSASDRFLIRTSLVESSVSTNPDTITSWTHDIKLKVAFVGPFLLRHAVNIGDCDAVRSMLKFQRTLLTELSTREAESLHRVAVRLDNSVEMVSLLLEVGLKIDSTTRILEDVEESRWTEKGWSELHVAAAFDRTDEVSRLIKMGNRRGLDCKDKEGKTPLYLAASKGYERCVKLLAGAGATVDARRIDGWTALYRAAAKGDRRMVKVLVELGADPSIVADNRNRSAIDVARDEGHKEVVETLERGEEVLNAARRGDLGRLEFLLETDASVDFRDQYGLTAIHMAAIKGYKDVVMLLVEFGSDLECTDAEGRTPLHMAVVGGDKDTVEVLVIRGANVNAKCNRDVTPLQVAEAMGNESLTQCLLLHQATC >cds-PLY71705.1 pep primary_assembly:Lsat_Salinas_v7:3:47644055:47646790:-1 gene:gene-LSAT_3X35820 transcript:rna-gnl|WGS:NBSK|LSAT_3X35820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTSFSTLAPTASSSAASASLKLAPQTLGFNVGFLSSKTSSKSLKARSNGSSGSALGARMVSAPAITKPPTLLDFETSVFKKEKINLAGYDEYIVRGGRDLFHLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLVEAKSDIVVKIGLRKGSSSFNEARGAGFSEENGTLGDIYETISGSDLVLLLISDSAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSAGLDFPKNISVVAVCPKGMGPSVRRLYVQGKEINGAGINASFAVHQDVDGRATDVALAWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVESLFRRYTEGGMSEDLAYKNTVECITGNISKTISTQGMKAVYESLTEEGKKEFLTAYSASYYPCMDILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGKIDQTRMWKVGERVRASRPAGDLGPLYPFTAGVYVALMMAQIEVLRKKGHSYSEIINESLIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQALVAVDNGSPLNQDLISNFFEDPVHEAVKVCAELRPTIDISVPVDADFVRPELRQASN >cds-PLY88662.1 pep primary_assembly:Lsat_Salinas_v7:7:47596169:47606524:1 gene:gene-LSAT_7X34361 transcript:rna-gnl|WGS:NBSK|LSAT_7X34361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIGEKNGKFNIGDGNGDEYLYSTNNFVGRQTWEFDPDAGTQEEREQVERFREQFLINKKKLDISCCADLLMRNQLIKESGIDLLSEPPVRLGEEEDVNFEAVTTAVKKAVRLNRAIQAWDGHWPAENAGPLFFTPPLIIALYISGTLDTILTEEHKKEMIRYMYIHQNEDGGWGFYISGRSTMIGSVLNYVGLRLLGETSSPNDNGDGALAKGRKWILDHGGATSIPSWGKVYLSALGVYEWAGCNPLPPEFWLFPSFLPYHPAKMWCYCRTTYMPMSYLYGRSFHGPITDLVISLREEIHVIPYHQINWNKQRHNCCKEDLYYPHTYIQDLLWDGLHYFSEPLITKWPFKKLREKGLQRVLELMQYNAEEGRYITMGCALQMMCFFALDPNGIDFKRHLARLPDYLWMAEDGMKMQSFGSQLWDCTLVTQAILSSDMVDEYGDSLKKAHFYLKESQIKENPKGDFANMCRQFTKGAWTFSDQDQGWVVSDCTAEALKCLMALSQMPQEIVGEKAEVEQLYDAVNVLLYLQSPQSGGFAIWEAPVPKPYLEKLNPSELFADIVVEREHVECTGSIIQALQTFKDLHPGHREKEIEVAIEKGIHFLENRQQENGSWYGYWGICYLYGTYFVLQGLVSCGKTYENSEAVRKAVNFFLSTQNSEGGWGENFESCPQEASDSVFLVSNTN >cds-PLY93312.1 pep primary_assembly:Lsat_Salinas_v7:4:303992049:303994565:-1 gene:gene-LSAT_4X153641 transcript:rna-gnl|WGS:NBSK|LSAT_4X153641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVGDKSFIVRKATARCLKAFASIGGLGLGAGELDNSASYCVRVLEDHVSSVRDAFAIALGAVLALVMNPQVQPKKGEANAKNHEGSLQKHLILPFTKANGPRSKDLRIGITLSWVFFLQLHSPGASPSMKIADLRTLSYTLKTLGEFPIEFKDVLDDTAVATLSNSSPIVRSESTLTLRALAEIDPTCVGGLVNYEITTLKALIENMSFGKGNNLKVELDSLSGQVTVLAALVYVSPKLPLGYPTR >cds-PLY69482.1 pep primary_assembly:Lsat_Salinas_v7:6:42656605:42658249:-1 gene:gene-LSAT_6X33040 transcript:rna-gnl|WGS:NBSK|LSAT_6X33040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRILGKILIAVLLLVFISTAPTTAEIKSLKIRSDNRPMILFEKFGFTHTGYVSVAISSVSVTSSLAQPDPSRLGFFLLSEESLIQVLLELQQNPNFCVVDSKFISLLFTFRDLSPPPQSSFNKSYPVTYPNEYSLFFANCNPQSIVTMDVRTELYNTDDGTTKDYLSAGLTQLPSLYFIFSLIYLCFLGFWISICFKNQRSFHRIHLLMGGLLVMKALNLICAAEDKHYVKVTGTPHGWDVLFYIFQFIRVVLLFTVIVLIGTGWSFLKPFLQEKEKKVLMIVIPLQVLANVASIVIGETGPFIKDWVTWNQVFLLVDIICCCAIIFPIVWSIRSLRETSKTDGKAARNLAKLTLFRQFYIVVIGYLYFTRIVVFALKTIAAYKYQWVSNAAEEIASLVFYMVMFYMFRPVEKNEYFVLDDEDEEAAEMALRDEEFEL >cds-PLY77307.1 pep primary_assembly:Lsat_Salinas_v7:3:211984825:211985436:1 gene:gene-LSAT_3X125781 transcript:rna-gnl|WGS:NBSK|LSAT_3X125781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNADLWACPNFNLWSVSAEAPKNKPVVEYGEPNDKRFTICVVYGEFFTDCPGKAYRHTKVHFISYVNIDLLDMELPRRFSRSLGYTSLGNWYHMPIEELSGLSMVPILNDECLEPFKTLVRAHLFKEIEHLYVEHRPVFVPNNFPHFLMNSPTKRVAKLIHMFVTEHPMASVDHGIAYIQHMLNMRIPRKKWRMLWAWRKKMS >cds-PLY88797.1 pep primary_assembly:Lsat_Salinas_v7:4:94654672:94655865:1 gene:gene-LSAT_4X61840 transcript:rna-gnl|WGS:NBSK|LSAT_4X61840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDAEGNSKCFGFVKFENDEDAAKAVDGLTGQKFDDKEWYLGKAQNKTETLQALKLQFEQTMKEAVDKSQVLNLYIKNLNDTISDESLKEFFAPFGTIISCKVMRDPNGTSKGSGFVAFSISEEASRVSSEMTGKMIASKPLYVALAQRKEDRRARLHAQFSQMRPIAMTLAPGGLCMPMYPLVVPVLVNKCFMVKLSLPSFLPKPIGGPMPNFFMPMVPLGQQGQRQGGRQGGIPSQQNQQQHVHLMRQQMVPRGRMYRYPPRRNVGEVPMGSIPY >cds-PLY95162.1 pep primary_assembly:Lsat_Salinas_v7:1:96117269:96122001:-1 gene:gene-LSAT_1X80040 transcript:rna-gnl|WGS:NBSK|LSAT_1X80040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSEYIELSSSSSSKDHKYDVFLSFRGVDTRYGFTDHLHKALLDANISTFLDDEEIETGGDLKPELESAVKASRASIIVLSQNYANSSWCLDELVLILEQRMTSNQIVIPIFYHVEPTHIRKQESTFGLSMAEHKVKMEAEINENKRSHLAQKIDRWIKALTEVSNLKGENANGRPETEFIEEIVKDIYRRLCISSRIPLQQLFGMDTSIKFVTSWLKDASLHTTYVLTILGMGGIGKTSLAKYVYALHSHEFDTSSFIEDISRRCGEKFNGLLDLQKQLCDGISKKSSIQVHDVPIYTLKIENAVARKKVFIVLDDIDSLDQLDALLGSKPFHPGSKIIITTKDAWVTESCALFKTVGKPMHAKHLLEGLCENESQNLLCFHAFMCNDPKVGYEEVLEKLVKYCEGHPLALEVLGKLLHNRDVAYWEGCIEGLKKEISSRIRNVLRMSFDSLPSKNDQDLFKHIACFFVGMDRDITETILTACRMNTRSGIPNLIDRCLLSIGWNNEFKMHQLLQETGRFIVHQESPNKPWKRSRLWCHEESFKVLKQKKDKGNLLGLALDMRMLEKETLRASFVLKTDALSNMDNLRLLQLNYLNISGSYENFPEELRWLCMHGFPLNSLPLDYPMENLVVLDMSYSNIESFGIYDSNPQRAEKRQKQLTESCLKDKRLLGSLKILNLSFCEELRSLGGFEELPALERLIVTNCIGLLVVCESIEACVELFLIDLSYCNNLEKLPKTINMLKKVTTVLLEGCNLGESQMEIMDMDSREIVKAKSSSSSVVEAIPSDLKFFAVSLPSSLVRLSLANNNFSTESFPLDFSWLFMLKDLNLDGNPIVSLPNCVRSLPILEMLSMSECKLLTSVEHPPYTIKELDLGFSSKTLLRKVVFDLKMLPLKLSMLSDHLALSSIEIQGMVKIQPMVGVEEKVLCSLGWTNLDFLNIMRVGTYFRGRGSEESEIQMYYEFGIFSTIYGGKEMPNWISCRSKGPSLSFTIPSSPNNLRGLNFCYVYTFPFPYDQFILLPMITISNLTKNCTWIYNHYVYRVNVGEGCVILLSHWMFGMNEMEGGDQVTITTVTEEVEQLTEECGVSFVFDDGNKDEEEEEDVLGYYKSWNHIIGGDLSSFQATTGEYYLSSRRHLWHGGTGMVPFYRDVVKKDSPRYKGYEK >cds-PLY83208.1 pep primary_assembly:Lsat_Salinas_v7:1:50125875:50136325:-1 gene:gene-LSAT_1X44581 transcript:rna-gnl|WGS:NBSK|LSAT_1X44581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVITSEFEIASSLPASKFFNAYRDFNNIAPKVDPETYKTLVDIEGDGGAGTIRDVSFGDGVPFTSGKLKLDVVDSNNFTLIYTIFEGDILMGQLDSMTHHVKFIPSPDGGCVYKPTIVHNCKGETQLPEEALNMVDPETYKTLVDIEGDGGAGTIRDVSFGDGVPFTSGKLKLDVVDSNSFTIIYTIFEGDILMGQLDSMTHHVKFIPSPDGGCVYKPTIVHNCKGETQLPEEALNMVDPETYKTLVDIEGDGGTGTIRDVSFGDGVPFTSGKLKLDVVDSNNFTIIYTIFEGDILMGQLDSMTHHVKFIPSPDGGCVYKPTIVHNCKGETQLSEEALNMVKEGFKKTFKAIEGFIHANPQTY >cds-PLY91005.1 pep primary_assembly:Lsat_Salinas_v7:7:129641173:129642771:-1 gene:gene-LSAT_7X78720 transcript:rna-gnl|WGS:NBSK|LSAT_7X78720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLHPLRYQSTPSPSSYAAIFKFLTGLNLLKLGQQIHSHLIIRRLNPNSFLGAKMVAMYASSGDIDSAIVLFDSIRQNASILLYNSIIRACSLYGLSEKSVGIYFEMNSAGVPGDYFTFPFVLKSCASLCNLGFGKSVHGKVLRSGLEFDFYVATSLIDFYVKCGELHDAHKLFDQMPVRDVASWNALISGHMKNGMVHLAEDLFSRMPNNNKNIVSWTTMISGYTQNSLPDQALQLFNEMTTDLSNIKPNWVTIMSILPACSQSSSLDQGKKIHNYATSIGLDSNPSVQTALAAMYAKCGSLLDAQICFQKIPQNRKNLVSWNTMIGAYATHGYGIESVSTFNDMVRAGVQPDAITFTGLLSACSHSGLVDIGLNYFNSMKTAYNIEPRHEHYACIVDLLGRAGRLKEAYELTLKMPMTPGASIWGALLSGSKSYRNLEIAEISAKHLFVLEPENSGNYVILSNMYAEAGMWVEVNNLRDLVKARGVEKNPGCSW >cds-PLY77350.1 pep primary_assembly:Lsat_Salinas_v7:2:96700850:96701545:-1 gene:gene-LSAT_2X42301 transcript:rna-gnl|WGS:NBSK|LSAT_2X42301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRKFNNQYKAIIGADFLTKDIKFEDRLFTLQIWDTAGQERFQSLGVAFSRGADCCVLVHDVNVMKSFDSLNNWKEEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVVIWCRRRKQKAWCASKGNIPYFETSSKEGFNVEAAKEGFKIETQIGFSITKFTQ >cds-PLY85450.1 pep primary_assembly:Lsat_Salinas_v7:3:41476182:41477490:1 gene:gene-LSAT_3X31841 transcript:rna-gnl|WGS:NBSK|LSAT_3X31841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQELNASMLAKMVDPVMNLQNRIRHLLSKWDEHPALQKTVDVIDMILSIPMNTPLAKDCCLIPKSPFYLEGQGGLFEFIQQRLKENGHVVIVLAEDTGQEYVSDSVNAVEERDASGGGFI >cds-PLY62923.1 pep primary_assembly:Lsat_Salinas_v7:3:144370930:144374553:-1 gene:gene-LSAT_3X94360 transcript:rna-gnl|WGS:NBSK|LSAT_3X94360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQTGLGFGNPHGKNTQGTTHRSTSKTLLLITLTLIILTVIPLYFPFNKFPYLSSSNHPSSTSPDTISPANHPHDQLITIDDNHHKCDVFSGEWVPNPNAPYYHNTTCWAIHEHQNCQKYGRPDSDYMKWKWKPDECDLPVFNPYQFLEIVRDKALAFVGDSVGRNQMQSLICMLSRVEYPIDVSTTKDENFKRWYYESYNFTLATYWSPFLVKFKEPSTDDPTLAGVFSLYLDEFDNAWTNHIDDFNYLIINGGHWFSRPSLYYEKGQIIGCKYCQKDNITDYPMTFGYHRAFRTAYKAIMSRKNFKGVAMLRTFAPTHFEGGDWNKGGDCPRKKPFKSNEIRLEGSNLEMYLSQMEEFKWAEKKAKDNGLKLRLLDITQPMLLRPDGHPSKFGHWPNENVALYNDCVHWCLPGPIDTWSDFLLHMLKMEGRRSAEGKLYSKLIKV >cds-PLY96385.1 pep primary_assembly:Lsat_Salinas_v7:2:88276143:88276571:1 gene:gene-LSAT_2X37640 transcript:rna-gnl|WGS:NBSK|LSAT_2X37640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALSVYQYITQSGASPDVVTYTTLMKDFLRAKEFDKLELDKCLVGEFQVLVIYGDMESARCLADRKAIELLQTENIVLQCGH >cds-PLY66695.1 pep primary_assembly:Lsat_Salinas_v7:6:79311559:79313546:1 gene:gene-LSAT_6X54900 transcript:rna-gnl|WGS:NBSK|LSAT_6X54900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIKSIQEIIDAQVGLVPRDKKDKLELHEVNLSSSFDQIDDLHIEQGLELVQNGGIPVTKLNHSLHIGVINEGKEEQIVGLRGNSNRNDVKEGKKEKRPRKRGKGLKQNPIPDFERQSVCDCDKRGDRARVVYINEEMEALRYVGIDEQKKKWIEAYCGLGPDASKEYDALIGSAHANQDENYVNFDPRPQFANKKESISTEVEHGVKGSDLDGEEDYYDEEDSDSDHVSIQRPAFMVTGEPDFDSGPPQDGLEYLRRVRWEAEHIPKVKVVKVERSVLIKEQTVYMPNIPDIAACPEHLMPSKEWEDAFLADFSKLRLALSQDETSASVFSEEMESIPVSQSVLDSVIQENLDICHTDDVIQENLDSCQTEDKTVICDWPRLQNIVEMEPVARVIMLRKRITSMESMSSLCRNDCAWLFALCAAIDTPLDADTSASLRCLLRKCAALRAEKLDLDDEVIMLNILATISGKYFGQLESN >cds-PLY66470.1 pep primary_assembly:Lsat_Salinas_v7:5:327143445:327144713:-1 gene:gene-LSAT_5X182781 transcript:rna-gnl|WGS:NBSK|LSAT_5X182781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRYVEIGRVALVNYGKDYGKLVVIVDVIDQNRALVDSPDMVRGQMNFKRLTLTDIKIDINRVPNKKTLIAALEAADVKNKWESSSWGRKLIVQKKRASLNDFDRFKIMLAKIKKAGVVRQELAKLKKETA >cds-PLY72762.1 pep primary_assembly:Lsat_Salinas_v7:4:372176658:372178448:1 gene:gene-LSAT_4X183100 transcript:rna-gnl|WGS:NBSK|LSAT_4X183100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIHSVDKLNFLLAKIIDLKDFDYSYHLFTSMHEPNDYAFNVMIRGLATSWKKFDLTLELYYKMKGLGIKPNNFTYPFFFIACSNLLALEHGRLGHCMALRSGLMVDCHVRHSLITMYSMCSALSCARKVFDEIHERDLVSWNSMISGYSQMGCPTGALDLFEKMKGEGFEPNEMTLVSVLGACGDLGNLSLGRLIEDYVVDNKMKVNSFIGSSLIGMYAKCGDLVSSRRVFDKMTKKDLVTWNSMITGYAQSGLSHEAISIFNIMKEEGVKANNITLSGVLSACASLGALDVGKSIDEYASKNGLQQDIYVATALIDMYAKCGSVDHAFQVFENMPFKNLVTWNAMISAFAFNGRAKEAILLFNRMSVFPDDVTFVGVLSACVHGGMVREGRKFFDLMTSSYKLVPKIEHYSCMVDLLSRAGLVNEAWDFIQKMPEKPDEITLGALLGACQKVGNLDVSEKVMKVLLEIEPKNSWNYVISSQIYANSNRWDDSAKMRLLMRQKGVTKVPGSSWIEVHGQIQEFRVGDDLHIDSEEIYKLLEFLYIEMKLKGYVVNTNVVKGKNKKLSCS >cds-PLY80640.1 pep primary_assembly:Lsat_Salinas_v7:5:246347355:246349452:1 gene:gene-LSAT_5X121781 transcript:rna-gnl|WGS:NBSK|LSAT_5X121781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLSYAQNVIFKLVTFWIWFIILQDLVRVLNIEMEQIVEEARRAKENAEKLFAMKDFAGAKWSALKAQAFCPQLEGIAQMVATFEIHAASNTKINGEIDLYSVLGLNPSADKSTLKKQYKKMAVLLHPDKNKTIGADEAFKLVSEAWTVLSNNTKRNIYDLRRNKNLLAMVAPPRLDTFWTVCTSCRVQYEYLRKYVNKRLSCKNCRGVFVAVETGAVPVTYCPWSYTVDNGLASHTHGSDLGFHSGYGPEFTNNVSFQWNTCSISDPNGFSYNSVNTVHNANGKVAGAKVNGNGEIPIGKVGRAPKKRKNEVSDGNGEMGSFTEPDAVVAATCFDARKLLIDKARSVIRVKLEEMKLDLKAEKNGKLTKRTIVVPDPDFHDFDMDRSEEVFKAKQIWAIYDEEDGMPRLYCLIRQVLSVKPFRVYISYLNSKTDSEFGCCKWIESGFTKSCGNFRVFHSDVVDHVNIFSHLLGREKAGRGGCVRIYPKSGDIWAVYRNWSENWNRKTPKEVVHQYEMVVVLGDYSDERGVCVADLVKLEGYKTVYMRNPNENSVRWISKREMLRFSHQVPSCLLQGEGLNMPDGCWDLDPAATPEELLRAAEEESAATLAAVNGGGGG >cds-PLY66573.1 pep primary_assembly:Lsat_Salinas_v7:6:166142231:166146144:-1 gene:gene-LSAT_6X101541 transcript:rna-gnl|WGS:NBSK|LSAT_6X101541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTELSSSSSSETPSMLCASKISNFSNKLTTFRHFSQMGFFKNSKRSLKDGNQTKASTNQKNSGFELENSIYAILTISRWESLNQMNYKRVSLRPVHGNLALKFLNWVIKQPAFQQLKNLTYVYCITVHILVKARMYASAKSILTRLSDMGIDSNSIFAALMDTYPLCNSNPAVFDLLIRVYLQESLVKDALVIFHLMGLRGFTPSVFTCNKILGSMRKDNEASWLFFKEMLSKNVCPNVATFNILLNILCFNGKIKKAEHLVLKMEESGYVPNLVTYNTLLNWYFKKGRYKAALELIDHMSHKGLEADVCTYNMLIDNLCQNNRSAKGYLLLKKMRKKMVSPNEVTYNTLIKGFIKESKTKVAVHVFNEMMSFNVSPNVITYNTLIDGYCKEGSFKEAIECIYKMEAKGLKPNEVSYGVVLDGYCKLGDLDSAHSLIESMKVNEILVDHVSYTMLINGFSKSKMLKQGVEVLDELIQNGVDLDVVTYSTLINGFCREGKFKNGKEIMCKMYKSGVTPNKVMYSTLVYNFCIHGKVNEAMRIYAIMNQSGHDPDLFMCNKLIASFCKSKRMEEGVEFMKHMGRIGISPNTSTYNFIINGYVSIGNGSKALNFYEEMVNIGQHPSSYTYGSLLRALCYGENLEEAWKFLNKIRNIPDALDIVSYNMLLGEICRSRNLQAAVFLLKEMVRNNVIPDSHSYTCLLASLCKKGKMVISVLLSEKWMQKGALLPNKVTYTCLIDGLFKAGHPKTASFYHEKMVADGFFADTILLNVLMDGYSRMGNMSMVYGLFSTMRDENLSPNLATYNILLHGYAKQEDISRCFEVYATLLRKGFFPDKRTCHAVIYGICNVGMLDFASKMLKNMILKGMIVDKVTFDMLISKCSEKKKMVKAFEFLDIMYFLKVIPDQETFDIILKGIKETYGCHPTHVFIKEMLEKGFVPCEKQVVRLITDMCRVGDVKGAFLVKNEMQKSVVSRSVVESAMVRGLVKKGRIEEAILMFDCMLKSKLVPTTATFTTLMHSLCKKQEFKEALKLKNIMEFNRVRFDAVTYNVLIMGFCNCGDLVEGLKLYKEMKKKGICPMVNTFCVIVESFCNKDDFTDGEMILMDLCERGLLCEDEITQDMVQSFNVALIKLKMLKHKKYKIS >cds-PLY80193.1 pep primary_assembly:Lsat_Salinas_v7:8:190482674:190484481:-1 gene:gene-LSAT_8X124381 transcript:rna-gnl|WGS:NBSK|LSAT_8X124381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSISRSNLLLSLLLFLAFVTKFAETHNYEFKEFKIESVVPIYSTRPDQVKKALKHVYTTTINKLGGKELEFLIAILPDNNGSLYGDVKQRCETDLGLISQCCLTKYVLKISKQYLSIVSLKINVVDTPKLNIKEAMSSEHAFRGIKDCFSRTYRDAGIRGLYRGVAPSLYGIFPYSSLKFYFYEKMKSHVPVDYRKNMMVKLACGSVAGLLCQTFTYPLDIVRRQM >cds-PLY96018.1 pep primary_assembly:Lsat_Salinas_v7:1:12722460:12723062:1 gene:gene-LSAT_1X10621 transcript:rna-gnl|WGS:NBSK|LSAT_1X10621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFFLDDSSVPATPLRYFGIPFSWEQFPGIPKKNNTKKDSSQSLLPLPPSGNSFRKSFGKDTGPMLKKYSTSESFHKDPFFAAFVECSKDEELVKGSKIRSTSGLANVYSSCKRTCEVAESIVYLPRSRAGYFHR >cds-PLY73751.1 pep primary_assembly:Lsat_Salinas_v7:2:96301012:96302653:1 gene:gene-LSAT_2X40780 transcript:rna-gnl|WGS:NBSK|LSAT_2X40780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEEEGVRNVVAECLGKIALIKPSKLVPTLKDQDQGRNVGMERNFFVLSNSTEWRRPYFFSICMSYEYGLLNFDKLRQHVRNATVLALSIAGHKKPNLMKGLLPELLPLLYDQTIIKKELIRTMDFRPFKHTIDDDLELRKAAFECVDKLLDNCLDSCT >cds-PLY82556.1 pep primary_assembly:Lsat_Salinas_v7:2:183271779:183274771:1 gene:gene-LSAT_2X105600 transcript:rna-gnl|WGS:NBSK|LSAT_2X105600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIHGSSFSSFKSYFNALRDTPRRLARRAGSVSTTFDETSGVRFRYGGKMRKSLRWYDLICFGVGGMVGAGVFVTTGTASHDKAGPAVVLSYVIAGFCALLSAFCYTEFAVHMPVAGGAFSYIRVTFGEFTAFLTGANLIMDYVFSNAAVARSFTTYLGTAIGVSAESKWRITISSLPKGFNQIDFIAVVVISILTIIICYSTRESSLLNMILTALHILFIMFVIIMGFWKGDSKNFTTPSDPSHPSGFFPFGAPGVFNGATLVYLSYIGYDAVSTLAEEVKNPVTDIPIGVTGSVILVTVLYCLMATSMSMLLPYDLINPEAPFSGAFTIKGNGWKWVSNVIGVGASFGILTSLLVAMLGQARYLCVIGRSNVVPIWFAKVHPKTSTPVNASMFLGIFTAAIALFTDLDVLLNLVSIGTLFVFFMVANAVIYRRYVSNDTRSPWPTVSFLLSFSFTSVIFTLLWWVVPGGTPKVFVLGVCSMVAIGLVVLFNYAVPQARKPDFWGVPLMPWIPCVSIFLDIFLLGNLDGPSYIRFAVFSALVVVVYFVYSVHASFDAEEERVLSQKSDESIDVEDLTSIGPKVQKEATLFA >cds-PLY67162.1 pep primary_assembly:Lsat_Salinas_v7:6:162251725:162252393:1 gene:gene-LSAT_6X99380 transcript:rna-gnl|WGS:NBSK|LSAT_6X99380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEASEAYLVGLFEDTNLCAIHAKRVTIMPKDMQLARRIRGERA >cds-PLY82051.1 pep primary_assembly:Lsat_Salinas_v7:9:156775698:156778454:1 gene:gene-LSAT_9X98681 transcript:rna-gnl|WGS:NBSK|LSAT_9X98681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METPTNVTIKGILGLLMANLDYENKKRVISLGMGDPTAFSCFTTTSVAEDAVVDALTSQKFNGYSPTVGLPQARKAISEYLSINLPYKLSPDDVFITAGCTQAIEVAISILARPNSNILVPKPGFPIYELCAAFRNVEIRHFDLLPENNWEVDLDAIDALADHNTVAIVIINPGNPCGNVYSYQHLKKIAETAKKHKVVVVADEVYGHLAFGQNPFVPMGVFGSMVPVLTLGSLSKRWIVPGWRLGWFVTTDPNSMFKNTKTVERLKKYFDICGGPATFIQAAVPRIIKETSDTFFMKTLDILKHTSDVCMKKIEDIPCLTCPTKPQGSMAMMVKLNVSLLKDIHDDIDFCFKLAKEESVILLPGLTVGLKNWVRITFAAEPSLLEEALERIKTFSRRHSYDTNGYI >cds-PLY98812.1 pep primary_assembly:Lsat_Salinas_v7:7:24675787:24677222:-1 gene:gene-LSAT_7X20601 transcript:rna-gnl|WGS:NBSK|LSAT_7X20601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKNGLKKGPWTAEEDQKLIEYIQKNGYGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSVLGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHNPRLDLLDISSILSSSLYSQSHTNMFGVQPVVNPELLRLAASLLSSQRNQNTTTFVHQNGQENQFGSPHQVQENHLVQLQNQDHQTLIQEIPSCAPLSNYPSCVQYSSESSQLMEPNVDQLPSSFSDVNPQTCALNDWQNNLDNFIAIDNYGYHQHVSDFDFNSHLSNLSTPSSSPAHLNSNSNSTYITGSSSTEDEREISYCSNLFKYEYQEILESNAFM >cds-PLY81205.1 pep primary_assembly:Lsat_Salinas_v7:5:339454377:339455216:1 gene:gene-LSAT_5X190681 transcript:rna-gnl|WGS:NBSK|LSAT_5X190681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYLHMSQRGVWQLRKLTVSYCNWGGSSRGIRAFMESQLAAFKESNPQLEVVTELSRGQHPFLKGAYKNKNERVVSVMNMSPEEVLLCATRLRNSLGRKVVKLKTRHVTKHPSVQGTWTTDLQI >cds-PLY79909.1 pep primary_assembly:Lsat_Salinas_v7:8:16165827:16167886:-1 gene:gene-LSAT_8X12880 transcript:rna-gnl|WGS:NBSK|LSAT_8X12880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKILDLLLLFLPLFFAIPIQSSSSQPHFLRSSTKTFSNQRSGAAPQQYFEVTHPLPTDDITPACSVSVLNHSFGYTYGSPPVTVNYTPPPATCEWSLAVLEFRAECKGEQYDRIAGVWIDGVELLRTSTAQPTEDGIFWSVRKDVTRYSSIISQPNLTLAVMLENIVNDEFTGVYHINISFLYYSDKVARVPLSIVPGESRANSFNRKLISINSPENENNLEVGRVLNSLYPYNKPADLVLPISGALDEGFWFRIQDEFHIETTNLQISQKTYKAVLELYVSFHGDDEFWYMNPSDSYVETNHLATGRAHGAYREVLVTIDGQLVGSVIPFPVIFTGGINPLFWEPVVSIGAFDLPTYDIDLTPFLGLLLDNKKHSIGLQVADGISFWLIDANLHLWLDHTDVNAETVKYKVPSMQIQRKSEFVLLDGEFEVEGERSSQATGWVNSSFGNLKTQCTEKTKFKSKLKFKSEGTKKELDQQIKRKTKIKITNEMGQLIGSLEVKIDYPLKISMDTQPGVDEDTSLMITKVEQERSERFYGENSSRVLSHKQNCNGSMVVMGNSVLSGSADNHQNYNYQDEFGCYSRNVGVVDGDVVGDQTFFTCEA >cds-PLY88471.1 pep primary_assembly:Lsat_Salinas_v7:1:150395404:150398719:1 gene:gene-LSAT_1X106321 transcript:rna-gnl|WGS:NBSK|LSAT_1X106321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H(+) antiporter 28 [Source:Projected from Arabidopsis thaliana (AT3G52080) UniProtKB/Swiss-Prot;Acc:Q8L709] MLLRPYSQPRIISEAIVGFFLSNLPFLRKNFNDDANTALGYVAEFGMICHMFVIGLEIDPNIFVRIPIREAKVACTGLLITFLLSLFITPMLHLSYEPSIAFDVTISLVLSGTASPLLTRIINDLKIGKSDIGRFLISTAVISDLISIIMLTLGYIVFDPLDDFAFRKVRDILLILAVLVIQTILAAKCIPFLMTWVDSHNPQGKLMKGPHLIVSIASLVFVCSLSPWIAHFNMMLSTFLAGIVMPRDGRLSKLLTGKVKYFFGLLFFPVFMVWVGFQVDFSEFESHNLFTWASIAFLFAIIVGGKVFGSIISGIMLGFHWQDSVAVGLLLGIKGHFHIFMTLLAEQRNISSSSNSSAIVLVCFLTFIYAPMVVKSIIRRARKRSPTQRMALQWLNPSNELRIVLCIHGLENIQSTINFMEISQGPEEPQMTVYVNDLIELTETVASTVARGEGVDAMTITDAGVIEMRENITNTIQAYVNDHCEGIDVQRTLTLCPMATMHHEICTLAEDMLVSLIILPFHKNQQPDGKFNANHLAFRSVNRKVLRYAPCSVGVLVDRGLGSNQLTGGTQSIKTAIIFIAGKDDREALAYAGRVARHSGVKLTVIRFVLDTSGSNTSSRITRSRANTVEYEEEMKEDDEYFAEFYDRHVATGHVAYMEKYLLNSGETYSTIKSLEGEYSLFIVGRGERVNSTLTAGMNDWEVCPELGPIGDILSAPDFSTTASVLIIQQHKLRGKLQGLHEEFSIM >cds-PLY81567.1 pep primary_assembly:Lsat_Salinas_v7:2:126926173:126929947:1 gene:gene-LSAT_2X59380 transcript:rna-gnl|WGS:NBSK|LSAT_2X59380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLHSPSSSSSSMQTHHDTALFQYVNQESEESVDRVSRVTDCQEDDDEDDELNVRRERDNQQLSLLALLFTLFRKSFWVAASCKTTATEEQQDLSAMEIGWPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRAPSASATVFGVSTESMQLSHDFRGNSIPTILLLMQHRLYSQGGLQAEGVFRINAENSQEEHLRNQLNSGVVPNGIDVHCLAGLIKAWFRELPRGVLDPLSPERVMQCESEEDCGALVRLLPLTEAALLDWAVNLMADVVQQQHFNKMNAHNIAMVFAPNMTQMADPLTALMYAVQVMKFLKTLVTKTLRQRQDSLLEPSASPPDENDHHHHHHHGPPCLRHSHEGEKEVDSSGSDSVLLDNVTDDDHLSCSTSTEESYGTGFCETPVAEKSKGIVNLSSITALMERIETWR >cds-PLY98937.1 pep primary_assembly:Lsat_Salinas_v7:7:51638768:51639688:-1 gene:gene-LSAT_7X37501 transcript:rna-gnl|WGS:NBSK|LSAT_7X37501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQPARRYIITASEAESMARSKGLTVPQLLPSLVKSAQDLARTPISKFNVGVVGLSSDGRIFFGGNIEFPGLPLHHSIHAEQFLITNLAAHGGGPKLLNIAVSAAPCGHCRQFLQELRGVSKTQIIVTDQPLENPDYKPISSILPNPFGPFDLLDQETPLILEKHSNKLSLKDDSSITQNGNTPNLSNGYHELINKNEETLITEALEAARGSHAPYSGCPSGVALMDCEGKVYKGSYMESAAYNPSMMPVQAALVAYMVAGGGGYERIVAAVLVEKEEVLVKQEDTARLMLKYISPKCEFRVLHCI >cds-PLY61773.1 pep primary_assembly:Lsat_Salinas_v7:8:76942712:76946461:1 gene:gene-LSAT_8X55721 transcript:rna-gnl|WGS:NBSK|LSAT_8X55721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAIDLVSAIKELHTLSSQELSKLIRDADNDTIQWTTSTGSSNQIDVEALARNFVVHLIAKLLSSKRDEELFRYLLGGIRLLHSLCDLAPRNNKLEQILLDDLKISEQMFDFIFYLITVLACFKKESQLALVHSALLACSLYLLTAFISTQWNELALVLLAHPKVDIFMTVAFAAVHVDIQFLQVRLSQFTDTGMRSNLAEVNRLCQHCEASLQFLQSLCQQRFFRERLVKNKELCGEGGILLLAHDIMKLPFCEESYLMAVVSRLKSKVLSILLHLCEVESVSFLDVAASTTAGLTLAKSTIFQVLELLKTMFRGDLNGLAAFSDKTYPRGLLQLNAMRLTDILSDDSNFRSYITMNFTEVLTTIFLLPHGEFLSSWCSSESRLSEEDATLDYDSLAAAGWVLGVLTSSDVPESTFNACRVSRTSYAFQRTSLLVKVVANLTCFIPDLCKEEKDLFLNTFLQCLQKEIPNLPYGVSNDVGAEKAAVISQNLRSLLVHAESLIPGFLNQDDVQLFRVFISQLDPLITPETNIDKTEEGNAGNQGSPNPHGNENKGVSDNSVVEELNQLNIDPSNVLVRQEHRIDLPQSFSARVFHESEGDAQNIETNGLDSNAMASEEKQLRKRKRNIMNHMQVTMIEQALQNEPDMQRKAASIQIWADKLSLHGSEISASQLKNWLNNRKAKLARAAAKDARVASGGEKQGGGSGTDPVSDTPESPDEFFDQAPSGSGGQGSQHNRNLEAQYIMHTDGESGVGVGVSGTGTWAGNNLDHDEQQQQQQNPR >cds-PLY92148.1 pep primary_assembly:Lsat_Salinas_v7:8:5681159:5682170:-1 gene:gene-LSAT_8X4681 transcript:rna-gnl|WGS:NBSK|LSAT_8X4681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELTPYRKLICAIVTVLSSVPWNSPQTTIGIAMVLGHRFFVQGSHASHDKFLIATVALFLATRSEETLCPLNDHPYTHLTSILDKVGLTQSLLVNLALSLVIEGGYELEMEAEAKDSKDEAGGTLTIVVCS >cds-PLY97900.1 pep primary_assembly:Lsat_Salinas_v7:4:90338056:90339288:1 gene:gene-LSAT_4X60221 transcript:rna-gnl|WGS:NBSK|LSAT_4X60221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSNHSRSSVGEGWKMNKINVQKAYTGTFHATSRSDSHWFYITNFPEYIIHSDIWHAYSRLGKVCDVFISKKLLRMGKCFGFIRFLGNVNVDLMIKKLCEIWFGYYKLFASAPHTQKKAGNSYMEPPKEVNNWEKLPVSYENVVRGGNNEFSSFDKDESTIFLESGNFVIDNKKLACLAKARDFNTLPNLGMLCHDEGFDDFIIRYVGGLWVMFEFKSKEACKFFLTSDAVNHWITDKRKWDTNFVPSHRIVWVDVEGLPLRPWSKNSFRHILAKWGSIAHLDDSIDEDVYKSRVCIITSFLGIISEVVKVSIDGEIFPIRIKEAPGWNPTFVCDFNNNIDNDSVDAIHRFDQDHDGSNSNNSLIDKEEASFDPFGIYDVMKKMDKEEEIKKSTKIHKYTSQGKTRILSQ >cds-PLY90379.1 pep primary_assembly:Lsat_Salinas_v7:9:173209043:173209593:-1 gene:gene-LSAT_9X107300 transcript:rna-gnl|WGS:NBSK|LSAT_9X107300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYFLDNDDNGESSVVPNINNDNFFNDDDDGCDTYSSDNDDDFIYDDEFIDDDVVHMATHVTRGHGGDGGERPPHERAGKIPARCQSSSVWKMKMLKYAKKVQSQQSTITCGN >cds-PLY97805.1 pep primary_assembly:Lsat_Salinas_v7:1:137262017:137262861:-1 gene:gene-LSAT_1X98600 transcript:rna-gnl|WGS:NBSK|LSAT_1X98600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLDPKASKDPQHFKTKNILVESDQYQLGVHRISMVFWVCQRPLYLILSKCEREYHIGCLRAHQIRTNNRLWSPNALPICKVVGSPQIYPRTNQKKELAASDKLTHQKQPNEVRHYKGKVYFTSPITS >cds-PLY77654.1 pep primary_assembly:Lsat_Salinas_v7:5:172384647:172386855:1 gene:gene-LSAT_5X76821 transcript:rna-gnl|WGS:NBSK|LSAT_5X76821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:dual specificity protein phosphatase 1 [Source:Projected from Arabidopsis thaliana (AT3G23610) TAIR;Acc:AT3G23610] MDQFDNLYKERISALLRVMYATKYVKDDNVPALIEEGLYLGSIGAANNKSLLKSSNVTHILTVANSLPPTYPNDFTYKIVDVPDKEHVNIAQFFDECFSFIEEAKRTGGVLVHCFVGRSRSVTIVVAYLMKKHGMSVSEALQLVKSKRSVASPNSGFMSQLHNYEKSLRGV >cds-PLY81050.1 pep primary_assembly:Lsat_Salinas_v7:6:131768983:131770316:1 gene:gene-LSAT_6X80401 transcript:rna-gnl|WGS:NBSK|LSAT_6X80401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKDPKTWIPHINPKDCSKKICNIYCTKWCKYVILPSPPPPAPQDDLGGTKLSPAVIVIISLIGTFFLLMGYYVVISRYCLRNNDSSESLSTSTENQETHDPEMGVDGHGEDHHDPLIYVPWLILGKGLDEALIKSITICKYKRGDGLVSCTDCSVCLGEFQEDESIKLLPKCSHAFHVYCIDTWLKTHSNCPLCRAKVCCDIKASPLISQPPPPPPPQPPPPPPPVMTSDLGRDVAIEIGEEGFRKIKRSKSLTYLCQTRGSVAEVMFFDQAEEMAQQQIQLGSDPGSSRMSWRETRV >cds-PLY72142.1 pep primary_assembly:Lsat_Salinas_v7:7:57940860:57941120:-1 gene:gene-LSAT_7X40940 transcript:rna-gnl|WGS:NBSK|LSAT_7X40940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIKRLMAVHGEGDDDMVVDDDIPPNSPSDNPPPPPHPSINLPPPSHPPPKTPYPPHNSPPQSYATKKGENYQEGSQPMQMVIAS >cds-PLY73794.1 pep primary_assembly:Lsat_Salinas_v7:7:67610150:67615550:-1 gene:gene-LSAT_7X49040 transcript:rna-gnl|WGS:NBSK|LSAT_7X49040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Solanesyl diphosphate synthase 3, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G34630) UniProtKB/Swiss-Prot;Acc:Q5HZ00] MSRITSCYNRCRWLHNSSPHHQLSHSSPFKSPMEPSEKVLGCRVIYPWISRPFSSGGPQPQYDRSSVEDQVDPFSLVADELSIVANRLRSMVVAEVPKLASAAEYFFKMGVEGKRFRPTVILLMATALNVQISKPASEGVVDMFSTELRLRQQSIAEITEMIHVASLLHDDVLDDADTRRGIGSLNFVMGNKLAVLAGDFLLSRACITLASLKNTEVVSLIATAVEHLVTGETMQMSTSAEQRSSMDYYLQKTYYKTASLISNSCKSIALLTGQTTEVAMLAYEYGKNLGLAFQLIDDVLDFTGTSSSLGKGALSDIHHGIVTAPILYAMEEFPELRTVVDRGLDDPENVNLALEYLGKSHGIQRTRELAAKHASLASAAIDSLPENDDEDVQRSRRALIELTHRVINRTK >cds-PLY93519.1 pep primary_assembly:Lsat_Salinas_v7:1:110189763:110196232:1 gene:gene-LSAT_1X85860 transcript:rna-gnl|WGS:NBSK|LSAT_1X85860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHSTDSDSDFVSTAVPNDESDEEIYVKKRTSDRKYVEGVTKIKEAKRKRNSGDNHAAIKKQKTMKEKKIVKDILKGLPSINTRSTPGLMTDAELEGGKAHATNYKKIIQKSEQVDMNFKLGFIALFVNTFAESIPIGTNNLVPVRALVEVDDISKINWCAYLLYCVKNSKGRWRLDNPKCYYRGLMLLLLYDEIECKLQKIERKTPLVTMWIADKLKERQSFEIEAGGFGVGNLIEQSSNIEREKNENQDTRIEFPEDNRTKEMIRKFRDIFSTTLFSSREKSETIKERTEVKADRDEELNKTNVSDFDDDKYEGMNIKLVAFLAVKPLQKKFPENEERQEEDQDMNVDDRINLGLENNIGEETIRHPHNLERQREFQGINVDDKIHLALEVNNIDEVIGKKNLEENVESKNLVEDGEMIGGEKIREGNIVEKVVGHNIGESSIVRPKHNPKGISIDFSPWSDSFIEKIDDDLLRVFSNRNPDSNTIPNPVVRSPVPKILTFENLEFPSFDLQITQQMNDAETCANSEGNDEDGELEENEEHILDEKEKKVSIGQEIMVQIMFRCVGDKDPMEMLFETESGDIMDRVHFEGMRPNHKIHPFVIDCWAVVLNFEEENLRNKKSPPRVFFNTQIMTEKLLDSSIPFVERFRLFDEAVNNYLYDIKRKVDFNSINLQMYITKFLDNNRQDKVS >cds-PLY97729.1 pep primary_assembly:Lsat_Salinas_v7:8:40949492:40951972:1 gene:gene-LSAT_8X31720 transcript:rna-gnl|WGS:NBSK|LSAT_8X31720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPSPPSAPVFTLQVQLMMSFGLISTRGYYCVLRTLEALNLRRESHLNWL >cds-PLY80136.1 pep primary_assembly:Lsat_Salinas_v7:3:2419522:2420206:1 gene:gene-LSAT_3X221 transcript:rna-gnl|WGS:NBSK|LSAT_3X221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRALATTAFLLTFNLLFFTLVTSTHTPKGCPPPPPSSCPPSPKPPTPSPKPPTPLLPPKASCPKDTLKLGVCAKLLNDLVHLVVGTPPKTPCCTLIQGLADLEAAVCLCTAIKANVLGIHLNVPVSLSLLLNYCGKKVPSGFQCA >cds-PLY69428.1 pep primary_assembly:Lsat_Salinas_v7:3:153719605:153719784:1 gene:gene-LSAT_3X96661 transcript:rna-gnl|WGS:NBSK|LSAT_3X96661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMPVAAWEGLVLGRYCSASDGCCGYAALEQPIADPTQPIAALARPNALFEGYGNPEIQK >cds-PLY91977.1 pep primary_assembly:Lsat_Salinas_v7:7:125232452:125235494:-1 gene:gene-LSAT_7X76141 transcript:rna-gnl|WGS:NBSK|LSAT_7X76141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGKKGNSKSTPKVGITEDDSNIDNLSGEDESGPDDNQDTEKDETSSRMDIETPSSTGTDQPEKPPAVSSTGVPDKPVGRLVYNRVKVKIKSSKALEPQITSSDAHTHSDTDKSSQHIGLEKQIVNDKVEDSANSAPENNVVGASVNQAKKTGSIKIKSSKGFTSSLSHCNTSGVSQTDKTHQKEPLLLSRDSVYNKQELNASLEVIKKIMKMDAAEPFNAPVNPVALGIPDYFDVIKTPMDFGTICSNLEKGLKYMNSEDVYMDVQYIWENCYKYNNKGDYILELMKRVKKNFSKYWSAAGLYNEHDMKDGNISSHGKSSKSSHSKHKSRKRQGVKRHKDDCLCAICIMMRRRLEREQIMNPGNDQMETDDGLVQVKVEGASMVGSFNGDDTSSSTDNSQNQDADGDMEDKGEEVKMENTMQSSKQEEEKEKEKEKEMMMMMMTSQKNYENAITEQTEKFEDVQMAEGGDAVKDDDDNTEEKLMHHEDDTSPVENQKPKDLGNASEKAKLYETLQQRYENPMVLELCNSLFPENSKSLWAKAHSLLRHHKSPQNHTSSIADAIATFMK >cds-PLY94944.1 pep primary_assembly:Lsat_Salinas_v7:4:111567611:111570255:1 gene:gene-LSAT_4X69980 transcript:rna-gnl|WGS:NBSK|LSAT_4X69980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASVCSSEEDNNNHNNISSSSGRCCEEESYSLSADISESESSSGFSGCRYNDGASSSSLKSSSFAASNSVSRNDSIFPMPFTFPLISGCDDVMIWDKKNPQKQPDADLSEIDMMKEKFAKLLLGEDMSGGGKGVCTALAISNAITNLSASVFGELWRLEPLAPQKKAMWQREMEWLLSVSDSIVDFVPSIQQSPSGGSYEVMATQPRADLSMNLPALKKLDSMLISMLDGFHNTEFWYVDRGIVLAEGDDHEAFPSRICGGRPSIRQEEKWWLPYPKVPPNGLSEDARKRLQQCRDCTNQILKAALAINSNVLSEMEIPNAYLESLPKSGKACLGDIIYRYISAEKFSPECLVECLDLSSEHHTLEVVNRVEGAIYAWKLKGHKKNSSHLKQKHSSWSGKVKGFVVDGDKNGYLAQRAETLLHSLRLRFPSLPQTSLDMSKIQYNKDVGQSILESYSRVMESLAFNITARIDDVIFVDDTTKQSLTSKSLSTFNRGGLGGRPIQKRMSPSPFSINHTPYSSPFATPTFSTSPTLIRSPTRAPTPINNNNTDTCKLEVPKPRDLEKLWTFAQNVNSESVTERD >cds-PLY75231.1 pep primary_assembly:Lsat_Salinas_v7:MU043036.1:485369:485942:-1 gene:gene-LSAT_0X5760 transcript:rna-gnl|WGS:NBSK|LSAT_0X5760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKEWKLYDRLMRLETGLGGMRSLVDASPKWWEEKIKENKDYAKFRNTDLSTLDEKYATLFRDSVAIGDQTMIPLQFQNNSNPNEENMEGKGDSDEINFDDDKPLFPSLHESSSSKRKRSKCVSNNRPTKSKSSIYEEKVDALLDAISSKSTQTYTQNNQQ >cds-PLY96788.1 pep primary_assembly:Lsat_Salinas_v7:2:172290202:172292819:1 gene:gene-LSAT_2X95261 transcript:rna-gnl|WGS:NBSK|LSAT_2X95261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVARTGRHLQRYDNNLRLVSGCIPYRLVKTKDQHVDKEKRIEVLMVSSPNRNDMVFPKGGWESDETVEEAACREALEEAGVRGVLKGKALGVWEFRSKSKQEACSEEGGCKGYMFALEVTEELQTWPEQSNRSRKWVVIEEAFVLCRYEWMQSALREFQRVVEKGNNNKLVEMKEDKTIKQEDEDCQLMSTNCHVNGPIMTMAIANSYGIMLPAGIFL >cds-PLY97364.1 pep primary_assembly:Lsat_Salinas_v7:3:245301395:245306910:1 gene:gene-LSAT_3X135760 transcript:rna-gnl|WGS:NBSK|LSAT_3X135760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVLEEFKHLEIQLEAIESATNNFAVDYLIGEGGFGKVYMAELLLSKGQTTVAIKRLDLNMGQGNSEFWKEVTMLSMYKHQNIVSLLGYCDKNDEKILVYEFASNKSLDLHLNNKDLTWARRLKICIGVARGLAYLHNPAGTQQRVLHRDIKSSNILLDENWNARMGDLGLSKFGPANQKHTFVISNNIAGTLGYCDPQYLETGILTKETDVYSFGVVLFEVLCGRCTNHVHVTYTFDVGPNAVVIARNWKIGALLLQRLLYNFPPQSNTDLDSYIIGDKSILQDVGIKNLKNVEALTALLDVNLCRSMMVYK >cds-PLY85808.1 pep primary_assembly:Lsat_Salinas_v7:8:172852236:172859411:-1 gene:gene-LSAT_8X113201 transcript:rna-gnl|WGS:NBSK|LSAT_8X113201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFPEVFLAGNAYLSLVYVLNFEEANLRHYTKISASNKLRDTNVHSRPKVVKIGSVLAFNSIVGKAAKIAVQTAVKDVNSDPSVLNGTKLELIIHDFGSSGFVSIIEALHFMASETVALLGPESSALTLMISYIVKELQVPLLSFRATDPTLSSLQCPFFIRTAHSDFFQMAAIADIVGYYGWRRVIAIYIDDDHGRNGITSLADQFASKRGKISHKAPIKPDATRKDIQDVLLQVSFMESKVLVVHTSTNWGLHILDVAKDLGMMESGYVWITTDWLSTIIDISSPLPKKSFAAMQGVITLRSYIKDSEHKRKFITKWKNLTTYGMSTYSLYAYDTIWLLARALDDFFDHGGKLSFSKGRPNQTKDSQGGLLNINSLSVFNGGKILLEDILKVKMNGVTGAMEFTSDRMLVFPAFEVINVMRNGFRSVGYWSNSSRLSISPPKPPKTNQSNSTQLLNNVTWPGETVDKPRGWMLPRNGKQTKIGVPVRISFQDIVKEVQGTNMYMGYSIDVFVAAINLLPYAVPYQFYPYGDGRQNPSYTDLVSLVNAGVYDAAVGDIAIITNRTSMVDFTQPYIESGLVVVTPVKKLSSGTWAIFKPFTVELWGVILISFLVVGAVVWILEHRRNNDFRGTPKQQFGTTLWFIFSTLFFTHKQSMKSTLGRLVVILWLFVVLIISQSYTASLSSILTVQKLSSPIDGIDSLIPRKDRIGYGARSFVRDYLVKEIGISEARLVPLELPEDYEKALNNGPNNGGVIAIVDERPYIELFLSTRCQFSIVGPEFTKNGWGFAFQQGSHLATDVSNAILKLSETGELQRIHNKWLLRSACSSQGGELSVDRLELKSFKGLFFIIGFACVLSLLVYLVPTIYQYTKRKPDSSISSGPRHMQMFISSIDEKEDSVIVQEKD >cds-PLY92102.1 pep primary_assembly:Lsat_Salinas_v7:1:137005872:137006542:1 gene:gene-LSAT_1X100961 transcript:rna-gnl|WGS:NBSK|LSAT_1X100961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLENLDTLDSSGTDDKQGTENREDLDSRASGTKTNGGDSSDNEATSSVNDKGIKRSAGGDIAPTTRHYRSVSMDSFMGRMNFADESPKLPPSPGGHIGQLSPNNSIDSNSNTNTFSLEFGNGEFTGAELKKIMANEKLAEIALSDPKRAKRILANRQSAARSKERKMRYITELEHKVQTLQTEAT >cds-PLY65362.1 pep primary_assembly:Lsat_Salinas_v7:6:39665362:39668043:1 gene:gene-LSAT_6X30741 transcript:rna-gnl|WGS:NBSK|LSAT_6X30741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITFMDSKEKLKETERCLDSQLWHACAGSMIQMPAVNSKVFYFPQGHAEHATGNVEFRERNSQSRVPAWIPCRVSSVKFMADVDTDEVYAKIRLIPVSGSGEFSSFDDEDTSSQNGGGSSEGQVKPSSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTILAKDVHGDTWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGELCVGIRRAKRGIGGGQEISSGWNPAGGNSVVPYGGYSPVMRNGTGNGNGNPFGKVKVEADCVIQAVKQATTGNPFEIVFYPRASTPEFCVKASLVKNAMQIRWSPGMRFKMPFETEDSSRISWFMGTISSIQVSDPIRWPDSPWRLLQVTWDEPDLLQNVKRVNPWLVELVSTMPATIHMSPFSPPRKKCRPTQHPDFPLDPQIPIPALCGPHSHLFGGPTTGPFGYHLPDNLNPHHPASMQGARHTRYGLSLSDIQLNNKLNSGFFPTTKPLINPFPNKPTGLGSGSEPVSCLLTIGNSVSGSKEPETPKKSRFLLFGQPILTEQQISQSGPGSSSGLSPGQNLENDLNMETGHCKVFMESEDVGRTLDLSLLDSYEELCQKLGNMFKTGSSIIMNRVHYWDIKGTLKHIGDEPFG >cds-PLY99452.1 pep primary_assembly:Lsat_Salinas_v7:6:20458818:20460338:-1 gene:gene-LSAT_6X15721 transcript:rna-gnl|WGS:NBSK|LSAT_6X15721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLNSHPFKKGAEVEVSSNDNGFRGAWYSGTVIKSKNKSNNNHSILVEYKTLMADESGTKPLRETLDVVQLRPLPPREKRDRNYKFSDEVDAYYNDGWWEGVITAVLPGNRYSVFFRATREQLEFNRSELRLHREWVDGKWVPPVEEQVQEVSLSIELKINNKDRFKKGALVEVCSDEDGFQGAWFAATVIEQVSSGNLLIQYNSLRNDEDTEFLTEVVDSNHVRPHPGQETVDHFRVLEEVDALYNDGWWVGVISKVVGKQKYEVFFRGTNEEMVFKQSDLRRHKEWISGKWVASNLVGIYKK >cds-PLY85497.1 pep primary_assembly:Lsat_Salinas_v7:2:195826928:195827530:-1 gene:gene-LSAT_2X116681 transcript:rna-gnl|WGS:NBSK|LSAT_2X116681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAKKKLCGDFTLSMDNNHLSTILSMDSSLSAHDEMERELNRAVDLSLPPDINLPLSAEPNPPPLSWHDPTDMLDVGLGPQHYEVETNINVTKIGKMCAKRLDSVWGAWFFFNFYFKLALNEKSKNKVTRDNGYDKSDLKLDVFLVQHDMENMYMWTFKERPENALGKMQLRSYMNGHSRQGEKPFPFSADKGFIRSHRM >cds-PLY79724.1 pep primary_assembly:Lsat_Salinas_v7:8:125142786:125145759:-1 gene:gene-LSAT_8X86641 transcript:rna-gnl|WGS:NBSK|LSAT_8X86641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLSLLSSAWEVILRHGFLDLPYDLDNLENRLRTNSFKKPESESESERISKTSTDSQDSSPRSKRRNSISLKSCEPLKIMLETTLSFKNLVHDIRKPEPENPNHETGTNLLPEPAVFFSPRPVSELNDAATRVQKVYKSYRTRRNLADGAVVAEELWWKALDFAALDRSSVSFFNVEKHETAVSRWARARTRAAKVGKGLSKNEKAQKLALQHWLEAIDPRHRYGHNLHIYYDLWFESESCQPFFYWLDVGDGKEVNLEKCPRAHLQRQCIKYLGPNEREAYEVIVEGGKLVFRQTGLLLETIEGSKWIFVLSTSRNLYVGQKKKGLFQHSSFLAGGATTAAGRLVAHGGVLEAIWPYSGHYLPTEENFREFISFLEENHVDLTDVKRCSVDDDNVSIGFSFKVDEPKTEPEPESKPKPLVIPHQEPIDILRTPTNVERTSAPSMGVKASPMHYNLANRLSCKWSSGIGPRIGCLRDYPAELQSRALEQVNLSPRVVPGNFANYGPIPSPRPSPKVRLSPRLSYMGLPSPRTPIAATS >cds-PLY76398.1 pep primary_assembly:Lsat_Salinas_v7:8:84983176:84983667:-1 gene:gene-LSAT_8X62561 transcript:rna-gnl|WGS:NBSK|LSAT_8X62561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDVRVFLSTQIEVWKTGDLVPKLYIWWALEASPFSSTNVGVLFVVSTHDRVEGFVVEMVFLTMESKDNYSLHNVPYISGEKFECWRHVYACRKLKILVSTDVGEHLYQKMDNFKFKYRLEVVDFGGLDFTVLEVGLNCLISTLVREGSLLSPLVTLHFLLTV >cds-PLY81999.1 pep primary_assembly:Lsat_Salinas_v7:8:263672098:263675694:-1 gene:gene-LSAT_8X152681 transcript:rna-gnl|WGS:NBSK|LSAT_8X152681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKIWGNIGAWAAEAERAEAEEKEKXXXXXXAAAAPPQSYPSLKEAVNTNKGKKKTKMTLQEFTMGGAGGIGGGGSRRDLAFEQKGLTPEEMMRLPTGPKERSAEEMQYGRIGGGFSSYGGGRSGGGPRMRDREADGDGSWGNNRRSYGGFDDDRRGPPSRGPEYEQTSRADEVDNWAMAKKPMTPSFDAGSRPNRYSSLGGGGGLSAGGGGGGGFSRADEIDNWAMNKKPVPPARSSNFGSGFRDSGGPEPDRWSRGVVPRDGNQERLPERRRLVLDPPKGESSLTQPIVKTNKPNPFGNARPREEILAEKGLDWKKADLELEAKKINSRPTSSHSNSRPGSAHSGRSAEGSVSLQGLEKPRPKVNPFGDAKPREVLLQEKGVDYRKIDSELERRRLGRLETEAEKNLKEEIDNLRREFEKEKETELHEMIVEKERELEQLSHDLDDKLRFSQKTFERPGSGAGRPPSQSGSFDDSRSVDFSDRPKSRDDRRGGFGGGNGKDRGFLGNTDLSRSSSRERW >cds-PLY88051.1 pep primary_assembly:Lsat_Salinas_v7:6:182558380:182559261:1 gene:gene-LSAT_6X110901 transcript:rna-gnl|WGS:NBSK|LSAT_6X110901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESWTKVRCRKAPSKFQTGVDETSFFVSNIPIGATKEEFRKIFKPFGELTDIYFGGRKGKNGKNFGFIRFKGVTNARTLEARLNGTLCRNYKLEINIAKHERKMPKSFIKTGDKPHTTTATPIGGGFIGCRSYAEVIGAGPTLPIRLKVDDKMKRMFSGKILIEEVKTLDHLGHLPALISIHSEAGAKVKYAGGMKAIIEFDSSILAKNFLNNENNWRGIFNYLKHEDDDEYNFERIASMRIVGLPIRLWCEDNFFAIVRRFGKIIIPFDHIEDRLDLSVVKVGILISMKKKK >cds-PLY70768.1 pep primary_assembly:Lsat_Salinas_v7:3:133984996:133988582:1 gene:gene-LSAT_3X89681 transcript:rna-gnl|WGS:NBSK|LSAT_3X89681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGSEEEESERGSPRKRSTTGDGRLFGFSMTENSSWDSDPPVTRQFFPVDDSEVGPTTSGGVGEGLISPATTFPTAHWFGVKFCHQSSDPLDGVAATADGFLGKTTPAVVPQPLKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGMEADINFNLEDYEDDVKQMSTLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEVEAARAYDKAAIKCNGKAAVTNFDSSIYDNELNLTECSNNSNKDSNVSSDHNLDLSLGGGSASNTMNEGGENGHFTRDHHHSDTIQLGFRPSGRNETRTSHFMDHRNLESFNIPNEIRGYKHFMRPVDSSMHNMFDPPIFNSLSHQMQFSSSTMQGGNNLSAASIGENNMNANAPPLHQIYAKSAAASSGFPQQRFNV >cds-PLY82322.1 pep primary_assembly:Lsat_Salinas_v7:1:65718880:65720670:1 gene:gene-LSAT_1X56100 transcript:rna-gnl|WGS:NBSK|LSAT_1X56100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRLITLEPSNVVAIRIEQGQRCYGQLTLRNVMYTMPVAFRLQPMNKSRYTIRPQSGIISPLTTVTIEITYDFQDSSLPRGGSFPYSDDSFLLHSVVVPGASAKNPTSTHDSVPSDWFTTRKKQVFLDSAIRVMFVGSMVMTRLVKNGSIDEIREVLEKSDPSWNAVDSVDSEGQSLLHLAVSKSRPDLVQVLLEFDPDVECRNWSGSTPLESAASSGETLIVELLLAHRASIERFESSTWGAVHLAAGGGHVDVLKLLLLRGANVNSLMKDGNTALHLAVEERRRDCARVLLAAGAKVDIGNSDNETPLHISAALGDDNMVKLLLQKGCNKDIKNKLGKTAYDVAAEHGHTRLFDVLRLGDRLSVAARKGEVRAINRLLDGGAAINGVDQHGWTGLHRASFKGHTDVVRVLIEKGVNIDARDEDGYTAMHCAVESGNVDVLELLVKKGADVKARTNKGVTAMQIADSLNYAGVIRILINGGANRDEVKKVSQSLPAFGNKIGGRLDKETIKKRTTRAKVVRGSFGYSGSPLARVS >cds-PLY91537.1 pep primary_assembly:Lsat_Salinas_v7:1:11591963:11594564:1 gene:gene-LSAT_1X9840 transcript:rna-gnl|WGS:NBSK|LSAT_1X9840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSHQKVSLFKVGSSTHSNENRFNYSYFAKAIWVLFKMRDGALAELMLILTASLKEYRICTAYGLWYNEENGDLYLVCERKHTTLLNVIESDIQDDISSFAMIGMELCETISRLHEAELVTGCTSLSCFGIDDFGHLFIDLNDVFMVGHRIQNMISETLSFAENKDSKRLEMYTFPSPELLAEFVKKRDIDLEFGKSTISYSSDVWSIACILLLFLIGKSFGEETQNFLCSYIFTLVNENGDDCEELHMAWLDKVSGLLDTKLGSDYVLMKDLLHKCLCLDPGTRPVVTDLWKSIRELIIKPKFEVMRSLEEKVINKSTCHCLLLGDLSWPLKKPDKVDKNGFKDGDLVVESGVIEGIRENSIKCTDLKGHLDCISGLAVGGGFLFSCSFDKTVNVWSLQGLNHVHTFKGHEHKVMAIVYVNREAPICISADNGGEIFMWAIKVPFEENAFKRLNEEKDWRYSGIHALAVSEAGYFYTGSGDKTIKAWSMHDYSLTCSMRGHKSVVSTLAVCNEVLYSGSWDGSIRLWCLSDHSPLAVLGEEEAAASPFGSILCLALDNQTLVAAHENGYIKVWKKDVALKPISAHMSSIFSVCMEGEWLFSGGWNKTVVVQKLSVDDDDVTEIGSISGDSVVTALLYWQGKLFVGQADRIIKVYSFGG >cds-PLY76971.1 pep primary_assembly:Lsat_Salinas_v7:6:63493057:63495171:-1 gene:gene-LSAT_6X46580 transcript:rna-gnl|WGS:NBSK|LSAT_6X46580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVRQEAPMDNLSAEERQQRHPSPSKQSKASPVPVDTNSVTQRLQKELMALMMSGDLGVSAFPEGESIFTWIGTIEGGKGTMYEGLSYKLSLHFPIDYPFKPPLVKFETMCFHPNVDQCGNICLDILQDKWSSAYDCRTILLSIQSLLGEPNIDSPLNSSAAALWSNQEDFRKMVHKQYAGEDAI >cds-PLY70786.1 pep primary_assembly:Lsat_Salinas_v7:3:135162172:135166286:1 gene:gene-LSAT_3X89301 transcript:rna-gnl|WGS:NBSK|LSAT_3X89301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVKPEVNGGVKEEKHNRPPPVIDGPTNPMVTPLLNDLYQFTMAYAYWKAGKHKERAVFDLYFRKNPFGGEYTVFAGLEECIRFIANFKLSKEEIAFVRESLSPTCEDAFFDYLEGIDCSDVEVYAIAEGSVVFPKIPLMRVEGPVAVVQLLETPYVNLINYASLVTTNAARHRFVAGKSKLLLEFGLRRAQGPDGGIGASRYCYMGGFDATSNCAAGKIFGIPLRGTHSHAFVSSFMGTDEIIDKSLKSHDGSQVCEDFVGASQTWLSKIKRLSILKGVFGETNQSELAAFISYALAFPDNFLALVDTYDVMKSGVPNFCAVALALNDLGYKARGIRLDSGDLAYLSCETRKFFQTIETEFVIPGFGKTGITASNDLNEETLDALNKQAKLFIYFEFLTSVWYGHEVDAFGIGTYLVTCYAQAALGCVFKLVEINNQPRIKLSEDVSKVSIPCKKRSFRLYGKEGYALLDIMSGENEPPPKVGERILCRHPFNESKRAYVVPQRVEELLKCYWPGTTDKKREELPTLEQNRERVGKQLEQMRPDHMRRLNPTPYKVSVTAKLYDFIHFLWLNEAPVGELQ >cds-PLY73161.1 pep primary_assembly:Lsat_Salinas_v7:2:191608851:191610841:-1 gene:gene-LSAT_2X112320 transcript:rna-gnl|WGS:NBSK|LSAT_2X112320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWTIPLGISVNTLSPNFNKLPLFYPSRVHQSSIPSHLSRSDSIGICKASQVVEIFPIVSPEIVVREARIEDCWEVAETHCSSFFPEYSFPLDFVLRIDRLLALVFGLSVPTGCRRTCLVAVTSTSQDDDNNTFFIGDEDLKIAGFGAKISLNKGYVAGILTLDTVADFLPRKGPLRQRRTGIAYVSNVAVRERFRRKGIAKRLIAKAEAQARSWGCRSIALHCDLNNPGATNLYRSQGFKDIKIPEGAKWPQPRTSPDMQFNFMMKLLEKNRTNC >cds-PLY90636.1 pep primary_assembly:Lsat_Salinas_v7:6:52435425:52436572:-1 gene:gene-LSAT_6X38501 transcript:rna-gnl|WGS:NBSK|LSAT_6X38501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVDLWLGRPVMTCSRPDVLAIFFHFHSVTVSFTNIINTMKQTKLKLVAATMAEDDDELHPPSVYRFYPTEQELISFYLWNKLQGLRTQDLHRVIPVVHVYEYNPCNLPRLAGEFCRRDTEQWFFFVPRQEREAQGGRPSRTTAYGYWKATGSPTYVYSSDNKVIGLKKTMVFYEGRSRTVKKTEWKMNEYRAISVDVDATNTFSVPQLRHELSLCRVYVVSGTTRAFDRRPLGIEWTEMVTPQVNRGGSSFGTVGNN >cds-PLY84266.1 pep primary_assembly:Lsat_Salinas_v7:8:115338664:115339981:-1 gene:gene-LSAT_8X80121 transcript:rna-gnl|WGS:NBSK|LSAT_8X80121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYYAHLNCATSRREPFMSIFLPAGSGRTHKNYKDIDYPRLVHLPFPDETYSIPKHLFFQETRTSYEVNLKHMSHPHPLILVDHAQTSSLLPVVCHDPMKKTQLLCTGCLRPIMETMSFYKCAQHCNFALHEWCTRLPPKIKNHPGHPKHTLLLMYSNALPFFFGVFYCEVCRLPCNGFAYCCVECGFYVDVTCGFIPKEITHQAHPNHLLSIVQGKITDTCHMCFRYYAGGPLSFRCNTCHIFIHPECALLLAETIRHKYDKKHPMNLSYLPIENHKSEYFCEICEEDLNPHDAFYHCQDCAQSIHTACAPLILECETETYSDYQRGIYGFVNIKFGEIHNTNSHQHPLLFAQGRKSDGQCEICLYTLQFKMIFKCIECKFAIHYSCCVRHNTI >cds-PLY90886.1 pep primary_assembly:Lsat_Salinas_v7:1:57538147:57541328:1 gene:gene-LSAT_1X50041 transcript:rna-gnl|WGS:NBSK|LSAT_1X50041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSSNHLIGMLNFLTFLLSIPILGGGIWLSTRANNTDCMSFLQWPLIVIGVAIMVVSLAGFAGACYRNTFVMYLYLWAMFVIIALLIGFVIFAYVVTDKGSGRPVLNREYEDYYLPDYSGWLKDRVASDSYWRKISSCIRDSNACAKTGRVVGGFPESADMYYQRKLNPIESGCCKPPTECGYVYVNETTWNPVNSALATNNRDCMRWSNDQEQLCYGCNSCKAGVLASLRKSWRKVSIINIVVLILLVIAYVVACAAFRNNKRMDNDEPYGETRMEKSRPSWIQF >cds-PLY67122.1 pep primary_assembly:Lsat_Salinas_v7:5:291139520:291142611:1 gene:gene-LSAT_5X154461 transcript:rna-gnl|WGS:NBSK|LSAT_5X154461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFLQAPEIQIGIGFAVVIVTLVAFILFSSKKTKGSIDPENFKQFKLVKRTQLSHNVAKFRFALPTPTSVLGLPIGQHISCRGTDGQGEEVIKPYTPTTLDSDVGYFELVIKMYPQGRMSHHFREMREGDYMAVKGPKGRFRYQPGQVRAFGMIAGGSGITPMFQVARAVLENPSDKTKVYLIYANEELEGLTSNYPDRFKVYYVLNQPPEGWTGGVGFVSKEMIQDHLPAPASDIKILRCGPPPMNKAMASHLEALGYESDMLFQF >cds-PLY83279.1 pep primary_assembly:Lsat_Salinas_v7:8:191417730:191420546:-1 gene:gene-LSAT_8X124041 transcript:rna-gnl|WGS:NBSK|LSAT_8X124041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYADIQMLMGKLKQLIYSNDIPVINNPSILSERPQFQHLYEELGFMIQILFNHEDQDLHSFEKVRKLKRRFKVAAEEAEGIVDIFLTTVHCRNNGYFPRSDVFHPSLHLEVVMRSIESIKIEFMNINIDNMKMDSSLRTDRLQSAGTSHTRNSRGSKKLLEKVIVGFNRDAEIIRDKLVEDGKKLDVLSIVGMGGIGKTTLANKVFTDPFVVHHFYVRGWVTVSQIFDKRDLLIQVLTSIDDQLELEKATDYQLRAMLHKSLMGKRYMIVIDDIWSKEAWDKLKLFFPDDNTGSRILLTSRLTEVASHAKSHGLIHHLQHLTEEESWKLLCEKVFQGNECPKWLIAPGMQIAKNCYGLPLSVVVMAGVLAKEPRRKDIWLKISCSVHSYIASDEKGCLETIALSYHHLPFHLKDCFLYLGGFLEDSQIYSPLLICLWMAEGFIQEDGSRSLEEIAKGYLMDLVDRNLLIVEKWYISGDVELCKVHDLVRQLCVEKGKEERFFLKIDWPPSNHHCEIITTHKQRRVFTNQEIDIMTLSHPPTPSIRSFLCYHRKTTLTDNISKFCSSFALLRVLSLQKCILIDFPPGLALLVHLRFLEIWLSLFPPSICNLWNLQTLVVRTSSSSMVLPSNISNLVNLRHLASNTNLYLPSIGKPMKLEVITNVVLGNGVDNFQKCFPGIKDLTSILYSDEENDFEVLRYLQVLMLVGSGYSRRRSVEPELLRGEQNLGKNHIIRFPETLKVLTLVRCGLPWSNMTIIQSLPNLEFLVIKDNGFEGTLWETGEGQFQQLKALRLEELNIKTWEVSSINFPHLERLEVSNCIDLEEIPLELGDISTLEFIVVLNCGASLLESLQKIRHEQDDAGNYELKIKVDGRNIPSCVPNHEH >cds-PLY90313.1 pep primary_assembly:Lsat_Salinas_v7:2:199277046:199278471:1 gene:gene-LSAT_2X120360 transcript:rna-gnl|WGS:NBSK|LSAT_2X120360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERVRLYTRGTILGYKRSKSNQYPNTSLVQIEGVNTKEEVGWYQGKRMAYVYKAKVKKNGSHYRCIWGKVTRPHGNTGIVRAKFTSNLPPKSMGSRVRVFMYPSNI >cds-PLY94547.1 pep primary_assembly:Lsat_Salinas_v7:2:156313892:156318460:1 gene:gene-LSAT_2X82261 transcript:rna-gnl|WGS:NBSK|LSAT_2X82261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIVISLVRSFHRPLCSSSSSTPKHLLKSRICPLWSPSFLFCLPLPPRSTLLRHSYSPSLSPAAAHRRGSFCSATLPSLMSTVSDDNPLLKDFVFPPFDAIDASHVRPGMRALLKKLDSDLVELEKTVEPSWPKLVEPLEKMLDRLSVVWGAVNHLKSVKDTPELRSAIEEIQPEKVEFDLKLGQSKPIYNAFKAIRESPDWAGLSDAQKRIVESSLKEAVLSGVSLEDSKREEFNKIQQELTKLSRKFEENVLDATKIYARLVTDKKEIEGLPATALGLAAQTAISKGHENATAENGPWVFTLDAPSFMSVMQHAKNRALRREVYDVYITRSSVGKLDNTPVIEQILKLRLEKAKLLGYNNYAEVSMATKMATVSKAEELLEKLRSASWNAAVQDMKDLKQFAKSKGAPEADDLNHWDIAFWSERLRESKYDINEEELRPYFSLPKVMDGLFNLVKMLFGIDVEAADGLAPVWNADVRFYRIKDLSGKPISYFYFDPYSRPAEKRGGAWMDEVVARSRVLSDDKSSVRLPIAHMVCNQMPPVGDKPSLMTFREVETVFHEFGHALQHMLTRQDEGLVAGIRGIEWDAVELPSQFMENWCYHRDTLMSIAKHYETGETLPEEIYQKLLAARTFRAGTLSLRQLKFATVDLELHSKYVPGGSESIYDVDRRVSEKTQVLAPLEEDRFLCGFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLNDDKAVRETGKRFRETILALGGGKAPLEVFVEFRGREPSPEPLLRHNGLLQTA >cds-PLY72243.1 pep primary_assembly:Lsat_Salinas_v7:7:88106737:88108846:-1 gene:gene-LSAT_7X60580 transcript:rna-gnl|WGS:NBSK|LSAT_7X60580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKVSAYLLGEYSHLLARRPGCSPKDIFVIIHEKLPTVSTPTISILLSTYAKILMHSQPPDPELQNQIWAIFSKYMCQLDAPRAAGNLNFRLPMANRRLFLFLILMLLSFQTLLTTSHEDPSYKEVQNKDSFYEEGYSRRNTACIQVKHTSLISTSASKEQVGEDLHKLQTMQTMVFVVHTQPSLTAHLFSRAKELGMMGEGYMWIITSKTTNLLNSMDAEAIKSMQGAVGFRSYFPASRKLHNFASKWREEHYAINKRNHFKKVNYRLHSSKNESRRALE >cds-PLY81581.1 pep primary_assembly:Lsat_Salinas_v7:1:134308639:134310925:1 gene:gene-LSAT_1X100920 transcript:rna-gnl|WGS:NBSK|LSAT_1X100920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSADMISKKRVVLSSNEKTPVMVAAAEEEEWRQRRPWEGLNPEILALIFVRIPAEEMVSCVPLVCRPWMEVVAGPYCWQEIDVQAWCRRRNDSHAVDLVVKKLIRRSKLSVQRLSVYRMGESGFFFAANCGKCLKVLEIPMSEITDQMISKHIKPLPNLGILDISNCLKITSKGIATFGTQCKSLIHLKRNMLPIEDSKPMDDSEAKAIADTMPKLQRIELCFGGFGDFGVSEILNKCKLLTHLDIQGSWNVELEGELEGVCEKLEFFQSPWSNYSDEFPESESEGDDSEEMESESE >cds-PLY69442.1 pep primary_assembly:Lsat_Salinas_v7:6:122795057:122795467:-1 gene:gene-LSAT_6X72820 transcript:rna-gnl|WGS:NBSK|LSAT_6X72820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEASRLVMEKKKDERRLTRGYIKLRSIRMHFEKTGNKASHNLTTLFVGSVSLIFTKGDLKEVSEEVAKYKVRAPMHVGLVAPMYTIVPPDNTSLDASHTSFFQVLTFLTILTKVLWKSSPILSSSRRVIMWDLSKL >cds-PLY86041.1 pep primary_assembly:Lsat_Salinas_v7:8:296557386:296559026:1 gene:gene-LSAT_8X164321 transcript:rna-gnl|WGS:NBSK|LSAT_8X164321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSHTYFAEKRLQDHHRLPPQPYAVRLSVILPRHLNIWVLSSVLDFPFPVCDGGGLFDDLSVSIPNSLKCRLHRRSVSNFHYGSLATILPSTISSPLMTPTYLYTTNVLLIIAPNTPACMYWYYLNFNGSSGHIRMTEHED >cds-PLY93949.1 pep primary_assembly:Lsat_Salinas_v7:1:200243934:200245230:-1 gene:gene-LSAT_1X123241 transcript:rna-gnl|WGS:NBSK|LSAT_1X123241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSGSSLAVLEGSLQLNSSTRLTPVGNNRIAVSRSGLVVRAQQGQGESETSRRAVLGLIATGLASGSFVQAVLADAKPIKLGPPPPPSGGLPGTLNSDEARDFDLPLKNRFFIQPLSPTEATARVKESAKEIVNVKEFIDKKAWPYVQNDLRLKSEYLRYDLKTIISAKPKDEKKELKDLTGKLFQNMADLDYAAKIKSPADAEKSYAAAVSSLNDVLSKLG >cds-PLY76181.1 pep primary_assembly:Lsat_Salinas_v7:4:51463441:51464279:-1 gene:gene-LSAT_4X35101 transcript:rna-gnl|WGS:NBSK|LSAT_4X35101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEKEKVKPLAPSIDQNQTVSDDEDTVYKKTTRPRKYVKWLSCIVASIIHLAVVVVILIFMVFKIKEPEIKMNDVMVNNFDFMNGSILQPGTSISLTIDISVKNPNFASFRYKNTTTNLYYRGVVIGVARGPPGQSKARRTTRMNITMDIMVDRLFGNPNLQSDISTGLLSMSSYTIVGGRVKLLTIIKKQVTVSMNCTMKVNIISRAIEDQMCKRKVKI >cds-PLY85742.1 pep primary_assembly:Lsat_Salinas_v7:1:48116503:48117215:-1 gene:gene-LSAT_1X45200 transcript:rna-gnl|WGS:NBSK|LSAT_1X45200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCLTLVFCEGFEQIKEHVLPTSVKLFASNEQAIRVGLFQHIDQFGKFWLLDFSGLAFCLSNSKEDIMKSGDVHTTCPDL >cds-PLY97411.1 pep primary_assembly:Lsat_Salinas_v7:4:17005231:17006527:-1 gene:gene-LSAT_4X12061 transcript:rna-gnl|WGS:NBSK|LSAT_4X12061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAMMADGQVTKVDSIKLQSENDLFGYDSYTYLNWDDFEAVLTMDELTGAIIVSYMMVLFNKMKYGSPERDHGICFVNPTLISPRMRKGKSKNIDDASRGLADWLSKRQGNDIIFMPYNPGRHWVLGVLDMKSDTCYYLDSLSSANFNMQLKQIVDSEMVLYATQSGSNKRVKLNWVNVTCPVHPGGTKCGYYMLRFMKEIVEEGIEVLVKDNIGDGKVEYTTDDIDEIREE >cds-PLY66215.1 pep primary_assembly:Lsat_Salinas_v7:1:176093593:176098419:1 gene:gene-LSAT_1X116480 transcript:rna-gnl|WGS:NBSK|LSAT_1X116480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATATSNMHVAIAKPFTFASCEVSKPSVAVFSSGIKEAPWMKLKSSCHISSTHVISQKITPNTLKFNRIVTKAMSESNDTKPLPGLPVDLRGKRAFIAGIADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFETSLRRGKFDESRVLPDGSLMEITKVYPLDAVYDCPEDVPEDVKANKRYAGSSKWTVKEVAESVKEDFGTIDILVHSLANGPEVSKPLLETSRYGYLAAISASSYSYVSLLQHFIPIMNPGGASISLTYIASERIIPGYGGGMSSAKAALESDTKVLAFEAGRKHRVRVNTISAGPLRSRAAKAIGFIDMMIDYSSENAPLMKELSAEEVGNAAAFLASPLASAITGTVLYVDNGLNAMGVGVDSPVFKDLNIPRDNNKS >cds-PLY88825.1 pep primary_assembly:Lsat_Salinas_v7:4:93158001:93158663:1 gene:gene-LSAT_4X61220 transcript:rna-gnl|WGS:NBSK|LSAT_4X61220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFPPSIFKGFTSSEEFALKEMMMMRGGCGRKTSSSSLVLDNERGEIVRALVRPGSIHHHPHHHNSHLHHHQKGAKAEKALMALRNHSEVERRRRERINGHLSMLRSLIPGTTKFNVLLKILAKN >cds-PLY77674.1 pep primary_assembly:Lsat_Salinas_v7:9:19140775:19141491:1 gene:gene-LSAT_9X14140 transcript:rna-gnl|WGS:NBSK|LSAT_9X14140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLMEMLSKNWPYFVLCISRRVNIQIVCKKWKVFGSENDLWCNLFKERWGIDRAIFYAPVDDSKSLKDVYAIQDQYGPKIIREEDGCFLIHQGENQRYLGSRSIHDDASASTSTTSYASRYIKDEFMGRRERQMGILDRILFFI >cds-PLY77998.1 pep primary_assembly:Lsat_Salinas_v7:9:44468135:44468431:1 gene:gene-LSAT_9X39601 transcript:rna-gnl|WGS:NBSK|LSAT_9X39601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRQLDHVMTFLLAEMGTSGSLTDKYVICNGCRSPDTILSKENRLFFLRCEQVTN >cds-PLY95175.1 pep primary_assembly:Lsat_Salinas_v7:1:169851509:169852764:-1 gene:gene-LSAT_1X113880 transcript:rna-gnl|WGS:NBSK|LSAT_1X113880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSGVQKFCVILLSEGGGVQGDMDVLCQIGLDGIQMLDPATNRTLKLYSLEFVTRWEVMDLNVFVFWTKTSIDVDERRVRLKSNSYTTTNILDMVAAVPDEASTKCTTCKPYFGAFVRRHHCRNCGDIFCDKCTQGRIALTTEENAQQVRVCDQCMAEVTQRLSHVNKVAGRGSSGFNRHEDLTTFYLNVILNSDSDRIKS >cds-PLY93677.1 pep primary_assembly:Lsat_Salinas_v7:2:200073500:200073862:-1 gene:gene-LSAT_2X122461 transcript:rna-gnl|WGS:NBSK|LSAT_2X122461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWASLKAQVDDGTYDPSASDSQLSHSSALDDALLAFATMDFASFLGLGHFYMDGVKALCAFDKGEDIVEGLGVGIGGAGGEIGGVAGGIGGDAGGAGDRTSGAGDDGVGISVGGASGGV >cds-PLY80698.1 pep primary_assembly:Lsat_Salinas_v7:5:218856862:218857423:-1 gene:gene-LSAT_5X101621 transcript:rna-gnl|WGS:NBSK|LSAT_5X101621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFKIDLYIFFLATDYYQHXTITIVAATTTITTIITAATTTTTTTTATTSFATTTTTVTATTTTTTITQHHYHRRHHQYPPPPLPPSPLPPSPPPPPSPLSSPPLPPPPLPPPPPPPSPPPPPPSPPPPPPLPSPNTTTTVATISTHHHRFHHRHYHHHY >cds-PLY66411.1 pep primary_assembly:Lsat_Salinas_v7:9:38817622:38819345:1 gene:gene-LSAT_9X35920 transcript:rna-gnl|WGS:NBSK|LSAT_9X35920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDGLSNITLTDRIKLNVGGKLFETTISTLRSGGPDSLLAALSNRQVQSSNPVFIDRDPEIFSVLLSLLRTNRLPSTSRRFTDQELSDEASYYGIESQLKSAMLPNPLSGIDASVIATIRPASDGVVSAFTTIDDGSVWIAHGGQISVYDWNLTHAGTVRTHLDSITSIRQVTPSAMAAIACDVASGIHFYNFANGRRVGSVEWTDPTDPRIYKARVTAIADSPDSVFAAFDSHHKENSVLAIDKSTLQVTSEIGRQSGNSSKSIVPGNLTYVNDLGILAANAVTSGAFGFSGYIRLWDPRSARVVWETNEPGSGRSSRFGDSFADVSIDVSEGTMCKICSKSGDLAMADLRKLSDDPWVYLRDTNPSLRNTSGGINNSIVHCYRKQVFVGRDGGLEVWSRVAQNGENNMEIAEESFRRNYMDKLEDSERGIIKKIEGGGERLFVSREEAEGLEVWQSSVFSGAVLVS >cds-PLY82057.1 pep primary_assembly:Lsat_Salinas_v7:9:157447858:157448955:-1 gene:gene-LSAT_9X98561 transcript:rna-gnl|WGS:NBSK|LSAT_9X98561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGEGKKIGNVPLTLLMKKRDIPDLTIIDFPGIHRDNLEQTMARIEESILFERLHRVNGLPESEKSMLGIPALANKLVQMQLAFILKCLPDILKKINERLNALNLELNQLPNNLMSVPEVMATFMHVIGSLKEIVQKMLIQGDYNEYEDDKQMHFNARLVEMLDRF >cds-PLY77761.1 pep primary_assembly:Lsat_Salinas_v7:2:169597828:169602502:1 gene:gene-LSAT_2X91901 transcript:rna-gnl|WGS:NBSK|LSAT_2X91901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKKKFPLDAKDYKLYEEVGEGVSASVYRALCVPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLINHPNLLRAYCSFTTGHSLWVVMPYMAGGSCLHIMKSSFAEGFEEPVIATLLREVLKALIYLHAHGHIHRDVKAGNILVDYNGSIKLADFGVSACMFDAGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKEMVAACLVKDPKKRPSSEKLLKHPFFKHAKTADYLERTILDGLSPLGDRFRMLKAKKSDLIVQNKDLYGDKEHLSQQEYIRGISAWNFNLEDLKNQAALIDLDEISNVEDPKTTEQQNGVNGVTLPPEKLSPEIDINNNNNNNHSDAKTGDEIPILENSLASFPIEPLQALEGCFDVCEDDVATVSLTGQDENPLSPVKLPLKTKDQETETRENVVVKKSLQRITITGSKKFMSGSLLPDNVLSPNKLVANGERDHLQPRFRVERNYSGPLQHLHKNNMMEDVPGAVVQLKGRFKVTEADFTPKATGGMPSPPTSTLPVASLLPSLQSILQHNTSQREEILGLIKSMEQASGSSGNQTEHVESGNGDISQTPPTLRERELHSQVIQLQQSIGSLVEQLQRQKMRNAQVCLHSNNNFLLNIILDI >cds-PLY83248.1 pep primary_assembly:Lsat_Salinas_v7:4:146535222:146538353:-1 gene:gene-LSAT_4X89581 transcript:rna-gnl|WGS:NBSK|LSAT_4X89581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTDIEDEIKDEKNPRPLDEDDIALLKTYGLGPYSNSIKKAEKDVKEMSKRINDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGAGGDNEVQRTMLEIVNQLDGFDARGNIKVLLATNRPDTLDPALLRPGRLDRKVEFGLPDMESRTQIFKIHTRTMNCERDVRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >cds-PLY88970.1 pep primary_assembly:Lsat_Salinas_v7:8:128882244:128884422:-1 gene:gene-LSAT_8X89600 transcript:rna-gnl|WGS:NBSK|LSAT_8X89600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CDC45 (Cell division cycle 45)-like protein [Source:Projected from Arabidopsis thaliana (AT3G25100) UniProtKB/TrEMBL;Acc:Q9LSG6] MVREHSIESFYGKLRESALASASNTPLLIFPSTSDVDSLCALKIIGHVLESDSVRYACYPVSSFKEIHKYAGPSLSSPSGVPITILLINWGCHRDLRKILEIGPIARVFVVDSHRPIHLHNLSHQNDRVVVLYTGDDENQADLSYDFDVSALAAASDLNSDDEVEEDESDSEDENDSDDEDGGNGNRKKRRLSEDGEADPLKLFRKLKKEYYHMGTFHGKPSGCLMYELSHYLRKNTNELLWLACVSLTDQFVHERLTNERYQAGVMELEQHINSSGNLDTLTSVTLKDGTKVCAPDASRIAYEDEPRLMLLQEWNLFDSMLCSSYMATKLKTWSDNGTKKLMLLLAQMGFALDESKQKFRYMSVEIKRKMKDMFDHFLPDYGLNDFYYRGFLLLHGYSSKVSAADVVYGVTALLESSESSGSTGLNGSCAFGEAYDALSLKKLEKLEMGMRHAIKIQRAILRQGSTAITRKGSIRSGSKFRWVKLEDSADTKLLGYPQALTKFGYFLMDALREKGAKMKPLICVCYTQGKERVLIVGVCGKPRLGAIQGNAFGIAFRNAAEETGAEFFHELFESSWIVLDSSAVNSFMIRLTEKLL >cds-PLY82142.1 pep primary_assembly:Lsat_Salinas_v7:1:14095794:14099353:1 gene:gene-LSAT_1X12340 transcript:rna-gnl|WGS:NBSK|LSAT_1X12340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQVLTDKPLKELAFSHIKRMNQKHKNETEKRALQSILFSMLQEEDEQKAMRIMIAALSFLLDYEKIEQDNDSDESSDEEEAIQQHHVVISKEAIYKTTRLLMIVFSELEFALPRQELRKTLKEFALPRKKNTLKKVSSISITDNEILCDCYLPTHKIVLPILRIMLSAMEIDQIETEGHLLFGEN >cds-PLY64512.1 pep primary_assembly:Lsat_Salinas_v7:8:124070306:124071150:1 gene:gene-LSAT_8X85961 transcript:rna-gnl|WGS:NBSK|LSAT_8X85961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEASSFHEPKYKGVRKRKWGRWVSEIRLPNSRERIWLGSYDSPEKAARAFDAAAFCLRGTSAKFNFPDQPPNIPGGRSLSPSEIQAAAAHFANSFPLFPSTSGSVSEAAVPMEAETSTPSLLLPDNNNNVTDYGIFPGFDDYFMRSPIVSSRSPDYDDEENLSYWDISQDPSFLWSF >cds-PLY79209.1 pep primary_assembly:Lsat_Salinas_v7:4:234812307:234813054:-1 gene:gene-LSAT_4X127480 transcript:rna-gnl|WGS:NBSK|LSAT_4X127480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSYHLVIKKLFVYSTTYKLFPEDFAEVVLAGAGMSTDWLHRGKMYEFYVVNGGDLQLRVVDLVESLPLPSHCLPRVISTANLPSHVVNPFSNPSDILFNAPMTSLSKHDKSKYFDSMEDCKEGILFGIGDFLVRRKAMFDYSKFIGGGVGLAIIGRVKKRKVVANLLADLRVSGGEVIIAFDVVRYI >cds-PLY97443.1 pep primary_assembly:Lsat_Salinas_v7:4:14772569:14774079:-1 gene:gene-LSAT_4X9840 transcript:rna-gnl|WGS:NBSK|LSAT_4X9840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPTEYKRSRLSRNRRTVNRAYGGVLSAGAVRERIIRAFLVEEQKIVKKVLKIQKAKEKTAGKS >cds-PLY62995.1 pep primary_assembly:Lsat_Salinas_v7:5:28269714:28271903:-1 gene:gene-LSAT_5X13860 transcript:rna-gnl|WGS:NBSK|LSAT_5X13860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGDPKGGHGLVSESGLVSAHTIDSDSWRQVGLLLVTGYSCGYILSFSNLMLVPLGWTWGIISLIMVAVFTAYSSWLLAGFHFVNGQRQEMFYLTWVSQVLILLITNMGFILLGGKALKEINGEFGDTPLRLQYYIMITGAAYFVFSILVPTISSMGKWLYLSTVLTFTYIVVLLVVVIKDGLKSNRVNDYETKGNNASKIFNGFCAISAIIACNSAGIIPEIQSTLRMPAVKNMRKALHLQFSVGLAFYYGVSIAGYWAYGSSVSEYLPADLSGPKWAKILINSVVFTQSIISQHAFIAPVHEALDTKFLKLDKGIHSRENIKRLFFLRALLFVVNTVVAAALPFMGDFVNLLGSFLLIPLTFLFPSMIFIKVKGEAAKIEKIWHWAIIIVFSVLTVATTIAAVRLIVNNVAKYYLFADT >cds-PLY72524.1 pep primary_assembly:Lsat_Salinas_v7:2:142142627:142144244:1 gene:gene-LSAT_2X70080 transcript:rna-gnl|WGS:NBSK|LSAT_2X70080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTDSDGSKQLHVVVFPWLAFGHIIPYLQLSKSIAQKGHKVSFLSTTRNIQRLPSHLSPLITFVPLTLPRLHQLPKDAEATMDVRTQDIHYLKKAFDGLQPEVTRFLKAESPDWIIYDFAPYWLPEIAAGLNISRAYFPLVNAWFMAFVGWSPEDMINGSDYRTSVEDFLVPPKWVPFPSKICYKRYEANWMVGSGSPDASGVSDAYRSGMMLKGSNCVLMRYSYEFEPQWLTLLEELHHLPVVPVGLMPPVEVEDGKDDTWLPIKNWLEGQQKGDVVYLALGSEIMLSKTELGELALGLELSGLPFFWAFRKPAGSTESDSVELPDGFLERTRNRGMVWTSWVPQLQILSHDSVGGFLTHCGWSSVVEGLMFGHPLIMLPFLVDQGLNARALVDKKVGIEVPRNEQDGSFTKNSVAHSLSLVVVEDEGKVYKENAMALSRIFGDINLQQKYTDDVVDYLGKHRYCP >cds-PLY62669.1 pep primary_assembly:Lsat_Salinas_v7:7:194408255:194410096:1 gene:gene-LSAT_7X115161 transcript:rna-gnl|WGS:NBSK|LSAT_7X115161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CURVATURE THYLAKOID 1B, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46820) UniProtKB/Swiss-Prot;Acc:Q8LCA1] MATTAHSISTTIIDGKAPPRPSAAPASSQCVTLPSLPPPPLKQQNRAWKATGYCRKLARNVAAMATGEAAPAEAVSTEFPELIKPIQEAWDKVEDKYAVTSLAVAGVVFLWGSAGMISAIDRLPLVPGVLELVGIGCTGWFAYKNLVFKPDREALIEKVKCTYKDIIGTS >cds-PLY67320.1 pep primary_assembly:Lsat_Salinas_v7:8:235547630:235549734:-1 gene:gene-LSAT_8X141581 transcript:rna-gnl|WGS:NBSK|LSAT_8X141581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTYTYLSNDNDNRARRMRLFGRERPIYEILGGGKAANILLWRDKAVSGAVFIGVLTLWFLFEVAEYNLVTFLCHFTITLMLVIFIWSNGAKVFKWTPPEIPKFLLEESMLCKVICEKLNVFLSWLIYIACGNDIKLFCLVILVVSMLSTIGNYITASNLLFIGIVCMGTLPYLYERHEEKVNYLFATLIWRISKAYNTFDRNIVSKIPRWPLKHRKYL >cds-PLY74470.1 pep primary_assembly:Lsat_Salinas_v7:7:35863181:35869562:1 gene:gene-LSAT_7X26300 transcript:rna-gnl|WGS:NBSK|LSAT_7X26300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTFLFLPLLLWLPLLASSFDTKVYIVYLRHNDDDGEKTLHEIEENHLSYLFSVKKYEDEVRSCLLYSYKHSMNGFAALLTIDEASQLSDMKEVVKVIPSHEMEYSLHTTRSWEFSGLDEDLKPNQLDKNDLLSKSQYGKDVIVGMMDSGVWPESESFNDRGMGPIPVSWKGICQTGPSFKTTNCNRKLIGARYYLKGYEARYGPLNTTKDSRSPRDMDGHGTHTASTVGGRGVPHISALGGFSAGTAFGGAPQARLAIYKVCWAVPNKGKEDGNTCLFEDMLAAFDDAIKDGVHILSISIGSSTPINYTNDGLALGALQAVKNNILVVCSGGNEGPTVSTVVNTAPWLLTVAASSIDRAFVAPVQLGNGIKIKGQSATPYKLPQRKMYKLVYAAEVVNEDVPKTYISGQCLPGSLSPQKAKGKIVFCMRGNGTRVGKGMEVKRAGGIGYILGNSPANGAELTVDAHVLPATAVSSDDAIQILKYINSTKTRTAYIYPGRTVLQTKPAPSMAAFSSRGPSAISPEIIKPDIAAPGLNILAAWTEGNSPTKIEGDHRRVKYNILSGTSMACPHVAAAAALLKAIHPDWSSAAVKSALITSAGLLNNEGKQITDASGKQADPFQFGSGYFRPEKAADPGLIYDASYTDYLSFLCSHGDLRSNPTFICPKSTPSTQNLNYPSFSFPKLIGKVSVKRTVTNVGGKGSVYFASVEPPLGVSIKVSPPILKFKSIGEKKSFELRVERQVNGKVEKDMYSFGWLTWSDGVHIVRSPIAVSLM >cds-PLY74761.1 pep primary_assembly:Lsat_Salinas_v7:6:117355364:117355857:-1 gene:gene-LSAT_6X70661 transcript:rna-gnl|WGS:NBSK|LSAT_6X70661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQYIDFKFLVQAVIASNGVTNKDITANMFSEEEKNVVEEFKLRVVYIPANPPSLFPEESEKGFFT >cds-PLY79165.1 pep primary_assembly:Lsat_Salinas_v7:4:218455929:218456556:-1 gene:gene-LSAT_4X122861 transcript:rna-gnl|WGS:NBSK|LSAT_4X122861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILKLCTKFFKLICLKEVSTDESVEQAAHLRSQMYILCGTLLYERYVGEFKMDLSAWEKSLAAAVETFELVVLSPTDLAVIIKNHCSNGTASAGLGFRIDEIVQAWNEMYEVKRWPTGVPSFLLEPLFRRRVSKLHSLMEVEHR >cds-PLY76455.1 pep primary_assembly:Lsat_Salinas_v7:5:199628372:199639698:-1 gene:gene-LSAT_5X90060 transcript:rna-gnl|WGS:NBSK|LSAT_5X90060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPERHRTSFLLFDAVVDPYGGSLLCFGRFRLPVVLPQPFAARFTDIASLTTQQEKDRITALPGQPEVAFSQFSGYVTVHKKNGRALFYWLTEAATHNPDQKPLLLWLNGGPGCSSIAYGASEEIGPFRINKTLSSLYLNKYSWNKEANILFLESPAGVGFSYTNTSSDLKDTGDKRTARDALVFLKRWMSRFPQYKQRDFYISGESYAGHYVPQLAKKIHVYNKNHPDPFINLKGFIVGNAVTDNKYDNIGTVEYWWSHSIISDTTYKSIKNSCTFSSQNYTEKCDDAINYAWNHEFGEIDQYSIYTPSCNISSRNATSTRLKNSLVRRRASSYDPCIEKNAEKYYNRPDVQQAMHANYTGIPYKWTACSDELLLNWKDSEFSMLSTYRKLIAAGYRIWMFSGDTDSVVPVTATRFSLSHLNLTVKTQWYPWYLNGQVGGWTEVYDGLTFATVRAAGHEVPLLQPQRGFLLFESFLAGKNLPRS >cds-PLY76550.1 pep primary_assembly:Lsat_Salinas_v7:8:90890883:90892346:-1 gene:gene-LSAT_8X63461 transcript:rna-gnl|WGS:NBSK|LSAT_8X63461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTMMRRSVPRLTNSNSLSNGELRMMAFEQEELWENASERITWHENMDGEQAIQNQVHELVTVGNLDAAVNLLLSTPPESFFFYPNALRDVALSPAVSRSLSELAVVAANMVRTGRVLIMYVVVGCLQEALAVLREAHRPDSAAMFIIACREIYYV >cds-PLY96857.1 pep primary_assembly:Lsat_Salinas_v7:2:108525514:108526545:-1 gene:gene-LSAT_2X49501 transcript:rna-gnl|WGS:NBSK|LSAT_2X49501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRWLTKSTLIGEAKSLEHLGHMPTLISIHSEHRPSVKYLGGMLALISFDSTVAAREFLENETNWKDTFKWMKMGSTTVTKSESITWIRIVGLPIRLWNNSNFSAIGGKFGKIVVPIDHITSRVDLSVVKLAILTDKLTKINDEIQVEVDGRSFHVGIVEYEDEPWFPFKFDNEEHPYEDQVLDDKTENESMDGNPNSPIYHTEEKTNEGGDDPTMPCTVAGDDTDHHQRIASATKTSDSGEERPILEKGVYDATINFQDNSKDNGKTDWIAALDNQTDPIPSDLQTLGLMKIVNFPASLAQSGCFGPFSLF >cds-PLY83361.1 pep primary_assembly:Lsat_Salinas_v7:1:63180973:63185196:-1 gene:gene-LSAT_1X54260 transcript:rna-gnl|WGS:NBSK|LSAT_1X54260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:MDF [Source:Projected from Arabidopsis thaliana (AT5G16780) UniProtKB/TrEMBL;Acc:A0A178UP47] MEPERSDTRRVHDDMEDNGNDKSRESKHRSKEKSRSSRREDKDHRSRDREKSKISDASKDRDKESVKDVNRDRRKEEGDEREKDRTRDKVREKENDREKYRDKEREKEKDKTRDRDRGSDKGKERTREKEREKNKDREKERDDTKILKNEANVDDENGGSQQSASELQDRILRMKEERLKKKSEGGSDILSWVGKSRKLEEKKQTEKEKALHFSKKFEEQDNITQGEDDYELPARQHTSRDLAGFKVLDGLDKVIEGGAVVLTLKDQSILADGDINQEVDMLENVEIGEQKRRNEAYKAAKKKPGVYEDKFNDEFGAEKKMLPQYDDPILNEGITLDERGRFGGEAEKKLEELRRRIDGATVTTRFEDLTSTGKVTTDYYTTEEMMKFKKPKKKKSLRKKDKLDLDALEAEAKSAGLGTEDRGSRADGKRQAQKEAQERSEAEKRNIAFQSAYIKADEASKALRMEQTLPIQNADEDSFVFGDDDEELNKSLQRARKLALKKKDDVAPSGPQSIALLASSVSKNADVESGEAQENKVVFTEMEEFVWGLQLDEEEHDPDAEDVFMEDDVAMTSSEREHKGKEGGWEEVKDEVEDVKMAEEEEDVKPDETIHESSLGKGLSGALQLLKDRGTLKDTVEWGGRNMDKKKSKLVGIVNENDDKKEIRIERTDEYGRILTPKEAFRLISHKFHGKGPGKMKQEKRMRQYHEELKVKQMRNSDTPSLSVERMREAQSKMKTPYLVLSGHVKPGQTSDPRSGFATMEDFPGSLTPMLGDRKVEHFLGIKRKSEPGDMGPPKKPPKP >cds-PLY85539.1 pep primary_assembly:Lsat_Salinas_v7:2:195335148:195336527:-1 gene:gene-LSAT_2X115981 transcript:rna-gnl|WGS:NBSK|LSAT_2X115981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G39470) UniProtKB/Swiss-Prot;Acc:O80634] MAVSSLPFSSPTLSTRLNLPLKPSCSSCSWPTIIASSKNSSLDQQTSGNCKRRSLLIGVGASSLLPTKLLLAQEKPANYDEFVDLQDGYSYYYPSDWREFDFRGHDSAFKDRYLQLQNVRLSFIPTDKTDIQDMGPIEKVVANLVKHVYSTPTQVPDVFDMQERTTDGKRYYTFEYTLTSPNFSRAAFATIAVGNGRYYTLIVGANERRWRRVRNQLKVVADSFKVLDI >cds-PLY77120.1 pep primary_assembly:Lsat_Salinas_v7:7:183859332:183863020:1 gene:gene-LSAT_7X108641 transcript:rna-gnl|WGS:NBSK|LSAT_7X108641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWRNEEDERRSPSSSPLVNDALLLTTMCIIGLSVDVHIKDGSIYSGIFHTACVDDRYAIVLKQARMIKKGSCDSNVANEGVIGTLIIQSEDLVQVVAKRVVLPADGFAGHETGDDTGAVACSFPSNGTQLTEGNKTMSTKSNVDQPQANQTKCLSGSDNGFPTHSTNTLEVNKNTDAKVSTKVTFLENLMSEYTFELNFRGIWICVLKVIIKNKSYQSNNQKESIVSSSSTPTETITSKIPNSNQTAKEFKLNPGAKIFSPSFPNKRSATPPVIPTGANLAYVQDGYPAVQVAAPPPEVEIGPYAPRSLPVKFFPYGGNGGGDVQQHHPPPIVGYMTNRGQPVRYSNQYHPVQTTPTYVQPATQNVMVGRFGPVVYVHPVSQDMVPSTTGFSQVNTCSILTPHQLHVPKHQGSAAAQALQLCATPPFIAAAAAGGGGQTPFMLPGHHIPISQPPYPIIRPMPLPGSNAFLVSKFS >cds-PLY91646.1 pep primary_assembly:Lsat_Salinas_v7:8:10967936:10969678:-1 gene:gene-LSAT_8X7581 transcript:rna-gnl|WGS:NBSK|LSAT_8X7581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCQQTSSPPSPYVKCSSTQKQPDIFGPLIPKNKQTTPDVKPHKSHLSLAIKEAIAIARIAIPMVLTGMLLYSRSMISMLFLGHLGELSLAGGSLAIGFANITGYSILSGLSMGMEPICGQAFGAGKHTRLGLCLQKTILLLLLTSFPISLLWINMKRILLFCGQDQEIATMAQTYLLYSLPDLFAQSFLHPLRIYLRSQSITLPLSLCAFLSIVLHIPINYLLVTKLGLGIKGVALSSVWTNFNLVASLIIYILISGVYKKTWGGVSRECLRGWNSLLNLAVPSCISVCLEWWWYEIMILLCGLLVNPRATVASMGILIQTTALIYIFPSSLSFSVSTRVGNELGAGRPGNAKLAAIVGLCCSFVLGFSALFFAAGVKDLWAVMFTQDKEIIALTSLVLPIIGLCELGNCPQTTGCGVLRGTARPSIGANINLGCFYLVGMPVAVALGFYMGFDFEGLWLGMLAAQMSCVVTMLVVLWRTDWEVEAHRAKELTQGGGGDDFVNDDADSEESKEEKYMLIKAANKEEESMGLGDDLV >cds-PLY85952.1 pep primary_assembly:Lsat_Salinas_v7:2:86186708:86187722:1 gene:gene-LSAT_2X38441 transcript:rna-gnl|WGS:NBSK|LSAT_2X38441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADQNRPVTGYPAPPASNGYPTNTATAYPYVAPPPQNQGAYFNVSANPYYSDPYANQQRATFIRRIFAVFIACIIIIGTIVFIMWLILRPQVPQFRLDTLTLSNFNMSSNSLISGTWDARFTVRNPNSKIVLYYDHIEAAVFYKSESISETTVPPFAQGKKNETTVRATFVSASAYVDDRNGISSERSRGTVDFNLRMMARVRFKAGAWWARRRILKIYCPNLLIGVSTNSTGGSLSGGSKNCRVGL >cds-PLY96572.1 pep primary_assembly:Lsat_Salinas_v7:4:369234357:369234641:1 gene:gene-LSAT_4X181761 transcript:rna-gnl|WGS:NBSK|LSAT_4X181761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVATNFNRGQRLFHGSFLRQGKSQCLAVDAYSSSNNHSNMVAVTRPSPRPTAQSLMPVFISSHNGKWVMAALGSVASDLDTVVATQENRREGGG >cds-PLY62786.1 pep primary_assembly:Lsat_Salinas_v7:4:31058312:31059097:-1 gene:gene-LSAT_4X16520 transcript:rna-gnl|WGS:NBSK|LSAT_4X16520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSTPQDSKTRRKRDHSAATGYNKEAEDEYYLKKIQELVNQKMKLLAEQQEKDSKKTLKPPTAKTKTNSKRKVSTRRLKNFITTVMNGKDMTLVINKKLYESDLLESQNRLSMPMKQLKTDEFLTENEKQDLENGKEFEVGLLGPTLRLHQKPMVMKMWRLKSTGRRSYVLKTNWNEFVKENEKEMKRHSKIQVWSFRKDNRLFFALSCV >cds-PLY67694.1 pep primary_assembly:Lsat_Salinas_v7:4:3236034:3238490:-1 gene:gene-LSAT_4X1141 transcript:rna-gnl|WGS:NBSK|LSAT_4X1141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEFSSSSKRAKTPAASNNISVSCLVDGCNADLGQCREYHRRHKVCELHSKSPKVTIAGREQRFCQQCSRFHSLAEFDEGKRSCRKRLDGHNRRRRKPQSDSISKTTATFLSSQPGMSRILSFNSTQIHLSSVVGSARTTGIRPDNHTIGPFNNQPPLNYLTRSLPTPSPHNGVGGDNHFNFFQHRSLPLPVSSSQLNRGAGHSRALSLLSSSPPAHQTPAIMPPISSGISQYGFAQLEMHNETMLTESSGTTLQFQGMFNDDHGDSSSSGIKQQTLSFRWD >cds-PLY75249.1 pep primary_assembly:Lsat_Salinas_v7:7:66666556:66668268:-1 gene:gene-LSAT_7X47540 transcript:rna-gnl|WGS:NBSK|LSAT_7X47540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATMVHADPLPITKPTRSGKFSFRGTFSLSKPQGGKIQVLEAIDDITPTPSIPFAVNDSCLLFAVDRTHVSDNANKTAPYRETHRYGSGSETPMHPSRTPLYPYMTPMRDSAATPIHDGMRTPMCDRAWNPYAPMSPARESWEDGNPGSWGSSPQYQPGSPHSRAYEAPTPGSRWANSPSGGSYSEAGTPRDNRDRDTTPASYGNAPRPYLPSTPGGQPPMSPSSTYLPGTPGGQPMTPGVGGLDMMSPVVGGDNDGPWFLPDIFVNVRRSGEDVVVGVIRELLLCWGMFQDGACRVAVGGDVITASPNELEVVVPRKSDKIKIMGGPQHRATGKHIGVDGTDGIIKVDGTLDVKY >cds-PLY67509.1 pep primary_assembly:Lsat_Salinas_v7:6:69913730:69918820:1 gene:gene-LSAT_6X49820 transcript:rna-gnl|WGS:NBSK|LSAT_6X49820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYGLSDSSGTDDDFPPPHQNKFRSGGPGGSSGNGRTMAVGPGPPRIQNDMETQIHLIEQEAYTSVLRAFKAQSDAITWEMETLITDLRKELRVSDEEHRILLSRVNGDDIIKRIREWRKTNGVQLQPTSSSQKKQKTSQSGPSSSLAPPPHHPMPPSSSAMNRGGSGSGLRGKKPQSFGSSSFNSRDQVGKKGGGGYNGNGVDEDKSSDPLIGRKVWTRWPEDSNFYEAVITDFNPVEGRHALVYDANTPNEAWEWVNLREISPNDIRWKWEDVGASHRGGGRSGTGRGNKNPPAAAAARGETAGGGSGRGRVVSKGQSKKESQPQPLPLTKGVPKRALNDIELLHTDTLVKEMDKVFSSSNADPMDIEKARKVLKEHEEALVDAIAKLEGASDGESEQVQKQSVRKHEGGEGGSGNKVLLAVEDLQTNVA >cds-PLY75066.1 pep primary_assembly:Lsat_Salinas_v7:9:21685381:21687845:1 gene:gene-LSAT_9X19401 transcript:rna-gnl|WGS:NBSK|LSAT_9X19401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFFYIIFNFLATSLILITIAFSLLILKIFIGKSIRNPKYSPVVGTVFGQLFYFNTLHDYLADIARKHHTFRLLAPDQSELYTTDVRNIEYILKTNFDNYTKGQYNKDIITDLFGHGIFAVDGVKWKQQRKLASFEFSTRVLRDFSCAVFRTNAAKLVMIVSQFAMVNEVFDIHNLLMRSGLDSIFKVGFGVDLDCLEGSNEEGNSFIDAFDDSNALTYWRYVDPLWKLKRFLNIGCEASLKKNIKLINDFVLKLISRRREQLQTQKHHNHKEDILSRFLIESEKDSDMDDRYLMDIILNFILAGKDSSANTLSWFFYMLCKNPAIQDKVVSEIEEHIGYQLGNGDNVEDFVERINDEILEKMHYLHAALSETLRLYPGVPVDGRVAESDDILPDGYELKKGDGVYYISYAMGRMSYIWGDDAEDFKPERWLNDNGIFQPQSPFKFVAFHAGPRICLGRDFSYRQMKIMSIALIRFLRFKLFDETKRVTYKTMFTLHIDGGLHLVAFPRT >cds-PLY88959.1 pep primary_assembly:Lsat_Salinas_v7:8:130311879:130315570:-1 gene:gene-LSAT_8X90281 transcript:rna-gnl|WGS:NBSK|LSAT_8X90281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEVPSLINLCIGAIKDAILEENGNLPFVYKLPAELFDQLLPNLPPLALQNLQEAIPSVSSTDQGFVDDSLKPSRKRKRFDNFDIAWKALYESRWSDVQKFTLDSLPEDVEQNESTTNWQQIYWERHLQNCLDAVAEMVSITLFDGFLGEVEISDALLKSITYERHLSMPKSYLKLEYHCERFGLYARCLRLRNVHCVAEIGHLLRKSRLEYLETHWIKSKEQVAGLCKLLEQNNDTLSTVEFLNCKLPASLVTAICDSLCVKGFESNVIKNFSIKRSSFLDSSYFPLPLGLESLLSAASGLTKLSLSDSHIWWKTAKMLFDTLLDTESGLQVLDLSENCIAGWLSHFKWGSPNCIKPHIKINKSLPSLRVLNLRSCNLQKSDVDCLKNVMTYMPNLEVLNLSENQLQDEGIKVLIPCLVEKSKCPTPLAELYLEHCELSCMGASQLIKFLESLKTPLKSLSLGYNYLSSNFGPFLGKFLLSGIREIDIKGIGLGSGFSDAQQEITKEISLVRINISDNSGGVGTANLLSKLISEAPNLVSINASDNWIPIESFPAICSCLKASKGKLEHLDLRQNPLCNKPNIASLLAEFQVNGKPDILVSPPFSNVLYDNDP >cds-PLY91909.1 pep primary_assembly:Lsat_Salinas_v7:8:200063836:200066482:-1 gene:gene-LSAT_8X127060 transcript:rna-gnl|WGS:NBSK|LSAT_8X127060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGHREKGGHRVDYYKGVHPQWNMMPQQYQVKDQNALLMNRKIMHIVSERDTAIEERDRALSEKKSALEERDMAIQQRDAAIADRNDAIRERDNAIAALRFQETTMNNHLQRASKRTATTHHHPPPSSYRHDQNPNITEAFPITIVPSEATAAKSKSVKERKSGGNGGSGGGGGGSRLKKQKKVGEDLNRNVTTDGSKAEWDAQELGLMDQINFDESTMPIPICSCTGVGRQCYKWGSGGWQSSCCTTTISVYPLPQMPNKRHSRMGGRKMSGTVFTRLLSRLAAQGHDLSAPVDLKNYWAKHGTNRYITIK >cds-PLY90260.1 pep primary_assembly:Lsat_Salinas_v7:8:13792506:13795488:-1 gene:gene-LSAT_8X9541 transcript:rna-gnl|WGS:NBSK|LSAT_8X9541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMPRWSRTLANIYKVGKQSKSDMPRDLYALPCRRISRVAAVEVPEASDAAEKYTGAKSEVNLNKLFRAKPCSLALPPGSALRIEEPNYEGLRQFLLKLMLFYSKQSKSIRAANVIYRRVISQVDKPAIYDVFSLEKTFRTNFSMLVVHMWLCLRRLKAEGKEGVELGQYVYEIYNHDLEMRVSKAGVNLLLSKWMRELEKVFYGNIVAFDTAMLPEAKQDDLQTAIWKNVFLEDGSSKLDAASLPAVMAFTRYVRRECTCLSLTDKEAMFSGNFLFTSLENPKP >cds-PLY67867.1 pep primary_assembly:Lsat_Salinas_v7:4:293551231:293552039:1 gene:gene-LSAT_4X148381 transcript:rna-gnl|WGS:NBSK|LSAT_4X148381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSAIKSFPIQTKPAVSTLFATSNNFAGKATPVFQTVRFQQASAGAEEPENMEKKEETQEAQKKGDVMSHSFGEGYATRSDEEGFGGIYGGNQSLSHDDEDKDVHGNHPDYDKSQGSEVKEKEKARHQTQAH >cds-PLY88893.1 pep primary_assembly:Lsat_Salinas_v7:3:223111874:223114409:-1 gene:gene-LSAT_3X129240 transcript:rna-gnl|WGS:NBSK|LSAT_3X129240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQSKDPFEVVAEEHEESPPNSPTAHDETEPQTPNPPPVVNSQGADVDNTIRSRNPQKSAATSATAKMGKNKEEDDEEEEENMDVELGKLPSSSDPSKMAKMQSILSQFTEEQMSRYESFRRSGFQKSVMKRLLGSITGSNKISMPMTIVVSGIAKIFVGELVETAKVVMKERKESGPLRPCHVREAYRRLKLEGKIPKRSVQRLFR >cds-PLY70976.1 pep primary_assembly:Lsat_Salinas_v7:9:70779140:70779544:-1 gene:gene-LSAT_9X59500 transcript:rna-gnl|WGS:NBSK|LSAT_9X59500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQTMKREASPRISSDGLHITTATPPAATASTTTPLILFPPFSFCFSSDLNFKPNFMNFMVLRHHQSHCIPPLPQQGYSPATTTTTTLGMRFKTGKPDQNRNR >cds-PLY66801.1 pep primary_assembly:Lsat_Salinas_v7:3:202804843:202812959:-1 gene:gene-LSAT_3X118680 transcript:rna-gnl|WGS:NBSK|LSAT_3X118680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTLRSRYHLCWLVQFHSPVCLYGGPPKGPQLKELQRGEGIVGVTPAGRLNDILELRKVDFLQFALFMANIGNEVELAANRFQVKFYTSIFSGNATEKPELENYCSAQVFEGHPFIVEAGVSLGGKDVKQVLNIFQFANRVLLLFEQGVDVVTRTAMKRIK >cds-PLY79379.1 pep primary_assembly:Lsat_Salinas_v7:9:2396290:2399168:1 gene:gene-LSAT_9X5941 transcript:rna-gnl|WGS:NBSK|LSAT_9X5941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDDHNRVYKSLSDVIEGKEGRVGETLLGKRVDYSGRSVIVVGPSLSLHRCGLPREIAIELFQAFVIRDLIRKHLASNIGVAKSQIRKKKPIVWEILQEILDDHPVLLNRAPTLHRLGIQAFLPVLVEGRAICLHPLVCKGFNADFDGDQMAVHVPLSLEAQAEARLLMFSHMNLLSPTIGDPISAPTQDMLSGLYRNMEVLMAERPTQVFHNKVIDGTAMKRLISRFIDHYGIGYTSHILDQVKTLGFRQATAASISLGIDDLLTIPSKRWLVQDAEQQSFILEKHHHYGNVHAVEKLRQSIEIWYATSEYLRQEMNPNFRMTDPFNPVHIMSFSGARGNASQVHQLVGMRGLMSDPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAIRTSDAGYLTRRLVEVVQHIVVRRTDCGTVRGISVSPRNGMMTDRIFIQTLIGRVLADDIYIGSRCIATRNQDIGVGLVSRFITFRAQPISIRTPFTCRSTSWICQLCYGRSPAHDDLVELGEAVGIIAGQSIGEPGTQLTLRTFHTGGVFTGGTAEHVRAPSNGKIKFNEDLVHPTRTRHGHPAFLCSRDLYVTIESEDIIHNVCIPPKSFLLVQNDQYVESEQVIAEIRARTSTLNLKEKVRKHIYSDSEGEMHWNTDVYHAPEFTYGNIHLLPKTSHLWILLGEPWRYSLGPCSIHKDQDQMNAYSLSVKPRYIANPSVTNNQVRHKFFSSYFSGKNQKGDRIPDCSELNRMTCTDHSNLRYPAILDGNSDLLAKSSKL >cds-PLY89378.1 pep primary_assembly:Lsat_Salinas_v7:4:122425296:122425986:1 gene:gene-LSAT_4X77140 transcript:rna-gnl|WGS:NBSK|LSAT_4X77140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRITDSNDKSSSTTIKFLYSYGGKIQPRKIDGKLRYVGGHTRVLAVDRFVTYAELIVKFWEACGFSVKLKCKLPTEDLDVLVSITGDEDLAAVLEEYDRVSPDVKIRAVLFPIDSLKTISPVSSAASLVDFLVATPLRDPVVANYNAITQQRPFSAFKFHGFPVANPPFAGR >cds-PLY75292.1 pep primary_assembly:Lsat_Salinas_v7:3:158192523:158193533:1 gene:gene-LSAT_3X99300 transcript:rna-gnl|WGS:NBSK|LSAT_3X99300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAIIDAITKLRKKISREIYPDRTMSQRENRCFTTNHKFQVGHSIHTGNYDQGFLYQPTSTSEIPPETFFKYKSSVSSPELIKKMQGHLSAWLVKHGLIHRSLGFDYQGIETLQIKPGDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHLTRIEYGADQPEEVCIKVFAPRRDPRIPSVFWVWKSVDFQERESYDMLGISYDNHPRLKRILMPESWIGWPLRKDYIAPNFYEIQDAH >cds-PLY97470.1 pep primary_assembly:Lsat_Salinas_v7:6:90975913:90978462:-1 gene:gene-LSAT_6X62980 transcript:rna-gnl|WGS:NBSK|LSAT_6X62980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIAKHYETWETLPEEIYQKLLAARTFRVGTLSLRQLKFATVALELHSKYVPGGSKLIYDVERRVSEKTQVLPLLEEDRFLCGFSHIFAGGYAVGYYSYKWEEVLSADAFSAFEDVGLNDDKDKGQIFVEVNFEGYSTHFGTCEAARWFLTHEMGTINDCLHKHQGFRLRLVGHSFGGAIASMLSVMIRKKTCDELGFSPDIVTSVGYGTPPCVSRDLADSCSDFVTTVCMQNDIIPRLSVATLMRLRKEILQTDW >cds-PLY65763.1 pep primary_assembly:Lsat_Salinas_v7:5:269528278:269529284:1 gene:gene-LSAT_5X140300 transcript:rna-gnl|WGS:NBSK|LSAT_5X140300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTKVKEMPVVSKMFCSSNQVVLVVRSRPHVVGGGGFVVTDLGSHEVVFRVDGCGVLGKKDQMTLTDAYQNPLLLIRLKAGIVEALSLHRQWGSYTPAYVGSHQKLLFTLKDPKGCLANNRPIRVSIEQKDQSIYCKNFQVKGYFPDRECSIVDSGGNDIAQVTVGKEMKDVMEKKDVYHVVVNAGIDQAFVVGVIAILDYIHNGSTRC >cds-PLY67722.1 pep primary_assembly:Lsat_Salinas_v7:4:4043823:4045758:1 gene:gene-LSAT_4X3221 transcript:rna-gnl|WGS:NBSK|LSAT_4X3221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSKEPDNPTKSCKARGSDLRCHFKNTRETAHALRKMPLIKAKRYLEDVLIHKQAIPFTRFCRGVGRTAQAKNRHSNGQGRWPAKSAKFILDLLKNAESNAEVKGLDVDALFISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELTLSEKEEPVKKEAESQLATSGKSKKP >cds-PLY85800.1 pep primary_assembly:Lsat_Salinas_v7:4:80926416:80928227:1 gene:gene-LSAT_4X54301 transcript:rna-gnl|WGS:NBSK|LSAT_4X54301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSFASSPTKTLTGTASRSERRISSSSNYSSSFSFKSSQVPIARISKHRHAVSCKTLDDDHHHHANSGKLDRRNILLGLGGLYGTAATFGSNSPAIAAPIMAPDLSKCGPADLPEGAVSTDCCPPYTTKILDFKLPPPSNTFRVRPAAHLANEDYIGKFNKAIELMKALPDDDPRSFKQQANVHCAYCDGAYDQVGFPDLELQVHNSWLFFPFHRYYMYFFEKICGKLIDDPNFAIPFWNWDAPDGMKIPDIYTNKKSSLYDPLRDVDHQPPSLIDLDFNGVDENLSPSEQTSKNLTVMYRQMVSSSKTSTLFMGSPYRAGDDASPGSGSIENTPHNPVHIWAGEWKHNNGKNMGKLYSAARDPLFYAHHGNIDRMWSVWKTLGGRRKDFTDKDWLDSSFLFYDENAELNRVKVRDCLDTKNLGYVYQDVEIPWLKSKPVPRRTKPKQKPKNKNNKQAVARADEYIPFAKDVFPASLNEVIKVLVPRPKISRSKKQKEEEEEILVIEGIEVKIDEFVKFDVFVNDEDDGMRATADKTEFAGSFVNVPHTHKHGKNLKTRLRLGISELLEDLNAEDDENVLVTLVPKTRGSGISIAEIKIEHEE >cds-PLY88533.1 pep primary_assembly:Lsat_Salinas_v7:7:134727120:134731158:1 gene:gene-LSAT_7X81260 transcript:rna-gnl|WGS:NBSK|LSAT_7X81260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRVAADVEMKDSDIWSLPSARHNTDELPYVHKVGKPPKQDLLKEIKTALKETFLSDDPLKPFKDQPKKRKLVLGFQTLFPILEWGRDYSFSKFKGDLIAGCTIASLCIPQDIGYAKLANLEPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTMLREELDPVTQKHDYERLAFTATFFAGVTQFTLGFFRLGFLIDFLSHAAIVGFMGGAAVTIALQQLKGLFGIKDFTKKTDVISVMRSVIQAAHHGWNWQTLVIGVSFLTFLIVAKFIGKKNKKLFWVPAIAPLISVIIATFFVFITHADKDGVQIVRHIKKGVNESSAHLIFFNGPFLAKGRTFASMKDYQIDGNKEMVALGSMNIVGSLTSCYVATGSFSRSAVNYMAGCNTAVSNIVMSIIVLLTLLIITPLFKYTPNAILASIIISAVLGLFDLDAAILLWKIDKFDFVACMGAFFGVIFASVEIGLLIAVGISFAKILLQVTRPRTAVLGKIPRTSVYRNVEQYPGATRVPGVLIIRVDSAIYFSNSNYVKERILRWLSDEEEMFKDQPRVEYLIVDMSPVTDIDTSGIHALEELHNSLKKRDVQLILTNPGQTVLDKLHTSNFADLIGEDKIFLTVADAVLTFAPKMEQA >cds-PLY70967.1 pep primary_assembly:Lsat_Salinas_v7:2:44431105:44432739:-1 gene:gene-LSAT_2X19200 transcript:rna-gnl|WGS:NBSK|LSAT_2X19200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIRWLLNYLNAYLHLIQKITHQLKRERVPAPKEEGSSQEDLDKRPEYVASTLESPPQQAEGGENLRKLQCSKPIKECKYQCFQVYRIQRNTRGRIGFRATRCGVRDEIFCSEVARINTFKSREEIVNSILI >cds-PLY80084.1 pep primary_assembly:Lsat_Salinas_v7:4:348438429:348438912:-1 gene:gene-LSAT_4X172581 transcript:rna-gnl|WGS:NBSK|LSAT_4X172581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPQRWSGAIDHFLIEKHHKRFARNKECRKKEVVKNRGGTCNYGSACFKNNLNRLKAFHRGHMNKKRDFVDPLVEDQYNALVVEVALQTHHIADSGDDPNTIDWIAIF >cds-PLY92104.1 pep primary_assembly:Lsat_Salinas_v7:1:108708635:108713367:1 gene:gene-LSAT_1X85381 transcript:rna-gnl|WGS:NBSK|LSAT_1X85381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFEKLEKFRIPLEEIIKATNKFSSENQIGNGGFGTVYKGQLSEKWENRVAAFKRLDPNSYQVKDEFYKEVTFLSTFNHPNIISFIGYCDEANNMIIVNDYAINGSLDDHLASSDKRGRLTWAHRLEICLGAATGLMHLHSPFKNGKIVIHRDVKSENILLDGNLKAKICDFGLSRFVKKDPSDTQLITRRAGTDFYMDPVFTETRQISVNTDVYSFGIVLFEMLRGSLVYSDLLVLDDKKVYLIDLVRRVYDNHPKKLIDPDLSVDENSFKTFKEIAYECIKLDVTERPSMSMVVKKLQLAVDFHRENNSAVVTTEAVVKSLQIHGLYSESQPYEDQIEYRMNTFSKQKMLIEKHEGSLKIFAEGFALYFIREACLFGDFNGWNRSTHKMERQMFGVWSIKISDDNQGVSVIPHNTRVKFTFKHHHDGGWIDRVPAWIKFTTSAAASTLDGVYRDPQDPGRYKFKNIRPSKPNTTHIYTVHFGMRSSSEPGLKSYREPRVNSYRKFADNMLPRVKDNKYNTILLKGVMEHSHYGSFGYYVTNFFAVSSISGTPEDLKYLIDKAHEFDLRVLMDVVHSHVSSDDVYGLFGFHVGQATQDSYFQNSCHDDRRFFNYSNWEVLRFLLSNLKWWLEEFMFDGFRFHGITSMLYHHGTKSTFMGEYNEYFGDSINVDAIVYMMLANVLIHKVLPGATVIADDVSVMPGLCVPVEEGGIGFNFG >cds-PLY95900.1 pep primary_assembly:Lsat_Salinas_v7:3:185765911:185769642:1 gene:gene-LSAT_3X110561 transcript:rna-gnl|WGS:NBSK|LSAT_3X110561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANPSGNGTHNSDAAHPSHNGGSSVPEPALQQNPGVSVDWTPDEQSILEDGLAQYASESNIIRYAKIAVQLQNKTVREVALRCRWMFKRNIGKRSKEDYILTRKSKDRKEIMNDHLPSTSHLPTQSGSSSYAPEVVGNGHQNDIIYSVLASPAGQLLKESAKALEQISANFETHQVLENISLLYQVQKNIRHILNNLSDTPEKLRQMPPLPVKLNEALFHSLLPRTPLQQQM >cds-PLY69184.1 pep primary_assembly:Lsat_Salinas_v7:3:204157074:204158253:1 gene:gene-LSAT_3X119621 transcript:rna-gnl|WGS:NBSK|LSAT_3X119621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLTTFSIFRMENLDGVAFILHYALLLHRKYSGNFLVDLLGKWKESEYFGQSVPVGGIAYYVTAPSSLADMAANPFHALLYLVFMLTACALSLFSKTWIEVSGSCARDVAKQLKEQQIVMLLRYNFGSNPAGSFSIMLRLGFGQPIKVNWAYVTVQREDISGHYNIFVGDLSPEVTDAILYSRFSVYSNCS >cds-PLY68039.1 pep primary_assembly:Lsat_Salinas_v7:5:294308933:294313175:1 gene:gene-LSAT_5X157080 transcript:rna-gnl|WGS:NBSK|LSAT_5X157080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTRFWLPTHSLCISSVRLSQPSVLLKPRSNLGARTLSTNRVLFPSPLLTPRAFKSSSLSSVADALKEKDGSENYGSENIQILEGLDAVRKRPGMYIGSTGFRGLHHLVYEILDNCVDEAQAGFASKVDIVLLADGSVRITDNGRGIPIDIHPGSKKSGVETVLTVLHAGGKFGGASSGYKVSGGLHGVGLSVVNALSETLEVTVWRDNKECHQSYIRGKPLKPLAYEDLPPDADQHTGTRIKFRPDPEIFTSGMEFDYDTIAARVRERAFLVPGLTITLKKEDNDPEKCRSDEYCFAGGLVEYVKWLNADKQPLHDILSYRKEADGTTIDVAFQWCSDAYSDTMLGYANSIRTVDGGTHIDGIKASLTRTLNNLGKKSKLIKEKDISLSGEHVREGLTCVISVKLPNPEFEGQTKTRLGNPEVRKIVDSSLQEFLTEYLELNPDVLDKILSKSLNALKAALAAKRARDLERQKSVLKVSSLPGKLADCSSTDPEIAEIFLVEGDSAGGSAKQGRDKNFQAILPLKGKILNVERKDEAAMYKNEEIQTLIRALGLGVKGEDFKKDGLRYHKIIILTDADVDGAHIRTLLLTFFYRYQRALFDEGCIYVGVPPLYKVERGKQAFYCYDESELKTLQSSFPSNASYTIQRFKGLGEMMPLQLWETTMDPKTRLLKKMVVEDMAEANVTFSSLMGARVDARKEMIKNTAKKMDLKNLDI >cds-PLY99274.1 pep primary_assembly:Lsat_Salinas_v7:5:300797924:300799134:1 gene:gene-LSAT_5X162761 transcript:rna-gnl|WGS:NBSK|LSAT_5X162761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQVNIVKKLSMLAVVAVVLSAASSVSAQAPAPSPDAGAAFSLPTSGPIAKINSGEGEMAQVNIVKKLSMLAVVAVVLSAASSVSAQAPAPSPDAGAAFSLPTSGVMIGTSLLLSFVAIFRN >cds-PLY67352.1 pep primary_assembly:Lsat_Salinas_v7:3:153901721:153903240:-1 gene:gene-LSAT_3X97721 transcript:rna-gnl|WGS:NBSK|LSAT_3X97721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARPHVLVIPYPAQGHVIPIMELAQWLVKQGIKVTFVNTEVNHKLVTSNSLVNDGFGDLIQLVAVPDGLEPWEDRSDLCKLTTSILQTMSCKLEELIETINKEDSNNVSCIIADDGMGWAIKVAKKMGIRRAAFWPASVATLAYVMSFQKLIDDGIVNNNGIPINDEMIQLAESMPPIKSTNLPWTCFKDLGTVKAFFKVVVEAVEAASLTEWFLCNSTTELEPAAFSLYPQLLPIGPLLASNRLADQAGHFWQEDATCLPWLDQQPSCSVIYVAFGSFTIFNQTQFEELALGLELSNRPFLWVVRPGMTKETTASFPDGYVERVGSRGRIVSWAPQQKVLAHPSVACFVSHCGWNSTLEGVTNGLPFLCWPYFADQFHNETYIRDIWKTGLGFNKDEAGIITRGEIKSKVEQLLGDNSFKAKAMDIKEKVTSTIKEGGCSHKNLGNFIEWIHNKDTYAL >cds-PLY82394.1 pep primary_assembly:Lsat_Salinas_v7:4:336579921:336581804:1 gene:gene-LSAT_4X165921 transcript:rna-gnl|WGS:NBSK|LSAT_4X165921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor B-1 [Source:Projected from Arabidopsis thaliana (AT4G36990) UniProtKB/Swiss-Prot;Acc:Q96320] MTNRSVPAPFLTKTYLLVDDPATDDVISWNENGSTFIVWKTADFARDLLPNSFKHNNFSSFVRQLNTYGFRKTVPDKWEFANENFKRGQRELLIEIRRRKTVQSSQAKPTGDGGPAQSPSISGDDLGSSSTSSPDSKNPGSVDTPTVEKLENLSDENEKLKKEKRILTSELAQMKKQCDDLVAFLTQNVKVAPDYINRIMKGDAVVGEMATATATVTAGDEFNDAGGKGESFKLFGVFLKEETKKRGFDEINISSGAQRKELKISGQSTKVCN >cds-PLY90501.1 pep primary_assembly:Lsat_Salinas_v7:1:206286947:206299705:1 gene:gene-LSAT_1X128180 transcript:rna-gnl|WGS:NBSK|LSAT_1X128180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLLLKSYQRTLSPSSCTSICALSPISLEALSHYHQHRTSSALRVRSASDDININTVASDETTHGTVSQIEDDNPDLPPLLSPRRFRSHTQRGGEEGRFGFNNGGTNESLRSEMEANKEQMEQICRAYWTTLAPNVGDYSTKAAKLIVASSGQLVKGILWCGDVTVDRLIKGNEILKLKIGPAINTSVNPELLKAIHRVNKVTKMTEKVFGGLLSGVLKITGFFTSSVASSKLGKKFFKFFPREIALATLDGFSKIYDAFEVSGKNVMSTSSTVTTELVSHKCQSLLQQLSTSLKWELKGRGDDHSIMIYYPSAAGGGMKELFRKSKVKDLELKGHTNSVDQLCWDPKHAHLIATTSGDKNVCLWDVCSGKCSQQAELSGENINITYKPDGTHVAVGNRVNEIAWNMSGDMFFLTTLPSGAGNPSLAL >cds-PLY75331.1 pep primary_assembly:Lsat_Salinas_v7:5:52834682:52834903:1 gene:gene-LSAT_5X25881 transcript:rna-gnl|WGS:NBSK|LSAT_5X25881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEEGIPPISLREISLLQMLSNSIYIVRLICVHHIHHNGKPLLYLVFEYLDTDLKKFIDSHCKGPNPSPLPPS >cds-PLY92898.1 pep primary_assembly:Lsat_Salinas_v7:3:181505540:181505773:1 gene:gene-LSAT_3X108780 transcript:rna-gnl|WGS:NBSK|LSAT_3X108780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLKKDLRVSRVGPGGSLNAFFFLLIGVISQRLAMVRKKGGTGTLGERSTTEXDRISDLSTVFRHFSSQDLTKKG >cds-PLY79910.1 pep primary_assembly:Lsat_Salinas_v7:8:15752926:15753790:1 gene:gene-LSAT_8X13281 transcript:rna-gnl|WGS:NBSK|LSAT_8X13281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSSISISTTTTQPNTVSTSALRSFFSRLSSSLRQSFSQRRPWYELIDRSTLSRPESFSEATSRIRKNFSYFRVNYTALLAFVIALSLLTHPFSLLVLLCLLAAWIFLYLFRPSDNPLVLFGRTFSDRETLVLLVLFSIIVVFLTNVGSLMISALLIGLAILCVHGAFKVPEDLFLDDQEPPNAGFLSFLGGAASSAAVAAAPAVARV >cds-PLY84760.1 pep primary_assembly:Lsat_Salinas_v7:5:229135053:229176176:1 gene:gene-LSAT_5X108900 transcript:rna-gnl|WGS:NBSK|LSAT_5X108900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFYITRVVHFKMNFVKRLSESRDLNTIPSIYTYTTNPNESPVSNSQDSIPTIDFSLLTSPDPSLRLQVIQELGDACKDWGFFQVINHGVPEDLMNMVVEKSNEFFNLTDEEKKDFEEKDVLDPIRYGTSFNSKKDKVFCWRDFLKVIVHPEFHSPNKPVGFSEIMLEYSKRTREVVRGLLNGISMSLGLDQSCIEKTMKLESGLQIFVVNLYPPCPQPELAIGLPPHSDHGLLTLLINNGVGGLQIKHNGKWVNVNDTFPGSFLVNTADHLEIFSNGRYKSVEHRAVVNNAFTRISVAVANGPSPDTAVRPAYELVDEERCPATFVPMKYKEYVEMQQSNKLYRKTCLDQVRV >cds-PLY62231.1 pep primary_assembly:Lsat_Salinas_v7:5:164550169:164551089:-1 gene:gene-LSAT_5X72480 transcript:rna-gnl|WGS:NBSK|LSAT_5X72480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCTLEKRGDVFFLTLTGDGEHRLNPTFISSIRSALSEVKSQSTTGSALVTVADGKYFSNGFDINWAISTSGGSPSKAVDLLRQMVDLFKPLVADLVSLPMPTIAALTGHAAAAGLILAISHDYILMRRDRGFLYMSEIDIGMTLPDYFTAMMRSKVGKPEARRDILIRAVKVKAEEAVAMGFVESAHDSVESTVEAAVCMGEDLAKRKWDGEVYSEIRKSLYPELCGVLGLITKEVVKPRF >cds-PLY98134.1 pep primary_assembly:Lsat_Salinas_v7:1:140221799:140230735:1 gene:gene-LSAT_1X101620 transcript:rna-gnl|WGS:NBSK|LSAT_1X101620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTSLSLSKKTRRISPKLFATVPHPAWFEASFPLFSIVSTYTSLVLFWAEIYHQARSLPVDKLKPAYFVVNGAIYFLQILVKHIKTVLPGLKARISAALVYVAKEHASYGEITKSKLDYINTSQPNFVGESKAVEVTLQQVKSFKLATIALRQKDGVETEKAPQSERGIKSRAILARPVNGIVTGQHNQPGSRAVGEVEKPTAGSTSWGISSIFGGSESRTSVKENPANKLFNDEPIQAMDHSFSMIHLREHEAVDEQRRWSKPEAAETVKQAVDDVGIEAVSDRGWEWEWVIRSEGF >cds-PLY82877.1 pep primary_assembly:Lsat_Salinas_v7:4:18533289:18534127:-1 gene:gene-LSAT_4X12881 transcript:rna-gnl|WGS:NBSK|LSAT_4X12881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQVCKSFNNALKDDILPWLNIIVDENHQRSRISDEILVKIASKAMGRLRTLVLSNCDRVTNDGVQTVVAMNPNIEKLHVPQCTNLTPEGIIQAVTTLNQHVATLKSLKINGIYNITKDHFQTLCMLMKSNEPDTSRQDSIDVGICPKCDEVRMVFDCPLETCERKNTIGGCRGCKFCILRCEECGKCVEEDSEAACEDTLCLDCWIKLPKCGFCNKPYCNKHAYKQCVLPDSSGFVCEACYSTIDEI >cds-PLY83300.1 pep primary_assembly:Lsat_Salinas_v7:4:127255393:127256998:1 gene:gene-LSAT_4X80380 transcript:rna-gnl|WGS:NBSK|LSAT_4X80380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDASATPSKNPKGAFKLLADLPSKGLFSSTVVSSNLGGMRVYITDRDTSPPENQLIKTDQMNILIRSLLLKQQQKAESSAKGASGKESSRKRGLERGVDGRATTKRGASNGQHGSQPEGSRSHSHVPENLQSLTVEKIRALLKERGLSLKGKKASSNFLHY >cds-PLY69846.1 pep primary_assembly:Lsat_Salinas_v7:6:2703911:2704445:-1 gene:gene-LSAT_6X301 transcript:rna-gnl|WGS:NBSK|LSAT_6X301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMADRKLIESTCKATPSYNLCLTTLLSNPKSSSGDVSDLGLIMVGATRVKATQAIQHIKSLYRSHPELRRSLSECAQMYIAVVKADVPSAIQALDGGQPKFAEDGMADTAVEAQACERSFSGGGAHKSPVTLTEMNKGVENTANVARAIIRMLL >cds-PLY97395.1 pep primary_assembly:Lsat_Salinas_v7:4:17006987:17008532:-1 gene:gene-LSAT_4X12081 transcript:rna-gnl|WGS:NBSK|LSAT_4X12081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPITYESWLEVSDEVKEGLWQYVLEKFVVEPKSRKQTLQSIGKKWRNFKHYLYAKFIKNRSKDPKANQFKPPKDYPFIKKEDWKIFVSHRGTKKWEMQETGKTEEEIDKTLLWKKARELKTGGYESDVKMIDELQKSGSFGEVTCGTHDVLTEALGTREQCGHVRGMGKFITTHKYFYLPKNVKYYLDIENERVDKRINKLEDDLEKLKRGVLNVSEAASCQVGGVIEDVEKEPRDESLDNSCLLVVEFAANVVAKGTIMKYSASDENIEVMMETIFQGEALIPIPLEEEFILKAKMHWDTY >cds-PLY80389.1 pep primary_assembly:Lsat_Salinas_v7:3:238924222:238926085:-1 gene:gene-LSAT_3X132480 transcript:rna-gnl|WGS:NBSK|LSAT_3X132480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDGVTGSEPLLTSPSSLTDKSPSVNVPFVNFLKSGLTRPALPLQFSDMTFEEFSKQKLGATQNCSATQKGNHKLTDVVLPLTENRNCKPISLSKQQLVDCARDFNNFGCNGGLPSQAYEYIKYNGGLDTEESYPYTGKDGVCKYKSENVAVKVSDSATITMGAEDELKHAVGVVRPVSVAFQVIIGFHQYTGGVFTSDVCGNDPMVREIHDFFNMRTLMAVLPA >cds-PLY84749.1 pep primary_assembly:Lsat_Salinas_v7:5:228935392:228938772:1 gene:gene-LSAT_5X108740 transcript:rna-gnl|WGS:NBSK|LSAT_5X108740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASINMSSASTSLLRSKMITNFSSYNSLHSVNLISSNGHTSETFLHLTTKSCSKTKPDGRLRASLLPLDGGFNQKSINGVSVSSGILIQPDSIALGTLAAETTPTMNSFSNEADEYDLDQPTTGFSSIPEAIEDIRQGKMVVVVDDEDRENEGDLIMAASCVTPEAMAFIVKHGTGIVCVSMKGEDLDRLELPLMVTHKDNEEKLSTAFTVSVDAKHGTTTGVSARDRAATIKALASKDSLPGDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGFDPVAVLCEIVDDDGSMARLPKLREFVKRENLKLISIADLIRYRRKTDKLVERASAARIPTTWGPFVAYCYRSILDGMEHIAMVKGEIGDGNEILVRVHSECLTGDIFGSARCDCGNQLALAMQQIEEAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVRTMKLMTNNPAKYSGLKGYGLEVAGRVPLLTPITKHNKRYLETKRAKMGHVYGSSGNNNNGVPNLITKKENPTD >cds-PLY70646.1 pep primary_assembly:Lsat_Salinas_v7:5:72744885:72750005:1 gene:gene-LSAT_5X34320 transcript:rna-gnl|WGS:NBSK|LSAT_5X34320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKELEQVQNIMLMIQSTGAIDSSNNTNADSNRFLANFILFMMQPCGELDMNQKCKLISNHFPKISSAFNKESSPQLCDKGNIVTEASLSHHCDDDMSVGLLQTDCADVAMVTLDSMQRANSTLQDFCRSYFMFHEMDANNAESIFKYLPLLSFTESYIYQLDTINEKLLQLPSDGIPDSDMMTPDWILRFIKSFKNDTFKPLAVLLESYGIYTDRIRDELKCGEEYWALERKLCSALTNKQEIQIEDVMRAIHLKSFDYRVLNLLLYQLNGKDINETHMEFLSVSEFLVEVSDDLFDYEAKTIIEAEKKYNSLLNTLDPQLSFKYQKRCEEATKEGGKVFGPPLGTWSIPPIIEDEDLYRTNSV >cds-PLY86000.1 pep primary_assembly:Lsat_Salinas_v7:3:132180004:132182698:-1 gene:gene-LSAT_3X90340 transcript:rna-gnl|WGS:NBSK|LSAT_3X90340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTAATTYAFLFCLIFSQTYSTSNISGELRVEKSPPTRTEEEIRSTYELWLLRHGKAYNALGEKEKRFQIFKDNLRFIDEHNSSGNRTYKVGLNQFADVTNEEYRSMYLGTKSDPNRRIAKVKRGEISQRYAVQENEMLPVEVDWRRSGAVAPIKNQGSCGSCWAFSTVAAVEGINKIVSGDLISLSEQELVDCDNKYNSGCNGGTMDYAFEFIVSNGGIDTESDYPYKGVGDKCDPIRNKAKIVSIDGYEDVPPMNEKALMKAVAHQPVSVGIEASGMAFQLYTEGVFTGKCGTSLDHGVVVVGYGTENGKDYWLVRNSWGTNWGEDGYFKMERNVVGTRSGKCGIAMMASYPVKYRNENPSISNSVNRDQISSI >cds-PLY72926.1 pep primary_assembly:Lsat_Salinas_v7:9:194119374:194120105:-1 gene:gene-LSAT_9X118880 transcript:rna-gnl|WGS:NBSK|LSAT_9X118880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNHIFIVCVLLFLHFVQMVIGDAPLYHICSTTSGNFTRYSPYEHSLNKLMGELYYKTSPNGFGMGSMGQYEAHTSGLSLCRGDVSQKDCMTCVVNASAEIRRRCPSNKAGIIWYDQCLLKYSSNDFLGQIDNQNRLYMWNLNNVSDPSSFNAETKRLLSGLSNTAYNDPKMYAAGALDLDGLQKLYGLVQCTRDLSSVDCKTCLDGAISELPSCCDGKRGGRVLGASCNIRYEIYPFVGV >cds-PLY70395.1 pep primary_assembly:Lsat_Salinas_v7:3:180808712:180811977:-1 gene:gene-LSAT_3X108940 transcript:rna-gnl|WGS:NBSK|LSAT_3X108940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITPLRASKGSTIVERICDLLEFDKVLKSSFSFYFSSFWVWNLLSFIDSLRDPNREGRKKGSHARMFDITKTPEQLYILVVLANWNARGQEGYHRSSGICLILIFYISSLNLEAKMLLCRSILNMLGQRKDIDSGFWEHLQTDCSLKVNG >cds-PLY74181.1 pep primary_assembly:Lsat_Salinas_v7:9:13547193:13549538:-1 gene:gene-LSAT_9X11801 transcript:rna-gnl|WGS:NBSK|LSAT_9X11801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERKLRSKLLLERKLSLSVLISPFSDVEATAGGVDDMTRLSYLHEPGKPFAKQKLLEMTTLGGWMSTPTGIAFTPCIIHVAAREVATQWPIVHEMVLGRSGQKLQQREHLLLKQRSLSDEGAIAIKYLKILRKTLPNSWEKLEPIIVKSGEENQYIAYVAYPLDLFEEGNVFGFKALRALRLEDLRIPTAYVKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKTTVELFMNVFVVALILLKMMRT >cds-PLY96215.1 pep primary_assembly:Lsat_Salinas_v7:3:196928939:196929948:-1 gene:gene-LSAT_3X117680 transcript:rna-gnl|WGS:NBSK|LSAT_3X117680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:LSH4 [Source:Projected from Arabidopsis thaliana (AT3G23290) UniProtKB/TrEMBL;Acc:A0A178VHD2] MDVAQVQDVDSIITTNFNANMLSPTASSSSPTSSSPATTLSRYENQKRRDWNTFGQYLRNHRPPLTLSRCSGAHVLEFLRYLDQFGKTKVHTQLCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGKPETNPFGARAVRLYLREVRDSQAKARGISYEKKKRKRPPQLPQSLQPPAPLS >cds-PLY68150.1 pep primary_assembly:Lsat_Salinas_v7:7:70889804:70890668:-1 gene:gene-LSAT_7X50841 transcript:rna-gnl|WGS:NBSK|LSAT_7X50841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEDSSKNNMLHLVGRLAPWHKLKLRTGAALQLQRELQWREVVKKLVSPAYHTKENIFNETPDIVFTKEHKNLVKEGEKWMKTVAESCSITAALITTIVFAAAITVPGGSHQEKGFPLFRGQIAFKIFGLSDAISLFASSTSLLMFQSILTGRFSEQDFLVSLPRRLIIGLCTLMISTTAMMVAFSATLFLVFCHGKRWMLAPICVLALLPIASFATFQIPLMVDLFRSTYGYIGYIFKKRSSKNSRRLYPYDIRLFFGK >cds-PLY83424.1 pep primary_assembly:Lsat_Salinas_v7:5:330009916:330011673:-1 gene:gene-LSAT_5X186641 transcript:rna-gnl|WGS:NBSK|LSAT_5X186641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 9 kDa protein [Source:Projected from Arabidopsis thaliana (AT3G49100) UniProtKB/Swiss-Prot;Acc:Q9SMU7] MVYIISWDDFVERSVQLFRASPEKTRYVMKYRHSEGKLVLKVTDDKECLKFKTDQAQDAKKMEKLNNIFFTLMARGPETDITEVTGKEQTAQPPKRGRGRKQ >cds-PLY65112.1 pep primary_assembly:Lsat_Salinas_v7:4:5117461:5119480:-1 gene:gene-LSAT_4X2641 transcript:rna-gnl|WGS:NBSK|LSAT_4X2641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEERRQLKLRCGGSWKLVLRFLLAGETCSRREKSQAIAGPGHSIAVTSKGSVFTFGSNNSGQLGHGTTEEESTPRIIRSLQGIRIIHAAAGAGRTMLISDSGQVYAFGKDSFGEAEYGVQGSKQVNTPKLVESLKDIFVVQAAIGNFFTAVLSREGKVYTFSWGNESKLGHQTDPTDLEPHPLLGPLENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGTKNDEKQPRLIEQFQTLNLQPMVVAAGAWHAAVVGTDGRVCTWGWGRYGCLGHGNEDCDSVPKVVESLSNVKAIHVATGDYTTFVVSSDGDVYSFGCGESSSLGHNTANADEQGNRHANVLSPKMVESLKQIKERVVQISLTNSIYWNAHTFALTETGKLYAFGAGDKGQLGMELVANQTERPTPERVELDLS >cds-PLY97788.1 pep primary_assembly:Lsat_Salinas_v7:4:374732199:374732706:-1 gene:gene-LSAT_4X185780 transcript:rna-gnl|WGS:NBSK|LSAT_4X185780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMVLFRRELSFGDSLYLWEMMWALEYDPDLYNLYEEPDSDRPEDAKAKPKSSRQCGKFEREILRSGAKDEETLPISVFLVASVLKEKSVKLLTEAKGLDDVVKVKPFSFSFINNKKVSFNIFIMMMCFLID >cds-PLY98410.1 pep primary_assembly:Lsat_Salinas_v7:8:292895673:292903453:-1 gene:gene-LSAT_8X163061 transcript:rna-gnl|WGS:NBSK|LSAT_8X163061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRESVASRETLGVSVSFGKYENDSLSWEKWSTFSPNKYLDEVGKCSTPGSVAQKKAFFEAHYKKIAAMKAEAEAEAEAELLNQQKARETGPSRSDDYPQDNFEETKKEQEEVIEIKPCETDEELQVEISTMEASEKCQDANEEEVSSVKDESFKEVSMIVLNNSRDNPQEAILVKNDTFQDESEHLLQSQTPIALNINGDKLDEAVLVNDESMKLVKQHFKVDLEDPKEIKQETPKPKAKKVNPTKLETKKKPTSIIPKSPETSSTKLLKPKATPTPMASTRSSSSKKANATSSSSSKSIRTAPMSLHLSMSMNSVTSDTASSSVASSRRRSLFMEQMGDKDIVRRAFKTFQNRINELPNEIKQTPIKETESKASTSMASQKENERSRKAAEKINPVRGKLEQTWKSESLGKKATSSSSSVVLRSNERAERRKEFFKNLEQKSNAREAERTQINSKSKEVKEAEMKKLRQNLSFKAKPMPSFYKAQGLSKSTSEKQNQQQSCLSCQHWLYAPSRFVQRVNQPWL >cds-PLY67766.1 pep primary_assembly:Lsat_Salinas_v7:9:165559019:165560727:-1 gene:gene-LSAT_9X103180 transcript:rna-gnl|WGS:NBSK|LSAT_9X103180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLAHRRAVSAEEGEQFAKENGLIFMEASAKTAQNVEEAFIKTAGTIYKKIQDGVFDVSNEARLTFSFIQI >cds-PLY84644.1 pep primary_assembly:Lsat_Salinas_v7:9:103063763:103065401:-1 gene:gene-LSAT_9X75021 transcript:rna-gnl|WGS:NBSK|LSAT_9X75021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRWDELEGDFMHHNDEQEPQQQDQQDSSYLNFDTLSLISKPKDYYRILEVDYEATEEEIRSNYIRLALKWHPDKQKGEDSATSRFQEINEAYKVLSDPIRKQEYDKTGMLYLYDYNIVDYLNRYKGLILTCNGLGMKTSIL >cds-PLY83312.1 pep primary_assembly:Lsat_Salinas_v7:1:61687024:61689041:-1 gene:gene-LSAT_1X52961 transcript:rna-gnl|WGS:NBSK|LSAT_1X52961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSASRTALILATALSHRSLNPNPSTPFFLRNASATVSLPLISYTTTVTRRPSSCNNFCTSMAAGDSSPTSAAVEKQFDKFRFSLEESGNLRDRIKAVATEIDSTTRIMHSILLLVHQSRPIPEVLEKVNSHVDKLKQLFSKLAEIVGESPGQYYRYHGDWRSETQQVVSLLAFTHWLETGSLLIHTEMEQKLELNSEFCLDVEDYLTGICFMSNELPRYVVNQVTAGDYDCPRKVLKFLTDLHASFRMLNLRNDFLRKKFDGMKYDLRKVEEVYYDVKIRGLGSKGVAEGDQSVQES >cds-PLY97633.1 pep primary_assembly:Lsat_Salinas_v7:5:233900836:233901273:1 gene:gene-LSAT_5X116600 transcript:rna-gnl|WGS:NBSK|LSAT_5X116600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPVVDEEKNWLNLNVGQKLQDSCSRSKTISGKKCRFCKRKFYSPQALGGHQNAHKRERDAARRYHSLNMDTMFPTHRTLGVHTHSLPYKPTTNEGKMIMGGSGATWANGEEGVGSMWSGSFYLDSQMAAPQPSDQLSLDLTLKL >cds-PLY73536.1 pep primary_assembly:Lsat_Salinas_v7:9:77272130:77275603:-1 gene:gene-LSAT_9X63200 transcript:rna-gnl|WGS:NBSK|LSAT_9X63200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDNSYGWFDSSELIPFDSNFAEKSLQTNSRTFVKALEEAIDELGTMKGIVSKMECKINVYIGDKDKILNICQEIFMMMIADPNVLLDREPRCYPFEVDGNLAVISIRCNIKKTGKGSNPQQRIVDKAIAIIKKHGVPMSYDA >cds-PLY83351.1 pep primary_assembly:Lsat_Salinas_v7:1:63334536:63335369:-1 gene:gene-LSAT_1X54060 transcript:rna-gnl|WGS:NBSK|LSAT_1X54060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNQLRAVTLTHVRYRRGDQLGHFLAWISLVPVFISLGGFISHFMFRRELQGMFFAIGLLIAQFISDSIKLVVKQARPETCVLLEMCDSHGWPSSHSNYMFFFATYFTLLTYYKLEILFRKQMWIVGFVVWPLAVLTMYSRVYLGYHTVGQVFAGASLGLFLGGVWFWVVYCKIRRCFPAIEESSIGRLLYLKDTSHIPNILEFEYENARGARRHPSYKRSD >cds-PLY99076.1 pep primary_assembly:Lsat_Salinas_v7:6:151039612:151039950:-1 gene:gene-LSAT_6X91540 transcript:rna-gnl|WGS:NBSK|LSAT_6X91540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKSKANTSYPTVGTQIQQDKNSNDQSIDVHHITTIYTLKPPQPQCPEAITTGSKSDCTATLKPTSLLCPKATTTGSAGTTTYIENRQTDPLQEGDQQENLTKWEKDGVERN >cds-PLY97156.1 pep primary_assembly:Lsat_Salinas_v7:3:139150928:139152893:-1 gene:gene-LSAT_3X92661 transcript:rna-gnl|WGS:NBSK|LSAT_3X92661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYAQNSALPETQLKEASITTMVDDPVKSEHTRWNAKIHYDRVKKDGIFSHKEVTILYVPDLSDCLPSTDAWRDQWLSHKKTIAEREHRYALKREIARGKKEGLKDKEPGTPKDLKKDAKLEKKKVPESDEKSNSSRIASLINIMSPPCLHDSISISSMAAAASTSEAQGLGIEEFHGCTLLHLACETADIWMIKLLLHYGAAEMM >cds-PLY63651.1 pep primary_assembly:Lsat_Salinas_v7:4:133662367:133665843:-1 gene:gene-LSAT_4X83561 transcript:rna-gnl|WGS:NBSK|LSAT_4X83561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSRNGAISPFPTLTMSRHPPDPSNHPDFIFLPLSDKLSDTDDSSDFIRFLQALNDKCKPHFQKHLIQIIDAQKASFQKESIVIIHDNLMFCAGSIDDDLGLPSIIVRNSSSASSSDSDSESDDEAQAAIELQTLETELSTRSSEAATQVRFSEVFA >cds-PLY83098.1 pep primary_assembly:Lsat_Salinas_v7:1:155377587:155377870:1 gene:gene-LSAT_1X107560 transcript:rna-gnl|WGS:NBSK|LSAT_1X107560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAIIKGLEKVRQELDASANDGFVYEVFYKTPNQFISIAELEVGFVTNLYYMVVRIKNNPLN >cds-PLY87249.1 pep primary_assembly:Lsat_Salinas_v7:1:50863346:50863549:-1 gene:gene-LSAT_1X43841 transcript:rna-gnl|WGS:NBSK|LSAT_1X43841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTFSVAPKVAKDEERATKGSSETPTATSTLSLPFVEQAPSSSSPFVFFNESSILSYLNSSPSISPS >cds-PLY96982.1 pep primary_assembly:Lsat_Salinas_v7:2:33402485:33405651:1 gene:gene-LSAT_2X16661 transcript:rna-gnl|WGS:NBSK|LSAT_2X16661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRAIRAYKTVVEEHAVVRKECASIRASVSDNDNDYRRRNLAKLMFIHMLGYPTYFGQMECLKLIAALGFPKKRIGYLGLMLLLDERQEVLMLVTNSLKQYALVFLHSYISYILCSSNMATLCSIRIVKKVPDLAENFVNPVVSLLTEKHHGVLLTAIQLCTDLYNLNEEALEFFRKICTEVLVKVLKDVVNSPYAPEYDVSGIADPFLHIRLLRLLRVLGHGDADASDSMNDILAQVATKTEPNKNAGNAILYECVETIMSIEDSSDLRVLEINILGRFLSNRDNNIRYVALNMLMKAISDSDASIRKRALELVYLLVNETNVKPLTKELIDYLHVSDQDFKGDLTEKICSIVEKLSPDKIWYIDQMLMVLSELEHGVVVVTVYAGSFMTSLDMGAFSISIIKAEQAILQCLDVAAKAPHWPIGVDG >cds-PLY63363.1 pep primary_assembly:Lsat_Salinas_v7:7:152711342:152711812:1 gene:gene-LSAT_7X91121 transcript:rna-gnl|WGS:NBSK|LSAT_7X91121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVSTQEIATSVSKPWLDLRGKIVMVTGASSGIGRELCIDLAKAGCKIIAAARRTKKLKALCHEINNMEIFNIHANEGEAITHDVLAVALELDISAKGPAIEAVVQKAWAAFGCIHALINNAGFRGNFKFFLFFSLCVGLNFCISLRNLCLSKTNF >cds-PLY98044.1 pep primary_assembly:Lsat_Salinas_v7:2:20085226:20086599:1 gene:gene-LSAT_2X11481 transcript:rna-gnl|WGS:NBSK|LSAT_2X11481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDLIAFHIQEEIIKRLPVKQLIQLRSVSKAWKSMIDSSDFIAAHSSHHTHLQYLLVKYQDPVDTEEKHVSFVDDDTFPKQRFVLTLPSSVQILNLPRLIGISHGLLCLYDNYPKLRTAMAVLWNPSIRKSIAVAVPNEMHVGHETVIGFGVCPVTIDPMIIKITQFNWWYAEKSEINNPWKVKIYTMSSGKWRILSSNLLSKSFRVTFPHVVIDRFIYWCALHKVAIDGRLRSCNVIVSFDMSNESFGVVDLPDSLVAHHTNTQLCISKVRESLVMLKYKNRVCDVWMMEDGVGKSFTKRYTIKAPNGPKKTLGFRKNGNLVMEVKDYLIGPGKLVVYDPNSKHFDDLGICGNGDSFFVNSYMETLLLCDRLDCSSN >cds-PLY68157.1 pep primary_assembly:Lsat_Salinas_v7:7:70679286:70680929:-1 gene:gene-LSAT_7X50581 transcript:rna-gnl|WGS:NBSK|LSAT_7X50581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSSFIRRLYLERELEAHQGCVNTIAWNSKGSLLIFGSDDAHVNLWSYESRKLLHSIDSGHRNNIFCTKFVPETSDELVASGAGDTEKWSNLAMIHTRILEIPNQQLDRYFNSRPLSKLRIAEELEVAARAKGEFKNQESEGEIHPNDGFTEAK >cds-PLY94197.1 pep primary_assembly:Lsat_Salinas_v7:5:321804473:321807504:1 gene:gene-LSAT_5X178460 transcript:rna-gnl|WGS:NBSK|LSAT_5X178460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SYNC2_ARATH [Source:Projected from Arabidopsis thaliana (AT3G07420) UniProtKB/TrEMBL;Acc:A0A178VCZ7] MSSDHQPLDEMPSPMPVALSKYSKRVLLKTILDRTDGGLGLLDQRVVVGGWVKSSREMRKDPPPPTTQPPAAVGGDHTKEHTGGKDVKCVEVFQSRIPFLRTIIKVFGGNTGHTKEKLESIFPKQPPPSISFLQISDGSSVISLQIMVDSSIAPSTLLMPTGTCILAEGVLQKPSLQGKHTIELKAEKLLHIGIVDQDNYPLSKKSLPLARLRDCAHFRPRTTTVASVMRVRNALNHASHTFFQNQGFVHVEVPILTATDTEGHSKKFQIFTVSGKEVKREEPVSMDDTADISLETVKLSIIEKSKKIEELKRTDSNKEALDAAVHDLHKTNALAAELEARSKSKSKSKSESHKKTENFKTYDEFFTNRAFLTTSGSLHLESCASALGNVYALGPRFHVDKSESKKYLPERWMIETEIAFAELEDVMNCAEDFLKFVSHSVSENCYENLYFLSKRVDKTLIDRLQSMTSASFEKITYDTAVEVLNKVTDKTFETKIQWGVALTEEHESYLVDEFYKKPVIIHDHPKELKPFNVRLNDDGKTVASFDVIVPKVGALIRGSQKEERLNHLTKRIKELGLRKDQYEWYLDLRKQGTVKHSGFSVTFDVMVLFATGLNDVRDVIPFPRQHGKLHN >cds-PLY76565.1 pep primary_assembly:Lsat_Salinas_v7:5:223018326:223021249:1 gene:gene-LSAT_5X104560 transcript:rna-gnl|WGS:NBSK|LSAT_5X104560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALIMHAGANNKNVYKSLIAAEYVGVEIKMDENFQMGVSNKTPEFLKMNPIGKVPVLETPEGGIFESNAIARYVAHLKPGNSLFGSTPIEYGQIEQWIDFATLELDASMRGWAIPRFGYANYIKPVEESYIAATKRGLGALNTYLASHTYLVGDGVTLADIILTCNLVMGFKFLLTKSFTSEFPHVERYFWTLVNQPKFSKIIGEVVQTEAVIPLPSAKKPEEKPKPEPKKEVKKEEAPKPKPEAAPEEEEAPKPKAKNPLDLLPPSPMVLDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCNYKYNDENTVSFVTMNKVGGFLQRMDLARKYAFGKMLIIGNEPPFKVKGLWLFRGTEIPKFVMDECYDMELYEWTKVDLSDEAQKERVNQMIEDFEPFEGEALLDAKCFK >cds-PLY85357.1 pep primary_assembly:Lsat_Salinas_v7:5:243269626:243272177:-1 gene:gene-LSAT_5X120280 transcript:rna-gnl|WGS:NBSK|LSAT_5X120280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVYAYFLVLLLTIYHGAFSNGGITSSFVRKEAKSIDMPFHSDVFSHPPGYNAPQQVHITQGDHVGRAVIVSWVTQDEPGSSTVVYWSQNNKKFKSTGRITTYKYYNYTSGFIHHCKIKNLEFNTKYYYEVGSGGTTRRFWFTTPPPVGPDVPYTFGIMGDLGQTYDSNLTLTHYEMNPVKGQAVLFVGDLSYADHYEFHDNSRWDSWGRFAERSTAFQPWIWTAGNHELDYEPSIGETKPFKPFLHRYRVPFKESGSTEPLWYSIKRASAYIIVLSSYSAYGMYTPQYQWLLEEFPKVNRKETPWLIVLMHSPWYNSNSYHYMEGETMRVMFEPWFVQYKVDLVFAGHVHAYERSERISNIAYNIVNGECTPAHDQSAPVYITIGDGGNIEGLANNMTEPQPKYSAFREASFGHATLEIKNRTHAYYSWHRNSDGYAVRADTVMFYNRFWHPVDDSTTKNYQ >cds-PLY76271.1 pep primary_assembly:Lsat_Salinas_v7:8:33733908:33734723:-1 gene:gene-LSAT_8X26681 transcript:rna-gnl|WGS:NBSK|LSAT_8X26681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSQFRHSHIVSLLGYHEGSDKREMILVYEYMLNGSLDHHLHKIRANGSNSSLLTWIERLNICIGAARGLDYLHTGTSVQSRVIHRDIKSSNILLDENLAAKISDFGLSRIGPANLVGTTNVYTDFIKGTFGYMDAEYFATHRLTRKSDVYAFGVVLLEVLCGRPALDFTLDEEQHSLAVWARDCIEEGKIDRIIDPSLRGQTTASCLNEFAQIAYECMLSSSKNRPTMTKVVARLEFVLALGSQKHGGVTIAEKVWVLFSIPVKHELLET >cds-PLY63526.1 pep primary_assembly:Lsat_Salinas_v7:9:25100013:25100365:-1 gene:gene-LSAT_9X22680 transcript:rna-gnl|WGS:NBSK|LSAT_9X22680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLGVHQKTLIDRAYGGVRPPLPPLRKYLIHVTCQASAYVVGVAGWATRLKLSSESVGIEPFNKTFAFTRLITHLKPIVLYAKDPQEGLA >cds-PLY68491.1 pep primary_assembly:Lsat_Salinas_v7:2:213327000:213327885:-1 gene:gene-LSAT_2X133581 transcript:rna-gnl|WGS:NBSK|LSAT_2X133581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEASQPTWLSNPNPNSNPNPNRISTLPSPNCSFRVSFDEASFIEMDPNLTPSKRFLVRPDSDSSFDFPTSQAPSTLVHADKLISNGTLIPMKSHGPSGSVPNSPLYPIIQDNNRLRKSRSMMLRRCNRLPKRIIQKYMDLVQPIWCRLRRGRSDSSRVQGVENWECSSAYGPGPGPRTSGACWADNRRRSCDSESSIHEAVVHCKRTIGMN >cds-PLY65249.1 pep primary_assembly:Lsat_Salinas_v7:8:231331782:231333618:1 gene:gene-LSAT_8X139440 transcript:rna-gnl|WGS:NBSK|LSAT_8X139440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVDTVIDFRKHWMMHSQMEDPKEYGLIVMSDSIEKVTEMTSHTKTNELQFYLVKSCPSPEEVKKEEAHKLYQQMSVSMYDILQEIDLRKMSYEKQLTRLSLQKDEHQINYELMWIYKELEWKSRDLMYLERATVDDVKPHLPIRPIPRTAGFLHLTENDPQSPVHIGTDRKRCHKRLKKERIDMEYLKQVQEELLSSMKSGNRPTHGTQELNDVFLSTSFWKMESLVHRIQHGNNNRREEKDFFHEIRNLKDTIETYTAPTEPDPRSNWRRYDVGGSRRRLYNEQMRQHRIKINLNQIDAIKRDLKERTTKVTRLKAELELVRKSIRSMDRELEKLNSKRIKAYKCAYNYGEQKEEVEEFLKQYWINSKV >cds-PLY75695.1 pep primary_assembly:Lsat_Salinas_v7:8:194700900:194705496:-1 gene:gene-LSAT_8X125581 transcript:rna-gnl|WGS:NBSK|LSAT_8X125581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADHQPNFPAGYSVGIAPSTPEPPPSRRPDPKISPPPFTPPPGPIFAPPTIQPPPNQILSPSPSIKSSQLSANGVRTGGPPPHMSTPPGPPVFSSPVQPAAVPFRTSPATPQPVAYSSMSSLSASPPPSGFSDGNNEYQRQVSTDGDDMAALSDAPNVLLSARKVLKQKKLMNVPSLGFGALVSPGREVLHGPQIIQRDPHRCQNCGAYANLYCNILLGSGQWQCIICRNLNGSEGEYIASTKDQLLNLPELAFPLVDFIQTTNRRPGFIPVSDSRVSAPIVIVIDDSLDEPHLQHLQSSLHAFIDSLSPTTRIGIVSYGRTVSVYDLSEPSIASADLLPGHVSPSQDALKQLVYGTGIYLSPIHTALPVAHSIFSSLRPYKMNVPESSRDRAMGVAIEVALAVIQGPSPSGEMTKKPGGSSRVIVCAGGPNTYGPGSVPHSFSHPNYPHMEKTALKWMEHLGREAHRRNTVIDVLCAGTCPVRVPILQPLAKSSGGVLVLHDDFGEAFGVNLQRASTRAGGSHGLMEIRCSDDISVTQVIGPGEEAVTDNHEAFKNDNAVAIQMLSVEETQCFAVSMETRGNLKSDFVYLQFGILFSNLYQADITRVITVRLQAVDSVQAYLDSVNDEVAAVLIAKRSLLRAKNFNDAVDVRKTVDERIKDITSKFGSQMPKSKLYQFPKELCNLPELLFHLRRGPLLGSILGHEDERSVLRDIFLNACFDLSLRMVAPRCLMHREGGTFEELPAHDLVMQSDAAVVLDHGTDVFIWLGAELSAQEGRSAAALAACRTLAEELTELRFPAPRILAFKEGSSQARYFVSRLIPAHKDPPYEQEARFPQLRTLSTEQRTKLKSSFIHFDEPSFCEWMRSLKVLAPEPS >cds-PLY69088.1 pep primary_assembly:Lsat_Salinas_v7:5:276190226:276192397:-1 gene:gene-LSAT_5X144781 transcript:rna-gnl|WGS:NBSK|LSAT_5X144781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFGRLTVTFLLLSFATSSLSERLIRMSTEDRGTSSVYSGVPSSRRNTDLLCESWKFSVETNDAGVWYTVPEKCVSFVNNYLNGERYRYDSEVIADYAIEYAKTVNIAGDGKDAWIFDVDETLLSNLPWYATHGFGSEVFDEDSFNKWVDLAEAPALPASLRLYNELQQLGFKIFLLTGRTESQRKSTDKNLLDAEYTNYESLILRATSDEGKPATLYKSEKRQELIDGGYRIHGSSGDQWSDLLGFAIGTRSFKLPNPMYYIL >cds-PLY67466.1 pep primary_assembly:Lsat_Salinas_v7:6:71350520:71351232:-1 gene:gene-LSAT_6X51400 transcript:rna-gnl|WGS:NBSK|LSAT_6X51400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLTEVPMRSGRVGGCDSDVLSSKREVSNNKPQFRPAIDDTKPLLQDPILRSDPIETEEAVLRLPPFPPITSSSQ >cds-PLY88852.1 pep primary_assembly:Lsat_Salinas_v7:7:112601276:112602034:-1 gene:gene-LSAT_7X70721 transcript:rna-gnl|WGS:NBSK|LSAT_7X70721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLIRRLSRVADSSHYSLLRSDSRLSLRSHSSLKTQRHGGVPEGHLPVYVGDDMERFVVSAHLLSHPVFINLLNKSAQEYGYQQQGVLRIPCHALLFERLLEALRLGQEASYDQLQLLLSDEFLSTKYCGR >cds-PLY92289.1 pep primary_assembly:Lsat_Salinas_v7:2:211016128:211016613:-1 gene:gene-LSAT_2X133140 transcript:rna-gnl|WGS:NBSK|LSAT_2X133140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVIHVVYPRLLIEKEFCKSDATPQKNTLIMPLSKIKQKEILNLLVLQDEKVDLYVDGPNENPYKVDLVLSDLNRCDSKPPMSCLKTPWKQILIDHSVKDKMIIQLWVFRNQNNLDKHAMLMLLKDVMKNELIHLGGSVAAGKNMNITESLTVGKGKEKVI >cds-PLY95555.1 pep primary_assembly:Lsat_Salinas_v7:6:174535198:174536450:-1 gene:gene-LSAT_6X106401 transcript:rna-gnl|WGS:NBSK|LSAT_6X106401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGESAPAPVSNPNLRPAFAYNGGGVTTVEMPHPPPPVVRSGPVSVGVKIFQTEGVAALFSGISATVLRQTLYSTTRMGLYEIFREKWTDQNTGTFSLTRKITAGLLAGGIGAAVGNPADVAMVRMQADGRLPLAQRRNYKGVVDAISTMVKQEGVTSLWRGSSLTVNRAMIVTASQLASYDQIKETIIKQGVMADGLGTHVTASFAAGFVASVASNPVDVIKTRVMNMKVEEGVAPPYKGAVDCALKTVKAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKVLKDF >cds-PLY92812.1 pep primary_assembly:Lsat_Salinas_v7:2:149625873:149628706:-1 gene:gene-LSAT_2X75581 transcript:rna-gnl|WGS:NBSK|LSAT_2X75581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATNVQSGDGGINSPTSQRGVSSSPWSQIVRRGGGGGESEVISPVVVATVGSAPASPSRSSVQEHVGNSSPDWSPSKVVQEAVSSPDDSGTEGQPDSSDNSGGNSASKKPVWNTPSKSNGVVEVVSPVMGTAWPALGESTKASLKSSSSESLKALSDGPWPPALQATGNSSSPHKQSSANNANSTSPPNHVAPTRQRSMKRGGGNSTANGVVSQPPPPPPSQDSVETQTPNDASGKPGLVADQQQPSPRDHTVTHKDSQKGGFGSQPHSGNDHNHHRGSFRRGNGGQHNHNYGGKRDHDRGNNHQEWNQHSRSFNNRDSHVQSPRGFSRGGGYMRPSVHTSAPFISPQMPVQVQPFGNNMMYPDPTSPVIYVPYAPPPESLRGMPFVTPLAPSMYFAVPDPQLHAKIVTQIDYYFSNENLVRDTYLRRNMDEQGWVPASLIAGFKKVSYLTDNIQLILDAMRTSTVVEVQGEKIRRRNDWMKWMMPVGGPSSPQAAVGRSSNDNEELVSQLQGVHLATSQEKFTTHGGSMA >cds-PLY91731.1 pep primary_assembly:Lsat_Salinas_v7:9:16809238:16811443:-1 gene:gene-LSAT_9X17140 transcript:rna-gnl|WGS:NBSK|LSAT_9X17140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 2 [Source:Projected from Arabidopsis thaliana (AT2G39780) UniProtKB/Swiss-Prot;Acc:P42814] MASLSIAMVLQLLLFVAALYAVEVNGYSGGNGGAVELVSTVAEQREFDYYALAVQWPPTYCSKSTKCCTQSACCRGSNSPSVFTIHGLWPDYNDGSWPSCCSGPAFNETEISTLRGVLERYWPTLSCSKSSTCHNKKGLFWAHEWEKHGTCSSPVTGQEYNYFLTTINLYFTYNVTEVLFEAGYVPSNSEKYPAGGITSAIENAFHTTPQLICTKGALEEVRLCLTKDFKFRDCVTGSDCPEYVSLPEFAFSDDLNGTKIADQSFTGHESF >cds-PLY84809.1 pep primary_assembly:Lsat_Salinas_v7:8:24489227:24490684:-1 gene:gene-LSAT_8X20440 transcript:rna-gnl|WGS:NBSK|LSAT_8X20440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAEAIPSTTRNTGSTSHVSSSRRTSKSNQSPRGSSSVAASSSNDSNSYNKDSWKSSVSSGSSLSSLRESLPENPHLYDFKEIFSATNKFLSNKLFSSSSSTAWRCVIRGDDVIVFQRKFRRPIDAAELRDRLLVICKSHHSSLIKLRGASMSGSYIYLVYDYVKGASLADCLKNPKNPNFTILSDWMSRIQIAADLAHGLDYIHNSTGLEKKFIHNHIKSSSIIVTQSSSLNAKICHFGTAELCGETDSSLERDSSKPNSRFVKFEGTRGYMSPEFQSTGVPTHKSDLYAFGVVLLEILSGEEPLKYRQEKGNYVRVSLIETTREAVESGGVRRWMDKRLNDSYPEEVAEKMARLGLECVEDDPEKRPDISWVAGRISKLYLESQSWTEKMGQLPTDFSVSMAPR >cds-PLY89336.1 pep primary_assembly:Lsat_Salinas_v7:5:113518660:113521131:1 gene:gene-LSAT_5X50281 transcript:rna-gnl|WGS:NBSK|LSAT_5X50281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSISPFKNWTRTSNNEYLDDASCVPARFINVKGKINYVIAMTPSLMSGVKVEGKLHNHFFTTIFQNTKLIIDT >cds-PLY76596.1 pep primary_assembly:Lsat_Salinas_v7:5:223025473:223028553:-1 gene:gene-LSAT_5X104601 transcript:rna-gnl|WGS:NBSK|LSAT_5X104601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAQIHPLGGNPFASTIKFPKTVLFGQGLRNSVSFSQQISFAKLNRSRRNRSNGPLRVVAEKVVGIDLGTTNSAVGAMEGGKPVIVTNAEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEESKQISYTVVRDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFERKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAASFKKDEGIDLLKDKQALQRLTETAEKAKMELSTLTQANISLPFITATADGPKHIDTTLTRAKFEELCSDLLDRLKTPVENSLRDAKLSFKDLDEVILVGGSTRIPSVQEVVKSLTGKEPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSIGLETLGGVMTKIIPRNTTLPSSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAIEKGTGKKQDITITGASTLPDDEVKRMVNEAEKFAKEDKEKREAIDTKNQADSVVYQTEKQLKELGEKVPAPVKEKVEEKLGLLKEAISGGSTQTIKDAMAALNQEVMQLGQSLYNQPGAGPGDAPGAEGSEASGKGPTSAAAEGDVIDADFTDSK >cds-PLY62662.1 pep primary_assembly:Lsat_Salinas_v7:1:35319370:35321041:1 gene:gene-LSAT_1X30200 transcript:rna-gnl|WGS:NBSK|LSAT_1X30200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEENNSIMQNNESSQEEQKKIMQMRTLVEKQDPTSKEYDDLEIRRFLRARDMDIEKASAMFLKFVKWRKTFVPNGSISVSEIQNELAQNKMFMQGTDKSGRLITVVFGGRHYQNKTGGLEEFKRFVTFILERITSRMPAGQEKFVAIADVKGWGYSNSDIRGYLASLSILQDYYPERLGKMFVVHAPSIFMTAWKMIYPFIDEKTKKKIVFVDSKQLKSTLLKDIDESQLPEIYGGKMKLVPIQDS >cds-PLY61729.1 pep primary_assembly:Lsat_Salinas_v7:5:216908469:216911260:1 gene:gene-LSAT_5X100281 transcript:rna-gnl|WGS:NBSK|LSAT_5X100281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTVNQGFLENILDDMDIKQLDWCGFVVACLKSSRMMCRRLDDKCVYSGPIVFLLLFYLSCTKVEDATFQSPTTGMMYWTTDMLDKRDLEELSKGGFGNVIISSQHMSMNHTKQDGEDDDAIGSERDCKSPAGFIEHVVQETSQSENDADNNLKDIMSEINIEFNACDNAMRAIQKLLMQGIKKFPDSVKLRMLVTKRHHEFSLAWPDFSTSVDSHAGTFFNGVATPVMPVQDNTAETKVDQFTEAQMADEPLIDVVCTPFTQILNADAFDMMLESAFATSTSLSTPSDHVEPNIKIDDVNAVPVTIVAPRRTSRLVVSPVTVVAPRRKRRLVQLTEKLRSPYFNSFVDPNKVLKPIEERVSGWIFAGLDEEWDLVFESTFGDSGHRGIFENLNVIKPSLNRKLASYDKLSDAEKENLNTEEHLQRIERRISLFY >cds-PLY91784.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1534749:1536660:-1 gene:gene-LSAT_0X460 transcript:rna-gnl|WGS:NBSK|LSAT_0X460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor B [Source:Projected from Arabidopsis thaliana (AT3G10220) UniProtKB/Swiss-Prot;Acc:Q67Z52] MASRLQLPADDSVLLRITHSNLKTFSTDARFSLESTVEAVKDKIWKKCGTSVASMRLELYDDTGVKLSDITDNTRPLGFYSPLDGFRLHVIDLDPSSVTSGGWLEDTSLVEKYKISDEAYDKLDGTYRKFKEKLGPRSSSVQEKMGDNYMEDISANIKVGDRCEVDPGAKRGIVKFVGRADTLAPGFWVGIQYDEPLGKHNGIVKGTRFFDCPPLHGAMVRPDKVKVGDYPERDPFEEEDEI >cds-PLY79978.1 pep primary_assembly:Lsat_Salinas_v7:9:46473863:46477490:1 gene:gene-LSAT_9X42940 transcript:rna-gnl|WGS:NBSK|LSAT_9X42940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRNSVMSFVGRLITVVLLLILHVKLTNANVEGDALHSLRTNLEDPNSVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGTLVPQLGLLKNLQYLELYSNNLSGPIPSDLGNLTNLVSLDLYLNDFSGPIPVTLGKLSKLRFLRLNNNSLTGPIPMPLTNITSLQVLDLSNNRLSGPVPDSGSFSLFTPISFANNLDLCGPVTGHPCPGSPPFSPPPPFVQPPPIATPVGKSATGAIAGGVAVGAALLFAAPAIAFAWWRRRKPQEYFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPNEPPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLETNYVATEVEQLIQVALLCTQGSPMDRPKMSDVVRMLEGDGLAERWDEWQKVEVLRHELDLMPLPSSDWILDSTDNLHAVELSGPR >cds-PLY98934.1 pep primary_assembly:Lsat_Salinas_v7:7:48010752:48011051:-1 gene:gene-LSAT_7X35800 transcript:rna-gnl|WGS:NBSK|LSAT_7X35800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVELVVSGKDIEAPPCGTEYLCDDTQTEPTGKRYRGVRRRRWGKYTAEIRNPDKKGGRLWLGTYKTPEEAAIAYDRAAFKHRGNRALVNFPHMIGFDKP >cds-PLY85397.1 pep primary_assembly:Lsat_Salinas_v7:9:79488320:79489864:1 gene:gene-LSAT_9X63501 transcript:rna-gnl|WGS:NBSK|LSAT_9X63501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLNTILRRSASSVTPIAARFLGSQRNFHHHCGGALFTAVNHARNTISDNNFLVPAVSRFSYSASPALKRPSSDESLLRVIESEIKCSEESFEEGEGVPDGFPFELNDNPGQQTVSLSREYQGEAILVEVEPSSLVTGQEDDEDDDKDDNDTENDNQSSIPMVVKVSKTGGPCLEFGVTAYADEIVIDSLSVKDPDMTDDQLPYEGPRFDELDENLQKAFHKYLEIRGIKPSVTNFLHEYMVNKEHREYTNWLKNLKKFVEA >cds-PLY95513.1 pep primary_assembly:Lsat_Salinas_v7:4:142827755:142830476:-1 gene:gene-LSAT_4X87701 transcript:rna-gnl|WGS:NBSK|LSAT_4X87701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFAEENGLHGDPRIKAISDAIRVVPHFPKPGIMFQDITTLLLDQKAFKYTVDIFVDRYRDKKISVVAGVEARGFIFGPPIALAIGAKFVPLRKPKKLPGEVICESYVLEYGTDCLEMHVGAVQPGESVLIVDDLVATGGTLSAAIRLLERVGAEVVECACVIGLPQVKGNWRLNGVPLYVLVEPRLTDLVLFFLVMTELPLSSFFLCLNVI >cds-PLY75370.1 pep primary_assembly:Lsat_Salinas_v7:6:177786270:177790761:1 gene:gene-LSAT_6X108080 transcript:rna-gnl|WGS:NBSK|LSAT_6X108080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAQFQKKNAYNNTASTFEVGDDGFRKNIDDDGREKRTGTLVTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVLMAFSLITYFTSTLLADSYRAPDPVSGKRNYTYMDVVQASLGGKKVQLCGIAQYVNLVGVTIGYTITASISMVAVRKSNCYHDKGHEADCKLSNYPYMMIFAAIQIVLSQIPNFHKLSWLSIVAAVMSFAYSSIGLGLSIAKVAGGGHVRTSLTGVEVGVDVTGAEKIWRTFQAIGDIAFAYAYSTVLIEIQDTLKSYPPENKVMKKASLAGVSTTTIFYVLCGCVGYAAFGNDAPGNFLTGFGFYEPFWLIDFANVCIAIHLIGAYQVFCQPLFGFFEMKCRQQWPDSKFITTEHIVNVPFCGEYYINMFRLVWRTAYVIMTAVIAMIFPFFNSFLGLIGAGSFYPLTVYFPIEMYIARAKIPRFSFTWVWLKILSWVCLVVSLVAAIGSVQGLLTDVKKYKPFETV >cds-PLY78009.1 pep primary_assembly:Lsat_Salinas_v7:9:43781824:43782264:1 gene:gene-LSAT_9X40441 transcript:rna-gnl|WGS:NBSK|LSAT_9X40441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDWLVIYSYCGLVFISSVTLLFCVYLIKYCYQRWRDLVEDEDIESGTIRPSQLPINTLVINHLVVALQQTNLAELNSDLGEILQEQIYTSSTCKNDDCVICLEEFKKKEKIRVLVSCQHPFHGHCIITWLFVKRSCPICRKPIRS >cds-PLY82390.1 pep primary_assembly:Lsat_Salinas_v7:4:337239523:337240641:-1 gene:gene-LSAT_4X166120 transcript:rna-gnl|WGS:NBSK|LSAT_4X166120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYNHHHQQSSDHPKSSINPPISPRISFSHDFAESLHSRPQILKSTRDYSAPVSSDFEFSVSNNSMMPADELFFKGRLLPFKDSAAGSGFGPKTTLRDELLAGEDEDCNGGGGGGGSWLFRPPKASSGTRWKGFLGLRKSHIGSKRSDKNDGSGSGSVSGQDDVHASKSSQEMMTQGGGSSNCRDMEFRMQ >cds-PLY66284.1 pep primary_assembly:Lsat_Salinas_v7:8:308502180:308505781:-1 gene:gene-LSAT_8X167161 transcript:rna-gnl|WGS:NBSK|LSAT_8X167161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKSRPRTLSVYLYIPNIIGYIRVVMNCFAFGICFSNKELFSILYFISFVCDALDGWFARKFNQVSTFGAVLDMVTDRISTACLLVILSQVYRPNFVFLSLLALDIGSHWLQMYSTFLVGKSNHKDVKDSTSWLFKLYYGNRMFMGYCCIACEVLYITLFLLAKESEKMTDVLVGVAQQSLINSALLAFLVFGWATKQLINIIQMKTAADLCVLYDLNKKHNA >cds-PLY90263.1 pep primary_assembly:Lsat_Salinas_v7:8:13895050:13898665:1 gene:gene-LSAT_8X9660 transcript:rna-gnl|WGS:NBSK|LSAT_8X9660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLASSPNLQLSLTKTLPFSPRFYKPLLFFTNFTSKPQIYSRKSLITGYYPIRAQTSNLGNTPTDDDDDEGFVLEDVPHLTNFLPDLPTYPNPLEYSQAYAIVKNTFVSSEDVVAHQIVVQKGSPRGVHFRRAGPREKVYFKPEEVRACIVTCGGLCPGINTVIREIVCGLNFMYGVNNILGIEGGYRGFYSRNTMELTPKIVNDIHKRGGTILQTSRGGHDTNKIVDNIQDRGINQVYIIGGDGTQKGAAAIYKEVERRCLHVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEVESVENGVGIVKLMGRYSGKIHRHVCHVGKSRCGVMDIIVTVKQDCCLIPESPFYLEGQGGLFEFIQQRLKENGHVVIVLAEGAGQEYVSDSVNAVEERDASGNKLLIDIGQWLTQKIKNHFATDKKMAINMKYIDPTYMIRAVPSNAYDNIYCTLLAQSAVHGAMAGFSGFTVGPVNSRHAYIPIQRVTEATNVVKLTDRMWARLLASTNQPTFLDKRE >cds-PLY69799.1 pep primary_assembly:Lsat_Salinas_v7:4:245412970:245413685:1 gene:gene-LSAT_4X130881 transcript:rna-gnl|WGS:NBSK|LSAT_4X130881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILPRKSQVQKVIKWWQKFTIYLRKIWIRVAVRFGVPKSGLGRLHHEIMRCEYEDVHVLWKLLKENEKGLSGDLRSKKNRTCSKLVHWVGRRSPFMRF >cds-PLY66206.1 pep primary_assembly:Lsat_Salinas_v7:2:168615292:168619718:1 gene:gene-LSAT_2X90780 transcript:rna-gnl|WGS:NBSK|LSAT_2X90780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase 2 [Source:Projected from Arabidopsis thaliana (AT5G14950) UniProtKB/Swiss-Prot;Acc:Q9LFR0] MAFSSSHAGNSNVRRGIGGGWTRSLLPFTSSTTKSGKHIRKSRKRAAVKDFLFANFFTIGLAVSIFFFFFVVYLYGVPKPISSQFRIGNSRAFRVRKPVYRKSKSSSSGHVSSNHSNLSLGAASVDLTTKDLYDKIEFKDEDGGPWTQGWRVSYKGDEWNNEKLKVFVVPHSHNDPGWKLTVDEYYDRQSRHILDTIIETLSKDERRKFIWEEMSYLERWWRDASDAKRESLIKLLQNGQLEIVGGGWVMNDEANSHYFAIIEQMMEGNMWLNETVGIIPKNAWAIDPFGYSSTMAYLLRRMGFENMLIQRTHYELKKELALHKNLEYIWRQNWDTDETTDIFVHMMPFYSYDVPHTCGPEPAICCQFDFARMHGFMYERCPWGKHPEETTQENMKERALTLLDQYKKKSTLYRTNTLLVPLGDDFRYISIDEAEAQFRNYQMLFDYINSSPELNTEAKFGTLDDYFRTLREESERINYSRTGEIGSGQISGFPSLSGDFFTYADRQQDYWSGYYVSRPFFKAVDRVLEQTLRATEMTMVFLLGYCQRAQCEKLPTGFTHKLTAARRNLALFQHHDGVTGTAKDHVVQDYGMRMHTSLQDLQIFMSKAIEVLLGIHHDKNDQNPSRFEPALIRSKFDAQPVHRPVSANQGTVQTVVLFNPLEQTRNEVVMFVVERPDVTILDSNWTCVKSQISPELQHNKVKMFTGRHRVFWKASIPAMGLQTYYIANGFVGCEKAKPAKLKISSISDTTPCLAPYTCSKVEGDSVQIRNRHRSLTFNSNFGLLQKVTEMKGAQNVVNEELAMYTSPESGAYLFKPNGDASPITESGGELVISEGFLVQEVFSHPKTSWTKSPVSHSTRIYNCEGSVQEHLIEKEYHVELLGGEFNDKELVVRYKTEIDNKRIFYSDLNGFQMSRRETYDKIPIQGNYYPMPSLAFMQSEGQRFSVHTRQSLGVASPKNGRFEIMLDRRLLRDDGRGLGQGVTDNHPMNIIFHLTFESNVSVTPDLIPNAGPVSPSLFSHRVGAHLNYPLHAFIAKKAEEISVQPPPRSFSPLTAQFPCDLHVVSFKVPRPLKYTQQQVLEEPRTVLILQRRHWDSSYCWKGRSDCSRVDDEIANLNLLNMFQGLDVLNVKGTSLNLLHDDTEMLGYGEQPTDGRVVISPMELQAYKFDLRPHQ >cds-PLY88644.1 pep primary_assembly:Lsat_Salinas_v7:5:139354685:139358624:-1 gene:gene-LSAT_5X60801 transcript:rna-gnl|WGS:NBSK|LSAT_5X60801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYAVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDAVSSGLRSDAGDKVPVSSGLWSDADSSGLRSDAGDKVPVSSGLWSDAVSGPRPDAEGKAQYVFMCYCMVVPQAKGGALDDGIAHTTASDLAWD >cds-PLY66480.1 pep primary_assembly:Lsat_Salinas_v7:5:328093726:328095933:-1 gene:gene-LSAT_5X183400 transcript:rna-gnl|WGS:NBSK|LSAT_5X183400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFLEKLKFLKKEAANPSSEVVPVSVSWTETSDTISRHFEFHPDGQLSVKVLNDSRPVIHKISESLVNTFLPSGYPYSVNEGYLRYTQFRALQHFSSAALSVLSTQSLLFAAGLRPTPAQATAASWILKDGMQHVGKLICSNLGARMDSEPKRWRVVADILYDFGTGLEVLSPLCPQLFLEMAGLGNFAKGMAMVAARATRLPIYSSFAKEGNLSDLYAKGEAISTVFNVLGLGAGIQLVSTVCSSMQGKMVVGSFLSLIHVYSTYEEMRAAPINTLNPQRTAMIIEDFIKTGKVSSPADLRYKEDLVFPGRVIKEAGNVKVGRDLHKAMKPSRLKQAKETFPDEKFVLSFENRWTDMVLEQNASGEDALRGWLVAAYANQEVEKVEDAYEKMNVMMPELVSQLKAKGWHTDRFLDGTGSRYGF >cds-PLY95375.1 pep primary_assembly:Lsat_Salinas_v7:9:189372495:189377255:1 gene:gene-LSAT_9X116840 transcript:rna-gnl|WGS:NBSK|LSAT_9X116840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWAFYLISLPLTMGMVMLTIRYFAGPDIPRYVIFTVGYTWFCSLSFIVLVPADIWAAMSDQARGGISFFWSWSYWSTFLLTWAVVPILQGYEDAGDFTVMERLKTSLQVNLLFYLILASVGLFGLILLILLHENWRGGIIGFAMACSNTFGLVTGAFLLGFGLSEIPKGIWSKSDYVSRQKVLSHKVARAALKLDDAHQNLSNAIVAAQATSKQMSNRDPLRPYMDIIDVTLTNMLNEDPSFKPQGGRLGENDMDYDADEKSMATLRRQLGRAKAEYYRCKSEYMNFVLEALELEDTLKNFERRNATGWKYISSYRPEREGKLGFCLDTIELVWRCILRKQLQKLLAIILGCLTAAILVAEATILPKGVDFSLFSFLINAAKTNGVLVQVVSFGPLMYMCVCTYYSLFKIGMLTFYSLTPSQTSSVSLLMICSQVARYAPPISYNFLNLIRLPRNTNTVFEQRMGKIDDAVPFFGENFNKVYPLIMVVYTIIVASNFFDRIMSYCGNWKIFRLRNESSDDVDGFDPSGLMILQKECSSITNGHKVGELVIPLARYFNDANTDIETVSPDSKAALSINEPHDTTNKEKMNQKYKALRVDEKIRTNRTFSNASSLDIDTNTNNSESGQSSRTSASRISSTWVSMKTNFHNLRSNMAAKRFMQVPQSQPPQQSPSQSLDEIFERLKQPSRANDDEDLDGFAVGPSNRGPQR >cds-PLY87519.1 pep primary_assembly:Lsat_Salinas_v7:8:95961844:95963793:1 gene:gene-LSAT_8X67181 transcript:rna-gnl|WGS:NBSK|LSAT_8X67181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRRATEIELDTMEGFASREKLHHHLNDQEPTLMMFKLDEKLKLIESRLESKVPMAPKQPNTGLFVGLNKGHVVTKKELAPRPSDRKGKTSIRSHFVRNLIMYI >cds-PLY64526.1 pep primary_assembly:Lsat_Salinas_v7:6:38132926:38137121:-1 gene:gene-LSAT_6X27841 transcript:rna-gnl|WGS:NBSK|LSAT_6X27841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRVVNNTGNSTYTVPPDDEVVVPRGRRNMRPWVKVRAPRYARVDDEIPMDHIIYVKTKWMKGKRIVSFGV >cds-PLY99246.1 pep primary_assembly:Lsat_Salinas_v7:6:185903609:185907252:-1 gene:gene-LSAT_6X114080 transcript:rna-gnl|WGS:NBSK|LSAT_6X114080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGVIDMQTIPLRTAIAIGGTAVALVVALYFWFLRSYASPSHHSNHLPPVPEVPGVPVLGNLLQLKEKKPYMTFTKWAEMYGPIYSIRTGATSMVVVSSNEIAKEVVVTRFPSISTRKLSYALKVLTEDKSMVAMSDYNDYHKTVKRHILTAVLGPNAQKKFRAHRDTMMENVSNELHAFFEKNPNQEVNLRKIFQSQLFGLAMKQALGKDVESIYVKDLETTMKREEIFEVLVVDPMMGAIEVDWRDFFPYLKWVPNKSFENIIHRMYTRREAVMKALIQEHKKRIASGEKLNSYIDYLLSEAQTLTDKQLRMSLWEPIIESSDTTMVTTEWAMYELAKNPNMQDRLYEEIQSVCGSEKITEDNLSQLPYLYAVFQETLRKHCPVPIMPLRYVHENTVLGGYHVPAGTEVAINIYGCNMDKKVWENPEEWNPERFLSEKESMDLYKTMAFGGGKRVCAGSLQAMVISCIGIGRLVQDFEWKLKDDAEEDVNTLGLTTQKLHPLLALINPRK >cds-PLY67658.1 pep primary_assembly:Lsat_Salinas_v7:8:262008974:262010034:1 gene:gene-LSAT_8X153360 transcript:rna-gnl|WGS:NBSK|LSAT_8X153360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEAMRRLAGYTPSSESDLFQAPSPSVQKRCSNTTTTNSAANKRLALKEANGTNGSMRYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEEAACAYDCAARAMRGSKARTNFVYPPPPSDSLLNPFTFNKTQSQPLSSSPYENFPMPTLQRNTSFNSLLFHDFFNSGSSSSFCNSGAALSGCTSTGSAHASKTCTAMPVLSNQDEYKEFFPSESDHSGLLDEVLTGFYPKPEKQAKPDLKALSGPEPVHDNKKTLESNPFGFFFENPNRISSAPTNSHHSQLGFEQSFNGGGGGGFPFYSHHMAPAPVSFENQESIFADVFQYPDIVGLFAARLQNA >cds-PLY99621.1 pep primary_assembly:Lsat_Salinas_v7:4:82409483:82415107:-1 gene:gene-LSAT_4X55800 transcript:rna-gnl|WGS:NBSK|LSAT_4X55800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNGGTPFEWHDDDDTELGADDGALSMDIESFLSILDEDRPDDSSQSGLVDPSLSIALCNESASNVGANEQSQIHDDHSEASASRTVCSSGSSDCERQTVNYGLTKVEPLPAVSSMHFLPADWGMPVPPSDFSSSRHYDNRETNFERATEGGSFGISDDDGNHQLMDNSGITDFANLSTTPEVPFIDVSGYSDLLCSPDGESSRLYRNDPSVIYNNGMFFSDKIASNEYQNSGIEQYASAKSGIACLPSSESSSDTPAKVSTNGNHFSGKMLNRRQIVSIMERTNNGPFSMNNRSATSHDFSLPTLPRVVPFLRKDHVMQTPSQSFIHHDTSIKKESKFAAFSSMGSMPPKMGHVTYIDVDDPDICILEDMSEPAPRKPSPVDLRPPIHRSSIGVPPTHMGYNNARIKANDEQVIYRVALQDLSQPKSEASAPDGSLVVSLLRHQRIALSWMVQKETKSMHCFGGILADDQGLGKTISTIALILKERSPSSSVRTTEVKKIETETLNLDDDDEDDDVTELDKSKKKNNLEIKGSETPIDLKNTSSLTKSRPAAGTLVVCPTSVLRQWNDELHNKVTSQANLSVLVYHGANRTKDPSELAKYDVVLTTYAIVSMEVPKQPLVDEEEDETKRRSDYPPVGLSPSKKRKYPPSSKKGSKKDKKGSMDNELFESLARPLAKVRWFRVVLDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAVYKSFCSQIKGPIQRSPGTGYRKLQAVLKTIMLRRTKATLLDGEPIISLPPKTINLKKVEFTAEERDFYCRLESESRAQFAEYAAAGTVKQNYVNILLMLLRLRQACDHPLLVRGCSSNSEWKSSLDKAKKLPPEKRVRLLNCLEASLAICSICSDPPEDAVVTTCEHVFCNQCILEHLSSDDSQCPSPKCKVILNTSSVFSKSTLRISLGDHNTLDSSTSVKPEPLEQCSSSGSVSSSNIEAAEALDSSKIKAAVDVLTNIAKPKEIQCSNDLDLNVKETVVVREKAIVFSQWTRMLDLLEACLKDSSIGYRRLDGTMSVLARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAIDRAHRIGQTRPVSVLRLTVKDTVEDRILALQQKKREMVSSAFGEDETGSSQTRLTVDDLKYLFQA >cds-PLY67569.1 pep primary_assembly:Lsat_Salinas_v7:3:54233882:54234580:-1 gene:gene-LSAT_3X41700 transcript:rna-gnl|WGS:NBSK|LSAT_3X41700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSRNQLQVTHVQSQEGVKVCDCDVPAKERTCWKITNPGRRFWNCQNSMTRLRKCSFFEWKDEEQADEYYQNLLYSLKQKLDAKDEMSEMNNLRRRIVEVEFLLSQEQYKVAKSEKEVHDAMKSIGRYRMIVALLFGCLVLCVLKLEAQCS >cds-PLY81920.1 pep primary_assembly:Lsat_Salinas_v7:8:122025269:122025517:-1 gene:gene-LSAT_8X84140 transcript:rna-gnl|WGS:NBSK|LSAT_8X84140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANQTLTTTRGSNSGLITSRYETTSPNHRLGGWNNKMATTELPVATATHMALNNSRVTKIGDEVDLTGGLWLLATFSSLFIA >cds-PLY63841.1 pep primary_assembly:Lsat_Salinas_v7:7:102222973:102223437:-1 gene:gene-LSAT_7X67821 transcript:rna-gnl|WGS:NBSK|LSAT_7X67821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQEHAHSSSVERLLNCEVPLQAQYIRVLFREITRILNHSLALTTHAMDVGASTPFLWAFEEREKLLEFYERVSGARMHASFIQPGGVAQDLPLGLCRDIDSSTQQFASRIDELEEMSTGNRIWKQRLVDIGTVTAQQAKDWGFSGVMLRGRAT >cds-PLY74673.1 pep primary_assembly:Lsat_Salinas_v7:5:177658567:177661068:1 gene:gene-LSAT_5X79100 transcript:rna-gnl|WGS:NBSK|LSAT_5X79100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTNTQRQEERTGKYGTPRVQYLQELVAQFQNASTEESKEKIVANLSNFAYDPYNYTFMRQLNVLELFLDCITESNEKLIEFGVGGICNSCVDPANAAVIIKCDGIPLLVQCLSSPVKNTVKYALGALYYLCNESTKEGILRPEVVDVIKRYAAADGVGVSFTNLAQAFLDKHVPEKH >cds-PLY82630.1 pep primary_assembly:Lsat_Salinas_v7:3:103964888:103969648:-1 gene:gene-LSAT_3X75961 transcript:rna-gnl|WGS:NBSK|LSAT_3X75961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGYRDNGGAAGGFGARNKTVYDDVFGGPPKFGATTLPPRLEDYTEIFQGFHASRGSSIPILDLPPPCNESDDVWFDLQSSKLDYSEVFGGFNGLDFAVSYEELFKISKVGDGDSSDDVWTPAESETLSDELDPYASMEMNQHVSTEDPIELFGVQLQSEPNFEEEKDLIINDSQFLDVPNEIENEKLFSLANNNNDDSSSNHFGGVSERKQLKKSLSQPLDNVYDTERYKPHLSVSDLSLKTQPSNLPPPSRPPPVLSSKKGNSKLKTSKTFAFEKMRGDRSPPYFDVEIDASSSAAADAAAMKDAVEQAQAKLRSAKELMNKKKEALQIHSKNNVADKKERVNGDERERERERHNKKISLESSYEQKEDFDFKNVEEREIFNGLNEEDEHIKEDTLSHNNITCKQNEEEIKTSEADESELYDNLIEIQLKDNDMKPGVKLVEEFYNKTPDEWEDYNIAAFQEAFEKEHKKELKESEEQVGPPEEQDHDHESEEEKILHEEDEIITEETQNADVAQKNETIHETKEGSEEMVSENDVIEKEEELTEEVESNIEEISDVVDQVDDELTSVSKNEATLNNPINNMQSVKETSMEKNDEKNKEREKMERERERMRKEEEERERQIEREKDRMAIDRATLEARERAFSETKERSERAAVERATAEYRQRALAEARERLEKACAEARERSLAEKTMEGRLRVEKATAEARERAEKSVDDKFSNSRSMGLRYSTQNASSYNGGESESPQRCKARLERHQRTADRAAKALAEKNMRDLLAQKEQAERNRLAESLDAEVKRWCSGKQGNLRALLSTLQYILGSESGWQPIPLTEVITTAAVKKAYRKATLCVHPDKLQQRGASIQQKYNCEKVFDLLKEAWNKFNSEER >cds-PLY91541.1 pep primary_assembly:Lsat_Salinas_v7:1:10842433:10843345:1 gene:gene-LSAT_1X9140 transcript:rna-gnl|WGS:NBSK|LSAT_1X9140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSCKEGGGEPPHGSLGSTINQSFSSVEKLIAKKNAKGAAVQGSGWVWLAVDIELKRLVVETTSNQYKNVRPDYLKNIWKVINWKYASEVYEKECP >cds-PLY74023.1 pep primary_assembly:Lsat_Salinas_v7:7:9742170:9745758:1 gene:gene-LSAT_7X9240 transcript:rna-gnl|WGS:NBSK|LSAT_7X9240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPSLMASSSPYCVKIDTLLSSSSSEFNPISVASSFRASRFRRCLSKNLISCRPSAKLKLNFFDSSKGRGFLQIRNGRFGIHYNSSKIFTQTLVARADANQTEDEEPVEVNEDHTNVRELLQQQKSSQLAKRVIFGIGIGVSTGGIVLAGGWVFSIALAAAVFAGSREYFELVRSHGIASGMTPPPRYVSRVCSVICGLLPLFTLYKGQIDVSVTSAAFIVAMALLLQRGNPRFSQLSSTMFGLFYCGYLPSFWVKLRCRLTAPALNSGVGATWPILLGGQAHWTVGLVATLISISSVIAADTFAFAGGKAFGRTPLTSISPKKTWEGALAGLTGCIATCVLLSKILSWPTSTLGAIGFGFLNFFGSLFGDLLESMIKRDAGVKDSGSLIPGHGGILDRVDSYVFTGALAYAFVRNLLPLYGV >cds-PLY92577.1 pep primary_assembly:Lsat_Salinas_v7:7:161959027:161962175:-1 gene:gene-LSAT_7X94081 transcript:rna-gnl|WGS:NBSK|LSAT_7X94081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEYNHIAVFCKCKLLGYIVYVSMFLSVLLFFYSIDIMFSIIMTMADINITLIKDFDNIKDDYTLKVSIIWLWRSLSDDNPTIVKSIEMILMDEMCTKIRASVYPRDFQRFESKLKEDQVVYIRSPTIAPNRYIFKINIIGEVDSLGKLDSHDVSKSLHRLPLQIINLEGLQVNMTLLEILHTRTPSVNNYFEQTRMFINANLPEIVTFTDSSQSYSDSDDFLNNYKVKNVVDLIEPQEVGQYIIVGTIYGIRQDIDWYYDACTNCGKKVEIRDVLSGPHSGDASVVVKCYGDKCINKKISSFPSD >cds-PLY80863.1 pep primary_assembly:Lsat_Salinas_v7:4:325829758:325831082:1 gene:gene-LSAT_4X162080 transcript:rna-gnl|WGS:NBSK|LSAT_4X162080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVEQAHNVKILGRGNQTVVLAHGFGTDQSVWRHLVPHLVDDYKVVLYDNMGAGTTNPEYFDFDRYATLEGYAYDVISILEEARVSSCVFVGHSMSAMIGALASITRPDLFSKILMISASPRFVNDVDYFGGFEQEEVDQLFEAIQSNFKAWCSGFAPLVVGGDMESVSVQEFSRTLFNMRPDIALSIAQTIFQSDMRPLLSHITVPCHIIQSMKDLAVPVGVSEYLHRYLGGESIVEVMSTEGHLPQLSSPAVVVPVLLRHIRCNIAV >cds-PLY99211.1 pep primary_assembly:Lsat_Salinas_v7:4:367964279:367967013:-1 gene:gene-LSAT_4X180241 transcript:rna-gnl|WGS:NBSK|LSAT_4X180241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLCGSKAKGCVGVRHKEHGDVPDGELPPKINARTHGRKRRRRIGRKSKTDAANKYSSRNKIDPSAGASNSMDRRSFRNPTFQECWYDTANGIDSDGDEDFYSTQDDIMSQNGSISASVTPKFSDQVHQGSSTFSTSDSLTKPNEASQSSIDGASTLLANGSHNFVILQNNCLPCLNCTTSTDVKSSKSPCSSPPPSAKKKVTSMLSFKWREGQSNLSIFSPKAVIQRPKAGSQVPCCPIDKKMSDCWSPLEPSTFKVRGHNYLRDKKKENASNHAAFYPIGMDVFLSPRKIDHIARLVELPNFESSGKVPPLLVVNLQIPLYPPALFQHEYDGEGMSFVFYFKLSENYEELPPHFQENIRKMIDDEVERVRGFPVDTIAPCRERLKILGRVTNLENLQLSGGERKLMNAYNEKPVLSRPQHEFYLGENYFEIDLDMHRFSYISRKGFGAFQERLKHCTLDFGLTIQGNKAEELPECMLCCIHLKEIDYNNYNLLGL >cds-PLY67731.1 pep primary_assembly:Lsat_Salinas_v7:4:229540446:229541066:-1 gene:gene-LSAT_4X125021 transcript:rna-gnl|WGS:NBSK|LSAT_4X125021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAMIGKGHYDASYNAESIEIGIKLWFSKASSTMLANIVIDGLDGLDEPEIHLSTIPYLSCERPLILSGRYNGGFPKILKVVC >cds-PLY87050.1 pep primary_assembly:Lsat_Salinas_v7:5:259884378:259885374:1 gene:gene-LSAT_5X129980 transcript:rna-gnl|WGS:NBSK|LSAT_5X129980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMKGLYLLKLVVVMAMVLGGCRGDVNSFRDCYGKCFIFCMIEPDKSLCTCTTQCLKECIFPSLPPKTTTNSMSTIHHHHPHAQNLAYCKLGCATSLCSNFSKPNNPDGKNVESCVGSCSDKCTMSYSSSPP >cds-PLY79089.1 pep primary_assembly:Lsat_Salinas_v7:3:9590700:9591124:1 gene:gene-LSAT_3X7641 transcript:rna-gnl|WGS:NBSK|LSAT_3X7641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTMFSGTQDKCAVCHKTVYPLEKVTVEGEFYHKQCFKCVQGGCKLTPSNYAALDGNLFCRAHFSQLFKEKGNYAHLSNTASMKKNSEAAGKTVDSAGGEAEPETSE >cds-PLY81228.1 pep primary_assembly:Lsat_Salinas_v7:4:304914213:304916065:1 gene:gene-LSAT_4X153980 transcript:rna-gnl|WGS:NBSK|LSAT_4X153980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASISSAVATVSRAAPAQAVAPFTGLKSNSAFPVTKKANDFSSLPSNGGRVQCMKVWPPLGLKKFETLSYLPPLSDASLAKEVDYLLRNKWVPCLEFELEHGFVYREHHHSPGYYDGRYWTMWKLPMFGCTDSAQVMKEIGECKKEYPNAFIRVIGFDNVRQVQCISFIVSKPPGVL >cds-PLY73345.1 pep primary_assembly:Lsat_Salinas_v7:7:62616652:62623704:-1 gene:gene-LSAT_7X44721 transcript:rna-gnl|WGS:NBSK|LSAT_7X44721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSETAHSDRLLLLTSVQLCRHFSLAEIQSATKDFDDKLIIGHEGFGNVYKGQIYIEETIHVVAIKRLDSKSVQGAPEFKAEIEMLSKLRHCHLVSLIGFCDDNKEMILVYAYMPNGTLYHHLHKAQSPLNWVQRLKIAIGAGRGLDYLHTGVGTQHGVIHRDVKSSNILLDEKWAAMISDFGMSKIGPTNQSMSYVEASVKGTFGYLNPEYFYTRKLTRKTDVYAFGVVLFELLSGRLAVDERNGEEECSLVRWAQKCVKERKLDQMVYPNIKGTIFPKCLKRFAQIAYRCLLSDLKERPTIAEVVVSLQALLELQQRHENSVEPSSITGFTWKIHKYLLSATKQNSEQSGTSSSKSHVNMNQGSSTNKDSNNQGEMLRQPWESLVTYLKCFTYYDLRRATENLGDDKRYLKVYKGWIDKTTYSPTEDNSGLAIAVKRIDFYKTACWGFTAKLSGYDVTKLARGRYPRSINPPEDLVYAPWVGKLLQPVSFTYPPPTTRNLSFSYGFCYGFVL >cds-PLY85756.1 pep primary_assembly:Lsat_Salinas_v7:1:48339234:48339964:-1 gene:gene-LSAT_1X45060 transcript:rna-gnl|WGS:NBSK|LSAT_1X45060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIGVIVNLFLLLIFNNIKCGLSEIGTASSYEPPYTPTRCNGNRADQFPLGNLFVSVSEGLWDNGAACGRRYRLKCLSGNNKPCRDGTIDVKVVDFCSKRPCPSTIVLSNDAFSAISKSQREKINVEYIEI >cds-PLY65111.1 pep primary_assembly:Lsat_Salinas_v7:4:5056006:5057490:1 gene:gene-LSAT_4X2480 transcript:rna-gnl|WGS:NBSK|LSAT_4X2480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLEFLETLKTQPLWLNFVFVLGFVSVLKTSTSILRWVYVNFFRPSKNLKKYGSWALVTGSTDGIGKAFAFELAKKGINLILVGRNPSKLEGVSSEIKSKFDKTQIKEVVFDLSGDLSEGIKKINEEIEGLDVGILINNAGVSYPYARFFHEVDDELLNNLIKVNVEATTKVTQAVLPGMVKRKRGAIVNIGSGAAIVIPSDPLYAVYAATKAYVDQFSRCLYVEYKNSGIDVQCQVPLYVATKMASIKRSSFFVPSASGYAKAGLRWLGHEPRCTPYWPHSVIWALLYSLPEVAVDTWRYNFCLKIRKRGQLKDSRKKE >cds-PLY76695.1 pep primary_assembly:Lsat_Salinas_v7:3:141647120:141647623:1 gene:gene-LSAT_3X93721 transcript:rna-gnl|WGS:NBSK|LSAT_3X93721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKAFLVFTVLVWRATAQAPPAAVVPPEAQGYLEAHNKARADVGVAPLKWNIQLGKATSLLVRFQRDRQNCQFANLTSGKYGGNQMWATGEVVTPQTVVDNWVSEKTYYTYANNSCAPSHRCGVYTQVVWRNSAELGCALARCSKDQSTLAICFYNPPGNVIGESPY >cds-PLY94866.1 pep primary_assembly:Lsat_Salinas_v7:2:180468438:180474418:-1 gene:gene-LSAT_2X103941 transcript:rna-gnl|WGS:NBSK|LSAT_2X103941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQINLLHSQRKNLQGPGGKSSTSGSSKDLWLAVHGGSVVDVDSALVSLKKNGGNINSRNTFGLTPLHIATWRNHIPIIRRLLAAGADPNARDGESGWSSLHRALHFGHLAVASILLKSEASVAIEDSKSRTPVDLLSGPVLQANGNGDGSVVTELYSWGSGVNYQLGTGNAHIQKLPCKVDSLHGSFIKSISSAKFHSVAVSADGEVFTWGFGRGGRLGHPDFDIHSGQAAVITPRQVTSGLGARRVKAIAAAKHHTVAVTEGGEVFTWGSNREGQLGYTSVDTQATPRRVSSLKSKIVAIAAANKHTAVVSDSGEVFTWGCNKEGQLGYGTSNSGSNYTPRLVEYLKGKVFIRVSAAKYHTVVLGADGEVFTWGHRLVTPRRVVVARILKKVGSTPLKFHRSERLHVVSIAAGMMHSMALTHDGALFYWVSSDPDLKCQQLYGLCGKSMVSVSAGKYWTAGVTASGDVYMWDAKKRKDEAPVITRLHGIKRATSVSVGETHLLVISSLYHPPYSLKAPDTPRCLKKKLSDDLSELDEDFAYNDIEIDEKTSSLEKGNSENGSKQIPSLKSLCEKVAAEHLVEPRSALQLVEIADSLEAHDLRKHCEEIVIHNLDYVLTVSTHAVASASLDVLVNLEKALDSKSSEAWSYRRLPTPTATFPAVVNSEEDDSDNEFLRTRDNNNGGNMGSHKERSQRFDSFLHPYDDANQEVGKHVRALRKKLQQIEILEEKRAKGYQLDSQQLTKLETRPLLEKSLIELGVPVETIQAKSTSPVDQGTKKQKKKSRRKSTQGEEAPCSHESDAKLNTVKGFSPSEASPVDHKEKEIDDKGKTVNLVIEVSPNSNSKKDLASSKNRNTSSSSSSTSSKKKNRKGGLSMFLSGALDDTPKIVAPLPPPSPKNEGPAWGGTSISKGPSPTSLREIQDEQEKTMGSKPMSIAKKKDQLEVVDVKTSGKLSLSSFLPSNSNSNPMGPNLTPHMPDVEKSTPPWVSVSSGTPPLGIGIGSRPSLRGIQLQEEKKQQTLSHSPKTRTTGFAVSSGQGSPSDSSGGGGGVNRWFKPEVEAPSSIRSIQIEEKAMKDLKRFYSSVKVVKNQF >cds-PLY88446.1 pep primary_assembly:Lsat_Salinas_v7:8:88245804:88248826:1 gene:gene-LSAT_8X61360 transcript:rna-gnl|WGS:NBSK|LSAT_8X61360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSDHQTHHDRSFVSNQQHQSTAVIVPPPCSHLAEFKAGRGVAAFGKLLECIQVRPLGRASVRRQANEIFHCGACGQLSLRLYACVSCPAIVCEIHAPDHEHEISIDVDRAELFCRSCGDQVYDRDFDSAIVLSQSAAATLGGCNSLCAPPPENLRKRRRVDYRPWTPDSRERALLGSNSTLLPGNDEDTSSNWNFPVGLKGLNNLGNTCFMNSVLQALLHTPPLRNYFLSDRHNRFVCQQKNGAHERKNKNPQRLCLACDTDALFSAVFSGDRKPYSPARFLYSWWQHAGNLANYEMQDAHEFFISMLDGIHEKVEKDEKKSHSQGSGECCIAHRVFSGILRSDVMCTACGFTSTTYDPCIDISLDLIPPEPSGRNTNTNTKTKTKIDSQLTPTLVGCLDQFTRPERLGSDSDQKFFCQRCKIRQESLKQMSIRKLPLVSCFHIKRFEHSPVKKLSRKVDRYMQFPFSLDMSPYLSSSILRGRFGNRIFAFDGSGNENEEQDFSSEFELFAVVTHTGKLDAGHYVTYLRLSNEWYKCDDCWVTRVNESIVRGVQGYMMFYVQKMLFYKATDKI >cds-PLY91424.1 pep primary_assembly:Lsat_Salinas_v7:7:133084149:133087126:-1 gene:gene-LSAT_7X80520 transcript:rna-gnl|WGS:NBSK|LSAT_7X80520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinaceous RNase P 1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G32230) UniProtKB/Swiss-Prot;Acc:Q66GI4] MSGKAEKRARRESPSGILLSLLCRCSKESNTEEALRLYDEALLNNVPLNVEHYNKLLYLCSSSNTNLGLKRGFEIYKRMESGNNDTEVVPNEATFTTMARLAAAREDPELAFDLVKKMKEGCGISPRLRSYVPALSGFCKKGMADKAYEVDSYMIDNGVAAEEDELAMLLQLSFDTKREDKVYEMLQRLRATVRQVSEDTATLVEDWFKSDCAKGVGKVKWDVGKVKEAVVKGGGGWHGQGWLGNGRWKVMRTEMDEKGVCQCCGEKLVSVDIDPSETENFASSLSTLACQRETRADFLLFQEWVQKHGPFDAVVDGANLGHIKKNYFDFNELKSAVNSARKLSPSNKLPLVILHSCRVKGRENGNSRNKTTLQHWKESGALYVTPHGSNDDWYWLYAAISSKCLVVTNDEMRDHLFALLGTSFFPRWKEKHQVRVSVSREGLKFHMPPPYSIVIQESEQGSWHVPTVTGDDFETRRQWVCATRTNS >cds-PLY63445.1 pep primary_assembly:Lsat_Salinas_v7:7:147520626:147523262:1 gene:gene-LSAT_7X87360 transcript:rna-gnl|WGS:NBSK|LSAT_7X87360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSTNGNGNVTGNGADLTPQQQQQQQQQWLAMQQYQQHWLAMQQYPAAAAMHHPAMMYQQPPPTAYMPYPYQQGHQQQYQQQAQQQQTSNQIQSSSEDNKTIWVGDLQHWMDEAYLQSCFSQTGEVQSIKLIRNKQTGQSERYGFIEFLSHSSAEKILQTYNGTMMPSTDQAFRLNWASFSTGEKRGESTGSDLSIFVGDLAPDVTDSMLNETFASRYPSVKGAKVVFDTNTGCSKGYGFVRFSDESERSRAMNEMNGQYCSSRPMRIGVATPKKASTLQQYGQQPQQYSSQAVILAGGGGFGAMPQSSQSDEDSSNTTIFVGGLDSEVNDEDLRQTFIQCGEILSVKIPVGKGCGFVRFANRSSAEDAIQNMHGTVIGKQTVRISWGKTPAGRQNMGGSYQGKQGGGGYGGYGGGGGGGYGYGNQDAGMYGGGDASGYGSNGYGNHQQPMYHSTTES >cds-PLY73808.1 pep primary_assembly:Lsat_Salinas_v7:7:69361227:69363668:-1 gene:gene-LSAT_7X49881 transcript:rna-gnl|WGS:NBSK|LSAT_7X49881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLMKQFEYLKIQLKAIKLATNNFANENCIGRGGFGKVYKGELVHYKGQEIVAIKRLDPVFGQGNPEFWREIIMLSLYKHDNIVSLLGFCDESEEKILVYEYASKRSLDLYLNNHDLIWIHRLNICIGAARGLAYLHNPFGTQQRVLHRDIKSSNILLDENWNAKISDLGLSKFGPANQDYTFLVTNGVGTIGYCDPLYMETGLLTKESDVYSFGVVLFEVLCGRLCIGNKNGIHRPSLTGLVRQYYSQNKIKEIIFDKIKDEINPKSLEAFTTIAYRCLSRDLEERPLMTDIVSILESAREYQLELNSFDLKNNPIQSSSYEQGETTQEKSSDMLVLMLCSIGVWEGFYPLRNKWIRLPTIPCEESFNYSEQESVAVGSELLVFGREVFEFVTWKYSFIRRHWLKCDGMNHPRSLFGSGTLGSIAIVAGGCDKNGNILKSAELYDSSTGRWEILPDMHSPRRLCSSFFMDKKFYVIGGMTSSTDSLTCGEEFDLNTKHWRKIDGMYPIIVNKVTQAPPLVAVVSNELYALDYLSSMVKKYDKNKNSWDVLGRLPVKASSMEGWGLVFKACGDGLLVVYGQRTLGNESLVLNSCSPKSRVEDGILDWNVLGVKEQKSPFIYDCVVMDC >cds-PLY74346.1 pep primary_assembly:Lsat_Salinas_v7:8:299634887:299637146:1 gene:gene-LSAT_8X164601 transcript:rna-gnl|WGS:NBSK|LSAT_8X164601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSGSFAVSRNDTGDRLCNYLNIHRHQNQSCQQHQLRRHNHHHQLHETAVAVADADNRTDSDDSNAALSTRSLISASSLSFPSIPPTNVTNLDSFLDSVTPIVATQKSSETNVTYYCLGDLWETFREPSAFGAGVPLLLFGRHPITQYYVPFLSGIQLYIDPQNPHINLRCPGEENDNNLMRAKSMWRSSSSLSSSSSSSSSSHGRLVFEYMEQEQPHSRRPLADKIWNLASGFPGLINYRSCDLSPSSWICVAWYPIYRIPVGPTLKDLDASFLTFHSLSTQTQPQEEADDRLHLPVIGLASYKLKGSVISPNAPKECQQHNALFQAATNWLNNLQALALLPDYLFFLNRYHSQ >cds-PLY73385.1 pep primary_assembly:Lsat_Salinas_v7:6:112051018:112052683:1 gene:gene-LSAT_6X69320 transcript:rna-gnl|WGS:NBSK|LSAT_6X69320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKVREPKEENVTLGPATRDGELVFGVAHIFASFNDTFIHVTDISGRETMVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGINALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >cds-PLY97727.1 pep primary_assembly:Lsat_Salinas_v7:8:6620926:6623525:-1 gene:gene-LSAT_8X5100 transcript:rna-gnl|WGS:NBSK|LSAT_8X5100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYGRLEAKSQSDAELTQDHYATSSSEPLEPTPKRRSRLKLLLLIVGFTLIIASAVSVTLVVVLRSKDSGGGSGSMTHRQPTKAISRVCSRTLYQNLCVNSLVDFPGSLIASDKELVHISVNMTLHHVGKALYTSTDLSNLEMDGRARSAYNDCLELLEDSVDQLTRSLTSVAPSGDGDPQRLGSPEDVMTWLSASLTNQDTCTDGLSETEEGEVRNQMREKLKDLSELVSNSLAIYSSSDDDEDEDADGIPIQNRRRRRLMSSAEETSKLSPLPEFPVWVRKKERRLIQTPASLIQADIVVSQDGNGTCKTIKEAIKKAPENSNRRFIIYVKAGRYEEKELKVGRKKTNLMFIGDGMGKTVITGGVSVADNVTTFHTASFAATGAGFMARDITFENYAGAAKHQAVALRVGADKSVIYRCNIIGYQDSLYVHSQRQFYRECDIYGTVDFIFGNAAVVIQNCNIIARKPMDQQKVTITAQNRKDPNQNTGISIHSCKLLAQPDLLASKGSIQTFLGRPWKMYARTVYLLSYMGDHIDPKGWLEWDGSFALDTLYYGEYMNYGPGGAIGQRVKWPGYRVITSAIEANRFTVGKFIYGSSWLPATGVAFLAGLSE >cds-PLY77381.1 pep primary_assembly:Lsat_Salinas_v7:7:9264149:9264517:-1 gene:gene-LSAT_7X9780 transcript:rna-gnl|WGS:NBSK|LSAT_7X9780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPPNGIWFRPNTITINREIFENPDGRFSGAIHRQQNLIGCCCLRSIDNSGHGKYLGDSSSIGGAVVDIFGRPVVASFHIVGSEGVRGVWVVFLDQSLGRKGVAPVLHSTASLLVMGCGFEQ >cds-PLY88811.1 pep primary_assembly:Lsat_Salinas_v7:4:93179511:93181323:1 gene:gene-LSAT_4X61320 transcript:rna-gnl|WGS:NBSK|LSAT_4X61320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGAQTPPQENRLALTLSCGLCFMLHAVARKPLVAGIDGPAFGGGLEISLISGITDMGLQPRKVNRVGVIGPGSIAIATNFILANFHVIFKEDDENSLEAALGEIKGES >cds-PLY89815.1 pep primary_assembly:Lsat_Salinas_v7:8:215969545:215970639:-1 gene:gene-LSAT_8X136120 transcript:rna-gnl|WGS:NBSK|LSAT_8X136120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFLGTRLYMDVTSEEEKNKRSTALVLLNTRYIDGFKSLNEMCQNQEAKSLWGNQFAFLHISLPQLHQCDESLKPIKFVQEIHSIIKRKRNSAAVYLTGMLLEFIRKYIGVEAAAQYVHNTLRNSSMAVTNMIGPVEKMTLSNQPVKGLYFMVVNSPKSLVVTVMSYMDQLRVTIGTEIGLIDPMKFRTCTEKAFSMIFDAAVKSK >cds-PLY77400.1 pep primary_assembly:Lsat_Salinas_v7:9:201014717:201018576:1 gene:gene-LSAT_9X124960 transcript:rna-gnl|WGS:NBSK|LSAT_9X124960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 6 [Source:Projected from Arabidopsis thaliana (AT5G49820) UniProtKB/Swiss-Prot;Acc:Q93YU2] MKFERPSKTLTATASSSSQDAHLLVRETLRISANLASSPPPSVVAPPESRSLEATSFGLVEDQFVDASLRLICYEEVDGRRFKYLAHTNGKKFNKGSNSIRSISLQSRKAPADELISFIRSYVVPEGFPDSVSPSYVPYMTWRALKHFFGGAMGVFTTQALLHSVGVSKSQAMPGAVAINWIIKDGSGRIGKMLFSRQGKKFDYDLKQLRLSGDLLMELGAGVELATAAAPHLFLPLACAANVAKNVAAVTSTSTRTPIYKAFARGENIGDVTAKGECVGNVADLLGTGLSIIIAKRNPSLFTTFALLSCGYLYSSYREVKSVVLHTLNRARFTVAVESFLKTGCVPNLQEGNMMETVFNFPWCKDRPIVLGSRFKDAFQDAKSFYDVEPVFEKERYVVAYNPAKGNIYAVLKDEAKSDDILKAAFHAHVLLHIIRSSKDSQIINENTNTSGDHSTLLPTPSDLQGHVAESYSMVSALYGPFKTKAKEQGWVMSDSLLNPGRARICQQVK >cds-PLY64389.1 pep primary_assembly:Lsat_Salinas_v7:4:27070001:27070177:1 gene:gene-LSAT_4X19841 transcript:rna-gnl|WGS:NBSK|LSAT_4X19841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMQMMNVEGLTRENVASHLQKYRLYVKRMHGSSNEGPYSSNPLFTSAIVPKRFHDSK >cds-PLY76655.1 pep primary_assembly:Lsat_Salinas_v7:4:116220874:116221290:1 gene:gene-LSAT_4X74640 transcript:rna-gnl|WGS:NBSK|LSAT_4X74640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLLQNLSELQGSLPKQFLLILNNPSESYLEDSAHTLLPRKRKRRDPRPGVLITDSVQKTSTPIEPDSMAQNIQGPFTEFSPVIQEMSSPIPEPTSMDQDFQSPIVEEEVLPLEGAQASGSSFETPCAGHFQRQKQVA >cds-PLY73037.1 pep primary_assembly:Lsat_Salinas_v7:9:35982754:35984815:1 gene:gene-LSAT_9X33341 transcript:rna-gnl|WGS:NBSK|LSAT_9X33341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHLPEEVVEIIINRLTSNRDRNSASLINKLWYTVDRYSRRVVYVANCYALSPERVVARFPMLRSLTLRGKPNISDSRLFPRDWGGSVDPWIEAMSKNCRSLEELRLKRMVVSDRSLELTSVSFPYFKSLILSSCCGFTITGLSSVASNCSMLEQLEVEKSDVTDNSGGEWLSNFPESLSSLVSLNISCIKGLVNPTDLVRLVARSPNLTNLTLNKTVTAETIRRILLKAPQLMHLGVGSNIPHLEIQSYIQLSSSFHKCKSIQSLTFFYLVPPMLLRAISPICPNLVHVNMRYATGIQSSELINFIKKCPKLRRLWILDSIGDEGLEVVSYTCKNLEDLRVFHGRAEIGVTEVGLTAISTGCQQLKSLTYFCKRMTNATLVSFSKNCPKTTCFRLIISTPKQPDHTTQQPLDDGLGAIVHSCKNLRKLSLSGLLLTDQVFLYIGMYAEKLEALSVSDAGESDAGMHYMFNGCKNLRKVEMINCPFGFDFDDGDGVCSGLFEEYGSCMRSVWMSSCRTTLGGCKMIAQRSESLNVEVINKEGDIEEEEYPDDDMKVDNLYMYQALDGPRADSPSHIWTL >cds-PLY93878.1 pep primary_assembly:Lsat_Salinas_v7:4:270115711:270116382:1 gene:gene-LSAT_4X139041 transcript:rna-gnl|WGS:NBSK|LSAT_4X139041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSTELFENEMKHFISRKLLLDTYSYQRPAAAVRAPSPSSPHTEHNNFHANVVMVLSVLLCALICSLALNSIIRCVLRCTGLFRSESSGGQESILVKANTGIKKKALKSFPTVSYWEGLKLPGLDKECVICLGDFSTGELVKILPKCNHGFHVRCIDKWLSSHSSCPTCRHSLTETCQKIVTGGNCSIIISSQPQEEGPRNITSLTILPLPHEGLVTNYET >cds-PLY97191.1 pep primary_assembly:Lsat_Salinas_v7:5:153052672:153057769:-1 gene:gene-LSAT_5X67540 transcript:rna-gnl|WGS:NBSK|LSAT_5X67540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS4 [Source:Projected from Arabidopsis thaliana (AT5G43710) UniProtKB/Swiss-Prot;Acc:Q9FG93] MEPAKPRIAFLIISIVVIISDGLIFRGLAEGVTFDEAKQLRDEVSGMFYHAFDGYMDHAFPRDELKPLSCEGEDTLGGYALTLIDSLDTLALLGDKDRFTSSVEWISKNLRFDINKTVSLFETTIRIVGGLLSAHLIASDYATGMRIPSYDDELLHLAEDLARRLLPAFDTPTGIPFGSVNLLHGVDENESKITSTAGGGTLTLEFGVLSRLTNDPIFEEVTKNAVRGIWARRSRINLVGAHIDVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLYIFQEAYGAAMHYLFHDPCLQAFWPGLQVLAGDIDPAIRTHTAFFSVWKRYGFTPEGFNLASFSVQHGQKSYPLRPELIESTYWLYKATRDPRYLDVGRDIVTSLQYGARCTCGYCHISDVEFHKQEDHMESFFLAETVKYLWLLFDLAAGPDNLVENGPYKYIFSTEGHLLPMTPRISLAHEQCLYLGAYCQTNNFGQEYPRSYILKDDMQMQATNNSRFQRSLGSPSYVTQYGLYKTTSISGIIKGLCPGLTHEQKYGLSYVPSPPTVHEDGPTAQTENDAGQTQSMVMLHVSNQVNQESTLTN >cds-PLY75961.1 pep primary_assembly:Lsat_Salinas_v7:5:248212154:248213612:-1 gene:gene-LSAT_5X124860 transcript:rna-gnl|WGS:NBSK|LSAT_5X124860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNGGDFDRESELKSFDETKAGVKGLVDSGITKVPQIFIQTPDHDFSQAEGDLDLPIINLMGFNSDPVRRKEIVKEIREASRTWGFFQVINHEIPVTVLREMRDGARRFFDQDQEVKKKWYVTDSTKNIFYNSNVDLSSTLAVRWRDSFHCRMAPDPPNPQQLPPPCRDILIDYSKKVTELGCSLFELISEALGLDSRYLKDIGCADGLATICHYYPPSPQPELTIGARKHADNDFLTVLLQDHIGGLQFLHRNQWVNVPFVPGALVVNIGDLLQLISNDELMSAEHRVVSNGVGPRVSVACFFTTGMVATGKIFEPIKELLSEEKPAKYRATTMKEFVQHSHSKVFDKSSMLHFRI >cds-PLY73912.1 pep primary_assembly:Lsat_Salinas_v7:3:39810339:39814311:-1 gene:gene-LSAT_3X29721 transcript:rna-gnl|WGS:NBSK|LSAT_3X29721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHSEIEENLFALGEPKLHGGMCTTLSFIYVKVLGIFPDLEAARPRSTSGIQALCSLHIALEKTKTILQHCAECSKLYLAITGDSVVLKFEKARSALEDSLRRVEDIVPQSIGCQISEILGELEGIEFSLDPLEKQIGDEIIGLLQEGRNLNSSSDMNELETFHQAASRLNITSSRGALRERRALKKLIEKARIEDDKRKESIIAYLLHLMRKYSKVFRSEFSDDNDSQGGSTPCSPTVNGSFTNGFDRQLSKMSSFNFKPNFRRSGQMSVPPEELRCPISLQLMYDPVIIASGQTYERVCIEKWFGNGHNTCPKTRQHLAHLCLTPNYSVKGLVANWCEQNGVLVPEGPPDSLDVNYWNISFSETESANTSLVENIGSFNEGERDGDEDEDEAEAEVLPERFLSVLDRGDERKKLKVVDQIRHLSKDDEEARIFMGENGVVEALIRFFESSVHERMIVAQESAAMALFNLSLNNNRNKENMVAAGVLPLLEEMIRNSSSPAAVAALYMNLSSLEQAKPVIGSSDAIPFLIHILENGSESESKSDALHAVYHLSTCNSNIQRLVSFGILNAVQPLMDDQTWSETVIAVLTNMANNLALAREEMVSAHGLVSGLSTVLDVGEPMVQEQAAGCLLILCTENDKCIQMVLQEGVIPSLVAISANGTVRGRQKAEKLLMLFREHRQREPPADRGGGDSPEMGVGVGRVDEEAKGLSKSVSVSRRKMGRSWSSLWRNKSFLVHQC >cds-PLY73743.1 pep primary_assembly:Lsat_Salinas_v7:MU043408.1:2197:5935:-1 gene:gene-LSAT_0X34560 transcript:rna-gnl|WGS:NBSK|LSAT_0X34560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTHLDMDMVEGNEACGLRAFGGFEIGLRMGVGINFAGVQDTTYKTDNKSSSSADVVAPAADPVVVDRDLVAAARFDLLKKKRMPRFRRGTSAAAVRSFTSFPSFLPATIADHGRLTFLFSKKLQKSDVGVLKRIVLPKKAAETHLPALIAKEGIIMEMDDIDSMHVWCFKFRFWPNNNSRMYVLEGTGEFAEEHELQLGDYIMLYRDSMNLNYVIQAVKAYEVEEFTKKEMKTNPTPQVKSVKAPPPPPPVDNGLMNQNWLWGGPEMEVFNPTNTNTNYPMNISTVEEHMGMNFVYDTSYSNDTMTPLDFLGGFMTNYPATQPSFTIENLSIDDLYKI >cds-PLY80152.1 pep primary_assembly:Lsat_Salinas_v7:3:40512281:40512577:-1 gene:gene-LSAT_3X30760 transcript:rna-gnl|WGS:NBSK|LSAT_3X30760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCRWPPNGARLTIIVAPVLIDSGGPRSWVTIKDRYRWCLGFRCSSRQRCQLAGSPSKALAIAGAPTGSNFKRPEFFDQQGDAVGVSKDSDRVPVVGV >cds-PLY71708.1 pep primary_assembly:Lsat_Salinas_v7:3:46632597:46633192:1 gene:gene-LSAT_3X34461 transcript:rna-gnl|WGS:NBSK|LSAT_3X34461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEMLSHGAKMIQVGNQRKIFRKTFSIREGEKLLKASECNLYTTAGAIAGILFVSTERVAFCSYRSIETYSTTGKLLKFQYKVSIPLGKIKGVRESMNMKRPSNNYVELMTIDDFNFWFLGFVNYKKTLRYLHHAIGLNA >cds-PLY87544.1 pep primary_assembly:Lsat_Salinas_v7:3:239357620:239362166:1 gene:gene-LSAT_3X134041 transcript:rna-gnl|WGS:NBSK|LSAT_3X134041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISMDFLSNPFSIPIITTTYLLLLLCLYVYSQQRKGKLTKKYHPIAGTMLNQLFNFHRFHDYMVDLASKHGTYRLITPFRYEVYTSDPVNVEYILTTNPENYIKGTYNHTILKDLLGNGIFTVDGDKWREQRKVKSHNFSTKALREVNTLIFRENAVELAHTLSEAATNNQILDMSVIFMRASLDAVFKVAFGMDPESMFGSSEESVRFNDFFDDASAMTLKRYVDVTWKIKKYFNIGTEAKLKENVKVVDEFVYKLIKIKTEQIDNNEDSRYKGDVLTRFLQFKDMSPQYLRDIILNFIIAGKDTTSSGMTWFIYLLCKHPEIQDKVAKEIKEVIKFKDVMDFTGFVNVMTEDVLEKMHYLHATLTETLRLYPAVPLGVKICAEDDVLPDGCHVNKGDMMTYQPYAMGRMKLLWGDDANEFKPERWIDENGCFRPESPFKFTAFQAGQRICLGKEFAYREMKIFASMILGCFVFKLDDENKQAKFKKTLNLHINGGLHVRVSKRAQ >cds-PLY83857.1 pep primary_assembly:Lsat_Salinas_v7:3:49531130:49531994:1 gene:gene-LSAT_3X37061 transcript:rna-gnl|WGS:NBSK|LSAT_3X37061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTGKITSEVEIKSDCHLIYELYKHKPFDSAVVDPDKVEACHLVSGQWGAPGSVIQWHYYHDGKLETAKEIIEEVDDELHKIVFRVIEGNILEVYNPFIITLKTEDVGDKKLVIWTLEFEKVNASIPDPTQYLDLLCGIAGNMDAHFLKQS >cds-PLY70348.1 pep primary_assembly:Lsat_Salinas_v7:4:101389968:101390261:-1 gene:gene-LSAT_4X66041 transcript:rna-gnl|WGS:NBSK|LSAT_4X66041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQKQIWFGIPLFPVLVMFFISCLAETNRAPFDLPEAEAESVAGYNVEYARDAILNSSLLAEANVPGSRGLILTETRGGSLPTFQSAILGKPKNVSA >cds-PLY69294.1 pep primary_assembly:Lsat_Salinas_v7:4:104888619:104890537:1 gene:gene-LSAT_4X67481 transcript:rna-gnl|WGS:NBSK|LSAT_4X67481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATGKVRTSSFSKNFSAAPTSSVPGLKYGPNGTIFLSSGIPDLDRSLVMIMEDTEAPHHMLLLRTFMSQGLVHNQPVLYASPIKNPRAFLGTLPITLVPKDDKSRNTDAEQMGNRVECFSLLDCSNLDGFRDSCSKFISQFPKKNCYPIILKPVVFKGYQIGGAICDGATGATAKTLKLPREDEFWSRGISACAICDGASPIFKGEVLAVVGGGDTGTEEAIYLTKYARHVHLLVRRDQLKASRAMQDRLVKEETLG >cds-PLY62983.1 pep primary_assembly:Lsat_Salinas_v7:3:120113425:120113715:-1 gene:gene-LSAT_3X83880 transcript:rna-gnl|WGS:NBSK|LSAT_3X83880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVNANHSRGKAYAKIEKGISSSTGNSVKELVKNQSLSVDFDRSKHPKAVLIMDEVGMPARDRGGVADLISNIKISKIPVICICNDRYTQKILSFQ >cds-PLY90240.1 pep primary_assembly:Lsat_Salinas_v7:8:14150368:14152468:-1 gene:gene-LSAT_8X13980 transcript:rna-gnl|WGS:NBSK|LSAT_8X13980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACPEKIPQPVISTKRPFGDSDDCKLDEFRKTKMVMRKYPPHKIRGAEAVRDFPPDCGILLDSLASKVEKNPLKKLDNVESNIENVTSHGKDKMVKSQPFEDNKLNSCFKPEGLGFKKPSFGSRPSGKVKFGNPMEQHPICGIMQERKPFKKLDNVDSKSMECCHRLENTKRNSFKSKESGISKPFGSRSTGKVKFWDPRIPSSNDDNAPKAKDTNFIGSRNEQIRREKIRETMILFETIYTQLLQDNGSKQKGEKRAIWILPMEAAKIVKQKLKWMNVDKTLGEILGVQPGDMFRFRSQLHIVGLHCQPHCGIDYTSINEKNLAISIVNSHRYSNESQSCDVLTYCGEGGGGGGCFGYKPQVPPPDDQKLERGNLALKNSMIEKNPVRVIRKVFGVGNNNNVFVYDGLYTVEHCTQKRGSEGKLVFMFELHRVPGQPHFQQRVNAIPSNFVLYHHTTFQML >cds-PLY95107.1 pep primary_assembly:Lsat_Salinas_v7:1:93723528:93725290:1 gene:gene-LSAT_1X77340 transcript:rna-gnl|WGS:NBSK|LSAT_1X77340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRAUCO [Source:Projected from Arabidopsis thaliana (AT1G51450) UniProtKB/Swiss-Prot;Acc:Q9C8J7] MDDLQATYKEDQDEDNSYNTSSNNNNRDVNSEMADPKPEIVTTITTTTVDEAPTIPTTTVDDEGTVPTTTVDETLAVPTTTTLTTTSTTSATPETDSGTKDDPMPSEELSDIEPTPKDSPNFTKVISSEDEMEEHEERPAKKHKNLSLLAPPLEEELSQEAAAATPITPMPPPSEKPSGKKSTNSMKKKKSKGNNVWTKPSSRKGKKRTKSTTATTAQTEDSVLITPIHRYPDKNDDSPDLTICLSKVYKAEKVELSEDRLSAGSCKGYRMVRATRGVMEGAWYFEIKVVNLGETGHTRLGWSTEKGDLQAPVGYDGNSYGYRDIDGSKVHKALREKYGDEGYVEGDVIGFYINLPDGNLYAPKPPQLVLYKGQRYAYATDAKEDPPKVVPGSEICFFKNGICQGSAYKDLNGGRYYPAASMYTLPHQSNCMVKFNFGPDFEAFPQDFGQRPIPSPMVEVPYHGFDGRVQNGVSNEKP >cds-PLY98783.1 pep primary_assembly:Lsat_Salinas_v7:7:23754040:23758538:-1 gene:gene-LSAT_7X18821 transcript:rna-gnl|WGS:NBSK|LSAT_7X18821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTKILLVLICNFQRMKTLHSHVSTSIFIFILSLLYPFRSLAIDTITPSQPLTINQTLVSKGEFFELGFFNPGNNNLYIGIWYKRIQHKTYVWVANRDNPITSSSGNLTIANNGNMVLVNQTGTTVWSTNQPTKMVKTVAQLLDNGNFVLRPENDENPENYIWQSFNYPTDTLLPEMKLGWDRKSGIHRFLRPWKTINDPATGDYSFRMNLNGFPEVLLKHNETITWRTGPWNGKRFSGAPAMKGASIMQFEFTDNSDEINYSFKLLNSSIYSRLIINSSGINERYVWVETTKAWKIYWTFPVNVCDHYSTCGPFGVCDANAIPICKCMTGFQPKNKEAWDDVIHGFVGCVRSSKLDCGSDGFLPMKNMKLPESSKAFVDRKMNLSECGEICKRNCSCAAYANMNITDGGSGCVIWDGDLIDMRQYAESEDGGQAQDLYVRVSDLDTKLIEEPTTTKDNGSKVGKIVGISISTAFVVLICLLILFYLKRKKTQSWNREGPQERAEDIILNNGVILPSRRDYHSDTVMDVLELPLFDFTTLAVATNNFADTNKLGQGGFGCVYKGTLPGGEIIAVKRLSSVCAQGVEELKNEVRLIAKLQHRNLVRVLGCCIEVEEKLLVYEFMENKSLDTFLFDKEKSMKLNWKIRLENILGTARGLHYLHHDSRFKIIHRDMKASNILLDKEMNPRISDFGIARIFGSDQTEAETKIVVGTYGYMSPEYAMEGHFSTKSDVFSFGVLILEIVSGKRNRGSFNTSNKMNLLGQAWTLWNEGNALELLDESLGTKYSKNEVLRCIQVGLLCVQGQPEDRPSMSKVLLLLSSETVRMPQPKHPGLITRNINNETESPNINDDPMTTNGITMSILDGR >cds-PLY92976.1 pep primary_assembly:Lsat_Salinas_v7:8:98498321:98498920:-1 gene:gene-LSAT_8X68420 transcript:rna-gnl|WGS:NBSK|LSAT_8X68420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIKEELNLWVRNKHQPVIVSEVKIENMNKNHEYQSTNPTSLELNNPNPKPKAKTSCFANVFLNKPERGEDYNILQALLSTYMLILFVNVFLNKPEKTKSTFCGLGTSLTAVDNLGQIGESLGYPTMTIKSFVSLLSIWNYFGRIFAGFVSEILLVKYKFPRALTELKKKKGRRKRRWGRRYQRWGSLNELSQKTVIQK >cds-PLY79230.1 pep primary_assembly:Lsat_Salinas_v7:5:300094244:300095674:1 gene:gene-LSAT_5X160440 transcript:rna-gnl|WGS:NBSK|LSAT_5X160440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQIKSSISNFKTPPSVVTLGEGWRPLCGIMGEIVYIWVGRTSGEDDDNLWQIVGDDFIVRKGLETSSIVQDLIIPIPEMVVDRRSPKGSDGIANNFNELVPDMGLDIIHL >cds-PLY72981.1 pep primary_assembly:Lsat_Salinas_v7:8:64509359:64520325:-1 gene:gene-LSAT_8X46280 transcript:rna-gnl|WGS:NBSK|LSAT_8X46280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKLFYADKIHSEALTVTRKCPTIYHWSSKKIRYRETFEQEIGSFGLGELSEEFVNEQVEEDIDVEDSNYDKYEDNYVEGNSEGIHKQGGEVDKGKGDDTGKEKSKHGNKRGAEAENTKGNDGGSDKQGDVETTKANKDEGGDKQEGEVEKGNVDDRGLLYSLLASAAYISMASCSKTSNCNDNEQEQDQDQYRSLNNSSGFLHDYPSHHDQHPGGHVQTSNDHQFLFNGSNHKKLQEGYPVIGLTLRISESLIKKIELNLAQENQHNNHQDVLSQPQPLQKLKASNIPALFLRIGSWRWESKNPGDLVVKFYYRKKKIVWEFLFGSMKKKIEIGWTLVSAINAYLDEDKNERLEIELRDQPEYYQECKFQRLKHTQWEKTDDFTFGEAQKCKRHTILFSPGSLLDHEPFKILLQYDNSLFNLSQQPFLTHNSQLFFNPNMEFPYNGMVYGCPPVPLSSNDHQGIVVPFVQSLVQPISSFVGQSGSNLTVSADVMFPNTRDVSYVNEGGNGVWEQTGNNQIQDMPIWEQDDYDPYKGLQPLISDEQLELHNVNNQNLAEVTTELNCLNVDSVSKAFEQNQQNHFNPFEARMDGASTSGGGMNERMTCIDEDDDVWDFTLPQFNDDFAIQESCYGASFPYNMHGYNNDGNDDPSIF >cds-PLY70715.1 pep primary_assembly:Lsat_Salinas_v7:8:166520464:166522377:-1 gene:gene-LSAT_8X108461 transcript:rna-gnl|WGS:NBSK|LSAT_8X108461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRHGISRSSTFKEELYRPPDDKTTTSAPSSPLASQIRTSDAHRDSSLSNSAFNTSLQRRSKELSDQKVQGGTLTINVDKKSHDIQDASKLQNKIKSEATDRKELDTEKQSQSQPQEQEPETPTNHEDQLKASRDVAIATAAKAKLLFREIKTVKADLAFAKQRSSQLEEENKILREAREKGDHPADDDMIRLQLETLLAEKARLANENSVYVRENSCLREVIEYHKLSMQEVVYLDEGIEEVAEVNPRVSRTLSAPPFPSSPQNNSFTTKETEFQEGSRRRANLN >cds-PLY85589.1 pep primary_assembly:Lsat_Salinas_v7:2:118343173:118346297:-1 gene:gene-LSAT_2X55441 transcript:rna-gnl|WGS:NBSK|LSAT_2X55441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCFSFFSKVAVLFVALIIQMSGVVSVDNLALHAIKSEITEDPQGVLKFWNDSLPFCLWRGVICGSRHQRVTGLNLANRGLVGTLSPSIGNLSFLRYIYLDNNKLHGSIPSEIGSLFRLESLSLPNNSFAKEIPNNISNCTKLQHIDLSGNMLSGNIPNIFSSLGMIQEINFWKNKLTGGIPTSIGNLTYLEFINLSGCRLEGSIPDSFHQLTNLRSLILGENRLVGNFPMFIFNLSKIEVLNFPDNQLAGSLPSNLCSNQPHLQRLEFGQTLFTGVLPPSLSNCSQLRRFDSTYNNFKGEINVDFGKLRHLWWLTLGPTEGLGGMKYFDSLLNCSNLELLELAGLQLRGIPDWVGNLTELRTLKFQYTSISGTLPSSIGNLHRLTVLSLVGNKLTGMIPESIGKLSNLAYLNLGFNSFSGIIPRSIGNLSSLIEISFRENKLEGTIPSSIGACKELIFLSFALNNLIGTIPKEVFQLSSLSKALDLSVNNLSGVLPPGIHRLKNLDLFDLSMNHLSGEIPSSLSSCISLGLLDFSSNSFHGSMPEAWRSLKGLKYLNLSRNNISGPIPSYLEQIPLEYLDLSYNDFEGEVYVKGVFTNTSVVSVQGNPRLCGGIAELHLAKCTSIVSNKSKKLSLGGILAISLSSVAACVALVFFVLVYFCVKKKKDTPTESILIRESFEMFSYERLFKATDGFSSQNLIGTGSFASVYKGVLDEEGTIVAIKVLNLQRRGGSKSFIAECEALRNIRHRNLVKIITACSTLNFQGNDFKALVYDFMPNGSLERLLHSCTILDHMPPHHSCQLDLIQRISIAKDVACALDYLHNHCGNVVVHCDLKPSNILLDVDMVAHIGDFGLAKILTLDQLPNANMSSSSLISGTIGYAAPEYGLGNGVSPEGDIYSYGILLLEMVTGKRPIDLMFQEGLSLHSYARKALADGFLLQIVDPMLLNDDVNEVFLSSLAKIGVQCSYESPHDRMDIGIVIHELLSVMGTASSMSTDKVGVSATQEASV >cds-PLY75369.1 pep primary_assembly:Lsat_Salinas_v7:6:179444415:179446795:1 gene:gene-LSAT_6X109081 transcript:rna-gnl|WGS:NBSK|LSAT_6X109081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANASWGFTPTVPHVDRTRIFPSIAIFRSGFYSPDRISKRVSCASTANGADKLSPSESRSPRVVGKGCKLVGCGSSVPNLQVSNDDLSKIVDTNDEWISVRTGIRNRRVLTGKDRMTGLAIEAAKKALKMADVDPDDVDLVLLCTSTPDDLFGSAPQIQAGLGCKGNPLAFDITAACSGFILGLVSASCYIRGGGFRNVLVIGADALSRYVDWTDRGTCILFGDAAGAVLLQACESEEDGMFGFDLHSDGEGNRHLNASIKENETEDLFGTNGSIPGFPPKSSSYSYVQMNGKEVFKFACRVVPQSIELALQNAGLTRSSIDWLLLHQANQRILDGVATRLEIPTERVISNLANYGNTSAASIPLALDEAVRSGKIKSGETIATAGFGAGLTWGSAIIRWN >cds-PLY62973.1 pep primary_assembly:Lsat_Salinas_v7:1:210617126:210619160:-1 gene:gene-LSAT_1X128681 transcript:rna-gnl|WGS:NBSK|LSAT_1X128681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHSHDLNFSQLICLYKEFCRMNQQNISKTPDLPVKRKRGRPRKDESMPRPHPQPPPLLPPVAPAPPPPTFPTTIQPPPPTTVLPPVDHNMVGQAVTCIIDGIFDNGYLLSTRLGPNNSILRGIVFQQGHVAPVTPENDVAPHLEMCTRTEFPIPPANPRNPVQFCAPDQSVCVKQAGETVQNYQNTPQPENLRLVEQDDVMQVFEVSKAAPEEVPKDCENEDVGCDPTAETESFPEKESMIPGDVSGMESEQHGNQDIEKKDEGELRVKEPDELVTPVGAITENQPLLGKDELQEESEVNNNIDATQAVAVDLSLSNFSEI >cds-PLY65817.1 pep primary_assembly:Lsat_Salinas_v7:4:360011239:360019432:-1 gene:gene-LSAT_4X176280 transcript:rna-gnl|WGS:NBSK|LSAT_4X176280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR5 [Source:Projected from Arabidopsis thaliana (AT2G23740) UniProtKB/Swiss-Prot;Acc:O64827] MQVLPRNGPDQGSEKAFVYDGGSNNVKHELVDGTGIGIGIGIGITEASDDDQKLSSNTHDSGVDSLELACGNQECESEPKWLEQDQPMAVWVKWRGKWQAGIRCARSDWPLSTIRAKPTHERKQYLVIFFPRKRNYSWADVLLLRPINEFPEPIAYRSHNIGVKIVKDLIVARRFIMQKIAVTLINTIEQLTTEGLKESARSVVVWKDFALEASRCKDYSDLGNMLLKLENMILQRFIDSFWLEHSKETWVQKCQNAQTAESIELLKEELGEAINWNEVQTLTNTPEIVTEWKTLKPEIMKWFSISNPSFNNGESEQQNDGFSNTSPQVSRKRPKLEVRRASQLEPEGVNPSFPVETDSRFFNGPVRDDKWGEIVVETGNQETRFNDRNKQCTAFIEAKGRRCVRWANDGDVFCCVHLASRFSTNHVRTDVTPQLEPQMCEGTTVLGTKCKHRSLPGTSFCKKHRINKDKDVIVISPPIPENKTIKRKVEDVLLFEGGNNCQEIVVSGHFRNEENLEGVKCIGDNEAVCNESPTKHTLYCEKHLPNWLKRARNGKSRIVSKEVFIELLKSCESHVQKRHLHQACELFYRFFKSVLSLRSPVPKEIQLQWVMSEASKDVNTRYFLFKLVCSEKERLIRLFGFDGKIPENSENTLLEAHVENDDGSDIKCSVCSLKFLDDQMLSKHFIENHKKEARVIFKQYVCAICFDTFSENTLLEGHVLERHHVQFVDQCMLYQCIPCGNRFGNSDQLWSHVVSNHPANFKGKNVISDHHNNNNNQGGFQKYICRFCGLKFDLLPDLGRHHQAAHMGSNSNSNPSGTRVPKRGVPFFSNKLKPGRLNRPRFKKGLRKKHIQAPFQEIIESESQFQFRSQFQSQSPESVILGRLQESECANVAKLLYSKINKTKLYPGNLEILAMARSVCCRKSFQASMEKKYGVLPERLYLKAAKLCSEHNVLIEWHQERFVCPKGCDGITDSDQFPPLTKTESESTIPIPTDVLGPKTEAKESRVPDPVTGEWAMDESHYIINFSHSRPESEKAIVLCDDISFGMESVQIACVVDDHLLGSIHNSADGDDGQTFLPWENFTYITKPLLDKSLDFGLRSLQLGCGCAHSTCSPKGCDHVYLFDNDYEDAKDINGKSMKGRFPYDDQGRIILEEGYLVYECNKNCSCNKNCPNRVLQNGVKVKLEVFKTEDKGWGVRAGEPIDRGTFICEYIGEVVDEHEAKKRRHRYDREGCGFIYEIDARINDMIRLIEGEAPYAIDATKFGNVSRYINHSCSPNLENHQVLIESLDSELSHIGLYASRDIAPGEELSFDYMNKAPPGKGCQCKCGAVNCRGRLH >cds-PLY81609.1 pep primary_assembly:Lsat_Salinas_v7:1:50618324:50619869:-1 gene:gene-LSAT_1X43440 transcript:rna-gnl|WGS:NBSK|LSAT_1X43440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTILYALVARGSVALAEFNSSQTNASTIARQVLEKIPGNNDLNVSYSQDRYIFHVKRTDGLTVLCMADDVAGRRIPFAFLEDIHQKFVRTYGRAVLSAQAYGMNDEFSRVLNQQMEYYSNDPNADRINRIKGEMSQVRTVMIQNIDKVLERGDRLELLVDKTATMQSNTFRFKKQSRKFRNSIWWRNVKLMFALIFLLLVVVYVVVAFACHGLTLPACLT >cds-PLY81416.1 pep primary_assembly:Lsat_Salinas_v7:3:217746381:217746782:-1 gene:gene-LSAT_3X126341 transcript:rna-gnl|WGS:NBSK|LSAT_3X126341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDCVIGGYKIPKGTRLLTNLWKIQHDPNKWSHPEEFQPERFLTSHKHVDVRGNNYELLPFGSGRRVCPAIPFALRSLHITLATLIQQFVLKNPSKEPIDMSESAGVTISKAIPLEVLLAPRLSLDMYPAVAA >cds-PLY65375.1 pep primary_assembly:Lsat_Salinas_v7:6:41472787:41474134:1 gene:gene-LSAT_6X29681 transcript:rna-gnl|WGS:NBSK|LSAT_6X29681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKTHGMGAGRKLKSHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >cds-PLY69024.1 pep primary_assembly:Lsat_Salinas_v7:9:140697498:140701623:1 gene:gene-LSAT_9X90260 transcript:rna-gnl|WGS:NBSK|LSAT_9X90260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMSRTTRDGMESQPTTSSTEWSQVCRHFEFQKILLATNNFDESLVVGQGGFGKVYKGNIMNGSNVVVAAIKRLDSMSAQGAIEFWAEVEMLSKLRHCHLVSLIGYCNYKKEMILIYEYMPNGTLEDHLHKLRTHLSWIQRLKICIGAARGLDYLHTGTGIEFGVIHRDVKSSNILLHESWAAKISDFGLSRIGPTNKPSTYVNTLVKGTFGYLDPNYFFTGRLTRKSDVYAFGVVLLEVLCRKRAVDTSLDEEQWGLVTWAQDSIKEGNLKDIIDPDIRDQISTRCLKEFVRIVERCFLGNPKQRPTMSEVVVSLDSVLTLQEKINSSLHDAGRTIFGRMLDMFPFPSNGETSAHGVAIDNKCFTSPTSLKMFKFLDLQRATRNFTQDLLLGRDGFGDMFLGWVDKNTFGLSEGAGIVVAVKRYNQDRPEWQKVVTVLSRLTHPNIISLLGYSNDKEGECLVVYEYMQNQNLDHFLFGDARDVSKQRSWGARLKIMIGVACGLAYLHSSEDEVIHRDVNTYNILLDQDFNAKLGGFELSRFGPEIQKTYVSTHFMGTLAYVDPWCRGNGHAKSDIYSFGIIMLETLTGKHALNMKRSTFELLADKSELKKLMDPRLEGNYPLEEAFQCAVLALKCIAMDREDRPSSEEVLRILEQIYVNK >cds-PLY92998.1 pep primary_assembly:Lsat_Salinas_v7:4:192775159:192776629:-1 gene:gene-LSAT_4X110620 transcript:rna-gnl|WGS:NBSK|LSAT_4X110620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METATATARTVKDVSPHEFVKAYAAHLKRSGKMELPHWTDIVKTATFKELAPYDPDWYYIRAASMARKIYLRGGLGVGAFQRIYGGRKRNGSAPPHFCKSSGGVARHILQQLQTMNIVDLDVKGGRKITSNGRRDLDQVAGRVVVVTP >cds-PLY77796.1 pep primary_assembly:Lsat_Salinas_v7:2:168920514:168926626:1 gene:gene-LSAT_2X92701 transcript:rna-gnl|WGS:NBSK|LSAT_2X92701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGRWEGELWFNRRHMWSVPSPSTPSLVAPIKSSVFIDSNSFSKDGRKIHVGDCALFKPPHDSPPFVGIIRRLIVDKEDNLSLSVNWLYRPSDVKLLKGASLEAAPNEVFYSFHQDKIPAASLLHPCKVAFLRKGVELPSRVSSFVCRRVYDVETKRLWWLTDQDYLNERQEVVDQLLDKTRVEMYGGHSPKPLNGPGPGPGPNGTPQVKGKKREQTSDSGQLRPEDMLKAEIAKITDKGGLVDFEGVEKIIQLMQPESGDKKVDLASRIMLVNVISVTDSFDCLSRFVQLRGLLILDEWLQEFHKGKISDGSPKEGDLSVEEFLFALLRALDRLPVNIHALQTCNVGKSVNHLRSHKNPEILKKAKCLVDTWKKSVEAEMNMIERKRVEQMNIIETRSGTRRAGSGSWSNKQMMPEVSPHVVKQVKISTSQPSVVKAQGKHNSGEAIVKSPESPSPTKLPGPVPPGIAPSDVPVKQEKSSSSSPSASGKGSPFRATPERATEENIHNSQRLIVRLPNTGRSPAHTASGGSVEDHLDGSGRPKGKVETPPDNNVVAMDTDSCKGKEGLVGCDADDGEASKGADSASGGGGTIKSGKSHEASYSSINALVESCAKFSEVNTSLPAGNDVGMNLLASVAAGEMSRSDVATSSCSPENKQPLPEDTCSEDNGNPRQSIEDGSQVEDNLKVSNGHDHARLAETSNAVPMAPEVGPAPSAAADATGISGKVETHVNDEPSSRSSSDKHEDEKKSMQKEHDDVDSELLKPSCGHVSLEQFEEKENTDPDSSVLLQSSENVDKNEAQEGDGSGPSASTSAPPVSEKTVKLDFDLNEVVPSDDIERHSSLHSASSVVGGNRVASVTVAAAAKGPFLSSENLLKGKAELGWKGSAATSAFRPAEPRKAREFLDFDLNVGVADDVIVNNQNQNQNQNNPPSSKYVDSRNKGGLDLDLNACEETPDVGPLMVSFSRPQIPPRSLLSSGFDLNNGPGIEEIGSESIPHSRNGIQFLPNVPSVRMGNIDVGNFHSWFPPSSTYPAIPIPAQSYSMPVPQRMLTPMAATSASGGGGGSGTPFNPELFRGPVLSSSPAVAFPSTAPFQFPGFPFETNFSMPSNTVSPAYVGSSAGPGPGPICFPAIPSQLVGPLSSSNYRPYVMGLPGGSSNDNKKWGTHGLDLNSGPGGPDETLPSGLRQLPLGDEQLKMFQQMAASGGSSGGGGVFKRKEPVDGWDGDSRINSYKHPSWQ >cds-PLY72982.1 pep primary_assembly:Lsat_Salinas_v7:8:64662519:64665121:1 gene:gene-LSAT_8X46201 transcript:rna-gnl|WGS:NBSK|LSAT_8X46201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTSKIMLMATRDYCLTINPSTTRVLTFNRRLTCSAAATPRLVPNPPDLIKWVRREGGFVHPSVRIAESGPYGLGLIASEEIPKGSELIALPEHIPLRFPVNDSDGGDESYSNLVNLTRYVPEELWAMKLGLKLLQERAKTGSFWWPYISNLPESFTLPIFFPGEDIKNLQYAPLLYQVNKRCRFLLDFEKEVRREVEDVKLQNHPFGGREVDASSLGWAMSAVSSRAFRLHGAKTGNHSDNIPMMLPLIDMCNHSFTPNAEIVQEQGSGDPKMLVKVVAGMNIKERDHMTLNYGCLSNDFFLLDYGFVVASNPYDCIELKYDGGLFDAASMAAGVSNPNFSSPSSWQKEFLSKLNLEGEHAILKVTLGGPEVVEGRLLAALRALLTNDAESVQTCDLNTLKSLSVEAPLGLGNETAALRTLIALCVIALNHFPTKIMEDESILEKGVLTSTELALKFRIQKKSVIIDVMRDLAKRVKLLSSKESLVSQS >cds-PLY90909.1 pep primary_assembly:Lsat_Salinas_v7:1:57245543:57247983:1 gene:gene-LSAT_1X48501 transcript:rna-gnl|WGS:NBSK|LSAT_1X48501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSFKYIIVGGGVSAGYAAREFEKQGVKPNELAIISKEAVAPYERPALSKGYLFPEGTARLPGFHVCVGSGGERLAPEWYKEKGITLILSTEIIKADLPSKTLKSAAGDTFKYEVLIIATGSTVFRLENFGIQGADAKNIFYLREIADADKLVEAIKSKKNGKAVVVGGGYIGLELSAALKINNFDVSMVYPDPWCMPRLFTADLAAFYEAYYTKKGVNIIKGTAAAGFVSNEKGEVKEVKLKNDRVMEADIVVVGVGAKPLINLFTGQLEEDKGGIKTDAFFKTSVDNVYAIGDVATFPMKMYGDIRRVEHVDHSRKSAEQAVKAIFAKEQGKEIGAYEYLPYFYSRSFDLSWQFFGDNVGEAVIYGNRDPASEKPKFGTYWIKDSKVVGAFLEGGTPEENKAISNVAKKQPTAPTPDSLATEGVQFACKI >cds-PLY82927.1 pep primary_assembly:Lsat_Salinas_v7:1:19057943:19058908:1 gene:gene-LSAT_1X16600 transcript:rna-gnl|WGS:NBSK|LSAT_1X16600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLNKEGMYTPQYKWVMSELPKVNRSETPWLIVVMHCPLYSSYVHHYMEGETMRVMYEQYFVQYKVDVVFSGHIHAYERTERVSNIAYNIENRLCTPRKDRFAPVYITIGDGGNQEGLLYEMIDPQPGYSAYREPSYGHGIFEINNKTHAYFSWHRNQDGYAVEGDSLRFENLYWKASQDSLATSF >cds-PLY79485.1 pep primary_assembly:Lsat_Salinas_v7:3:248408539:248418523:-1 gene:gene-LSAT_3X137161 transcript:rna-gnl|WGS:NBSK|LSAT_3X137161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 12 [Source:Projected from Arabidopsis thaliana (AT4G00450) UniProtKB/Swiss-Prot;Acc:H3K2Y6] MQRYHATNCTSAVNNSAVGGSLGRDNTSRAESSSLPANFPLNRRTSQLAPYKLRCEKEPLNSRLPPPDFHPPTANCPEETLTKDYVLGGYRETVEGLEESREISLSQIPTFTKPVILKCKEAIRKYHRAINESRAQKRKAGQVYGVPLTGNLLSKPGFFPEQKASGEDFRKKWIEGLSQQHKRLRSLADHVPHGYRRKSLFEVLIRNNVPLLRATWFIKVTYLNQVRPGSGTSSGSPDKTRFLRSDQWTKDIIEYLQYLLDEFVSKNNSHSTLHLKDRSPQFAYGGGVQHKNDVLAVVDGEEPSVHFKWWYVVRIIQWHHAEGLLLPSLIIDWVLNQLQEKESLGTLQLLLPIIYGVIETIILSQNYVRTLVAIAIRFIHEPSPGGSDLVENSRRAYTISALIEILRYLILAVPDTFVSLNCFPLPPSILSNPTTDNNSLITRVKPATSSELQGPFSSIDTLVYSIQKCAMNLARAARPGCPGHNVAKSVRELDKAVTHGDIGSAYKCLFEDFNDENVDRRWMADVSAGLQSSLKWVGTVNSAFVCSVFFVCEWATCDFRDSRNAPVEGVKFSGKRDFSQIYIATRILSMKMRNKRRKVKDLSRFFQSPGPLHDVIVCWLDQHQVQNGEGFKRVQLLITELTRSGIFYPQAYVRELIVSGIMGKNDNLLDIERKRRHYKLLKHLPGSYVQDSLEEAQVADVSLISEAMQIYTNERRLLLHENVISTNGKNNHKFGRIPSPKNVKIEEVMASISALLHFPNSNGNGIDDSHGVKRPVGPVVSEGPTPGCEECRRAKRQKVEEKSPFLHLSDDEDIWWVRKGGVTVKPMDSFRVDPPVKPIKQPTRGRQKIVRKTQSLAQLAAARIEGSQGASTSHVCDSRVNCPHHRNGTDVSKPPDSVGITNSAGIGNSRDISSIGKVIKRIPLDEKRVIVVWLMGIVKQLVEEAGKVTDSGPKSGQFGRNLPVVDDKGLGKWKLGEDELSNILYLMDVCDDLVSAARFLVWLLPKVHVNPIPTVHGGRNVMIVPRNVENQLCAVGEAYILSSLKRYENILAAADLIPETLTAMMHRASLVMSSNGRLSGSPSLIFSRQILKKYADVATVIDWSKTFKTTSDKRLIMELESGRSSDGEFGFPLGVPAGIQDFDEFLRQKITGIRISRVGLGMRDTVQRLIDENIPSFYGQDKKSTFPVKRPVDDGYPIAQQVVKGLLDCMRQTGGAAQEGDPTLVAFAVSAVVTNVGQVIGKITEITPASCLFARHILKIHLTILSLLKDALGERQSRVFEIALATEASSALSQVFTPPKASRGQLQLSPDTRDPGVNSSNEKTYSKPAKTTGAISALVIGAVLQGIASLERMVTVFRLKEGLNVIQFVRSTRSNSNGNVRSTPVNDSKIDNLVEVSVHWFRVLIGNTRTVSDGFIVELLGESSIIALTRIQRMLPVNLVFPPAFAIFGFLIWKRFILNPNVITRDNITQLFQSLTSGITDAIKHLPFRDVCLRECSTLYDLVASDPTDSEFAAFLDSNAPKVSAFVPLRARVFLNSIIDCQLPPELVNPEDGNRVSGHSESLLEKLVHILDTLQPAKFHWQWVELRLLLNEQALIEKMEGRDASLVEALSSFSPDGTSENENNFIQMVLTRLLVRPDAAPLFSEVVHLLGRSLEDEVLLQAKWFLRGHDVLFGRKSIRQRLINIADSKGLSTKPQFSKPWGWCSGSNSIPGLKKGNQKRKFEVVEEGEVVEDGNGIETKRNTNIEAFVVTQQNVTERALVELLLPCIDESSEDSRVTFAGDLIKQMNEIEQQISGVTNGANKQAGMAVSGAEGPVSKANTRKGIRGGSPGLARRNPPPVEVILPSPSALRSSMSLRLQLLLRLLPVICADGEPSSRSMRHMLASVVLRLLGSRVVHEDADLSSPASRFSIKREVESMIESSGTVDLGGSLFDRLLLVLHALLSGCQPHWMKPKTLSKSTIEATTTTSLYDRDAAESLQNELDNMQLPEAVRWRIQTAMPFVFPSLKFTISCQPPNIPLSAVTSLQPPVPVPASGTIRNMPSRATSNGPTKPTKPIPPPTHVSSIPSSQPDPDTEIDPWTLLEDGAGSGPSSINGSAIAGTDHANLKASTWLKGAVRVRRMDLTYIGAIDDDS >cds-PLY93070.1 pep primary_assembly:Lsat_Salinas_v7:9:27083224:27085167:1 gene:gene-LSAT_9X23720 transcript:rna-gnl|WGS:NBSK|LSAT_9X23720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLQLQCPTPVDNMLRKTISPGDRQLETPQLVYSQMVRHKTPPPEKKGWCSCGCTECNDPTVPHDYFDAVLDYINDMLMGEEDDLINKSSMYHDCSALQATEKSFYDVIAQKQPTFPSSIEALDLNLSSNQCPGGHFVGNPTGVSLRGKKNRQPSECGGFEQHRSNKHLAGGRREEELMDTEITAKIDKLLLCPGSKEVGLHDELACCPFDNPANPLIKGKQRRKRNDPNKEIVDLKSLLTQCAQAVSSNNTPRVETFLKKIRNHSSPRGDSEERMAHYFADALEARFLGTGMDLYANLASSKITASDIVKAYQVYVSACPFKKMSNIYANKMIGNLARGSPKLHIIDFGILYGFQWPCLIQGLSARPGGPPSIRITGIDFPQSGFRPAERVEETGNRLAEYCKRFNVPFEYHAIAKQWDSVTIEDLKINKDEILVVNCLYRLRNVPDETVLGAMECPRESVLKLIRTIKPHMFLHGVVNGNYNTPFFLTRFREAYFNFSVLFDMFEAALHREDEERLLFEKEVIGRELINVIACEGTKRIERPETYKQWQTRNERAGFVAMPMNRDIMKEVRAKVKIGFHKDFMVDDDGHWMVQGWKGRVIYAISSWKIT >cds-PLY95693.1 pep primary_assembly:Lsat_Salinas_v7:2:114574237:114584617:1 gene:gene-LSAT_2X53461 transcript:rna-gnl|WGS:NBSK|LSAT_2X53461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSYSPSPPRGGHGRRGRSPSPRGRYGGGGGGGGGGGRGRDRDLPTSLLVRNLRHDCRPEDLRRPFGQFGPLKDIYLPRDYYSGEPRGFGFVQFLDPADAAEAKYQMDGQILMGRQLTVVFAEENRKKPTDMRQRERRGGSGRPSDRRRSPPPRYSRSPPPRYARSRSHSREYSPPPKRKQHVRSISPREKRHSRERSYSQSPEPRGFGFVQFLDPADAAEAKYQMDGQILMGRQLTVVFAEENRKKPTDMRQRERS >cds-PLY95631.1 pep primary_assembly:Lsat_Salinas_v7:4:196137084:196138255:-1 gene:gene-LSAT_4X114080 transcript:rna-gnl|WGS:NBSK|LSAT_4X114080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLLSNQNTCSHSTAINGENPISNEQEHVHNKLNGKVNDIVATLPKGKGWRGPEIFLHKGFWLPQTAIASLLMIHEYFHPKPTDIFLAAFMKCGTTWLRALMFATANRHRYKISDHPLHHTGPHGVFPSLDAQIFLEYPVSKFDNLPSPRLFATHFAHNLLPTSMTSPSSTCKFVYVCRDPKDALISKWHFMSKIRSKELTPISFNEAYELFCNGISEYGPFWEHVLGYWKASQESPEKILFLKYEDMKRGPSVEMKKLAAFMGMPFTAQEEEAGVVEEIVKLCSFENLSNFAVNKDGVQTFRAQVVVENRNFFRKGNVGDWENYLTEEMRDRIDSITETRLKGSGLALGLTHKA >cds-PLY95800.1 pep primary_assembly:Lsat_Salinas_v7:7:92298362:92301612:-1 gene:gene-LSAT_7X64380 transcript:rna-gnl|WGS:NBSK|LSAT_7X64380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIDPPHESDGSTTGSKENEMAVVFDSSGIGLPYAPEDFPLPGDKWGWKVGKRVGVSGHFIDRYLYLPDRLHKENKLVGFSGVTCGKGFASKASLERYLMETFPGIDINAFFSSFTWKIQAANIKGNKEIMMENPISNQQFDPAGCKAGNINCSSLRLLETNNPIYPYTMPCDICCVEPYFCHDCCCILCSRPVNSSISDYSFIKCEAMVNQSHDFICGHICHIECGLRSYMAGTVGGTIGLDAEYSCRRCDARTDLVPHVEKLLRSCESICDCDKVQKILNLCIIILRGSTKASAMKLFNHVQSAVAKLKEGNSHEDIWKREDMSAVTTGDLSQYETDTFEVSNFAPSQRQISFTNFDYRIESMELEKKINKTLASLKKSQETEYKIAENALTAQKTHLLDLYKELEKQKGKLANCSPSTDPSLVHAVFKKMEEIKTEFNKIIAMQVIGKGFGKTSKYVLKEHFGMHSDN >cds-PLY89818.1 pep primary_assembly:Lsat_Salinas_v7:4:322992236:322993479:1 gene:gene-LSAT_0X27800 transcript:rna-gnl|WGS:NBSK|LSAT_0X27800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific transcription factor YABBY family protein [Source:Projected from Arabidopsis thaliana (AT1G23420) TAIR;Acc:AT1G23420] MSTLSHLFDLQQEQICYVQCGFCTTVLLVSVPCSCLSMVVTVKCGHCAGLLSVNMMRSSFLPLHLLPSINNQEEPTVEVCKEDEEVAKPPVSKRSSSPLISTSSSDEDNEDDLVLVNHVVNKPPEKRQRAPSAYNKFIKEEIIRLKTQHPNISHKLAFSTAAKNWAHSPPSQQNQGEKSKASGNEPTVMIQELGSEKPS >cds-PLY79376.1 pep primary_assembly:Lsat_Salinas_v7:9:54933590:54937063:-1 gene:gene-LSAT_9X49760 transcript:rna-gnl|WGS:NBSK|LSAT_9X49760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSELSFLWVLVSSWKICKNLRNLRDLTNLRKQLYSAAEYFELSYTNDDQKQMVVDTLKDYAIKAIVNTVDHLGAASNKVDNIFDDKVEEVSGSELRVSCIEQRVRTCHGYFDHEGVSQQSSLLKIPKYHKRYVLPVGEMIQDGNGTILKHEECTLVEEREHDQHEHMNEVVQAIIHEKPGTLVRKEQSPSSSPSPSPSPSPQASQQPDSFSFEDMVTRKDFVYGESEKRAVSPPRFPLLHTESFSSRSRSITPNSRSNSRSSTPNSTRPTTQTTFPQQWHQEPRKSVSKQRNGDRETWKEGDQIQSKSKRLLKALLSRRKSKKDEMLYTYLDEY >cds-PLY91136.1 pep primary_assembly:Lsat_Salinas_v7:3:152480218:152481967:1 gene:gene-LSAT_3X96341 transcript:rna-gnl|WGS:NBSK|LSAT_3X96341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVCWILRLVVLDLLGKLMWFSQNSTRAVCILSANGAISNVTLQQSATSGGTVTYDGRFEILSLCGSFMVCESDGQRSRTGGLSVSLSGPDGRVLGGNVAGLLTAASPVQMIVGSFCSCKSETKKNRGGNSQHYSRQCGDHKRTFRWRDREPASPQ >cds-PLY75970.1 pep primary_assembly:Lsat_Salinas_v7:5:247333547:247335381:-1 gene:gene-LSAT_5X124481 transcript:rna-gnl|WGS:NBSK|LSAT_5X124481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAKAGQLVPESVLKKQKRNEEWALAKKQDVEELKKKNAANRKLIFNRAKQYSKEYEAQDKELIQLKREARLKGGFYVNPEAKMLFIIRIRGINAMDPKSKKILQLLRLRQIFNGVFLKVNKATLNMLHRVEPYVTYGYPNLKSVKELIYKRGYGKLNKQRIPLTDNSIVEQGLGKFGIICVEDLIHEILTAGPHFKEANNFLWPFKLKAPLGGMKKKRNHYVEGGDAGNRENFINELIRRMN >cds-PLY63161.1 pep primary_assembly:Lsat_Salinas_v7:4:311430680:311431366:-1 gene:gene-LSAT_4X156501 transcript:rna-gnl|WGS:NBSK|LSAT_4X156501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTIQLAFSTATEGRLSNASDCTSSSSASDHDEYTPTNRGSVHRSQKWRKLMKKVVEGSKKSIYGSSKPMIFRYDAVSYSLNFDEGNHSDECNVYES >cds-PLY64347.1 pep primary_assembly:Lsat_Salinas_v7:4:22400242:22404687:-1 gene:gene-LSAT_4X15540 transcript:rna-gnl|WGS:NBSK|LSAT_4X15540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSFRGGLRRVLRGISVIGVHSIERMGMKLFDNGGSDSDDLSKIEINKEFARRYEHNKKREDIQRYEEMKKKGVIDSDIDSEEEDESSEDEENIVNYSRKQDLQFFDALIKVKKQDPSLKNKDAKLFDLENEDETEDNNKEKKRKPMYLKDVTAKHLIEKGPEFDDEDDEEENKGKKKSYSEEQEVLRKEFLDAVGDEDDEDDLLKVKNDKRGIEDEDEDEVEYEKKLDEYFEEDEKLDENEKFLKDYFRKKMWLDKEAGSSNHLNDVELDVSEDEEELERQENFEREFNFRFEENAGDRVMGFSRKVDGSVRKKDNARKLQRKNKEERIALAELERKEELKHMKNLKKKEMNEKIRKIRETAGIGENEVCLLDEHDLEEEFDPDEHDRTMKKAFDENFYEAEDVDPQFGSEEEEEDGELEKPNFDEEDEFLGLPKGWENEYGSGDGFLATREKTLKCKIDNSHDEEDKKKKRKRSEVEEEAIKKELEEYYKLDYEDTIGDLKTRFKYRPVNKNTYGLKAKEILVVDDKELNQLVPLKKLATYREDEFIVPRHKIKEHKQKIKSLLKGETSENGGKRIKHDVEKSNEQVVDDAQTEGEKVLSRKQRRKKKLNEFKLPPSRLLAYQKITGAKSSNKRKHKA >cds-PLY68238.1 pep primary_assembly:Lsat_Salinas_v7:3:102952471:102954018:-1 gene:gene-LSAT_3X77021 transcript:rna-gnl|WGS:NBSK|LSAT_3X77021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQYAGDQKYGREGQHTDEYGNPVRKTDEYGNPLHSSIPFTSTGAGIGTGTGTGYDQEGHEKKGVMEKIKEKLPGGHNTVEHQRVSTTKAGGFAGGYGEGGETHEKKGVMEKIKEKLPGGHNTVEHQRVSTTTAGSVGGSYVEGGEAQEKKGVMEKIKEKLPGGHNTNEHQRVSTTMAGGHHGVSGGYVEGRETHEKKGVIEKLKEKLPGGHNTDEHQSVPPTTTSGVGGGDYGEGREIHAKKGVIENIKEKLPGVHSTNEHQRVSTTTAGGHNGVGGGYVEGRETHEKKGVMEKIKEKFPGSHNTDGHQRVSTTTTGGVGGGGYGEGRETHAKKGVMENIKEKLPGVHNTDEDQRVSTTTGGHNAIGGGYVEGRETTEKKGVMEKIKEKLPGGHNTDGHQSVSTTTSSGVGGGGYMEGRETHEKKGVIEKIKEKLPGHH >cds-PLY75002.1 pep primary_assembly:Lsat_Salinas_v7:1:111865657:111866318:1 gene:gene-LSAT_1X90481 transcript:rna-gnl|WGS:NBSK|LSAT_1X90481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKIEAMKKAVMLLHNGETPPHRSFEAMKHDITMMLLKPALAREEDTSEELVVQPVVPISSDNNNKQNKAPMKSQQQGVPVKKNNNNQKVASVGVSQKSEVTNNNMEDEAPPFEQQQQPGFRKYGQKNRGGGGGRQHNSNNNANINREKPRQNLHYEYQPVGSNKSDACTAAAAAATDGSGNAMG >cds-PLY92925.1 pep primary_assembly:Lsat_Salinas_v7:3:113456154:113458495:-1 gene:gene-LSAT_3X83001 transcript:rna-gnl|WGS:NBSK|LSAT_3X83001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGAGNSDDAFYRYKMPKMVTKIEGRGNGIKTNIVNMVDVAKALARPPSYTTKYFGCELGAQSKFDEKTGVSLVNGSHDTAKLAGLLEIFIKKYVQCYGCGNPETEVLITKTQMVQLKCAACGFISDVDMRDKLTSFILKNPPEQKKSKDKKALRRAEKERLKEGEAADEENKKVKSKKKGKEVKPTSSPPADVADVDDDNIQWQTDTSAEAARQRVQEQLSAVTADMVMLLDEPEEVKTPHQILVEKVKEDLEKGVGPDVMMSALTGTRKENVNAVYEGLFDGVEKGFAKVVVKRRKYLAAVVADGEEGQMMLLGAVEEYCGKVKNPVAVKEVALVLKALYDADVVEEEYVVKWYEEGLKGGNKDSVIWRNAKPFVEWLQSAESESE >cds-PLY88822.1 pep primary_assembly:Lsat_Salinas_v7:4:91342800:91362004:-1 gene:gene-LSAT_4X60660 transcript:rna-gnl|WGS:NBSK|LSAT_4X60660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPRTNHKPQNDELTQHILSDHRKSQVVPELTGEVVSSELEDLLSDTSLTSFRLYKKALSLELVSLFRLAGPTVLIYMLNTLTSISTQILCGHLGNLQLAAATLGSNGVQVFVYGVMLGMGSAVETLCGQAFGAKQYGMLGVYLQRSTILLMLTGIPLLLIYIYSKSLLMLLGQSKEISSAASLFIFGLIPQIFAYAANFPIQKFLQSQSIVNPSTYIAAGIFFVHLPLSYLVMYVFDWGLLGGALVLSFSWWVIVLAQFVYILKSNRTKETWSGFSVEAFSGLWSFFKLSTSSALMLCLQAWYFQILILIGGLLPNPEIALDALAVCSTILVWVYTISIGFSAAASVRVSNELGAGHPKSTSFSVIVMTSTSFIVALICGIILLCSRDYFSYILTDGEIVAKAVSELTPLLVFSVILEGIQPVLSGVAVGCGWQSFVAYVNIGCYYMVGIPLGVVCAFYFDFGTKGIWLGLVGGMLMQAMILCWVIFRTDWNKEVKFANIRVKQWQHK >cds-PLY86817.1 pep primary_assembly:Lsat_Salinas_v7:1:16569693:16583572:-1 gene:gene-LSAT_1X14680 transcript:rna-gnl|WGS:NBSK|LSAT_1X14680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSMEVYGPPVKRRRVSHPHRVNHSESNKEPNLLPPNGSTDISMACIDEATATVSPADMPNIEMVVKQDDTFNNGKESLTEGSQIDCFALEQESEKNKDKIVMEGSESSTTEDRSHPSDKNTELKMDVVNSITKMDAVKENSSEARNEDNCHSHPRKKLLVLDVNGLIVDIVADPDEAYRADTIIGSKSVYKRPYCDEFLNFCFERFNVGVWTSRTRRNIERVLDFLIKDTQHQLLFCWDQSHCTETGFNTIENSDKPLVLKELKKLWEKQDPNLPWEKGVYDESNTFLLDDSPYKALRNPPNTAIFPYTYSYRNTQDNGLGPKGDLRNYLEKLAASDNVQKFIQQNPFGQQPISYNNESWKFYLKVIGDSATCPQQEADASKSCFRKKLLVIDVSGLLADVVSLPRERYKADTILQYKADTILPGSRAERVLDFLMRDTQHKLLFSWDLSDCTDTGFRTVENMNRPLVLKQLRKLWEKKDPNLPWDIGDYDESNTLLLDTYPSKALLNPPYTAIFPYAYCYWKTEDTSLGSDGDLRIYLEKLAASENVQKFVEQNPFGHRPITSKNLSWGFYQKVIRVFTSKSETDNITSSTLPIKSESEVNTVTDSALPTKSEQEADTVMVSALPIKLEPEADTMTDSILPIKLEQEANTVTDSALPPQADTITDTVLPTKLEPETETITDSALPTKLEPKAGAITDSILPIKLEKEANTITDSALPNKLEQESGAITDSILPIKLEQEANTVTGSALSTKLKPEAGGITDSALPTKSERESNTSPASLAENIKEPETETNPDSAAETLKDLVMFYQRSEKQRWRQVADFSPDLKLDENETTDLDIYGGGNHRSRPYKFTSCSGVNNNDRSSASGL >cds-PLY76618.1 pep primary_assembly:Lsat_Salinas_v7:5:223253111:223254587:1 gene:gene-LSAT_5X104800 transcript:rna-gnl|WGS:NBSK|LSAT_5X104800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKGGRKNLKRAVNDETLTLQPGQSIMQVVSLRGSNLIEIIDAKGDKALAIFPAKFQKSMWIKRGSFVVVDDSGREEAVESGRKVACVVIQVLFHEQVRALQKSPEWPEIFKSAIVEKNPSTTQENEEVESSEDDGLPPLEANTNRRPVELGSDTESDSEREDS >cds-PLY94837.1 pep primary_assembly:Lsat_Salinas_v7:2:177203629:177205506:-1 gene:gene-LSAT_2X98240 transcript:rna-gnl|WGS:NBSK|LSAT_2X98240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGDGFSSSPSLTAFIHDPITNPNPNSSSSAKRKRNLPGNPDPDAEVIALSPKSLMATNRFVCEICSKGFQRDQNLQLHRRGHNLPWKLKQRNKQEVVRKKVYICPEKSCVHHDPSRALGDLTGVKKHFSRKHGERKWKCEKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRKDSFITHRAFCDALTEENSKTASFPVVQTSTNLNFQSDLMMMSDGDRIGNPRFPGMFGGLQKSTDGNGIRPRLPSWLHHHNNNNMNHHENPTTNSSFLGSSSSNNNHGGIGILSSEMMQWLSRSHDSVETFTQLPQGLSLKEEEDNNKGEMQLNPLYSTYDGCPNMPPPPPHMSATALLQKASQMGSTRSCNNSGGFGLMGTSELSGFISSNQNRNNGGDHNMNDGMMNGDGLMMMMMMAEVKQNSGEGNLTRDFLGMEQELINFSCSMNDPSIGFSGSQ >cds-PLY90341.1 pep primary_assembly:Lsat_Salinas_v7:2:197981077:197981571:1 gene:gene-LSAT_2X118960 transcript:rna-gnl|WGS:NBSK|LSAT_2X118960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPARHGLRSRTRDLFARGFKKKGTIHLSTYLRTYHVGDYVDVKVNDAIHKGMPHKFYHGRTGQVWNVTKRAIGVEMNKQVGNRIIKKRIHVRIEHVMPSRCTEEFKNRVKKNDVLKAEAKAKGVMISTKRQPLGPKPGFMVEGTTLEIVTPIPYDVVNDLKGGY >cds-PLY80309.1 pep primary_assembly:Lsat_Salinas_v7:3:209005804:209006125:-1 gene:gene-LSAT_3X123420 transcript:rna-gnl|WGS:NBSK|LSAT_3X123420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTCEQVEEGKTSWPELVGEDGDFAVTTIEKENKLVNAETILEGTKILQIYICDRVYVWVNKKGMVISTPTIG >cds-PLY75788.1 pep primary_assembly:Lsat_Salinas_v7:3:68720311:68722656:-1 gene:gene-LSAT_3X51640 transcript:rna-gnl|WGS:NBSK|LSAT_3X51640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRWRTSLLVSRFATNSFSRKTHVFRPNFVFRRLVRPLNPDPDPSLSSLIPSNSYGSFRAFSSYPTAAPPIFDLGSYDDEQKYSEELFLHDEDDDELRKISVRAIFLCTSVDLKSLQVEISSHFVPPTSRSTNHIALRFSNFSSDHMDIDVEENPSSHSYMVVFQYGSAVLFNVEDHEVESYLDIIRRHASGLLQETRKDDYVVKEKPLLMEDMQGGPDYIVLRNFDIDSIRTISSVLGQSIALDYFVSQVDGMIEEFTYINRVMEKTGTFTMDRKKLFQLVGKANSNLADVILRVGIFDRSEIAWREAKYAQIYEYLREEYEVTQRFGNLDYKLKFVEHNIHFLQEALQNRKSDLLEWCIIVLLSIENFIGIYEIIRETNIVPI >cds-PLY82181.1 pep primary_assembly:Lsat_Salinas_v7:1:14544078:14545475:-1 gene:gene-LSAT_1X13061 transcript:rna-gnl|WGS:NBSK|LSAT_1X13061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVQDEQLLRLGLAIVIDSGSGRERKVKRKRREEFKPLDTNGVSEGNIYSLLEMREIMLKKEKKTPEISTGEDGKGLRLIHLLLVAATSLDENNLDSAVDNLSELYQNVSLIGDSVQRVAAYFADGLVARLLTRQSPFHSMIMKEPAPEDEFLAYMELYKVSPYYQFAHFTANQSIMESFEREEKNNNRSLHVVDLDVAYGFQWPSLMQSLSDKATSGNRVSLRITGFGKSLEELEETEARLVGFAKTFRNLIFEFHGMLRSNSGLKSITKRKNETVVVNSVFYLNSLCNFTHISETLKSIHNLNPSLVVMVEQEGGRSPRTFLSRFMEFLHYYAAMFDSLDDFLPLDSLQRLQIEKNHLGKEIKRLMDFDKDEENSPKYERMETWKGRMESHGFSGRRLSSKSIIQAKLLLKINSHYCPIQFGGENGGFTSFEREEGNAISLAWQDKCLITASAWQCARGR >cds-PLY76151.1 pep primary_assembly:Lsat_Salinas_v7:4:52343795:52345837:1 gene:gene-LSAT_4X35660 transcript:rna-gnl|WGS:NBSK|LSAT_4X35660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFDSLLPAPVSTDSAGPGVNCPNPLVDDASKKIRKPYTITKSRQNWTDIEHDKFLEALHLFDRDWKKIEAFVGSKTAIQIRSHAQKYFLKVQKSGANEHVPPPRPKRKAAHPYPHKAPKNGGISQSASEDIVLRESYLWPTNGGLSTWTYNDVATQDDVRSSHNCSSSSTGETNNRQDLKQKTTGRVMPDFPKVYRFIGRMFDPNESNHLQNLKMMDPVDVETVVLLMKNLCANLKSPQFEDYKRVFSSYDEGTGKVASSGPFQVLSGSAIRSS >cds-PLY85214.1 pep primary_assembly:Lsat_Salinas_v7:8:182725063:182725524:-1 gene:gene-LSAT_8X119040 transcript:rna-gnl|WGS:NBSK|LSAT_8X119040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSGSTPTPFPSPAIQGIDQDQTQVVSSEQAIGGPIGGNPITADIHGQQNSTNQFQAGTFSRGIDYADWYSGGGQPPSLGAIRPVVISQPSGSNEIYSDGLPPSLNDLGRRQPSQTGASTEVADAHEMVGMSLTRNNFRRLYEDSYGKGIDGK >cds-PLY83419.1 pep primary_assembly:Lsat_Salinas_v7:5:329819126:329819299:1 gene:gene-LSAT_5X186560 transcript:rna-gnl|WGS:NBSK|LSAT_5X186560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTQTFPDRCIRCLDKGTTTDEYILQISICCVFASSTRRSRSRLHSPHRSTTYFNAN >cds-PLY86471.1 pep primary_assembly:Lsat_Salinas_v7:8:54289214:54291037:1 gene:gene-LSAT_8X39420 transcript:rna-gnl|WGS:NBSK|LSAT_8X39420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEGFEPHLESQTSIMSKVAKGAYKHLINHMLTLLLIYVTVSISIQAVQQGQDYLSKHLLSLHDLSFIWYIISFLLVIITIFTIHFMNKSQPVYLLDFACFKPPAMYRVPHATAYEHGRIILASHQKGVEFLVKVFERAGLGAETSLPHPLHYIPPNPTLIGSREETELVIFSSMDSLFEQTGITPQDIDIVIVNCGLFNPTPSISSMVINKYKMRSDVKSYNLSGMGCSASLISIDLARNLLRVHPESNAIVISTEIITPNSYTGKVRSMLVPNILFRMGCAAILLTNKRSLRKHAKYSLSHVVRTHKGADDSSYGCITHKEDKDGKLGVALDKDLMVIAANSLKSNISRLGVLVLPVSEQAIFLFNFVGRKLFKMNMKPYIPDYTKAIEHFCVHAGGRAVIDKVQSSLKLTDEHVEASRMTLYRFGNTSSSSVWYEMGYIEAKGRMKKGNRVWQIGFGSGFKCNSVVWKCIRDVEVPKTGAWADSIHKFPVIVPDVVML >cds-PLY92379.1 pep primary_assembly:Lsat_Salinas_v7:3:124984889:124985227:1 gene:gene-LSAT_3X86760 transcript:rna-gnl|WGS:NBSK|LSAT_3X86760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTLDGSSLKNEKSDTNDMPLEKNQVSENTDVEREDRNKSNMGLRLNLNEGEGDGDGGSNDEHGRLKEGPINLLIISFINKMV >cds-PLY88605.1 pep primary_assembly:Lsat_Salinas_v7:MU040060.1:12924:13833:-1 gene:gene-LSAT_0X32900 transcript:rna-gnl|WGS:NBSK|LSAT_0X32900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCKYISILFSLCYVISTAEYTTTNGGSFTNTFDIQEMINFHHHRIKVIASKNPNPNQCSQPPPLGLNAIIGSLFDVGVKFISTSTSSMVLDSRFDDVFMKNKPRRTSSHPHYMEIKGIHGPIMKP >cds-PLY86247.1 pep primary_assembly:Lsat_Salinas_v7:8:57284269:57286414:-1 gene:gene-LSAT_8X42300 transcript:rna-gnl|WGS:NBSK|LSAT_8X42300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIATGLSKILNGESYDCVLIDADISEIAMVDFLHHTFMHQPHLCIIMMASDYIRMQLLKETLANEVVMCLPKPCSHRDICNIWKHVCRNRISFEGCNYSNGESPEENQEPNIFKRVDRILKKSTTLNPTLINNNLSSGRGTQDGLKNKSPEYDAMFKPITYEEVWTNDHDQEHVVVNMRSNNQLVEDDRYYKKRKSTKKRITWTGDLHKKFVEAINTLGKQKAYPTAILKVMEVPGLTRSQVASHLQKYQEHQKTNKKPTLIPQKKKKVETYAYKRGGQSSPTNDYESILQSSNIQPPLMLNSTGMSYMTIDNIKARLQGAPPLPIPQLKTTINNSYYDQSTYPTSIGETSKITTFGLAESCNSNIGQSNEVQISDNSYESATGPLKNGEPLEMASTDFGEVMAPLDATKQWVSGMAPSYYGLESGLQPLGGFASVGENYNLKLSEISNTYGMTNSDGGRISASSNHNHLIHSQDFQGNGENLFPTFPNTTALGNVEHSINPNFSNSNLVPFGVGSVGYSHRQAQTLPPFNSLVSVDGIERRVTESNLFADETQYFLEMTVNLLDVLDADLINGPW >cds-PLY98515.1 pep primary_assembly:Lsat_Salinas_v7:7:126376431:126379921:-1 gene:gene-LSAT_7X76760 transcript:rna-gnl|WGS:NBSK|LSAT_7X76760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSLDRTKVVLRHLPHNISQSALMEQIDARFSGRYNWFCFRSGKNSLKCQSYSRAYIDFKHPDDVIEFAEFFDGHVFVNEKGTQFKTIVEYAPSQRVPKQWSKKDGREGTIDKDPEYLEFLELISKPVENLPSAEIQLERKEAERAGNAKEAPIVTPLMDFIRQKRAAKGGSRRSLPNGKLMRRGSGASSSSSTSNSPALKRGSERRRTSTTMKILLLKGKEKEIPLISSGLSSVKISHGSGAPKQREGQGSSGRVIRSILINKDSQQNINREIDRKPPRPPPPYNGLQDDMEKRSTRNKDRPDRGVWTPLRHSDDESLSSSLSQSKPDSSEGTHGEGKYDTRAGSGRGGHFSPDNGSHKHGGRRGPSSYNNTKDADGSKKGVSSGYGSHEKQVWVQKSSSGS >cds-PLY74582.1 pep primary_assembly:Lsat_Salinas_v7:7:33124854:33125732:1 gene:gene-LSAT_7X24501 transcript:rna-gnl|WGS:NBSK|LSAT_7X24501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMLEEQRHKPKIAPKRIKLQEIIAKGEEDRISTLTDCLLLEILSRLPSTRYAIRTGTLSKRWKHLWKSIPTLIFKHSDIPLNQCRQNPNSRSDFVSLVDKTLSQCCQLKLKKFVVCTSYDTQFGLQVQNWLHYAITCDVEELKLKFWYRGLEAVFLLDQSFFFNSYFTDLALEGCKLNPTRAISWKNLRNLCISYGKLDEVLIENILSGSPLLETLVLKYCYGYRRLDITSKSVKNLVFFGYTDIFDVFDDVDIIEINVCRSESGCECESESESESESESESESESDTDGN >cds-PLY86625.1 pep primary_assembly:Lsat_Salinas_v7:1:3709506:3713291:1 gene:gene-LSAT_1X2980 transcript:rna-gnl|WGS:NBSK|LSAT_1X2980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAIVTCSVITTLHKNTFDPFSSSSSSTTAISTVSLPKLTAIDGCFSSANSRYFTSGSQISHRRTKSKMEFIVYASDQIGDLLPFGIHLPDSWPAWTPGFLLAVIVPFFTNKWGPFAKFKEELDKVEEAVDSVADRVEEMAEKVEHFVDEIGNELPEGSVLKSTLEKVENIADKIGKDAHMVSDIVDKMDEMEAKVEDMFNKKTNHD >cds-PLY90740.1 pep primary_assembly:Lsat_Salinas_v7:3:35467380:35469970:1 gene:gene-LSAT_3X27281 transcript:rna-gnl|WGS:NBSK|LSAT_3X27281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVVAKPCSNSSSSSSSHVSAVAMVQENGSRNKRKFRADPPPVTDPSKTSSPSHSECLGYEFSAENFNGCDMCSFSHENTDPVELDLGLSCSVSVGGTCEGGRNHNINRAEIEASDEFHDADWSDLTESQLEELVLANLDTIFKSAINKIVSFGYTKEVATNAVLRSGLCYGCKDAVSNIVNNTLVFLRNGQEVDSSREHQFEDLQQMEKYILAELVCVVREVRPFFSTGDAMWCLLVSDMNVSHACTMDSESLSSSSSSNMVGDVSDPNSTQTQPRKEVNSSESQNPNHSSLCPHKSASEPQPPVMTSCGHNNSSFSSPPVKPKTPFVLNRFASEKENHVSKCKSQNHEEKFVSGRKITGISKRESILRQKSVHLEKSYRTYGSKGSSRTGKLTNFGGLLLDKKLKSVSESTGINLKNLSLKINKGVAPQPQRSDFNTETCGNSNPPTLPKTHNNPPASASASASETELSLSNPPKQNDVHVSSNPSFSAIPYDKWISHAKKDETIMKLVPRVHELQNQLQEWTEWANQKVMQAARRLGKDKAELKTLKLEKEEVERLKKEKQTLEENTMKKLSEMENALCKASGQVERANSAVRRLKVENSNLRHEMEAANLRAAESAASCEEVSKREKKTLMQFQSWEKLKSLIQEELIAEKRKFTQLQQDLQVAKQQQDQLESRWKQEEKAKEELVREANSYRIGRLEAESSAKLRNELTRLKADKNLQRYKQDIEKLQKEISMLNLKSDSVKIAALRGGVDGSYASKLTEIKTSNSQQEYQTQTLYTSKIMNNNNPHGIGNVGCVSAMCASSCVYDLQSTS >cds-PLY81460.1 pep primary_assembly:Lsat_Salinas_v7:5:334902996:334908519:1 gene:gene-LSAT_5X188180 transcript:rna-gnl|WGS:NBSK|LSAT_5X188180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENQKRHEQPTNSVRRSLKRKLEDEFIVDRKITSSDDAAQQDLVSEVRAQVEILDSTFSSNESDRALVKRSIHILSELAKNEDIVNLIVESGAVPALVRHLQAPQSKEVVAGPRPYEHEVEKGSAFTLGLLAIKPEHQQLIVDAGALPYLVALLKRHRDGQNSRAVNGVIRRAADAITNLAHENSSIKTRVRVEGGIPPLVELLEFIDAKVQRAAAGALRTLAFKNDENKTQIVDCNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLLAGALQPVIELLSSSCSESQREAALLLGQFAAADTDCKVHIVQRGAVGPLIEMLQSPDAQLREMSAFALGRLAQDTHNQAGIAHGGGIPPLLKLLDSRNGSLQHNAAFALYGLADNEDNVADLIRVGGVQKLQDGEFIVQPTRDCVAKTLKRLEEKIHGRVLSHLLYLMRIAERSVQRRVALALAHLCSPDDQKTIFVDGNGLGILLELLESTNLKHQRDSCVALCKLAEKASSLSPVDAGPPSPISQVYLGEQYVNSPTLSDVTFLIEGKRFYAHRICLLASSDAFRAMFDGGYREKDAKDIEIPNIRWDVFELMMRYIYTGSVDVNLEIAQDLLRAADQYLLEGLKRLCEYTIAQDILVDNVSVMYDLSEAFNAVSLRNACILFVLENFDKLNAKPGYGGLIQRIMPEMRNYFVRTLGRPVEADMRQ >cds-PLY70276.1 pep primary_assembly:Lsat_Salinas_v7:2:91613955:91617006:-1 gene:gene-LSAT_2X40320 transcript:rna-gnl|WGS:NBSK|LSAT_2X40320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWKRAKTPGWAAFDPNKQQKQVNNDEIHNDPYPPISTNIPTSHQSQNHSRNLDLNGRSFSSVLTHSSSLPDMISNDIQNVPSGLMENNHNLRVIVESKENNISHVYEKLKELHPWADEKLIEDIVAAVDNDIDKASSLLKEMTSPGSLQEKKEEENIEEHGLSGKVVVDDNVALRLIIDSLSMIPVEPEWEDDDDVYIMHRKEAIKAMRSASRYSKAAKEAYLRKDHATAHEFSLKAREEWSASEKLNAKAANEILAIRNSENDDWKLDLHGLHASEAVQVLQQHLLKIESHLSTNPKQQLSKRRLLEVITGKGSHSRGQAALPIAIKSFLTEKGYYSYEARIGVITVQPKFRQLSTILSST >cds-PLY70593.1 pep primary_assembly:Lsat_Salinas_v7:1:89254828:89255856:-1 gene:gene-LSAT_1X74741 transcript:rna-gnl|WGS:NBSK|LSAT_1X74741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein 1 [Source:Projected from Arabidopsis thaliana (AT2G33470) UniProtKB/Swiss-Prot;Acc:O22797] MEETVFTPAIEGMKHMKSDHGEILTKPFLDACKTLLPILDKFGASMAVVKSDISGNISRLDSKYNENPTRFNYLYALVQAEVETNTAKNSSSCTNGLLWLTRAMDFLVELFRNLYQHQDWSMQQACNDSYSKTLKKWHGWIASSSFTVAIKLVPDRKKFMDVIASKGDTYVDMDKFCESFSPVLVQIHKFLVKIIFMFFFSFIQTRK >cds-PLY98167.1 pep primary_assembly:Lsat_Salinas_v7:1:141258634:141258876:-1 gene:gene-LSAT_1X102301 transcript:rna-gnl|WGS:NBSK|LSAT_1X102301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHSFTSWTTPGPSVRVWNAYWALSSYLECHRALSMSLECLPGPQLVSGMLPSPQYEFGMPIGPSTHIWNTLGVIGYCME >cds-PLY98272.1 pep primary_assembly:Lsat_Salinas_v7:7:168907980:168909713:1 gene:gene-LSAT_7X101381 transcript:rna-gnl|WGS:NBSK|LSAT_7X101381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHLSVKASCYKLHEVLSGLNGPVRNIFSTTSFGYLLDLPAQSGDGLLIHGLLLHMLRPTVETDAAVRLYFRFTRRTLSFGPEEARGVLSCDRTLHGPVSYIKDRIFNHKNKKKKVVASEIVDAENHIPGSPTVKESRPVGQLIETVSIS >cds-PLY64481.1 pep primary_assembly:Lsat_Salinas_v7:3:14911427:14912183:-1 gene:gene-LSAT_3X10301 transcript:rna-gnl|WGS:NBSK|LSAT_3X10301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDRQRHLHFVFMNYDPEYERLQSDRTKRGAREVEMYLSTKHNDLLAKKLAPGTYHKTLSLFIVDAFAVQITDAQADVLRSAKEVRVVEKNQELP >cds-PLY72940.1 pep primary_assembly:Lsat_Salinas_v7:1:90874315:90875949:-1 gene:gene-LSAT_1X76300 transcript:rna-gnl|WGS:NBSK|LSAT_1X76300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMILSFLDAHSVASSLVVSCRWRRVACSDTIWSEKIKELWADKAHLPRFAQVEGLSKLSAYTLSIQDGKRSRILKEDLWDHVWEFHFKEGVPEYWQNLDPYWRGTGPPMHRYFHEDGSQTADVDDKVWGGHECCYSIVTSFLADGRIREHYVRINSWPQLSISRRHDWGWEMSNGLYLYSSVPDAYKPGGTGPYLPVH >cds-PLY91291.1 pep primary_assembly:Lsat_Salinas_v7:6:112711970:112714831:-1 gene:gene-LSAT_6X70320 transcript:rna-gnl|WGS:NBSK|LSAT_6X70320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAFPSAKPPLGVFFASVAPRLQPRCYLISSSPKINVTCALVYEKTPSGRVHKGVCSTWMKIAVPMTESEDCSWAPIFVRTSNFRLPSDPKIPIIMIGPGTGLAPFRGFLQERLALKESRSQLGSSVLFFGCKIRLYNTFLIMSVPEDDIVSESNM >cds-PLY65046.1 pep primary_assembly:Lsat_Salinas_v7:1:116985689:116987494:1 gene:gene-LSAT_1X86520 transcript:rna-gnl|WGS:NBSK|LSAT_1X86520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRPVEVKLVPMSLEGIAFRTKVLDTDELMNYTSLIAEHKKETMEALDASGYLKYVIYALVDIIKQSSGCYPLEVHVRTPRGLANRSGIHDGGEFDVLDPGALLGGTAGMWLLSILSSCSEGNKRIVVEARVLEVLSDKLEKYTLNSQKDHQAIDILLAEIDIYELFAFKHCWGRRLKLALCEELDERMRDLNLLSNSHFWRWP >cds-PLY83855.1 pep primary_assembly:Lsat_Salinas_v7:3:51596229:51633343:1 gene:gene-LSAT_3X40381 transcript:rna-gnl|WGS:NBSK|LSAT_3X40381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRNCRSCGSPQRSRLCTMEHHHQDHPPPRQVKSPINFSDQEVVFTGGHGFRRRRRREGETIRRIIEKSDVLELLGYQLIIHLLHIWIQRNVIRRDSGYRFNRNFKPTNRRRG >cds-PLY77029.1 pep primary_assembly:Lsat_Salinas_v7:6:176570741:176571982:1 gene:gene-LSAT_6X106881 transcript:rna-gnl|WGS:NBSK|LSAT_6X106881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase WAV3 [Source:Projected from Arabidopsis thaliana (AT5G49665) UniProtKB/Swiss-Prot;Acc:Q9LTA6] MLNQSRRAPIDLVAVLDVSASMGGAKLQMLKRAMRLVISSLGSADRLSIVAFSACPKRLLPLRRMSPRGQRSARRIIDQLACSRGTCAGEALRKATKVLEDRRERNPVASIILLSDGHEDHVNDNINNSSNHRQPASHVSTTRFAHVEIPVNTLEKSGGGVGGGFSHQNHDPAENAFTKCVGGLLSVVAQDLRVELGVAPGSDPAEITAVYSCNGKPTVLNSGSVRFGDLYAEEEREVLVEIRVPRSNTGSHHVLSARCCYNDPATQEVIYGGEKALLVPRAQTVRSSCSPKIERLRNLFIATRAVAESRLLVEYNKLTTAHHLLSSARALLMQSPAAEEFVKGLESELVDVQWRVQYQQQMVQQQGMMVDENGEPLTPTSAWRAAEKLAKVAVAKKSLNRVSDLHGFENARF >cds-PLY83711.1 pep primary_assembly:Lsat_Salinas_v7:4:38533511:38537920:1 gene:gene-LSAT_4X27441 transcript:rna-gnl|WGS:NBSK|LSAT_4X27441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGSLGCRRVSMSPPSTIRQLEGERLSPSVRFLHRSCNPKTKRTVVCREKENSGMGMGEWECENGLILKVMNIDGELVPLSEEKELDDPLIAIKIWNLGSPDPNFTLDAHLKRVNYVDYFTGGDKPYLITGTDDHTAKVWDYQTKTCVQTLEGHTHNVSAVGNDFLNSTRQNLRLREDHKVHNNCWLLEPLLSPVHAFSLITAGKVNVTYLLVIFGTTEQMHVGSVPQILICSATEVTQYLHWLWTPIDGEFDDYIVNPKSSGYQSMHEYAKHGVAAHWLYKEAGNKLPAKSNVIGSEITSSSYLSNDMEDKSPVEDDVFHKYNSLKPGHPVLRVEGSHLLAAVIFRFGGYLLVKQ >cds-PLY86821.1 pep primary_assembly:Lsat_Salinas_v7:1:16684604:16686226:-1 gene:gene-LSAT_1X14580 transcript:rna-gnl|WGS:NBSK|LSAT_1X14580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYDIFDPTANITITWDVVSWTPDGYVAVVKMNNYQMYRPIMTPGWTLGWTWAKKEIIWSILGAQAIDQGDCKDLPSNIPHSCEKNPRIIDMQPGVPYNQQFLNCCKGGFVSSMGQDPANSVSAFQLSVGNSGNTYKTVGLPKNFSLLGPNQGYTCSPISIVPSSVSLSSGGRRKNRALMSWQLVCRYSQFLASEIPTCCVSMSSFYNSDITPCLSCACGCRNNENCVTEFLQHISNNSPISRVVGSTSPHTEGNAPLKRCTHHMCPVRVHWHVKRNYKMYWHVRITITNFNFNFNYTKWTLVAQHPNLNNIAKVDDFNYKPLLLFEPINDTGMFYGVEELDNDHLLEAASVHSEMILQKNRTTFSLNRGWAFPHKVYFNGDECIMPLPVSYPSLPNSVLPLLYVGRMAIIIQVLIATFHQFI >cds-PLY69280.1 pep primary_assembly:Lsat_Salinas_v7:7:131088137:131090089:-1 gene:gene-LSAT_7X77981 transcript:rna-gnl|WGS:NBSK|LSAT_7X77981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRDRAVTLSKTKKKGREHKENIVNSIREAVEKYNSIYVFSFENMRNLKFKQFREQLKSTSRFFLGSNKVMQVALGRSDSDEIRTGLHKVSKLLHGDSGLCVTNMPKEEAQRIFNEYEDYDFARTGSIATETVELKEGPLDQFTHEMEPFLRKQGMPVRLNKGIVELVGDFAVCEEGKPISPESSRILRLMGVKMATFKLKLICRWSPEDFEVYEEGLEGSDIEST >cds-PLY89714.1 pep primary_assembly:Lsat_Salinas_v7:7:42330841:42332725:1 gene:gene-LSAT_7X31141 transcript:rna-gnl|WGS:NBSK|LSAT_7X31141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor 6 [Source:Projected from Arabidopsis thaliana (AT3G12490) UniProtKB/Swiss-Prot;Acc:Q8H0X6] MATLGGIKDSPASNSAEIDGLARFAVDEHNKKENKMLELARVVKVQEQVVSGTLHHLTLEVVDVGEKKLYLAKIWVKPWLNFKELQEFTHIGDATTTSPNLDVQKDDESMTIHGDFQDAASHALKTLQQRSNSLFPYELQEVVHVKAETVDGTAKYDLVLKVKRSDKEEKFKANVHKDKDGNFHVNNMVQDHS >cds-PLY99419.1 pep primary_assembly:Lsat_Salinas_v7:4:102320941:102324465:1 gene:gene-LSAT_4X66761 transcript:rna-gnl|WGS:NBSK|LSAT_4X66761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PYR4 [Source:Projected from Arabidopsis thaliana (AT4G22930) UniProtKB/TrEMBL;Acc:A0A178V399] MELSIAQPDDWHLHLRDGELLQTVVSHSAKQFGRAIIMPNLKPPVTTTTAAVAYYDSILKALPDNTDFTPLMTLYLTDKTTPQEIKLARESGVVYAVKLYPAGATTNSQDGVTDLFGKCVPALEEMINQNIPLLVHGEVTDPKVDIFDRERVFIETVLKPLIQKFPKLKVVMEHVTTMDAIKFVESCEEGSVAATVTPQHLLLNRNSLFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGNKRFFLGTDSAPHERRTKESSCGCAGVFNAPVALSIYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNTRKITLKKTPWKVPESYSYASGSIVPMSAGESLEWLPTFP >cds-PLY61756.1 pep primary_assembly:Lsat_Salinas_v7:5:302851154:302855367:1 gene:gene-LSAT_5X163841 transcript:rna-gnl|WGS:NBSK|LSAT_5X163841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQTDKKSTTNFQPKRSVRSQIRENKSNQPPRTKNTDAKPLPVKQPDTNMESEEASQNPKQNIINLEKERDESDSETVTDSVSTTSGDEKLETIPVVSQKPPENKIISSSTKRAPSSNGIKDTKGGVKVHPKLSSAPSSVSSEGIDDQTCEEPKEVNETKENLDEKIEEMEMRIEKLEEELREVAALEISLYSVVPEHGSSAHKVHTPARRLSRLYIHAAKHYSQGKRSTIAKNTASGLVLIAKSCGNDVPRLTFWWSNAVVLREIITQAFGSSCQSSYKKEGSSSTPSPLAWKANSGSKEINKPDFMDFIDDWEETRSFTSALEKVESWIFSRIVESIWWQTLTPNMQSLAADTNRNKCNGNLGNQQQGNFSINLWRIAFHDAFKRLCPVRAGGHECGCLPVLARMVMEQCVARLDVAMFNAILRESIHEIPTDPVSDPIVEPRVLPIPAGDLNFGSGAQLKNAVGNWSRWLSDWFGMDTEDEHGEDDGELKCFHLLNALSDLLMLPKDMLMDRSVRTEVCPSISLPLLKRILCNFTPDEFCPDSVPGAVLEAVNAESIIERRLSGDDSSSFPYAAAPVKYKPPSTTAISQKVSEAGGKSQLSRNASVVQRKGYTSDEELQELDSPLSSIIDKLPSSQTNGNGQHTNQTSCVAVNSRYELLREVWLS >cds-PLY92370.1 pep primary_assembly:Lsat_Salinas_v7:3:123439540:123440194:-1 gene:gene-LSAT_3X85660 transcript:rna-gnl|WGS:NBSK|LSAT_3X85660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHPRKSPCEGLGWGFLFDCIERPNELGFPYWSRSFRGKRIVYDEEDELQENDSEFLQSGTVQYQTRDISSKEQGVFRISQFIWDPVDPLFFLFKANPFVSVFSHRELFADEEMSKGLLTPQKNRPTSLELIGNQIDLWMESVIGENQYTSNVKSGSTRTEIKHWVELFFGVKVIAMNSHLLRGKARRMGPIMG >cds-PLY99158.1 pep primary_assembly:Lsat_Salinas_v7:8:113821400:113822044:1 gene:gene-LSAT_8X75321 transcript:rna-gnl|WGS:NBSK|LSAT_8X75321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAMKETAANIAASALSGMEKTKAVLEEKVEKISTSDPIEKDMATLRKEDRIRLAELRKQEAFSQNAAAASGGPNSPTFTAAGHVNTEKNPFQKPSTGGVKNTDKPVAVQQSHGALEKAMPAVQPAMST >cds-PLY90213.1 pep primary_assembly:Lsat_Salinas_v7:9:20639282:20640858:-1 gene:gene-LSAT_9X18940 transcript:rna-gnl|WGS:NBSK|LSAT_9X18940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITVAIPNSPVFSPSPRVSSSVYCKSSPESLSLTHSPSISFSSPSFKCRYQKPLPPASGFVRDSSEGGPTQPTFCSSSPTLSKRKRPAKLAIPVASLSFSDRITPPLEAVEDGWEEVEVDGDGYSVYCKKGKRDAMEDRFKAVVEFNGQHNQAFFGVFDGHGGSKAAEFAAENLDKNILNEVEKMGETEIIEAIKQGYMNTDSQFLKQDHRGGSCCVTAIIRDSNLVVSNAGDCRAVLSSRGFATPLTSDHRPSRPDEKLRIESLGGYVDCNHGVPRVLGSLAVSRGIGDRSLKQWITAEPESEIFKIVPEFEFLIMASDGLWDKVSNQEAVDLARPFCVSNDKLERVLACKKLVELSASRGSIDDASVMIVDLGRFC >cds-PLY96094.1 pep primary_assembly:Lsat_Salinas_v7:3:101728644:101730642:-1 gene:gene-LSAT_3X75380 transcript:rna-gnl|WGS:NBSK|LSAT_3X75380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTHINTFSLIFLTLLFSPLTSDACHPIDHQALLDFKHKITSDPSKLLQSWTPETDCCKSWDGIACDSAGRVINVSRSGLVSGDESILDTSMSGTLSPSLSNLTFLQLLDLSELKDLTGGIPPEFGKLSRLTHLFLEANQLSGSVPVTFRFLFRLKKLYLSDNRLSGGIPPEIFRSFKSLSELGLSGNQFSGEIPSSIGEMVSITQLNFHENNFTGIIPETIGKLKHLKNLDLSNNQITGNIPESLGSLEKLELLYLNQNQLSGSIPSSIDGLVSIQFIRMSENQLTGVIPPSIGKLTKIQRLVCDNNKLTGKLPATIGHLISLTDIYFSGNRLTGSIPASFGNLKNLQALDLSRNMLSGPIPPQLAKLQNLQTLDLSFNPLKLITLPNWFSKLKVFRLKMANTGIQGPLPGFLSSASTISELDLSSNSLTDELPHWIGNMTNLSNLNLSNNRFTNSIPAEFKNLSLLMDLDLHSNNFSGDINRIFKKNVRDPLGHFNTIDLSYNSFSGPINVNGDEVAMDEVVSLVLSHNPIGGMIPEWLSKGEGLERILISSNKLIGKIPKELLNLKKLKDFDVSENRLIGEIPGHIASIPASAFLGNPGLCGTPLPPCKHSF >cds-PLY94398.1 pep primary_assembly:Lsat_Salinas_v7:6:9103364:9106368:1 gene:gene-LSAT_6X6481 transcript:rna-gnl|WGS:NBSK|LSAT_6X6481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNRRYLASSDDEEDDAPPQPSPKEPEMRSSQRNRKRMKFYEDDDDEEEEAAIKQVANSKRDKKKPKDDEEDEKEVSPPPEDDEEEEVPVEDAKPIGDVVRVSGKGKTRRNHYKSFEFDGLSYELEDPVLLVPDPEGPNKKPYVAIIKDITETKDGSVMVTGQWFYRPEEAEKKNGGNWLSSDTRELFYSFHRDEVPAESVMHKCVVHFIPANKQIPSRKLHPGFIVQKVYDTIFKRLFKLTDKDYEDNMQHEIDLLVQKTMSRLGDLPDIKLEDHDTEEDQLKSKRLLRRKNMNMTPIDVSRDEETTTNRSSGPLSRSETPGSCTSNPSEYYNILAKNNAVTSDHHRDRWLEKLLESVQYVCSNVSEDKNDKVTGSNSKPLWPVDAVVAVASLEKASHESLSSDYQKYNQKMRQLWFNLKKNAQLARRLLKGELEASKILNMSPNELKEGLTAEEIASKEPEEDAQVQMTDARCKRCTEKKVRLIEIISAGHADRYQLECTACGNMWYASRDEASSLTIDGPSSAKTVGSAPWATAKFEDVEKKLVSPRDHHPPPPPPTAASSDGGVKKVNEPEKQRSFNKSKVEDQDNLPPPPPSDHHVD >cds-PLY65689.1 pep primary_assembly:Lsat_Salinas_v7:5:270423083:270425949:-1 gene:gene-LSAT_5X140921 transcript:rna-gnl|WGS:NBSK|LSAT_5X140921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNRSLSTSCGIAEDDGNCCIFLLESVFFGSPSDHCTDELEMDTEDLAVIFYPDHMVYGDSYCTDCDLTFTSSCIKIEGSGSTLDGDDKILKLQGDVQDLVHIKSHWYELYAGTAWDELKHIRQAVGFLVVHQKPKKTLNEITNELCPVLNMQQLYRISTMYWDDKYGTHSVSSEVISNMRVMMKVDSNNAVSSSFFLDDNSSIPFFVDDISKSMQQVDIGEIEPPPLSCSLIAM >cds-PLY92825.1 pep primary_assembly:Lsat_Salinas_v7:2:2327677:2327952:1 gene:gene-LSAT_2X1381 transcript:rna-gnl|WGS:NBSK|LSAT_2X1381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAITEGGRSSGNGAVMGYGSGPSDDGATTRGGGRPPDDGVGSRESGRPPDNGTKMVTGRRRMVLLQEMVAGSQRMALLECWLLPMTPWGV >cds-PLY98979.1 pep primary_assembly:Lsat_Salinas_v7:7:51898713:51900996:-1 gene:gene-LSAT_7X37761 transcript:rna-gnl|WGS:NBSK|LSAT_7X37761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPFHLAMQLQLSLLLVSILEVVMFPFLLVDSTLIEVGAGINLSSLSIVDGRVCCDLYIDGLAINIDGNILDGVLSLASSFGSNGRSLIVSSFETPCPQNPLGKSNLPGKLLFWVHPPILGLWVVRLVVIALFAALSLANIDSTWLRTTNSSSSRLISSVQSESRHTNQLCSINHCDANSLLNEVSCGNRSITMRSRNNVSLSNVLGKTS >cds-PLY66894.1 pep primary_assembly:Lsat_Salinas_v7:7:20984987:20996231:1 gene:gene-LSAT_7X17581 transcript:rna-gnl|WGS:NBSK|LSAT_7X17581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPLTMELEFFITSWLLLFVFLFSTFLLFRGKKYPDRFPPGSLGLPVIGQSLSLLKALKADKVDKWFQEGITKHGPIWKTNLFGYPTVVLHGPAANKFIYTCDGNILNNSQPPSLSRIFGSKNLSELTGNDHKRVRAALVSFLKLEVLKQYVTKVDAEIQHNLQTHWHGKQDVQAQPVIKTLTFNVICSLLFGIERGPRREKMIPLFQDMIEGVLAIPVNFPFTKFNRGIVARRKLLPMIIDLIHERRETLQEQKQEAINHHKDLISSLLSIHDDDGSPTMSDEEIIDNIIVVMVAGYDTTSSLLTFLVRLLANNESVYSNVVREQEEIAKSKAVGEALTWEDLTKMKYSWRVASEMLRINPPINLSFRRATQDIEYGGYTILKGWQVLLSQSMTHMNNDIFKDPTTFDPTRFEKHAPQPPPFSFVAFGAGPRMCPGVELAKMETLAMMHRLVTQFTWEILKKDESFKRNPMPKFDQGLWEAPKEMRESPSCCTSLEGKPVEWCLSDGSGQHRGCLTGCKKYPDRLPPGSLGLPVIGQSLDLLKALKADKVDKWFQEGITKHGPIWKASLFGYPTVVLHGPTANKFIYTCDGNILTNSQPPSISRIMGSNNLFELAGHDHKRVRAAVALFLKLEVLKQYVMKVDEEIQHHLQMHWHGNHEIQVQPLIKTLTFNVICSLLFGIERGPRRDKLLPHFQDMIEGILAIPINLPFTQFNRGILARKNLVPMLIDLIREKREALEEQKQHANPHKDLITSLLSIRNDDGSTTMSDEEIIDNIILVMIAGYDTTSILLTFLVRLLANNESVYSTIVREQEEIAKSKAPGEALTWEDLTKMKYTWRVASEMLRINSPVILSFRRATQDIEYGGFIIPKGWQVVLSSSMTHMNNDIFENPTMFDPTRFEKHSPQPPPFSFVPFGGGPRMCPGIELAKMETLVMMHRLVTQFTWELIKKDESFKRNPMPEFDQGLFVRITPIKSNIYVI >cds-PLY72456.1 pep primary_assembly:Lsat_Salinas_v7:2:143268299:143270425:1 gene:gene-LSAT_2X70980 transcript:rna-gnl|WGS:NBSK|LSAT_2X70980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQMRFLLIHCRTYCSNAVISENLHIARYGRLGQIHNARQVFDGLREKNIVSWNSMVACYFQNNQPNEARNLFEQMPERSTVSWNGLISGYVKNRMVNEAREVFDKMPHRNVISWTAMIRGYVQEGLVSEAETLFLKMPERNVVSYTVMFGGLIQDNQIDKARELFNTMPVKDVVAWTSMVGGYCQTGRLAEARQLFDEMPEKNVFSWTTMISGYVQNNHVDVARKLFEVMPIKNEVSWTAMLNGYTQSGRMNEAMELFNAMPYKSVVSCNAMILGFSQNGDVDKARNIFNQTIEKDNATWSTMIKTYERHGFELEALRLFTSMQTQGVKPNFPSLISILSVCSNLANLDHGRQIHSQLVKNLCDTDVHVNSVLITMYVKCGNLVKAKIVFDKSPNKDIVMWNSMITGYSQHGLGEESLKLFDKLCSLSISPDDVTFIGVLSSCSYTGNINKGLEIFNSMKLKYSIDPKTEHYACMVDLLGRNGKLDEAMSLIKNMPMDPDAIIWGSLMGSCRIHMNLEMAEIAAKKVIELEPTNSGPYILLSNIYASKKKWCEVADVRKRMRLEKVKKSPGCSWIVIGKEVHMFRGGESENSSHVEYDLIIQMLEYLMGLIREAGYCPDGRFVLHDVDEEEKVHSLGYHSEKLAVAYGVLKVPKGIVIRVMKNLRVCGDCHSAIKLISKVVNREIVLRDANRFHHFKDGVCSCRDYW >cds-PLY85153.1 pep primary_assembly:Lsat_Salinas_v7:9:148155049:148155414:-1 gene:gene-LSAT_0X41881 transcript:rna-gnl|WGS:NBSK|LSAT_0X41881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKRNKPTFAGGSSKQWKDTIETEDTASSKPKEEEIEEIELGNIEIMQEILSYRNRKGVWPWENPYDLQRFCFPYIHVGIGNEGGWLKKIEEMKNKFNDESAPMENVDKKEFKLWKKIWGN >cds-PLY73325.1 pep primary_assembly:Lsat_Salinas_v7:8:260317707:260320954:1 gene:gene-LSAT_8X150800 transcript:rna-gnl|WGS:NBSK|LSAT_8X150800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFILVVLLLNPTQTFQVLSEYLQLLDSLPVLSAIQEMSRGVEIWLGRTLQKLDSLLLGRMLNTIGEDVEDNPMAVEVDKSDISGLTWMKVPWTNQLAVRIKDGLKYKFIGFRDQVAFHGDSCMYLYYISNIFFLIIVFYFIILQDVISLTNFIQNSCGLTTEKKQLSISGKNWGEVDLNGNMLSFLVGSKQAFEVSIADVSQTQLQGKNDVILEFHVDDTTGANENPGQLKVHPGGILWKKQGGGKAVEVDKSDISGLTWMKVPRTNQLAVRIKDGLKYKFTGFRDQDVISLTNFFQNSCGLTTEEKQPMTFSDDITTILSSINLNGSIQPMTFSDDITTI >cds-PLY72460.1 pep primary_assembly:Lsat_Salinas_v7:2:138971737:138974940:-1 gene:gene-LSAT_2X66100 transcript:rna-gnl|WGS:NBSK|LSAT_2X66100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSMVKLPSSSDNNNSRDDGHEQLDFLHSTTVAGANLSLSPDAFLGAAAALKELVVEGTWTEAYGGGGEIVDPTVYTGLLGTAITCLRFYEATGSREDLQLSARIVSACAATVANTSTSYTTFLCGKGGIYALGAVIANHCGDVNKRNFYLAEFLELAQERALPVGPKEGGFGMSYDLLHGRAGFLWAALFINKHIGPETISSNLLSPIVEAVIAGGRAGASDHALCPLMYRWHGTRYWGAAHGLAGILHVLLHFPLSEDDTEDVKKTLRYMMSKRFPQGNYPSSEGNPRGNLVQWSHGAGGVAITLCKAAQVFPQDREFRDAAIEAGEVVWKSGLLEKAGLADGASGNAYVFLSLFRLTGDVIYEDRAKAFGGFLYGNIGKLKTNANSHGHGFSLFQGLAGAACLWSDLYRPEDSRFPGFEI >cds-PLY89217.1 pep primary_assembly:Lsat_Salinas_v7:5:310656380:310657036:1 gene:gene-LSAT_5X168340 transcript:rna-gnl|WGS:NBSK|LSAT_5X168340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEGHMNGMTDMSPPPIAATGASPKMAGDMMHHHPMMHMAFFWGKNGDILFPGWPGTNSGMYAFVLIFVFFLAFLVEFLSHSNFARKGSGPLAVGLVQTLVHTLRAGLAYMVMLAVMSFNGGVFLMAVAGHSLGFLVFGTWIFKKPQPPLTGDKNSDISPMICA >cds-PLY98243.1 pep primary_assembly:Lsat_Salinas_v7:7:168934421:168934711:1 gene:gene-LSAT_7X101361 transcript:rna-gnl|WGS:NBSK|LSAT_7X101361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRRREPVKVVIINTKYIETDAMSFKSVVQRLTGKDTPSPSPNNSLSHAGGGGGCGGRSGVKSPMLKKGMLFRDLDNLLLELPSMDDIYRFCSE >cds-PLY78008.1 pep primary_assembly:Lsat_Salinas_v7:9:44441989:44445559:1 gene:gene-LSAT_9X39661 transcript:rna-gnl|WGS:NBSK|LSAT_9X39661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLSESFENPRGQDYGHGDLEMGTQNNSNSAELGLNDFFKKVQSIEKQYEKLNKLLKTLQDAHEESKAVTKAAAMKAIKQRMEKDVDEVGKIARFIKSKIEELDKENLANRQKPGCGKGTGVDRSRTATTLSLKKKFKDKMSEFQGLRENIHQEHREVVERRVYTVTGTRADEETIDQLIETGDSEQIFQKAIREQGRGQVLDTLAEIQERHDAVRELEKKLLDLQQIFMDMAVLVDAQGEMLDNIETQVSSAVDHVQDGNKALYKAKSLQKNSRKWMCIAILILLVIIAVVVVGVLKPWKNGNGA >cds-PLY72609.1 pep primary_assembly:Lsat_Salinas_v7:5:44553138:44555122:1 gene:gene-LSAT_5X21161 transcript:rna-gnl|WGS:NBSK|LSAT_5X21161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEIDTDHIKSVRASLSHFGEKCGQIRSRSSSDDESEKVKDLEVVLQDLANCKVQLEAMDSAHKQALLNKDHHEKTVEELSMLLKTSEFEKDIYINECKEAKIRVSELHSKIQIMADELSDLKSTRDQLLTVEKQLVAATDDKLEAIKHTNALNDTILSLQASSMEAESAKIKAEKHMELLESELTQLKLEIRGELDTVKTDLEEMCNKENEAQVEIALLKAELHKGRSKTASAEASELRAKGEKSAAYFALQEMAIENQELKKENESLQSELPNSDHEITISLEEYEMLVKKAEEAKLEPEMENLKKDLESAMARVSEFRTRAEQAATRAEVAEEAKAAVEEQIKSLKEQKQRRRAAMAALRAESMSKSSRSFEYHDDIDNPKTYMPLGTFLKMKF >cds-PLY77290.1 pep primary_assembly:Lsat_Salinas_v7:3:213748027:213760912:-1 gene:gene-LSAT_3X125500 transcript:rna-gnl|WGS:NBSK|LSAT_3X125500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLTATRKQRFEKITKDLRVTRAFSTIMEEMKVIGTKTPKKGDGSNDAFASTEMNGPEAHGVRSPVILFMGGGMGAGKSTVLKEILKEGFWSEAATNAVVVEADAFKERDVIYKALNLKGHHNDMLPTAELVHQMSTDAASSVLVTALNDGRDVIMDGTLAWEPFFEQTVAMVRDIHNHRYRMGIGYKVSEDGTINENYWEKVKDDDEEEEFIPKNPYRIELVGVVCDPFLAVTRGIRRAISVKRAVRVNSQLKSHKRFANAFPKYCHFVDSAKLYCTNGIGAPPKPIEWKGVDCNLMEDDPDQIQCLKCLNILKDINDEADSINELYADPKMLTNPDSVWNKLVMIPKRTNLQRDLKIVIERIEMSKSWI >cds-PLY75651.1 pep primary_assembly:Lsat_Salinas_v7:1:99600494:99601809:-1 gene:gene-LSAT_1X81180 transcript:rna-gnl|WGS:NBSK|LSAT_1X81180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVFDDQFSKKFAKNGAFGRNGFIRIIIQVLTFHKGPILKFYLHMPNIVLDSFQEVDQFMLLLSRNGVTDLVLTNSNQRYKLPSHVYSCLQLRKLDLENCFFKPPLEFEGFLNLEELFFENIDFAARLCGAKVNLPQLKKLCPETCKKVYNFNIKAIKLWNLTVIACHDAMLLWLLDSPCLFDVVIALQKPIQNFVRVEEMNLATVLSSLPKVRKFCIDSHYLKFLSTEEIPKLLPCELGSLRHLWLLDFELGDLDQLHGALCLIRNSPNLESLHMHMLRVQEAQVDVGPASDHLESPNCLDCTLNHLETVDMEYLEGSRAELLFMKLLLAYSPFLEDITITPRGVLDALKILDIAKDVMLFPRASSKVKIMLLNPER >cds-PLY87974.1 pep primary_assembly:Lsat_Salinas_v7:6:171496345:171496701:-1 gene:gene-LSAT_6X105580 transcript:rna-gnl|WGS:NBSK|LSAT_6X105580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQQRECVSLSSDSQTAGEMEKERKRKQCSNTAKRDNTKTCRRDFVDRSRDRSSPGFDEAKKEGGEKQRGCLRPLLALRCEGGKARWGKSVSEEGKCRPPVSDQTEEGGGVRWFSIHR >cds-PLY81977.1 pep primary_assembly:Lsat_Salinas_v7:9:152922991:152925099:-1 gene:gene-LSAT_9X97040 transcript:rna-gnl|WGS:NBSK|LSAT_9X97040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKDRGDTSDDDRVLKGASSKKEHHHHHKSKRKSEDLPDPESNVSSGSDRGSKDSGRRSSKRKRNRRSRTKSRREYSDDEDDDRSDSDTDSESESESESGSSVYSSEDESESEEERRRRKRKERKRREEKEKIRRREKEKKKRRKERDEDKSKKKKKKKDKKKEKVKKGAVTDSWGKYGIIRETDMWNKRPEFTAWLAEVKQANLESLPTWEEKQMFKQFMEDHNTATFPHKKYYSLDAYHRRKIEKAIKKGSTKATKSERVVFDDEEQRRLELQREREKQKEAEVEALKRSMQSGMAQAMKEQAILREEMNYLFKIGNVEAASAIQRRLDPDLPM >cds-PLY65029.1 pep primary_assembly:Lsat_Salinas_v7:1:119569845:119571419:-1 gene:gene-LSAT_1X92020 transcript:rna-gnl|WGS:NBSK|LSAT_1X92020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSGLTVGQTALALRLLLATFSSKISSNINRPFGDEEIKKYGKEVPLGTMLKILKAKGTKERKDAVQNESTPAAHQVIVGIEESIRNSRDQLMIFLLLRNLGSAMANVVIDAMIVEASRLEKAKFVGDLQSVSWMAMAAGGICGSLLGGYALTNFQMETIFLLFAVLPTLQLFSCAFVKETPIPKHSNSKSNGLNGSMSISISDADKSSKHSNSTDFHMCFCEGAFLMSNYCLDI >cds-PLY79945.1 pep primary_assembly:Lsat_Salinas_v7:3:109196606:109198442:-1 gene:gene-LSAT_3X79761 transcript:rna-gnl|WGS:NBSK|LSAT_3X79761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABA DEFICIENT 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67080) UniProtKB/Swiss-Prot;Acc:Q8LFP9] MRGSGAIIIPNESFNLRQKNSKLQASCFASMQLASDAFTLGTAAVLPFYTLMIAAPKSELTKKCMRSSIPYVVLGVLYSYLLYLSWTPDTFRLMFASKYWLPELPGVAKMFSNEMTLASAWIHLLAVDLYAARQVYQDGLENEIETRHSVSLCLLFCPIGILVHAITKALISTFRESKSEIH >cds-PLY91949.1 pep primary_assembly:Lsat_Salinas_v7:8:200815878:200816205:-1 gene:gene-LSAT_8X127920 transcript:rna-gnl|WGS:NBSK|LSAT_8X127920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTSMTPLDVIEPVFRVLSVSASDTIDIEALISSADNTPLDTPSEGILVTSATPYGSNIMKFDCIPLKNQDPPPTLMSLSSLS >cds-PLY89321.1 pep primary_assembly:Lsat_Salinas_v7:2:49612985:49613784:1 gene:gene-LSAT_2X21820 transcript:rna-gnl|WGS:NBSK|LSAT_2X21820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLIPPLTSLPSLNLDSHTIPFPSQYTPTSRNPSPDISPPAGATMSVVIAALHISGDTLHTSGASLQHLLRRSRTTEVPSEVANHHGCGSVRFSSNGAMEPVRYMNMGLWLLLRVIWFLDSVPWKSRLWLGYDLHVLVIVFDNGGDRINPEEVMGPVVINVTINIYHRGNKTL >cds-PLY62464.1 pep primary_assembly:Lsat_Salinas_v7:1:82210917:82211729:-1 gene:gene-LSAT_1X71101 transcript:rna-gnl|WGS:NBSK|LSAT_1X71101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKNHHRPRIFCHIDFDDDNEEEDRHQSYNFWEGGDSDLDREFESIMRRRGRSSATILHLLQGIQFGSENNTSQERDRDHDKERVILINPFNQTIVVQGSGGGTHPIGSLVGNEAKEMPCKHKFHSECILPWLELHSSCPVCRFELPSDETRPGQEANRSGDSEDRDERNLRRLSLPWPFSTLFGPTNVPQPASMPSPSSSGSSPAHGEDEEQ >cds-PLY88777.1 pep primary_assembly:Lsat_Salinas_v7:4:166601641:166603370:1 gene:gene-LSAT_4X98261 transcript:rna-gnl|WGS:NBSK|LSAT_4X98261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKASRWFKGLLGMKDKENVNNLNSGDRKDKKRWSFGKPLKESQFPAPVPAKMSKFNQSSATGSDATWLRSYMSETEKEQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTNQSRGSLYAGGKEKRAAVMIQKVFRSHLARKAFRALKGLVKLQALVRGFLVRKRAAATLHSMQALIRAQAAIRSERARRSFTKDHELRHRKSIGRFEIERNEFHSKRHSAYESSTNCYDGSPKIVEIDTYRPHTRSRRINTCTSDSGEESQFHSNNISSPLPCSNPACISIPEFHNLQDLEWGFAGDEYKFSNTAQSTPRFRSNAPATPAKSVCGDGFFRPYSNHPSYMASTQSSKAKLRSHSAPKQRPESGPKKRLSLNEIVASRYSLSGLKMQKSCYQVQEAFDL >cds-PLY85173.1 pep primary_assembly:Lsat_Salinas_v7:9:147640112:147640495:1 gene:gene-LSAT_0X7260 transcript:rna-gnl|WGS:NBSK|LSAT_0X7260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAGPQYSDESSASNDEEIKDTATNKETIKFVMLGNINVMEIILAYKERAGVFPFDSPVYFERFCYPYVRRGIGNTEGWTQKVREIKNKFNSKSAPKGDVEKKEFKLWKKIWGNEQKGNDPGEGSSK >cds-PLY70807.1 pep primary_assembly:Lsat_Salinas_v7:4:59562644:59563429:1 gene:gene-LSAT_4X39860 transcript:rna-gnl|WGS:NBSK|LSAT_4X39860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAAWTARGKMAHMFVVRCGRGNAPIQKRQSLCVVSSSDEETESDDVGLCPRKAYMIVFVAMLLGGIRGILGCQFSVSEQEVIVVVPSSPEASPSPFAGSPLVNLGSDSMSGDASSSPGGSFQCEKPSLVDEIRTSSHS >cds-PLY81729.1 pep primary_assembly:Lsat_Salinas_v7:3:29994224:29995921:-1 gene:gene-LSAT_3X23440 transcript:rna-gnl|WGS:NBSK|LSAT_3X23440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLPQKRKNPEPKPKPGGGLAESDGMVLDAIKSKKEMAILKRDLKEETKLPESIFNKCLKNLQALGLIKEVPHVQFKTKKYYIAAEFNPSEEITGGSWYSKGELDQDFINGLKDLCLRIIRKLKVATADGVMDIIKNKGLLKTDCTTQQISEILRYMVLDNKIIEVKSTGLGEYHSIPIGNLCYRCSSDD >cds-PLY77045.1 pep primary_assembly:Lsat_Salinas_v7:8:152211510:152215561:1 gene:gene-LSAT_8X101881 transcript:rna-gnl|WGS:NBSK|LSAT_8X101881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVEITEFLLSAQSPDAKVRTEAEVRLRQFQEQNLPGFLLSLSLELSNDNKPLESRRLAGIVLKNSLDAKDATTKEHLLQRWVSNDISFRSQIKRLLLNTLASSVSEAGHTAAQVIAKIASIEIPRKEWPELISSLLGNMTQQDKPSSLKQATLESLGYVCEEISHNDLVQDEVNSVLTAVVQGMNVTEQSSVRLAAATALYNALDFAQTNFENEMERNYIMKVVCETAMAKEPDVRKAAFECLVSIASTYYEVLEPYMQTLFELTANAVKGDEEDVALQAIEFWSSICDEEIELQDFETNETGDSYPHSRFIEKALSLLVPMLLETLLKQDEDQDQEDGIWNLSMAGGTCLGLVARTVGDSIVPLVMPFVQDNISKPDWRAREAATYAFGSILEGPSGEKLSPMVLSALDFLLNAMGDQNSHVKDTTAWTLSRIFELLHSPATGSSVITPVNLERIIRVLLESIKDSPHVAEKVCGAIYYLSQGYEGFESGSSVLTPYLADIITSLIATAERTDANDSKLRSAAYETLNEVVRCSNLKETSQIITQLLPVVMSKLGQTIDLQILSSDDREKQGDLQALLCGVLQVIIQKLSSIDETKQIIFQAADQIMVLFLKVFACRSSTVHEEAMLAIGALAYATGENFIKYMPEFYKYLEMGLQNFEEYQVCTISVGVVGDICRALDDKMLPFCDNIMSLLLKDLSSGDLHRSVKPPIFSCFGDVALAIGEHFEKYVPYAMPMMKGAAEVCAQIDVNDEEMVEYGNQLKRSIFEAYSGILQGFKNSKAELMLPHAPHLLKFIEVVVKDAHRDESVVRAAVAVLGDLADALGPHVKALFKDLSFCSELLVECLQSEDEQLKETATWTQGMIGRVFSVCG >cds-PLY78778.1 pep primary_assembly:Lsat_Salinas_v7:8:60336180:60336776:-1 gene:gene-LSAT_8X43541 transcript:rna-gnl|WGS:NBSK|LSAT_8X43541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVLKQPPTHMDATTRKSWRCCEVERMPKKFTEKHGKDLLERVILKVPNGDVWRVDLQKSGDEIWLKNGWWEFAEHYSLKYGHLLMFKYERFSIFGVVIFDTSATEIVYPPYEERSTRKLYKRGGKKQSTRGQTY >cds-PLY62765.1 pep primary_assembly:Lsat_Salinas_v7:5:309182239:309182466:1 gene:gene-LSAT_5X167600 transcript:rna-gnl|WGS:NBSK|LSAT_5X167600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVHDVWRPPNWDTSNRRSVRAVLGDTRKENQDGVWAHSSTTKGRATDCGGKTGASSRVNLGVRASTIGKRELVQ >cds-PLY88592.1 pep primary_assembly:Lsat_Salinas_v7:5:131607177:131626546:1 gene:gene-LSAT_5X56181 transcript:rna-gnl|WGS:NBSK|LSAT_5X56181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSTRKSPAANFLMTPPPLVAPVNRSPSESPEIIKSSSSCFTTPTNSTSSLKEDRRSNDNNRKMHAAEPRNATSPMQSLSSLSKTGNSISDLKKMTSSRINSIKRQIDRSYSDILKDMEVSHSRLQKRYKVAPKRPKQQQQQWLKRTRAVEKSHYLIKAKVAQEGGLANLVVICDPEGHIRVVMISKLEGDALNKSLSGGGGPDNMGLDICL >cds-PLY70894.1 pep primary_assembly:Lsat_Salinas_v7:9:14740137:14742349:-1 gene:gene-LSAT_9X12601 transcript:rna-gnl|WGS:NBSK|LSAT_9X12601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYSFVARGTVILSEFTEFTGNFTNIAAQCLQKLPATNNKFTYACDGHTFNYLVEDGFTYCVVAIEAVGRQIPMAFLERIKDEFTKKYSGGKAATAVANSLNKEFGPKLKEQMQYCVDHPEEISKLSKVKAQVSEVKGVMMDNIEKVLDRGEKIELLVDKTESLHSQAQGFRTQGTQLRRKMWLKNMKIKLIVLAIIIALVLIILFSACHGVKCFK >cds-PLY70247.1 pep primary_assembly:Lsat_Salinas_v7:9:1634344:1634649:-1 gene:gene-LSAT_9X4040 transcript:rna-gnl|WGS:NBSK|LSAT_9X4040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSTALLASSTTLATTITVVYDHHSNNTHPMAEHRVLHDGRRQEEKNKKIQRDGGGGTVMHNVQRRFSASPPAGKATTRRKWRDLTAGGGDCNPLPSVTD >cds-PLY80977.1 pep primary_assembly:Lsat_Salinas_v7:9:174583589:174585979:1 gene:gene-LSAT_9X106340 transcript:rna-gnl|WGS:NBSK|LSAT_9X106340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGTNEVKEEKWAKHYSSNHQILLVGEGDFSFALSLAMSFGSASNIVASSLDTYVQSFMWNARALLDPKGEIHVTHKTTYPYNYWNIEELATQCGLTLLECVDFKFEDYPGYNYQKGDDDRNPGLPFSLVKCSTFKFMVSPNAKKSPPEFPFQTEVIKLLALMNPLDLVQSAPGLISVTNSLIPDLSPLPEDLETSSSGVSTSQPPHQ >cds-PLY79447.1 pep primary_assembly:Lsat_Salinas_v7:9:100854452:100855025:-1 gene:gene-LSAT_9X74480 transcript:rna-gnl|WGS:NBSK|LSAT_9X74480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAPISTLFSSQLTEPEKNITKIDADDDDDDDMVSFSDLQFNPEEEDIFDELIMSGYNLLQEKIDVLANITTRLIEDITVFNMDYSKDMKVKVAKDDKVFET >cds-PLY90962.1 pep primary_assembly:Lsat_Salinas_v7:9:169557106:169558971:-1 gene:gene-LSAT_9X105700 transcript:rna-gnl|WGS:NBSK|LSAT_9X105700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRLFSSKALTPIELVHHVRDLLTFVNANPDIREAKRQEKARELTRLIHEMMVVLFGDETSQPHLEPCSKLTQEFFKEDILRLLVVYLPNLDPGARQDITHVVASLLRQKVHNRCIAAEYLEKNTDILDMLIPGYDDPEAAISYGAILRDCVWHQVAAKYILESNHMQMFFDFQHDPNFDIESDAAATFKELLTRHKSIVAEYLNNNYDWFFMEYNKLLESSNYITRRNAIKLLGAMLLDRSNTAVMVRYVSSLQNMRILMNLLRDSNKTIQLQAFHVFKLFPANQNKPPDIVNVLIANRSKLIRFFSDFTFDKADEQFEADKAQVVKEIATLVPSCPTCSSECDGVSC >cds-PLY97334.1 pep primary_assembly:Lsat_Salinas_v7:4:285807997:285808296:1 gene:gene-LSAT_4X145480 transcript:rna-gnl|WGS:NBSK|LSAT_4X145480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRCSSKAGIRDCPLHRPEEQWLCCFACLRRETQKVPTLNRKKDKSTICLTHAPGIPGVRTNFLLEGRLGKSIERAGSALALLPSAKLRREKKHNSPVS >cds-PLY71519.1 pep primary_assembly:Lsat_Salinas_v7:7:30381380:30384803:-1 gene:gene-LSAT_7X21860 transcript:rna-gnl|WGS:NBSK|LSAT_7X21860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIISLLGLLLVINILSLQYSYAQKSKISAVFVFGDSTVDPGNNNYISTYSRGNFPPYGKDFINHEPTGRFSNGRLVTDFIAEFLGVKKNLPPYLDPRLTIQDLMTGVSFASAGAGFDPITSQLGRALTQPQQLDLFREYKRKLQAAIGKERTDDLVRNAGYIVSSGTNDFTFNYYGPVLVRRSTYPNIADYQKFQWNLIEQFMQDLLDEGAMKIGVVGIPPIGCLPAIITINSKKPISNRECIGNLNSLARDINQMLKTNLKGLQRPGTKIVYADIYNPLIDMVNHKTKYGFEEAHKGCCGTGLIEADFGCNPTSPLCDDVLKYVFWDAFHPSEKGYQIIFNSLKSLIQDNIA >cds-PLY62924.1 pep primary_assembly:Lsat_Salinas_v7:3:144576232:144581334:-1 gene:gene-LSAT_3X94900 transcript:rna-gnl|WGS:NBSK|LSAT_3X94900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVHAINEGKIQLSTRRKTSSKIAHLVALALIVTIIPIYYPYIHYILDKPTAIPPPIDNLLNVQTTTTLSRHHPIYRSHIIPTTQKADDDPKLVVVPPEKKEHRKRGRRKRGRREAVLKRTGGDGDGGGGGNKSCDLFSGEWVENPEGPYYTNTTCWAIQEHQNCMKFGRPDQDFLKWRWKPNDCELPVLDPLQFLEMMRGKSLAFVGDSVARNHMQSLLCLLSRVAYPNDVSTSSDQNFRRWEYPDYNFNISIFWSPYLVNTDRTDRNDITKPFKLYLDEFDESWTSEIEQFDYVIISAGQWFFRPTMFYSNRFIIGCLYCPESSIRHRPSTFSYRRAWRTAFRAINSLKNFKGIVFLRSFVPSHFEGGHWDKGGDCVRTKPFKSNESVMEDYSLEMYKTQLQEYKIGEREGRKNGIKITIMDMTQVMQLRPDGHPSKYGHWPLQNVTMANDCVHWCLPGPIDAWNDFLMELIKREEIGR >cds-PLY70143.1 pep primary_assembly:Lsat_Salinas_v7:3:13128799:13133423:-1 gene:gene-LSAT_3X9480 transcript:rna-gnl|WGS:NBSK|LSAT_3X9480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATENPAQCPPPMKATSEGVFQGNNPLDYALPLVIVQICLVLVLTRVLAYLLKPLRQPRVIAEIIGGVLLGPSALGRNTDYLHAVFPKRSLPVLDTLANLGLLFFLFLVGLELDLQSLRRTGKKALCIALAGITFPFVLGIGVSFILQDTVAKGTREAPFIVFMGVAMSITAFPVLARILAELKLLTTDVGKMAMSAAAVNDVAAWILLALAVALSGSGRSPLVALWVFLCGSAFILLMSFMIPPIFKWMSRRCPEGEPVDELYVCATLGVVLAAGFVTDTIGIHALFGAFVVGVLIPKEGAFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVASIKGLQSWGLLVLVICTACFGKIAGTVGVSMWCKVPFSEALALGLLMNTKGLVELIVLNIGKDRGVLNDQTFAILVLMALVTTFITTPSVIAVYKPAKAPTKSEYKQRTIYRKGFASSQFRMFFTFHGIRNLPTIVNLIEASRGTGKKETLTVHAMHLMELTERSSAILMVHKARRNGLPFWKKDPNVDSDQIVVAFEAFQQLSKVSIRPTTAISAVSSMHEDICSGAMAKKAAMIVLPFHKHMRIDGQLGTTRAEYRHVNRMVLEHAPCSVSILVDRGFGGNSHVSASNVDSQVTVLFFGGHDDHEALAYGARMAEHPGINLVVVRFILDRDMANSGSVGVDIDEPTTIEARSMDDDVIADFKEKVMKNTTIKYEERVVGTASGAIEAIREHSRCHLILCGRMPEGELVASMRQKSECPEMGPIGNLLISPEMLSPASVLVVQQYHTQLSMHSLASLKEDEVTTDQGEYDSN >cds-PLY70913.1 pep primary_assembly:Lsat_Salinas_v7:9:16649006:16649786:1 gene:gene-LSAT_9X18000 transcript:rna-gnl|WGS:NBSK|LSAT_9X18000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFKRRNSTPLLEVVCVRESERVSLRVMKKGLYNQRAMFGIDGCDVHRATVPIFHRLHQKQVLRWFISIYYYVLYPCF >cds-PLY87831.1 pep primary_assembly:Lsat_Salinas_v7:2:66157833:66158702:1 gene:gene-LSAT_2X29521 transcript:rna-gnl|WGS:NBSK|LSAT_2X29521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQPDCTSRDVKNHMETNNISNLEMLTRACVTGWLKDVEKIKEDAQIVSSIRNGCFNLKMRYRGGRNAFKIIEEMGSLIEENSKIIWSDVQKPLGKVNSQNASTLALWDGDAQNYLKLREKSFKDAPKFLQQDHTSQVIALYGMRGVGKTTMTEHLKKAAEDKKMFDWIVKAVIGQKINMLSIQQAVAEYIGQSLIEMSITARADRLPIRYGKMFEGQKKVLVILDGFLPLAVKLIEISYTTYLKEEEKRIFLLCGLFPDDFHIPIEELTRDISPYISKQRSCILTHPM >cds-PLY63431.1 pep primary_assembly:Lsat_Salinas_v7:7:147007430:147012709:1 gene:gene-LSAT_7X87060 transcript:rna-gnl|WGS:NBSK|LSAT_7X87060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELKPGLSAFVTGGASGIGRALCIALAQKGIFVTVVDFSSERGEEVASLSQKENLKFHSGLKFPSAIFIKCDVSNKEEVAAAFEKHLEVYGSLDICINSAGIATTIPFSKDQTDGSKSWRHAINVNLVAVIDCTQRAIKIMEDAKRHGVIINLGSASGLYPMITDPIYSASKGGVVMFSRSLSTYKRKGIRVNVLCPEFIQTDMAEKVGSKFIERMGGYVSMDTLIQGAFELISDESKAGACLWITKRRGMEYWPTPNEEAKYLITPSRLKKKIFSSVVSSNIHIPQSFDKLIVHTLSSNFRSATSIVHAPLKLPIRPNHVLLKIIYAGVNASDVNFSSGSYFSGTKQEISSRLPFDAGFEGVGLIAAIGEGVKNLKVGTPAAIMTFGAYAEFAMVPSKHILPVARPDPEVVAMLTSGLTASIALEKSAQMESGKTVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKDKAKLLRDLGVDRVIDYKEESIKNVLKKEFPKGVDIVYESVGGDMFDLCFNALAVYGRMVVIGMISQYQGEDGWKPRNYTGLCEKILAKSQTLAGFFLVQYPHLWQQHLDNLVHLFSVGRLKVAIDPKSFVGVQSVADAVEYLHSGKSVGKVVVCIDPSFSQQTAKL >cds-PLY75533.1 pep primary_assembly:Lsat_Salinas_v7:9:33297160:33304170:1 gene:gene-LSAT_9X30940 transcript:rna-gnl|WGS:NBSK|LSAT_9X30940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVESYLGDEFHLPPKNPSDEALKNWRKACYLVKNRSRRFRHVADLPKRSIHRDRISKIKENLRVSFYTVRAALRFMDGAPHLENHQTPDAKASDIRNNPDNLASMVQNYSIKTLRSLGGVDGVAKAIDVSIDEGVKSTDLSIRQDTYGVNKYTEKPSKSFFMFVWEALHDLTLIILIVCAVVSIGVGLATEGFPDGIYDGLGILLSILLVVTVTAVSDYRQSLQFKDLDKEKKKISCHVTRDGYRKKVSIYDLVVGDVVHLSIGDQVPADGIFISGYSLLIDESSLTGESRAVNVDETKPFLLGGTKVQDGSAKMLIAAVGMRTEWGKLMETLSEEGETETPLQVKLNGVATIIGKIGLIFAVLTFLVLTIRFLIEKALRQEFSSWNSSDALTMLDYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSSTNICTDKTGTLTTNHMVVDKIYVSGKTKDVNDPPELPENVLTILLQCIFECTCSEVVKGTDGKTSILGTPTESAILEYGLHLGGDFGTVRSEIKLLKMEPFNSSKKRMSVITKLPGGQIRAFIKGASEIVLGMCDKLLDGSGESVVMSEEKVRFITSVINGFASNALRTLCLAYVDVEGEGEFDMKKELPNCGYTLIAVVGIKDPLRPGVKEAVETCLAAGITVRMVTGDNINTARAIARECGILTDGGLAIEGPVFRAKSDEEKRKLAPSIQVMARSSPTDKLKLVEHLRGLSEVVAVTGDGTNDAPALHESDIGFAMGIAGTEVAKEQADVIVLDDDFATIVKVAKWGRAVYINIQKFVQFQLTVNIVALMINFVSACITGSAPLTAVQLLWVNLIMDTLGALALATEPPNDGLMNRPPVKRTESFITKTMWRNIIGQSVYQMAVLFVLNFAGKSILNLDSTEILNTFIFNTFVFCQVFNEVNSRDIHKINVFRGMLSSWIFVGVMVSTVVFQVIIVEFLGTFASTVPLDWELWALSIAIGFLSMPIAIVLKCIPVEKSPVKHPKHPDGYVIIPGGPEGV >cds-PLY78427.1 pep primary_assembly:Lsat_Salinas_v7:2:167125163:167126075:1 gene:gene-LSAT_2X90361 transcript:rna-gnl|WGS:NBSK|LSAT_2X90361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKFFAVFLIALIAISMLQATVSAKGGHGGGFGPGSLTSSQCPGQCIRRCSKTQYHKPCMFFCQKCCAKCLCVPPGFYGNKQVCPCYNNWKTKEGGPKCP >cds-PLY67488.1 pep primary_assembly:Lsat_Salinas_v7:6:69443905:69444249:1 gene:gene-LSAT_6X49520 transcript:rna-gnl|WGS:NBSK|LSAT_6X49520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEIIDDRRPTNMEPSWCYNNVRIKPSNGDSKKSLAMTFAKFGEVVDVYIPTKKDVRKKYFAFVRFKKITDEYELEKALQGVKYGGRTLDVNIAMFERKPAGGSSVCGSIRNRA >cds-PLY80792.1 pep primary_assembly:Lsat_Salinas_v7:1:70484418:70486073:1 gene:gene-LSAT_1X58880 transcript:rna-gnl|WGS:NBSK|LSAT_1X58880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDSDPRNSLRCRHCAGPLTKEMETSAWTVGPLIRDSFSMIGSAVGGVSSAFYGFNLVMPIVQRRVKGPMWLHFLIGAPPVIVFSSACAGLAGGAIPALTQLAASSYHAAVSSAPPPQDDDISKSRTSSTL >cds-PLY65451.1 pep primary_assembly:Lsat_Salinas_v7:9:185380520:185382140:-1 gene:gene-LSAT_9X113821 transcript:rna-gnl|WGS:NBSK|LSAT_9X113821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENYSYNSYPESGGSSPRSREVDFENPPPWEDQSTGNNSNNSNYKVKFMCSYGGKIHPRPHDNQLAYIGGETKILAVDRSIKLTALIAKLVALCDADVCFKYQLPGEDLDALISVTNDDDLDHMMIEYDRLNRASPKPARLRLFLFPVTTQTPSITPAHSFGSTEGRSERDRFVDALNSGPVQSSTPPSALPIHGNADFLFGSEKVVPPPPVVVSKVRDLPIADPPIRLHEADVAILDDRGIGADRIQKHIQDLRRLRISEEQQQNLYRRQSDDNLTQGYTGDYYLQRTSDKVIPTTLPGSVPSPAPGYQISGGFTASTISPDQQPVYMVPPPLNMYHAPMARPVTGPIGHNPGQGQGYYAVQRMPTATEVYRDQPVYNAMQPVQSQPIFPPQQPPPPQKLPTHPEGIRMVQPTAAMTDAGYGQVAYDNGVGRHVYYPAHGNLVTQQQQQYHQTMAATSAVSNQDGKIVPPTKISQGSI >cds-PLY69633.1 pep primary_assembly:Lsat_Salinas_v7:5:111834886:111836122:1 gene:gene-LSAT_5X48961 transcript:rna-gnl|WGS:NBSK|LSAT_5X48961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIMEEFDHLKIQMEDIKSATCNFSQDRWIGGGGFGSVYKGELSLPTGPTMVAFKRLDQRSDQGNPEFWKEVMMLSKYKHENLISLLHFCIEGDERVLVYEYAPRGSLDRYLSDATLTWNQRLHICIGVARALKYLHDPMQTQQRLIHRDMKSSNILLDDNWNAKVSDFGLSKIGPANQPQTYLVSRPVGTLGYCDPLYSEMGFLSKESDVYSFGVVLFEVLCGRSCCEYSNGKLINILVQKWIKCYNENKLKDIIFQDLKGQTVWDSVMTFSAIANRCLKRDRKDRPTMHQIVNALEVALQKQGNAYVETPLFAGEDGYHSFPMPTVPYGVQRFQ >cds-PLY71977.1 pep primary_assembly:Lsat_Salinas_v7:5:121021157:121021800:-1 gene:gene-LSAT_5X53461 transcript:rna-gnl|WGS:NBSK|LSAT_5X53461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVENPGADALQGPTRRKVLVHPSTNQVVTSYEVLEGILTSMGWERCYEDPEYLQFRKTTTIHLISLPKDFNKFKSMHIYDIMVKNPNEFEVRDAQ >cds-PLY90024.1 pep primary_assembly:Lsat_Salinas_v7:5:186143133:186146209:-1 gene:gene-LSAT_5X82861 transcript:rna-gnl|WGS:NBSK|LSAT_5X82861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQVKEEEESTVVLTSPLSLDCESGNDYRTPNLLQRLLSLLKNVRPGSDLTRFTLPPIFNIPKSQLQCYGESVYCVNNDILTRCANGGSPLERFTSVVAWSISTFRPLMFGVAPYNPVLGETHHVSRGNLNVLLEQVSHHPPVSALHATNEIDNIEMTWCQYAIPKFCGTSIETKVLGKRHVKLLNKGECYVMNSPNLVIKFLPFPDVEWLGNVKIQCEETGLEAELCYKGNSFLGRKGNYRSIRGKIISSSNMKTIYEINGHWDKTVTVTDISNGKMTVIYRANDVISGLKTPIVNDVKGVWRSESGVVWGKVNEGIMNKSWDEAKVAKTAIEEKEREFAKNRKLKGENWVPKHFVLSKCRENGEWEVKPKNTKVPLAPIIVPI >cds-PLY87780.1 pep primary_assembly:Lsat_Salinas_v7:1:46492122:46493927:1 gene:gene-LSAT_1X40781 transcript:rna-gnl|WGS:NBSK|LSAT_1X40781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSSAIFATSGQMIITIILLILLESTTSHANFKLPKSDTKLLEFPLNLEYLECEFFLFGSLGRGLDQIQPNLTGGGPPPIGVKQANLSALIRDIITQFGYQEVGHVRAIKSTILGFPRPLLNLSEAAFANVMNNAFGEPLYPPFDPYANDINYLLASYVIPYVGLTGYVGANPYLLSPIAKKLVAGLLGIEAGQDAVIRSLLYERKTEKVIPYNYTVAEFTNRISELRNKLGHTGIRDEGLIVPRYLGAEGRIMGNILSGDKNSLSYSRTPRQILRIVYENGNEHYPGGFYPRGANGTIARKYLKYGAYFKE >cds-PLY64171.1 pep primary_assembly:Lsat_Salinas_v7:8:125417507:125418522:1 gene:gene-LSAT_8X87681 transcript:rna-gnl|WGS:NBSK|LSAT_8X87681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTSGEDQSKQRIHRDTVRKALMSLIKWKRKQVSDQKESISGDVDDFIYLLLTLKKIPNKDFTKSPNKIPIPHSLQTSPEFSRSCLIIDDRPKPNSQKLTVEFADKKIKSLGIPISKILKLSKLKSDYRSFESKMELLNSFDIFLADRRVIDMLPGILGKVFYKNKKKIPVPVELKRDGDWKEEIEMGFCSSLLWLSKGTCSVVKVGKFEGMDVEEIVDNVVAAVDEVVEVVPKKWVGVKSFHLKFFDSLALPIYQRKEDLIRENGITKV >cds-PLY72850.1 pep primary_assembly:Lsat_Salinas_v7:6:22043069:22048100:1 gene:gene-LSAT_6X17241 transcript:rna-gnl|WGS:NBSK|LSAT_6X17241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSATDHSNEEERFDILTKTGQKTGISKPRGAVHRDGDYHRAVHVWIFAESTQELLLQKRADCKDSWPGLWDISSAGHISAGDSSLITARRELQEELGLTLPNDAFELLFVFLQQSVTNNGNFINNEFDDVYLVTTVSPIPLEAFTLQESEVSAVKYISIEEYKQALAKEDPEYVPYSLEGQYGQLFDIIMKRYHCNVESPSLDLQKKLNHYAPISLTAELTGLTKEDKEALVLLIHAARMMDDIFHQQVLFSNPSLREWLKGNAHKSHFDKLKWSYYSVNKSPWSCLDENEAFLTTADSAIRLLPESTKPIPGWNGIEYKAAFPLKKPPGANFYPSDMDKMEFELWTKGLSGDEKQDAAGFFSVIKRHSDLHSNNKVTPSSDLYSIPYSQEYSAILSKAAELLHKAGDLTSSPSLKKLLHSKADAFLSNDYYDSDIAWMELDSKVDVTIGPYETYEDVLFGYKATFEAFIGIRDDKATAQVKLFGDHLQILEQNLPLDTIYKSSEVISAPIRVVHLVYNSGDVKGPQTVAFNLPNDERIVKDRGTSMVMLKNVSEAKFKLILQPIADVCIIKEQRELVDFDSFFTHTICHECCHGIGPHTITLPDGEKSTVRLELQELHSALEEAKADIVGLWALKFLIDKGVLPKSLVKSMYVSYLAGCFRSVRFGLEEAHGKGQALQFNWLFEKGAFVLHPDETFSVDFDKIEDAVEGLSRVILTTQGKGDKDAARQLLTKYCVMTQPLKLALKKLEMVQVPVDIIPDFPVADKLLQEKS >cds-PLY73188.1 pep primary_assembly:Lsat_Salinas_v7:4:268709872:268710650:1 gene:gene-LSAT_4X138200 transcript:rna-gnl|WGS:NBSK|LSAT_4X138200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSTELFENEMKHFISRKLLLDTYSYQQPAAAVTAPSSSSPHTEHNNFDANVVMILSVLICALICSLALNSIIRCVLRCTRLSSSESSGSQETTLVKANTGIKKKALKSFPTVSYWEGLKLTGLNKECVICLGDFSTGERVKILPKCNHGFHVRCIDKWLSSHSSCPTCRNSLLETCQNFVTGEKCSSSMSSQLQEQGPRNTTILTILPLSHEGLVRNYET >cds-PLY70847.1 pep primary_assembly:Lsat_Salinas_v7:8:116983825:116990370:1 gene:gene-LSAT_8X81241 transcript:rna-gnl|WGS:NBSK|LSAT_8X81241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAKTLEPAFQGVGQRVGTEIWRIENFQPVPLPKSNYGKFYSGDSYIVLQTTSGKGGAYNYDIHFWLGKDTSQDEAGTAAIKTVELDACLGGRAVQYRELQGHESDKFLSYFKPCIIPLEGGVASGFKEVEEEEFQTRLYTCKGKRVVRLKQVPFSRSTLNHDDVFILDTKDKIFQFNGANSNIQERAKALEVIQFLKEKYHEGTCDVAIVDDGKLQAEGDSGEFWVIFGGFAPIGKKVASEDDIIPEKTSPKLYCISEGQIKDVDGELSKSLLENNKCYLLDCGAEVFVWVGRVTQVEERKTAMQAAEEFIVSQNRPKATRVTRLIQGYETHSFKSNFDSWPSGSAPSAPEESRGKVAALLKQQGVGLKGLAKSSTATEEVPPLLEENGKIEVWRISGNAKTTVPKEDIGKFYSGDCYIVLYTYHSNEKKEDYYLCCWIGKDSIEEDQNIAARLATTMFNSLKGRPVQGRVFQGKEPPQFVAIFQPMVVLKGGLSSGYKNSIADKGLNDETYTSDGVALIQISGTSPHNNKAVQVDAVATSLNTYECFLLQSGSSLFTWHGNQSSVEQHSIAAKIAEFLKPGATVKFAKEGTENSTFWFALGGKQGYTSKKAAQESVRDPHLFSFSFHKGKFEIEEIYNFSQDDLLTEDVLILDTHAEVFIWVGQSVDSKEKQNAFEIGQKYIDLASSLDGLSPCVPLYRVTEGNEPTFFTTFFSWDSAKANAHGNSFQKKILLLFGFGGGHASASEGQDRSNGGQSGPTQRASALAALNSAFKSSPTSAKSSSASPKVPSRGSQRAAAVAALSSVLTAEKKGASDGSPVRPTRSPPSEGGSATKAEEGSDGLESNEGSEVTMETSEPAVQETNGGGEDSAPKNDENECESVDSQSTFSYEQLRAKSENPVTGIDFKRREAYLSGEEFEGVFGMAKEAFYKIPKWKQDMLKKKVDLF >cds-PLY69233.1 pep primary_assembly:Lsat_Salinas_v7:1:79744801:79745409:1 gene:gene-LSAT_1X67920 transcript:rna-gnl|WGS:NBSK|LSAT_1X67920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDDPLTEKPEADSKLLGRAQGFYGQASQEEVGLLMAMNFVFSTGKYNGSTLTILGRNPIFEKVREMPVIGGSGLFRFARGYVQASTVTSDMKTGDAVVEYNVHVMHY >cds-PLY78908.1 pep primary_assembly:Lsat_Salinas_v7:8:2642887:2645068:1 gene:gene-LSAT_8X540 transcript:rna-gnl|WGS:NBSK|LSAT_8X540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSEISSVSVVVPGSNGQQSGCGAASFTSKSKSKRISIADRRELLESFVNKYRGMNSGKFPSPTSAQKEVGGGYYSIRKMLQEMEYNFKLSSLEKLPVTKENKKQNKSEDTVIASPSQQVSNSQITMDHNLYEDAWLESVGNLDKNSKGLQPSTSKNITRDDATQSQERRRAECHEQLENDGKDESPLENDKFGFEGIDSKVEQQHEHDGNVIRNITRETSETQKDEELREKASSSSIWGNLKSLASGFINIWKKQ >cds-PLY72025.1 pep primary_assembly:Lsat_Salinas_v7:8:114837058:114839815:-1 gene:gene-LSAT_8X79460 transcript:rna-gnl|WGS:NBSK|LSAT_8X79460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTTKRSINNQTKRSTRFETRDTKVQQGKGTKTLRSKEAEANKKSPNTIAKYSSYGNSPKGLKVHPKPPSTPSSISSEGFDDQDPGESKEADILDESSNGVQTDDESETIHVEENTQHEAKEAFDLKFAQMETRIEKLEEELREVAALEVSLYSVIQEHSSSAHKVHTPAHRLSRLYIHASKRLSIARNIVSGLNMISMSCGNDVSRLTFWWSNIAVMREMITQAFGSKQSNKSDFRNLVDDWQETRTFTSELEKIESGIFSRIIESIWCQTLKPKMLTNEANAKLPGDFSINLWQNAFHDSFKRLCPVRAGGHECGCLPFLARMVMEQCVSRLDVAMFNSILHDEIPSDHATDPIIDSIVLPIPTGDLSFSSGAQLKKAVGNWSRWLTDRFSAETDSEETSNEDDDFKKTKTGELKCFNLLNSLSCVLMVPKDMLTDRSIRDELCPSISLSLLGKILSNFTPDEFCPDPVPNSVLEALNAESIIDRGSSEDDSSSVTYAAATIMYKPPPINVAERVCEAGGNTELNRKVYTSDEEVEELDSSPTFTIGKFPPFPIGEENGIARYQLLREVWSS >cds-PLY69782.1 pep primary_assembly:Lsat_Salinas_v7:5:231567738:231576068:1 gene:gene-LSAT_5X111580 transcript:rna-gnl|WGS:NBSK|LSAT_5X111580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRLRHVDTIQPLPAEEEKELKDTLQEILGEGKKVKLEQKRNNKLLLLAIFMGIINFSQAQNSPQDFVNAHNRARAQVGVGPMTWDSRVASFAQNYANQRRGDCRMMHSQNRPYGENLASGSGFVMTGVDAVNMWVGEKADYDYNSNTCAPNKVCGHYTQVVWSNSVRLGCARVQCNNGAWFVTCNYDPPGNYVGQKPY >cds-PLY77920.1 pep primary_assembly:Lsat_Salinas_v7:1:21678441:21680186:-1 gene:gene-LSAT_1X18200 transcript:rna-gnl|WGS:NBSK|LSAT_1X18200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMWEGGSSSAVTEEGSSGGGGGGGGRRKPSWREKENNRRRERRRRAIAANIYNGLRAQGNYSLPKHCDNNEVLKALCKEAGWVVLPDGTTFRKGCKPPPSAFEHGGSSTNTTPCSSRKPSPPSSSFPSYQCSLSSSSFPSPSHLDSTDPPLNKPFAFLSNSIPSSLPPLRISNSAPVTPPLSSPKVPKKNNLNWEAFDLPCFASSCEEPDWSTGGSFQRLRFQDCIPMMVNPNSPTSNLVKSMAQVAAMNDAIAEKGKGVKAWKGERFHEVGFDDLELTLGSGNAQI >cds-PLY89311.1 pep primary_assembly:Lsat_Salinas_v7:2:49303451:49306344:-1 gene:gene-LSAT_2X22081 transcript:rna-gnl|WGS:NBSK|LSAT_2X22081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLAELRRLPPGPTPWPIVGNIFQLGFVKIPHDSFAQLAHIHGPNIMTLWLGSMCTVVISSNEAARDMFKNHDVVLAGRKVYECMKGEYGDEIGSMITAQYGPKWRLLRRLSTTEFFLNNRLDATCNIRSRCINKMVKSIYDVCGNDGSSIDVGRYFFLMAFNLIGNLMFSKDLLDLNSKRGAKFFYHAGKVMEYGGKPNVADFIPCLKWLDPQGIKKNMQYHVREAFHIAGGFIKERMEEQEESGDKRRNDYLDVLLEYRGDGVEGPSMFSSTTINIVVFEMFTAGTDTTTSTLEWAMAELLHNPNIYQKLRAELRSIIPLNENLEEKHIDNMPYLKAVIKETLRLHPPLPFLVPHMAMQSCEMLGYTIPKETQVLVNVWAIGRDPKTWHDALKFKPERFLDHDKFVDYKGQHFEFIPFGSGRRMCPAIPLASRVLPMALGSILHKFDWILGDGIKAHEMDMSERMGITLRKSIPLKAIPIPCNGFI >cds-PLY98017.1 pep primary_assembly:Lsat_Salinas_v7:8:43324850:43336992:1 gene:gene-LSAT_8X32760 transcript:rna-gnl|WGS:NBSK|LSAT_8X32760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSSGKKKKAAKSQDHDFAQLIFSWSLEDILNDNLYEHQLEKIPLTFQSEEHYFRSFVYPLLEETRTELASSMKMMYKAPFSEISSFKKAKGKEKTMYDVTVATWRNSERQKEPYQTLPGDLLILKNGEPGSVSDLQSVGSTWAFLLVNNTEDDDSGTPVQFKVTASQKIEFHDGMFVAFLMNITTQKRIWNSLHKHANLDIIKEILYPDSVVNERCDMCSLGCNSSIQRFDQSLVSKLNESQKAAIMAAISKMECCHKSSVEQIWGPPGTGKTMTVSMMLSIFLQMKCRTLTCAPTNVAIVAVASRVLSLVKESSKTVTASGDSFCSIGDLLLFGNKERLKVGKDIEEIYLDHRVEKLTECLGSLTGWKHCIKSMVDLLEDCVSQYHVFVENESMKEKQVTNENKSKTNNLKIKSFMEFVRVRFSSFVQPLKKCIITFCTHIPRSFMKQENFQTMVSLLDNLSSLESLLSQKSLVSEQLEKIFVSKPLEGDFVKSGDMSSINSVRIMSLSLLKRLQTSLGGLKLPGGNRHAIIRFCYERASLLFCTTSTSYALQKVKMEPLKLLVIDEAAQMKESEAIIPLQIPGMKHAILIGDECQLPATVKSNVSSECGLGRSLFERLSLLDHSKNLLNVQYRMHPKISSFPNRKFYQNKILDAENVTSKSHGKQYLSGKMFGSYSFINIVGGKEEGDDVGSKRNMVEVSIVVKIVQKLYKAWHKSKKKVSIGVVSPYAAQVASIEEKIRYKYEKRDGFSVTVKSIDGFQGGEEDIIILSTVRSNSHGNVGFMSSPQRTNVALTRARHCLWVLGNERTLARSDSIWKDLVFDARNRGCLFDANADKCLKKIIINAKKELEPLNDMVKGNSLLPKHVKWKILFSDNFRKSFGKLSDSCMKNVVVDFLQKLSGGWRPNDGNIDCKTSSKVLKTYKVEGLHLICTIDIIKELKYIQVLKVWDILPIKEIPKLRKQLESLFATYTDAYMNRCATKCLEGNLEIPKSWATSKAIIQFRDVIKCEDDNEVSENLLHMKFYSLSPGMVEYLRFGREIDLPVNLSDEQMDIFLYSKSSCIIGQLGTGKTTILIMKLLQNEQSFPAICKAESSRVKDAEVDDDHEERKPTVLRQLFVTVDPKSCYVVKQHVSQFKSVSCDGNSSREINLDDVDITSESDVPDTFIDVPEKSYPLVITFHKFLMMLDETLGDSFFTRFHEAREDSHGNHISSRSVALQTFIRSRNVTFDRFYSLYWPHFDSNLKKNLDPSRVFTEIMSQIKGSLQAGDNFDGKLSYEGYSLLSEGCASTLTKQKRDTISSLFEAYEKMKAERGDFDLGDLVNDLHHRLKTRGYEGDQMDFIYIDEVQDLSMRQISLFKYICQNVDEGFHFAGNTALNIARGVDFRFKDIQSMFHKEFLRTRSIEKQEKANVLEILQLQQNSRTHIGVLQLAQSVIYILYSYFAHSIDILEPETSFISGQAPVLLKSGNDTNGIVTIFGNTGTSEKTVSFGAEQVILVRNECEKIEICKDVGNQAVVLTIAECKGLEFQDVLLYNFFGSSPLKDQWSVIYGYMKEHDWLDEKLPHSFPSFKESKHNVLCDELKQLYVAITRTRQRLWICENKEELSKPMFDYWKKRGLVQVRKLNDSVVQAMGCSSSPQEWLECGKKLFYENIFDMATMCFERAGDLKWETMAKAFDRRKSAEEIRGKNPEKASCYLREAAEMFESIRKLELAAECYCDLGEYERAGKIYLDKCGDINAAAECFMLAGCYSDAAEAYAKGHQFSNCLSVCRKGELFDKSLKYVEYWNEHVHVQSREIDKDEQDFLEGCALYYYEPKDHKSMMKFVRAFHSMESKRVFLRWLGCLDDLMLLEEESGHFLDAAELAGSCGDVLKEADLLEKAAHFEEATLLILWYVFSNSLWGNGNLGWPLKHFSQKNELCKKAKSLAKMDSDNLYDFVCSEIKVLTTQQSSLSKLKKHLDASQQHKSLRGEILSIRKILDAHLQLDIVKYNWEDELPSDISKYVEDKISQNQVSVRTLVFYFNLWKNNVMDIFQSLGGLENAEANQHEGHLDFCLNYFGVRKQCVKGNIVYLLVNKDFDWVRNVGDDKGLHRDGKILTLDSKQLGLAIRSYWQSELHSVGVKVLETFQSLYNLTLKGSSFHQSTSLLHIFEVSKFLLDCQYLNLTVDDMKILQVFLGSSMIYFDYVFPLDWRKSVSWNLIALRESDLSLNLLEEVILQYLNIESDLSYWTIGRVMMICLSSRKSFALHEKIMRGLQWNPLWKSFVEKFQEGGFNDVYVAPSLHNAMVDTFRAYWMFPGHISPHSFVYLLDRLLFMASFSSGVFFTTKSSFVEWYTHLHTTCSTPDTAFSESKQIFSKDITDFLVGIVQHILYKKEETISWIERSNIVVSYYHPLIALKLVMIVALISLQLSDCSQVVFDLLLGWNNIAYLLPKKFVGDLLRRRNGCILSLNPDTVAEAFASIEDPLLIVSLDNATPRVHAPRAIFVDLKRPREEIMSVLFPGEFTVNCQKDKATMITEANHVEETCDEHSNGDHSLAESVLEKQSSNENMQGAEIKKGRQRTRGRRGKNNKTCNDQKQLSSPSDKSHHEVELSVSKSHEKATVQIHEWLQSSRPMVDCSNMCEVLNEENHVEETCDEHSIGDHSLYEQEVEKQNINNNYQHLGSKIGMGNNEKKKSKKNRSSNTQNIFSNVLLDGQTCAREGRTLHEAKNSWDDLTTLHEGNNSWGDLTTPPEARNSWDDLTTLPEAKNSWDDLTNLHEASKSWDDPATLQEGNNSWDDMKQPSYASNMSRPKVALPVTSSGKRRNVQATVERRRNESTQDAEIKKGKGKNKGKSPVKLVLEKQTTNKNTKDVKIKIGKGKNIDKGSKKNKGSNSVNSFTSDAHINDDHPQAKTVVAKQSSNENTPEVKIRKWKGENSKNKGINSVNSFTSDAHINDDHQQAKIVVEKQRSNENTPDAKIRKWKDKNPKNKGSNSQNTFTTVHVDEQHCVREATILQRANMACNDLNQSSSASNTSRPEMDLLVSKGDETTVETFVEKPMVDGVFNYVGSESSNITEALQEENHVEDTDHDEVNIGDHSPLELLLEKQTSNDNTLDEENRKGKGEYVAEEDTTPFTSNSTCSDLKPPTDTLDTGIKTSDEVKPASILDMAAAYLGIRSWRKT >cds-PLY71544.1 pep primary_assembly:Lsat_Salinas_v7:7:29472395:29474484:-1 gene:gene-LSAT_7X21780 transcript:rna-gnl|WGS:NBSK|LSAT_7X21780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFFLTSLWLNYMELIIPPSPASNAITTTDQSPIHVTYIIEGTFLGGWEEKNEQWGSGRSNISRRPQQASNDFNKRQSHHKTEEENNDYWETVGKKTMKSTVVKERKNKLFDGVAAVPRKGIRSAHSSVFLMMNIENYSQNGGVPQ >cds-PLY82768.1 pep primary_assembly:Lsat_Salinas_v7:2:145754462:145757656:1 gene:gene-LSAT_2X71880 transcript:rna-gnl|WGS:NBSK|LSAT_2X71880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVALIRVVSPNYELCKLGFFETPTKLVDSSRFTRSNKIKNVGYKKHKCNSSYFDAFGESGFRDLKNGRKRKSDVVSRVVVANPTGELAVSSEQMVYDVVLKQAALVKEHIRAREDLEVKPDIVLPGTLSLLNEAYDRCGEVCAEYAKTFYLGTRLMPPQRQKAFWAIYVWCRRTDELVDGPNASHITPKALDRWESRLEDLFNGRPFDMLDAALSDTVSKFPIDIQPFKDMIQGMRMDLVKSRYKTFDELYLYCYYVAGTVGLMSVPVAGIDPESQATTESVYNDALSLGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDEDIFAGKVTDKWRSFMKKQIKRARSFFDEAEEGARQLSPASRWPVWASLLLYRQILDEIEANDYNNFTRRAYVSKAKKIVKLPIAYAKSLVPPTSRNGVLMKIIGM >cds-PLY93922.1 pep primary_assembly:Lsat_Salinas_v7:7:182061088:182061651:-1 gene:gene-LSAT_7X107700 transcript:rna-gnl|WGS:NBSK|LSAT_7X107700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVGFTYSVVFYGLTLNIVNLKTNLYLSMLINALVEVPSFVLTTIFIDRFGRKHLRIGMQWFSGIFCIIDNLLGSQGIWEVLTTACGVLGIFGMAGTYNLMFMYTMELFPTVVRNAPLGCGMQIIQFRAIIVPFVVIMRSRFALMVFGACGIVGAILIFYLPETLNKPLYGTMNGLVEAQNEFSTLL >cds-PLY93808.1 pep primary_assembly:Lsat_Salinas_v7:6:142993146:142995079:-1 gene:gene-LSAT_6X85341 transcript:rna-gnl|WGS:NBSK|LSAT_6X85341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASQEHLDKMQLRQNYRNLWHTNLMNTITADTPYCCFSLFCGPCVSYLLRKRALYNDMSRYTCCAGYMPCSGRCGESKCPELCLCTEVFLCFGNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLQQLACIFSIVACLVGSEELSEASQLLNCLADLVYCTVCACMQTQHKVEMDKRDGKFGPQPMAVPPMQNMSRIDQPYPPNVGYGQHLWVXXXXXXXDTRLLDILHRDIRLRVTRGKFFFFFNFINVLQVKWEGLVL >cds-PLY78307.1 pep primary_assembly:Lsat_Salinas_v7:2:181545870:181549027:-1 gene:gene-LSAT_2X102640 transcript:rna-gnl|WGS:NBSK|LSAT_2X102640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTSATTDHVYDIDKQIRQLKKKILLMEAQQQKAGCGHDPKQEQVEKVIKFEDLKELGSVPAVKVVAYLGEMAGVRMVERRKSKTLAATDGRRLLL >cds-PLY83913.1 pep primary_assembly:Lsat_Salinas_v7:5:9909743:9910460:-1 gene:gene-LSAT_5X5781 transcript:rna-gnl|WGS:NBSK|LSAT_5X5781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDYGPSDGGSGCCRCCFSFILTLGLTSLFLWLSLRTSNPVCSIQDVYIPALNKTLNSTSYQSIYLDLKLDNENKDKGIYYDPLNITLHYYINQSNGNGIPISNYALKGFYQGHQKKARRKNWTDTHGVPWDVAXITGGRPPVFRVDLATAVRFKILFWKTKRHRLILGADFEVSDRGQKLQKKGTRLKSGAPELFSGNSHVASSLVVFCTFLLVDI >cds-PLY71836.1 pep primary_assembly:Lsat_Salinas_v7:3:59885800:59886394:1 gene:gene-LSAT_3X46840 transcript:rna-gnl|WGS:NBSK|LSAT_3X46840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGNDTFGQVGSDIDWKLKSINDEIEQGIDAILQEKIQIGPKDIANMLEVGYSMAEIEAMLGVQVELDDSPPVELDVNDFIDGHHFDDDVGLYGGAEGAGDEAIGDGDGEVHGVGPDHGVGYEVVGDGDEEGHGG >cds-PLY62590.1 pep primary_assembly:Lsat_Salinas_v7:9:76851597:76852418:1 gene:gene-LSAT_9X60601 transcript:rna-gnl|WGS:NBSK|LSAT_9X60601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKIEMKYITKEKTRNTTYEKRKQGMIKKAQELKTLCDIDTAMIIIPPNSNKPEIWPQDPDQVKKSIASYKSKEENRKKHYGLKEYFEERKKKVEGELEKARKRNMEVKYPTWFDELDGLSEVQLRHFAMGLENKEKSVRDYLELQKRMKFEHVDYYQYGSSTHSHMGFNQVQMVNQNHYFMNHGVGWFDGASTTSFVPLKSEVGGFGYPMFEGGMVIGNSNQWLFQTPVVVQGGVMPLMPELAVPEYDSQVNVGVGDNFVMDDYQGRYLG >cds-PLY88934.1 pep primary_assembly:Lsat_Salinas_v7:8:128515260:128516460:-1 gene:gene-LSAT_8X89041 transcript:rna-gnl|WGS:NBSK|LSAT_8X89041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQIAVSGKRYYHAETLVEEIVAGACSGSVPLYNSVIKFCCGRKFLFNRAFDVYKKMLNHEDTKPNLETYKLLLDSLLRRFNNVNVCHVYLRGVKSLSKQMKASGVIPDTFAMNMIIKAHAKCHELEQAIRVFREMTLYGNQPNLYTYCYLIHGLCEKGRVDEGLGFFKEMREKGLLPKGSTFMILICSLAMEQRFKDSIRVINDMLDNSMAPDLLTYKTLLEGLCREGRVDDAFDILEEFRKKDSFMNEKTYKHLLDGLHYVS >cds-PLY93818.1 pep primary_assembly:Lsat_Salinas_v7:6:144080906:144082844:-1 gene:gene-LSAT_6X87220 transcript:rna-gnl|WGS:NBSK|LSAT_6X87220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQPASEKKTLYDFTVKDAKGNDVDLSVYKGKVLLIVNVASKCGLTNDNYAELNQIYLKYKEKGFEILAFPCNQFGAQEPGTNEEIADFVCTRFKSEFPIFDKIDVNGDNTAPVYKFLKTGFYGILGGDVQWNFAKFLVDKNGQPVDRYYPTTSPFTVERDIQKHLGLL >cds-PLY77209.1 pep primary_assembly:Lsat_Salinas_v7:5:233341917:233343508:-1 gene:gene-LSAT_5X113800 transcript:rna-gnl|WGS:NBSK|LSAT_5X113800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSKTLTHVFLISFPAQGHVNPLLRLGKLLASKGNLLVTFSTTKSTGRKMKKAGAAVSSDLTPVGSCGGMIRFEFFNDGYSDDSDKEIHDYGAYFSMLDTYGKKSVITILNRLKDQDNRPVSCVINNPFVPWVSDLAEELNIPSAMLWVQSCACFSSYYHYANSSVPFPSGEQPDNDVHLPNMPVLKSDEIPTFLHPSTQYPSVGKIIVEQFKNLSKTTCVLIETYEELEDDLINYVSDQVCPLIRAVGPLFKSPLLEVETAANITGDMIKADDCKGWLDSQDTSSVVYIAFGSHVILNQEQLTEMAYGVLNSGMSFLWVMRSRLPEEFLEAACGRGMVVDWSPQAQVLSHKAVSCFVTHCGWNSTLEALSTGVPVVAFPQYGDQVTNAKYLVDEWKVGIRMSRGGEAEKKVIGRKEVEECLREASCGVKAGEMKDNALKWKKAAMEAVAEGGKSDRNLQKFIDEIRRL >cds-PLY61714.1 pep primary_assembly:Lsat_Salinas_v7:5:214217319:214219175:-1 gene:gene-LSAT_5X98381 transcript:rna-gnl|WGS:NBSK|LSAT_5X98381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g31430 [Source:Projected from Arabidopsis thaliana (AT1G31430) UniProtKB/Swiss-Prot;Acc:Q9C866] MSQLKQIQTQIVVLGLAQNVDAIKKLVAFSADPSVGNLFYAQKIFDRIETPSLLVYNVMIKAYTKSAHFRKALRLFDQMRVDGLWPDNYTYPFVFKSIGHLREASTGEKIHGFVVKSGDEFDCYVCNSVMDMYGELGRIEDSRKVFDEMPERDSVSWNVLISGYVKCKKFEEAINVYLQMREEKSVKPEEATIVSTLSACIALKNLELGKEIHHYVTHDIGFTTKIGNALVDMYCKCGCLHIARQIFNKLPNKNVICWTSMVSGYVNCGKLDDARQLFDNSPVKDIVLWTSMINGYVQFNHVDEAMSLFQQMQTYNIKPDNFTMVALLTGCAQIGGLKQGKWIHEYMKEHRIRIDAVCGTALIDMYAKCGCIEKSLEVFHGLHEKDTASWTSIICALSLNGKSSLALKLFSEMNELGFRPDDITFIGVLNACSHGRLVEEGWKHFESMKSVYEIEPKIEHYGCLIDLLGRAGLLKEAEKIVNKIPREKDEMLVPVYGALLSACRLYGDVDLGEDLADRLSEIEGGDSSIHMLLANIYASVGRWEDVKKVRRKMRGDGVRKEPGCSSIEVNGNVYEFLAGDASHPEMNDIYFSLNTLFKQSFVCEKYDVELVNLVSMNS >cds-PLY92276.1 pep primary_assembly:Lsat_Salinas_v7:2:209194424:209196973:-1 gene:gene-LSAT_2X129441 transcript:rna-gnl|WGS:NBSK|LSAT_2X129441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESEETQNNDTQLVAVPTEDMAIRAVNKRYEGLSTIRAKATKGKGAWYWAHLEPILVRNPDTNDPKAVKLRCNLCEAVFSASNPSRTASEHLKRGTCPNFNSLLKHSSPSSSLPPLSSPSSQSNRKRLGSINEGLNSYHQHQFHHHHQQQQHLLLSGGKEDLGPLAMLEDSVKKIKSSKPLPPGPILSKTQIDSALGLLTDWFYESYGSVSYSSLDHPKFKAFMNQVGLPEISRQDNFMSSRLDSKYEEAKLESETKINDAEFFQLSSNGWKREEIEGMNMIKFMVNLPNGTTLFRETFFPSGAIPATYAEEIMWETITGTCNIVQKCVGIVADKYKTKALRNLELQNQWMVNLSCQLQGVGNLIKDFSKELPLFKNVISNCLKITNLFNNNSHARSILNKFLSHDSRFSVSFGIPPLLYCENLQNFSSVSAMLDNIISCSRVLQLVVMEDLYKVLVMEDSLATEVGDMIQNMGFWNDVEAINTLIKVVKDMVEEIEVERPLVGQCLPLWEDLKSKIKDWCVKFSVLHVGVVERIVEKRFKKNYHPAWSTAFILDPVYLLRDENGKYLPPFKCLTCEQEKDVDKLITRLVSREEAHKALMELMKWRSEGLDPLYAKAVQVKQLDPLTGKMKIANPQSSRLVWETILKDFTILGKIAVRLLFLHATTSCGFKCSYLSLLGQGQIQSRIGLERIQKMIFVAAHSKLKRRDFGSEEEKEAITNGGDDDHDMLNEVFVDANM >cds-PLY65647.1 pep primary_assembly:Lsat_Salinas_v7:7:27060360:27067138:-1 gene:gene-LSAT_7X21141 transcript:rna-gnl|WGS:NBSK|LSAT_7X21141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDGAPSGRSSPPTPKKVKLESEEPKELLKAEDHSEDESEEIEEDEDEEEDGDGSPQLPPLLDMIDLPPASTLPPTPPLPSSPRDLNMEQQDSEDEGPPPGWDNTYQLQEQVEHMLTPAAVSAISSDISDIRMEDLQQDGRNGEDAAPELIASDMKVEEQDSEDEGPPPGWDSKCLPETELQVAYPQITQSDIKTEDLQEDIRNGNGATTAPELVPSDMKVEEQDSEEDERPPPGWDSKCQPEPELQMPFPTPTITQSDMKTEEVQQDAPQPQLVLVPSDMKVEEQDSEEDEGPPPGWDSKGQPQPKSTDMQTEDAQQDAWNGQHPTPQPQLVLSDVKVEEQDSEEDEGPPPGWDQPQPESTDIKTTEEIQHDVQNGDGPQPQPQQPHDGPAPALELAPSGCEKSAIKVEEQDSETDEGAPPGWDSKCTPPSESKIEDDQQKQQDEDGQGQGQGWGSSSTPQPPPPHSIGERMGTEFEQKQKNVEAAVVVELERPQSQPQPPSRQQPPSTTTKKTNNPIPEMGQMVCGSCRRLLSYPKGARYVECGCCLEENYVLEEHEVGQVICGGCDVLLMYPYGAPKVRCVNCSTETEIGDQNRRPPLSEQQRRARRHLRRVQTGFKY >cds-PLY84812.1 pep primary_assembly:Lsat_Salinas_v7:8:23863660:23864107:1 gene:gene-LSAT_8X18580 transcript:rna-gnl|WGS:NBSK|LSAT_8X18580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSNEEILLERPRAPGSKGEEKATGDDLGQLSKGGAVLMVCRTCGKKGDHWTSQNLLPW >cds-PLY78501.1 pep primary_assembly:Lsat_Salinas_v7:4:124208061:124209689:-1 gene:gene-LSAT_4X78740 transcript:rna-gnl|WGS:NBSK|LSAT_4X78740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGFFGHPLYSDQRFNHLKVGVNGVIGTKRKSEESFYTGNPNFLYVGDEDDNKTDLGQDLSISPFYPQCYPSFSENPTSPWQLLSLANKPFTQKKIKRSVSMPETTVVNVNSIPRSNSTNSLPKLRFRDHIWTYTQRYLAAEAIEDAILENGLKVENKGHVDENHEMHLVQLLISCAEAVACRDKAHASTLLAELRANALVFGSSFQRVASCFMQGLTDRLAMVQPLGAVGLVAQPHRLNLIGSEKKKEALRLVYEVCPHIQFGHFVANSTILEAFEGESFVHVVDLGLTLGLPHGHQWRGLIESLSNQPGKCPRRVRITAVGQCASRFRIIGDELETYARELGVNLEFSLVESSLENLKPEDIKTYENEVLVVNSMLQLHCVVKESRGALNSVLQIIHELSPKVLVLVEQDSSHNGPFFLGRFMEALYYYSAIFDALDAMLPKYDTRRAKIEQFYFAEEIKNIVSCEGPNRVERHERVDQWRRRMSRAGFQAAPVKLMARAKEWLGKLKICEGYTIVEEKGSLVLGWKSKPIVAASCWKC >cds-PLY85466.1 pep primary_assembly:Lsat_Salinas_v7:3:43322814:43325919:-1 gene:gene-LSAT_3X33921 transcript:rna-gnl|WGS:NBSK|LSAT_3X33921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKHKGPKAQVAETASEPVAKKPAEKKRLVKVGDKRVKKEKKVRDPTKPKRPPTAFFLFMDEFRVTYKAANPDNKKVANVAKEGGEKWKSMTEEEKKPFTTKAAELKEEYQKALGATNDAENENEKTEVETIEDDEEEEEASPEKEEVIADDD >cds-PLY73022.1 pep primary_assembly:Lsat_Salinas_v7:9:35979717:35980406:1 gene:gene-LSAT_9X33361 transcript:rna-gnl|WGS:NBSK|LSAT_9X33361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVYNDSENELPYLKISPYDHHSSSSVNWSGRVFDTSVNSVDRSETKSFDEASMNPASYDFYMSQNFEEEELDCGENETKQMEDFFCSNDVIPDNFVLSSGRWNVNQDIQQGTEKLTIDKEFEQYFSMLML >cds-PLY96504.1 pep primary_assembly:Lsat_Salinas_v7:5:337637094:337640580:-1 gene:gene-LSAT_5X189320 transcript:rna-gnl|WGS:NBSK|LSAT_5X189320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNITGDEGSFSSAEEAQGKQVQNLKNHFHGGNSSNATTSLPPPPKKKRNLPGTPDPTAEVIALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTSTETRKRVYVCPEPSCVHHNPARALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHQKTCGTREYKCDCGTIFSRRDSFITHRAFCDALAEENNKVNQGMLQPQHMHDHIMSSNSIDSGNMLMGLPDFNNYEPKASIKSLPRQDLVPMPFKSLNMSADMYSSSSGTLFGNPRGGSSSSSGLQLSSTNGSLGYGYFQQDSKVGGLLPGLAGHMSATALLQKAAQMGATATATASIGVNSPMMQKSFVTSMTGSDQINSHRPRPVSPYGIQGTGGYDTFHIQPNQTTMIGINDGSTEGYNPLQKPDPQDSFGPDPNPNMNDLGIYSELLMGGHQDHETNHEDRSDENSTLIQERGKMSMTSKLGGNDMLTVDFLGIGGSRSLNIQEQEQRFNRFEATSQAMNPFQQQLIHGESSAHEKPIWE >cds-PLY76141.1 pep primary_assembly:Lsat_Salinas_v7:4:52649200:52651949:1 gene:gene-LSAT_4X35780 transcript:rna-gnl|WGS:NBSK|LSAT_4X35780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTKRREMDLMKLMMSDYKVEMINDGMQEFYVHFHGPADSPYHGGVWKLRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNASDPLNGEAAALLMRDKSSYEQRVKEYCEKYAKAEDMGGLPEEQSSDEELTEDEYDSGDDDMAAGPVDP >cds-PLY99276.1 pep primary_assembly:Lsat_Salinas_v7:5:300744742:300745527:-1 gene:gene-LSAT_5X162820 transcript:rna-gnl|WGS:NBSK|LSAT_5X162820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLFAPSRWSSPSRSYNDSNLQVDEELTNLKTWESSMTRIPDAETFCSGLACLERLYTCADNLLSLPLTQQAFSNYQHDKLVEELLVRSMRLLDSCGTIGDVVSQVKAHVRDIRSAQRRRKEDLSIDDSFVKKLNKDAKKAVGELKQIDQIYGSKPLNLEHHLSSVIAVLRDVSEVSISVFGLLLSFLSLSITKLKSTSKWSTVWKLIQQKETAGSKYPSETCVETLDSHVEGIEIGLTSMFRNLIRTRASILNIKSF >cds-PLY77846.1 pep primary_assembly:Lsat_Salinas_v7:1:26119286:26122168:1 gene:gene-LSAT_1X22040 transcript:rna-gnl|WGS:NBSK|LSAT_1X22040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHAWQPIVAVVVATGIFVLILFYFIRRFCCHPEQQPQEQPIQRASSLQNGISKLHNQESIYSNKRRTNYYVLRRGISSKPLFNWSDNPSLITDAVENGWSRFAFNNFTSSPSIQSNKSILGYCAPAGDGGGKDVEMVEIGWEVCPGSADFIQKIRINSGLQKIIKTTTSSMAAASVIRSALPLPGPALGNSSPFPQEAYFEITILSIYEDEHRIDINGKVKANKGEEKIKLIQENLAGEKASSESLIHITSNNSNGILKSRSDGKDTVEGKTEMGIVVSLGLAGGGSLPLKLPGSYPGSVGFNSDGSVYLEGVKLMTDSESNEWGTTEKVVGCGYNPNQKKVFFTVDSKLVHEVHCMAEEFETPLYPTLAANTDVTVLVNFGQSVFKYTQANLHRTPNPCFIGPLGSSPVLGYDDSKELFSMGRIDSHWLDRSAKRSVQYYGSVNRGMSDYDESSEGDLFEIVLDNNSRGRSPNTPF >cds-PLY68770.1 pep primary_assembly:Lsat_Salinas_v7:2:211754275:211756511:-1 gene:gene-LSAT_2X131100 transcript:rna-gnl|WGS:NBSK|LSAT_2X131100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGFSGGFPDFFNANGGGVSNVSGRSMSMMNVNRSNYNQQVNYRSPLAGILSDSLSPVGGSRSDFDGKRSLAEFQQQHQLRQQAAVYMRNVKPRAYNQQTDFLSSPEVSSVSSISSSSVSRYGGQIPQQLRPNFNGVMSNYQINDYSPAKQLPKNQEMETKMLNRLQELEKELLLDDDDGEHDAVSVITDTEWSETIQKLLNPLQAQNPDNTVAPSPTTSSTSSSASSSATVSPKQFISDAAEAITDGKSEIAMELITRINQFSNALGTPEQRLGFHMASALRSRVSVNSTAEEQSSPATELYRKEHILSTQLLYDKSPCFKIAFMAANNVILQALLGSGRPERRIHVVDFDVGHGLQYVYLLHEIAAARKIDGVIPISLKLTTFKDFGNGGSERLKLVGDGLRSLSNKLGVLFYYNVLDFKLSDLNKQGLTIENEEVLAVNFAFKLSKLPDESVTTENLRDEVLRRVKDLSPAVVTVVEQELNANTASFTARVNDLFSYYTSFLNSLEATIPRTNPERVKIEEGLSRRIFNSVACEGRDRVERCEVFGKWRARLRMAGFDSKPVSQLTAESLKSKLNSWTRGNLGFTVKEDSGGISFGWMGRPLTVVSAWH >cds-PLY85768.1 pep primary_assembly:Lsat_Salinas_v7:1:48368243:48371652:-1 gene:gene-LSAT_1X45000 transcript:rna-gnl|WGS:NBSK|LSAT_1X45000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADAIDFSKTQRIVLLVDLNPFLSQFPNPNYLTSILATSNILLSFPPLSSSLFSFKLFFSSLSSLDSVSTLRNLLPSYSSASVSFNSPSQTLDSLSHTLNSISSTKLTYSPSNASHIASSLLQLARDYGWEFDIENLPGKIHSDPIDVRSNLVILLSPVCRSLKSLADFVSVDVDNDCLRNLDIYGARFRDCFGAVNDAFNSKDIHFCWVDIHSHEEEEGKNNKSEKHSAIIGNEIRKFGWGFCSADSIVLGSALVSFGLIYPVIGISSKLLDSPKLDKRIRGQLGLEILDVHGKPIEWKCCDLNVLHLKASSKPSSKDEVVNSVSTFLGNLNNGIMKLHITSVHKYTVCEKLEEFSSDHFLVQSAKSAKKEKDGLDIFLADKVLGQLASEKSEFFEKHSVPTWQILLSFLYSKGYWALLSISNNNGDSHTGILKPFTVHSAVLLFLDHNNALVQKDGGSNLLIENKLSQGDFDSQKGTSPSGKYVHLAGGKRRKIKKHTHRDLTWSAFCKAAYEFLDVDLTEVYFANGLKKSKKLKFLKCWMTEVKNKSLSSNNILDRSCKMAPDSIQQKETDVNEDISASHQESDGPLTMAISSQQSRIHGDADFESCSETPEAFFSSLPKRIQQGLESDGVDLKIMAESFVNSSIYWLSQKQKKMENLDESCSTQVAEIIQLLLTEPKDLKEHKDNDPSSTSKYLVKEYELQILLRMEILQSEYAGSMKGSLKTKLVKQICSLLEIFQYLVEGGFHGDISLYAYVEKTIKTRYSQNLEDVVKKIYDEMDLLPFGEENEDQGMMLNSEDSSHEMAAANKMMIHDSLFLEDEPSHPPLDNLDLGETEKDDEQKLIEARERRERAKRFVFTGRMPDLQRVWAPKPLQTTKVRPVSNKESKRKKQRRAGYSVVCETPMIGGGGDKKDERGGGSNSVYKALFQDDM >cds-PLY80192.1 pep primary_assembly:Lsat_Salinas_v7:8:191013345:191015329:1 gene:gene-LSAT_8X124580 transcript:rna-gnl|WGS:NBSK|LSAT_8X124580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRHPLLCSSSSSNVLYVSSITVLLQIRYLSFNVSRRHTTKFTIPQHVMATGQVLFHRFYCKTSFVRFNVKRIAAACIWLASRQGENPRRARDVINVFKRMEYRRENLTLDYLDASSMKKHPELKMDLIRCEGHILKELAFSCHVEIPHKLMVSYISVLGTHPKLMQESWNLANDSLRSTLCVRLKAEFVACGVVYAAAQRVHVPLPENPPWWEAFEVEKSGIDEVCRVLAHLYSLPKAEYLHVCKEDGSFTTMSLVTIVTHESKATEIGKMKKERLSKEREKIKEKEKGKGKGKGKT >cds-PLY82367.1 pep primary_assembly:Lsat_Salinas_v7:3:116729759:116730302:1 gene:gene-LSAT_3X81040 transcript:rna-gnl|WGS:NBSK|LSAT_3X81040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNTPHRRSSSSSSYFSGCISPSCVQSHNQYTLINDGKHINGCDRWRRKWRKLINTVIRESKKSIYGSPKPLTFRYDAVSYSQNFDEGTHRCEYYDQHGRRCSIAHR >cds-PLY79185.1 pep primary_assembly:Lsat_Salinas_v7:8:164010211:164012805:-1 gene:gene-LSAT_1X78060 transcript:rna-gnl|WGS:NBSK|LSAT_1X78060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSENQQDRIYSSKEESEEEILFHLDDSSLTSKSFSNYRSAMTTMSDDSYHPLAVPADTDPLLSPAAPAPATAPPPPPPENPNSDNYSHIDPLTYADVNFMPPNTDGEEPRSTENPRGESEGLVPVSTPPPQPYLKITVSNPQKEVESSNSIYPGSNTYVTYLITTKTNIPEFGGPQFTVRRRFKDVVTLSDRLMEAYRGFFIPPRPDKSVVESQVMQKHEFVEQRRFALEKYLQRLAEHPVIRQSDELRVFLQVQGKLPLLPTAPVTSRMLDGATKLSKQLLGDNSGGGSVRIQPQDVVQPAKSRWDFLRIVKEMNQSVNNDWGGSKPPVNEDDTEFLQNKERLLNLEKQLSNASKQAESFVKAQQDMAETMGDFGLSFIKLTKYENQQAVLDTQRKRATDMKNLATSAIKASRLWRELNSQTVKHLDTVHDQMGLILGVHTAFSDRSSALLTVQTLTTELDSLYSQAEKIETSSKTFGNDRSKALKLGELREAIRVTEDAKSCATREYERIKENNRTEIERLDKERKVDFKNMLKGFVLNQVAYSEKIGKEWGKAAEESSGYAKHGG >cds-PLY71735.1 pep primary_assembly:Lsat_Salinas_v7:3:46020657:46030689:1 gene:gene-LSAT_3X35101 transcript:rna-gnl|WGS:NBSK|LSAT_3X35101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGTKDEVGPPRSLSRRMTRTSTMVDPNAEGVDSELVPSSLASIAPILRVANEIEKDNDRVAYLCRFHAFEKAHRMDPISSGRGVRQFKTYLLHRLQKEEETTKPRLAKSDPREIQKFYQNFYEKNIREGQYTKKPEEMAKIYQIATVLYDVLRTVVPASKIEQETQQYARDVDANREQHEHYNILPLYAVGNKPAITRLPEIQAALRAIRTVDNLPSVRRIGDADKPINDILEWLSLIFGFQKGNVANQREHLVLLLANIDRRSKGVDDYDQLGSQTVKHLLDKTFKNYVSWCHYLHRTSIIDSNKVPNSADRQQLHLLYIGLYLLIWGEASNIRFMPECLCYIFHNMANEMHGTLFGNVQPVSGGTYQAAAVDEEAFLREVISPVYDVLRKEARRNQGGKASHASWRNYDDLNEYFWSDKCLKLGWPMDRKSDFFVHSDESSRHHAGRNQVVSGKKKPKTNFVEVRTFLHLYRSFDRMWIFLILVLQAMIIVAWHGDGSIFGILDEGVIKSIFSIFITHAILNFIQASLDIILSFNAWGSLKPTQILRYILKFIVAAFWVVILPVCYSRSVPNPTGLVKFVSTLGGNWREQSLYNYLIAIYLIPNILAAFLFLLPPIRRHMERSNWRIVTLLMWWAQPKLYVGRGMHEDIFSLFKYTLFWIVLLISKFAFSYYVEILPLVTPTKLIMKMHVSSYEWHEFFPNMTHNIGVVISIWAPIVMVYFMDTQIWYAIYATIIGGIYGAFSHLGEIRTLGMLRSRFESVPLAFVERLVPMQKDPKRDHVEDDLSVRKNIAKFSQVWNEFIFSMRMEDLISNSETDLLLVPYTTSDVPVVQWPPFLLASKIPIALDMAKDFKGKEDADLFRKITNDDYMRSAVMECYQTLKEILFELIDDDRDNMILQHICHEIETSIQQRTFLSKFRMSELPSLNDKLEKFLDHLLADNVDDEKYTSQIINVFQDIMEIITQDVMNNGHEILERAHAHHHDNDKKERFERINVQLTQIRSWKEKVVRLRLLLTVKESAINVPTNLEARRRITFFANSLYMKMPNAPIVRNMLSFSVLTPYYKEDVLYSDDELKKENEDGITILFYLQKIYPDEWKNFEERIAGSEDKTEATRQWVSYRGQTLSRTVRGMMYYKEALQLQCFLDYAKDNEIFTGFRTANVNKHHMDLKERASAMADLKFTYVVSCQIYGAQKKSSEERDQSCYTNILNLMLTYPSLRVAYIDEREATINGRSQKVYYSVLVKGGDSLDEEIYRIKLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNVLEEFHKDHHGQRRPTILGLRENIFTGSVSSLAWFMSNQETSFVTIGQRVLADPLRVRFHYGHPDIFDRLFHITRGGVSKASRTINLSEDIFSGYNSTLRGGYVTHHEYIQVGKGRDVGMNQISLFEAKVANGNGEQTLSRDVYRLGRRFDFYRMFSFYFTTVGFYFSSMVTVLIVYAFLYGRMYMVLSGLEGRILEDASLSSNKALEEALATQSVFQLGLLLVLPMVMEIGLERGFRTALGDFIIMQLQLASVFFTFQLGTKAHYYGRTILHGGSKYRATGRGFVVFHAKFAENYRLYSRSHFVKGLELGILLVIYQVYGNSYRSSNLYLFITFSIWFLVASWLFAPFVFNPSGFDWQKTVEDWTDWKRWMGNRGGIGIAQDKSWESWWDAEQQHLKYTNIRGRILEIILACRFFIYQYGLVYHLNISRGSTSILVYALSWLVMISALLALKLVSMGRRRFGTDLQLMFRILKALLFLGFLSIMTVLFVVCGLTISDIFAAFLAFLPTGWAFMLIGQACRPCVKGIGFWDSIMELGRAYECLMGLVIFMPIVILSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKKDKDKT >cds-PLY89647.1 pep primary_assembly:Lsat_Salinas_v7:9:96683457:96683741:1 gene:gene-LSAT_9X72660 transcript:rna-gnl|WGS:NBSK|LSAT_9X72660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKMAELTGHTSRVLFMAQSPDGCTVASAARDETLRFWNVFGSLEVAAKAAPKAATEPFAHLNCIR >cds-PLY87230.1 pep primary_assembly:Lsat_Salinas_v7:4:308328444:308331392:-1 gene:gene-LSAT_4X155300 transcript:rna-gnl|WGS:NBSK|LSAT_4X155300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNSDDAFYRYKMPKMITKIEGRGNGIKTNIVNMVDVAKALARPPSYTTKYFGCELGAQSKFDEKTGVSLVNGAHDTSKLAGLLENFIKKYVQCYGCGNPETEILITKTQMVQLKCAACGFVSDVDMRDKLTSFILKNPPEVKKGGKDKKAMRRAEKERLKEGEAADKVQKKLTKDVKKKDVKPTKKKGNASDEDHVSPTVSQAGDKDDSEDDGDVQWQTDTSAEAARQRIQEQLSSVTADMVMLATEEPKKVKAVNGNGNGNGNNKKLADVAKENIKKGVSPKELMGILSGSKQENVSAIYEAVVDGVEKGFAKHVVKKKSYLAAVVGEGAESQILVLRAIEEFCRNGNGNAVKEVPLVLKALYDVDILEEEYVVKWYEEGLSGGNKDSLIWKNVKPFVEWLQSAESESEEE >cds-PLY83410.1 pep primary_assembly:Lsat_Salinas_v7:8:1199366:1201858:-1 gene:gene-LSAT_8X1081 transcript:rna-gnl|WGS:NBSK|LSAT_8X1081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFISATATRCHKLSYRTTEFKQQCRKNKVHLSDKDEKDMLDFLYTFQYQMCGILAISLGRISNQNLENYTHVFMRFQNREDLELTYIDYESQVEDDILPIFRKGEVKYSISFTIHNHLGKVWMVSHYLEHCCLGDDDQAIKIS >cds-PLY86118.1 pep primary_assembly:Lsat_Salinas_v7:5:39390879:39393391:-1 gene:gene-LSAT_5X19401 transcript:rna-gnl|WGS:NBSK|LSAT_5X19401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARVRNWYNQSKPATLIWFISLITFYAVFRMASKVSPLSRELQVSNAERNRMYDKMSRDLDEHGALFLKQGETSQSLLLSDLFDYKNGSVTPVLKAANPPVRANVLYMSPEYSLPISKAVRDIFAPTLDKVIWFQNPKLYHFSMFHASHHISPVSASEEEIEAEANAVKGVAEKLCRMRIVLDRVVLTSTGVLLGLWQVISGSDPVTIRSELRNALPHAPVKQLYAPAILHTSLARIIGHPYNSSQEGGSALELQYIHELVVGLNKGIRGTEATISELWYVEEYDVLALALDGKMKLRRFKFGCWKG >cds-PLY63695.1 pep primary_assembly:Lsat_Salinas_v7:9:85091084:85093656:1 gene:gene-LSAT_9X66560 transcript:rna-gnl|WGS:NBSK|LSAT_9X66560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQSKAELAKKQKIVEDKTFGLKNKNKSKNVQKYVQSLQQNVQPKPDASKLAAKKKKEEEKAREKELNDLFKIAVVQPKVPLGVDPKSILCEFFKAGQCAKGFKCKFSHDLNIQRKGEKIDIFSDKRDEGTMEDWDQETLEKVVESKGKEYNQNKPTDIVCKHFLEAVEKKQYGWFWVCPNGNKECHYRHALPPGYILKSQMKALLEEESNKLAIEDEIEDQRAKVKTTTPMTTELFLEWKRKKVEERDAGLAAQRAERAKNDRMSGRELFLSDASVFVDDAEAYEKYHREEEPDKGQNGTSTAGPSTSTSVADIEEEDDDDLDMDELNELEASLSKASIQIKEPQK >cds-PLY94697.1 pep primary_assembly:Lsat_Salinas_v7:6:20194850:20196364:1 gene:gene-LSAT_6X15280 transcript:rna-gnl|WGS:NBSK|LSAT_6X15280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTKQNYLKLSATSSRAHSFALISISASSSYYHSSSNLHEFIPTKPPKLNSSPSALQCRRIKCSIASSALTIGIGSLKLDFERKLKISASSPAMRGTETDAMGMLLRERIVFLGSSQSMISADVSTIALGISASTATIILGGGTKESTGRSFEQVQKHIDRDRYLSPTESVEYGIIDGVIDEDSIIPLMPVPDRQEIQAGC >cds-PLY88188.1 pep primary_assembly:Lsat_Salinas_v7:5:221091015:221091914:-1 gene:gene-LSAT_5X102200 transcript:rna-gnl|WGS:NBSK|LSAT_5X102200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKLLLDLQDEQMLFEILDNKHGYDLQLHAGAMITTRSAESASDSLELLHGYGGGRFNIANDKFYSNRKSSQQLKSHSKKRTSHGVKFLHSIPALKLLTMKAKLSNKDIAYFHRPKALWYPHENLVALKEQGKLLTKGSMKIVLKSLGGKESKLHKGSFAGDLENLLDAEEFDADEEDNNNYDSNNLVACVKGLKMRRMSSQAQADVENEDEADELCRMLMDGIS >cds-PLY67970.1 pep primary_assembly:Lsat_Salinas_v7:2:12520014:12523725:1 gene:gene-LSAT_2X6021 transcript:rna-gnl|WGS:NBSK|LSAT_2X6021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASATNTVLSSNFFGSNVLLSTPTPKTHKTLRNRFVIPQSILSKKPNSSNDFNFQSKATLAALLFSSITTPQALADTNPPPPPPQAPLQAELVKQNPSTNSLPFSQSNVLTAPKPQASSDLPEGSQWRYSEFLNAVKKGKVERVRFNKDGGVLQLTAVDGRRASVVVPNDPDLIDILAMNGVDISVSEGEASNGLLGFIGNLLFPLLAFGGLFFLFRRSQGGGGGPGGLGGPMDFGRSKSKFQEVPETGVSFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKSKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVRILQVHSRGKSLAKDVDFDKVARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSEEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGKDNVTTGASNDFMQVSRVARQMVERFGFSKKIGQIAIGGGGGNPFLGQQMSSQKDYSMATADIVDAEVRELVEKAYIRATTIITTQIDILHKLAQLLMEKETVDGEEFMSLFIDGKAELYILD >cds-PLY64226.1 pep primary_assembly:Lsat_Salinas_v7:7:4595085:4603040:-1 gene:gene-LSAT_7X3220 transcript:rna-gnl|WGS:NBSK|LSAT_7X3220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYMAYGWPQVIPLESGLCPTSQQIIYLKVVDRLLLVVCPSHFELWSSSQHRVRLGKYKRDPDSIAREGENVQAIWSPDTKLIAVLTSSFYLHIYKVQFMEKKIHIGGKQPSSLSLANISLLMNEEVPFSEKDLMMSNIICDSKNLLVGLSNGSLYNMSWKGEFYGALDLDFHLNTGNGHTKLSNGVVSSSHSLSRKSAITYLEFSLPLRLLFVLFSDGQLVLCSISKRGLKQADSVKPEYRLGSGDAVCASISPDQQILAVGTRRGVIELYEISEPISLIRSVSLHDWGSAFAVGWKLRGLTVWSISGCRLMSTIRQIGLNSVSSPGVKQNPDGNYEPLMSGTSFMQWDEYGYRLYAVEEGSSERILAFSFGKCCLNRGVSGKTHVRQVIYGEDRLLVVQDTDELKLMHLNLPVSYISQNWPVLHVAASEDGMYLAVAGLHGLILYDIRLNRWRFFGDVTQEQKIQCTGLLWMGKIVVVCNYIEQSDMYELLFYPRYHLDQSSLLYQKPLLAKPLVMDVYNDYLLVTYHPFDVHIFHVKLSGDLTPSSTPILQLSTVRELSIMTAKCHPAAMRFIPDQPDTDASIKDASSSSEKEPIRANGELSLLDLIDGREMELTESIELFWVTCGRSVDKTNLIEEVSWLDYGHRGMQVWYPSSGVDPYKQEEFLQLDPELEFDREVYPLGLLPNAGIVVGITQRLSFSACTEFPCFEPSPQAQTILHCLLRHLLQRDKSEEALQLARLSEEKPHFSHCLEWLLFTVFEAEISSQNANRNQSPSLLEKTCDLIKKFPEYFDVVVSVARKTDGRHWADLFSAAGRSTELFEECFQRRWYQTAACYILVIAKLEGAPVSQYCALRLLQATLDESLYELAGELVRFLLRSGREYEPTTPTDSDKLSPRFLGLFLFPSSYRKQSTEARSPSFKEQNAHVASVKNILESHASYLMSGKELSKLVAFVKGTQFDLVEYLQREKYGSARLENFASGLELISRKLQMGTLQSRLDAEFLLSHMCSVKFKEWIVVLATLLRRSEVLIDLFRHDMRLWKAYSATLESHPSFSEYHDLLGSLGEKLSSNEITEET >cds-PLY75345.1 pep primary_assembly:Lsat_Salinas_v7:4:204875779:204876186:1 gene:gene-LSAT_4X116040 transcript:rna-gnl|WGS:NBSK|LSAT_4X116040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTRTGDAFVTTTKCLFLSPPSVSRIPENNAHSNPYFPNLSWLLSVSVNSFHVQNCSSRVSSGSCQFKNRKSTVRMIGNGRDSDFVSRLGADHIRRMELGSAGAGSLFHFFTKSRSVKAQASGLFHWIMLSIYTS >cds-PLY95645.1 pep primary_assembly:Lsat_Salinas_v7:2:113136065:113136397:-1 gene:gene-LSAT_2X49800 transcript:rna-gnl|WGS:NBSK|LSAT_2X49800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEFMNDVWLTWKKVQLDVRKNMFDAFGPESEEDKIFEGFKNVSNCRYRDIIDGARTTSALAAKKASHVFPPGETYDF >cds-PLY98633.1 pep primary_assembly:Lsat_Salinas_v7:1:39973888:39976185:1 gene:gene-LSAT_1X35260 transcript:rna-gnl|WGS:NBSK|LSAT_1X35260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CURT1C [Source:Projected from Arabidopsis thaliana (AT1G52220) UniProtKB/TrEMBL;Acc:A0A178WBD4] MACLISNLPLPPLLTISKQSLFSPLHKHTVSAIGGQQRRAAVIAMATSGSTESSTSLSIIESVQNFWDTPEDRIALIGLGFASVVALWVSLNVVTAIDKLPVLPGVFELIGISFSTWFVYRYLLFKPDREELIQIINKSLSDVISQ >cds-PLY95257.1 pep primary_assembly:Lsat_Salinas_v7:8:136346251:136350995:1 gene:gene-LSAT_8X93701 transcript:rna-gnl|WGS:NBSK|LSAT_8X93701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSNGNINSEIYKKIPSIKFTKLFINGDFVDSVSGKTFDTINPGTEEVIAKIAEGDKEDVDLAVKAAREAFDHGSWPRMPGCERGKIMNKFADLIDENLEELAALETIDAGKVFSFETDVDIPDVSKELRYFAGAADKIHGRTLKLSRPFQGYTLLEPIGVVGLIIPWNFPSGMFILKCAPALAAGCTMVVKPAEQAPLSALYLVHLAKLAGIPNGVVNVVTGFGHTAGSAVASHMDINSVSFTGSTEVGRLIMHAAANSNLKTVSLELGGKSPLLIFNDANVDEAADLALFGSLANKGEICVCTSRVFVQEGIYDELVIKLVEKAKTWVVGDPFDPKTQQGPQVDKKQYERILSYIDHGKREGASLLVGGRPCGDKGYYIEPTIFENVKDDMLIAKDEIFGPVMALMKFKTTEEVITRANATTYGLAAGIITNDLNIANRVSRSIKAGVIWINCYHNFDSGCPVGGYKMSGFGKDHGVEALEKYLQTKAVVTPIYDSPWL >cds-PLY88026.1 pep primary_assembly:Lsat_Salinas_v7:4:230074697:230078086:-1 gene:gene-LSAT_4X126120 transcript:rna-gnl|WGS:NBSK|LSAT_4X126120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVGVGGDAKAMKVEPVPRADHGFNLEPDVSVSSPVTRQKAAAAKQLIENHYKNYLQGLQDRKERRRALQRKAAEAQVSSEEEEQMLRNLEKKETEYMRLQRHKIGIDDFELLTLIGKGAFGEVRLCRAKSNGEVFAMKKLKKSEMLSRGQVEHVRSERNLLVEVDSRCIVKLFYSFQDSDYLYLIMEYLPGGDIMTLLMREDILSEDVARFYMAESILAIHSIHQHNYIHRDIKPDNLILDRNGHLKLSDFGLCKPLESKFSSILLNDEDYANQGPINDTDGQQVPWLMPKEKLQQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAIMYEMLIGYPPFCSDDPRMTCRKIINWRTCLKFPEEPKVSKEARDLICHLLCDVESRLGTGGVDEIKAHPWFNGVQWDMLYEMEAAYKPIVTGELDTQNFEKFPEVEDAQSTTPRVGPWRKMLTSKDSNFIGYTFKKSDMLKSGGTSGIDMRSNGPTKPPSLVSLFGHMDLKGTALPEEDQEHKS >cds-PLY65635.1 pep primary_assembly:Lsat_Salinas_v7:7:28053607:28055977:1 gene:gene-LSAT_7X21740 transcript:rna-gnl|WGS:NBSK|LSAT_7X21740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSYGSIFLLAVFFAMVLVSFAGRNFPPETHYSKGRTPPPATYNDSKVVGGSGKGGACCVEWLCLPNCPPRKGGGK >cds-PLY74632.1 pep primary_assembly:Lsat_Salinas_v7:7:39156911:39159620:1 gene:gene-LSAT_7X28201 transcript:rna-gnl|WGS:NBSK|LSAT_7X28201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMISQVEKKPVVDIFPTKSNKTNFTGIPVIDLSSPEDAKNLIVNACQDYGFFKVINHGVSPLLVSELENEAIEFFNMRQYEKDQYCPPNPFGYGRNKIGTNGDVGWIEYLLLTSTNFPTNSKIFSSLTNEYVKEVRKLGCTILELMAEGLKIEPKNVLSRMLSDENADTVFRLNHYPPCLDPNSNHDSDLNNKSMSHGRTSIGFGEHTDPQLISIARSNATSGFQIYLEDGTWVGVPPDETSYFINVDDLLEVMTNGRFRSVRHRVVADSFKSRVSMIYFGGPPLMEKISPLGSLMEPGEESLYNEFTWFEYKSCTYKTRLTDNRLSLFHKHSHPVH >cds-PLY76599.1 pep primary_assembly:Lsat_Salinas_v7:5:222968870:222975022:1 gene:gene-LSAT_5X104520 transcript:rna-gnl|WGS:NBSK|LSAT_5X104520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLGFNHGCIAVTIVSRYTGATRVVVSQANTPSTFFGNGRMDEFNTERSKAWNMYTDSPHSPPQTGGDGEGPWKNFGTSMNAISFGFVATAVLISMFLIMAIFEHLFRPNASFPLPQHGTSHSLHEDSRPMQKLASPQSQVQAAYASDFSVLMPGHQYPTYIAHSAPLPCSREGAQWPSHQQHNYIMHAGSHNKNVFKTLIAAEYVGVEIKMAENFQMGVSNKTPEFIKMNPIGKVPVLETPDGPIFESNAIARYVASLKPESSLLGSSLIEYGQIEQWIDFSTLELDANLRGWAMPRVGYSPYIKPAEENYISAVKRGLGALNTHLASRTFLVGDSVTLADIIMTCNLAFKFKVVLTKSFTSEFPHFERYFWTMVNQPKFSKIIGEIKQTETVPPIPSAKKPEAKPKSEPKNEAKKEKASKPKPEPEAAPEEEEAPKPKAKNPLDLLPPSPMVLDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCNYKYNDENTVSFVTMNKVGGFLQRMDLARKYAFGKMLIIGNEPPFKVKGLWLFRGTEIPKFVMDECYDMELYEWTKVDLSDEAQKERVNQMIEDFEPFEGETLLDAKCFK >cds-PLY95815.1 pep primary_assembly:Lsat_Salinas_v7:7:176363410:176367178:-1 gene:gene-LSAT_7X104021 transcript:rna-gnl|WGS:NBSK|LSAT_7X104021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G53890) UniProtKB/TrEMBL;Acc:C0LGV8] MNSISWALLAFLICSSLGIETLTQTCHPVDLLALKDFAGSFINASILTSWSNDSICCHWDGVVCEDDAKNLSKTLNRVTMLSLSAKGLKGEIPNSLPRLEKLRSLDLSFNQLQGEFPKNLSNLMDLEVLNVSSNSLTGDLFDIGKFPHLIALNLSNNSFAGEISPQICTSSTNLQILDLSMNHFTGNLEGLSNCHKSLRELLVDSNSFSGDLPEFIYSMSSLKLLHLSSNNFSGQITTNLSKLSNLESLLLSGNRFSGPFPNVFKNMTRLEQLNAHSNSFTGPLPSTIESCSNLQILDLRNNSFSGDLSLNFSKLSNLCTLDLGSNRFHGLLPISLSNCHELRILNLAKNALYGEIPIPYMNLSNLSFLSFSNNGITNLPRALSVLQQCKNLTTLILTKNFQGEELPRFVNGFDRLMVLAIANCALKGQIPPWLVNCPKLEVLDLSWNQLTGVIPSWIGQMERLFYLDFSNNSLTGELPKSLTDLKCLVSSNISSSILTSSTGIPLYVKKNQTGKGLQYNQVASFPPSIYLSNNNINGTILPQVGRLIQLHVLDLSKNNLTGTIPDTISEMGNLEVLDLSSNNLHGTIPASLNKLSFLSMFCVAYNHLQGAIPTGTQFSGFPNSSFEGNPGLCGQVLSPCSVNGIPSVNSDSGRKLGRNGIVGITLGIGAGIAIVLAFILLKMSRKRHGDWIPELEENNSRRNGNGISGVFTSSKLVLFPSFNCRELSVSDVVESTNNFSQSNIIGCGGFGLVYKADLPNGSKAAIKRLSGDCGQMEREFHSEVEALSRAQHKNLVSLKGYCKHGNDRLLIYSYMENGSLDYWLHERVEQELPLKWRVRLKIAKGAAKGLSYLHNDVKIIHRDIKTSNILLDEKFKAHLADFGLSRLLCPYDTHVTTDLVGTLGYIPPEYGQTLSATFKGDVYSFGVVLLELITARRPVEVGKGKNCRDLVSWVFQMKLEGRYGEIFDVSVWDKSCENQLLEVLGVACKCLDQDPRRRPGIEQVVSWLDGVVETRA >cds-PLY98127.1 pep primary_assembly:Lsat_Salinas_v7:1:139473896:139478787:-1 gene:gene-LSAT_1X101141 transcript:rna-gnl|WGS:NBSK|LSAT_1X101141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVVNSKVSEISSSSSSSHGHRYDVFISFRGLDTRNGFTAYLHKALMDANITTFLDDEEIETGEDLKPELESAIKASRASFIVLSKNYATSTWCLDELVLILKQRMTSNHIVIPIFYHVEPTHVKKQQSSFEDVMAKHKQMMEAETNENKRSKWAQKIKWWNNALIDVADLKGKVVNDRPEIEVIEEIVKDIYHRLHVPIRSPLPLLIGMDYSITFVTSWLKDVSSHTTNILTILGMGGIGKTSLAQYVYGLHRCEFEASSFIGNISRRYEQNFSGLLELQKQLYDDISKPIPIQVHDASIYTLKIENVVARKKVFLVLDDIDSIDQLDALLGSKCFHPGSKIIVTTKDSWLTESCVQFKTSVKPNHVKHLLQGLREKHSQELFCFHSFMSKDPKEGYEEVSEKLVKYCEGHPLALEVLGKSLYNRDVAYWEECIEGLEKEPISRINSVLRMSYDALPSKNDKDLFKHISCFFVGIDIDIAQTILKACGINTKSGITNLKDRCLLSVGWNNKLSMHGLIQKMGRFIVNQESPDKPWKRSRLWCHEESFKVLKQKKGKGNLLGLAFDMRMLEKEKLHASFEMKTDALCNMDNLMLLQLNYVQINGSYENFPEELRWLCMHGSPLKSIPSDLRMVNLVALDMSYGNIESLGMCDSNQQQLKSRQNVFKGTLRHSVEGSLAHRRMSSTVYSYPKNLSPHIIK >cds-PLY89783.1 pep primary_assembly:Lsat_Salinas_v7:1:7089591:7092185:1 gene:gene-LSAT_1X4961 transcript:rna-gnl|WGS:NBSK|LSAT_1X4961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVTNGGDRWWVGGSGDEVVVMGGRGGWWWQRYKVEVSGGDGRWWVGGGIVLIMCRLVASEDTSAGELEHHQSTVNGTRRMHPQIQAQIARLEARISRVEARMERLEVSVLYLQRRMYIYGFVCLIGVMLYCLTNKNYQD >cds-PLY78326.1 pep primary_assembly:Lsat_Salinas_v7:2:181576900:181578336:1 gene:gene-LSAT_2X102601 transcript:rna-gnl|WGS:NBSK|LSAT_2X102601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIDPMFETLTPSRIVTCTTPNPVNHRCYLRTPRIRVVVLDSPVPVAADDLPKIAAMIVPDQRETDWTFCANSGLRQLLLDYPNISRLILIGNDLPPNPHPCIYTRPPDTDTVDVDRKKLEDELQSLVMSLHPKVCFQNGLPKIRFLTYEDDLLYRVIVATFVGPVVGEFVVEDVLMEVHDDSVDKKLRRNLRFKRMPNLIQSQVHLYPVMADDGKVVADVISLEYLKKMKNVKFQIDTTILVHSYLAPMVSGLFFIGSHLNKRIRQGFPPRALCLGVGGGALLTFLNTQMGFEVVGVEIDEAVLSAAKQFFGFNNGNSIQLIVGDAIELIQNSAMQQKKGATDDSDPDVKIDGLDAKFDVVMVDLDSNEPRYGIRAPPLEFVKKSVIQAVRLLFDDDHGVCIINVVPVDDLFYKTLVQELKDAFHSVHEIDVEDEDNVVLVATVSEPTSSMDEDAAAFLEKLMHEIPEGLLESVAEL >cds-PLY94468.1 pep primary_assembly:Lsat_Salinas_v7:4:143920758:143928163:-1 gene:gene-LSAT_4X89941 transcript:rna-gnl|WGS:NBSK|LSAT_4X89941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:WUS-interacting protein 2 [Source:Projected from Arabidopsis thaliana (AT3G15880) TAIR;Acc:AT3G15880] MIAGLPRELVFLILQFLDEENFKETLHRLESESGLFCNIKYVEELVTKGDWDELEKYLSPFVQVDDNRFSVKILFEIRKQKYLEALDTKDRAKAVEILNKDLKVFKTINEDLFKEMTLLLTMGNFRENQQLSKYTDTKTARAVLVHELKKLIETNPHLKDKCQFPDFKNSRLRLLVNQSLNWQHQLCKNPKSNPEIKTLFVDHVCGQQTNVGQASTHVTSEIVNSMLRTSGFQTPLKQEAAPFVGQGIVNTSSLTHQPVSSRTLLLAANTSDSEHTLRRARPFEISEENNNLATSSSTRPGQTHVYGLRHHDDLPKTVILTINQGSDVNTMDFHPEYPTVLLVGTKTGDISIWEVASKLRIALRGFNVWNIMACSMSLQAASLTNDPVIVNRVTWSPDGLFLGVAYSKHIVHLYSYRAGDELRDHLEIEAHVGNVNDIVFTIRNQKLSFITCGDDKKIKVWDAATGVNEHTFEGHDAPVYSVCPHRKGHIQFIFSTSIDGKIKAWLYDDMGARLDYDAPGYTCTRMAYSKDGLRLFTCGFSKDRESYLVEWNDSEGTVKRTYYGLGKQFAGVVQFDTTKKLLAAGDEFSIKFWDMDNKHLLTSTNAEGGLPASPCIRFNKGGILLAVSTSEKGIKILGNTEGARIIRSNDDRRKMPGVRSRNPDDMEVSGVWKPKEITEPSQLRSMRLPDNMLSVRILKLMYANSGTSILALAYNAVHKLWKWEMNNQNITGTATTSALPHLWQPSSGILMTNDIRDANLDDTISCLALSKNSSYVLSASGGKVSLFNLTTFKTMTRFGRPPPSATCIVFNPHDNNIIAIGMSDASIHIYNVRVDKLLEKLTGHENSVTGLAFSMDKNILVSSGSDAQLCVWKTDTWERHTNKFLQTPPGRTTNTHSETRVQFNPDQTRVLVIHETQVVISDPIKLDFLMQWIPRHGSGAITDAVYSCDGKSIFVTIEDGSVNVLNAKYLRLKCRISPSSYIPTSSRVCPHAIAAHPFDPNQVAMGLSDGGVYVIEPLESDKKWGSDPDVSLGLMSL >cds-PLY87569.1 pep primary_assembly:Lsat_Salinas_v7:4:67204799:67205704:1 gene:gene-LSAT_4X45781 transcript:rna-gnl|WGS:NBSK|LSAT_4X45781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENATHVNQFSSSMSTTTSTFTTSAPRTITCRSKECKSQRGSVRARKGNNGNEEKKLCKTGGDASGCGGEDGEEHHTYRGVRKRSWGKWVSEIREPRKKSRIWLGTFWTAEMAARAHDVAAIAIKGTSAYLNFPHLAHLLPQPATRSPKDIREAAAKAAAMTCGGDGDGAEAEPDSQPILSHSQSSTTLSSSENTQDGAEPESQETMASDDDTFFDLPDLSLHNTDLSDGFFNASSWQVVAGVDSAFRLGAEEPFLWEIY >cds-PLY98529.1 pep primary_assembly:Lsat_Salinas_v7:1:39972010:39973140:-1 gene:gene-LSAT_1X35241 transcript:rna-gnl|WGS:NBSK|LSAT_1X35241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLTTCAPAAATVKGLGGSSLTGTKLILKPSRASFRPTSNRAGAVVAKYGDKSVYFDLEDLGNTTGQWDVYGSDAPSPYNSLQSKFFETFAAPFTKRGLLLKFLILGGGSTLAYFSSTATGDVLPIVKGPQQKPKLGPRGKI >cds-PLY97429.1 pep primary_assembly:Lsat_Salinas_v7:4:16983435:16986368:-1 gene:gene-LSAT_4X12041 transcript:rna-gnl|WGS:NBSK|LSAT_4X12041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCFRVHAAASLIILLSFLFNVAVDCKTLKRDVKALNEIKASLGWRVVYAWVGDDPCGDGDLPAWSGVTCSTQGDYRVVTELEVYAVSIIGPFPTAVTNLLDLTRLDLHNNKLTGPIPPQIGRLKRLKVLNLRWNKLQDVIPPEIGELKKLTHLYLSFNSFKGEIPRELANLPDLQYLHLHENRFIGRIPAELGTLRNLRHLDVGNNHLVGTIRELIRIEGCFPVLRNLYLNNNYLTGGIPAQLANLTNLEILYLSYNKMSGIVPAGLAHIPKLTYLYLDHNQLSGRIPDAFYKHPYLKELYIEGNAFRPGVNPIGIHKVLELSDSEFLF >cds-PLY81889.1 pep primary_assembly:Lsat_Salinas_v7:8:120271880:120272228:1 gene:gene-LSAT_8X84241 transcript:rna-gnl|WGS:NBSK|LSAT_8X84241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPFSSLLLQQWNGTYLHYHKVDPKQTYYLSMEFLQRRALTNAIGNLDIQHAFSSALNKLGHELEEITEQEKDMALGNGGLRKCLHHPRKS >cds-PLY85516.1 pep primary_assembly:Lsat_Salinas_v7:2:195328336:195335320:1 gene:gene-LSAT_2X115960 transcript:rna-gnl|WGS:NBSK|LSAT_2X115960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMISRGLFGWSPPHIQPLTPVSEVSEPPESPSPYMDISNDALPVEAEEEMEESEEIEPPPAAVPFSKLFVCADRLDWVLMVVGSIAAAAHGTALLALTLVYIAGGVFAAGWIEVSCWILTGERQTAVIRSRYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLAIGFLNCWQIAGLTLLTGPFIVAAGGISNIFLHRLAENIQDAYAEAASVAEQAVSYVRTLYAFTNETLAKYSYAASLQATLRYGILISLVQGLGLGFTYGLAICSCALQLYVGRFLVTHRHAHGGEIVTALFAIILSGLGLNQAATNFYSFEQGRIAAYRLFEMISRSSSSVDHDGNTLSSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRDNIAYGRDATTLQIEDAAKIAHAHTFITSLEKGYDTQVGRAGLSLTEEQKIRLSVARAVLSNPSILLLDEVTGGLDFEAERSVQEALDLLMLGRSTIIIARRLCLIRNSDFIAVMEDGQLMEVGTHDELIASDGLYAELLRCEEAAKLPRRMPVRTYNETQAFQIEKDSTDNYQESSSPKFAKSPSLQRASNLHGTRPDSAYGSHESPRNPSPAEKENGLSMDGTNKEPSIKRQDSFEKRLPELPKIDVHAIRRQPSNGSNPESPISPLLTSDPQSERSHSQTFSRPNNALEKPIRVQQAVKEKQKKKEPSIWRLVELSLAEWLYAVLGSTGAAIFGSFNPLLAYVIALIVTAYYRNENDRRHKIHDEVDKWCLIIACMGVVTVIANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEENSADTLSMRLANDATFVRAAFSNRLSILIQDSAAVMVAILIGMLLHWRLALVALATLPVLTLSAIAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLRKIFYQSFFHGMAIGFAFGFSQFLLFACNALLLWYTAICVKNNYMDLPTALKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRVPKIDPDDTSALKPPNVYGSIELKSVDFSYPTRPEILVLSNFSLKVTGGQTVAVVGVSGSGKSTIISLIERFYDPVAGQIMLDGRDLKQFNVRWLRNHLGVVQQEPIIFSTTIRENIIYARHNASEAEIKEAARIANAHHFISNQPHGYDTHVGMRGVDLTPGQKQRIAIARVILKNAPILLLDEASSSIESESSRVVQEALDTLVMGNKTTILIAHRAVMMKHVDNIVVLNGGRIVEEGSHDSLMGKNGLYVRLMQPHFGKGIRQHRLV >cds-PLY92136.1 pep primary_assembly:Lsat_Salinas_v7:3:142671040:142671355:-1 gene:gene-LSAT_3X93380 transcript:rna-gnl|WGS:NBSK|LSAT_3X93380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECNTDEALRAKEIGQTLRGHYRNFALSLHPDKNKSIGADGAFNYISEARSLLYDKARRKVYDQKRNINTNRNGFYNFTRSKTTTKTPMPKLP >cds-PLY66951.1 pep primary_assembly:Lsat_Salinas_v7:7:20898368:20902371:-1 gene:gene-LSAT_7X17700 transcript:rna-gnl|WGS:NBSK|LSAT_7X17700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQQQPQPPQQQFQQQPPLQQIYNYNQQPPPAATIPPQYTGQAVAPVGGATPQPASADEIRTLWIGDLQYWMDEQYLLSCFAQTGEVVSAKVIRNKHSGQSEGYGFIEFVNRAGAERHLQAYNGTLMPNVEQLFRLNWATFGAGEKRQDDTPDYTIFVGDLAADVTDYTLQETFRAHYPSVKGAKVVTDRLTGRTKGYGFVKFGDESEHIRAMTEMNGRLCSTRPMRIGPAANKKSVGSQQYPKASYPNSQGTQNDDDPNNTTIFVGGLDPNVTDEHLRQVFSQYGQLVHVKIPLGKRCGFVQFADRSCAEEALRMLQGTQFGGQTVRLSWGRSPSNKQVEQSQYNGGGYYGYGQGGYETYGYAPVAQDPTMYYGAYPGYPGYPQLQQQPQPSQQQ >cds-PLY71671.1 pep primary_assembly:Lsat_Salinas_v7:3:47518096:47518464:-1 gene:gene-LSAT_3X35980 transcript:rna-gnl|WGS:NBSK|LSAT_3X35980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPYDPSWVPGILHKQRITDLPPQYEIGESSQAPPQAPLRDDPKNDLIPYIVTQGDRLYDSVGSLESLIRGSGSTSLEQRVERLEEVKQEDTKAIHTLYHRIGANRTNANAMSVHYRALDYKT >cds-PLY87036.1 pep primary_assembly:Lsat_Salinas_v7:5:265001338:265003747:-1 gene:gene-LSAT_5X136040 transcript:rna-gnl|WGS:NBSK|LSAT_5X136040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIFTIYQFNRSKPTKFVAAIWNQQIEAIESEFNGSMFLMRTDTGQGDSNTSCKNKKFILKRSQWESYKSSHHFMIFQTLQVLENGQLWVPPSPGHRKNWEEEILTD >cds-PLY71515.1 pep primary_assembly:Lsat_Salinas_v7:3:226679113:226680314:1 gene:gene-LSAT_3X128721 transcript:rna-gnl|WGS:NBSK|LSAT_3X128721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQSGNNPILAQSILARLNHQLSPIGKPFERAAFYFKEALQLLLHSIINNMNPISSPFSFILKIGAYKAFSEISPFVQFTNFTCNQSILEALDGFNQIHIVDFDIGYGDQCASFMQELALKPNNNLVPSLKITAFTSPMNDHFELSLTRDNLVNFAKEINIDFEFEIVNLDVLFLPFRISDKEAIAVNLSPSSQIPFPLVLGFVKNLSPKIVVSVDRGCERTDLPFPNHLIYALQSFSNLIESLDAVNMNLDTLQKIELFMIQPSIEKIVTRRYSFPEKTQNWRGQFLSVGFLPISFSNFTENQAMYVIKRAFSGFHIEKRHSELVLCWQRREIVSVSAWRC >cds-PLY65775.1 pep primary_assembly:Lsat_Salinas_v7:5:275095398:275096049:-1 gene:gene-LSAT_5X145921 transcript:rna-gnl|WGS:NBSK|LSAT_5X145921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSTDNQSTTTETTSTVAASVTTLPLIGGGGSMLLDTDEAGLEASFFNSHSKVDIVALLRKHAYNDELEQSWSRFVKEKNLKDGDIVSFQISMGSDKKLYIDWKTKNRSGSSNIQEQATLQHVQER >cds-PLY99658.1 pep primary_assembly:Lsat_Salinas_v7:5:176103848:176104934:1 gene:gene-LSAT_5X77580 transcript:rna-gnl|WGS:NBSK|LSAT_5X77580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDFKSKSYNYSDRDMQLERYNGGFGSKMPDFEHHPPRDFRSYSVSYASSYKIPQTNMDIVVSGAGNDFKLKKGKSTNGSATKSWSFSDPEFQRKKRVAGYKVYSVEGKLKGSFRKSFRWLKDKYTHVVYGKRLTTILVVSINYVIGH >cds-PLY89354.1 pep primary_assembly:Lsat_Salinas_v7:5:70636606:70637331:1 gene:gene-LSAT_5X33481 transcript:rna-gnl|WGS:NBSK|LSAT_5X33481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRKTIVVVSFVFFIYTIESVAGRKLLGEEKTTLGYGEGVRKIRGSVGCEVGEGSCGEIRGKNLLDGGIAGNRGLDDVNRGQGDFNFDIIFGPRTINETKTSDPAPVGNSDDNGAMNIGGSANGNGMASAGGVNAKGSGNSAGTGAGAGAGSGGRAGAGAGAGAGAGAGAGGRDRAGAGAGAGAGAGAGAGARDEAGTGAGAGGVIPPYTAASPGAAPPTSGYMATAEYTSIFGFFNRGGW >cds-PLY63051.1 pep primary_assembly:Lsat_Salinas_v7:8:75073231:75073510:1 gene:gene-LSAT_8X54000 transcript:rna-gnl|WGS:NBSK|LSAT_8X54000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENVKMSLAVWDKETGGQFPDILGINYISLSPSSEIFNKIKLYQYIDMNWLI >cds-PLY69555.1 pep primary_assembly:Lsat_Salinas_v7:8:82423273:82424272:1 gene:gene-LSAT_8X58880 transcript:rna-gnl|WGS:NBSK|LSAT_8X58880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQHPVNQTTTNIFYKTRICHKFLEGNCGNCDNCTFAHGPIDLHEPPPNWLELVKDKRGQDLNDDQRIIYQMKICHKFAITGECSYGEKCNFLHESPTKFKAQITKRTSGDSMIRFQTMVECSQPNGSHIIKVSTTSSDPNVKFLKNRICSKWEITRTCALGDKCHFAHGIKELNTPVAPMEVHGSTATNSLRLPVTELPPSNFATAIPLKQGEGRGFAKLRLSNKKIKGIYGDWIDDDEEDKQDN >cds-PLY73520.1 pep primary_assembly:Lsat_Salinas_v7:4:22156839:22160427:-1 gene:gene-LSAT_4X15461 transcript:rna-gnl|WGS:NBSK|LSAT_4X15461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASSSATNIMLAIYEKKTVAVDLYRPLRNYIVFNYSEREAQNLEDDLETLKEMRNNIERSSAADSLPARRDLLQNYFKALTAVESRFPISPDKDHVNSVTFTWHDAFKNKNKASQQNIHLEKAAILFNLGAVHSQIGLTFDRSTVEGRRQASHSFIAAAGAFAFLRDNAATKASIGSSTTVDVSVECAGMLERLMLAQAQECVFENTIAKGSTPGVCSKISRQVGLYYEEALAALNVAPLTQHFDKTWLSHVQLKAALFYAEACYRYSLELHEKEEIAEEIARIKSGIGALTDAKKSSPKGAAQQILDAINKLETNLNRNLERAMKENDRVYLMRVPQASSLQPLPAFAMVKPLNMNEVLDASKDKMFASLVPDSSAKALSRYTEMVDDIIRTQAEKLQQGSELARVSLKEMNLPDSILALEGHSVLPTALWEDVEAVQISGGPAGLEGELQQLRDLKRVNHELLVQTEELLQKEAVEDGQFRTQFGTRWTRPQSATLTKNLQDRLNRFAGNLKQAAESDVKIERSVRENMALMSILDRRPIESALPTLAKPIMSLDANEDAVVGTLKQSLRQLETLGAQRAGLEDMLKDMKRKDDILPKLMTSTGSYEDLFRKEIAKYNQICEEISQNLQAQEQLLIHIQGQNRQFAGTFNIEDYKAACEKCYKHIEAAVAKYREIKENINEGLKFYVTLQDAITSVKQQCSDFVMTRSIQCREMMEDVQRQMSGLSFQDGKNSGNNNNNNNNNYNYPSAAPPQRPSSQPESAPRPPYYHHHQQQPPQPPPSVYAQAQHHPPPPQQQPPYGVPQQQPPPPYHGGSPYPPPQQQGGQQPPAGGYEYGQPAYPGWRGPYYNNAQQTQQQPGSFPRPPYTVSNPYPPNQSGYYKQ >cds-PLY64923.1 pep primary_assembly:Lsat_Salinas_v7:8:133208491:133212299:-1 gene:gene-LSAT_8X92481 transcript:rna-gnl|WGS:NBSK|LSAT_8X92481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPREDNCFDVSNHSLSVVSHYRDWSYAFSLLTDSYTFCNQGAELIESTIGGLRLLTLKAPVILSVFRQNNDIHSSISKVQFWGFETIGLKLEVEDQKSKVEGQIWVRSRRFMVRLEASEIRKRKWKRHQIIEFEEEKKGLITKLQVERRSAWYLTSVCTSSQVVELYLLGLPLVFKSSFDKANKTSSKSFRGPSK >cds-PLY88650.1 pep primary_assembly:Lsat_Salinas_v7:5:140137633:140144658:-1 gene:gene-LSAT_5X62020 transcript:rna-gnl|WGS:NBSK|LSAT_5X62020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFLESFQGDDAPGLKAFVRGGTVNDEKVKPDTGGNSKDVSGSKKGSRYVPSFIPPPMATKGKDHEKQKEEERSKEKGKGKPRNIDYFMEELKHEQEMRERRNQEREQWHDGRQTATSTLSTRFDELPDEFDPSGKLGSFDDGDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERKRQKNCGFVAFMNRADGQAAKDEMQGVIVYDYELNIGWGKSVSLPSQSLPAPPPGQMAIRSKEGATVILSGPSGPPVTSVPNQNSELVLTPNVPDITVVLLEDIRLRKVIDTMALYVLDGGCAFEQAIMERVRGNPLFSFLFELGSKEHTYYVWRLYSFAQGDTLHRWRTEPFIMITGSGRWVPPALPTAKSPENEETGATFAAGRSRRVETERTLTDAQRDEFEDMLRALTLERIQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAYRTKFEANLPDILESFNDLYRGITGRITAEALKERVLKVLQVWADWFLFSDAYVNGLRATFLRSGNSGVLPFHSISTDIPETEHNPNSNDLGITNSDAALAIGKSAATKELMSLPLSELERRCRHNGLSLIGGREVMVARLLYLEESEKQRGYEINDNLKYSNVRNPRESNVDSGPVGFSGRNHEVLSEGRGSESLIPIPIPQPELKAFPNKMKSDSVLPVSKWARDDDESDDEHKRSTKDLALGYSSSGSENTGGGGGGGNAEVAEPLSNANIFSDSGLSEEKRQKLRQIEAALIEYREVLEERGMKNKEEIEKKVAAHQKQLQYKYGLTDSSEDASKNRRGDKRDNSRESSRKRHRSHRGGSESPPPLPPPKSSSRDREKERDYDRDKRRDRDRDNRRRMS >cds-PLY82557.1 pep primary_assembly:Lsat_Salinas_v7:2:182982212:182982945:1 gene:gene-LSAT_2X104161 transcript:rna-gnl|WGS:NBSK|LSAT_2X104161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSIIISRSHSLLHLKHSSNSNLRLLRLFSDQGRVLDDEERARENLYVKKMEKEKLEKQKQKMEGKDKLDDKDKSEKVL >cds-PLY91410.1 pep primary_assembly:Lsat_Salinas_v7:3:55745071:55746589:1 gene:gene-LSAT_3X44301 transcript:rna-gnl|WGS:NBSK|LSAT_3X44301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEVGMGMVSFIRVAWILATFPVAVACFPLPGLGWFRTALLGIAKRGKILQSKSNLTVPQRFFFHFYVVGVLWTTILLVSVWSYAMREHVYNVWLSVFLLVLMEIQVLRRFYESIYVFNYSPSARMHIFGYLVGIFFYALAPLSLCCNFATQVFDFVSGRAHMSRPGFDNIWMFVTPFLMLPWYAWIGGAIFLWGWVHQLRCHQILGSLRDKSEKLEEYVIPYGDWFEYVSSPHYTAEIVIYGGLVVASGGADLSLWLLLAFVVANLVFAAIETQIWYRHKFDNYPRNRCIIFPFVY >cds-PLY75835.1 pep primary_assembly:Lsat_Salinas_v7:8:165126921:165127863:-1 gene:gene-LSAT_8X108861 transcript:rna-gnl|WGS:NBSK|LSAT_8X108861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKHKLRLSHMIPNAWFYRLRDMNTKPLSSAYSSSTSKQHNYPRNSICYTPTLQPVYTTNIIHNPPSRKHPLYTQPTEPIDHTNKYPFSESTRSTPPSTSCSRELITASTNDNLQKLPPIITKPTKSSIDKSTMVEKNEETCSKSSVSIKTIKENISKKETAGGKPVSEIKIRSNSSKTPVCKRMVDDNNDDKRQKKLSSSCCIVKSSFDPQKDLKESMLEMILENNIRESKDLEKLLACYLSWNSNEYHDMIVKAFEQIWLSFNFSSLVH >cds-PLY95791.1 pep primary_assembly:Lsat_Salinas_v7:7:93296971:93301789:1 gene:gene-LSAT_7X64221 transcript:rna-gnl|WGS:NBSK|LSAT_7X64221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLKVYADRFSQPCRAILIFCKINGIDFEEITIEVLKLQQFTPEYKEINPMSEVPAIVDGGFKLFESHAILIYLACTNPGVADHWYPADIFKRTKIHSLLDWHHSHLRRGATGLVYNTILAPLYGLPSNPRAVDEAKKILPKSLSILDDFWLQGSGQFLLGNSEPSIADLSLVCEIMQLEFLSEKDYLRILSPYKKVLQWIKDTKNATAPYFDEIHMTLFNAQKGIREHMLTQSVSSPEGGRKSEVYSEM >cds-PLY99279.1 pep primary_assembly:Lsat_Salinas_v7:5:300882910:300884589:-1 gene:gene-LSAT_5X162620 transcript:rna-gnl|WGS:NBSK|LSAT_5X162620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCRRSGASVFSSDPSSSSSVTSPSTPSASALAYASPSLGSSPLSFALTSATGYGRRKGFGGGLLWNEDGKRKGESIDRRNMNEDGEVQNSSSELLLDDEEQIRRGPFCGSIEPSVKLTFF >cds-PLY95809.1 pep primary_assembly:Lsat_Salinas_v7:7:94557350:94558935:1 gene:gene-LSAT_7X63741 transcript:rna-gnl|WGS:NBSK|LSAT_7X63741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAELRSNPNSISLSNPTLFNSHQNPLSGALKGCLGNLDGACIEKLLLHCANALENSDINSAQQVMWVLNNVVSSSGDPNQRLASWFLRALISRASRVCPTPMNFNGGRSAFQRRLMSVTELAGYVDLIPWHRFGFCASNSAIFKAIQGCPKVHILDFSITHCMQWPTLIDALAKRPEGPPSLRISVPSWRPLVPPFLNVLTEEVGIRLTNFANFRNVPFEFNVIDVSPSIEESSLNFNYEFLLTQENLVQNDEALVINCQNWLRYMPDIASSKDSFLEIVKTLNPRIITIVDEDSDLGSSSLASRITTCFNFLWIPFDALETFLPKDSFQRMEYEADIGHKIENIIGFEGRQRIERLESGAKFSQRMRNLGFFSVPFGEDSINEVKFLLDEHASGWGMKTEEDMIVLTWKGHNSVYATAWFPYKFDN >cds-PLY77053.1 pep primary_assembly:Lsat_Salinas_v7:1:159975599:159977440:1 gene:gene-LSAT_1X109660 transcript:rna-gnl|WGS:NBSK|LSAT_1X109660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGASGRPIKDWEELKGLIRRRFRSLRVTAEWQFSAVSQVKTPSKYRSSVRPEVTNPLDDGREEVLLGFRKNGIGTNNWAKITTLDSHKPDQKMDRKKIKATIPKLIRIDNCFTITHASTTFFDPQFKLKHGVLAPITHRSSSTRLVFNFTVSSKINHMAIDIVHDAEIQQEVRHHEINDPSENSKDEKYHGANGIWRELSEIKLSTLLSIIKVLVDCSSVIDQLCVTKLILHDQQFFQKLMDLFRVCEDLKNYEDLHIIYKIIKRIILISPQILEKIFSDELIVDIVGCLEYDPDVPHVCHCNLLKTHVFKEPIPVKNSLASSKMHQAYKVSYLKDVVLPRLLDEVTIASLNSIIHSNNRMVVTMLKDDTNFVNDLFTRNLVSEGIFEVIGDGIVHQKESDVHEHSLMIDSIIDENVALIIDPLAPLMEAVKNEFIETELVDNMELPPTLIEKIKEEVKLKTMKVHVYENDCLQVGDLKYVGNLTKQSVIEVGEVFGHEHIYVTLCSVVDFLDQHIKKHDIKKGRMGVRVVENDVLEF >cds-PLY79984.1 pep primary_assembly:Lsat_Salinas_v7:9:45113061:45113522:-1 gene:gene-LSAT_9X40821 transcript:rna-gnl|WGS:NBSK|LSAT_9X40821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAKPRGVVIKDVTEPGTQESKNRKFVGVLKRIHKVSALNNEEPCIQSTSPSRMLEADRDSEETEDATFGNDDQTFCVSPREDTYVEFNTEEIHIHDLTVNTSDVDTNVASSEPLITSILKQTIVTPPEVPISESHVDQGMTSNITENVCNMK >cds-PLY89169.1 pep primary_assembly:Lsat_Salinas_v7:3:22413754:22415294:-1 gene:gene-LSAT_3X16741 transcript:rna-gnl|WGS:NBSK|LSAT_3X16741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAARKLSHDLTELKMLRMEREETQRMKQGKPGAEDPTMKRLSEMETALRKASGQVDRANAAVRRLETENAEIRAEMEASKLSASESVNTCLEVARREKKYLKRLLAWEKQRAKLQDDIAVEKRKIGELQEEMIQVEAAKKAAEAKWKQEQKAKEEALTQVEEERRLKEATEANNKRKHESLRSKIEVDFQRHKDDLQRLEQELSRLKTMSSTNSDNKQHSTTNLSTPHTPNGSHPTIARMLHELDNLDDSDEKETGIDRDCIICLKDEVSVVFLPCAHQVLCATCNDEYGTKGKAKATCPICRVPIEQRIRVFGATS >cds-PLY97489.1 pep primary_assembly:Lsat_Salinas_v7:1:193177819:193182421:1 gene:gene-LSAT_1X124620 transcript:rna-gnl|WGS:NBSK|LSAT_1X124620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKNLIFPSILRVGLAFHEYGGNDSISIPLPQWVVEIGKDNKDIFFTDREGRRNTKCLSWGIDKERVLKGRTAAEVYFDVMRSFRTDFDDILCGQTFWGRGPENCGEYNSSPQETGFFCEHGDYGSHYGRFFLQLYSQFLIDHANTILSLATLGFEKIQILVKIPAVYWWYRSKSHASKLTGGYYNPDNHDGYSRLFKVLKKHSVVVKFVCPGSNLNLSSKENHDPEGLTWQVLNSAWEEGLCVAGENAFPCFDREVLMRLLETAKPSNDPDHQHFVFFNYKSPFPILPLFDTTLCFSELDQFVRCMHAGPNKPNLINGLLPEVLPLLYAELKGEVRLIGYCVEGSLFLVYEFIENGNLSQHLHGTGNSYCSAFIPNSTFFGWRKASQELSKQGFISLEEL >cds-PLY81626.1 pep primary_assembly:Lsat_Salinas_v7:2:1906435:1906728:-1 gene:gene-LSAT_2X480 transcript:rna-gnl|WGS:NBSK|LSAT_2X480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISLTPNTTGQHYLPPESASNSSHTIASNLVIDTFGFRVTIAEATTLPIDCTRRLLTAMQLHLKYRPSSVPQDRLQLAASSKIKHQSPPDGDAHYRL >cds-PLY91719.1 pep primary_assembly:Lsat_Salinas_v7:7:26561536:26561772:-1 gene:gene-LSAT_7X19220 transcript:rna-gnl|WGS:NBSK|LSAT_7X19220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNAKWAKDSQVTEVESKKAVALREAELQKEVEIMNALTQTEKLKSEFLSKASVDYETKVTKITQINRIQLITYLSRN >cds-PLY83310.1 pep primary_assembly:Lsat_Salinas_v7:1:61607597:61609169:-1 gene:gene-LSAT_1X52881 transcript:rna-gnl|WGS:NBSK|LSAT_1X52881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPELKQNRHQLKHFILLHSDLNCSKSYSLRSSNLVLKRTNLRVFKIAELKAATNDFGISSKIGEGEFWSVHKGTIKSFEHPYDDIQGHRKWLREATVLGVVEHPNLVRLIVYCVEDDETKTQRILVYDYMLNGSVDHRLSSSSEAPLSWTIRLKVAQDVARGLAYLHEGMDYKIVFRDLKPSNILLDDQWNAKLSDFGWLLRPQGLANVSTTVTTGYTAPEYTETGYLTSECDVWSYGSFLYERITGSVPLAKNQPNELHFLERVKAYLDSKRFRVIVDPRLEDNYSMKSAKKLLLIADRCLSKNPKSRSKMSEVMEMVDKVIGGPSKASILDTCLGSPVRVVSIKLKKVFRCTMKLQ >cds-PLY91336.1 pep primary_assembly:Lsat_Salinas_v7:4:247272536:247277315:-1 gene:gene-LSAT_4X131141 transcript:rna-gnl|WGS:NBSK|LSAT_4X131141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKQRSSQRKNAAMLDSDDTDSVSSSSTVPSDYVLGNGVPEVQLDKESVLDQSLDALYEKRGSTREKALADIIEAFNSSLPHEFVEKKFATLLHQCLNSVKRGSAKEISLASHAIGLLALTAGSGEKSQEILEESVSPFSEALKSRSEASKIASLLEGLAVIAFVGGKEPEETEKCMQIMWQVVHPKLGPNVVATKPSPGIISAVVSAWAFLLTTMDAPSLDPKSWQESVSYLSTLLDKEDRSVRIAAGEALALTYEMGNLEKFCGASKPTTNDASATDGISSRDVTHIQGLRAKVVNQVRNLSAEAAGKGSAKKDLNSQRNTFRDILEFLEDGYAPETSVKIGGESLTTTTWSQLIQLNFLKRFLGGGFVKHMQENEFLHDVFDFTPKKKILSSTDRVSGIDKRMYRSPNSIVNKARTQFLNKQRMMSQIVGIGLKDSPTILALRNNREDRNAGHYASELGEE >cds-PLY86026.1 pep primary_assembly:Lsat_Salinas_v7:3:74179974:74180364:-1 gene:gene-LSAT_3X61080 transcript:rna-gnl|WGS:NBSK|LSAT_3X61080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMEEIFKGTKDFKNEVFDKVELELNQFRLLIYNANVKQMVDEPGQEYFLYLGQKIQQEAANQAKIDVSEAKMKGEIGSKFRQGQTLQNATEIDAQTKIIATQRRG >cds-PLY66795.1 pep primary_assembly:Lsat_Salinas_v7:5:18725939:18728499:-1 gene:gene-LSAT_5X9541 transcript:rna-gnl|WGS:NBSK|LSAT_5X9541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEENRRKMSSLQLCCFLLIGCLVSTIYASSDASSEEHAQEVHCSRERSRAAYNIIEEYLIPFVEQENYQMSHKCKLHPGNDIFRDQEEHKIHVDVNEWRCGYCKKSFRAEKFLDQHFDNRHYNLLNVSEGNCLAELCGALHCDHVINSVVPKSKCNPAAAARNRHLCESLADSCFPVHQGPSAGRLHELFLRQFCDAHTCSGRIKPFSRGGKKHTNIFYFAISILILMLLPIFYLIVYLYQREMRKGTQILRRISKQSLKKKPS >cds-PLY64128.1 pep primary_assembly:Lsat_Salinas_v7:1:3100518:3102418:-1 gene:gene-LSAT_1X2461 transcript:rna-gnl|WGS:NBSK|LSAT_1X2461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSDLFVKRKPRILCLHGFRTSGEIFKTQLKKWPESVHEKVQFFFPDAPFPCNGKSEVEGIFDPPYYEWFQFNKDFTEYENFDKCLEHIEDLMIKHEIDGLMGFSQGAILSAALPGLQAKGLALTRVPKIRFVIIVSGAKLKNQTWADKAYSLPIQCPSLHFLGEADFLKPYGLELLKSVEDPIVIHHPKGHTIPRFDEEGLEKMLKFIDRVEKIVSNKEDDVVTVSHEESTIAA >cds-PLY88899.1 pep primary_assembly:Lsat_Salinas_v7:4:64403803:64405072:1 gene:gene-LSAT_4X45120 transcript:rna-gnl|WGS:NBSK|LSAT_4X45120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRTRSMTRNQNRDGASSSSRKRVNGGVAPWSDLNPDVLFLVMMNLGVIDFLAFGGVCKSWRSLARNNKKIFMASRPPMLMSISDPSYENKELYCCLEDFEGRKFKTILPHAAGRICVGITCGYLILFGGETKDFWLVNLITRQQLHFPCFPFNARTDLARIRGILVYSPSVSGWVFVVLCTFSCQIWFSMAGTRAWSRISTFLTIDLHAFKGKIYTINIGCRLCEMKLTPEPKLTLLQNKNIPQPGFFFPEFVSSGENLYVMDRGFKDSYTVHKLDFEEMKWVSSEKTLEECAFFVNDFKYSAAIKSDLWVDHRSLYERYAYFHSTIDTSRKGGFLPSYIWYFPSDCLNVNLLDE >cds-PLY64906.1 pep primary_assembly:Lsat_Salinas_v7:1:166442269:166446825:1 gene:gene-LSAT_1X111740 transcript:rna-gnl|WGS:NBSK|LSAT_1X111740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLIESIHDIPVQNPSVEDFSASDLKWIKFGTPEHHDDVALIPYARAEEFIIGECSNVECPTRFHIERGRKRSLGSLMEFKSDEYLEYRLYWCSFGPENYGEGGIILPSRRYRLNTRNRAARPQSMRGCTCHFVIKRLYARPSLALIIYNNRRHVSKSGFICHGPLDRDAIGPGAKKIPYVGHEIQQQTMSMIYLGIPEENVLEKHIEGIQRYCGSDLKVNSIASQYVHKLGMIIKRSTHELDLDDQESVRIWVERNKKSIFYYRDSSETEPFILGIQTEWQLQQMLRFGHRSLIAADSTFGIKRLKYPLCTLLVFDSRQHALPVAWVITRSVAKSDVCKWMKALLGRVRAVDPTWKVNGFIIDDAAVETDPIRETFSCPVLFSVWRVRRSWLRHVVKNCRNIEVQRQIFKRLGEIVYSIWGGVDPFLVLQKFIQDFVDQTDFMQYFNATWVPKIEMWLTTIKSLPLASQESSGAIESYHVKLKLKLFDDSHLGALQRVDWLVHKLTTELHSSYWLDRYADESDSFQTIKDEYVNSTSWHRASKIPNTNITLSPNTTKILSQTDTSRSHIVSNPGSEFAFCDCEWSLRGNICKHVVKVNTMCENLKGYQSSLSFHAFQDILVKMFEKPLDDSMELDLSMAWLGQIHGQIEKLVELNKSTDIGMVVNGLPLKWNSKKSRTLLARRPATVGPSLALTSANSGVNVRKKSRKRKRLSRIR >cds-PLY68061.1 pep primary_assembly:Lsat_Salinas_v7:5:293452337:293457985:-1 gene:gene-LSAT_7X62061 transcript:rna-gnl|WGS:NBSK|LSAT_7X62061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVEKGVTILVVVVVVASMGVMVAYRVAVEIVMIIVIAEVALVVMEAAVAEMASIGKKPFFFVHVYLSIYAHHMFAGMPRSNSQHQPRLQTPFFPGSKKEAIEWTTIYELPHYGRKSKQIKKQLMVRPPYPKMYLKNEMPTVNSITEVCVVIDGEWKVGDLVDWCKDDCYWSARIIKILSGDEVQIELPIPPTRQGRIYDAFCKDLRPSLNWSPLEG >cds-PLY62025.1 pep primary_assembly:Lsat_Salinas_v7:5:130804200:130804902:-1 gene:gene-LSAT_5X55740 transcript:rna-gnl|WGS:NBSK|LSAT_5X55740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYFQIADLDKDGRISGVEGVQFFQTSGLPKAILAQIWSHADVNLNGYLNRFEFDNYLKLVTVAQSKRELTPDIVKAALYGPASPKIPAPRIDLESLVVPQQNLKTMTVDSRSKVQLPDTMLSNSAANGVKPTHAEAFLECNYSWS >cds-PLY62230.1 pep primary_assembly:Lsat_Salinas_v7:5:165680783:165686112:1 gene:gene-LSAT_5X73160 transcript:rna-gnl|WGS:NBSK|LSAT_5X73160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTRLDSAVFQLTPTRTRCDLYIIANDKKEKIASGLLNPFIAHLKTAQDQIAEGGYSVLLQPESGSNATWFTKCTVESFVRFVSTPEILERVYTIESEILQIEEAIAIQGNNDIMIEDQHVKPLAMSVNEGNKPGHDTDEDKAIVLYKPGVQETSSSSGKEGNSKVQLLNVLETRKTVLKKEQGMAFARAVAAGFEIDHVANLLSFAECFGASRLMSACLRYMDLWKQKHDSGQWVEIEPEDAISTRSDYSAINASGIVLSSHNNELRSEATTPETKEKTSSDPNAAGQQQYHTGQFPHPAFPPWSMHSSPGYQAYHMQGMPYYQHYPGNGPFYPPPYPPMEDPHRIEQKRQSMDNFDSEESSEQHKNTGKMKSGKVVIRNINFINSKRQDSSEDDESASDTDPKSNAIANKKTLRSPKRKGKKETESDNGHWDAFQSFILKGAAEDGKESNEDMFSMERNRNQNPQKRQQKTMSGDDPLVHGGHADNIQGDKIDMQSYERNGRKIIYRNGNDDDFMVGGRAQANVRNSLDPLADSRFQDRNGIDLRGNTDEALMVSIRSSSGVNDNRITTINMDYDLSSRSQNPENKVNYEPHDLSLMPERGVEDRSIGYDPALDYEMQLTEDEKRRKESLIDVKKGSKSAEKIQRSKVNGDKKLVGPIRKGKPSKVNSTLEDAKARAEKLRSFKADLQKMKKEQQDAELKRLEGLKIERQKRIAARANSNSNSNSHSHSNSNSISTRKSLPSKLSPMSHKSSKFTDSEPGSSSPLQRSKIRSIGSTNSKKPSTNSKLTEGSSSNRMTKSMSSMSDTKKEVNSIVTPDSKASITRIRRLSDPKKINNNNTHPTTTTLLKTRSAEIVSKTKLSNGPEIKKISAIMSLDQSKAASLPELKIKTSKVSSNLSEKFSKMNEEKDKVSGVAKVNLNEKLLIDENPMIDKSVVMLEHKQPSPVTCRVSKKFEEDCVGIQKVEVVDKETISIQPSSCEVRKNSEMVESSGEKSYQAPVARVSSFEDPSTRNSEYAKAPQPQTNFVGGGTEKAYISDFNNLKLEKIPEVLEKPQVKEVKGFRRLLKLGKKSHTHSDTASGNDVASSGGVHTLKTLIAEDRAPTHGQKSSRHFSLLSSFRGEKKPTP >cds-PLY91689.1 pep primary_assembly:Lsat_Salinas_v7:8:11813497:11815523:-1 gene:gene-LSAT_8X7961 transcript:rna-gnl|WGS:NBSK|LSAT_8X7961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWYRRSKLGFDAISRYFTSKVTAPKSIIQNASSVINESNQLSKLTSSANYPLRVSKFSLFQPISVGRSLNSRLYATGYRYYYVDSRQVRHFKPRGFRRWSDDPKNVLIVVLVGSGVGLTVYFGNVETIPYTKRRHLVLLSKNLERTIGESQFKNMKAGFKGKILPAMHPESVRVRLISKDIIEALQRGLKKEQVWTDLNYASESGAASESRGKETLMAMTEETGGGENWPAKDEVLDDMWVDQSRKKGKEKGEKSATGHLEGLNWEVLVVNDHVVNAFCLPGGKIVVFTGLLEHFRTDEEIATIIGHEVAHAVARHAAEQITKNLWFTIGQLILYQFVMPDLVNTMSNLLLKLPFSRRMEIEADYIGLLLMASAGYDPRVAPKVFEKLGQVSGDSALRDYLSTHPSGKRRSKLLSEASVMQEAVAIYREAMAGREIDGFFL >cds-PLY79461.1 pep primary_assembly:Lsat_Salinas_v7:9:4148402:4148650:-1 gene:gene-LSAT_9X5760 transcript:rna-gnl|WGS:NBSK|LSAT_9X5760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPQHLSSDTSMIHECKRMVGERLQQAFQARIVVEDSRSERSESSDSERKIYNTKQVKRLNRRVKMDDPIRTIMFLGSWSHT >cds-PLY73620.1 pep primary_assembly:Lsat_Salinas_v7:5:207237503:207238149:1 gene:gene-LSAT_5X96741 transcript:rna-gnl|WGS:NBSK|LSAT_5X96741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGSDLGRSSRRCRLLMVKERKNWTNENSLNDNLGHGIFVAGENTECLGFAPDAETYAFRAFTDAQVSYTSWFLDTFNYAIATNMDVLNLIIGGPNNMDLPFLGKFWELTASNISVISSDGPYYVTLNNPADQSDVIGVGGIDYSSSITSFSSRGMNT >cds-PLY77318.1 pep primary_assembly:Lsat_Salinas_v7:5:143229809:143230289:-1 gene:gene-LSAT_5X63640 transcript:rna-gnl|WGS:NBSK|LSAT_5X63640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWHCEGNEIKAWRGTQMPKVLDIAVTPNGEYLITIFSDKDIRILNVATNVERVISEEHLITSLSVSSDNKYLIVNLDSQEIHMWDVEGLWEKPLRYKGHRQHKYVIRSCFGGVNSTFIASGSKIHRFNTYRF >cds-PLY68307.1 pep primary_assembly:Lsat_Salinas_v7:7:96591833:96598149:1 gene:gene-LSAT_7X64620 transcript:rna-gnl|WGS:NBSK|LSAT_7X64620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPGKHSKNDGRKSTNNYCSTVTLVAFVALCLVGVWMMTSSSVVPLQNLDTTLKNTNEVRTVVTDDSIISKDETPTPDNTTSKQFEDNPGDLPEDATKGDNSGNSQHEKNNSKEDNTQTQVQETTIQNEDEKETNSDEKDSKKDEDSKDEKQEISEEGKEGKEDSSSNQVEILPSGAQSELLSETSAQNGSFSTQATESMNEKEGHKEKKGEKDYGYNWKLCNVTAGPDYIPCLDNLQAIKGLRTTKHYEHRERHCPENPPTCLVPLPEGYQRPIEWPTSREKIWYHNVPHTKLAELKGHQNWVKVTGEFLTFPGGGTQFKHGALHYIDFIQEIVPDIAWGKRSRVILDVGCGVASFGGFLFDRDVLTMSFAPKDEHEAQVQFALERGIPALSAVMGTQRLPFPARVFDIVHCARCRVPWHIEGGKLLLELNRLLRPGGYFVWSATPIYQKLPEDVGIWEAMTKLTKAMCWELIAVNKDKVNKVGVAVYQKPISNECYEGRPQNDPPLCNESDDPNAAWKVPLQTCMHKVPIGAEVRGSQWPEEWPSRVEKAPYWLLTSQTGVYGKPAPEDFTADFEHWKRVVNKSYMNGLGINWSNVRNVMDMRAIYGGFAAALREMNTWVMNVVSVDSPDTLPIIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKIKKKCNMMALIAEVDRILRPEGKLIVRDTVEIIDEVENILRSMNWEVRLTYSKDKEGLLCVQKSMWRPVEVETITYAIA >cds-PLY63010.1 pep primary_assembly:Lsat_Salinas_v7:8:183983038:183985861:-1 gene:gene-LSAT_8X121240 transcript:rna-gnl|WGS:NBSK|LSAT_8X121240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYADVQMFMGKLQQLINCNDNELINNQSILCERPQFQLLYEALGSMIQTLFNHEDQDLHNFEEMKKLKKRFKAAAEEAEDIVDIFLSAVHCRNNRYSPISNVFQPYLHLEVVMRSIDSIKMEFMTMRMDNMKMDASQRTDRLQMQSSGTSRSRNSIGSKKVKEEMVVGFDRDAEIIRDKLAEDGKHLDVISIVGMGGIGKSTLANKVFYDPFVVYHFHIRGWVTVSQTYDKRDLLIQVLSSIDDGLELEEATDSQLHQILHRSLYCKRYLIVIDDTWSTQTWDKLKLFFPDHNNGSRHLLTNRLTEVAWHAKLHGLIHHLQHLTEEESWKLLCEKVFKGDECPKWLIKPGKQITKNCHGLPLSVVVMAGVLAKEPRHKDVWLKISYSVHSYMASDEKGCLETIALSYHHLPLHLRDCFLYLGGFPEDYKIHSPWLLWVWMAEGFIQEDGNQSLEEIAKGYLVDLVDRNLVIVQKRYLSGDIRRCKVHDLVRQLSVEKKKDSS >cds-PLY81100.1 pep primary_assembly:Lsat_Salinas_v7:9:68330511:68331848:-1 gene:gene-LSAT_9X56500 transcript:rna-gnl|WGS:NBSK|LSAT_9X56500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative septum site-determining protein minD homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G24020) UniProtKB/Swiss-Prot;Acc:Q9MBA2] MTSMQLLTEPSSLCSSNFIPFNPLYKNLIKPTTKPYPKLSPIRSVLQYNRKPELAGDTPRVVVITSGKGGVGKTTTTANVGLSLARLGFSVVAIDADVGLRNLDLLLGLENRVNYTVVEVLNGDCRLDQALVRDKRWSNFELLCISKPRSKLPLGFGGKALVWLVDALKDRQEGCPDFILIDCPAGIDAGFITAITPANEAVLVTTPDITALRDADRVTGLLECDGIRDIKMIVNRVRTDLIRGEDMMSVLDVQEMLGLSLLGVIPEDSEVIRSTNRGFPLVLNKPPTLAGLAFEQAAWRLVEQDSMKAVMVEEEPKKRGFFSFFGG >cds-PLY84975.1 pep primary_assembly:Lsat_Salinas_v7:5:155878255:155880838:1 gene:gene-LSAT_5X68521 transcript:rna-gnl|WGS:NBSK|LSAT_5X68521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDFEPLFGEPKVEWPATTSTPRPFLFQVHAPDSSHLTFHATDFFSSTFSAVRSIEQLDDMRDDIGIGGSWSEFLEYLVNSIKFGDVKLVLEGKSKSDGPECARLIAQKSKGMPRISISLLKCVDTAANETMAILSLDLYKAHQRNQKLLIQEQEGRCQLTKKLSAEQMYKLIRIQAP >cds-PLY98537.1 pep primary_assembly:Lsat_Salinas_v7:1:37221249:37222735:-1 gene:gene-LSAT_1X31900 transcript:rna-gnl|WGS:NBSK|LSAT_1X31900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIGQAIPSNFIPHIEKCFMEAANSWCFSCCGYDIAKFSEGGYFGEWTLLGEYVDSLHIVALGDVVCVVLTKEKFELVSMQFDLIALVTVNYAIVNAHNLVQENGVNDFLPLGNLEKVVKEIDDQPLPLVDGIEDQLVEFSIAMRIGKVLREAAEAKAAAQPEAIEWKHKYELEREKNLQLEQK >cds-PLY69114.1 pep primary_assembly:Lsat_Salinas_v7:5:287100352:287100753:-1 gene:gene-LSAT_5X152021 transcript:rna-gnl|WGS:NBSK|LSAT_5X152021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNPSPPXPRFLLSFIPYSKRRRPENPVFCPSPLVFHDRTRRRMEPPEQPCAADLPPIAIVEPSNHHLTAITTSCCLRSSPFFLDQTTPEELPATTLVHLVPNPDNQMNKTSYPYFCTFGGVEKTSPCICDFI >cds-PLY82189.1 pep primary_assembly:Lsat_Salinas_v7:1:15749787:15751023:1 gene:gene-LSAT_1X14160 transcript:rna-gnl|WGS:NBSK|LSAT_1X14160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWLVKTQYISPLSTDATRLSVTEQQAKELRERRGNTLLDSHNSRYIIFNFPFLILYLYINMYFIFLFSSAPNFFCRKRKIKDIKASFEACKSHPLHETNKKLHPEAHDIFGDVDELLRQRKLGLERISRYDDSGEGKERRLEDEFEPTIL >cds-PLY73546.1 pep primary_assembly:Lsat_Salinas_v7:9:77713880:77714421:-1 gene:gene-LSAT_9X62940 transcript:rna-gnl|WGS:NBSK|LSAT_9X62940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKKQESGSSSSSSFTETLFGPKDGGSSSSSSGRFGSVFGPSSTGLAKDTSHCSKYTGTGSGSSNSQDPNGATASRGNWWQGSLYY >cds-PLY73683.1 pep primary_assembly:Lsat_Salinas_v7:5:206900422:206902527:1 gene:gene-LSAT_5X92000 transcript:rna-gnl|WGS:NBSK|LSAT_5X92000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSTITVSKRHLPGYKCLIILILLPISFTIIFNHYEKITYFLRPLWDTPPRPFTYIPHYYAENASMATLCRLHGWTLRSHPRKVFDAIIFSNELDLLEVRWGELNPYVTKFIILESNTTFTGIPKDLTFASNRERFSFVEDKIVYGFLPGKLASKGKTVNPFSVEAHHRVSMNGLIASSGISNGDLLIVADTDEIPSGNTVKLLQWCDGLPPVMHLDMRKYLYSFEFPTDPTWKATSHVFNEHRTRYMHSRQTDLVLSDTGWHCSFCFKYLSEFVEKMKAYSHADRVKSKEHLDHQKIQEKICNGDDLYGMLPEEYSFKNLIGKMGSIPRSVSAVHLPTYLIENAEKFRFLLPGGCLRSPD >cds-PLY67413.1 pep primary_assembly:Lsat_Salinas_v7:4:240806229:240808154:-1 gene:gene-LSAT_4X128561 transcript:rna-gnl|WGS:NBSK|LSAT_4X128561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTNCNRGKQVAMDFADQLPSYYRFCPTDSELIVDYLNAKIESREPAKCRLHEVNIYNHTPEELAETYRSHEKKWYFLTSRDRKYPRGNRPNRGVLGKHGYWKTTQVQKPVYDATSGEMVGYKGSLAFFDQNDDKTMWLMHEYTTNEPNLPFENGDKLNEWVLCKIYQNPKEVMEEPNIPLPKRRRVLKKNEMSFSNQQLEQVDVQETNIYSDSCVDQMVAPSHDQSAHIWVNNGDTVGQIRMNSSPYPIPMQPMTTFQGWSCLIQAPSPCYLNQFTSIASNGCQISDHSASSSVSNIGPPASSSQPLDDGAYKTPTSEHGLNSIQPVRIRESCYQKNMLSSTNACDDFLYSNGASNSSSMEPLDCSGYPPVLVQSSHDNAPTILDVQNVWDQSAQGDAAAPVEVELSSECVDRFIEQSMGVPHVTAAAEDFRFRDSCKATISSLFDVEWSSESMDRYIKQYCMGVSQDDDAEDPKTQLNVQTGSNHYMVDVCHDHTADHPIPQPLDDADKWILNTVSFDV >cds-PLY81832.1 pep primary_assembly:Lsat_Salinas_v7:3:30790060:30794262:1 gene:gene-LSAT_3X22381 transcript:rna-gnl|WGS:NBSK|LSAT_3X22381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFPSAPTAFGLAAHEHESSMMHNDVVLCINFSRNSEMLSSRSLDGKIRRTRNGQQLDIAYQVAGSAFSFEIDLLTCTR >cds-PLY77762.1 pep primary_assembly:Lsat_Salinas_v7:2:168888398:168892160:-1 gene:gene-LSAT_2X92740 transcript:rna-gnl|WGS:NBSK|LSAT_2X92740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDEKDAFYVVRKGDIVGVYKSFSDFQPLLYGPNVAVFKGYRLPKAAEDYLGSHGLNNAIYSVGASDVQNGLFGQLIPCPFQQPYSIKDKTGTRNPSENKIKKKVGSTSFSEAPQRKLPEIESFMETLPVSAYCCSCILEFDGAAKGNPGPAGAGAVLRAIDGSLVYRLREGLGVATNNAAEYRAVILGLRYALERGFRHIRVQGDSKLVCMQVNGLWKTKTQNMTSLCKVAKELKDKFASFQICHIEREFNTEADAQANLGVHLQAGEVQEEVDRR >cds-PLY88968.1 pep primary_assembly:Lsat_Salinas_v7:8:130055118:130060212:1 gene:gene-LSAT_8X90180 transcript:rna-gnl|WGS:NBSK|LSAT_8X90180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFFSESAASCCSTHLIDGDGTFNAAGLEKFMKEVKLSECGLSYAIVSIMGPQSSGKSTLLNHLFHTNFLEMDAFKGRHASIPFTYYFGFISSILTVVHSYQDDTAFEKQSALFALAVSDIVLINMWCHDIGREHAANKPLLKTVFQTPLENLEPVLREDIQKIWDSVPKPEVHKETPLKTFFNIEVVALSSFEEKEELFKEQVGNLRKRFYQSIAPGGLAGDRQGVVPASGFSFSAEQIWKIIKENRDLDLPAHKVMVATVRCEEIATEKHSAFAENKEWCELENTVKTQFEPHFGNKISSLLDIYLAGYDNEAGFFDEGVRNCKRKQLEEKLLQLVEPAYQSTMHHVRSETLEKFIKAFEDALNKGQGFKVAAHDCTISSMKSFDEQSKGVIIKQADWDSSKARVKLSHDIDSHIADVKTAKISDLTALYESKVKTTLYGPVEALLEGAADDTWHAIRKLLRNESETAMFEFSDALSGLEMEESAKKNLLSRLENYAVEVVEGKTKEEAAKVLNRMKERFTTIFNHDNDLMPRVWTGKEDIRAINKTARTSSLKLLSVLAAIRLEDYGDKIQGILLVALADPAQASDKNSNVQDPLATCKWEKIPADKTLITPVQCKSLWTQFQKETEYAVSQAISAQKANKQNNNWMPPPWAILALFVLGFNEFITLLRNPLYLILIFITFLLIKALWVQLDIASEFQHGVLIPTILNLIRKLSDAGQRQPPPR >cds-PLY79573.1 pep primary_assembly:Lsat_Salinas_v7:8:118146520:118151103:-1 gene:gene-LSAT_8X82140 transcript:rna-gnl|WGS:NBSK|LSAT_8X82140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTSPIHSFQYDVFLSFRGEDTRKNFVDHLYLALEQRKISTYKDDEKIEKGKRISEQLIRSIEDSKFYIIVFSKNYASSSWCLDELVKIMECHKTNERIAYPIFYDVEPTEVRHQTGAVGKAFAKHEKEESAEKWRDALKEAATLAGWELKNTVDGHEAKFIEKIIEDLLLKLPSNDLIIDENLVGMEARINEVLSFLGVGFDDVRMVGIKGMGGCGKTTLARAVFNQIYSKFEGCSFLENVRENSCSSGLKSLQEQVLLNVVLNAHPITINIQGDKKRLMKQMSRTKVLVVLDDVDCVEQLEALAGKPNWFGKGSRIIITTRDEQVLLKHKVKLIYNVKLLSDEEAVCLFSRHAYGRDIPISEEHKGMLKKVLHYAEGLPLVIRVLGLNLGANNGANERGWKETLEELKTIPLEETSKKLELSYSGLEKDYKEMFLDVVCLLKGETKDYAIEALKSCEDYAKLDLKVLERKSLITFYKNSMGYECVGMHDHIEEMGWNIVRRLHPDKPNQHSRLWIDDEIEYILANDLGTDATRCIQLRTKKLNPEIVMQGLRKMKQLRFLDVHVEKNIRASIFDRFPWNWKLNKFAPYFPNALQYLRWNNYPYRSLPRTFQADNLVSLEIAHSEIVQLWEGGERKVLNKLKFLDLYNSRLKTLDLRLTPNLEKLSLVGSNDLVELDMGAGCLKLIYIDISFSKLRSLDLRPAVNLELLVLKNCDELVELHMPSICLKLRSFHLSNSNLGRIDLRQVSNLEVLRIDGCVNLRSLTLLKSKLRTLEIGLTPNLERLDLQKSDKLEKLHMADACEKLAYIDISHSKLRTLDIGLTPNLEHLDLQNCDNLEELPMVNSYEKLAYLDISHSKLRSADLRLTPNLKTLKLTNCSHLVELKAPAGYVKGLVYLSLTGCLRFSSFTYRSVDESDQVGPLAELHLIAKSQEICPIHPHNKLPKFQFACFYEKSPPSLSSNLEELISFGLCACTNFNRISRSICGLRNLRKLKLQGSFPEAPKELHQLECLEDLSLLSTNIKHLPDSICMLKHLKSLELNKCSLLEKLPEDLGQLECLEKLYLIECKFLRDIPNSICNMKCLQVLHIKGTSISHLPRSILLLKGLSIRGSRQLLESFGFTSEIQTSKNQSLCHVEV >cds-PLY63427.1 pep primary_assembly:Lsat_Salinas_v7:7:150419056:150420299:-1 gene:gene-LSAT_7X89700 transcript:rna-gnl|WGS:NBSK|LSAT_7X89700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVGLKLLLCPLGSNIVLKTACCSVGVILPVYSTFKAIETNNSTDQQKWLLYWAAYGSFSIAETFADKIISWFPLYHHMKFAFLVWLQLPTTNGAKHLYMSHLRPFLLRHQANLDQIVGILYNETGKFVSAHEGEFRFMKAIALKILISAKHFINDSSQPVPPPEGRITGLGEQIDSSDDDDDDIDDIDGYVTVPAT >cds-PLY79468.1 pep primary_assembly:Lsat_Salinas_v7:8:272377286:272381685:-1 gene:gene-LSAT_8X157001 transcript:rna-gnl|WGS:NBSK|LSAT_8X157001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAKVAIDDVGGFLGWIYIRIKPPPPRVVRYAIGETKLSSRIQYLQVDREWGNSYPRVCWLLVDILEVSSSGPNLLAEEQRKKAMKEKLDAKRQPISKVQGGKGENKHRQIHLGGSDQQIALAKQRVDEYIYTQMMQETCVSFLICNILYSK >cds-PLY83016.1 pep primary_assembly:Lsat_Salinas_v7:5:50598711:50602516:-1 gene:gene-LSAT_5X24441 transcript:rna-gnl|WGS:NBSK|LSAT_5X24441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLTTTVHISAVILTKSRPPRYLSPSQFSLPHVTSTRYYLKPHRSVSIRAINSSSDTKSEDVSSENQEDKIEIKSELDNNGSLRGDDAYPTGEFEFESPGAWKSFVVKLRMLIAYPWQRVRKGSVLNLKLRGQISDQVKTRFSSGLSLPQICENLIKAAYDPRISGVYLHIETLNCGWGKIEEIRRHILDFRKSGKFIVAYAPAWGEKEYYLGCACEELYAPPSAYFSLYGLSAQASFLGGVLEKIGVEPQVQRIGKYKSAGDQLIRKNISEENREVLTTLVDNIYGNWVDKISQAKGKKKEDIESFINEGVYQIKKLKEDGWITDIKYDDEVTSMLKTKLGIAEEKKLPLVDYKKYSRVRKWTLGLSGGKDQIAVIRASGSISRVRGPFSSPNSGIIAEQFIEKIRTVRESKRYKAVIIRIDSPGGDALASDLMWREIRLLAESKPVVASMVDVAASGGYYMAMAAQTILSENLTLTGSIGVVTGKFNLGKLYERIGFNKEVISKGRFSELTAADQRPFRPDEEKLFAESAQNAYQQFRNKAAFSRSMSVDKMEEIAQGRVWSGNDAASRGLVDAIGGFSRAVAIAKHKANIPHDKQVSLVELSKSSPSLPEILSGIGSSVIGMDMALKQLMDGLTFSDGVQARMDGIMFERSEGSPFANPIFNLLKDYLSSL >cds-PLY95172.1 pep primary_assembly:Lsat_Salinas_v7:1:169701672:169704485:-1 gene:gene-LSAT_1X113980 transcript:rna-gnl|WGS:NBSK|LSAT_1X113980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKTRCSLIKGFVYGIFKLKSKVQPFDHIFSAMLQLIFPTSKVIVAVSTQSSLSHRKLPIGSNAFPLPTLSYFVYFKHLSMLWTSFELFQGFNVEM >cds-PLY74296.1 pep primary_assembly:Lsat_Salinas_v7:3:126764510:126766396:1 gene:gene-LSAT_3X87140 transcript:rna-gnl|WGS:NBSK|LSAT_3X87140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFAPLFFLYVSVAGQELLQISSLNNHGSVQEALQSTSHAMGVFLDERKLDEVSTSILMAETWLRTHVLSRYPSVNVTAIVVSNRLLCGDKSFEDQEEISRLTLVAMENVYHSLVRWGLERKIRVSVLISSKCLLKSYLKPVFKLLEEINSTYTLKTHDFSDETVEILSSNLKSMADLGVFRSKTVNVISFETKQEKPRSRKLASEVGYSVPSDAATTPLPPLIGVTSPPPLSLPFAPEMQPPMTGTPNSPPPHYGYDLPPCNPYPTPRHGRRGRGAMAAPPPMGDGGAIAAPPLAHEGVWCVAKPSVPSEKLQEAMDYACGEGGADCDPISPTGSCYFPDSIVAHASYAFNSYWQKNKKNGGTCGFGGTAMLIDSDPIGLKVAREFSCLRSV >cds-PLY68900.1 pep primary_assembly:Lsat_Salinas_v7:2:193225730:193226287:-1 gene:gene-LSAT_2X113881 transcript:rna-gnl|WGS:NBSK|LSAT_2X113881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGTKIVGALVGSFVLAFTCDYIIADKKIFGGTTPSTVSNKGWWEETDKKFQAWPRTAGPPVVMNPISRQNFIVKAHD >cds-PLY68574.1 pep primary_assembly:Lsat_Salinas_v7:2:21231098:21234184:-1 gene:gene-LSAT_2X10280 transcript:rna-gnl|WGS:NBSK|LSAT_2X10280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESLISGNLTSPALASNIRSGDLRVIASIAQIYKPYVLLRRGFRWDEANLGEIEANKHVRHKITEPKAPYHPMIHDTDGSLSPIGGSDSFLEGDDNNNIRLNVDAIRSALNEMASSSSNSNSHSGWTSSDDEDEADVMYHDLDDEGGKSARSFREKRKAHYDEYRKVKELQKKESMKKDDEKQSIVDGVGDINIKCNSHMKFERVGENGFSQLQGVWMLREIMISIVMKILTALCFPYVLSRGVFTVFCYLLVVNSGVYRFAWVGCMTFSMKGALCSDTSIGQGGTITWKVLQYTLAYSIVHKLRK >cds-PLY97626.1 pep primary_assembly:Lsat_Salinas_v7:5:234536449:234538766:1 gene:gene-LSAT_5X113681 transcript:rna-gnl|WGS:NBSK|LSAT_5X113681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERKQGFFSALRDEVIRGLSPVRSRSKSPARSGSPMSALLRRRPKQLTNGEPLIGRSGSLTGETLAPLYEGPDQEGSELGESKRVGSVIGHWMKGQLSRTPSMTATMAYKHRSDLRLLLGVMGAPLAPVHVSTNDPLPHLSIKDTPIETSSAQYILQQYTAASGGQKLQNSIKNAYAMGKVKMVASEFETASRVMKNKHGARAADTGGFVLWQMNPDMWYVELAVGGSKVHAGCNGNLVWRHTPWLGAHTAKGPVRPLRRALQGLDPRTTASMFSNAKCVGEKKMNGEDCFILKLTADPQTLKSRSEGPAEIIRHVLFGYFSQKTGLIVHMEDSHLTRIQTNGGDAVYWETTINSSMEDYRSVEGIMIAHSGHSVVTLFRFGEMAMSHTKTRMEEAWSIEEVAFNVPGLSIDCFIPPADLKSTSICETSQLHEDERGNLNSNSNSNSNSNSALVVSGHRAKVAALV >cds-PLY74201.1 pep primary_assembly:Lsat_Salinas_v7:9:24066925:24073360:1 gene:gene-LSAT_9X21560 transcript:rna-gnl|WGS:NBSK|LSAT_9X21560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADHVWLLILLLSLCTISVLGNVFVSIDCGASDSFTDENSIVWKGDGDLISNGVTHVVQSNYSVSHVMDTLRVFTTRKKNCYSIEAEGGKVLVRASFNYGNYDQKSNPPIFDLHFDGNFWITVNTSQVKIYEAIYFVKRKVISVCVAQTRPNNFPFISALEVRSVDSQVNKYVNPNYALFMNARFAYATNEAIRFPADTYDRIWLPMLGGGSLLNLKSDASVINVDVPNNPPQEVLKHAIIAPNTSQMITLGLPTIDYPIRYPLYINWYFSEIQEVNSTNIRAFRVLENRLPFSLPIVPPFGNVSVYFISNLTVTPNTNFSINPLGDTTLPPLINAIEVYSISDALTNGTNNNDVEGLVSLQNAFDVLQEWGGDPCLPAPYSWEWINCNDDSTPRVTSLNLTGFNLSGPLPDFSNMDALEIIDFHNNSLTGPIPGFIGKLRNLKQLYLADNQFSGSIPRSLSTNSNLNLSGTMPNGNVDDEGANELRGNKEEQFYASPSPLLAEG >cds-PLY72801.1 pep primary_assembly:Lsat_Salinas_v7:6:22418469:22419879:-1 gene:gene-LSAT_6X17421 transcript:rna-gnl|WGS:NBSK|LSAT_6X17421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCIRKATMDDLFAMQACNLFCLPENYQMKYYFYHILSWPQLLHVAEDYGGKIVGYVLAKMEEETTECHGHITSLAVLRTHRKLGLATKLMTASQNAMEQVFGAEYVSLHVRKSNRAAFHLYTETLGYQIHDIEAKYYADGEDAYDMRKQLKGKNQHQHQHQHQHQHHHHHHHAGGCCSGDAKAE >cds-PLY93304.1 pep primary_assembly:Lsat_Salinas_v7:4:300851334:300856906:-1 gene:gene-LSAT_4X150741 transcript:rna-gnl|WGS:NBSK|LSAT_4X150741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIAVILLILLSIDHRKTLADSIKQPEAIGYGYSLRSIALGSSGKSLVADLQLNKKSSLFGPDIDELQLFASFETVDCLRVRIIDAKNQRWEIPTQILPRQPQTFDLPLKIRQQIPTKLLLSDPTSDLILTLHNTTIGTITQFGFTIARRSTGDILFDTSDTVLIFKDQYLELTSSLPANRSSIYGIGEHTKRSFKLAHNQTLTLWNADIPSTNPDLNLYGSHPYYMDVRSSDFDGKVVAGTTHGVLLLNSNGMDVLYKGDRITYKVIGGVLDFYFFGGPSPKLVMDQYTRLIGRPTPMPYWSFGFHQCRWGYKDVEDLENVVAGYAKANIPLEVMWTDIDYMDAYKDFTLDPTNFPLDKMLAFVKNLHQNGQKYVLILDPGISVNTTYETYIRGLKADIYIKREGIPYVGEVWPGIVNFPDFLNPKGRMFWGDEIKRFHNLLPFDGIWLDMNEEANSISSPPIPTSKLDNPPYKINNSGIQMPINNKTVPASSLHFGNITAYDAHNLYGFMEARSTKAALVKITGKRPFILSRSTFVGSGRFTAHWTGDNAATWDDLAYSIPSILNSGLFGIPMVGADICGFFGNTTEELCQRWIQLGAFYPFARDHSDIQSTRQELYLWDSVAATSRKVLSLRYQMLPYLYMLMYEAHSKGTPIARPLFFSFPEDTNTYNISTQFLLGKGVLISPVLTPKTVTINAYFPSGNWFDLFNYSNSVSVESGSYVTLDSPADHINVHIREGNIIALQREGLTTEIVKESPFHILVAVGGSENSTGEVFLDDGEELGFGGEGGNWTLVRFSTRFEGKVVILRSEVENGGFALSRKWIIEKLTFIGLENVSGKTGCDDVGHTGTVKICGAGKFRTVVMTGLSVLIGEGFEFTLDLGGGGAVVMKKK >cds-PLY93137.1 pep primary_assembly:Lsat_Salinas_v7:3:55441379:55443225:1 gene:gene-LSAT_3X43260 transcript:rna-gnl|WGS:NBSK|LSAT_3X43260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTSIFSSDQNSLKPPDRLPASSHMPSSQSVNKITTNVSEILGGAFKDDNHAMHFFYSPICIRGQIHEVLI >cds-PLY79059.1 pep primary_assembly:Lsat_Salinas_v7:3:9121183:9124518:1 gene:gene-LSAT_3X8041 transcript:rna-gnl|WGS:NBSK|LSAT_3X8041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPRTFPGGLNKWQWKRLHEKQAREKEKRLLDQEKQLYQARVRSEIRSKIATPDSSKHEQNTNPSNYKPLSPKEHIKALADRFMKEGAEDLWNEADGPIHSPSPQELARIESTHNPINLRRVVSDQSRVASNKVSEVSSGFSNNQLKPRHYSSFLNLGNQYGLLQARHYSVRTSRFTYRKNDSSSSEDDDTDEDEAFLMTKRKGVDLRGSRLSLIGSSDAEGSGDDDEGKGNGKKMMMSSAALGKYDIKKTKRIPLKFLEEEDDLSLHVQAIRNEFNKRRMAEKDIGVGDDDSILTPKRFDECNVSPLTIKALTLAGYVQMTKVQDAAISACLEGKDALVKAKTGTGKSAAFLLPAIETVLKASASNERKRVPPICALIVCPTRELASQIAAEANVLLKYHEGIGVQTLVGGTRFKVDQKRLETEPCHIIIATPGRLLDHIENKSGFSARLMGLKMLILDEADHLLDLGFRKDMEKIVDCLPRQRQSLLFSATLPKEVRRVSQLVLKRDHEYINTVGLGPETHDKVNQSYMIAPHEQHFQIVHHLLKQHIAQTPNYKVIVFCTTAMMTSLMFSLFREMKLNVREIHSRKPQLYRSRVSEEFKEAKQLILITSDVSARGMNYPDVSLVIQVGVPIDREQYINRLGRTGREGKGGEGMLLIAPWEQYFLEEIKDLPLLESSSPHLDPDVKVKIEKAMEKVDPSVKEAAYHAWLGYYNSVRETGRDKTTLVELGKGFSNSIGLQKVPALFRKTALKMGLKDIPGIRVRK >cds-PLY91707.1 pep primary_assembly:Lsat_Salinas_v7:7:26315985:26317948:-1 gene:gene-LSAT_7X19440 transcript:rna-gnl|WGS:NBSK|LSAT_7X19440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC transcription factor 25 [Source:Projected from Arabidopsis thaliana (AT1G61110) UniProtKB/Swiss-Prot;Acc:Q8GY42] MESTDSSTCSQYPNLPPGFRFHPTDEELVVHYLKKKASSIPLPVAIIAEVDLYKFDPWELPSKATFGDQEWYFFSPRDRKYPNGMRPNRAATSGYWKATGTDKPILSSRGDQKVGVKKALVFYGGKPPKGIKTNWIMHEYRLVDHASFKSPIINLSNKKGSLRLDEWVLCRIYKKNNASRLAERDSDNDYMENIIASKTTNSSGLTENNQETYFEIFNINEDGSRIRQSNSISKFDSTSSSMKSGVSSLILNNGKRSFPTTHYWNLESSEKRFHSDDDMNGMMDGNGSFISLMNPVQQGIGGFHPNTVLGSIGDSTLPYQLSSLNWT >cds-PLY93827.1 pep primary_assembly:Lsat_Salinas_v7:6:143956210:143957799:1 gene:gene-LSAT_6X87381 transcript:rna-gnl|WGS:NBSK|LSAT_6X87381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEMSDSMSLSSGDFPPPPSSAIEAKRSAKRRKRIVYEDEDEDEEEVNQVSLPPNSNDEELNNDDDGDDEEVRQDTKTIGNVVRVSGEGESKRNHFKGFEADGVSYELEDTVLVSPEELNIYMKPSVTIIKDISETVDGRIMVNGRKFYRPEFAEEENGGKWESRGERELFYSFEEIEFAAESVMHKCRVHFVPPNKTIPNVREYPGFFVEKAYDAKSKRLCGILDVGHGDSRQLELFVLVQKTIDRIPNWPIKS >cds-PLY73617.1 pep primary_assembly:Lsat_Salinas_v7:5:202886284:202888496:1 gene:gene-LSAT_5X94181 transcript:rna-gnl|WGS:NBSK|LSAT_5X94181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAYRVARMESEDSTTDEQPVADQVSSSLINRVDAMLQNLEKEIDDVDEKIGDRWRVLDRIGRKEKRLKSSNTEINDSTAIKENDRSTLKGKTMKIILPGRKENSVDRKLDKHREMVTSRKNLKIAATSSSSKVSVGTVSSSPIRVLSDANLSPSVRTISRKSGKGTQIPRKLLPREDNTKGISVIDASQRFTGKVDLKQKEAFKIKEDNANDAMIPQSSGKESLPKSREKDKKCNFQRVKVKGSEPLIDLTKQEPFPNKMRKVEVDVDRCRSSMNPEYSNTKTSNDDKDIFGKKISKKRKWHXGIIGDDKEKQTPLMMSHGGSEEKLGAIGSSEVEKDPKKDLGVIGFVKEYASSQTALIAFKRAEESKDYADRIKFLYAASLLESCSDEFNKSKRVDPVNIYTTSAKLSKNCQEYEKQKEMAAAALAYKCMEVTYMRIVYCKSSITRQDLQTSLQMVNQGTFY >cds-PLY81287.1 pep primary_assembly:Lsat_Salinas_v7:5:73113926:73115938:1 gene:gene-LSAT_5X34521 transcript:rna-gnl|WGS:NBSK|LSAT_5X34521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCNFAVCGECCMTRSFSSAGLKSTITTLHDGDTTIHCWVPKKYKLTKPNLLLIHGIGANAKWQWTRIISSVTPLFNVYVPDLPFYGDSYTSRLDRTEHFQAECVMMTMEALGVMGKINMVGLSYGGFVAYSMAAKFPEAFERVVILGAGVCLDSEIDLEEGLFSAKNIKDAANVLLAQTPEGIRELLTITFFNPPNVNCAPNCVLNDFIEEMNVEYFEEKKECIEAVQKDRKFADLPKIPHTTLIIWGQEDQIFPLDLGYSHLGEIADLVVLKETGHAVNMEQPKELIRLFKLFFIERSFPQRENSGKGTPLLR >cds-PLY73963.1 pep primary_assembly:Lsat_Salinas_v7:5:106343783:106348646:-1 gene:gene-LSAT_5X46060 transcript:rna-gnl|WGS:NBSK|LSAT_5X46060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NFA4 [Source:Projected from Arabidopsis thaliana (AT3G13782) UniProtKB/TrEMBL;Acc:A0A178VHH5] MSSNQEDQFNMSDLSSALPSATSAFTDEDRAGLVNVLKNKLENLTGKHPDFLDTLSSNVRLRVGVLKDLQSQYDELEAKYLEEKAELEAKYMKLYEPLYSKRYEIVNGLTEVEGVSDDTLVDKENNESKGDKGVPNFWLTAMKSNEVLADEISESDEGALQYLKDVKWSRITGAKGFKLQLFFDPNPYFKNSVLSKTYEMINEEEHILEKAIGTEIEWYPGKNLTQKVLRKKSKKGSRSPKPPITKTEDCESFFNFFNPPQIPDDEDDMDEDMAEQLQNQMEHDYDIGDEFEYKNPYEVQKN >cds-PLY91397.1 pep primary_assembly:Lsat_Salinas_v7:3:56429843:56431426:1 gene:gene-LSAT_3X43561 transcript:rna-gnl|WGS:NBSK|LSAT_3X43561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPLVVTYVFLVAIGSTIPIDAIATRKTFLSCMNKSFVPFATTSRYVYTQDMPMYSSLVSSQHNPRCSNSTASKRPVGIVTPENEAEIRAAILCSREQGLQVRIRSGGHDYEGLSYICKTPFIIIDMRNLRSITIDIKHETAWVQSGATLGELYYHIAKKSQTHGFPAGICPSVGVGGHFSGGGFGAMVRKYGLAADHIIDAYLIDAKGRLINRETMGEDLFWAIRGGGGASFGVIISWKIKLVRVPPKVTVFNVHKSMNRQNTEIVHRWQHVAHKVQNELFIRVIIQYIHDDKNTSVQALFNSLFLGEVKDLIPLMDESFPELGLEPEDCTEMSWIESVLYFAGFTSGEPLEVLLGNETDPYVSYFKAKSDFVTKTIPKHVFEIIRKKFLQQKLVFLIMDPYGGRMSEIPESRIPFPHREGNLYNIQYLVKWEVNGVRASNEHIHWLRALYRYMEPHVSKHPRSAYLNYRDLDLGTNPHANTTYSEARKWGEKYFKGNFKRLAQVKSSIDPYNFFRNEQSIPLH >cds-PLY97886.1 pep primary_assembly:Lsat_Salinas_v7:4:90167795:90168220:-1 gene:gene-LSAT_4X60400 transcript:rna-gnl|WGS:NBSK|LSAT_4X60400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWNSMVACYFQNNQPNEARNLFEQIPKRSTVSWNGLISGYVKNMMVKEAREVFDKMPYRNVISWTAMIREYIQEGLVSEAKTLFMTMPEKNVVSYTVMFGGLIQDNQINKARGAEYCSELFCEAE >cds-PLY84992.1 pep primary_assembly:Lsat_Salinas_v7:3:121297741:121299873:1 gene:gene-LSAT_3X84540 transcript:rna-gnl|WGS:NBSK|LSAT_3X84540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRECISVHIGQAGIQVGNSCWELYCLEHGIKPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRKSLDIERPTYTNLNRLISQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNTAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAEVKRAVCMISNSTSVAEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESGDGDEDEGEY >cds-PLY73396.1 pep primary_assembly:Lsat_Salinas_v7:9:154000721:154003195:-1 gene:gene-LSAT_9X96260 transcript:rna-gnl|WGS:NBSK|LSAT_9X96260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQQKRNEVMRKYLDFKKFDIVEDYSDHHYKVKGPNSEKMQASPQKNWAKRIQKEWRMLKKALPDTIFVRVYESRMDLLRAVIIGAEGTPYHDGLFFFDVFFPYKYPDVPPKVHYHSSGLIINPNLRYDGKVCLSLLNTWSGGKNEKWTPGVSTMLQVLVSIQGLILNEKPYFNDPIFARPSGSRTGEYRSMKYNERTLIYSLKTMVYTMRNPPKHFEDLVIGHFHNRAVSILTICRGYTKGVRVGCGVNVGEEKNSHGFQKNVERLMRTLVRAFEKIGVDNVNEFIPEIVPEFTPQTRNMAQKIRAFFCIKG >cds-PLY94572.1 pep primary_assembly:Lsat_Salinas_v7:8:181675204:181676321:1 gene:gene-LSAT_8X118360 transcript:rna-gnl|WGS:NBSK|LSAT_8X118360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRPKSGMHVSDNEAATEYYLSYKKGDLSPIASPLRNNFRRHEVSSSGPSSSSTHSIHSQPPRGTLDPSTAEDFEIRIRRVEEELRKRSIYPGINMDSCFDNPVGTRGQKTQKRNKVCCGASSSTIDYEARLAEALGDLVSHHGLSFNDCSRVVPSLSQNVIRVGVFLHLQLPEKRLGLIREILASLPAP >cds-PLY73756.1 pep primary_assembly:Lsat_Salinas_v7:2:95725997:95726305:1 gene:gene-LSAT_2X41340 transcript:rna-gnl|WGS:NBSK|LSAT_2X41340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHRYLDSLNTSGNDDSVWDRKMEGVEGNGCRRYGKVERGGGMQNRRCTNSSLLIWASGSIRKNMYQKQRWIGGEEEGRNGGDEEGWGDGGIWKTDKRKIVE >cds-PLY97840.1 pep primary_assembly:Lsat_Salinas_v7:5:197698617:197701698:1 gene:gene-LSAT_5X88441 transcript:rna-gnl|WGS:NBSK|LSAT_5X88441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWPEKKRTNAWQWREQETTDLAGEKRPMELKKIEEPLPKRMKSSSDDTTNELLSEFKPMGLKLHKSPSFLELIEKELNEVNKNDGFLPSENLQVGNKKDVKTKVGTSDSIDKLKASNFPALRLKIGNWEYVSQHEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWEDILSLKANFPENGPGTLTVLLGKQPLFFRETNPLPRKHTIWQATSDFTGGEASRSSKKHYLQCAQGVLNKHYEKLIHCDTRLNFLSQQFDTVLDKPFQESFIEAPNISHDIVSNRLESFEVPSMVDINGLVLQPTSQCQLLGDANFAQEEVWKNNINGWQDRIYYSNHEGTISLSTSECLLQDANNANTAQKDTMEDQVNGWQKDTMDDLVYGWQDGNNHSNLVCNIPSNNYWMKQGWEEEFGNVSGYKQDFGMSRKGSFTDLLLSLPLGFSFDVFDNDKIL >cds-PLY73150.1 pep primary_assembly:Lsat_Salinas_v7:2:191602289:191607053:1 gene:gene-LSAT_2X112341 transcript:rna-gnl|WGS:NBSK|LSAT_2X112341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVMMGKIVQNLPSAYSCQQNRQFCTLYQRDRCVQYKHNLLLFQGRKLPWTRISTMQLRNVSNNKQRVVYCNAALSTTAVPSLEKVDFLKLQNGSDIRGVAVDGVAGEPLNLTEPVTQAIAAAFAAWLLDKKKADSSTRLKISIGHDSRISAQKLQDAASRGIASVGFDVVQYGLASTPAMFNSTLTKNEDFLCPVDGAIMITASHLPYNRNGFKFFTNEGGLGKPDIKDILERAANIYNGFTPESLEEAERKASSSITKVDYMAIYASNLVTAVRKASGNIEKPLEGFHIVVDAGNGAGGFFAGNVLEPLGAITTGSQFLEPDGLFPNHIPNPEDKAAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSTGREFNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTIFIEKKLGGKHHRFKRGYKNVIDEGIRLNSVGEESHLAIETSGHGALKENHWLDDGAYLMVKLLNKLASARASGQTGGSKVLTDLVEELQEPGVAVELRLKIDQNHADLKGGSFQDYGNAVLKHLTNKIESDPKLEKAPVNHEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSNEDAIKLGLAVVAAVNEFSALDISALTKFVQK >cds-PLY75587.1 pep primary_assembly:Lsat_Salinas_v7:9:33467703:33468197:-1 gene:gene-LSAT_9X31161 transcript:rna-gnl|WGS:NBSK|LSAT_9X31161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPARHGLRSRTRDLFARGFKKKGTIHLSTYLRTYHVGDYVDVKVNDAIHKGMPHKFYHGRTGQVWNVTKRAIGVEMNKQVGNRIIKKRIHVRIEHVMPSRCTEEFKNRVKKNDVLKAEAKAKGVMISTKRQPLGPKPGFMVEGTTLEIVTPIPYDVVNDLKGGY >cds-PLY82715.1 pep primary_assembly:Lsat_Salinas_v7:2:144766944:144773987:-1 gene:gene-LSAT_2X71501 transcript:rna-gnl|WGS:NBSK|LSAT_2X71501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAVTNTVAISCVIAVVLCAWKLVNILWLRPKKLEKHLRNQGFNGNKYRFLYGDMKELSMMFQESRSKPISLDDDDGVLARVTAFSLHSLQKHGKNYITWMGWKPRVTIMDPELIKDVFVKLNDFKKPRSNPMARLIATGLVTYEGDQWTKHRKLINPAFHMEKLKNMVPAFQLSGGEMLGKWEKLVSSKGSCEIDIWPDLQALTSDVISRTAFGSNYEEGIKIFELIKEQGVLTMEALQSLYIPGSRFFPTKRNIQMNVIDIKVKHSIRGIINNKLKAMKAGEGNNGDLLGIMLESNIKEVEQHQNKNHGMTIDEVIEECKLFYFAGQETTSSLLVWTMILLSKHQEWQSRAREEVLNVFGDKNMDLDGLNHLKVVNMIFHEVLRLYPPVVGLARRVDKEITLGEFSLPSGILIGLPIMLIHYDEECWGSDAKKFNPDRFSEGISKATKNQVIFLPFGWGPRICVGQNFALLEAKIALAMILQKFSFELSPSYVHAPHTVLTLQPQHGAHLILHKL >cds-PLY88402.1 pep primary_assembly:Lsat_Salinas_v7:4:156371952:156374005:-1 gene:gene-LSAT_4X94840 transcript:rna-gnl|WGS:NBSK|LSAT_4X94840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADNNGNSNSPAENQNHISNESINPTTNQNDASIDAIAQKVQESLTLSKRHKFWETQPVGQFKDVGNSTLPEGAIEPPTPLSEVKQEPYNLPGPYEWITCDMDTKEMCAEVYNLLANNYVEDDENMFRFNYSKDFLQWALRPPGYYRSWHIGVRVKSSKKLVAFITGVPAKIRAKDSVVNMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHMENIWQAAYTAGVILPTPISTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDSPITPGFRKMELHDVPAVTRLLREYLKQFIVAPDFDENDVEHWLLPKEDVVDSFLVESSDTRDVTDFCSFYTLPSTILGNQNYSTLKAAYSYYNVSTKTPMLQLMNDALIVAKKKGYDVFNALDVMENESILKELKFGPGDGQLHYYLYNYRLNQPLRPSELGLVLL >cds-PLY98299.1 pep primary_assembly:Lsat_Salinas_v7:7:167807685:167808344:-1 gene:gene-LSAT_7X99901 transcript:rna-gnl|WGS:NBSK|LSAT_7X99901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLSLRVAPLDLQQGGNSRIPYVHVPAARMSILVYIATAINTFLFLLTKHPLFLRSFGTGTEMGAFSTLFTLVTGGFRGRPMWGTFWVWDARLTSVLISFLIYMGALRFQKLPIEPAPISIRAGPIDIPIIKSSVNWWNTSHQPGSISRYGTSIHVPMPIPILSNFANSPFSTRILFVLETRLLIPSFLESPLTEEIEAQEGIPKPSSLAESLCIHG >cds-PLY70912.1 pep primary_assembly:Lsat_Salinas_v7:9:16689555:16690440:1 gene:gene-LSAT_9X18100 transcript:rna-gnl|WGS:NBSK|LSAT_9X18100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLRYSPPFFFFICLTLTSLFLQSYPVHSLNCASEKFTNKKVYSNCTDLPTLNSTLHWSFTPQNSSLSVAFIAPPATPNGWIAWAINPTGTGMIGSQALIAFKNSSSSMVVKTYNITTYASIVEGKISFEVLESRAEHSDGVMKIFATVKLPEKMTEVNHVWQVGGSVKDGVPVKHGFLPANMQAMGKLQLEGKAQSNGTTAGSPAVAPSTSSSSGITGIYVIPIFFSCLFGFF >cds-PLY85044.1 pep primary_assembly:Lsat_Salinas_v7:7:6255427:6259632:-1 gene:gene-LSAT_7X5601 transcript:rna-gnl|WGS:NBSK|LSAT_7X5601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVMRRLKSIASGRTSVSDTGGDPNFKRVKGESDFGSLEQPGSARSVKMSASASFARPGKSDYDKLPKEMNGMKIMEDNADDKDFEATLVNGNGTETGQIIVTSIGGRNGKPKQTLSYIAERVVGTGSFGVVFQAKCLETGEAVAIKKVLQDKRYKNRELQIMRLLEHPNVVPLKNCFYSTTDKNEVYLNVVLEYVPETVYRMSRHYVRMNQHMPMLYVQLYTYQICRSLNYIHNVIGVCHRDIKPQNLLVNPQTHQLKLCDFGSAKMLVPGEPNISYICSRYYRAPELIFGATEYTNAIDMWSAGCVLAELLLGQPLFPGESGVDQLVEIIKILGTPTREEIKCMNPHYTEFKFPQIKAHPWHKIFYKRMPSEAVDLVSRLLQYSPKLRCTALEACTHPFFDNLRDPTTTLPNGDPLPPLFNFTPQELAHASPELLQRLIPEHAHARK >cds-PLY62315.1 pep primary_assembly:Lsat_Salinas_v7:3:211052946:211054032:1 gene:gene-LSAT_3X124781 transcript:rna-gnl|WGS:NBSK|LSAT_3X124781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTTLSTLLLAGLFLAGIIVPEPVASQNNVNRADIVTQEFFNGIINKSPNTCRGKSFYTRVAFLRVIGDYQEFARSGSMDDSKRELAAFFAHVTHETGHFCYVEEINKQEYCDRTRNAYPCAPNKRYYGRGPLQITWNYNYGAAGSSIGSDLLGNPDIVANDPMISFRTALWFWMTNVHSVVGQGFGATIRKINGGECNGGNAGQVSSRVAYYTDYCNQFGVSPGPNLRC >cds-PLY90639.1 pep primary_assembly:Lsat_Salinas_v7:6:50988874:50992567:-1 gene:gene-LSAT_6X36721 transcript:rna-gnl|WGS:NBSK|LSAT_6X36721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWADSVDNTASGSDNNGVGGAPGAGGKPAYVPPHLRNRPPAQMQAAPSATSQSGAPPANDRLGYGGQTSGSRWAAPRQDYSRPGYGTGGRGGGGGGGWGNRGGSWGGGGGRDMEVNPFGNEDIDSAEEITTEQENNGINFDAYEDIPVETSGENVPPPVNTFAEIDLGEALNLNIRRCKYVKPTPVQRYTIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGQFAQRPRGTRTVFPLALILSPTRELSCQIHEEARKFSYQTGVKVVVVYGGAPINQQLRELERGVDILVATPGRLVDLLERAKVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQTDMPPPGQRQTMLFSATFPREIQRLASDFLSNYIFLTVGRVGSSTDLIVQRVEFVQEVDKRSHLMDLLHAQKENDSNTKALTLVFVETKKGADSLEHWLYSNGFPATTIHGDRSQPEREQALRSFKSGKTPILVATDVAARGLDIPDVSHVVNFDLPNDIDDYVHRIGRTGRAGKTGLATAFFSDNNTSIAKSLADLMQEANQEVPAWLTRYASRASYGGGKNRRSGGRFGGRDFRKDNSYGGGGGGGYGGGGGGYGGGGGGYGGGSYGGGYGGGGGGYGGGGGAPSAWD >cds-PLY88109.1 pep primary_assembly:Lsat_Salinas_v7:9:8502527:8502712:1 gene:gene-LSAT_9X7280 transcript:rna-gnl|WGS:NBSK|LSAT_9X7280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVMNSYKAAQDIATTNMVPTHPLRLGLALNFPVFYYEILNSPDKACNMAKQESEEAIALS >cds-PLY66971.1 pep primary_assembly:Lsat_Salinas_v7:7:122490893:122494450:-1 gene:gene-LSAT_7X74640 transcript:rna-gnl|WGS:NBSK|LSAT_7X74640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSSISLSLSSASPITARSNCGADLFLSNNTTPSQLRFCGLRREAFAGCKSSSSNNSIRFKQLRSTKKVSASLSGNGTPSKGFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQNEHHMKSFGLQVAAAGYDRQGVADHAQNLATKIRNNLTNSMKSLGVDILTGFGAVVGPQKVKYGKVGGTETVITAKDIIIATGSIPFVPKGIEVDGKTVITSDHALKLETVPEWIVIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIGKLAQRVLINPRKIDYHTGVFATKITPAKDGKPVTIELIDAKTKEPKDTLEVDAALIATGRAPFTEGLGLESVNVQTQRGFIPVDERMRVIDSKGELVPHLYCIGDANGKMMLAHAASAQGISVVEQVSGKDHVLNHLSIPAACFTHPEISMVGLTEPQAREKAEKEGFEISIAKTSFKANTKALAENEGEGIAKMIYRPDSGEILGVHIFGMHAADLIHEASNAIALGTRIQDIKYAVHAHPTLSEVIDELFKSAKVTGYVSNEAREPISV >cds-PLY93272.1 pep primary_assembly:Lsat_Salinas_v7:4:303968816:303973617:-1 gene:gene-LSAT_4X153000 transcript:rna-gnl|WGS:NBSK|LSAT_4X153000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKSNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDISGDGTTSTVIFIGELMKQAERCIDEGMHPRVVVDGFEIAKKATLEFLEKFKTPVVMGDGPDREMLKMVARTTLRTKLYESLADQLTDIVVNAVLCIRKPEEPIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCHILTCNVSLEYEKSEINAGFFYSNAEQREAMVVAERRSVDERVKKIIDLKNKVCGPDDNFVVINQKGIDPPSLDLLARAGIVALRRAKRRNMERLVLACGGEAVNSVDDLAPDVLGWAGLVYEHVLGEEKYTFVENVKHPNSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTIEDEAVVRGAGAFEVAARQHLINEVKKTVKGRAQLGVQAFADALLIVPKTLAENSGLDTQDVIISLTGEHDNGNVVGLNQHTGDPIDPQMEGIFDNYAVKRQIINSAPVIASQLLLVDEVIRAGRNMRKPT >cds-PLY71289.1 pep primary_assembly:Lsat_Salinas_v7:3:192687395:192691339:1 gene:gene-LSAT_3X114781 transcript:rna-gnl|WGS:NBSK|LSAT_3X114781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEANTSSIDDDLLLKNFYAEVSEVERDNEVARILSCFKLNAFEYLNLSFDSSPEEVKKQYRKLSLLVHPDKCKHPQAKEAFSALAKAQQLLLDPQEREYLVNQINAAREELRAKRKKQLKKDTASKLKSLVDEGKYEQEYEKSDDFQLQLKLKVRELLTDQEWRRRKMQMRISEEEGRLKKDEEESKEMWKRKREHEEQWEGTRENRVSSWRDFMKGGKKVKKGEIRPPKLKTEDPNKSYVQRPVKRG >cds-PLY75100.1 pep primary_assembly:Lsat_Salinas_v7:4:10896407:10899808:-1 gene:gene-LSAT_4X6141 transcript:rna-gnl|WGS:NBSK|LSAT_4X6141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLTKSDEWSVPRKEVHGGARDQLTAXRRCGGCGAVFYCSVSHQTSHWRVHKEECGRLKQQMCRVVLLNDFPFTFSREATYQVCGKVETRCSFLDKQGIHCVGFWICECSCGASITSSDHLRYDKGWNLSSKLCPCKGPLSMLTKKLSSWNEYYEWRGIPLDSPVALLLHWPLTIYKAIQLAYVKQLIPETTDELCIHYLGPEREVYQLAVFGELHALLPGVRVHIDFVGPAIPHDRDGETITLCSYAHCIESNCSCKSVKGEFNSHTASDKSSSITINLHSGLYHNRYSDLTKEFIPDLIIAPNAGIAAYKSWLPTIELIREIEIPTIFSDYCEEACHLAANCISSVTGSPPTIPVQLNPFRQPLAVEDTALILPCYSNCFLFGI >cds-PLY95893.1 pep primary_assembly:Lsat_Salinas_v7:3:185685475:185687498:1 gene:gene-LSAT_3X111320 transcript:rna-gnl|WGS:NBSK|LSAT_3X111320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIQKNGASFIVSHPLNKSKDIFATHYSLRSLNRSSFSEPRNQILPPKTILGRFHSTSSSNRAKHPRSIFEQITNLDDALKLFDEMTHKQPLPSVVKFSRLLQAVTKMKQYSCSIELFKQMTLLCVPVNAYTISIVIRCCCQMHRTSEGFAVLGYGFKRDILPNVCTFSTLLNGLVLEDRILKAEKLFKKLIKEGVCEPNAIMYNTMIKGLCRFGNNDTAIALIKLMDGRGCKPDVVTYNTIIDSLCKDKMVDDALNLFNEMVFHKHILPNVVTYSSLIHGLCNLCRWDDVSNLLKEMEDQSISLDVRTYSILVDALCKEGKVEDANCIINLMIERGINPNVVTYSSLIDGYCLRGEISKAREVLNWMGSQGLVPDVVTYSSLLNGYCKKLMVEEAMDLFHEITKKGMKPNVITYSTMIQGLFHVGRGKVAHELFHEMRAHNVIPDEITYGIVLEGLCNNNQVDEALSLFHLMGGNKLNSNIKVYTILIDGASRTGKLDVARVLFNDLNVKGLQPDVRTYNVMINGFCREGLVGEAKELFLKMEEKGCLPNSVTHNVLLQGFLKNQQLDTIEMLLLEMEGKGFSVDASTVSLLLDHIKARSLDASLLKLIGKLVPKGVDAL >cds-PLY72390.1 pep primary_assembly:Lsat_Salinas_v7:4:147544321:147544581:1 gene:gene-LSAT_4X91341 transcript:rna-gnl|WGS:NBSK|LSAT_4X91341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLLVSLGLLSLASIGYLSLAAIGTRCNPSIGYVTLPTVGIWSLASIGSVAPAAIESSMFGLLYPLVVWLLLPLMSGMLCPLVV >cds-PLY94984.1 pep primary_assembly:Lsat_Salinas_v7:4:109840661:109842246:1 gene:gene-LSAT_4X70940 transcript:rna-gnl|WGS:NBSK|LSAT_4X70940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSHSSNKTHLQDPNSPPLSSSMPTKPPKSKSVFTHYFFCKSLIIILVLLVFSFFPSQVPEIFKKTTIINKLWELIYLLVIGIAICYGLFSRKMNSVHSSDESDGAKETYLSEISHISSIFEDGVKSPYVFEERDSEFGNGFRKSDRKKLNQSFIGESMVVIDDKNFVLEQLSKRRTIKQNLGSKILDSAAAKSVLQDSSSTFGDGIKMGKFRGLVPIKLEKKFRQTVSNPDSDSDSDSNSQIPLNWRSKSMRSEKIPDETSHFTKPSVGILDLRSQSMRVSTPTQMKNSADNKESKGETSFKESKPREFSFDSSSEMKNLSDSKEIQKDTIKDSSNSIQKSPPVANTYKRGKSVRTKRPNEQVFKAKTEIIPNQTVTEAETGSNLGNNATNMNLDDYLSDPEPHSGEVDRKADEFIAKFREQIRLQQVASARRLNLI >cds-PLY72814.1 pep primary_assembly:Lsat_Salinas_v7:6:22112593:22116752:1 gene:gene-LSAT_6X17141 transcript:rna-gnl|WGS:NBSK|LSAT_6X17141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKQHQTRIISHVLIIIIIIFLSFASFALCIICEFKKSKKEEIRVDGKLCYLPQSRAFAYGIAALICSFIAQVIGTGFFILCRRSADFKSSKSSFASIILCLSWTSFFMAFILMGIASSMSRKQIYGEGWVDGKCYLVKNGVYVASGILFLIAIISTIFSCFLILNMHRPVHAQVK >cds-PLY79337.1 pep primary_assembly:Lsat_Salinas_v7:9:54919478:54923565:1 gene:gene-LSAT_9X49801 transcript:rna-gnl|WGS:NBSK|LSAT_9X49801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLCDVGQYQSGDDAIINRGIKIFYRTYGGGPVKVLMIIGLAATHNSWNPQIQGLVGSVKPNDEDDDRSSNETGAGIEVCAIDNRGVGRSSIPTKRSEYTTSIMAKDAIAVMDHLGWKKAHVFGHSMGGMIASKLAALFPDRIQSLALLNVTGGGYQCLPKLDRQTFSIAIRFLMAKTPAQRAAVDLDTHYTQEYLGEYVGRETRRAVLYQEYVKAISASGMQSNHGFDGQINACWTHKLSQTDLEIIRKQGFLISVIHGRSDVIAQVSHAKRLAQKLYPLAKMVELHGGHLVSHERTKEVNEALLELIRASESNISPHDWTNLSGKSTSIWSMSWISSSGSKSEGGITDGTLRSIMLYIFSIFVLLFEYIRKVPRRIRPVRVGTALT >cds-PLY74027.1 pep primary_assembly:Lsat_Salinas_v7:7:10966044:10969145:-1 gene:gene-LSAT_7X8540 transcript:rna-gnl|WGS:NBSK|LSAT_7X8540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFQKYNIYARVYSYTKSEVTSWCNETPHPQPCEHFLGTNSNYGSIKQKPDFLKALLKVTLDRAKYAGSHTLTLGPKCRNNREKAAWSDCLELYEYTISKINKTVDPYQKCNKVDIQTWLSTALTNIETCKAGFEELGVSDYVWPLMNNNVSALISNTLAMNKGGNTYSAPKVGFPTWVKPGDRKLLQTSTPKANMVVAQDGSGNYKTIRDAIAAVPKRSGNGRYVIHVKAGVYKENIEIGSKLKNIMIFGDGIGKTIITGSKSVGGGTTTFKSATLAVVGDGFIGRGFTIRNTAGPQNHQAVAMRSGSDLSVFYQCSFEGYQDTLYTHSDRQFYRECDIYGTVDFIFGNAAVVFQNCNIYARKPPNKTNTLTAQGRTDENQNTGISIQNCRITAAPDLKGVSGVKTYLGRPWKQYSRTVFLKSNLDSLIDPAGWMPWSGNFALSTLYYGEYMNTGPGSSTAKRVNWKGYHVITSSSEAAKFTVGNFIAGGSWLPATNVPFTSSL >cds-PLY90465.1 pep primary_assembly:Lsat_Salinas_v7:9:93451544:93454651:1 gene:gene-LSAT_9X71080 transcript:rna-gnl|WGS:NBSK|LSAT_9X71080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESFSNFMKGIPPLTTEKKPLTPTTNPSTNPIQQQHKPQQQQQQQQQQQKQLMGPASNPPQQMVGPIHNPVRQQHARSDGNSIFSASDDNVIMKQVIDTHLPDGTDVDVRPLVDIIQDILRHATINPDPLSSGPHTNGDKPNGKQHQTNAIVMLHSLSHIIDKLASELALKCLTVADGHTTALALFHTVGNFHWDAKLVLTLAAFALNYGEFWLLAQIYSSNQLAKSMAILKQVPTIMEHTAPLKPRFEAVNKLIHSILELTICIVQFKELPSMYITPDMPAMSSAINTIPTAVYWNIRGIVTCATQIAHLTSMGHEYGISSTEMQSWELSSLTSKIDHIHDFLRRQLENCNRVVGDKKEIEFRRSFNQLFETSHMDNMKILKILISPRDDIQPLFDGNTKMRVSLEVLRRRNVLLLISGLDMSREELSILEEIYNESRIHGSRTHALYEVVWMPIVDPSVNYTKEMDRKFEEMKEKMPWYSVSHPSIIDKVVIRCIGDRWHFRKRPILVVLDPQGKELSPNAIHMMWIWGSNAFPFTSMKEEQLWRDETWRLELLVSGMDPTIDNWIREDKYIFLFGGDDIEWIRKFTTTARAMATAARIPLEMAYVGKSKKKESVRRAVATINVEKLSHSWQEPTLMWFFWTRLESMLYSKIQLKKADDQDPMMQQIKKLLSYDKDGSWALLCRGSKILTNGHGTTMMQTPSDFDMWKKDIETKGFDLSFMEYHDKLHVAANNCCRFEFPVAAGRVPDGMRCPECHRFMEKHIAFLCCHDQDGLLELD >cds-PLY79300.1 pep primary_assembly:Lsat_Salinas_v7:4:370523701:370525342:1 gene:gene-LSAT_4X182280 transcript:rna-gnl|WGS:NBSK|LSAT_4X182280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNSGKQALQRVRSFLTSTRKFQSQSSTTGLSPETCKTFSSISGRRNPRLLSRLPVELGGMQSLMPLHSATASALLNSMLSSKVGQWGTLSEGFATPL >cds-PLY69721.1 pep primary_assembly:Lsat_Salinas_v7:2:64213670:64215248:-1 gene:gene-LSAT_2X28820 transcript:rna-gnl|WGS:NBSK|LSAT_2X28820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSDVELQIEDRIGRTVLQELRDDKEKAPDLGNGGLPLIFMVPSVFRDLSPKCFQPRMVSIGPLHKQDKHLNEFEVKKTTYVHRFLYSLGTTPDQMLEDCVLKVSSKLKFIKSCYAESTIYNDLDLTKMMVIDACFILYFIHNHTERYGPFPINMLLTPSITLDLLLLENQIPFFVLKDIFESTILKYNATTSLSLYMHKLLISYNILEDNFVRRNVSLDRNHDHILGLLHKHFHPVNNIRSGDYTNPKRHSAMELDRAGVSFMPYEDDNWALAMKVEYLPRFSWFPWFWNKPTLRMPKLIVDDRTELFLRNLIIYEQSSLVPKYVTTYAWAIGMLIETPEDVAKLAKSEVLVTVSSDQNARNLINNICKEVLYGDFFYHQQWQELDDYYNSYWPNAIAGLKRTYFSSPWNMIALFAGIVLFVLTVVQTIFAVMAENP >cds-PLY95096.1 pep primary_assembly:Lsat_Salinas_v7:1:94443648:94444194:1 gene:gene-LSAT_1X78761 transcript:rna-gnl|WGS:NBSK|LSAT_1X78761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNLKYSYLLFFIVVIAVGVSMVSGQERCKDVLYEGQCEPADCQEKCTINHSNSVGECWRWSGNNVPYCLCNFLCS >cds-PLY68106.1 pep primary_assembly:Lsat_Salinas_v7:8:34242528:34245022:1 gene:gene-LSAT_8X27260 transcript:rna-gnl|WGS:NBSK|LSAT_8X27260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPFGDKSSAASPLKLVPDIGTLHLQDNFVSTYRCSLAAHIVETTSEQGTSISVHSASEANKVKKQLDQLRAPIDLKK >cds-PLY65472.1 pep primary_assembly:Lsat_Salinas_v7:2:63932058:63935562:-1 gene:gene-LSAT_2X29280 transcript:rna-gnl|WGS:NBSK|LSAT_2X29280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTGTILVTQTHHVAIVYVSRVNFKLKSDTDLFAIVKSKTAISAIEVSPDGKQFAITSPDRRIRIFWYRTGKLRRVYDESLENAQDLQRSDVPLYRLEAIDFGRRMAVEREIEKTENVPQPNALFDESSNFIIYPTLLGIKIVNLHTNKVSRILGKVENNDRFLRIALYQGDQSSKKVRKILAAAVNVNESKDPMTDPTLLCCAFKKHRIYLFRNV >cds-PLY82576.1 pep primary_assembly:Lsat_Salinas_v7:2:187075154:187076590:-1 gene:gene-LSAT_2X108920 transcript:rna-gnl|WGS:NBSK|LSAT_2X108920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKDVEVGGHDYSAKDYEDPPPAAFIDAEELTKWSFYRAIIAEFIATLLFLYITVLTVIGYKSQTDPAHSSDQCGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVTLPRAVMYIVAQCLGAICGCGLVKAFQKTYYNTYGGGANELADGYSKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVMYGKDKAWDDQWIFWVGPMIGAAIAAFYHQYVLRAAAVKALGSFRSNA >cds-PLY69668.1 pep primary_assembly:Lsat_Salinas_v7:5:210934190:210936775:1 gene:gene-LSAT_5X95680 transcript:rna-gnl|WGS:NBSK|LSAT_5X95680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEHDSNPRWYESFHIYCSHMASNVIFTVKEDDPISATLIGRAYIPVIKVLKEDVIDEWLEILDEDGKPIRGDSKIHVKVQFFAVEREYQWCRGIQSVKFPGVPFTYFPQRNGCRVTLYQDAHLPDNFTPKIPLSGDKYYEPHRCWEDVFDAITNAKHLIYIAGWSVYTEITLVRDLRRPRPDMDMTLGELLKKKASEGVRVLMLVWDDRTSEGLIENGLMATHDEDTGKYFRDSEVNCVLCPRNPDDGHSLVENIEISLIFTHHQKIVVVDAPLPNVDNEKRRIVSYIGGIDLCDGRYDTPYHSLFRTLDSAHHDDFHQPNFPNSSVEKGGPREPWHDIHCKLEGPIAWDVLFNFEQRWLKQGGEDLLNDVNDLSQVIIPPSPVVLPDDQERWNVQLFRSIDGGAAFGFPDKPEDAARVGLISGKDSIIDRSIQDAYINAIRRAKNFIYMENQYFLGSSFDWNSKDIKDEDINALHLIPKELSRKIVSKIEAGEDFRVYVVLPMWPEGEPESASVQAILDWQRRTMQLMYCDIVLALKVKHIVANPRDYLTFFCLGNREIKKPDEYIPPEKPDKDSNYQRAQDARRYMIYVHAKMMIVDDEYIIVGSANINQRSLDGARDTEIAMGAFQPCHLSKTQPARGQIHGFRMSLWYEHMGLLDDSFSYPERLDCIRKVNQISVNYWDLFSSETFDHDLPGHLLSYPIRVMDEGEVTELPGFEYFPDTNARVLGTYVGYLPPILTT >cds-PLY63947.1 pep primary_assembly:Lsat_Salinas_v7:4:76293049:76294612:-1 gene:gene-LSAT_4X51540 transcript:rna-gnl|WGS:NBSK|LSAT_4X51540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAFHANDIDYDYLFKVVLIGDSGVGKSNLLLRFSENEFNLESKSNIRVEHAICSIVVDDKIIKGQMWDTAGKERYRAITRAYYRGAVGALIVYDITRSITFENVQRWLKELRDQTDQNIVIMLVGNKMDQADLRSVQTEDAKTFAERENINLFMETSALNTLNVNKAFTKVLTQIYHVVSKKKDDNHHYHQTVEPKGEKINVGGRKDVEITRENDFAMASRMYLLENGSTNEPPRLSSVDNFTIWKNRMIGFLNFVDSKLVETIKEGRHTPLMERSRWSYEDKQKVALDDKAMHILGVSLPDDIYRIVMYCESAKEMWDILIVLFEGTGDKEGETEKLWSG >cds-PLY72655.1 pep primary_assembly:Lsat_Salinas_v7:3:182981907:182982152:1 gene:gene-LSAT_3X109900 transcript:rna-gnl|WGS:NBSK|LSAT_3X109900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRATIEAFKDIGLQKSGFLKWKREAACDNVIYCILLQGILKKQQHGMGMIEIILQDMKGRVFCLMLHLYHCYSIISKLDL >cds-PLY91479.1 pep primary_assembly:Lsat_Salinas_v7:7:141673263:141674815:-1 gene:gene-LSAT_7X84781 transcript:rna-gnl|WGS:NBSK|LSAT_7X84781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASAISLSPASSSRQSLTIIRTSQLQYLSISSSSFIRPFLLRTPALRLQATRRPPNYPQEGENPAADPRIWNRNRNDMTFSGDYDEDDDEDDEEEEEDDRSMDLLIRFVENVFKKISKRARKAVRSVLPINIPTKLVGFSVNGVIILAFFWILKAFLEVVCTLGSVVFVSILLVRGVWTGISYFQEGGNYRRTNDFDDGNQAWNGTQPVG >cds-PLY67038.1 pep primary_assembly:Lsat_Salinas_v7:5:283300221:283301028:-1 gene:gene-LSAT_5X149301 transcript:rna-gnl|WGS:NBSK|LSAT_5X149301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPCLRLSTNVSLEGVDTSAILSEATSTIADLLSKPKAYVLIELKGSVPMAFGGSEEPAACGELVSIGGGLNSDVNKKVSAAVAEILSSKLSVPKSRFFLKFYESEGSFIGWNGSTF >cds-PLY84534.1 pep primary_assembly:Lsat_Salinas_v7:1:30095947:30096532:1 gene:gene-LSAT_1X25940 transcript:rna-gnl|WGS:NBSK|LSAT_1X25940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMLQNAITFFYGMFYISYKEELVAETITSMLCSNMQDFLEVIHEFRTPSKDLLGRHSYCPVHFDAFHAVLNYTTVHIGLLKGGVHTMKKPRLDLKIHKLIISWR >cds-PLY82778.1 pep primary_assembly:Lsat_Salinas_v7:2:147930076:147934306:-1 gene:gene-LSAT_2X73740 transcript:rna-gnl|WGS:NBSK|LSAT_2X73740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTPNMDQFELYFKRADSDHDGRISGVEAVSFFQASGLPTPILAQIWTHADQNRTGYLGRPEFYNALKLVTVAQSKRELTSDIVKAALYGPASAKIPPPQINLAALPAPQSSSNPGPPALQIPASVPPASHRVPQGYPSHQNQNMRPHPHVTQLTNMSHGQPREDMVASKPNGPIFSTEVKDSSPVAGNGFATSSTFGDNSSASSQGNHNVAQQPNVVHNHNQHLQPNNQRIPVRSGNLPSTQPWPKMTQSNVQKYTKIFVKVDTDRDGKITGEQARTLFLGWELPRDILKQVWDLSDQDNDSMLSLNEFCIALFLMERHREGHSLPKTLPSGILFEGTPITPLPLATQVWRYAPGVNQQQVTHAATKPPRPVPVPIDKDLQPKHQKPKVPVLEKHLVDQLSNEEQSSLNSKFQEATEADKKVGELEKEILEARQKTEFYRNKMQEIVLYKSRCDNRLNEITERVISDRKEVESLSKKYEDKYKQAGDVASKLTIEEATFRDIQEKKMEIYRAIVKLDQGGKPDDIQVLVDRIQSDLEEQIKTLNERCKMYGLRGKPSLLLELPFGWQGGIQEGAADWDENWDNFDDEGFTFVKDLTLDVQNVLAPPKQKSLPVQNKPAFQNESSSSTTGVKDKKSDNESIDQDKGKIVKSPPDSPGSIKIFQDFPPEDMSPHAVKSESEADKPTFDSNYDSEAGWDFTATNHKDLEEESVDGNNMLFDSSSDNWGRGLNPIRTELPKFDSIPSTPAYSYSGSPPGNNLFHNQSPFSAFADSVPSTPAYSNAGSPRRNSISNPVFADSVPSTPMFDDHSFNNFSRFDSFSSTTTRDNGIGIDSFSRFDSFRSTAQDSEVDQGFFPTQKFTRFDSMNSIADSDFGHSLFQPRESFSRFDSMRSTADSSHGFPSFDDADPFGSNNQLKSETPRRDSVDGWKAF >cds-PLY64903.1 pep primary_assembly:Lsat_Salinas_v7:1:166188044:166188372:-1 gene:gene-LSAT_1X111580 transcript:rna-gnl|WGS:NBSK|LSAT_1X111580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLHYRLTQPLPQLSSFSLAKPMTSTTTHTSVSATIIESNLLSYVFPFDLDASHLINRPYLYLSTCLYPDQPSPLASRVSS >cds-PLY82035.1 pep primary_assembly:Lsat_Salinas_v7:9:155541892:155542746:-1 gene:gene-LSAT_9X97681 transcript:rna-gnl|WGS:NBSK|LSAT_9X97681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPQKSIWFLVAMFISFLCSSHAVTLEVGDDDGWILNPVENYNAWSGRLRFLVNDTLYFKYEDATDSVLVVDKDDYENCNVDNAIEKLDGGESYFKLEQPGPHYFITGNKSNCDQGQKLIVSVLHIRTQSPPSTPRAPSTLPPAPSSPLTVTPPSATPVLTPPASTVVSSPSPLGSNPADKASSTSTVVSGKTAASLVTLIIALCSII >cds-PLY71862.1 pep primary_assembly:Lsat_Salinas_v7:3:58866025:58867208:1 gene:gene-LSAT_3X46200 transcript:rna-gnl|WGS:NBSK|LSAT_3X46200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic20-II [Source:Projected from Arabidopsis thaliana (AT2G47840) UniProtKB/TrEMBL;Acc:A0A178VYR0] MAAIPLLRLSLSPPPQKPPRSTISIHPLRSNILSLSPPQSLSLRSNSTAQSRRRTIISASNNSTPVSDRLISAAAYFFPFFNGLQYGRFLFAQYPRTLGLALEPLLPLLSFYRSIPYASYLAFLLLYIGVVRNTNASRYARFNAMQAVVLDVLLVLPMLVQRIFNPGPHGIGGKILMISHNVIFVFVVICFVYSLVFSILGRTPKLPLVGDAAGRQF >cds-PLY91780.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1247030:1248102:1 gene:gene-LSAT_0X821 transcript:rna-gnl|WGS:NBSK|LSAT_0X821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIKISVEAAEQQQLAGAHVAAPEAAGPQQPAPNSDGQRAGEWRGAGFDLADKGLSED >cds-PLY79507.1 pep primary_assembly:Lsat_Salinas_v7:1:33437350:33440012:1 gene:gene-LSAT_1X29161 transcript:rna-gnl|WGS:NBSK|LSAT_1X29161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLLDSPTIDESPRLPHNRLGSTTQGLLKMEDFIDDDHSFCNIVSVRKKSKSTPEIKHLFCCLKGTIGKIKINSGL >cds-PLY71169.1 pep primary_assembly:Lsat_Salinas_v7:1:120485029:120486036:1 gene:gene-LSAT_1X91041 transcript:rna-gnl|WGS:NBSK|LSAT_1X91041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVASHNGEENEFSSEEISSMVLIKLKEVAEKFLGKTVHDAVITVPAYFDDSQRQATKDVGHVAGLNVLQIINEPTSTAIAYGLDMKNHIARDINVHIFDLGGDTFDVSLVTIDKKGTITVKVVVGHTHLGGQDIDNAMFDYFVEQFKRKHKVDISVNKKAPSRLKEACEKEKRVLSSIIDTTIDIDNSHDGVDFSMRISRAKFKKLNEDFFSNCIKMVETCLGDEKMNKKQIDEVVLVGGSTGIPKVQQLLKDFFQGKELFKKIHVDEVVAYGATVLAAKLTGYSGKRVRNLVLIDVVPLSLSIEIYGGYFSVLIKRNSPIPAKKEGIYVNVKD >cds-PLY65638.1 pep primary_assembly:Lsat_Salinas_v7:7:27157935:27163957:1 gene:gene-LSAT_7X21320 transcript:rna-gnl|WGS:NBSK|LSAT_7X21320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase 11 [Source:Projected from Arabidopsis thaliana (AT4G04970) UniProtKB/Swiss-Prot;Acc:Q9S9U0] MNLRQRPVPTRGRGNPDAPPHVTRHEEPYNIIPIHSLLSDHPSLRYPEVRAAADALRAVGDLRRPPFREWRDGMDLMDWLGAFFGFQNDNVRNQREHLVLHLANAQMRLSPPPAIADNLDPGVLREFRRKLLKNYKSWCSFLRRKSQVRLPHFRQNPDTHRRELLYVAMYLLIWGEAGNLRFTPECLCYIYHHMTMELNQVLSGDTDANTGRPFNPSISGENAFLNKIITPIYKTIKTEVDRSRNGKAPHSAWRNYDDINEFFWSRKCFKKLKWPLDLSCNFFIDDPKAINRIGKTGFVEQRTFWNIFRNFDRLWVLLILFFQASMIVAWRETQFPWQALEDRDVQVELLTVFITWAGLRFGQSILDAGTQYSLVSKDSKLLLFRMIMKSIVSLTWVIVFTIFYIQIWIQKNSDGRWSDAANDKIIVFLKSGLVYIIPEVLALLLFIIPWVRNFIEETDFILFDMLTWWFHSRLYVGRGLREGLVSNIKYTLFWIIILLSKFSFSYFLQIKPLVAPTKALASLRLDRYNWHEFFSNTNRMAIVLVWLPVVLMYLVDMQLWYTVFSALVGSIIGLFSHLGEIRNIEQLRLRYQFFASALQFNLIPEDLSVTAKDSLVQKLRNAIRRVKLRYGLGQPFKKLESSQVDARRFALIWNEIIFTMREEDLISDREVELMELPPTCWGIMVVRWPCVLLGNELSLALNQASELSGAPDRWVWFRICNSEYRRCAVIEAYCSIRYLLPEIIEKRSEEYGIVKRLFDEIDHWVSIGEFMKFYKSQKLPMIHVNLINLVETLMAPVQNMNKLVDILQALYEIMVKELPKTKKPAFQLIEEGLAPRNPAKTEEGLLFVNKVRFPKPEDAFFHRQLRRLHTILKSRDSMRNIPRNIEARRRIAFFSNSLFMNIPRAPQVEKMMAFSVLTPYYDEDVLYKKEALRSPNDDGISTLFYLQKIYEDEWENFMERMRGDGMKDDNEIFTTRTRDLRVWASYRGQTLARTVRGMMYYYRALKILAYLDSASEVEIRQGSQTVDYRAGSRGLNRTVSETGSAIMKFVYVVACQNYGSQKQKGEPQAEDIAILMKNNEALRVAYVDEVHLGRSEVEYYSVLVKYNDRLKKEEEIYRIKLPGPMKLGEGKPENQNHAIIFTRGDALQTIDMNQDNSFEEALKMRNLLEEFKVNHGIRKPTILGVRENIFTGSVSSLAWFMSTQEMSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLTRGGISKASKVINISEDIFAGFNCTLRGGNVTHHEYIQIGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFYYNTMMMIVMVYTFLWGRLYLALSGVEGAAMDNTKYNKAFGAIINQQFVVQIGVFTALPMIVENSLEHGFLSAVWDYLTMQLQLASVFFTFSMGTRSHYFGRTILHGGAKYRATGRGFVVEHKKFAENYRLYARSHFVKAIELGVILTVYASNTPLSVSNFIYIILNISSWFLVVSWIMAPFAFNPSGFDWLKTVYDFKDFVRWIWYSGGSLAKADISWETWWYEEQDHLRTTGLWGKLLEIILDLRFFFFQYGVVYHLQIANNSTSIVVYLISWVFMIAAVAVYIVIAYAQDKFAAKEHIKYRLVQLLVSFVIVVVVLMLLEFTKLTILDMFSSVLAFIPTGWGIISIAQVLRPFLENSIVWDTVVSLARLYDMLIGMFVLAPLAFLSWMPGFQAMQTRILFNEAFSRGLQISRILTGKNINLES >cds-PLY96311.1 pep primary_assembly:Lsat_Salinas_v7:5:194360603:194364267:1 gene:gene-LSAT_5X87280 transcript:rna-gnl|WGS:NBSK|LSAT_5X87280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAGLSTIQQTLTTEAATVLNHSIAEAGRRNHGQTTPLHVASTLLASPTGFLRQACIRSHPNSSHPLQCRALELCFSVALERLPTASSSPAVTEPPISNALMAALKRAQAHQRRGCPEQQQQPLLAVKVELEQLIISILDDPSVSRVMREASFSSPAVKATIEQSISNNVSGSQSNLGIGFRPSPSPATTPVSIPINRNLYLNPRLQQGNLQKNDESTLSSSSSSPRNLYLNPRLQQVNQQKNDETSAQRNPYLNPRLQQQQQGNPSLNPSGHEKNDDVKRVIEIMTKPKKKNPILVGELGPEAIRKEILRRIENNEFLTEELKNAEVFSIEKELASMSDKSLMPTKIKELGEQIDKRIGVSDSRAIIIDVADLKWLVEQPPATIVSSVGREAVAEMAKLVTKYSSVAGKVWLIGTATCETYLRCQVYHPSMETDWDLQAVPITSRSPLPGMFPRMGINGIMGTSIESLNQLKNLSTTMNTPISMQKRLSENLDPSRKPACCPTCSSDYEQELAKLKQESEKSSSDKSNLPQWMQNAKTKDQSQIKDQQLVLKHQELQKKWSDTCLRLHPNFNQSPRLDRIVPMVVPLTGSYKPNMLLRQIQPRLEPRLEPPRSPVRTELVLGPKKDSETPVKENEDLTVKDLLGCISSEPEPKIQDFHKGKFANSADTDSFKKLLKGLMKAAWWQPEAASTIATTITQCKVDSGTRGCVWLLFAGPDRVGKMKMASVLADHISGSNPITFGLGARRDDEMDTGFRGKTVLDRIVEAVRKNPSSVIVLSDIDEADLLVRGSIKRAMERGRLADSHGREISLGNVVFVLTGNWLTGHIDQNLVDEPRLRSIASRDWQLRLAIGEKRFKRRADWLSGKDRATKPRKESGLDLSLDLNLAVDYEEDHDRADGSINSSNLTMDQEDEGQHYGAISVPHELVGPSDGAVVFRPVNFGRISREIEKTVKNAFSSTVDGKVSIEVDEAAVENILGGLWFGRTTLEEWAEQVLVPTFRQLNRPVAAHGGDVVVRLESDRDSVVSGGGDWLPSKIEVVVDGI >cds-PLY75132.1 pep primary_assembly:Lsat_Salinas_v7:4:61657253:61658789:1 gene:gene-LSAT_4X41880 transcript:rna-gnl|WGS:NBSK|LSAT_4X41880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKLISSSSLEEVKAMETPHAHHLWVDEKQSDVLDPSLVNDHPALSMLKAEKEKLLTDDINQGVNLLSVAEMDYMEKIKEFHERLDMVKKIVKPGCSHEVLNIALCSLSSLARILSQMPQKLHASL >cds-PLY72148.1 pep primary_assembly:Lsat_Salinas_v7:7:56378965:56379981:-1 gene:gene-LSAT_7X39881 transcript:rna-gnl|WGS:NBSK|LSAT_7X39881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAELSRKMSKRNRESDNKNNSQHPVYRGVRMRAWGKWVSEIREPKKKSRIWLGTFSDPEMAARAHDVAALSIKGSSAILNFPELAGVLPRPDSCSPRDVQAAATKAAAMTHLNPITTTTTTPSTPSTSSSSSYSAVSTLTSASEEVSTMPPPAEELDAIVELPCLSESYCSGESRNDYVFVDSGWDYYSLSTPWPAECDGGYFPGEPLSILPSTSSGTFFSDNYSWQDY >cds-PLY86573.1 pep primary_assembly:Lsat_Salinas_v7:9:5141650:5144436:-1 gene:gene-LSAT_9X2121 transcript:rna-gnl|WGS:NBSK|LSAT_9X2121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNDQVDLHTQLWHECAGLTVKVPRVGDKVFYFPQGHLQQVEVYMKQEGMSDIPSYGLPSKILCKVVCVQLKAEVDTDEVFAQITLIPDCEEPKGSTFRSVPQKIPATSFRKKLTPSDVIKQGGCNLHKKHVDQTFPPLDMGQDAPSQELFAKDLHGKIWNFHHICRGVPKRHILTTGWGKFVSAKRLVVGDTCIFLRGQNRLYVGVRRAVRLNKLSTDLSCVNMQHGILLTALEAINTRTEFTVYYHPRISPSGFIVAYNDFMESLKVNFSPETRVEMVLDEGGEEPELNLKKFSGIIIGKEDVDPSRWPGSEWHCLKVWWHGTASGDVPPKRVSPWSIQSVVCDAQPQKRSMIGYNNNNELGVQGEGIRMREVSIPEVNKIPREDDERSKKCRVFGVDIGGHTHTHTISNTHPPDSSNAGNQQSSPSYIKVLKHGSFVGRCVEVSRFSGYGGLMWELDRMFGFQGGLVSGTSGWIVTYDDDNNNNNNAAADGDANKKLLGDVSWS >cds-PLY96454.1 pep primary_assembly:Lsat_Salinas_v7:MU038438.1:211736:216047:-1 gene:gene-LSAT_0X23481 transcript:rna-gnl|WGS:NBSK|LSAT_0X23481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRFSSANDTGTFTPLLKKQCENLLKKLMSHQHGWVFNKPVDVVALKIPDYFNVIKNPMDLGTIKEKLSSGKYTGPLEFVADIRLTFSNAMTYNPPGNDVHVMADVLHKFFELRWKPIEKKLPQNSQQQFEEIDLVKPIPPSKKRKITSIQQEPFPEAKLVMTSEEKLNLSRELEAHLTDLPDNIIDFLREHSSSGNEAVEDEIEIDIDDLNNDTLFKLRKMLDNHIHEQQNQGKAEPCVIELLHESGISNSTMQLYRGNDPVEEDIDIGGNEPPVSSYTPDEKEKGTSKKSDTRTTSRTSSDTSGNGQNDIKATNPLEVSQKNLAAADLEEKAGTSDLIDNQSISGLDQVDHMSQQKPTSVESDSQKDDGDSAPNSRQVSPGKSYRAAVLKNRFADTIFKAREKTLIQVEKGDPEKLKREKEELENQKRKEKARLQAAAEAAENARKRAEAEAALEAKRKRDLEREAARQALLKMEKTVEIDETSRFIEDLEMLSTVNPELPMMSADETSPDHGSLEALGSFKFGASNPLEQLGLYMKRDEHEEDDADMDLQPPVMPGGINAAADVEEGEID >cds-PLY89178.1 pep primary_assembly:Lsat_Salinas_v7:3:20301167:20302790:1 gene:gene-LSAT_3X13421 transcript:rna-gnl|WGS:NBSK|LSAT_3X13421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLRSESLFGSFISAVISYCFSYQASYKTKDHLIHVHDMPKSRRPLSLQTIELQVRMCCTGCERVVKSAIHKLRGVDSVEVELEMEKVTVIGYVDRNKVLKAVRRAGKRAEFWPFPNPPLYFTSSSTYFKDMNNEYKESYNYYRHGYNIGERHGNIPVSQRGDDKVSNMFNDDNVNACCVM >cds-PLY62472.1 pep primary_assembly:Lsat_Salinas_v7:1:84401931:84409762:1 gene:gene-LSAT_1X70400 transcript:rna-gnl|WGS:NBSK|LSAT_1X70400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVHGGLLNPLFQAPSMSDSMSITITSTNYIVSPSYRRPRPPFCYQFRYQYVNAGNVLFNSKKGTRDRVSLLNRIRAYSESTTEEKEVRKYSPILESQLLPEKNVSTVELKAIPDIWRSSAERFGDRVALVDPHHDPPTNMTYNQLEEDILNFSEGLRVIGIKPCEKVALFADNSCKWLVADQGIMATGAINVVRGSRSSVEELLHIYTHSESVALVVDNPELYDRIATGFNSKASVRFVILLWGDKSSLNSHLMEGIPAYTYKEIIDIGNEHRMLLVDSHDAREKYVYEPIKSDDVAALIYTSGTTGNPKGVMLTHTNLLHQVNNLFDIVPAGPGDRFVSMLPPWHAYERACEYFILSLGIEQVYTNVKYLKDDLRQYQPQYMISVPLVFETLYNGIQKQISTSSAIRKVIALSLIKISLAYMEFKNIYQGECLSRTQKQPSYIAATLDWIYARIIAAILLPLHLLAKKLVYSKIHSSIGISKAGICGGGSLPLHVDKFFEAIGTKVQVGYGLTESSPVIAARQPYLNVLRSVGHPIRDTEIKIVDDETGEDLPHGSKGIVKARGPQIMQGYYKNPEATKQAIDEDGWLNTGDIGWICPSHSLGRSRNSGGIIVLEGRAKDTIVLSTGENVEPEQIEEAAMRSNLIQQIVVIGQDQRRLGAIIVPNKEEILLASKNSSDNGNAQLTKHQMAAILSQDLRKWTSDCSFQVGPILVIEDPFTIDSGLMTATMKIRRNKVVELYKQEIDNLFK >cds-PLY87271.1 pep primary_assembly:Lsat_Salinas_v7:1:51043475:51044076:1 gene:gene-LSAT_1X42781 transcript:rna-gnl|WGS:NBSK|LSAT_1X42781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRPQSRSGVRKIVRSAAEDGQNRGGSDYTNDKPLSFQHVAPRPPSLIQEIQDLAANPHSLNAVNPHQSNSGTEHLKLHDGSMTQPPPSAGAMTHGNKN >cds-PLY75549.1 pep primary_assembly:Lsat_Salinas_v7:9:34497677:34498883:-1 gene:gene-LSAT_9X32141 transcript:rna-gnl|WGS:NBSK|LSAT_9X32141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEIGSRGATHRQSFSELFSQQLVKSVTVKRSSGLSRGHQVGTKNETSEPVKQERVLAGDGKCYSSTDTVQGNKADTGEEEGNVSMDSGGGDVNMEASISADDVMRAGGFGARDDISNFLPVASDSTDFEASLRDARDYEEPQKEICRPGLGWKDVKE >cds-PLY65870.1 pep primary_assembly:Lsat_Salinas_v7:4:85453977:85455895:1 gene:gene-LSAT_4X57121 transcript:rna-gnl|WGS:NBSK|LSAT_4X57121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGDALQSQQQVVQARHIKKRSLKNKALSVSFNEKDLSDFVTGFHKRKKKRRKEAQSQQQEALRRKRIELRKKRKLEREYVIYSGAPPGQDGEANENGEVKEAEADEEDEEEDDDDEHLTSVSGTKVYDTGSVKVMVTTSEISREDDALNGNLVAGEIPKLPLGSEKKVNLPVIKKKPMKRVEKKRSRPKTQTKRDKRKGKKVEKRR >cds-PLY83099.1 pep primary_assembly:Lsat_Salinas_v7:1:155891420:155892620:1 gene:gene-LSAT_1X107820 transcript:rna-gnl|WGS:NBSK|LSAT_1X107820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALPFNVSQNHFFSYAHTTRFRAPCINSRHPRRLSVMNSKKSCLRCNTPYQDKDNSASACSFHGHANGDRGLLALAPPHQGIDGEWSDGSGVIVYKWNEKSDRPNTGRLNWKKRWSCCGVYDENAPPCRQGCHVSYDDGFTLY >cds-PLY90423.1 pep primary_assembly:Lsat_Salinas_v7:8:213825143:213827346:-1 gene:gene-LSAT_8X133061 transcript:rna-gnl|WGS:NBSK|LSAT_8X133061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMEQYPEETVINNNFIKNNETSSEKWKSLSESQEDSENCLSSGFDCNICLDSVEDPVVTLCGHLYCWPCIYKWIKHQNSSPETLINHNPQCPVCKRDISQKTLVPLYSPGQTTKPQPDEKSLDLGMVIPRRPLSPSCGVVRAPTEQVNHRVYQQAPNVINPTSPTTGMLGEMVYGGIFGNTQTPLYVYPNSYNLVAVSTQRARRHAIQADRSLGRIWFFLLCCIILCLVLF >cds-PLY94021.1 pep primary_assembly:Lsat_Salinas_v7:8:99307528:99311558:1 gene:gene-LSAT_0X12501 transcript:rna-gnl|WGS:NBSK|LSAT_0X12501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGRYYCYKEVLPFAAMVTMECTDVGINTLYKAATLRGMSYHVFIVYSYAIAAFLLLPAPFLTSRSTVVPPVNFSIVSKIGLLGIIGYMSQVMGYNGIIYSSPTLASAISNLVPALTFILAVIFRMETLSFTKKSTRAKFFGTIVSVIGALVVTLYKGPKLIWSLTPSPSQSPTVSLSSSQSNWALGGLFLTSEYILVPLWYIVLTQIMKEYPSELTVVFFYNLIVSILAAIEGSFTEPDSSSWKIKPDIPLASILCSGVLGKCISNSVDTWVLCLKGPLFVAMFKPLSIVIAFTLGVIVIGAMIISIGFYTVMWGKSKEDLVKDEVINLESSSTPRSPLLQYKDEDMECR >cds-PLY64783.1 pep primary_assembly:Lsat_Salinas_v7:2:101746245:101747728:1 gene:gene-LSAT_2X46601 transcript:rna-gnl|WGS:NBSK|LSAT_2X46601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTVKKMCFRTFNSQLRAFKKRLKKKCGNQRDPLETYSYLERNTLQSFRQRISSEEFQEISEKARASSMNNKNLARVGQLGYRGKKAEWEQEMASGQLTPQLYQIKSECSLHYVMGRRSKNQLGSNIIPPTIQPILHKLATIDQMGSQLAKLQAHVYLQHGSTNHAPDDVSLGVQQNNYGSTPTLDALDAIKMPTPYELVLSYGELYQKCVNGLVFPYGNGLIHTLPLRENHIKVMIDDIDSRYENFPRKSPGGYDRRHR >cds-PLY88118.1 pep primary_assembly:Lsat_Salinas_v7:9:8433942:8436107:-1 gene:gene-LSAT_9X7261 transcript:rna-gnl|WGS:NBSK|LSAT_9X7261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIPKGTIVFTTVGRPHYGFDVFSTPLPLNLQEFSEHRLTDGVSVNFNAQFTGPDEQHRLVYVSERSGSSQIYLDSDPLPYSAPEISLFHDRPIVRDGRLYFISAHEPSDAPFKSWSALYTLRLELDAADAKTLSRLTPYGCVDYSPSLSQSGDLVAVASYGFRPWAGEFHHLETDIVVFRLSDPTNRWLVCSQGGWPTWSGDSVIYFHRQAHDGWWSIFRLDLPSKFDLSPPPAPQRVTPPGVHCFTPAAMHNRPQVAVATRRKGDIYRHIEILDVESGKFYPVTKFLNPNINHYNPFVSSQSGFLGYHRFRGESGEGESIIPHLNLISSPIKGLGMVRVNGAFPSVSPAGDLIAFNPDLDSNGGVDIVKSDGSKRWSLLKGRASFYNSWSPKEKNVFFTSIGPIFDSVKSTVQIARISFDLKGEDDVEVEIKILTKEETGNNAFPSCSPDGKSVVFRSGRSGHKNLYVMDAVEGEFKFNGGIRQVTEGPWIDTMPSWSPDGKLIAFSSNRHNPDNAEAFSIYIMSPDGSNTRRIYVAGAEGSEEVDRERINHVCFSADGEWLLFTSNIGGVTSDPVSLPNQFQPYGDLYVTHLDGNCGLQRLTWNGYENGTPMWHHVPVPELVNSGDKLLGRFDEPLWIKCDF >cds-PLY74980.1 pep primary_assembly:Lsat_Salinas_v7:5:88026299:88026942:-1 gene:gene-LSAT_5X42121 transcript:rna-gnl|WGS:NBSK|LSAT_5X42121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIANMYLLLLNEAEGVESEPIDMNIVGSSKTNKQSKKTSRSEHGKNNVVSGKSDVNKDKEDTIPSDNTSVGRMKRKGSVRNTPVKDNTKSSDASGERKKPINLNELVMESISGSKKVNENAKIGVDMEDKENVVKRKKIVNDAHSK >cds-PLY85101.1 pep primary_assembly:Lsat_Salinas_v7:1:45488473:45490379:-1 gene:gene-LSAT_1X39980 transcript:rna-gnl|WGS:NBSK|LSAT_1X39980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYAALGVGLPLAAAHLDDLYNQQTPPANTYKWRLVLSYDGTRFSGWQYQPSTPTLQCLLEQALTRVTKLERKDLCVVGASRTDAGVHALGQVAQFVTPFNYKDLQDMHAALNGLLPPDVRIREISPALPDFHARFSVTGKIYHYKIYNDTVMDPFHRLYAYHNLSKLNVSVMKEAAKYFVGKHDFSSFANKQRNDRIVNPVKNISRVDIIEKGPILQVEVEGSGFLYRQVRNMVALLIQIGREALPPDIVPKILETCDRKELAKVALVAPPHGLFLVEVKYNEEHLGLPEDGPSTSFGRHHSISKCKLQYY >cds-PLY99472.1 pep primary_assembly:Lsat_Salinas_v7:5:333225920:333227011:-1 gene:gene-LSAT_5X186321 transcript:rna-gnl|WGS:NBSK|LSAT_5X186321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNEYEAFEDSQCKRAMEHAAEVFNSVLNTQSHMMMGIVKEPIGSVSVHYHSANKGNNQLLVENALDHAKDVYKSSFKPLVALLAEAGNKAVAAFRGVAVGAGGPGSIRRKYKHILPS >cds-PLY84752.1 pep primary_assembly:Lsat_Salinas_v7:5:229981883:229984522:1 gene:gene-LSAT_5X110080 transcript:rna-gnl|WGS:NBSK|LSAT_5X110080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERKEEVGKENGNSEEELRTLSDGSICGFESLHRLLESSLSPPVFKEVSRLLIGMNCGKPLEPLPIIEIAKGLSSQHDFDIQAFRFNADKELLREPRIVRVGLIQNSISLPTTAPFLDQKKAIFQKLKPIIDAAGASGVNILCLQEAWTMPFAFCTREKRWCEFAEPVDGESTQFLQGFARKYNMVIVSPILERDLKHGETMWNTAVIIGNNGNIIGKHRKNHIPRVGDFNESTYYMEGNTGHPVFETAFGKIGVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPAHADFGHFYGSSHVSGPDASCTPSLSRNKDGLLISDMDLNLCRQLKDKWGFRMTARYEVYADMLSRYVKPDFEPQVISDPLLHKNHV >cds-PLY79605.1 pep primary_assembly:Lsat_Salinas_v7:2:165892381:165894376:1 gene:gene-LSAT_2X89421 transcript:rna-gnl|WGS:NBSK|LSAT_2X89421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKVGLKKGPWTPEEDEKLLAYIQEHGHGSWRALPSKAGLQRCGKSCRLRWINYLRPDIKRGKFTLQEEQTIIQLHALLGNRWSAIATHLSKRTDNEIKNYWNTHLKKRLIKMGIDPVSHKPKNDTLLSNDGHFKSTANLSHMAQWESARLEAEARLVKQSKVCSLNSTFGPPSSSMHLDIHNNNKAWNGILDNGNGIGDSESSSSTLSTNRLKDNPTSFYELVVGGSNSNEDSLHEEYEVVPEYKDEKNGMGQGVNDMTIPTIDNTWTTEPLQSSNDNDQHVPNGNFLEHFTDLLLCSKNSFTDRCSLITRSDNNSDIDLVCNDKNDRPTHQIIDDCYEDKNKIYWDNILNMANASPSNPLMF >cds-PLY82460.1 pep primary_assembly:Lsat_Salinas_v7:2:187143774:187149915:-1 gene:gene-LSAT_2X108800 transcript:rna-gnl|WGS:NBSK|LSAT_2X108800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLETLGKDVYLGVYPDYTEEPSALASWGPNVKNVTLMIKDWFDSGVLHAKVKEVGIYLVSCPDIAKKEAKKRFDKADFFIARYNSTNFLQVLRKLVDDASKFLNDKVTKAIVTVPAYFNDSQRITTKDACHIAYSFDVSVLEVGDGVFEVLSTSRDIHIGGDDFDKGWKELELALLYMRKLLERVCKCLLKILVSWDFLRIIFNISACYYIQHFNLLPGRTDIWMKVEIPQDTKLVEPLDEACIWRQTRGTATETLGVENKAESTEKVQL >cds-PLY62688.1 pep primary_assembly:Lsat_Salinas_v7:4:335483361:335490853:-1 gene:gene-LSAT_4X165520 transcript:rna-gnl|WGS:NBSK|LSAT_4X165520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSLTDGPGSFQKHDADRYFFEVALDQSERFPTLNQWIQMQTNPHRVSEVAITIEHSVNDGIEAKTSVKRFREHDSDSDLEHDELFVVGTSSLSVPTNTTNESGIWQDSPKSEAAEIDTTVFLSFGWENEKPPLKDSPVLMYLPGLEGTGTGLVVYEKTLGK >cds-PLY83977.1 pep primary_assembly:Lsat_Salinas_v7:8:36455931:36457134:1 gene:gene-LSAT_8X28661 transcript:rna-gnl|WGS:NBSK|LSAT_8X28661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHLDYNPHPRILGGRVEELSELAGEEEQPPTLNPRRAWIHDYSAILYLMYTATLVTIYLPIISLLQLKFQNQQKSPFETSGFFMNLSVVALCIATATSGVLFYINHRLQNSTMQDFSLVHYMILKGVFSFFGILTPVSLVLVLIIPNKLDWIRYVIICVLLGVVAISNFRVYKRLNDM >cds-PLY65060.1 pep primary_assembly:Lsat_Salinas_v7:1:117160128:117164102:-1 gene:gene-LSAT_1X86661 transcript:rna-gnl|WGS:NBSK|LSAT_1X86661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDNMAVPSVLCDIVPILNFADEVEPYHPWAAYLCRMHALDKAHRQDPLSSGRGVHQFKTALIHRLRRAHFLSIFL >cds-PLY98351.1 pep primary_assembly:Lsat_Salinas_v7:5:315378004:315382595:1 gene:gene-LSAT_5X173100 transcript:rna-gnl|WGS:NBSK|LSAT_5X173100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANITTQMILEQSRKRQRLSHAPKLLPTEQVEVRSIEEGLRGSWHRGIVIECRTQIRVVKYHNLLYENTSENLIESIQVSFAVDGNIPTNWRQSDFPNYRGKIRPLPPHIICDKIYLHYGQCVDVFHEDAWWEGVVFDHNDGFDERLVFFPDIGDELRVPLKNLRVTQDWDAATDEWNLRGDWIFLEVIEELKLEWPLVVSVKQIWYEVRMTKCFSKEMKEWTCPVKESWKETVKEVMADNFKLTMADFLHTFSEDMDINKRFLDSVVNLEPSFSKSVEEFHDHDTVLAIKSDHETLNSPSEEPYSKWTKTDIFPQAECFPDAIFEYYKCFKSGKKPPVSTTLKVRQHLSYLGWTIECKIYKIGSKGNATFRYRYTDPNGKQYYSLNVLCTELKNISSNSFNVKNLESLTSSASTKEEPQIQDLHVVESEYCPQAVVDYYSLISAPNFDLQKMRDDKFKKLQISARKHLFAVGWSNFHLEHDSGRKVIVYSSPNGRKFYSLHEACSHYIKESFCFDFNKTFKNENGVLHTESPIKKAESLMTPTTTHVLRSSKRARKDVSSLFQTPRTVLSWLIDNNVVLPRAKVKYICKKNGFTMKEGRITREGIKCSCCQSTFSLLKFQSHGGSSYGRASANIFLEDGRSLLDCQLQIKLDQSSKSNLKGNQHEMVNDNDFICSVCQYGGELVLCDQCPSSFHKSCVGLKEVPDGEWFCPSCCCRICNQNRFSEQNTNSEMINCEQCDKRYHVGCLKRKVGVGSYLEANWFCSSRCEEIFTGLERLLGKSIPVGRDKDKLTWTLRKNKTSECSSTSNDDIDALNMEEIIENYSKLNVAISVMHECFEPVKEARTGRDVVEDVIFCRWVYGEKEAEIPLVGTRFQYRRRGMCHILMHQLEKILTELGVKRLVLPAVSSVLLTWTRSFGFSVMTESEKFKLLGFTFLDFQGTTTCHKILVKPSSSRYVFCLTIKT >cds-PLY61963.1 pep primary_assembly:Lsat_Salinas_v7:5:161182098:161183499:1 gene:gene-LSAT_5X70181 transcript:rna-gnl|WGS:NBSK|LSAT_5X70181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDLPAKGTDMWELPLRYHSKGVTIASPPRPAPQVHENAMISKSKDQSSTDVRTVIKKRKRRNKQQNIVVLEPSSWNRICPQDVVDAGMHLNPAKKKHSAWFSLTPSCDQNRKKTLQLLVEPYLQIIMEGNCNPDVSILMKYITLQLKHVRQQEVGIFLNGKLLALEMKLLDVVKRSMAIVDSERKIIKIGSCVENFCVKLTYA >cds-PLY73090.1 pep primary_assembly:Lsat_Salinas_v7:9:3839925:3841178:-1 gene:gene-LSAT_8X76661 transcript:rna-gnl|WGS:NBSK|LSAT_8X76661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHPQFQTSFFTKSNNQVTPSPVSILIRNRIQMVVPQSQRYIITVKAMAGGGLYSAQQFELTPQNVDTVLDDVRPYLIADGGNVDVVSVEDGIVSLKLQGACGSCPSSTTTMKMGIERVLKEKFGDAVKDIVQVFDEQAAATSVEAVNGHLEILRPAIANFGGSVEVVSVSVEDGECVVKYTGPDSIGSGVKAAIKEKFPDIQNVFFT >cds-PLY72058.1 pep primary_assembly:Lsat_Salinas_v7:2:204933989:204935636:1 gene:gene-LSAT_2X124901 transcript:rna-gnl|WGS:NBSK|LSAT_2X124901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQDVCDSVERDNSTCSTSTSSGYRLFDRQTTIHQLMGGGKAADVLLWKRQHVSWGIIVVATVAWLIFERSGLSFLTICSNVLLFLIVLRFLHANYASFRDKQIQTLPELVLSEEMVNYAAASFRMKVNYLLLMAHDITLGKDFRLFFMVVGFLWILSVLGSLISFFTLAYIGTILSVTLPALYNRYEEQVDRCAGSIHRNFSRHYKIVDDNVMSRFPRNVVPKDKPL >cds-PLY81241.1 pep primary_assembly:Lsat_Salinas_v7:4:307940978:307941157:-1 gene:gene-LSAT_4X156160 transcript:rna-gnl|WGS:NBSK|LSAT_4X156160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEGICERTDGKEVEEKLVSSFSRWSNIEGKRIRDTVAGADESQWSAIRGEPSVANEVR >cds-PLY76526.1 pep primary_assembly:Lsat_Salinas_v7:5:12565329:12567831:-1 gene:gene-LSAT_5X6881 transcript:rna-gnl|WGS:NBSK|LSAT_5X6881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQKANVSKELNAKHKKILEGLLKLPENRECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPDQVAFIQSMGNVKANSYWEAELPRNYDRVGIENFIRAKYEEKRWAAKDRIPSSPSRFQENKAPIQFQKPTERSSPSNEKKIFQTRVTKTNMPATKISLPVPPKASEPVIRKAESAGSCGEVAKENAEVVSPPKVEVATDLFDMLSMHDCSGDNGSVATPTDDMWAGFQSVGETSATGTRSSSEPADNNKAKSTSEIEDLFKDSSKSPMSQNNNNNNNNASPLKPQKDVKNDILSLFEKGNNNTTTPYTLHQQQLAMLAQQQQLLMAAAAKSGGLHKFPGNGQQQVAAGGTNLPPNQNWPNVGYQIPGTGMMMPAVGKDEEKYLQEVNDL >cds-PLY70946.1 pep primary_assembly:Lsat_Salinas_v7:5:151603955:151608027:1 gene:gene-LSAT_5X67180 transcript:rna-gnl|WGS:NBSK|LSAT_5X67180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIQCGVAFQGSVAASLNSDTSLHKSIFKSKKISYTEKPWMSFSPMELKTKKTPSRYQSAICMSTPQAVGSAKVSVSPLHLEDAKEPPLNTYKPKEPYTATIVSVERVVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGAPHNVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDPSKNGVCSNFLCDSKPGDKVKITGPAGKIMLLPEENPNATHIMIATGTGVAPYRGYLRRMFMESIPKPKFTGLAWLFLGVANKDALLYDEEFRKYLEDNPGNFRYDIALSREEKNRNGGKMYVQDKIEEYSDEVFKLLDEGAHIYFCGLKGMMPGIQDTLKRVAEGRGEKWEDKLSKLKKNKQWHVEVY >cds-PLY74707.1 pep primary_assembly:Lsat_Salinas_v7:5:25993391:25993603:-1 gene:gene-LSAT_5X13360 transcript:rna-gnl|WGS:NBSK|LSAT_5X13360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGDTGETGGTPTSPIRSMMSLEQTTTPNTTIITGEASKEGGSTLSIAPTIGQEQAVDGGQTSSTIVLK >cds-PLY75728.1 pep primary_assembly:Lsat_Salinas_v7:4:333428694:333431269:-1 gene:gene-LSAT_4X165120 transcript:rna-gnl|WGS:NBSK|LSAT_4X165120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAGGGLVFGAPRAAALPTLFLSRRRSATFRCYSSSSDHVSFIKDIAVAQPPEHLHHLLKMLQVRGESIISPAMKHGMIPLAIPLSKNSLGSVTALLRWPTAPPGMEMPVVEVRSYGVWLLAKNVDQYIHRLLVEEDANGGINELFHASGEVGEKLYTKGDFGKSRNPNLDVYLLQKVGLFPDILERKVMDHFKKGDHVSAMVTGEFYTKKEHFPGFGRPFVFNAEILLKVGRKIEAKDAARGALKSPWWTLGCPYQEVADMAEWDDEQIEFIKEKVSEEGKQEDLMKGKDAAQISLDEAAFLLDLASIEGTWDLQMERIAECYKEGGLPEIATFIKYRN >cds-PLY93182.1 pep primary_assembly:Lsat_Salinas_v7:5:199970457:199972679:1 gene:gene-LSAT_5X89920 transcript:rna-gnl|WGS:NBSK|LSAT_5X89920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIQSGKMVMNIYLQKQKFPTSLMRFPLWNLHLLQRFSLWSMKKDPDLEAALTRNHRWIINNQIKNIILRCPDHVASVNYLQKKFKTLDLQGKAMNWLKKYPCCFEVYFENDEYHCQLTKKMLSLIEEEEFIKDMQESVVVERLAKLLMMICNHRLNVSKLNEFKRNLGLPDDYLIRIIPKHLDMFRIVNYSGRKTSMEIELMKWNPELAVSFVEKTAQENGWNPSFQCSLPLSWVKSWERFNEFNSSPYISPYVKGEFENDKRIIGVIHEFLSLTLWKKASIMKLGHLKRELCLPEKLNVLLLKHPGIFYVSNKYQIYTVVLREGYKGSELIHKDPLVVVKDKFGELMQEGVHEYNRRHHLLNLEKKRKKGMNMIETKVGKKKDSVDAHELSDEDGDLGGIFDPEERKRFYKVLFEDKAP >cds-PLY89553.1 pep primary_assembly:Lsat_Salinas_v7:4:158404538:158405886:1 gene:gene-LSAT_4X95340 transcript:rna-gnl|WGS:NBSK|LSAT_4X95340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTYGTIPTSSGGGSNLEYLSRAKARIKSGLGTRRSWKEMFNFRSVDLPHGVSDAFSRIKTNIGYFRMNYAILVLVILFLSLLWHPVSLIVFVVMMAAWLFLYFLRDEPLVIFHRTIDDGVVLVVLSVATILLLLLTGATMNIISSILVGLAVVVIHAVFRSTHDLSLDDEAGGYLASSSS >cds-PLY76208.1 pep primary_assembly:Lsat_Salinas_v7:4:52415785:52418347:-1 gene:gene-LSAT_4X34601 transcript:rna-gnl|WGS:NBSK|LSAT_4X34601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLYDKAFINSELSKPTSIFGLRLWVVVGIFVGVIIVLLLFLLSLCITSRRRNSPSNKRKKKFEQTQTLNPAVSKDIQEIVHHDAIAAVDHRLPVPEIQIDLGKLEHRVVFSDRQSSGESRATSIEAPSCGGGSSVPSLPEVSHLGWGRWYTLRELEAATNGLSDENVIGEGGYGIVYSGVLGDDTRVAVKNLLNNRGQAEREFKVEVDAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGDLDQWLHGEVGDVSPLTWAIRMNIILGTAKGLAYLHEGLEPKVVHRDIKSSNILIDRQWNSKVSDFGLAKLLCSESSYVTTRVMGTFGYVAPEYACTGMLNEKSDVYSFGVLIMEIISGRSPVDYARPQGEVNLVEWLKTMVGNRKAEDVVDPKLPEIPSSKALKRILLVALRCVDPDAQKRPKMGHVIHMLEADDLLFHRDDNRSR >cds-PLY66746.1 pep primary_assembly:Lsat_Salinas_v7:1:167592201:167592682:-1 gene:gene-LSAT_1X112060 transcript:rna-gnl|WGS:NBSK|LSAT_1X112060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVYWTPYSGVIRSKETNINNINDYVEGDKYYGAKATMNVWEPKIQQSNEFNLSQIWISGGAVGQGLNNIEVGWQVSPDLYGDRLFTYWTVSTITILVPRALDVVHTIIYGDRGSIHYSSCKFTP >cds-PLY86529.1 pep primary_assembly:Lsat_Salinas_v7:2:208144539:208146242:1 gene:gene-LSAT_2X129141 transcript:rna-gnl|WGS:NBSK|LSAT_2X129141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLVLFTSFFLVICMHSSNARLLLTVVKHRSSTRSHHLQIAEKINGGDEMVERTRAPVIRPPATPFSKAEFGESLTGNEQNDAGSDIVESSSFHHVALLKGKHVEDSKKPIVSRYPGKEEVKHSENDDIVVTDYQPPHRKAPIHNK >cds-PLY69939.1 pep primary_assembly:Lsat_Salinas_v7:4:68027466:68028297:-1 gene:gene-LSAT_4X46040 transcript:rna-gnl|WGS:NBSK|LSAT_4X46040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRILSMLINNSLLNDWSLNALNEDEVVESLVKDGFSRVIAHHCLEVYGSKTNGESVWGLDEKRVCVHFARGILSSFGKMKMESFMEEWARKVPGGMVVSFEMLEGEVLVEKLGIQSWVYGFSVSSLPSDPANRFSRLFQERSKWDWKDLHPYIRDLSVPGLTSDGLLLKYTRRTQPTANSEPVFTAR >cds-PLY62138.1 pep primary_assembly:Lsat_Salinas_v7:6:46606387:46606668:1 gene:gene-LSAT_6X33841 transcript:rna-gnl|WGS:NBSK|LSAT_6X33841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEVEVEVESADDLISLPPCFGAAAAEVEEEEQQRMKVTGRYDSEVGGGEEEAKPKQCLRGRDDQTFKASIVIRAANLLASSSRGFEDRRTHL >cds-PLY72204.1 pep primary_assembly:Lsat_Salinas_v7:7:52523509:52524432:1 gene:gene-LSAT_0X25541 transcript:rna-gnl|WGS:NBSK|LSAT_0X25541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKISNNGNTTLHVAVGTSKDGKFFEKLLEKIPQNTQLIDVTNSDGSTLLHVAAIIGNTEAADILVEINPDLLLAKDKEGQTPLALALSNMHTETARHLLQHVNTDIQKNALFSGTTSDELLVSVISSKDFCFARELLTYYTTLHSDTVLMAIAQNFPKLNRFLKYIDTTFYIEGSYERFEMVYKSYKASSQRQLGTGIPWVSRT >cds-PLY63500.1 pep primary_assembly:Lsat_Salinas_v7:9:137165354:137166097:1 gene:gene-LSAT_9X88261 transcript:rna-gnl|WGS:NBSK|LSAT_9X88261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICVASIRIHGTQLPEMPFIGTRHIYRRQRMCRRLLSTIESVLSSLHIEKLIIHAIAEHMHTWIDVFGFKPLEETHRQEMMSINLLVFPATNMLQKPLIPEKGSSLHKIRMELELESNIPKPAKSESSSPDIKHSRQRIAPSESGSQDASDATLSISYVKVDITFPVPERKPNVISDSDVQLDGDDTVMLNGEEST >cds-PLY70638.1 pep primary_assembly:Lsat_Salinas_v7:4:227006958:227012152:1 gene:gene-LSAT_4X124081 transcript:rna-gnl|WGS:NBSK|LSAT_4X124081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTEKQPVGILDTINMETVRTILTHKYPYPHEHSRHAIIAVVVGCLFFISSDNMHTLIQKLDKNIKWWSIYACLLGFFYFFSSPFVGKTMKPSYSNFSRWYIAWILVAALYHLPSFQSMGVDLRMNLSLFLTIFISSVFVLLVFHLVFIGLWYIGLVSRVAGRRPAFLTIFQNCAVLSVACCVFYSHCGNHALNERSFGRKDSGFFSLWNKGERNALLAKFLKMYEFKDEVCKSWFAPVGSARDYPLLSKWVIYGEVMLLGSCESSDEISPIYSLWATFIGLYIANYVVERSTGWALTHPLSVKETEKLKNKQMKPNFLDMVPWYSGTSADLFKTVFDLLVSVTVFVGRFDMRMMQAAMSGGHEGAKQEDFLYDHFSEKEDFWFDFMADTGDGGNSSYSVAKLLAQPSLCVWNDGHLTKLPRGDLLLIGGDLAYPNPSAYTYEKRFFRPFEYALQPPSWYKEEHIAVNKPELPSGVSELKLYDGPQCFVIPGNHDWFDGLQTFMRYICHKSWLGGWLMPQKKSYFALQLPKGWWVFGLDLALHSDIDSDQFKFFTELIHKKVKENDSVIIMTHEPNWLLDWYWDDVTGKNVSHLTRDYLKGRCKLRIAGDLHHYMRHSYVASEIENPNSVNVQHLIVNGCGGAFLHPTHVFSDFKQIYGTTYENKASYPSIQDSSRIALGNILKFRKKNWQFDFIGGFIYFILTFSMFPLCKLDHILQADTFSGHVKSFFSTVWDIFMYMVGESYVSSMGSILLLVAAISFVPSKVSRKKKIVIGVLHVSAHLAAALVLMLLMELGYHSLYEWYRSVESEHFPDPTGLRARIEQWTFGLYPACIKYLMSAFDVPEVMAVTRTNICKNGMDSLSRGGAIIYYASVFLYFWVFSTPVVSLVFGSYLYTCINWLHLHFDEAFSSLRIANYKSFTRFHIKDNGNLEVFTLAVDKVPKEWKVDSEWDNEFKQIQQLNHYRKYPSKWRANAYNQDPVNTVRIVDRFVIQTCKEELGVANGNGNVSH >cds-PLY79584.1 pep primary_assembly:Lsat_Salinas_v7:2:166413376:166415508:1 gene:gene-LSAT_2X87781 transcript:rna-gnl|WGS:NBSK|LSAT_2X87781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASLTTSVVFHVPTLGLSEYYHTRIHISRFSEFLSSDITSNSWSAKRKVVSSCRNSRSTRLDRDVPKVSIAYGSVLNEHPMWDDGEEDVDDVSMASSLVSENRLQFLEERDEKNLSWRLLKLSRADKVRSALELYASMDFMGLRPESHAFNALLSCLLRKEELDDALQVFESMRSSGRTSGHSYNLILQGVAKARGFEAAFQMFQELIDDKEETIKADQVLFNMMLTLCRDEKDWIKSEWIWRKMKENDCVGNSVTYRLLVTMFLGFCQYGLAVEAYLEMVQNEVEPDTRTMEAAVMSFVKDGRWDFALSVFRDMMKRKENPNIIVFNALIHSLGNNGQVNLAFKVYDCMRCLGHVPTSYTWNALLIALCRADQYSDALELFEKIQQESPSELGLHLYNTALTACNKLGSWRRGLQILWEMEDLGLEIPVTSYCRVIGACEVGNEPKVALQVYQHMVHKKHNPDTLTLLSLIRSCIWGSLYDEVHEILKLVPPNAHLYNAAVQGFCLREKTESATKLYQEMRELGLSADHKTRAMMLQFLPKI >cds-PLY95575.1 pep primary_assembly:Lsat_Salinas_v7:1:184980103:184984497:1 gene:gene-LSAT_1X120240 transcript:rna-gnl|WGS:NBSK|LSAT_1X120240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHRRTRAFDESDITIIVPASAVSSPSSSTSLSPFYPDNNNNATSSSPNYFSSSSSRRTRAFDESDITIIVPASAVSSPSSSTSLSPFYPDNNNNATSSSPNYFSSSSSRAFVTDIEKLKLSNKAHLLEIESLMGYFLEDGIQLGSNLLQAVEFLVSRPIDKQSLLHSGILCCLIYILNALLGPDGRNTRKKVTSIEEEPEAMDSPGPDRCLEVEGSVVHIMKALASHPAADQSLIEDKSLQLLFEMVANGSLILFSRYKEVLVPLHNVM >cds-PLY73298.1 pep primary_assembly:Lsat_Salinas_v7:MU043501.1:103535:185923:-1 gene:gene-LSAT_0X13160 transcript:rna-gnl|WGS:NBSK|LSAT_0X13160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKTAEGNDPYLFSTNNFVGRQTWEFNPDAGTPEDQQEVENARQYFLNRQKDGFQASSDLLMRKQLIKESGIDLLSLRATRLEETEEIHYEAVTTTVKKALRLHRAIQAKDGHWPADYDGPLFMTPPLLIILYISGTINTHLTGEHKKEMKRYIYNHQVLGVYEWEGCNPLPPEFWIFPETFPFHPAKMWCYCRTTYMPMSYLYGKKFHGPITKFVLQLRQEIYSIPYDEINWNKQRHICCKEDLLYPHSTVQDLLWDFLQYFGEPVFKYWPFKRLREIALKRTIELIRYSAEESRYITTGSIEKSLQMMVWWAENPCGDEFKHHLARLPDYLWLAEDGMKVMPSGGQLWNCALSTQAIIASNMVEEYGESLKKAHFFIKESQVKNNPAGDFTKNCRQITKGSWTFAQDDGWAVSDCTSEALKCLLLLSQMPVEISGEEDGNIERLYDAVNFILFVQSPTSGGFAIWEQPVPQPYLEMLNPSEMFADIVVEREHLENTASIIQALVAFKRLHPKHREKEIENAVSKAVRFLEKRQRPDGSWYGYWGICFLYGTCFVLRGLEAAGKTYDNCEAVRKGVKFLLSTRNEEGGWGESYKSCTREVYTPLFGNRTNLVQTSWAMLG >cds-PLY95274.1 pep primary_assembly:Lsat_Salinas_v7:5:73539733:73540162:-1 gene:gene-LSAT_5X34461 transcript:rna-gnl|WGS:NBSK|LSAT_5X34461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMNSLQSIIISILITLHLLSLTSNSSRVHMNLSKTTPIRVLLYEEKTRLGSTPPSCHNKCNLCHPCMAVQVPTMPGHNRVKPVDYYDQPPASSSGNRYSNYKPLGWKCRCNGQLYNP >cds-PLY89623.1 pep primary_assembly:Lsat_Salinas_v7:8:220021658:220023091:-1 gene:gene-LSAT_8X135220 transcript:rna-gnl|WGS:NBSK|LSAT_8X135220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMKNETAKPVTAAGKVAAAANEVHYRGVRKRPWGRYAAEIRDPGTKTRVWLGTFDTAEAAARAYDTAAINFRGSKAKINFPPSLDGVTGGNYSQSSTVESTHSSRESENNTPVELDLMRCLVGEASSGGGYQFFVNGNQQTVAFVPHAQPIMFFGVQPEMAMNRAHQYPIHQMEQHFDGGYGGRAESVSESSSVADYIPRDTSYSKREINLDLNLAPPTVEVFEKYPNYRLLKFSKDFDISGDYLLLFSSETLTNVRFLKSFQEQEDEPRAQFHIAFSKEGTRS >cds-PLY84623.1 pep primary_assembly:Lsat_Salinas_v7:1:29658922:29663214:-1 gene:gene-LSAT_1X25201 transcript:rna-gnl|WGS:NBSK|LSAT_1X25201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:11-beta-hydroxysteroid dehydrogenase-like 5 [Source:Projected from Arabidopsis thaliana (AT4G10020) UniProtKB/Swiss-Prot;Acc:Q9T0G0] MVMMDTINSVLNWVVPPASLVMLAFSWPALTFINTCEWLYYSYLYHEDMYNKVVIVTGASSGIGEQIAYEYAKKRANLVLVARRDHRLHGISENAKRLGAPQVLIVAADVVKEDECRRFVNETISVFGRIDHLVNTASLGHTFYFEEANDASVFPILLDINFWGNVYPTYVALPYLRQNHGRIVVNAAVENWLPLPRMSIYSAAKAALVNFYETLRLELNGDVGITIATHGWIGAEMARGKFMLEEGAEMQWKEEREVQAAGSPVEEFARLIVSAAVRGSANVKYPSWYDVFLLYRVFAPDVLTWTFRFLLSNQGVRATSFMGTGRPLLEASSPPKRLLTGGESRTSPRLQLRQLDE >cds-PLY69330.1 pep primary_assembly:Lsat_Salinas_v7:7:79775587:79780314:-1 gene:gene-LSAT_7X55541 transcript:rna-gnl|WGS:NBSK|LSAT_7X55541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIAVGGSIALKDYWEAGTIVFLLIISEWLEARASHKATAVMSSLMSIAPQKAVLADTGEEVNTSEVKVNTRLAVKAGTMIPIDGIVVEGKCEVDEKTLTGESFPVYKQVDSIVFAGTLNLNGYISVKTTAVAEACVVARMARLVEEAQNNKSKTQRYVDEFAKYYTPAVVVVAASLAAIPAAMRVHDLEKWYHLALVVLVSACPCALILSTPIAAFCALSKAATSGLLIKGAEYLEILSTVKFICFDKTGTITRGEFSVSDFRPLIDTDKLLYWVSSIESKSSHPMAASLVDYAQSHSVEPQPDNVEEFKDFPGEGIYGKIDGKDVYIGNQKIGVRAGCSQGKNVGCSQVPTQINNQGKSTGYVFLGSSLAGIFSLSDSCRIGVKEAIKELKSMGIKTTMLTGDCEEAANFAQNQLGGALDMVHAGLLPQDKATLIKEMQRESPVAMVGDGMNDAPALATADIGISMGVSGSALANDTGHMIMMSNDIRKIPVAVRLAKRTRRKIFENIFIAMVIKAVVIALAIAGHPLVWAAVLADMGTCLVVIFNSMLLLRGVTSRTMKKNSSCLRNDSQCLSNYKVKDKCCEADDCGLEVKKNCCDVGGCNLVVKDGCCDVDSSGLKVGRNCCNIGGCDSQVKERVCDVGGGGFGLEVKDKCCDVSGSFSKIRERLCNVGSYSLEVKDNSCDVGDHRLEVKEKCCDVDGCCTKVKSSSDMGGYGLEVKEKSYDVGAYCLEVKDMCYKMGECGSETKDKCCDIGDCGSAANKKGCDVGDCCSKAKYHFSDVGGSGLEVKKRCGDVGAYYIEVKDNCYDMGGCGSKVKDTCCDVGSYGSKPKATICDVGGCCSQIKDKCFDVGGYGLEVKDKCHDMDDYCLEIKENCCDGGDCQLEVKDECWDVDGNGSKAKEKGCDVGGYCLETKDKCSDMGCCRSKTKGKFHDVGDDYLEVKDNCCKMGNCCLEVKDKSYNVDGFGSKDNEKCCDMGVGYLVSKVKDNCGDMGGGCGSKVKDKCCDLSGNYSKVRENHCNMGSYSLEVKDNVCDVGSHGLEVKDKCCNVDDCCLVVKDNCCEMGGCGLEAKEKCHDVGAYDLEVKDNCCKERRGGLEVKYDCCDADGFGSMANDMYCDTGGGYFDSDSTVVIWVVVVVQRLKTRIVA >cds-PLY85122.1 pep primary_assembly:Lsat_Salinas_v7:9:146828384:146832278:1 gene:gene-LSAT_9X94340 transcript:rna-gnl|WGS:NBSK|LSAT_9X94340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNLTTNILSFFPFFFLNNVTPSEFLTFFHISSHTIPKIQHLHKTHKPIFQRSTQMGIFLSKNQNLRTQLPPPSSPELHHQKPWDSILGIPYKNITDDYKIGKELGRGKSAVTKICKEKATGKKYACKSIPKRRLVTESERQHLKREVRIMEHLSGQKNVVELKCTYEDNRSVHLIMEYCEGGELYDKMKAKGRYSEKIAAQIISSIMKVVYSLHFMGVMHRDLKPENFLLSKRGVLGFLPCYADYTMLKAIDFGLSAYIEEGKLNQEKVGTAFYVAPEVLRRQGYDKEVDIWSAGVILYMLLTGVPPFYGEDEKEIFHAIMKADPDMENYPWPLISEKAKELVKKMLTVDPKKRPTAADVLNDPWLVDNGVATKNPIDDEFLVRMKNFRAMTKFQRFALQVIADIIPPDEQEGLKAMFHNIDTNEDKIITREELEKSLVRVGSNLGSEEIKTIAEAADADRNGLIDYNEFITAMMNFRRTYKEEHLRNAFQKFDKDDNKRISKDELKSMLEEYKMGDEDTINDIISEIQVNADGEINYEEFCKMIRS >cds-PLY66957.1 pep primary_assembly:Lsat_Salinas_v7:7:17708025:17712044:1 gene:gene-LSAT_7X14621 transcript:rna-gnl|WGS:NBSK|LSAT_7X14621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIVSGTMATCSKEHQKIYQQWFNYVDSDGDERITGDDATKFFAMSNIEKSELKQVWAIADTKRQGFLGFKEFITAMQLLSLAQSGNEISAESLRTGVDLEFLQPPHMEGLDSLLVKHSDSRTNGVQETYGSLTGQLVPPKNLFTSKLNKKTPHHASLGKVTSIIDGLKRLYNEKLKPLEVAYRYNDFASPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKSNYPGAHIGPEPTTDRFIVIMGGPDDRSVPGNTIAVQADMPFNALTTFGGAFLSKFECSQMNHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVIKWFAERCDLILLLFDPHKLDISDEFKRVISSLRGQDDKIRVVLNKADKVDTQQLMRVYGALMWSLGKVLNTPEVTRVYIGSFNDKPMNEESVDSMGRELFEKEQDDLLVDLIDIPKKACDRRINEFVKRARDAKIHAYIISQLKKEMPAVMGKSKAQQKLMKNLEEVFAKVQKEFHLPAGDFPDVEQFRQVLGNYNIDKFEKLKPKMIQAVDDMLGYDIPDLLKNFKNPYE >cds-PLY98145.1 pep primary_assembly:Lsat_Salinas_v7:1:139155168:139170926:-1 gene:gene-LSAT_1X99060 transcript:rna-gnl|WGS:NBSK|LSAT_1X99060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSEFSEGSSSSSSTHGHRYDVFLSFRGLDTRNSFTAYLHKALVDANITTFLDDEEIETGEDLKPELESAIKASRASVIVLSKNYASSSWCLDELVMILKQRVKSNQIVIPVFYHVEPTHVRKQQSSFGDAMAKHRQRMEAETNENKRSQWDQKIDRWNKALKEVADLKGKDVTGRLEMEFIEEIVQDIYHRLRLPLNSPLPLLIGMEDSITLVTSWLKDASSHTTDILTILGMGGIGKTSLAKYVYGLHCREFETSSFIGNISRRCDEKFSGLLELQKQLYDDISKARSIKVHEVSIYTSKIENVVTRKKVFLVLDDVDSLHQLDALLGSKGFHPGSKVIITTKDAWLTESCALFKTNVKPNHAKHFLQVLDETPSRKLLCFHAFMSYTPKANYEEVTGNFVKYCEGHPMALEVLGKSLHNRDVAYREDWIKQLTKEPGSPIDNVLRMSFNSLPSTNDKDLFKHIGCFFVGKDRDVTETILEACNINIRSGITNLVDRCLLSIGSNNELMMHQLLQNMGRFIVHQESPHKPWKRSRLWCHEESFKVLKQNKGTENVLGLVLDMRMLEKKKFHASFELKTDALSNMDNLMLLQLNYVQTTGPYENFSEELRWLCMHGFTLKSIPSDLPMDNLVALDMSYSNIESFGICYSNPQRLQKRQKLSTGFFLEDKRLPRSLKILNLSFCQQLHSLGGFDQLPALERLILIDCIGLLDVCESIEQCVELVHVDLSYCNKLENLPRIIGMLKKVKTLLIEGCNLSESRIQVKDIDSPEKSIVIFSPAIPEAIPTYSKLFTISLPRSLVSLSLANNNLSTESFPMDFSSLSMLKELYLDDNPIVSLPYCVKSLPKLESLSMVNCNLLTSVDHLPHTLTYLDLHSNSNKPLLQKVVFDPDMSPLELSVDWKMFATSSFEFEGLIKIQPMAGIDEKLLHSLGWTKLDFLNERRVRTDVWFSGPKESEIQMYYEFGIFSTIYGGKEMPNWITDRNPGPSTSFTIPSSLNKLRGLNFCYVQMSLDVEFKYLPMIIISNITKSRTWIYDHCIDGVIDVGEQCFTFLSHWMFGMNDMEVGDHITITVRENIFHVCKQDTQMCGVSFIYDDGATDEEEEDVLSYYKSWNHIIGGDLTGFQLTTGEYILNKSRILLRDVDSVLQPYQYLCGEDASFKDKRVHFRAFSETESGMPEDGIQAVSQD >cds-PLY66389.1 pep primary_assembly:Lsat_Salinas_v7:4:119807154:119811452:1 gene:gene-LSAT_4X75041 transcript:rna-gnl|WGS:NBSK|LSAT_4X75041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGIKKDLKARLLCYKQDWTGGIRAGIRILAPTTYIFFASAIPVISFGEQLERDTEGSLTAVQTLASTAICGIIHAIVGGQPLLILGVAEPTVLMYTFMFKFAKDQKDLGQPLFLAWSGWVCVWTAFLLILLSILGACSIINRFTRVAGELFGLLIAMLFMQQAIKGVVEEFGIPKSEDPNQLAFQPSWRFGNGMFALVLSFGLLITALKSRKARSWRYGTGWLRGLIADYGVPFMVVVWTGVSYMPVNDVPKGIPRRLFSPNPWSPGAYTNWTVAKEMLKVPPVYIVGAFIPATMIAVLYYFDHSVASQLAQQKEFNLKKPSSYHYDLLLLGFLTILCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRRKLVDTARKSINKSSNLSELYEDMQQAYNEMQTPLVYQNPSSLGLKELKESTIQQASSSGYINAPIDETVFDVDKDVDDLLHVEVKEQRLSNVLQALMVGACVAAMPVLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEHHATFVETVPFKTVAAFTLFQTAYLFACFGITWIPIAGVLFPLLIMLLVPARQYLLPKFFKGAHLQDLDAAEYEEASATPYNMAFGDDETPTRTTQVDSAEVLDGIITRSRGEIRHTSSLKITSLTQTPIQDMKPMYSPRLSQRVYSPHLNELRGVKNPNSPGKGLQIKKTPSPRSSILGQTSNGSSSC >cds-PLY65200.1 pep primary_assembly:Lsat_Salinas_v7:7:60013527:60015917:1 gene:gene-LSAT_7X45361 transcript:rna-gnl|WGS:NBSK|LSAT_7X45361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSGGSRGDSNESSQKGTTMAVSPNSDVDQLNQGFVDMSLNSNQDNSWDLFLGNKNGDGGGWRSWVQPDTKKTDESANNQISGDYIYVSEDELLSDDYDSDDSQESHDTRKKNPWFSEFFGILDDLTPQQINEPVRQWHCPACQNGPCSIKWYRGMKSFLTHIKTKGTRRPKLHRDLAKLLDEELCRRGAMVIQSGESYGKWKGLDKDVKDHEIVWPPMVIVMNTQLQQDENEKWIGIGTQELLSYFDSYEAVRARSSFGPEGHRGMSVLIFDTSAVGYLEAERLSKEFEHEGLGRDAWDHYPNVFHLEGNKRQLYGFMATKEDLEIFNQYSHAKLKMKFELVSYQEKVVKRLKQMNEDSQQLHWYKKKVAKQEISLKALEESFWIVSQKLKKLEEESRIMDFQEKFFKDQLKVIQDARNAYKKEESKRIEKSCVDERYLSSCVIRIMIFKSTNKVNGS >cds-PLY84073.1 pep primary_assembly:Lsat_Salinas_v7:6:188575669:188584648:1 gene:gene-LSAT_6X114340 transcript:rna-gnl|WGS:NBSK|LSAT_6X114340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAPEKTTTSNNNSSMQRVKVYRLNDDGKWDDQGTGHVTIDYLERSEDLGLFVLDEEDNETLLVHRISSDDIYRKQEDTIISWRDPEYSSELALSFQETTGCSYIWDHVCNVQRNLHFNTLNNETYHGANSELRELPEVELSTLPSILKVVVDSSITDQLCVTELILHDQKFFRKLMDLFRVCEDLENYDGLHIIYKIIRGIILLNSPQIFEKIFSDELIMDIVGCLEYDPDVPHVHHRDLLKAHVFKEDVVLSRVLDEATAASLNSIVHSNNGMVVTLLKDDANFMKELFTRLKSPSTTPESKMNLVYFLQEFCNLSKSLQMVQQLRLFRDLVSEGIFEVIGDILQSDDNKLILTGTDILMLFVSQDPALLRSYVSRQEGVSLIGLLVKGMLKDFGDDMHCQFLEIIKSLLDTYSPAGQRDAIVDVFYERHLDQLLDVISSSSCPPYAVHKSADSNGSLHSQTSIKPEILLNIYDLLCFCVLQHPYKIKSKFLLSGVIEKVLSLTCRREKYLVVAAIRFIRTLISLKDEQLMNHMGKNNLLKPIVDVFVDNGSRYNLLNSAVLELFEYIRKENLKTLMKYLVETFWVELVKFDGLPSIQSLKVRYDQAMEQVTNQNGPYALNTRRRVDERGLEKEEEDYFNEDSDEEDSASASMPRSTTRIRTQPTLSNGSSSSSSLSASPRPGGLVDYEDDDDDDDEDYKPPPKTKPEKTQEDEGSLEFQFRLKRKISKQEQPDSVKKRLLTKNPMKSKESVFATLCSTLSQAVLPSNTYTSQDNKDKGDTAPNKETDDKNTLTVGPEVAINGS >cds-PLY68616.1 pep primary_assembly:Lsat_Salinas_v7:2:73164123:73165145:-1 gene:gene-LSAT_2X32340 transcript:rna-gnl|WGS:NBSK|LSAT_2X32340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSLPSFYLSAPTSPIGCSPQNLKFYSTRTSPLRQINDQENSDEEFDFGTSMRLTNGFDQEHEYRLPARANSFSTMAFADELFCNGHVLPLKLPPRLQRSVPTSPRSLSSRIKNPFVQQCTWNDDFDPFMIALEKVSDETRGRMSIHRRSRSYSPFSARTISHTVNWKQEQPQHKNLVRDLEAKEPIVTMERKGSMYSRWVRSQTMIKNQSPKARTMIKRLGRRVRDANFSHKEILKPMKKPSEPTSCTESKVQKVKSVLLRYASVKKETPELNQKREIVTMSKISYFKRFSLSFKTNLRKKELIESKIAVAKHDQKPPRCFGYAPQVPTIKQELWIS >cds-PLY79435.1 pep primary_assembly:Lsat_Salinas_v7:3:78380452:78384267:-1 gene:gene-LSAT_3X58541 transcript:rna-gnl|WGS:NBSK|LSAT_3X58541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSNFLFFSVTLLIITLSPIPNFASATLEEANALLKWKENLELPHNSLLSSWIPLPMNSTTSFPCTSWFGVVCNAVGSIQRLNLSSSGLKGTLHQFPFSLLHNLTHFDLYENNFFGTIPPEIRLLSKLVYLDFSLNMFSGVIPPEIGNLHQLTNLYLDSNNISSSIPSSLGDLTSLNTLYLYKNLLSGPIPIELGNLKSLTHLAVYKNQLSGSIPSSLGNLSNLQSLHLYENRLSGLIPIELGNLKSLTHLVVYKNQLSGSIPSSLGNLSNLQSLRFYENRLSGPIPIELGNLKSLTHLVLYKNQLSGSIPSSLGDLTSLNILFLYKNQLSGPIPIGLGNLKSLNSISMSYNQLSGSIPSSLGNLSNLQSLRLHENRLSGPIPIELGNLKSLADLQVGKNQLSGSIPSSLTNLSKLETLYLKGNKLSGIIPIELGNLKSLTDLILSNNDLTGSIPSLLANLSNLQYLYLKYNKLSGAIPIEFGNLKSLEELVVSGNQLSGSIPSSLANMSNLKTMYLHENKLSGPIPVELGNMKSLTQLMANHNQLSGSIPSEFTNSTQLRRLNLSSNNLVGEIPKAFEKMKSMLYLYISDNQLSGNIPLELGSFRELLELDLSTNRLNGSIPKSIGNWAHIHHLNLSNNQLSEKIPGEIGKLVQLTELDLSQNFLTKDIPSEVQSLQNVQKLDLSHNRLSGSIPEAFTSLPLGIDINLSYNELSGPVPASANFVNASLQGNPGLCGNVTGVELCASQILKKKNDPFHHQLILIIMLPLIGATLLGLFMCGLIAYRKQKRHSLQKPLDNEGGDYFSITNFDGGVVYDDILKATDNFDEAYAIGTGGYGTVYKAEIQPNNVVAVKKLHSSSENVDHNGFLNEVRTLTNIRHRNIVKLYGYCSHPHHSFLIYEYLEKGSLGSILRSDVLAKELDWLKRVDIVKAVANGLAYMHHDCSPPIIHRDISINNILLDSDYEAHISDFGTSKLLKLDSSNWTTIAGTYGYIAPELAYTMVASEKCDVYSFGVVALEVVMGKHPGELITSLPTLSADYLVLENVGDSRIPPPSSQIEKQVKSVLTLTRACLNSNPHERPTMHQVSNLLIRICFELTSSA >cds-PLY73296.1 pep primary_assembly:Lsat_Salinas_v7:MU043501.1:789153:790801:1 gene:gene-LSAT_0X19161 transcript:rna-gnl|WGS:NBSK|LSAT_0X19161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSESSRRDHGGVAFQPPYLRNPNLEGTNVGASMIIHRGGSGLLSGEMNDSCGVNIYVNNNIQGLNNSIMIGSRLYMRDPGVWLTLKDSKLERWFSKKTDTSCFSVGFYVLLVLVILLAFVVMFIVYFLVARVVMFYSGGRGGGFLVDLEGVGGSR >cds-PLY65858.1 pep primary_assembly:Lsat_Salinas_v7:4:86239634:86240035:1 gene:gene-LSAT_4X56561 transcript:rna-gnl|WGS:NBSK|LSAT_4X56561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKNASLFVKMAAVLSLFLMLIVLSESRFTLIDGVQKAKSALVCSQVVGVEAGDDCTIISKEFGMSLASFLAINPNINCESVFVGQWVCIDGSA >cds-PLY80993.1 pep primary_assembly:Lsat_Salinas_v7:9:177649950:177652373:1 gene:gene-LSAT_9X108901 transcript:rna-gnl|WGS:NBSK|LSAT_9X108901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEILNPAPELSLDFKPTFIPKTISQFLGEVARIGSVCEKILKVDDFVSRLETEIRKIDAFKRELPLCMLLMNDAIVTLKEELTLFKNSANPPTLEEFIPINKTCDEDPKPETDNGDKKNWLSSTLLWNTNDNNPDTKQNNIPKQNPVQQVIQKRVDEEQDGITETPYNGNSRREFIPFKGYITRNEDKEELPIPGLSLITPGIKNPMRGNGFVTKTNAIQSNVKIGTPQPQPPQQQTSRKQRRCWSTELHRRFLNALQLLGGSKVATPKQIRELMRVDGLTNDEVKSHLQKYRLHTRRLPSSNSSAVPLWTQDQYLESSKYKDCHQSGSPDGPLNCTTAGTSTTGGTSATTGGDSMDDVEDEKSENNCWKSHLHISGKDSV >cds-PLY62589.1 pep primary_assembly:Lsat_Salinas_v7:9:75080212:75081958:-1 gene:gene-LSAT_9X62441 transcript:rna-gnl|WGS:NBSK|LSAT_9X62441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNTGDSSHHVQVQEAVFITPSDPTPSHVLNLSAIDSQLFLRFTIEYLLIYHPPRHRRAGYRSAITALVKDALARALVPYYPLAGRVRTRSDGSCLEVVCRGQGAVFVESTGEFTISDFEKAPRYVTEWRKLLALEVTDVLEGAPPLVVQLTWLADDSAALAVGFSHCICDGIGSVEFLNHFARLANCHRYGAAEEFKPKPIWERHLLDPTPYRLKTIHHPEFIPVHDHCKFLTRFNQDQLTPTSTTFHDWRINELKTLAASTSQLSKPSLTSFEVVSAHIWRSWARALSFPPRQTLKLLFSINIRNRVKPSLPSEYYGNAIVLGCAQTTAKELTESGLGYATELIREAKNRVDDGFVREVVESVSLTRGKQVPDSVGVLILSQWSKVGLESVDFGLGRPVQVSPVCTDKYCILLPIPDQSRSVKSMVALPSISVGKYEHLIRAVE >cds-PLY62558.1 pep primary_assembly:Lsat_Salinas_v7:9:74045498:74047229:1 gene:gene-LSAT_9X61940 transcript:rna-gnl|WGS:NBSK|LSAT_9X61940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDEILLMILSLMPLKDAIATGILSKRWISVWCNLIHLSIDGDERLEKIMMNPVLHDTVRSMYIKKVNNVIKKHKGPLVQEFRICFNLDCGNNSAIDYWLQFAMNKKVQTLELDLMNHVKFRMPANCYDFPLHFLTENSRIFLELHYLKKLVLKGVNVREAILRNLLTTSPHLETLCTHDSGFMAHVLVGGEALKLKHFEIKGCSNIRSIYLYEFDLVSFTYKGPAIDLRLGHLPKMKELDICQGAEGLQNNIFSQIASCVSYIQVLSLNILQMERVMLNSIPELPNVKKLRLTIGAYNDNCLLRFTYIANACPSLENFTIQLLWGSPIWRKRKARHFSTPTRPYNNLCLLELMGYYGRMSDFELVAHIIENAVALKQIVIDPRCQGRIGNTPLMKLKKNLKIEETARSYAQSQLKSVTPEGVKLVIL >cds-PLY96361.1 pep primary_assembly:Lsat_Salinas_v7:4:357935895:357940189:-1 gene:gene-LSAT_4X175621 transcript:rna-gnl|WGS:NBSK|LSAT_4X175621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLGKNLWGEELVILAEASMEPTVIYVKQVLDIISKASNKGIKGIAHIPGGGFIDTICRVFGIGLGALVYNDSCSVPPVFKWIQKAGGIEDGEMKRTFNMGIRMVLVVSKEVSERVVKEEGEMVYRVGEVFSDSPRDSVQFIECSPSSCPRALPGEVRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAYTQVEADVKVEEPGKDNVHNNTFYTQETLNHKQCGIVIRYLLAIGSFLEIDLGHLKVTNEVSWHGPAEDQASVHCDYFLGFPFHQLSCHEFG >cds-PLY99931.1 pep primary_assembly:Lsat_Salinas_v7:7:15868657:15870274:-1 gene:gene-LSAT_7X12961 transcript:rna-gnl|WGS:NBSK|LSAT_7X12961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQQTLIPDSGFTDHQSPNSNPKDGLNGGKVTDLGNGSDVVYLPRFLTPEKSWEYLHYLNKHIPWTRPTIRVFGKPCVQPRDVCYIASEGLKELSYSGYKPDAHSWDDFPPLKEILDEVHKAFPGSHFNSLLLNRYNSGNDYVGWHADDEKLYGDNPEIASISFGCERDFLLKKRSYKKSQGEVEGEPPSKRLKKNNGVEQYSFNLKHGSLLLMRGNTQRDWLHSVPKRLKAGSTRVNLTFRRVV >cds-PLY99857.1 pep primary_assembly:Lsat_Salinas_v7:4:45849478:45849988:1 gene:gene-LSAT_4X31200 transcript:rna-gnl|WGS:NBSK|LSAT_4X31200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKYTEILDAFRIACRVHSHCPQTARMYYHPPADNHSHGGNMKTIYGGSGGGAEASSKTGFFSPAEVILYSSV >cds-PLY84180.1 pep primary_assembly:Lsat_Salinas_v7:4:369947603:369951385:-1 gene:gene-LSAT_4X182120 transcript:rna-gnl|WGS:NBSK|LSAT_4X182120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGRLFFGCNSSNMLFLGNGNGDNYFRGTRMGFNMEESSKKRPFLSSIDDMLEEEYYDEQLTEKKRRLSPEQVHMLEQSFEEDNKLEPERKTELAKKLGLQPRQVAVWFQNRRARWKTKTLERDYDRLKSSYDILTSDFDSIAKENEKLKAEVVSLTEKLQSKEAANISRTGEDADTAAEEAGHIPPPSATVKIEDHLSTGSGGSAVVDDLDGPQLVDSGDSYFPADADYPHEYAAPVDQSEEDDGSDDGRNNFSYQFDHHHHHEEDDSLGWWVWS >cds-PLY77321.1 pep primary_assembly:Lsat_Salinas_v7:5:144890090:144895771:1 gene:gene-LSAT_5X65320 transcript:rna-gnl|WGS:NBSK|LSAT_5X65320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSRASQPSVEEPVPKEEPQEQIIEPQQQQETIANNDVVKEEELEQEADEIAHNLEENKVKGEQEEEEEGEEEDEEDPQDDDEEEESEEEATVNDVKVETNGDGGEETEDLEDEPVENLLEPFSKEQLVLLLKEAVAKHPDLIESVQKIADADPAHRKIFVHGLGWDTNAEILTSEFGKYGEIEDCKAVVDKVTGKSKGYAFILFKHRAGAQKALREPQKKIGNRMTSCQLASAGPIPAPPPTVPPVSEYTQRKIFVSNVSAEIDPQKLLEFFSKYGEVEDGPLGLDKQTGKPRGFALFVYKSVESAKKALEEPHKVFEGHNLNCQKAVDGPKPNKPYFQQQHQHHNHHQHHNQHQHQQYGGGGGGHHYPSKKGKYNNSGGGGGGGGAGQGHLMAPSGPSVGGYNPAVPPALTPALGQALTALLATQGAGLGNLLGLGGPPQGMPPPMNNPGYGNQAGGSYGGQPGMQGGYPNPQLGQGGNRPPQGGAPYMGHGH >cds-PLY69464.1 pep primary_assembly:Lsat_Salinas_v7:6:44900994:44901749:-1 gene:gene-LSAT_6X32000 transcript:rna-gnl|WGS:NBSK|LSAT_6X32000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMQEELQIVPMMVKDVEAQFVEIMVPLYSYGCGKKIKKALAHFKGIYSVNVDYDQQKVTVWGICNKKDVLSTIRAKRKGARFWKQQQDGINGAGLQIQSHTAPPSPLSIIKGHTSFSINWKAAWKKVFNRSYSF >cds-PLY89539.1 pep primary_assembly:Lsat_Salinas_v7:4:159523164:159529018:1 gene:gene-LSAT_4X95940 transcript:rna-gnl|WGS:NBSK|LSAT_4X95940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGASGKLGRGRGGGPPKRNIHSTFQPSSVQRPSAAPGGGRLSAGGGHRNRNNTPAAPAAVSTVDETFSLVRNNPLNFGMIIKLSPVLVEEIKRLEAQGHAARIKFDSSANNPVGNVIDVGGKEFRFTWAQETGDLCDIYEERRSGDNGDGLLVESGGAWRKLNVQRELDESLSNHVKMRTVEADRKHKSRKAIILDHGNPSMKKALAAAEVNNSWRGSFNKKKEPPFKKMKGEPSSAVIPPKSGGKPGLSSSTPSKIRASASPILSTPEQSGVPLSPLINRNITKVPLNREDATLTQSSKPNASTSEKEIVNRVPTGILHNKQGSNERFGNKPSDLQSLLISLLMEKPQGLNFKALEKAVGETIPKSVKQIEPILKKIAVFQPTGRYILKPDFELESSRKSLSESGSSPENNNHHRETMGPELTLKPDNMKEFEDPSHLIPESYEDLNTSDKIDIEHRSPDVLLHEKKVSDNSEGPAATSSLSGSDSDSESDSSDSGSDSGTPKSRVGSGSDSSDSESDDASSNSKQGSDEDVDIMSDDDKEPKQQFTPLPPPVPLHNDHVSDLLFGKDLFEDNHEHDNDNDNDKDGDNYENATRNTFIDHQESELLKNKRGSDEKHFDENENSKRLKTGNWGRTVISRGGHSFSESPPSEGPYKGTAATTNQMNRTVRDVSDYDFDKLGNREFPGNSTSDSPRSIDLNMGVKGFGTDRHGEGLDGHFKDKKPPKNSRLGGDGIKHSGSREKKQHGGSIGKNKDSGLVIKDSLMDLKKSPVVNGCGPGPTLRRELSDLEMGELRENLQEEPSGGNKKRVERNNSFKQAEKSSDYWNLDSSKGKISGIGRTSLESVKPVVDDHLDDFTKVNGKPMHVKPGSQHNNNSKGREVVAGAILGIGSEGYTDSQRKAPPHKHEKQVGPVANKKQKSNNDLGEKRKDLWDSGQKRREMESCSDDSITSYTKYEKEEPEMKGPIRDLSQYNEYVQEYREKYDCYQTLNKILESYRNEFQNFGRDLEVAKERDNDRYNKILDQLMESYRQCGTKHKRLKKIFVVLHHELQVFISLLFLYSCSNKSHFCISITWGKKHNIKCEKKTVC >cds-PLY74549.1 pep primary_assembly:Lsat_Salinas_v7:7:41273602:41288072:1 gene:gene-LSAT_7X31901 transcript:rna-gnl|WGS:NBSK|LSAT_7X31901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase V subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G40030) UniProtKB/Swiss-Prot;Acc:Q5D869] MMEENPSPAVLEGKITGISFSLATEDEIRKASISDCPISHTSQLSNPFLGLPLEVGRCESCGTAEPGKCEGHFGYIELPIPIYHPSHLNELKRILSLICLKCLKFKNRRLPNKTTGMFEKVLTVCCEETANVTVDVVQKQDGASYLQLKVPSKTCKEGLWEFLERYGYRYGDGHKRPLLPSEVLVMLKKIDKEKATKKKLAGRGCFFQEGYIMQHLPIPPNCLSTPDVSDGINVMSSDISMTMLKKVLRQVEIIKSSRSGIPNFESLEVEVNDLQNAVAQYLQSRGAKASPNKNIRYGIAKELNDSSSTKAWLEKMKTLFISKGSGFSSRSVITGDPYKGVGEIGIPFEIAQRITFEERVTEYNMKFLQKLVDDKLCLTYRDGNNTYSLREGSKGHTFLKPGQVVHRKIMEGDVIFINRPPTTHKHSLQALSVYIHDDHTFKINPLICGPLSADFDGDCIHIFYPQSPEAKAEVLELFAVEKQLLSSHSGNVNLQFGSDSLLSLKTLFKKFFFKKSEVQQLALFTSSTLPKPSMLKVRGSGPLWTVLELLETSLPPGFDCSGERFLIKDSKILKLDYSREVIQSIINDVISSIFFTKGPKEVLKLFNSLQPMLMENLSGEGFNLSLEDFIVPKSILRDIETELQDLSSLLYHLRSTYNEVIALQLDKHLRAVKSPISEFILKYSSMGSLIDSKSDSAVTRIIQQIGFLGLQILEKGRLYSRTLAEDLSKHFRGNYPFPEKYPSEEFGLIRGCLFHGLDPYQELVHSISNREVMVRSSRGLTEPGTLFKNLMAILRDVVICYDGTVRNVCSNSIIQFEYGVHTQNLFAAGEPVGVLAATAMSNPAYKAVLDSSPNSNSSWDMMKEILLCGVNFKNVNNDRRVVLYLNDCDCGNKYCLEKSAYFVKNHLRKVSLKDTAVEFLIEFTRQQTSENAEIGAGLVGHIHLNQAMLKESKINMEEVLAKCEDTLNVYRKKKKEVGLLFRSIALSCGECSFHQSSENKWMPCLKFFRQDTGGLQLEKAARILADFVCPVLLDTIIKGDPRVKEANIIWIGPESTVWIRNPNKDQSSEFSNPNKDKKGEFSNPNKDQKGELAIDVVLEKAAVKKSGDAWRIVMDTCLPIMHLIDTTRSIPYAIKQVEDLLGTSCAFEQAVQRLSTSVSMVSKGMLKEHLLLLANSMTCAGTLVGFNQAGIKALSKTLNFQVPFSEATLYTPKRCFEKAAEKCHSDSLSSIVAACSWGKPVAVGTGSRFDILWDTREVELNQKDGIDVYNFLHLVHGGSEEEADNGCLGGEVDSFDMEDNFMEVGSPEHDSGAPKAVFEDSLELNLGQMETAAPEDGNSSGGWGAAVKTTPTDGWGAAVKSTPTDSSGSWGAAVKTTPTTEGSWGAAVKTTPTTEGSWGAAVKATPATEGSGSGWGKKAEEPAWGGKKPDSDTGAGSGSVPWGKREETAWGKKVNSGDSAAAWGKKDDTGDSAPWSKKADTGGSTPAPWGKKADTAAPAPWGKKDDTGGSGSAPWGKKADTGVSTPAPAPWGKKDDTGSAPWGKKADTRGSGSAPWGKKDDTGSVPWGKKDDTGSAPWGKKDDTGSTPWGKKDDTGSAPAPWGRKDVKADEPSQVEESQWGKIESSGSWGKSVQKPDSVPKWGGNNKSDEGSDWSKKVEEKTESKDGWGSQSQWGAKNPEGGSSEDTTTTTNEGEKPGGAWGWNRKPEQKPDQGQGGWKKKEGWGPRRDRPARPAGGPNDGPRPGGGGGGPFTASRQRLDMFTSDEQEILADIEPIMLSIRRIMSQEGYNDGDPLSAEDQTYILENVFNHHPEKAQKMGAGIDYVMVTKHTLFQDSRCLFIVSTDGRKEDFSYRKCLENFVRGKYPDKVDEFMSKYFKKPQPRPPREPRPPGPGQDEAIGTPNSQSQSGWKRGDANDEGGTHTPRSQPGWNRDSGSDAGTPRAQSQWGGSEAGTPVSGSGWKKEAEGGGGWNKGGGGGDESSWSNRLWWLSSKSSFSSGIADADLLVTNGTIYTSDSSLPFANSMAIRDGRIIRIGNYSFVREMSGYGTKELNLEGKLVVPGFIDSHVHLLFGGLQMARVEVRGVKTKKDFIEKVKEAVKNMKEGSWLLGGGWNNDLWGGELPSASWIDDITPHHPVWLSRMDGHMGLANSLALKIAGVTSNMQDPVGGTISRNANGEPTGLMIDSAMKVVLSCIPEVSVEERRQALDRASRYALMRGVTTVVDLGRYFPGASLEHSWEDFEDVYKWADLSGRMMIRVCLFFPMPTWSRLLDIIQKTGRRLSQWIFLGGVKAFSDGSLGSNSALFHEPYADEPWNIGLQVTDMESLSNMTVQSDKYGLQVAIHAIGDKANDLILDMYKSVVSINGKRDRRFRIEHAQHLSHGSAAKFGEQGIIASVQPDHLLDDADSAIRKIGVERAQKGSYLFQTLLTSNALVAFGSDWPVAEINPLNSIKTAVKRRPPGWDKPWNLGECMKLTDALNAHTISAAEACFLDEEIGSLSSGKMADFVVLNAHTLDDLITQEETSLVAATYVGGVQAYP >cds-PLY74183.1 pep primary_assembly:Lsat_Salinas_v7:9:24414986:24417517:-1 gene:gene-LSAT_9X22041 transcript:rna-gnl|WGS:NBSK|LSAT_9X22041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQEDDMMMSMSMRKGPWTEQEDVQLVSFVNMFGDRRWDFIAKVSGLKRTGKSCRLRWVNYLHPGLKRGKMTPQEERRILELHSKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQEQKRALSPSSSLSDSSSYSSIYTSNHTVHSMPMMETKEKSFYDTGGLEMLTITKGESNSNTITSIATSLNNSSEGEDGYNSMDEIWKNIDLLEDDGIRPIFDTSNEITPSPIWDYSLNTLWMIDGQEEIGSNMFFPDNKRAI >cds-PLY70653.1 pep primary_assembly:Lsat_Salinas_v7:5:71077878:71082314:-1 gene:gene-LSAT_5X33420 transcript:rna-gnl|WGS:NBSK|LSAT_5X33420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNSMVERATSSMLIGPDWSMNTEICDICNHDPAQAKDVVKGIKKRLGSKNPKVQLLVLTLLETIMKNCGDFVHMHVAERDLLHEMVKIVKKKPDFHVKEKILILIDTWQEGFGGSRARYPQYYAAYHELLRLGAVFPQRTDRPAPAVTNPQTQSRSSFTNNPENGNEAGESSVEAEFPTLSLTELQNARGIMDVLAEMLTAIDPEKKEGLRQEVIVDLVEQCSTYKRRVVHLVNSTSDESLLCQGLALNDDLQRVLAKHEALVSGTPVPVEKSKPETSQALVPVDDLLIDTGDTKQSGSTTSNGLEADFLAITPVTTTTPPPATPATVNPPKFDLLSGDDFGSPTPENSLAIVPTGEPESTTTPPPQYNNALALVDMLSQNNMPAGQGQTYSSSPQLQQSQNFPPHQSLLYPNANTLPPQYEPQTTYNQGATSSWNGSGGPTSDGVGLPPPPWEAQSTDDNQSVAPQPQVGLQIQTNDQGMGMYMQQQQPITYPQQQQQQFQGQQQVMGLGMQQQFQPTGQMYPQQQQQQPQPPMYAANQMPYGYNTNNYGYGAANYGYQQQQNPQQFQFVDQRMSGLSVRDNSGYMNNTSTVYTGPSMANASYVHVPSGKPTKAEDKFFGDLVDFGKPNKPNKTTTS >cds-PLY77309.1 pep primary_assembly:Lsat_Salinas_v7:3:213763311:213763529:1 gene:gene-LSAT_3X125481 transcript:rna-gnl|WGS:NBSK|LSAT_3X125481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTELVKEETPEKDKEWPENEKRVAGVISGIQLSCLLGVSYKHLKVNNPSCKYFSWREERSYVIKLRRQKRKS >cds-PLY87826.1 pep primary_assembly:Lsat_Salinas_v7:3:85763783:85764581:1 gene:gene-LSAT_3X65681 transcript:rna-gnl|WGS:NBSK|LSAT_3X65681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVPHKACAFANTKILKFTIKPVVKVYLEVQAQEKVTTRTEINNDDDCAIFPMVSFEEITIMENMASAQVFVKHLGILLEECKQNRNSEDYRAPKDVHSKPYVEMHWAWTLQWKLGAIMGVFKHKKIKAKLDNYLMMAQITKKYTKRRDCMKSMNHPILGWLDEMRGLFQHTGDLITQLSASKKAVMLPFFLSLCEDATILAINILGWINTLK >cds-PLY78142.1 pep primary_assembly:Lsat_Salinas_v7:8:228566700:228567101:-1 gene:gene-LSAT_8X140321 transcript:rna-gnl|WGS:NBSK|LSAT_8X140321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCSIHQTLQTTNSLEPYMIHILDGDIDKLIVDCHSKDDKFGNKTLTGGAHFAWTFRRNFVDTTKYFGHFYWMGTDDQVINEFDFHVFDNLVAGECGTNVLRMNNCFWLVNKSGFYFARDAPINWQLKYVWGQ >cds-PLY83844.1 pep primary_assembly:Lsat_Salinas_v7:3:48459385:48460524:-1 gene:gene-LSAT_3X37280 transcript:rna-gnl|WGS:NBSK|LSAT_3X37280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRVFPIKGATTDYCRIRITKMAISSTSIPKFALSNSLRLTSLKPKLPSSLIFKSQTPKPLTLKPNRRNQITSLFTGIVEEMGKVRELGFDESGESFDMVIHSPTVLEDVNLGDSIAVNGTCLTVTEFDSERLEFKVGLAPETLRKTSLIELEKGSVVNLERAVKPSTRMGGHFVQGHVDGTGEIVSMDVEGDSLWIKVKTSPEILKFIVAKGFIAVDGTSLTVVDVFDEEECFNFMLVEYTQQKVVIPLKKIGQKVNLEVDILGKYVERLLSSGFVESIKSR >cds-PLY87877.1 pep primary_assembly:Lsat_Salinas_v7:3:43488471:43490194:-1 gene:gene-LSAT_3X34021 transcript:rna-gnl|WGS:NBSK|LSAT_3X34021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPFYVRYVNWTLSHEESPLQQHSPIRNSPPVVAMPPPRQHSPVRNSSPVVASPPRRKMYKSETSSTESATNASSSQHPEIERTYTSSDTSTKVVKKKKTNAKALVKQEEEEEEEEETTNEEEEEAYYHGTQFEYGGFEGEVGHTPTYGEPSPDVGEHHTKTVTLIGRPQRKRVVAWYQQTLFTVKGGHRMQGGRYFLKKSIWNPKNHFFRNVANGVGGRPKWKDVDMVLFVINVIGAHWFMVVLHLNTWKIDIYDSVRLMDYFSKYITDGEFTSFGDSIISELDTIEYWNDFPVGHKDKEKVEFIDVVDAPQYSLDRGDCRVFVCMFMEMIVSGVPMKISTAHRDAGFLYRHKMTNIIWDTI >cds-PLY74344.1 pep primary_assembly:Lsat_Salinas_v7:MU043248.1:400842:409817:1 gene:gene-LSAT_0X29301 transcript:rna-gnl|WGS:NBSK|LSAT_0X29301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDANASGEEYENIDWNTDDELEIQNIAPSSHATLASLNAQTVVSNGEASSSAGPSNSNLFQHFLGMGFSEQSIAKAIKENGEANTESILESLLTYSVLEVEDSPDELNSCHLNSPQQQQQQSCVENGELSSDYDESVCDDLSESDDSSWSGGSEVANNTSSLPKHEKSLLSLANMGYSIEEASAAMERCGPEASIVELTDFICAAQMAKTEAVFFEDEKPKLPLRVNGDFKKRKLYDLEIWKTKKRKGILSEEDEGLRLPNPMIGFGVPTDTHVITHRVLPDAAIGPPFFYYENVALAPKGVWDTISRFLYDVEPEFVDSKYFCAAARKRGYVHNLPIKNRFPLLPLPPRTVNDALPLTKRWWPEWDKRTKLNCLQTVIGSAKLTDRIRKALEKWGDNPPVHVQKFVLEQCRKWNLVWVGKNKVAPLEPDEVEMLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKDIFPNGINMLSLFSGIGGAEVALHRLGIPLKNVVSVEISEANRDIVRSWWEQTNQKGNLIHLADVQQLNGDRLEQFMGSFGGFDLIVGGSPCNNLAGSNRVSRDGLEGEHSSLFYDYFRILDIVKCIMNNK >cds-PLY65546.1 pep primary_assembly:Lsat_Salinas_v7:9:143297462:143299966:-1 gene:gene-LSAT_9X90720 transcript:rna-gnl|WGS:NBSK|LSAT_9X90720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:EXT-like protein [Source:Projected from Arabidopsis thaliana (AT4G26750) UniProtKB/TrEMBL;Acc:A0A178UUB7] MANENEPAKLLLPYLQRADELQKHEPLVAYYCRLYAMERGLKIPSSERTKTTSSLLVSLMKQLEKDKKSLQLGPDDHLHLEGFASNVFAKADKQDRAGRADLNTAKTFYAASIFFEILNQFSEVQPDLEQKQKYAAWKAADIRKAIKEGRKPIPGPPGGEKDLSDTSGSGYDMEPTTRHATESHPSSQLYDRSDSQKFTNTFASPPHEPPPPPHATMPPPPSNTQPPPPPPSNFPPPSSTIQPPSNFPAPSSTAPPPPPTYSSDDYPSNNFHSQNTGGDNSNPTYSHQNYHHQPSSFPQEPQHQNYQHDPSYTFPNFQSYPSFSETALPAVAPGHYPSSYYQGGSDASAAATATGGQYKVSGGNGGVPVHGGAGSSGGQAAAYEYDSSSYQPPADKIAEAHKAARFAVGALAFDDVFVAVDYLKKSLELLTNPNATV >cds-PLY93648.1 pep primary_assembly:Lsat_Salinas_v7:1:187884762:187887861:1 gene:gene-LSAT_1X120581 transcript:rna-gnl|WGS:NBSK|LSAT_1X120581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCSKSSKWLNRLCSSRGFPNSDNINIEHFLSISLDKIDPQTSTDPSLPDKRPKLDKRDDSGAIQGREVMNNVLSELFQMGEFQDLSRIKRKKSCRKQQCPRICIVSTNSNVQNVPVGKDRVSSPPPSSSPFPLTLSNRRTAKEVNRELKVTGHVEEEEKGHWDLTAYSQTEVTVIDTSVPSWKFEKMLYRRKNVWKVGDKKGKGLMTSDRKKRKERLNENGDVEKKKLKLCSSLSKSGNAEQGGENKKKKKKKKKKLKMCNSSKYEDKEESMARSKSPQEKIEDLKNHRIDNLKNWDLNLGFESQCWEEVAKLDSSHFDETKHGFLVIFYKGYHLTVA >cds-PLY83097.1 pep primary_assembly:Lsat_Salinas_v7:1:155950786:155951365:1 gene:gene-LSAT_1X108060 transcript:rna-gnl|WGS:NBSK|LSAT_1X108060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEPHVMGTKSFARLAQEEATKNDGAYPSRGEIYRITRTRKDGCIVNDNVAQIMSSLQVVSSNSRNTHQNEDDYLNDDYSRVKGPEKHGYIRCVGRMSAVKDNGASSSTDPETVQQLKDGMSRTQNA >cds-PLY74299.1 pep primary_assembly:Lsat_Salinas_v7:1:202257313:202258058:1 gene:gene-LSAT_1X123681 transcript:rna-gnl|WGS:NBSK|LSAT_1X123681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDVMSDGDGLDMADMDYITQQITELRKSGYTDVDIMRCLGITKAHLEEFGYVVANVEGGLEGDGQGNEEEGGHGQGDGVEGGGDGQEGDGQEGEGDGQGNEEEGGHGQGDGVEGGGDGQEGDGQEGEGDGQGNEEEGGHGQGDGVEGGGDGQEGDGQEGEGDGQEEDEEGVPVNDPVQQGHFGNNMKQRTRRPSERIILQKLKKKVVDPLGIGMCEDKALVID >cds-PLY98360.1 pep primary_assembly:Lsat_Salinas_v7:5:316333457:316336577:-1 gene:gene-LSAT_5X173401 transcript:rna-gnl|WGS:NBSK|LSAT_5X173401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCFPSKNRPPPVVESDPDSAQNPVDNDDDDSVPIETVNPIVEIRKLRIFIVFYSMYGHVESLARYIKKGVEEIDGVEGVLFRVPETLSPDALSAMKVPAKGDDIPEISAEELVEADGLLFGFPTRYGSMSAQMKAFFDSTGGLWKEMKLAGVPAGFFVSTGTQGGGQETTAWTAITQLAHHGMIYVPIGYTFGAGMFKMESVRGGSPYGAGVFSGDGSREPSERELELAQHQGKYMAMMVKRFQPDTRNG >cds-PLY78557.1 pep primary_assembly:Lsat_Salinas_v7:1:105637863:105641396:-1 gene:gene-LSAT_1X82941 transcript:rna-gnl|WGS:NBSK|LSAT_1X82941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESELLNCKSKPHVLFIPCPAQSHIKCMLKLAKLLHHKGLQITFVNTEFNHQQLLNSGGPNSLDDKPGFHFETIPDGIPDGSRGNIYELPKSILTNFLGYFLDLVARLEIPVTCIISDGMMSFTVDAAETLKLPIIHFWTFAASAFMGYCQAPNLIEKNIVPLKDESCLTNGYLDTIIDWIPGLEAFRLKDLPGYVRTIDPNEVDYKFNIESIKTTMKVSTIILHTFEELESTIIKALKPMFPHLYTIGPLQLLLNRIQNQQESKSYSLWKEDTECLNWLQSKEPNSVVYVNFGSLIVMSSQKLLEFGWGLANSNYYFLWIIRPNLVVGESLVFPPELNEMINKKGFMASWCPQEEVLNHPSVGGFLTHCGWGSTIESLSAGVPMICWPYIWDQPTNCRQICKEWKVGMEIGDNVKRDEVEKLIQELMGGERGKRMRSKAIEWKKNIEIATAPNGSSSLNVEKLVNHIHMLKLA >cds-PLY76449.1 pep primary_assembly:Lsat_Salinas_v7:5:198294594:198299175:-1 gene:gene-LSAT_5X88781 transcript:rna-gnl|WGS:NBSK|LSAT_5X88781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCSVMVRLVGSVSSRIPITQNSCLHSRRLLTSRPSTGTSNNGVVGTTTCVLRRGSSSIHTFKAKAMRREDGERRFPPQHQDGQPGKEYLMDPLPIFSDPNYKPTNKLQGKVALVIGGDSGIGRAVCYSFAKEGATIAFTYVKDVEDIDAKYTLEIINDAKISNAGDPIAIPTDVRYDKNCKDVVDKVVATYGRIDVLVNNAAVQYETYTLDDITEERLERIFRTNIFSHFFMTRHAVKHMKPGSSIINTTSALGFSGSPKLIDYASTKGAIVNFTKSLAIFLVDKGIRVNGVAPGPIWTPLEAASLNDDDIATFGSEVPMNRAAQPVEIAPSYVFLASKDSSYYTGTFLHPDGGELDNAIPSVNNNNTINP >cds-PLY90332.1 pep primary_assembly:Lsat_Salinas_v7:2:197837118:197839815:-1 gene:gene-LSAT_2X118380 transcript:rna-gnl|WGS:NBSK|LSAT_2X118380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGLWKADYRLSTLNAAKFNGSATVLSDQKFLNAMSLNETFLDRNSTTHTPELPDLGLRRFSPSSSSNNEGDLHEDFDLSDVVFKYINQMLMEEDIEEKTCMLHESAALQAAEKSFYDALMVNDPPFCSPHPTVPLYDNDGREEITLTDYNSFISGTSSLSCDVSDYDSPLVPNVSINLDSQSRSQSSYCSSSCNSNGIDSFTDSPLSIQSVSDILGSSRSDLQFQKDIGGLSRFLLSNENKEEANDLVIKAENKQETNSIPERTRGKKNPYPKDVDDDGRTSKQSAVYTEPTVRSKMFDDVLLCNGGKNHLHCDVVPNGVNGVQQKGNGGKGGRGKKGVKKDVVDLRTLLSLCAQAIAANDQRSAVDLLKQIRDNSSPTGDGMQRLAHYFSIGLEARMAGSGTEIYKTLLFRPTSAVDVLKAYHLYLSCCPFIKISNFFSNKTILHTTQNKKKVHIVDFGILYGFQWPCFIQRLSTRPGGPPELRITGIDFPCPGFRPSQRVEETGRRLANYAETFNVPFKFKAIAQKWETITIEDLELDTEETLIVNCAYRFRYLLDETVMVDSPRNKVVNLIRKMKPDMFIQGVVNGSYNAPFFITRFREALFFFSSLFDMIEASVGREVLERMLIEKGIWGQEAMNVIACEGGERIARPETYKQWQVRNMRAGFRQLPLNQEILKMAMERARSRYHRDFGIDEDGHWMLQGWKGRIMVALSCWKPV >cds-PLY63247.1 pep primary_assembly:Lsat_Salinas_v7:4:201436588:201436770:-1 gene:gene-LSAT_4X111420 transcript:rna-gnl|WGS:NBSK|LSAT_4X111420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSIASRSQPVSNVALTPIVVSCLSSELSTDSVVDSKDGVISSVRWAAEKRKTARVMSG >cds-PLY78218.1 pep primary_assembly:Lsat_Salinas_v7:6:81189097:81192014:1 gene:gene-LSAT_6X57480 transcript:rna-gnl|WGS:NBSK|LSAT_6X57480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFFSDFSNHVRYPSNIDLSPSESSMNSSLKLGSGSTSTRQNYAGKHPYLQNHMPTQGPGGGLLSQNQWTAVPQVSWVSSGQGVTDLSFPKRLGVEFNGRDSPQSAERQITLQTTSASQSQTRQYPDNVVRPSIAPVSYPMNPQGTLFSHGQSQMVELPNNPRGQAPTTSVDGLSNNSEYYARPYHKRSAVAPPSGPHWFQFQKMSHPTTTHHSMPIRFPTKPAASVTANLAHPSIPIYQSQSQTQQRVRALMNPSIPYTWIFCLVVLIRDEGTKWSFPVQIEKEDTIFLVLKEEDGTPEI >cds-PLY97966.1 pep primary_assembly:Lsat_Salinas_v7:3:19401491:19403557:-1 gene:gene-LSAT_3X15121 transcript:rna-gnl|WGS:NBSK|LSAT_3X15121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFHLQHVCTQCHEVILSGSTWFCSHCKKIQLCSRCFNVEKNLSRSEMHTCHSGEKNLLSEEAHDIFGDVDELLRQRKLGLERISRYDDSGEGKERRLED >cds-PLY95808.1 pep primary_assembly:Lsat_Salinas_v7:7:92287530:92289898:1 gene:gene-LSAT_7X64401 transcript:rna-gnl|WGS:NBSK|LSAT_7X64401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESLIDLENVLRSKKDKLSVQEANFLMTWKENTLRQLTVGAGVGAAIAWSGAAAITATWRFRKSVNSCIEQILCMDGSRMQKELANIMLRRYPNNPTTTKLMSKRFYCEHVFDDSTSDMPKSRWRFRNNFVESPPHPQRPDTRESYDHDDNIVPERKPVPMNNGFVAMENPFDCIFGLPPSVEEIRRPVPATSAKKHTRKHKRSHRKHHTHHSNDDL >cds-PLY96231.1 pep primary_assembly:Lsat_Salinas_v7:MU038486.1:36786:37942:1 gene:gene-LSAT_0X32461 transcript:rna-gnl|WGS:NBSK|LSAT_0X32461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTQEGKMDQXFLVVLSACSHGGLVKEGWEYFQSMRRNYGITPGEKHYAAMVDILGRSGRLDEAFEFVKSAPCKDHPVIWGALIQACKVYGNMDMVKIAAKKYFELESDNVGKYVVLSNAYATFSQWDNVAEIRSGLKELGMKKEPGYSMIEVQKKLLFLIKRVILPASIIFFYDSINFLNAAVIKSSKDTRYGLDSIVTHDGEKLSCWPLEALSSFKERIGLEAYHKLEVIGIDEA >cds-PLY75974.1 pep primary_assembly:Lsat_Salinas_v7:1:137560951:137561223:-1 gene:gene-LSAT_1X100360 transcript:rna-gnl|WGS:NBSK|LSAT_1X100360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRKGWHIGPVSLCNKNTKDKSKRGKKSAIDEHEYLKWLESKAPDSVVYVSFGTIVKVTRSQFYEIVVGLEACNQDFIWVIKNEHDRWLP >cds-PLY62755.1 pep primary_assembly:Lsat_Salinas_v7:9:106422745:106423380:-1 gene:gene-LSAT_9X76540 transcript:rna-gnl|WGS:NBSK|LSAT_9X76540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTATTPSQPEITESGKDDIEKEIVVAEQERNDQPIPYVDEKNDEQPIPNASDQSETSEYEGFHDLGFMAHTTIPLSVVYPNSYFEVEIPHVTNKYIHSDDDKLNPRNGRIPSHGEQIPLMLEVLQPLVVPKSSSSKKSKLTVNMKELVEKWEMTIEEVREIMLEHNSTARTRKEDHRSIKFSRVLHQLMLKRPIFSVSILTWPNETKSLG >cds-PLY72509.1 pep primary_assembly:Lsat_Salinas_v7:2:139244235:139245585:1 gene:gene-LSAT_2X65861 transcript:rna-gnl|WGS:NBSK|LSAT_2X65861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRELIGIVKDKISLSKLALLSKPQTLSLHVAVLRTTSHSPSTPPNDHHLATLLSLGDSSRATASIIIHSIMNRLHRTNDSYVALKCLLTIHHIIKRGPFILKDQLSVFSSAGGRNNLKLSGFRDGASATTWVLSAWVRWYARYLETLLSTSKSLGFVVCSSSYSVLEREKQQDSISSYMNSDLIRDFCSLVTLIEEICKVPDNLLVERDKLLHSVMELLANDYLSSVNEILLRLSEFRERLGLLSFNESVELASGLDRLTACKDKSLQLFSIRKPSVETLWEMIEELNNKFGFVKLQKLRRDSGSESARFGDRVLVTRDPMKFSSGRLRLNYGS >cds-PLY83791.1 pep primary_assembly:Lsat_Salinas_v7:3:53074560:53075369:-1 gene:gene-LSAT_3X41440 transcript:rna-gnl|WGS:NBSK|LSAT_3X41440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPQGASENGYLWQRVNFQVSSSSQPCSLREALAQAQASRTGASTQALRESLHPILRQKLVSAAISLQILEITEKLTNVAASHSIATGYDETSIFRRVV >cds-PLY91212.1 pep primary_assembly:Lsat_Salinas_v7:3:76598255:76599922:1 gene:gene-LSAT_3X59420 transcript:rna-gnl|WGS:NBSK|LSAT_3X59420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPVQMLLEMVLFALPPNPFSRKKKKNQNQNLFNKSTSMCDFLDAFVLFVRYLFGYKFSESCASLDTLHKPVDNQMKSSNNPVHVGLQTNKLNSITKQSETGNDPKGINGKSNNATGSEDGSEKGKAKGIKGGDDVGTYTYLKGLCLAIRVPILGLYARNTFYE >cds-PLY93432.1 pep primary_assembly:Lsat_Salinas_v7:9:60522129:60525078:1 gene:gene-LSAT_9X53361 transcript:rna-gnl|WGS:NBSK|LSAT_9X53361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLQNPFVGAAFQSSLKPRNVNCLGYLGNKFPRKPRYDIIPRAKKNDWISHGIRFSQSFGENVEILWKNMGLRSGFVVKSVKEPFTRSKAIVRSLSTVWEEGLLLFQCSVFYAMISGVCLLLWYSQLKANTLIESKLFPSVCTTLSDYIQCDLHFCKAQSVSPLSITLESCWIRPHKEEFSFGEVPTLKLLFHPFSSLRTGTIVIDAVVYNRTLLAVQKRKYLWLGIPFTDGVLQKHLSTEEGIDNRTKIRRNAREKTAAQRSLLVLGTKRVSLIGLGNAPISSSSSIFAYRSLGESVASSAKASQANNVIVALASSQDLTPELKLTTASAIASVPNLDHRSKWTITFKEYNLCTAFTLKKIQHVLVLQLEEFRKKKAAAKKAASSNSFNGDLHEVKTSVADAINTFNKPSTNNNPEEDNNHSNLNHYSNIRLEDAFRNSKDSHLKDFTSTNPYTTTEASVNKSSPEIPSSSNNSNHNVQQGDGSIYRGALLGTYEDNRFKSESKKPYLKSIGSLNLGGGPELEKKLKYTEHVCSGVILGKELVNAPPNVLTPGVSAEEAEKIASTIDCDKLSDIGSEYEGFWIDTADKQPCMGMGGMIPWKLHVTGKLFHSGLAHKVS >cds-PLY63507.1 pep primary_assembly:Lsat_Salinas_v7:3:225167938:225169072:-1 gene:gene-LSAT_3X129601 transcript:rna-gnl|WGS:NBSK|LSAT_3X129601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEKSYVKLTKEQEASLQDVTPGELNQPIDIHELQARRCPECGQALPSTYEPPADEDWSTGIFSCADDSESCVTGLFCPCMLFGRNVESLNEEIPANNACMCHALCVEGGMVLATVIALVPGIDPSTSCLITEGLLFAWWMCGIYTGMARQSLQRKYHLRDSPCDPCGVHCCLHWCALCQEHREMKLHLAENVEETLMSPPRIQEMKTIQEENTKDVESASSSSSYSSSTRQESEDSKNTELQVVES >cds-PLY93050.1 pep primary_assembly:Lsat_Salinas_v7:9:25581556:25582883:1 gene:gene-LSAT_9X22761 transcript:rna-gnl|WGS:NBSK|LSAT_9X22761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFSRLGIGLSFLFGFVLLAFALELYYLLWWKKRRHDIENQNSPSSSFSKYTPTHISSCFKNPNSSTIPETQQKDLQNSSDESENHEKQQEPTQKDPPLKGFGEESIDLELMRLHNLCGPPRFLFTINEETSLDMESEGRKGSRTVSLSELLRTPDTPISSSPLKKATQGPNLEGYMNPLYDFDSKDFDFNKIRSSPPPTFKFLRDAEEKLLRRLMELESEKRASLIKNQDTQENEKDKDGKFVILDGNKGKNAEGIHHQMSTPSQVLPLASSPSKRGSDI >cds-PLY86834.1 pep primary_assembly:Lsat_Salinas_v7:1:16242024:16242209:1 gene:gene-LSAT_1X13821 transcript:rna-gnl|WGS:NBSK|LSAT_1X13821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRTTSSKCKLLKTFIVLNLGLIKKWKRFAKKTQPCKGMEVFQMQKLDQSEMLSHCYKFSF >cds-PLY84215.1 pep primary_assembly:Lsat_Salinas_v7:7:76937923:76940945:-1 gene:gene-LSAT_7X54781 transcript:rna-gnl|WGS:NBSK|LSAT_7X54781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEGNESQPSTSSSSSSSSIEWSQPCRPFEFSEILLATGNFSESLVIGHGGFGKVFKGNVINGSSVVVAAFKRLDSMSSQGASEFWAEVKMLSKLRHCHLVSLFGYCNHEKEMIIIYEYMPNGTLEDHLHKLGTPLSWLQRLKICIGTARGLDYLHTGTGIEFGVIHRDVKSSNILLQDNWAAKISDFGLSKIGVTNKPSTYVKTLVKGTFGYLDPNYFTTGRLTRKSDVYSFGVVLLEVLCRKRAVDRSLDEEQWGLVPFVQESIKQGSLKSIIDSGIRDQISQKCLKEFLRIAERCLHNNPKQRPAMAEVVVALDSVLTLQQKTDNSSGRTIYGRMLDIFPFPFHGENSANNESRLSSNSKDNKDSVVSVPSLNVFNFSDLEKITKNFSQDMLVDRDGYSEVFLGWVDKKTFAPSTKGVGITVAVKKYNEGLPEWEAVVASLGRLAHPNIISLLGYGDVNRLDCLLVYNYTNMQNQNFSRFLFGDVVAPLSWETRLMIMIGVARGLAYMHSSPEQVIHGGIKTFNIFLDQNSNAKLGYFGLAKFRPKIPDFNDVEVDTTKHDMDTLRYLDQRDQRTGQLTVKSDIYSFGVVLFETLTGQRAWEPKFGFTLVKWARPFLADRGKIKDIIDQCLNQNYPLQGAFECVALATRCVATNREARPSSEEVLQSLERIFLTSIG >cds-PLY83941.1 pep primary_assembly:Lsat_Salinas_v7:8:38010602:38013014:1 gene:gene-LSAT_8X30701 transcript:rna-gnl|WGS:NBSK|LSAT_8X30701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSMPPIIYAQLNYLLTHSPHSIKVEQMWSGSKNPGFLDRFTLAIPFCLDYIKWDVIYNAQFPLLAPDIIFGPEDESFRPYHAGGEGDLKPKNSLSDWNCKDPTKLLSLIHELRNLYMAYQKKRVGNVDDERLKFEINTIYSQEGIEMYMSSGFDKPEEVKFAVPLLEMDLNKMVAGSTWRHQQKIYLQVIYPVGRKFSAMSSAPRLKLVSTPELKSLFSIDDFRLPPWLEGMCMAEYLPNLEEILQSQIRDAISSIEVRRKFITALAIPFGRPLEADPVFCRKATFLACSGVFTFLVHFSLPLQFPRQQPALILQSSQHFHSPPGNAPIKSAILQDYPWSPSEYLMEECLNFKKYCNEVLLQQR >cds-PLY75259.1 pep primary_assembly:Lsat_Salinas_v7:7:65858919:65863067:1 gene:gene-LSAT_7X48041 transcript:rna-gnl|WGS:NBSK|LSAT_7X48041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSISSPGANGSSYDVFLSFRGEDTRYSFTDHLYKTLKQSGIDAFRDNDDINRGEELKPEIQRAIKESRASIVVLSENYATSSWCLDELMLILQQKREHNHFILPVFYHVDPSDVRKQNKTFAIEVKASSKWTDHNVNLWKKALKEVADLAGMVLSGSETDFIANVVDAIDCKLDMKLVSTPAHLIGMDTRAIGIDSWLKNEQSGPNVLAICGMGGIGKTTLAQFIYNSNKQDFESSSYLEEIGKHSKQPFGLLGLQKQLLTDILGGRNARISNVSEGTRKVEEALQVKRVLIVLDDIDGHEELGALLGTKAFHTKSKIIITTRLLDINAWFGSISWRCQVEKLELLNDHESLELLSCHAFGSKIPMEGFKELAVELTKYCGGNPLALKVLGSSLFVDAEDPRKQSNIIEIWESTLNSLYPLKGELDCKIQGILQKSFDSLPRASNKELFLHIAFFFVGEYEDYVVKILEHDWHAKSGIMTLINKCLLTVSPSNKLIMHQLLQEMARNIVLQESKDPAKRSRVSQNYESYRLLGKGKGSDSIEGLVLDMRKLEEEMRSDPLTLKTGSLAKMDKLKLLQLKFVVLSGSYKINFPELRWLCWHGCPLETIPSGLLMSSLVAIDMSYGNLKRFEPPKVLNSLKILNLKECYELVSIHNLSRLPNLESLILWNCSNLTHVCESIGGLKSLALLDFTGCKYLMKTLKSYLNHAQQFPGVCTDAGIQQSPFLLPDSLKLLFLNNCNLVKNNDVPVVSSDQSLFYMNLGNNLFEVLPNSLNLKTLRVLELTFCPNLKCLLCLPSTLEELYTNWCFSLEKITFESTRFRLREFVYRGCNKISEIQGLFKLVPIAKHDEADLGHMKWIKAYQDYWVDLVGDEITKERYLPIQVPLSLSLSLSLSLSLKFMNKHTKTHLFREIG >cds-PLY70310.1 pep primary_assembly:Lsat_Salinas_v7:4:99718851:99722872:1 gene:gene-LSAT_4X65101 transcript:rna-gnl|WGS:NBSK|LSAT_4X65101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLSPVCTDPIKFQNGMSSLCPPSNRWSMLATNNSIRWNGIGHGEGRRRKFSRVKVATDSSRYSSDAVADDYYAVLGLLPDATPEQIKKAYYNCMKSCHPDLSGNNPDTTNFCIFINEIYEVLSDPAQRMVYDEIHGYALTAINPFFDDSSPKDHVFVDEFSCIGCKNCANVCSDVFMIEEDFGRARACSQQGSTDLVQQAIDSCPVDCIHWTSAAQVSLLEDEMRRVERVNVALMLAGMGSSADVFRMARLISYKLASSRWEKRQNKVLEQARVRMTKSKDSNDKSDPYWSNLWGGNGKTGGQSQSTDEEVKERARRAAAAARRWREYSRRGVDKPATYKLPSQSQSQSEN >cds-PLY94454.1 pep primary_assembly:Lsat_Salinas_v7:9:23255433:23257247:1 gene:gene-LSAT_9X21981 transcript:rna-gnl|WGS:NBSK|LSAT_9X21981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDELKDVKRALNPTKVLLVVDAMTGQEAADGIQRGGTALSVKEVSGKPIKLVERGERMEDLEPFYLDRIAGRILGMGEVLLFVEKAQEVNISEDKQHNCTNFKVMTSKPKKETHDVVNQGKVLKKADEYNTISINLTKLTPLTMKNE >cds-PLY65825.1 pep primary_assembly:Lsat_Salinas_v7:5:136482374:136482664:-1 gene:gene-LSAT_5X60320 transcript:rna-gnl|WGS:NBSK|LSAT_5X60320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFAVLCENSEFRSEFSMSSSLSPDMLADFIPLSNTFNIRRAILPAINGHFSARALARYYAALVDGGAVPPRHYSTPPLLGSHPHHPTLPSKKIYDY >cds-PLY93028.1 pep primary_assembly:Lsat_Salinas_v7:5:2553279:2555534:1 gene:gene-LSAT_5X1601 transcript:rna-gnl|WGS:NBSK|LSAT_5X1601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQESLDTPETQHESQSDQNNNGTETPVPDSGSVSISSNDNRKVSREDIELVQNLIERCLQLYMNRDEVVKTLLNRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQIILFNHLLEHQYHLMKYPMPPKLPVGPIHNGVHPMPVNNLPMGYPVLQQPPMGTPGQPHMDSMGMSSCHVVNGVPAPSNFHPIRMNSGNESMVMDGNAGGGGGGVTPIPANGAISPMSDMPISPTSVASSGHFPFTASELSGMGVDTSALDTAFTSDVASSVGLHLPQDNGNSRSFGQIPWNFSLSDLTADLSNLGDLGALGNYPGSPFLPSDSDILLDSPEQEDIVDEFFVDSVPGPPCSQSDEDKA >cds-PLY73489.1 pep primary_assembly:Lsat_Salinas_v7:2:99499093:99499587:1 gene:gene-LSAT_2X43740 transcript:rna-gnl|WGS:NBSK|LSAT_2X43740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSSTPPDLKKFVVDEGHGVKGVSELNLKILPELFIQPVEKRLDMSKVLQDELIQVIDISDSEDPQVIKSVCDAAEKLGFFQIVNHGVPLSIIESVIEATHKFFGLSSEEKKKYLSRNTPSKNVRYLTSFSPEVDKAYEWKDQLSCFYVSDEETLKFWPSVCK >cds-PLY97226.1 pep primary_assembly:Lsat_Salinas_v7:4:95487394:95488311:1 gene:gene-LSAT_4X62441 transcript:rna-gnl|WGS:NBSK|LSAT_4X62441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG5 [Source:Projected from Arabidopsis thaliana (AT1G12060) UniProtKB/TrEMBL;Acc:A0A178WFD4] MKSSRRTRLYSSSSSYSADTTTTTTVTYAFEDNNFNANPQTTSTETPITFHLSDRQSTAAIKIQSAYRSHAIRNLINKVRAVDSQADKLQRLIQMQDTVDAVRSNNRERIKINEALMKLLFTLDSVPGIDPTVREFRRSVSRRIVGLQEILDSICEAREANWDGFLRDWDDVIVGIEQEICREKGGSDVHEFERFCAEHLGFQCLQRFLRQ >cds-PLY80323.1 pep primary_assembly:Lsat_Salinas_v7:7:156270283:156272437:-1 gene:gene-LSAT_7X92401 transcript:rna-gnl|WGS:NBSK|LSAT_7X92401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB101 [Source:Projected from Arabidopsis thaliana (AT2G32460) UniProtKB/Swiss-Prot;Acc:O80883] MAPEDGATSAGRNTASGGGCARANSGQVLKKGPWTAAEDAILMEYVKKNGEGNWNAVQRNSGLMRCGKSCRLRWANHLRPNLKKGAFTPEEERQIIELHSKFGNKWARMALHLQGRTDNEIKNYWNTRLKRRLRAGLPIYPVDFQQQHHHHRRHPEQPLQNQTLPFSSSSSSSNHANAALNSISPMLFSPINYPVLNTPLYYPNSQSHYKLLRDNNGGVSLSLPSHSNPQFNNSSASSMSFFNQGLPSSDHGMSPIPTRLFENIENELPSIQSSYQAITPTCSSNTVNDHMIVSSNDGDNAISPYISPQGNSGLLEDVLGESRALLSSQMLSNESKSPDKGKEKITHDYSLMEEPENVILESVFEPRDDNKTILDESSSGHSSIDIVVKSRSNAFDEINTMDDDFSSWIDFPTGSLQDWYGGSEDASTEKPNDVLNGTTGTDNQVEIPCSPVGTETDHDHDHDNDCDHEHDWTMGSCCWDNMPGFS >cds-PLY93963.1 pep primary_assembly:Lsat_Salinas_v7:8:110524781:110527927:-1 gene:gene-LSAT_8X74380 transcript:rna-gnl|WGS:NBSK|LSAT_8X74380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDASKRGRPEAKANGGAKKFKPGMAVVLMGVSGVGKSTIGELLAKTLNCSFIDADNFHPQSNKEKMKNRIPLSDEDRIPWLEVLRDLLNASLVSGKIVILGCSALQKKYRDILRYADPNFKSDYSCEKCVVKFVLLDVGVELLLNRVAKRVAEGNHFMPAELLQSQIDLLEVDVSEGIHKVDASRSPQDIVDEIKALIL >cds-PLY84447.1 pep primary_assembly:Lsat_Salinas_v7:2:758570:758890:-1 gene:gene-LSAT_2X1481 transcript:rna-gnl|WGS:NBSK|LSAT_2X1481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFPGYVTQPNGMGNLEMTWLPVFAGAVGALGAAGALGATYCSPYITMDGAYHARPSGQTSTLPPTSYVACYYDLRF >cds-PLY92487.1 pep primary_assembly:Lsat_Salinas_v7:2:151997391:151999457:-1 gene:gene-LSAT_2X76580 transcript:rna-gnl|WGS:NBSK|LSAT_2X76580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPRGGSSFISGSLCLFLFGFVSLVSLHAQAATKKYQFDVQVTNVSRLCHAKPIVTVNGRFPGPTIYAREGDRVVINVSNHAQYNMSIHWHGLKQYANGWADGPAYITQCPIQTGSSYVYDFNVTGQRGTLWWHAHILWLRATVYGAIVILPQQGTPYPFPKPDGEQVLVFGEWWHGDVEDIVKQGNAMDTFAMEVEQGKKYLLRIANAALNDELFFAVSGHNMTVVEIDAVYTKPFTTAAILIAPGQTTNVLVYANSAPGRYFMAVRPFQDVPIPVDNKTATAILQYKGIPNTVLPSLPQLPLPNDTAFALNYNEKLRSLNTRNFPANVPLKVDRNLFVTIGLGKSVCPTCVNGTRLSASLNNITFVMPQTGLLQAHYSKIKGVFTSDFPDKPPKAFNYTGAPLTANLFTSHGTRLSKIAFNSTVELVIQDTNLLSVESHPFHLHGYNFFVVGTGTGNFDPAKDPAKYNLVDPPERNTVGVPTGGWSAIRFRADNPGVWFFHCHLELHTGWGLKTAFVVEDGPGKDQAVRPPPKDLPSC >cds-PLY90519.1 pep primary_assembly:Lsat_Salinas_v7:2:42929250:42935767:-1 gene:gene-LSAT_2X20341 transcript:rna-gnl|WGS:NBSK|LSAT_2X20341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSIEKDDIPILTATDWPLSDYNPNPRFSQRNRSVSISIPVTSIELNSNSTSRVGFTGSLRSERNTHDEHTQERKPVEQEDSGNYNHELGDFNSEAKNEHLFRSGRLGMCNDPYCTTCPPFYKAKPILRVAQGFDHHFDNVIYGGVKGWAQGKLLLLKHFTFGIMNPHAKVVQQWNQFIVISCLVAIFIDPLFFYLLLVNKEFKCILINWPMTKTMVAFRSMTDFIYLLHMLLQFRLAFISPESRVVGAGDLVDHPKKIALHYLSGFFFLDLFIVLPVPQIIVLLILPHAIASSGANYAKNLLRSAILVQYIPRLYRFLPLLAGQSPSGFIFESAWINFFINLLTFVLAGHVVGSSWYLLGLQRVNQCLRDACHNSGIENCTKFIDCGHGNDTLAFEVDQQWNNWKQNENASACFTEDGFPYGIYVKAVNLTAENNIITRYVYSFFWGFQQISTLAGNQTPSYFVWEVLFTMGIIGLGLLLFALLIGNMQNFLQGLGRRRLEMSLRRRDVEQWMSHRHLPASLRRKIRESERYNWAATRGVNEERLMENFPEDLQRDIRRHLFKFVKKVRIFSLMDEPILDAICERLRQKTYIKGGKILYKGGVVAKMVFIVRGKMESIGEDGNKVSLSEGDVCGEELLKWCLEHSYVNGDTQNHRKQGFRLFSNRTVRCLTNVEAFVLRAADLEEVTTLFAGFLRNHRVQFAIRNESPYWRGLAATTIQVAWRYRKKRLSREQTSTRPSKASLHKRLSF >cds-PLY85261.1 pep primary_assembly:Lsat_Salinas_v7:3:71242723:71246502:-1 gene:gene-LSAT_3X54900 transcript:rna-gnl|WGS:NBSK|LSAT_3X54900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISGHDFYTIVKAMVPLYVAMFLAYGSVRWWKIFTPDQCSGINRFVAIFAVPLLSFHFISMNDPYTMNFRFLAADTLQKIIMLLVLGLWVKFTKSGNLEWMITIFSLSTLPNTLVIGIPLVSAMYGDFTASLMVQVVVMQCIIWYTLLLVFFEFRGAKMLIMERFPESGGDIVSIKVDTDVVSLDGQDFLETATEIGDDGKLHVMVRKSNASRKSIGVGSLSGAEIYSISSSAIQTPRCSNFNQSDFFSITGFPGGRLSNFGPAADLYSFQPSMGLTPRLSSYENDPAPVSPNMSSSKSGLYHATRDMGGSRIFAEGANTETCLSVTKGQQNKVSHNVQKFPRSSSAPTAAYKGGQLRTHDFRESTEHSYRPDQHGAKEIRMLVSDETPNDNTKGGDKERIKLGLDSAAAEHNPKTVAVPDEGSAKQMPAASVMIRLILMMVWRKLIRNPNTYASIMGLIWSLVSFRWHVGIPKIIGNSISLISNAGLGMAMFSLGLFMALQPKIIACGRSKAALAMAVKFLIGPVTMAVAAIIVGLRGNLLHVSIVQATLSEGIVPFVFAKEYNVHPTILSTAVIFGMLITVPITLCYYIILQL >cds-PLY78564.1 pep primary_assembly:Lsat_Salinas_v7:1:106049278:106052397:1 gene:gene-LSAT_1X83160 transcript:rna-gnl|WGS:NBSK|LSAT_1X83160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLNLESTDTHLGGGIEKLLTNNTEKVFLTGDGIKVALKELDTVGFQQVKNLTVESCNSEGIEYLSNYSNTSNGSGVFNNLEKLRMDKIWHLKGIIRYNGKGLPVRSFSKLRKIHLSVLPEMTHLFTYGVANNLEHLQRLHIEYCTNMQEVILNQRPSALESTIENKIVFPKLTELILNDIASLICFSHGINLQVEFPQLRVLKLEYLQNFHTFCPEEINLASEGNHRGTNFHSLVNHKVEFSSLAELTITKMGNIKHVWCGHLPDLVHLQGLYIQFCHMMEEVISVQRPSVTTMEERIVFLKLKEVIIFGLNKLTFFCKGIDHVEFPQLRVLRLRWLTHFRNFCPEETTGRTSSLFNDKVSFPCLETLEVSELDSVEQLWSSKLPMSQFGKLKSLRVEKCHKLVNIFPSDLQTVFPSLEKLEVEKCDSLEQVWGYTEEQIRKLKSIFVHECPMLTNLCSFYTFKGLSNLQILNISSCKMLEEVVGYEHTYGKMKEVLSLNKLEELSLAFLPNLSYFSHNKCDIELPELTHVNIKSCQEIYTFSKSSVTTPKLKYVVVDDVRRWLGDDDLNSTMRHFA >cds-PLY64012.1 pep primary_assembly:Lsat_Salinas_v7:4:190888807:190891657:-1 gene:gene-LSAT_4X108980 transcript:rna-gnl|WGS:NBSK|LSAT_4X108980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWARRRPPLPRRGCAPVPPPSPPPPPPPLPPPRGSTHPPEMAAAPQRSMLMPLHWIKLFRASQGSLWEELQTPGDLQRSAVEIDMSELEAMFSLPRINPKKDTFKAEKLQLLQAADLAMDESIFEADQIQNLIKFCPTKQEMKLLMNYTGDKDLMEKCNQFLLKLMKAPHVGSKLRVFRLKIQFDTQLSEFKKSLNTVNSACDEIKTSVKLKEIMKRIMYLGNTLNQETARGDAVGFKLDSFLKLSDTISSNNKITLMHYICKVLASKSPSLIDFHLDLVSLESANKIQFKSLTEEMNAICKELERFKKETSASANDVPVFEVFHKKVNEIISFAESEVASVTNLFYDVGGNANEMALYISEAFGEDTACSFEHVIERLVKFVRVFREAHEENCKQAEQEKIKAQKEIEMEKAMGFNLTKK >cds-PLY74456.1 pep primary_assembly:Lsat_Salinas_v7:7:39700188:39701290:-1 gene:gene-LSAT_7X28681 transcript:rna-gnl|WGS:NBSK|LSAT_7X28681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQLGVVDFLSFSRVCKSWRSFALSNRNNFMSSRPPMFISISRDASNKNYCTMRDFEQKKFKTILSNSAHMTCYGLTCGYLIMFGNEGHDFWLVNPITRHELHFPPSPFYALAHPSTVKGILVFLPSISRWAFVISYRFTTKISYFIKGKRGWNHVSSTLPILDLHAFKGKIYTIHADCCLCEMRLNPKPKLKLLETKNFQKPDLKRPEFVTSGEKLYIMNRSNLFGDPNANKVLELDFGEMKWVSLEKTIEEYAFFLSNFNYSAAIKKESWAWADPRTQSKIRDYFLDERYLDPDKTRPDMFFYARMWYFSHDCFSVDLIDER >cds-PLY98447.1 pep primary_assembly:Lsat_Salinas_v7:1:10125521:10127740:-1 gene:gene-LSAT_1X8320 transcript:rna-gnl|WGS:NBSK|LSAT_1X8320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIGWYGPLIDLSQASSHSGHFVQLLVLVHNTLPVQYKTSGGGKVIRTDIQVGDDTRRYFPVSIWQKQMESMLKPGNIILLQNVKVTQYGDVVEARTVQQSSIQCLVDSYEEIHSKGENNLIKVNHIGIATKEKLKKVVAWVVRVEPIPNKHLLHNYKRNQNLTNWKLHEETQHKDCLSLSDVSKLNDSCNASFFASIGEMFLPIMGEFEEERMFIRSRIFSSAYKNLTEDLICTGCQLCGAPLNSEFGSRIEQETVPLYCQKSSNRLHVVSLIYRPFLLYVWDESAYVPIVVKNKAAEILVGNIKAESVHSTYQTQKQGCGQRANKSMEEHCEQGLDFYSLWLILLRSLLQPGKNSPLKFKVRVDMTKKWENGRFEMLSFSLPLFSHDSH >cds-PLY82970.1 pep primary_assembly:Lsat_Salinas_v7:1:18674697:18676444:-1 gene:gene-LSAT_1X16221 transcript:rna-gnl|WGS:NBSK|LSAT_1X16221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSPVFHLVLLLLLLHSPPCPATSGGSWSLLLPTIGISAMHMQLLPTDRVVIYDRTDFGTSNISLRDGKCRPKSTDCSAHSVEYDVLHNSVRPLMVLTDVWCSSGTLMPDGTLVQTGGTYEGDRVVRVYKSCDDCDWQEIQSGLSQSRWYATNHILPDGRQIIIGGRQAFNYEFYPKISSTENAPSLPFLVQTNEPNIENNLYPFVFLNTDGHFFIYANDRAILFDYVRNQVVKTYPTIPGGDPRNYPSTGSAVLLPLRVVQGKTSDVEVLICGGAPKEAFVNANNGKFDGALDTCGRIKISDPNPQWVMETMPLARVMGDMLLLPNGNVLIINGGSAGVAGWELGRDPVLCPVIYQPNNRIGSRFELQKRTTIPRMYHSTAILLRDGRVLVGGSNPHDKYEFANVLYPTELSLEAFSPAYLDPSSSKLRPSIISPRTVIHHGERMFIRFNVLCPVDPNLVSVTMVAPPFNTHSFSMSQRLLILDTGNTTVAVGESHYEVSVITPGSVNVAPPGNYILFVVYQGIPSEGIWVCIQ >cds-PLY90139.1 pep primary_assembly:Lsat_Salinas_v7:7:13914744:13918343:1 gene:gene-LSAT_7X11700 transcript:rna-gnl|WGS:NBSK|LSAT_7X11700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSKTANVQTPDQVPESKPDPANGDEGSDQQDQDQGVPAFKEFELTELRAATNGFSSELIVSESGEKAPNVVYRGKLRSNKVVAIKRFSKLSWPDPQQFVAEAAGVGKVRHKRLVNLIGCCAEGEERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRVRVANHIAQALDHCNTENRKIYHDLNAYRVLFDEDGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNILLLMDSSLEGQYGDEDATAMVELASKCLQYEGRDRPDIKFLLTSVAPLQKQTDVASHVLMGLIKTVTPVAVAVAVVPTIVSPLGKACARMDLTAVHDMLLKTGYRDEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKGSVEHYSKLVSMMPVPSGTIFVRRALSYMMIGQPELALRDAMQAQVCLPEWPTAFYMQALALSKLGMETDAQDMLNDGSAFEAKRQNSWRN >cds-PLY69876.1 pep primary_assembly:Lsat_Salinas_v7:6:4420135:4421333:1 gene:gene-LSAT_6X2901 transcript:rna-gnl|WGS:NBSK|LSAT_6X2901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSKISAMFYIFLIFLSSSSLPLVLSCNCSPPHHQPSPPKTPRPYPPSAKPPHHGGGPKVSPPKTKPPKTQPPKVSPPITRPPIVRPPPITRPPIVKPPPVTRPPIVHPPPITRPPIANPPIVMPPPVIIPPPILPPPIISPPVISPPVITPPVIVPPPSSSYPPYTPPGGGTGGGGSGGGGGKGGGGSGGGGGGGGGGGGGGVMPTCPINALKLGACVDVLGGLVHIGLGNPVENMCCPVLKGLLELEAAVCLCTTIRLKLLNLNIFIPLALQALVTCGMTPPPGFVCPPLL >cds-PLY73262.1 pep primary_assembly:Lsat_Salinas_v7:8:211239221:211239646:1 gene:gene-LSAT_8X131900 transcript:rna-gnl|WGS:NBSK|LSAT_8X131900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTIARTTTLRRLNHRYPAYEHLNQTSNQSRGWRAFSTRRTITTAFGRVKSQNNDTTMSPVCDKRDRVRARSRHVFLQGYKLSMYTTNGKLRSEIKLKKAMVKVKTMVVSVLSFMRAASLKRCNSKSAIAASSPHPIHRCF >cds-PLY84954.1 pep primary_assembly:Lsat_Salinas_v7:2:123473253:123473846:-1 gene:gene-LSAT_2X57480 transcript:rna-gnl|WGS:NBSK|LSAT_2X57480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAATNWVFHADVNETRLKALQGAMASIREELCDSEVECRLLSEQNCIVACEKAALEDHVATLEVQIVKIKSQILEKGVVHVINKVIESMKFASEIQGVRKACDAPGFEKGKQIGGCSTISDESEALDPGHFARRNEEVDIDLTSLAGMDFAGLFHQGS >cds-PLY91206.1 pep primary_assembly:Lsat_Salinas_v7:3:76272297:76272904:-1 gene:gene-LSAT_3X59341 transcript:rna-gnl|WGS:NBSK|LSAT_3X59341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMGLRPGYMAPFGSESGSSRKSSKTETSGGGDETISGTTTTTTSMEVSTEEKGRNTATEEGQLSENVDTEEGNKPPWLGLGIGMLVIDNNGNLLGQFSRLDDDLRIC >cds-PLY85147.1 pep primary_assembly:Lsat_Salinas_v7:9:148637751:148641445:1 gene:gene-LSAT_9X94921 transcript:rna-gnl|WGS:NBSK|LSAT_9X94921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTINIPTSSPDDLRSPLILPDDKTHVAGNNEAPIERLCIDDMLRKYCGEFGYWQFKHFVLTCMGWALEAFHTMVMIFADRQPDWICEPDSDCVSAADVCGLQPGTWRWDGGSGISTVAEWGLICGQKYKVGLVQALFFGGCMIGAGIFGHLSDSKLGRKGSLTVVCILNAIFGLLTSLSPNYLTYVILRILTGFSTGGVGLCAFVLATEPVGPSKRGMAGMSTFYFFSGGIAILAGIAYIFRTWRALYIASSIPSLLFVFLILPLISESPRWYLIRGLPGEAMSVMRSIAVTNGRFLPENVYVSRDEDANHGGDRKSNELRSCEAVSGSVFDALKSPVTRIRLILVVGINFTCSVVYYGLSLNVVNLETNLYMNVIVNAVAEMPAFLFTAILIDRFGRKPLGVGTQWFSGIFCVAGSFLGGKGAWKVVRMVCGVLGIFGMAGTYNLLFIYAMELFPTVVRNAALGCATQAAQFGAILAPFVVVMGGGLPFMVFGVCGVVGGFLTFYLPETLNKPLYDTMNGMVEGENEILA >cds-PLY72707.1 pep primary_assembly:Lsat_Salinas_v7:6:27646612:27649297:1 gene:gene-LSAT_6X21340 transcript:rna-gnl|WGS:NBSK|LSAT_6X21340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVQQEDVIRPTVDFPPSIWGDVFLDYKEVCKVLQVIINTTFVKVVDELKEEVRKEILTSLNDPTQHLNLLKLIDAIQRLGIAYYFEKEINQALQQIYDAYGDNWNGMGTSIWFRLMRQQGFFVSSDNLNTYKDKEGCFSHEPLKNDLQGLLDLYEASYMSIPGEVILDDALNFSRKCLDDMAKSNHLNLVSTEIHEALKQPLNKRWWKCFDVPNNLPYARDRLVECYLWALAVYSDPQHSIGRMFVARGLAIGTLIDDTYDAYGTYEELLIFTEVIQRWSITCPNNLPENMKLLYQMLMNLFEEMEETLAKQGKVNRLNYVKESMKEYMRSYMREAQWTHDKYIPTIEEHGEVAYISTRFIFALASSFAAMDDEITGETFQWLFTYPPIVKACCGICRLMDDIVTHKGEQERNHVASVIECYMKQFDVAEQHVYGVFNEKVEDAWKEMNKESNMCKDVKMPIIRRVINLGRGMDVMYKNKDHFTHVGEELINHINSLLVDAIII >cds-PLY69055.1 pep primary_assembly:Lsat_Salinas_v7:3:206855573:206860253:-1 gene:gene-LSAT_3X121341 transcript:rna-gnl|WGS:NBSK|LSAT_3X121341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYSKSVIPSHLLADRGSNLVVINPGSGNVRIGLAQQDAPFSIPHCIARRTTGSNQSPRRNVQDQMLNSQVTTSQHAEREKAYDIIASLLKIPFLDEEVVNNSYSRKTGRVDVLSQQNSKKESALTWTDVSQKITDLPLPESSLEKNELLEGEKQEPQSSECRYREFVCGEEAMRISPAEPYCLRRPIRRGHFNISQHYSMQQVVEDIEAIWDWILIEKLHIPQSERNMYCALIVVPETFDNREIKEILSIVLRDLRFSSAVVHQEGLAAVFGNGLSTACVVNIGAQVTSIICVEDGVALPHTQITLRFGGEDVSRCLLWTQRHHQTWPPVRTDPLTKPIDLLMLNRLKESYCQIYEGEVEAVGVVHSYEDGLPPGSHKTRLTALNVAPMGLFYPTLLVPDVYPPPPRSWFKDYEDMLEDTWHISDGSYPGVNNPLQMWDNYPYSSNQQKKEDNIGLAEAISKSILSTGRIDLQRKLFCSMQLIGGVALTTGLISAVEERVLHAIPSNEAIDTVEILGVIDFVRDAWIHREDWIQNGIHIGGVRKYKDSYYLQAQPMCYINS >cds-PLY96317.1 pep primary_assembly:Lsat_Salinas_v7:5:191683130:191684129:1 gene:gene-LSAT_5X84881 transcript:rna-gnl|WGS:NBSK|LSAT_5X84881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVNHDDEDLEALETLSLTDFPLTEDVDSNFEDRHHRPSSSTSEDLFEFFSGGLGSFSEENVMSHAEDMISGGKLIPINDQPHHDQPPPRSENQTRNQKQVHRRRSESMRELKSNTSKTTARQLVRNSHSLDYKKLQRNSRSNSEPTAEIHRNSLSNKTSSSRWTDLVFGPVKVPTEMDLRDIRNRQTVQNTSKSLFPNAESGGGLAVSRVGDHRKTSWGVLGILSCKSSVSVAVTMPLS >cds-PLY91519.1 pep primary_assembly:Lsat_Salinas_v7:7:142232898:142234538:-1 gene:gene-LSAT_7X85001 transcript:rna-gnl|WGS:NBSK|LSAT_7X85001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSGEDGSIITFLKRELEASFVKIDSMEKENHELKQEMGRLKAQINTLKAHDLERKSMLWKKLQSSMDCGKVIDEPTQKTKLQVAVPEAPLKKPTSNHTEANAILPKPPPSPPQSLQKRVIAPPPPPPPLPSSPVGSRAVRRVPAVMEFYRSLMKRDTQKENKNGATGFLPVTSRDMIGEIENRSTYLTSIKSDVEKYGQLLNFLIREVQSAAFTEISDVEAFVKWLDGELSCLVDERAVLKHFPQWPERKADALREAACSYRDLKNLESEVLAFKSIPKQPLIQSLRKIQALEDRVESSISGLERTRESTSKRYKELQIPWQWLMDTGVVGQIKLSSLKLARECMRRIAKELKSNEASREGDLLIQGVRFAFRVHQFAGGFDTETMNAFEELKEIGSSIYNK >cds-PLY61881.1 pep primary_assembly:Lsat_Salinas_v7:6:57561813:57562743:1 gene:gene-LSAT_6X41901 transcript:rna-gnl|WGS:NBSK|LSAT_6X41901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL57 [Source:Projected from Arabidopsis thaliana (AT2G27940) UniProtKB/Swiss-Prot;Acc:Q9SJJ7] MERQSEHRDEHHRLHSSPINTNLLSYRRSLLQDEETSRAIGSPTPSFTTNSPFDSSLALTILVLLTVLFFMAFFSLYIRRFTSSEDSLSGHQRRSAPHSRREKHGGVDATTVRSLPVVPYGGDSKIWGECSICLSEFEERETVKVIPYCRHGFHPVCIDRWLSSHVSCPLCRSTELFPAVDEV >cds-PLY90321.1 pep primary_assembly:Lsat_Salinas_v7:2:198480850:198481413:1 gene:gene-LSAT_2X119560 transcript:rna-gnl|WGS:NBSK|LSAT_2X119560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKTIILQIDQFCNCEGCIQKVKNTFCELGGVKLLEMNPEIGKFIISTTKHSDVIKYALERTFSKKKVILFENPKHPCQPPIHNHQNVIINHNHIHQVPPSITTNAYDVAKVLATTTHAKGLQSVEITHMKLNFNNFENRPSVRSRHAINNVTPPLKPKHTRHACITSTNNCNGITSTTTISTKE >cds-PLY72160.1 pep primary_assembly:Lsat_Salinas_v7:7:59375322:59376049:1 gene:gene-LSAT_7X42621 transcript:rna-gnl|WGS:NBSK|LSAT_7X42621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASLMPIQPPVIVRASASTSGNQKSDSQRKSWWTPLFGWPSEPDYIDSGNIDKSRKNNTTFSAKSGATSDSDLDQRPVRSRISPGSFTEEKARKLRMLTMEMESFHEAMYHSAIASRLASDFSDRSDE >cds-PLY72810.1 pep primary_assembly:Lsat_Salinas_v7:6:22402590:22408819:1 gene:gene-LSAT_6X17380 transcript:rna-gnl|WGS:NBSK|LSAT_6X17380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLLRRRLACCTWNREIGNSIDFDQTPNGIMTYNGLESCILNANSCEDESVTSRGDECPTIDSLDEEDDSSCSSSNNASGGSFSSQWTIMKRDGHEQQPDEWEYSESVTKEKVSPKPQISDVEIMKEKFAKLLLGEDTTGGRKGHSSALALSNSITKLAGSVFGELWKLEALGDERKSKWRREMEWLLAPTNYMVELVPAKQYGANGRTLEIMRPKARGDIHMNLPALRKLDSMLLETLDSMKNSEFWYEEGGVHLQTDKRNNRGWLPVPQVPINGLSNGERKKLLNQAKLVHQIFKAAKSINETILIEMPIPKIIGDALPKSGKASLGDDLYKTLNTISSSAIGMLNLLHLKSEQAALETINRLETAIYAWKEKIMEQTNASKSPARARTSWSLKDPSMELDKMEFLINRAQVLLQQIRIRYPNLPQTFLDVMKIQYGKDIGHAILEAYSRVLGNLAFNILTRIGDIAQQDVLIDPNSLLIATNTLPVPGIKNVSGISGNVYSISARHTPTLMDNINNIQIQPQGKFSLLKAEKASYASSLLSDDQSNTNSVAATPIPSPRCCLGKEACFTPPKMSP >cds-PLY96135.1 pep primary_assembly:Lsat_Salinas_v7:3:98948583:98948897:1 gene:gene-LSAT_3X74441 transcript:rna-gnl|WGS:NBSK|LSAT_3X74441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSLFRQYIPETNTIGANVEGEQQVPQGAPSDIQSDEEYVPLSKKRMATTTPNVGPSKKKCNERRVSEKENIWDVSIKVARNYARQNNIQSANRMYNKQDSKMI >cds-PLY76787.1 pep primary_assembly:Lsat_Salinas_v7:4:189884445:189896167:-1 gene:gene-LSAT_4X108940 transcript:rna-gnl|WGS:NBSK|LSAT_4X108940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NEP1-interacting protein-like 2 [Source:Projected from Arabidopsis thaliana (AT1G74410) UniProtKB/Swiss-Prot;Acc:Q8LBA0] MDAVIGGAEGEIRVYYFIPRLISGAISGALTGLFAFGGAFTGAITGAVAGRASDCGALRGAGLGALAGAVLSVEVLEASRAYWSQELSSNRNSSMADFMEELLRGRFSEERFPPELLTAYHFQQVSMSPVDVLDFNDDVAHKGMLKLVKLLEVCLIVNTCFTRFVWISGYLDMDHALYVDNMCGAFTGAITGAVAGRASDCGALRGAGLGALAGAVLSVEVLEASRAYWSQEPSSNRNSSMADFMEELLRGRFSEERFPPELLTAYHFQQVSMSPVDVLDFNDDVAHKGLSDDSLRRLPWHKISDESKPNQTICCTICLQVYHFLT >cds-PLY75661.1 pep primary_assembly:Lsat_Salinas_v7:1:99147244:99149447:1 gene:gene-LSAT_1X80360 transcript:rna-gnl|WGS:NBSK|LSAT_1X80360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVWSCFLPDLTTENHRVEVVTCAKEDIISSLPEHLIDLILERVPLEEAVRTSILSKKWRYRWTKMGALVFDEQFFNKYSKNGAFGRNGFIMIIYKVLILHKGHISKFLLDIPNINMFLDSFEEVDQWMLLLSRNGVKELILTNSSQCYKLPSYVFSCLELRKLELENCFFKPPDEFEGFVYLNDLELCNVDFGANLCGTEINLPQLKELSLFRCTNVYNFKIKATKLKNLIVFESPDSMVLHLLHNPCLMKVFMSFLKPIDEFVRDERKTLSIVLSNLPKIKSLYLDGLFFKVLIAEKLPKLLPCAISSLKHLCLLYYELSDLDQLHGALCLLRNSPNLESLSVRFVENEPQAIRYDVGPASDHLEAANCLDCTLEQLQTVEITYVEGSKPELLFIKLLLAHCPSLQKFTITTSGDLDAKKILDISKDVMRFPRASPKAEVVYLNSET >cds-PLY85828.1 pep primary_assembly:Lsat_Salinas_v7:8:172678460:172681776:-1 gene:gene-LSAT_8X113121 transcript:rna-gnl|WGS:NBSK|LSAT_8X113121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEASAKSADEVVEEIMRIHRSLPPRPGIEDIEAAKILIRNAEAEQQSRLESIAKQKKRKDVPEELFNVLIEMQKHLVDFHTIEQKREAIKLLDLENYHILFDEMIQRASRCVIPNGSDDNNTTTQSVNSTLSPLTTPPSYSGVNLSNSISYSPKTVSSELFTRDDSYVSKMESTTYGDGIRRKIRSTDASKPMIVDSTLKPAITSGQEGEKLSLIKLASLIEVSSKKGAKELNLSNKLMDQIEWLPDSIGKLSTLITLNLSENRLIALPSSIGGLSSLTKLDLHSNKITELPESIGNLFSLIYLDLRANQMTNLPSTFGQLIHLQDLDLSSNNFSILPESVCSLKNLQKLNIETNNIEELPHMIGQCSSLKELIADYNKLKALPEAVGKIESLEKLSVRYNNIGRLPTTMSSLTNLKELDVSFNELESVPESLCFAISLVKINVSNNFADLRSLPRSIGNLENLEELNMSNNQIKTLPESFRMLSKLRVLRAEGNPLDSPPLSVLDNGAQAVIQYMNELHEKKEVKVQPVKQKKSSWAQMCFFSSSNKRKRNAMDYVKT >cds-PLY91800.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:233961:235748:-1 gene:gene-LSAT_0X1380 transcript:rna-gnl|WGS:NBSK|LSAT_0X1380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGNCIPRLCVLMLTMLTCFATIKATESDISCLRSIKESLEDSSGFLSTWNFSNNSAGPIPSNISKVLPFITTLDLSNNTLTGSIPPGIANCTLINVLMLDNNHLTGQIPPEFSKLERIKEFSVANNRLSGAVPVFSNTSFPAESYANNSGLCGGPMNACSKDDDLFFPGFAVGFPISTILSMLFMFLCWPRLRIRTSRYYPFWIKKRERRTHHLIPRNPQVLFAENVSSMETKVVAMEKFICRFSLLELEMATNDFDNKNVIGYGNMGLMYKGECPKGLLVAIKRLHSNGTLHQWLYGEAMKMGWTLRLKIALGVAKGIAWLHHNNVLHLKINSKCILLDDKFEPKISNFGNAIILIDTSDTPLSDCNFIVPDSSPYKDDVYSFGILLLELVTRREPSTWINSLTDYVWGVDFDLIDECLMGQGFDEEIYEILKIAKNCIHPCEDEATNTLQVYEAMCAIGTSRNEISLVS >cds-PLY90941.1 pep primary_assembly:Lsat_Salinas_v7:9:169835656:169836842:-1 gene:gene-LSAT_9X105640 transcript:rna-gnl|WGS:NBSK|LSAT_9X105640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKRKARFSGHWSLEGACVLTSATQPHTGSIMWDRDRDSGGGQVRSHCLYWGLENGCLYRISSTHLASNGRITRIIGANFVKHYIAEGVP >cds-PLY95075.1 pep primary_assembly:Lsat_Salinas_v7:1:93973385:93975229:-1 gene:gene-LSAT_1X77841 transcript:rna-gnl|WGS:NBSK|LSAT_1X77841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKSLEEVDGRLQQHLVLLLAIVLGFLIMDPFDMSPVGGHDFRPVKNDIAPYDQVMESWPADNLSRLGLGNLEHVDKVFGPESLEFDPLGNGPYTGMADGRIVKWAGEDRGWVTFALVSRDWSEKLCMTGKDSTTYKQWKMEALCGRPLGLRFDKSSGNLYIADAYYGLMVVGPDGGLATPLSTHMGGEPILFANDLDIHSNGSIFFTDTSQKYNRVNHFFIMLEGEASGRLLRYDPPTKSTHVVLDGLAFPNGVQLSKDESFLLFTETTNCRLMKLWLKGEKKGKVELVANLPGFPDNIRINDQGQFWVAIDCCRTKAQEILTNNPWMRSVYFRLPFQMRYLARIMGMKMYSVISLFDEHGKILDVLEDKEGVVMKLVSEVREANGMLWIGTVAHNHIATLPYP >cds-PLY66922.1 pep primary_assembly:Lsat_Salinas_v7:7:20373889:20376092:-1 gene:gene-LSAT_7X16080 transcript:rna-gnl|WGS:NBSK|LSAT_7X16080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLKFLRSNLSARSVHFGKHFNLPNPEDIAFNSICVNLRQRKWKFLDQILSSDLTNSLVNRVVSEFRMSPELVLGFYKRVGLQTSFSPSLESVCILIHVMIRSRRYDDALVFMNNLLQTMGYSHLDVLEGLWDSYNPDISCPHVFDTLIRACTSFGDMNSAHEVIINLRMEKGFHVSVHAWNNFLNHLIKSNELNSFWKKYTEMISYGYTENIYTYNLVIYALCKEMRLYEAISIFYRMLKVGIYPNVVTFNMIINGACKTGDIELGLKLYRKMGIMSMEYINPNSITYNCLINGYSKLGNMKSAESLKDEMTKMGIKPNLITYATLVNGYLRKGCKKDAFRLCSHMVDKGIAPNNVVYNSIIHWLFFEGDTTTASVFLSYMIKTNILFDKFTNSILVTGLSRNGYLNEALDYHKWLVGKNLVDKDLFLENTLVHYLLRSGNESTLKVKQVLDDMIARGLSLDSVTYGSMIDGFSKQGSISNAIRVYDDMIKEGKKPNLVIYNSIVDGLCKNLSVDLAKIMVDELKKLGLFDVVTLNSLLNGFCANWNINEALNLFFQMQEGNLANEVTYNILVNFFCKIGSIQEGKEIMEMMVDNEVAPNSITYTILVTNAFKKSKVGCYSREEVIELHDDLMVKGVKPDGQTYDAIVGKLIGEESFEIS >cds-PLY71257.1 pep primary_assembly:Lsat_Salinas_v7:7:90741943:90744833:-1 gene:gene-LSAT_7X62960 transcript:rna-gnl|WGS:NBSK|LSAT_7X62960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSDDSDESQPSNPTCSSIELSQQACRLFEFAEILLATNNFAESLVIGRGGFGKVYKGNIITGSSISVAAIKRLDPMSSQGASEFWAEVQMLSNYHHPHLASLFGYCNYEKEMILVYEYMPNGTLEDHLHKLGTPLSWFLRLKICVASARGLHYLHTGTGINVGVIHRDVKSSNILLDERWEAKISDFGLSKIGPTNQPLTYVDTRVKGTFGYLDPDYSFTGRLTRKSDVYAFGVVLLEVLCRRPALDLRLDEEQHNLARWAQESIKEGNLKHIIDSGIRNQISPKSLKEFVRIAGRCLHNKQKERPTMAEVLFSLESLLTIQQNMNSPLQPSQRTILGRVVDKLTFTSNIENSGKRIFGRTAVSVIERSYPCRKFEFAEILIATNNFDESLVIARGRSGKLYKGLIVSEPSHVVASIKRLGSMSSQGASEFWAEVELLSRLRHSHLVSFFGYCNHEDEMILVYEYMPNGTLEDHLHKLGTPLSWLQRLKICISAARGLDYLHTGTGIDFGVIHRDVNSSNILLHESWAAKISDFGLSKIVATNQPSTNDDFAYVTTCVVGTLGYLDPEYYMSSRLTTKSDVYSFGVVLLEMLCRRHVINRDDDSEIYSLVQLAHEFIEQDNLNQIVDLGIRDQISPDCLKKFAQIVEGCLHIESKKRPTMADVVFDLQSVLTIQEKTNSLLQPHSEQ >cds-PLY70119.1 pep primary_assembly:Lsat_Salinas_v7:3:12091147:12091711:-1 gene:gene-LSAT_3X8520 transcript:rna-gnl|WGS:NBSK|LSAT_3X8520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLLAYSNILIGGVQCGPTKFTPDQIKSFAKSQVDYILGKNPLKMSYMVGYGSKYPTHVHHRGASIDSVYAHPAKVGCNDGYSSYYSSSNPNPNIHVGAIVGGPDSNDRFADMRSDSSHLEPTTYMNAAFVGSVAAFLGDNSNCKLDWLQTPKTNLVDII >cds-PLY87766.1 pep primary_assembly:Lsat_Salinas_v7:1:46077268:46079373:1 gene:gene-LSAT_1X40241 transcript:rna-gnl|WGS:NBSK|LSAT_1X40241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLAQQIGGLRCPSLSNTRLSRKPSSSNTQPVKRIFTTKAAVAVSNAQTRERMKLKEMFEEAYERCRTSPYEGVAFTVDDFHSAIEKYDYNSEIGTKVKGTVFNVDANGALVDVTAKSSAFLPIREACIHGIKHVEEAGIVPGLREEFVIIGENEHDDSLILSLRQIQYDLAWERCRQLQAEDVVLTGKVVGANKGGIVAIVEGLRGFVPFSQISSKSSAEDLLEKYLPLKFVEVDEEQSRLVLSNRKAMADSQAQLGIGSVVTGTVQSLKPYGAFIDIGGINGLLHVSQISHDRVSDIATVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKAEEMAQTFRQRIAQAEAMARADMLRFQPESGLTLNADGILGPLTEDLPAEGLDFSEEIPAAED >cds-PLY80769.1 pep primary_assembly:Lsat_Salinas_v7:8:137785769:137788104:1 gene:gene-LSAT_8X95521 transcript:rna-gnl|WGS:NBSK|LSAT_8X95521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVSKLTGNGGWVLFVGLASVFLNQKILWHMHAVVMRSLVSFNMETEVTEADVYKQLSYFCYLLDVDRCIDKNFVEKTNAKDASGNVVLGDTGVHIQQEIKKYFEEIGDPADVKYIDPTYMICACRANTLDGILCTCSVKMLIEKDYGMKYCPYEAGFDVFLSGYVFHLIKILHERGLDLLNGQSESYQVKRVSSNVCKERIKNVEAE >cds-PLY76240.1 pep primary_assembly:Lsat_Salinas_v7:4:52108270:52108647:-1 gene:gene-LSAT_4X35541 transcript:rna-gnl|WGS:NBSK|LSAT_4X35541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTANRDGGICGGENDGNGGLWPSRPQTEEMERFRPGTVAILHRNHDHSDTIIPDSSNDVHDVQHCNERQGKREKDTGERNSRQQYQLAATMAQTAAAAMTLMATVASRWSSDFTDEEQWPVMEES >cds-PLY72066.1 pep primary_assembly:Lsat_Salinas_v7:2:204345577:204346270:-1 gene:gene-LSAT_2X126041 transcript:rna-gnl|WGS:NBSK|LSAT_2X126041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGLQSWVSNHKLTSIGTIWATSVGASMAYTSAKRASIKPSLRLIHARMHAQALTLAVLSGVALYHHYENSGADHNRQNESK >cds-PLY75488.1 pep primary_assembly:Lsat_Salinas_v7:7:75136326:75137050:1 gene:gene-LSAT_7X54120 transcript:rna-gnl|WGS:NBSK|LSAT_7X54120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWNRFILLSVVALTFSYLALAYEPSPLQDFCVADPNSTVKVNGVTCKNPMQVQAADFFFSGLHLRGNTSNQLGSKVTPVFATQLPGLNTLGISMVRIDYAPWGLNPPHTHPRATEILTVLEGTLQVGFVTSNPDNRFITKVLQKGDVFVFPVGLVHFQRNVGNGNAVVIAALSSQNPGAITIANAVFGANPSIPADILAKAFQVDKSVVDQLQAKF >cds-PLY83998.1 pep primary_assembly:Lsat_Salinas_v7:8:37073761:37074671:-1 gene:gene-LSAT_8X29501 transcript:rna-gnl|WGS:NBSK|LSAT_8X29501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLISVFLALFLPCAGMSAVFLVYICLLCYAARHYHRDDPVKPATENGLSSTQLAKLPTTMGKDLVLGTECAVCLDDVEPEQLARMFPGCNHGFHVQCADTWLSKNPVCPVCRNKLDADFFVPPEENPC >cds-PLY96712.1 pep primary_assembly:Lsat_Salinas_v7:6:76505728:76507183:-1 gene:gene-LSAT_6X56420 transcript:rna-gnl|WGS:NBSK|LSAT_6X56420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSKSVTKRVRDAESELNLPPGFRFHPTDEELVVHYLCRKSQTAEEICGVAPPPPIIADVDLYKHDPWELPDLALFGTNEWYFFTPRDRKYPNGSRPNRVTGNGYWKATGADKPIKRKSDPNTIVGIKKALVFYTGKGVKGIKTNWIMHEYRLPMLNTTPSKHTTISKLDDWVLCRLYNKKNNPKVKIIAEDNNIHHIRDLHPTSPLDESQSNNSDSTNSFENSEGEFGGNFEGDVMFLSDLPPESLTKVKGTSEESSIEEMENLMQRGDDGNEWLDSLSLEDLNHWLEAMPPNHDVDELPIIYNPNQQYFFN >cds-PLY92158.1 pep primary_assembly:Lsat_Salinas_v7:8:5359803:5359973:1 gene:gene-LSAT_8X4820 transcript:rna-gnl|WGS:NBSK|LSAT_8X4820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKVGVMVELFEEYQMVMDILRERMRSILNQTTTRTATPFSVFYSHPDSSSFIVFF >cds-PLY76925.1 pep primary_assembly:Lsat_Salinas_v7:9:6275796:6277724:1 gene:gene-LSAT_9X1260 transcript:rna-gnl|WGS:NBSK|LSAT_9X1260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 94, subfamily B, polypeptide 2 [Source:Projected from Arabidopsis thaliana (AT3G01900) UniProtKB/TrEMBL;Acc:Q9S833] METPFSSFIALCSLLSAITYCCLIYNAKLFHKLRCFLLPLLPGDNAPPTYPVIGCLISFYKNRHRLLSWYTDLLSASPTQTVVIRRLGARKTIITANPENVEYMLKTNFINFPKGKPFTDILNDFLGCGIFNVDGELWHAQRKLASHQFSSKSLKEHVETIVKESVKTKLFPLLDSLAGGKAVDLQEVLRRLGFDIVCRLSSGFDPCCLGDEHCFFDEVEILKAFDKAGEISAKREATPISTAWKLKKVLGVGSEKVLKDSVKKIHEFISKIVDERKKNKTESESKDLLSRMIMDGVSEDVIRDMLISFIMAGRDTTSAAMTWLFYALSRHSQVEEKLVNEIGFQFKEDEYEKLKEMKYLQACLCETMRLYPPVAWDSKHAVYDDMLPDGTPVKAGDRVTYFPYGMGRMEKIWGMDRLEFRPDRWYDCKPDENGGTVYLKEVSPFKFPVFHAGPRVCIGKGLANVQMSYVVASIVKRFEIRPVVSGKEAVYLPLLTAHMDGGLKVFIRRRQNGLVPPVKIAR >cds-PLY93902.1 pep primary_assembly:Lsat_Salinas_v7:7:180177450:180180468:1 gene:gene-LSAT_7X106861 transcript:rna-gnl|WGS:NBSK|LSAT_7X106861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRILMGKATILVHLIVSLHIQKIYTTEIHLISDSRFLTEADTLVSPTGIFELGFFRPGTSENKYIGIWYKKSVQTVVWVANRDFPLPGASSGILKIISPGNLVLINDTNVVVWSSNTTSSVNAFAQLDDNGNLILKEIIEEKILWQSFDYPTDTLLPGMKLGRSFLTGKEWHLSSWKSNQDPAPGDFTWSTDTNGFPQILLKQATSIRFRIGPWNGIWFSGGSGVNRNIINADMVINGTEVVYSYLLRNSSVVSRLVLSTSGQLERWVWVADGKKWQLFFQLQRDFCDGYNICYAYGTCSVLNSQRCGCLDETRFVPRKQKGWEIADWSGGCVRRTPLDCRTDGFIKYSHVKLPDTRTSWYNMSMNRKECEEKCIKNCSCMAYSDTDIRGEGSGCLLWFNDLMDIRVFSQSNNGQDIFVRMASSELVHEKQERANLKIILPVVFLGVLLIGSSLTWFHYACRKRHDQQLREGEFLDVGQSQRDTMELPLFSFSTLARATASFSPNNKIGEGGFGSVYKGLLEEGLEIAVKRLSTTSRQGLDEFKNEVICISKLQHRNLVKLLGCSIQGDEKLLIYEYMPNRSLDLCLFDKTRSTQLDWTTRFNIIKGIARGLLYLHQDSRLRIIHRDLKASNILLDKDMNPKISDFGMARSFGGNESQANTQRVVGTYGYMSPEYALDGVFSFKSDVFSFGVLVLEIVSGKRNRGFIHSKHDNNLLGHAWRMHNEGRSLELVDTSLSRSSNSSEVIRSIIVGLLCVQQSPNDRPNMSSVVLMLGNEGIMQKPKQPGFFIERILHGADISSSSYPTSSTNDLTVTEVVAR >cds-PLY61980.1 pep primary_assembly:Lsat_Salinas_v7:5:160223169:160224512:1 gene:gene-LSAT_5X70000 transcript:rna-gnl|WGS:NBSK|LSAT_5X70000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRKRSIRPEGVGGTLPSLLRREIAVPSPPTSFPAFHSFTHGVVFKRGGSFPHPTPSGSYDDDVGQKKPWQLGPKKENTGGEEQDKRSQKASSSGKKDGLKPKPKHYAKSKVPDENGNLVHVSSIICHQCQRNVKGIAVPCSKCTTKLYCVPCIIRWYELYPNMTEVMLYDCCPICRDNCNCNSCLRDVHPKVGSCSSSFVMELIALLTHTQQILNMVRLKEENMKEKAIESKIQDTTPCSHCRLVANL >cds-PLY88962.1 pep primary_assembly:Lsat_Salinas_v7:8:128654395:128656583:-1 gene:gene-LSAT_8X89281 transcript:rna-gnl|WGS:NBSK|LSAT_8X89281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISGSIRSSRTSNSERNFRINGPSTRPPVAVAGVTFRFLASAITVAVVLFFTVSFTFTSSSDVIYSDSNINQGLSFNKNVAGSSRRSVLALKSDPLKPRFDQIRKQADDHRSLALAYATYARKLKLENSKLVRVFADLSRNYTDLLSKPAYKALSDSDANSIDEPTLRLFEKEVKERIKVTRQVIADAKESFDNQLKIQKLKDSIFSVNEQLTKAKKQGAFSSLIAAKSIPKSLHCLTMRLMEERIAHPDKYSDEGKPRPAEFDDPKLYHYAIFSDNVIAASVVVNSAVKNTKEPWKHVFHVVTDKMNLGAMQVMFKMKEYNGAHIEIKAVEDYKFLNSSYVPVLKQLESANLQRFYFENKLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPTLHRVLFLDDDIIVQKDLTGLWKIDMDGKVNGAVETCFGSFHRYSQYMNFSHPLIKEKFNPKACAWAYGMNFFDLDAWRREKCTEEYHYWQNLNENRTLWKLGTLPPGLITFYSTTKPLEKSWHVLGLGYNPSISMEEIHNAAVVHFNGNMKPWLDIAMNQFRPLWTKYVDYDMEFVQACNFGH >cds-PLY90129.1 pep primary_assembly:Lsat_Salinas_v7:7:14625222:14625560:1 gene:gene-LSAT_7X12601 transcript:rna-gnl|WGS:NBSK|LSAT_7X12601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAWEGQVLSEYHSTSDECFCYVVPEQPTVDLARPIATLVGPNALPEDCGSLEIRKNFQQHLCKSLLPPAVSSCNRGPSVQIRPCKDCVQEKECGEISWKHLQLQLEQED >cds-PLY87608.1 pep primary_assembly:Lsat_Salinas_v7:8:112546538:112552675:-1 gene:gene-LSAT_8X78781 transcript:rna-gnl|WGS:NBSK|LSAT_8X78781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARHVILVSVLLSPLLTFLVSGQVFWSVNCGASDFYVDGNAISWMPDTTLVSNGVAQVVQSSNSISTVLDTLRVFTSRKKNCYSNPVTRGEKLLVRASFNYGNYDRLSSPPTFDLHFDGNFWTTVKTSISGLNMYEATYVAKGNSISVCVAQTKPGQFPFISALEVRSVDSNVYKVVDESRALFLLSRFSYGASGILRFPQDRYDRIWIPALAGTKKLTNNAIFIDPTGTNNPPSGIFENAITVDSTADILLLGTVSPSKTPVYITTYFSEVTNLDITGEKRSFQFYETTALKSVSMSDPVLPPYGSMTVRYLYNYTVYSITNISLMSTMSSDLPPLINAIEAFNVSEVLTDGTHSNDVGGLALLQKAFNVLGEWSGDPCLPAPYSWDWLNCSNSTPPRVTSLFLDGFELSGSLPDLRYMDALEIIDLHNNSLTGTIPEFLGTMPNLQQLNLADNQFSGPIPTSLSNNNKLKLTVTGNPSVCASGESCSSSPGSTTNVSGSKKKKSSKLPVILGITIPTFFLIWVAVGIVIIIQKKNKPAANANLPSTTVSGTNENSGGGANGDSTGVHNTGEEIINENNIVVVQ >cds-PLY72273.1 pep primary_assembly:Lsat_Salinas_v7:5:196574779:196575363:1 gene:gene-LSAT_5X87940 transcript:rna-gnl|WGS:NBSK|LSAT_5X87940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEPINPPKSSTRKAFFLSLILLSLFYLIFLSLQSKHPNSSHRTITINGHKIRPEYTSYDIHIHHQLHKITNPKLRKIWTSTNGDQKIRVFTQFFLQLKQEKLLANSSKALCVGAWVGQEVEALKRVGVNDSIGLDLVPYPPIVIKGDFHHQSFDDKAFDFEFSNVFDHALYPDKFVGEIERTLNPGGICVPSK >cds-PLY70391.1 pep primary_assembly:Lsat_Salinas_v7:4:100089965:100092722:1 gene:gene-LSAT_4X64821 transcript:rna-gnl|WGS:NBSK|LSAT_4X64821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSRSYTNLLELASGNFPVMGRERDKRKMPRIMTVPGSINEFDDEPASSVASDNASSLSMDRIIIVANQLPLKAKRRPDNKSWSFTWDDDSLLLRLKDGFPDDMEVLYVGSLNVDVDGIEQDDVAQLLLERFSCVPTFLPPSLIEKFYTGFCKKQLWPLFHYMLPISADHGGRFDRSMWEAYVSANKLFSQKVIEVINPEDDFVWIHDYHLMVLPTFLRRRFNRLRMGFFIHSPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMFGLEYQSKRGYIGLDYYGRTVGIKIMPVGIHMGQIESVMKLADKELRTKELKQQFEGKTVLLGVDDMDIFKGINLKLLAMEQMLKLHPSWQGIVVLIQIANPARGKGGIDLEEIQAEIQESCKRINEEFGKPGYQPIIYIDKPMSVNERVAYYSIAECVVVTAVRDGMNLTPYEYIVCREGIPNSDSDSGPKKSMLVVSEFVGCSPSLSGAIRINPWNVEATAEAMNEAISMGEPEKQMRHEKHYRYVSTHDVAYWSRSFLQDMERSCADHFRKRCWGIGLGFGFRVVSLDPNFRKLSIDDIVSDYLKAKRRAIFLDYDGTVMPQNSIIKTPSREVISLLNTLSGDLNNTVFIVSGRGRESLSRAFSPCRKLGIAAEHGYFIRWCQDVEWETCGQSSDFGWMDMAKPVMKLYTESTDGSSIETKESALVWQYRDADPGFGFAQAKEMLDHLESVLANEPVAVKSGQYIVEEASKGLVAEKIFTSMAENGKQADFVLCVGDDRSDEDMFEIIGNAISRNIISVNTVVFACTVGQKPSKAKYYLDDTNEVILMLQSLAEATDSPALSEDENYGSRYP >cds-PLY91014.1 pep primary_assembly:Lsat_Salinas_v7:7:179034313:179034717:1 gene:gene-LSAT_7X105741 transcript:rna-gnl|WGS:NBSK|LSAT_7X105741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLQHPSPVKRSSISGEKYRDLLNDLPSPSPRIRRLNSSLVRRNSSREMVVPRRRRASKEIVRRALTPPARRVIRRWFDFRPTPSRLSVMSMAA >cds-PLY68715.1 pep primary_assembly:Lsat_Salinas_v7:5:279204893:279206695:1 gene:gene-LSAT_5X144400 transcript:rna-gnl|WGS:NBSK|LSAT_5X144400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDKGYINHQEITTITINQQTKQIETFTRLKMAGSQALVMAVLVMGIAFHSTLIDATIAKSMYFNWGAHHSSILGNGDDLRLVLDQTSGSGIQSKRAFLFGSIEMLIKLVPGNSAGTVTAYYLSSTGAKHDEIDFEFLGNSTGEPYTVHTNIYTQGQGNKEQQFKLWFDPTSGYHNYTIHWNPTQVVWYVDSMPIRVFRNYESEGIAYPNQQGMRVYSSLWNADNWATRGGLVKIDWTSAPFVANYRRFRARACKWNGPVSISLCAIPTPGNWWMSPVYKQLSNGQQAQLKWVRDNYMIYNYCTDFKRFKGQIPAECSMPQF >cds-PLY77194.1 pep primary_assembly:Lsat_Salinas_v7:8:26573219:26578334:1 gene:gene-LSAT_8X21381 transcript:rna-gnl|WGS:NBSK|LSAT_8X21381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMERQRDRDEDDKYKRRRDDDRYRSERDSQDRRRLNNKSDGEDADGRRGRDRNKEIVNDRNMNSSDDEDANDMRRRDRRRGDDYANDRRRRRRRDGWSDNEYKSDKRRDKKKDKGRDEEDDNDRRRRRRDDDEDGNNRRNKEKNEDMRKRGRSKDIRSDDEDGNDKNNRNKNVDKKRSDEHDQSDEEVGEIRKKNEDRSDEEYGEIRKSGRNGKYDDSSDKEGGEIRKRGRKGKDRRSDDEDDRKDRRRERKNDRSDNDDDKYKRRRNRNKDEKNDDEDDRRQDYKRDRKRNGDHKERRRTDNDVLKHKVDQNGDKEKPDEGARFKKLADLQESMTNLGKSGGVYIPPFKLARMMSEFQDKSSMEYQRMTWDALRKSINGLVNKVNDTNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPRFTDVFSALVAVVNSPQLLAVVKFIAHLVNQHVVHELIALELLTTLLENTTDDSVDVVVGFVTECGSILQDLSPRGLHGIFERFRRILHEGEIDKRVQFLIKGLFALRKAKFQGHPAVSPELDLVKLEDQLTHEMDPDFIENEKKFEDLKKTILGDESSEKEEGVGEDSDDDDDEDESSEEEEDEEQMRIKDETETNPVNLRGTIYLTIMSSVDFEEAGHKLLKIKLEPGHEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQENIEKCFVQQYSMIHRLETNKLRMLRNSSRISSGRMPCLGMSWLTFVSLKKTPLPLPGAVWASWDSAVERAVE >cds-PLY70141.1 pep primary_assembly:Lsat_Salinas_v7:3:13523604:13525024:-1 gene:gene-LSAT_3X9260 transcript:rna-gnl|WGS:NBSK|LSAT_3X9260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGEIRSRPSNDGNTISLPDEATRSLEVLETIEGLALDMQMLEEQKFAFKVIPSLQILNITDSHNLLEIRNISMIPYLESLILWNCNNFSRVCETIRELMSLALLNMT >cds-PLY90306.1 pep primary_assembly:Lsat_Salinas_v7:2:199102036:199104457:-1 gene:gene-LSAT_2X120201 transcript:rna-gnl|WGS:NBSK|LSAT_2X120201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLQETHLDLEAGGGGGNHTHRQSITTSVGDRSSRSSDASEESTTVTTEIVGVVSSSSSEEEEKGRQSSVSDFSVVDLENGGGGGVHGDGGSKVHLSKIERDCRICHLSLDLTNPESENGIPIELGCSCKDDLAAAHKHCAEAWFKIKGNKTCEICGSIAHNVAGANEAELMEQWNEANDATSAATAPGGTTATSSESRTFWQGHRFLNFLLACMVFAFVISWLFHFNVPS >cds-PLY62571.1 pep primary_assembly:Lsat_Salinas_v7:9:73066765:73067528:1 gene:gene-LSAT_9X61281 transcript:rna-gnl|WGS:NBSK|LSAT_9X61281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIGGGGEGWWLEEAMNKMNEEEQYEFGLEKVGMELGKRKVKGYFNNNNLFLTVSQSSNVQQLKQDIWGFLSRRKINESYETGIALLAPLEIIVELGVVWISLVDHRPKELAYFYLERFFVSYSTGYDSGTTSRFKLILDHLQLDNQLPLTYMHVLLAPEEASDMNHLVFKMTTTTCNETPDGIQVYPYV >cds-PLY71182.1 pep primary_assembly:Lsat_Salinas_v7:2:132730301:132730504:-1 gene:gene-LSAT_2X63020 transcript:rna-gnl|WGS:NBSK|LSAT_2X63020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSQSPGRIVVEEFKSGEVCSFVGEEIEKQIDSRNIRLIGEIIIFRSMKNWTIGGKTVKEKEGKRMK >cds-PLY89428.1 pep primary_assembly:Lsat_Salinas_v7:4:63510563:63511603:-1 gene:gene-LSAT_4X45640 transcript:rna-gnl|WGS:NBSK|LSAT_4X45640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHLASTGSILGTTDLVAVPWSDLNHDVLLLIMMKFGVTDFISFSGVCKSWRSFALDNKSMFMASIQPMSMSISNRAYEKECYCILEDFKERKFKTLLPHSVGRICVGLTCGYLVLFGRKTRDFWLVNPITGQELHFPDVPNYLHGDDVPIIKAILVFSRSISEFVLVVVHKFTNIIWFSISGKGAWNHVHSTYPVHDLHAFKGKVYTLTNGCRLFEMRLNPEPELTLLDIENFWKPSFLYPEFVVNSGENLYVMDHNVLNPYKFEELDFGKMMWVTLEKTLTFFISNSNCYSAAINPESWADHPSQYKTFSFCLVDSTDKDRKYKLFTDIMWYFPHRCLDVDLID >cds-PLY64043.1 pep primary_assembly:Lsat_Salinas_v7:8:94324349:94325106:1 gene:gene-LSAT_8X66661 transcript:rna-gnl|WGS:NBSK|LSAT_8X66661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSMESTSSLAPSSVMSDQRSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLQNNPDAERDIESGDGDSKPDNGNDKPALVFEEKYLVIMAGQATPTFLATPISSTSSSFGSCSCLSNSTGLTEKLSTSEVVIDKEETSYRLEVRIMENEEAADQLR >cds-PLY90084.1 pep primary_assembly:Lsat_Salinas_v7:6:17095681:17098636:-1 gene:gene-LSAT_6X7620 transcript:rna-gnl|WGS:NBSK|LSAT_6X7620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigC [Source:Projected from Arabidopsis thaliana (AT3G53920) UniProtKB/Swiss-Prot;Acc:O24621] MGVGFRINLKWVLSVQPRLSSNSPSLSSTYASFKSKDIHYDLARASLSMESETSYSDPLSGRTCSSTSRILDIDYSETRNNVKNLLREDKLTFLTSLQANKISQYRLLLENLVALEDTFADSDVVTLEQDILFQLEKLGALKFLHTSFSQIPKHPFPTNSITEKTVKPVVPSTRKIKRKSQRERTSTKANDVCMVEFHSQPITRKNSRRVNFSSKRSSNSKIGKLKFTRNEAELSQGVKMVAELERIRMILEEEVGPRVSFSSWAEAAGVDKKELLENLQFGWCCRDELLRSTRSLVIYLSKNYNGQGIAFKDLIQAGNVGVLQGAERFDRSRGYKFSTYVQYWIKKSLLMLLSRHAREIRIPFTMSKAISRIQKARKALDNGDGRCPNACEIAKFTGLSLAKIESANKCLRVVGSINQPFGQGIDAKFWECTPDLTTMTPEENLMKNYMINEIYSRMKDLDSRERKVLALRFGLKGYQRKTLEEIGRLYGVSKEWIRRIERRALTKLKVDDEETLQSFRHYLYM >cds-PLY63789.1 pep primary_assembly:Lsat_Salinas_v7:6:26808370:26810102:-1 gene:gene-LSAT_6X20560 transcript:rna-gnl|WGS:NBSK|LSAT_6X20560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMYRVASASEYLVITGFGIDDIKIAKKAWILPGQSCSRFDISPVNYTFDVQAMSAEKLPFKLPAVFTIGPRIDDDESLHKYAKLIASHDKQSRHVIELVKGIIEGETRVLAASMTMEEIFKGTKDFKKEVFDKVQLELNQFGLLIYNANVKQMVDEPGQEYFSYLGQKIQQEAANQARIDVSEAKMKGEIGSKLRQGQTLQNAAKIDAETKIIATQRQGQGKKEEIKVKTEVKVFENQREAEVAEANAELAMKKAKWAKDSQVAEVEASKAVALREAELQKEVEIMNALTQTEKLKAEFLSKASVEYETKVQEANWELYRKQKAAEAILYEKEKQAEAQKAMAEATLYSRQQVADGELYAKQKEAEGLVALAQAQGTYIRTLSGAMGGNYGALRDYLMINGGMYQEIAKINGEAVKGLQPKISIWTGANGGGDGGDGGAMKEVAGVYKMLPPLFNTVHEQTGMLPPTWMGKITESK >cds-PLY74418.1 pep primary_assembly:Lsat_Salinas_v7:2:14267394:14270364:1 gene:gene-LSAT_2X6741 transcript:rna-gnl|WGS:NBSK|LSAT_2X6741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prolyl 4-hydroxylase 3 [Source:Projected from Arabidopsis thaliana (AT1G20270) UniProtKB/Swiss-Prot;Acc:Q9LN20] MRKGRNYLGRHHHGKRSSTMALVLSMLLMLTIVLLVLLALGIFSLPIGSDDGSSSYLRDHIIFKRKRFLIGDGDEMGKRGDQWTEILSWEPRAFVYHNFLSKEECEYLINLAKPHMAKSTVVDSKTGQSKDSRVRTSSGTFLRRGRDKIIRNIEQRIADFTFIPVEHGEGLQVLHYEEGQKYEPHFDYFLDEFNTKNGGQRIATVLMYLSDVEEGGETVFPAAQGNFSSHPEWNNLSECAKKGVHVKPKMGDALLFWSMRPDATLDPTSLHGGCPVIKGHKWSSTKWMHVEEYKI >cds-PLY90200.1 pep primary_assembly:Lsat_Salinas_v7:9:202328827:202336296:1 gene:gene-LSAT_9X125301 transcript:rna-gnl|WGS:NBSK|LSAT_9X125301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPIQPRDKYPKAKIEEPNLRLPKALLKPDGDPNDDLCYMKIFEDDTKRAQHLAESIAAGGKLDRKVYRIRNKELAKFAGKDFEGMSEIHKASKATIGILADAESNLHSHSYLDLVGTVEEVNIAEGLILDNTLKTYSTLAYPVILMPPTIYGDYIIIPVNKVSRVLGTYSINILRMEMECGAWIKIEAGAPPGGSEQEKLINVFGPRENVMKAIKLIRAITYEPTEASAAQEELWREFNEPCWREYFERNELAEEAQPQVEEYSGAPERQAEEGGSGHISERATQESEYSSEKKEKRQTSTFLRMKPEGSKSKETVEGEFKIPKWSQTFGHIKPVHDESKILGKEEGGSGVTEKEHVDEPESESEKGEAKLKEYSGELEKGKQAEEGGIIEPKIEKNEHDVKEAEAESLKKKSKKLIIRLKKSGGGEGSKQTQTKEGKDKESGEGKQAEEGGPIVIEKEKQPEHVREEAHDSAKTEDDKEGDYRSKEKRQVEDVEQAKSEKEKEDDARRAQHLAEAIAGGAKLDRKVYRIRNKELVKFIGKDFEGMSEVHKASKATIGILADSESNLHSHSYIDLVGTVEEVNSAEGLILDNILKTYSTLAYPVILMPPTIYGDHIIIPVNKVIGVLGSFGTNILRMEMESGAWIKIEAGAPPGGSERERLINVFGPRENVSKAIKLIRAVTYEPTEGSAAQEELWREFNEPCWREFFERNELAEEAAMQQVAGESEKQKQQQPVLSDHHSKNKSGDDGESEKTKSKKPLSAQHQHGLSQTFLRRKSLSEGSKRTSLSAGEEPSLSQTFGRLKIGGGKSGDQLEKGKKQQAEEGGSNSNVKEKEHHAKEEAEGDEPKEKSDKDVSAKNKE >cds-PLY82043.1 pep primary_assembly:Lsat_Salinas_v7:9:155720745:155722385:-1 gene:gene-LSAT_9X97761 transcript:rna-gnl|WGS:NBSK|LSAT_9X97761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPLDHVEFRRQGHMIIDFLADYYENINNYPVRSQVNPGYLLERLPDHAPFIPESIESILNDVEKDIIPGLTHWQSPNFFAYFASSGSTASFLGEMLMNGFNVVGFNWLASPAATELEIVVMKWLSKLLQLPPAFSFSGGGGGVLLGTTCEAFICTLIAARDKMLDQIGRENNEKLVVYCSDQTHVGLMKAAKIVGIKPENVRQVMTTKSENYKLSPQRLEELIKRDVEAGLFPLYLCATIGTTSTTTVDPLGPLCEVSSKYNIWVHVDAAYAGSACICPEFRHFLDGVEGASSFSFNAHKWLLTNLACCCLWVKDKSALTKSLSTNSEYLKNKATESGEVVDFKDWQITLTRRFQALKLWMVLRSYGVTGLRQFLRNHVKMAKDLERLVTMDSRFEIVAPRYFSVVCFRVSPYVIHQHHGNQHEVNEFNSKLLEAINATGLVYMTQSVVDGVYFIRFSIGATLTEDRHVKMAWELVQDQATSMLGTLAPKKASNGKHTKQIEDHFM >cds-PLY87586.1 pep primary_assembly:Lsat_Salinas_v7:8:111336993:111339825:-1 gene:gene-LSAT_8X77900 transcript:rna-gnl|WGS:NBSK|LSAT_8X77900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGAALDMSLDDLIKNNKKSAGGDGGGRGRGRGRGAGPGPARRFNNRGANRATPYGGPKAPDAAWRHDMFGVPDQVMGNVGPGGRPSAIETGTKLYISNLDYGVSNDDIKELFAEVGDLKKYSIHYDRSGRSKGTAEIVYSRRQDALAAVKRYNNVQLDGKPMKIEIVGLNIVAPVAGLPLPNNSFGNMNGFPRSGQGRVGGFGGRSGGGGGGGGFGRGRGGRGRGGGGGRGGGGGGGGEKISADDLDADLEKYHQESMQTN >cds-PLY75535.1 pep primary_assembly:Lsat_Salinas_v7:9:33304392:33306711:-1 gene:gene-LSAT_9X30961 transcript:rna-gnl|WGS:NBSK|LSAT_9X30961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTSSSSSISQSSSNQFVFVSLLIFLWYSSNIGVLLLNKFLLSSYGFRFPIFLTMCHMSASGILSYISIVFLKVVPFQKIKSRSQFLRIATLSVVFCGSVVGGNISLRFLPVSFNQAIGATTPFFTALFAYMMTFKREAWITYGALVPVVTGVVIASGGEPSFQLYGFIMCISATAARAFKSVLQGILLSSEGEKLNSMNLLLYMSPMAVVFLLPTALLMEPDVLDATIALGLKHRFMWLLLSVNSTMAYAANLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFRNPVTPLGIAGYSLTVMGVIAYGEAKRRYK >cds-PLY94257.1 pep primary_assembly:Lsat_Salinas_v7:8:70021951:70027907:1 gene:gene-LSAT_8X50141 transcript:rna-gnl|WGS:NBSK|LSAT_8X50141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:APC2 [Source:Projected from Arabidopsis thaliana (AT2G04660) UniProtKB/TrEMBL;Acc:A0A178VYA9] MTADALSSVCNLGVLDSLSEASISEILESWNSFCIITETIVKGDDTDLSSYYSKFQSHVSSLCKYGLRDLVEEHFLLSLQETLEKHSAPKFWKNFDANSNGIDLETNDKDMQEDVVELLLCKALEEISLEKQYQEKCLLMLVNSLQICQDYPSDVENVLFSKYQLIVSSVLMTTLPRQFPEVLHWYFKGRLEELSTIMAGDYEENNESQDKNDMENNRYGKMDIDGSFDQKKKLEDKLVRNIGMVVHNLRNLGFTSMTEDAYASAIFLLLKAKVYDLAGDDYRSTVLESIKGWIQAVPLQFLHALLDYLGDSKIYLSPSSTKSPLASNPSSCYPGTSKPSEGIIRWQLRLEYFAYETLQDLRIAKLFEIIVDYPDSAPAIEDLKQCLEYTGQHSKLVDSFISALRYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIREYLRGRKDTIKCIVTMLTDGSGGNNNGPGNNGDSLLEEINRDEENQENTGLDDDINTDDKQAWIDVQRWEPDPVEADPQKGSRYRRKVDVLGMIVGIIGSKDQLVNEYRVMLAEKLLNKTDYDIDTEIRTLELLKIHFGENSMQKCEIMLNDLIGSKRINTNVKATINQLSKTGAESREHETPFDIINATIISSNFWPPIQDEDVNIPEPMDKLLNDYAKRFHEIKTPRKLLWKKNLGTVKLELEFEDRTLQFTVTPVHASIIMKFQDQTSWSCKNLADAIGVPVDTLQRRINFWISKGIVSESSSNDPNDHLYTLVDSISDGGKTVISNEELVADDDSERSVASVEDQLRKEMTVYEKFITGMLKNFGSMALDRIHNSLKMFCSDPTYDKSLQQLQCFLSWLVAEEKLEIRDDTYFLKK >cds-PLY90136.1 pep primary_assembly:Lsat_Salinas_v7:7:13886443:13891128:-1 gene:gene-LSAT_7X11001 transcript:rna-gnl|WGS:NBSK|LSAT_7X11001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLKKASTLVSFKLEHMGSRGTNILLSRASRIKSKLQSVLEAKILEVEDVSYQHAGHAAVKDNANETHFNVKVVSGKFDGQNLVKRHRMVYDALADELESGLHAISIVAKTPKEAGL >cds-PLY97582.1 pep primary_assembly:Lsat_Salinas_v7:5:234384834:234387197:1 gene:gene-LSAT_5X116820 transcript:rna-gnl|WGS:NBSK|LSAT_5X116820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAMCSPLGPPACEKDAKALQFIEEMTRNADAVQENVLAEILRRNAETEYLRLYNLGGATDRETFKSRIPMVTYEDLQPIIQRIADGDRSPILSAHPISEFLTSSGTSAGERKLMPTIREELDRRQLLYSLLMPVMNLYMPGLDKGKGLYFLFVKSETKTPGGLLARPVLTSYYKSDHFKTRAFDPYNVYTSPNEAILCPDSFQSMYSQMLCGLYEREQVLRLGAIFASGLLRAIRFLQLNWPELAHDIRTGTLNSKISDPDIRRCMTRVLRSDPDLADFIESECSKDNWERIITRMWPNTKYLEVIVTGAMAQYIPTLDYYSGGLPKVCTMYASSECYFGLNLNPMCKPSEVSYTIMPNMAYFEFLPHDSNSPTDATSDKLVDLVNVEVGKEYELVITTYAGLCRYRVGDILRVTGFHNSAPQFHFVRRNNVLLSIDSDKTDEAELQSAVENASKLLNEFKTSVVEYTSYADTKTIPGHYVIYWELLVKDSANFPKDEVLERCCLAMEESLNSVYRQGRVECNSIGPLEIRVVKNGTFEELMDYAISRGASINQYKVPRCVNFTPIMELLDSRVLSSHFSQSLPHWTPERRR >cds-PLY63441.1 pep primary_assembly:Lsat_Salinas_v7:7:150338181:150340073:-1 gene:gene-LSAT_7X89780 transcript:rna-gnl|WGS:NBSK|LSAT_7X89780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAHVSKRSAAATTSSDSPAITLKNTQQIITELNAYEAACRSDTDLQSFDAMLHARANNVINTLAVGVEVRALSFDTLKEVTECLLEMNQEVVKVILHCKKDIWKNQELFELVEEYFENSLQTLDFCSALDKCLKRVRDSQLLILVALQHFDDDEGVEENRYEKTLEDLKNFKDAGDPFTEEFFKIFHSVYRQQMVMLEKLQAKKTKLDKKVKYLQTWRKVSSVIFVATFAAVLICSVVAAAMAAPPXXXXXXHPSWINGEMD >cds-PLY93006.1 pep primary_assembly:Lsat_Salinas_v7:4:192733963:192735967:1 gene:gene-LSAT_4X110641 transcript:rna-gnl|WGS:NBSK|LSAT_4X110641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSPLSTSVQFKNISPFLSHLTASSSPQGHSSSTKMVKICCIGAGYVGGPTMAVIAHKCPSIEVAVVDISVSRITAWNSDQLPIYEPGLDDVVKSCRGKNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAINDLFAPDRVLIGGRETPEGQKAIQALKNVYANWVPEERIICTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVAEVSHAVGKDSRIGSKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKVNDYQKSRFVNRIVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKARLSIYDPQVTEDQIQRDLSMNKFDWDHPVHLQPMSPSSVKQVSVEWDAYAAAKGAHALCILTEWDEFKKLDYRRIYESMPKPAFVFDGRNVVKADELREIGFVVYSIGKPLDPWLKDMPAVA >cds-PLY85257.1 pep primary_assembly:Lsat_Salinas_v7:3:71522696:71525763:-1 gene:gene-LSAT_3X54600 transcript:rna-gnl|WGS:NBSK|LSAT_3X54600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMYTLLLLHILALFGAVLGDVGLVSPSQLEVFVDEIPDMPRIKGYDIHHGVPVSKTLKISMFQKYWKFHKDLPLTKVFAFGETKRTATVPGPTIETVHGVSTHVTWRNLLPSKHILPWDPTIPTAIPRTNSGVPTVVHLHGGIDEPESDGNSKSWFTARFKERGPTWTKKTYHYHNQQQPGNLFYHDHAMGLTRVNLLAGLFGAYIIRHHDVESPLGLPSGDEFDRPLVVFDRSFRTNGSLYMDPTGNNPSIHPQWQPEYFGDAIVVNGKAWPYMNVRRRKYRFRIINASNARFFKFFFSNGLRFIHVGSDSVYSEQSVYTKEILLGPSEIADVVVDFSKSKSNSVILQNGAAYPYPGGDPVNESNGKVMKFVINSKREFDSSRVPKNLLKYPMPDLSTVSKTRYIAMYEYTSAIDEPTHLYINAMSYDDPVTEKPKVGTTEIWNVINLTEDNHPLHIHLGLFKVLDQTNITDIEKFRECMTKLNNAEKCQIEKYARGEKLSVVPYEKGWKNVYKMQPGYVTKILVKFAYVHTNASYPFDATAEPGYVYHCHILDHEDNVMMRPLKLVK >cds-PLY91166.1 pep primary_assembly:Lsat_Salinas_v7:4:163802530:163803699:1 gene:gene-LSAT_4X97800 transcript:rna-gnl|WGS:NBSK|LSAT_4X97800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTLLFLLLTATTAIASPNIIHLLRPHSGSGGHKHSNLNCLSWRLAVETNNLQKWTQVPQACEDYIGHYMLGKQYRRDCDLVAAEAYEYAKALNLTGDGKDVWVFDIDETTLSNLPYYARDTVAFGAIPFNSTAFNAWVVEATAPAIPGSLKLYKKLLKLGLKIVFLTGSEEIYMEARVKNLKAVGYTTWEKLIMKGVGEGSGVVYKSKKRKELEEGGYRIRGNMGDQWSDLLGSNAGDRTFKVPDPMYYIG >cds-PLY97598.1 pep primary_assembly:Lsat_Salinas_v7:5:236551236:236551688:1 gene:gene-LSAT_5X115981 transcript:rna-gnl|WGS:NBSK|LSAT_5X115981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFDVGFNSLTGPIPHSFQCLKNMELLNLAHNKFYRDVPESVCSLPNLSNFTLSYNYFTQVGPQCRKLIRNGVLDVKMNCISDLPNQRSKAECAHFFLNLPSCPDEKSLTYVPCSKEYSVNQSESSAVKWATPSPTPAPVVRSYGALSPH >cds-PLY99068.1 pep primary_assembly:Lsat_Salinas_v7:6:149229118:149230375:1 gene:gene-LSAT_6X89500 transcript:rna-gnl|WGS:NBSK|LSAT_6X89500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTVFTRIFEGDLISTQVPVKLVHLEGLYELFVSKFAFSSSNLSTHFFKVHFTMKLTYTILTYDEDNEVLNSESEITESGGDNGGNNRNKLQWDDDCPWSEWYTAEDPVKEKMVESSMEMAELENASIHDAKNCTGDYTRNMVGFASQLRLLIDALAMSLDAKFMEDFVLLAIYIEKKRQQDTTGDNSSDTPSVEANEDFSYPSGAKEEGLVKESDR >cds-PLY82620.1 pep primary_assembly:Lsat_Salinas_v7:8:175711866:175713179:1 gene:gene-LSAT_8X114961 transcript:rna-gnl|WGS:NBSK|LSAT_8X114961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGACFSKNTKTTALQPASPQSDLKSSPQQPQNTKNDQQVFKKEIFVIKHRISHELKRHEDEDDPNVLNPSSTAGDAQSRSSGGARTRASSCTKEEVDAILIQCGRLSRNNSSGSQNPNRRRRYSRSKRSFDSDLDASLKNDDGDDDKTENDEALHNHYHRHREEVRVSSPSRMRRHRSCSKERRISISPSRRSESPFRSVNTGATDVGSSNRPAKMVSVPATNKSNNGGSEQPNTSTVKRIHVKRNVSPARTHLQVFTDNPSQEQIDRGETDPLRHRRKSLAEIDNNAARTPQSSNPKMAAMVPPRTRRLSRDLDMNTETISDPTPPSYASLLLEDIHNFHQKNNNSGGAATAQPAFELPACVTKACSIMDAVADLNSNNFAGFRESNSGEPITREQKLSQLGGFQRELRDYYPKKGIGRGSRSAYSIADGKMVALT >cds-PLY61984.1 pep primary_assembly:Lsat_Salinas_v7:5:160541624:160554471:1 gene:gene-LSAT_5X71781 transcript:rna-gnl|WGS:NBSK|LSAT_5X71781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTITENRNNEINHMVMNVEVAVNDQERCRVGVDADGVPSSLPSPHILKERFKTINGDGGEEQSGISESEAEGKVNVQKNRGRKRIRKGNWISDTATKSQEKKNKSRGRLKQVVTKKEEKMAVGVVKLSDDDNKSSEEGMVDAETDLAIPDREGNVINQVVNNKDDQKESGRPKRSTRNEVINYAIFDYYYDFEEDVRKKTHQRSVPKKQKMGEEEQDKEDGDGGIEHNGGSKSEADGKKGVQKKKFRKRGRKAKNGNIVTATKSPEKKNKSRQKKLCVSTKEVRKMTIGVVKSSDDDNKSPEETEEDMVDAKTSIATPDREGNITNEVINSKYVKKESERPTRSTRNADISYAEDGRKKKHLQSVPKKEKRSKKASSPIKKEESRPRCAARHKVPDENGNLVYVPSNMCHQCQRNDSGRVVRCQKCTTKRYCVPCMTRWYPNMTEEMFAECCPVCLDNCNCKGCLRDVHPKVKQKIDFKPDDDQKIRYSVYILHVLLPFLKRLNKEHIKEKELESKIQGSILSKVKLKKAKCSPNERMYCDFCKTSIFDLHRSCPSCHYDLCLECCWELRDGKPMGNKEEVMTDFNDPGPDYLHGGNPLKAKKAVKDPPQKKKQTHNWKSLDDGRIPCPPKRMGGCDRGILELVHIKPRDSVSKLLEKAQKLLKTHKLEEDMRDMPEKWCTCSSDAGDQQLRKAASRENSRDNYLYCPRAIDIQHGDLKHFQWHWSKGEPVIVSNVLETTLGLSWEPMVMWRAFRQMTNTKHDKLLDVSALNCLDWCEFDINVHKFFTGYLGGRYDKEGWPEILKLKDWPPSSLFEERLPRHGVEFITCLPFKEYTHPRDGYLNLAVKLPEKSCKPDMGPKTYIAYGVHQELGRGDSVTKLHCDMSDAVNVLTHTATVTPEPENHEKINQLKQRHKAQDERELFRLVGKKHQDVDDMKDATAEVVVGLKKRGRPFKKIIESKVEKNTSDVNMGETSARVYACVYSRRKRKSGDETDSCLVTSTSDQADESALKEDRCEGPKSKTDEKDKRKRKDSTKKVEGQNGNRRSTRNCRKQVKASVTNNEETEASEEVKSKRKDSKKRVVGQNGIKGPKRKGEKKMISSDGEEVDNQDDISGSCVDGFDLGDGGALWDIFRREDSPKLEKYLKKHFKEFRHIFCRPLEQVIHPIHDQTFYLTMEHKRKLKEEFGIEAWTFVQKLGDAVFIPAGCAHQVRNLKSCIKVALDFVSPENVGECIQLTEDFRVLPQNHRAKEDKLEVKKMALNAVEAAVKDLENFDTK >cds-PLY82650.1 pep primary_assembly:Lsat_Salinas_v7:5:84501917:84507848:-1 gene:gene-LSAT_5X39460 transcript:rna-gnl|WGS:NBSK|LSAT_5X39460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSIYKSFKYDVFLSFRGEDTRTNFVDHLYYALQQKNIHTYKDDERIKKGKRICDELIRSIEDSKLYIIVFSKNYASSSWCLDELVKIMECHKTNEHTAYPVFYDLEPSEVRKQSGAVEKAFAKHENEEAAGKWREALKDAADLAGWELKKTVDGHEAKFIQKIVEVLSLELRAINFNIDEKLVGMETRMNDVLSSLGTCSNDVRMIGIKGIGGGGKTTLARAVFDQISFQFEGKSFVENVREVSKASLSGLKSLQNQILSDVLNDQGISVSSVYDGKNMMKRRMPGKKVLLVLDDVDHIEQLEALAGETNWFKPGSRIIITTRDEQVLVAHGVKSIHNVRLLLDEEAICLFSRYAFGRVIQNLGYEELSQQVVHYAAGLPLTLKVLGSFLRGKNELEWTDALERLKIIPLMETLKKLEISYDDLEEDYKEIFLNVASILKGWSKASTIKALESCGFHARNGLRVLEQKSLITVDNVRGEEYLSMHDHIEEMGRNIIRRSHPDNPNKHSRLWINKEIVDILANDLGTEATRYIQLYTTEISPQIVMKGLRKMKELRFLHVSVGSIDASKIDCFCSNLKFLRYLCWNHYPFRSLPKTFQADNLVALYMTESRIVQLWEGGERKLLNKLRFLDLSYSMLRTLDLGLTPNIEMLNLKACHNLVEVHMIGGCLKLITVDLGFSMLRTLDLGSAPNIERLDLEHCSELIELRLSNICLNLRSLKLNNLKLRNLDIGLTPCLEYLDLKECYNLVELHMADECLKKLVYLDLSGCLRFKSFKFEINMDASCSEVESLEVGPLAELHLSVGPILVLCPVHPDSNLPKFQFKCFYREDQPLLTRNLEKLISVGMCVCTNLYTFSRSICGLQRLKKLKLHGGIPEAPRDLGQLEYLEQLDLSHTHIKHLPDSICMLKNLKSLKLKSCVLLEKLPEDLGRLECLKKLTVTSAMIKDVPESICMLKQLESLKLSYCSFLEKLPEDLGQLECLKKLDLSSTNIKGIPDSICMLKDLESLDLSCVLLEKLPEDLGQLEYLDYLDLSYCKFLQDIPDSICQMKCLTYLWLCYSPQLKKLPEELGTLEWLKYLDLRGTCISHLPQSILLWKGLCITTGKVRLDLSGPYCYVRVSKDDFERLRRPGKKAKIN >cds-PLY63977.1 pep primary_assembly:Lsat_Salinas_v7:4:371274379:371275871:-1 gene:gene-LSAT_4X182821 transcript:rna-gnl|WGS:NBSK|LSAT_4X182821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNQSDSKKFVHAVRTALKAVNHLVESELTSKCQTVDPAARVPNVDMANSGIKPGVEIPKGNTIRVLIDRMNPIELLLKLDTYATIDPGLKMVELELELTVVKSWLGAFYLQKGGYLLPEYHDPMCIEGLEDKSRIGINDSEVNGPSECGENGDDKLYQKRKQKSVAELLSVEDEPPKMKKTKPVKDKDSGGSGRKRKAALVVVVPESPESDGGGGGGGGVEEDAMYSPRQRKKSKYLSPPYLSPVWSGKLPGSGSGSFKEPKPEPEMEKEKEKEKEKDSEATDKQVEESSSSSRRKPRQKRRVREGSDDQEGKIVIDGNVNVDKVLNGLLLAALDPSGSVEKKKLGVVTRFVSSFRSSIFENGSEFKPEPEVEESDVGFVKRKIEEMREMVNGCEEEDEISGEVKGRLEGVIMEVLERVKK >cds-PLY62648.1 pep primary_assembly:Lsat_Salinas_v7:3:37250827:37252797:1 gene:gene-LSAT_3X27640 transcript:rna-gnl|WGS:NBSK|LSAT_3X27640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPYPLQQQWLFPPLLQASGLACINKTPKKLNFNPSKTSPILLFLFPLSSSSSRILILNSSSSNFPPPILEDNSADSPLLELDLKLQDSQNSSVPDLDDLNLLIRNLLKDSKTEERGFECYQKAKRNPDFVPQRSTLHHLIRYSMRLNNWNLVWSISEDFRKFNVYPDASNCSRLVSSCIRNRRFKLANNLLQILQSEKEVAGVSFNSAMKAYNKLHMYSSAVNVFEIMKSSSIKLDAESYSHAMEAYLKMGKNETVLSLFKEFESSEIEQTSFCTQIYRVLCESLGRLGKPFEALDFFREMTKKGFPEDPSFYSSLISSFVSIQEVKTAEELLQEAEAKKMLRDPSVFLKLVLMYIELPDSMEKTLDIVSSMKKMNLRVSDCIFCAIVNGFSKKKGPNSAIKVYQDLVSEGHEPGQVTYASILNIYCRIGFYEKAEGVFSEMDKKGFDKCVVAYSSMIAMYGKTNRIRDAMRLVAKMKEKGVEPNVWIYNSLLDMHGKVLNLRQVEKIWKEMKRRKVMPDKVSYTSVISAYSKAKEFERCIKYYNEYRLNGGGIDRAMGGIMVGVYSKMSRVDEVVKLLQDMKMEGTKLDARLYRSSLHALRDAGVQIQGKWLEESFGP >cds-PLY65798.1 pep primary_assembly:Lsat_Salinas_v7:5:272233610:272235268:1 gene:gene-LSAT_5X143521 transcript:rna-gnl|WGS:NBSK|LSAT_5X143521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVWFQCEDCGDNLKKPKLANHFRACSANRLSCIDCGQMFGQQSVQGHTQCITEMEKYGPKGQTKPTGTPGKPNSASKPKPEVDINVGLSERPPWFCSLCNTSATSKQTLLSHADGKKHRAKARAFHASKQPPKETEEHPTPNNEGKSENVEETHTPESKKRKLEAFEKNGELGNGEVIHDANVESEEPKKVKCKKEDGEKRIKWKKIITSVLKSNPDGSMKMRKLRTQVLKTLKESGSTVDENELVETLEHKINSSSKFAVNGKYVQLATKS >cds-PLY96667.1 pep primary_assembly:Lsat_Salinas_v7:7:44660964:44663113:-1 gene:gene-LSAT_7X32760 transcript:rna-gnl|WGS:NBSK|LSAT_7X32760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIYAQAPLAKVVLVNGVAKDNEDQNPGKDLGNVNDPKNLVNEVVKKKRKCKICFGLGHDSRNFPFGLKKA >cds-PLY73228.1 pep primary_assembly:Lsat_Salinas_v7:8:178250240:178253144:-1 gene:gene-LSAT_8X115761 transcript:rna-gnl|WGS:NBSK|LSAT_8X115761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMIMKSNTRLYRGSHKTAKHYSKFRCESSAKSSLLAGTMEELQHESWKPLKGIVLCSANYVPLTPISFLDRAALVYSKRISIIYGSIKYTWEETHRRCMKLASALNNFGVSRGDVVATLAPNVPAMLELHFAIPMAGATICPFDIRLDPNMLSTLLNHSKPKILFVDYQLQQIATEAVNLLKNTHSKAPLIIVISEPNYRRPFKHIRKHDYESFLEDGVAEFSIVRPHHECDPISLNYTYGTTSSPEGVICSHRGAYLTSLSSMFIHGMIEMPTYLWTLPMFQCNGWSFTWGLAIVGGTNVCLRSVTPKEIFDNIVIHNVSHYMCGAPTITKATKEAFEGGWNRSGDLPIEHRNGGIQVMDHSNNIVISGGENISMIQVETVIYSHPAVLEVEVVAKPDEHWGQTPCAFVKLKEGVHVDANEIIDYFRDRIPHYMAPMTVIFEDLPRNLSAKVQKFLLKEKAKNLGGTFFLPKTRPS >cds-PLY99927.1 pep primary_assembly:Lsat_Salinas_v7:7:15791022:15793031:-1 gene:gene-LSAT_7X12781 transcript:rna-gnl|WGS:NBSK|LSAT_7X12781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMNVGAKLIRWKWRWTGSLSGYPFIFSSHRRRTFCIQLHSYKSNPPYPFRSPDAGYYKPKAFPNTLYDYNIRLTELGRFGKVHDARKLFDEMPQRDIVSYVSMITVYIKNNDLFSAEALFHTIPERNIVADSAMIDAYTKAGRMDDAWRIFDQMPRRNVYSWTSLISGLFRNGLVSEGQKLFDEMPGKNVVSWTSVILGYAHNGLIDQARAVFDQTPNKNVVVWTAMIKVYIDNLQTDEALKLFNSMPLRNLYSWNIMIQGCFNDNRTKEAIDLFTSMPKRNHVSWTTMVTGLAQNSSTKLARQYFNQMPRKDIAAWNAMITAYTNEGLMTEANELFHRMPERNVVSWNAIIGGYAKAGPRGESFKHLIFLLHSHIRPNECTLTSVVTSCDDCVDLSQTHSLAIRLGLEHEISLANSLITMYAKCGDLESAKLAFDTFHTKDIVSWTAMMLAYSTHGFGPHAIQIFARMLRLGFHPDEITFLALLTACSHTGLVDKGWRFFNSMMHVYNVGPKSEHYACLVDILGRAGQLDKALKVVDQMPHDMRDVAVLGALLGACKLHGDDVLASEIGQKVIDFEPNESGGYVLLANVFAASGRWEEVAKVRREMKVKVSSKLPGFSQVYVKGCNYVFFVGDRSHTNFHEINEMLRDKILPEMKLRSKCYFVEDLM >cds-PLY66669.1 pep primary_assembly:Lsat_Salinas_v7:1:53331985:53334317:1 gene:gene-LSAT_1X46400 transcript:rna-gnl|WGS:NBSK|LSAT_1X46400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTWTSPADAMPWVGLYICLASLVCTLAMVADVFRGFGQWKLWFPCRFFTINAASITLIAITTKLLVDLSTNVSDNHENIAKCVSIMFLITMLANFLPSLGLMNDKELLLNTVALCILILTINVNMWIQVIVAQSSLVSWTTILLLILLIPWPFSVALTVSASRRALQQRYKELHSLASNRQEIKFSSKGLKRYVKQYWMMADTGNPQFVIACSAVSCAFGDVCLVLAFNSVIILVNQFVDISNFQNYDSDYKWSVNVIITLQSLGVILGSIAPIFRCLTTTGHFNLSMKWSKHHINVFRVEKHWIQTLQLWKSSPVPTHIPGSHCKKVFHKIRNLILNFCIALQITIVVICKTECLIPRFFLILFSYCYHFGKSYLKRFNEEPNASNSNVVLDTEEYTGYVLQIEPDAKLSKRILRNALNSITRLLQESEKKEPRKLMKLLEKSKGFIGIVEFDNDQVPPLHPEEVQNCWSLVAVTLTTIALALPDIANCHVKGLLSSMKEGLQFVRHVEESLNANDELVKARKAARHVWTDVEVYCKWLQIDLQKKAHKGKTSKEILKWLGDEAAKIVIQFKTRKNVCLDHSLRKFIAASSMYRISQTILLHCNEQENWPTDDELFEFISIIIADLLCACFTNLPRVITMKCHDDAIEKREESIQIAAQLLGRSKKILKMLKKRQLPNLDMESMGYIDKWHALSRSQIPNCCFSSARSQLAFSSSSESLVVTII >cds-PLY75805.1 pep primary_assembly:Lsat_Salinas_v7:3:68479357:68481558:-1 gene:gene-LSAT_3X52060 transcript:rna-gnl|WGS:NBSK|LSAT_3X52060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPHEPYWRTNTSFSPPPPRWDFRFHSEVESFDSQEGNGLYGSSTSSNSRESRNWLRTNYLPNHRHSNSDGIGAFFSTPSDLSPAQQWTPPAIQEINLHERVLVPLSFSPTSEGLSVPHENRASISSDSDSSDFAGRGCFMSKPVHPVNSGIHDSDDRHRSSCEFEFSDVSEPIESDSLDRLSGSFDKCGFCERLLSQRSPWSSRQIIRSGDFPVTGVLSCRHVYHVECLDQTTPKTHKGDPPCPICSKSESKKDSPEQRVLSKLKNGVPCNAMLLINQNRIKKGVLMKGSSSKEFAGKFKGFSQWGGGGGGDGVGSSKSASSSRKKL >cds-PLY91572.1 pep primary_assembly:Lsat_Salinas_v7:1:11327862:11329832:-1 gene:gene-LSAT_1X9561 transcript:rna-gnl|WGS:NBSK|LSAT_1X9561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEETGGGGCSDDARTCPRGHWRPAEDEKLRQLVERYGPQNWNSIAEKLQGRSGKSCRLRWFNQLDPRINRRPFTEEEEERLLAAHRVHGNRWALISRLFPGRTDNAVKNHWHVIMARKQREKSKICEKEGCHDDLHSRNLNTTTEKGVHQRDANSTKYSASISFSTSYSQFKQFQNLNKERNSSWSFTSPTSTMIATHNRSLSSIDLSLTARREDTDFCSSRLHHHSLSDQNTAFQNYLSCSNGGHRDTRAYGQQCHHRVIFSSPFQSTTHDHAVLKRELLVSSKNNMQTQVKAIATLEKHKEEDETTEYKDDHVPFIDFLGVGISS >cds-PLY86157.1 pep primary_assembly:Lsat_Salinas_v7:6:155945650:155946371:1 gene:gene-LSAT_6X95040 transcript:rna-gnl|WGS:NBSK|LSAT_6X95040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKAYQFMNTIPTIATLSKFLTPPPYLNLLVLILEGTITMDVILNPKWEKDFSDIRWERFGSKDRWKEKKGWRIDYSVIRGQTPYVCFEEANIDVEKEENI >cds-PLY96983.1 pep primary_assembly:Lsat_Salinas_v7:2:33122084:33122808:-1 gene:gene-LSAT_2X16880 transcript:rna-gnl|WGS:NBSK|LSAT_2X16880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVEGNQQTILSNDQKNNTDKKSNPKLTLLPLIALIFYEVSRVPFGVEDSVKSAGGALVSLLGFLIFPIFWSIPEALITAELATSFPENGGYVIWISSAFDPFWGFQEGGLHIFGFSVVLLASLSLLPFAVMGILSIPKIRPKRWITLDFKKVQWRGYFNSMFWNLNYWDKASTLASEVENPSRTFLKA >cds-PLY76263.1 pep primary_assembly:Lsat_Salinas_v7:8:33714721:33720347:-1 gene:gene-LSAT_8X26601 transcript:rna-gnl|WGS:NBSK|LSAT_8X26601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEENNNEGKDIGMTLVDPTKTASEGSNENINKSVTMLKEQSSSSQMTTQKLMIFTFDELWRATGKFLPPPILGVSDRVSVYKGWVTSASYTPSAFDVPEAIVIKNLNTNFAKNCDKWQAEVEYMGKFSHPNVVKLLGYGWGSNEIQLVYEYTEKGSLYTHLFGEDADPLPWDTRIKIALGAAQGLAFMHMEMYIICRDEFNAKITDFGEGGFNPVSGESPTSYNDGYVAPEYLATEVTRGRFSHPNLVKLLGYCSEDGKLLLVHEYIPKRNFIDIIRRHPLPWDITLKIANGVAKGLAFLHTHESSPTFRIFNASRGLVSLHDKRCSLPKSLLEWARFTLSDKGKLQKFMDPWLEQGNPPKGACKTADLILSCLQITHGHRPSMQEIVVSLEGINAMEM >cds-PLY76605.1 pep primary_assembly:Lsat_Salinas_v7:5:223312932:223319375:-1 gene:gene-LSAT_5X104861 transcript:rna-gnl|WGS:NBSK|LSAT_5X104861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAESSSSLELPHLCRQFTISEIHSATQNFDKSLEIGRGGFGIVYKGTITNGVTRLVAAVKRLDSKSNQGAAEFWNEVQMLSKLRHCHLVSLIGYCNDEQEMILVYEYMPHGTLEDHLHKFQSPLPWVRRLKICIGAARGLDHLHNGTGIKHGVIHRDVKSSNILLDESWAAKISDFGLSKLGPINQPSTYVNTFVKGTFGYLDPVYFATGRLTRKSDVYAFGVVLFEVLSGKQAVDKSLDEEHWGLVNWAQESVKEGRLKQIVDWYIRERILPKCLKEFAKLADRCLPSNPKQRPTMAEVVVCLESVLTLQEKANHTLQPSALRIFGNKVPKLLSWSNGEKSVGSKTSLELYFDSIGGENRILRRFEFGTINSATENFSENNKSSQWGDGSTYRGSLQNGQGVSIARHNSESGSQEYKNEAALLVKLEHENLLKLLGYCIEETQVFLVHEFALCKSLDHFLFDRGCTLLDWNKREKIILAVARVLVYLHQHDVVHNNVKPRNILLDENFHPKLSDFGLARFLPIKEVDCVQVDAIIHQANQLSTKDDVYGFGVLILEIMIGYNKDFFEGLQTAWRDWWSGKDGNIIDPRIQANSIITTRCILIGLLCISSDAADRPTMEEVVAMLTNKNLPIPKPFMPTWVTQNGRVGITRYLEVYFDSIGGENRILRRFEFRIINVATENFSENNKISRWGYHGFTVYKGTLQNGQDVSIARHNPKFGSQYYTNEVALLLKLEHENLLKLLGYSTEGLEVFLVYESAVCGSLDHMLFDHGCTLLDWNKRKKVILAVARVLVYLHQHDVIHGNVKPANILLDGSFDPKLSDFWHDNRLSTKDDVYGFGVLILEIMTGYNRHYFTAQRTLLRVWRDWWLGKDRNMIDRRIHAGLRITARFILIGLLCISNDVADRPTMEEVVAMLTNKTLPIPKLPMPTWIVDNVSDVADDTDDTTDALEILPSSDYDSEAVVS >cds-PLY89208.1 pep primary_assembly:Lsat_Salinas_v7:MU039913.1:678:2888:-1 gene:gene-LSAT_0X45440 transcript:rna-gnl|WGS:NBSK|LSAT_0X45440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANSSPMFLLRTSFFHAFTITTTKPFSNSIISPFKPHQFHIKSTKMERSEITAEIEVGKSGKKKIFVSGATGKTGKRIVEQLLAKGFAVKAGVRDAEKAKTIFPNLNQDLQIVKADVTEGSEKLAEAIGDDSDAVVCATGFQYSWDLLAPWKVDYYGTVNLVEACRKLGVTKFVLVSSILVNGAAMGQLLNPAYVFLNAFGLVLVAKLQAEQYIRKSGINYTIIRPGGLKNDPPNGNVVMEPEDTLSEGSISRDQVAEVAVEALLQPQSSYKVVEIVARTEAPKKSFEELFSSIKER >cds-PLY81840.1 pep primary_assembly:Lsat_Salinas_v7:3:34373072:34373559:-1 gene:gene-LSAT_3X25260 transcript:rna-gnl|WGS:NBSK|LSAT_3X25260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANGQEGFSNATVEQDTVQSSLPFLISPLQEGRVAIVTCDGRSSDGARWRSSAAAVATTARATFFGGCAGWATLVAFCSFVSGRIHLGVGSNPKNRQTFHLSGFLYISIYVWTYGFDS >cds-PLY76834.1 pep primary_assembly:Lsat_Salinas_v7:3:5364606:5366560:1 gene:gene-LSAT_3X4041 transcript:rna-gnl|WGS:NBSK|LSAT_3X4041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSLKPILSHIDNIPSTPGKFKPDKSSLYYLHHRFRLHSTVPRITFWSLVFLALISLFFFMSPPSTTAPRRGLRTTASYGGSGWENQVKASAKIRSRNGHSVLVTGAAGFVGAHASLALKRRGDGVVGLDNFNSYYDITLKKSRKSQLLDRAGVFVVDGDINDAVLLRKLFGLVHFTHVLHLAAQAGVRYAMVNPNSYIKSNVEGFVNLLEVAKSTNPQPAIVWASSSSVYGLNSKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTKDILKRRPISIFEGPDHATVARDFTYIDDIVKGCLSALDTAKKSTGSGGKKKGEAQFRIINLGNTSPVSVNKLVSILEKLLKVKAKKKVVLMPRNGDVPFTHANISLARKELGYRPTTDLETGLKKFVKWYLDYHGAKKKSAW >cds-PLY97692.1 pep primary_assembly:Lsat_Salinas_v7:8:7587733:7589232:-1 gene:gene-LSAT_8X6001 transcript:rna-gnl|WGS:NBSK|LSAT_8X6001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRFPRFSQGIAKDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFESWVQDPLHVIPIAHAIWDPHFGQPVVEAFTRGGDLGPVNITDSGVYQWWYTIGLQTNEDRYIGALFILLIPAISLIAGSLHLQPKWKPSVSWSKKAESRINQHLLGLFGVSSLAWTGHLVHVVIPASRGEYVRWNNFLDVLPHPQGLGPLFTGQWNLYAQNPDSGSHLFGTSQGAGTAILTLLGGFHPQTQSLWLTDMAHHHLAIAFLFLITGHMYRTNFGIGHSMKDLLDAHIPLGGRLGRGHKGLYDTINNSLHFQLGLALASLGVITYLVAQHMYSLPAYAFIAQDFTSQVALYTHHQYITGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLEHKEAIISHLSWANLFFGFHTLGLYVHNDFMLAFGTPKNQILIKPIFAKWIQSAHGKISYGFDILLSSTNGMTFNAGRSVIRTLAPD >cds-PLY87855.1 pep primary_assembly:Lsat_Salinas_v7:3:44467401:44469673:1 gene:gene-LSAT_5X87360 transcript:rna-gnl|WGS:NBSK|LSAT_5X87360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSLASMDNKSLLANVIGLAILIITIIVNICMEINTGVIVPVGIGISEALDRYTFMDVGYIYVALLLFLLILLISSAITVPLSKQILENKYQAISKTILYNHCPQDTFDIEKLRQHVKRYWIMAETGSPQFVMASSPLSCASGIICVIAVAIYTHLLVSISVAPIQLRSLSDYKWSMVATFIIQSIGVVGAIAPICRSFMVVSFKSFTGWNRNHWEVFKVEKYWTQKLCEWKESRITFLSDCHRVKSLLRNFKNLILSTLIGFQKAVVVTCKIIGLIPVVVLLIFMHCSYYFNSLKEIMLSPPSRSDYIDEDLRKCVLLLEDNMELAERTLKRISNSMNRVIQKAEKEQDNNLLKFLDKSTGFQGVEKFDIYQVQPLLSIELPNSWSLPIVTLTCIAAALPNIGKDVTDSLLKCVSEGLYYTHIVEESLNIGCQYVNIQKAAVTLWDEVEDNHKWLGKTLEKNAYEGKTSREILEWFAHKAEEIVIEVSKSTNEGESVEYLPQKLIVTNSMYRIAHSIMLTYQSNILGITEEQLFALLSHMIADILVACFTNIPRVITMKCHESAIEKREASVEAAAKLLGRTTEIIKRIKMHELPSIDHEKMAFIDEWRFHLQRIP >cds-PLY70795.1 pep primary_assembly:Lsat_Salinas_v7:3:136578113:136583250:1 gene:gene-LSAT_3X91220 transcript:rna-gnl|WGS:NBSK|LSAT_3X91220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGAAAATLRPRLLSPFVGNCSYHQSKQPLHHLFRHNPGHKHISMRLSRTFTGITNLLFNRRNTDEFQDMTQKRLKPGKLSPKRLVPDHIRKPPYVDSMKPPGISSGPQIHDEKGIECMRAAGNLAARVLQYAGTLVKPGITTDAIDEEVHKMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRELEDGDIINIDVTVYLNGYHGDTSATFFCGDVDEKAKNLVQVTKESLYKAISVCAPGVEFNKIGKIINDYANEHRYGVVEQFVGHGVGRVFHSDPVILHYRNNERGRMLLNQTFTIEPMLTMGSIHPIMWDDNWTVVTEDGSLSAQFEHTLLITENGVEILTQC >cds-PLY87576.1 pep primary_assembly:Lsat_Salinas_v7:8:111121876:111124251:-1 gene:gene-LSAT_8X78100 transcript:rna-gnl|WGS:NBSK|LSAT_8X78100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDASVQSDIKLWPFKVTPGPAEKPMIAVNYKGEEKQFAAEEISSMVLIKMKEIAEAFLGSTVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKSTAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDITGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGVDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHEVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTTLIQRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNTLENYAYNMRNTIKDEKIGEKLSPADKKKIEDAIDEAISWLDANQLAEADEFEDKVKELEGVCNPIIAKMYQGGEAGAGGSMDEDIPSGGGAGPKIEEVD >cds-PLY75142.1 pep primary_assembly:Lsat_Salinas_v7:4:61684532:61686025:-1 gene:gene-LSAT_4X41901 transcript:rna-gnl|WGS:NBSK|LSAT_4X41901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTVTWGVVQGRKEKLVSRVIISDYLKSIGIIPDELENLELPSTIEVMRERVEFLQKIGLTIDDFNEYPLMLGCSVRKNIIPVLGYLEKVGIQRSRMGEFVKKYPQVLHASVVVELVPVIRFLRGLDVERQDIGYVLMKYPELLGFKLEGTMSTSVAYLVSIGVNPRDIGPMVTQYPYFLGMRVGTMIKPLVEYLISLGLPKKVLARMFEKRAYIIGYDLEEMVKPNVDCLISFGVQKEKLASIIAQYPQILGLPLKAKLSTQQYFFNLKLKIDPEGFARVIERMPQVISLGQNVMMKPIEFLLGRGILAQDVAKMIVRCPQLVGLQVGLMKNSFYFFKSEMERPLKELVEFPEYFTYGLESRIKPRFMRLKHKGIRCSLSWFLNCSDQRFEERLYGDYVEMESEGPMFVMGGKLELPGNEVVCDEEDESDDEILYRRTVSL >cds-PLY87866.1 pep primary_assembly:Lsat_Salinas_v7:3:44309590:44311266:-1 gene:gene-LSAT_0X9181 transcript:rna-gnl|WGS:NBSK|LSAT_0X9181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVASAPLALTTILHHSQTPKSLTLSFTTRASISSVSVSNSNGSIKARFGSSRGGAGVLERPSFDQSQFDPATQVQEGGDIGSVKHKKNTGSGDSYRVLLIDDARHTENLVAKALPQAVPGVTPDDARKHFHVSRETGLAVVLVTVKEHAEFYAQMMMRKGLRSTIEPDSTTV >cds-PLY80834.1 pep primary_assembly:Lsat_Salinas_v7:4:284075491:284078025:1 gene:gene-LSAT_4X144401 transcript:rna-gnl|WGS:NBSK|LSAT_4X144401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCVVSFRKSAAGEDDDDLLVSVCRERKRLMKSAVDRRYALAGAHCKYNQSLYAVALALRLFVARHSSSPSSRFLITFPEPSTNLHLTQSKPTAVPEAVTEVVEEEEAEETEEESNGSVCEHFYDDGTVDPTPAPPVVTSSGHEQNPDEFGGWDFFNPFQNMMVNDVGVEHEDECQKRKAEDVKVAAADANVSLKVIDTHTPSLSNEDDNGRELLDALKDVEDHFLKAYESGVEFSKMLEFSNVGPLDHLHSKESSEKFIPSIAWHKSAITRSPSCRSLLSSSSRSSSTWTGINSSSDIFEETGGMESGSHLSTLGRLYAWEKKLYDEVKAGSEIKKLYDRKSSQLSGENGSNKHGIEDQVNDLYSRMLVSIKICNSISKRIEKVRDDELQPQLIELLHGLTKTWKSMLESHKMQSRTMFEVKSFPTAGFTNDRSRHLATLQLEAEIQNWQSTFSSYIASIKAYIESLTEWSNRPLTAVPEPATPPPVFTISRDWLIVTKNLPDKAVTYAMKRFSKDLRALWAQQGFEQQQQQKVERLVVEMEKRVVGFEREERNIINLKPLNVKNKVDVLAEKKDKLDSFRKMVETEKAKHKDCVEETKRVILVGFQTGFSSVFDSLTEFCEICVRKYDDVARNATSGTKLIRER >cds-PLY89350.1 pep primary_assembly:Lsat_Salinas_v7:5:124613950:124617978:-1 gene:gene-LSAT_5X54981 transcript:rna-gnl|WGS:NBSK|LSAT_5X54981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFRFLNGTSGRAFWSIMIVIDTHNTEGEIGLLFSFFPGESGVDQLVEIIKNNYLSGFIPTEIGNLSNLQTLDVSSNSLSGSIPTSHGNMRSLLNFFLGNRGLCGKHINQLCKDDDGVSTGSQPTDEESHITTIVAGTFGYLALEYMQSGRATHKTVYRERP >cds-PLY82762.1 pep primary_assembly:Lsat_Salinas_v7:2:146055960:146059655:-1 gene:gene-LSAT_2X72201 transcript:rna-gnl|WGS:NBSK|LSAT_2X72201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSFNKPKTKGKELIDEVFSWSFDAVLNEDHYKGKVNTIEERFSSTSDYTNSFIKPLLEETRHALMSKMRTISSASTRGIINMSRFPNIYLESKGKSGKGCYEPEVGDLIAVTNGKPRCIGDINQPNGIPYVIALVQRVFKDDVIQVRSKKQIVFNGKESNNRGKPMLFVVHLVNLTPNIHIWQALHSKLDGENMKIINKVLQSDSKGNKECSLCSIQDSKKSASLNLQHTMNTFSLNQSQKKAIWSCIVARECHHQETVKLIWGPPGTGKTKTVGCLLFALFKMKCRTLTCAPTNNAVLEVASRFMSLVKGSLEYETYGFGDIVLFGKGERMKIDGFPELYQVFLDNRISVLACCLSPTFGWRSKANSIVQLLKYPQDEYKLYLCDKRNVDDEASDDDEWEICENEGLKDVHGKVIVYEKVLKIKEKLSFEDYIKEKFNILEEKLTTMFKSMYTHMPTSCLTLQLAKKMMRVITLLSNTLQGVEISEENMVPMNELVVMKKELFQVLDQVLRETVSFPNDQEIGNFCLENACLIFCTASSSIRLHALETNVELLVVDEAAQLKECESFIPLQLSGLRDVILIGDEMQLPAMVGSPICREKKFGRSLFERLVSLKHLTLLLNVQYRMHPEISLFPNQEFYGNKIKNGPNVYIPNYKKQFLKGDMFGPYSFIHLTQGKVEFDKTKSGKNMEEVAVVVELITKLHEESVAKNRKISVGCITPYTAQVSAIQSKLSDIYQVIKAGKHEFSLNVKTVDGFQGCEEDVIIISTVTGIASGSIGFLARPQRANVALTRARHCLWILGNGDTLRNSSNTWRRLVNNAKARGFFYVGNEDESLADVINQRYVFFPFLVPEYC >cds-PLY98288.1 pep primary_assembly:Lsat_Salinas_v7:7:168891503:168891994:1 gene:gene-LSAT_7X101401 transcript:rna-gnl|WGS:NBSK|LSAT_7X101401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRRRDPVKVVIINTEYIETDAMSFKSVVQRLTGKDTPSPSPKNSLSHVGGGGGCGGRGGGGGLNSPMLKKGMSFRDLDKLLLELPSMDDIYQFCSE >cds-PLY96615.1 pep primary_assembly:Lsat_Salinas_v7:7:44094742:44095050:1 gene:gene-LSAT_7X30200 transcript:rna-gnl|WGS:NBSK|LSAT_7X30200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKRLVADNLLVIFSKKNCYVCDYIKFVLRNFGANPTIYELDEIETGQQVEEELLRLGCSPSVPVVFIRKKLIGGSNEVLSLGIKGGLKPLLIEAKAIWI >cds-PLY85804.1 pep primary_assembly:Lsat_Salinas_v7:7:70341295:70345433:-1 gene:gene-LSAT_7X49621 transcript:rna-gnl|WGS:NBSK|LSAT_7X49621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQFMSSENNNVWQLPEPEVKPFPMEEHLMYSTETPEQDPFPKRWKASSSGTSTQLRFNSNEPPIPQYQYNSLDEPSPLGLRLRKSPSLLELAQKRLNQVNIEKGDSSMENLEARTRRDSWARTKANASSSQASSSPDKLKASHFPALLLRIGHWEYVSKHEGDLVAKCYFSKHKLVWEVLDNGLKKKLEISWADIFAINANYEAGGLGTLTIVLTKPPLFFKEINPQPRKHTQWRATSDFTNGEANINRQHFLQCAQGVLDKHYEKLIQCDPRLNLLSQQPGIVLDFPYFPPRPSINYQDIPATNIFNFPEIDTIPHMASSTNVGSSSLGLIHQDVSREVYSSSSGTISSFLHITTLYVENFSQL >cds-PLY81666.1 pep primary_assembly:Lsat_Salinas_v7:2:25478434:25480294:1 gene:gene-LSAT_2X14321 transcript:rna-gnl|WGS:NBSK|LSAT_2X14321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKILIGIKSRIEELYSLLCMEAAKKVRFIGILGMGGIGKTTIAQALFPRIAHKFEGCIFVEDVGENSSGKKGVRDLQEQILRDTLVTGRHNFLKDPEDGADMIRRRLCKKKVLDDVDDVEQLEFLAGTPDWWFGPGSSIIITTRNEHLLSDADVIYRSDFLCKSEAVELFCWHAFRKSSPPEGYEKLSERVIRYADCLPLTLKVLGAFFHGGEVSVWESALDRLAEVLDDKIHDKLKLSFDGLHVLEKQIFLDIACFFKGKEVEHVTRVLDSFGFHPGKGINVLIEKCLITVSNKKLDMHDLIQEMGLQIVRESFLDSRLWKLDQIHDFIKGKKHAIEAIMMMDSECLVDDYDANLATRADVFTNMENLRLLDIDGKFTSTLPTFLPDEFQWKHQPLWKGQKILPNLKFVHLEWLFGLTSFPDVSGAPNIERLILSNCWKLEEVHESLESHRRLVYLEMNGCRSLKCLTSKLEMECLVTLILSGCFSLERFPEVSPCMVKLSQINLDSCSNIKELQSSIRYLSG >cds-PLY76922.1 pep primary_assembly:Lsat_Salinas_v7:2:199949332:199950221:-1 gene:gene-LSAT_2X122321 transcript:rna-gnl|WGS:NBSK|LSAT_2X122321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKNWNLQVPNSGVSKNSVQSVQASATSLRYVNRKFSNQYKATIGADFLTKEIQFKDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVHDVNVMKSFESLNNRREEFLIQASPFDP >cds-PLY97825.1 pep primary_assembly:Lsat_Salinas_v7:5:197828506:197833195:-1 gene:gene-LSAT_5X88260 transcript:rna-gnl|WGS:NBSK|LSAT_5X88260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCSVRAGLAGSRPSPSGRIPITHQSCLWSRQLLTSRPSTRISNNGVAVMVTNVLRRGSSSIHTFKAKAKGKEESNPGFPPQNQDEQPGKEYLMDPLPIFSNPNYKASNKLQGKVALVTGGDSGIGRAVCCSFAKEGATVAFTYVEGVEDIDAKNTLEIINDSKTSEAGDPIAIPTDVRFEKNCKDVVDKVVEKYGRIDVLVNNAAVQYETYSLDDITDERLERLFRTNIFSHFFLTRYAVKHMKEGSSIINTTSAVAFTGSTKLLDYASTKGAIVAFTKGLATYLVDKGIRVNGVAPGPVWTPLEAASLNDEDLAEYGSQVPMNRAAQPVEIAPSYVFLASEDASYFTGQILHPDEQIDLSCIMTFDLSTNLFGMIALPEPCWETARLTTIQGSLAVLSTKDGETWTWVRRDASWSVAFKTKIGQDLGGINRVLKLKANGDMLFSTWCTELHSKVSIDKTHFQVYTHETGAQSKLVDFDGTFGIVGMEMCAQSIQLLGMRTSCEEKQPSFLDGIKTGEITQLH >cds-PLY79401.1 pep primary_assembly:Lsat_Salinas_v7:3:78583589:78596594:-1 gene:gene-LSAT_3X60940 transcript:rna-gnl|WGS:NBSK|LSAT_3X60940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNVLFFSLALLIITFSLITNFASASLEEGNALIKWKSSLEIPINSVLSSWIPLPLNSSASHPCSSWFGIVCNSNYRIHRLNLSSSGIKGTLHQFPFSLLHKLTHFDLGMNNFFGPIPPEIGLLSKLVHLDFSENKFSRVIPPEIGNLHQLTILYLYSNNISGSIPSSLGDLTSLNVLYLHHNQLSGPIPNELGNLKSVTNLAVSYNELTSSIPSSLANLSNLRELYLGGNRLSGPIPSELGNLKSLINLEVGENRLSGSIPSSLGDLTSLSVLYLGQNQLSGLIPIELGNLKSLTNLSLGENQLSGSIPLSLANLSNLQRLSLNENKLSGLIPTELGNLKSLTNLELISNQFSGSIPSSLGDLTSLHALYLGKNQLSGTIPTELGNLKSLTDLVVAYNQLSGSIPSSLSNLSNLETLYLQVNNLSGPIPIELGSLESLTDLEVSNNQLNGYIPSSLGNLGNLQWLILKDNNFSGPIPTELGNLKSLTHLAVSGNQLSGSIPSSFGNLTSLNGLYMYHNQLNGPIPIQLTNLKSLSDLRMSNNQLSGSIPPEFGNSTQLQRLDLSSNHLVGEIPKDFGKMKSMLYLYLSDNQLSGIIPLELQFYEHLEELDLSGNRLTGSIPKSIGHWSHIHHLNLSNNQLFEKIPSEIGKLVQLTELDLSRNLLTEEIPSEVQGLQSLQKLDLSHNRLSGSIPDAFTSLPRGIDINLSYNELSGPVPRSPNFVNASIQGNPVLLGIFTYGLIAYRKQKRHSPQKPLDKEGGDYFSITSFDGGVVYDEILKATNNFDEAYSIGTGGYGTVYKAQLQPNNVVAVKKLHSSFENVDHNGFLNEGSLGSILRNDILARELDWLKRVNIVTSVANGLAYMHHDCSPPIIHRDISISNILLDSDYEAHISDFGTSKLLKLDSSNWTATVGTYGYIAPELAYTMVATEKCDVYSFGIVALEVMMGKHPGELPTLSVDYLVLANVGDSRIPLPSPQVEKQSLTHLAVSNNQLNGSIPSSLGNLGNLQWLMLKDNKLSGSIPTELGNLKSLTNLAVNRNLLTGSIPVSFGDLTSLNSLYMHQNQLSGPIPIQLVILKSVIDLKLNKNQLSGSIPPEFGNSTQLQRLDLSSNRLTGQIPKEFGKMKRMLNLSLADNQLSGIIPPEIGSFELIEVLDLSTNRLNGSLPISIGQWEHIHYLNLSNNKLGEKIPSEIGKLVQLTKLDLSQNLLTDVIPTEVQGLQSLQKLDLSHNRLSGSIPNAFIRLPHGIDINLSYNELSGPVPQSPNFVNASIEGNPGVCGNITGVKLCADQMIKKKNNPSHHGVILVIIFPLIGAAVLLGLFTYGLIAYRQQKKRSPHKPLDEESRGYFSITSFDGGVVYDDILKATNDFDEACSIGTGGYGTVYKADIQPNNVVAVKKLHSSSSENVDHNGFLNEVRSLTNIRHRNIVKLYGYCSHRHHSFLIYEYLEKGSLGSILCNEILAKELDWLKRINIVMGIANGLAYMHHDCSPPIIHRDISISNILLDSDYEGHISDFGTSKLLKLDSSNWTAIAGTYGYIAPELAYTMVATEKCDVYSFGIVALEVIMGKHPGEISTLSADYLVLGNVGDSRIPLPSPQVEKQVDLVLSLSRACLSSNPNGRPTMRQVSNRLMKDLL >cds-PLY79289.1 pep primary_assembly:Lsat_Salinas_v7:4:371105798:371108883:-1 gene:gene-LSAT_4X182701 transcript:rna-gnl|WGS:NBSK|LSAT_4X182701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQNKKRTKTLKGKPRFSDFNLVPDDWGPDVHPWLSFLAKAYPFPNDSLLLIAGKISTFILDIFELSSIEGPKVGLYLFRRVPHSRILVCGGDGTTGWVLDAIEKQSYVSPPPIAILPVGTGNDLAGVLNWGGGLGSVEKQGGLCMMLQHMEHAAVTVIVKIVPVKHGHKLRITWPMTPGIDHYMERPSRYLGHLIGHEGEGSLFYVLKKLGWATSLSIGELDWTVEFSFFKVVIELTNVGHDTDIIWNICFMKTPINVEHIIRLLFKYITLLQQYGVCKWIYDELSAICEMTFHYQDKIPLIDYVVKFSSNMQLYPSKDWLVGSSLPSATNFDGHTEQTEPWYGTAFSVEKITCSTIQKGQLGHGYAIIREKPTLMSGLSK >cds-PLY85682.1 pep primary_assembly:Lsat_Salinas_v7:7:158473559:158473777:1 gene:gene-LSAT_7X92941 transcript:rna-gnl|WGS:NBSK|LSAT_7X92941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHFHIIISLIFLFFTTTTTTTATSTSNFPPAAPSGTHQLFHRSRSMHPLANDLHSEKRRVPTGPNPLHNKR >cds-PLY98520.1 pep primary_assembly:Lsat_Salinas_v7:1:39332733:39339137:-1 gene:gene-LSAT_1X33901 transcript:rna-gnl|WGS:NBSK|LSAT_1X33901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGGDIYKASSSIRLGSLRAPSGRTPSLRSGSTSVWRNSGNDVFSKSSREEDDEEALKWASLEKLPTFDRLRKGLLFGSKGPSNEVDVTDLGFEQRQHLVDRLVKVADEDNEKFLLKLRNRIDRVGIDLPTIEVRFEHLTVEADVNTGSRALPSFINFHIDIFEGLLNLFHLLPNSKKHITILDDVSGVVKPSRMTLLLGPPSSGKTTLLLALAGQLAKEVKSSGKVTYNGHELHEFVPERTSAYISQNDVHIGEMTVRETLAFSARCQGVGSHYEMLAELSRREKDANIKPDPDIDIFMKAAATAGQEASVVTDYTLKLLGLDICADTMVGDQMIRGISGGQKKRVTTGEMIVGPSKVLLMDEISTGLDSSTTFQIVKSLKQMLHILEGTAVISLLQPAPETYDLFDDIILLTDGKIMYHGPRENVLEFFESMGFKCPERKGIADFLQEVTSKKDQKQYWVRRDQAYRFVTAKEFAEAYQSFHVGRKMGEDISTAYDKSKSHPAALTTEKYGLNKKELLKACTDREILLMKRNSFVYFFKIFQLLFMSLITMTVFFRTEMNRNNAEDGALYTGALFFGVVIIMFNGMSEISMTIAKLPVYYKQRDYLFYPSWSYALPSWVIKIPVSFIEAAVWTIFTYYVIGFDPNVSRFFKQYLILLLVNQMSSGLFRFIGALGRNMIVANTFGSFALLLVFALGGFVIVREDVKKWWLWGYWSSPMMYAMNGIAVNEFLGHQWKTPWNNTDTGLNDTTLGKTIITSGGFFAESYWYWIAVAALLGFILVLNLCFGLSLAFLDPFGKSQSNAAQNDSDTEVELSAMTTGDGAPQAKKKGMILPFEPHSITFNDVKYSVDMPQEMKDQGVSEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLANDVDENTRKSFVDEVMDLVELNPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQELYVGPVGRQSCELIKYFEEIDGISKIKDGYNPATWMLEVSTSAQETALGVDFTEIYRNSDLYKRNKALIAELSVPRPGTKDLYFPTQYSQSFVIQFIACLWKQRWSYWRNPPYTAVRFVFTTFIAIMFGTMFWDLGSKKTTQRDLINAMGSVYAATLFLGIQNSLAVQPVVDVERTVFYRERAAGMYSALSYAFAQVLVEIPYVFAQSAVYSIIVYAMIGFDWTAAKFFWYLFFQFCSLLYMTYYGMMTVAITPNANIAAIIAASFFGIFNLFSGFIIPRPRIPVWWRWYYWGNPLAWTIYGMVASQFGDFDTQLGDETVKGYLDRYFGYKHDFLPAVAGVHIGLIMFFAFIFAYCIRAFNFQKR >cds-PLY65494.1 pep primary_assembly:Lsat_Salinas_v7:3:993365:1002709:-1 gene:gene-LSAT_3X1181 transcript:rna-gnl|WGS:NBSK|LSAT_3X1181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVLGRASSSWPIVLATMKRSFNTLRISPDPSLAHGIHVFHCPDQVGIVAKISECIASRGGNILVADIFVPHNKNVFYSRSEFVFDPIKWQRKQMNEDFLDLSKMFNAVKSLVRVPSVDPKYKIALLASKQDHCLVDLLHAWQDGKLPVQISSVISNHDRVGNTHVMRFLERHEIPYHYLSKSKEKNVEDEILGLVEDTDFLVLARYMQVLSGNFLKRYGKDVINIHHGLLPSFKGGNPSRQAGSAFEAGVKLIGATTHFVTEELDGGPIIEQMVERVSHKDNLLSFIQKSENLEKQCLMKAIKSYCELRVLPYQHNRTVVF >cds-PLY92800.1 pep primary_assembly:Lsat_Salinas_v7:2:149294335:149295030:-1 gene:gene-LSAT_2X75321 transcript:rna-gnl|WGS:NBSK|LSAT_2X75321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIQCILLQSNCGVSVLDFVPQPEGGSPYICLTRLNQTPSSPIASGSSAGRKASKRIVLVCHGNPEVKSIVSSPRIAPTETANAIARVQEAADCLGVDCVPRYAEKEFLLQSCYITNIWRLIPEQVKSIVKLHSTCPFLYSNK >cds-PLY74248.1 pep primary_assembly:Lsat_Salinas_v7:1:78282446:78283261:1 gene:gene-LSAT_1X65780 transcript:rna-gnl|WGS:NBSK|LSAT_1X65780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDITNVGGGSNMNKKTTKRRRKIDSKKIEDIKSRQVTFTKRSTGLFKKAAEICVLTGAQISILVTSPCGRHFTFRHLNSDVMLDNYLNNNNTTTGEDTGTTTNSPPPLPMKEFNQRYMEVSRELDAEKKRRETIPVIGGGLRWYDEAVDGLDVEELQRYLCSLEELKKKVLTRAVELMMINKSSDALFGSNNGNDQPPVDIPASAVVDDGGFNFEYDGGFGIFS >cds-PLY75119.1 pep primary_assembly:Lsat_Salinas_v7:5:268047823:268048847:1 gene:gene-LSAT_5X139440 transcript:rna-gnl|WGS:NBSK|LSAT_5X139440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKPEKPSAHDRSSDAKYKGVRKRKWGKWVSEIRLPNSRERIWLGSYDTPEKAARAFDAALYCLRGSTARFNFPDQIPDIPGGTSLPPSEIQAAASRFANSISNSNCTTTEDNNSSSSSSSEDSPSPSISDGAFQTDHGEGSTSQSPQLHLTSPFLNDFSYMNLDHNDIGNSTNYNNTGVPDFGIYPGFDDYFMTTTPPPSDYVYGEDNSDGIYLQGSSFLWNF >cds-PLY94163.1 pep primary_assembly:Lsat_Salinas_v7:5:32957763:32965876:-1 gene:gene-LSAT_5X15140 transcript:rna-gnl|WGS:NBSK|LSAT_5X15140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEMYGLMNYHEQIPPAETARENYHHAFDDQAPDVRHQRTIPAVFGSGSNIQTSSSNKNNNNGLAEELDEDLSSANRAKIMSHPLYPKLLQAYISCQKVGAPPDIANLFDEIFSDNDFCRRSSACSCLGDDPELDEFMETYCEMLDKYRSDLARPFDEATTFLNNMQTQLTNLCKGTSITYHTDESVEKSEEDLSRGESEVPKSNWTNEDRALKEKLLRKYSKYISSLKHEFSNKKKKGKLPKEARQVLLDWWNVHYRWPYPTEADKIALAESTGLDQKQINNWFINQRKRHWKPSENMQFAVMDSLCGSFLVNN >cds-PLY90884.1 pep primary_assembly:Lsat_Salinas_v7:1:57713757:57718540:1 gene:gene-LSAT_1X49481 transcript:rna-gnl|WGS:NBSK|LSAT_1X49481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPPASVDSCTGQFSSFKSSSAFYSSYTNMNSSSSNSNYAFPNSNQMDTILGFNSPPLADSRNPGGATVAGSGSNLSRPRLMKMRRQTSHNTRSTTAFGIRRGVEADASLGFNPFRTSSESSFVDPGMENVPERVFTFGAGSRNNASFSFQAFERENMKNLDESMVDQLPDQINNLNIRGSGNVNPMKSQFTNKFPSNVETELQHEMHKMNLCSSGNVGWASNTHKASVFEKPVTFVKQHDEERVNPDLISDRMGKMKVSESGEDSLKTFIFGATSKTREDVKADVISDKMQDLKVSGIGESSGFSTVSDNKFQSGTFTFTSKLDDLKAPNAEIKTPMESVKGSKLKKKKGKTRKHVSGQPRPTQNFVFGQTSVEILEGFEAYSPMDVSPYQETLADDYYYRGTSVTSDDTSQLNDHNSFSSESFIINSNLTTDEDLLFATQCLDINESDVKCEVSEAESFRSANENLEYNSDTFATAFDSELSSTATSSRQEESGTRLFKFGSKLDNINKENFTFAASSSSQSQLSPDTRQHKKKHRLKTCQDSSSSTSDTKTDFFPIPINNPSIFSPKSVPILSSKNNDNFKSIKEQDSKHGSFSNFSTASASIAAEESCEKWRLRGNQAYGNGDLAKAEDYYTQGLNSVPQTEKSRDCLRALMLCYSNRAAARISLGKMRDALKDCLMAAAIDPNFLKVQVRAAHCYLAIGEVENATLQYMKCLQSGNDVCVDRKLLVEASEGLAKAQKVTKCIKQYTELPRRTSDELESALRVINEALQISNFSEQLLQMKADILIMLRRYEQVIQMCEQNPNSAEVDTHTSPNSWRSTLIVKSYFNLGRLEEALEFIKKQETSGQITERLESMSLDSVIPLANTIRELLSRKAAGNEAYKSGKYTEAVEHYSAALSCSVESRPFAAICFCNRAAAYGTLGQITDAIADCSLAIALDPTYLKAISRRASLYEMIRDYGQAATDLRRFVSLLTSQIHENAVLDKSNEIRRTQIRISNIEEESRKEIPLNMYLILGVESTADASEVKKAYRKAALKHHPDKAALSLSRSDNGDDRLWKEIAENVYKDADRLFKMIGEAYAVLSNPSKRSSYDMDEEMRNEANHFPNNSQSPVFERSGSRRWQDSWRPYVHTQSKSQEKSTPSYRYYSRQS >cds-PLY66851.1 pep primary_assembly:Lsat_Salinas_v7:7:20532254:20533141:1 gene:gene-LSAT_7X15820 transcript:rna-gnl|WGS:NBSK|LSAT_7X15820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRRLTISQSERFLGSYGYSGNTRNTEDDGVELGEDDVWYSMVDEMVNNENNGGDHRSINSDWYSRAPKGGSTKSLRRSCVLTPGSAGGLSVAFDDQPVKHMVASQRIIHQFRNDRDRLASPSHVATSAPVNVPDWSKIYRVDSVESMHDSDDGTDEHDSEMVPPHEYLARSHRTAAKSVFEGVGRTLKGRDMSRVRDAVWSQTGFDG >cds-PLY88632.1 pep primary_assembly:Lsat_Salinas_v7:5:139873819:139874475:-1 gene:gene-LSAT_5X62140 transcript:rna-gnl|WGS:NBSK|LSAT_5X62140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVSSAAVQVEQLKQDRHLYFKKNRLGAAIDAYTEAITLCPDAPVYWTNRVLCHRKRNDWARIEEDCLKAVQLDHKSVKV >cds-PLY61874.1 pep primary_assembly:Lsat_Salinas_v7:6:62263125:62263442:-1 gene:gene-LSAT_6X46101 transcript:rna-gnl|WGS:NBSK|LSAT_6X46101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIATETLDTPTNGSTSNEKGETNQVEDCATVSHSSPDAKPVGDGEQGEKRKKTESETIEKTTLVRPLSKTSLCSYFRRTGGECSHGETCRYAHREEELRPRPDNT >cds-PLY78522.1 pep primary_assembly:Lsat_Salinas_v7:1:104797551:104797796:1 gene:gene-LSAT_1X82720 transcript:rna-gnl|WGS:NBSK|LSAT_1X82720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKEYDSVANVTSIEEEELVASPPPISYRIGSGISHDRKTACKSIPVCGGVKKKPQTLLPSLHKIVGNLDQYYPFPDELV >cds-PLY79316.1 pep primary_assembly:Lsat_Salinas_v7:4:495371:496339:-1 gene:gene-LSAT_4X1561 transcript:rna-gnl|WGS:NBSK|LSAT_4X1561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLLKPKWLSPRYAAVSQGLLRQSQLLSFTVTISPYMSMFSYHLTLIAIFEALTSGPSPYMVPIRLCWPLSLSNLSIVTMKSYFKQK >cds-PLY64884.1 pep primary_assembly:Lsat_Salinas_v7:3:17789228:17791068:-1 gene:gene-LSAT_3X12861 transcript:rna-gnl|WGS:NBSK|LSAT_3X12861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ABL interactor-like protein 4 [Source:Projected from Arabidopsis thaliana (AT5G42030) TAIR;Acc:AT5G42030] MDGSSLLNDLRPPSNHDEIFMQRSLVFADSLKDLRNIRMQLYSAAEFFEDSYHKSDHDELLLESLKDYVSKALVSTIDHLGSVSSKINSFLDENLNEALETNLQILCIEQRLRTCHTYSDHEGLLQQSLMIQIPKYHKQYRLPDGRFNEAVEAEKAKAEFSSLRRRDTGLASIDFRSGSIAFSFAKAASNKGLEKRAPSMSPSRFRIKRTGSTTNRSTSPSFPVMRYGSVIHRSISPNTQQSPVEAWSSQSLYPERERRKDMEVYSRKTRNLFKALLSMNKHKNEYK >cds-PLY69043.1 pep primary_assembly:Lsat_Salinas_v7:9:142671978:142675848:-1 gene:gene-LSAT_0X15201 transcript:rna-gnl|WGS:NBSK|LSAT_0X15201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDQHSPHSHSSTTATSNVDVTGHRRQGGGDVFGLRSAGAGGGAKYKLMSPAKLPISRSNSINVPPGLSPTSFLESPVLLTNMKAEPSPTTGSFFNSSLMQRSITTAAFSLDANCSSRKPLDDSNSGFFEFKPHTQITSVPQSSSAGYQVSTGSNLQRGEPSGQYQNQSEPQSYASASSSAYMSHEETNGLGKHKDAGPTIQVDRSSDDGYNWRKYGQKVVKGSEHPRSYYKCTHPNCEVKKIFERSYTGQITEIVYKGTHDHPKPQPSRRFSAGALMSIQEENLDKLQYANYQPGMSANNVQNPNLDDSGTPVQSPKYANQENTDGIDDEDDPYSKKRRTDFGALDVTPVVKPIREPRVVVQTTSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTSAGCNVRKHVERASHDPKAVITAYEGKHNHDVPVVKNSNHDVVGPGNPRARLDDNNNAICLDLVVGNGFPDHPQPPHGATLHSQVHVQNSNFRKVVLWNDVYTNRENDLCRM >cds-PLY65313.1 pep primary_assembly:Lsat_Salinas_v7:8:104093906:104104419:1 gene:gene-LSAT_8X70500 transcript:rna-gnl|WGS:NBSK|LSAT_8X70500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSSEISGNPTNPLKRSFETMTDDSSNSQQPSPYQLDVFNVAMRRNTIAHLDTGAGKTMIAVMIIKQVAHSLTNQSSEKKLMIFLAPTRNLVEQQCKVLRENTDLMVDFYHGTKVGVDKNIDGKKVDEWDASIWEHETSKNQIMVMTPQILLDALRNAFVNFERICLLIIDECHRATGNHPYVSIMKEFYLKAVNKPKIFGMTASPVTKKGVSSTEDCEAQITMLESVLDSLVYTIRNRTELENVTPSASHSYCFYEPAKVSHSELKAKLESSRFKFEAQLLELQVSLQSNYKDTDEKHEILRKRLSNDYTKIIYCLDELGLLCAYEAVKICIEHAPKAVEECDFFQKSCSQCFYFLEEALSIIQESLPNGHEHVFDAGCDYKNMVAAGYISPKLYQFLQLFLSFGEATKVLCLIFVERIITAKVIDIILKRVEDLSHLNVAYLTGSATSVDAMSPKLQKETLDSFRSGKVNLLIATDVVEEGIDVPKCSTVIRFDITKTVRSNVQSRGRARQTNSKFIIMLERGNAKQREHVCDIIRSEQTIMESSKKRDPNTCVVEPCNFKETEAYFVEATGASVTVDSSVSLIHRYCSKLPGDKFYTPKPDFQFLLVEDSYECKMTLPPNAAFQTITGPRSKTSHLSKQLVCLEACKKLHQMGGLNDHLLPNNEDPISSKNTKEKEPSSGAGTTKRKELHGTTPIRALSGTWGDKTDDEANFFAYKIIFSCSVVDVQYSSFVLLVESKLDDDVGNIEIELYLVSKFVKCTVSSSVELHLNGEQISKAKCFQEVFFNGIFGKLDIGSKQTTLWRSSYIYLLLPLESLEPLTINWKAIDSSVSAVDFIKAHNDTSEVKSLDLVMTDSNHTEIVHFANKSVHKDNIKDLVVLAFHTGKIYTVLEVLENETADSPFDGNRFSSFTDYFDKKYGIVLQHPGQHMVLLKQSHKAHNLLVDFNNEGILHGKKIRSESCKVNTERQRYNARIPPELLVVIDARLDVVKPFYLLPSFMHRLESLMLASQLRQQITSHSTDIHVSSSLILEAITTLRCNESFSMERLELLGDSVLKYAVSCDLYLKYPNKHEGQLSSRRSWQVCNSTLYDLGISVHLQGFIRDSAFDPTRWTAPGQHPLRLYPCDHGIETTDVPIDSKYQSEDTKTTLLGKWCDVGHRWMGSKTVSDCVEALVGAYYVEAGLTGALHCMKWLGLSCELDESRINEAIKMASLHAYTPKLDVLECVESKIGYEFVVKGLLLEAITHASGQEQGVGYCYQRLEFLGDSVLDILITWYLYKKHKDIDPGELTDLRSASVNNENFAYAAVRRSLHHHLQYRSGFLKTQIEEYEKFVATSSTDTNSLQTKKSPKALGDLVESIAGAILVDTKLNLDEVWRIFEPLLSPIVTPNKLELPPLRELMELCDSMGYFTKETCRSNGDMVISELRLQLKDALLVGNGTGQTRKVARGQAALQLLKQLEKRGILRKDNQDGESDKEKNKKNEITPSKISPNKDAKITLFDPQPSMEEELESNSKVEIPVIECINMKKGGPRIALYELCKKMQWPIPNITASEQRSTSLVEIGEGAEKRTGFNTFKSHISLTIPDYGVIQLTGDPRADKKTSFDSAALLMLYELQRLGKLKIG >cds-PLY83605.1 pep primary_assembly:Lsat_Salinas_v7:3:73712812:73715743:-1 gene:gene-LSAT_3X62520 transcript:rna-gnl|WGS:NBSK|LSAT_3X62520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILFLHFFICCILAVLVLVHARVQIDGSFITTGVNKNISLQYTYPNNPMLPQPSKHTMINYSVIALTEELITTANEEAGNSGVGIGTSANLSPGFHHSGAWIWFSCHRIVVELIIVSSYSRYFKGQFTSISEEDDDQNKPESSHLRIIEAAEKEVTPQLFARLSSHPEEDVRKQLEGILVMLAKQSPCPRISYLYYGKVVRYSFTLFHFSAAQNGSASSITSTPIQAWVQGANSNSNTSDGAPNSNNNTNTNTTPNPIT >cds-PLY92026.1 pep primary_assembly:Lsat_Salinas_v7:8:238706084:238707889:1 gene:gene-LSAT_8X143781 transcript:rna-gnl|WGS:NBSK|LSAT_8X143781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNVVPVLGIACNMLPNSIPTLSSSLTTPLAVIITIILFLFAMFIRNKPPCQHPLPPGPTPLPFIGCFIQMLLNRPTFRWIHKLMTQFKTPILCIRLGPSTHVIAVSCPNLACEFLKKQDEVFSSRPVILSADLVSDGYLITSLSPSGEQWRKMRKMLIQVVLSSSTHKWLQPKRDEEANHLLRYICNQIEKQDTTTNGGLVNIRLTTQHFCANLIRKMVFGTRFFGEGMEDGGPGEEETEHVTSVLTLLKYLYAFSITDYFPWLGGKADFDGHEKILRTAIQRVRKYQDPLIDERIQMWNDGLRKVKNDLLDVLINHGSPKLTSEEIKAQIIVRLGMHFQELMIATIDNPSNAIEWAMAEMLNEPTILKRAVAELDHEVGRHRLVEERDLHKLNYIKACIKEAFRLHPFLPFNPPHVSIMNTTVAGYFIPKGSHVLLSRPGLGRNPNVWTDALTFDPDRHLDAEGKQVVLSDNELRMVSFSTGRRGCPGVVLGSTITTMMLARMLQAFTWEVPPNESGIKLVENQDDLSLAKPLLVVAIPRLHQSLYPKF >cds-PLY81562.1 pep primary_assembly:Lsat_Salinas_v7:2:127679814:127680890:-1 gene:gene-LSAT_2X59800 transcript:rna-gnl|WGS:NBSK|LSAT_2X59800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSINGSSDAEKQVSIDPRSMRETTQKSRSSPIKALPSFPTTYKFLNTSGSLPNSPRIGGSSRIRDDSRNQTPDHNTSLSRSPLTSLSNNRLQRSKSGGEHRSSVPYSGCEPWVSVNTSGEQMSSTKNGNGQNGRTIVKKGKKPNDMDFKCGALCLFLPGFGKGKPVRARRVEPEGSRQIVSQRISLEKFECGSWRSSAIHCDDEHSTTLYFDLPLELIRTSVSDTSLPMNSAFVFNKGGVKGVLKTKAGSVERKSQEDRHVRFSTSSPTSQPTSPTCITPRLRKAREDFNAFLEAQSA >cds-PLY71500.1 pep primary_assembly:Lsat_Salinas_v7:2:122566602:122566913:-1 gene:gene-LSAT_2X56300 transcript:rna-gnl|WGS:NBSK|LSAT_2X56300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYAGLLKSMAGTGSWIIASLSEEEHALHGASNPIDTPATILASKEESMEDSDDAKPDYTIYDHSSPDLSEPIHSSDYTPFVLELLSSEYESDEDEEDSTTCP >cds-PLY85763.1 pep primary_assembly:Lsat_Salinas_v7:1:48433841:48438955:1 gene:gene-LSAT_1X44761 transcript:rna-gnl|WGS:NBSK|LSAT_1X44761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, Small RNA biogenesis, Regulation of shoot apical meristem (SAM) initiation, Spikelet developmen [Source: Projected from Oryza sativa (Os01g0527600)] MESERSDKELVVTQVSIGGFDNNITAKRLLDYIEAKAGQVWRCRLKKSSTPPDTYPEFDADLENIQKLNDYEKVEPHAFVHFVDPDSVNSIKEAEGKCELVLDNNYLKVNLGPENPYRMNQRRRTKTPFKLSNVVLEIGLLASRDKFLVGWRGPSSGLDFLIDPFDCSCKFLFTKDTAFSFKGTKDHAVIKCNYKVEFLARDVNDIKEYMDYSQASQVLVFQLASAPLISYRTADDDIAITHPSEMLDDDDPWIRTTDFTPGGAIGRCNTYRILIRIRDVPKVKKALEFLKEQRVHIDHPTRNLKVENEPDFGSPLRDPFFCIQYKMDIPFKVLFLVNAVLNKCIINQHQFTEKVFDLLRDAKEEVTVAALKHICSYRRPVYDGFTRVKIVQEWLMNNPKLIDEEGGQKDIIEVRRLIITPSKAYCLPPEVELSNRVLRHYRDVSDRFLRVTFMDEGMRTLNNHVLNFYPAPIVKDITSSFIAQKTTMFRRVKNILSQGFYLCGRKYSFLAFSANQLRDRSAWFFAEKDKLTCKDIISWMGKFNNKNVAKCAARMGQCFSSTYATVEVPRHEVDLELEDIKRNGYTFSDGVGKISPELALEVAEKLQLKDNQPCAYQIRYAGCKGVVVWWPDKKGDNIKLSLRPSMNKFESDHTVLEICSWTRLQPGFLNRQIITLLSALNVEDDIFWNMQMKMVKKLNLMLTDTDVAFDVLTASCAESGNTASIMLGAGFKPQSEPHLRGMLSSIRVAQLEDLREKSRIFVQDGRWLMGCLDELGVLEQGQCFIQVSNPSVENCFAKHGSRFCDTDRNLKVIEGNVVIAKNPCLHPGDVRVLKAVNVPGLEHLFDCLVFPQKGDRPHTDEASGSDLDGDLYFVTWDEMLIPPSKTSWPPMEYTAAEAKQLPRDVKHSDIIEFFTKNMVNDSLGTICNAHVVHADMSDYGALDEKCVKLAELAATAVDFPKTGKIVSMPPQLRPKLYPDYMGKESFQSYKSKKILGKLYRQIKDVYNDDVMPSSELHILPTHVPYDKDLEILEASNFIKEAWGSKLSYDRQLNGLLGQYKVAREEEIVTGHIWSMPKHSSKKQGEMKERIKHAYSALRKDFRKVFDHLGPEFDQLPEEERNVMFERKASAWYQVTYHPDWVKESLDLQEPDGGSETVNLSFAWIAADYLARIKIKKRGKGDGNSCKPIDSLGRYLADRM >cds-PLY92799.1 pep primary_assembly:Lsat_Salinas_v7:2:149069672:149071983:1 gene:gene-LSAT_2X74980 transcript:rna-gnl|WGS:NBSK|LSAT_2X74980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSITAGAKRHWKKAAKVTLALFFTGFNTSKCKTAAKLAVARIKLLRNKRQVVVRQMRRDIAMLLQSGQDATARIRVEHVMREQNILAANEFIELFCELIVQRLTIIAKQRECPVDLKEGISSLIFAAPRCSEIPELVALRDIFEKKYGKDFVGAATDLRPDCGVNRMLIEKLSVKTPPGEVKLKILKEIAKEYQVEWDTTESETELLKPPEKLIDGPQTFVSASSMPVNSMSDQFVQPVNRTSDQYSGNGQNMQFNDTKSAAEAAAESAKMAIAAAEAAAYLANKDSHQSTSQPHGLGSRNSDNFDSIDYAKTSRQSSERSHISSAVDTNTTTTNTKGGQRRYSYNASGVRFDESDCEIDDSKLFRRHTINTAAPHKVSSSVKFDESESDYDEEIEMDHDHDHRSGGPPDRPAPGAPAPPSGYMKQGQRVHPKLPDYDTLAARFEALRHHKP >cds-PLY94953.1 pep primary_assembly:Lsat_Salinas_v7:4:111235987:111236193:-1 gene:gene-LSAT_4X70640 transcript:rna-gnl|WGS:NBSK|LSAT_4X70640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSPQRDSHVKSNLEVTGNTGGNVETSNVDKTTNLGDQSTDSIPKETQVIPPKVTIDESVSEEVRP >cds-PLY86539.1 pep primary_assembly:Lsat_Salinas_v7:2:208120074:208122799:1 gene:gene-LSAT_2X129181 transcript:rna-gnl|WGS:NBSK|LSAT_2X129181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVGYLSISAVCTVLSFIGLQYWTEVSYVKLKSDGLMGENLLHPGVANRVLELLLSSYTTVALVVNFVLNIFILIILSLKTIFFDELHATESRKMVERLVNYVIYKGTFLPLVVPPTLVRAGLWSTWLTVLCSLKMFQALARDRLERLNASPSATPWTYFRVYCVLLLVVIVDAVWIRTCLLIYQTIPSSMFLLLFFEPLSIAFETLQAILVHGFQLVDIWVHHSAGNTTNSKISKLLDMSAAGKSKSSLWEWKSVILRNVGFSLDIMTLLMALGHYVQIWRLHGMTFHLVDAMLFLNIRALVSAVAKRTRGFIKLRIALGTLHGALPDATSEEIQAYDDECAICREPMAKAKKLSCNHLFHLSCLRSWLDQGLSDNYSCPTCRKPLFVGRGTSEGGNNNSNSNSNNSVGVGNNSVDVSGDEQLARQLSRQQSVPGPTLVPNQIQTPLDHHDDWRRLEADSGWLGFDGGAGPSRSVGLGRVQMMMRHLAAVGETYAQTALEDAAWNLWPVNPSQASTSTSSSNNPNPSTSTVRHRGGHSTGAGGGSLIRTTPPPPVPDVDLSNIIAMAETVREVLPHVPDELILRDLQRTNSVSVTVNNLLQM >cds-PLY81848.1 pep primary_assembly:Lsat_Salinas_v7:3:32499518:32504045:-1 gene:gene-LSAT_3X24421 transcript:rna-gnl|WGS:NBSK|LSAT_3X24421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKMKHLLRKLHIGGGFNDNNNRLAAADPSSRSPATTTFHSSSSSPSMLPIVDGTGADLSSTAQNVNDISNSSTVDSNFFEEEFQMQLALAISVSSGSVETGQPDAETEQIKAAKQRSLGCSPSEPFVEFLSLRYWSNNVVNYDEKVMDGFYDVYGITSNSITQGKMPLLVDLQAITVSDNVDYEVILVDRMVDKELRYLEERVSTISLECQAAGTREIMSTLIQKLANIVVAQMGGPVSDADEMLRRWTLRSYELRNSMNTIILPLGCLDVGLSRHRALLFKVLADKINLPCSLVKGSYYTGTDDGAVNLIKIDNGSEYIIDLMGAPGTLISSEVQSYNLPNFGLDSVAATPQPDNVGKVGTSSSEVPSIIGSQSKGLKDGKVMKRNQTERFEYDFGNLLPILSRSHEGPSNAGGRTSPAQKLQIEDVSECVITAAKNPEFAQKLHAVLLNNGGTLPDSLLNVNNQVKGEADICETVHLLDADMLNVGGQHGQLLIMSNNEELHDNTNVTFFGLQTQQEVMSKKDIPSESTCESHSEGISLTAVEWEILWEDLQIGERIGIGSFGEVYRSEWNGTEVAVKKFMNQDISGDALTQFKSEVEIMLRLRHPNIVLFMGAVTRPPNLSILTEFLPRGSLYKLLHRPNVQLDEKRRMRMALDVAKGMNYLHTSNPVIVHRDLKTPNLLVDKNWVVKVSDFGMSRMKHHTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVFSFGVILWELATLRVPWIEMNSMQVVGAVGFQYRHLDIPETVDPVVARIITDCWHP >cds-PLY85308.1 pep primary_assembly:Lsat_Salinas_v7:5:326562484:326567815:-1 gene:gene-LSAT_5X181380 transcript:rna-gnl|WGS:NBSK|LSAT_5X181380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDSETVVAQSSAIQEHPSTTVNGDTMDVDNAIPHDDDRGSAGRSKATDVNFSNEAPSSTTQDVSENPASIANTSVDSSHVPAYHSSVNGNDSSDAKTLGISENGVGSYDEHNSASANQPHDGVSAIAALSPEEERLWSIVNTNSLDFTAWTALIEEIEKTAEDNILKIRKVYDTFLAEFPLCYGYWKKYADHEARLGFLDKVVEVYERAVHGVTYSVDMWLHYCVFAIHTYGDPDTVRRLFERGLAYVGTDYLSFPLWDKYIEYEYQQQQWSNLAMIYTRILEHPNQQLDRYYNSLRELIASRPLSELKTPEEVAAATNSKEEATIEESEGEVHPDTVEKEKPSKPVSEEAEEVESYIAIREELYKKAKEFDSKIIDFETGIRRPYFHVRPLNVTELENWHNYLDFMEGGDDFNKVFKLYERCLIACANYPEFWIRYVLCMEASGSMDLAENALARATQVFVKRQPEIHLFAARFREHGGDIVGARASYNLVHTELSPGLLEAIIKHANMEHRLGNPEEACSLYEQTIAIEKGKEHSQTLPLLLAQYSRFMYLVLGRVEKAREILDEALESNQISKPLLEALIHMESIQSLPKQINRLDSLVEKFIAPGPDNPNPASYIEREELSSIFLEFLDLFGDTQTIKKADNRHSKLFVHHKSATESKKRHMEGYLVSERAKLAKTGGGSPGTHNQWPAGYGVQPQVWSQAQGQQWPATYTQQAAAYGTYNAYGSGYAQPQPQAPAPTSVPQAAAYATYPASYPVQQAVPQQVYTQPGGAVAAAPAPAPAQQPTAAAPQSYYGTPYY >cds-PLY91202.1 pep primary_assembly:Lsat_Salinas_v7:3:76954740:76955427:1 gene:gene-LSAT_3X59720 transcript:rna-gnl|WGS:NBSK|LSAT_3X59720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQDFNTSTLGDANDDFFAELTRQILLLTDEDDDVQVKKKGGRRFHQRSVGGGGWSLMPGNYFFSWLEGGEVEVPGWMEKLWAANGGGTGVFIPRAVHRSRRRHNKPRRNNGRGKAHPATGLEDLGSS >cds-PLY81059.1 pep primary_assembly:Lsat_Salinas_v7:6:132302428:132304619:-1 gene:gene-LSAT_6X80060 transcript:rna-gnl|WGS:NBSK|LSAT_6X80060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPTTKDDAQALFQSLRSAYAATPTNLKIIDLYVAFAVFTAVIQVVYMAVVGSFPFNSFLSGVLSCVGTAVLAVCLRIQVNKENKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >cds-PLY96293.1 pep primary_assembly:Lsat_Salinas_v7:6:157341584:157346277:1 gene:gene-LSAT_6X96420 transcript:rna-gnl|WGS:NBSK|LSAT_6X96420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal fatty acid beta-oxidation multifunctional protein MFP2 [Source:Projected from Arabidopsis thaliana (AT3G06860) UniProtKB/Swiss-Prot;Acc:Q9ZPI5] MGGTSTISVGSDGVAIITIINPPVNSLSLDVLNSLKDSFDQALRRDDVKAIVVTGKFFYKVLCAKGKFSGGFDISAFGGVHEGKAMTTGAASKPGYVSIDILSDTLEAARKPSVAAIDGLALGGGLEVAMACHARIATSTAQLGLPELQLGVIPGFGGTQRLPRLVGLAKALEMMLTSKPVRGEEAHSLGLVDEIVSGDQLLETARKWALDILERRKPWIASLYKTDKLEPLGEAKEILNFARAQARRQAPNLQHPQVCIDVIEEGIVSGPRAGLKKEYEEFQILLQSDTCKSLVHVFFSQRGTMKVPGVTDRGLKPRQIKKVAILGGGLMGSGIATALLLSGYQVILKEVNQKFLEGGLGRVKGNLSSSVRKGKLSQEKMEKILSSLKGVLDYESFKDVDMVIEAVIENVSLKQQIFMDLEKYCSPHCILASNTSTIDLNLIGEKTKSHDRIIGAHFFSPAHVMPLLEIVRTANTSAQVVVDLLDVGKKIRKTPVVVGNCTGFAVNRMFFPYTQAALLLVERGADLYRVDKAITKFGMPMGPFRLCDLVGFGVAVATGSQFVFNFPERTYKSMLIPLMQEDKRAGETTRKGFYVYNDKRKASPDPEIKKYIDKAREMTGVSIDPKLEKISEKDIIEMIFFPVVNEACRVYAEGIAVKAADLDIAGVMGMGFPPYRGGIMFWGDKLGSKYVYSRLEEWSKLYGEFFKPCAYLAQRAALGAPLSAPLDQAKSRL >cds-PLY64787.1 pep primary_assembly:Lsat_Salinas_v7:2:101833071:101833280:-1 gene:gene-LSAT_2X46480 transcript:rna-gnl|WGS:NBSK|LSAT_2X46480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGISKAVRSSEKRKAVKGMDGRMKQKKLDEEKSEEPRRYRSVEVVNVDGRDCQENGGTTHINSDGNIM >cds-PLY88724.1 pep primary_assembly:Lsat_Salinas_v7:7:193865707:193867167:1 gene:gene-LSAT_7X114301 transcript:rna-gnl|WGS:NBSK|LSAT_7X114301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSRAIEIEVPVFIDTSLGTRIAISVSPHLTAAEFKRKFESIHSDCYPGIGNIKVNGLMIKKKSYFYHLPESMPIKHAFQGSKGTWFLYTEAYPLNKKIPSTPQSQVVVHNGLSRIKKRENEHKQGSDAYSFESVSVSVSGIIKKYFSVYDEVTSVNPRPQVPKYAPETPPTVTSVRSLKDLRKCSGVGNGVILAANNLGASSNKKRVISLCKDKCDKSSSHVRHPVFEIGE >cds-PLY76615.1 pep primary_assembly:Lsat_Salinas_v7:5:224313881:224315303:-1 gene:gene-LSAT_5X105260 transcript:rna-gnl|WGS:NBSK|LSAT_5X105260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSPYPTPITPSQTRIGWIGTGVMGAAMASRLLSAGYVLNIYARTPSKTLSLQSQGAHLVDSPSEVAKASDVVFTMLGHPSDVRQIVLENPDSILSSLNPGSVIIDHTSSHPTLAKQIFDAAREKNCWSVDAPVSGGDIGAREGKLAILAAGDEGVVKWLSPLFNLMGKPTYVGVAGCGQSCKIANQITVGGSLLGLSEGLVFAEAAGLDKGRWLEAVRGGAAGSIAMDLFGERMIGRDFRPGGFAEYMVKDLGMGLDVVEEEGDKVVVLPGAALSKQLFSGMVANGDGKLGTQGLITVVERINGKS >cds-PLY96513.1 pep primary_assembly:Lsat_Salinas_v7:5:336038966:336040823:-1 gene:gene-LSAT_5X190120 transcript:rna-gnl|WGS:NBSK|LSAT_5X190120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLVKYSVVDAFTDTAFKGNPAAVCWLEEIEKDDKWLQSVATEFNLSETCYLTPIVDQGSENPRFHLRWFTPVAEVELCGHATLAASHFLFESGLVKSNTVEFSTLSGILTAKKVPESSNENGASQASFLIELNFPVVPVSDSNDVEVSTIISEILNGVSVVDVKKTASDDILVVLPSGKEVAELKPQFDKMRKAPGRGILITGLAPNGSGFDFYSRFFCPNYGIDEDPVTGSAHCALAAYWNDKLEKCDFAAYQASPRGGILDIHLDNKNQRVLLRGKAITVMEGSLLV >cds-PLY92529.1 pep primary_assembly:Lsat_Salinas_v7:3:253374029:253376180:-1 gene:gene-LSAT_3X139541 transcript:rna-gnl|WGS:NBSK|LSAT_3X139541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKMEDMSLPTLFEQAHKIHLLATDSAVDKETLRKGCEALQQCEEMISKLGLFSANETKEDISTTNLKYILVPFYIAELIEKSGHDDRIENLKVSQSKIKEFLAFCDAMELVPEDELETHSVVDRRAKKIARFKRQRAAESKLLELKERKERRGRSTKAAAPLSTSTLSPRDDDNDDDDDDDVLDDDEEREAWLTTISLAICKALDLIEMLKKEEEMLSAIKEKQAQEGGIEISEAILEERAKTVQDWHRNAAARARYMKPATPITCATFAQDVLEGRANVSQPHDHKHQQPLLFGPASLVGGGSLLTNDRERIAAQVFQPGHRLPTMSIEEAGMKEMDMMNKWQERTAKMIEEANSSWHNDKWKPRAGGGDDEDDDDDDEDRARAFDDWKDDNPRGAGNSKLTPCG >cds-PLY85111.1 pep primary_assembly:Lsat_Salinas_v7:1:45721255:45722550:-1 gene:gene-LSAT_1X40661 transcript:rna-gnl|WGS:NBSK|LSAT_1X40661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSLHPHYPATQNLEVTQWRNERYYRRRVPSTNATEDRHHHRLLLSPISTLNWRVSPISTLNRRLILFTFSSLSMLLIWSSPSKSKGESSFLAPYITPEAPLKGFPVWLKYVPGISFITDNEPFKAAMQGFIQKIVGMLKAENLFESQGGPIILSQIENEYGAQGKSFGAAGKAYINWAAKMAVELNTGVPMRSHQP >cds-PLY69118.1 pep primary_assembly:Lsat_Salinas_v7:5:286232806:286234731:1 gene:gene-LSAT_5X152381 transcript:rna-gnl|WGS:NBSK|LSAT_5X152381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMMAFKLSPFSSSVPPTPAHSSISFSSKKFFLPMKLSSRRPTFPRIYAFSSNDIKVGSNIEVDGTPWKVIEFLHVKPGKGAAYVRTTLRNHLTGNSVEKTFRAGSKIEQAEISKETKQFTYKDGPQYVFMDLTSYEEVRLNESDMGDKTKWLKEGMDCILLFWKGKVIDFELPIQVKVTVVEVDPGLKGDTAQGGTKPATVETGAVVNVPLFVERGQVIVVDTRTGQYVSRV >cds-PLY88364.1 pep primary_assembly:Lsat_Salinas_v7:9:17987332:17989734:-1 gene:gene-LSAT_9X15501 transcript:rna-gnl|WGS:NBSK|LSAT_9X15501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRSSFSLLKQGVTSAVVRSKPVSFGWRKTGFVESNNKLCSCLFSTSAAGGCGGVGLGQGEKGNDNNDSITFAEAKKLMRLVNVESLKMKLTTEGKETICYSELLEACESMGVTKSNEEAKAFVKVLDEAGVVLIFRDKVYLHPDKVVDLVRRAVPLALTPEDDPRREELRILQAKQDEIDMLAHKQVRRILWMGLGLSLAQISLFFRLTFWDLSWDVMEPIAFFTTASGLIIGYAYFLFTSRDPTYQDLLKRLFLSRRRKLMKKHNFDMVRFMELQKKCKSPLDSHPAAKHEIAGVELETRDLLHRH >cds-PLY74511.1 pep primary_assembly:Lsat_Salinas_v7:7:33413382:33413882:-1 gene:gene-LSAT_7X25860 transcript:rna-gnl|WGS:NBSK|LSAT_7X25860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTLNFHYEDVSRQDPLLKPNHANVMEVPGSCKIRVVPKAAPSDFIIKNGKLAMEIPCGQKLIQTQRASTGKEFRSNPFLGKNQDKKGYVSDLARQSTLRGHGMSHFLVRISAVMSLLDFPVEIREKSIXQAPNPVCSFLGSRSNFKHFHFDIGFPFSRCLRASKV >cds-PLY85713.1 pep primary_assembly:Lsat_Salinas_v7:1:135710609:135714758:1 gene:gene-LSAT_1X99660 transcript:rna-gnl|WGS:NBSK|LSAT_1X99660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSYEATRVVFTRIQNLDPEYASKIMGLLLIQDHGEKEMIRLAFGPESLVHSVIMKARKELGIPSSNAPSTPSSPASPSPFSSSHSLSRQNSGNSSTASRLVNGGVTNLPSPLSIPSLSSSWTNSNFSDFQPSEDHHLNSSAPPFYGGSSEPDLIDELQIQDQLSFLNDGSPTLGPKPTDFFYPDLMSPNGSGDMYSPWSGGGGGGGGGGGPHRRSSSANDILFGGGGEDPNGWKPCLYFARGYCKNGASCRFTHTAGGEADIAAMTVGSLSKLDVMEQCQELLRSKSTHQQRLLMAAAGSSPNFPFPNSPKSMNFLLQQQPLQSDSPRMAAAAAAAAALMMGEEMNKFGRSRLDRSDFSMGMLNPGSRQIYLTFPADSTFREEDVSNYFSMYGPVQDVRIPYQQKRMFGFVTFVYAETVKLILAKGNPHFVCDARVLVKPYKEKGKVPDKFRKQQQQQIDRSEFSPTGFDSRDPFDLQIGARMLYNSQDLLWRKKLEEQADLQHAIELQNRRIMDLQLLDVKRNHHHHHRALSTGAAIPSPTCYSPSYLNHSSVFASDTRSSSSPDSEDGLMSKMVTPVTINVDETLEVNLNLEKEKESLEINKKDENSNGKSSPHHEEDIDLPESLEHNLPDNLFASPKASGDYRTVFSNDDEISGKNIPAVSSTPEKFSGNNLITSPLLPATSTLDLASLKSCYFQFPRPTVLDSMVVVQEFQFQCELDSLSVETLRPTMQTKSLIHIGIETRTRVSIPMWIKVFFGSRCDWNVVLNLRSFSFLSVGRR >cds-PLY90478.1 pep primary_assembly:Lsat_Salinas_v7:2:7727917:7728576:-1 gene:gene-LSAT_2X5441 transcript:rna-gnl|WGS:NBSK|LSAT_2X5441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPKHYPRSENLWMTETMPMLLLSLSNYLANPPPDGIGTITITEDDKIKVKGCDWSVILLAASSSFESPFAKPSNSTKNPKALNTLNSVGSYEQFYARHLDDYQNLFHRVSIDLSKTDSKVVTENMVATSKRVKSFKTDEDTVIICVFHVQDLELSMQTFRVYGMIKSHHHGSKPANLQKQFLGFLAL >cds-PLY63384.1 pep primary_assembly:Lsat_Salinas_v7:7:149609375:149611036:-1 gene:gene-LSAT_7X88601 transcript:rna-gnl|WGS:NBSK|LSAT_7X88601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVVVSPAPSPEDNHHLHLHVLHQAPASAPASWLPNSTSKPPVRFSPPLIAMVVIIATAFITITYSRAISRRFLRLRRSWRQWRRRRRLLRSYVPSSVGNGDIESPAYSGEESYDPTYGYQMFSPYGLDDAVIKTIPLSVHSRKSSVHECAVCLLEFEDNDYVRTLPVCYHAFHVECIDVWLRCHANCPLCRASVFRPESPFIPVMSARIRPNFDDVIIESPIIESSPVPEHVSHQVDSTISVSEITQEASPIRIPSEDRFIRRDFLLKRSYSFGFERNLGSERLVIEPATASPWRYRRGGGSFWSKRPSPFSTKSRVFSFRYYRGMKSPFFRRRGGSFLPLSESSVRYADSGGGGGSSSRRRKSFASPIFMRQSGVNSGMFSSSRLRSGDPEALLSPERYNRR >cds-PLY63592.1 pep primary_assembly:Lsat_Salinas_v7:4:134835151:134835849:1 gene:gene-LSAT_4X83860 transcript:rna-gnl|WGS:NBSK|LSAT_4X83860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSRNQLQVTHVQSQEGVKVCDCVVPAKERTCWKITNPGRRFWNCQNSIVGPDSLDFDKLRKCSFFEWKDEEQADGYYKNLLYSLKQKLDAKDEMSEMNNLRRRIAEVEFLLSQEQYKVAKSEKEVHDAVKAIGRYRMIVALLVGCLALCILKLGGQCS >cds-PLY96147.1 pep primary_assembly:Lsat_Salinas_v7:3:98650926:98651796:-1 gene:gene-LSAT_3X74580 transcript:rna-gnl|WGS:NBSK|LSAT_3X74580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPLKMPIRCKKSAKIDGDSTTSPVVSSESMIKVEDILENSVVGDVNKAINEGGEPDVGGDKVTEDLPLDKVVAGIESRISAWTFLPIENGEAMQIFHYENGQTYEPHWDYL >cds-PLY86113.1 pep primary_assembly:Lsat_Salinas_v7:5:39440383:39440547:-1 gene:gene-LSAT_5X19461 transcript:rna-gnl|WGS:NBSK|LSAT_5X19461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRKNDQGDRRSAFPLAAADKGSIAARGVSPFSIDYIIEPPWLIAFPSSLLDR >cds-PLY99171.1 pep primary_assembly:Lsat_Salinas_v7:6:129222899:129224101:1 gene:gene-LSAT_6X78280 transcript:rna-gnl|WGS:NBSK|LSAT_6X78280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSFMIEFAHLRIPLKSIHNATNNFAECNFIAEGAYGKVYKGEFSLSKGQTMGAVKRWNPSNEEGIPEFRREIMLLSDNRHENLITLLGFCDEEKERILVYEYAPNKSLDFHLENPNFTWVQRLKICLEAARGLQYLHNPKGAQRVVHCDIKSANILLDENWNAKVSDFGLSKYGPATLTRSYLITQAKGTYGYADPAFLETMIYTKESDVYSFGVVLFEVLCSRFCIDFSCDNERRSLLVWVKKSSKDEIRDKVIDSNLRQQMEQDSFDTFLKLAFQCVEREPKRRPSMDLVVRTLESALKYQEKGRLISKLKSASGVLSGIAVAAVLL >cds-PLY85332.1 pep primary_assembly:Lsat_Salinas_v7:MU040991.1:17873:18382:1 gene:gene-LSAT_0X12861 transcript:rna-gnl|WGS:NBSK|LSAT_0X12861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPCMVLVRGCLLKLEVMNHALGVLSSRHSSDMEQYTIPFLSCVLHNQLGDHNMSEGGISVGRGYGSFWGRGPYLDLLSYGFETWLDFLMFFDMGPCMVAVRGCILKLEVMDHIMGVLSNYHYGVMEQETKLFLSHVLVQQPGDHATSVADTGVARGYAKFGVGLISTI >cds-PLY83206.1 pep primary_assembly:Lsat_Salinas_v7:1:49582463:49584399:-1 gene:gene-LSAT_1X44081 transcript:rna-gnl|WGS:NBSK|LSAT_1X44081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKKFYKTPDLSGRSKQKRSSDVNINIPIPITQFHPSKESNNRSRKGCSSSLIDEDDYGKSPGVVARLMGLDSLPNPTSSFNDTQSNRFFDSRYVRKRIELEQKDFHQQIDRKHKVIEKFQAESLPQKSDKSVHVTRQKLLSPIKSSGFGSSDDPIRIMQVGSKTPSRKPSESNAAKSLKGQSMNKSWDGCLERKPFMEDGKKSVSLALQAKINVKTREGSSTLRKSSTNSVLKQNNQKQNCLNGRGLGKPNAKSPVTLPNSQCRKPVSGKSSSKIPAKSMNKTVNQRKRDKNEGDGYVVRSNGSDVVSFTFTSPISRTPIRSTEKKNTFLADNHGTLLDQKLREINITTIFQDKRNQGRIYKDDSCPGFSSNVPLFKDQELVGVFSQKLTTMEVETESEPSYVDNILVNIKSMFEDFSVGRTQKIVNPRLFDILEAKRPILGKKNEAKLRRKLVFDCVSECVDSKCRVWLRGLLVVRRKKRLAKEVCNKISGWERMKDCMVDELVDKDMSSDQHQKWLDFDVEAFEVGVEIERRLLDSLINEVVADILVL >cds-PLY87724.1 pep primary_assembly:Lsat_Salinas_v7:1:4312956:4313348:-1 gene:gene-LSAT_1X3761 transcript:rna-gnl|WGS:NBSK|LSAT_1X3761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTWIQLFLSKLQPFFSYVYLFLHPLKYSQAFQPVFCRQHYLSPSQCPIDYSYTNNLSVVQQRTANKSSELHSIYSPRWSSIHYVSEILQLMGDLELFPEDTNLPTTPCHSSQLGTPVAAWGSLRRQGLVG >cds-PLY95133.1 pep primary_assembly:Lsat_Salinas_v7:1:94193211:94196809:-1 gene:gene-LSAT_1X77581 transcript:rna-gnl|WGS:NBSK|LSAT_1X77581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANPTNAAEGRRQMFESFYSVIALVFILVACVELCDASTVVDVYRLIQYDIAGVPFGSRVASLNHHAGSSLFAPGSDLSRTVVIFPLSELNETFIREYIEQGKPLGGLLLLLPRIFHPDNSETVGGHGVSSDKENLKKILVNLEQKLIHSSIHYPVYFAFEDEDLDSVLADIKKNDAAGQPATATTGGYKLIVTSPTPKKLTSPTITNIQGWLPGLKGDGDSNQLPTIAIVASYDTFGAAPGLSVGSDSNGSGVVGLLEIARLFSILYSNPHTRGKYNLLFGLTSGGPYNYNGTHKWLRSFDQRLRESIDYAICLNSLGSGENGLWVHVSKPPENAYVKQIFEGLSDVAQELGLKVGLKHKKINISNSRVAWEHEQFSRLRVTAATVSGLSVAPNLLENTGGLSDNREFVSEDAVVQSVKLVAESLARHIYGQQGKKMEIFADNTSLGVNPFYIKSWLHLLSTTPRVAPFLSKDNPFIMALKKELADHVAEVNLQHDVLDGMFTFYDSTVATLQIYQVASVTFDLLLLLVLGSYLITLFSFLVITTRGVDDLISLFRRPPSRKAKAA >cds-PLY98433.1 pep primary_assembly:Lsat_Salinas_v7:7:90076000:90079540:-1 gene:gene-LSAT_7X62601 transcript:rna-gnl|WGS:NBSK|LSAT_7X62601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTRLELYCLRCCPGGKRTLDEEQWGLAPWAQSQIKEGKVNEIIDSRLIRQISKKCLKQFVSIAGHCLRTKPKDRPTMAEVVFKLKSILSQEREKKISVVNKEKLLYKVRDFFTCNFDMMSDGRVRSQSVMSDVAVRSKFVVPDVTGGRKSLMSGVAGGSKSVKKDDKVLSKSDFSAQNITKSTTGSIRIFTYDELVSATNDFKDMEGSPTSYGSIYKGWVDEKSYAPTESGVGLAIYVRKEEILTWKLDLKILEFSHPNITKLLGYCLSNVTMFWVYELIPGICLDDHLFRAPDRTPLSWAARLKIAQGAAKGLSFFHQKNLPAYNSFDGNHILVDRDFNARLWDYKIDNLLAPTGCSTFKRDKLDCIFGSMPGDESGVQSEIYDFGVVLLKMLTGMKKYDERIPLQQKNLMEWSTPLLANEVNLGMIMDPQLQHNDHPPKGAFQLAQLVLKCLQPTRGKNLSIEEISQALYQCYQEEITSV >cds-PLY92561.1 pep primary_assembly:Lsat_Salinas_v7:7:163342129:163342638:1 gene:gene-LSAT_7X96060 transcript:rna-gnl|WGS:NBSK|LSAT_7X96060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSEYKFTSRRSYSSCRDLPHLSAELHWTYNSSTGIARIAYRARQGPRGWVAWAVNPNQIGMVGSEAIVAFHNGNGSMRVYTTLINSYSPSMVPGNLSFQVSGLSAESSVNEIAIFADVGPFEGGSVVNQVWQSGNLVLNGVPQMHAVSQQNLQSTGEIDFLYDQEKHR >cds-PLY88249.1 pep primary_assembly:Lsat_Salinas_v7:4:154588159:154588724:1 gene:gene-LSAT_4X93861 transcript:rna-gnl|WGS:NBSK|LSAT_4X93861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPGSGPDPAPSALGSSNSIHGTNLPSASSPLNPAVRFIYFNVCKGREDTGSLERGRYRYNQMLSARNANQLSLHASPMSEFERANLISWTRQLARNGKLLDLFDPNIHSLDQEQALLCITTALLCLQRSPVKRPTMREIVGMLSGEAEPPNFPFEFSPSPPTNIMFKSR >cds-PLY70130.1 pep primary_assembly:Lsat_Salinas_v7:3:11871658:11873241:1 gene:gene-LSAT_3X8741 transcript:rna-gnl|WGS:NBSK|LSAT_3X8741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADWALQAVIRPKQYDDDYFGSIDTKKEYDQALLFCFPHLFDHQASTPSMETVVVGDEVEQLYKPLYPIFGTEIELPPPPPPAGAADADLQVIHHHHHHHDSYHEVQQDQEQADIKTYCGSASKISQLAPKLKKRKNQQKRVVVQVTADGLSSDPWAWRKYGQKPIKGSIYPRSYYRCSSSKGCMARRQVEQSCTDPSIYILTYTAEHNHPQPTRRNSLAGINRNKFKTTPKSPTISDGCKSVPITMDSPFNSPTTPSVSSNDEEVLQQSNIKQETMFYSNFDDQGHTMMTGMNDEDHMVFSDDFFEGLEDLSSYNCSNRQFPHVFS >cds-PLY99243.1 pep primary_assembly:Lsat_Salinas_v7:6:184856177:184859780:-1 gene:gene-LSAT_6X112340 transcript:rna-gnl|WGS:NBSK|LSAT_6X112340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNQRFNNRSLPNKTQQQRFVPKAAASQTHNSKPTLSDSLRQQQQQSSSSGTSKSSSGQRGIQAGNFISYLPQDEAVAAGLGVAEGGLDPVESQGVVDLLNRECSILLKLNPKDFWREVASDTSLHAFLESFLKYRSRWYDFPYRGAKGIVAGVIVGEHELSRRVFMILYRISSNRDPGAKASDSLSAKDHAVIHVVILQEKKLLDLPKLLDICAIYGHENEDLTKLIVTNAIKAQPWIHDSFNAVISHFLNIVHTMYERCSTSLEVLLSSHNAQDDGLTQLHSDYLEVMDFINDAIVSMDALVAAYNHAAVFFSCPVETSFGSDELVQILARLHDSLLPNLQRGFKILFSSKKIGNESISSEMLPKVVISLKMLSSRIVDFCWKLVNLCYLNEELFVESARLPFASKIFPAQVEDPVIRADILVQTFREISEECSIVQEERSVNSLLQSVEKKYQLMDRLQLLVNEDEASKSFALQSVAEYEDEYDDSFDDLGLSVVDSGLDDYSEKVNSNDTSASEASRWGSRQKPQFFVKDGKNYSYKVSGSVAAANYNEANIVNQAQKETIHGLGRGGNLPLGAVQKVVEMNDVVGGRGSNKGKDNNAPVRTSDGVNRGRGWGRGNVGKEQNVVEGNDGEDGGERGRGGRGRGRRGGGGRSNHYRKDQAMKKHFSGLGGF >cds-PLY72683.1 pep primary_assembly:Lsat_Salinas_v7:6:27384805:27386904:1 gene:gene-LSAT_6X21100 transcript:rna-gnl|WGS:NBSK|LSAT_6X21100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTANRRRHDACNSDSYQIDSGVTSFDIAAGNQGQGGNEGIISMWTYYMQRLLILTVSLVYHLIESGVPPSSSQGINGLVKACIDSGFFYVTNHGISGEFMDEVFAESKKLFDLPLEEKIKLLINEKHRGYTPVLDELLDPTNQLHGLFKLKKDFILVSSFLKMIDPEAQRKFYGSNLWPDSDILPGWRQTMEKYHQQAL >cds-PLY83892.1 pep primary_assembly:Lsat_Salinas_v7:3:52275861:52276067:-1 gene:gene-LSAT_3X39700 transcript:rna-gnl|WGS:NBSK|LSAT_3X39700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGASYFFWASDWNGRNAERPGLVTQLQHPTAILLSSTATATKGKKSGSKADRRRGTRSKSGNQEAPVV >cds-PLY71906.1 pep primary_assembly:Lsat_Salinas_v7:3:25318528:25318821:-1 gene:gene-LSAT_3X18781 transcript:rna-gnl|WGS:NBSK|LSAT_3X18781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFMITQAFAGHLGDLELTAIAIGTSVIVGFNFGLLLGMASALETLCRQAYGAKNYRMLGVYLQA >cds-PLY80588.1 pep primary_assembly:Lsat_Salinas_v7:6:14364403:14365573:1 gene:gene-LSAT_6X12600 transcript:rna-gnl|WGS:NBSK|LSAT_6X12600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCRTNPDHRRSVAACLVQGVYIIERDRQENRQPPLAPPWWQFFNFDLHSQLIDDADSCIFGAIYKFKNPISESTPSYIIAFRGTLTKGNAFSRDLELDIHIIKNGLHQTSRFEIAMQAVKNLVSGQTSKSNIWLTGHSLGSAMALLAGKKMAKSGILLDSYLFNPPFFSAPLENIKDQNLKHGIRIASSFLTAGLAVAAQIRNANQQRNNNTPEDLFLALAGWVPCLYVNPGDHICSEYIGYFEHRKKMEEIGAGGIEKLATQHSISGVFLNAIGKESHEPLHLLPSANLTINLTPAVDFKEAHGIHQWWISNQKLETRVYS >cds-PLY85859.1 pep primary_assembly:Lsat_Salinas_v7:9:128858492:128859397:1 gene:gene-LSAT_9X84161 transcript:rna-gnl|WGS:NBSK|LSAT_9X84161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARITSILFFKLKHQTLFSSTSLFRHHLPPLTVPIPPTPPNESFFSRLFNSTTTTSSQQTTTPKRNTKVNFSLSDSESDDDSQSPPTPAVKEINKSKLPPPYDPFKKTPVIQEPDDPTNLQEVFHKIRTEGLNDSAVKMFDGLSKDGLTHEALELFSQIKDKGQMPDVVAHTAVIEAYAAAGKAKEALKVYTRMLASGVLPNAYTYSVLIKALAGSGDQKLLGEAKKYFVEMMGKGIRPNPATCVVVMEGFVKVGKEEEGREMVVKMKALGMAPDEKGVREILKNKRGQVFRSVINILFES >cds-PLY71085.1 pep primary_assembly:Lsat_Salinas_v7:2:162305049:162310475:-1 gene:gene-LSAT_2X85201 transcript:rna-gnl|WGS:NBSK|LSAT_2X85201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMQSPKVHSLPSTTAGRSESPDDSTNAKLQEATSTLSQISKVIDSVKKKVDADRCVYIMKRMKENQMKLAEITKNHHRLSVERRNLRIINGENIINLLEKRQKDAIDMQDGVDISSEEDEHVSVVLLGSGIPVKNSVRPINLPEMEKLPPYTTWVFMDRNRRMTEDQSVVGRRRIYYDRNGGEALICSDSEEEVIDDEEDKKEFVDSEDTIIRMTIEQLGLSDTVLELLAQRLSRKPCEVKARYEALVSRENKYEDTDFNLNSFLDKDLEAAQDSLDNLFCRRCLIFDCKLHGCSQELIFPAEKNCTWNIKDDENVPCGPHCYLKVQNLEANPATSPMELDTQHTNTLSSPYNIRQHGTLQRNTKSTPNHGSKDTDFPSNSHKDYKYWRTIEKSLFEKGLEIFGRNSCLIARNLLCGLKTCTEVFNVLKCYNNNLSSQVNNITNSQSDSCKVESNNNMIKRSRLLRRRGRIRRFKYTWKSGGTHSMRKRISDKQDLPCRQYNPCGCQSTCGDNCSCLANETTCEKYCGCPKTCKNRFRGCHCAKNQCRSRQCPCFAANRECDPDVCRHCWISCGDGSLGTPSKKGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLRNSVAKHEYLGEYTGELISHHEADKRGKIYDRENSSFLFNLNDQYVLDAFRKGDKLKFANHSPNPNCYAKVIMVGGDHRVGIFAKEKIWAGEEVFYDYHYAPDQTPAWAKKPGESGPKREDMASSSGRAKKRA >cds-PLY76007.1 pep primary_assembly:Lsat_Salinas_v7:5:319863386:319865358:-1 gene:gene-LSAT_5X177320 transcript:rna-gnl|WGS:NBSK|LSAT_5X177320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPNDSPYSGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKSKYEATARSWTQKYAMG >cds-PLY99646.1 pep primary_assembly:Lsat_Salinas_v7:6:82184185:82187460:-1 gene:gene-LSAT_6X58461 transcript:rna-gnl|WGS:NBSK|LSAT_6X58461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHLLHQFIIFLPDRLRLYCIDVFSTFWLLTLVVKEEGGSAVPYQAPIQVLSDGLLRQATYTTTRLGTFRILRNKALEANDGKPLPLYQKSFCGLTAGAIGACVGSPAKMALISTIVGKIKVLFPFFNCKKSAAESRNPASQNVVILIFHRSVESGFQKGMDMASSSRAQVFSRLVISQNQMGCLLAKSGSIVADMRKMTGAFIKIVGDHQVPKRAPETDQVVLVTGEMINVRDALYSVTGRLRNNLFSNKMSNTHETGTGTKRMGQDISTGSTFVGRSATIAVGKVLPELNGKLTGMDFRVHGLMGLTNQIVLRYVPQLPLIQARCSQLVGHQIF >cds-PLY70534.1 pep primary_assembly:Lsat_Salinas_v7:1:76652564:76654400:1 gene:gene-LSAT_1X63880 transcript:rna-gnl|WGS:NBSK|LSAT_1X63880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNKSLRFSSIFIALIIVFYAPFAIAQEVDDESEFTYDVNSPNGPDHWGEIHPEWSLCNQGDMQSPIDLTHKKVQTTSSLGRLDRDYKPANSTLINRGHDMMLRFVGGAGHIHINGSEYQLNQLHWHTPTEHTINGRRFNLELHMVHESTNGKIAVVGIMYKIGRPDPLLSMMEPFFKALASTRDVEKSVGIIDPRKIKIGSRKYYRYIGSLTTPPCYQNVIWTIVKKVRTISRAQVHAIHEAVHEEAEANARPIQPLNNRWLKLYRPDDYQNY >cds-PLY66704.1 pep primary_assembly:Lsat_Salinas_v7:6:79738317:79743270:-1 gene:gene-LSAT_6X55321 transcript:rna-gnl|WGS:NBSK|LSAT_6X55321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIESFPGSSGYIDAGVDKKITYFSNTYVLGLTVVAGIGGLLFGYDTGVISGALLYIRDDFKAVDESSFLQETIVSMALVGAMIGAAAGGWINDAYGRKRATLLADVIFAFGSLVMAGAPDPYVLIMGRLLVGLGVGVASVTAPMYIAEAAPSEIRGGLVSTNVLMITGGQFLSYLVNLAFTEVPGTWRWMLGVAAVPAIVQFFLMLFLPESPRWLYMKKSKSEAIVVLSKIYDPYRLEEELDQLSSALEEENQRKNAVSYMDVFRIKEIRLAFFTGAGLQAFQQFTGINTVMYYSPTIVQMAGFSSNQLALLLSLVVALMNAAGTVVGINLIDHFGRRKLALSSLSGVFFSLILLSVAFFLESSGFANVGWIAVLGLALYIAFFAPGMGPVPWTVNSEIYPEAYRGICGGMSATVNWISNLIVAQSFLSVADAVGTGWTFMILAGISVAAFGFVVAFVPETKGLTFDEVEKIWKERAWGNGNGNGSESESLLETGEES >cds-PLY97326.1 pep primary_assembly:Lsat_Salinas_v7:3:138157973:138159723:-1 gene:gene-LSAT_3X91640 transcript:rna-gnl|WGS:NBSK|LSAT_3X91640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNDSRIAASLLRLHFHDCISNGCEGSVLLDATNSFQSEKDANPNRNSARGFELIESIKANVEKACPGTVSCTDILTLAVREAVFLSGGPYYSLPMGRRDGITANITLANTDIPSPFEPLDNITSKFTTKGLNLKDMVVLSGAHTIGFAQCFTFKQRLFNFDGSGGPDPLLDASLASSLQTVCPNQDDSNTNLVPLDAVTTTKFDNVYYRNLVNNSGILGSDQALMTDNRTSGMVLNYSKFPYLFAKDFGASMVKLSTVGVITGQNGQIRNKCNVVN >cds-PLY64402.1 pep primary_assembly:Lsat_Salinas_v7:4:22746645:22747778:1 gene:gene-LSAT_4X16040 transcript:rna-gnl|WGS:NBSK|LSAT_4X16040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTHNILKSQIKESVLHYQLGCLYASNTLGYTELPDKVPDASILDSDEFLKKFHHALLELQLEEGSLICPKTYRQFPVKNGSPNMILHEDEMRLPSKEEAKNRKAEAKKEIKQAMKKKKGETRSKRSRKPAGRTTGKNQIDWIAKNKPKIGFIPMSSDIWGDYNP >cds-PLY78436.1 pep primary_assembly:Lsat_Salinas_v7:2:167817360:167819071:-1 gene:gene-LSAT_2X88600 transcript:rna-gnl|WGS:NBSK|LSAT_2X88600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGIRCNPVIVLYFILAAIIPSSSTSMSEIAAVDRRLVDKKKNGCVAYNAIDKCWRCDPNWAKNRKRLADCAKGFGHGTYGGKLGRIYVVNDCSDNDMVNPKPGTLRHAVLLPEPLWIIFSGTMNIKLRQELIFTCDKTIDGRGFEVHISGGAGFMLQFVRNIIIHGVHMYDIVEKSGGMIRSEPHHVGIRGKSDGDAISIFKSSQIWVDHCSFANSYDGLIDIVAASTNITISNCHFVKHDKALLFGASAEMPEDKIMKVTLAYNHFGKGLTQRLPAVRFGFVHVVNNDYTQWKSYAIGGGDGATIISQGNRFIAPDGACKEVTNRRQVPESVWRKWTWRSEGDLLLNGAYFRESGNPHWAKTYKGPPLIPAQPAESVAKLTKSVGANLGCKVGVPC >cds-PLY79130.1 pep primary_assembly:Lsat_Salinas_v7:9:90626652:90627281:1 gene:gene-LSAT_9X70101 transcript:rna-gnl|WGS:NBSK|LSAT_9X70101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRGPTYLNYPRMEKLLIDNTYEASKSIQTIYKLKREAEMRMEELRKELKTLPEDDRPYVD >cds-PLY95405.1 pep primary_assembly:Lsat_Salinas_v7:9:188830062:188831379:-1 gene:gene-LSAT_9X115420 transcript:rna-gnl|WGS:NBSK|LSAT_9X115420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLGRGHRASSNPKIRQIKGRPAIGLNPDSVLIFRLPNSRVLGIISRSLFLALFILVLPSIGSLVRDASEEDSHDFLPMVFKDLVVEGLYKDGHKGLLLSSGIGDLFDSLWFLKDYGIDLVTDSDADRQMVIPNEVFDFVFASSFENMKFINRVVKVDGIVVMPLGNYYDRSYEFLKQSNYKIAYLRQFDSITVVAMRKIDCEGEENVFGG >cds-PLY79516.1 pep primary_assembly:Lsat_Salinas_v7:1:33656209:33661067:1 gene:gene-LSAT_1X33201 transcript:rna-gnl|WGS:NBSK|LSAT_1X33201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGNAESPLLTTYNVEDIGPIKGVNDFFVQFGIESKKLWYLAAPAIFTSVCQYSLAAVTQTFTGHVGTLDLAAFSIENSVIGDFSFGIMMGMGSALETLCGQAYGAGQLDMLGIYMQRSWVILFVSALIMMFFYIFATPLLLLIGQTEAISHAAGKVAIWMIPQLFAYAFNFPIAKFLQSQSKIMVMAYISAGTLIVHTFFSWLFMLKLGWGLAGGALVLNLSWWMMVIAQMIYILSGTCGRAWSGFSWDAFNGLWGFVKLSMASAVMMCLEIWYFMVLILFAGYLKNAEVAVDSLSICTNILGWVVMVAFGFNAAISVRVSNELGASHPRTAKFSVVVVVTSAFLMGVLMAAILIIFRHQYPAIFVESIEVQHAVYALTSLLAACIVINNIQPVLSGVAIGAGWQAVIAYINITCYYIFGIPLGLILGFVAKWGVKGIWIGMMIGTVIQTLVLVWICYTTNWEKEASMAENRIKQWSGKKPKPVEGEK >cds-PLY79708.1 pep primary_assembly:Lsat_Salinas_v7:8:124769889:124772382:1 gene:gene-LSAT_8X86361 transcript:rna-gnl|WGS:NBSK|LSAT_8X86361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METMTTARNDNSRFPFTSSQWQELEHQALVYKYMISGMPIPPDLLFSIQRSLDSSTRLLLHHHSPHHSIGVGWNCFQMGFGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVEVNSAPNTPPALSISTKPMMNYPVSPFLYPQIQLSSSSSSRAPVNGNVVSSHQDRFWLESSSGYSSRSEKDNSYGMKEEMAEHPFLSERCGSGTMSASMGGDSWNLEPLAMNNNNTSSSSSSSSSLMVHTKQRAYYDYDQLTLKINQRKDEQPKKVMHHFFDESPQNDDVDGGNKDSSTTQLSISIPNGARDFFQTHNRNFNSFFFFPFGVVYLIKTMKSNYSFSMCCR >cds-PLY94109.1 pep primary_assembly:Lsat_Salinas_v7:8:29944014:29944908:1 gene:gene-LSAT_8X24340 transcript:rna-gnl|WGS:NBSK|LSAT_8X24340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSLVVSRSALLRLSMDSSSSRSAFRFFSDQGRVLNDEERAAENVYIRKMEKEKLEKQKRKEEKEKAEKEKAEKVPGRCSSHTAAEAVASISEKNNSWPWGLHKFSLGLYFQ >cds-PLY91089.1 pep primary_assembly:Lsat_Salinas_v7:9:124484642:124488122:1 gene:gene-LSAT_9X81801 transcript:rna-gnl|WGS:NBSK|LSAT_9X81801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEINPIGYVPALMDGDIVLADSLAIILYLEEKYPSHPLLPHDLAKKAINYQVANIVSSSIQPLVMLPVLNYIGENVGPEAKIQWVQKQAGKGFTALEKLLKDYAGKYATGDEMSLVADVFLAPQIIGLSQRFNFDMSEFPLLSRLNEAYKHVAAIQDAMPEKQPDFPIN >cds-PLY97018.1 pep primary_assembly:Lsat_Salinas_v7:2:35542900:35543858:-1 gene:gene-LSAT_2X16340 transcript:rna-gnl|WGS:NBSK|LSAT_2X16340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNKHTWTTEEDAKLIEALLELHVSGKYGGADNGFKPSYLKAVQQLLDVSLPNSGLKAEPHIKSSMKTWKNHFNIVHDMVYETNTSGFGWDTDKCCVTADAEVWDEYIKSHKGVACFRDKPLPQFDNLCKIFGKDRATGLGAIDLGEDVTEETQRSSHVDVEGLEDIVKETQQIARGNNKRKRPPTDDTESSYKEAAKEMKETFKEVGEKLNETIYNIGMHENKEACDMIDKVIEDIQHMPNINVKQRIKAIDMFSKDQFRARAFFKMTEEEKICYMEMIGDGSIS >cds-PLY80246.1 pep primary_assembly:Lsat_Salinas_v7:6:124272900:124275029:1 gene:gene-LSAT_6X74860 transcript:rna-gnl|WGS:NBSK|LSAT_6X74860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDAMLVGQAQIWRYLYGAFDGMALRCCVDLRIPDIISNNNRPTTLSEIASGIDSPSIDVDGLKRLMSFMVYRKVFDEEDGEGSETIYSLNHCSKLLLSSTNVTLAPLVMMFTNPVIGLAINALSQSVKEGGTAFKHTHGEEFFDFTLLNSGFNRIFNEGMACTAKITMDAIISVYKDGFLGSKGSVVDVGGGTGVAISKIAKTYPHLKGINFDLPHVISTAPTYDGVTHVAGDMFKVIPPTETIFMKWVLHNWSNDDCIKILKNCRRAIPKETGKVIIVEIVQHSTEDDPFNYTRITFDLVMFAFFPSGRQRSENEWKNLLGECGFSHYNIIKIPALVSIIEAFP >cds-PLY63185.1 pep primary_assembly:Lsat_Salinas_v7:6:86599708:86602552:1 gene:gene-LSAT_6X60341 transcript:rna-gnl|WGS:NBSK|LSAT_6X60341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTPQTLTSIKSLSSKVGGMLCCCNSVNRYERLDNKLERKMMEVKQSSLQGQTSFRSINSIILRFPRFKEGLKEIRGVFELYDEDSNGTIDNEELKRCLQKLQFQCTDQEIGDLFVSCDVDDSNGIQFNEFIVLLCLIHLLAGPSSSSQSTSTVGSPELKATFDTIIEAFLFLDKNGDGKLNKKDMIKAMNEDDPMEKSPTRITKTRFKEMDWNKDGKVSFREFLFSLIDWVGFDSTDEVPVTPI >cds-PLY97383.1 pep primary_assembly:Lsat_Salinas_v7:4:16893382:16893903:-1 gene:gene-LSAT_4X11941 transcript:rna-gnl|WGS:NBSK|LSAT_4X11941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHIQLFVRHCVNHPFVFSGHPFGAPCFLSFTMYNSKMHKRYGDYCSSRLQSHIKGKTRFLVNLTTRNLFQSPITSSKVMIISNNSSPTFHHHPSSLPQP >cds-PLY83988.1 pep primary_assembly:Lsat_Salinas_v7:8:37709172:37711987:-1 gene:gene-LSAT_8X29880 transcript:rna-gnl|WGS:NBSK|LSAT_8X29880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVFYLSEEGSTDSEDEEYVESSTDTNSIGSLCLDMEDDMFIDARFEKDVDTKNENEQVVYDDEGVPNSQTQTCVLETQYDADSDLQEVPVRDEEDSEDFWNTVPYEYWNSENKKD >cds-PLY70030.1 pep primary_assembly:Lsat_Salinas_v7:4:265563832:265567749:1 gene:gene-LSAT_4X137440 transcript:rna-gnl|WGS:NBSK|LSAT_4X137440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELTTKLHGIDLRSTFLTGTALSDLRKPCFRPRIRPISTPINSCSSKPTKISQKHHPIRLSAVAVDTETSAITSVDEDDIESLFSKDSETVNYRRGNKQSGSGASSISSGVRLENISKSYKGVTVLKDVSWEVKKGEKVGLVGVNGAGKTTQLRIISGMEEPDSGNVVKAKPNMRISFLSQEFEVSMTRTVREEFLNAFKEEMDISNRLEKVQKAIEGSVDDLELMGRLLDEFDLLQRRAQAVNLDMVDVKINKLMPELGFSLEDSDRLVASYSSGWQMRMSLGKILLQDPDLLLLDEPTNHLDLDTIEWLEGYLNKQDVPMVIISHDRAFLDQLCTKIVETDMGVSRTFIGNYSDYIISKASWIESQFTAWEKQQKEIEHTRGLISRLSAGANSGRASTAEKKLEKLQEEEQVDKPFIRKQMKIRFPERGRSGRSVVTIKNLEFSYEDKVLFKRANISIERGEKIAIIGPNGCGKSTLLKLIMGLQKPNSGEVILGEHNVLPNYFEQNQAEALDLGKSVLDTVAEAAEDWKIDDIKGLLGRCNFKADMLDRKVSLLSGGEKARLAFCKFMVKPSTLLVLDEPTNHLDIPSKEMLEEAISEYEGTVITVSHDRYFIKQIVNRVLEVKNGDLQDYMGDYDYYLEKNIEAREKALEREAEIEDKSPKAKAKSKMTKAEREVRKKQKMQSVQQAKQKSKGTKNAKRWN >cds-PLY75382.1 pep primary_assembly:Lsat_Salinas_v7:6:180092025:180095011:1 gene:gene-LSAT_6X110180 transcript:rna-gnl|WGS:NBSK|LSAT_6X110180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANNYEEPLPPGVQCLPNSSPQLVSSNQSAHHPPPSFQNFVNGHQQYGYPIHPSNVAHTPPSGNFHPQYSSPQQALYTPPLSSQFPTPLNATIGSETSQISGASNGEQHLGNPTDIETAAQDAVLREQDIVMQKVIVDQREARAAGGSHEENSDIFTGRHDPNAIKEHLLKITTDHRSQMSLKRGKPASSEGNVEIGNGYGVPGGGAYYGALKPDTLSSGSNGNEKHESGQNISEQPNDLPEFLKQKLKARGILKDESDNKLKNQVKQSPELMAPSTLPPGWSGKSQWEKPVGTSLNSQPASPSFLLEDWQEVLDETSGCKYYYNSKTNVSQWEHPQKKAADLSQQVNVVESVDIKKCCGCGGWGVGLVQSWGYCCHCTRVLNLPESQYLSMIAASQHQASNDATKSSDNYIXXXXXXXXXXPSGKGNKKEGKKRGFAEDDEVDPMDPSAYSDAPRGGWVVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEIASQRKKPGSNYAPISKRGDGSDGLGDAD >cds-PLY80381.1 pep primary_assembly:Lsat_Salinas_v7:3:238852300:238852929:1 gene:gene-LSAT_3X132561 transcript:rna-gnl|WGS:NBSK|LSAT_3X132561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKERSTFSRIVHVFDYPTKLRSVAKDIEFIKTKVKEIYDNKMFGIEALYTVESSNRASSSSQRRKPMVEEDNVVGFDEEAKELVSQLTNISELLEVVSIVGMGGLGKTTLAKKVFCDPAIEFHFFVRSWVYVSQEYNRKELLLAILTSVVDYPSEQMYKLNEEMLIEEIYKHLNGRRYLVVLDDVWTQMHGMILKWHFQIKTMEVESY >cds-PLY75688.1 pep primary_assembly:Lsat_Salinas_v7:8:195513001:195525402:1 gene:gene-LSAT_8X125760 transcript:rna-gnl|WGS:NBSK|LSAT_8X125760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCSSDLQKVSNTFFSNKIRRLMAQPDVTLISDLDVLKDDSTIKVRVINLWNLFSFYNKDELFSIELILIDEQGTKIQANVLRKNIYRFKNILKDGLAFYIKCPSFASQRMNGFTLTRQDHKLTFLHNTVVTESHDFSGPTFGFEFVDYQSVISLAHPQNMAIDVIGLVVAIGEMGRDNEDMKKHPNPRCKLGFSTAFYPGEINALKGLKLAFKISIKNFNVSKKNNQYSICRVSDDEKLIEELENKLTVSQLLEIRTAIEEGVYAFSTEHARSTREFLQTLAVFR >cds-PLY83292.1 pep primary_assembly:Lsat_Salinas_v7:4:126593734:126597657:-1 gene:gene-LSAT_4X79581 transcript:rna-gnl|WGS:NBSK|LSAT_4X79581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPADNLHSILLLFSENPNPPPPLPNLEQYKPMVLSYFSFSMFTRSTVAPQFTGVSIIEDGGCSWDIDLCSTAFGCTTEGIMAANAAWNMGEWDQMAEYVSKLDDVDETKLRVLGNTTGIGDDGSNGTFFRVVILIRRGNVLFGIGI >cds-PLY83299.1 pep primary_assembly:Lsat_Salinas_v7:4:127088155:127088568:-1 gene:gene-LSAT_4X80301 transcript:rna-gnl|WGS:NBSK|LSAT_4X80301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSYMSGVKNVIRVVVSYGWDGVGVFVPWIVFVMFEDSVCCALGFIIPWVIPRSSLVILPGITVVGASITTDIVDMDITIDIIVGVSVTIDTMEVMMGVMGDTPDASVIDEENPISLEVILVKTSCSPKLGNDGI >cds-PLY95501.1 pep primary_assembly:Lsat_Salinas_v7:4:142806220:142808658:-1 gene:gene-LSAT_4X87641 transcript:rna-gnl|WGS:NBSK|LSAT_4X87641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLAELGGSISRALQQMSNATIIDEKVLNDCLNEITRALLQSDVQFKLVREMQTNIKKIVNLDDLAAGHNKRKIIQQAIFNELCKMLDPGKPSFTPKKGKPSVVMFVGLQGSGKTTTCTKYAYYHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEVALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDWSGFMDKIHDIVPMDQQPELLQKLSEGNFTLRIMYEQFHNILKMGPIGQVFSMLPGFSSELMPKGQEKESQAKIKRYMTMMDSMTDEELDSMNPKLMNESRMMRIGRGSGRQVREVMEMLEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQNLMKQMGSNKDMMGMFGGAGDK >cds-PLY89495.1 pep primary_assembly:Lsat_Salinas_v7:4:148175998:148177553:-1 gene:gene-LSAT_4X91161 transcript:rna-gnl|WGS:NBSK|LSAT_4X91161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVSTSELAVLVFVVLCLSIPTCCLSSQGIFDQHVALFVFGDSLFDPGNNYINTTTDFQANHWPYGQSYFNPPTGRFSDGRLIPDFIGEQYPKSYQLVFPSSFRHSLPYHNTMPLDAAEYAGLPLVPAYLEPGNNEFTHGANFASGGAGALIDSHAGFVVDLQTQLQYFGNLVNHYKQSLGDTKAQQLLSNAVYLFSCGANDYQKPVVNHHSIFHPYTHEQYVDMVIGNLTNVIQGIHEKGGRKYGFVTAPLIGCWPGIRMRQPGNTCSVETDDITRLHNQKLSRKLKKLEKEFEGFMYAKFDISTSINNRMKNPSKYGFKEGEIACCGSGPFRGIYSCGGIRGIKEYEVCENSSEYLFFDSFHPNEVASRQFAEIFWNGDSKITEPYNLKALFEGTHLTFTKKKRQLSVKFYGLNEGFLVCTL >cds-PLY68698.1 pep primary_assembly:Lsat_Salinas_v7:7:84201568:84207286:1 gene:gene-LSAT_7X59241 transcript:rna-gnl|WGS:NBSK|LSAT_7X59241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFESNTTNWDGVYYYPHLFGGIMLTAALLGLSTSYFGGMMGFPTLPYMLSYLRNLQKKKGGKKRIRVYMDGCFDLMHYGHANALRQAKALGDELVVGLVSDEEIIKNKGPPVLSMEERLALVSGLKWVDEVIANAPYEITEEFMNRLFNEHKIDYIIHGDDPCLLPDGSDAYALAKKVGRYKQIKRTEGVSSTDIVGRILASIEEKKGTQVSNESLENGEHNEMNKSVESLLKCKNASSFLPTSRRIVQFSNGKGPGPNARVVYIDGAFDLFHAGHVEILKSARQLGDFLLVGIYTDQIVSEQRGTHFPLMHLHERSLSVLACRYVDEVIIGAPWEITKDMITTFNISLVVHGTVCEGKSSINGKLDDPYSVPKSIGIFKMLESPKNITTTSVAQRIKANHEIYEKRNAKKEASEKKFYEERKYISGD >cds-PLY98068.1 pep primary_assembly:Lsat_Salinas_v7:4:79315092:79318743:1 gene:gene-LSAT_4X52761 transcript:rna-gnl|WGS:NBSK|LSAT_4X52761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQVKIIAKNFMDMVSSLPAMKLDKLYENAFICEAILRSLPPLAKKYVLQLLYIDVPVSASSLQEWVLVDGASKHRVAVDRLIQLRVFTETVDRKKEATYRLNLMFQMNLRKHVVHGGVLPREPMAANITVRLPSLEELDNYAGQQWECFLLHLINSAEVEVTTKSISPSMMKVFQRGLLSQRDNEGSRLTESGFQFLLMDTNAQLWYIIREYISNSEDRGVDSADLISFLLELSFHVTGEAYNMNTLDEIQRITVKDLADLGLIKLQQGRKDSWFIPTKLATNLSISLSDASSRKQGYVVVETNFRLYAYSTSKLHCEILRLFARVEYQLPNLIVGSITKENLYKAFSNGITADQIISFLQQNAHPRVAEKVPSVPENVTDQIRLWESDLNRVEMTPAHFFDDFPSRDVFEAACDFAREYSSLLWEDSKKMQLIVKTDIYAHMKEFLSHQK >cds-PLY73945.1 pep primary_assembly:Lsat_Salinas_v7:5:36673897:36676228:1 gene:gene-LSAT_5X18140 transcript:rna-gnl|WGS:NBSK|LSAT_5X18140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVERDSVKLSLSNELTKTAQSLSMESHKQNKPFITNLNKKPSMEVAIFAFPGSWEVSDWYHDDRFGETEVDRKLFKSMCRIGENRPAKVNAAFLKRFQDLLNDSTFKSEVEKAVNTNKKILFTGHSYGGAIASFATLWILDEYVKNRGKKFPIGCVTFGSPLIGDRTLSHAVRREKWAGHFTHFVMEHDIVPRMMLSPKISIQQHLPSILKFFHKQVNAIDDKPHKSRKLIFSKSGPGKRTAEDRSIGDDEGVEFFENVIINASTVSSHVAFELMEPTNSLMEKLSVDFVKVSPYRPSGFYVFCTSHSQQFRVENPNAVLQLLFYFLQLTDESQNLVDFSSKSFNESFSYEEQVKNVLQLENLIDLKDLNDHLLTPNGTADDAVRTSNEALFQLSARARCCLIAAEEAENRKKENEKMIDKSMKKYATPEEKNKKRNRTELKFIEDILDELREYKKNHTDYYEAFKLQKEHDVDFLVNVDRLKLAKIFDEIVEMVMRDDLPDEFEGREEWVKVGTEFRRLFEPLDIGNYYRHSKGDDNNKVYLDVRPKRYKFTQRWYEHANGMGFELVSETNFVALLEEIKKEVEETKKKPIDMVKEEFQNLEKQVQNWISDHKIRKDDDDVFWGESFFSVLRGKLG >cds-PLY86778.1 pep primary_assembly:Lsat_Salinas_v7:4:296423406:296423899:-1 gene:gene-LSAT_4X148121 transcript:rna-gnl|WGS:NBSK|LSAT_4X148121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCAVSPGPRSDAGDTVPVSSGLRSDAVSSGLRSDAVSSGLRSDAVSSGLRSDAGDKVPVSSGLRSDAVSGPRPDAVGKAQYVYFRKQREELWMTASHTPPFQL >cds-PLY91554.1 pep primary_assembly:Lsat_Salinas_v7:1:11763775:11770680:-1 gene:gene-LSAT_5X101461 transcript:rna-gnl|WGS:NBSK|LSAT_5X101461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEESIESRVQQAMHSRVQHFRDLADSLTFEGARRLLEKDLALDTYALDKHKKYVKQLLEKLLSDTEAEDNVSKQIKSEKVKKEEEVEVEEQKVTVDDEDKVEDSPVMGLLSGNKSAKSEKPKTQDKIPTEDMIKKAIWKKATYFRSKSEELTVAGVRRLIEEDLELEKFSLDPFKKLISKQLDEVLNSQNDTPNKSDDADSSHSESEEKEVEVKPKKKSAPKTKPQKSEAPKKRKKPAQETEQPKKKKMKNAEETSVKKKNIKDADEDSSGEKSESSAEKTEKKEVVAPVYGKKVERLKSIIKACGMIVAPTVYRKAKQAPEEQREAILVKELKEILSKEGLSSNPSEKEIKEVKRRKEKAKELEGIDTSNIVVGSRRRSTFNFVAPPKPKVAIESDGDESSEDSDDDSEDSDNEDADGDDQEEGDDKDGDDKNDDEEGGDDDKDDGDDKNDDDQGGDGDDDDDGKNEDEDNKVDESKSEEGQPIYMGELGRRPGQQRKKGKNLIRTDHKNMKKLEVFDSKLGLGELDFRILDLDYLLFVGRRTMITVERVKIDFIFVAIGFQMEIEANKKVDSFFCFCQARGEGEDVDGCAQMKEVVVSNTDGGDDGCYNGGRERKRIDVWLWFPATSWLSATS >cds-PLY87907.1 pep primary_assembly:Lsat_Salinas_v7:2:28183469:28184071:1 gene:gene-LSAT_2X14820 transcript:rna-gnl|WGS:NBSK|LSAT_2X14820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADQEGWNQVRRRKKYGREFDINGKAVTFYVQNFPSDWSEAALWRMFSRYGAVVDVYIAQKLNRSNKIFGFVRFLRIHDPKSFEKRLNEIIIGTQKIEVNVARFERKEQVSRRENHQALRGQHAVQTSQPQPYVKSFADAVRGPLPIDTMGAGKSSKADEEAHTRKTIKLISFHDSKEAMENTLVGEVESFQALMNVKAF >cds-PLY69034.1 pep primary_assembly:Lsat_Salinas_v7:9:140649038:140649385:-1 gene:gene-LSAT_9X89920 transcript:rna-gnl|WGS:NBSK|LSAT_9X89920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRAFLLSGHLKLSSWGSLKDTGLVGARLAKVLTLDKIYPGVSFEPLGLADDPETFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHLADPVANNAWAYATNFVPGK >cds-PLY78889.1 pep primary_assembly:Lsat_Salinas_v7:5:305393049:305393526:1 gene:gene-LSAT_5X164901 transcript:rna-gnl|WGS:NBSK|LSAT_5X164901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSIGSKVMRRFNPPQRTHCDCGDLVGRWTSWKTRNPGRRFIGCPNYRDSSKDCKFFDWVDPPLPNQWYKDLLLQLHNGWNGDVVEQMEEAVVEVVPTQVQGAGGVVPRWSMFWFILGLCFGLYFKIMYWDM >cds-PLY62605.1 pep primary_assembly:Lsat_Salinas_v7:9:73774918:73776026:-1 gene:gene-LSAT_9X61701 transcript:rna-gnl|WGS:NBSK|LSAT_9X61701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMKNVRSAADVEVMVLLLHNIHLLKRRFLREVGMGVVEFQHKTHSNYEIFSNFTSGHDGVREKDLQKPQVIKSFQKTSMNALAKERLANLQEELKEFDSFEFDLSWAHKRIDIFEKLKF >cds-PLY79715.1 pep primary_assembly:Lsat_Salinas_v7:8:124856045:124858434:1 gene:gene-LSAT_8X86281 transcript:rna-gnl|WGS:NBSK|LSAT_8X86281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTEDSEDYSYGGRRFQRVKQRLKDRSRRVAQTKEKTKEILSKQAVKIAKHAEEHESFITKVTHFLGVFAYGAFCFILGARPQDVRYIYILFYITFVPLRWIYYRYKKWHYYLLDFCYYANTLCLIMLLFFPRNQKLFMVTFSFAEGPLAWALIIWRCSLVFSSVDKIVSVLIHLLPGVVFFTLCWWDPEFFEAMYPEGHAHARGVSWRSVESQSFLCTWLFTVPLFAYGIWQLLYFLIVNVLRRQRLLKDPEVMTSYRGLSNKARKANNVWWRLSGCLGDQNRMLMYILLQAIFTVATMALTVPIFLSYELHLIFQILKASAAVWNGGQFLLEVMPRQVVLKEKKKLEVAAPVQGN >cds-PLY61656.1 pep primary_assembly:Lsat_Salinas_v7:2:207898756:207899701:1 gene:gene-LSAT_2X128640 transcript:rna-gnl|WGS:NBSK|LSAT_2X128640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable aquaporin TIP5-1 [Source:Projected from Arabidopsis thaliana (AT3G47440) UniProtKB/Swiss-Prot;Acc:Q9STX9] MASLKTRLQHSYTPAALRSYLAEFLSTFFFVFAAVGSAMASRKMAPEAAMFCPSSLIASAIATAFSLSVATYVAVNISGGHVNPAVTFGMAVGGHITIPMAIFYWISQMLGSVIACALLKVFTVSPSVPVHGFIPSEMTGFGASVLEGVMTFALVYTVYAAGDPRRGAFGTIGPLVIGLIAGANVLASGPFTGGSMNPAHSFGAAFVGGTFKNQAAYWIGPLLGAALASVVYDNLVFPSESPEDSVRGVTDRIVV >cds-PLY86866.1 pep primary_assembly:Lsat_Salinas_v7:8:50286577:50289399:1 gene:gene-LSAT_8X38040 transcript:rna-gnl|WGS:NBSK|LSAT_8X38040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ICME-LIKE1 [Source:Projected from Arabidopsis thaliana (AT1G26120) UniProtKB/TrEMBL;Acc:A0A178WLU7] MQSQILPISISISNPFFPNRTPPSSIMPASAAAHAGAVFLNMEDGDGDDLAHSSIEDGKKFETTPFLPRVSSYDSVNSTSMASTVTSSVSYQQRRRRAASDSNLSDFPGGRRRFFCHNAGRADGDSFFITRVGSKLWARIRSGYSIITGFLALQCYAILIMPGVLQVAYYYYFSNQVRRDIVFGDQPRNKLDIYLPKDNGKNDAPKPVIAFITGGAWVIGYKAWGSLLGRHLSGTDVIVACIDYRNFPKATISEMVKDASRGISFVCNNISEYGGDPNRIYLMGQSAGAHIAACALVEQAIIECDATQSTTWSVSQIKAYLGLSGGYNLYNLAEHLHTRGPYKSLFYSIMGGEESLRKYSPEISVQDPNNKKAVSLLPPIILFHGTADYSIPADCSKTFVDTLQRVGAKAELMLYEGKTHTDVFVQDPMRGDDKLFDDLVAIVYAGDEEALAKQVSAPPRRRLVPEFMLKLAAKISPF >cds-PLY69328.1 pep primary_assembly:Lsat_Salinas_v7:7:81613538:81616369:-1 gene:gene-LSAT_7X57281 transcript:rna-gnl|WGS:NBSK|LSAT_7X57281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSKSVLQPPAFGNLITVLSIDGGGIRGLIPAIILDFLENELKRIDGEDARIADYFDIIAGTSTSGLITAMLTAPDEKKRPLFSAKDIKNFYLQNCPKIFPQDRNMFTKIMKSLNGPLYDGKYLHDSIRKRFKNIRLEDTLTNVVIPTFDISTLQPTIFSSYEMKEKPYLNALLSDICIATSATPTYLPPHHFETIDHEGQKHEFNLVDGGMAVNNPTVIAIGEIAKQLIRKSSDFDVSQSLDYRRFLVISIGTGERKMKLKYNAKEASKWGLFAWWFNANGSTPLLDISTQSSIDMVDNHLSVVFKALGSEQNYLRIQEDGLEGSLSSLDRATNDNLKYLIEVGEDLLKKKVSRVNLETNKFFPYSERTNQEALKDFAKQLSDEKRLRDLATLAKAKSEPCIMLEKKPNFAAFGKFRINV >cds-PLY83101.1 pep primary_assembly:Lsat_Salinas_v7:1:155739527:155763600:1 gene:gene-LSAT_1X107740 transcript:rna-gnl|WGS:NBSK|LSAT_1X107740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQPKVLSTKEADIQMMLSAEVHLGTTNCDFQMERYVFKRRNDGIYIINMGKTWEKLQMAARVIVAIENPQDIIVQSARPYGQRAVLKFAQHTGCQAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGVFDQGHKWHVMVDLFFYREPEEAKEQNRDDVDYPADYTAGMCSNWSAQILDAQWVGDMAPPPIAGSPVAASATGWTGAQALVSGGDGWEAVAAPLPQKLKPVRQVVIKSKKFDAGKLIQEDMDEQQSVANDINKILRGAESMSNKLEDLSSRVQHMEEELQNIQKNQPEFPLISDEESKEDQNLVLPDYRSLILPTFPENFKDLKVFRKWKKCVDSCFEGREIPYEIQGQLVAETFPKNFPWWEQIQKLSQRIDNDDKITDLLKDQVDYDYFHLELFDSTTWQWGELCNIRLPSSVYPVSDEAIITGGVFYFLLSNHDILRFDVYLEEYLVIFAPSVINDFNSYASRLIKFDGKLGCFSISRGKLIQEDMDEQQSVANDINKILRGFESMSNKLEDLSSRVQRMEEELQKIQKNQPEFPLFSAEESKEIPFDQNLGLPEMPFDKNLGLPEIPFDQNLGLPDFPENFKDLKVFREWKKCVDSCFKYHKIPRERQGQLVAETFPKNFPWWEQIQKLSRRIDNNDKVTWKEIKKMFIVQFFSSDCLLSNKDRSDLLKGQVDYDYFHLELFDSTTWQWGELCNIRLPSSVYPVSDDAVITRGKLIQEDMDEQQSVANDINKILRVIASMSNKLEDLSSRVQHMEEELQKIQKNQPEFPLISDEEPQEETFVPPPFPENIEDLDVFCEWVANVENFFEENMISQYKQVPLIVSTLPSEEGGVLSWWKQIYDLDYRIDKYYMIKWKEMKKMLMVRYLSPDCLETNKSPPLKNIN >cds-PLY64238.1 pep primary_assembly:Lsat_Salinas_v7:7:2537294:2538479:1 gene:gene-LSAT_7X1360 transcript:rna-gnl|WGS:NBSK|LSAT_7X1360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPEEQPNTEQVCNFFRKPSKKKNIRKRAQDDEMDEEEEPSVVINKKKAVAADNKLYFATGSSKRSATSEQQQEAEADNTKATIFQFDSSKEIQVQNDSRATATLETETDFSRDARAIRERVLKQADQALKGKGNGDEKLYKGIHGYTDYKAGFRREQTVASEKAGGSHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQMERDWDEAEKARKRKLALKGDDDDDDDNDDDDEHDDDEDEDGLPFACFICRQPFVDPVMTKCKHYFCEHCALKHHAKKKKCYVCNQPTLGIFNTAHEIRKRMAAGGK >cds-PLY84563.1 pep primary_assembly:Lsat_Salinas_v7:1:31090392:31094035:1 gene:gene-LSAT_1X26920 transcript:rna-gnl|WGS:NBSK|LSAT_1X26920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:EX2 [Source:Projected from Arabidopsis thaliana (AT1G27510) UniProtKB/TrEMBL;Acc:A0A178WE62] MAVANAYWATGTLVPNIPQIRPPISSCFDLSVAKKSFSLRFVSGSCNALKSSPIHTRCHCSSTSTNSDHASATSSDWDWNTWTRHFSEIELAERSVSLLQFQLEEAIEKEDFQEASKLKRAIAEATSKDSVAEIMSELKTAIHEERYHDASKLCKNTGSGLVGWWVGYSKDSDDPFGRLIRITPGVGRFIGRSYNPRQLVSASPGTPLFEIFVVKETDETYTMQVVFMQRAKSNNSKATAPSMKGTSMADIENSSVIDVKVNEEKPGKNDGKSLNFEGDTEEGIRSVLNFLKDKIPELKIKDLKVMKVDVEEVMADDDDDDTVNQLLQEDDEKTTSDEEEDSEDESETSDVDLDVGTNNDAAEDGKSLDMKLFVGGVLHNREDTPSKDEFVRVPAEIDEMKKDSFVLHVPVKSHDSDSEESIASKVKMAAIASQGVSELMPPDVAKALWSSDKVSRKVSRDVREIVKFAVNQAKKREKLSEYTNFSRITTSRGDLDPFDGLYVGAFGPYGTEVVQLRRKYGNWSGANDVEFFEYVEAVKVTGDLNVPAGQVTFRAKLAKGSHFSNRGMYPDELGVVGSYKGQGRIAEVGFTNPRWVEGELLQLNGKGMGPYVKGADLGFLYVVPEQSFLVLFNRLRLPE >cds-PLY86397.1 pep primary_assembly:Lsat_Salinas_v7:4:233203307:233208259:1 gene:gene-LSAT_4X126881 transcript:rna-gnl|WGS:NBSK|LSAT_4X126881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQIQVQPPPVSGSNVATGATINAGVVAATAIAGGAVAGANQSFVTTSLYVGDLEFGVTDSQLYDMFNQVGQVVSVRICRDLGTRRSLGYGYVNFSNPNDAARAIGVLNFTPVNGKSIRIMYSHRDPSIRKSGTANIFIKNLDKTIDNKALHDTFSTFGNILSCKIATDSIGQSKGYGFVQFDTEEAAQSAIDKLNGMLMNDKQVYVGHFLRKQERDSSSTRTKFNNVYVKNLPESTTDEDLKKTFGEYGMITSAVVMRDSDGKSKCFGFVNFENADDAANAVEGLNGKKFDDKEWYVGKAQKKSEREMELKSRFEQTVKEAADKYQGLNLYVKNLDDTIDDDKLRKLFSEYGTITSCKVMLDPNGNSRGSGFVAFSTPEEASQALGEMNGKMIVNKPLYVALAQRKEERRAKLQAQFSQMRPVAMANSMGPRMPMYPPGGPGIGQQLFYGQPPPAMLPHQAGFGYQQQLVPGMRPGGGAPMSNFFLPVAAQQGQQGQRLAGTGRRGAAAAGSVQQPLPMMQQQMFPRGRMYRYPGRNAPDSNLPVLPVPYDLSGGMFPRDAAGATAMGQPMPITALASSLANAPPEQQRTMLGESLYPLVDQLEPENAAKVTGMLLEMDQTEVLHLLESPDALKAKVSEAMDVLRNVNNTTNTNTNTPAAQLASLSLKDNLVA >cds-PLY83387.1 pep primary_assembly:Lsat_Salinas_v7:8:480078:482333:-1 gene:gene-LSAT_8X1500 transcript:rna-gnl|WGS:NBSK|LSAT_8X1500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLLFASPNSEPVQSFHPNSSPPATTIPAFPEQSDATGCPLDLPNDLFTSVKSACTGKHVSGKLRRSRCCPVLAAWLYSAYSATALSKAVKEPLQTASYYDLPLLPNDSETCIDNLENGLKSKGIELMRSNESCDVVYCECGIRLHPLSCTEAFSVNPRGELVGNHNVKMLEKDCLNNPTVNGFSGLDGCSKCLKTLHLLNKSNHVNGSKSEQRTSKIHNEDCEVMGLTWLLAKNRSAYIHTVSGVLRAMMMMTQDGNSNSNSIPDSCTLNSDGMPLAVDSSEINNSSSSTILPLFLLHLLCMFLSLSIHQLLDSIM >cds-PLY85552.1 pep primary_assembly:Lsat_Salinas_v7:2:196783206:196784504:-1 gene:gene-LSAT_2X117961 transcript:rna-gnl|WGS:NBSK|LSAT_2X117961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTILPSHVKYVLLILLSFYTTLSHQASSLPNPRLQKAYFALQAWKHSINSDPQGFTSNWYGYNVCNYTGVFCAPSLDDPHVTTVAGIDLNHANISGSLPEDLGLLTDLALFHINSNSFCGTVPKSFNKLGLLYELDISNNRFSGNFPSVVLSLSSLKFLDIRFNQFKGDVPSKLYDLKLDAIFINNNLFDTSFPKNLGNSPVSVVVFANNNIKGSLPSSIKNMGGTLDEIILMNVGLSGCLTPDIGSLKKLTVFDVSYNSLVGMLPESIGEMKSLEQLNVAHNKFSGEIPPSICSLPRLENFTYSDNYFCGAPKSCLKLADKDDRQNCIRERPAQRQVAECKAFYSRPVNCLDCSPPPPSPPPTKHWLPPSKY >cds-PLY81335.1 pep primary_assembly:Lsat_Salinas_v7:4:37372757:37377121:-1 gene:gene-LSAT_4X24841 transcript:rna-gnl|WGS:NBSK|LSAT_4X24841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSVEGDVIGMTDSPEVLYRGEYNGGNVRPPTSDTTPLTVSGSFKDWGGGGGRGSGSSSRRRGTVRPSLDADEFINLLHGSDPVKVELNRLENEVRDKDRELSESQAEIKALRLSERLREKAVEELTEELSKVDEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRFLQSEMQQLRDKLAITDRAAKSEAQLKEKYQLRLRVLEDTLRSPNAICSSRRQSLGGADNFTKLTSNGFLPKRSPSFQIRSTLPSGSSSVLRHAKGTSKSFDGGTRSLDRGKLVNSGGNSPTFNNNIVQSCDEAKDGDTPDEKPCDFTEDSVPGLLYDLLQKEVIGLRKAVYEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRLDKEQDIRAKRMGNTKAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYIYIYFRITIIIFKFFIK >cds-PLY83759.1 pep primary_assembly:Lsat_Salinas_v7:4:44620964:44623483:-1 gene:gene-LSAT_4X30001 transcript:rna-gnl|WGS:NBSK|LSAT_4X30001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTHMKTDFDNVSGAKQKLAEFISESGNMCCADCGTPDPKWVSVSFGAFICIKCSGAHRSLGVHISKVLSVNLDEWTHEEVNDVIKSGGNSMVNSKYEACIPDNCKKPKSDSCIDERLDFIRRKYEVQQFLNSDKQICDRASPCPSSSSSMESSSTNTATMDKKHSNSVLMPSISHAFRNSWKKKDNEHKPPPKTSNSMAGMVEFIGLIKVNVVKGTNLAVRDMMTSDPYVILSLGNQSVKTRVIKSSLNPVWNEKLMLSIPSNMPPLRLTVYDRDTLKADDFMGDAEIDIQPLVSAAKASENSDVNDSSTQGKWVASLENTVVKDGVITLAKGTVKQEIALKLQNVEKGILQIELECVPLTQ >cds-PLY85838.1 pep primary_assembly:Lsat_Salinas_v7:3:188957683:188959967:-1 gene:gene-LSAT_3X113880 transcript:rna-gnl|WGS:NBSK|LSAT_3X113880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSIFCLKKLRNLEKSREGYANDWSSISRLPDEIILQILNRLIDLKTLCFCYLVSRRFSSIVLEVDAISFASPLSNPRISNKNTVSDVAPSSSFARIISSLYGESFLSAYRFLIKFKGVKSLCIELLSSGLRAVDNRLLKWKVKFGNRIESIIFLFPNSISDNDGLYLNGNGDEDENKRRRIPYQCLKDVTARHMMMLYLVKDLPLLEEVSVTDSRGRGRLSLSGKKLSGVKEWVHSTSETVFNRVEVPDIVNNCYIPVLKLPVSGYVMKGIYFGVIEMNGFQGGNDFLMNSENGGFEDKEEAAYTEAMMEILEKHKGIMHRFM >cds-PLY93837.1 pep primary_assembly:Lsat_Salinas_v7:6:142326119:142330690:1 gene:gene-LSAT_6X84940 transcript:rna-gnl|WGS:NBSK|LSAT_6X84940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGTVINPLQVPGTVDMSTSRTPSVSHMNSVRISAVVDRLLAYVRGGSRNDNNEFFKLCISLSRVIDYAVANKEIPVKASELPGLLKQICQWKNDAPLQAAIMVLLISLKGACRNGWFSEKDNEELQKLSNEISGSFCSVKEMNFGENIVHHRLSLSFPFRFYPSMKMGETLAFVEAKPGYGAFLKDFDITTKAMSSNNKIYLFVAQVDDTETSSCIISPQQVNFLLNGRAVNGRTCVSKDPGPQTPTLVTHMLKLGTNLLQVVGQFNGNYIIIVAFMSMISNPISPTLPNYVPPVAAAPDPDNELTEGPSTTSLNCPISFKRIKTPVKGQLCKHRQCFDYDNYVDINSRRPSWKCPHCSQSVCFTDIRIDRIMVKVLKEVGVNVSHVRISADGSWEAVKEEDDHNTDNNNNNKLQSTPPLHQNMGPGILDLTEGDNYINATATDPHHQNIDKKPSPSQLQNPNSTNIRNIPLPPNGFQKIQTSNTMVPPVQMNPILQGQSQLSASNTMQSKDVNGIVRYPTHSSRHITRIPIAVQALPAQTSVGPGSGGLDGFYMNPHQINRTVSVPPSQHMGPQAFDGGYQYNSHQQHQSPQVQQVGVGPTNGILSNQQNYAMSMSMSAQQQAATNAHVNNQLAQAQAHHYAMSAATNGHVSNNQQARHHAMSAAQQGFHVRSWTPSSHDHGDQNWRPSGRMRGSLSGQAYSEALNQYIYLPTQPVQATRPPLLNTPRPVIPPHLQFLFANNRNMIGDNSLLYDNTNGGGGGGFR >cds-PLY62791.1 pep primary_assembly:Lsat_Salinas_v7:4:29916798:29916998:1 gene:gene-LSAT_4X17121 transcript:rna-gnl|WGS:NBSK|LSAT_4X17121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEAQVEMDRIKEEMGRDSVISRVEMLSVQRRLDRQDKKMQAMTVVLVGLVVVMLGILVDKMHTLM >cds-PLY76046.1 pep primary_assembly:Lsat_Salinas_v7:5:320264203:320266077:-1 gene:gene-LSAT_5X175901 transcript:rna-gnl|WGS:NBSK|LSAT_5X175901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLLQFLNVENQKPMEGRTPASGFSTIVDQASLFSVFGGIIMCKVAYDLTGVISPLVFKGFNKLESVQKFEWKNRGISTFHALFVAIASYYFLVVSNLFDEHDQQEFIVYRSSASSNTILAMSLGYFLSDLAMIIWMYPTLGGPEYVFHHGLSMFGIIQSLICGQAQFYNFIVLFSEITTPFVNIRWYLDVAGKKNSMFYLLNGVAVFVGWLAARVILFIFFFHHMFTHFDQVN >cds-PLY80723.1 pep primary_assembly:Lsat_Salinas_v7:3:85399067:85399258:-1 gene:gene-LSAT_3X66361 transcript:rna-gnl|WGS:NBSK|LSAT_3X66361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTYPVKASFPDDELLAQKLLLKGCEPLPRRCCRPPSPPNYTEPYPIPKSFWSTPPNSSVIWTT >cds-PLY90791.1 pep primary_assembly:Lsat_Salinas_v7:9:114093906:114099101:1 gene:gene-LSAT_9X79940 transcript:rna-gnl|WGS:NBSK|LSAT_9X79940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALGKYSKVDGRKSSSNYCSTVTLVAFVALCSVGVWMMASSFIVPFQNVDTSHGNKNHISIIDDESKVINDKSNENSATSEDTTTTKNSDAKQFEDNPADLQDEATKKKEDEESKADDIDLEGGETRSDSEGRKPGEEEINYQEGKGTTNGDNSDVKKKFEENDNKEEKTGSSSNEILPSEAKGTEEDKFDWKVCNVSAGSDFIPCLDNLEAIKGLPTTKHYEHRERHCPQDPPTCLVPLPQGYQHPIHWPESREKIWYHNVPHTKLAEFKGRQNWVKVSGEYLTFPGGGTQFKHGALHYIDFIQEIVGDIGWGNHSRVVLDVGCGVASFGGFLFDKDVLTMSLAPKDEHEAQVQFALERGIPALSAVMGTQRLPFPARVFDVVHCARCRVPWHIEDGKLLLEVNRLLRPGGFFIWSATPIYKKKPEDSQIWEAMNNLTRSMCWEVKSISKDKVNRIGVAVYQKPISNECYDTRLQNHPPLCKESDDPNAAWKVDLQACMHKIPTTASVRGSEWPEEWPSRMEKPPFWLLSSEVGVYGKPAPQDFTVDYQHWKRVVTKSYITGLGINWSSVRNVMDMRAVYGGFAAALQDIGSVWVMNVVLVDSPDTLPIIYERGLFGMYHDWCESFSSYPRTYDLLHADHLFSMIKTKCNMTALFAEIDRLLRPEGIIIIRDNIEIMNELEVEKAFRSMHWEVRLTYSKDAQGLLCMKKTTWRPKHLQTLAYAIA >cds-PLY91818.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:302880:304508:-1 gene:gene-LSAT_0X1320 transcript:rna-gnl|WGS:NBSK|LSAT_0X1320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLKTPNQVESPKTCSNSESEREAENEENGSHLFELSMNKKTSDLELDYGIIDPLEVARQVANEVEREVDDSRERSCSTSEGPKTPESTVPEETPPVTRSKEPTKESETQTLVSKPDITMSQVSEVAQESEFNTGKGISGGFDLNQEVCSEEVDDMNPVSISSTVSVVSASRATAASELPITPLQFEGTLGWKGSASTSAFRRVPESEKSGPHNNSSKQQRSDCLDIDLNDNVPSGEESSVEAGPTRPERPQLDLNTIGDEAADVARSDWWQSPSVSSSSSSKQPSRNIDLNLTHQPQESVISIFGTKVEVKNKDFQNLPQPSGRFMKPTVDFNIAKTHYNNQLFYNGFPPGPAMFFYGSPGGSGGPVQYMVDSRGAPVGPQIMGGSSPPLPFMVNMAAGGGSGGAPPGPTLNNFDLNTGLSIVGGKRREPDGRWDFFPVNKHQQPPWR >cds-PLY91831.1 pep primary_assembly:Lsat_Salinas_v7:6:32783466:32784792:-1 gene:gene-LSAT_6X26180 transcript:rna-gnl|WGS:NBSK|LSAT_6X26180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVITFSDLHTEAGLRFLESFLSGKTYISGNQITKDDVKVYASVLEKPNADLYPSASKWYDCVASKLATSFPGKAAGVRISSQAPSAEAALVNGAVNGVAKEDAADEDDDLDLFGDETEEEKKAAEARDQAKASTKKKESGKSSVLMDVKPWDDETDMKKLEEAVRSVEMEGLLWGASKLVPVGYGIKKLTIMMTIVDDLVSVDTLIEERLTVEPINEYVQSCDIVAFNKI >cds-PLY78124.1 pep primary_assembly:Lsat_Salinas_v7:2:62885365:62885559:1 gene:gene-LSAT_2X26941 transcript:rna-gnl|WGS:NBSK|LSAT_2X26941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLILLKTSIEVNYMPCNVEWASMEPKYGNNFISGRKETFESKGRKKVLFQMDQVMIEANTIC >cds-PLY69402.1 pep primary_assembly:Lsat_Salinas_v7:5:302180615:302183470:-1 gene:gene-LSAT_5X161280 transcript:rna-gnl|WGS:NBSK|LSAT_5X161280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSYLIHKPRFRCFSSSSRVTAISSEVSSIVHSVDPMEPALEQVVPFLSPEIITSVLQEQRNPYLCFRFFVWAAKRKQFRSWGSHNLMINLLVSDNSHLFDAYWRALEEIKSYGLRIPSDAFAVLIAGYWETKNAEKAVESFGKMKDFDCQPNLFTYNLILHILVNKGMILLALAVYNLMLKLNCHMNCSTYTILIDGLCKSDDMSDALNLFNEMSQRGIVPSKITYTVVLSGLCQAKRIDEAYQLFENMKTTVKPDFITYNALLNGVCKLGKMDEAFDLLKSFKKDGFDLDLNSYSSLIDGLFRAHRFKEAHDTFHKATNSGITPDGILYTIMIRGLCDEGRVDDAFKLIKEMTDKDLVPDTQAYNTLIKGFCDKGLLDEAQSLKLEISGVDNFPDSCTYTILISSMCRYGLVDDAQNIFNEMEKYGCVPSVITFNALIDGLYKSKQPEKSFHLFHRMEIGKNPFLFLRFNQGSDRVVERVTELCESGSPLEAYKLLTQISFLPTITTNNILINGLCKAGLLDAALNLFKKLKVKGNTPDSVTYSTLIHGLESVGREKDAIMLVKEMVENGCKPTPAVYKTLMKWSCRRNKTFAAFNLWLEYLKTVSKRDEEVIKLVENHLQNGEIERPMRLLLDMDIKSRDLDPAPYTIWLIGFCQVHKTTEALKLFAILKEYDITLTPASCVMLITTLLGESNLNMALEVFHYSLQKGFILKPRICSNLLRSLIRSHDMSNHAIELMKKMDSCGYDMDSIKWFKQGIQNVLTK >cds-PLY71279.1 pep primary_assembly:Lsat_Salinas_v7:MU043991.1:27956:28438:1 gene:gene-LSAT_0X38160 transcript:rna-gnl|WGS:NBSK|LSAT_0X38160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEPMFKPNKPTSYLYLSQAGKNARQVQIRECFPQPLKERLDEGREMRRGKEKAFGDRDFLSFSSKTKKAEDGLRCVLSEGNTLFRPRGGMIVGPSPRWPIGIAAFGLCLPFLIKNSGSARESAGNNRKEGVHVAAALAPLLVNGAVGLASTTKERIHFR >cds-PLY89213.1 pep primary_assembly:Lsat_Salinas_v7:8:268688737:268690414:1 gene:gene-LSAT_8X155361 transcript:rna-gnl|WGS:NBSK|LSAT_8X155361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSYASQRSHNKGQNGFILDSSFHDPYTDCQYDSFYKTLTHLTVGAGIGVAITWSATGNLHRLFRINLVGGVAAITTTWRFRKSVNSCIEQILYMDGSRMQKELANIMLRRYADHPITTKLISKRFYCDHVFDDSTSDMLKSRWHFRNNLVESPPHPQQPNTRESYDHDDNISLQEL >cds-PLY64379.1 pep primary_assembly:Lsat_Salinas_v7:4:23726851:23731052:1 gene:gene-LSAT_4X15900 transcript:rna-gnl|WGS:NBSK|LSAT_4X15900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLSDKSMMRDSHAYTNGSEFQMISGIIGPELAIQVLVQFDKEDFDEFEDVDMLYNTLSLDKVEALEDLVIIGPPGLVKVKLNVSSLKDISDDIDFCFQLAK >cds-PLY96257.1 pep primary_assembly:Lsat_Salinas_v7:6:157792471:157795163:1 gene:gene-LSAT_6X95640 transcript:rna-gnl|WGS:NBSK|LSAT_6X95640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTASPPNFDCRMYEAKFPEVDTPVMIQVKEIGDVATHVSLLEYNNIEGMILLSDLSRRRIRSVGSLIKVGRIEPAMVLRVNAEKGYIDLSKKRLSEQDVQICEERYSKSKLVHSIMRHVAETMNVDLEDLYIHVGWPLYRKYGHAFEAFKSIVNDPDSVLKSLTREVKHIGPDGKEVSKVVPALSEDVKDALVRNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKEAMRQAEAVGTKECPVKIKLVAPPAYVLNTQTLDKEQGIKILTEAIAACGAAIERHKGKLTIKESPRAVSEREDKLLAEQMAKLGRDNAEISGDEDSEVEEDTGMGSVDLDSTSSGITTESVI >cds-PLY87021.1 pep primary_assembly:Lsat_Salinas_v7:5:264885471:264888070:1 gene:gene-LSAT_5X136321 transcript:rna-gnl|WGS:NBSK|LSAT_5X136321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSSTSYYTPYAAAWLXXXXXXXXXXRLRRSQNLNPPPGPKPWPIIGNLNLIGTLPHRSIHDLSQQYGEIMQLKFGSFNVVVGSSVEMAKVFLKTMDVNFACRPKTAAGKYTTYNYSDITWSPYGAYWRQARKMCLMELFSVKRLESYEYIRVEETNSLVKSIFESAGEEIPLKDLLSTVSLNVISRMVLGKRYLDEESNSVVSPDEFKKMLDELFLLNGVFNIGDSIPWIDFMDLQGYVKRMKTVSKKFDRFLEHVLDEHNERRKAAGEKFEAKDMVDLLLQLADDPNLDVKLERHGVKAFTQDLLAGGTESSAVTVEWAIAELLKKPEIFQKAIEELDRVIGKDKWVSEKDMPNLPYIEAIAKETMRLHPVAPMLVPRRARENCKVAGYDITEGTRVLVSVWSIGRDPKLWEKPEEFYPERFIGKEIDVKGHDFELLPFGAGRRMCPGYSLGLKVIESTLANLLHGFYWKLPKTMSENDLNMEEIFGLSTPKKIPLLTVAQPRLPVEMYRF >cds-PLY71408.1 pep primary_assembly:Lsat_Salinas_v7:4:239715775:239716086:1 gene:gene-LSAT_4X128460 transcript:rna-gnl|WGS:NBSK|LSAT_4X128460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGSNITPTIGGGETTGGNGVVDGHMGSDGHRKAKTSQMKRRDDWGSHDLVGTRQQRYQRSGGCSSAAQSSRERILWLHGCSSASSGKEAAATTLAMLFPDHP >cds-PLY80144.1 pep primary_assembly:Lsat_Salinas_v7:3:41320019:41320707:-1 gene:gene-LSAT_0X37061 transcript:rna-gnl|WGS:NBSK|LSAT_0X37061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAVKDKGYDLIKTSIPLLDQVHDNVCLDVDRCIDKVDAKLKAAVEKEVGRIRGLVGLAFSTTQKVRN >cds-PLY85822.1 pep primary_assembly:Lsat_Salinas_v7:8:174073440:174073858:-1 gene:gene-LSAT_8X114140 transcript:rna-gnl|WGS:NBSK|LSAT_8X114140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLPHCRCFAPLNIGLKQLYWRAPLTTSSTELIIVLGSLSDVTGVIILVSPCGYSMSDSVIVQIWASNKIHKEERPCVGKWDVKSMLTALSELCGPEECNTQTPHHIKFDF >cds-PLY94382.1 pep primary_assembly:Lsat_Salinas_v7:6:9514774:9519014:1 gene:gene-LSAT_6X6740 transcript:rna-gnl|WGS:NBSK|LSAT_6X6740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKSARWRSEKNKIKAVFRLQFHVTQLKQLAGDTLIISIIPADTGKPTTRLEKAKVKDGNCYWEKPHCETVKFVQDPKNGKFHEKIYHFSLATGSSKSSGIGEVSIDFASFAETTKTSSLSLPLKNANCAAILHVSIQRVQESLDQRDFDKSENANREDRSLRAQLTNGNTEEIIQSNPNEDCEVLNDNINRDNRTSSGSDLTLSGSDHEQPPPATIHEEHEHEHERASQWDWLEGSPPELSTDESSLSEEGSPDAMIKKLKIELDVLARQVDVSELELQTLRKQIVKERKKGQDLSREVDELKEERNAFKEVCEKLSGESRVKVKVKVNNGDPWDLVDELRQELNYEKDLNSNLRLQLQKTQESNSELILAVQDLEEMLEQKDSEKSKSKSKSTEVKSEIDDDEDQKALEDIVREHSGMQEAFLLEQKITDLYGEIEMYKRDKDELEMQMDQIALDYEILKQGNHDMSYKLEQSQLQEQLKMQYECGTYTVVNELESKIESLNNELKMKSKELSDSFLAIEELKTYVKNLEKDLEDQENGFEADIEDLMNEKVKQEQRAIRAEESMRKMKIQNANTAERLQEDFRKLSTQMNSSFEANEKVAMKAMEEANHLRVEKRYLEDMVKKVKQDLDFFRVHHEEKLVDLLKQITLKSKLLEKMENQMEDLSSICRTKIENLEDERKNLENEVHFVKMELEKSKKELTELTDANTQKDCENERLNSEIESLESRYNYMKLSIVEDESEKEKMKIQISELKGEVRKSQDELNLMEKKLKDSSNRVIMLEGLSKAASRNTPKSSKEVTNLKSKIELLEGQMKMKETALKSSESSFHEKEKDLQHKIKELETRLEDLDQSIVISQASRAEEIALLKKLNKSMEEELLEMQERYSEISLKFAEVEGERQKLVMTLRNVKNAKKSQR >cds-PLY76613.1 pep primary_assembly:Lsat_Salinas_v7:5:222347940:222348176:1 gene:gene-LSAT_5X104000 transcript:rna-gnl|WGS:NBSK|LSAT_5X104000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPDHRPSAVEVGNHQDDAAIVSVATDDDEPPTLLISLHMITSPLPSGGLSTPLMPSGRRMPPWNGGWVRGITKLIRE >cds-PLY72798.1 pep primary_assembly:Lsat_Salinas_v7:6:22320217:22321432:1 gene:gene-LSAT_6X17001 transcript:rna-gnl|WGS:NBSK|LSAT_6X17001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELMIVAGKVVPILQLEHGVAVVTVYAGSFMTSLDMGAFSISIIKAKQAILQCLDVATKAPHRPIGVDEGIHMNKKFHENALSSPSVHSPGALVHEQSEETLSLSTLQIVTLFLPIMPLVLVKLIDNSLLDISQSDLEANLFKHYQQDAVKTWS >cds-PLY99247.1 pep primary_assembly:Lsat_Salinas_v7:6:184459086:184460971:1 gene:gene-LSAT_6X112600 transcript:rna-gnl|WGS:NBSK|LSAT_6X112600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLWVLLVTGMTLLSGGNHVVCGQDYGQDGGGVFDAAAPEAAAAAAAKEMVPAMFIFGDSLIDNGNNNDLASLAKANYFPYGIDFNGGPTGRFSNGFTMVDAIAELLGLPLIPAYSQASSSADQMLHGVNFASAAAGILDITGRNFVGRIPFNQQIKNFENSLDQISDALGRANLGQALSRCIFFVGMGSNDYLNNYLMPNYPTRNQYDGNQYADLLSEEYTIQLRQLYNLGARKFVLAGLGLMGCIPSILAQGTTGRCSDEVNQLVLPFNANMKTMINNLSANLPGSRFVFIDVHNMFQDILANARSYGFRVVNRGCCGIGRNRGQITCLPFQTPCPNRNEYVFWDAFHPTEAVNVLMGRKAFNGGTDVVYPINIQQLANL >cds-PLY97300.1 pep primary_assembly:Lsat_Salinas_v7:1:177314890:177318593:-1 gene:gene-LSAT_1X117920 transcript:rna-gnl|WGS:NBSK|LSAT_1X117920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKNCEAPTSGLQTNGHPPPQQYTGSPTEGFIEPQNDVYTELLDSSIESNKKPAYLSSWSLHPDIKKAQMCHLNSIEGQFYPFLVNNRVQYAPFTMFPQGYPCDYNQHQEFHYFVVIDFEATCDKERNPHPQEIIEFPSVIVSSVTGQLEACFQTYVRPTCNQLLSDFCKDLTGIQQIQVDRGVTLSEALLRHDKWLEKKGIKNANFAVVTWSNWDCRVMLESECRFKKIRKPPYFNRWINLKVPFCEVFGGAKCNLKEAVQLAGLSWQGRAHCGLDDAKNTARLLAVMMHKGFKFSITNSLMCQATDHHPFIWKQPPPGHHHHHPFPPFHHHHPPHKPKDIHFLPIFHHPCCYCGVKSSKGMVRKPGPKQGSCFFGCGNWTSARGARCQFFEWA >cds-PLY88001.1 pep primary_assembly:Lsat_Salinas_v7:8:153706333:153707458:-1 gene:gene-LSAT_8X103081 transcript:rna-gnl|WGS:NBSK|LSAT_8X103081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAADKVKAFFGREEQVWYFGDDKVYEGSRRIGKLCMIAKNDADCVLYDLWSSRSEDESKAKNMINYYFMASNAPSGQSIIEQTGSYNIQALLGTSLYDVVLAPIVEALKGHPLFVPLTISTDKVPFEYLVRTHDTNFVDDKLDRVELELINDDTMYINKHIFLDSTDLPREITCSCYETPSTTQIFHKLLSIGHKAPIENTSHFRKSKLAVAWNFSPSVTKLFVHVMKHHPLPKSFANFSPSVTKLPLRTPLTSVNQNFPFLGTSSFISLAVASSKKLAEPIN >cds-PLY76640.1 pep primary_assembly:Lsat_Salinas_v7:4:117164090:117167430:-1 gene:gene-LSAT_4X74540 transcript:rna-gnl|WGS:NBSK|LSAT_4X74540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PTAC2 [Source:Projected from Arabidopsis thaliana (AT1G74850) UniProtKB/TrEMBL;Acc:A0A178WNJ2] MTLSYHSISPILIRSANKTTIQEYNFPQFSTRGFKFAGRRSIVICESSLAKPPNSRSRTRSKPKPKELVFGYPSNSVEKGKYSYEVESLLNKLSGLPPRGSIARCLEPFKNKLSLNDFALVFKELAQRGDWQRSLRLFKQMQRQVWSKPNDHIYTIVIGILGREGLLDKCYDVFEEMPSEGVPRTVFSYTSIINAYGRNGQYETSLQLLDRMKKEGISPNILTYNTVINSCARGGYDWEGLLSLFAEMRHEGLQPDLVTYNTLLATCANRGLGDEAVMVFRTMNEGGTIPDTTSYDYLVQTFGKLGNLKKVSDLLMEMESRGNLPEASCYNVLLEAYSDLEQTKDALDVFRQMQTAGCIPNAMTYTILLNLFGKQGRYDEVRELFLEMKVSSMGLDAATYNILIDVFGEGGYFKEVITLFNDMVDEKIDPNMETYEGLIVSCGKGGLHEDAKRILLHMRETGLVPSSKAYTGIIEAYGQAALYEEALVTFNTMNELGRNPTIETFNSLIDVFSRGGLYKESEAIVSRMSEVGVSGDTDTFNGVIEAFRQGGQFEDAIKAYVDMEKAKCNPDERTLEAVLSVYCFAGLVDESEEQFQEIEKMVELPSVMSYCMMLSIYAKSERWDDAHELLDEMIRCDSSNIHQVIGRMIRGDYDDESNWQMVEYVFDKLNTEGRGLGLRFYNTLLEALWWLGQKERATRVLKEATKRGLFPEIFRKSKRIWSVDVHRLWPGGACTALSLWFKNMREMLKEKEDLPHLASVVVVRGQMEKSSITRDFPVAKMVYSILKDSVSSSFCLPGWNKGRIVCQKPQLKKILVDMGKGSENEIMNLSNAPIPVVDSRTNKGKVRKGGMNNGEVKIGLNGGSGAHIDTRTEVATGSF >cds-PLY77680.1 pep primary_assembly:Lsat_Salinas_v7:9:19236931:19237572:-1 gene:gene-LSAT_9X14301 transcript:rna-gnl|WGS:NBSK|LSAT_9X14301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAIKLFVCIFFIILICKIDIVRGRKLVGKAKLPLAYSEGTSKIGCPTRDMRCGGGHEYKKKEPLKKNIGEMSREGIGIRYVGDNRESTKNIQGGGCVNGHVGCNNVSGKNRNNKYKIYVNKNGYQNIENNDITNPKLTDNRNSTNDNGSRNNIGNRSGSNNGKINGNVFRNGNATDNGDGSVNNNSVGIGDESGKGNNSNYKERSPPAPGSN >cds-PLY95393.1 pep primary_assembly:Lsat_Salinas_v7:9:188363292:188364824:-1 gene:gene-LSAT_9X115760 transcript:rna-gnl|WGS:NBSK|LSAT_9X115760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSPTTTITAAIQNLNLTPNFLPKFPPNPLRILPEFVNHRSTASMASTFGRFKVNSKLDNSSGEFLTITEYAGKGGANVGDDLVVLFSHLEYAFKRIAALVASPVNSSLGKSSGGSQDVDAGRDKPKPLDIVSNEIILSSLKNSGKVAVMASEEDDAPVWITDNAPFVVVTDPLDGSRNIDASIPTGTIFGIYNRLTELDNLPTEEKALLNSLQSGNRLVAAGYVLYSSATILCTTFGSGAHAFTLDHSTGDFVLTHPDIKIPPRGQIYSVNDARYFDWPEGLRRYIDTIRQGKGKFPKKYSARYICSLVADFHRTLMYGGVAMNPRDHLRLVYEANPLSFVAEQAGGKGSDGKNRILSIQPVKLHQRLPLFLGSPEDIDELESYGDVQQTVNPGYDV >cds-PLY73040.1 pep primary_assembly:Lsat_Salinas_v7:9:36489228:36495587:1 gene:gene-LSAT_9X34380 transcript:rna-gnl|WGS:NBSK|LSAT_9X34380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB1 [Source:Projected from Arabidopsis thaliana (AT3G09230) UniProtKB/Swiss-Prot;Acc:Q42575] MDKDQDQDQDAAKIEDFTAPQDDGGDVTTAATENHDADDSDPVTVGDGVGASGASGGGGRAGKRTGDRVKGPWSPEEDAILSRLVSNFGARNWSLIARGIPGRSGKSCRLRWCNQLDPAVKRKPFTDEEDRIILSAHAIHGNKWASIARLLPGRTDNAIKNHWNSTLRRRGHELGKFNIGSGNTIEDPSLERSKASSEETLSCGDINSFKPHEGPDITSDPNNDQTTLFRPKPRVSAFNVYNSQTGSETYGPTVLKGDFGISKLLEGAYGERLVPHQCGHGCCDPSGQSQSQTEKSILGPEFVDYVEPGSFSSHELAALATDISNIAWLRSGLDNGPRPGDRLRAEVISSLPVSWQGSGQPANVGSSGLATEHPDGALGGTWSIKNPNGAIRGAWSIMNLNGALGGTWSIVIPNEALGGNRNPNGTLRVKMKHLTTE >cds-PLY92600.1 pep primary_assembly:Lsat_Salinas_v7:7:163174813:163176688:-1 gene:gene-LSAT_7X96001 transcript:rna-gnl|WGS:NBSK|LSAT_7X96001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKFLSVLFCILISNLAVSSAQNCNTYAFRNNAIYATCVSLPVLDSHLHWNYLTNGTVDLAFRHTGATTSQWVAWALNIGGSGMIGAQALVAVTSPNGSVQAYTSAVSSYGTSLQPSTLSFEVPRITAERVNDVVVIYATIVLPNGGTGFNQVWQVGPVDNGVPGIHALGSDNRGSVGRVDFISGQTTSGGRVGGSLVRRRNTHGVLNAVSWGVMMPMGAMTARYLKVFKVGNPAWFYIHAACQTSAYIVGVAGWATGLKLGGDSTGITFESHRNIGIILFSLGTLQVFALLLRPKPDNKYRIYWNVYHHGLGYAVIALSIVNVYKGLDILDPEKKWKNAYTGILIGLGVVAVLLEAFTWFIVLKRKKEDQRTHAGANGYGQSV >cds-PLY79944.1 pep primary_assembly:Lsat_Salinas_v7:3:110880103:110881857:-1 gene:gene-LSAT_3X79841 transcript:rna-gnl|WGS:NBSK|LSAT_3X79841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPVLPDFSSSVKLKYVKLGYQYLVNHILTFILIPIIAAIALEILRLGPDEIITTVKSLRLDLVQTLCSVFLLLFISTVYFMSKPRSIYLVDYTCYKAPITCRVPFSTFMEHSRLILKDNPKSVEFQMRILERSGLGEETSLPPAIHYIPPTPNMEAARGEAELVIFSAIDSLFAKTGLKPKDIDILIVNCSLFSPTPSLSAMVVNKYKLRSNIKSYNLSGMGCSAGLISIDLARDLLQVLPNCNAMVISTEIITPNYYQGNERAMLLPNCLFRMGAAALLLSNKRRDRSRSKYKLVHVVRTHKGSDDRAYKCVYEQEDPQGLVGINLSKDLMVIAAEALKSNITTIGPLVLPASEQLLFLFTLIGRKIFNPKWKPYIPDFKLAFEHFCIHAGGRAVIDELQKNLQLSAEHVEASRMTLHRFGNTSSSSLWYELSYIESKGRMKKGDRVWQIAFGSGFKCNSAVWKCNRNIKTPTGGPWEDCIDRYPVDIPEIVKL >cds-PLY95389.1 pep primary_assembly:Lsat_Salinas_v7:9:189300829:189337398:-1 gene:gene-LSAT_9X116761 transcript:rna-gnl|WGS:NBSK|LSAT_9X116761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASINMASSSSQLLRSKMNPNFSSFNALYSLNPFSCNTHVTDAFLGKKLCSISKSDGSFKASPIGLWKTAVSLSSGIELQSDSIALGTLEAETIPSMNSFPHDADGYNLDFPTPGFSSIQEAIEDIRQGKMVVVVDDEDRENEGDLIMAASSVTPEAMAFFVKHGTGIVCVSMKAEDLERLQLPLMVTHNEEKLCTAFTVSVDAKHGTTTGVSAHDRATTIKALASKESKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGFDPVAVLCEVVDDDGSMARLPKLRKFVEKENLKIISIADLIRYRRKTDKLVEQASAARIPTTWGPFVAYCYRSVLDGMEHIAMVKGEIGDGNDILVRVHSECLTGDIYGSARCDCGNQLALSMQQIEEAGRGVVVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEDLGLPVDSREYDVAAQILKDLGVRKMKLMTNNPAKCIGLKGYGLEVTSRVPLLTLITEHNKRYLETKRTKMGHIYGSANNGVNLINQNDKIHSVYPTN >cds-PLY65216.1 pep primary_assembly:Lsat_Salinas_v7:8:20889478:20889963:-1 gene:gene-LSAT_8X38240 transcript:rna-gnl|WGS:NBSK|LSAT_8X38240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANDGNGLLTEVYIILLVIASGALLIALYHCISMLWWDLRRHQRRHGPNEIQLQGNDLSIENSVAGLIPAHKHKKIMGSQEDESMCAVCLSEFEEGEELRTLPDCMHTFHVPCIDMWLYSHRNCPICRMDAAIVASPMMEIIYIVDPQQQDVTRSQNSDVT >cds-PLY82376.1 pep primary_assembly:Lsat_Salinas_v7:3:214908018:214914110:1 gene:gene-LSAT_3X124080 transcript:rna-gnl|WGS:NBSK|LSAT_3X124080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKRELGKQGGGSGGSGTPAAKRGRPFGSTNSNLAAAAAADAAAPSTLLGPSLQVHSSFADQNNKRIVLALQSGLKSELTWALNTLTLLSFKEKDEVRKDATPLAKIPGLLDALLQVIDDWRDITLPKELIKTPRTRSLGVNSIVTGFGNEFKALGSNDVNIGSVSEASMQKKATKSQPAEWWLSEDGLFNLDDEGRAEKQQCAVAASNILRNFSFMPENEVIMGQHRHCLETIFQCIEDHVTEDEELVTNCLETIVNLAPLIDLRIFSSSKPSYIKITEKRAVQAIMGILGSSVKAWHCAAAELLGRVIINPDNEPFLLPSAPQIYKRLVDLLSLPAVDAQAAAVGALYNLAEVNMDCRLKLASERWAVDRLLKVIRAPHPVPEVCRKAAMILESLVSEPQNRPLLLAYENAFAEILFSDGKYSDTFARILFELTSKPNSKLTTARGIWGM >cds-PLY75229.1 pep primary_assembly:Lsat_Salinas_v7:MU043036.1:859069:862236:-1 gene:gene-LSAT_0X12400 transcript:rna-gnl|WGS:NBSK|LSAT_0X12400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRIISRKWVFSLYILLLFSGSFFSSASAKPPRQFVSGIASNIMSALFKWPWSLKPTNKIALVIPSRSRMKFESGYTVETVFDGSKLGIEPYSVEVTQSGELLVLDSQNSNIHKVSTPLSRYSVAKLVAGSSEGYSGHVDGKHRESMMNHPKGLTVDDRGNIYVADTKNMAIRKITDKGVVTIAGGNAKFSNDFDLLYVASSCSILVVDRGKQVIREIQLHQDDCSFNHFNENLLSGIVVVCGAVFFGFMLELLQRRITAMFSSFVEPKSPIKEVHPSVYPMPIPIPIPIPMPVKSVRPPLIPPENNEPENEEFGIFNSLGKHLTNARSSLSETFHTLLSHPKKKPLINTYQTIYHQPPPYFNTWPTQQTFVIPPQYPPPLVFPTRKPRKFITKNPEKTNRNRNMYHRHNKYFLLGPQTYYEENNETTNEVVFGAVQEQERTDVSIKAIDYSHPSYSNSTRDLRPRYNYMGYA >cds-PLY96703.1 pep primary_assembly:Lsat_Salinas_v7:6:76667930:76669313:1 gene:gene-LSAT_6X56321 transcript:rna-gnl|WGS:NBSK|LSAT_6X56321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPVPVEMTPDEIEKRLQDCPPDLIRYDRLMRGRSPVKYEFVDSEWIGKPGIKLERYSDEKIAALGRVVIPMLSSGFSLEAERCASIFVLAYHLKAPGSRFRSFIFNSDESLQVTSDQQYNSDPSEMLKAASHVIAATGDPTREAAVYSYIAASLFRLFTKPASHYVQTWSRFLNGFSKFYGEPMRVILPVPTVAVVGSLKDLFSVDRRAKVMLYRFLYMSNSNESHKGFKTFLYDNVLENTGMHILGIIEQLADVLNCSLGSIIITMEKGKTNVQMKSLLHVLKMMTSNDENHKRNMWRYGRIFDEAFLVDLQTKSCVDVVCVLAEALRSESPEKHSGIMQISQFADISEDKKRRCAKPAQLLLTHLKNQNPSSI >cds-PLY70953.1 pep primary_assembly:Lsat_Salinas_v7:5:152114467:152116487:-1 gene:gene-LSAT_5X66981 transcript:rna-gnl|WGS:NBSK|LSAT_5X66981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNLKETELCLGLPGGGGGGSGGNEAEMLKTTGKRGFSETIDLKLNLQPNESLSSNDNTSTTTHVKPPTKAQVVGWPPVRNYRKNIMAQKSNTDEPEKVAATTATFVKVSMDGAPYLRKVDLKMYTSYQELADALAKMFSSFTMGNYGRQGMIDFMNESKLMDLLNSSEYVPSYEDKDGDWMLVGDVPWQMFVASCKRLRIMKGSDAIGLAPRAMEKCKSRC >cds-PLY84446.1 pep primary_assembly:Lsat_Salinas_v7:2:1145118:1145797:-1 gene:gene-LSAT_2X1621 transcript:rna-gnl|WGS:NBSK|LSAT_2X1621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGEVELDASLSNQLELKIREELVYIVEERHELIMNPLISAASVIATGLAVGLASIGPGVGQGTAAGQTVEGI >cds-PLY88207.1 pep primary_assembly:Lsat_Salinas_v7:5:122143433:122152241:1 gene:gene-LSAT_5X53060 transcript:rna-gnl|WGS:NBSK|LSAT_5X53060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLRSCSSFTKSSSFRRIDFRIYSSIELSSLFHFSYCTVSKRRSRGPVMAAKKESKGTKQGDGKYKHTVDLPKTTFGMRANSSVREPEIQKLWDENQVFKKVSEKNNGGTFVLHDGPPYANGDLHMGHALNKIIKDIINRYKLLQNCKVHYIPGWDCHGLPIELKVLQSLDQDARNGLTPIKLRAKSAKFAKATVKAQMASFKRFGVWADWDHPYLTLDPEYEAAQIEVFGQMVFKGYIYRGRKPVHWSPSSRTALAEAELEYPEVHVSKSMYAIFKLLTTPTKDGLLDEFPKLSLAIWTTTPWTIPANAAVAVNSKLQYAIVEVQSPSPSPDVTSSSEDGKKRIGSVLKGSEIPFFIVALDLVSTLESKWNVKLAVKKTVLGSDLENCSYAHPINGQECPVVIGGDYITTESGTGLVHTAPGHGQDDYITGLKYNLPIISPVDDEGKFTEEAGIFKGLDVLGDGNTAVIDHLDQLSSILMVEPYKHKYPYDWRTKKPTIFRATAQWFASVEGFREAAMDAISQVVWTPSQAENRISTMTSSRSDWCISRQRTWGVPIPVFYHVETKEPLLNEDTIDHIKTIISQKGSDAWWYMKVEELLPEKYRDKASDYVKGTDTMDVWFDSGSSWAAVLETREGLHWPADLYVEGTDQHRGWFQSSLLTSVATKGKAPYSGVITHGFVLDERGLKMSKSLGNVVDPRLIIEGGKNQEESPSYGADVLRLWVSSVDYTGDVLIGPQVLRQMSDIYRKLRGTLRFLLGNLHDWKGENAISYEELPEIDQHALFQLENVVKSIKESYESYQFFKIFQTIQRFAIVDLSNFYFDVAKDRLYVGGASSFTRRSCQTVLAIHLLSIVRVIAPILPHLAEDVWQNLPFEYTLENTTDIAKFVFESKWPQVNEKSISFPQQQIDLWGKILELRTEVNKALETARTGKLIGSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEVYVGKVLLKSIYSLFVDVTLINLMSELC >cds-PLY89886.1 pep primary_assembly:Lsat_Salinas_v7:3:226488247:226500648:1 gene:gene-LSAT_3X128981 transcript:rna-gnl|WGS:NBSK|LSAT_3X128981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASFQPDHHHHHHHLSPTPEQLCYVQCNFCETVLAVSVPCSSLFTTVTVRCGHCTNLLSVNMRAHLLPAAAAASANQLHFGHNFFSHQNIMEEMRNMTPSNLLMNQSIPESIGPVRVDELLKPPVVNRPPEKRQRVPSAYNRFIKEEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDDQPLKKPSACQQEGDDLRLKDGFLSSGNVGVSPY >cds-PLY63572.1 pep primary_assembly:Lsat_Salinas_v7:9:150056108:150056774:1 gene:gene-LSAT_9X95180 transcript:rna-gnl|WGS:NBSK|LSAT_9X95180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGGFSKLWFFMVVILFAMVSCTTAVDTIYADQTIRDWETIVSAQGTFELGFFGECSSTNRYVGIWSKKGSCRHVILVFNRDTPLSNTSGELSITLQGSMVVRYSNGHVIWSTGKLSERKLVGQLQDNGTFSLREETADDLKKNPNPLCLSSDSPV >cds-PLY95119.1 pep primary_assembly:Lsat_Salinas_v7:1:92793704:92795968:1 gene:gene-LSAT_1X73860 transcript:rna-gnl|WGS:NBSK|LSAT_1X73860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKVEFENCQVSVDSKSVHEMLGLPSGGSLLSNMDYIFENNEEICMFEWKKQRDTDLSSIDWCDFIIDCLVRTKKVYNPKKESSLFYGPAAYLMDEEDFDVNKVSVAEVYENEISYMYQKMEDLKKDLVVKIDEGVLNFPQIQNLKNWKLLFLVEDLSTESFDFHYVSKQSKEPILTPTFVQVNDEDFGNDFPNDDENVEDDDQGKCFGAQGMEVMMKMMNKEMEVEEMKKRP >cds-PLY80096.1 pep primary_assembly:Lsat_Salinas_v7:5:147638672:147641159:-1 gene:gene-LSAT_5X65560 transcript:rna-gnl|WGS:NBSK|LSAT_5X65560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNFAGTPGTVTALILRLLQCLFAAASIASMASTKSFFSFTSFSYLIASMGLQVIWSFGLAFLDACALAKKKVLHNPGLLSLFVVGDWVTSMLSLAAASSSAGITVLYFNDLGSCGFVECQKYQLAVALAFMSWITIGISSLIMLSTLAAAVS >cds-PLY71048.1 pep primary_assembly:Lsat_Salinas_v7:3:162278391:162279127:1 gene:gene-LSAT_3X100860 transcript:rna-gnl|WGS:NBSK|LSAT_3X100860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTMKQSVTEDLRKDNDQKTPTMLKVFEEFETDGLSYESSSEDTETYSPKSVVKKLRKWPATVKDVNVDAFSRRVRTQIERIREEDSHLGEDIGECLIDKVSVSVHDLVDVVIFSRPASPLSGKIPARINMVQ >cds-PLY94484.1 pep primary_assembly:Lsat_Salinas_v7:2:156611445:156612097:-1 gene:gene-LSAT_2X81960 transcript:rna-gnl|WGS:NBSK|LSAT_2X81960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKSTLLGHRLKRLLRNLRVCSNQPGGEKACFFYINSAMQAAMKHALVRILTPRRSIDILRNVHVSKEQRKPYVVVFVGVNRVGKSINLAKVAYWLQQHDINVMMAACDTFRSGAVEQLRTHARRLQIPSYI >cds-PLY63133.1 pep primary_assembly:Lsat_Salinas_v7:4:66566166:66568622:1 gene:gene-LSAT_4X43761 transcript:rna-gnl|WGS:NBSK|LSAT_4X43761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLEIVQKVGVVLVCVYLSWRIIRYIWSFLDVEKDPLIVLVTGAAGMSFGQIAYALFPMIAKGAMLGPDQPVILHMLDIEPAGDILKGVKLELMDGAFPLLKGVITTTDVIEACKGVDIAIMLGGFPRRKGMSNKDLISKNIGIYKAQASALEQHADTNCKVLVVANPANTNALILKEYAPSIPEENITSLTRLDHNRALNQLSENLNVHVGDVKNVIMWGNHSSNQYPDANHAMVNIGVGHKSVKELVADDNWLNTEFITNVQRRGEVIIKSRRLSSALSAASATCDHMRDWILGTPKGTWVSMGVYSDGSYGIQPGLIYSFPVTCEKGEWSIVQGLKIDRFAREKMDALEKELIEEKNFAYSCLH >cds-PLY79016.1 pep primary_assembly:Lsat_Salinas_v7:3:10374435:10381437:-1 gene:gene-LSAT_3X7200 transcript:rna-gnl|WGS:NBSK|LSAT_3X7200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPWWNKSSSEEVKKKSNSGGIFGTIQRKLKSPSESKHKSKGSNSRVGSPSTLVSRSQSFAERPLPHPLPLPDVHPTADSGKRASRKSGIGKRSSLTPHKPSRSQNAPGHVDVEGDVATDSVFTDSSSDSDDPSDSRLLSPQVSDYERTYTNSPSSAVYKIQNHHIATQKRREKLKPVNTTTCPNNQTVPTSPKRRPLSRHMAKLQIPQSGAFFSAPDSSMSSPSRSPMRVFGPDPTLTSGLWAGRPYADAGFLGSGQCSSPGSGHNSGHNSVGGDMSATQLLWQHSRCSPECSPIPSPRMTSPGPSSRIQSGSVTPSHPRACGLNMVDPFANWPDDAVTGRQQSHRLPLPPLTISNIGPYSPSYSTATTPTVPRSPGRADLLVGHGSRWKKGRLLGRGTFGHVYLGFNSESGEMCAMKEVILFADDAKSKESAQQLGQEIALLSRLRHPNIVQYYGSETVDDKLYIYLEYVSGGSIHKLLQDYGQLGELAIRSYTHQILSGLEYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHISGPSCPLSFKGSPYWMAPEVINNNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVAALFKVGNSKELPSIPEHLSDEGKDFILQCLQRNPSHRPTAAQLLEHPFVINIASSERLILDPGPITNAVRFLGIGYGCEGVSVVHSRGPKSSPGFSNISCPVSHLGSPLLHQRSVQNMNGRVSPSPISSPRNISGSSTPLNGAIPFYHHQPSVLYTPEFTGLGMSSRSPTRCFGPPPSYYHDPRPDMFRAMPQGSPFFQEIVPSDNDFLGNQKERYSGQSVLVNRVSEQLLRDHVKQNPVIDLNPPSPVVSRRRS >cds-PLY86925.1 pep primary_assembly:Lsat_Salinas_v7:5:264665595:264668295:1 gene:gene-LSAT_5X136401 transcript:rna-gnl|WGS:NBSK|LSAT_5X136401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSPSLLLLCFLFFSFVSVTVSTQTRSIDQTKTYIIHASKSEKPTTFSTHHRWYSSIVGSVSTSHQPSDILYTYERSVNGFSVRLTRAQAAKLRRLPGILSVIPDRRRTLHTTHTPSFLGLADTFGIWPNSEYGDDVIVGVLDTGIWPERESFSDEGLPPVPSTWKGSCETTSDFPATACNRKIIGAKAYYRGYTASLGSRLNETGGTLSPRDTEGHGTHTASTAAGAIVKDAGFFEFARGEARGMATKARIAVYKICWSEGCYDSDILAAMDQAIDDGVNIISLSVGSSGYAPQYYRDSIAIGSFGAMQHGILLSASAGNSGPDPYTATNIAPWILTVGASTIDREFPADVVLGDGRVYGGVSLYSGAGLDDTQYPLVYAGDCGSRYCYSGQLNSTLVAGKIVICDRGGNARVEKGSAVKIAGGVGMIHTNLDENGEELLADAHLLPATMVGAAGGDKIKEYLKSDPSPTATIVFKGTIIGTSPSAPRVASFSSRGPNYRTAEIIKPDVIAPGVNILAAWTGYTSPTDLDIDSRRVEFNIISGTSMSCPHVSGLAALLRRAHSTWTPAAIKSALMTTAYYIDDTGKNITDLATGEQSSPFVHGSGHVDPNRALNPGLVYDAGVDDYLSFLCAIGYDSKQIATFTKDPVDCSAGKFSNPGDLNYPSFSVVFDSKTGGVMKYKRVVKNVGSEVDAVYKVKVSAPQGVEVVVSPAKLEFSEEKKEVEYEISFSAGGGGSAAFGAIEWSDGVHNVRSPIAIQWKKSYGQMSM >cds-PLY62774.1 pep primary_assembly:Lsat_Salinas_v7:4:199244361:199245652:1 gene:gene-LSAT_4X112761 transcript:rna-gnl|WGS:NBSK|LSAT_4X112761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGSESEVIFDSLNLNPQLFLNAALNIVDELIASAFEHLHQEASAQLKVDGSDRAEDLTKGLDYIRNTIQSALDKRLTMWEKYCFLRLFVVPEGFSLPKDDEASVGDIMDVDVVGLGIPDLDSQLVSLRTKLTLAEQESVQLKREIQALERESAINNHQATAISELTKLSDQISENDAFQELQKLAAELHMKVEKLKTEREDETQRDRFQKLHLWNEDILKIIGGNGKYTLQVNNLYANSYYVYSEIVF >cds-PLY83600.1 pep primary_assembly:Lsat_Salinas_v7:5:18249307:18252941:-1 gene:gene-LSAT_5X9161 transcript:rna-gnl|WGS:NBSK|LSAT_5X9161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFHCNFNNTDLSSFTLSKCLKFNCRTSSLFPRTLSIQRVSPFVVRSIATSSDSNGDGGTSAESEGKKKKLSEQSSWEAKDSEGNDYLYRLGKESENMNIAIGARAGVIDDLFTGDFLGKDSDIVFDYRQKVTRSFEYLQGDYYIAPLFMDKIAVHIVKNYIAHLLDIKVPLILGIWGGKGQGKTFQTELIFQAMGILPVIMSAGELESERAGEPGRLIRDRYRTASQVVQNQGKMSCLMVNDIDAGLGRFGNTQMTVNNQIVVGTLMNLSDNPTRVSIGQDWRESDITNRIPIIVTGNDFSTLYAPLVRDGRMDKFYWQPNIDDIINIVNRMYEKDGLSKNDVISIVKTFPNQALDFYGALRSRTYDNSILKWVNEIGGPESVGSILLKRKKKENLPVFVPPKQTVEGLLESGYSLIKEQQLIMESKLSKEYMKNMED >cds-PLY98159.1 pep primary_assembly:Lsat_Salinas_v7:1:138651569:138653800:-1 gene:gene-LSAT_1X99500 transcript:rna-gnl|WGS:NBSK|LSAT_1X99500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLQHLEIPFKDIQLATKNFDNGNFIAKGGFGSVYKGKLQSSDGVIDVAVKRLDHDSEQGKHEFMMEVTTLSCYRHKNLVCLVGFCDVGREQILVYEHESRGSLDNYIDNPYELSWMKRLKISIGAARGINYLHDEVGRQHRIIHRDIKSANILLSKDWDAKVADFGLCKISPANIKHTFLVTNAVGTFGYLDPVYYNTGVLTKESDVYSFGVVLFEILCGRPAFVPGFEFLAPLAERKYREEKLDDIIIPNLRNQMNLNSLSTLATIAYQCLKPDRRERPRMAQIIDKLVDAYEIQASLKATEFVRVGLWGTKSSGGSQNTWEFILQNDHKLKMITIDHGALIYSLMFTTVSKGILHSTKKAGGWNGGKTVSKVVLEDDEKLIGIYGTVGVLSTGKYAGYTVIASLSFLSNKKTHGPFGRETSNRFTVPWVKGSFGGFYGLAGYYINAIGVYIKASYDLIAGVGIWGSKEPGSRNNHWSFQLERNHHLKKITIDHGDLIYSLRFTTEFRGEEITSNKSGGWNGGDIVSELTFAWDEEITAINGTVGVSRGTYAGYTIISSLTFITNKKTYGPYGSVRGTPFTLPWDKDSFAGFFGRCGYYIDAIGVYLKATI >cds-PLY72641.1 pep primary_assembly:Lsat_Salinas_v7:3:182396853:182397888:-1 gene:gene-LSAT_3X110520 transcript:rna-gnl|WGS:NBSK|LSAT_3X110520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKVQIFIAVVLASSAIAMAEQPLDQELDELFKWPSLTHHYDSLSFFKKFQCVASIHKVYSCLRLSFLKFNTQNFQLLHAATDCCASISDFRERCSDCKIGQFESFLFPPLLFKQCIAGDAAAPGPAPAAAAGLAADIEVHNLLYASRKTRMALFVAAALSPSLYKLLN >cds-PLY97728.1 pep primary_assembly:Lsat_Salinas_v7:8:8272134:8277002:-1 gene:gene-LSAT_8X6441 transcript:rna-gnl|WGS:NBSK|LSAT_8X6441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDLVAKAKEAFIDDHFELAVDLYSQAILINPTDADLFSDRSQANIKLKNFTEAVSDANKAIELDPTNPKPYLRKGTACFNLEEYLTAKTAFKAGASLVPDDTRFIEWIQKCDKCIAEENDEVPMQSVDSVSTPTEVVTTSQAPEKTDAATKDVEQVNNVSTELATRTPAKPKYRHEFYQKPEEAVVTIFAKGIPAENVSVSFGEQILSVTIDIPGEEEAYIFQPRLFGKIIPANCKYVVLSTKIEIRLAKVEPVHWTSLEFSNNSLVVRSSTVSSGNQRPAYPSSKPTKDWDKLEAQVKKEEKDEKLDGDAALNKFFRDIYQDADEDTRRAMRKSFVESNGTVLSTNWKEVGSKEVEGTAPDGMELRKWEY >cds-PLY98482.1 pep primary_assembly:Lsat_Salinas_v7:5:209651064:209651588:-1 gene:gene-LSAT_5X95141 transcript:rna-gnl|WGS:NBSK|LSAT_5X95141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGFQLNQAAVMIVMVAYMQFHTTVAKNTHVVGGPAGWTIPASGSDIYSSWAATKTFKVGDDLVFNFTTGKHTVAEVPLQGYDQCNTTDRTHIYKTGPATIALTKAGTRHYICTFHCKMGQKLSVNVK >cds-PLY70350.1 pep primary_assembly:Lsat_Salinas_v7:4:98564820:98569361:1 gene:gene-LSAT_4X63720 transcript:rna-gnl|WGS:NBSK|LSAT_4X63720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYRKTKLNKRGDPNSSDKWAISGDILEGTKGALSRDHQPLDREKKEEEKKVDEKEEKKKEEEVPQEVVLRVDMHCGACARKVARSLKGFQGVEEVTTDCKASKVVVKGKELDPIKVSERIQKKSGRKVEIISPLPKPPEAEPEKKQEPEPPKDEKKDEPPPVITVVLKMSMHCDACAQGLQKRIRKIPGVESVKTELAKEQVVVTGVIEPEKFVNDVYKRTRKQASIVGKAEEKKEEEKKEEEKKEEEKKEEETEEVKNEEADTNTETKRSEYWPPKYYMEYSHAPQMFSDENPHACSVM >cds-PLY69309.1 pep primary_assembly:Lsat_Salinas_v7:4:105404168:105406217:1 gene:gene-LSAT_4X66881 transcript:rna-gnl|WGS:NBSK|LSAT_4X66881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDPVETPSGHSFERYAIEKWLAEGNNGCSITKTPLKASGLRTNKTLCQSMEEWRDRNTMIFIGSMKSRILSNEEEEVIVSLGKLRVLCLERELHQEWLMMEDYLPVLVSLLSTKNFKVRSHVLVILRILATNNDDRKETIAKTHDGIKLIVCSLARKIKESKLALQLLMELSENDVARNIIGSSQGSETVQREMAETLSEVDMTDHGKLTVCESGAVESRVAMLSHVNIEMKKAAILALEKRSGVPQNGLKMIKQGAVDLLFGILFHESLSMPIVVEKVVATIMNLALSLTSGELDQQEIPFLESEEDKVAETGIITMLVHLPGRSLTKRNVSVSLRQFCESSSSLTRPVEPKSSLFSCCLASPQLGCIVHSRICAIDSPAIEKSRRIENFRKNNHRCESLVM >cds-PLY63540.1 pep primary_assembly:Lsat_Salinas_v7:9:150790715:150794345:-1 gene:gene-LSAT_0X5240 transcript:rna-gnl|WGS:NBSK|LSAT_0X5240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSRHRFSDDYSDTTDDYSGDETLEVESTESKSSIHGGAFRRGVLLAWEQVYLLACITGMFIDPLFFYILSIRDSWMCVFIDGWFAITVTVVRCMTDALHVANMWLQFKWNRWSRYDVGSRHDDRNSCNVSRKAFMFDLFVILPIPQVVLWVVIPALLKKGSTTEIMTVSLIMFLFQYLPKIYHSVCLLRRMQNLSGYIFGTVWWGIALNLIAYFVASHAVGACWYLLGMQRAAKCLKEKCMEANGICVSRFLTCQNPVYYGTDKFVKDHTRLLWGSNKEARTTCLENEDNSSYGAYKWTLQLVTNDSRLEKILFPIFWGLMTLSTFGNLESTTDWLECVFIIIVLTTGLLLVTMLIGNIKVFLHATTSKKQAMQLKMRNIEWWMRRRHLPQSFRQRVRNYERQRWAAMRGVDECEMIRNLPEGLRRDIKYHLCLDLVRQVPLFQHMDNLVLENICDRVKSLIFTKGETIAREGDPVQRMLFIVRGHLQSSQVLRDGVNSCCMLGPGNFSGDELLSWCLRRPFIERLPPSSSTLITLETTEAFGLEADDVKYVTQHFRYTFVNEKVKRSARYYSPGWRTWAAVAIQLAWRRYRHRLTLTSLSFIRPRRPLSRCSSLGEDRLRLYAALLTSPKPNQDDFDF >cds-PLY72986.1 pep primary_assembly:Lsat_Salinas_v7:8:309412295:309416229:1 gene:gene-LSAT_8X167361 transcript:rna-gnl|WGS:NBSK|LSAT_8X167361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSLEYWLRWQVPVCALIFLLPTLVSLRFIITKNNTHTTKTHHLWISCWRNLHPRWILLYRLFSFVSMGYLLYQTVLAFGPFVFYFYTQWTFCLVMVYFVLGTIISAHGCWMHFKEPPLPNQNQERDKFLKKDSSSFKDNEASNSINLQFNQEAGFWGNLMQNVYQTCAGAVVLTDLVFWCLLLPFQSGDGFKLTLLIGCMHSLNAVFLLLDSALNSLQFTWHGLTYFVLWSSAYIIFQWVMHACCFTWWPYPFLEIATPWAPMWYFGIALVHLPCYGLYVWLVKVKASMLSKMFPGAFIRVVVANEKQM >cds-PLY97265.1 pep primary_assembly:Lsat_Salinas_v7:1:44715385:44716283:-1 gene:gene-LSAT_1X39141 transcript:rna-gnl|WGS:NBSK|LSAT_1X39141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTYATPPLSANCIAPDTHRFRIISFFLLPVPSISLSQSRFHSLKSLSSNFRSTKVLPCWNPHWSTSKLPTIEEVLHCFAIEEDFLTRSFSISPPEIEIRTKHDEGIILLLIFNYSSKGRALSLYRSN >cds-PLY62897.1 pep primary_assembly:Lsat_Salinas_v7:4:327366141:327368729:1 gene:gene-LSAT_4X162500 transcript:rna-gnl|WGS:NBSK|LSAT_4X162500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSIMSFFLVILLSSLSLASSQYALPDHYFINCGSNSDVNFTNRKFVGDVNPSTFSISGGHVAAESNNPASDTPVIYRTARVFTKKSRYELEADDINTFVMVRLHFSQFSSNGLEFSNSKLDVSVSGFSLLSGFSTGNTTVIREFIFPIGSERKFRIEFTPSRGSSSAFVNAIEAFTTPSNLFRPASSFPRISPAGSIGDLENVTSDYAFNPIHRVNVGGQTINVNRDTLRRTWTPDNSFIFNNGQARNVTFDDRPNYVDGGASSFDAPDDVYKTAKQLNNSLVNITWIFNVNENAMYLVRAHFCDIISTALVSPTDAFNFFVYSHHKEEIQPGNTIRALHAPFYLDLVVESNDSNRLNISIGAIRGNNQPVFLNGVEIMQMLKNSGVPDPAKKKGKSVFIVVGCVVAGVAFLLVLLAGFFIGSRCGKGKQVVVGAKSESHVVPSHGRSTSYTSINVDFTVNNPSPVLDLNLRVPFADIVQATNNFDENLMIGRGGFGKVYKGTLHGTKVAVKRGEQGHGQGRPEFVTEIMVLSRIRYKHLVSLIGYCDENNEMLLVYEFMEKGTLQDHLYDSDLPRLSWERRLEMCISATRGLHYLHTGSEGGIIHRDVKSTNILLNEHYVAKVADFGISRLENVDEGEHSDVKGSFGYLDPEYVRCMKLTQKSDVYSFGVLLLEVLCARPALDHKLPAKEVNLADWAIKQIKNGNLEKIIDPFLMSTINQDSLRKFVEIAERCLKETGDERPSMVDVLWDLEYVLKLQLMSVDRESYDDTTINTSFQLPMSIIDSSISSYPSESEVFSQLKIDEAQ >cds-PLY69974.1 pep primary_assembly:Lsat_Salinas_v7:8:64423358:64423871:1 gene:gene-LSAT_8X45061 transcript:rna-gnl|WGS:NBSK|LSAT_8X45061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVLNFTPLNGKAIRIMYSHRDPSVRKSGSGNIFIKILDKVIDQKALHGTFSTFGNILSCRIVTDSSGQSKGYGFVQYDAEESAQKAIEKLKDMLLNDKQVYVGPFLRKQERELVFARQSLLMSLSKTCPNQQHMMI >cds-PLY90564.1 pep primary_assembly:Lsat_Salinas_v7:6:54817287:54819559:1 gene:gene-LSAT_6X40141 transcript:rna-gnl|WGS:NBSK|LSAT_6X40141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPWIGMYIALASLFCIIAMVADLLHGFRRKTLWFPCKYFTLNSASLTVIAVAIKLPMDLSTLMPSYMDQATKLESSGFMCTMMSNLLPSLATMDSKELIPNVISLAVLVITLVVNVCIQLNTGALFYHVVDDGAGFDLHGYVKSLPSYVPDRHNRFTAAIYVDMLLMLLTIYVCSALAILKSKQILESKYQATHDKAINDRYLHQEGKLSVEKLKRHVSYWWIMAGTGSSQFMKTCSVTTSASAVICASSVVLHILLLLFYVENLWDYKSDYKWSMPVILIIQFLGIIVGTISPLSRCFLALSFKVPIKWIWDHIKISKVESYWTEKLYDWKRSSIPFPSSSRKCKCVMENLKVIILNICIGFQKIVVVACKIIALVPFFVVICVLYCWKWLKAMFCTSCPMLREKPTEHLRKDIDLSWYVLQLHDDIEFANRTLKGMLKSVNHLIEMAEKQQPKNLMKLLAKSRGFEGVARFDSNRVPALSSEEYVNCWSLPLITLTTIAMSLPNIQLDIVYSLLSGVTEGLVYVALVEKTLNATDDHVSIQEAAKTLWREVEVYNNWLGNKLPKYNPEENTPRQILQWLRDTAKSMVTHEVESTDIRSQNDNSKYSSICANSMYRITETILISCHENIYHEASQEELFEVLSSMIADILAACLTNLPQVILMKCHTSAIEKREVNVHVAAQLLGQTTQIIKSLQDRQLPSLSLDDLPFIDKWRCYLIDPFDEDLL >cds-PLY87264.1 pep primary_assembly:Lsat_Salinas_v7:1:51868415:51870270:-1 gene:gene-LSAT_0X18240 transcript:rna-gnl|WGS:NBSK|LSAT_0X18240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIFLLSMAVGVVTSQPSPPPSGNCHIPAIYNFGDSNSDTGGIAAAFYPPGPPCGETFFKRPVGRASDGRLIIDFIAEKLGLLYLSAYLDSIKANYTHGANFATGGATIKRVNESWFANGVSPFSLDVQVEHFNQFKDKTTYLYNQAKEESQRSNLPKPDDFVKAIYTIDIGQNDIAYAFRTLGVEASRVVIPDLVNQFVSCVQQLYGKGARAFWIHNTGPIGCLPVIYAKNLDPPPGSLDEVGCAKDQNAIAIEFNKQLRAKVIQLRSDLPKAILIYVDMYSAKYELISNAKDLGFKQWFTICCGYHDVDEDVYCGNRGKINGSEVFADSCKDPTKVISWDGVHYSDAANNWIATKITKGLFSDPSLSITELCPKHVSIM >cds-PLY96872.1 pep primary_assembly:Lsat_Salinas_v7:2:109066393:109068369:-1 gene:gene-LSAT_2X48740 transcript:rna-gnl|WGS:NBSK|LSAT_2X48740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRRVKLGQQGLEVSAQGLGCMGMSYFYGAPKPEPDMIKVIHHAINAGITFLDTSDMYGPQTNEILIGKALKGGMREKVEMATKFGVKYDTDAMEVCGDPAYVKYACEASLKRLGIDCIDLYYQHRIDSRVPIEITMGAVKELVEEGKIKYVGLSEASASTIRRAHAVHPLTAVQLEWSLWSRDVEEEIIPTCRELGIGIVAYSPLGRGFFSSGPKVLENLEDGDLRKYLPRFQGENLEHNTKMFERVNEMAAKKGCTPSQLALAWVHHQGNDVVPIPGTTKIENLEQNIGALSVKLTPEDMAELEAIAAADSVKGGRYGDGISTFKDTETPPLSSWKA >cds-PLY87815.1 pep primary_assembly:Lsat_Salinas_v7:5:129278346:129279060:-1 gene:gene-LSAT_5X56640 transcript:rna-gnl|WGS:NBSK|LSAT_5X56640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPNTERRRKPLLLTTKTSPPSIFTLTDALRSHHLRSSTIMAINDLEHREEEKASADDEDIGAQVVPIVRLEAIEERCDCNNVDGEEEEEGGGGMDMDMDGEQEGYDDSC >cds-PLY84070.1 pep primary_assembly:Lsat_Salinas_v7:6:191488830:191490155:-1 gene:gene-LSAT_6X117260 transcript:rna-gnl|WGS:NBSK|LSAT_6X117260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSFCKLYARIDQLRTQEKSFIFHDGKVFSFRRVKQLKIFKNFERLLVKLISLIASQHGIRGVKHSLLILKKRATKNLKFTSCFLNPHIMASSLHKP >cds-PLY86029.1 pep primary_assembly:Lsat_Salinas_v7:3:74011000:74011941:1 gene:gene-LSAT_3X61281 transcript:rna-gnl|WGS:NBSK|LSAT_3X61281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVKSFVEGGIASIIAGCSTHPLDLIKVRMQLQGENHATGRGGITRPPRVGPISVGMRIIRQDGFRALYSGVSATMLRQTLYSTTRMGLYDMLKTKWTDPNTGIIPLWQKITAGLIAGGIGAAVGNPADVAMVRMQADGRVPPAERRNYKSVFDAISRMVKNEGIGSLWRGSSLTVNRAMLVTASQLASYDQMKETILNRGWMKDGLGTHVTASFGAGFVAALMTNPVDVIKTRVMNMKVVAGKAPPYTGAVDCALKTIKAEGPMALYNGFIPTISRQGPFTIVLFVTLEQVRKLLKDY >cds-PLY75343.1 pep primary_assembly:Lsat_Salinas_v7:5:52422625:52424535:1 gene:gene-LSAT_5X26201 transcript:rna-gnl|WGS:NBSK|LSAT_5X26201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASASFIILSASFAYYLFFATILYPTVVGALGGSWFLLHPTIGITAMHMQLLPSDRVIVFDRTDFGASNISLPDGKCREDQYDYILKNDCTAHSIEYDVASNSIRPLMVLTDVWCSSGALMPDGSLIQTGGFNDGDHVIRVFNNSCSSCEWEEIKSGLFRRRWYATNHILPDGRQIVIGGRRQYNYEFFPKAMASEKAYSLPFLVQTNDANIENNLYPFVFLNTDGHLFVFANNRAILFDYSRNQVLKTYPEIPGGDPRNYPSTGSAVLLPLCIVNATVASAEILICGGAPKGAFMNAVNGKFDGALNSCGRIKISDSDPKWAMETMPLGRVMGDMLLLPNGDVLIINGGSNGTAGWEYGRNPVFSPVIYRHYNPINSRFEVQNPSTIPRMYHSTAILLRDGRILVGGSNPHTFYNFTNVLYPTELSLEAFSPSYLNQGSSGSRPKIISPVTQTRIQYRQLINVRFTVQNKVDPNMISVTLVAPSFNTHSFSMNQRLLVLDVGKTTKVVGNWTYEVNMTAPPSGNIAPAGYYLLFVVNHDIPSEGIWVVIQ >cds-PLY84745.1 pep primary_assembly:Lsat_Salinas_v7:5:229557894:229558565:-1 gene:gene-LSAT_5X109221 transcript:rna-gnl|WGS:NBSK|LSAT_5X109221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTTIIILSFFVALVCSCNLQTTTAHRLLHIPGLPGSQSLSPDHFPTSLFSSASPPPVQYSIFSSATPPPSRSSLLSLATPPPGRSFFFQSATPPPHRYSIFSSATPPPKHSSLLSSVTPPPSRSSFFQSTTPPPHRYSILSSATPPPSRTSFLSSATPPPRRYSIFSSATPPPSRSSLFSSANPPPNRFSLLTLATPPPSHASLFPYATPPPNRSSLYSSA >cds-PLY75888.1 pep primary_assembly:Lsat_Salinas_v7:1:172053512:172054020:1 gene:gene-LSAT_1X114741 transcript:rna-gnl|WGS:NBSK|LSAT_1X114741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRHLFVTILIFFLPFLYNFSFALCARTLAGSWTPINASDPMVIEIGKFAVDEHDKDDHMSLQFEKVVAGESQVVAGTQYNITITAVEGGVENNYVALVWDKPWQKFRELMSFVGPV >cds-PLY75096.1 pep primary_assembly:Lsat_Salinas_v7:2:93979119:93982451:1 gene:gene-LSAT_2X41880 transcript:rna-gnl|WGS:NBSK|LSAT_2X41880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEAFIRNKPGMASVKDMPLLQDGPPPGGFAPVRYARRIPSKGPSAVAIFLTTFGIFSWGMYQVGKGNKIRRAIKEEKYAARRAILPMLQAEEDERFVKEWRKYLEEEARIMKDVPGWKVGESVYNSGRWMPPATGELRPEVW >cds-PLY95318.1 pep primary_assembly:Lsat_Salinas_v7:8:244143832:244153234:-1 gene:gene-LSAT_8X146920 transcript:rna-gnl|WGS:NBSK|LSAT_8X146920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g18370/MYF24_8 [Source:Projected from Arabidopsis thaliana (AT3G18370) UniProtKB/TrEMBL;Acc:Q93ZM0] MKKASIDMSKITEFLNQLYVEKPLFPLVIPLLLVLWSIEKWVFNLSNWVPLAVAVWATVQYGSYRQRIVVQDLNKKWKQLILQTSPTTPLEHCEWLNKLLIEVWPNFISPKLSLKFSSIVEKRLKHRKTKLIERIELQEFSLGSCPPIFGMHGAQWSTAGDQRFIRMGFDWDTTDISIMLFTKLAKPMGTARIVVNNIHIKGDLLISPILDGKAVLYSFVSTPEVRIGVAFGSGGSQSLPATELPGVSSWLVKICYDTLNKTMVEPRRRCLALPPVDLRKKAVGGVLHVTVVSAGKLSRNSFKGSSTTTSTSDGYGQENHHDMGKDFHTFVEVELEDLTRRTLEVAGPNPTWDSTFNMVLHDDAGILKFNLYESCPDNVNYDHLASCEIKLRYCTDDSTIFWAVGEESGVIAKHAEFCGKEVEMVVPFESINSAKLKVKLTLKEWQFSDGLHTLSGSQLSSRGSQFGSTSNFQPRTGRKIYITVVEGKELLEKDKSGKSYVKLQYGKTIQRTRGATNASQPSWTQKFEFEEISDGEYLKVKCYNEDIFGDENIGGARVNLEGLVDGVVRDVWIPLEKVKKGEVRLQIEAVTIDDFEGSKGSQGGLIELVLIEGRDLVAADIRGTSDPYVRVQYGNLKRRTKVMFKTLSPQWHQTFEFPDDGSLLALHVKDHNALLPTSSIGDCIVEYQRLAPNEMSDKWIPLQGVKRGEIHVQVTRKVPQLQKKVSDSESPSSRGHKISGQMKQMMMKIRSLIEDENLEEVSSSLTELESLHEVEEECIIQLETEQMLLLNKINELGQEIINSSPSLSRRSSSN >cds-PLY95952.1 pep primary_assembly:Lsat_Salinas_v7:9:40655239:40655748:-1 gene:gene-LSAT_9X36301 transcript:rna-gnl|WGS:NBSK|LSAT_9X36301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSRSINLLRVGNIRDDGPCPPCDCQDAISVERIAWTDDNVARRFWNCKNSLSAEGSKCKFFMWKDKEMEECYYKEQLRKMRSELKRKEEFREVSKVQKNLVKLQQAMEADKQVFETHLMELMESLPCG >cds-PLY86502.1 pep primary_assembly:Lsat_Salinas_v7:8:134821417:134823112:1 gene:gene-LSAT_8X91520 transcript:rna-gnl|WGS:NBSK|LSAT_8X91520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIHILHLSTSTMIDLHLSTSFLFLLLPITFFFFKSIFSALLIKYPGKYTPISYPLIGSLFPILQNSHRLIQWTSDIVNDSPTKTFLLHRPLGRVRVITANPAVVQHILKTSFHLYPKGKAARNNGFDLLGDGIFNTDGDGWKFQRQVSSHEFNTKSLRKFVEHVVDVELNDRLLPILATSAATGTTVLNFEDILQRFAFDNICNIAFGYDPAYLMPSMPEAIFATAFDEAVTIITGRLRSNLPLIWKLKRLLNIGSEKRLRIAVGVVREFANKVTKEKKLELQQKSSLDSVDLLSRFLNSGHSDDNFVADIVISFILAGRDTTSAALTWFFWLLHKHPRVESEILTEIKNKSEYPIYDEMKEMVYTHASLCESMRLYPPVPMDGKQAADDDILPDGTFMKKGCPITYHIYAMGRSEELWGSDWAEFRPERWLEKDISGRWIFKPRDAYEYPVFQAGPRVCLGKEMAFLQMKRVVAGVLRRFKVVPVAGIEPVYVSYFTAKMKGGLPVKIEERNE >cds-PLY64846.1 pep primary_assembly:Lsat_Salinas_v7:2:30973690:30974890:-1 gene:gene-LSAT_2X15241 transcript:rna-gnl|WGS:NBSK|LSAT_2X15241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGTLRNKVQRKCKMRGYTLKVEALTEILSFLSSYEDAEDEALELLLDELQHQSLKSSILDKEPIQRVVSLLCEAASATDDTLGTNTSSSFSVIDAFLIPKFRYDPIKKIFCEHTGRLPIHGDAPSKAWLYRDRFLLLSQRLSRIPQFSSSSFDSELSEFGSCEISKIQSLVGRTGVCWVMGVISQLEDGHFYLEDLTGAVEINLSNAISLIT >cds-PLY78698.1 pep primary_assembly:Lsat_Salinas_v7:9:50834579:50835390:1 gene:gene-LSAT_9X46561 transcript:rna-gnl|WGS:NBSK|LSAT_9X46561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSNYLAPMGNKYNHRQINKLLKLTESNANILDVLSTRVQRMEAELQKFRQNQDEEAERFSCSQHHRRGYHQKPPPHYHIQPQLDLDHLNEWSDSEFEVMAEPPSQHYKQQPPQLDQLTDAGETSSNEECEWGFCLVTRQNQHLSEADLLIPLFKKNPNYDEFSEWVWDVERF >cds-PLY93813.1 pep primary_assembly:Lsat_Salinas_v7:6:144783858:144790724:-1 gene:gene-LSAT_6X86720 transcript:rna-gnl|WGS:NBSK|LSAT_6X86720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLKFCTCQYEGSLQNVRLGIQLLEDGIYRAALGWFAYEPEWFEHDHGNFAHIEAQSVNSFVHYLQNDPKALGGEYGGSFLDMKDHCHPIWGPMENYAACRDKRKQLLLMLCQHEADRLEVWAQPVNYNYHWSLIVMCHLGEVATYKDEEDVTELLKVPCVLHMDPIRGSHTGLKGLMQSYLKEEWKGRQQEASKDISSRFDNLRFISLELNTDWFPPADASLKRVVIQRLVYDLLQQPYDEAEAFYKVFSQPSPSSSSLSAATLPCGSDHMTPASMPFPQPTPSFLRHLLFHFWRQSAVVEVVSRHVVLNQRRRRGPPASASPFDCYHPP >cds-PLY62891.1 pep primary_assembly:Lsat_Salinas_v7:9:131589216:131593319:1 gene:gene-LSAT_9X85640 transcript:rna-gnl|WGS:NBSK|LSAT_9X85640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAASLVKDQKKRPSSEKLLKHPFFKHAKTTDYLERTIVDGHSPLGDRFRMLKQEYIRGISTWKFNLEDLKNQVALINDLDEVSNVEDPKTTEQQKGVNGVTLLSEKLSPKIDNNNTNNNINNNNNNSNAKTGDEIPILENSLVSFPVELLQALEGYFDACEDNVATVSPTGQDENPIFKRSIFDSGPRFRGREPFLSHPSELRQILIEVMDRPSTESDHSGHDPRIEDFTQATTENVPETRAHVIAGRTGFEEDKNFHVVLNSVIVGKYHVTEHLGSSAGYNDIKAIAEGVVLGVAVVFAYQAVALVWCGCRNQEDHFTDLPAEEDPRVHLKQLIKWFSLHELQVVMQAGDAELEMRGRCSAGACFTVEYLHLMN >cds-PLY80036.1 pep primary_assembly:Lsat_Salinas_v7:9:45850837:45854622:-1 gene:gene-LSAT_9X42301 transcript:rna-gnl|WGS:NBSK|LSAT_9X42301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDIVLDWWGHRRNMVRNSVSFYIHYFLRPTLLITVLATRIAKLLDYTPPSSTTVTLSQISANSSLMKHRHHEQHHPPSKNQGHEVFWPRIVIRKWLNRSGKDSDYSADPEDEDSNYDSEGEEDSYESPKETRVQDKQAADDFQSDNEHHVFSCGAFPRSRRRKSETMRAQYIEAKELKVSVSTWNVGGKLPSEDLNINDMLDVDNPADIYEIIPLNAGNIFGAEDNRPVPIWENVIRGTLNKIQPEKTKFKCYSNPSSPSRFKPSEDAPDIEDEVVLESDSEGEEEVHPFNEESCFDDTLSTIQEKKLVCFPSEENVKELVVSNNRKLTRILSGTERIGLSWPEPPLDLLAQCATTERPNSLKTVKSFTTSKSFRSYASFKSYINVDINNNRVIPEEALVADLDLDSTLYRKRRPPYVKIVSKQMVGVFITIWVRRRLRKHIQNVHVSAVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEREADAAKRNADVHEIHKRTRFSSFSKNTLPKKIDNHDRIIWLGDLNYRLNLSYDKTRDLISKNRWPKLSEYDQLIKELRKGRAFDGWSEGILDFAPTYKYEQNSERYYGEDPKVGRRTPAWCDRILSYGKGIRQMSYRRTEFMLSDHRPVSACYMIEVEVFSPRRLQKALTFTDAEIENDGMVSGMGIDRGINHLRLKEVSHLRACLVLGF >cds-PLY66901.1 pep primary_assembly:Lsat_Salinas_v7:7:21439449:21446391:-1 gene:gene-LSAT_7X17180 transcript:rna-gnl|WGS:NBSK|LSAT_7X17180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTIKPIACLTTTCCNLYKVAQLNTTISYNAFYTPFMPQSNATVVVNHLRLPLQSSTKWPSTTSMKYFSSPTSSANSSQSNMLILQESSTDSTGSYVIYAPVDIGAMNVALNGGDPDYVALLTSGFDILSYGPGHYVGGDPVGRTGGSLLTIAFQILLDLVPTSKLSLGSVGTINSLIKCIVERIKAAVASNMSKAMGIL >cds-PLY74535.1 pep primary_assembly:Lsat_Salinas_v7:7:36514191:36519907:1 gene:gene-LSAT_7X26081 transcript:rna-gnl|WGS:NBSK|LSAT_7X26081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPTLSGENEASQDSQNAQDPSVEVENRADDSSEIKSKDANGEETNIEIETGEKPKSSTGSSSSSSDNSDEDSNEKKEVVLEPEADQTTDKAEELEIPPIVDPVDETKAPAVESSPETIPDEVVEPEVSPIVEPVNPVDPSLQEAVKVYDETKNEERQELVAPETPEAVEEQITISEDALKDEIPISSVDESVSKDVVELSTKDHADTIEHSDRHLKQAVVDPPPISLDERKLTSWTNCCGMFEVFAGSRRVYWSWGSLNHGKR >cds-PLY95676.1 pep primary_assembly:Lsat_Salinas_v7:2:115944760:115947783:-1 gene:gene-LSAT_2X54201 transcript:rna-gnl|WGS:NBSK|LSAT_2X54201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKTSKIKPKSRSPIFLLTAAISSIAILYLCSSLISTTGSNTLQIPISRFIDNTKSLHRTDTEKFLYWGNRIDCPGKHCESCEGLGHQESSLRCALEEAIFLHRTFVMPSRMCINPIHNNKGILHHSDNVTSEERWAASSCAMDTLYDLDLISNTVPVILDNSKTYFQVMSTSMKLGSRGVAHVEGVTRFDLKERNHFSKILLINRTANPLSWFMECKDRKNHTSLLLPHSFLPSMASKRLRDAAHKIMALLGDYDAIHVRRGDILKTRKDRFGVERTLHPNLDRDTRPQFILCRIRKWVPQGRMLFIASNEKTPNFFSPLSVRYKVAYSSNYSKILDPIVENNYQLFMIERLILAGAKTYIKTYKETDSDLSLTDDPKKNTKTWQIPVYTKEGC >cds-PLY81642.1 pep primary_assembly:Lsat_Salinas_v7:1:111349320:111352638:-1 gene:gene-LSAT_1X89600 transcript:rna-gnl|WGS:NBSK|LSAT_1X89600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGRRRTITSDLRSPPSHSVHYETTDQENDQVQYDKQQQSMNDGDDGGNEYNEEEDEEYEDEDQSGDVDFTGGGADGGESNGDNERPKLAEGFYEIEAVRRKRIRKGETQYLIKWRGWPESSNTWEPVENLMSCSDFIDAFEESWKSKSNRRRKRKHSAGPTPQSKKKQKQPPHQRSPDATYEVPSVKIKIIEDPSPIPSVNNSNFSNDSGSLIPSPQTQEPKTKTNLDISLPELKLSSSTNQENMSDFAIHIQEDRADDGGKNGNMERKVDETEVVRVSPRIGAKRRKSGAVKRFMQDSKLAAHNVVEDEVKDVCALGDGLGANEIVGISGNLNVVTKILKPVNYSTSILNGIEDVSVSFLVSRSNGEEVVVDNKYLKEHNPLLLINYYEQHLRYNSPSE >cds-PLY97522.1 pep primary_assembly:Lsat_Salinas_v7:5:237246031:237248597:1 gene:gene-LSAT_5X112181 transcript:rna-gnl|WGS:NBSK|LSAT_5X112181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGGSTSGISLSLSFFSILLMWIFSLSFSIGVAFILPFISSSPVPFNSNPWLVGGLLISPAFLRALTGQHIGYLILQKYISKKMENLSLSHMVKQDWAKLEAKRVSFEFQHSFCGRSIYAEGAIDATLFLAKKPLLIQCRLFNEESAVKGTLLVNKRVKFVIFLATLMP >cds-PLY88629.1 pep primary_assembly:Lsat_Salinas_v7:5:139627610:139629360:1 gene:gene-LSAT_5X61061 transcript:rna-gnl|WGS:NBSK|LSAT_5X61061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19940) UniProtKB/Swiss-Prot;Acc:Q941D3] MASSPSFSLVSFSHVNTEPSFILLSSRQNLVPLSPKRQCLRVFHRRGRNNSVISASVSFPAQEVSSSSSQRRPDELAASIFSKVMQTDRGVTLTREQHLQVAELADVLSKYCVDSPVKCPLIFGDWDVVYCSNPTSPGGGFRSGLGRLVFKTNEMIQIVEAPDIVRNKVSFLALGFLDGEVSLKGKLIVLDEKWIKVVFEPPELKIGSLGFQFGGESEVQLEITYIDDKLRLGKGSRGSLFVFQRRNS >cds-PLY72266.1 pep primary_assembly:Lsat_Salinas_v7:7:88119833:88123724:1 gene:gene-LSAT_7X60561 transcript:rna-gnl|WGS:NBSK|LSAT_7X60561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEILPAASVPLSLRNPICKIITEIQTPQVEQLIMGDKITFDDQNSDSGFQESEDELMSLEGDRIFEASCTLSVVSDSSSLCGEDFIAFESLNTLQSEDSEKGVCSVEGIDRVDDVAKEIVVSDKVSSGIVARSVFEVDCVPLWGYTSMCGRRPEMEDSVATVPRFLKIPIQMLTGEKMTKSLSHLSAHFFGVYDGHGGSQVANYCSGRVHTALQEELEPLMAACSGDGSNVINTENSCQDLWKKAFVKCFLKVDDEIGGKQGNLEIIAPETVGSTAVVALICSSHIIVSNCGDSRAVLCRGKEAMALSVDHKPNREDEYARIESAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPDPEVTFIPRAKEDECLILASDGLWDVMSNEEACEIARKRILVWHKKNGATSLPPERGGGIDPAAQAAAESLSNRALQKGSKDNITVIVIDLKAQRKFKTKT >cds-PLY96143.1 pep primary_assembly:Lsat_Salinas_v7:3:97518657:97520668:-1 gene:gene-LSAT_3X72560 transcript:rna-gnl|WGS:NBSK|LSAT_3X72560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWNAPPGFRPTKSAPSSPLKPLGAGVLRTRSESFNLNPTRSESMISNLTRSDSLNSNSTRSESFHVTHKVPVGDSPYVRAKNVQLVEKDPERAIPLFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRRRCSDQAQESLDNILLDLYKRCGRLDDQIGLLRHKLFLIQQGMAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQQNNYIEAEDAYRRALVIAPDNNKMCNLGICLMKQGRLGEAKDTLRLVKPAVVDGPRGVDSHLKAYERAQQMLRDLESEMMNSGGDRVEQRKLFDAFLGSSALWQPQPCKEHLSSAPVPVSNKKTEDGFGDENKKPVVVVGPPGILNPNSNSNSNSNSNSNSNSNSNSLNIDAKPFYVKTGENAAEGLKRTRSVNGEKDAGEMEVKARRKSGSPEKNDWSGLLPDSKDFEEAILAAVLGSTTTTTEPEKMKIETGKVVGRKIEKRLKVFQDITSTLSPRA >cds-PLY78347.1 pep primary_assembly:Lsat_Salinas_v7:5:210102623:210103348:1 gene:gene-LSAT_5X95360 transcript:rna-gnl|WGS:NBSK|LSAT_5X95360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAIGKKLGRYKNPWLELKIQYGQNKGKLYNKECGRFMICMVHKLGYGNWDELKAAFRTSPLFRYDWFVKSQTTQELARRCDTLIRLVERENQCPKLEHSDRGVNWASFHPTLPLIVSGPDDRQVKIWRMNGMVSLQGSSFVDKLLISISSYVIHYHQDTSGSQISLINFIFQIPKQGTRYSKEVQECPKPCIISTYDSASVLII >cds-PLY75298.1 pep primary_assembly:Lsat_Salinas_v7:3:156413401:156416068:1 gene:gene-LSAT_3X99821 transcript:rna-gnl|WGS:NBSK|LSAT_3X99821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACNPSQQPIKFNVDDLHRAMDLKDNIRNMSVVGQLGHGKSTLIDSLATAAGIIPCDDDIHMTYTREDEAQRGMTIKSSGISLYYKMTDVALEAFKGERNGNEYLINLIDSPGHVDFSSELTAALRITDGALVVVDCIDGVCIQTRNALRLALGERIPPVLALNKMDRCFLELQVGGEEAYQTFLNVIEEFNEYMKPFEDKVLGDVKVCPTKGNVVFSSGLYGWGFTLSNIAKIYASISGVDESTWMRKLWGENYYDSKTKAWTTKSTGSATCTRGFVKFCYEPIKKVIEAIMNDQKDQLRGMLTQIGVTMNNEEDELMGEALMKCIMQKWLPIATPLLEMMIFHLPSPQTAQRYRVENLYKGPLDDPYATAIRNCDPDGPLMLYVSKMIPTSDDKSRFFALGRVFAGRISAGLNVRIMGSRPSGYVDGEDKDMYVESVERTAICMGKKQETIKDVPCGNTVALFGLDEFITTGSATLTHEKETEAYPICGMKFSVSPVVRVIVQCKVESELPKLLKGLRSLAKSDPLVGYTREESGDYTIGVVGEMNLAICSKDLAEDYMGGVEILVSDPFVSLYETVVEKSSHLVMTKSRNGNNQLFMKARSLDDSLGYAIEIGEVGPFDDPDVRGRILSEEYGLEKYLGKNIWCFGPETNGQNMVVDMCKEDKSLKEIEDYIVAGFQEASKKGALANEPMKCISFEVRDAVLHDDASHLDGSEMVEAAKRAIYASQLTAQPRFMQPYYVVEFQASSEEEVDKSCKLVRKRGGFVHEKKKVNRPGKMVYDIWAYVPVLKSFGFSADLEEATSVKLIPQCVFEFWSVMRSDPLEVGSYAHALMTQIRKRKGLNEQMTPLSDFEDKL >cds-PLY65790.1 pep primary_assembly:Lsat_Salinas_v7:5:274853320:274854796:1 gene:gene-LSAT_5X145720 transcript:rna-gnl|WGS:NBSK|LSAT_5X145720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSSIKFKFGIPKQPNLKFPFHLPNPTPKSLCTASRNDATTRTTNRRLNTSTKPPPLPKNNSKQKHTAPSPPPDVDLMKLCKNGSIKETIEFMSQGVPAGSDVFELVLDSCDDLELGKKVQQLLIRSPYYGFVNLNSKLIGLYIKCNSMRDARRVFDRMRERDDLNLWHSMIKGYTDNGEPNAGLLLYDQMKELGLTPNKETFLLLLSACAGVNSIQECFKHFKSMKNDYNLDPEIDHYLGVIDALGKSGHLNEAFEFIENIPIKPTYKIWESLMNSAQIHGDIDLEDRAMAFLQPSSVLHQIPLLNQSATNMLEGKKNKVYEYRNPDPYKEDSNEKLNGLNGQMRDAGYVPDTRYVLHDIDQEAKEQALMHHSERLAIAYGLISTPARTTLRIIKNLRICGDCHNAIKIMSKIVGRELIVRDNKRFHHFKDGMCSCGDYW >cds-PLY99005.1 pep primary_assembly:Lsat_Salinas_v7:5:28685088:28690458:1 gene:gene-LSAT_5X14340 transcript:rna-gnl|WGS:NBSK|LSAT_5X14340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLYVYVLEGRDWVVQDSFVKLRVGKFKSRTRVLKNTKNPIWNEEFAFRVHSLDDDELIVSVYHRDDESIGFFHNGSRDLVGRVRIPLWSVAAEESHHLPPTWFSIETHKSRKSIKKECGKILLALSLHGRGHDISNVHQFSLQPIITNGSGDEHDGRQTPTHESNTSKSPKKMMKAIAGRFEKLFSKHDESSIKYDSSDLPTTSSDNGESTEESTFTTTFEESMETMESADEGRETPENLHGGVLIDETYVIPPKDLNHLLFAPDSQFRKDLATSQGTTDVHESPWTWESDKMSSLTRTVTYTKAATRLVKANRVTEEQNYIKANGKEFCVLVKVNTPDVPYGSTFRIELLYKITQGPHLEGDETSRIIISWGITFHQSTMMKGMIEGGAKQGLKESFDQFAGLLGQRVKPVNQLTVLDKDQTLEALRNDHQSDWELAVGYFCNFTVFSTVFMVIYAFMHIVLCEPNRIQGLEFNGLQLPDSFGEFVTCGVIFFNLERVYIMVSRFVQARLSRGNDHGVKAQGEGWVLTVALIEGNKLAPLDCSGFSDPFVVLTCNGKTRTSSVKLQTLDPQWNEILEFDAAEEPPSLLDVEVFDFDGPFGQPTSLGHAEIRFLRHTSEELADMWVPLEGKLAQSFQSKLHLRIFLDNNNGVETIKEYLTKVEKEAGKKINVKSPHRNSTFQKLFSLPPEEFLISDFSCSLKRKLPLQGRLFVSSRIIGFYANLFGHKTRFSFLWEDVEDIHVLPPSLASVGSPILVMVLHKGRGVDARHGAKSQDEEGRLHFCFHSFVSFHSANRTIMALWKTRSMNSDQKADTFEDQDGHEKTGWEQHEQDEKCLYEDVTSHLVVEDEKMTKIYSKELPFSVESVMEFFKGGNLEHKVMEKLSCLNYNTTNWEPVTTDSNVFERRLCYKFNRRVSSFGGDVTCTQQKSPITTDHGKGWIVAEAMSLHDVPFGDHFYVQVKYEVRDGRPGWCTCDVYVGVVWLKSCKFEERITRNIIVKFGDRVLEMFELVEREMLLAIDGFGL >cds-PLY93118.1 pep primary_assembly:Lsat_Salinas_v7:8:253549014:253550754:1 gene:gene-LSAT_8X149261 transcript:rna-gnl|WGS:NBSK|LSAT_8X149261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELVRKTGSEVVDDDDDDITCPMLPGLPDDIAKYCLALVPRSYFPRMGGVSRRWRSFMKSKEFIVVRKLAGLVEEWLYVLTMDSEGEGTHWEVLDCLGNKRFVLSPMPGPVKAGFGVVALNGKLVVMAGYSIIDGVGSVSSDVYQYDSCLNSWSKIANMNVPRYDFACAEVNGVIYAVGGYGMDGESLSCAEAYNPETNKWTPIESIRRPRWGCFACAFEGKLYVMGGRSSFTIGNSRFVDVYDPVNHKWWEIKNGCVMVTAHAVVGKKLFCMEWKNQRKLAIFNPEDNSWKMASVPVIGSSSVGFRFGIMEEKLLLFSLEEEEGGYNTLLYDPKAPPGSEWQTSNIKPSGLCLCCVTIKA >cds-PLY63816.1 pep primary_assembly:Lsat_Salinas_v7:5:4554781:4556758:1 gene:gene-LSAT_5X2120 transcript:rna-gnl|WGS:NBSK|LSAT_5X2120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP16-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26555) UniProtKB/Swiss-Prot;Acc:Q944B0] MIPRRLVLHMIPLNFCLHAEALEMKEPEVIRSQKLDSGVRIQDIIDGEGEEAHEGDFVEVNYVCRRSNGYFVHSTVDQFSGETSPVVLPLNEKEIIKGLKEVIIGMKVGGKRRALIPPSVGYVNETLGPIPDEFGPRRSLLSHVKEPLIFEVQLLKVL >cds-PLY76065.1 pep primary_assembly:Lsat_Salinas_v7:9:30007292:30008014:1 gene:gene-LSAT_9X26540 transcript:rna-gnl|WGS:NBSK|LSAT_9X26540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTGKNTGSSVKESAANMAASAKAGMEKTKATLQEKGEKMTAHDPMQKEMAREKKEEKKHEAEYEKEAAREHNAAQRQAGGTTGVTGHHTGTGGTYL >cds-PLY65372.1 pep primary_assembly:Lsat_Salinas_v7:6:40307452:40309803:1 gene:gene-LSAT_6X30481 transcript:rna-gnl|WGS:NBSK|LSAT_6X30481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPISAVFGQNDFFCAIDASGKQEVICWGKNTSSSSSTSSVFAIDVPPMAALSGGAGFMCGILVNTSYAYCWDSMGSSSDLIPQVYQSSSYSHISSGRDHVCAIRGSYYSESDSGSIDCWDIVETSNNRFSSKQSALYYNQEINSLSFKKIVSGEGFTCGSLKDGGIKCWGPTSSNLNVPDVQDKFLTLASGKSSLCGVLESSGEIKCWGDSSFSNSSILDPPIGTSFVSLAIGAQHFCGIREDNHAIECWGKVNSTSIPKDSGFLSIASSDSIMCGIREDDLVLDCWFANASSPSNIDPPLQLCSPGLCTPGSCGPGNFAFNASLLHEPDLTSLCVRKDLNICSPCGFNCSNGFFPSSSCTQNADRVCTSCSLCQNSSCWEICNLKPHQHQDHRLHQLRKLIIIIGSSIFGSLLISILLCILLRFLPQKGKQKKQFSGEKESDAPDITPSSVSVAPCPGLAQVFRLSELKDATNGFKEFNELGRGSYGFVYRAVLPDGRQVAVKRANAATIIHTNSREFEMELEILCSVRHSNVVNLLGYCSEMGERLLVYELMSHGTLHDHLHGGFSPLNWPLRLRIAMQAAKGLEFLHTEINPPIAHRDVKSSNILLDSDWGARISDFGLLRNDGDVILDMRDDVYNFGIVLLEILSGRKANDRDCSPSNIVEWAVPLIKQGKAAAIIDRCVGLPRVVVPLLKLAEIAEVAVRENPCERPSMAEVATFLEQLVREGLIL >cds-PLY95014.1 pep primary_assembly:Lsat_Salinas_v7:5:226635633:226637410:-1 gene:gene-LSAT_5X106541 transcript:rna-gnl|WGS:NBSK|LSAT_5X106541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEYKMEEDRNEGPPRKRLLTDPNPKKCFVTVSPESTVSSDSSSDRLAKKTRDLPNLSDCHSCAVRINYTNPRERLQPLDSMWRVVLLCKKCTKGVKSSELCPYCFSVVGDDKDYFKCLDCQHSIHKDCVAKFGSGPGFSVCVDCWVPDAVASSIGLGKRKRKKHNKQSCERAATLPESRVSVGEEVGKKFAAAEKASDNALKKSVIAKSAVELVKGVLNVVSNTNLAGSSESKVVDDKNLAFMLHREINSSPRISRYACLMSSFTGDNAQIRCYSRRRASKKTSLMNSGNLGVPLIFYSRRRVKSGLKNSVTSNAPLIFYSRRRSSSKACSHVRECESFIVELSEGMDKHVSVSSSIGLKTSNCGSSAENSSSGNECQENDRVRFSLDPHRFLLKYHRMRKCNPRTSVFVKDSDCGSYIDSADLNAEDTSDTRDFRNGEICEIGDKNANRFLLKYKRSKAPACLAQ >cds-PLY91766.1 pep primary_assembly:Lsat_Salinas_v7:2:14434834:14437360:-1 gene:gene-LSAT_2X7520 transcript:rna-gnl|WGS:NBSK|LSAT_2X7520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g20230 [Source:Projected from Arabidopsis thaliana (AT1G20230) UniProtKB/Swiss-Prot;Acc:Q9LNU6] MSRQALRVFDTHHPTTILNSLHTTTTSLSQTRQAHAQLLRTGLFLDTHFTTKLLSLYANHLRFSDANLLINSIENPDVFSFSTLIHTYSKLGRFSDTIMLFSRMLSHGVLPDTRVIPSVVKACSGSNNLTGGKQVHGFCITSGLCQDPFVQSSLIHFYVRCSQLKYAHKLFDVMTQPDVVSCSALVSGYARQGYVNEAKIVFGKMEELGIEPNPVSWNGMVAGFNQSGHYLEAVIMFQKMHSFGFKPDGTTISSVLPAVSDLEYSLVGVQIHGYAIKHGFESDNCIVSALIDMYGKCHSTMEMSQVFDEMPERDVGACNALISGFARNGLTDEALKAFNKLHDQELELNVVSWTSIISCCSQHGKDIEALNLFRQMQDSGVKPNYVTIPCLLPACGNIAALSHGKSAHGFSLKTGISNNVYVGTALIDMYANCGRIKLARVFFDRMSVRNIACWNAIMGGYAMHGNATQVLEIFKLMEKSDQKPDSITFTSLLSACSHSGFTSQGLHYFDRMTKDYEIKPRIEHYACLVTLLGRAGKIQDAYSIITKMPFEPDGCVWGALLSSCRVHHDMEIGKLAAEKLFELEPNNPGNYVLLSNIYACKGLWKEVDKVRDLMKSKGMRKNPGCSWIEIKNKVHMLLAGDQSHPQMIQILEKMENLGMEMKKLGCFPVTSFVLQDVEDQEKEHILCGHSEKLAVVLGILNTSRGSSLQVIKNLRICGDCHVFIKFISRFEEREIFVRDTNRFHHFKDGLCSCGDYW >cds-PLY95871.1 pep primary_assembly:Lsat_Salinas_v7:5:318089655:318091372:-1 gene:gene-LSAT_5X173840 transcript:rna-gnl|WGS:NBSK|LSAT_5X173840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALNPQLYGNGMPVAFVNEMFVLIRDGIEFEINKIHGARGGTIKTKGKLFLSNVRMVFVADKPPTDFFYAFDMPLLYVHDEKFNQPVFHCNNISGLVEPVVAYDQNREMYPTHSFKILFKHGGCGTFVPLFFTLIKSVRQYNQQFQTGNDPLRAAQTPVDEMMHAAYVDPSDPTKIFLQQPGSETHKRDTYHS >cds-PLY98996.1 pep primary_assembly:Lsat_Salinas_v7:6:169702193:169702570:-1 gene:gene-LSAT_6X104021 transcript:rna-gnl|WGS:NBSK|LSAT_6X104021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLQATIEVKLLTKRFFAHSYDIAHACDDHLDSDDAPRGNFVPRCVDRRMRLLHQGAMRPKLRQRASCAKPKLNLLCPTEDAPKSFAHKVILLRTLLNTMMLLVMRVVAQEKVVHEIIAHEDFCS >cds-PLY75233.1 pep primary_assembly:Lsat_Salinas_v7:7:65304054:65304359:1 gene:gene-LSAT_7X46561 transcript:rna-gnl|WGS:NBSK|LSAT_7X46561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGEWTVAKRRRWKHLSRIGDHPRWKHEGITMMFVMNLPPKIRKESLKKLFMRFGDVVDVYMAIKRDANRKYFAFVRFKKVDDEYGLEKALQGIKYDGRAL >cds-PLY69570.1 pep primary_assembly:Lsat_Salinas_v7:4:84757367:84760473:-1 gene:gene-LSAT_4X56081 transcript:rna-gnl|WGS:NBSK|LSAT_4X56081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKNGNWVSTESHPDEKYGKELEIAVKAVHVACLLCQKVQENLIFNGNGDVQAKDDNSPVTIADWSVQATVSWILSQAFGPENVSIVAEEDIEALSKPNAASLLSSIVTTVNDSLSTAATFNLQPPATPLKTTQVLEAISRCNSTGGPTGRFWVLDPVDGTLGFVRGDQYAIALALIENGQVVLGVLGCPNYPLNKEWLNYQNGYRRMLSRLTKTGLDSWDGKGCVLYAKKGSKKAWMQPLVSGDKSFVWPNSARPVTVSSIDNPVLATFCEPVEKANSSHSFTQGLAHSVGLRNQPLRVYSMVKYAAIARGDAEIFMKFARAGYKEKIWDHAAGVVIIEEAGGVVTDAGGQRLDFSKGMYLQGLDRGIIACAGASLHEKIMRAVDASWNSSSL >cds-PLY99225.1 pep primary_assembly:Lsat_Salinas_v7:6:185335307:185337850:-1 gene:gene-LSAT_6X113561 transcript:rna-gnl|WGS:NBSK|LSAT_6X113561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKLADYVGLPCRIARGCKYCVEDHRSSCLVKIQNDKSTREYVMDLIGQPGNMYNPDSSINGDILSSVPSPFQSSHLKEVEQVYVDRASISQVKSIEGGGGVCEEESSVVESKEMRCVPVPVPVPIDRNYRVCEMIETPKAVKYSSSEQLSDIDQGKSTIRSNSFPVTAPRYLTLEPSLAMDWLEIAWDDLHIKERIGAGSFGTVHRAEWHGSDVAVKVLTVQDFQDDQLKEFLREVSIMKRVRHPNVVLFMGAVTVRPHFSIVTEYLPRGSLFRLIHRPTAGEIMDQRRRIRMALDVAKGINYLHCLNPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELVTMQQPWNGLSPAQVVGAVAFQNRKLTIPINTPPALTSLMESCWADDPAQRPTFKSIVNSLKKLLKSPAQMGPP >cds-PLY72156.1 pep primary_assembly:Lsat_Salinas_v7:7:58250753:58254367:-1 gene:gene-LSAT_7X40780 transcript:rna-gnl|WGS:NBSK|LSAT_7X40780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRVKDQQLSRSTKPATIHASAQSGDLPAVQRRLRDNPSLINDRNPVMANTPLHVAAGHNSVEVVKFLLNMAGPETVELEAKNMYGETPLHMAAKNGCNEAAGLLLSHGASTEAKANNGMTPLHLSVWHSLRAEDSSTVKTLLEHNADCSAKDNEGMTPLNHLSQGPGNEKLQALLKSYLEEQRKRRAVEACSQTKAKMDELENELSNLVGLHELKLQLRKWAKGMLLDERRRALGLKVGTRRPPHMAFLGNPGTGKTMVARILGKLLFMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRVIKEAEGGILFVDEAYRLIPMQKSDDKDYGLEALEEIMSVMDTGKVVVIFAGYSEPMKRVISSNEGFCRRVTKFFTFDDFTTQDLANIVHLKMKNNNQTEGSILYGFKLHPSCTVKTLANLIETETSEKQRKEMNGGLVDPLLVNARENLDLRLSFDCMDTDELLTITLEDLQGGLRLFSR >cds-PLY62265.1 pep primary_assembly:Lsat_Salinas_v7:5:162673691:162679547:-1 gene:gene-LSAT_5X71421 transcript:rna-gnl|WGS:NBSK|LSAT_5X71421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESRTPPPSASPSRCHHSLAAGEDTSHYYLIAASSPPPKNHRSNHHPGSVVGEDEGSREHQLYTLYLPPPFINSIPTNEAMIMASTCSFSYGGFHASDLDIPTDNELLAMEIQVEMENLRNDFTVELVEIREQMAVMQKRFNFSVWILILGLGAALSWVYRSSK >cds-PLY98982.1 pep primary_assembly:Lsat_Salinas_v7:7:49451259:49451636:1 gene:gene-LSAT_7X34901 transcript:rna-gnl|WGS:NBSK|LSAT_7X34901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPISDDAKDHQYPPPRSTVAVFPESSQPSSTTTKLEAVAVVAWFFLHGSRRPIFSPLCSVLLLHPNPPVADASPSSCPSPAASLLDSMSRITAAVVAFHAAATIGRYVASDCLHQEPPGGWLNL >cds-PLY71863.1 pep primary_assembly:Lsat_Salinas_v7:3:60261121:60264108:-1 gene:gene-LSAT_3X47360 transcript:rna-gnl|WGS:NBSK|LSAT_3X47360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPDASTSHSKDHCEVVVKIDGEDRDGEGTTGSRYRRSSSQQSGGGMEDPPSRLIGEFLNKQKDSGGEMTLDMDLEMDELRHGSQSSSVVDRNPESPNESVNLNTRVTNSRELKVSFQPPANLSSKVVDIEPDNERANQHSESSDENELDDIRLQEQQNPQRRKSNNLSNSGRGLDDRGGAEVLKCTSFQRRAPIIRTKTLQSRLIDPPEVEVEVSLSGRLGRSVHKSGVLPRPSGILARGENEEEDETFIEEDNPDEFKSAKLDAITLIQWISLILILTSLICTLTIKNWKQLVLRGLHIWEWEVLVLVLICGRLVSGWGIRFIVYLIERNFLLRKRVLYFVYGIRKPVQNCIWLGLVLIAWHYMFDERVEGHNKFLMVMNKLMVCMLVATSLWLVKTLMVKVLASSFHVKKFFDRIQEALFNQYVIETLSGPPLVEIQNNKIEEEKTLAELHRLQNAGANLPPDLGEAVFPSKSGRMIGSGRLQPQPVGIKNDEKEQGITIDHLHRLNPKNISAWNMKRLMRIVRLGTLSTLDEQLHDMNEQDDESMTQIRSEIEAKRAARKIFMNVARAGSKFIYLNDLMRFLRDDQAVKTMSLLAGTPGDEKISKGALKTWVVNAFRERKALALTLNDTKTAVNKLHQMVNFLVGIIIVVICLLILNIATSKFLVFISSQIVVVAFIFGNTCKTIFEAIIFLFVMHPFDVGDRCEIDGVQMIVEEMNILTTVFLKWDNEKVYFPNSILSTRAISNHFRSPDMWDSIDFFIHIATPVDKLVVMKQRIINFIESKKDHYYPNDIKVVSLNVEELNKIKLQIWWRHKINFQDMTERYVRKGAVIDEMVRIFKENDVEYRCLDINIRSMPPPVTPSRNPPAWGPPPPE >cds-PLY89134.1 pep primary_assembly:Lsat_Salinas_v7:3:20186828:20196388:-1 gene:gene-LSAT_3X13460 transcript:rna-gnl|WGS:NBSK|LSAT_3X13460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGINLGEQVENAAEDADIEVREKRIPKKAKIFHSPYIERIVKIGDKLSKDESGICNSIFACNRDDGDEIWDIGTGHVLHQGFAFQFNQGMFIHSRVIDCWAGFLNKMENYKDESSLSRFFFDTTIVVFFPIVDDAKYYLLIFDLRSSSYYIVDHVKRTGTLDRKYGMVPNLVKKLFCNYLTSQHHPMAKALSFKAGRVMNISWQVEQAGTDCGIYLMRHMESYMGESERRWECGLTGKKAGDVTAILKLRMKYMARLLTADFNKATELPPSCLASCFWELQERRYTERTICPLADTLKALRENVSFGKAMKTTQKGKNLNKLKQMLSVFDVAMEMEDQVFDIPSLWADVFSGHGQEHQADSSKDLSSKIRVAHFSSVMPFLLVKLPIFPFGSAWNTRLSLVSVT >cds-PLY94284.1 pep primary_assembly:Lsat_Salinas_v7:7:164472674:164476419:1 gene:gene-LSAT_7X96961 transcript:rna-gnl|WGS:NBSK|LSAT_7X96961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g09950 [Source:Projected from Arabidopsis thaliana (AT5G09950) UniProtKB/Swiss-Prot;Acc:Q9FIB2] MFKRNIVFTHKRLSYNTSTAILTFAPSLDRSKDTLLLNTLNPNSSLQSTSPSSTTLFDQSYPGNLGITQFSSSNWEKYESLVTQYRYSSSHEDSKQLHLQIFKNGYTSDVFLLNTLVNIYTRIGDMVSARNVFDEMSFRNSISWSCLISGYTRNHMPNEACTVFKEMIRGGFPPVHYAIGSVLRACQVLESHGRRFGLQVHGFVSKTLNSLHEVVCNGLISMYGNCMDSPDYASCIFHEMEVKSLVSWNSIISVYSHKGDTVSAFKLLSGMQVEGFKPTEYTFGSLIIAASALSETSSFLLDQMMCRIQKSGFLHDLYVGSAMVSGFAKSGQTTIARKIFESMDVKNVVSMHGLMVGLARQKHGEEAVEVFVQMKDLVKLTSDSYVILLSTFGEFSRLEEGRRKGREVHAYVIRNGITDSNVAIGNSLINMYSKCGSVTEAVSTFKLMTYKDQISWNSIITGFDQNGYFKDAVMMFCSMRRNQVTPANFTLISALNSCAGLHLSTLGEQIHCEGVKFGLDTDVSVSNSLIDFYSETKCLHNCKKVFSLMHEHDQVSWNSIIGAFSDSDSDSDPLVPDSVVYFMEMMRGGWRPNSITFVNILGAISSLSMTELVRQIHGLSLKHHDSDYNTVENAILASYGKCGCIDECEKVFSRMGIRRDETSWNSMISGYIHNELLNKAMELVKLMLTNGQRLDRFTFATVLSACASIATLERGMEVHGCGIRMDLESDLVVGSAIVDMYSKCGRIDYATLFFNKMRVKNVYSWNSMISGYARHGYGDKSLEIFTKMTLEGQAPDHVTFVGVLSACSHMGLVDQGFDYFNSMSKVYGLNPKMEHYSCMVDVLGRAGEFDKMEDFLKKMPMNPSVLIWRTVLGACCRGSSERMMDLGRTAGEKLLELDSNNAVNYVLLSNMYALRGKWEDMKRTRGLMKVAEVKKEAGCSWVTMKDGVHAFVASDRSHPDTDAIYQKLKELKGRMKEMGYVAETRYALRDLEIENQEEILSYHSEKLAVAFVLTRKSEMPIRIMKNLRVCGDCHTVLKYVSKIVGRLIVVRDSNRFHHFVDGNCSCGDYW >cds-PLY88078.1 pep primary_assembly:Lsat_Salinas_v7:6:181574850:181579988:1 gene:gene-LSAT_6X109860 transcript:rna-gnl|WGS:NBSK|LSAT_6X109860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATNELLKPEGEATDASKSVFNLGAVVGDLTIEEDASSNDISLEGLQQELEECKADEVVANILSKGVKLREYTKGVENNLRQVELDSIQAESDNLVSLHDQIRDCDVILSQMETLLGGFQVEIGSISSDIKILQEKSLDMGLKLKNRKVAESKLAKFVEDIIVPPRMIEIIVDGEVNEEYLRTLEILSKKLKFVEVDSMVKTSNALKDVQPELERLRQKAISKVFEFMIQKLYALRKPKTNIQILQQSILLKYKYVITFLKEHGKEIYNEVRVAYTDTMNKVLSAHFRAYIQALEKLQLDIAGPTDLIGVDTRSTSLFSRGREPLKNRSAIFALGDRINILKEIDEPSLIPHIAEASSKKYPYEVLFRSLHKLLMDTATSEYWPFTVMDEHFGTILPNCFDAIGIMLMIRITHQHQLIMSRRRIPCLDSYLDKVNISLWPRFKMIFDMHINSLRNANVKTLWEDDVHPHYVMRRYAEFTASLIQLNVDYGDGQLDLNMERLKMAIDDLLIKLAKTFTKPKLQTVFLINNYDMTIAVLKEAGPEGGKIQLHFEELLKNNTAVYVEELLVEHFGNLIKFVKTRASAEEGSSDKAAITVAEVEPLVKDFGSRWKAAIELMHGDVITSFSNFLCGMEILRAALTQLLLYYTRLSDCMKRIAGGSALNKDLVSISSIMYEIRKYSRTF >cds-PLY80523.1 pep primary_assembly:Lsat_Salinas_v7:5:181401748:181403824:1 gene:gene-LSAT_5X81721 transcript:rna-gnl|WGS:NBSK|LSAT_5X81721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIFYASLLSLFVVVFSCSLRFGFFKSKLDVDVKPPPGGRGWPVIGETIEFVTCGRKGHPEKFIVDRMTKFSRHVFRTSLMLEDAAVFCGPEGNKFLFSNDNKLVQFWVPASVKKIIPSLKGVNQTVLKTVRNILKPETLREYVPIMDMVAQKHFETGWEGNGQILTHKLTKNFTFLVACKIFFGVDEPEWVNKLSVPFERLAPGLFSIPLNLPGTLFRRALNAGAFINKELTAIVKKRKSDLADGKASPTQDILSLLLCDDYGRLMQETEVADAIMGLMIGGYDSTSSTCTFIVKYLAELPEIYEGVYKGIEIDKGKESLYFSSRHEAYDAYFVYVLYSILFFLNAFSNSNELPDHIKEQIEIAKYKKSTELLNWEDLSKMKYSWNVACEVLRLVPPTQGTFREAISDFTYKGYSIPKGWKLYWSTNSTHKNPDFFPEPKKFDPSRFDSKVPITPYTYVPFGGGAHLCPGKEFARLEILVFIHHLVRRFKLKKVIRNEDVIFNLVQPEVAKGLPIHLHPHKPKN >cds-PLY88836.1 pep primary_assembly:Lsat_Salinas_v7:3:193603971:193606335:-1 gene:gene-LSAT_3X115080 transcript:rna-gnl|WGS:NBSK|LSAT_3X115080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRHSYKRLGSFCKCSSLFPSYLYFILPSPHGHCQIMGDLHWSMYIHGHNMRHSLGYNHLFKNLPRRQIKKLFDHSFTRTGLKRTQKVKKSFVKVGYQRMESESKLVCVLFMGMVTLEPFCLKVLGETGSRGSSTPSTVDAIKNWQKSIPKNSSETCNNPSSTDSAFECLNFLSKWLYCSQIHAKLLLTAATCINQRRSLSSFSYVLYKTID >cds-PLY95600.1 pep primary_assembly:Lsat_Salinas_v7:6:138458685:138461410:-1 gene:gene-LSAT_6X84181 transcript:rna-gnl|WGS:NBSK|LSAT_6X84181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIATTCSSGLILRRKESTTNAGSMLQFNGLRAIESNQITASSGFNPSWSASTSASKSRSIKAMASAPKRETDPKKRVVITGMGVVSVFGNDVDTFYDKLLEGQSGITLIDRFDSSTFTTRFAGQIRDFSSVGYIDGKNDRRLDDCWRYCLVAGKKALEDANLGPEILKSMDKTKIGVLVGSGMGGLSAFSTGVESLIQKGFKKISPFFIPYSITNMGSALLAIDTGLMGPNYSISTACATANYCFFSAANHIRRGDADIMVAGGTEAAINATGVGGFIACRALSQRNDEPHRASRPWDQNRDGFVIGEGSGVLIMESLEHAIKRGANILGEYLGGGITCDAHHMTDPRKDGLGVSSCITKCLEDSGVSPEEVNYVNCHATSTLAGDLAEVNAIKKVFKDTSEIKMNGTKARNSMIGHGLGAAGGLEAIACIKAINTGWLHPTINQDNLEPLVDIDTVPNVKKQHEVNVAISNSFGFGGHNSVVAFAPFKP >cds-PLY77079.1 pep primary_assembly:Lsat_Salinas_v7:3:220356546:220365848:1 gene:gene-LSAT_3X131620 transcript:rna-gnl|WGS:NBSK|LSAT_3X131620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDKSIALSAVIREAIDLENAPLEEVFQHLKCTREGLNSNEVQERLDLFGYNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMSIALARGGNKPADYHDFVGIIVLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGKWSEEDACVLVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGDGVYSGSTCKQGEIEGIVIATGVHTFFGKAAHLVENTTHVGHFQKVLTSIGNFCICSIVVGMIIEIIVIYGIHQREYRVGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMIEVFAKGVDKDMVVLMAARASRLENQDAIDAAIVAMLGDPKEARAGIQEVHFLPFNPTDKRTALTYTDKVGRMHRVSKGAPEQILNLAHNKSEIEKRVHSIIDKFAERGLRSLGVARQEVPAGNKESSGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGDSKDGFGALPIDELIEKADGFAGVFPEHKYEIVRRLQARNHICGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRSIFQRMKNYTIYAVSITIRIVLGFMMLCVFWKFDFPPFMVLVIAVLNDGTIMTISKDRVKPSPIPDSWKLTEIFATGVVLGAYLALMTVIFFWIAYETNFFPNTFGVKDLNSKHRDMSVEAESKPLNEMMASAVYLQVSTISQALIFVTRSRGWSFTERPGLLLVIAFAIAQLVASVISATVTWKLAGIREIGWGWTGVIWLFNILTYMLLDPIKFAVQYGLSGRAWGLVVEKRTAFTTKKDFGREAREAAWATEQRTLHGLQPVEPRMFSEQGTFREISLMADEARRRAEISRLRELHTLKGKVESFAKLRGLDIDAMNQHYTV >cds-PLY91535.1 pep primary_assembly:Lsat_Salinas_v7:1:10901173:10902776:-1 gene:gene-LSAT_1X9221 transcript:rna-gnl|WGS:NBSK|LSAT_1X9221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYDMDAGGYDDEPAEPELDEGAEEEIDGDKVEEDVPDPLLGDNEDKEEQEAVEKPRKTIKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRQRKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >cds-PLY78842.1 pep primary_assembly:Lsat_Salinas_v7:5:306084716:306086481:-1 gene:gene-LSAT_5X166221 transcript:rna-gnl|WGS:NBSK|LSAT_5X166221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVATPSAQIHHPSAFTPIRHSFFWFVITTLFLYLLYSSNLLLNQTTKSIPTSENVQNTTNPFGVSSPIRFDTELKHIVFGIAASSRLWRWRKEYIKLWWRPGETRGAVWIDNQVRSRKNESLPDIRISRDTSRFRYTNRQGSRSAIRISRVVSETVKLGLEDVRWLVMGDDDTVFVLENLVRILSKYNHEQYYYIGSSSESHVQNIFFSYAMAYGGAGFAISYPLALELEKMQDQCIQRYPSLYGSDDRMQACMAELNVPLTKETGLHQFDVYGNLLGLLGAHPVTPLVSLHHLDIVDPVFPGMTRVKAVKHFLESAKYDSASIAQQSICYDKRGWSILVSWGFAIQIVRGIISPRELEYPTRTFLNWYKKLDYTAYAFNTRPVARHPCQKPFVFYMSETKYDKVRKKIIGIYTLHREEYPDCRWKMESPETIENIVVIKNQDNFRWHKAPRKDCCRILPSKKKETMYLWVDGCRTGELVEW >cds-PLY67431.1 pep primary_assembly:Lsat_Salinas_v7:6:71317935:71320604:-1 gene:gene-LSAT_6X51420 transcript:rna-gnl|WGS:NBSK|LSAT_6X51420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPCVFIIFSLLLPRLETTTANQLAAVEGEGGGRRRDDNGVMKKCLDKEKHALLHFKALLQDPSGHLSTWRADEKDCCKWRGVSCNMKTGHVTELELNNFGLVGEINHSLFNLSRLNLLDLSFNSFHGTIPSSIGSLTRLRYLYVRDNDLNGTIPKSIGSLIELRNLDLANNSFYGTIPREFGNLTNLQWLSLQNVGRCRVENPEWLSNLSHLEQLDMNGISLAKSNHWVDAILSLPKLYSLSLQGCELSQVMHPYSLFSNSSSSIEFLYLGNNNLVSSMSHWLFPLTSNSLVILDLSSNMLDGIPKYLGNLCSLELLELSNNSAVVEFRVFLNNLSGCTSQALQELHASHSQFTGPLSDDIQTMKNLVYIDINSCKLGPRIPKWIQTLKNLSYLDISNNRISDTIPLDFWDMWPSQLRYLNLSSNNIGGKVPDLSSNFVNGSVIDLSSNNFYGPITNVSSTVALLNLSRNKFCGGISLLCQVVHGFLVFLDLSHNFLSGQLPDCLWHFNELIVLNLEHNNLFGRLPTSVGSLIKLEALDLYKNNFSEELPLSLSNCTSLNSLNLGVNNFSGSVPLWIGESFPRLYVLILSSNNFSGTIPLQLCQLAGLQILDLSMNHLHGSIPSCLDNFTSMVQEGFLPTQNVHSYSIPWFYLGGDVYDELDEEYIDHAIIDWQGDKREFIRNLGLLKSIDLSSNNLTGQIPAEVTNLYGLLSLNLSKNSLHGEIPEKIGQMKQLESLDLSRNKLSGEIPSSMSSMNFLNHLDMSYNSLSGRIPSSTQLQSFEPSRYNGNAGLCGPPLTKKCPGDEVSKVPMVIGESADDGEDVDEIHGWFYIGLGMGFATGFWIACGVLLVNNHGRHAFFHFYDSFRDWVYVKVVLFFANMKRVKHT >cds-PLY78074.1 pep primary_assembly:Lsat_Salinas_v7:3:255969388:255972309:-1 gene:gene-LSAT_3X140020 transcript:rna-gnl|WGS:NBSK|LSAT_3X140020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSQILKVKFSIQIHDKDGFAKINDYRGNIGVASYYDVTTVFINTDIDEIKQFREKLAKDNESSQSSGTISLIRTKHVSLNDDFLKNNEVKTIYKSKEPVQLGFSLGIYPPELNFLKNKHLAFKVSVTKYNVRFQNSVYTISRVTEEKQIIESLERKLLQLQPATLESLNDGPSDSNSQEKILTKDWVSHSDENVTPSTGNILTPTSFENVKSTPMNLTRKFEEVYDVEQYSNSSSTKAPRLSTGTGEGIKLLIPKVEK >cds-PLY72346.1 pep primary_assembly:Lsat_Salinas_v7:8:79962044:79964961:1 gene:gene-LSAT_8X58101 transcript:rna-gnl|WGS:NBSK|LSAT_8X58101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGEIELEASGFINPSDTDPLLQNHQNAASGSSSLAAAAGLGSTEITCEDVEAGSITTCRICLECDGEEDDDLISPCMCKGTQQFVHRACLDHWRSVKEGFAFSHCMTCKAQFHLQVVELKDNSWRKIKFRLFVARDVFLVFLAVQTVIGLIGGVAYIVDKDGSFRTSFSDSWDRILSTHPLPFYYCVGVIGFFVVVGFIGVIVHCTSLNNDPRMAGCQNCCFGWGILDCFPASMEACLALVIVFIVIFIILGIAYGFLAATMVIQRIWQRHYHILTKKELTQEYVVEDLHGRYSPPKLDPEHADRLRMLKLL >cds-PLY74318.1 pep primary_assembly:Lsat_Salinas_v7:9:186781889:186782862:1 gene:gene-LSAT_9X114620 transcript:rna-gnl|WGS:NBSK|LSAT_9X114620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLPGVPFSRYSPANHHHQQPPLITNTLKKPIALPMPTITFHGVGTSIFMATAAAAVVILSSTTSAIAIEPRNPPPDAAETLSNIPQMLSGDCVQGKDGDCKKARIQKPKSRQAESCTIKCVTTCIRGGDGSPGEGPFNVRRPLVVFKQGFRSRHYCLVECSDICNLIRDGDDGP >cds-PLY88274.1 pep primary_assembly:Lsat_Salinas_v7:3:227025067:227026740:-1 gene:gene-LSAT_3X128140 transcript:rna-gnl|WGS:NBSK|LSAT_3X128140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFESNNISEDNNNNHGWQKVTYAKKNRKNQPKQQVPQPKALPNGSVVAGNDNVFTAIEKKSEERRKVIEAQRLSIYDPAPPPVKSSRKKNYSDYEDSDEEVANGVAGNDDVEEKKKKPKKVKKPKVTIAEAAEKIDVDDLASFLLEVTTSFEAQQDIQLMRFADYFGRAFSSVTASQFPWVKLLRESPVAKVADNPVSHIPEAVYKTSVDWINKLSMEALSSFLLWSLDNILADFAIQQGGSKGSKKVAQKTPSKSQEIRRQHDGWRYN >cds-PLY65072.1 pep primary_assembly:Lsat_Salinas_v7:1:118038253:118044066:-1 gene:gene-LSAT_1X90880 transcript:rna-gnl|WGS:NBSK|LSAT_1X90880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKRIVRVLDESLQGLDSKLVTRAIKKWARQILNGLHYLHSQNPPVINRDLKCDNIFLNGNNGEIKIGDLGLATVMQQPTAKSVIGTPEFMAPELYEEEYNELVDIYSFGMCLLEMVTFEYPYSECKNPAQIYKKVTSGIKPGSLSKVGDYELKAFIEKCLVPATERASAGKPLEDPFLKEATPRSLNFMEIEFHGD >cds-PLY66095.1 pep primary_assembly:Lsat_Salinas_v7:1:183164952:183166126:-1 gene:gene-LSAT_1X118801 transcript:rna-gnl|WGS:NBSK|LSAT_1X118801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGNIFREVELPEQKPDSDGALFPAVLSPISNADFTSTAKLNGFEEAIKAHKPWLESLLQKRGAILFRGFPVNSTSDMNDVVEAFGFPEAFYVGGRASRTKILGRIYTTNEAPQDKTIPFHHEMAYVPDYPSKLFFFCQEAPRSGGETPIVLSHIIYDKMKEKHPDFVEKLEEHGLTYTKIMSDEDLPSSFTGSGWKSAYMTNDKNVAEERAAKLGTKLEWMGNSVKTITGPVPGIKFDKGSQRKTWFNSLANSYGGPANAEIYDDSRSIEFGNGEPLSDSAMKDCLRILEEECVAIPWKKGDVMLVNNLMVLHSRRPLIKPPRRILVSLCK >cds-PLY94526.1 pep primary_assembly:Lsat_Salinas_v7:2:156333231:156335238:1 gene:gene-LSAT_2X82241 transcript:rna-gnl|WGS:NBSK|LSAT_2X82241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARFLLIVFVVLCFLSSFITVNTQRTRRSSNGRTRKQLRFDKKKGEFKVLQVADMHYADGRKTPCEDVLPNQLFHCSDLNTSVFINRMIKAEKPDLIVFTGDNIYGFDATDAASSMNAAFAPAISSNIPWAAVLGNHDQESTLSRAGVMKHIVTMKNTLSQLNPEGIEIIDGFGNYNLEVHGVEGTSSMNKSILNLYFLDSGDYSTVSSIPGYGWIKPSQQFWFQETSRKLQKASKAPGLAYFHIPLPEYARFDKSNFTGVRQEGISSASVNSGFFTTLVETGDVKGVFTGHDHLNDFCGKLSGIHLCYGGGFGYHAYGKAGWSRRARVVVVSLGKDENVKSIRTWKRLDDEKLSAIDEQVLWRRSSSGSRRKKPPVVNRG >cds-PLY91840.1 pep primary_assembly:Lsat_Salinas_v7:6:32707940:32709782:1 gene:gene-LSAT_6X26301 transcript:rna-gnl|WGS:NBSK|LSAT_6X26301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSFLSHFNKIINCSVRTLKSVESFHSSSTLLLNHNQQATGVPSPSRIQKLIASQSDPLVAKEIFDLASNAHPGFRHSYATYQTLILKLGRCRHFTLMNSLLSRLKSDRGYMITPSLFTHIIRIYGDANLPDKALKTFYTILEFNIKPHTKQLNVILEILVSQRTHLRPAFDLFKSAHRYDCSPNVESYNILMRAFCSNNDLSIAYNLFNQMPKRDIVPNVESYRILMQGLCRKSQVNRAVELLDDMWNKGFVPDSLTYTTVLNSLCRKKKLREAYKLLCRMKVKGCNPDIVHYNTVILGFCRENRAHDACKVLEDMPTNGCLPNLVSYRTLVGGLCNQGLYNEAKSYLDLMTSKGFSPHVSVLHVLINGLCNVGKIEDACCVLEGMLKSGEAPHFETWMDVVNRVCEVESERLDKVLKVEIEPNTRIVETGVDLHEYLVRKARVNESFKVGRGKV >cds-PLY78437.1 pep primary_assembly:Lsat_Salinas_v7:2:167753329:167756456:-1 gene:gene-LSAT_2X88760 transcript:rna-gnl|WGS:NBSK|LSAT_2X88760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cysteine oxidase 2 [Source:Projected from Arabidopsis thaliana (AT5G39890) UniProtKB/Swiss-Prot;Acc:Q8LGJ5] MGMEKVSGERKKRRMRQKKVTAVQKLYDTCTEVFADCGPGVIPNAEGIERLKDILNGMTEVDVGVRPNMPYFKLKEIGGFPKITYLHLCECDKFSIGIFLLPPSGVLPLHNHPQMTVFSKLLFGTMHIKAYDWVDDAALISTPKLDSSEGGVGVRLAKLKVNAEFTAPCNASILYPTDGGNMHCFTAMTSCAVLDVLGPPYCDPEGRHCQYYRTHPFMDLSETDDEKKIPEGEKIESYAWLEEIDKPKGLSVIGAMYSGPKIIEKLAF >cds-PLY87357.1 pep primary_assembly:Lsat_Salinas_v7:1:98900333:98901181:-1 gene:gene-LSAT_1X80420 transcript:rna-gnl|WGS:NBSK|LSAT_1X80420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEEIYVKNVELCAKLSGGPVSLLELKNLKLEMCRNVRNSDTEKPKLQVLLLKTCPCSWPAYLKLSSQFMVVVPTWDLHNGNILLRPSLLHIMLSHLDQVLNVLFVIRSYTNLHSLHVIIIEESITIKAIAACDAQLRLDIAKEVKHKTL >cds-PLY72506.1 pep primary_assembly:Lsat_Salinas_v7:2:141180001:141181841:-1 gene:gene-LSAT_2X69381 transcript:rna-gnl|WGS:NBSK|LSAT_2X69381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATQKTLFILAYAILEWTLILMLLLNSLFSFLIRKFATYYALKPPCFWCSTIDHLLEPNHDINPFHNLICEAHATEFMNVCRNGKCLYTSMNFKVDSVDKSSHSASKIKSSHEENEMAQVMDLCMLNTSRHIQVFDQIIPIEWTDSSTSCSRGSSLNGDENMVINDEDRVKKEGGFCEDKEDEEDKAVTIDGLMKELKSERLVVCGLYIELDEERNASAIAANQAMAMITRLQEDKVALQIESMQNQRMMDEQAEYDKEVLQLLNELVMKLEKDKFELENELEMYKERFMDYDGKKKAKVKRRSITKKCLDETNVVDLKNSKTLEESVVGFDVERLWILDKLGELDETLMMLTDDRDEDLHGKASLERERLCYKGNVFLGVFGIESIDEGDEASHSVWPIQENIKKKGDTKEAEV >cds-PLY78271.1 pep primary_assembly:Lsat_Salinas_v7:1:130164812:130165614:1 gene:gene-LSAT_1X97640 transcript:rna-gnl|WGS:NBSK|LSAT_1X97640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKSHSDTREENPPASRYESFSLDQKNTLLVIVILIATASYQTALTPPGGVWQDNYHPSADPPASSVDYSVALRHHTSGTAIMSTNKYQGAYIVYVISNSLGFYVSVFMIFRLTYDFPLLLELHLLLLLFSINYTTCMISILPSSYKVVFYISIGIAVIYSIITLSMLLKQRKSLKYTFRLHCISCGDNGMKC >cds-PLY69695.1 pep primary_assembly:Lsat_Salinas_v7:5:213645874:213646116:-1 gene:gene-LSAT_5X97520 transcript:rna-gnl|WGS:NBSK|LSAT_5X97520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVKDNLQDNREAIGVGMMMAAAAVWGAQELYGYRSVDDECCGYAALERPISDPVQPTAALVGPNALLASYGSPKNQK >cds-PLY68474.1 pep primary_assembly:Lsat_Salinas_v7:2:213329187:213332055:-1 gene:gene-LSAT_2X133601 transcript:rna-gnl|WGS:NBSK|LSAT_2X133601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSPFCQRLSLCPSLPSTARAKYKLYNTNSSLIGTARLSASCFSSRCLRNNWRFLCFKDDNSSLNHNIPKTEEDNLPMEPEPESNQPNVNHGWLSSLRQIFRAEAEAWTVPWTGKTIVQVMLLWMASFWFVGSWIIPFLAHTSGFRKDTLTFRGQAFYSLLTDVAEGIAGIGILHSCLSPFRPLSPDWFRFSLKGNWQLDVGLGCLMFPLVNRLSQVNLNLFPMLPPAPVTVSNVERSILARDPVAMAVYAVVVSVCAPVWEEVLFRGFLLPSLTRYMPVWCSVIVTSLAFAFAHFNMHRMLPLVFLGIVMGAVFARSRNLLPSMLLHSLWNAFVFIDLIK >cds-PLY66918.1 pep primary_assembly:Lsat_Salinas_v7:7:21154323:21154745:-1 gene:gene-LSAT_7X17380 transcript:rna-gnl|WGS:NBSK|LSAT_7X17380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTFTATILPEKMAGGDPLPIEIGARGTVGNLVMKEIEYFKRLEADSRGGDHGKCSIRTTSNTNKKHGGDGGGSKFWPSFGFLTWRRAKRKGDGSGRFLPRMCTMVDVADSRHHNHHRLSKIPSFSYRNLKDDVSQFDV >cds-PLY80803.1 pep primary_assembly:Lsat_Salinas_v7:5:240343638:240346292:1 gene:gene-LSAT_5X118640 transcript:rna-gnl|WGS:NBSK|LSAT_5X118640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVAELKERHTAATETLNSLKERLRERRLQLLDTDVASYARAQGKTAVALGPTDLVCCRILQGHTGKVYSLDWTPEKNRIVSASQDGRLIVWNALTSQKTHAIKLPCAWVMACAFSPNGQSVACGGLDSVCSIFNLNSPLDKDGNIPVSRMLSGHKGYVSSCQYVPDDDTRLITGSGDQTCVLWDITTGLRTSVFGGEFQSGHTADVVSVSINGSNSRMFVSGSCDATARLWDTRVASRAVRTFQGHQGDVNSVKFFPDGNRFGTGSDDGTCRLFDIRTGHQLQVYTHPQQDHNNTPDVTSIAFSISGRLLFASYSNGDCFVWDTLLAEVVLNLGTLQNSHEARVSCLGLAADGSALCTGSWDTSLKIWAFGGHRTVI >cds-PLY67957.1 pep primary_assembly:Lsat_Salinas_v7:3:216804304:216805277:1 gene:gene-LSAT_3X125120 transcript:rna-gnl|WGS:NBSK|LSAT_3X125120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQVAVKGQLQSYYNWAIAISDRAKLHGRTKEAEELWKQATKNYGIAVKLNWNSRQALNNWGLGLQYGLAKDTSRTGVPVVGNEIPFNELYSQSAIYIVWDGEGATCVIEVTVSGAGNESEAVKIAHSVASSSLTKA >cds-PLY83274.1 pep primary_assembly:Lsat_Salinas_v7:8:191482096:191482785:1 gene:gene-LSAT_8X124180 transcript:rna-gnl|WGS:NBSK|LSAT_8X124180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLEFITKVVLGDGVDNFQKCFPGIKFLASTLYLHEENDFELLHYLEKLMLTGSGCTRRRSVEREFMRCEPNLGENHISFPSTLKVLKLVRCGLPLSDMSIIQSLPNLEALVVKYNGFEGSLWETGEEEFQRLKFLRLKKLNIKQWEASSINFPCLEELDVVNCVDLQEIPLELGDISTLDSIYVENCGASLLVSLQQNRQEQDDAGNYELEIIVDGRYIPSCVPKHDD >cds-PLY70642.1 pep primary_assembly:Lsat_Salinas_v7:4:229109010:229109288:-1 gene:gene-LSAT_4X125200 transcript:rna-gnl|WGS:NBSK|LSAT_4X125200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKSWCHTHRQVETPMTEDQLKGMLKRFDTNGDGKISRRELRVGLRNLGLRFSFFRARSALRHADVNGDGFINDEEINELAKYVSKWGISIS >cds-PLY83586.1 pep primary_assembly:Lsat_Salinas_v7:5:17966331:17968971:-1 gene:gene-LSAT_5X8321 transcript:rna-gnl|WGS:NBSK|LSAT_5X8321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTDKTSDDGENEKLRYGVSSMQGWRTSMEDVHAALLDLDNSTSFFGVYDGHGGQAVSKFCAKYLHQQVLKQEAYAAGDIGTAAQKSFLRMDEMMCGQRGWRELAILGNKMDQFSGMIEGLIWSPKGNDLKGLNDNWSTEEGPHSNYEGPACGSTACVAIIHNNQLVVANAGDSRCVISRKGQAYNLSKDHKPDLEVERERIYKAGGYIHYGRVNGSLNLARAIGDMELKKDKTLPPEKQILTANPDINTVELCDDDDFLVLACDGIWDCMSSQQLVDFVHEQLKTESKLSVVCEKVFDRCLAPTSGGEGCDNMTMILVQFKKPQSQSGPSTSTSHSHPPSDSSNDQEVPGSD >cds-PLY69567.1 pep primary_assembly:Lsat_Salinas_v7:4:84745908:84749016:1 gene:gene-LSAT_4X56020 transcript:rna-gnl|WGS:NBSK|LSAT_4X56020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGSDGVNEDSERTAFRKTEKKYKLYYDNNKSSKKKKKPRPVDLSEVIDFKSVSESFTRNNDVHLPIGVSKLECQFARPVFSLDKCPGFYFIPEALSIEEQYRWIKESLVSFPEPPNRTNHNAVHGAIHDLFNAAKDRKVLVEEEKIILPGEEDAHTHKWEWATCDEPSGNTNTSKSISASVLLRKLRWSTLGLQFDWSKRSYNVSLPHNKIPDALCEVAKKMASPAMPVGEEFQPEAAIVNYFASGDMLGGHLDDMEADWSKPIVSMSLGCKAIFLLGGKSRNDEPLAMFLRSGDIVLMAGEARERFHGIPRIFTDTENAEIDCLEKHFSGEDDTCYLEYIKTSRININIRQVY >cds-PLY69742.1 pep primary_assembly:Lsat_Salinas_v7:MU044285.1:1528:2127:-1 gene:gene-LSAT_0X45340 transcript:rna-gnl|WGS:NBSK|LSAT_0X45340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTVDDRKTYSPTDIAGDDDDVSPIEEVRLTVTNTDDPTLPVWTFRMWFLGLISCALLSFFNQFFSFRTEPLVITQITVQVATLPIGHFMAAVLPETKFRLPGFGAKSFSFNPGPFNMKEHVLISIFANAGSAFGNGSPYAVGIVTIIKAFYHRNISFLAGWLLIITTQVTYTKCNCNQTIFINSPVNFNSDFPASLVI >cds-PLY79899.1 pep primary_assembly:Lsat_Salinas_v7:8:17284683:17285182:-1 gene:gene-LSAT_8X12061 transcript:rna-gnl|WGS:NBSK|LSAT_8X12061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDGWRLDFSQTHFDAYSPPDFYTKINMRGVAVDVSKKRTRVIVDDWTPTWNEEFTFPLRVPELALLEILVQEYDVDRADFAGQTCLPVSELRPGIRVVPLHNKKGEKFKSVKLLMRFLFV >cds-PLY72577.1 pep primary_assembly:Lsat_Salinas_v7:3:199509804:199510040:-1 gene:gene-LSAT_3X120640 transcript:rna-gnl|WGS:NBSK|LSAT_3X120640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRNKLPMKRIENNTSRLVTFCKRRNGLIKKAYELSVLCDIDIALIMFSPSGRLNHFSCKRRSNPNPNPNLVLYVFGY >cds-PLY76836.1 pep primary_assembly:Lsat_Salinas_v7:3:4799477:4799932:-1 gene:gene-LSAT_3X2481 transcript:rna-gnl|WGS:NBSK|LSAT_3X2481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSESLVLHDTMRAHTDWITAIATLIANSDMIVTSSRDKSIIVWRHTKEDKTYGVAQRRLTGHSHFVQDGVLSSNGHFAQSGSWDDELRLWDLNAGTTARRFVGHTKDVLSVAFSIDNRQFVLESRDKSIKLWNTLGECKYTTKTATLTVIG >cds-PLY94885.1 pep primary_assembly:Lsat_Salinas_v7:4:113726095:113728326:1 gene:gene-LSAT_4X71701 transcript:rna-gnl|WGS:NBSK|LSAT_4X71701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTEEDDGAGAGAGEDHQVEEEFSVWKKNSPFLYDLIVSHSLEWPSLTVQWLPSPPSPYKDGSFATHKLILGTHTSDESPNFLLVADVLLPVNPSSSLDTNHENPHIPKVEIIQKIHVDGEVNRARCMPQNPSIIAAKTSSSEVYVFDSTKQLLNDEGGSCEPDAKLRGHEKEGYGLSWSPFKEGYLLSGSNDCKICLWDVSAMPSNKVLDAKYIYEDHGSLVEDVSWHLKNESLFGSVGDDCKLMIWDLRTNKHEQSVVVHEKEVNYLSFNPFNEWVLATASSDTTVGLFDMRKLTSPLHVLSSHTEEVFQVEWDPNHETVIASSADDRRLMVWDLNRIGDEQLEGETEDGPPELLFSHGGHKAKISDFSWNKNEPWVISSVAEDNALQVWQMAESIYHEDDGI >cds-PLY68237.1 pep primary_assembly:Lsat_Salinas_v7:3:102947288:102947734:-1 gene:gene-LSAT_3X76981 transcript:rna-gnl|WGS:NBSK|LSAT_3X76981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKEKLPGGHNTDGHQRVSTTTTGGVGGGGYGEGRETHTKKGVMENIKEKLPGVHNTNEDQRVSTTTGGHNAIGGGYVEGGETHEKKWVMEKIKEKLPGGHNTDRHQSVSTTTSTGVGGGGYMEGRETHEKKWVMEKIKEKLPGHH >cds-PLY75043.1 pep primary_assembly:Lsat_Salinas_v7:2:68634296:68635181:-1 gene:gene-LSAT_2X30201 transcript:rna-gnl|WGS:NBSK|LSAT_2X30201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIKVVRFRDLLEAAVAICQTQQHIIVDMKSGCVALFLCLNISVDPPDVIKISPCARMECWIGIYERWQPKAKYKIQLDPFSVAGMIVNTFIEDWTPSSSSSGTSPRDCNLLAACEAPETLPQSHEFPADVFTSCLTTPIKIALRWFCTR >cds-PLY92347.1 pep primary_assembly:Lsat_Salinas_v7:9:178024869:178025131:-1 gene:gene-LSAT_9X110461 transcript:rna-gnl|WGS:NBSK|LSAT_9X110461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEEIRILFMKRFFHQGQEATKWRGNYGPNIQLKLNEFGKDMRLWRMVPSGGDVFETRYG >cds-PLY81809.1 pep primary_assembly:Lsat_Salinas_v7:3:30634511:30642302:1 gene:gene-LSAT_3X22681 transcript:rna-gnl|WGS:NBSK|LSAT_3X22681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPHGGDLWWIEILETSGQHKEGVGAYFCISDEMSNASPGQHLGFLLSREDAGTFLVPLNLKYLALSDNYLQSIDYKLTKPRNNIQVYRCINLPLSPDVMDFLKV >cds-PLY79664.1 pep primary_assembly:Lsat_Salinas_v7:5:254726223:254731424:-1 gene:gene-LSAT_5X128461 transcript:rna-gnl|WGS:NBSK|LSAT_5X128461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADAVAIMEAAGSRFSDLELIGRGSFGDVYKGFDKENNKEVAIKVIDLEESEDEIEDIQKEIAVLQQCRSPYITEYYGSYLHQTKLWIIMEYMAGGSVADLVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSDGYNEKVPADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSLCLKKSPAERPSAKELLKHRFIKNARKNPRLLERIRERPKFHIKEDGDSPRNGTQGGGLGEGSNTVKVTTRDSRVEDTTVRVSSNQSSKTTRNAGWDFSIGGGSGTGTGTVRSAVRPPQIRDRKTDSLSTSNQATQKRVTTEATGTTRRSSSEVSRNRDSDHIHDKKQDYYRGDEDMPMDGTGTVVVRSPRAQTSSLFSDQSTMSSSTFSSFEDASISGTFVYRGPHDDFDSPRTPKSRLGIQEKTSTASHEDSALNLAEAKAALQGGMKKGHTKDKSGLSKVQKGIVQETGSRVEKSTSSIDSTRHSSDYYDAIKAFPKPRQASSDEEEMARTSATSASAPLSILIVPSLKEAIADDLEASVVRSVISSLMDMEYTKPGSCEFLVTRLLHRSKEAALKDLQEVATRGFSKGKKAIEPENANAEADSKKKQANKEAQSNANISPLARFLLSRWQGQSWRDLNPT >cds-PLY96708.1 pep primary_assembly:Lsat_Salinas_v7:6:77323299:77326761:-1 gene:gene-LSAT_6X55820 transcript:rna-gnl|WGS:NBSK|LSAT_6X55820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAPPADQASDLLKNLSIDSQAKTTEIPEPTKKSSVDAGNGLVQPTNRSVTPLIPDFMDPTMAYYPNGYASAYYYGGYDGTTNDWDDYSRYVNPDGVDLSHGVYGYGYAPYGPYSPAGSPMPTVGQDGQLYGAQHYQYPTSYFPPMTPTTPYSPAPPKGEVTATKEEPALSLDTTKGNTNGVKGNTGSTPVRPTSYQNSSFNPNGTFGRGAQTGYQDPRYSYDGLQSPMPWLDTPIYPDPQTKNNLNAPVASRTQNVRPNSHLMSPRPMSTLNTANAYMNRMYPNKLYGQYGNNTYRSGYGYGYGYGSNAYDLQSNSRGWSTYDNKFKPRGRGNGFFSYNNENVDGLNELNRGPRARSIKNQKGFTPITIAVKGEITTLPSTDAIEKEEGKEVILTPDREQYNQADFPETYENAKFFIIKSYSEDDVHKSIKYNVWASTQNGNKKLDAAYQEAQQISGGCPIFLFFSVNTSGQFVGVAEMIGPVDFEKSLEFWQQDKWIGCFPVKWHIVKDLPNSLLKHIILENNENKPVTNSRDTQEVKLDQGLQMIKIFKEHSSKQCILDDFEFYEDRQKRIQEKKAKQQQYQKQAWEGKPVVDDVVATADVADLGNEVDKDVKVSEVKLADNVEEKVANGC >cds-PLY86829.1 pep primary_assembly:Lsat_Salinas_v7:1:16493346:16493663:-1 gene:gene-LSAT_1X13440 transcript:rna-gnl|WGS:NBSK|LSAT_1X13440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPTEMESIIHMLIGQAEEELAALTKVESDFYFNQEMKNELLENMSRRPKYTNYLEMKEVINNSTYVASKRIMAIYSLKKETETTIEELRKLLKTLPEDDQPYMD >cds-PLY74078.1 pep primary_assembly:Lsat_Salinas_v7:9:12721945:12726823:-1 gene:gene-LSAT_9X10521 transcript:rna-gnl|WGS:NBSK|LSAT_9X10521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 8 [Source:Projected from Arabidopsis thaliana (AT2G18760) TAIR;Acc:AT2G18760] MKEEEEDRALLSSLGVTSANPEELEHDILEEPNLIIASNYWQARNHAEQGSQVGGTTDDEHLERRKGNEPSSTNQTDVLNKLRAINVEIDAVASTVEDVKVFSRTEEHAHSGDDENGEKSILQASSNDLTLQHALATDRLESLKRTKAQLEKQIGHLGNEGIPVDNKHEKLLKSLVKEEPNHKRKKKEVPTTNKKSKKRHKTVAFNDDGDFDAVLNAASAGFVETERDELVRKGILTPFHNLKGYERRIEESGPSHTVEEDNDLPSTSIARAVKSISEAAQSRPTTKLLDPDSLPRLDAPTRPFQRLQTPFKIPQSLENHERKSKDSKDSNRKKRRPLPGKRWRKVASREENSLEEIEDARGSSIEEDNQEGVEDDEGNEDPSFVTLEGGLKIPDSIFSQLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTIQVLSFLGALHFSNMYKPSIIICPVTLLRQWRREAKKWYPSFHVEILHDSARDAPYKKKQPKSDESDYETTESDEEGNESLPSRNNNNNNKKWDSLINRVLRNESGLLITTYEQLRILGEKLLDIEWGYAVLDEGHRIRNPNAEVTLVCKQLQTVHRIIMTGAPIQNRLSELWSLFDFVFPGKLGVLPVFEAEFAVPISVGGYANASPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLPTKTEHVLFCSLTADQRCLYRAFLASSEVEQIFNGDRNSLYGIDVMRKICNHPDLLEREHAAQNPDYGNQERSGKMKVVAQVLKVWKEQGHRVLLFAQTQQMLDILESFLIGDSYTYRRMDGLTPVKQRMTLMDEFNNSPDIFIFILTTKVGGLGTNLTGANRVIIFDPDWNPSTDMQARERAWRIGQTKDVTIYRLITRGTIEEKVYHRQIYKHFLTNKILKNPQQRRFFKARDMKDLFTLNDDKENGSTETSNLFSQLSEDVNIVHQNENPETLAKKKQKEKIDDSNEGGVEEETNILRSLFDAQGIHSAVNHDVIMNANNDEEKVKLDEQASQVAHRAAEALRQSRMLRSRESIAVPTWTGKSGTAGAPMGGGRKFGSMVNSKLVAKTRIEHGSGSGSSKFVVGASGGKALSSSELLAKIRGTQEQAVGDALGAGSSVRPQNLGGVQPEVLIRQICSFLQQRGGTTDSGSIVDHFKDRIPSKDLPVFKSLLKEIATLQKTPNGSSWILKSEYQ >cds-PLY88540.1 pep primary_assembly:Lsat_Salinas_v7:7:135003503:135004215:-1 gene:gene-LSAT_7X81401 transcript:rna-gnl|WGS:NBSK|LSAT_7X81401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRVKNDKYIIHALHFWDYDMMVKREKVELKSGDFGTLEWNDDVIENDEESDIDENEDMKPDFGKLIEFSTTKLTESNELKDMKTIFEQEFIYKSQNEDETNLMNEDDAKVMKMKMKKKAMRI >cds-PLY98263.1 pep primary_assembly:Lsat_Salinas_v7:7:167122663:167125171:-1 gene:gene-LSAT_7X99341 transcript:rna-gnl|WGS:NBSK|LSAT_7X99341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPNNSINQIDNHIDDDGDQNSFPSHRHQIITYSRKRKHSQSQVITLIVSTQVNPLSESTVLGLVLLAHTFSYDSQNLTESLLLEILARLPLKSIFSAHALPHSYQSLMITDDSRILDFYVDVGGRLIASTLVQTELQAILSRICIPQTHFELQYVRPHFIMLHVISSNLIMWSRIHPSEDWIQGQIPKVVLNGIKGFIHQKIASTSHQETSDYFSDESRRIHCTKCDLMHIWKLKSC >cds-PLY95946.1 pep primary_assembly:Lsat_Salinas_v7:9:40892961:40895271:1 gene:gene-LSAT_9X36600 transcript:rna-gnl|WGS:NBSK|LSAT_9X36600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGTGNDTPAIGIDLGTTYSCVAVWKHGHIQIIPNDQGNRTTPSCVAFVNGERLIGDGAKNQVAMNPANTIFDAKRLIGRRFSDSKVQDDMKLWPFRVIQGPSDTPKIVVSYRGEEKEFLAEEISSMILGKMKDTAQTYLGKIVEKAVITVPAYFNDSQRQATKDAGAIAGLNVMRIINEPTAAAIAYGLDNKSDITSKINVLVFDLGGGTFDVSLLTMAEGGGTLEVKAVAGDTHLGGEDFDSRMVDQCAQEFKRRWNKDLTGNKRALGRLKCACEKAKRILSCDILTSIEIDGLHEGIDFSMKFSRAKFEELNIGYFVKCIETLEACLNDAKMDKSCVNQVILVGGSTRIPKVQCMLQEFFERKELCKSVNPDEAVAYGAAVMAAKLSGNTDKCFQDLLLLDVTPLSLGIEVKGEVFDVLIPRNTPIPTKKSKFHTTCYDNQSEVCIKVYQGERARSVDNHLLGMFTLSGIPLARKCVPQINDCFEMDANGILTVTSEIVSTGATEKLTITNQNGRLSTDEIEKMVKDADKYKQDDQEYKKKAIAFNALEDCLYNMKHKMKNIENGERLLKMEHVVADTTKWLQQNQAASADELQRMREYIESICM >cds-PLY97835.1 pep primary_assembly:Lsat_Salinas_v7:5:196847225:196847638:-1 gene:gene-LSAT_5X88100 transcript:rna-gnl|WGS:NBSK|LSAT_5X88100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPTPLLLKIENMIDDTPLVEYLLVEAVYNEKIATNEDVNEFRTSFFDEMARMFSEILDQNFGSADIRLVVYWLRYRYLCGRQSEGYLAELFGATQDDGEVQDDHQGVDFVVYGAAAAVVLLGVGMLVRVLAHYRHK >cds-PLY95940.1 pep primary_assembly:Lsat_Salinas_v7:9:40494059:40495366:-1 gene:gene-LSAT_9X36141 transcript:rna-gnl|WGS:NBSK|LSAT_9X36141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLTTLIFVVIGIIASLCARICCNKGPSANLFHLTLVITATVCCWMMWAIVYLAQMNPLIVPILSESE >cds-PLY76248.1 pep primary_assembly:Lsat_Salinas_v7:4:52711614:52714770:1 gene:gene-LSAT_4X35940 transcript:rna-gnl|WGS:NBSK|LSAT_4X35940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKIETGHTDTVHDVCMDYYGKRVASASSDSTIKIIGVTNNSTSQHLTTLTGHNGPVWQVAWAHPKFGSLLASCSYDATIILWKETNPNEWTQVHTFTDHKSSVNSIAWAPHELGLCLACGSSDGNITVHTARSDGGWDTTRIDQAHPVGVTSVSWAPSMAPGALVGSGSFEPVQKLASGGCDNTVKVWKLSNGGWRMDCFPALQMHTDWVRGVAWAPNLGLPKSTIASCSEDGTVVIWTVGKEGDNWNGKVLFDFKVPVWRVTWSLTGNLLAVAAGDNNVTMWKEAVDGEWQQVTTVD >cds-PLY89597.1 pep primary_assembly:Lsat_Salinas_v7:9:39816393:39817783:-1 gene:gene-LSAT_9X37360 transcript:rna-gnl|WGS:NBSK|LSAT_9X37360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSQLLLHPSWPTHSYFHSIPQHSSLIPSFSENDFLGYDHMESFDDVFRWLCDDDDQQMESEIPTDKSTENSPTLSITSSESFDSEAMEMESKTGIQNLLMAYAEAMGLQQRKLAEVIQKCISEKVNPNGQTLERLALNLFPCSENEKEYLKQESIRNFKAAFRGFYEIFPYGRFAHFTANSAILEAVPNHVDSVHIVDFDMGEGSQWPVVIQVMAQRRKSLTITSVKLEDDNSGLFEETKMHLLNYAGSFGLNLKVEEKELGQIVNRNEFMAFNCMVGIPHMGRTRRRTQVMEFLKVAKQLLVKTQGIITFGDGEYSDRMENYPTYPSFFDGNLSHYKALYESMELGFPSYLTEGRVAMETLFIAPFISSMSWLQKWEEGRENLFSQNDLGLKGRRVSMESWNEVRELVKEGESMYKVRVEGGNENEMVLEWNGIPLVRVSVWM >cds-PLY89260.1 pep primary_assembly:Lsat_Salinas_v7:5:311064452:311065761:1 gene:gene-LSAT_5X169461 transcript:rna-gnl|WGS:NBSK|LSAT_5X169461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVRITGYDVGGGDEHDGRVLEWEAGLPNIDDLMPLSQSMTSADFLSAFSISPEPYRSMFDVNRASQNTISNLRGELEQQSLNKLNSLKSFGDYDKGDEMVVEGDETTDLTRGASDLQKLQRIDDGGGAGEEIDSALLADDDSSARASKRPRLAWTPQLHKRFVDVVAHLGVKNAVPKTIMQWMNVEGLTRENVASHLQKYRLYLKRMHIQGPSSSDHLFASTPVPQNLHESGAGGTVNGHHHAPGAIPMHYHSQMVSMPYPSPQMDPNSAGGDGGGAYHHGFESHSYPYNMTTQQRDWSAYQHHMTSNDK >cds-PLY97919.1 pep primary_assembly:Lsat_Salinas_v7:4:83349777:83353895:1 gene:gene-LSAT_4X55580 transcript:rna-gnl|WGS:NBSK|LSAT_4X55580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGYKLQEFVAHSANVNCIKIGKKTRRHFITGGDDEIVNVWSIGKAAPITSLSGHTSPIESVTFDSTEVLVAAGASSGVVKLWELEETKVFRTLNGHRSYCTSLEFHPFGEFLASGSMDTNLKIWDIRKKGCIHTYKGHKRAISTIRFTPDGRWVVSGGLDNVVKIWDLTAGKLLHEFKLHEGHIKSMDFHPIEFLLATGSSDRTVKFWDLETFELIGTTRPEATGVRSVTFHPDGRTLFCGLDNSLKVYSWEPIICHDAVDIGWSTLGDLCIDDGKLLGCSYYQNSIGVWATDVSHIEPYAHNMIAMEKAHVEPKTDLQESVIERVKTPRMSFIPSDDDTKDIKNIYVDTAGMTPVVSRKDGSNTNIQRRLFTDDVAIDSDSSEEKTHNSTSVSEECQKSSSNHEVSIDSSNKRLTPVKCVAVSNGKTRNLVEKFEKKESQKPNTDNMYVNGDTVEQPPVNVVTCAIPETATSPIKTPDNDMASLSVSEAKLSPVTFKASPKAKVLSVQRRPVASKRVISEKVRSPPMAVAQRCRASSQVIPERSRIRTRTSPLPAVPRQITSTHMTTEKPKFSPLFEDNPQTTGRGLMCKNDDTEDLMIDHELFLSTLQSRLTKLQVIGHFWAQNDTRGAINALQKLPDHAVHADVISVMLENTECLNLDHFSCLLPLLLGLLDSNTERHINVSLEMLLKLVAVFGPLITSTISTPPSVGVDLHAEKRFECCNECHVELQKIQKSLPNVIRRGGLTARSAQELNLVLQLS >cds-PLY96259.1 pep primary_assembly:Lsat_Salinas_v7:6:158255089:158260556:1 gene:gene-LSAT_6X97601 transcript:rna-gnl|WGS:NBSK|LSAT_6X97601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAITFILHLFFLIPFLQINYSHSQSLRSLQTLFTTFNARGTSNYCPSSTSSSVAINLPTLIPNSSLTLVCYNNIITQLHITGDNNNRFDHEYLSTESFFYTISAIFPNLKVLSLVSLALDGPLPASVLAKTVSSLEILNISSNHFHGDIPFELSYLKNLQTLVLDHNNFSGSIPDWLGNLSSLRVLSFKNNSLNGSLPFSLSRLLSLRVLDLSKNQLSGDVPVDFKNLTNLQVLDLESNQLGPSFPVLHNRLLVLVLRENRFSYGIQGYDLRSFFQLRKLDLSSNEFNGPLPDFLFSLPSISYLNFSGNKFTGKLSANVSCNDELVSVDLSLNRFTGELPTCMQTLENNKRGSVLYGWNCLSNVDKKQQHNDSFCHNEALAVMIKPPVSGDDGSSKSSKVKVVVASSVVGGVVIGGVAVFGVVLVAIRSEFFGGGCAAATPQIRVLVEKISPAYTIKMLTDARYISETMKLGAVGILAYRTYVLGELIEATNNFHRSTCMGDGSHGQLYKGQLNDGSIVAIRNLKLRKRCSIQSYTRQIELISKLRHPNLVNPIGHCFERHTDDSAIIHGVFLVFEFVPNGTLRASLMAGQKFSWAQRLSAAIGISKGIQFLNTRITAKLFPDNLKITDVLLDQNFQVKISGYNLPLLPETKVSAGHSATRSSENLGRSKRFETEEDDHDHVYDMGVIMLELILGRAIDCISDITIAKDMLHVSLVADEVARRSILDPLVRKECCDESLKTLMELCLRCMSKDRPSIDDVLWNLEFIAQAHTSSMEDSMNNIQTSTNEKMNNIQTSTNETIVSIT >cds-PLY69966.1 pep primary_assembly:Lsat_Salinas_v7:5:118576752:118582265:1 gene:gene-LSAT_5X51980 transcript:rna-gnl|WGS:NBSK|LSAT_5X51980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFDFALLLGKLGSNRLATSEYSSIVSMNLFVALLCACIVIGHLLEENRWMNESITALVIGICTGVVILLSSGGTNSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIVLFGAVGTVISFTIISFGAINIFQRMDVGTLELGDFLAIGAIFSATDSVCTLQVLNQDQTPLLYSLVFGEGVVNDATSVVIFNAVQNFDLSQITTAVAFQLIGNFFYLFITSTLLGAGAGLLSAYIIKKLYFGRHSTDREVAIMILMAYLSYMLAELFYLSGILTVFFCGIVMSHYTWHNVTEKSRVTTKHTFATLSFVAELFIFLYVGMDALDIEKWKFVKDSPGTSVEVSAILLGLVLVGRAAFVFPLSFLSNLTKKARHERIDFRQQVLIWWAGLMRGAVSMALAYNQFTKLGHTTLRGNAIMITSTITVVLFSTMVFGLITQPLVRLLLPSPKSMTRMISSEPTTPKSFIVPLLGNGQDSEIDLGPHVVRPSSLRMLLSTPSHTVHHYWRKFDNAFMRPVFGGRGFVPFVPGSPPTEQSTHHLVDEETN >cds-PLY95755.1 pep primary_assembly:Lsat_Salinas_v7:3:27056518:27058455:1 gene:gene-LSAT_3X20780 transcript:rna-gnl|WGS:NBSK|LSAT_3X20780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVRDATSTADDFEEESISKWESLRLKTLAEQSYSSSDLKLAIKHAKRAHRLCPTLDGVSEMLTAFKILSAAENTTETTGTPDWYKIFEVEPFSDINLIKKQYKKLALILHPDKNKFVASEEAFKLVNEAFSVLSDKIRRKEYDMKLRIAIQTEAEAEAGVDPGGDAVTLDTFWTACSTCRLLHQFERKYLGHNLMCPSCKKSFKAVEVDDGKKGYTKVVVDEKENIDTSESDKVSTRSESKRKMSSVGEIMKRSEQSKRIERSKTEDGGKHSRVVNNTLKEKGKRKNDSDEEEMMTLAQMQKLVKTNVNDGKSKEKLKVNKVQRSGSKRENSRNETKGEEKNQDLEIMTVEDSDFYDFDKGRVEKSFKKGQIWGLYDDDDGMPRHYGLINEVVSTHPFEVDMSWLDPQSNGDEGLVNLERTGFHVSCGRFKVSKKTLVRSLNVFSHIVECERAAREVYRIYPKKGSVWALYNSETKDKRCYDIVVFLTSYSEVHGLSMGYLEKVNGFKTIFRRREIGFHAIRWLEKHDAGLFSHQIPARKLSGEEVKDLSGDCWELDPASLPTDLLIIG >cds-PLY98065.1 pep primary_assembly:Lsat_Salinas_v7:4:78802782:78808878:1 gene:gene-LSAT_4X53121 transcript:rna-gnl|WGS:NBSK|LSAT_4X53121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGTINMFTSFSLSYKRTNTTNIDPIYPISHYSISSCTISNVPLLVSSCKKLKSGCTLKFVKASLATEDFIEEVNVDDVSSRLKVTATVNVRISKEVNVFKKLTELPQKDSSYEGFVFQLVSTQVDPSSMKPKVSKESALDWSKCTSLKDKGRGCFSFKVKLVIDEDFGEPGAILVRNHYDNELFVETISIEDCFHFSCNSWIQPAHTSKEKRIFFSNKAYLPSQTPKGMKYLRDRELKNKRGDGKGQRLLVDRIYDYDTYNDLGNPDKGVEFTRSTLGGEKNPHPRRCRTGRPNTATDVNVEKPVNLLISSTYVPRDEALEGTRRKDIFMGVWKGVLHNIPAMVTTLFNRNEVSIDISNLATGLYRNVSQAESLNAYGLFKFLDIKEMLKFETPKTVSWNITSYVRDDEFGRQTLAGMNPVSIERLKVFPPVSKLDPSMHGPRESNLKEEHIICHLNGMSVQQALDEKKLFILDYHDIYLPFLDRINAQEGRKAYGTRTIFFLTTAGTLKPIAIELSLPPNDSNLPSKQVLTPPVDATTNWLWQLGKAHVCSNDAGVHQLFHHWLRVHACMEPFIIAAHRHLSSMHPVFKLLNPHMRHLLGINSMARESLISAEGIIESGFSSGRYSTHMVCAAYRNWWRFDLEGLPQDLIRRGMAVPDETRPHGLKLLIEDYPYANDGLLIWSAIQELVHTYVNYYYHDDNVVIYDTELQSWYSEAINVGHADVREASWWPKLCTTGKLTEILTIIIWISSAQHAALNFGQYHYGGYVPNRPPLMQKLIPKEHELEYASFIADPHGYFVDSLPSLFQTTKYMAVLDIISAHSQEEEYLGEIKDMFTSWPGEPKIIEAFYKFSMEIRKIENEIKRRNLDPKLRNRCGAGIPPYELLVPTSEPGVTGRGIPNSISI >cds-PLY92744.1 pep primary_assembly:Lsat_Salinas_v7:8:66773929:66780659:-1 gene:gene-LSAT_8X47261 transcript:rna-gnl|WGS:NBSK|LSAT_8X47261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRLKSELQIGSGGVLMIGIWGVGGGGKSTLASSVYGEICREFDGCCFVENIREEASRYGCGKLQEKILSEMNVNRVGGGLRLINNRFCHRKVLIVLDDVDQLDQLKALAGSHDWFGEGSRILITTRDAHVLIAHKVDVIHNISLLNNDEAMKLFHKHAHRDQRPLEDYELLSKEVVSYAGGLPLALTVIGSFLCDKNIHEWKSALARLKDIPNDDIVGKLKISFDGLTKVEKDLFLDIACFFRWEKKDRAMEILDACGFHPVIGVKVLIQKALITVSEYGEFDMHDLVQEMGHYIVRVEHPKNPEKHSRVWEDEDVRKISAMDATTELDKIEAIKACYDSLLLKDQNLTPIVANMKNLRYIEWELGDPANPLSNNFPPRELCCLILSRALQKQLWDGCKLLPNLKIMELWCSNLIMTPDFGGLPNLERFKLKGSWYLEEIHPSIGRLEKLVSLCIEGCVRFKTFPPISRLKKLETLSFSYCPELFNLTMIQHQNMDNLPHLHLDNSGNKVASHGKSWTNSFVTWFKCCSSNLPEVECFLEEPSLPHNNMKRIGFQFFHNLKELCLRKLDLSRCDLRNEVIGSDVWVLPNLQELNLAENKFSRLSFRHVQLPRLKWLNVSYCYDLVELSELPLSIAVIIADGCSSLETFGDIANCKWLWKVSVQGGYKLGPLGSDLLLDSILQGNAIQDHFISVTLQHQILKGFVGRLYRGDTFTMRLPHVRMDPHTMRIRLPHDWYNDFCGFLIRVVTSDMNADINIIIKQEPDEDPPFELWQDSKQAGEPKSDGDLKTNVGYVSFNSLRRTTLLNLSYDSISFSIKRYWTSFAVELVSRKGKDDLMQTRNVAADCSEFWDDEDDYRKPFRIQHVSKSSINILWRPSDNI >cds-PLY73697.1 pep primary_assembly:Lsat_Salinas_v7:5:202778188:202780099:-1 gene:gene-LSAT_5X94320 transcript:rna-gnl|WGS:NBSK|LSAT_5X94320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGDETLYKKEGVAKHQEKRCCMSRIPTIKRFNRVRFDRFFSQPMVLNGSVWKRFLAITKLVQPVRKLPLSSFHQRASPKLAIPFYPNASTPATSSATPSFERCDYLRPPEMSAATPTPSRVLAMVADETNLQQEGFTASASKLGKMHFADVNWEMKRQAITFGMKVKSDLSVTCPH >cds-PLY89364.1 pep primary_assembly:Lsat_Salinas_v7:8:139309586:139312743:-1 gene:gene-LSAT_8X95700 transcript:rna-gnl|WGS:NBSK|LSAT_8X95700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA27 [Source:Projected from Arabidopsis thaliana (AT4G29080) UniProtKB/Swiss-Prot;Acc:Q9ZSY8] MSMSIEEHDYIGLSEANMEKKACDITENKDLNLKATELRLGLPGSESPEREAVNGGPIKNLVAGAKRGFSDTINGGSGKWVFAGKGGSEVDLVKNGGLFSCMKAENKNSGCVKETAVLASPKPVLLENKSQVSVNANVPAPKQQVVGWPPIRSFRKNTMAVSQTKNQEDKDPKMGSGCLYVKVSMDGAPYLRKVDLQMYSSYLDLSSALEKMFSCFTIGQYGSHGAHTRDGLSESRLMDLLHGSEYVLTYEDKDGDWMLVGDVPWDMFIGSCKRMRIMKSSDAIGLAPRAMEKCRNRT >cds-PLY64503.1 pep primary_assembly:Lsat_Salinas_v7:8:124480573:124482649:-1 gene:gene-LSAT_8X85560 transcript:rna-gnl|WGS:NBSK|LSAT_8X85560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVKKGSKSSWISNCFKIHEEPVRMIREPEIEKKVEPEPIKSPNSTKRISYTDINSSTLSEDLSTSLAGSNLYVFNLAELKVITQSFSSCNFLGEGGFGPVHKGFIDDKLRPGLKAQPVAVKVLNIDGGQGHREWLTEVVFLGQLRHPHLVKLIGYCCEDEHRVLVYEYMARGSLENQLFRRFSVSLPWSTRMKIALHAAKGLAFLHEAKNPVIYRDFKASNILLDSDYTAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYLMTGHLTAASDVYSFGVVLVELLTGRKSMDKSRSNREQNLAEWARPQLKGGARKLNRIMDPRLESQYSETGAEKAAELAYQCLSHRPKARPTMSMIVNALEPLADYNEMAIGTFVYTAPTENNKSPVESPEKEVQHKDRKMDSNGHHHHHRHQHDRRHHRSKSPAVHSETDLQRDHMISGV >cds-PLY77223.1 pep primary_assembly:Lsat_Salinas_v7:4:177558618:177561047:-1 gene:gene-LSAT_4X104861 transcript:rna-gnl|WGS:NBSK|LSAT_4X104861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHLPSLDSSASSPTDKSNSLASKCWLDDACIVDIDYFVKTLSGIKANGVRPDLIGSIITHYASKWIPELSGEPPSPVAPELQSLSQSATASWLKKRFFIETIVAVLPPEKDAIPCSFLLRLLKNANMVGVDSSYKEELEKRVAWRLDQATLKELMIPCFSHDRSTLFDVELMLRLVKRFVELEIEGLRTGAGMFKVAKLVDAYLAEVAVDSEVALPEFMELAGAVPAQARATDDGLYRAIDTYLKTHVNVTKQERKRLCKLIDSQKLSTEASIHAAQNERLPVRSVIQVLFSEQAKLSSHTDWSRSFSTARSPNLSVDPHDRCHSSRDIATIQQMEIKKLKEHVTKLERQCYSMQNQIDKLSEKKRGFFNWRKLQMSTTLKSMSIEVADESKLDSSSIGKQTPLKGKQGRNKTPKRWRSSTS >cds-PLY88569.1 pep primary_assembly:Lsat_Salinas_v7:7:8039023:8039259:-1 gene:gene-LSAT_7X7541 transcript:rna-gnl|WGS:NBSK|LSAT_7X7541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKPLFMFGFKRLQGKNREGIWKRLGLGNHGLRWKTKFNVHRWFVDRFLFKILSGVEAVVLVSTLCFFYLCCGCHI >cds-PLY84035.1 pep primary_assembly:Lsat_Salinas_v7:6:188975132:188977633:1 gene:gene-LSAT_6X117121 transcript:rna-gnl|WGS:NBSK|LSAT_6X117121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLILWFVKQIMGVLLAAVLRPQEAQCPLVQYITRELLTCLIMEHVMRFARPEYAPVRSDSVVGELKLSEPTDVILLGIPKIEKLEIEGRGFHTNLYAVRGNYSGGKEGETETIIKHMINLFGLVLSVAPSPAPALPPSFSSIMQRVSDGGTSCWNMLLPAAIST >cds-PLY63907.1 pep primary_assembly:Lsat_Salinas_v7:5:127839239:127839481:-1 gene:gene-LSAT_5X57221 transcript:rna-gnl|WGS:NBSK|LSAT_5X57221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGCTKGIRASLCRCRYCGGSTVVMILEDGTTGDRKNKPHRQRRSVWRLVIGMAGDVGLRLLSGRRRGINKQKLAGAIGD >cds-PLY92245.1 pep primary_assembly:Lsat_Salinas_v7:2:210555649:210555894:-1 gene:gene-LSAT_2X130860 transcript:rna-gnl|WGS:NBSK|LSAT_2X130860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDRGTPTAFQITYTSNNKAPTPIEANNGMEGKVGKMKLNNIDLNMVYDESQDGMERFETPENIDNVPFWLCGHPQKSGPP >cds-PLY88977.1 pep primary_assembly:Lsat_Salinas_v7:8:128679647:128681970:1 gene:gene-LSAT_8X89300 transcript:rna-gnl|WGS:NBSK|LSAT_8X89300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGGSSKDTMVSIRRQRLIKLYGRNPFLDFLSSYGNGKSPGCWVQNTRSAGMHLSPSVDIDHHDEKNTKFEEPESSIVNGSSSSYKQPVSHPEFKRRKRHRRKHFENQEACVMRGVYYKNMKWQAAIKVEKKQIHLGTVSSQEEAARLYDRAAFMCGREPNFELTVEEKDELSQLSWDDFLAMTRSAINSKKSQRRVSSRMKFENQRHNNSDSEAEAIEGGNGFSGSDDADTSVA >cds-PLY97735.1 pep primary_assembly:Lsat_Salinas_v7:1:20950274:20950907:1 gene:gene-LSAT_1X19000 transcript:rna-gnl|WGS:NBSK|LSAT_1X19000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGMKEMEEYKAFKRYKAMKKLQEEKAKQKKHVEKDREYVSFRIYNISNSESKTIKDWQAHGKGEIGEKGKKGWTKTEDDIKKTPIPMELKGPIVKPYEGIGDPDAHVSNFQWAIKMIPMNPKLWSLYFAGALDGLEQYWLTNLSTKSIGSFEELCTKFCSNEDSNIKHTPYSLVGSGKKNQIKQ >cds-PLY99914.1 pep primary_assembly:Lsat_Salinas_v7:7:15865514:15866963:-1 gene:gene-LSAT_7X12941 transcript:rna-gnl|WGS:NBSK|LSAT_7X12941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQALRQQASSSSSPVNLLSTLISSYALYNCDPTPNSYHFVIKTLIKTSQSHYIPPVLYQLEKVEKFETPESIFTDLIEFHGENEEFQEAIDLFFRLPDFRCTPSVDSLNCLLSVLCKRKEGLEVVPQVLLKSRLMNIRLEESSFCILIKALCRFKKPKNAISLLFHMVDHEIDIDRGSFSLILLTLCQQNDLKRNEVIDSLEEMKKLGFCLDRADWVNVIRLLVKRGNGKEGLEALSEMKSDGFKPDVICYTMVMDGLISERDYEGADQLFDEMLVMGLVPDINTCNVYVNGLCKQNKFDDGIMMLSSMEEIGCKPNTITYNTLLSAIYENGELSKASDFLKLVRGKGVFVNSRTYDIMIDRMVKEDDMSEALDLLKEMVSKSMVPQPATFDEIVCRLCKNGLFSKAMNLLTEMMGKNVIPGCRSWETLLVGLQIEHSFNEIHLSAMETISAK >cds-PLY93713.1 pep primary_assembly:Lsat_Salinas_v7:2:201829881:201830899:1 gene:gene-LSAT_2X123080 transcript:rna-gnl|WGS:NBSK|LSAT_2X123080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAKLQIQPYVLNNLLQNSTHLREHLISGVDIGWALPTFLNISSSLKSLDLSRTSLHGNLPCNIFSLRYFEKLYLSNNDILGPLPQVIINQSSFKSLDLSNNGLQGKLPNNIFNIKYSEILDLSHNNNLTGLLPKINQSISIHLKSLLKSLVNLSRLTTIRLSDNILNGTLLFSLFTLPLLEDDYVGNNMLSGGLPKELFNYGSLKRLFLALSKIKRDDSLLTITLMARLIKTPIHRHLSNSKNSLPLFMDLYTFLLSLPNLQVFSLGNNGLSVITNNNSTSYVNPAFWYLDLAS >cds-PLY79474.1 pep primary_assembly:Lsat_Salinas_v7:3:249063562:249063956:1 gene:gene-LSAT_3X138141 transcript:rna-gnl|WGS:NBSK|LSAT_3X138141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVIDLITRVDVICKKYEKYDVDKQKDATNNINRNDAFAGLYTAIESDLNQAVEKSEVAAAEKNRATAVAMNAEIRRTKARLLEEIPKLQRLAFKKVYMLVT >cds-PLY92093.1 pep primary_assembly:Lsat_Salinas_v7:4:106149696:106151955:-1 gene:gene-LSAT_4X68520 transcript:rna-gnl|WGS:NBSK|LSAT_4X68520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAFCDLCRVARALVYCKSDAAKLCFQCDNRVHSANSLSRRHPRSFLCDNCNLQPAIAHHLFDKMLFCQVCDMNENGCSSVQGHSIEELNAYTGCPSHDEFLKILSTIRDRGPSIDPQFHPMTSLNLNENLVSDQNEDQMGLVASTLNELASAVKFEPWIVNPSSIIPPHLTYLPSCDQKDPNPFFSDGSNLTKDGSDLNNLGLNEGEVLCDNVDLGDVSLSFEGGYEMFNGIPQPQTRYPSEGGGGDCLVMEKNLSVTESNSHIENTLEATSSGQQDCTTFPASQVAASANVLQAVSGGGGNMLMNPSCNQSVVNLGFPNMPLSLSNITGESSATDYQDCGLSPMFLTRESLWESNFESSPQARDKAKMRYNEKKKTRMFGKQIRYASRKARADTRKRVKGRFVKAGEEYDYDPLVTTGF >cds-PLY64236.1 pep primary_assembly:Lsat_Salinas_v7:7:2972968:2976054:-1 gene:gene-LSAT_7X1921 transcript:rna-gnl|WGS:NBSK|LSAT_7X1921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKDGILEDFATQGGDRKDVFFYQADDQHYIPRALLMDLEPRVINGIQTGEYRNLYNHENIFTSKEGGGAGNNWASGYHQGKNFEEDLMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQNETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHIQNPSVSQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANMIRKTTVLDVMRRLLQTKNIMVSSHARTKEASQAKYISILNIIQGEVDPTQVHDSLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASYTGIRHLFSKCLSQYSLLRKRQAFLDKYRGFPLFDDNDLSEFDESRDVIESLVDEYKACESPDYIKWGMEDPDHLLTGEGSATGTVDPKLAM >cds-PLY63415.1 pep primary_assembly:Lsat_Salinas_v7:7:151508066:151509013:1 gene:gene-LSAT_7X88960 transcript:rna-gnl|WGS:NBSK|LSAT_7X88960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNVTSRRKSRRSSLMTLALAQTPIQQVDLTEGRYLLSGAFDASLTVYDIQRARDYEGGGLIAKHKPILHVDKQHQNGLKYAISITIWYPNDTGLFVTSSFNHHINVWDTNTSQVLMDFKMLGKVYKTAMSSLGI >cds-PLY82188.1 pep primary_assembly:Lsat_Salinas_v7:1:14180225:14181654:1 gene:gene-LSAT_1X12420 transcript:rna-gnl|WGS:NBSK|LSAT_1X12420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIRRAGTEIPIPTKICPRLHLYRFDRAHRIGQNKEVKVFRFCTEEGCTDDVTVRTQIQSEAMQSGLAMQHLGDLLLELCRTMPTLHIGESLVAGIGPKVGC >cds-PLY62022.1 pep primary_assembly:Lsat_Salinas_v7:5:129621688:129624894:1 gene:gene-LSAT_5X56441 transcript:rna-gnl|WGS:NBSK|LSAT_5X56441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACFRPPYWLPLLLILLSLTWSPVTSDEQDPARLIKFRGSLTNVGELSNWNDTVPPCNGLKENWNGVICDKNGNVFGLQLENMGLGGTIDMDTLAEVTSIRTLSFINNSFEGSIPNVGKIGALHGVFLSYNKFSGEIGGDAFSGMSDLRKVEMGNNGFTGKIPISLTQLPILVDLQLQNNAFEGEIPDFEQKDLIVNFANNKLDGSIPNGLNNQDPKSFAGNDVCGKPLSPCKVKKKPNKRKIIIIGIASLLAVLAIITILLLVLRRRKPKNKYTNQQLTKPDKKNTYKANGTEIQMHNKQETYKRTDNGGKLHFVRTDREKFELEDLLRASADVLGSGSFGSSYKAMLAGGVEVVVKRFKEMSNAGKEDFYAHMRLLGSLSHPNLLPLVAFYYKKDEKLLITDFAVNGSLASHLHVKRRPDEPGLNWATRLKIIKGVARGLDYLYHELPRLSLPHGHLKSSNVLLDDTFNPLLADYALIPVINKEHAQQLMVAYKSPEFTQHERTTKKTDVWCLGILILEMLTGKFPGNYMDQGKGGKPDLGTWVNSVVREEWTGEVFDKDMKETKNGEGEMLKLLKIGMCCCEWDVTRRWDIKEAIEKIEELKEREEDEEYSSYASEGDAYSSRAMDEDNFSFSANYFIKTLLPISSTPTKSPPASIEDVKIVDTKSPSASIEDVKIVGGKFLGALSTIPVVVTNRDRLRGSKRLKRQREEGRSSSRGLARPKAKSRARFAPAIKYEEKGEG >cds-PLY79957.1 pep primary_assembly:Lsat_Salinas_v7:4:250195491:250197452:1 gene:gene-LSAT_4X132740 transcript:rna-gnl|WGS:NBSK|LSAT_4X132740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTYVRYGHHSWSALLIVLCMALLCCVSESRVNRGKAGGFVQTKGTNFVLNGSPFLFNGFNAYWMMNVATVPRQRIKVTNVLQDAANVGLSVCRTWAFADGGDKALQISPGAYNEHVFQGLDFVVSEARKYGIRLILSFVNNFKDFGGRLQYIDWAKKAGVPISSDDDFYTNPIVKEYYKNHVQRIITRVNTITGIAYRDDTTIMAWELMNEPRCQADYSGRTVNGWIQEMASFVKSLDRHHLLEVGMEGFYGDTMPERKQINPGYQVGTDFITNNLVSEIDFATIHAYPDQWLSGQNEDSQMEFMQRWMWSHYEDSRVILKKPLVIAEFGKSSNDPEYNINKRDSYIDAVYRNIYMMANTGGTVGGGLVWQLMADGMGSFSDGYQIILSKSPSTSSIISEQSHSMRTLSHLLRIRSQNVRLGKDHRQWQNQNLKSLVSNLVEVIK >cds-PLY73160.1 pep primary_assembly:Lsat_Salinas_v7:2:190265297:190266146:-1 gene:gene-LSAT_2X111781 transcript:rna-gnl|WGS:NBSK|LSAT_2X111781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLSDALFLLFFITTQSICYITTNAAGENVVKDATGKKVLNGVPYHIGPVISANGGRIKLTDTMNNNKICPFNVVQDPADVNLGGQFMFTLIAKKKYLLTSTILAIDSGYPKGACEKSTFWTIPNVLEPPPSSLITTGGLFDQSFTCFQVVEYPKSTSPKVHSYMLQHCPSFCGAGPGTCFNVSIYLDKGVRRLAATGDTPFEFVFHKLSK >cds-PLY96793.1 pep primary_assembly:Lsat_Salinas_v7:2:172149213:172151336:-1 gene:gene-LSAT_2X94480 transcript:rna-gnl|WGS:NBSK|LSAT_2X94480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g26782, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G26782) UniProtKB/Swiss-Prot;Acc:Q9LW32] MVAAALSELTINNFPRSNSNLATFFNKYVDTTNVWSWNSVIADLSRAGESVESLLAFSAMRKLSLTPNRSTFPCTIKSCSALYDLHSGKQTHQQAIVFGFHNDLFVSSALIDMYSKCGHLDDARNLFDESPLRNVVSWTSMITGYVQNGLPHEALSLFKNFLTEESMSENEDEVCIDSVAMVSVLAACSSTSSKSITEGVHGVVVKKGFAENTKIGNTLVDAYAKCGQVAFSRKAFDEINDKDVTTWNSMIAVCAQNGFSTEALKLFSKMVQDPNVKYNAVTLSTVLLACAHSGALQLGKCIHDQVIKMCLEEEVIVGTSIVDMYCKCGRVMTAKRSFDRIKNKNVKSWTAMVAGYGMHGYAKEALNMFYEMIRVKIVPNHITFVSVLSACSHAGMVDEGWHWFQTMKHKYSIEPGVEHYSCMVDLLGRAGFLDKAYDLIKKMKVKPDFVVWGSLLAACRMHKNVELGEISARHLFELDPENCGYYVLLCNMYADAGRWEDVEKMRVYMKSHGLSKTPGFSLVELKGRVHVFLVGDKEHPDHEKIYLYLEELMMKVQEAGYVANMTSVLHDIDKEEKEMTLKIHSEKLAVAYAIMNSVPGTCIQVMKNLRICGDCHETIKLIAKIVDREIVVRDSKRFHHFSHGLCSCKDYW >cds-PLY62964.1 pep primary_assembly:Lsat_Salinas_v7:1:210970997:210975911:1 gene:gene-LSAT_1X129680 transcript:rna-gnl|WGS:NBSK|LSAT_1X129680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:Projected from Arabidopsis thaliana (AT1G11790) UniProtKB/TrEMBL;Acc:A0A178W336] MALKAVPICVSFSELGLCDFVQNKKRIPLFSSYRVNVAKLRKWECLGLKLAEPDRAQTHVEGEKTLSPSDLGSNSTDGGIEETQITESSGFHKDLNSLPNLVSSGNDGSKVRVAYQAVELWLVDKAVLPIENSVGGSIHRNYDLLLRHRLHIVGEVQLIVNHCLLGMPGVRKEELKRVLSHPQALDQCEIALNKLGVVRVNAEDTALAAQIVASEGIRDTGAVASSRAAEIYGLDILAQTIQDDLDNITRFLILAREPIIPGFDKPHKTSIVFTLEEGPGVLFKALAVFALREINLSKIESRPQRKRPLRIVDDSNKGSATYFDYLFYIDFEASMAEPRAQYALGHLQEFARFIRVLGCYPMDTAL >cds-PLY75111.1 pep primary_assembly:Lsat_Salinas_v7:3:151766845:151770339:1 gene:gene-LSAT_3X96121 transcript:rna-gnl|WGS:NBSK|LSAT_3X96121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDFHNPRVQVAPTLVTLLKFYFHEEVRKAAVSDENQVRSIVEEIKQVITASSARRNERGEIVKEEVFDVDEGEMLKEENKQEEELFDQVGDCLGEEDATCSSTFESHVDWSITSLEKELVFVHVNDAILVGGNTLVSCSSDTTIWDSFSDGTCKRTLHQHTDYVTCLASSEKKSLLSTDSYRAILLHLVDWVGEVFIWDLEVVVTPVTKNTETPAVTDSSNGNSVPVTSLRAVSSSNGITSLTNTPQGYVPISSKGHKESVYALAMNDTGTLLLSGGTKMAAVYGVGICAEFGGASFRPFVNARICMLENCNRTCASAHESDRVVQVVVNSELERVRIALEL >cds-PLY85119.1 pep primary_assembly:Lsat_Salinas_v7:4:195752333:195753028:1 gene:gene-LSAT_4X114541 transcript:rna-gnl|WGS:NBSK|LSAT_4X114541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSRNQLQVTHVQSQEGVKVCDCVVPAKERTCWKITNPGRRFWNCQNSMTRLRKCSFFEWKDEEHADGYYKNLLYSLKQKLDAKDEMYEMNNLRRMIVEVEFLLSQEQYKVAKSEKEVHDAMKAIGRYRMIVALLVGCLALCVLKLGGSM >cds-PLY83362.1 pep primary_assembly:Lsat_Salinas_v7:5:94844414:94845292:-1 gene:gene-LSAT_5X43100 transcript:rna-gnl|WGS:NBSK|LSAT_5X43100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFCFLLTSLLIANVLNAISIQMGNHSSPISRFVFNFTKKLFQFHQLNCVWVFKVVRRWLQSQVNTKLGLVRCPRCQKALLDPPEVLVYGCGGCNSILIDIRSSVTQRVAMYTQSIPPPNPESTSDHTLITHPKPNNLKIPLQATKDCTQHFNQRNFIEKGLQGSHLLGGSCKLAGHQVSR >cds-PLY66311.1 pep primary_assembly:Lsat_Salinas_v7:5:289231211:289236331:1 gene:gene-LSAT_5X157981 transcript:rna-gnl|WGS:NBSK|LSAT_5X157981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIPMNNTVSNLSRDRAQLLYNKNVELESKRRKAAQAKIPSDPNAWQQMRENYESILLEDHVFSEQHDIEYALWQLHYKRIEELRAHYSAAQAQNTKGPAPAPARPGPARITKIRSQFKTFLSEATGFYHDLMVKIRAKYGLSLGHISDDPQNDVDMKKGLISCHRCFIYLGDLARYKGLYGEGDSKTRDFAAASSYYKQAATLWPSSGNPHHQLAILASYSGDELLAVYRYFRSLAVDTPFTTARDNLIIAFEKNRQSYCQLLGDSKAAPNKKAPARVPGKGRNKGETWVSSKDRKTEPNLVVERESTTMPETLKAFSIRFVRLNGILFTRTSLETFLEVFSVARSDFLQLLSSGQDEVFNFGSDYEQCKLFITRFIAIMIFTVYNVNKKETEHQSYAEILQRSVVLQNAFAAIFDFMALILERCIQLNDPCASFLLPGIMIFVEWLVSCKEDLASTNEMEEKQVAARSLFWNQFVSFLNKLLIVSQVVNESGDETRLALPEDMELRGFLPLVSGQLVLDFTRTNYLDENKEKKARVQRIVAAGKALTNNSKNVGVGKQQQGFYFDSKSKQFLFGVEPQNNNQVSTENIGEKLECEEEEDDEVIVFKPSVPEKHTHTHTHTDTDGLVFPSKLIDNPAPVAVSMPPHEACASSVNENMVQDSQLEQPEEFIENGLFSNLNLLGGKEFEKHSGGMSSVGFQDSESIIIPSKFDSVMTSAAPSFGSVPSKAKPIKSPVSRPIRHYGPPPGFNNTVGTQPQPLYGVNLRNGIGNENQPVDDYSWLDGYQLPYSGYNNGIGIGNSWRCLPQPNKLLNTRKMPLGMESFPFPGKQVSSVAEAENGIQKQQNGNGGWVGGYQIPTERGNGNLQEAMQQQHQVKKGNEEFIALPQQYQGQSLWEGRFFV >cds-PLY64032.1 pep primary_assembly:Lsat_Salinas_v7:1:127821195:127823104:1 gene:gene-LSAT_1X96220 transcript:rna-gnl|WGS:NBSK|LSAT_1X96220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAILLLPVPSAPTIFKTSSRIIVKSQEQIRATGRQLLVSHPSAIAPKREKDAKKRIVVTGMGLVSVFGGDVGTFYDSLLAGESGISLIDKFDASSFPTRFGGQIRGFHSNGYIDAKSDKRLDDCQRYCIVAGKKALEDAGLGNQELYKIDKDRAGVVVGSGFGGVTIFSNNVESLIERGYKKITPFLAPYCIPNMGPALLAKDLGFMGPNYSISAACATSNFCFCAAANHIREGKADLMIAGGVDAPIVPVQLGGFVACNALSQRNDDPQTASRPWDKDRDGFVLSEGAGVLVMESLDHAMKRGAPILAEYLGDAVNYDAYHVTNPRFDGLCVSSCIRSCLVDAGVSVEEVNYINAHATSTVVGDLAEVNALKKVFKSKEEIKMNATKSMIGHCMGAAGGLEAIATIKAIQTGWLHPTINQFNPEPEVEFDTVANDKQQHEINVAISCSVGIGGHNSVIAFSEFKA >cds-PLY87616.1 pep primary_assembly:Lsat_Salinas_v7:8:111236325:111237215:1 gene:gene-LSAT_8X78041 transcript:rna-gnl|WGS:NBSK|LSAT_8X78041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGGVAGTPVACRWNPTKEQISMLETLYGQGLRTPTAEQIQEITRRLQTYGHIEGKNVFYWFQNHKARQRQKEKQDHLSLFRQYNHHHHHHRLCHQPFLPLPPSPSVLYDTCYMPPSNLGFYTQHPQVASPSISKRRPPRATKSKSPDDGGFMLKHRPESFVSVSGGNINTMNIEQNEIVHRRNHSYQETLDLFPLHPTGILQERMETSGTATCLASTACTSSSSGSAVDRLYFDFFA >cds-PLY89867.1 pep primary_assembly:Lsat_Salinas_v7:4:316524336:316525684:1 gene:gene-LSAT_4X159121 transcript:rna-gnl|WGS:NBSK|LSAT_4X159121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAIARSSLRKALGVARANPASTRSFSSGASVDEEAREAAKWEKITYVAIAASSILAFVNLSKGHPHFDEPPPYPYLHIRNKEFPWGPDGLFEVKEHH >cds-PLY79759.1 pep primary_assembly:Lsat_Salinas_v7:1:182068052:182074751:-1 gene:gene-LSAT_1X118400 transcript:rna-gnl|WGS:NBSK|LSAT_1X118400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKHILISLLIIFAPFIRASNSSSIIKRLPGFDGDLPFKLETGYIGVGKDEAVQIFYYFVESERNPSEDPFLLYLTGGPGTSVLYSMMYQIGPLNFNLETSTDDNITLTLNPYPWNKVANMLFIDAPAGAGFSYSTTYEGTICSDSLLASYAYDFLRKWFTDHPSFLSNPFYVSGISYMGIIIPNVALHVYNGNERGNQPQLNIKGVISVSPLTDKFSDFNSRFEFAHRLSLISDDIYESTTQTCKGNYVINDLHNILCANNLQWVNECTSRINLENILDPLCDTTYQDPTCREARYLLIGIWANQKDVQKALNVREGTIEKWVIKNESLHYDLGKKDTTCYSYDVFSTIPIHKKLLAKKCQYLIICGDHDMTFPHVGTERWIRSLNLPVEKKWAPWFVNNQIAGYQMTYALSEYTLKYATIKGAGHGVALYKPEEALTMVDGWLASQKYLSDS >cds-PLY93960.1 pep primary_assembly:Lsat_Salinas_v7:8:110281935:110283358:1 gene:gene-LSAT_8X75280 transcript:rna-gnl|WGS:NBSK|LSAT_8X75280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGHATERDNSGNEVIKRAPSSKPPFTLGDIKKAIPPHCFNRSLLRSSSYLFVDLFFSFFFYYVAAKLIVPLSTPLSYVAWPVYWVLQGSVQMGLWVIGHECGHQAFSDYTWLNDTIGYFLHTGMLAPYFSWKYSHRRHHSNTSSLENDESFVPKKKSALNSSARLLNTPPGRLFRLVVLCTVGWLLYVCFNVSGRKYEKFANHFDPKSPIYSDRERFQIFLTDVGLVVASYGLYKVALAQGVIWLLMVYFAPLVIVYGFLVVITWLHHTHPSLPHYDSTEWNWLRGALSTIDRDYGLFNTILHHITDTHVAHHLFFTIPHYNAMEATKAIKPILKEYYQFDDTPIIKAMWREATECFFVEADKGDDTTTKGVYWFNNKM >cds-PLY71662.1 pep primary_assembly:Lsat_Salinas_v7:5:128715540:128716053:1 gene:gene-LSAT_5X55200 transcript:rna-gnl|WGS:NBSK|LSAT_5X55200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKRAADGGVVKKEKLTWADHMDNVLVEALGKEDQIDNRVNGTFTSQAYVNMIVGMSKEFNKSITKDQLKNRMKTLKGNFSKWYDTYRGTSLSGFSWNSQTKYIEAEEEVWEKLINTNPDAAAFKTKNFKLQSVSNVIFR >cds-PLY75810.1 pep primary_assembly:Lsat_Salinas_v7:3:67649063:67655259:-1 gene:gene-LSAT_3X52460 transcript:rna-gnl|WGS:NBSK|LSAT_3X52460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVGMLRWFKVAPVVVVAALWVVLMCDAECGYEGIFNFGDSNSDTGGFWSVFPECPPPNGMTYFKRPAGRASDGRLIIDFIAERMGIPFVSPYMKSIGSDYKHGANFATLASTVLLPQTSLFVSGLSPFALPIQLNQMKYFKSQVDELYSQGSSNLPLPDIFGKSLYTFYIGQNDFTSDLDKSGIDGAKQKLPQVISQITSTIKASTSLKQLFFELHGLGGRTFFVQNLAPIGCYPAFLVQLPHGDKDIDEFGCFISYNNAVVEYNNMLQDALSQTRQELPYSNVIYVDSHKALLELFQHPTSHGLKYGTIACCGNGGGSYNYNPRVFCGNTKFIDGQNLTASACEDPENYVSWDGVHITEAASKIVVDAILSGGDDFTDPPFEMGC >cds-PLY96226.1 pep primary_assembly:Lsat_Salinas_v7:1:212510880:212515837:-1 gene:gene-LSAT_1X129521 transcript:rna-gnl|WGS:NBSK|LSAT_1X129521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHYQKAFVIFLICSYFLYPPLILSSSTDGYTRIRLKRMKLNKDNRVVSQTELTHSLRARYGNNLIGSNGLDIVLLTNYINTQYYGEIGIGTPPQMFNVIFDTGSSNLWVPSSKCYLSMSCYVHSKYRSGMSITYKSSGQSGAIEYGSGSISGFFSKDNVKIGHLIIKDQEFIEATKEPDMTFMTGKFDGILGLGFREISVGNVATIWENMLNQNVVKYPLFSIWLDRSDNEENGGGGEIIFGGVDPKHYKGNHAFVPLTKKGYWQFNLGDVLINGKSTGYCQNGCPAIVDSGTSLLTGPTSAITEINAAIGIKSLASQEFKSVVKQNGEKILDLLQKELSEQFADTIRDSDIDCGKAASMPNISFTLGGKDFVLTPHEYLIKDGEGDGTRCVSGFMPLDIPTSDGLLWILGDVFMGSYHTIFDYGNLRVGFAKAI >cds-PLY86819.1 pep primary_assembly:Lsat_Salinas_v7:1:16504939:16506066:-1 gene:gene-LSAT_1X13420 transcript:rna-gnl|WGS:NBSK|LSAT_1X13420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRQTVSKQFNHELSAANPSIFQVIRCMSSSKLFVGGLSYATDEMSLREAFSAYGNVQEARVIVDRETGRSRGFGFITFTDTEAASAAIQALDQRELHGRVVKVNYANDRPQVGGGGGYRGGGFGGGGYGGGAYGGFGGDGENTGVAGGGDGFNSGGYGGNSYGSGGYGGNAGGYYDGDSNVSSGDGGR >cds-PLY94064.1 pep primary_assembly:Lsat_Salinas_v7:4:173242475:173242918:1 gene:gene-LSAT_4X103280 transcript:rna-gnl|WGS:NBSK|LSAT_4X103280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLGNCKRLIKLGIDRNNLTGEIPRKLFELSTLSIRLNLGGNQLSGELPQEVGNLKNLNEIILANNRLSGDLPTTISSCSSLVNLDISNNFFQGPLPLSLRSLRAIQTFDASQNNFTAKIPTYLEEIRFISNFLQAIVPLDLLWT >cds-PLY98242.1 pep primary_assembly:Lsat_Salinas_v7:7:170994624:170996671:-1 gene:gene-LSAT_7X100260 transcript:rna-gnl|WGS:NBSK|LSAT_7X100260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 1 [Source:Projected from Arabidopsis thaliana (AT3G14750) UniProtKB/Swiss-Prot;Acc:Q93V84] MSGRNRGPPLPMKGPSHAGLAPIHEPPYGRNLGPMPHPALLEEMREAQFGMGPRQLPPHPAIIEERLAAQHQDIQGLLVDNQRLAATHVALKQELEAAQHELQQTDRFARNFLMEKDMQMRELYEKSAKMEHDLHGVEAMRAELMQLHADINELTSTRQKLTSQVQGMTQDLARATADLQQVPGLKSEIDGLRQELQHARAAIEHEKKGHAENYEHGQVMEKNLLSMARELEKLRAEMANAEKRARAAAAVAVANQNPGYNPNYVNTEANYSGNPFPASYSMNPLQPGAEGYPQYGPGPGSWGSYDMQRAQGHR >cds-PLY96490.1 pep primary_assembly:Lsat_Salinas_v7:5:336588262:336594115:1 gene:gene-LSAT_5X189700 transcript:rna-gnl|WGS:NBSK|LSAT_5X189700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPWLQPAPAYSPLETFWDTEDDAPGPRCGHTLTAVAPTKSQGPRLILFGGATAIGGGPSSAVPGIRLDGVTNSVHVYDVITRKWTRILPAGEPPSPRAAHAAAAVGTMVVFQGGIGPSGHSTDDLYVLDLTNDKYKWHRVVVRGEGPGPRYGHVMDLVAQRYLVTVSGNDGKKVLSDAWALDTAQKPYAWQRLNPEGDKPSARMYATASARSDGMFLLCGGRDISGTPLADAYGLLMHRNGEWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGVLRGGRAVDGESAVAVLDTAAGVWLDRHGMVTSSRPNKAQTEDPALELMRRCRHAASSVGVRIYIYGGLRGDVLLDDFLIAENSALQSDTTESTSNMTSPRTNNSSPFDPMSPDSRPESPSSGALRESMERLAEASAQEAKAVNAVWQAAQAQAANQDNNLPPSDDSHPPEPADTEGDVRLHPRAVVVAKETVGNLGGLVRQLSLDQFENESRRMIPTQNDLSYPTKKFTRQKSPQGLHKKVISNLLRPRNWKPPVNRRFFLDSYEVGELCYAAEQIFLHEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIQYPENVHLIRGNHEAADINALFGFRLECIERMGENDGIWAWTRFNQVFNHLPLAALIEKKIICMHGGIGRSDPTENDSVEGLRPNARGPGLVTFGPDRVTDFCKRNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGALLVVGRGLVIVPKLIHPLPPPLHSPENSPEHVQDDTWMQELNNQRPPTPTRGRPQPNHDRNSLAYI >cds-PLY86188.1 pep primary_assembly:Lsat_Salinas_v7:3:82538645:82542615:1 gene:gene-LSAT_3X63580 transcript:rna-gnl|WGS:NBSK|LSAT_3X63580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRLQGMGVSFIFLDLRDNLGGLVQVDLSVDFFVLAKSQNQHMMPPYAAFYPHGGVYADPVVLLEVAIEAAVSAIIHLKDSLNEWDSKVGDGDCGSTLAGTCYNFYQSTPKKLAGENYFLHSGQLMFKSLFVIYTRWTTTNFLTLRICFLHDI >cds-PLY93388.1 pep primary_assembly:Lsat_Salinas_v7:9:63099989:63100651:-1 gene:gene-LSAT_9X54860 transcript:rna-gnl|WGS:NBSK|LSAT_9X54860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTFIESYNPFPAVSSESVPLAHFLTSCRSKSSVLNAAAESEVMLASRNPKKKAGRKKFRETRHPVYRGVRMRDNGKWVCELREPNKNMRVWLGTHPTAIMAARAHDVAAFAFRGRRACLNFVDSVWRLPVPKSSSIVDIQKAAAEAAEAFRYSEEEVEIVDTKELPEILYYVDEEDIFEMPEFFVSMAEGLMVAPPQTVGYGSYEDNVEFFVDESLWSF >cds-PLY75018.1 pep primary_assembly:Lsat_Salinas_v7:1:112377597:112385395:1 gene:gene-LSAT_1X90161 transcript:rna-gnl|WGS:NBSK|LSAT_1X90161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYSRVNLESYLIPLEEINRATEDFSLERYIGSGGFGKVYKGKLSEHWQSRTAAIKRLDRDSRQGEHEFRNEVEMISKFHHENIICFIGYCDENNEMIIVYEYAKNGSLDYHLEDVKKMRRITWAQRLKICIGAARGINYLHSGLGEHNRVIHRDLKSANILLDGDLVAKICDFGLSKFCPRNQPDTQLYTRVAGTQFYTDPSYHESHILSKESDVYSFGVILFEMLSGMLVYCTRKIGDDRPQLLMNFVRRYHLKDTDRLIDPNIRDHINSHSFDMFKEIAYQCINLNVMERPTMDTVIERIQKAWDIQENVDILIDCVMKDMGFSYGKPLAAFTIYKWLIHYKYLEAERTTVLDRLIEIIILAIKDKNNNNNNEMAYWLSNASTLLFLIQKTLKLDDPNSVWKPSPRALLLRRISMGFHSSQSSIGLSEAEAALNIVQQVEAKYPALLFKQQLTAYVENLYNIICDNLKKELGPILALCIEALQMSERVPTSGQSFGKDSQFSRWRGPLLALCIKAPQTSEGVPTSGQSFEEDSQFSHWQGIVDRLNTLLNSLKENFVPPIIIQKIFARTFSYIDVHLFNSILSRQECFSFSNGEYVKAGLAALELWCSQAKDEYAGSAWDELRHIRQAIRFLTIHQTNKILFVEITNDLCPILSIQQLHRICTMSSDETHNTRTISQDVTSRIKTLMAADSNGTGTFLLDEDSSMPSFVDDLSTSLELKDFVNVKLDVEHVNYQALQFLYN >cds-PLY98603.1 pep primary_assembly:Lsat_Salinas_v7:1:37853746:37854033:1 gene:gene-LSAT_1X31241 transcript:rna-gnl|WGS:NBSK|LSAT_1X31241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAVVECLKALRFSSVFFKDVPYITTVHGYNHHQSRFRSNFDPHQAMAIDHRHRRNHQRLLLLRYQINLLIPQINFILNSYEGITDQSDALYK >cds-PLY85744.1 pep primary_assembly:Lsat_Salinas_v7:1:47795063:47796935:-1 gene:gene-LSAT_1X40980 transcript:rna-gnl|WGS:NBSK|LSAT_1X40980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKESKGRAVVVGGSIAGVCSAHALLSAGWEVMVLEKTTAPPTGSPTGAGLGLDPLARRIINSWLPQQQSDNLLNNHTLPLTIDQNQATDVEKKISRVLTRDESFNFRAAYWSDLHGLLYKALPRDIFLWGHLFLSFHVSDDKKSVKVQCKHLQTGKMIEIVGDLLVAADGCMSSIRQTFLPDLKLRYSGYCAWRGVLDLSDDEKSEILVDLKKVYPDLGKCLYFDLGNGTHSVFYELLNKRINWIWYVNQPEPQLKGNSVTMKVSNNMIKKMQDEAQKVWVPELTRIIKETKQPFLNVIYDCNPLKQIVWGPVVLIGDAAHPTTPHGLRSTNMSILDASVLGQCLMKSGVENLVAGLREYQSIRVPVTSKQVLHSRRLGRIKQGLLLDNGKAFDPKNATLEELGVLQQKNMPGFDDVPLGLSTTIDASKYSSKL >cds-PLY64746.1 pep primary_assembly:Lsat_Salinas_v7:6:127334644:127336090:1 gene:gene-LSAT_6X77201 transcript:rna-gnl|WGS:NBSK|LSAT_6X77201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEESSPKSATKGTPGNSSKRKRNTGKDKVKYDKSLVGLKVKVWWPEDKTYYEGVIESFDSAKKKHKVSYVDGDEEILNLKTQKWEILEQQDELMWFTSQEPITEAQNEEEEDEEEEASPETHKKKKSKTDPTPSASKDSAKRVGATSSSVKSKGSSKDNKSTSQKTSAKSTDAASGKSKEDEETPKSKAVNKSKGKTPQTATKSNSNSNGPGKAKSGSEEAKTPESTKGGSKSGKKRKKRS >cds-PLY93825.1 pep primary_assembly:Lsat_Salinas_v7:6:147663262:147665481:-1 gene:gene-LSAT_6X88521 transcript:rna-gnl|WGS:NBSK|LSAT_6X88521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPLMAFIVVLALTTLLTCFSANAADLETYIVHLTSPNDLEFYQKEDLQSWYNSFLPKTTTVSNDQPTMVFAYHNVLTGFAAKLSAEHVKAMESMNGFVSARPQRFYKTQTTHTPNFLGLHQNLGFWASSNYGKGVIIGVLDTGTTPGHPSFDDTGVSPPPAKWKGKCEISGCNNKLIGLRDLTEGENGTRFDQDGHGTHTSSTAAGNFVNDANVFGNAQGTAVGMAPLAHLAMYKVCTVEDCTESAILAGMDAAVEDGVDVLSLSLGAESVPFYEDGIALGAFNAIQKGIFVACSAANSGPFNSTLSNEAPWILTVGASTLDRKIAVSVSLGNKDSLDGESLFQPKDFPKTLLPLVYPGSNGVQDTAWCAEGSLDKVDVKGKLVVCDRGGGIARLEKGQTVKDAGGMGMILLNQESDGASTLADAHVLPASHVGYKGGLAIKAYLNSTASPMATLVFRGTIIGVDSAPTVTSFSSRGPSLASPGILKPDIIGPGVSVVAAWPVSVENNTQNLATFNIESGTSMSCPHLAGIAALLKSAHPYWSPAAIKSAIMTTADQVSLNGQPIEDERELPADIFAIGSGHVNPSKANDPGLVFDIEPDEYIPYLCGLGYTSKQVGIIVSKSVSCSKTIPEAELNYPSFAITLASGQKKTYTRTVTNVGEANSNYVLSAQNISVPYGVTLAISYPRLVFTAVNQKLTYEVTFMWDSKVKIDVPYGQGVMAWSSGKYLVRTPFSIKFV >cds-PLY90177.1 pep primary_assembly:Lsat_Salinas_v7:7:12939316:12939597:1 gene:gene-LSAT_7X11420 transcript:rna-gnl|WGS:NBSK|LSAT_7X11420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPILQVFLSISDIAMIKLNNVTDKWIYAINLLKHVSIGKYIWYSIRRLILAGIVYHILIARNIRSSRIREYTMKLWSRLSIMMFARDSYALL >cds-PLY70751.1 pep primary_assembly:Lsat_Salinas_v7:8:168585871:168587731:1 gene:gene-LSAT_8X110280 transcript:rna-gnl|WGS:NBSK|LSAT_8X110280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIDELSRCSRHEIVGVMIEVGSKVEKFEVGDNGGVGCLVGSCGSCDNCANDLESYCPKQILTYASPDHDGTKTYGGYSDHIVADERFVLRWPEKLPLDTGAPLLCAGITTYSPLCAVIATYNPLRYFRLDKPRMKVGVVGLGGLGHVAMKMVKDFAAEVIVFSTTPVKEQEALQGIKADCFIVSKDQDQMRSAMSSLDGIINRVSATHPINAPLLSVLKPHEKLLLVGAPKKPLQLIPFSLITGRKIVGGSAIGGLKETQEMLDFAASMV >cds-PLY77823.1 pep primary_assembly:Lsat_Salinas_v7:8:13338211:13343939:-1 gene:gene-LSAT_8X10160 transcript:rna-gnl|WGS:NBSK|LSAT_8X10160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKELNHLKEEISYIKRLINYGVDFSKNIKDQDENKAIVVSEGVDTSPKQLTSFCNSLLPSESDVDDSRVSRGFSFKFTLMDDAYERYMALRIININYEERDFPAICRDLGNFMDAFGRLPENVQHRLIQDIRQVFHDRSLNRMRNISSFVAANFFLNSTMETFVIEDEAQQRRITSLAEDCGIQIGVARFYLNTYNLHMAQQPNPIDHTERLDEFALTHIFGFLDRESLLACTRVSSRWRRLSRHGRLWRRLRQRDYELLVSINPAMFLHVVNQNLAGTWMRRYILEYNGYGWGRILSRDRAWCTRCQCPVLVRELAQYSCIHGEEARRHRCIPLTTEQVVNYVINMAQPH >cds-PLY83500.1 pep primary_assembly:Lsat_Salinas_v7:8:115733344:115733715:-1 gene:gene-LSAT_8X79821 transcript:rna-gnl|WGS:NBSK|LSAT_8X79821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDCITGNKKPLLVPYPARLGLILSRDEGYVESHGIIIPIPTLSSKIINAAPSQSDLPFTMKMKKWIENPMWLNPQTLKMMMIMIMRMKMKKLVTTKGLMRKKTSIKMKMNEPLIREKTLLNE >cds-PLY77319.1 pep primary_assembly:Lsat_Salinas_v7:5:143067771:143074334:1 gene:gene-LSAT_5X63761 transcript:rna-gnl|WGS:NBSK|LSAT_5X63761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHIRQFEHLKITLEAIKSATNNFADGNYVGRGGFGKVYKGKIVHSEGQSMVAIKRLDREFGQGNREFWKEITMLSLYKHENLVHLLGFCDESDEKILVYEFVSNKGLDFHLNNNDLTWTQRLKICIEMARGLAYLHNSGTELRVIHRDIKSSNILLDENWNAKISDFGLAKLAPASNYTFVYTSVVGTPGYVDPLYKETGLLTKESDVYSLGVVLFEVLCGRLCNKGDQPLTKLARQYYKLNKVDTIVFCNIRDEMNPNSLLAFTKLAYGCSNREREERPSITEVVSTLETALRYQAIVSPSSGWMATANSSMPHASVAAGPPGLVQPPVAAAVLKDPQTPSSDPGLEYEAGPSDEAKDAAVSVKRCTWGADGSILGVSFSKHIAQIYAYNPSGELRHHLEIDAHVGSVNDIAFALPDMQPCIVTCGDDMKIKVWDFVDGRMQYVLKGHEAPVYSLCPHHEEQMPATVDGKIKAWISHSLRSKLDWDAPGHWCTTMAYSTDGTRLFSCGTRKRSETQLVEWNVINGAIKREYSGLRKKFMGVIHFDTVRNRFLAAGDGFHIKFWDMDSIQVLKHTYADARLPANSESSKVVEIKPRIVDAAWRQPLQFVIWLLSNYVFII >cds-PLY63121.1 pep primary_assembly:Lsat_Salinas_v7:8:74481363:74487459:1 gene:gene-LSAT_8X52120 transcript:rna-gnl|WGS:NBSK|LSAT_8X52120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSIRVPYKNLKHNADAEVEMMSFQEEADPRIESDNSNRFSNGNGSNYSSSSPQGLPTENCSLITLILSCTVAAGVQFGWALQLSLLTPYIQTLGIGHAFSSFIWLCGPITGLVVQPCVGIWSDRCTSKYGRRRPFILVGSLMISIAVITIGFSADIGYLIGDTKEHCSVYKGTRTRAAFVFIIGFWMLDLANNTVQGPARALLADLAGPDQRNSANAIFCSWMAIGNILGFLSGSSGNWHRWFPFLKSRACCEACGNLKAAFLVAVIFLTFCTLVTLYFAKEIPLAPKQHKKLSDSAPLLNNHHQTGSENSESKPLTNSVDHKVVKSSEVEEEDQVETFNDNPGAVLVNLLTSLRHLPVGMHSVLIVMALTWLSWFPFFLFDTDWMGREVYHGDPKGDAAKVQAYDEGVREGAFGLLLNSVVLGISSFLIEPMCKWLGSRLVWALSNFVVFASMAGTAVITLISVKQSGGNQEIVGENEAIKNASLVIFAILGLPLAITYSVPFSVTAELTADTGGGQGLAIGVLNLAIVIPQMVVSLGAGPWDALFGGGNVPAFVLASVSALAAGVFAWLKLPTLSTNSYKPTGFHFG >cds-PLY84001.1 pep primary_assembly:Lsat_Salinas_v7:8:37493334:37493847:-1 gene:gene-LSAT_8X30121 transcript:rna-gnl|WGS:NBSK|LSAT_8X30121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQIESEFVLNRFCIPSTPQGVGEIRQEDERVGFEVVTLDGCTAPLASINNSSVESIRWPTVGRYKVDVASFESLALPELQVRLASSPISQ >cds-PLY91922.1 pep primary_assembly:Lsat_Salinas_v7:8:202249506:202249817:-1 gene:gene-LSAT_8X128280 transcript:rna-gnl|WGS:NBSK|LSAT_8X128280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSFTDALCPRNMRVVVEILIGKLFYIQVGNNATVLDLKKKIGAQEKLPVDRLILLMYENLMNENESSLVDYGVEDGSHVYLFFNTQKDGLTHLSPLCNSEPV >cds-PLY62702.1 pep primary_assembly:Lsat_Salinas_v7:6:56402273:56402449:1 gene:gene-LSAT_6X41080 transcript:rna-gnl|WGS:NBSK|LSAT_6X41080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNHYDTWIPVSPSTISPKESLEEDEEAFDEEEPHEADESPEGMNDEAPVDSSLYPVS >cds-PLY62376.1 pep primary_assembly:Lsat_Salinas_v7:8:113250950:113252910:-1 gene:gene-LSAT_8X77200 transcript:rna-gnl|WGS:NBSK|LSAT_8X77200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (ATCG00360) TAIR;Acc:ATCG00360] MPRSRINRNFIDKTFSTVANILLKIIPTTLGEQEAFTYYRDALDAMFQISILVLSERLGIKRMVGTTNIHLVSQSEGNYAEALQNYYEAMQLEIDPYDRRLIHTSDGEHTKALEYYFRALERNPFLPQAFNNMAVICHYAIRQGDSEIAKAWFDQAVKYWKQAISLTPGNYIEAHNWLKITRRFELKTIPFSLF >cds-PLY98505.1 pep primary_assembly:Lsat_Salinas_v7:7:126574722:126576390:1 gene:gene-LSAT_7X75581 transcript:rna-gnl|WGS:NBSK|LSAT_7X75581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTIPLLTPYKMGKINLSHRVVLAPMTRNRSYGNTPQPHAILYYSQRATEGGLLITEATGVSDTAQGYPETPGIWTKDQVEAWKPIVNAVHEKGGIIFCQIWHVGRVSNYEFQPNGEAPLSSTDKGITPGVAGGAWSPPRKLRSDEIPALVNDFRLAARNAIDAGFDGVEIHGANGYIIEQFLKDQVNDRTDEYGGTLENRCRFALEIVEAVANEIGGDRVGIRLSTFANYMECEDSNPEALGLYMANALNKYEILYLHVIEPRMVEADTLYDTPYSTLPMKKAFKGTFISSGGYKRDDAINAVADSKTDLVAFGRLFLANPDLPKRFEIGAGLNKYDRNTFYTQDPKLGYTDYAFLEV >cds-PLY76996.1 pep primary_assembly:Lsat_Salinas_v7:6:64420953:64453851:1 gene:gene-LSAT_6X48121 transcript:rna-gnl|WGS:NBSK|LSAT_6X48121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSRVQPQLPPFCSISFWNLIIFVGVCIIMFQKSNAQTLRTDPSEVRALNSIFDQWDVRIPNNEWNISGEPCSGTALGPDFDKDNGVAQIECNCTFDSNTTCHITKLKVSKLSRKGVIPDELAALTYLTHLKIDQNNFTGTLPTFLGNFSAMVVLSLSHNQFSGTIPKEIGNLKELDLLAISSNNFSGSLPPELGNLVKMQGLYMDSCGCGGEIPPTFANLRELRHMWASDSPFSGKIPAFIGNWTKLLRLRLQGNNFEGPIPASFSNLTSLTSLRISDLQNFSSSLHFITNLRNLTNLIIRNALVSGRIPADINQLQNLITLDLSFNNLSGPLPETLMNLTSLISLFLGNNSLSGSLLPEKSVKLQNIDLSYNELSGSFPLWVIPSFGSVKPQLNLVANNFKFDNTNISIFPGLVCLQRDFPCNRNTTTPYFSFAINCGGSDMKSMNGIQFDAENATSLGPASHFIQEEKWAVSNGGIVIDRINNDPSFIQTTSIQVNNTRYPELFTTSRKSPGSLRYYGLGLQNGPYTITLFFAETVFNLTTSVWKGHPRRLFDIYIQGNRRQKDFDISKEAGGTGRALEKNYDVSVTQNHIEIHLFWAGKGTCCIPEEGDYGPIISAIRVTPGFKVKGKSNKTGMIIGIIAGVGSLCLVFLVFGLLYLKKRRSKDREEEDIFGMGPKINTYTYAELRTATADFSRSNKLGEGGFGPVYKGILNDGNIVAVKQLSVASHHGRSQFITEVSTISSVQHCNLVRLHGSCIEGARRLLVYEYLENKSLDQALFGKTDVRLDWPTRFNICLGTAKGLAYLHEESRPRIVHRDVKASNILLDADLSPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTSKADVFGFGVVCLEIVSGRPNYKEKLDPEQKYLLQWAWMLYENNQTLDLIDPSLTSFDEQEAIRMIGIALMCVQASPSLRPAMSRVISMLSGDIIISPVTTKPSYLTDWDFNDITDTFYDEEQISSETATIATNTTTSMGLESNPSPIMSEILNNGSCKR >cds-PLY70540.1 pep primary_assembly:Lsat_Salinas_v7:1:71438891:71441744:-1 gene:gene-LSAT_1X62081 transcript:rna-gnl|WGS:NBSK|LSAT_1X62081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSKDRISYFYDGDVGNVYFGPNHPMKPHRLCMTHHLVLSYDLHKKMEIYRPHKAYPVELAQFHSADYVEFLQRINPDTQQLFPEEMAKFKLGEDCPVFDDLFEFCQIYAGGTIDAARRLNNQLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGNMFFPGSGDVKEIGEKEGKFYAINVPLKDGIDDGSFTRLFKTIIAKVVETYQPGVIVLQCGADSLAGDRLGCFNLSIDGHAECVRFVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDTELPNEIPDNDYIKYFAPECSLRIPTGHIENFNSKSYLGTIKMQVLENLRSIQHAPSVQMQEVPPDFYIPDFDEDEKNPDERNDQHTQDKHIQRDDEYYEGDNDNDHNMDDV >cds-PLY90852.1 pep primary_assembly:Lsat_Salinas_v7:6:100492265:100497706:-1 gene:gene-LSAT_6X63741 transcript:rna-gnl|WGS:NBSK|LSAT_6X63741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF1620-containing and WD40-like repeat protein, Scaffold protein for assembly of the restoration of fertility comple [Source: Projected from Oryza sativa (Os05g0230600)] MAMAIKLSLLLLVISCYLIPTFSLFEDQVGFMDWHQRYIGKVKDAVFHTQKAGRKRVVVSTEENVIASLDLRRGEIFWRHVLGATDVIDKIDIALGKYVITLSSEGSILRAWNLPDGQMVWESFLSGSKSSKALLTIPANLKLDLDSPIFVYGGTCLYAISSIDGEILWKKDFINEGMDVHQLILSEGSNVIHTVGVSDLSEFNTYEIDVKNGGVLKHVRKPFPGGIYGDILSISSDKFVALDATRSIVLVISITDGEINLQQTHVSDLIHGVSLNPIILPSKLPGIFSLTTDTFIAFIKVTHEGKLNVMEKVDKTVVVSDALALSEGEQAFALVQHGDSKIHLSVRLVHDMSSNHLKETVKMDHERGFVHKIFINNYVRTDRSHGFRALIVMEDHSLLLLQQGEIVWSRDDGLASVIDVTTSELPVEKVGVSVAKVEDSLFEWLKGHMLKLKGTLMLATPDEIAIIQKIKLQSSEKSKMTRDHNGFRRLLIVLTKSRKLFALHSGDGHIVWSTLLQSLRQSDECPNPTALKLHPWQIPHHHALDKNPSVLVVGRCGLSLSSPSTLSVVDTYTGKEARNVGPGHSTVQVIPLPFSDSSEQQLHLLIDDENRAHLYPRSREAVEIFQRESQNVYWYDVETEVGILRGYGVKSKCDGDEYCFESRNLWSIVFPSESEKIISTVSRKGNEVVHTQAKVIADEDVMYKYISKNILFVATVSPKASGPIGSATPDESLLVVHLVDTITGRILHRMSHLGSQGPVHAVLSENWVVYHYFNLRAHRYEMSVIEIYDQSRAENKDVLKLIVGKHNLTTPVSSYSRPEVSTKSQSYFFTHSVKAISVTSTAKGITSKHLLIGTIADQVLALDKRFVDPRRSLNPTQAEKEEGLLPLTDTLPIIPQSYVTHAFKVEGLRGIETIPAKLESTTLVFAYGVDLFFTRLAPSRTYDSLTEDFNYALLLLTIVALVVAIFVTWVLSERKDLQEKWR >cds-PLY73901.1 pep primary_assembly:Lsat_Salinas_v7:3:38522675:38524604:1 gene:gene-LSAT_3X30561 transcript:rna-gnl|WGS:NBSK|LSAT_3X30561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGSRYCSKKSDDICGDICDEDSGKPSTMARLRCILRGLELKTIIFLFVMVPSIILGLYIHGQKVSYFLRPLWESPPKPFHEIPHYYHENVSMENLCKLHGWGTREFPRRVFDAVLFSNEVDLLTIRWHELYPYVTEFVLLESNSTFTGIPKPLVFASQQDKFKFVAPRLTYGQIPGRFQKGENPFVEEAYQRLALDFLLKKAGIQDDDLLIMSDVDEIPSRHTINLLRWCDDIPQILHLRLKNYLYSFEFLLDNNSWRASVHRYQSGKTTYAHYRQSDIMLADAGWHCSFCFRHISEFIFKMKAYSHVDRVRFNKFLNPTRVQKVICKGSDLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPAHLIENADKYRFLLPGNCIRESG >cds-PLY71637.1 pep primary_assembly:Lsat_Salinas_v7:9:134820153:134826714:1 gene:gene-LSAT_9X86960 transcript:rna-gnl|WGS:NBSK|LSAT_9X86960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIIQDLRRQVHGEECTLDMNKTFLIFKGKVFDSQSRFNVFIYDRSTFLMAPTRKSRSVNRRYSDYVEVSPSKNVANKSGRQKRKLSDMLGSPWTDEEVERFYKAYRKYGKDWKKVAAMVRTRNSEMVEALYTMNRAYLSLPEGTASVVGFIAMVSDHYNAMEGSDNDQESHDIPKPIHKPKKRSHGLLQQNGGEEQLHSRLVVGSSGRSLPQLKRRESDGCTVRKRTPRFPINHSSRRDNNNIGNYPSPYKRSQRREVDDVAHGAALALTEASQRGGSPHVSQSPYHMKSTPLKGRQKILDTVRTKRHGNLIDEELFEGSSGSGGAENEGYQKGRKFYGQKDDNDLDDGGEACSGTGEGLAVGVGGKFDENIEHSSQGKRKKNKKLLFRDETSALDALQTLADLSLMIQSSKGDDSPVLKEDKPATGTNDNNAPGRPGSTSNRRHKTKVSVEKEKVANEFPRGESSKSGKSKPGREVKVDYKALSEGKQKRKNKSTSFEVFIEEEKPTDKLLHSNSNANANVNAPLKNSKSTRLVEYSSSNSNTSRTGADSAVSTAVVPASDGVELPSKRRKKRKMDRNIISKTGEMKLNTKSETLEGANNLKEKAFHCLSSSMVRRWCTYEWFYSAIDYPWFAKREFVEYLNHVGLGHIPRLTNVEWGVIRSSLGKPRRFSENFLREERGKLWQYRESVREHYTELRSGAREGLPTDLARPLSVGQRVIALHPELREVHDGSVLTVDNDKCRVQFDRPELGVAFVKDVDCMPLNLLDNMPEALRREMSALYRFSMISGEPRLPHHHLVHTSSEHFEPGPTIALMNHRLGQNGSGAPLKAADNVVISQHQHAAASQIQARETDIHALSELTRALDKKEAILKELKLVNDSLSSHKNETGVAMNVSESFKKEYAMVLLQLKEASDQVASALANLRRRNTYPGIPMPTWQKPNPNSGPVVDPTTSNHDNNFPSSNQLAPNVIEILKSSRNEAHKLVHTALQGMSKIKEEHNNNVRSSVVAVLDCLGVGKNPSEHVSSSSSIRSSEQSNGNIYNNKRVTNETEAKIPFDLIVSCVATYHMIQSCTERQYPPGDVVQMLDSAFRNLHPQSPQNLGIFREIEMCMGRVKTQILALVPS >cds-PLY69963.1 pep primary_assembly:Lsat_Salinas_v7:5:118793236:118794441:-1 gene:gene-LSAT_0X37361 transcript:rna-gnl|WGS:NBSK|LSAT_0X37361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPEGNFVSANLTGISNIAKPGCQTKCGNLTVKYPFGIGKGCYLDDGFELTCNSTHYDPPKLFIGSSSIAIHNISDSEMRIFNTIAYACYDEAGVPDISDGWIQLKKSYRTFSQKNKFTVIGCDDFVLMNGKLNEIDYVSGCLGLCSIESVVPDGNCSGIGCCQASIPKGLRYINSSFKTFGNHTSVMSLNPCTFAFLAEEGSFDFGGVNDLKDRNFNTRTNPIVPIVVDWVVGGEGSCSQATACKGNSSCNDVDTGGYRCSCKEGYEGNPYLDQGCQDINECEDKTNFPCYGFCTNTPGSYNCTCLHGYEGTDGKSADGCRHVAKDSKFSEVVISLGIYTFT >cds-PLY75985.1 pep primary_assembly:Lsat_Salinas_v7:1:42003039:42008809:-1 gene:gene-LSAT_1X35740 transcript:rna-gnl|WGS:NBSK|LSAT_1X35740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSRRDACPIIKSVLLLDSEGRRVAVKYYTDEWETNSEKLAFERSIFSKTQKTNARTEPEILMFENNVVVYKFIQDLQFYVTSGDDENELALATVLQGFSEAVILLLRGNIDQREAFENLDMMFLCLDEIVDGGMILETDGNTIARKVVTNIMDDETPLSEQTITQALVAAREHFARSLLS >cds-PLY75185.1 pep primary_assembly:Lsat_Salinas_v7:2:203628455:203631646:-1 gene:gene-LSAT_2X124461 transcript:rna-gnl|WGS:NBSK|LSAT_2X124461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISVQNLLKERRYPFVVSLLLLLLFVAFFVLTTNTSQPPHLYHLPQSSTHPSISQNIPSKARTPPLREIDGKISNSSVDPDSDDSDSGEDLVIDWKACPGPLAVDYIPCLDNWKAIKSLKSRRHMEHRERHCPKPNPRCLIPLPHGYKLPVPWPKSRDMIWFDNVPHLKLVEYKKEQNWVKTSGEYLLFPGGGTQFKEGVTHYIQYIEKNLPKIGWGKRTRVILDVGCGVASFGGYLLDKDVITMSFAPKDEHEAQIQFALERGIPATLSVIGTQRLTFPDNAFDLIHCARCRVHWDGDGGKPLLELNRILRPGGVFIWSATPVYRDDERDKKVWESMVALTESICWKVVAKSFDSSGIGLVIYEKPVSSSCYESRKVNNPPVCDEDAHAKTSWYTPLDGCISRIPTTTTWPTPWPQRLKTKPDGYGLQGFDEDTRKWSEIVTNVYLENLGVNWSNVRNVMDMNAGYGGFAAALTDVPLWVMNVVPVNMPDTLPVIFDRGLIGIYHDWCESLNTYPRSYDLLHSSFLFGNLTQRCEMLDSAVEMDRILRPGGVVIVEDSKEMLKKLRQILRSLHWSTSLHQQRFLVARKGFWRPN >cds-PLY90858.1 pep primary_assembly:Lsat_Salinas_v7:9:161939963:161940514:-1 gene:gene-LSAT_9X101320 transcript:rna-gnl|WGS:NBSK|LSAT_9X101320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATAIATIAFIFLASALTVVITLCYKDSTHGGGSHHRKRHHKGTVGLCRGQTNRDIEIGDGMDPNRGKNLAAAAVVSTVLAPDHGGGGG >cds-PLY86692.1 pep primary_assembly:Lsat_Salinas_v7:3:155758350:155758778:1 gene:gene-LSAT_3X98460 transcript:rna-gnl|WGS:NBSK|LSAT_3X98460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGWSKVCRRRQTNTGKRWDHRGVTTMFVSDIPDGVSKEKIRRLFEKFGEITDIYMATKKDVKRKNFAFVRFKKGKGEQEMELLMQGIKCNESVLTVNIARYERKRIPVHNVADTNKIRYAQQPLGHSLRDGRSFMEVAA >cds-PLY85244.1 pep primary_assembly:Lsat_Salinas_v7:1:165036635:165040040:1 gene:gene-LSAT_1X111281 transcript:rna-gnl|WGS:NBSK|LSAT_1X111281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRQKEGKNKGETRKEEGNREEKRGRRGIRFGLFRADPRSHSSVAISNKSLTGDQGNIIVETSVVRARSHKVLELIHIRLKNGCKRFGRWQAKYC >cds-PLY72590.1 pep primary_assembly:Lsat_Salinas_v7:3:198458163:198462580:1 gene:gene-LSAT_3X118260 transcript:rna-gnl|WGS:NBSK|LSAT_3X118260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVLSAFLTAVFEKLASEALKKIARSKGIDSELKKLKRSLIQIRSVLNDASQKEISDEAVKEWMNGLQHLAYDIDDLLDNLATETMHRELTHESGASTSLVRKIIPTCCTNFSLSSRMGSKLDNITIKLQELVEEKDNLGLSVKGEIPKHTNRRLQTSLIDASNIVGREGDKESLLHKLLRDEPSDKNYSIVPIVGMGGVGKTTLARLLYDEIQVKDQFEVKAWVCVSDEFDIFGISKTIFDSIGGGTHGIKDLNLLQVAIKEKISKKRFLLVLDDVWSESYADREILERPFLAGAPGSKIIMTTRKLSLLTKLGYNQPYSLSVLSRDNALSLFCQHALGKTNFDSHPTLKPHGEGIIKKCDGLPLALIALGRLLRTKTDEEEWKELLNNKIWESGKGDEIVPALKLSYNDLSASLKQLFAYCSLFPKDYVFDKEELILLWMAEGFLHQSTASKSMERLGHEGFEELFSRSFFQHAPDDKSLFVMHDIMNDLATSVAGDFFSRLDIEMKREFRKEALEKHRHFSFVCERYMVYKRFEAFKGAGSLRTFLAVYAGKKESWRTFYLSNKVLDDLLLELPLLRVLSLCQLRISEVPESIGSLKHLRYLNLSRTEITHLPDNVCNLYNLQTLIVFGCYRLKMLPESLAKLKYLRHFDMRNTPSVKKVPLGILELKSLQTLYGVAFGGDNGFSIIDIKDLKALQGKITIKGLEKVQGSMHAREANLSEKKISELELEWSDAFDGSRKEMLEKEVLTELKPHNDTLKELKIVSYGGIEFPSWVGDPSFGQLTRVWISDCKKCTYLPPLGQLPLLKELFIKGMDEVKGVGLEFLGTTGLAFPKLEHLSFRDMKGWEVWSTNNNGVIVDTTFPCLQKLWLDDCPNLVRVSLEALPSLRSLRIGGCGHEVLGSLVRVASSVTMLNISCISGLNDQVWGDVIEYLGAVEEVSIEECNEIRYLWESEAEASKVLVNLRKLDVGNCSNLVSLGEKEEDNCGSNLTSLTTLTLWSCKSLEHCSCPNSLKSLFIQNCDNLLEKELLGGREKPLINSDILMLESVYITNWPNLKSITDLSSFNHLRELVITDCPNMESFPDHELPELNVLTHLTILNCQKYGFFLFSWALASKAVLS >cds-PLY91898.1 pep primary_assembly:Lsat_Salinas_v7:8:200374179:200380041:-1 gene:gene-LSAT_8X127960 transcript:rna-gnl|WGS:NBSK|LSAT_8X127960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGEELLNWEKMDNGREEKILVLVRLRPLNDKEISRNDVSDWECINDTTILFRNSLQERSMFPTAYSFDRVFSGDATTRQVYDEGAKGIALSVVSGINSSIFAYGQTSSGKTYTMMGITEYTVADIYDYMQKHEERAFVLKFSAIEIYNEAIRDLLSTENIPLRVLDDPEKGTIIERLTEETLRDWNHLKQLLSICEAQRKVGETSLNETSSRSHQILRLTIESSAREFIGKDKSTKLTASVNFVDLAGSERAAQALSVGQRLKEGCHINRSLLTLSTVIRKLSKGKHGHVNYRDSKLTRILQPCLGGNARTAIICTLSPARENVEQSKSTLLFASCAKEVTTNAQVNVVMSDKALVKHLQKELARLENELRTPAPPDYTSLLRKKDQQIEKLEKEVRDLIKQRDLAQSRIEELLLAVRNDQTSTQWNGNTYENEYSASESSSVKLSRSIPPEGNRNSPSPSNDVCKEVRCVETDESTNNQLPSESQSPPVSNEETESESVSGPTARPQFRNRINIGALEQNFQDLQNTINSLVTPPEEPYEEQEVQSQISNSTSFRLMKSRSCRANLMTSSSPPEFENTPPNDFEKGFPGRPERKLWELPPPEYGGAASGGLLRSDSQSSLGSTLLDEAIARKGKTSGEEDIPSVDTFVAGLKKMAKLQYDQVNNDGGDGLGKNMKSIGLDSITGSPPADWPQQFARQQKSILELWQSCNVSLIHRTYFFLLFRGDPMDSIYMEVEVRRLSFLKETFSKGNPAIQDGHTLTSASSIKALRRERSMLSRLMNKRFSEEEKRKLYEKWGVNPNSKRRRLQLIHTLWSNTEDPNHVQDSASIVAKLIRFSEQGQALKEMFGLSFSPPKMVRRSLGWKHSMASLL >cds-PLY93331.1 pep primary_assembly:Lsat_Salinas_v7:9:63526369:63535924:-1 gene:gene-LSAT_9X54340 transcript:rna-gnl|WGS:NBSK|LSAT_9X54340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLHNHYLNSRFPDEIPENLIEFFQDDDGLSYEEALLQQESMYQSFQERDKNKKMAAIDDDIIYLCHLLQEEEEEGDEEEEEGECSRHEGVMTQEAIDEALARSLQELGEGFDDIIISEHSGTASGSTQSPIATPSRAHAERLNSVQDSIDPDSMQYEELLHLAEAIGVENRGISATRISQLPTSKYGYKLFSKNKKKEENCVICQSEFNFGERLIKLPCLHQYHTKCISEWLKMKKFLICSALPICKRSMAHPDVTFIADLDVLKDDSTIKVRVINLWNLFSFYNNDELFSIELILIDEQEHKLSFLNDTVVTECHDFSGTTFGFEFVDYQSIISLAHPENTAIDVIGLIIAFGEMVRDNAYMKKHRLNLQIQDANVNLWGDFAYKMHGFLDNNPHNLRIIVIIQFAKLSIWRDRPTVNTYFTVSKLFINIDIDEINDFKKSLDGDDRSDSSMNTITLMKSNKVSEHDDFMVKFRLKTIADVPEPVEKNTFIIMGIIKGILQNEPWHYLACTNCNYRAFRPPGADDQHDGNGLIGATNGYECHNKDCKKTETSVIPRFMIPIRVQDNTGTVTLTMFERDGKYLLKKSANELFKKAVQLGFGTAFYLGEINALKGLKLAFKISIKNFNISKKNNQYSICRVSDDEKLIEELENKFTASQVGNSQSFDIGESDFETQNNRTLKDQISGTDDNITPSTVDKNSATSPMKSFNTQTVLKRNLEEVFDLELNEKLSSSKTSKTRL >cds-PLY79979.1 pep primary_assembly:Lsat_Salinas_v7:9:45469511:45471871:1 gene:gene-LSAT_9X41920 transcript:rna-gnl|WGS:NBSK|LSAT_9X41920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEVKVSESKDLTRIERIGAHSHIRGLGLDSALEPRAVSEGMVGQTTARKAAGVIVQMVKEGKIAGRAVLLAGQPGTGKTAIAMGMAKSIGLETPFAMLAGSELFSLEMSKTEALMQAFRKAIGVRIKEETEVIEGEVVEIQIDRPAVAGAASKTGKLTLKTTEMETVYDLGAKMIEALGKDKVQSGDVIAIDKASGKITKLGRSFSRSRDYDAMGPQTKFVQCPDGETQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVVATNRGITTIRGTNYKSPHGIPIDFLDRLLIISTQPYTEEDIRKILDIRCGEEDVDVAEDAKVLLTKIGVETSLRYAINLITSAALACQKRKGKVVEMEDVSRVYELFWDVKRSTQYLMEYQSQYMFSDAPEEDEVNMMV >cds-PLY91897.1 pep primary_assembly:Lsat_Salinas_v7:8:196322344:196322643:-1 gene:gene-LSAT_8X126340 transcript:rna-gnl|WGS:NBSK|LSAT_8X126340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLMRLSSSLLFNVKSFTKLISIRNSNYQRDVPKGHLAVYVGENQKRRFVVPVSYLEQPLFQELLRQSEEEFGFDHPMGGLTISCEEDQFFELTSLLHA >cds-PLY81612.1 pep primary_assembly:Lsat_Salinas_v7:1:50599078:50602093:-1 gene:gene-LSAT_1X43500 transcript:rna-gnl|WGS:NBSK|LSAT_1X43500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSSASASFICSISCALCRHGNVSYKKLTTTKPLPQTLKSTSSLLCCSYSLMAKTDDRIAIENFFNGGRFEGRQSTVLRSSPVVSSKKDQGEDPPVLIFVGLRRRSMIVIRNREAPPVLHRF >cds-PLY76607.1 pep primary_assembly:Lsat_Salinas_v7:5:222536161:222542749:-1 gene:gene-LSAT_5X103781 transcript:rna-gnl|WGS:NBSK|LSAT_5X103781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSHSWRDAYSGMSADNIKGLILALSSSLFIGSSFIIKKKGLNKTGASGIRAGSGGYSYLYEPLWWVGMITKVANFVASAFALAILVTPLGVLSIIIQVLAHIFGVLGCALCVVGSITIALHAPQERAIESVIEVWDPATEPCSLSRLPHEAKASIGVVGRRFSRSLLSPQSRHLLSQVEATDDSNRTVLSLKRFYLFREGLWFYPLYIFSFILSTIWYNDIAQFGLTVTETPGSTNATPSNNNKKEASTSENTTHRHTHVDKPTDLGRVMIGIAEQGYSLLLLTFFFLEVYLTGLVPYIGKALNFILLSWMYAYYCFEYKWNFSGLSLDKRLDFFESNWAFFAGFGSPCVLAIFFFSPLVSYGVMAVLFPMFVLTAAGSDAESVVNSQKTKWRGQELGKLPIFYVADKLLMRILSLLPVESHGQTSDKKAL >cds-PLY77007.1 pep primary_assembly:Lsat_Salinas_v7:6:62548086:62548696:-1 gene:gene-LSAT_6X46940 transcript:rna-gnl|WGS:NBSK|LSAT_6X46940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRDGKGSVSRFDEAKDEERRRQRGVGRRSRWMQQCDQGLKMGHLWCLARSKRRRKENGSSGMWGLPLVSGDKASTLGCLVIEKGINDSTTGKGSFFFLLEEDEERRCLAYLFDGKEKDRDVCGGDSPRMVVAVLLPPLFIQ >cds-PLY83438.1 pep primary_assembly:Lsat_Salinas_v7:5:330671298:330672970:-1 gene:gene-LSAT_5X187101 transcript:rna-gnl|WGS:NBSK|LSAT_5X187101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMDVCEVKKRRVVVVFVAASSELHFNPNLMELRVRLYLMIICYLGIDIEIGEFIQVLFDESACSNKGNWKVNDMLSVAMEEVTVEHCKKNEVEDDPSIIVHQN >cds-PLY97836.1 pep primary_assembly:Lsat_Salinas_v7:5:196608276:196611654:-1 gene:gene-LSAT_5X88220 transcript:rna-gnl|WGS:NBSK|LSAT_5X88220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRAFVKSFSPIRSAFLHHGEKISTGVAKRSYINNGNFTTSSYNICKCGLPSSYMFSRTISSDAAEVNYKGSKIIIQKLNLVILLLLKVENDNVVFITEVKRSGPLVEYERRIKAGDLEDGDKSQIGTLREIQRLYDELVESVDTCHLDRDSDSGKKERSRWLWSRLMPQSLISPVKGLYLYGGVGTGKTMLMDLFYDQLPSNWRKNRIHFHDFMLTVHSRLQRHKGVADPLEVVAGEISHESILLCLDEFMVNDVADALILNRLFGHLFSNGVILVATSNRAPDNLYERGLQRDLFLPFIATLKAEEGFYFIKNDDSSDFLLQKFQDLVGEHTARPQVAEVVMGRKLQVPLGANGCAYFPFEELCNKPLGAADYFGLCKKFHTLALDGVPIFGLHNRTAAHRFVTLVDVMYENKARLMCTAEGTPFDLFERIVTISDAQSRAPRTSSRSRKNDDSDLCVDNELGFVKDRTISRLTEMNSSEYLEQHAEMISEKQSDEDNNNNTNNNNNHVHVHAHAHVVQSR >cds-PLY81110.1 pep primary_assembly:Lsat_Salinas_v7:9:66871703:66872383:1 gene:gene-LSAT_9X58181 transcript:rna-gnl|WGS:NBSK|LSAT_9X58181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMSNLRRLLSTPPPDLSRPAAIFSTVRSELCNSANRFFSSSPEKLQSHQDLPNNQHEAVNEQDQIQKLQDHGEENDEDDGDEPDMNKETGEIGGPRGPEPTRYGDWERNGRCSDF >cds-PLY70102.1 pep primary_assembly:Lsat_Salinas_v7:3:11760472:11764710:1 gene:gene-LSAT_3X8781 transcript:rna-gnl|WGS:NBSK|LSAT_3X8781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYEMLRCYWISFLLSLSCSLGVLHGSIGDADPSYRSCLIDCEEIGCVGDTCLPHCNISSNGTPLDGPWYMQEPQWDCQSDCRYHCMLKKEQERASSGQKPVKYHGKWPFKRVFGIQEPASVAFSALNLAMHFHGWLSFFILLHYKLPMKPDKKPYYDYAGLWHLYGLLALNSWFWSAVFHSRDVELTEKLDYYSAIALLGYSLIVSILRSFNVRLEAARVMVSAPLIAFVTTHILYLNNYKLDYGWNMKVCVSMGVAQLLIWGIWGGISHHPSRVKLWFVIVLGALAMLLEIYDFPPYQGFIDAHAVWHATTIPLTYMWWSFIKDDAQLRTSFLLNKSTQDSMGSASKEAAAVKKVEEQVGVMQVHLQSHGTDIQEMKLRMYSLLQGQDQMNQRQDEL >cds-PLY96072.1 pep primary_assembly:Lsat_Salinas_v7:3:102642314:102643479:-1 gene:gene-LSAT_3X75820 transcript:rna-gnl|WGS:NBSK|LSAT_3X75820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQYGGDQKYGREGRHTDEYGNPIRKTDEFGNPIHSTTEGTMGDYGITGHQGLGHTTGGTGTDYKTSGGYGTTGHQGLGTNIGHATGGLGSDYGTTGHQGLGMGTDYKTSGTGGHGTTGHHGIGTDVGHRTGGTGDHYGTGGATESYQNQPSATPFAGGGIGTGTGAGYEGLGVAGHKQPSATPFADTVTGTGTGTGYDRHREEGHEKKGVMEKIKEKLPGVHSTDEHQRVSTTTTGGVGGGGYGEGGETHEKKGVMEKIKEKLPGHH >cds-PLY81169.1 pep primary_assembly:Lsat_Salinas_v7:9:20709811:20712282:1 gene:gene-LSAT_9X19740 transcript:rna-gnl|WGS:NBSK|LSAT_9X19740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCTGDVVVDHAEGQKQDRVVSNISILLELAAADDLDGFRSVVEQDGFDVNDSGLWYGRSIGSKKMRLEERTPLMIASMFGSKQVLKFILGLNRVDVNKACGSDRATALHMAVAGGSKSSVEVVKLLINASADISCLDVHGNLPVDLIPLVFGSSFSSKKKFLELLLNGEELTDDDHIEEHKFSAILISSKESPEKKEYPIDLSLPDMKNGIYNTDEFRMYTFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGSCRQGDACEYAHGIFECWLHPAQYRTRLCKDEIGCNRKVCFFAHKPEELRPLHPSTGSAVLSPRSLSFSSDISSISPFSLSSPSIMIPPSSNSPVSTPIWSNQSSPTMKGVSSRFSRATSSRDLDLESELIRADIYRQQQLIDNFSNPSFGSDYFEEIEARINPLHQNMNYSSGLPSSPLRMSSQIMNSRSAAFAKRSQSFIDRGTANRQLGVPTMAASKLSDWGSPDGKLNWGIQKDELNKLRKSASFGVRGSGNNVTSEEDQYFSPWVEDQLVA >cds-PLY76112.1 pep primary_assembly:Lsat_Salinas_v7:9:31737156:31746456:1 gene:gene-LSAT_9X27501 transcript:rna-gnl|WGS:NBSK|LSAT_9X27501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISLQKLTCSWSLIATVASLVTLVSIVHMFLFPSVPSFDYFGYKQVKDSCIPINETINVENKHILPLNATFPADLHKAVVYRGAPWKAEIGQWLSACSSVATSIKVLEPISGKKCEDGCSGQGICNHELGQCRCFHGFSGEKCSERLELSCNYPATEELPYGRWVVSICSTHCDTTRAMCFCGEGTKYPNRPVAESCGFQMILPSEPGGPKDVDWSKSDHDNIFTTNGSLPGWCNVDPVEAYDLKVKFKEECDCKYDGLFGRFCETPVLSTCINQCSGQGHCRGGFCQCENGWYGVDCSIPSVHSSIQDWPQWLRPSQVTVPDNEQVTKSIVGLKAVVEKKRPLIYVYDLPPDFNSILLEGRHFKLECVNRIYDQDNATIWTEQLYGSQIAMYESMLASPHRTLNGEEADYYFVPVLDSCIITRADDAPHLSMEEHRGLRSSFTLDFYKKAHDHIVEQYPYWNRSSGKDHIWSFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNHSTTAYWADNWDQVPSDKRGNHSCFDPEKDLVIPAWKRPDVTSLTLKLWDRPREERKTLFYFNGNLGPAYERGRPEATYSMGIRQKLAEEFGSAPNKNGQLGKQHAEDVIVIAHPSESYHEDLASSVFCGVMPGDGWSGRMEDSILQGCIPVVIQDGIFLPWENVLNYESFAVRLGEDEIPNLVNILRGFNETEIEFRLANVKKIWQRYLYRGSILLEAERQKSSFGHINDWAEKLSELSDDDDVFTTFIQSKSHSPLYLKTNSENPKLNKSTPPATLLLGCPSSSSSYSGTFQSIARGVEKATSILVLVFSVEIGSLSRKELWWKLWDSTSLNILTTQPHMISFHQQSRESKGFCNRPIKTLP >cds-PLY92318.1 pep primary_assembly:Lsat_Salinas_v7:9:180038846:180043249:-1 gene:gene-LSAT_9X110760 transcript:rna-gnl|WGS:NBSK|LSAT_9X110760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQKTWSWRKRSVHKSVDSEAGISIKGNEEERIQYDKEAALERTVKNLHEKIASLLCECNSKDALISEHAKTAQEAITDRDKVVEELALRNQELESIIKQKLEANERLVHLNSALKDYRQQLTSLKEEQDQKMVNESMEELKRANKRLEDKVNESNKDLADLTVQNARLSNTLMIKDELIEDISHQMSQATIEFNDLITRLDSIEKENGILKYEYRVLERELEVQTRCADVASGQQRESMKRAAKLESECQKLRLLVKKQITGSGLEGLDKRMGFLINRLYEVEEENKILKEIIRKKDDEISILQSQSNRMGQSQRSMIGDTDMSLMDDFVEMEKLAIVTSDTSNLDSVGKEIVSCDASNLVHVASGDWLTSIVNMITEQTRVSERSFDQVLNEIRKSLQCDDSVSGYITWKSPEPERGIPEVETELEKVKESKAIIEEQLENQKLVNEDLDHQISVARYEINEANQKISSLKVELEDRCHCCEELEATCLELQLQLASLSDNDTENVEVKQEAKPLQTGLEITAASAKLAECQETIFSIGRQLKALAPPPPPVAAELTGGQKSRRHSCLRDHMEAAEGGGEEDPVSSPKTKEMVSVTERKAGPVVRVGSCKTRVVPGALAIVPSKKRGKGTEILRKLLFRKKKVLTIGV >cds-PLY97114.1 pep primary_assembly:Lsat_Salinas_v7:4:75191260:75193887:-1 gene:gene-LSAT_4X50641 transcript:rna-gnl|WGS:NBSK|LSAT_4X50641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDWLKNIISSNKARKQKNNKTKDNSLPETNGFILKSRSKEEQKNIINGVAKGRRVSVNLPDEDVAAIRIQTAFRGFKARKYFCNLKRLVKLQMLMEGDFGKKQTSNTLRNLQTWSKIQAQIRTRRLAMVEDSGIKQKKLENQLRLDAKMEWSGSSRTMDEVLARMKQREEASVKRERAMAYAFSHQWRANSNSNLGINESDVAASNWGWSWMERWIAARPWETRALVGSTPKKVNSPPTKKSPSMKKSNSPNGKKASRNRRLSYGSTAKVGDAKTKLERQTTK >cds-PLY79907.1 pep primary_assembly:Lsat_Salinas_v7:8:15141268:15144109:-1 gene:gene-LSAT_8X11321 transcript:rna-gnl|WGS:NBSK|LSAT_8X11321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10670) UniProtKB/Swiss-Prot;Acc:Q9CAF5] MALLHRFGCCSPPSPPPLLSFQKPTSGSVLFTVSALPLRRRFPRRFSSFRLTATLAAESPAGSVSDGGGGPPKLLMEVKDLTAVIAESGKKILNGVNLSIYEGEIHAIMGKNGSGKSTFAKVLVGHRDYEVTGGSVIFKGENLLEMEAEDRSLAGLFLSFQSPVEIPGVSNSDFLQMAYNARRRKLNLPELDPLQFYGYIMPKLEMVNIKADFLNRNVNEGFSGGEKKRNEILQLAVLGAELAILDEIDSGLDVDALRDVAKAVNALMTPTNSVLMITHYRRLLEFIKPTYIHIMDDGRITKTGDISIANILEKDGYKAMSTTK >cds-PLY76919.1 pep primary_assembly:Lsat_Salinas_v7:2:199908728:199910549:-1 gene:gene-LSAT_2X122181 transcript:rna-gnl|WGS:NBSK|LSAT_2X122181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQMGFLKETHLFTNKLNARVLQSGKLVMWRLKGDGGNMIPSFLQHMPMAYPDCSTAMPSVGYAFLSSKGHHIFKNMLQLMDNIYDIQPLCWGIHRA >cds-PLY65571.1 pep primary_assembly:Lsat_Salinas_v7:1:148209367:148219067:1 gene:gene-LSAT_1X103761 transcript:rna-gnl|WGS:NBSK|LSAT_1X103761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSGMLVYSERSIEDNGPKFLMNLVRRYDDNQLHKLIDPHMRDQISSRSLDMFKEIAYQCISFNLKERPGMDTVIARIEEALAIQSLEYAEEVKGEAQESFNDVIEKTDEVREEPTHEFHILDTHEDLELDSTTSTESNTKMESTTIEGEAIAMGLQTIKKDDLEEISQLESGSTYRVVYHGMWKGTDFAIKRIKGSCFARKSSETERMIKDFWKEALVLSSLHHPNVVTFYGIVRDEPDDSLTTITEYMVDGSLKQFLKKKDRTIDQRERLIIAMDTASGMDYLHGKNVVHFDLRCENLLVNMRDPHRPICKIGDLRLSKIKRHNLVNGDNRGTVQWMAPELLNNESHLVTEKVDVYSFGFVMWELLTGDEPYNNMHHKSIIEGIIKNTLRPAIPTWCDAEWKSLMESCWSSDPQERLPFSEIAQKLRTMVVAMNVK >cds-PLY67699.1 pep primary_assembly:Lsat_Salinas_v7:4:1975165:1977528:-1 gene:gene-LSAT_4X2021 transcript:rna-gnl|WGS:NBSK|LSAT_4X2021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELLNVHHPLVFIFGLLGNIISTGVYFAPMPTIIEICKRKSTMGFQSLPYVVSLFSALLWLYYAFIKGGGTFLLISINTLGTFIESVYIIIFLVYATPDTKKQTFKGLAATMVLCLVISLVTLLSFHGSTRVLVVGWICVGISICVFAAPLTIVFQVVRTKSVEFMPLSLSCFLTLSAMMWFAYGLSLKDICVTVPNILGFLLGVVQMGLYAYYRNASKETSIAPEKKPKEHIININLSMLSNSEVHPVDSGRSSEADEKDQKKRPDDDQKEEEACGIEVVKLDTGVIVVCASLIN >cds-PLY86798.1 pep primary_assembly:Lsat_Salinas_v7:5:14296339:14297754:1 gene:gene-LSAT_5X6480 transcript:rna-gnl|WGS:NBSK|LSAT_5X6480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDASEPIHPFIPADLILQDYVPIILSQSTILSVYGCASLLVVSFVWILAGRFPRITKLDKVLMCWWVFTGLTHMILEGYFVFTPEFFKQTSPTYLAEVWKEYSKGDSRYVGRDSAVVAIEGITAVLEGPACILAAYAIATRKSYSHILQIAIALGQLYGTAVYFVTSYLEGDNFAASPIYYYSYYIFANSFWVWIPSLIVIRSWKKICAAVDNTQEHKKTKRR >cds-PLY93831.1 pep primary_assembly:Lsat_Salinas_v7:6:145968787:145975738:1 gene:gene-LSAT_6X89421 transcript:rna-gnl|WGS:NBSK|LSAT_6X89421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSQPNNLYDTASQPDTGHDDAYRFLEFNTQGEEDFDYPEFQELSQPNAIRSSPSSSVWPTPSDSISVDATAAVDHHSDNNASPVSETSAKGGGDRGNNNHNNHLNNHNNDNNNQASAVDALAAGMSGLNFEDTGDDEGYEYAKGDFMEHACRYCGVQNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSETEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQPVVLKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNLTIRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQSVGHVIKLTAQEEVALELRVSQGVPCDVNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEVQMVRNALPRRFGAPGLPELNASQVFAVKSVLQRPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQSTEPECLIPLVLGAKQVILVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGVKPIRLQVQYRMHPCLSEFPSNNFYEGTLQNGVTINERQSTGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLKSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKDIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKVYNERRFFFGGGPIVPTDSFGSVASTNSNADRRNGRSRGSYMPPGAPNGAHKSGLHYRVPPPYGGPQPYAIPTRGAIHGPVGGVSHVPPPGNRGFTGGGGGGGHLPHQQQGLGSGSGSQQAIGSAFNFPSMENPNSQPSPGGPLSQPGYVSNMTQGQSQTYRDGFSVGGMSQDFLGDDFKSQGSHVAYNVADFSTQASQGGYSVEYVTPATQGGFPGNFLNQNSQAGFSRFGSGNDFMSQEYMFTQVGFKDPSQDDSSQSHFTSAATTTSLQTQGVMNMNHVYSSQGGFTHYNSQPLNMPPQQGQGHYNS >cds-PLY75205.1 pep primary_assembly:Lsat_Salinas_v7:2:203868421:203870269:-1 gene:gene-LSAT_2X125601 transcript:rna-gnl|WGS:NBSK|LSAT_2X125601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVNGQLPGPTLEVNNGDSLVINVVNRAKDNVTIHWHGVRQITTAWADGPEFITQCPIRPGGSYTYRFTISGQEGTLWWHAHSSWVRATVYGALVIRPKQGDSYPFQKPKRESVVVLGEWWNANPLDVIREAMRTGGGPNISDAFTINGQPGDLYNCSSKDTVIVPVDSGETNLMRVINAGLNQQLFFTIANHKFIVVGADASYVKPFTTSVLMLGPGQTTDVLIKADQPPARYYIAGRAYASAQGVPFDNTTTTAILEYKTAPCPAKGLSSKPVMPSLPAYNDTATATSFSTSFRSPKKVEVPTDIDVNMFITAGLGILQCPPTAPASTCQAPNRTRFTASMNNVSFVLPSNFTILQAHHLGVPGVFTTDFPANPPVTFDYTGNVPQSLWQPIRGTKVYKIKYGATVQIVLQGTNISTAENHPIHLHGYDFYIIAEGFGNFNPATDTSKFNLVDPPLRNTASLPVSGWAVIRFVADNPGELRTDINQVN >cds-PLY73297.1 pep primary_assembly:Lsat_Salinas_v7:MU043501.1:831662:832163:-1 gene:gene-LSAT_0X19080 transcript:rna-gnl|WGS:NBSK|LSAT_0X19080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIDVVMQSPQDLEKLYSEVHLLRSLKHNNIIKYFDSWVDHMKKNRNKNKTVDLKAIKKWTRQILNGLHYLHIQNPPVINTDFKRDNIFVNGNNGEITIGDLGLATVM >cds-PLY74962.1 pep primary_assembly:Lsat_Salinas_v7:3:155391367:155391815:1 gene:gene-LSAT_3X98701 transcript:rna-gnl|WGS:NBSK|LSAT_3X98701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLESQKSESGVYDFDQDTVFMSTEKSKKKRIQQSPDRSDSRAFPIKIIELKVSYVGEKIALVSLKCRKRRDTIVKICEVFQSLKLNVVTANITAFPKTLFNTLFIQVCTRKHKLRWCVLD >cds-PLY64184.1 pep primary_assembly:Lsat_Salinas_v7:7:4272100:4272522:1 gene:gene-LSAT_7X3581 transcript:rna-gnl|WGS:NBSK|LSAT_7X3581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMGFLLLVSLGLLSLASIGYLIVAAIATRCNPAIGFVAIPAVGIRSLASIGYVAPAAIDVLSVLSIGCLAVAAIDVRSLVSIGVWLLLSLMFSLLCPLVVWLLLPLLFSMLRPLVLWLMLPLVFGRLRQFLFFPFCHTP >cds-PLY80727.1 pep primary_assembly:Lsat_Salinas_v7:3:84818385:84818993:-1 gene:gene-LSAT_3X63921 transcript:rna-gnl|WGS:NBSK|LSAT_3X63921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTEMVVHNGGCHCRRVRWRAQSPPTVVAWNCNCSDCSMRRNTHFVVPFERFELLGDSGKFLTTYTFGSRSAKHTFCKVCGITSFYTPRSNKGIAITYRCVDPGTLSHVEVKHFDGVNWEKSQNSDGGQG >cds-PLY96553.1 pep primary_assembly:Lsat_Salinas_v7:1:198393215:198394101:1 gene:gene-LSAT_1X125981 transcript:rna-gnl|WGS:NBSK|LSAT_1X125981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPNSKQTISDSAKINSNMEAHYRGVRKRPWGRYAAEIRDPHKKTRVWLGTFDTAEKAAKAYDAAAREFRGPKAKTNFPMYDYNGLSQYSPSQSSTVESSSRDPEPVVAFDLNLSYGVAATSAVEKQNGFFTPPASQVQMLYFNESLSAVRFRQHVVAHCEDSDSSSVVDGNPSSSPRLIGIDLNFPPPEE >cds-PLY84659.1 pep primary_assembly:Lsat_Salinas_v7:5:77266471:77272093:1 gene:gene-LSAT_5X35380 transcript:rna-gnl|WGS:NBSK|LSAT_5X35380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHTGGSNGEVPVAVDDNAATITVANSRFNIAQCSSSSCNQQGTNKWATLLLAYKSLGVVFGGLVTSPLYVYPSMALKSPTKDDYLGIYSIMFWTLTLIGVVKYACIALKADDQGEGGTFALYSLLCRHMNIGSITSTTSVNSQSSLSYNNLHQGFEKPSRLGMFIERSLIARRVLFFIAILGMCMLIGDGILTPAISVLSAMDGIRGPFPEFSNSLVEALSALVLVVLFLVQKFGTGRVSFLFSPIMGAWTLTTPVIGIYNIIYYYPSIFKAISPHYIYQFFSRNGHEGWLLLNGMVLCITGSEALFADLGHFNRPSIQIAFLSLIYPSLVLTYAGQTAYLIKNPNDHEDGFYKFIPKNLYWPMFVIASLAAIVASQSLISATFSVIKQSVVLDYFPRIKIVHTSSSNEGQVYSPETNYTLMVLCVAVILIFGDGKEIGNAFGVVIILVMLITTLLLILVMIIIWKTPPLLVTLFTLVFLTLETIYTTSVFTKIKQGGWIPFAISLILAFIMFGWFYGRQRKLQYELTHKLDINHLKTLISDPSLQRVPGLCFFYTNIQDRGEGFTPVFGHFLKNMKSIHQVIVLTTLRYLLVPKVGPDKRYVVKPLGPKGVYGCLIQYGYADSVSLQGDFVSQVVCLIESFEGREMGDFREAVVHVRGKTRFYVSKKCTRFDRFMLGFYEFMHSNCRSGLPTLGVPLQQRIEVGMLYEA >cds-PLY85587.1 pep primary_assembly:Lsat_Salinas_v7:2:120670663:120671449:-1 gene:gene-LSAT_2X54800 transcript:rna-gnl|WGS:NBSK|LSAT_2X54800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNGRGGGRNLDLKLNLSPPIAHRRTEESPSRSATISPTTSCVSSENIQDETELRYSSSPEATSMMLVGCPRCLMYVMLAEDYPKCPKCKSTVLLDVVHDSSTKKTRKS >cds-PLY80159.1 pep primary_assembly:Lsat_Salinas_v7:3:40539065:40539905:1 gene:gene-LSAT_3X30741 transcript:rna-gnl|WGS:NBSK|LSAT_3X30741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVAKAFVEHYYSTFDTNRAGLANLYQESSMLTFEGQKIQGSPNIVAKLTSLPFQQCKHSITTVDCQPSGPAGGMLVFVSGNLQLSGEQHALKFSQMFHLMPTPQSSFYVLNDIFRLNYA >cds-PLY81934.1 pep primary_assembly:Lsat_Salinas_v7:5:35809177:35809518:1 gene:gene-LSAT_5X16381 transcript:rna-gnl|WGS:NBSK|LSAT_5X16381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPGEKEMNTLLRNEASSLFFFKARIRSCSPLLTGSQFISLPLATQMFQFAKFEKSKERRLATELGYGFPIEDPWITYGISPLPFVSKSVLPSQCPGIHPKHSFRSCTHGAVH >cds-PLY65925.1 pep primary_assembly:Lsat_Salinas_v7:4:139432661:139434900:-1 gene:gene-LSAT_4X87120 transcript:rna-gnl|WGS:NBSK|LSAT_4X87120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQILSDELANGQRKLVALALAGANSESVNPLISQINNGPLGSFHDKIITSVIPKTIGKELITLVTSREQIPQLLKETLLLHKDLLQDCIANQLMKDLYIKGINIYGGPKARLLLDLPEAPSLHHEYSSMSCTIEVVDDVDVAIHHINKHGRHATFFTQNIFWYS >cds-PLY83014.1 pep primary_assembly:Lsat_Salinas_v7:5:50067688:50070936:-1 gene:gene-LSAT_5X24721 transcript:rna-gnl|WGS:NBSK|LSAT_5X24721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFNSGGSGGARSGGNDVELLSKTLQVEHKLFYFDLKENPLGRYLKISEKTSEGSVSQGTQLCNYGFRVYLSEKDPILMPSQDSRLSVGTIRREVGSKVWVYDKLHKKRRQVTLRVATDTRDRRKTEISSFINFIHQKDAHIXLPVIGIGMYPDFVLSLSVDKVEVGSEQRKVGPSELQGVRKNVVSESSSRPGSSSHIAPVIHEWTYDAMCHDLLEMDGNKYVHVVSSKTGDGYERKEVLLEDHDPVWLELRHSHIADASERLHDKMTNFVSRNKVAQMHGRDGGEMSTRDLQKMVQALPQYKEQMDKVSLHVDLAGKINGIIRKMGLRDVGQLEQDIVFGDAGTKDIIKFLKEQDAIDEQKIRLLMIYVATHPEKFETNKLAKILELADLLPEDMKAIYNMRFLESAPDSMNNSNSGFPLKFDKV >cds-PLY83732.1 pep primary_assembly:Lsat_Salinas_v7:4:44434406:44437554:1 gene:gene-LSAT_4X29840 transcript:rna-gnl|WGS:NBSK|LSAT_4X29840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVGGDFLENGHREQPHSALIFVGTGCSSAVPNAMCLIKPSDPPCKVCFQSLSTPPESNPNYRCNTSLLIDYSPSAGEHKYILIDVGKTFREQVLRWFTFHKIPQVDSIILTHEHADAVLGLDDIRSVQPFSPVNDIEPTPIFLNQHAMESLKVKFPYLLQRKLKAGEEVRRVAQLEWKIIENDSKKPFVASGLEITPFPVMHGEDYICLGFLFGKNSKVAYISDISRFIEDTELCISKSAGQQLDLLILDTLYKNGSHNTHFCFPQSLEAIKRLQPKRAFLIGMTHEFDHHKDNEFLRDWSKREGIPVQLAHDGLRIPIHL >cds-PLY87270.1 pep primary_assembly:Lsat_Salinas_v7:1:50870618:50871952:-1 gene:gene-LSAT_1X43881 transcript:rna-gnl|WGS:NBSK|LSAT_1X43881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMDIPDGIEIKIKAKIIEVKGPRGTLTRNFKHLNLDFMLITDEETGKKKLKVDAWFGSRKTTAAIRTALSHVGNLITGVTQGFRYKMRFVYAHFPINASISNTNSAIEIRNFLGEKKVRKVDMLDGVTVVRSEKVKDELILDGNDIELVSRSCALINQKCHVKNKDIRKFLDGIYVSDKGKIEEGDN >cds-PLY85695.1 pep primary_assembly:Lsat_Salinas_v7:7:158668847:158671071:-1 gene:gene-LSAT_7X92840 transcript:rna-gnl|WGS:NBSK|LSAT_7X92840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILNKLPRKPSSKSSHNNEGNNETDLVAMSSSKSNSSSLSKSNNETRNSTVKSNHGKKTGTIAAIQVVQVSDHGSYEALPSFRVVSSSEKHNLFIKKLNMCCVVFDFSDPLKNSKEKDVKKQTLLELVDYVSSVSSKFNEVTVQEITKMVSANLFRSLPDTTTHESKLLDVYDQEDDEFRMDPSWPHLQVVYEFLLRFVASPETDPKLTKRYIDHSFVLRLLDLFDSEDQREREYLKTIIHRIYGKFMAHRPFIRNAINNIFYLFIFESEKHNGIAELLEILGSIINGFALPLKEEHKLFLAHVLIPLHKPRCLSTYHQQLSYCVGQFIEKDFKLADMVIRGLLKYWPVTNSSKEVMYLSELEEILEATKSAEFERCMVPLFRQIGHCLNSSHFQVAERALFMWNNEHIRNLISQNRKVILPIIFPALEKNTRCHWNQAVQSLTVNVRKLFSDADQTLFEECQVRYREDETKEKESVAKRQSNWSRLDAIAAAKGLTGDHSVVSRFASSLTITATTATTVK >cds-PLY95546.1 pep primary_assembly:Lsat_Salinas_v7:6:174380717:174381944:1 gene:gene-LSAT_6X106180 transcript:rna-gnl|WGS:NBSK|LSAT_6X106180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSDLRHQPPPPPHFAVTHHLVSTSEEADSKKESNTTNDDASIEVVRRPRGRPPGSKNKPRPPVFVTREPDTAMSPYVLEVSDGSDIVAAITGLCNHRTTGLCVLSGSGTVSNVSFQQPASTTTAITFHGRFDLLSISATILPSPVTTSSFGGSEGITDFLSRLPATRFAVSLAGPQGQTIGGNVSGPLIAAGTVYIIAASFNSPLYHRLPMEEDDHVRSSGGGSASAAREQSPGSGGGDSGRHHAAERSSAADSLSMYGCHLPSDGIWTPTPRQASRHSPLF >cds-PLY77731.1 pep primary_assembly:Lsat_Salinas_v7:9:19597590:19603081:-1 gene:gene-LSAT_9X14781 transcript:rna-gnl|WGS:NBSK|LSAT_9X14781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASCSTSSVDKSYKYDVFLSFRGEDTRANFVDHLYTALQQKNIHTYKDNERIKKGKKISDELIGSIEESKFYIVVFSKNYASSSWCLDELVKIMDCHNTTEHTAYPVFYDVEPTEVRKQSGAVGEAFSKHTNHEKSDKWREALQEAADLAGWELKNTTDGHEAKFIQKIVEEISLELRSISFGFDEKLVGMETRVKDAVSFLEMGSDDVRMIGIKGMGGGGKTTLARAVFDQISFRFEGKCFVENVREVSNASLFGLKSLQNQLLSDVLNDKGISVSGVYEGKSMIKKMMRGRKVLLVLDDVDHTDQLEALAGEPNWFKAGSRIIITTRDEQVLVAHRVKLILAVNLLSFEEAVCLFSRYAFGKEMPIRGYEEKSVQVISYAAGLPLTIKVLGSFLCGKSELEWIDAIDRLKTIPLMETLKKLELSYIGLEEDYKEIFLDIACILKGERKHFVIEALESCGFHARNGLRVLEQKSLITIHHNSSYNNKYVFMHDHLVEMGRNIVRRLHPYKPNEHSRLWIDMEIEDILANDLGTEATRYIQFSTRELNPEVIMKGLRKMKELRYLDMSLKDSFWNWKCDELSPYFPNALRILLWSYYPFRSLPETFQANNLVSLEMEYSRIVQLCEGRERKVLNKLRFLDLSYSKLRTLDLGIAPNLETLSLSGCVDLVEFHIPSICLKLRSLDLCDVKLRILNLQSAPNLELLDLRNSLDLVQLHMPGRCVKLRSIIITNSKLRTLDIGLTPNLEKLDLDNSYDLGELHMADVFQKLVKLYISRSKLRTLDLRLAPNLKNLDLSESNDLVELHAPTGCLKEIVCLELSACLRFRSFYVEPLEEVDSLAELHLVAESLERCPFHPDNSLPKFRFTCFYKDGGLPSRNTNIEKLISQGLCACTNLETFSESICELWGLRKLKLKGYPEVPKDLDQLEYLEVLILSSTKIKHLPDSICYLTYLQSLKLESCWLLEELPEDLGQLENLKKLTLSSTMIKHLPDSICMLKHLESLQLISCWLLENLPEDIGRLECLENLTLSSAKIKHLPDSICMLKHLKSLQLKFCWLLEKLPEDIGRLESLEKLTLSCTKIKDLPDSISMIKHLECLQLNHSEVVTC >cds-PLY87913.1 pep primary_assembly:Lsat_Salinas_v7:9:168921415:168923769:1 gene:gene-LSAT_9X104860 transcript:rna-gnl|WGS:NBSK|LSAT_9X104860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSDLGKLFIGGISWDTNEERLQEYFSSFGEVLEAVIMKDHTTGRARGFGFVVFSDPAVAERVIKEKHNIDGRMVEAKKAVPRDDQTTMSRNSGSIQGSPNPNRTRKIFVGGLASTVTETDFKRYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLLKTFHELNGKMVEVKRAVPKELSPSPNRTMLGGYPYGLGRTGNLFNGYTHGPYDGRFSQIPRSGFANFGMGLNFEPSMSMSSPNYNRSLGYGRGMSPYFVGGSNRFVGPISFDGVNALNGGNGGNGGNTSFFSSTPRNLWGNGGFNYGSNSSGSSGYVGSGSGGIGGGIFGNSGLNWGSSPVVGGGGGGVGGGGGGGNSSSGNLGYGGGGGDGGFGLGGGGGGYGRNVASSGVANGGFEGPFSEFYGGGGGGSGGSVYGGDPTWRSGNSEQEGSGSFGGYGIGGDGPEAKTSPGYVGYSVAKRHPNRGIKNSLSYSLFKLLMNLES >cds-PLY96979.1 pep primary_assembly:Lsat_Salinas_v7:2:35010911:35011492:1 gene:gene-LSAT_2X15700 transcript:rna-gnl|WGS:NBSK|LSAT_2X15700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGHLKSRGYSGGLRSSEICTPEMKTSYDCENPKESESPRFQAILWEVLSMIQGKFDKEKEEVDADLHIFAGDLLGNLEKNAQSQPQWQETLEDLLVLAQSCAMTSPGEFWLQCEGIVQELDDRHQELPMGILK >cds-PLY90380.1 pep primary_assembly:Lsat_Salinas_v7:9:174358452:174360025:1 gene:gene-LSAT_9X106761 transcript:rna-gnl|WGS:NBSK|LSAT_9X106761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPNRSGSCNPAMMRIGIVFLGLSLVGYLVGPPLYWHILEGLSAVRRSSSAVSCPPCNCDCDSQPTLSFPQGLGNSSFTDCSKHDPEVDGDTEKNFAELLSEELKLREAEALESQQRADMALLEAKKLTSQYQKEADKCNSGMETCEEAREKAEAALALQKQETGKWELRARQRGWKEVGVRGTEVF >cds-PLY90212.1 pep primary_assembly:Lsat_Salinas_v7:9:20374090:20374959:1 gene:gene-LSAT_9X19121 transcript:rna-gnl|WGS:NBSK|LSAT_9X19121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEEEENEEVEEEASKDETEIQSLSLISVLVTRLENEGCSILRFDYIRRRFIFGHLKQKQTLLKINQNWMFYDDPTLDVDNTLTPIGSTHFNYCQ >cds-PLY78753.1 pep primary_assembly:Lsat_Salinas_v7:9:50667875:50669317:1 gene:gene-LSAT_9X46681 transcript:rna-gnl|WGS:NBSK|LSAT_9X46681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVYYLCGGGGGHTANGVLLSVFQEIMHANSTLTFITGVMIHRKKKISSSKVKHMLRFVIHT >cds-PLY67069.1 pep primary_assembly:Lsat_Salinas_v7:5:282423658:282424908:1 gene:gene-LSAT_5X148621 transcript:rna-gnl|WGS:NBSK|LSAT_5X148621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDFPSCFAENGVQVADASCTSSTTAAIATVSKAKASQNLVTCVYQCKLLGKSRLIIITWSKNLVGHCFSVEIEDLSNKCLSRLDVKPSIFSKRKGSRRLQVDFVAIDVCWDLTNAKFGSSPEPVEGFYLVLAFKGEIVLLIGDLRKETFKKTNGLSNSFRVLKREHIFGKKVFATKAQFKDNGKIHDLRIECDTCGLDPRLVVRLDEKIVMQVKRLLWKFRGNYTIAVDGLPVEVYWDVHNWLFGSTTGSAVFMFQTCSGVEKSATFSDPFIVPSRLPCPGFCLTLYAWKNE >cds-PLY69012.1 pep primary_assembly:Lsat_Salinas_v7:9:141029891:141030194:1 gene:gene-LSAT_9X90500 transcript:rna-gnl|WGS:NBSK|LSAT_9X90500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTTGIKHNHLISRFDHRIPIWYDVKLIAVAWLVLPQFAGVAFIYNKFVKENVIKRYYPRIGGGEQKSSSSSPNGKKKNKPADLMTTKKSS >cds-PLY69533.1 pep primary_assembly:Lsat_Salinas_v7:MU044378.1:83031:91805:1 gene:gene-LSAT_0X31521 transcript:rna-gnl|WGS:NBSK|LSAT_0X31521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPTTTMLPSIDISKESKESKHRSVFCGLIWMGYQMYHHQDTESRMFMMPIMKMVIRIIGLEKDFDGQDYGDDSEEDYKEETPAWNIQCFRKL >cds-PLY73300.1 pep primary_assembly:Lsat_Salinas_v7:MU043501.1:638935:641563:1 gene:gene-LSAT_0X19201 transcript:rna-gnl|WGS:NBSK|LSAT_0X19201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTTMVSLLSIAVVLLIASTVNAGSDAPFIVVHKKATLNRLNSAVEKLSVSINIYNQGSAAAYDVSLSDDGWSPEIFSIVSGNTSTSWEKLDVGALLSHSFELESRTKSVFYSTPAVITFRVPTKAALQEAYSTPLLPLEILSDKPRKTTLGLSLMVKYGNLVSVISLVVLFVYLMVTPPNKSNAAKGSKKRR >cds-PLY97238.1 pep primary_assembly:Lsat_Salinas_v7:1:44203617:44209846:-1 gene:gene-LSAT_1X38501 transcript:rna-gnl|WGS:NBSK|LSAT_1X38501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASENDLHAWIREMPKLENAYLQKFRLYESRSNFYMVGRSKNRSVWKVLKIDRSEASELNIMEDPTMYTETECSELLKRIHEGNKCTGGLKFVTACYGIVGFIKFLGPYYMLLITKRRKIGVICGHAIYAITKSEILAIPNSTIASNMDYSKNENRYKKLLCSVDLTKDFFFSYSYNVMHSLQKNLSSHETGQDANETMFVWNEFLTTAIHNQVNNNLWTVALVHGFFKQVKLLLSEKEFKLTLIARRSRHYAGTRYLKRGVNEKGCVANDVETEQIVFEDVPEGFPVQISSIVQNRGSIPLFWSQETSRLNMKPDIVLSKKDHNYEATRLHFQNLYKRYGNPIIILNLVKTREKKPRESILRVEFANAIESINRSLPDERRLKSTNVLALLGKVATYALNLTGFFYCQVTSNSKSQESLNQDESDVHNVCSQDNSDSSNKFSVKPPKYQTGVLRTNCIDCLDRTNVAQFAYGWAALGHQLHALGYIDAPFIELDSPLADDLMGVYERMGDTLALQYGGSAAHNKIFSQRRGQWKAASQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHYQPQVGKPALWELDSDQHFDVGSRGSNFYEENTRALFQRSLSDGNILVDDSSAIENGGKNEESEHLYPEETEDSGRKGLSESSPEISTCDSDSSYPRYTPSMSRRQILVDAHSEDYPNSDRIFYNKRLDSFNCSNFLDVDWISSSGNSCEDEQYERSMLIGSPVAGQSSSENVVKGMSDSESCLHVKGEEQKDGNASEYTDGFVKWVMHGGLFFN >cds-PLY98391.1 pep primary_assembly:Lsat_Salinas_v7:5:314501499:314504072:-1 gene:gene-LSAT_5X171340 transcript:rna-gnl|WGS:NBSK|LSAT_5X171340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLATYDMENGDILKTIQVVKPEKSTTSTSTSSSDVTRDILVRFRILRMFIANVQEVMFGTKLSVLLTAIPFAFVAEFYDVGGPWVFALSLIGLTPLAERVSFLTEQIAYFTGPTVGGLLNATCGNATELIIALFALHQRKIHVLKYSLLGSVISNLLLVLGSSLFCGGIANLNKEQRFNRKQVDPNSSLLLLGLICQILPLLFRYVGNEPAELATASALELSRASSIVLLLAYAACLFFQLKTHTQFFEQQQEEGSDEEVREEEGAVIGFYSAFIWLITMTVIIAILSEYVVDTIEVASDTWGISMSFISIILLPIVGNAAEHAGSIIFALKNKLDISLGVAVGSASQISTFLLPLCVIVAWIMGIPLSLDFGVVETGCLAFSIVLTILTLQDGSSHYLKGLMLGLAYVVIGACFFVQKVPSDQSNTNDLGILLSSAKLGSSSS >cds-PLY67180.1 pep primary_assembly:Lsat_Salinas_v7:6:161782730:161788192:1 gene:gene-LSAT_6X97941 transcript:rna-gnl|WGS:NBSK|LSAT_6X97941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNVNSNEELRCQRIKTKKVRFLASSFGVPRNHLGQQIYRFYLPYEPDNKNFKGLKIATRHVTTHTIRLLIFGCECGGFIGVSCQNMGQLRNSFAAAITVLDQNPMSLYIRRCCCRVERSGGDQMQRLLDAS >cds-PLY89546.1 pep primary_assembly:Lsat_Salinas_v7:4:158244573:158248557:-1 gene:gene-LSAT_4X95221 transcript:rna-gnl|WGS:NBSK|LSAT_4X95221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWSSNGFIPTIFISIVFFISSSESFYLPGVAPRDFQRGDPLQVKVNKLSSTKTQLPYDYYYLNYCKPKHIQNSAENLGEVLRGDRIENSVYTFHMREELPCKVGCRIKLDAQSAKNFKEKIDDEYRVNMILDNLPVAVLRQRRDGSQSTTYEHGFRVGFKGNYAGSKEEKYFINNHLSFRVMFHKDLETDSARIVGFEVTPNSINHEYKEWDEKNPQLTTCNQNTKNIIQGSTVPQEVDTDKEVVFTYDVTFKESEIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYRDIANYNQLDTQDEAQEETGWKLLHGDVFRAPPNSGLLSVYVGTGIQILGMTLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGLFAGYASARLYKMFKGTEWKKNTLKTAFMFPGILFSIFFILNALIWGEKSSGAVPFGTMFALVCLWFGISVPLVFVGSYLGFKKPVVDDPVKTNKIPRQVPEQAWYMKPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILIVTCAEITVVLCYFQLCSEDYHWWWRAYLTAGSSAVYLFLYSVFYFFTKLEITKLVSGILYFGYMAIASYAFFVLTGTIGFYACLWFVRKIYSSVKID >cds-PLY77621.1 pep primary_assembly:Lsat_Salinas_v7:4:275432295:275435475:1 gene:gene-LSAT_4X141261 transcript:rna-gnl|WGS:NBSK|LSAT_4X141261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTKSKTSNSKNYSENSGVNTRVWFYSLLLTIQYGAQPLISKRCIGREVIVTSSVLACEIVKVICAVCLMAKDGSLKRAMKEWTLIGSLTASGLPAAIYALQNSLLQISYRNLDSLTFSMLNQTKLIFTAFFTYIILRQKQSIQQIGALFILILAAVLLSIGEGSRKSYGSDNRDEIVVYGIVPVLIASVLSGLASALCQWASQVKKHSSYLMTVEMSIVGSLCLLASISKSPDGEAMRQHGFFHGWTPLTLIPVFVNAVGGILVGLVTSYAGGGFVIVSALLVTALLQFVFDGKPPSMYCLLALPLVMTSISIYQKYPYQIKKKEA >cds-PLY88510.1 pep primary_assembly:Lsat_Salinas_v7:2:154892443:154893577:-1 gene:gene-LSAT_2X79600 transcript:rna-gnl|WGS:NBSK|LSAT_2X79600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASISSSAVATVNRSTPAQASLAAPFTGLKSNVAFPVTKKANNDFSSLPSNGGRVQCMKVWPPIGLKKYETLSYLPPLSDEALSKEIDYLIRNKWVPCLEFELEHGFVYREHHHSPGYYDGRYWTMWKLPMFGCTDSAQVMKEVGECKKEYPNAFIRVIGFDNVRQVQCISFIVSKPPGVL >cds-PLY68431.1 pep primary_assembly:Lsat_Salinas_v7:2:60003490:60006674:1 gene:gene-LSAT_2X28141 transcript:rna-gnl|WGS:NBSK|LSAT_2X28141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDFEKKVRISEGMDVDQNGSNHHEIVSLLRQFLGVQQRRAEAYAKLKKGFTELGDSAYQQLCNEITLQFNDCSKQVLALESIFLSPSYSRNDLASILRSVQVQEKQKLHLTATIQVLKKAGRPSERLVSHENCKFKNHTQHECVHVHEITEAAGTEEAEADAEYDNAMKEAIQGVQDAVTTINEHLEEVRYEIAALEAE >cds-PLY70157.1 pep primary_assembly:Lsat_Salinas_v7:3:11575640:11575798:-1 gene:gene-LSAT_3X9000 transcript:rna-gnl|WGS:NBSK|LSAT_3X9000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENILRESLKSRRVIPLRGQIKSRIAATAFHSVVSIFGRASFNHNVSHRRNY >cds-PLY73403.1 pep primary_assembly:Lsat_Salinas_v7:8:114660895:114666830:1 gene:gene-LSAT_8X78220 transcript:rna-gnl|WGS:NBSK|LSAT_8X78220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFRHEKGVNVQVLLRCRPFSSDELKNNAPQVVTCNEYQREVAVSQSIAGKQIDRVFTFDKVFGPTAQQKDLYEQAVIPIVNEVLEGFNCTIFAYGQTGTGKTFTMEGECKRAKSGPNGELPLEAGVIPRSVKQIFDTLEGQNAEYSVKVTFLELYNEEITDLLAPEEISKLGVEDKQKKLLPLMEDGKGGVLVRGLEEEIVTSASEIFTLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKESTPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKTTLIKDLYGEIERLKAEVFASREKSGVYLPKERYYQEEMERKSMADQIEQMGIKIETQQKDFEELQTQFNARVEECSNLSNKLESTQNKLNQTNNVLANTEETLKKCQYALKQRDFIIEEQKKAENALTHQACVLRSDLEKSLQDNASLFMKIAREDKLNAGNRSVVNKFESELAQDVGSLSNMVAASVSQQNEQLQCIEKFCHTFININDQAMAELKKKVSASKNLYISHIEALENVVRLHKASANGSLEDISSMASSNARSVEELLAEESAQGQSIFDELQGTLSTQQGEISLFARELRKRFNESIHHTTNISEFINGIFDKLMEESKELGAHANKVDEIQTKSINEFQKAYEEQSKSEAQKLIADVTSLVSSHISRQKEMVDARLAGIRETCGGSKRFLDGHVSSVEGITTDAKRKWQEFSLQAENDAKDHADFSAAKHCRIELLLQKCVDTTEMALKHSKKTHESVTHMGQKHVSAIDALVRNASESNEHHDGEISSARATAEVDVSKNSEDIIKHIEGTSLEEVEAVNGVLETTKAQSTVLENLRKHHSTQSTAIEQKARHTFHHKYMDYEPSGNTPIRCEPDVPSKVTIESLRAMPMETLLEEFRENHSFEGKEVKISPNQRSPLTEIN >cds-PLY79926.1 pep primary_assembly:Lsat_Salinas_v7:8:16005104:16010453:1 gene:gene-LSAT_8X13041 transcript:rna-gnl|WGS:NBSK|LSAT_8X13041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVKTRHRSMFVIIGDKSRDQASPLSGTKSQTFIVNLHYMLSKSVVKSRPNVLWCYRDKLELSSHKKKRAKQVKKLMHRGLLDPEKVDPFSLFLETAGITYCQYKDSERILGNTFGMCILQDFEALTPNLLARTIETVEGGGLVILLLRSLSSLTSLYTMVMDVHERFRTESHSLATGRFNERFLLSLASCQSCIVMDDELNILPISSHMKSVTEVPVEEDSEGLSEAERDLKDLKEQLKDDFPVGPLIKSCCTLDQGKAVITFLDAILDKTLRSTVALLAGRGRGKSAALGLAIAGAVAAGYSNIFVTAPSPENLKTLFEFVSTGFRLLEYKEHLDYDIVKSSNPEFKKATIRINIYKQHRQTIQYIQPHEHGKLSQVELLVVDEAAAIPLPLVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEEQSRTSSKSKEAVPSGRLFKKIDLSEAIRYANGDPIESWLNNLLCLDIANSIPSINRLPPPSECDLYYVNRDTLFSYHKDSELFLQRMMALYVASHYKNSPNDLQLLADAPAHHLFVLLGPVNESRNQLPDILCVIQVCLEGKISQASAMRSLSAGHQPSGDQIPWKFCEQFQDKEFPTLSGARIVRIATHPNAMKLGYGSAAIELLSRYFEGQFTSISEEEDDVQNKPESSHLRITEAAEKVSLLEENIKPRSDLPPLLVHLRERKPEKLHYLGVSFGLTLDLFRFWRKHKFAPFYICEVPNAVTGEHTCMVLKLLANDEIEVKKSDDEWGFFGDYYRAFKAAFARLLCSPRFNTMEYKLAMSILDPKLSFPDADTSSTTISHGIYDDIIKSQVSMKRLESYVNHLADHHLISDLKLPLAYLYFQEKFPVTLSYAQASVLLCMGLQNQDASYTEGVMKLERQQVLSLFMKVMKKFQKYLNSVSLKQFSATLPPVKEVVLKPHLISVDEDLDEAAKKVKDEMKAKSEGLLNPEFLQRYAIADKDADFESALPSGGKIASGTVISVKSSSNSKTKPEKQHGTPKDSNKSNKKRSNGGRSSNSKSSKKKRSSSP >cds-PLY91892.1 pep primary_assembly:Lsat_Salinas_v7:8:202697847:202701844:-1 gene:gene-LSAT_8X129201 transcript:rna-gnl|WGS:NBSK|LSAT_8X129201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSAKLRSELEKQRDKIKAGLRELNKLARSSSGGILSSITYGANEIASSILAKYHKSKGIVYPLACLLEPFMPSFSIEASKFPLICFQSVLKQLNLPLQLSLYDDKGDIKKAKTPWEFIPIGHKIGTFVPLFKELKDEEVEFFRNKFCWHGKKEKGEKSGVGEKAKTKGAVVEKEVLFFVLSACCSDFDLCVECFSVGAEVYPHKSNHPYRVMVSNFFLLLCSTISPVTTSHHQRTTIKHPQYPVATAHRTMTTSHHRKTTIEANQEKSCCHCHITVQ >cds-PLY77200.1 pep primary_assembly:Lsat_Salinas_v7:8:25750822:25754292:1 gene:gene-LSAT_8X19660 transcript:rna-gnl|WGS:NBSK|LSAT_8X19660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDHSILILFAFASFFLLPESLAVDKIHANQPIKDGTVVWVANRETPITDDSGEFKLRSSGGPVIHSGGTTVIWSSNYTVSITNLDPVAQLLDTGNLVVWDKNRNMIWQSFDYPGDTLLPGMKFGKDLLTGMDRYLTSWKTLHDPFPGSYVYSVFTNGYPQIFERKGSELHFRIGPWNGVKFHGLPMDEPNPLYLAEFVVNKKEIYYKYELKTSVAQRILLMSDGNIVQLHWIERMHDWIQYGNAKIDNCGVYGLCGPYGSCIINRYPPCRCMDGFEPTLQEEWNAADWSSGCKLKKPLSCGNGDGFKRLAGLKFPDTQRSWYNESMTLGECEIACKTNCNCTAYANLDIRNGGSGCLLWFGDLIDIREYDEDQNLYVRMAASEIKDRDSNLRRTKVVTIVLIVSAAALLLVHALDKRHSSVGMEDLDEVPFFSLNEIAKATNNFSIDNKIGEGGFGPVYKGVLQDGQEVAVKRLSDTSEQGLEEFENEVICIAKLQHRNLVKLLGYSIHGNEMILIYEYMVNKNNTQGSMLNWPQRFGIIHGMARGILYLHQDSRLQIIHRDLKAANILLDSDMNPKISDFGLARKFVGFDNATKTKKVVGTYGYISPEYALRGRFSVKSDVFSFGVLVLEIVGGKRNREFSYGDHRDNLLGHAWRLFKEDKSIEVMSASLRETCVISEVERVIHVGLLCVQHHVEDRPTMLSVVLMLVGEGALPQPKQPGYFSQDSHESKSISSLGDDEYMITLLYAR >cds-PLY69069.1 pep primary_assembly:Lsat_Salinas_v7:5:49764465:49768899:-1 gene:gene-LSAT_5X23920 transcript:rna-gnl|WGS:NBSK|LSAT_5X23920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAIAEIVTQPLLLRIVHFNFYGIFSGFGNQQRWWVVHRPSPPFFQSNVVLLLASFSSASGVFISNQYSSCVFFIGAANGHRLRIFFLWSSLSDPSFIFYGQSSSFFSTSSPFPSAPLTYMYTGDCPAPPSPLLSRLLPPTAKEIKELKR >cds-PLY77354.1 pep primary_assembly:Lsat_Salinas_v7:2:96773664:96774016:-1 gene:gene-LSAT_2X42381 transcript:rna-gnl|WGS:NBSK|LSAT_2X42381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVVYKHLEVDGLRFRGWSYQKHRVVNKCIVMRNPNILFRNGLMKLFFLVADHEQKMMLEEASTLGNFDSTLDLGMMVMAEGRHRK >cds-PLY81126.1 pep primary_assembly:Lsat_Salinas_v7:9:68027746:68027946:-1 gene:gene-LSAT_9X56700 transcript:rna-gnl|WGS:NBSK|LSAT_9X56700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKWRSSTLWLPASSAVAVQQRQPSSGARSTAFIFSENGGWRWRQLLTSIVGPRALPLASLAHVLS >cds-PLY97833.1 pep primary_assembly:Lsat_Salinas_v7:5:196671941:196676492:-1 gene:gene-LSAT_5X88180 transcript:rna-gnl|WGS:NBSK|LSAT_5X88180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIMLQRLRFCFLCFFLFAPTLSLSYEARNPEVVALILIRNGLNDPHGALSNWDEDSVDPCSWAMITCSPDNLVTGFGAPSQGLSGTLQGVIANLTNLRQVLLQNNNISGQIPAGIGHLPKLQTVDLSNNKLSGHVPESLSLLNSLQYLRLNNNSLSGAIPLSLASVPQLALLDLSYNNLSGPVPKFSARTFNIVGNPLICGTHANEGCYGSTLPEPLSFEINPSSGKSKSKRVAIALGISLSCLVLLIVSLGVLLWKRSRSHKQSILDINDIPEEGLMNLGNLRSFTFKELQYATDNFSSKNILGAGGFGNVYKGKLGDGTMVAVKRLKDINGTAGESQFRTELELISLAVHRNLLRLIGYCATPVERLLVYPFMSNGSVASRLRGKPSLDWNARKKIAIGAARGLLYLHEQCDPKIIHRDVKAANVLLDEFCEAVVGDFGLAKLLDHADSHVTTEVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGMRAFEFGKTVNQKGAMLEWVKKSHQEKKVELLVDKELGTNYDQIDVSEMLQVALLCTQYIPGHRPRMSEVLRMLEGDGLAEKWAATHNHVSHNTTIRNQTKNGCMVQKLDDNDDDYTSSMLGVMLMDDDHDAHAMELSGPR >cds-PLY79775.1 pep primary_assembly:Lsat_Salinas_v7:1:180305871:180307037:1 gene:gene-LSAT_1X117201 transcript:rna-gnl|WGS:NBSK|LSAT_1X117201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSFQSSLTDDIKDIELLKDLPKLLNAEKAIFADVHYPGGLRIILKFHRKVEAEEYLINEHNWNRWFVWLKFGSVHDYVMTERLAHVKITGLPVFLRSIENTTAIAIRFGRVVETDGHQWSSIDISVCYLTVLTNVWKHINDEIECEAGGRLFKVGIIEHDSSNWEPFENRSFPESPPSIEDEQEASNNGSDEPLEDGVSDTWCINNDQFLEEGEIGDDEPVKVDADQGSPVQATNAILDDSSSSQPMEVEKPPADGELNGHTIPACMNSSMHFNAQVNIKNIINNSEAISGRTLDTQTMPTTPTHQTSSGPFENQNHFSPTIPGSYTETSGAPLPEFGDSYIKRRRIDNGVPIPNPTPTILIDLNQSLSNAGSQDTSICSDTCSNT >cds-PLY75625.1 pep primary_assembly:Lsat_Salinas_v7:1:144831775:144840229:1 gene:gene-LSAT_1X104761 transcript:rna-gnl|WGS:NBSK|LSAT_1X104761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTLLCLVLLHAVGALGFPATLKLERSFPTNHGVELKQLTERDGLRHHRILHKYADPNVVVGFGVYGTYDPFDAGVLLSGVFWEKLSYLMFLEATCCGSVANPVYLALHLPHFKFVLLSMIQQTRQHLLLSYARTKDVLQNPTHVQTTSSTISTMEMVVEHQLGFPAKEYYLVIDTGSDLLWVGCKPCQSCPTSSTLQIPITIYDPVNSSTSSAILCSDERCSPKSNTYSDNQSTYDLHYGDGSGTSGYYVSDLMHFRITVGETDWLNTSALVLFGTSETGQISQTDRTLDGIMGLGRQSIFVIFQLSSQGIAPNSFAHCLPSGDGGGILAFGTPVMPNLVFTPLVKSM >cds-PLY99833.1 pep primary_assembly:Lsat_Salinas_v7:4:44861369:44862426:1 gene:gene-LSAT_6X37081 transcript:rna-gnl|WGS:NBSK|LSAT_6X37081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I reaction center subunit III, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31330) UniProtKB/Swiss-Prot;Acc:Q9SHE8] MAFTISTSFFQPLEISKSSQFGSKLKVITSNNIVCSGATEDKSNEKGSLKAWSAALALSSILVSSTIPALPASADISGLTPCKESKQFAKREKQSLKKLESSLKLYAPESAPALAIKATMEKTKRRFDNYGKQGLLCGSDGLPHLIVSGDQRHWGEFITPGILFLYIAGWIGWVGRSYLIAIRDEKKPTMKEIIIDVPLASSLVFRGFIWPVAAYRELLNGELIDPSV >cds-PLY65641.1 pep primary_assembly:Lsat_Salinas_v7:7:27123659:27126029:1 gene:gene-LSAT_7X21240 transcript:rna-gnl|WGS:NBSK|LSAT_7X21240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTFVAALLMLTSHDSAKVLGMTFQAKYNNSPTLKYFVIVCIITTVYSLATLFIPPKKSWRPVLVLDVIVTSFLISSFSAAVGVGQLGKQGNSHAGWLPICGQVPKFCHHVSASLIAGFVAVIFYFLLLLFSLNNVLNLVAFKA >cds-PLY95052.1 pep primary_assembly:Lsat_Salinas_v7:5:227922131:227924203:-1 gene:gene-LSAT_5X108061 transcript:rna-gnl|WGS:NBSK|LSAT_5X108061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDSTFEFERKRNRPERYDRNLTENTLKAIKTIDKVRFRREETHHKNRMKGKAAKERKEARKEHDEQLHMVKAPGAKESTAIPASKVKVNVQHESADNRMEE >cds-PLY86447.1 pep primary_assembly:Lsat_Salinas_v7:8:4009127:4012079:1 gene:gene-LSAT_8X3361 transcript:rna-gnl|WGS:NBSK|LSAT_8X3361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFITHATILVFVFPYLVFATKISTDVNAPKAFFVFGDSLVDNGNNNFLITSARADSPPYGIDYPSHRPTGRFSNGKNIPDIIGEHIGVDPLLPYLDPNLTGKKLLNGANFASAGIGILNDTGIQFVNIIRMPYQLALFERYQERVAALIGTEKTKKLVNEAIVLITCGGNDFVNNYYLVPNSFRSLQYNLPDYVRLLVTEYQKILMRLYELGARKVLVTATGPLGCAPSIIALRSQKGECAKELQQAANLFNPQVTTMISLLNKKLGKDIFISVEAHHIYLDFINKPKTFGFEVVNKACCGQGPYNGLGLCTAFSNLCPNRNAYVYWDGYHPSERASRLIVQEIFNGSKYVNPMNLSTLIAMDSIKV >cds-PLY98849.1 pep primary_assembly:Lsat_Salinas_v7:7:23583527:23584399:1 gene:gene-LSAT_7X18700 transcript:rna-gnl|WGS:NBSK|LSAT_7X18700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMEWMKKMGEIAHHKIKTNGISMHVVEKGDGPVVLLLHGFPELWFSWRHHITHLSNHGYRVLEPDLRGYGDFDSPSSPISYTFFHIVGDLIGLLDHFNQQQVFVVGHDWAATAVWHLSLFLPDRVKGIVSLGIPFFPRYPINPTHLFTKSFGDNFYISQFQEINTGIRKSREGFCEIRLLDSNQEFLLINHGDVPVAHPGIEIIDHMEIPSAIRKHSMSILRTGGRFCFQVLPLLCYAL >cds-PLY94263.1 pep primary_assembly:Lsat_Salinas_v7:4:313267786:313268593:-1 gene:gene-LSAT_4X158020 transcript:rna-gnl|WGS:NBSK|LSAT_4X158020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDKELRTDLRRKKHNELRIQSLIGPLLTRSSSAQRRSHSLLSASTFEPPSFLSTVDIEDVIVDNHVEVDNEESESYDSIEEVEAPNESQGVESTS >cds-PLY78371.1 pep primary_assembly:Lsat_Salinas_v7:9:9164222:9164464:-1 gene:gene-LSAT_9X6140 transcript:rna-gnl|WGS:NBSK|LSAT_9X6140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRASRAQYKRMEWNLPLKKDICLTQAFRSHFLLKEDRKKLKVEKEGSDVYEQNEPQIEKADQGEIPLSIGVLRWERK >cds-PLY90156.1 pep primary_assembly:Lsat_Salinas_v7:7:14366811:14371168:-1 gene:gene-LSAT_7X11641 transcript:rna-gnl|WGS:NBSK|LSAT_7X11641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNFSRQVRLSSTLIRGIQYRISRSFTTIECHRPTILHKKGVDILHNPWFNKGTAFSMTERDRLELRGLLPPNIMTDEQQIERFMTELKKLEMNARDGPSDTISLAKWRILNRLHDRNETMYYKLLMANIKEYAPIVYTPTVGLVCQKYSGLFRRPRGMYFSALDRGEMMSMVYNWPAEQVDMIVVTDGSRIMGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPIMIDVGTNNENLLENPLYLGLQERRLEGEEYLSIVDELMEAVFNRWPHVIVQFEDFQSKWASTLLHRYRNTYRMFNDDVQGTAGVVVAGLLGAVRAQEKMMIDFPKQNIVVAGAGSAGVGVVKAARRTMARMLGDSKAAYDSARSQFWVVDVDGLITEERAGIDNELKPFARKINETKRRGLREGATLVEVVQEVKPDVLLGLSGVGGLFSKEVLEAFRGSTSTRPAIFALSNPTMNAECTPEEAFSVVGKHIIFASGSPFQNVDLGSGDIGYCNQGNNMYLFPGIGLGTLLSGARIISDGMLQAAAECLAECMKEDEVVNGMIYPPISRIREITKSVAAAVISEAIEEDLAEGYRGMNSRDLQRLNKEEILVHVENNMWRPDYPKLIYKDD >cds-PLY79079.1 pep primary_assembly:Lsat_Salinas_v7:3:8733671:8738034:-1 gene:gene-LSAT_3X5380 transcript:rna-gnl|WGS:NBSK|LSAT_3X5380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HAPLESS 2 [Source:Projected from Arabidopsis thaliana (AT4G11720) UniProtKB/Swiss-Prot;Acc:F4JP36] MRPCITIAVFFLLISYHLVNGGVQLLSKSKLEKCEKVSENAPLNCTKKIVINLAVPSEASGNEASIVAEVVEVEEKSTDNMRTVREPPVITVNKSAAYAQYHLTYIRDVPYKPLELSFKTRKCSRYAGANVVGMCETLVDEQGHVIENTRPICCPCGGHRRVPSSCGNFFDKLTKGKANTAHCLRFPGDWFHVFGIGQHSIGFTIRVKVKTGSKVSELVISPGNRTALSSDNFLRVNLIGDYVGYNDVPSFENFFLVVPRQGSPGQPQDLGRNISMWMLLERVRFTLDGNECNKIGVGYDAFNNQPNFCSAPFWACLQNQLWNFWEADQNRIQRNLVPLYGVQGRFERINQHPNAGSQSFSIGITEVLNSNLLIELSADDIEFVYQRSPGKIERITIPNFEALTQFGTATITARNIGEVEASYSLTFDCSIGISQMEEQFFILKPKEMATRSFKVYPMSDQAARYACSAILKDSDFQEADRAECQFTTTATILDNGSVIPWEPPKHGLQGFFESIEDMFFKLWHSMIEFITGKACSAKCSGLFDFQCHIHYLCMKWVLMFGSVFAIFPTVIVLLWLLHENGVFDPVYDWWEDHFWDDDRKAQHIRNLQLHYKKHHKKEKDPKHHKAHAHAHKKGKIKYPEHQHQHQHSHNRFDHDHLHHVKKEKHRHGDEVLKEHHKDKHVSRHDKDKDKHHKHKRRNSIP >cds-PLY85247.1 pep primary_assembly:Lsat_Salinas_v7:1:164698556:164699812:-1 gene:gene-LSAT_1X109301 transcript:rna-gnl|WGS:NBSK|LSAT_1X109301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREIKVQKLVLNISVAKYTVRSFGIRRNEKIACYVTVRGDEAMQLLESGLKYNALIRIKSTMLR >cds-PLY75933.1 pep primary_assembly:Lsat_Salinas_v7:9:199877288:199879390:1 gene:gene-LSAT_9X123500 transcript:rna-gnl|WGS:NBSK|LSAT_9X123500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGMGSFPICNKAVTLCSYYQSQPSILQKSYHRICQKRPCESSLHRLIPTSIKYPYRFFSDTNNKFRVNSVRDSNGDQTIMSVSSAYQVLDIMPDCTLSELKAAFRAKVKQFHPDVIKSDDGNSDTMIRCVIQAYEVLSNLSKSEIIESECLDPFDAPECEAFDIFVNEVLCAGKGCPYSCVKAAPHAFTFSSSTGTAYATSQGHGEDYKVQLAVGQCPRNCIHYVTPSQRIILQELLASILNVPFDCSAEADLLYTLIVKAKFENNRYKKPKKQPNVSTKHVDWY >cds-PLY82592.1 pep primary_assembly:Lsat_Salinas_v7:2:183765810:183767215:1 gene:gene-LSAT_2X106200 transcript:rna-gnl|WGS:NBSK|LSAT_2X106200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGVKGKLLKKLKTIKTIGYLKPDRILHVNAADGLIDNFFPKPSSKCESLSVPEEGQQIEKKSSVSVQEHEIIDVSELMKDLQDDDGSDTDSVVEDKENVRPVGALVTDHKLVHANSKILAAKPLSEIDISSFRPPDLDSGTLFDPNLLAAFEQAVLEFKAQEAERRNRVLQNIEDYLDQEPETEPPLKSLKLDEIANPLSDFEKICPPGGKNSVILYTTGFRSIRKTFEDCSSIRFLLESFRVLYQERDLSMHLDFRDELWRILGRKVAPPRLFINGRYIGGAEEVLRLHEQGKFRPLLAEIPLKTSEGPCEGCAGVRFVVCCNCSGSRKVDSGEQGLPEKCTECNENGLIRCPVCC >cds-PLY67307.1 pep primary_assembly:Lsat_Salinas_v7:8:236815076:236817558:-1 gene:gene-LSAT_8X142860 transcript:rna-gnl|WGS:NBSK|LSAT_8X142860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVRFFTMSSFFYKDSYAKSGGFKICKPLYSSESTPTAITTAQSLVRRSANYKVSLWSFDHIQSLSTKYKGNDYTSRVDTLIAAVKSMIRKVGHPLSTLELIDDLQRLGIAYHFVDDISYLLEMIYHDYYETQDKWDRIDLNLKALGFRLMRQHGYLVPQDIFRNFTDTTRNLKPHLYNDMMSMLNLYEASYHSFENEGILDDLRHFTAKYLKENIEQINENLSSLVTHALELPLHWRVPRVEAKWFILEYENKSGMNPTLLLELAKLDFNIVQGMHLEDLQHSSRWWRSTSWDKNLSFARDRLVENFLWTVGVNYLPRFSTERKILLKVNAIITTIDDIYDVYGSLDELEQFTYVVERWDINLVGKLPQYMKICFIGFYNSINEITYKMLTKTGFMILPYLKKEWADLCKAYLVEARWHHNGHTPTLEEYLDNACVSIAAPVILMHLNFLTSIASKEEILQGIKRAKNIVRYSSLILRLANDLGTSSDEIARGDNPKSIQCYMHETGATETEARKYIQKLIMETWKKLNKERTGANSQFLREFNDGATNLARMAQFMYGDGDRHGRPELTRPHVVSLLFNPIQ >cds-PLY94212.1 pep primary_assembly:Lsat_Salinas_v7:9:20299532:20301711:-1 gene:gene-LSAT_9X18760 transcript:rna-gnl|WGS:NBSK|LSAT_9X18760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMASSLCAIHPFSSCSFRDPRFRQSDSRLTATYFTLSSSSIPNSSSTTLNGRSSLDFSIQKLNPNVGPRRIRTAVAAGPMFFPENPLVGDIFAMVFSGCFALSILRIYEETARRGVFDQKLNRKLVHTTIGLAFMLCWPLFSSGRQGAVIAALIPGVNIVKVLLIGLGIWKDEATVKSMSRYGDYRELIKGPLYYASTIALSSIIYWRTSPIAIAAICNLCAGDGMADIVGRRFGKKKIPYNKDKSFAGSIAMAASGFIVSLGYMHYFSMFGYFEESWEMILGFLIVSIASALIESHPISTKFDDNLMVPLTSFFLGTLVF >cds-PLY94786.1 pep primary_assembly:Lsat_Salinas_v7:2:179091422:179095267:1 gene:gene-LSAT_2X101040 transcript:rna-gnl|WGS:NBSK|LSAT_2X101040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKYAVPVAAIAENVKPITGTQWNRSLVELTGKFNGNYRHNVSPLLMQSYSEINAATGYASEGSRISGVGVSALEFDRQGIYLASVTRSGCLTVHDFESLYCQSNQPVPRREEDEGKHLLHISLSNSIDAVRWNPVNQDEVACTSLSHNEVHIFDIGYISSEPVEVLRKRPTVTVHGSSIRKGLTDIALFNDDTRVLASDTCGAISIWDRRVGDLPQTGLTTNANSSLTSIQLNGDQCVYGASKSGFIYIWDLRGGRSSAAFQSHKEXHSSPMISLKLASMLNKIELLKAQSDIVAKEIQSIDINPSCPNQLGFHLDDGWSGVLDLHNFQVTHIHCPPPHWLDETNNVPILFSRKPSWLPAHSMYAVGSSSSDGLHLLDFYPHRNSPCHVDHDDMKENFHPRKQNKLIPLSGRVTACATHPLNGTIIAGTLEASLLMISQKHISRKGDNDDNEHN >cds-PLY63278.1 pep primary_assembly:Lsat_Salinas_v7:3:90363435:90367336:1 gene:gene-LSAT_3X69580 transcript:rna-gnl|WGS:NBSK|LSAT_3X69580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSSSWNQKQQSQKRRHQGLLDVVLSWSLSDILNKDLYKLQVKELPLTFSSTTHYMNSFKYPLLEETHADLLSQVAGISQAPSSCISSLQRIPTGNDRELLYRIKLTGSDYEPQVGDLIALTKIKPKCIADLDRPNSRFLIAFVSSLIDQKPMTIQVLSSDIIESNTVEARRSKAFVVYLTNLTTNMRIWKALNWEGNMSIIQRTLSTSSAPVATNCNESCRAEGKGVIDLELRPAFDSFHLDSSQEAAVISCLVANRCRHHNSIKLIWGPPGTGKTKTMASLLFLLLRSKRRTLTCAPTNIAVVGVAKRVLSLVSDHDLGCDTYGLGDIVLFGNQERMKINDDDNQELLDVFLDNRIDALSNGLSLWKFYVTGMIQFLENPMKEYRRLIVFPKPSILIKTKSKLKSQGNNEEKKMTFEEFAMNRFNVFGKKLISCIRSLYTHLPTSMVSLAYAKKLYLSIDIIEMVGESVNEIVTSNKSLKEAFNKKTVSSYSKLRFHKAECLLALKELLDASFIPKPMDMDQLKIFCLGSACLIFCTASSSIKLCTNAMKPIDLVLIDEAAQLKECESAIPLQLRGVQNAVLVGDERQLPSMVQSKICEEAKFGRSLFERLVQLGHGKHLLNIQYRMDPSISQFPNAEFYGGAILDGPNVTNKCREKNLLRHGMYGSYLFIDVDSANEEFDNNHSTKNVVEVAVIAEIVANLFKDSVANKQPVTIGCISPYKAQVNAIQAKLGKKYSQKENGSTFTVNVRSVDGFQGSEEDVIIFSTVRCNQRGSVGFLSNRQRANVALTRARHCLWIVGNKETMIKSGSVWTALVSDAEDRGCVYSASENKNLAQAMVQAMVELGQFGLLLKKESLLFKEAKWRVNFDNAFLERMERIGSLYIRKQVVSLLVKLSDGWRQHRKSNRNNFNDTPGIYDILETYNLDGRLYLVWSVDIVYENSLCAQVLKVWDILPLSQIQQCAKSLEQVFGNYTLDMIKRCQTKCSGRNQALPMTWPEDLGNDLSRDLASQFDKLCLSAVSL >cds-PLY79186.1 pep primary_assembly:Lsat_Salinas_v7:8:163775701:163776045:-1 gene:gene-LSAT_8X108700 transcript:rna-gnl|WGS:NBSK|LSAT_8X108700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDVFNGSNLGGLGLLMEANTIWIWWLLIFRQDLQWFSRWCWSKVAPTMEKKELIMVGITITAACGGSEWWWMACDASSVDSSFYCPEAHDGERKGWKQQLFDKIGKVWVEG >cds-PLY79678.1 pep primary_assembly:Lsat_Salinas_v7:5:253585749:253587653:1 gene:gene-LSAT_5X128660 transcript:rna-gnl|WGS:NBSK|LSAT_5X128660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIDEDEDINKLKKRKATKANRSKIDDAVVVASSGKRNIKRIILSVTKPSYTLKRGSGRDMRSLRWEHRNKLRYLLRQLIRRQNWDEASGVLSVLLKGTHRENSLSTNRTKYWTTLALLEHMGSAKTKTRKIHHLYEIWMKKNFAITKNRRWLKGRFDVQLEFLLYCLSQGDSDGAYQAVISFLQETDFTSDPIANLVAGLAFSHLWYNSIKKEMQLQDSLEPSTSIQSGMSGIDHSNGQCVVEVEDSDSAFERDSNTSIRIGKTIDGNRVVPMEVDYNVKKEMQYEELHLNSLESDQIDDSSQFPHTGNKRYGSIFYAHDLENFLMPLKFPSTKELGDFISFQKRIHTDHYKAAVKHLRGALHSTPPAFEALLPLIQASSISLHFLI >cds-PLY69678.1 pep primary_assembly:Lsat_Salinas_v7:5:211521908:211522501:-1 gene:gene-LSAT_5X97080 transcript:rna-gnl|WGS:NBSK|LSAT_5X97080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGLARSDVSYKRSGSSGLVWDDKLLSGELFKPKEEEEKEKKEPQRVEPRPYKTMDVAPTIDPPSPRLSGCGAICTIFGKPVKNKPSTQNRRKKR >cds-PLY78774.1 pep primary_assembly:Lsat_Salinas_v7:8:61198825:61202378:-1 gene:gene-LSAT_8X44041 transcript:rna-gnl|WGS:NBSK|LSAT_8X44041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITGHDFYTVMSAMVPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAIFAVPLLSFHFISMNNPYAMNFRFIAADTLQKVIMLVVLGLWANFTKNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGEYSGSLMVQVVVLQCIIWYTLLLFLFEYRGAKMLIMEQFPETAASIVSFKVESDVVSLDGHDFLETDAEIGNDGKLHVTVRKSNASRRSLGLGSMTPRPSNLTGAEIYSLSSSRNPTPRGSNFNHSDFYSMMGFPGGRLSNFGPADAYSVQSSRGPTPRPSNFEESTAPGPLNMNSPRFGFYPAPQTVPAAYPAPNPEITSSVTKTAKSQQPLTQPQPQPLQNGAQNKTNHDAKELHMFVWSSSASPVSEGGGLHVFGGNDFGATTEQPGRAGADQQGGAKEIRMLVPSDHHQNGETKDALGGEDFTFGGDRDGDDDKDKEAQIGLNKLGSSSTAELHPKGVSMDDGVAGKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVSFRWHLAMPVIISKSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVASFAMAVRFLTGPAVMAAASIAVGLRGNLLHVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLVYYIILGL >cds-PLY71197.1 pep primary_assembly:Lsat_Salinas_v7:2:132212975:132213163:-1 gene:gene-LSAT_2X62461 transcript:rna-gnl|WGS:NBSK|LSAT_2X62461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMHGLIKLLKLKRTLLRSFRITSWSQALKAQEDFSGPAHGFDFVDFNTIVSNNILESNSFA >cds-PLY93002.1 pep primary_assembly:Lsat_Salinas_v7:4:193924122:193924480:1 gene:gene-LSAT_4X110760 transcript:rna-gnl|WGS:NBSK|LSAT_4X110760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLVGGIANSPVHFGFVVSKYLHESLDLGGISCIKCIRETGTQALKPIQSYPTKIPLVIE >cds-PLY88956.1 pep primary_assembly:Lsat_Salinas_v7:8:128841681:128842421:1 gene:gene-LSAT_8X89661 transcript:rna-gnl|WGS:NBSK|LSAT_8X89661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSPHWLVHACSQMESVHKSFLLIVAIFLIVFQSTTTTTQGTRELLEKKEVHDEHIRVAVKDQKGGLVTGHEDGKYGGGYGHGYGSGSGGGGGGGGGGGGGSRGRGDDRHGKGGEDGWDDGHGGRGDDGGHGGGGDDGHGKDHGGDGGHDGRYGGDGGGYGGDRGYGGRQGKDKGYGRGYGGRPIYPYPYNGGYWQGGGYWPGGGYQPWIDGSPFYNGWGRWRGVPPPWWNNGYGGYGNKKEKRIM >cds-PLY78265.1 pep primary_assembly:Lsat_Salinas_v7:1:130515508:130517401:-1 gene:gene-LSAT_1X97701 transcript:rna-gnl|WGS:NBSK|LSAT_1X97701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGFKKLVLSTMSAILLALDLLPFVVSSSNENHLIIPHKYIKQDSDNHKMSPRLIFEIKIHGILLWASMGFLMPLGVLIVRMFNKEDCSPRKLKAVVYIHAILQILAVLLAFAGAIMSITSFENSFNNNHQRIGLALYAAIVVQTLAGFRRPKRGTKGRTLWYVFHWILGTTISLVGIVNTYTGLKAYHKRTSMNARVWSILFTVEVSFMAFFYLFQEKWGYMQKQGVKSGDEPVIVIPSHQVENQKEVLPPQPSRKSNSLGNYFAKNNALKKLFQVT >cds-PLY69009.1 pep primary_assembly:Lsat_Salinas_v7:9:139227582:139228728:1 gene:gene-LSAT_9X89201 transcript:rna-gnl|WGS:NBSK|LSAT_9X89201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRPPLPPAYEPPEPPSVYCTPSPQSRATTPSPVPRFFISKQQSIIPSPQSIQLQTMMTPTTEFLYQNFPKTRDKLLLRNHRRTDPAIWCSAIICMIFSVLVILFGITTLIFFLVVKPKNPVFDTNHASLNVIYFDSPGNFNGDLTFIANFSNPNRKLNVRFEHAVLELYFDNNLIANQSIKPFSQRQRETGVVKIHFISSLVYLPRNHAMELQRQVLSNKVLYSVRGTFRVRVSFGLLHFSYWLHSRCELQMSSPPAGFLMARSCITKR >cds-PLY83005.1 pep primary_assembly:Lsat_Salinas_v7:1:17108637:17112941:-1 gene:gene-LSAT_1X15180 transcript:rna-gnl|WGS:NBSK|LSAT_1X15180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHHFKFLDGVTHLPDFSSSLTSTASPSSLPPLTPTGKTSSPIASLQRPSEISVADNFSCTAVDSFSQKDPWNQSVRFLTASSTTSFVPYSYTVSPQVEISTMLVETVQYLKIFQLQFKLSLAYNGLDIGLDTNQERIVEAGLSYSGFVHVFFLEISIDQK >cds-PLY62828.1 pep primary_assembly:Lsat_Salinas_v7:4:28691146:28693434:1 gene:gene-LSAT_4X19380 transcript:rna-gnl|WGS:NBSK|LSAT_4X19380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLRFRNDGFLFVLMLTILGLNGMCIDEDVVGAPMAKTEQEALYIAVQGFVGKWWNGSDLYPDPCGWTPIQGVSCDVIDGLWYVTDISIGQVHDNSLPCAQNPEFKPHLFQLQHLKSLSFFNCIISSPQGPITFQKAKWDALSNTLESMEFRGNPGLRGEIPTTFGNLKKLQSLVLIGNGLSGDLPESIGELTQLKRLVLSGNSFSGKIPDVYGNLSELLIMDLSRNSLSGSLPLTFGGLTSILKFDFSKNKLEGEIPYQISNLKNLTLFDLSNNNISGELNFANQEMSSLQELILASNSITGDLKNVNWKNLQELMVLDLSDMKLTGGIPESFSNMKNLRFLGLNDNNLSGNLSPKLAELKNLTALYVNGNNLDGDLKFPVEFYRKMGRRFGAWNNSKLCFPVGRMAAGVRPFGVKVCQ >cds-PLY79243.1 pep primary_assembly:Lsat_Salinas_v7:9:182933667:182937823:-1 gene:gene-LSAT_9X111780 transcript:rna-gnl|WGS:NBSK|LSAT_9X111780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRFVVEKNSLTVSSPESIKGNHDSAIGNFGIPQYGGSMAGTVSYPKENRKGCREFDEFGIAFKAKPGTLPTFVLLDRGDCFFALKVWNAQNAGASAVLVADDLDEPLITMDTPEEDSASSKYIENITIPSALISKSFGEKLKKSISDGDMVNVNLDWRESVPHPDDRVEYELWTNSNDECGIKCDMLMDFVKNFKGAAQILEKGGYTLFTPHYITWYCPQAFTISKQCKSQCINHGRYCAPDPEQDFSQGYEGKDVVIENLRQLCVFKVANETKKPWVWWDYVTDFQIRCPMKEKKYNKDCADGVINSLGLDLKKIERCMGDPNADSDNPLLKEEQDAQIGKGSRGDVTILPTLVVNNRQYRGKLEKGAVLKAICAGFEETTEPAACLSDDVETNECLENYGGCWHDKIANVTACKDTFRGRVCECPLVDGVQFKGDGYTSCEASGPGRCKINNGGCWHETRDGHSYSACLDSGNGKCACPVGFKGDGVKSCEDIDECKEKKACQCPECSCKDTWGSYECTCSGDLLYIRDHDTCISKRAVQGKSAWTAVWIILLVLGSIAGGAYLVYKYRLRTYMDSEIRAIMAQYMPLDNQSEVPNHVSENRA >cds-PLY94424.1 pep primary_assembly:Lsat_Salinas_v7:6:9351029:9351223:1 gene:gene-LSAT_6X5861 transcript:rna-gnl|WGS:NBSK|LSAT_6X5861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVYSMLKFWRYTGGGDPTNIGDFDSNFNDDESFFDLVLTNPGDEMTTIVMRLLMSTSLVVILN >cds-PLY62747.1 pep primary_assembly:Lsat_Salinas_v7:9:106182957:106184093:-1 gene:gene-LSAT_9X76660 transcript:rna-gnl|WGS:NBSK|LSAT_9X76660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMSNDPFDGKKILERVPVDPPFSLSDLKKAIPAHCFKRSVIRSSYYVVHDLIVAYVFYFLANTYIPFLPAPLAYLAWPVYWFCQASILTGLWVIGHECGHHAFSEYQWIDDTVGFILHSALMTPYFSWKYSHRNHHANTNSLDNDEVYIPKRKSKVRWYSKLLNNPPGRVFTLVFRFTLGFPLYLLTNISGKKYGRFANHFDPMSPIFTERERIQVLLSDLGLLAVFYAIKIAVTTKGAAWVACIYGVPVVGVHVFFVIITYLHHTHLSLPHYDSSEWNWIRGALSTIDRDFGFLNRVFHDVTHTHVLHHLISYIPHYHAKEARDAIIPVLGEYYKIDRTPIFKAMWREAKECIYIEPDEDNKHTGVFWYHKM >cds-PLY87474.1 pep primary_assembly:Lsat_Salinas_v7:2:135808613:135811122:1 gene:gene-LSAT_2X64320 transcript:rna-gnl|WGS:NBSK|LSAT_2X64320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSDYGAFMEKFILPPLPTTSPAQLPLNRLTFAVKDIFDMDGYVSGFGNPDWLKTHSAATSTAPAVLAMLNAGATCIGRTAMDEMAYSINGENIHYGTPLNPCAPDRVPGGSSSGSAVAVSAKLADFSLGTDTGGSVRVPGSYCGIFGFRPSHGIVSTEGVIPMAQSFDTVGWFSRDPGTLNHVGKILLGLPNEKLVHPNKVLIAEDCFKLLSIPTTRVTKVVATSIEKLFGGDILQSINLGDIIESKVPSLKHFMQNDITENKEFSIKSLVALSTSMRLLQRFEFKKNHGEWVTTVKPNLGPGISERVFDALNTSEQDIGPFDSIKTQLQEALSSLLGENGIIVFPTVPGPPPKLQTETTSLETFRARAFSLLSIAGVSGFCQVTIPLGKYEDLPVSVSMLANHGSDGFLLNLVETIYDTLKEQVSIAEKVNY >cds-PLY90410.1 pep primary_assembly:Lsat_Salinas_v7:8:213105858:213109147:1 gene:gene-LSAT_8X132621 transcript:rna-gnl|WGS:NBSK|LSAT_8X132621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSWVGNDCCLWERVRCDDDSGNVKSLDLRGDKNSGEGYYCLVGGEVNSSLAQLKHLTYLDLSGNDFGGSRIPKFIGSLKQLSYLNLSHAGFQGIIPHHIGNLSNLKVLDLSSNQGPMPDDEPLVANDVAWTFGLLSLEHLDLSDVDLSRAQNRDMLLYMIPSLKILSLSGCRLPSVFLGPFLNSSKILPNIKHLDLGFNSFEGPLPRFFQNLTSLEFLDLSGFEPSSSWNFANLVNMIPSLSELHLSNCGIDKTFLSFSHLNISTLSKIQQLNLRSNSIQGLFPSVLTNMSSLRVLDLTGNNLNSWVPIMPNLLELYLSENSFHRIEDVGIWRQCHLRHLSVAENAIGMEMIDSSRNVSECSQYALEGLDLEGSIINGTIPEALGRMVNLRFIYLSRNGLTGSIPKSLGRLRYLELLYLSANELTGPIPTFLGKLSVIDLSWNQLNGLIPESFGNLTCLKEMNLELNQLTGPIPASLGSLVSLRAMKLSRNLLNGNIPVSIGELAKLQSLDISYNFLKGVVYEAHFANLSMLNNFDASFNMKLTFNVSREWMPPFQLVTLQLDSCNIANGFPQWLRNQRKIEMLVLSNATVSGPLPTWLQKMPIIPFLDLSHNKLTGPLVNLPNGGNVNFFGQVFARGLLLQNNLFNGSIPRSLCTRTDLQYLDLAKNRLTGKIPNCFKNLKNLVTMRFSSNRLRGVIPSSVALNSLMRLRLNGNNFTGELPRELGNLRDLEVLDVGDNRLSGKIPVWIGENLTSLIVLRLHKNNFTGRIPRTLCKTPKLQILDVAYNNLRGTIPHCLGELSAMVRSDPDFLIDPDHDENLIQVMKGVELEYTKNLALLFNMDLSSNKLVGEIPVKLTALSMLVGLNLSNNHLSGEIPGTIGNLTALNSLDLARNELTGMIPPSMAALTFLSYLNLSHNNLWGQIPTGNQLQTLDDPSIYAGNKDLCGPPLPKGCSNPEDPTTTTTTRSKKKYEADDESMKIWFYVDIMCGFGTGFWGVIGVLLFKKHWRQKLFMFVEDTMEKIYVAFLVIVAKMKT >cds-PLY69378.1 pep primary_assembly:Lsat_Salinas_v7:2:3058147:3064565:1 gene:gene-LSAT_2X620 transcript:rna-gnl|WGS:NBSK|LSAT_2X620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNSAASVPVTMEPVDPVHRYGCGHGRLKAGHTIDVKRNTVKIEPDLNDTEKYHVTFSYDAQVDGCITVCIYYWVGQEKKEVFKTSKNAEKRPGLVQKFNEKGDGVKFPLHKKGLKDVYKLEIVAQADEDGSKNCILEKTEAVVQNKSGITKVKVKNQILSVNGRMSVFVDLNGRSYSTQTDADDPYNGCRICFDEKNPGIVFPIGGLLLIEKPIAEESNGTCLYKGTYDESPVIAGRIPKGNGTTDEMIQILKRSNLHHSNVLWHFVEEENEDFVFYVYERCECSLHDLISSVVHDKKSNEYRVTLPGFNNLKLWKPDGVSPSECLLKLLSGIVEGIAHVEEHGIIPNLNPQWIFICKTPSGQTTVGDSFNLGHLFCFCITAGYLPFDDETVQEQLKWIPEASHLISRLQNTNPDSRPTAKEVCDDIFFWDAKQHLSFIKDVSDCVDPDVCNAKAKILQLLNITDPQALRGKWVKSQKLDNVTNSKILKSLESLQGYSNWNTLIHPTLINNLERHRYYDYNSVCDLLRFIRNCSNHYKTLWNDSKHLLGGVEGLSTYFSSKFPKLVMDVYKVLENHLANEELLNKYC >cds-PLY93887.1 pep primary_assembly:Lsat_Salinas_v7:4:270463191:270463599:-1 gene:gene-LSAT_4X139381 transcript:rna-gnl|WGS:NBSK|LSAT_4X139381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGASTVTSTRPQYADYPSVIKKCNLDSNTTADGVILPACVPKRVPTLVLTNYTQSSYASYLNNSRYTGIGLGKEDDWMVVVLTTNTPAGTFANMAAPKVGSMLQLTQCFLVLMLVWFGIC >cds-PLY90401.1 pep primary_assembly:Lsat_Salinas_v7:9:174384845:174393166:1 gene:gene-LSAT_9X106701 transcript:rna-gnl|WGS:NBSK|LSAT_9X106701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCGGGRYHHRKTVMSPVMEKPKEESKRSECRSDVIKSYDNRCKQMASLIISPPLISSSKRNKPEFKTSCSSTHGGGMIISMQRPLEEIYSIRVEKNVSKERLSELGVSKWSTWKTGKCNVPWDWHVDQLVYIEEGEVRVVPEGSERHMSFVAGDLVRYPKWFEADLWFNGYYQERYSFRAYGDD >cds-PLY82707.1 pep primary_assembly:Lsat_Salinas_v7:2:146079009:146081442:1 gene:gene-LSAT_2X72240 transcript:rna-gnl|WGS:NBSK|LSAT_2X72240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTAISLFAPSLPLCKSQHLSRNTNPSPKSTLDTKFNRRNLLTTLGLTLIGETSGTGILVHPAAKAVPESPVEATSNTLSYSRFLEYLNEGAIRKVDFFENGTLAIAEISNPVLNKIQRVKVQLPGLQRELIRKLREKDVDFAAHPMETNMADAVLDLISNFAFPLILLGALLFRSSSPNTPGGPNLPFGLGRSKAKFQMEPNTGITFDDVAGIDEAKQDFEEIVEFLKTPQKFSAVGAKIPKGVLLVGPPGTGKTLLAKAIAGEAQVPFFSLSGSEFIEVFVGVGASRVRDLFNKAKMNSPCLVFIDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFSGDNGVIVIAATNRPEILDSALLRPGRFDRQVSVGLPDVRGREEILKVHSKNKKVDKDVSLSVIAMRTPGFSGADLANLLNESAILAGRRGNNKITMKEIDDSIDRIVAGMEGTQMTDGKNKILVAYHEIGHAICATLTEGHDPVQKVTLIPRGQARGLTWFTPNEDPSLTSKKQLFARIVSGLGGRAAEEVVFGEQEITTGAAGDLQQINQIARQMVTRFGMSEIGPWLLIDPSVQSSDVVLRMLARNSMSEKLAEEIDMEVKCIIEKAYEIAKSHVRKNRGAMDILVDVLLEKETLSGDEFRAILAEFSDMFSAKKEESANELIKV >cds-PLY92303.1 pep primary_assembly:Lsat_Salinas_v7:2:210322144:210322862:-1 gene:gene-LSAT_2X130641 transcript:rna-gnl|WGS:NBSK|LSAT_2X130641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTESSSTSDCSTTASFSDEELLLASRNPKKRAGRKKFKETRHPIYRGVRRRNSGKWVCEIREPNKKTRVWLGTYPTADMAARAHDVAALAMKGRSACLNFADSLWRLPVPESSNVKDIQKAAVEAAEAFRPAVEVEECKELAAVNGLYMDEEAIFGMPGFLANMAEGLMVPPPMTVGYDNCEDDVEFSFDASLWSF >cds-PLY68466.1 pep primary_assembly:Lsat_Salinas_v7:2:214223008:214225809:-1 gene:gene-LSAT_2X135941 transcript:rna-gnl|WGS:NBSK|LSAT_2X135941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTLPLLSPAKLAPTSHKPRNPSTKRTQLIQTLKNPTFEPLKDRLIRLSNVGQIQEAISVLDVMTQQHQLTPDLTTFSVLLKSCIRTRNFELGKLVHSKLNQSGIKLDAIVLNSLISLYSKCGDWVTAKTIFDSMGDDRLRDLVSWSAMISCFAHNGFELQALLTFIEMLRHGEAPNQFCFSAAIQACCNGENAWIGEVIFGFAIKTGYFESHVCVGCALLDLFSKGFHDLESAKKVFDKMSERNSVTWTLLITRYAQMGHHEDAIHLFSSMLSSGFMPDRFTLSSVVSACAELGFVSIGQQLHSWVIKSDLCSDVYIGCSLVDMYAKCATTEPMKDATKVFERMPNHNVMSWTAIITGYAQSGGLDTAAIQLYKNMITQGHVFPNHFTFSSLLKASGNLSNLEIGKQIHTHTVKSGLASVNCVGNSLITMYARSGIMEDAQKAFEVVLEKNLISYNAIVDGYVKNTDSHEAFKMFNQIEETKGTEAVDSFTFASLLSAAASLGALGKGEEMHARLIKSGFDSNQRVCNALISMYSRCGDIEAASRVFSKMEERNVISWTSIITGFAKHGFARNALEKFDEMVKAGVKPNEVTYVSVLSACSHVGMVDEGLKHFDSMYHEHKINPKMEHYACVVDLLGRSGFLEKALDFIKSMPFKADALVWRTLLAACQVHGDAKLGKLAAKMITEQDPDDPSAYILLSNLYASKGEWDSVVKIRKTMKERNLMKEAGCSWIEAENQSHKFYVGDTCHPRAHEIYKELDELVMEIKKLGYVPDTGFVLHELDEKEKEGYLVQHSEKIAVAFGLISSTSKTKPIRVLKNLRVCGDCHTAMKYISVARGREIVVRDSNRFHHFKNGSCSCNDYW >cds-PLY65149.1 pep primary_assembly:Lsat_Salinas_v7:9:159706448:159708591:-1 gene:gene-LSAT_9X100000 transcript:rna-gnl|WGS:NBSK|LSAT_9X100000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSFLPFLLLLLSFSLISAANPIPKATIFRQQLTSDPTTNNDIPPTTFFEVTKPIQLPNTKPCSTLLLQHDFGYTYGSPPVSAQYTPPSNCPSTDFAKIVLEWTATCKGRQFDRIFGVWLGGVELLRSCTAEPRATGIIWTVKKDITRYYSLLMSNQTQTLDVYLGNIIDSTYTGVYHVNVSIHYYPFEKKPVNSNHAHNEWADLIIPISRNLPGNDGLWFEIQNSTDVKTKQFAIPQNTYRAVLEVYVSFHENDEFWPTNLPNDYISANNLSGYPGDGPFREVIVSLDGKIVGAVWPFTVVFTGGINPLLWRPITAIGSFDLPSYDIEITPFLGALLNGKLHDFGFGVTNALNVWYIDANLHVWLDAKSEKTKGMFLNQKISPLHESLVSNFTGLNGTFLTSVQRSIKSTGWVESSFGKIVTESKQDFNYTNIMVMSKNGDLQIVNQIIEFNDSVDSKIPSFVHNTKSLKTFSFYIYSDTLDKGNKSYDQVANVTLGFNEKKIDNFGSKSSSSVLENIQNGQGSMLVKGNLVVSGLGSTQEMYNYSDDKFCYFRDISSSNYTIIYDKEGDTCTKSKKSRFNFSTGKSLHFPA >cds-PLY63189.1 pep primary_assembly:Lsat_Salinas_v7:6:86860914:86861559:1 gene:gene-LSAT_6X60121 transcript:rna-gnl|WGS:NBSK|LSAT_6X60121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDISFNQLGGLCTLCFLEEVDNLINQNHLFKRSIILIKAWCYYENCILGAHHGLISTYALETLVLYIFHGFNNSFAGPLEVLYHFLEFFINFDWENFCVNLWGPVPISSLPDVTSEPPRKDSGELLLNKVFLDACSSLYAVFPGVQDNQG >cds-PLY86134.1 pep primary_assembly:Lsat_Salinas_v7:4:231363963:231364398:-1 gene:gene-LSAT_4X126501 transcript:rna-gnl|WGS:NBSK|LSAT_4X126501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQLQPEMQCKFLESRAFMSFAHYKQGASYCFHLLNFRYEQAKFIEREMEQMIEQIKSIIQTLNVNQAGEVEASDGMGMAPLDVVVQILNSQLSSLMWIDENVVFIYLIL >cds-PLY75591.1 pep primary_assembly:Lsat_Salinas_v7:9:34423158:34426461:1 gene:gene-LSAT_9X31900 transcript:rna-gnl|WGS:NBSK|LSAT_9X31900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTYKGKDSQNTGGKKVCKQYLQRGFGLILEGCERVPLVVCISRLVAQKGLHLIRHAINHIQETGGQMIVLGKAPDSRVQREFEALADLGLLPPLDAPHHLRKHEWAPYKEGVTLALQPPTSVTTLVDVGLSKVKLMEERNIKSLDLNLAALCSKDLELNLAKSLLSEMGQCTTAYPYNQLFGALVSKNYERQDATLLSWNLMYIVD >cds-PLY75303.1 pep primary_assembly:Lsat_Salinas_v7:3:158291340:158293542:-1 gene:gene-LSAT_3X99020 transcript:rna-gnl|WGS:NBSK|LSAT_3X99020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISTLIRPTHFTALEHDTVKLLRYLAGTKNLDLGRVIHARLIVSNQISEDYILETNALIDLYSKCAELEYARHVFDKMSKRNVVSWGSLMSGFFQNGYSFEALRLFKSMVSENDEFCQPNEYIFASILSSCSNIGNIQLGKQCHGYVMKSGLVFYQYVKNSLVRLYSMVSDVVGAMEVLVSIPGSDTCTYNLILNGLIKNGYFTEAIDVLKKMLDEQIEWENSTYTCVFSLCAHLQDLYLGQQVHNKLLKSNVEFDVYVSSSIINMYGKCKEISSARKVFNMFKTRNVVSWTSILDAYSQHGLFEESLKLFLTMQNDDIAPNESTFSVLLNASGGLASIGYGHSLHGLIKKTGFISHTNVTNALIHMYSRIGDIKSSEKIFLSMISRDIITWNTMICGYSHHGFGKKSLNLFQEMLKSGQDPNQVTFVGVLIACEHLGLVEQGFYYLNELMKQKGVDPTLEHYTCIVGLLSKLGRLNEAFDFMLSTNIKWDTIAWRTLLSACNVHRNHTLGEKVGDFIMNLDPKDEGTYTLLSNIHAKGRNFSGVTKVRELMKKRKIKKEPGLSWLEIKNQTHVFVSGDKKHPEFIDILEKLKELFCKIKEIGYVVDTGDVLHDVEDEQKEDYIGFHSEKLAIAYALLKTHEEVPIRIIKNLRICDDCHCVMKLISKVTKRVIVVRDVKKFHHFEDGSCSCGDYW >cds-PLY96262.1 pep primary_assembly:Lsat_Salinas_v7:6:157457781:157459460:1 gene:gene-LSAT_6X95300 transcript:rna-gnl|WGS:NBSK|LSAT_6X95300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGLPEDAGDGSMLCTEHPFKNNTPAGGICAFCLQEKLGKLVSSSFPIAVFPSSSSSSPSFRSDIGGGGGSATTVVPPRLTNQTSLLVSNTQITPSNTTNECHYHQYYSNRSRILYLLSQKKKKKKDLNIIVDGGGGHGHDRNKNLMFKRSKSTATPRRGXXXXMHFMDADNVDGFNSPHKRGFWSFLYLQKHSHSSSSTRKNIRDISSNSMGASASAVGSFPQVQRSRQDKDTIVVEENESPCHASFDRKVSRSRSVGCGSRSFSGDFFERISTGFGDCTLRRVESQREGKSKVSGMRGNGGQDCIKERVRCGGLFSGFMITSSSSSSSSSSYWVASNPNEGTTAGNVNAAGKMTSTGGAGAGAGQNQQHSHGRSKSWGWALASPMRAFSKPSSTKREASNKNQTPNLAAIPSLLSVRS >cds-PLY99913.1 pep primary_assembly:Lsat_Salinas_v7:7:15837227:15839735:1 gene:gene-LSAT_7X12880 transcript:rna-gnl|WGS:NBSK|LSAT_7X12880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHTDQINPRDVCIVGVARTPMGDFLGSLSSLSATKLGSIAIECALKRANIDPQLVQEVFFGNVLSANLGQAPARQAALGAGIPNTVICTTINKVCSSGMKATMIAAQSIQVGANDIVVAGGMESMSNTPKYVAGSRKGSRLGHDVIIDGMVKDGLWDVYNDFGMGVCGELCAESFKITREDQDNYAVRSFNRGIAAQKKGAFKWEIVPVKVSLGRGKGTIVVDKDEGLTKFDETKLRNLRPSFKVEGGSVTAGNASSISDGAAALVLVSGKKALELGLKVIARIRGFADAAQAPELFPTAPALAIPKAISNAGLKPSQIDYYEINEAFSVVALANKKLLGIGDEQLNVHGGAVSLGHPLGCSGARILVTLLGVLSENNGKFGVAGICNGGGGASALVLELMPSSRMSHSRL >cds-PLY89479.1 pep primary_assembly:Lsat_Salinas_v7:8:92119341:92123175:-1 gene:gene-LSAT_8X64600 transcript:rna-gnl|WGS:NBSK|LSAT_8X64600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTENNTDSIMAIEETGKSLKETLRAQQQLLQKLYNELDVEREASSSAASEALAMILRLQGEKASVKMESEHYKRLAEEKMCHAEESIEIFEEQIHQKEMEIASLDCQLQAYRYKLASLGFEDIGASETSHESLLRRNSAPPKLLRLAYLKRNRSISPDSDIISRTLDENEGEDESLEKQSYWDQIRKLDKIVEEMADDEYVSLRVKSSKSSSGLSQFNELDENQSVKKLENDEMPSDTCSLSVHDVFEVPEIVLNHENEVKDNNGKLILESENKLEKVLIFPEEAIKSYEKMLLLKQKEKEVFLPRENIVVDCQMAIDCPVEGSSQQVKRREDEIEDEQVGITGKEEVLRLLNEINQKLDSIQFEIRNGKKKNEKMSQSYDLQMLQLSELKEIKTTHKIPSFITLIISIINTQTAVTITLNHLSQGVSLLDVSDCLRSIKGISVYHNHMFPFLPNLDHSNSLEKDEAFSSTNEVEASSKANEVVERLENLVEDSADLFVTEFYPRVYSDNKHLFSVEATISAKIKLLKNSSRLLRSTPSRRHFRGLN >cds-PLY64602.1 pep primary_assembly:Lsat_Salinas_v7:6:35879518:35879745:-1 gene:gene-LSAT_6X28481 transcript:rna-gnl|WGS:NBSK|LSAT_6X28481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYHNVPSKVVGGDQAVIVCEPMVMEKSISIQKIDLRKINNRNTNKIKNRGLMRLSIDFDYTNRFSTEKKKNIRSI >cds-PLY97196.1 pep primary_assembly:Lsat_Salinas_v7:4:241577429:241579715:-1 gene:gene-LSAT_4X129780 transcript:rna-gnl|WGS:NBSK|LSAT_4X129780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRISSGQFSGQIPNQGWTSMPGIPHPQQQNIMRNNLSMEPGFFKARQFIQERIYEFLMQRQKTQHIAPKKVMDIIRRLEEGPFKTATTKDALTQSNFENYSSKARDSLTVLHPPEPLAHSETSMSFKTMDDGQVLLYAVLTALATSFHALNPLKVPA >cds-PLY92493.1 pep primary_assembly:Lsat_Salinas_v7:2:152557292:152559005:-1 gene:gene-LSAT_2X76721 transcript:rna-gnl|WGS:NBSK|LSAT_2X76721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSALLRRTRHLSTAVATAAATDVTNSASMTISKAKSKLRTEHDTDKALDIYSTVKANYSASSPGSAHHAQQITVRRLAKSHRFSEIEALIESHKTDPRITDEHFLSSLIKSYGIAGMFEHALNTYNQMEALKTPRSALSFNALLTACLNTKNFDRAPLLFDEMPAKYRFRPDEYSYGILVKSFCDAGKPELAIDKLKEMDKKGIQISGITYTSIIHSLYKKGNPTEAEKIWDEMVNRGCLIDVAANNVRLMNAVDGDPENIKSMIEEMSNAALKPDTISYNYLMTCYCKKGMMKEAKEVYEKLEEHCCQPNAATFRTLLFYLCKNEQFETGYKVFKHSVKVNKIPDFNTLKHLVEGLAKKSRTKDAKGMIRTMRKKFPPNLLVAWSKLENELGLASNAIDPAREART >cds-PLY63191.1 pep primary_assembly:Lsat_Salinas_v7:6:87638933:87639496:1 gene:gene-LSAT_6X60981 transcript:rna-gnl|WGS:NBSK|LSAT_6X60981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAYLKNGFILLTGQKFGRKCILSRLNKINGRRMWEKFPCPTTLLPPNHHVPIGRPRKKRRKSIVELEDMVKGGKASWMDKSVTCSKSKKLGHNKRSCKVQSACDASSSKKGKVGNKAKSVGYGTSIKKRKDGKKDQSAGDGSSCKKGNVGKKDQSVGGGRQSGTQSACDGISSTTRKAGKKGKIIL >cds-PLY79993.1 pep primary_assembly:Lsat_Salinas_v7:9:45306233:45307820:1 gene:gene-LSAT_9X41160 transcript:rna-gnl|WGS:NBSK|LSAT_9X41160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISVTAPAACRSWTFDRSTPLASSSSSSSSSSRLSNLSSLQFHSRIPRLTLSNRRFTTFPSKSRTLILAQAKKQTFSSLDELLEKAEKPVLVDFYATWCGPCQFMGPILNEVSITMGDTLQVVKIDTEKYPEIANKYNIEALPTFILFKDGKPFDRFEGALTANQLIQRIEGTLKVKN >cds-PLY73487.1 pep primary_assembly:Lsat_Salinas_v7:2:100324943:100325473:-1 gene:gene-LSAT_2X42901 transcript:rna-gnl|WGS:NBSK|LSAT_2X42901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGADNQLIELLDLRPSIKRVMIYENGCYAGGTAIRLAKDLAENNKGARVLFVCSEITAIGFRGPDETHLDGLVGQALFGDGAGAIIVGSDPLPDIEKPLFQIVSASQTIIPDTKSMLRGHIREVGLKYHLHKSIPELIANSIENSLVEAFLPLGIVDWNSLFWLYIQGALRSWTG >cds-PLY79199.1 pep primary_assembly:Lsat_Salinas_v7:5:126368159:126370286:-1 gene:gene-LSAT_0X26101 transcript:rna-gnl|WGS:NBSK|LSAT_0X26101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEFEHLRIPIEIIKHATNNFNERNFVAQGGFGKVYKGVFVHSKGQTVGAVKRLDRSIDQADASFWREVMFLSGYKHENIISLLGICYDNEERIIVCEYASNKSLDFCLRDPNLKWIQRLKICLGVACGLEYLHDHKDTQQRVLHRDIKSANILLDENWNAKIADFGLSKYGPANQQHTFIFSDAKGTIGYCDPMYVETGLLTKESDVYSFGVVLFEVLCSRHCVDLRYKDERRILPMLEAFEVKAQEAKRKIIFLQNNVDQLYQEPEASLKNVVVNPLLDYEKICPPGGSNLIILYTTTVKTIPKTFQDCSSLRLILNGFKVLYQERDVSMHVDFRDELWRIMGKKVALPRLFIKGSYIGGADEVLHLHEQGKFRPLLAGIPIKKLEGPCKGCAGNLFLVCPNCSGSKKVNSGGRGLPKSCMNCNENGLIKCPICF >cds-PLY96190.1 pep primary_assembly:Lsat_Salinas_v7:8:101710123:101710833:-1 gene:gene-LSAT_8X69421 transcript:rna-gnl|WGS:NBSK|LSAT_8X69421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWTEVKRRKQPVQTGVRNIQWDSREITTFFVTNTPAGAHWGELREIFKKFGEVVYVFVASKRRRNRQYYAFVRYKGVTDVLGLERAINGVTYRGRKLVVNVSKNQRREPSLATGSRKMRAEPQVQKKVQTHDAFRDRRSYADVTSTGKRPGDAVNDNPTINIQSEEVIKRWIGKTTLIGAALSLNHLGHLLSLLALRNKVNFEIKYIRGLRVLFVFNYTVEREIDFFIKKTDRNL >cds-PLY79828.1 pep primary_assembly:Lsat_Salinas_v7:8:17522324:17527685:-1 gene:gene-LSAT_8X12301 transcript:rna-gnl|WGS:NBSK|LSAT_8X12301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITMELFSLSLLFTVSLSTIIFFLFRTTTASRRKIRLPPGSIGLPLIGETFQLISAYKTENPEPFIDARVSKYGSVFTTHVFGERTVFSADGETNRFILQNEGRLFESSYPGSIANLVGKHSLLLMRGSLHRRMHSLTMSFANSTIIKDHFLVDIDRLVRLNLDSWTGRILLMEEAKKITFELTLKQLLSIDPCEWSENLRKEYMLVIEGFFCIPLPFFSLTYRRAIQARKRVTEALNLVVGERRRERERGVKKNDMLAALFDSDGGDVVFHDEEIVDFLVSLLVAGYDTTSTTMTLAVKFLTDTPSALAQLQEEHDKIRANRGTSVALEWDDYKSMPFTQCVVNETLRLSNIISGVFRRAITDVKIKGYTIPKGSKVFTSLRAVHLGQENFKDARVFDPWRWQNTSDPINFMPFGGGPRKCPGHELARVALSVFLHHLITRFSWKPAEEDKLVFFPTTRTQKRYPIIVECRNVGG >cds-PLY83386.1 pep primary_assembly:Lsat_Salinas_v7:8:604303:605479:1 gene:gene-LSAT_8X1421 transcript:rna-gnl|WGS:NBSK|LSAT_8X1421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLSIVIKVKFGKTLRRFSASINDNNLALDTVALTEKIRSLFNFNPDVDFSLTYVDEDGDAVTLADDDDLRDVVRQSLNPTLRITIKLENGSHGGSSGAPNPTPTPTTFPMRRSQPQIPFGPIRNVLSEFLKSMPEPLHDQITKLPLELTSEATTSTPLISKLVEKMTHAYLNQISGSMDTPRAHTPSGESSTVKNNEAVVNPEATESSNSKKEQREGCKYI >cds-PLY75069.1 pep primary_assembly:Lsat_Salinas_v7:9:21859035:21860830:-1 gene:gene-LSAT_9X19220 transcript:rna-gnl|WGS:NBSK|LSAT_9X19220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKVFKDSLKALEADIQHANTLALSSPRDHDGATLQMRLSCSPAIDLFSFFFPWTDCKIAGALGLLRIFIYMTFADGKTTMCIQERRASIKDFYDVLFPSILQLESGITDLEERKQKEIYSKRYSRKDGFDKGKLSDADVEREIECGICMETNSRVVLPNCSHSLCLKCYRDWHERSRSCPFCRDSLKEVNVDDLWICIEANEVVDLSVISKENKKRLFVYIEKLPLIIPAAICDPDDSYLR >cds-PLY81995.1 pep primary_assembly:Lsat_Salinas_v7:8:263167741:263170004:1 gene:gene-LSAT_8X152940 transcript:rna-gnl|WGS:NBSK|LSAT_8X152940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMISLSKFLDKVYLMAEGGSRYCSKKSDDICGDVCDEDSGRSSTISRVRCILRGIELKTLVFLFVLVPTVILGLYIHGQKISYFLRPLWESPPKPFHEIPHYYHENVSMQNLCRLHGWGTREFPRRVFDAVLFSNEVDLLTIRWHELYPYVTEFVLLESNSTFTGLPKPLVFDSLREQFKFVEPRLTYGKIPGRFRKGENPFVEEAYQRLALDYLLRKAGIQDDDLLIMSDVDEIPSRHTINLLRWCDDIPSILHLRLKNYLYSFEFFLDNNSWRASIHRYKSGTTRYAHYRQSDVVLADAGWHCSFCFRHISEFVFKMKAYSHFDRVRFSNFLNPKRVQKVICKGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPAHLLENADKYRFLLPGNCLRESE >cds-PLY83658.1 pep primary_assembly:Lsat_Salinas_v7:4:41540896:41542911:1 gene:gene-LSAT_4X27661 transcript:rna-gnl|WGS:NBSK|LSAT_4X27661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKPYKKEVLLFYCVESEELARKISAQSDLIQLQSINWRSFDDGFPNLFINNAQEIRGQHVAFLACFSSPAVIFEQLSVIFALPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTMARILSNIPVSRGGPTSLVIYDIHALQERFYFGDHVLPCFETGMPLLKQRLHQLPDAQNIVIAFPDDGAWKRFHKQLDHFPTVVCAKVREGDKRIVRLKEGDPSGCHVVIVDDLVQSGGTLIECQKVLAAHGATKVSAYVTHAVFPKKSWGRFVHTDEKSKRAFSYFWITDSCPVTVKEIANKAPFEVLSLAGSIADALQI >cds-PLY67656.1 pep primary_assembly:Lsat_Salinas_v7:8:261511967:261516298:1 gene:gene-LSAT_8X153280 transcript:rna-gnl|WGS:NBSK|LSAT_8X153280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNGYVFNDYKSLPRVLLFEPGGSSAEVLLYGGKVVSWKNSQGEELLFMSKNTGGRFPKGGISLCFPQVANTGMMKEICWSKKNAKSFDNIPLRLTPTGNPSSVDLTLKTTANDSNTWPRSFELRLRVSLGPDKMTIISYIKNTDNASLSFTFALQNYLSVSDMSEVRVEGLETLDFHDNLQQGKRFTEQPDAITFDGEVDRVYTKTPGNIAIIDHNKKRTIVIRNEGLPDAGLWTPWDNATKASEIGFGDKDYQNMLSVDSGVLEKPIILKPLEEWKGYQELSIISSSYCSGQLDPKMVAFYAKV >cds-PLY94956.1 pep primary_assembly:Lsat_Salinas_v7:4:108058801:108062264:-1 gene:gene-LSAT_4X68661 transcript:rna-gnl|WGS:NBSK|LSAT_4X68661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEGEKQVDEGKIPLLTPYKMCNFELSHRVVLAPLTRLRSYGNVPQPHAILYYSQRTTKGGLLISEATDVSDTAQGYPETPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHVGRVSNTGFQPNGQAPISSTDKQLFPQLRSNGIEAAQCTPPRKLTTEEIPLVVNDFRLAARNAIEAGFDGIEIHGAHGYLIEQFMKDQVNDRTDQYDGSLQNRCRFALEIVEAIVNEIGPDRVGIRLTPFTDYMDAGDSNPEALGIYMAESLNKYRILYCHMVEPRWKVEGENVETPHSLAPMRKAFNGTFISAGGYEMEDGNTAVAENRTDLVAYGRLFLANPDLPKRFELKAPLNKYNRETFYTSDPVIGYTDYPFLDSRD >cds-PLY93120.1 pep primary_assembly:Lsat_Salinas_v7:8:252867010:252869686:-1 gene:gene-LSAT_8X148901 transcript:rna-gnl|WGS:NBSK|LSAT_8X148901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGKSVVSLVIFLSIIFITYFITIGGLGGGIGTVDLRSQFSFLSNTRNDTVIPCRTGSPLRVFMYDLPNRFNVAMLSRKFAGGDDNSPVTSRNLPPWPRNSGLKQQHSVEYWMMASLLCENCLKAESSEAVRVSDPEVADVFFVPFFSSLSFNTHGKNMTDPDTEIDRQLQVDILKFLRQSTYWQRTGGRDHVIPMHHPNAFRFLREEVNASILIVADFGRYSRVMSNLRKDVVAPYMHVMESFLDDDVPDPYNSRTTLLFFRGRTVRKSEGIVRAKLEKILRGYEDVHYEASYATGESIKASSQGMRSSKFCLNPAGDTPSSNRLFDAIVSHCVPVIVSDQIELPFEDELDYNKFSIFFSVKEALVPGYMVQQLRKIPKEKWLQMWKILKEITHHYEYQYPPKKDDAVNMIWRQVRHKVPDERLAVHRTQRLKVPDWWR >cds-PLY86071.1 pep primary_assembly:Lsat_Salinas_v7:7:139654557:139659088:-1 gene:gene-LSAT_7X83060 transcript:rna-gnl|WGS:NBSK|LSAT_7X83060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRIMLPATEVDLTKVKYNPGEIQAPNVTGLWLWIFVKLLETPLIGPVILSYLKKQNKITEMLKETVIPESPMFTPEFPPQEPEPEPGVVCIEEDKSSQHRVDSAIRCLPHYDPSSDLNLTPSFHYWKIRDYAYSYRSNITTPSIIAEHVISAIQEFSNKKPPIPLLISFDAMDVRKQAAASTQRFYTGTPLSVLDGIFMAIKDDIDCYPHPSKGGTTWFHEVHSVEKDAICVSRLRNCGVIFVGKANMHEFGQGTTGNNSNYGIARNPHDLERYTGGSSSGPAAIVACGICSAALGTDGGGSIRIPSSLCGVVGLKTTYGRIDINGALCHGTTMGNVGPIASSVEDIMLVYAAILGASPIDKITLLPSLPCLPDLSSLENSSILSSMRIGKYTEWFNDVLSPDISTKCEDALNTLSQTHGCKVVEVVIPELHQIRTSHLVSFGSESLASLTPHCQNGNDKKFTLDTRTNFALFRSFTASEYVAAQRLRRRLMYYHMEIFKMVDVIVTPTTGTTAPVIRPKSIKFGETNMKVTGNLMRFVISGNLLGLPAISVPVGYDKQGLPIGLQIMGRPWGEATILRLAAAFEGLRVETKKPVSYFNVLNKH >cds-PLY81478.1 pep primary_assembly:Lsat_Salinas_v7:5:334614411:334617654:-1 gene:gene-LSAT_5X184661 transcript:rna-gnl|WGS:NBSK|LSAT_5X184661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPCSSPKPTSSDSSSDHFNMDAILKSFLALSDSPSINASFDRLIECTSSDSDQNQLIQRALHLGSLLLEAGNRSARKCSSSHNAVIWPLSPDLTIKVFSMLDTQSVCYAAATCSFFQKCAMDPLCFANIDLATIVPKVNNAVVSTMIHRAGGALRSIKLGVLPPIPAPPFFSSQPLVYSIRNSNDASGFSWNDKRSRQGKESSILTRSCLSSLSVNGGAPGAHLRRLHLFNIERMDNTALWASLSACPSLLDLEIVGLHVELRHTLESISKNCPLLERLVFESSKTGRDDGLKFPTCNEFVLNCPNITTLALKGFKLHDYKARMLVKGLQKLKHIDLSTSYSFTGSFLKNLSANGGGDNLEVMILRDCMHLKEIEVERFMAAVLAGEFRHLKHLDISNREGLACEGDWYNRCYSASFIPIKEVLEQRPDFCLVAEFPVGSYIEVEEMTTGSDVSLPSPSASQSDTSGSDGSVFMSTLDHGNGSGSGNEDSVETSFVSYEEENSD >cds-PLY74665.1 pep primary_assembly:Lsat_Salinas_v7:5:176812381:176814014:1 gene:gene-LSAT_5X78720 transcript:rna-gnl|WGS:NBSK|LSAT_5X78720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLYEASLDGNSTALLILLQEDPLILDRVTLHNNDDMPLHVASMLGHIEFVKEIVIRKPHLAMEPDSQRRLPLHIASAKGHVEVVKALVSASPETCLARDRDGRNPLHLAAIKGRYDVVKELVQAHPHAARAMVQQETILHLCVKRNQLEILKFLAERGDHEFVNSKDADGNNILHLAVADKQIETINLLLLDTTIEVNATNTNGDTPMDILAQGPRDVKDKQIIQSLTRAGAFELKTEGFLGEVPQKFRIKMELDNSKYNKKPSLRSHLKIKDSNNDDDWLDKKRNTLMVVASLIATMAFQAGTNPPSGVWQDGSTTDPNRRAGYAVMVYNHPNLYHVFLVCNTVGFVSTLSIILLLISGLPFLKHRVFLWILMVIMWIATSSMSITYWVSISVLTPKPEARTFRNVVNGIVLVWIGLMSLLVMGHSFQLMNFFSKQTYRRKKGPIVINNAEI >cds-PLY86194.1 pep primary_assembly:Lsat_Salinas_v7:MU040735.1:82517:84574:-1 gene:gene-LSAT_0X27580 transcript:rna-gnl|WGS:NBSK|LSAT_0X27580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATVKKFQQKFKRVKDDMDKWDDLHARLVSQFRNASSIIGRLQLLESPKNYGSLKNLDNIKNTIFTKQMESLQKILLSMTKTLEELNGVVFSLAKTVRDAKQQVKGGSVQLSMKQLHQRIGVKPSIADCLEGLRILHEMYQSEYVLKSSVTSALVSLALKPSVGDLQSLERLLVDQPNIPNEEVQSIYDVIFAEEMC >cds-PLY65319.1 pep primary_assembly:Lsat_Salinas_v7:8:104014242:104017270:-1 gene:gene-LSAT_8X70441 transcript:rna-gnl|WGS:NBSK|LSAT_8X70441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILSLPSLILSPPKFFFPITISCCRRNPPYRHLLPSQPSPLSTPTIASTSGLASHKRHNSPENTAMMHMTFYWGPDVTLLIDSWKTDSWFSYFLTLIVCFLFAAFYQFMEDHRLRFKLLSSSHTAVGDVENVPLLYTKFFSNRRRAKFAGTVLFGINSAVNYFLMLAVMSFNGGVFVVIVVGLAVGYLLFRSGDDELILVEEDPCACG >cds-PLY75866.1 pep primary_assembly:Lsat_Salinas_v7:9:195598772:195598954:-1 gene:gene-LSAT_9X120060 transcript:rna-gnl|WGS:NBSK|LSAT_9X120060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAFLVENQHLKIKLEEIKSATNNFDNTTVIGKAGFGNVYKGLLSHSKGQIRVAFKGRKP >cds-PLY96619.1 pep primary_assembly:Lsat_Salinas_v7:7:46630524:46631135:-1 gene:gene-LSAT_7X36021 transcript:rna-gnl|WGS:NBSK|LSAT_7X36021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY74791.1 pep primary_assembly:Lsat_Salinas_v7:6:118513607:118514791:1 gene:gene-LSAT_6X70860 transcript:rna-gnl|WGS:NBSK|LSAT_6X70860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATHFLTSFIDNNPSMKSFIDRDNVPPNPTSPTSTTTTAVRQFVQLIRGGIVDDNFFPGDDSFDHQLNATSFILDSFDSHLGFFNFVSDNGIRSSEIVCSTAKMTFRSFKIIQKEEINDFAPFNEMGISQIYYQSFLKRFDHKLHEYTAITIFILAFAASYWFLVQLFVFTYTKIHGIIFVLVLNDFYKRSYSFAITYSNGSSLAVERLSGSFIVMRWVFRDVFIQLMCFWFLGGIDDPYSQLKIFIWSKFMPFSIMSPWDKGFEKEIYEFKVSCFLLDMLMSFVFNVGAWIVMADSRKNMNEVVQEVWHLLSLMIESATELKCCEYIVCGRNTRWLLTLCFGELFAMAFQSFMEVYLMVAWLMYYLSVKSIDANSRGQPFGQQELKAMLRDVR >cds-PLY63520.1 pep primary_assembly:Lsat_Salinas_v7:9:25046630:25050389:-1 gene:gene-LSAT_9X22360 transcript:rna-gnl|WGS:NBSK|LSAT_9X22360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKEIEGVFKSDALLLEKPIRRMPPFAITPKSSAYFSALTQEIDKKLHRAIASATQRRDLLQALFADIALEVDDRARDIILAREDATSEALVEVQVPICFYDVLADHFSLQPENGKPILVLIVQLWSQPFASHIFALLFHKWLFEVELDSADVLLRYSSALVQGATNVFWIDVQTNTTHFKSVFSYLLEDVALVPEKLKKIPLQTQRDLFLLLSRFIFLYNLVDKIESFLKNFPEYPNAFLVGGPADILVIELSDQLQKLKVEPVLLHYFSHIKPLQGLELRMTTSTRLKSCLYSFTSPGGPMYPTRAVRHAAWDALDFLFPVGRYPRHIISLFFRLLYPWYWPSSCWHFVLSFIQAIFFSILRRIFPRYKFKDPHEHQS >cds-PLY75749.1 pep primary_assembly:Lsat_Salinas_v7:4:333845853:333847620:-1 gene:gene-LSAT_4X164800 transcript:rna-gnl|WGS:NBSK|LSAT_4X164800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVNELPELDLSDFTSSTTTTPDLDDDQSRRWGDWSPVVDWDAFSVHPQENFHDLLEPEMDEDTDKTASYSDDDPDLVSPMMIEPVVDCHTDDIKGLRLVHLLMAAAEAMTSDNKSHDLAAVILVRLKDMVSTNEGTNMERLAAYFAHALHTLLESNGGGGGGGGGLHYKQQHHHRDDILAAFQLLQDMSPYVKFGHFTANQAIIEAVTHERRVHIIDYDIMEGIQWASLMQALISRKDGPPPPHLRITALSLPGSGRRSISTVQETGRRLVAFAASIGQPFSFHQCKLDPNETFKPTSLKLVRGEAIVINCMLHLPHFSYRSHTSVASFLSGSKTLNPKLVTLVEEEGPRVDGGFVGRFMDTLHNYSAVYDSLEAGFPMQGKSRALVERVFLGPRILGSLARVYREEGECGSWADWLLAAGYHQGNISFANHCQAKLLLGLFNDGYRVEESGCNKLVLGWKSRRLLSASIWTATQSD >cds-PLY70997.1 pep primary_assembly:Lsat_Salinas_v7:9:71437250:71438563:-1 gene:gene-LSAT_9X59200 transcript:rna-gnl|WGS:NBSK|LSAT_9X59200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIHPSAFYHQSLHYHIKSFLSPKKVTIQTFAESLKKQPLMAYQSRTRKRVNALRRAPDGSAFQTCESCGVSVAIVLVDMHDCESKNDVKRSKGEKREHKPLVWEELEQRESFAKNCDTKNLVEIEQKGFETWKNMSSQEKLAYELEAKKVNDAYFEKLLKESEDQMLSCVDEEVDSAEVGKFDKMNGFYDDDDTYDSYDSDYEGEKFN >cds-PLY71270.1 pep primary_assembly:Lsat_Salinas_v7:5:181734402:181734581:-1 gene:gene-LSAT_5X80901 transcript:rna-gnl|WGS:NBSK|LSAT_5X80901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTGSDPNSIIGVGINWGTMTSHQLSADKVVEIMRENGFKKVKLFEAEKRIMEALIG >cds-PLY81191.1 pep primary_assembly:Lsat_Salinas_v7:2:191741680:191745019:-1 gene:gene-LSAT_2X114921 transcript:rna-gnl|WGS:NBSK|LSAT_2X114921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTILFLCFGTLLISILITFAAAVDTISANQTITDGVTIVSAGEIFEMGFFRPGTSNNRYVGIWYKMISTRTVVWVANTENPLNDTSGVLRISQGALQILSGNNSVIWSSNSSASDRGSDLVAQLLDTGNLVLRDQGSIIWQSFDYPVDTLLSGMRIGVDLVTGKETYLRSWKSDDDPSPSAGQYVFRVDTNGYPQLFERHNMVPVSRFGPWNGVTFNGMPNLGENSIFTHQFVFNENEIYYEYALVNNSLISRMHLSADGKMEDLIWVNRTQNWTTYSTASSVDACARYATCGSYANCDISNAPACSCLDGFEPRSTEEWNLADWSSGCQRITPLACGNGDGFRTLSGVKFPDTNRSVYDLSMTLGECETTCIENCSCTAYASLDIRDGGSGCLLWFGDLMDIRVYDETQELHLRMPASELPSSTSPEYGSGSRKKKPTITIAVSASLASFLVCLVIALYVAWRKKKRSRQRRQVLMQAREEKYTNDDDRNKDTELSAFSLSMIAKSTNNFALDNKLGEGGFGPVYKGVFEDGREIAVKRLSATSSQGVDEFKNEVGCIAKLQHRNLVKLLGYCIQGDERMLIYEYMENKSLDFFIFDASRSLMLDWPLRFHIINGIARGLLYLHQDSRLRIVHRDLKAGNILLDKDMNPKISDFGLARKFSGYETEANTNKVVGTYGYISPEYAVHGLFSVKSDVYSFGVLVLEMVSGKKNRGFSQQDYNDTLIGHAWKLHKDGKSVELVSSSLRDSCVASQVLRAVHIGLLCVQHHAEDRPTMSSVVLMLGNENYLPPPKQPAFFAEERFVTEINSMSSAPTLDSVNEVTVTLLDAR >cds-PLY77095.1 pep primary_assembly:Lsat_Salinas_v7:MU042616.1:592703:594996:1 gene:gene-LSAT_4X76860 transcript:rna-gnl|WGS:NBSK|LSAT_4X76860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHPSPETSKKRAIEELSDSQDDHHPTTITRQRRGNRGNMVNQAKKLNSDKVHSYLENLWLSIPEDKKSSFTHLDPLWYTLYSSDSNKEKVLNWIKKKDIFSRKYVVFPIVQWGHWSVLIFCHFGESLGSKFKTPCILLLDSLAKADHSKQLEPVIRKFVSDIYRNLERTEDKKLLLKMPFLVPKVPQQRDNQECGFFALYYIKLFVENAPESFSISNGYPYFMKKDWFSSEGLDGFCKTLDSSHK >cds-PLY99791.1 pep primary_assembly:Lsat_Salinas_v7:9:52506642:52508776:-1 gene:gene-LSAT_9X47060 transcript:rna-gnl|WGS:NBSK|LSAT_9X47060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTIASVKYQHHLKXQGNSNESVESNKKLIDSIAKGLIGLAAVVSVCFDSPAPAESLTIAFPASRTLEVGECCAVNSRRDLGSRRNSALATLDGIDVMGSRLADEVISVIERNPKVEKISFIGHSLGGLISRYAIAKLYTQNQTYQDRSGKIAGVEAINFITVVTPHLGSRGHIQVPMFCGVRGLEKVGCHSSVVVRRTGRHLYLKDKANGQRQTPLLVQMANDSEHLKFISALHSFKCRVVYANVHSDPLEIDLVGWSTSSIRHQIQLPKIKNLVRSGRYPQILKEDAKNVTK >cds-PLY99775.1 pep primary_assembly:Lsat_Salinas_v7:9:53314942:53317665:-1 gene:gene-LSAT_0X7500 transcript:rna-gnl|WGS:NBSK|LSAT_0X7500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIVYVKQAVEEDTAGNYAKAFTLYMNALEYFKTHLKYEKNPKLKEAITQKFTDYLRRAEEIRAVLEDGGGNKPSSNGGDTGVATRPKSNKKNGDGDGGEDSEQSKLRSGLNSAIIREKPNVKWNDVAGLQSAKQALQEAVILPVKFPQFFVGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVSNLFQMARESAPSIIFIDEIDSLVGQRGEGNESEASRRIKTELLVQMQGVGNNDDKVLVLAATNTPYSLDQAIRRRFDKRIYIPLPDVKARQHMFKVHLGDTPHNLTDRDFEQLGYRTEGFSGSDIAVCVNEVLFEPVRKTQDAMFFIKTSDDTWVPCGPRHPGAIEITMQDLAAQGQAEKILPPPISRTDFDKVLARQKPTVSKSDLELHDRFTREFGEEG >cds-PLY69150.1 pep primary_assembly:Lsat_Salinas_v7:5:285839739:285840213:1 gene:gene-LSAT_5X149921 transcript:rna-gnl|WGS:NBSK|LSAT_5X149921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLMKMACVMVACMVVFAPHAEAAVSCGQVASSLFPCLTYLRNGGVVPDTCCAGVKGLNSAAKSTADKKTACGCLKNAYNALPGIQAPLASSLPSKCGVSIPYKISPNTDCNKYVNF >cds-PLY64317.1 pep primary_assembly:Lsat_Salinas_v7:4:28202114:28211258:1 gene:gene-LSAT_4X19600 transcript:rna-gnl|WGS:NBSK|LSAT_4X19600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMNQQCRCETRIQHTIRTHITYKCDPSEKWLVLIGIAPGSPEKPQLGKGNMHLFSVYQQRSQALEAHAASFASFKVSGNVNPSILIYFATKSSNAGQVTSKLHVIELVAQPDLFFPPDFADDFPVAMQISHKYGLIYVITKLGLLFVYDLETATAVYGNRISPYPIFLTSEASSVGGFYAVNRRGRVLLATLNEATIVPFVSGQLNNLELAVNLAKRGNLPGVENLELSIVVYHIEISKPKGHIAALLMPSIPLIGQRKKRNKCFCIHVLPFLEEKR >cds-PLY76755.1 pep primary_assembly:Lsat_Salinas_v7:7:62193503:62194871:-1 gene:gene-LSAT_7X43880 transcript:rna-gnl|WGS:NBSK|LSAT_7X43880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHINQTYEFVKKMREDYKKLDKLEMSIWECCELLNEVVDDIWMNCKSTNCYRQTLEKFYSLQDLGSYHSGQLWMTHTLLVVLLTNHFFMTSYMLQRKMRLLCLNVDYLSFLIILSILTAMHRYGAYKHLMNEEDVEKLKWLQMFNKHDLQVKVKSMLMLKMLSITITHIK >cds-PLY92662.1 pep primary_assembly:Lsat_Salinas_v7:2:159848810:159849221:1 gene:gene-LSAT_2X82841 transcript:rna-gnl|WGS:NBSK|LSAT_2X82841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKESWVTLGNTLKEKFDMDTSQKQLKNAFDNLKAKYVGWKYLRNKSGNLYNAQTNSCALVNTEWEEFKKGHPKAGSLRTHPLPYPNLCASLFDGSSANGSIKWTST >cds-PLY95435.1 pep primary_assembly:Lsat_Salinas_v7:9:190256856:190258435:-1 gene:gene-LSAT_9X117441 transcript:rna-gnl|WGS:NBSK|LSAT_9X117441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSAAVLNGLGSPFLSGGKRSQTLLLPGDYGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGIPWFEAGADPGAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPESQSVDWATPWSKTAENFANFTGEQGYPGGKFFDPLAFAGTLNNGVYIPDTEKLDRLKLAEIKHARLAMVAMLIFYFEAGQGKTPLGALGL >cds-PLY80585.1 pep primary_assembly:Lsat_Salinas_v7:6:13334690:13336872:1 gene:gene-LSAT_6X9780 transcript:rna-gnl|WGS:NBSK|LSAT_6X9780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRGRGRGSGRGNPFFGFGDPFSGFGGMPSLFGGRDPFDDPFFTQPFGGIFSGSPFMDMNPFGSSLFGPRASPFINQQPPRIHEIRPSLPNNSRGPIIEELNSDDENEQPDDEHNKKENPGTHFRSEIQPYIEHPDDEFEGGQRRGTQFGNDFSIMNNNTHNHPQTHSFTFQSSTVTYGGSNGAYHTSSTTRRSGSDGLRFEEYKEADSVTGEAAHRLSRGIHDKGHTLARNLKSDGKVDTMQVLHNINEDEVDGFEEAWKGKARKHLPGWIGGPSINEGLGEGSRSRRALPSTEGTRGHEVSSSVVGVRRKPDAAEHAQTSKIRRH >cds-PLY86050.1 pep primary_assembly:Lsat_Salinas_v7:3:195538167:195540752:1 gene:gene-LSAT_3X116681 transcript:rna-gnl|WGS:NBSK|LSAT_3X116681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQSKDQLLYQQVRIGNIKRIKDFHRIGASLEWKDKEGYTPLILASMNPIHYNVAKTLLELGANVNYYRSGCHSGTALHHAAKKGLEQMVKLLLLHGASALLMNDDDQTALDVARVNGYSDVVRGIENHICLFSGWLRALYIVPGFAQPPPRKVWVVIVRCGARKLTKPFKLELVIYSSLEIRRPQNKEKSQWQNNDAGTSSNSVNYPRHTVPSAPPISDIMDDDLVHYLSIDSTPIENEKTNNDSSTCVVCLDAPIEGICIP >cds-PLY63801.1 pep primary_assembly:Lsat_Salinas_v7:6:24788773:24789933:1 gene:gene-LSAT_6X19961 transcript:rna-gnl|WGS:NBSK|LSAT_6X19961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVKEKSGPCEVKSTTTKVTQSASISPNRISASPLPPCNSSSSSFYRESLSTDTSLKRSKENIHTSIDIIGHVDSSKSTTTYKLGGIKKEAFNLIDKDGYSCITTKELGTIMKLLGQNRTEVELQDMINELELEGNGTIDFLEFLNLLTRKMNTQRGAIFTDIKNNVNKIAKWTTPAIVTSANMMRMGYTTRVHPCDHFDLVILAIVGNKQKGIDGQIGTKFDDQFTPHEKFLLLPLNLKIAKLKEKLTTSREIQASLVEIEDRNASFNGLPEWIGAFGVSFVLNKLIGVSYKFEDTRSGDELTEKYREFVLHFVIPGTPFKIYGKEKGKTKRSPRSVWMLYTISIHLEDKVVLLGWGIVMHQLLQYMIDKGNMGNSTNKRTLGTI >cds-PLY68779.1 pep primary_assembly:Lsat_Salinas_v7:8:65906309:65910368:1 gene:gene-LSAT_8X46361 transcript:rna-gnl|WGS:NBSK|LSAT_8X46361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2-5 [Source:Projected from Arabidopsis thaliana (AT2G03620) UniProtKB/Swiss-Prot;Acc:Q9ZPR4] MEESRDPLLQPNNVTESVNTNGDNSRFNLINRGGKNQNFIGPGFPGLKKRGHAVGNRSWIKIEQNGNSKVVELDKATVMRHCCLPARDLRLLDPVFIYPSTILGREKAIVVSLEQIRCIITADEVFLMNSLDGSVVQYKSELCKRLQEEKYHSDDLPFEFRALELALEFTCLTLDTQVKELEMEIHPVLDELAKSISTLNLERVRRFKGHLLALTQRVQKVCDEIEHLMNDDGDMAEMYLTEKKQRNSCNDLYDQVQGNIISTSTMGTGGPTLGMGMVSKSAPVSPVGSASASGSIKLQRAFSNISSHNKHGSLLSSSVTGEPENIDQLEMLLEAYFVGIDNTLNKLLSLKEYIDDTEDLINIKLGNVQNKLIKFELLLTAATFLATVFAVVTAVFGMNFEDDVFNEPNRFNWVVSISASLCGVLYICFLFYFKHKKVFPL >cds-PLY76486.1 pep primary_assembly:Lsat_Salinas_v7:1:109977207:109979107:-1 gene:gene-LSAT_1X87200 transcript:rna-gnl|WGS:NBSK|LSAT_1X87200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTTTTTVAATMDRRTYWCHECDMSISLIPSSNTATANLLCPHCNSDFLEELDSPITFNNATNPNSDNHEDSNQLLFPSFLDPSSPAAEYANSFQFSSVAPSDDNFLLDSPYFHRVINHLFNSEDSNPSTTATTSRHHSPASKSAVEAIPSVKITAAFLEIDPVVICAVCKDQFVVYDETKELPCKHMYHPDCILPWLSQHNSCPVCRFQLPTDAVDGDSKVRRRSRSRVLRLGDLIDDDDDEELLGFGLRHLARRHRLVFPVRHHHFRQPQTEEVQPEDFFSSTQIAEEVEVDAPPDSRRTNSLETVSSWPNWAVDGGAVDGDEITVNTARLDDDADVMMS >cds-PLY83880.1 pep primary_assembly:Lsat_Salinas_v7:3:51105546:51107336:-1 gene:gene-LSAT_3X40860 transcript:rna-gnl|WGS:NBSK|LSAT_3X40860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLICSMFHLCRSGFLPEPAQPLKPPDDSSPNTVPSFPVQTESELCRLDLSAELFGGVNAACGRNLDRSRCCPVLAAWLFAAHARSALEVNASAPATTDAMPMMPDDSQNCVNSLQSSLQSRNIHIPQPNATCDAVLCFCGIRLHQIGSLSCPAAFNITTTSRNATPTAAVKNLEKNCRNSSYAGCTKCLGALQKLNEGKNGTRKSHHEGGDRASKILTRDCQLMGLTWLLARNKTAYIPTVSAVLRAIMYSAHPPHESKCSPDQENMPLAVDSLQFEKSASSSSRAGFLVMTIMPLVLGFV >cds-PLY81957.1 pep primary_assembly:Lsat_Salinas_v7:9:152891124:152895570:-1 gene:gene-LSAT_9X97140 transcript:rna-gnl|WGS:NBSK|LSAT_9X97140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERMLKAARASGSLNLSNRSLKEVPDDVYKSLDAVGEGENWWEAVELQKLILAHNDIELLKEDIRNLPMLSVLNISHNKLSHLPAAIGELKSLKSLDVSSNLLHEIPEEIGSVTSLIKFDCSNNQLKELPSSLGNCQDLSDLKATNNGLISLPEDISNCSKMLKLDLEGNKLTVLSEKLIGSWTSLTELNAGICISITNNAAKNTLNGIPENIGGLSRLIRLDLHQNRISVIPSSIMGCSLLAEFYIGNNFLTSIPAETGKLTNLGTLDLHSNKLKEYPVEACKLKLSVLDLSNNSLSSLPPEIGLMTTLRRLVLTGNPIRTLRSSLVTGPTPALLRFLRSRLPAEEESGTTSESKEKVIVMASRLSLASKELSLGGHGLSVVPPQVWETSDITKVDLSRNTIEDLPVELSSCASLETLILSRNKIKMWPSAILKSLQHLVCLKLDINPLKQIPSDGFEAASNLQILDLNGNAGCLPEYPAFSSLMQLQELYLRRMQIPEVRVDIISLPKLRILDMSQNSIQSIPEGFKNATCLQELRFSDNNISALPAELVCHTLPHSYS >cds-PLY66374.1 pep primary_assembly:Lsat_Salinas_v7:4:118991976:118995019:-1 gene:gene-LSAT_4X74861 transcript:rna-gnl|WGS:NBSK|LSAT_4X74861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKNKNRQPDFEDSAAATAAPVRPLSKSTATNRIERQEWEIDPSKLVIKSILARGTFGTVHRGVYDGMDVAVKLLDWGEEGHRSEAEIQSLRAAFTQEVVVWHKLDHPNVTKFIGATMGSSELQVQTENGQIGMPSNICCVVVEYLPGGALKSYLIKNRRKKLAFKVVVQMALDLARGLSYLHSQKIVHRDVKTENMLLDKSRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPDMPRCCPSSLANVMKKCWDANPDKRPEMDEVVTLLEAIDTSKGGGMIPGDQPQGCLCFRNSRGP >cds-PLY69390.1 pep primary_assembly:Lsat_Salinas_v7:8:214937523:214947094:-1 gene:gene-LSAT_8X136441 transcript:rna-gnl|WGS:NBSK|LSAT_8X136441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVECSRQSVSIPGGAATEENGSLLLIAGTTRSILLKEWALSWEHGYFSACFIT >cds-PLY72589.1 pep primary_assembly:Lsat_Salinas_v7:3:197956388:197963123:-1 gene:gene-LSAT_3X117060 transcript:rna-gnl|WGS:NBSK|LSAT_3X117060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCB1 [Source:Projected from Arabidopsis thaliana (AT2G26760) UniProtKB/TrEMBL;Acc:A0A178VPU5] MGTPNPEKSIKYRLECLVDQPGHQYETINHGPSTLLHVLCSLLIRSLGLLPVSTVPLLTFELPESSSLREQCQDDADVNQKHPVQGERRNRRALGDIGNLVPASTLNEIGKPQLQITRPITRTFRAQLVANAQAVDKNAKKPQEEASNADVAQKKHEETPRRKLKKPTKSLTSVLTARSKVACGITTRPKDPIINIDQSDINNELAEVEYVEDIYKFYKLTETEGGLRDYMNSQPDLNAKMRAILVDWLIEVHRKFELMPESLYLTVNIVDRYLSMRTVPRKELQLVGISAMLIACKYEEIWPPEVNDLIAISDNAYTREQILGMEKAVLGVLGWYLTVPTPYVFLVRYTKASVPSDVEMENMVFFLTELGLVHYSIVMGNSHSKLAASAVYAARCTLNKTPAWTGTLKYHTGYCEDELRNCAKSLVNFHACASETKLKAVYRKYVNPEKGAVALFPPARSLMVELGGGESS >cds-PLY86127.1 pep primary_assembly:Lsat_Salinas_v7:4:231352931:231356477:1 gene:gene-LSAT_4X126480 transcript:rna-gnl|WGS:NBSK|LSAT_4X126480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADGDHKGELEDSPAIIALGSLLKLTEIHFWVDLYTGMPYDSTYLECKETAMDEAISSSKTESSSVSVETELSRQMNELGLPLSFCTNKEKRNGKVRGNRKYVNKKVLHTHEETRDEASLKDDMQMEATPEHVNGGTCSDMIVDDKRENPKDERIDDTIDSGRNDESGDWMIYWDEFYERNYYYNSRTHESTWEQPPEMAYLDSVYVLNEMKEMVFKIDDEAYAIKNDIKEENSGVLQHDCRLSTTLSDDKLNELLSSKEDDGNMHLTPKKLYTEADDVVYKRKKKVKRTKAHKKSSVDNKEVEFEVSMDPIISKYWCQRYLLFSKYDEGIKMDEEGWFSATPECIARHHAFRCRNGIIVDCFTGVGGNAIRFASNSIHTIAIDIDPKKIEYAQHNASIYGVKHLIEFITGDSFILAQNLKADTVFLSPPWGGPHYAKARNFDIITMLKPHNGQFLFNVAKQIAPRIVMFLPRNVDINQLAELSLSVNPPWKLEVEKNFLNGNLKAITAYFTDPSL >cds-PLY70806.1 pep primary_assembly:Lsat_Salinas_v7:4:58534688:58536294:1 gene:gene-LSAT_4X40001 transcript:rna-gnl|WGS:NBSK|LSAT_4X40001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSHSPSSSQDPTNPTPILLAAPPQTSTASSRRLPPPCWSQDETAALIDAYRDKWYSLRRGNLRASHWQEVADDVANRCPLSSGIPQKTSIQCRHKMEKLRKRYRAEIQRAAATPKGHRYPSSWVHFKRMDLMEKGSSSSDPDAMNQDEDDEEEGDRDVDNQDGLLLYPRGIKQDIPLPPHQRFYSSIDNGTGHLNGNENGFRIKIPGRANTAAVPPPSAGMNMYNNNNSAYDDYPPPVKSNYGLGKGLRDGYAKGGFGIGKDRGGGIKRREEVTGNSNNPVEDMVTAIKMLGDGFMRIERMKMDMARELESMRMEMEMKRTEMILDSQQRLVDSFSKAVFEKNKKLKRMPTPES >cds-PLY84255.1 pep primary_assembly:Lsat_Salinas_v7:7:77172110:77176056:-1 gene:gene-LSAT_7X54981 transcript:rna-gnl|WGS:NBSK|LSAT_7X54981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSSSSDLSGDEEGFLLNDGGPLPFPVDSLLQPAPCGFVVTDALEPDSPIIYVNSVFEIITGYRAEEVLGRNCRFLQCRGPYAKRRHPLVDSTVVSEIRRCLDDGIEFKGELLNFRKDGTPLMNRLRMTPIYGDDEVITHIIGIQFFTEVDLDLGPLQGSSTKGTIKPSDGFRSSLFSCRLTPDQTRGSTRGLCGILQLSDEVISLKILSRLTPRDIASVGSVCRRFYEVAKNEDLWRMVCQNAWGSETTRVLETVPGAKRLGWGRLARELTTLEAAAWRKVTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSKPEWQHVKVGSPPPGRWGHTLSCVNGSNLVVFGGCGTQGLLNDVFVLDLDAKHPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPVWREIPVTWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEDEPCWRSVTGSGMPGAGNPGGIAPPPRLDHVAVSLPGGRILVFGGSVAGLHSASQLYILDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSELHELSLASSVI >cds-PLY85948.1 pep primary_assembly:Lsat_Salinas_v7:9:99963116:99963750:-1 gene:gene-LSAT_9X73881 transcript:rna-gnl|WGS:NBSK|LSAT_9X73881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSASSKRNFELQADHPCKCDMPSRVKISRTPDNPGRKFRVCQNSLSARTPRCNFWEWLEDDEYQLQKNSDLGQIYNLTFKLGNLENEITYCRKKLEEEKNSDLVFRQELDKVKWKLFTHKAALFVLFFFFVCNESYD >cds-PLY99623.1 pep primary_assembly:Lsat_Salinas_v7:4:82264080:82268064:1 gene:gene-LSAT_4X54061 transcript:rna-gnl|WGS:NBSK|LSAT_4X54061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSNIQNNEDLVTNLPGQPNVDFQHYAGYVTVNENNGRALFYWFYEAWTLPDEKPLVLWLNGGPGCSSVGYGATQEIGPFIVGTDGNDLQLNPYSWNREANMLFLESPVGVGFSYSNTTSDYENLGDDFTANDTYAFLHNWFTKFPSYRTRTFYIAGESYAGKYVPELANLIYDKNKDPSLFINLQGILLGNPETSDAEDWKGLVDYAWSHAVVSDETHKTIRESCDFNSNDTWSNNDCSQAVDEVLRQYKEIDIYSLYTSVCIANSAYSQNNALQVMFKRTTSAKMMPRILAGYDPCLDDYAKGYYNKPQVQKALHVSNGFQLKNWSICNMDVFYGWSQSKDSVLPIYKKLIDAKLRIWVYSGDTDGRVPVLSTRYSLSSLNLPILRPWRPWYNQKQVGGWVQEYKGLTFATFRGAGHAVPIFKPRESLAFFTSFLLGESPPSQR >cds-PLY77877.1 pep primary_assembly:Lsat_Salinas_v7:1:26716887:26718522:1 gene:gene-LSAT_1X22601 transcript:rna-gnl|WGS:NBSK|LSAT_1X22601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGWAIAVHGGAGVDPNLPTERQEMAKQLLTRCLNLGIAALRSSVPAIDVVELVVRELETDPLFNSGRGSALTENGTVEMEASIMDGPGRRCGAVSGLTTVKNPVSLARLVMDKSPHSYLAFSGAEKFAKQMGVDRVENDYFITQDNVEMLKLAKEADSIVFDYRIPKVCGTGVQSPIHMNGLPISIYAPETVGCVVVDSQGRCAAATSTGGLLNKRMGRIGDSPLIGAGTYACDLCGVSCTGEGEAIIRGTLAREVAAVMEYKGLRLQEAVDFVIKERLDEGKAGLIAVSNTGEVAYGFNCVGMFRGCASENGFMEVGIWD >cds-PLY70321.1 pep primary_assembly:Lsat_Salinas_v7:4:98920490:98922983:-1 gene:gene-LSAT_4X64021 transcript:rna-gnl|WGS:NBSK|LSAT_4X64021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTHEAINQLKALMDQVDEPLKRTFQNVHQGYIVETLDRFLKAREGNVAKAHKMLVDSLNWRLQNGIDDILSKPILPVNFYRGVRDSQLIGVSGYTKEGLPVFAIGVGLSTFDKASVNYYVQSHIQINEYRDRVILPAATKKHGRYIGKCVKVLDMSGLKLSALNQIKLLTTISTIDDLNYPEKTITYYIVNVPYIFSACWKVVKPLLQERTKLKVQVLQGCGRDELLKIMDYSALPHFCRREGSGSSRRSNNNTTEDCFSLDHPFHQELYNYMKHQSGIQEPTKPFKQGSMHVQLPLADPKKTEFCKTLASEWRKFETQDDLSISLHDIKISD >cds-PLY75609.1 pep primary_assembly:Lsat_Salinas_v7:9:33754013:33754408:-1 gene:gene-LSAT_9X29801 transcript:rna-gnl|WGS:NBSK|LSAT_9X29801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVKEALDNWIKEGNDLSRWEISFMMYELRKRRKYLEAFQVSKRLESHEKVEFGEKDYVQHVDLVVKAWSLQMHKTTSRTRFLSLLKEKLSTNLS >cds-PLY98096.1 pep primary_assembly:Lsat_Salinas_v7:MU038094.1:42475:43342:-1 gene:gene-LSAT_0X22780 transcript:rna-gnl|WGS:NBSK|LSAT_0X22780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLWLYPLLFCSNVDRLPFLLSDFLEGKTVAHDLGSDDLDFPLIDYSLTYSGSTSSNTQEEEEGDSELSVKVHDSYDDATGDRTPPALTGPMAISNDDNGEQSLVPWKRGMDSVASAALATVLASGEGSCGRVLKRSYSLDPRAFSSFSSSLGVGCP >cds-PLY83648.1 pep primary_assembly:Lsat_Salinas_v7:4:40861824:40862861:1 gene:gene-LSAT_4X28301 transcript:rna-gnl|WGS:NBSK|LSAT_4X28301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISLTKLCKPLYSFSFPSIYLSSPIHSISSQRLLEQSIKSAIESKNYQQIANLLNDSNKTSQTSNPFSFLLNFPQNHRTKVINEVLQSFVPLRPRCHPRVAYSHLLSFTLQSPNPLPLSLAILQRTLRSGCSPIPQTHLLLSSVWLHQRKQPNQTVPTILLQMKSIGYQPDTGTCNYLISSLCKVDQFDEAIQVLKCMAKAGCVADVDSFSSVIGPLCDLRKTKQVEELMKEMVSRYRLSPRKEMVVKVIKSMRANKEVDKTVEMVNFLEEMNLEIGFECYELVVEMCLEGSLFVLAGKLAIRMTNKGFIPHIKVRQKVFQKLVDAGQVELAYFLKKRFTELNS >cds-PLY82360.1 pep primary_assembly:Lsat_Salinas_v7:5:313235352:313236932:-1 gene:gene-LSAT_5X170140 transcript:rna-gnl|WGS:NBSK|LSAT_5X170140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDTNIKDESPKSNLSPQKDVDQSVIPLSSTSSNMEEEEEEEEEEEVEYEEEEEIEEEEVEEEEEEVEYYEEEIEEEEEEVEYYEEEIEEEEEEVEYYEEEIEEEEEEVEYYEEEIEYEEEEVEYEEVIEYEDEDEETNKESAVKEDEKKDKEVKKGEELGEDPKSKEKRASSRDKSTKKSVVNGDNKPESSSRKKGPKRVESMGMVFMCSSKTKTDCFRYKILGLPANKQDQVAKIYKGMRLFLFDVDLRLMYGIFKAAGPGGYNIEPKAFKNEFPSQVRFSVLDDCLPVAEEKFKDVIKENYYTRNNFEGLLKADQVKKLCMLFTVTQQVENHRTRSDERRIRNREDESRDQKKLKIRDQEEERRFHPRSWSHSPPRREKRRYNDYEQPPVLYQRATTVTVRYLPPPPLPVASPATSYPYDHRAYREXSVSGDVIPL >cds-PLY99162.1 pep primary_assembly:Lsat_Salinas_v7:8:113818051:113820376:1 gene:gene-LSAT_8X75341 transcript:rna-gnl|WGS:NBSK|LSAT_8X75341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACCSIQSIVPSVSALSSSTLSYSSVIVLPVNYAKFPNRNLKRNRKKFEVCRAMVQQTTVQGASATYAKEMERLSAKESLLLAFKDAGGFEALIGGKTSDMQKIDVNERIVGLERLNPTPRPTTSPFFEGRWNFEWIGYGSPGLFAARLLSERFPTSIANMSKMDVVIKDGYAKITANMKFLNAIENKFIISTKLTVEGPLRIKEEYTEGVLETPTVDEGTIPEQLKGALTQALNTMQQLPSPIKDAVSSGLRLPLNGAFQRMFMISYLDEEILILRDTSGVPEVLTRLDVDQSPIDPIQEYES >cds-PLY77765.1 pep primary_assembly:Lsat_Salinas_v7:2:170083634:170085832:1 gene:gene-LSAT_2X91381 transcript:rna-gnl|WGS:NBSK|LSAT_2X91381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRRELILDLFRLCSSCSSVIQLHSLTIKTGFVQDSFIAAKLSNCYIKYSSLEATRKLFDETLQPTVYIWNSLLKCYCMKKSYVETLQLFRDMLSVEIPDQATISIALKACSGLWELGYGRMLHGFVKKKYEMDSDLFVGSALIDMYTKSGRLDEALRVFEEYPDPDTVMWTHIITGYQQNSDSYLALEFFSRMLMEGHVSPDSVTLVSVLCAYAQLPDLMAASCVHGFVIKKGFENVLSVCNALLNVYSKSGSLVSAATLFRKMEERDAISWASMVSCYAHNEAADDALNLFNEMMCKRVEPNLVSVISALQACEAMCNLEEGKKIHEVAVRKGFELDVFVSTALIDMYMFCSSPDEAMELFERMPKKDVVSWIALLNGCVQNGMAYKSMEVFVNMLSIKIQPDAILMVKILTSCSELGVLQQAMCLHGYVIKHGFEDHSFVGASLIDSYSKCGSLHNAIKVFKAIDDKDIVIWSTMIAGYGIHGKGREALDLFNSMVKISRIKPNNVTFLSILSACSHAGLVKEGMEVFNIMVNEYQLPPELNHYAIMVDLFGRMGELDKAIEVINDMHVAVGPQIWGALIGACRIHHNTKLGKIAASKLFGYDSSHVGYLILLSNMHAVNKDWDNVLKLRSMIKRNKLTKVNGQSAIEVKSEVHSFTVDDRFHPLGETIRGLLRSLEVTMREEGYNL >cds-PLY81034.1 pep primary_assembly:Lsat_Salinas_v7:9:177606542:177610925:-1 gene:gene-LSAT_9X108940 transcript:rna-gnl|WGS:NBSK|LSAT_9X108940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor subunit 77 [Source:Projected from Arabidopsis thaliana (AT1G17760) UniProtKB/Swiss-Prot;Acc:Q8GUP1] MEDKYSVESAEALANEALRLPISEAVPIYEQLLATFPTAAKYWKQYVEAHIAVNNDDATKQIFSRCLLNCLQIPLWRCYINFIRKANEKKGLEGQEETRKAFDFMLSYVGSDIASGPVWTEYINFLKSLPAQNPQEESQRMIAVRKVYQKAIVTPTHHVEQHWRDYENFENSVSRALAKGLVSEYQPKYNSARAVYRERKKYVDEIDWNLVAVPPSADYFLHLIQEELQWTAWKRFLAFEKENPQRIDSVSANKRISFTYEQCLMYLYHYPDIWFDYATWHAKSGSIDSAIKVYQRSLKALPDCAMLRYAYAELEESRGAIQAAKKVYESLLGDDANATALSHIQFIRFLRRTEGVEAARKHFMDARKSPNCTYHVYVAYATMAFCMDKDAKIAHNVFEAGLKRYMHEPGYILEYADFLSRLNDDRNIRALFERALSSLPPEESIEVWKRFSQFEQTYGDLVSMLKVEQRRKEALARTGENGASLALENSLQDVVSRYSFMDLWPCSSKELDHLSRQDWLSSNGNKKVEKSSLVNADKNPGGQILSNVSSKVIYPDTSRMLVYDPTKQKPGVSISTPVLPSISTTLLSSSVLATGGAPSVPIVIPKPLPPALSAFIASLPPVVEGPVPDVDYVLSICLQSNLPIMEQPASIDVNKFKPRDRQHGKRKDADSI >cds-PLY84937.1 pep primary_assembly:Lsat_Salinas_v7:6:15855714:15857730:-1 gene:gene-LSAT_6X10360 transcript:rna-gnl|WGS:NBSK|LSAT_6X10360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDVQVAYNYSYVPVEAYLYFVDNGRFSVDGVLSDNPVTPSAAFRKGKPLIISFEGAIGEFPGCSDSTYRGLCKQQSFIEDYGPNIKISPQGHNYIGLNNRLVTVNGTLQQLVQAINLILFKLSEDLYYLQSVGPLFPYVAGSTRSRKNTNNSWAS >cds-PLY75983.1 pep primary_assembly:Lsat_Salinas_v7:1:42112089:42115424:1 gene:gene-LSAT_1X35621 transcript:rna-gnl|WGS:NBSK|LSAT_1X35621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNNKKETLDMSDIGASLPAAAAAALSAGDRAGLVNALKHKLQNLSGEHSDVLENLTPVVRKRVEVLQGIQAQHDEIEAKFFEERAALEAKYQKLYEPLYSKRYDIVNGVVEVDGVKGEDSMDQTDDKAKEEKGVPNFWVTAMKTHEILAEEISERDEEALKYLKDIKWCRIDDPKGFKLDFYFDTNPFFKNSVLTKIYHMIDDDEPILEKAIGTDIEWLPGKCLTQKILKKKPKKGSKNAKPITKTENCESFFNFFSPPQVPEDEDDIDEEVAEELQNQMEQDYDIGSTIRDKIIPHAVSWYTGEAAQDDEFEGIDDEDDEDEEEDDDDEEDEEDEEDDDDDDDDDEEEEDNKPKKKSSGHKKGGAAGGAQGEQPPECKQQ >cds-PLY81661.1 pep primary_assembly:Lsat_Salinas_v7:2:23766443:23769244:-1 gene:gene-LSAT_2X13561 transcript:rna-gnl|WGS:NBSK|LSAT_2X13561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESDSHRDAWNELGFALFYLDIQTRKFLVDYERIPVTDEKSPKEHDFDTLVDRISRVDLKKEIIFNCQMGHGRTTTGMFIATLIYFNRIGASGTFFLSL >cds-PLY86430.1 pep primary_assembly:Lsat_Salinas_v7:1:10365983:10366325:-1 gene:gene-LSAT_1X7620 transcript:rna-gnl|WGS:NBSK|LSAT_1X7620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRVINMENNFEDVNRNERSLMQEMCKHVVDRSQGQLIDITVCDFVNKELLEYIADRSSQLRRLDHWHFAMVKLMKSGLNL >cds-PLY79682.1 pep primary_assembly:Lsat_Salinas_v7:5:251664552:251664827:1 gene:gene-LSAT_5X125981 transcript:rna-gnl|WGS:NBSK|LSAT_5X125981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVVSADVESRNHQDKDRQRRTSRFLRGTPVATFLRHYFLFLLSLMLPPFTSVHRCSATSYVAIEAPPPPSGQPPPHVVAPLSPLAIFR >cds-PLY73320.1 pep primary_assembly:Lsat_Salinas_v7:8:260085986:260089719:1 gene:gene-LSAT_8X150700 transcript:rna-gnl|WGS:NBSK|LSAT_8X150700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLHNTIPSINRSSGIKSSSIESNSRAYLQFPRANGAKFLLSRQQKRQLTVNSVVDSASVDQYYGLTESDARNPTLSTSFRSQRDRKPNLTVLEAQTRVCTGPTQTKPLTEAQAFKVLDTILRSAKGDLKDEEQVSKAQLGAFFAAMTIRANTFPEATQWSEGERRAMDAYWPHLVRTLPSDIIFLADPEGSIMRLGSSIGPQYVGNGSHEMRLVGALREVLAGGHLGYEEVQGVLKDVLPLLEHDTVSESLLSAFLIGQRMNRETDRELKAYCLAFDDELGPVPVADVRSLTHYGEPYDGNTRFFRSTLFVAAVRSCYNEASLLHGVDWMPPKGGITEEQMLKFMGANTCITPLQAKTLLEDEAVGFAYVSQREARPSLYSLIEMREHIKKRPPLATTEKVQQFVRATGKEAMVAGFYHEGYEEPLLMLMRRRGVHAGLVVKGEEGALSMTMKMRSINASKGLPVNYCSGFRSLNTPPHYDVDGVSRESFSLIVDANEYGFEPSETPRTDRSVSKNIELGLDALRGEKGPAYDRIVLNAGMTDHLLGCSGAEDVSTALDRARDAIDSGKALTRFLSYIKISHKLK >cds-PLY67433.1 pep primary_assembly:Lsat_Salinas_v7:6:69193692:69194202:1 gene:gene-LSAT_6X50580 transcript:rna-gnl|WGS:NBSK|LSAT_6X50580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY62640.1 pep primary_assembly:Lsat_Salinas_v7:3:37144954:37146615:-1 gene:gene-LSAT_3X27501 transcript:rna-gnl|WGS:NBSK|LSAT_3X27501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGKFAISGTSFLLNTGAKIPAIGLGTWQSGGGLCAEAVKTAISAGYRHIDCAHLYGNEAEVGEALSHVFMGSIKREDLFLTSKLYCTMNSINKIENSLRVSLKNLGVSYLDLYLMHWPESPEFGDATDPPAHSSSEYRQLWSKLKTTWKAMERLVDLGLVRAIGLSNFNIQQINELLKFARIIPAVNQVELHPFWRQDELVKFCRSKSIHVSAHTPLGVPTGPKQSENGSSEEDPETHRMSFRRSRSVHGPMLKLSVVAEIADRHKKTPEQVILRWGLERGTSVLPCSVNPDRIHENIDIFSWGLSDDEYERLNQIEPQVCLFGNGPSDAVSENEGSVLGSGPLQSVDEDDVE >cds-PLY86092.1 pep primary_assembly:Lsat_Salinas_v7:7:139328559:139330236:-1 gene:gene-LSAT_7X83480 transcript:rna-gnl|WGS:NBSK|LSAT_7X83480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEISQFRGFSELSGIEDPCFNSQWPFNSFDDQLNPMNMEAAAFGDITHHLYNSHSPIFDHYKPILEPSPRPTKQPKTSSWNSCITPDNSMMNHNLHYANDSLIANQANLVTPKEEGTVSSKRTFGFACDSNFQASQVQFGNQNHHHGGGGSGGGVKPTTTSNTIRGPATPQDHILAERKRREKLSQRFIALSALVPGLKKMDKASVLGDAIKYLKTLQEKVKTLEEQTKKRSNTESVVFVKRYEILAEGGEISSSDENFSGGPVHEQLPEVEVRFSGKDVLIRVHCEKKTGVVEETLAEIEKLNLSIINTTAMTFASYALDITIVAQMDQEFTMTMKDLVKNLRSALKKFM >cds-PLY77286.1 pep primary_assembly:Lsat_Salinas_v7:4:163878820:163883060:-1 gene:gene-LSAT_4X97540 transcript:rna-gnl|WGS:NBSK|LSAT_4X97540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSFGAPSTTITASTAVTSRVSPTTYSLLPFTVSPLSDSQRSSNFCFQQLLSCSSRFNCYRNKATAEAAETMAGSIASASGSKQALISLSDKKDLDLLATGLQELGYTIVSTGGTASALEKAGISVRKVEELTNFPEMLDGRVKTLHPNVHGGILARRDQNHHMEALDKHNISTFELVVVNLYPFYEKVTSTNGVTFDDGIENIDIGGPTMIRAAAKNHKDVLVVVDTQDYPLVLQFLKSNKDDQQFRRKLAWKAFQHVASYDSAVSEWLWKQTEEDKFPPTFTIPLSLKSSLRYGENPHQKAAFYTDSSLSEFNGGGIATAIQHHGKEMSYNNYLDADAAWNCVCEFEKPTCVVVKHTNPCGVASRDDLIEAYRLAVKADPVSAFGGIVAFNKEVDEALARDIREFRSPTDGETRMFYEIVVAPSYSQKGLEILRGKSKTLRILEAKKNKSGKLSLRQVGGGWLAQDSDDLTPEEIKFDVVSKKVPEASELSDAKFAWLCVKHVKSNAIVIAKDNCMLGMGSGQPNRLESLRIALRKAGDEVKGAALASDAFFPFAWKDAVEEACESGIGVIAEPGGSIRDGDAIDCCNKYDVSLVLTGVRHFRH >cds-PLY95535.1 pep primary_assembly:Lsat_Salinas_v7:6:173823459:173829875:1 gene:gene-LSAT_6X105000 transcript:rna-gnl|WGS:NBSK|LSAT_6X105000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G58200) UniProtKB/Swiss-Prot;Acc:Q8L7W1] MATTGSLLFSRELGINRSSVYNSRPKGKRYKGHTQIFAAGISSHTSLQEAWSVHLLTLQKSIKPTPTRCNILVCRSLFSPNGGNQIPLLKTAATVLTRSYEAFHGRSLVVQLIPAVGVIAFAAWGLGPLMRVFRILFLQKSDNSWNKSKEYQVMTSYVQPLLLWGGAVLICRILEPVILPSASSQAVKQRLLNFVRSLSTVLAFAYCLSSLIQQTQKFFAENKDPSDARNMGYEFAGKAVYSAVWVASISLFMELLGFSTQKWVTAGGLGTVLLTLAGREIFTNFLSSVIIHATRPFVLNEWIQTKIQGYEVSGTVEHVGWWSPTVIRGDDREAIHIPNHQFTVNVVRNLSQKTHWRIKTHLAISHLDVNKMKNIVADMRKVLAKNPQVEQQKLHRRVFLDNVDRENQALLIMISCFVKTSHFEEYLCVKEAIMLDLLRVISHHRARLATPIRTVQRIYRDADHDDVPISDIFSHNRAAAANRPFLLIEPSYKINGEDKSKPSSRPGPGPTTNPNIEEKDTKPITPDPITDPNPKPSNVGPSPIGSGGGNNPKQEVEKARSALEDNIVLGVALEGSKRMLPIEEDEMGPPTPSPSSSSSSSSSESKELASCLNGGGAPPSKDQKNDVGSVGPGTSTTSSNEQEKR >cds-PLY86520.1 pep primary_assembly:Lsat_Salinas_v7:4:198498885:198507386:-1 gene:gene-LSAT_4X112541 transcript:rna-gnl|WGS:NBSK|LSAT_4X112541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVAFLWILPLWIISFLVASRVVKRPIISAFLDDLLMRIRLSAVPNFLLSAVPIASPACYTPSPPPTCSATVAACGLLHRRRPPSASGLLHRDMDSKEQSEKLKNYEAQYANYLKAKYFSDKDIYGGNIFEEKTTIGGMTIRASSEPGTRSYADPVGYWNEKYVLRVEPSTETTTTTNISNGNHSSKNSA >cds-PLY73471.1 pep primary_assembly:Lsat_Salinas_v7:2:98959974:98960967:1 gene:gene-LSAT_2X43540 transcript:rna-gnl|WGS:NBSK|LSAT_2X43540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTNPISTSRKPETPHKIWFHNEYTGQIKSTISTISNIKTPPSTVTLGEVWRPLCGSMGEIVYIWVERTSGEDDDNLWQIVGDDFIVRKVLATSSIV >cds-PLY87042.1 pep primary_assembly:Lsat_Salinas_v7:5:265086947:265088047:1 gene:gene-LSAT_5X135961 transcript:rna-gnl|WGS:NBSK|LSAT_5X135961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKKNKSTKKEINRGAWTAEEDEKLAEAIEIHGPKKWTVIAAKAGLQRCGKSCRLRWLNYLRPNIKRGNISDQEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKKEIMEKETGYCSTRDCSERRRVVEMEDHVKDIGVECSKVNFNVDEFFDFSNEDPSTLDWVNTYLAGTSSIFM >cds-PLY64104.1 pep primary_assembly:Lsat_Salinas_v7:1:2040967:2041519:-1 gene:gene-LSAT_1X1920 transcript:rna-gnl|WGS:NBSK|LSAT_1X1920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAWKNAFDASIDGVVTWFHTSEVYGSRASDSFYFAVAHPFAINGVVFCSRFLAAKSNAHKLQYLLDLNLYLLNINPYGM >cds-PLY71738.1 pep primary_assembly:Lsat_Salinas_v7:3:46766254:46769317:1 gene:gene-LSAT_3X36081 transcript:rna-gnl|WGS:NBSK|LSAT_3X36081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGIEDDEPPSKRVKASSKEQRGLSNGKFLEKPANHSFAASMARPLALTSQGDDEVIGTKGVKKVEFVRIIAEALYSLGYSKTGACLEEESGIPFHSSTVTVFIQQILDGNWDGSLSSLHKIGIVEESVIKSASFMILQQKFFELLDHGKLMEALKTLRTEISPLEINSNRVRELSSFILSPAYRIIDGVSGQGMVKLKPRSQLLEDLQKLFPPTVMIPDRRLLQLVEQALDLQREACLFHNSSVGETSLFTDHHCGRDQIPSQTVQILQDHNDEVWYLQFSQNGKYLASSSSDNSAIIWEVDLDGKLSLKHRLIGHQKSVSCVSWSPNDDQILTCGLEEVVRRWDVSSGECLQVYEKGLIGLISCNWSPDGKCVFSGLTDKSIIMWGLDGKEIESLKGQKTIRISDIQLTSDGKLIVTICKETMILLIDRESRNERCIEEDQMIVSFTLSKDNKYLLVSLVNEELHLWNIQGHIRLVAKYKGHRRSRFIVRACFGGLDQSFIASGSEDSQVYIWHRGSGELIETLEGHSGAVNCISWNPANPHMLASASDDRSIRIWGLKQLHVKQKGKGKLVSGNENGNGVHYCNGATT >cds-PLY73760.1 pep primary_assembly:Lsat_Salinas_v7:2:95238897:95240428:-1 gene:gene-LSAT_2X40580 transcript:rna-gnl|WGS:NBSK|LSAT_2X40580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELLATSMILLTISYVTFWLTKLVLGTGKTCYMIDYQCYKGKKEMKLDTEQCAKIVWRNKNLRVEDYRFLLKTMVNSGIGEETYGPKSIILGEEEHPTLVASLSELDDVFYDTLDSIFSRSNISPSQVDILVVTVSLISPVPSLASRIINHYNMRSDIKAFNLSGMGCSASLTGIDLVQHLFKTHKNKIAIVVSTESMSSHWYCGREGSMMLSNVLFRVGGCSMLLTNDRDRKKHAIMKLKCMVRSHFASDDEAYNCCMQIEDDEGYEGFRLRKTLPIVAGRALMKNLRVLLPKVLPLWEIIRYACLKSGSKINLKTGIEHFCIHPGGRAVIDEVGINLGLSDYDLEPSRMTLHRFGNTSSGGLWYVLGYMEAKKRLKKGDRILMISLGAGFKSNSCVWEVTRDLDRTNVWKDMIEKYPIKQTTNPYLEKYAWINDEDMDFVTREDADKILGFA >cds-PLY74629.1 pep primary_assembly:Lsat_Salinas_v7:7:40711599:40713125:-1 gene:gene-LSAT_7X32380 transcript:rna-gnl|WGS:NBSK|LSAT_7X32380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTSAVGPSSAMKESPNWLLMPDELMENILGRLSSVEKLRSAGKLCRTWRRICKDPAMWKVIDIDILLDGCDTNHKIEMLTKQVVDLSCGELIDVSVGGFCTDDLLDHIALHSSKVKRLYLWCDQMTGSGLSRAVKRLSQLEELEFSYIYINAEDIEVIGRNCPQLKSFKIPHMRYTVTGPYLQCDDQAVAIANYMRELRHLQIYGDEMTNDGLEAVKIYGDEMTNDGLEAILNGCPHLQSLDIYMFCSFELDRNLVKKCMERIKDFKHNSTQNSDDMYVDESSEADD >cds-PLY69836.1 pep primary_assembly:Lsat_Salinas_v7:6:3897063:3897762:1 gene:gene-LSAT_6X3501 transcript:rna-gnl|WGS:NBSK|LSAT_6X3501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRQSLGSPASKLLTHGVIFAGAGVIKDDISNSFITEDFQNHRKDKSASSSSGASDVDEEHKSQKPYQQKSILISSSTRLVHLIPLLTFLCFLVLYLSSHDPSRKDLTPFSGFTTLSSKNANIDSMDIGFLEIEKKDVLAIRSMRNLQQQEGGHRLHRKFGH >cds-PLY76430.1 pep primary_assembly:Lsat_Salinas_v7:8:154948191:154955697:1 gene:gene-LSAT_8X105381 transcript:rna-gnl|WGS:NBSK|LSAT_8X105381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTKCIKNRESSSSTLPWLTPSLIIIILSFISIHPTTSTSFLNNRYTTTSNFALSSSIKANPHRILSSFPNKKQSNLQRIEASLAQARAAIKKAASMATNNSIDDPDYVPSGSVYRNPNSFHRSYLEMEKRFKIFVYEEGDPPIFHSAPCYGILGLEGIFINDMEISRFRTRDPEKAHVYFLPFSIITLINYVYIVGSHDWNPMYNTVRDYIKVISQNHLYWNRSHGADHFMFACHDWGPKISTSVPYLYKNSIRALCNANTSEGFKLSRDVSIPEIYLPHGTTEGLLGGPPPSKRTILVFFSGGVHGYIREVLLKHWENKTEDGVKILKYLPKGEDYNQQVRKSKYCICASGWEVASPRMVEALYMGCVPVLVKDDYAKPFSDVLNWDTFSVDIPTKKIPQLKDILMAIPQRKYIKLQRNGVQVRKHFVVNLTPKRYDVFHMMLHSIWLRRLNIHIRDMHNEM >cds-PLY76873.1 pep primary_assembly:Lsat_Salinas_v7:3:4848028:4851086:1 gene:gene-LSAT_3X2560 transcript:rna-gnl|WGS:NBSK|LSAT_3X2560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKLEQLKQFVNKCESDPSILNDPSLSFFRNYIERQKPYVVEESDEEVEPPQEEEEEEDEIIESDIELEGETVEPDNDPPQKMGDSSVEVSDENRDASQEAKAKGMEAIANGNLEEAIEYLTEAILLNPTSAIMYATRATVYIKMKKPNAAIRDADAALQINPDSAKGYKSRGMARSMLGQWEEAAKDLHVASKLDYDEEISCVLKKVEPNAHKIEEHRRKYDKLRKEREDRKSERERQRRRAEAKAAYEKAKKQEQASSSERPGAGGFPGGFPGGGMPGGFPGGGFPGGSMPGGFPGGGMPGGFPGGGMPGGFPGGGMPRGAAPGGGMPGGMDYTKILNDPELMAAFQDPEVMEALQDVMKNPGNLAKHQANPKVAPVITKMMNKFGGAK >cds-PLY89006.1 pep primary_assembly:Lsat_Salinas_v7:3:73447942:73448337:1 gene:gene-LSAT_3X61620 transcript:rna-gnl|WGS:NBSK|LSAT_3X61620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDLNRSQDEISLANLALNRIQIGALDQLIDPVILGSDPDAEIMRTIPSVAELAFRCLQYYSEMRPTMNEVLDVLEDIQSLGRIDDDDSKPLPPSETSDTAVLLKEFPPAPVSGEWLSDCTGSTTISAR >cds-PLY63556.1 pep primary_assembly:Lsat_Salinas_v7:9:151466768:151467991:1 gene:gene-LSAT_9X95760 transcript:rna-gnl|WGS:NBSK|LSAT_9X95760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIGDEVKVLVPDLSTVKRAGVAAYNRTSAAVTKIDQVVRVDGIQKLHQYLPDGETRAQIGLFATTLAQNTAKYAVYEGFKHIPGAKVASKLVSDTIHEVKQQNQKDGMKATPQQESYSSENGKSNSQNENENEGGGGHWRSKL >cds-PLY86481.1 pep primary_assembly:Lsat_Salinas_v7:9:183608639:183609365:1 gene:gene-LSAT_9X113141 transcript:rna-gnl|WGS:NBSK|LSAT_9X113141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICDIFGYGTEINRRAFRVLKSDQLQQINRFLFLPDSPIVSSFLFLSQLRRCSFQNQRPKSIASFVNYLPFDCVLHESNTEEPQKQLKEYSIQNPSSYIIDSPDTIQRLHNRISICEVVSEIETVEVKDSESTSFGRLKHTMIYDSEKLKDMACWGEGLKFPVISKPLVSGQNKECLDKLKPPIVLQEFMNHDDVIFKVYVVGEYVQYMKRKSLADIIEEN >cds-PLY98776.1 pep primary_assembly:Lsat_Salinas_v7:7:24270411:24277519:-1 gene:gene-LSAT_7X20341 transcript:rna-gnl|WGS:NBSK|LSAT_7X20341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKHTSEELLNTTLVFEAEGGVLRSTSLFPYFMLVAFEGGGVLRGLVLFLFYPLVCLFSTDMGLKIMVFICFCGIKKEKFSIGRTVLPKFFMEDLGFEGFQVVMRCGRKVGLSELPIVMVEGFLMDYLGVDRVLGRDLKVVCGYFVGLMEEGPSTRSSFLINDVFGDRKSDSNLIGFGCFNKTLDHHIFSHCKEIYIVSEAEKRRWRALPRDNYPKPLIFHDGRIALMPTYLITLAIIMWVPFGFLLTILRIIIAISFPYTMSIPILSYTGMRGRTYICSNYTINVDKIREYPIKSEGKKKGTLYVCNHRTLLDPIYISMAIMKPVTTVTYSLSRLSELLAPLKTSHLSRNKEKDSKMMEMLLNQSDLVVCPEGTTCREPYVLRFSPLFAEMSNEIIPVALDAKVDMFYGTTASGFKFLDPLFFLLNPIGIYHVMILEKWTSANTCGKSSIEIANQVQRQIADALGFQCTNLTRRDKYMILAGNEGVI >cds-PLY74407.1 pep primary_assembly:Lsat_Salinas_v7:2:14065914:14066186:-1 gene:gene-LSAT_2X7141 transcript:rna-gnl|WGS:NBSK|LSAT_2X7141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFRHQNSVLSLQGWQQMDVSGNGKGPSGVGDVCPESFMNPKSPIDLEWPWSSEAAKLIECGSFQGELVSDEGSQMRRALWNTWRLSATI >cds-PLY95281.1 pep primary_assembly:Lsat_Salinas_v7:9:111238302:111239604:1 gene:gene-LSAT_9X80320 transcript:rna-gnl|WGS:NBSK|LSAT_9X80320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 91C1 [Source:Projected from Arabidopsis thaliana (AT5G49690) UniProtKB/Swiss-Prot;Acc:Q9LTA3] MDIPHQKAQFLKIAFDLLESPLVIFLESTTPKPDWIIFDYASHWLPSVASKLGVSTGYFSLFTAATQAFLGPPSQLLNDKVKQRSTVEDFCRVPEWVPPDSNIVYRPHEIMKYSEGAVGNESGVSDTVRFLSSIDGCDLVLFRTSVEFEPLWFQLVCELYQKPVIPVGVLPPSLENHELDDYGNCDVEIGELTRWLDEQQVNSVVFVALGSEAVLSDAELTELALGLERSGLPFFWVIRKSITDSIQTLPDGFLSRVKGRGIVYAGWVPQVRILSHFAIGGFLTHCGWNSVIEGLAFGRVLICFPVMNDQGLNSRLLSGKKLGVEIPRIETDGSFTSEALAESIIVAMVSEEGEVLRANAREMKREFGDVSKNDHYIDACIRHLVEMRKP >cds-PLY82091.1 pep primary_assembly:Lsat_Salinas_v7:1:128456384:128460259:1 gene:gene-LSAT_1X96520 transcript:rna-gnl|WGS:NBSK|LSAT_1X96520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTGVKEVSAMQKAPEGGDLIAVIIHEGEDLKGKHHTHHSVCVLFHGEEKRTKGYNSSVFSGIFRLCSKLSLEEVRAVEDDGGPSMVFCDIRRPRSCKGYSIMYSSLKVPPEGIPSVAMSLFSCGWSTHERNIASIDVSTVVIALDCGFVPVLHGDAVLNESLVKLMEKRNMKPLDSNLATLSTRCSKELELNLAKSFLSEMGHCTTVYPYN >cds-PLY86833.1 pep primary_assembly:Lsat_Salinas_v7:1:16526394:16527173:1 gene:gene-LSAT_1X14481 transcript:rna-gnl|WGS:NBSK|LSAT_1X14481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTKSDPIILEPKHETGSNKIEPVVDSSTTSDKNDLLELISASDSEDDDKKIFPHASPSSTISSIDSTLKDSCQDSDSKNGGKVNEGIDYTSETPPIQVMERPTTPKYRIPSSVFARKQSKSQDWAMASNESLFSIQMSFNYQDSINWKSGDLERYIQEVETIPESNETGKTRGSETGKDHELCDEGKSPELSGEDKNQSLGDVSKNKNKNHGSSIPDEPVNPNSQENQGRKSVVDDRISHLSAESESAKSFTFPV >cds-PLY71272.1 pep primary_assembly:Lsat_Salinas_v7:5:95858731:95860607:-1 gene:gene-LSAT_5X43481 transcript:rna-gnl|WGS:NBSK|LSAT_5X43481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSLQSSSGAEEECDSRAAVHDSGFIITHHHQPHPPPPPFNHYMFDQNQINNPLMFTLSPQHQPHNLDSAIWSKTLPSFSDHQFFPSSSQTAAHTVPFSPVTTSNTEPITTSIPPPPARNPKKRSRASRRAPTTVLTTDTSNFRAMVQEFTGIPAPPFTSSSPMFPRLSTSFDLFGRSSPMMRSNTNNFDNQPQLPPYLLHPFPQKLQSSSSSISSLLDSSNLLNTMQNTISFPSNPLNQSSYLNQKTTQNDVSSNGFGVIGQTQIDGLHTTLPDLVSTTGTAPTSSTTKARNSDQMASGNWNGDANYSNEKAADHLGAGMKNDQGFIEPWVCSSD >cds-PLY79393.1 pep primary_assembly:Lsat_Salinas_v7:5:125065110:125065361:1 gene:gene-LSAT_5X54360 transcript:rna-gnl|WGS:NBSK|LSAT_5X54360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEFMYKPFGEDQMLTGVEEVSAMQTTPVGTPEGGGLIAVLIHEGEDLEGKHHIHPSVCVLFHGEEKRTNVCFPNSKLRLIAS >cds-PLY79644.1 pep primary_assembly:Lsat_Salinas_v7:5:251796863:251797218:-1 gene:gene-LSAT_5X126541 transcript:rna-gnl|WGS:NBSK|LSAT_5X126541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDRLLNKFVDTSEFDFDYEQSGLWSPPIPPPKFYLNSPAGIICSVDEMLKNLQSMRQTKISRFKKFVYCCLDF >cds-PLY69788.1 pep primary_assembly:Lsat_Salinas_v7:4:244903132:244903874:-1 gene:gene-LSAT_4X130700 transcript:rna-gnl|WGS:NBSK|LSAT_4X130700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCLRLLSAIESRNASDPTLSISFVKGDIPFPIRERKPNVISDSDVKLMNGEESTENFAISIAIPVCGGGNETTNGIVDADSKGEGFALQNGNLTPSTKTGGANSIPDSDSNVHNDTFLSLHDVDLLLRENFINILTNL >cds-PLY94226.1 pep primary_assembly:Lsat_Salinas_v7:8:229741690:229744093:1 gene:gene-LSAT_8X140081 transcript:rna-gnl|WGS:NBSK|LSAT_8X140081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRFCSDVMQDLLPPSSKDLSKVASAELLLNPYLEFGIHMKPISTFKEDVSVKDQYPIPAHVKGISEDKNGISEDKLNSSNDAWEDWSFNWDISDQDEAKCVEASNEDIIELETRGGQEGQICNLITGASPALTTKFAKSSRKGVKRSKCISSNNIIRTEHTNTTTCESSELEVSEAHSNTSSGGDVSHADPCENSSHPTASADTQCGQSVGDEVLQSHTGSSASAHHDHPDLEQENNTTTIDGDIDVSESGFEETCVLVDGNDYHHPIPISINHKEQTRCSYKKKIQGAFSLKKKSARKLEYKQLQYDNNLTFSDNKKPNSIDSEWELL >cds-PLY93402.1 pep primary_assembly:Lsat_Salinas_v7:9:63723024:63724556:-1 gene:gene-LSAT_0X29900 transcript:rna-gnl|WGS:NBSK|LSAT_0X29900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLFFTSSNNNLIIHAALSLFLTLIFSFIKIPSFFLHGLHTYIHPDDVAPPNPNSSGIKAAIRRPGDATTGELKPRKKSNKFEFDENKAQIFRLKLNHNHLQSRLYFDQFRGVFNFTIVASSCLLLHNFLPSSKDSSGVLPNGTLIPILLGLLGLVRVFVTIARVSFERSASKSSEKQLSVFVGFSATVIAFLIVLQVFPNWVFDFPFESLDGYGKFCTAVFMGSLAGLLYVPATKTARAYWLGTDQIRCNLSMIHCGWFGRTLLHITYLLAIFTSLLWINPFSELLVNKNIDTNKRSQSNHSPNIQHKDRLVGNVGMSRSDFNNFRLWCMLATGVLQMLTFRANVQMFLNEAVLSWYQRLHASKFPDLDYSRAKVFLHNHYLCLAALQFFSQPAFVLLFLGLSRINDNVLDHFPALCNMIPCSALIKEMGLFMAWWVVFIWCIFVSVNLALYRNGIMYVS >cds-PLY88833.1 pep primary_assembly:Lsat_Salinas_v7:3:232336906:232337959:-1 gene:gene-LSAT_3X130621 transcript:rna-gnl|WGS:NBSK|LSAT_3X130621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIKSSWKILIHNGLQVHIRSASMIWIIGEGDERIDNVDKLLESFLETFPEEPAQLSFFSKAN >cds-PLY75130.1 pep primary_assembly:Lsat_Salinas_v7:4:62207258:62208292:1 gene:gene-LSAT_4X41141 transcript:rna-gnl|WGS:NBSK|LSAT_4X41141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKTPIMTRNQNHDDRKRFKTCESGCVAPWSDVNHDVLFLVMMQLGVVDFVAFSGVCKSWRSFAISNRKSLMACRPPMHMRISTDNLEDYCYLEDFEGKMLKTILPHSTGRTCVGLTCGYLILFGRKTKDFWLVNPITKHQLHFPAFPCLVADGNKFKGILVFSSSKSGWLLVIIKPFYHEVWYSIAGEGVWNHVSSTYHILDIHFLRGKIYTLNIDYHIREVGHLCELRLGLEPNLMLLEIKNFSKTNLLPLPEFVSSGDKLFVKRTFCVGDMCELDFGEMKWVCCEKAKEEYAFYGNNMGHYTVVKRELWAGTNKSRKDTFKTVDMWYFPHDCLNVNLIDES >cds-PLY97907.1 pep primary_assembly:Lsat_Salinas_v7:4:90432201:90433983:-1 gene:gene-LSAT_4X60120 transcript:rna-gnl|WGS:NBSK|LSAT_4X60120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFLDFGFAFLTCLFCCLWWRSHFTASHKRKILPPGPPGWPIVGNLVQVILQKRPFMYVVRDLRAKYGPIFTMQMGQRTLVIVTSSDLIHEALVQKGPIFASRPPDSPIRLLFSVGKCAINSAQYGPLWRTLRRNFVTELINPTRIRQCSWIRKWAFEEHMKIVESENSQHGFVEVMSTCRLTVCSILICLCFGARISKEKIKNIESILKDVMMITLPKLPDFMPVLLPFFRRQLVAAKELRRRQMECLVPLVRARRAFLESREDNMKNPSVNRLKADDLEMVSPVGAAYIDSLYNLEPAGRGKLGEEELVTLVSEVINAGTDTSATAVEWALFHLVMNQEIQEKLYREIIEKVGVNGEVQESDVENMAYLAAVVKETFRRHPPSHFVLSHAATEPTELGGYVIPPDVNVEFYTAWVTEDPDVWEAPEEFRPERFLDGGEGAGVDITGMKGVKMLPFGAGRRICPAWSLGTLHVNMLLARMVHTFKWVPVPGNPPDPTETFAFTVVMKNPLKATILRRDIS >cds-PLY97676.1 pep primary_assembly:Lsat_Salinas_v7:8:8546821:8548629:-1 gene:gene-LSAT_8X6621 transcript:rna-gnl|WGS:NBSK|LSAT_8X6621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEVMLHIYDVTNSDSEKANNTIVQINKIFKDGIGIGGIFHSAVQVYGADEWSFGFCEQGSGVFNCPSGKNPMYTYRECIVLGKTGLSILKVNQILRELSREWPGDCYDLLSKNCNHFCDEFCERLGVSKLPGWVNRFANAGDTAVEIAENTAFRLRQAKTEIVTASKVAYQFLAGIASNTTTSVSMGTDSSGSSSKGGGPPLWLKNLVAAGAKPSSSSTLENGDDDFLHNLSPDIPR >cds-PLY63059.1 pep primary_assembly:Lsat_Salinas_v7:8:72925266:72927123:-1 gene:gene-LSAT_8X51721 transcript:rna-gnl|WGS:NBSK|LSAT_8X51721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPGFRFHPTDEELVGFYLKRKIQQRPLSIELIKQLDIYKHDPWDLPKLAMTGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYASEGSKCIGLKKSLVFYKGRAAKGIKTDWMMHEFRLPSLTDHTAPNKRFLEKTIPANDSWAICRIFKKASSTAQRTLSHSWVSPILQETPSDCNILTQHGISSNDLQHSSITNFSPNHTGSNNMSLYKPFNINPTPPTLTTTTNKHPQNSISSSEHLNYATSYPFLFQEPNYGSKSSTIDASSLLVNIPNPIFGDFDKPEGLDYITNGLHHDHQQCYINGGCFSITTLPVEMEENSIHGVQDQEGRGGLIMMKGATESVINTHFEDQWGRSSSGGYPFMSLPLGLQDAWKSSFLCDSSSEISSGFSTSNKCYI >cds-PLY96867.1 pep primary_assembly:Lsat_Salinas_v7:2:110079969:110083168:1 gene:gene-LSAT_2X52021 transcript:rna-gnl|WGS:NBSK|LSAT_2X52021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFNRRLCLAGGREVHDVVVKSIQDLAKAFSLYDVEMLVRREELLQFAQTAITGLKETGDIAREEKNEALTFRVARSNEVSQIEKELGAEISELEKQRDKIEAELREVFFIVKSKFLTLNTWFLLAPPSDIALVTLLSGWACKGRPREICLSSSTKGCCHARAFLESSNGCNPPCCAMAFLEICLSSGTTVLSICFIDTQVLKQLNFPLQLSLCDDKGDIKKAKTPSEFIPIGHKIGTPVPLFKELMKWSFSGINFAGSQADRVDWAFKEEAEAKKLTEKLKNTKISDKSGKKEKGEKSGVGEKAKTKGAVVEKEYIPGDYKPPPEDHR >cds-PLY89687.1 pep primary_assembly:Lsat_Salinas_v7:8:42335999:42337144:-1 gene:gene-LSAT_8X33520 transcript:rna-gnl|WGS:NBSK|LSAT_8X33520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNSITRSLNKLWNGVAATVASRSIINHQWRSYSVIPMVIEHSSRGERAYDIFSRLLKERIICINGPISDDTSHVVVAQLLYLESENPSKPINMYLNSPGGAVTAGLAIYDTMQYIRSPINTICLGQAASMASLLLAAGAKGERRSLPNATIMIHQPSGGYSGQAKDMTIHTKQIIRVWESLNGLYAKHTGQNVDVIQKNMDRDCFMTPEEAKEFGIIDEVMDERPLTLVTDAVGKE >cds-PLY95430.1 pep primary_assembly:Lsat_Salinas_v7:9:188373317:188375640:-1 gene:gene-LSAT_9X115720 transcript:rna-gnl|WGS:NBSK|LSAT_9X115720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGYHINQPENDKGETDDSCGGAVIVGDGGGDEDWDWERWKKHFCEVDKQEIIVSILENQLHLAVVKEDYEEAARIKVAIAAAATNDTVGRVMSQLNKAIKEERFKDAAFVRDHASAGLVGWWAGFSDDSQDPYGRIIQISAEYGRYLARSYSPRQLAEAADGVPLFEVFITMNEKGEYKHQIVYLKQSEISRNFSIDSATSSSLINTLNSLDSMDEESDLSANDNEDTNAGFENILRDIIPGAKDVKIKVMNLTPLGKIDMSLISKVVEQIMEEEDEEGEEERESDTELDSDTEQYEIDFDVGITIVDNEGNSQTAVKIMVSDLAQEASSNKSCKDIIRTPAKLEKKNLSSFTFKIDKENPQDSLGNNGGIQDSKSQSTDNVILDLARAIVRGEIPVKVLDNVDELINLTINQGQNRQSLSGSTTFNRIELPLTGDPLNGIYVGHGYYTYEIVQLKRKFGQWKEDENKEFTNLEFYEYVEAVKITGDPHVPAGQVAFRAKVGTKYQLPHGGIIPEEFGAASL >cds-PLY99908.1 pep primary_assembly:Lsat_Salinas_v7:7:15905163:15914906:1 gene:gene-LSAT_7X13040 transcript:rna-gnl|WGS:NBSK|LSAT_7X13040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIRCLPKPSILCFSSTSPSLSSLRLRPTFLTGGPAGKIRNSFGIHLNARSISASVRSSAAKQLDNVLLLSAKEDDHGGVIVEMSTEPMDRILFTCLLKESIIQWKQQGKKGVWLRLPIELANLIESAVNEGFYYHHAEKNYLMLVYWIPATPNTLPPNATHRVGVGAFVMKENGEVLVVQEKGGKMSGTGVWKFPTGVVDEGEDICDAAVREVKEETGIDAKFVEVLAFRQSHKKFFNKSDLFFMCMLHPLSFDIQKQEREIEAAQWMAFEEYAAQPFVQKYDLPKYVAQICFAKRHKHYSGFSPVSTIPTFSKQQTNLYFNTTDLIST >cds-PLY84606.1 pep primary_assembly:Lsat_Salinas_v7:1:28718946:28719813:-1 gene:gene-LSAT_1X24261 transcript:rna-gnl|WGS:NBSK|LSAT_1X24261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKIMIKGNVVVLMGMGVFHMRSILVKMMLKVKVMLMINAYEDDIGKNNDFLNEKDDEDDKKGNGSGFNEEETMDLNFVVENVSTENLVDGCINQKRVEDDVNDNLTDFEKNEFDGGTVNLGDDDHKKEVISDHIVDKVIVEKKKEDELIHPSLLKGFSEVLVELSKAKKDGEGVVEGEGVEVDSDLGKAKEDCSNKNKDGGN >cds-PLY99919.1 pep primary_assembly:Lsat_Salinas_v7:7:15768715:15770537:1 gene:gene-LSAT_7X12720 transcript:rna-gnl|WGS:NBSK|LSAT_7X12720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRPFQSPVVSLAATESPSSSSSSSSSSLFKNIRHKHKPGFHRPQSQPLVIETTLAETSKEDSSVPSRRLILLRHAKSSWEDRSLKDHDRPLKKAGEADAIQVAYKLQQLGWIPELILSSDATRTRQTLKIMQEHVGSFLEAEIHFISSFYSIAAMDGQTTDHLQQAICKYARDEILTVMCMGHNRGWEEAASTLSGASIQLKTCNAALLQAAGKSWEEAFLLAGHGGWKLQQLVKPNGGP >cds-PLY95795.1 pep primary_assembly:Lsat_Salinas_v7:7:95150674:95151503:1 gene:gene-LSAT_7X64161 transcript:rna-gnl|WGS:NBSK|LSAT_7X64161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVARYGDDINSHPPYDHELWVEASGGIKKGRVLGFRYVSDPERFLIPSVVALSTSSDNLEVIMDRICEEMKEELKLEREEMK >cds-PLY95537.1 pep primary_assembly:Lsat_Salinas_v7:6:173569941:173570268:1 gene:gene-LSAT_6X104720 transcript:rna-gnl|WGS:NBSK|LSAT_6X104720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTTNNAAACAEKATACPDWAINIDLCDLINMDPRKTKDALKILKKKLGW >cds-PLY87068.1 pep primary_assembly:Lsat_Salinas_v7:5:257551383:257554558:-1 gene:gene-LSAT_5X132821 transcript:rna-gnl|WGS:NBSK|LSAT_5X132821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVMMSSLLVLSLPILVAIGDILVPCVLISNFTCVRCYSFKEHLNRYSFRSSLTDIPVVSVVRSLIITCVYMICDSPVLSHGQYLGTVTLCSAFSVLLLSIKVCIFTVNSQLEAEASSSPSRQRLHLKKSWGMPVLFLSSVVFALGHTVIAYRASCRARRKLMLHRIDPESVLSSKLLFTSGYAKVPRSPTPSAGRTPKSDSEIRRKPFGGQNRNDGELPVRFLSDVDSLFMGCHGVTLHYKLTMPTPPSRTLSSTLLERPSINVIPKTQYHLRRSYSNQLHTSPLYAPLLDGTTSPLEEIPIFSLDEGTNENVIIKTKKHVSHSLEQVPEINGQFGIVLVHGFGGGIFSWRHVMGVLSRHVNCIVAAFDRPGWGLTSRPKRQEWEANNLPNPYMLDTQVDMLLTFCKEMGLSSVVLVGHDDGGLLALKAAQRVKASGSLIDVEIKGVVLLTTSLSREVVPGFARILMRTSLGKKHLVRSLLRTEICQVVNRRAWYDATKLTTDVLSLYKAPLCVEGWDEALHEIGRLSSETILSQQNESLLVKAVEELPLLVVAGAEDALVPLKSIQLMASKFVNSRLVAISGCGHLPHEECPKALLAAVLPFITKLLSQ >cds-PLY81811.1 pep primary_assembly:Lsat_Salinas_v7:3:32163330:32164878:1 gene:gene-LSAT_3X24020 transcript:rna-gnl|WGS:NBSK|LSAT_3X24020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKIQHKMVAVNGINMHVAELGTGPTILFIHGFPELWYTWRHQILYLASHGYRAVAPDLRGYGDTTGAPVSDPTLFTTLHVVGDLVALIHTVAAPGEDKVFVVGHDWGAMIAWALCLYRPDKVKALVNMSVPFSPRNPKFKPIDGLRALYGNDYYIIRFQEPGEIEGEFAVWGTDRVLNDFFNYRKPAPLFLPKGIGFGTSPDDPIILPSWMSKEDLEYYTSKYEKTGFTGGLNYYRALNLNWELTGPWTGAQVKVPVKFIVGDLDLTYNSMGARDYIEKGGFKKDVPLLDDVTVLQGVGHFLHEEKPEEISKHIHQFVSSYI >cds-PLY95163.1 pep primary_assembly:Lsat_Salinas_v7:8:264682322:264683383:1 gene:gene-LSAT_8X153880 transcript:rna-gnl|WGS:NBSK|LSAT_8X153880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVINLYTVRSFGENISFSDAFSPSRGTLGGFLSIWDLDCIVVKNSYSSDYFLAIEAVWKTSATEVLFITVYAPQGADCKRQLWNNILQLVFTFCGEFVLMGDFNFVRDETERMGSHFNSSTARSFNDFIEHADLTDISLGGPRFTWSNKWGSKFSKLDRFLVTGGLLDIFSHLLGIVLEKFIPDHRPILLLEHSVEYGPTHFRMFYSWFNIDGFDDIIRNSWNHLSGTICSHPWIIFKKKIQLLKSNLRSWNATIRVQMSNKQKERQETLESIDSRLMEDGGIAELREKRMTVLKELTDLDHITQLDLAQKAKIRWGIEGDENSGYFHGTIKHKRRQMAIRGVLQIVCGLII >cds-PLY75428.1 pep primary_assembly:Lsat_Salinas_v7:7:72751738:72754145:-1 gene:gene-LSAT_7X52901 transcript:rna-gnl|WGS:NBSK|LSAT_7X52901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAISDAAVAAAASIGYIGVGRHPVTKMISSVDLIEEQICVAVGKKLCMTQVDALENIRPEVVEGTREVENSFLGARVLLDLHELKFGVVTLHSYMEMLTKYVGMFKKQGYKFMAIIAKLKRKIYG >cds-PLY69470.1 pep primary_assembly:Lsat_Salinas_v7:6:42798749:42800532:1 gene:gene-LSAT_6X32941 transcript:rna-gnl|WGS:NBSK|LSAT_6X32941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNHNSGGRHHLRNRRNGETEVQVPHSQYGPPSNQAPQIPSSSITHNQMAVVSLPYSQVDCNLRALAGQAEGFGRFAVGGLNGPLYHVTTLSDDGPGSLRAGCRKKEPLWIVFEVSGSIELSSYLNVSSYKTIDGRGQRIKLTGKGLRLKECEHVIICNLEFEGGRGHDVDGIQIKPNSKHIWIDRCSLQDYDDGLIDITRQSTNITISRCHFSNHDKTMLIGADPSHHGDRCMCVTIHHCFFDGTRQRHPRVRFAKVHLYNNYTRNWGIYAVCASVESQIYSQCNIYEAGQKKVAFKYLTEKADDKEEACTGCIVSEGDLFMAGTQAGLLGPDATSSFFHPSQYYETWTVEPATMELKDVLKHCTGWQQVPRPAGE >cds-PLY79529.1 pep primary_assembly:Lsat_Salinas_v7:1:34064088:34068874:1 gene:gene-LSAT_1X32721 transcript:rna-gnl|WGS:NBSK|LSAT_1X32721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKVKHEILEELNGDDSDNTKTKDVSGAPVIDIDSSDDDSCSSGDGIKNGKRSRVSRGVDEVHSNKKNKSADGVTLPAGFLDRLPPKDAALQNKKGNIVSSERNCKQFWKAGDFEGGSGSSRSSGGGWDAPAGGIDHLRVHPRFLHSNATSHKWVLGAFAELLDNSLDEVCNGATYVKIDMLTNKKDDNRMLLIEDNGGGMDPDKMRLCMSLGFSLKSKIKDAIGQYGNGFKTSTMRLGADVIVFSRCSGKNGNRPTQSIGLLSYTFLRSTGKEDIVVPMLDYERRDREWKKMKRASASDWDKNVEAMVQWSPFSSEADLLKQFDKMKDQGTRIIIYNLWEDDQGQLELDFDADKHDIQIRGVNRDEKSIEMATQYPNSRHFLTYRHSLRSYASILYLRVPPCFRMILRGVDVQHHNIVNDMMMTNEVTYRPQPGLDGVPKDLNMVATVTVGFVKDARAHIDVQGFNVYHKNRLIKPFWRLWNAAGSDGRGVIGVLEANFVEPAHDKQGFERTNVLSRLETRLVHMQKTYWNAYCHKIGYAPRQHKNKPEDLEPSPNYRDDPSSKKKNKIHGVGVGSSESTSPMFQNMRDNDLKTNMTPKHANANGDYYSQRDSSGQKTRLVSALEVRHPDYSPIQKGMQVVTRVPGGVNGNETALSNNDTQRLNQLVEENRELKERLKRREEEVLGNLLQDLESEKNRCKTLEAQIEEEKHKYDVLNREQESIIAIFTEERERRDSEEQTLRKKLKEAEATNKNLVEKVTQLQLEKMKSQGRKWGQ >cds-PLY78482.1 pep primary_assembly:Lsat_Salinas_v7:7:175160527:175161151:-1 gene:gene-LSAT_7X104720 transcript:rna-gnl|WGS:NBSK|LSAT_7X104720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIKYLEFMKVVLEDNVAEIWPDFSSNRKESIKVHHVLNRTSGMQNALASLVQDNPMVLCN >cds-PLY96897.1 pep primary_assembly:Lsat_Salinas_v7:2:108680285:108682553:-1 gene:gene-LSAT_2X49641 transcript:rna-gnl|WGS:NBSK|LSAT_2X49641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIYIELGIGIVTYSPLGRGFFSSGPKVLENLEDGDFRKYLPRFQAENIEHNTIMFKKVSDMAAKKGCTPSQLSLAWVHHQGNDVVPIPGTTKIENLEQNIGALSVKLTPEDMAELEAIASADSVKGGRYGDGISTFKDTETPPLSSWKA >cds-PLY79687.1 pep primary_assembly:Lsat_Salinas_v7:5:251928303:251928509:-1 gene:gene-LSAT_5X126721 transcript:rna-gnl|WGS:NBSK|LSAT_5X126721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILIEKNLKGEKDMNELKEIGEKNDVNAEVIRSNLLMLEQFHNQNQNERLIKPELSNPNLKETKDIDD >cds-PLY84649.1 pep primary_assembly:Lsat_Salinas_v7:9:102791875:102793838:-1 gene:gene-LSAT_9X74400 transcript:rna-gnl|WGS:NBSK|LSAT_9X74400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLDYLASSLLCAEENDSICYDDDNDDFDMLLDHRNYQNINQNQRFINNPETEQCVIDFPLRSDECLDLLIEKECEQFVDFFDYLNKLKNGNMDLVARQDAVNWITKVHAHFNFGPLSAYLSVNYLDRFLAVYELPDKVWMMQLLAVACLSLAAKMEETEVPLILDLQMGGSRFVFEAKTIQKMEMLVLTTLKWRMQAVTPFSFIDIFLEKVKDGQPTSKSTILRSTQLILCLMKGMEFLKFRPSEIAAAVAIYVVGSTQFCSLFLHVNKERVLECVELLKELSGGCTMSLTSGTLTSMTKSPIGVLEAANLCYSAKDSAVES >cds-PLY81270.1 pep primary_assembly:Lsat_Salinas_v7:2:26488708:26489484:1 gene:gene-LSAT_2X13341 transcript:rna-gnl|WGS:NBSK|LSAT_2X13341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISASSSLFTFPNLHVNSHPPSKTTATSVRFTRARTSAVYTTAERTATATETSRYSGNGSPSSLYELLGVGIGADSAEVKAAYRRLARVLHPDVGSCDSSADEFMKVHSAYVTLSDPVKRAAYDRSLKQIAGGCMGRRWETDQCW >cds-PLY84084.1 pep primary_assembly:Lsat_Salinas_v7:6:191261741:191263075:-1 gene:gene-LSAT_6X117460 transcript:rna-gnl|WGS:NBSK|LSAT_6X117460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKVREPKEENVTLGPATREGELVFGVAHIFASFNDTFIHVTDISGRETMVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGINALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >cds-PLY85436.1 pep primary_assembly:Lsat_Salinas_v7:3:43232896:43236904:1 gene:gene-LSAT_3X34241 transcript:rna-gnl|WGS:NBSK|LSAT_3X34241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRNGGVFFVCLVFLCFECGLSDDREALLALKSSIDPSNSLQWIGTDFCKWEGVRECLRGRVSKLVLENVNLRGTLDSRSLNQLDQIRVLSLKQNSISGEIPELSGLANLKSLYLSYNNFSGEFPASLTTLHRLKTIVLSGNRLSGDIPDSLLNVQRLYILYLDDNRFTGKIPPLNQSGLRYLNLSSNQLSGEIPATPVLVRFNSTSFTDNVDLCGDAFGIPCNIAPSPSFSPANPTPPSTSKSHNRRKIKKIVIIAGSVGVFLLLCAVIALLVICLKKKQKKAPEVGAKGTEAVSAVGGAGGGAASSSGKNGGSGLTPEGEEGGGMGKLVFFGGGDMPEMSYSLEDLLKASAETLGRGTVGSTYKAVMESGFIVTVKRLKDARYPRVEEFRRHVDVIGRLRHQNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGSRTSAGGKPLHWTSCLKIAEDLATGLLYIHQNPGLTHGNLKSSNVLLGSDFESCLTDYGLMSFRNPDFPEESSASSLFYRAPESRDPRKPITQQADVYSFGVLLLELLTGKTPFQDLVLEHGSDIPKWVKSVREEETESGDEPTSSGNEAAEEKLAALLNIAMACVSIAPENRPVMKEVLRMIRETRAEVAHVSSNSSDHSPGRWSDTVQSLPRDEHLSI >cds-PLY70732.1 pep primary_assembly:Lsat_Salinas_v7:8:167955312:167960132:1 gene:gene-LSAT_8X110380 transcript:rna-gnl|WGS:NBSK|LSAT_8X110380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNLERCCRLPERDDLDDCEENNGSESKEQTHNDGDESDHNAEFAGGNVTLVSSKDAWDQKLSEAKKDHKIVIANFSASWCGPCRMIAPYYIELSEKHPSLMFLSVDVDELTDFSTQWDIKATPTFFFLRNGEQFDKLVGANKPELLKKINAIVDSEPPRHAV >cds-PLY95931.1 pep primary_assembly:Lsat_Salinas_v7:9:42148084:42151390:1 gene:gene-LSAT_9X37801 transcript:rna-gnl|WGS:NBSK|LSAT_9X37801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNSEKNNIKKSKEEASFDSSNSNHNSNNKSGTVKSGSGCSKSVCSNGSITNSDCTIDERVLVDPKLLFIGAKIGEGAHGKVYEGRYGDRIVAIKVLNRGSNTEERAALEGRFAREVTMMSRVKHDNLVKFIGACKEPLMVIVSELLPGMSLRKYLGSIRPNQLDLRLALSFALDIARAMDCLHANGIIHRDLKPDNLLLTANQKSVKLADFGLAREETVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELVTNRMPFEGMSNLQAAYAAAFKQERPNLSEDISPELAFIIQACWVEDPNLRPSFDQIIRMLNTFLFTLPPPPSATSPEECDAADDVAAAAASNGELSARSRRKFSFLRQIFAAKKTKNSQ >cds-PLY64141.1 pep primary_assembly:Lsat_Salinas_v7:1:1731682:1732177:1 gene:gene-LSAT_1X940 transcript:rna-gnl|WGS:NBSK|LSAT_1X940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPAKSSFQGGPTLRKKRIVRCGCGDVCKVSVARTPENYGKKFYGCPNYKVEEEDCGFFKWYNEEDGHIIDPTHTKQKQGQGQGHEQLKTLVEIIVGLLVLIFVMVTIVVLKM >cds-PLY81259.1 pep primary_assembly:Lsat_Salinas_v7:4:306796483:306798292:-1 gene:gene-LSAT_4X155540 transcript:rna-gnl|WGS:NBSK|LSAT_4X155540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPPPTTTATTVEPPHSHSHSHSLPPLPTPKIRLMCSYGGHIVPRPQTKSLCYAGGETRIVAVDRRTTASTISSLTHHLSRALYGNRPFTLKYQLPNEDLDSLISITTDEDLQNMLEEHDRIASSPTPSRIRLFLFPTRPESVGSLLLDPKSETWFSDALKSTMIINRGLSDGSGMGNGLMGMDCMEGNGESLMNSGDSGKCGGTGGDSGSVPESMVLETCSSFGSINSSISASNLTQIGANNEDAGGNLVDKKIKVAAPGSIESDNSVANGFPDQKARIYQEQMIPESESIIYNPSSTIQMQRTCQASGYQIQQISDQRPPPPVQYIPAGPPPHYIQYPTGPLPISSSYYPMYMPYQQPPHQPYPIYVIPADQSYNIPAPADMTGTLARPPLHKDTMAAAPPPERVATPPPPQVGPVYETEYDNDLVYAQIYKSQPSVPPFPPQKFEIVKKMEAVALSDSSAQIGTSQQ >cds-PLY88814.1 pep primary_assembly:Lsat_Salinas_v7:4:93446029:93447997:-1 gene:gene-LSAT_4X61461 transcript:rna-gnl|WGS:NBSK|LSAT_4X61461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGWGLTLDTSEPLELLSPRWNFPVKPAMFSGVSPKMTDEKNSNRKVLSEVDFFSTSKSNDDQIVVKKENISHATELDVNTGLHLHTTNSDQSSVDDGVSSSGDDKQAKNKLTILQVELEKMNTENQRLRGMLSQVSNNYTALQMHIANEIQKQQNSSKQIVLQDQKPVPQQLNHLPPTSHDSSSSEERTQSGSTLNAIELSKNGKRTGRENTPDSDTWVSNKIPKLNTTKNIEQANDPTMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCVDDQTILITTYEGTHNHPLPPAALAMASTTSAAASMLLSGSISSADGLMNQNVLARSILPNASSIATISASAPFPTITLDLTHNPNQYQRINNSNQFQVPHHMSSQNFQPGMAIPLQSIPGNGDVGVYNQSRFSGLQLSHDMESNQLRAHHVTPPQFKGQVNTSFSDSLSAATAAITADPNFTAALAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRQSPLS >cds-PLY63188.1 pep primary_assembly:Lsat_Salinas_v7:6:86772203:86772959:1 gene:gene-LSAT_6X60201 transcript:rna-gnl|WGS:NBSK|LSAT_6X60201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSKVAALMAVLLVLSGRLATATWCVARSDATQEALQTALDYACSAGADCAPLQQSGLCFLPNTIQAHASYAFNSYYMRKSMAPGSCDFSGTATIAKTDPSYGSCVYPPTPSSAGGTTPITTPSSGIASPPPFGGGLTPDNGMVPTLTTPPNSKAFSTSDVSRILHLNTIFIVFLFIF >cds-PLY77269.1 pep primary_assembly:Lsat_Salinas_v7:4:164817880:164820631:1 gene:gene-LSAT_4X99201 transcript:rna-gnl|WGS:NBSK|LSAT_4X99201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDNQGDYYEAALTIKAKLGIAKEILKKLKASSDARLKMFKTTCFGRWLDISTAYGDPLLVHLMLQTQIYPEPEPAEMWFRVGGYELRFGQMEFCLVTGFRFGHVPSSSETSKSWFRIRLFSRIPSYQSLKVSDLLQVFRSKEFAELADVDAVRICLLILLEVGFMGHEDKSVVSDRLLCLVDDLVSWNKYPWGSYIWPTTYNQLNRALSKRGHHVGVRTTRKRVAKYTLTGFVYAFKIWIFEMFPIAREFAMRERDVIPRAIAWRRTHALSWDTCQQFLDVDTDGRHPQTELTPTIDEQSAEWWIDSCRFFNDVSENLSPLSKKSRLEPPSQNNDVGSDDIEVEEQKPPLIFRDGRPQTHPDPTNESSYTAIPSMSHPVPPPRPVAATAPEISDFGTALSRPFFMSFYYGGNIEYENGEINREESTSTFTIPARHKMRYQEFVDLVYAAVGVEKEKFKLKFTLHFELCGKPNSSLITSDGTLDLIFFLAEKDEGFRAHIYFEMVEILQGK >cds-PLY71712.1 pep primary_assembly:Lsat_Salinas_v7:3:44667694:44671661:1 gene:gene-LSAT_3X32820 transcript:rna-gnl|WGS:NBSK|LSAT_3X32820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAELCDLQVHINGQQTFYLHEKTISRFSGKLRKIIKKEKRKTQIRKTGIEINDFPGGSDGFELFSRFCYNNGEINISVSNVSLLHCCAVFLAMNDTLLPKTTEVFNRMFDWSMTDVIVCLKNCAPFITYADSSGIIEKLISTLIIKITQNSDSTILFPSSSSSSSPDSTVKSSTLLRLSSCSSSKGLWWFDDMAILPPAIIERFVKALGAYGIENNSLVVTRFLLHYLKTSSQSKIQSFSKCDYGGLADTAVHGVILMGKTAFSCRGLFWVLRIVSGFGLSRECRGGLERLIGGVLDQAKVDDLLVSNNGSSGVYDVNLVLRLIRESNKVEGGCLDRMKKVGGLIDKYLGEIAPDQNLKISKFLGVAESLPDCARDCFDGVYKAIDIYLESHPCLSLEERSRLCRCLNYEKLSLEACKDLAKNPRIPPRVAVQALVSQHSNIPIAYTSDHNDYSYVNDYDHDTPLTKSSRELMVLYNNNGAKDHLHYDSAEHTSKSSSRYDHKDVDPDGVVKLNLQKMQWRVVELEKVCREMKGQMSKMVKGDRLMVSSSSHGRPLPRLC >cds-PLY87963.1 pep primary_assembly:Lsat_Salinas_v7:3:178018851:178019437:-1 gene:gene-LSAT_3X107960 transcript:rna-gnl|WGS:NBSK|LSAT_3X107960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLKGFRLCGARVLTLNQIEGLKNPDVQCWDIEEVDDVDPPRLWVPNGMYPGTAFPRSIGDSIVETIGVVANPEIVGEKEFCLYELIPQLNFLALWDTIRTTTLHDLEAPLIGYN >cds-PLY83788.1 pep primary_assembly:Lsat_Salinas_v7:4:39338708:39342227:1 gene:gene-LSAT_4X26681 transcript:rna-gnl|WGS:NBSK|LSAT_4X26681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGGSNAELASTLSPRRSNINEKRVSFDIEDDFDGGLKNSNTDYVDKHKPSFSSSKNPIVIADILKTLFLILVWYTFSTFLTLYNKTLLGDDMGRFPAPLLMNTIHFAMQAVFSRAITYFWSQRFEPTVTMSWKDYFMRVVPTALGTALDINLSNASLVFISVTFATMCKSAAPIFLLIFAFAFRLESPSFKLLGIMLVISSGILLTVARETEFDLWGFIFVMLAAVMSGFRWSMTQILLQKEAYGLKNPLTLMSYVTPVMALLTALLSLILDPWDEFRSSSYFDTSGHIIRSCFLMLFGGMLAFFMVLTEYILVSVTSAVTVTIAGVVKEAVTILVAVFYFHDEFTWLKGAGLVTIMFGVSLFNWYKYQKLHKDKSDIGESPGSETAVPAKYVILEEINDEEEMIP >cds-PLY90659.1 pep primary_assembly:Lsat_Salinas_v7:6:52205163:52209152:-1 gene:gene-LSAT_6X38361 transcript:rna-gnl|WGS:NBSK|LSAT_6X38361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKRSRQPQYNESLSDKVHRYRGAILVISIPLLLISFVLFLMPAARTPSDGVLPANRKFSPNYVLQTDPSSTRYAVIFDAGSSGSRVHVFCFDKNLDLVHIGKELELFEQLKPGLSAFASDPKAAADSLLPLLQKAEVAVPANKRQNTPVKVGATAGLRQLGVDASERILQSVKDFLKVKSNLKSSDDWVTVLDGTQEGAYQWVTINYLLKRLGQKYSHTVGVVDLGGGSVQMAYAISEEDAAKAPRISNGEDKYVKEMFLMGTKYYLYVHSYLKYGLLAARAEILGVNKDSENPCILAGYNGVYSYAGTEYKVSSASSGSNMNKCREEALKALKSNDSTCTHMKCTFNGVWNGGGGDGQKNLFVASFFFDRAAEAGFVDASKPVAKVRAADFEEAAKRACETTFEDGKSTYPHVEPSNLPYLCMDLVYQYTLLVNGFDLDPWQEITLVKKVEYQNSLVEAAWPLGSAIEAVSAVA >cds-PLY77346.1 pep primary_assembly:Lsat_Salinas_v7:2:45126255:45126672:1 gene:gene-LSAT_2X19460 transcript:rna-gnl|WGS:NBSK|LSAT_2X19460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTQLDSCSFFFVFHCCEKPPPRGCGVAAVVHRTPPITTFSSPFLPISNNSNHMSSIAISTTPFSLLLVILERPRGSSHLASITSILACQLFAEMDERIFLSISDEIHLSVYD >cds-PLY91642.1 pep primary_assembly:Lsat_Salinas_v7:8:10591674:10591904:-1 gene:gene-LSAT_8X7501 transcript:rna-gnl|WGS:NBSK|LSAT_8X7501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPRMPETESDYDPMMPLTHTPISNNEKPFEDEEEPSEEEQHGDEIGGVPADSSPYPDSSSHQDREETREEDPTES >cds-PLY97694.1 pep primary_assembly:Lsat_Salinas_v7:8:7074476:7078283:-1 gene:gene-LSAT_8X4900 transcript:rna-gnl|WGS:NBSK|LSAT_8X4900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypyrimidine tract-binding protein homolog 2 [Source:Projected from Arabidopsis thaliana (AT5G53180) UniProtKB/Swiss-Prot;Acc:Q9FGL9] MASVSSQPQFRYTQTPSKVLHLRNLPWECTEEELIELGKPFGKVVNTKCNVGANRNQAFIEFAEQNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTSADVAGNVLLVTIEGNDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFTDSETATSAKDALDGRSIPRYLIPELGPCSLKITYSAHTDLSVKFQSHRSRDYTNPMLPVASSAIDATGQFSLGLDGKKIESESNVLLASIENMQYAVTLDVLHMVFSAFGPVLKIAMFDKNGGVQALIQYPDVQTAIVAKEALEGHCIYDGGFCKLHISYSRHTDLSIKVNNDRSRDYTVPAGAQMMNSQPSILGQQPPSGPPQYGGGGAQYIPAPEGYAAAPAPQSSGGWAPGAPAGPQSMPMHMQQQQHQHPQQQQQQQMHNHPYMPHHQQQQHQGMPSEMGHGPGHYNGQNGYPPQGGPPPPRYHQ >cds-PLY64968.1 pep primary_assembly:Lsat_Salinas_v7:8:160584426:160589054:1 gene:gene-LSAT_8X106840 transcript:rna-gnl|WGS:NBSK|LSAT_8X106840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRVSSDVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKETVSKVPNAKEGRESTDIEIYGMQGIPPDVLAAHYGDEDNDNPTKVGKMEIPSSHVGLIPGSLGYPPATMQSIYNPGIGRGGWAVAPRPQPWFQQNSVGSIPSMVVQQQQQQQQPLFPVQTMRAPISSSSGVQLSFPVAPPGSSTPSVLVSQPLFPVVAAQTSPYSAATIPPLTSSPLDLNNTTDLHGGLNMNMPRGYHNPAFQGGAAVTSHSYASGPNTGGPSIGPPPVIANKAPVIQPPTNEVYLVWDDEAMSMERRMSLPKYQVHDETSQMSSIDAAIDRRISEGRLAGRMAF >cds-PLY96603.1 pep primary_assembly:Lsat_Salinas_v7:7:45998986:46001938:1 gene:gene-LSAT_7X33661 transcript:rna-gnl|WGS:NBSK|LSAT_7X33661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPNNVDKEALLKFIENVIKNDLELKGRIRSMIAKTYKEYEENDEMVQCITTIKSSLKQMHATFFLISDKRKGFRAMGKLAKIVILNDKRNEERQEAQDALVAQGLMSLIMSFVKH >cds-PLY73357.1 pep primary_assembly:Lsat_Salinas_v7:7:63020090:63022593:1 gene:gene-LSAT_7X46800 transcript:rna-gnl|WGS:NBSK|LSAT_7X46800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:OS9 [Source:Projected from Arabidopsis thaliana (AT5G35080) UniProtKB/TrEMBL;Acc:A0A178UMY2] MGSILMWVLLLFACLFNYDVLAEQIFPTHGGSLGRSSREPKYDIEFHSEDSPFHPDDDQESVVMPKKNGEKFVCFLPKVEKSKSEKQTIQQNSTSLILETEKRFKLKTPDELLEALKDRCFLRQEGWWSYEFCYQKKLRQIHLEEERVVQEFILGEYDAEATAAYNRNLSDISTLKDPRSKDASQRYHAHQYTNGTTCDLTNEPRATEVRFVCSEPRAMISSITELSTCKYALTIHSPMLCKHPLFQEERPVWYTINCNPLPKDYKQPKIEDDITHEQKILMVIDKEPSSSSSSSSSNTDSEEHPT >cds-PLY76586.1 pep primary_assembly:Lsat_Salinas_v7:5:224400983:224403802:1 gene:gene-LSAT_5X105181 transcript:rna-gnl|WGS:NBSK|LSAT_5X105181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGISFGAEVSKPEETSVKEDWLTILKGLEREEIEEVLSQTFCDRCKVNLHARVQSVANRKRTKKLLISSPDSTILNPDKHAESYTTSIQESSIKSDSYKTPENIHTRNQKRYSNKFSPASVNNKHSSSSSQSKSNKSLDDDLQSDEQKEMARFRNIGRKINFVHFERVYGNHMNVLQGLELHTQVFNPEEQKKIVESVYEFQRLGQKGRLRARTYTEPTKWMRGKGRITIQFGCCYNYAMDKNGNPPGIIREEEVDPLPPVFKQMIKRMIRWHVLPPTCIPNSCIVNIYEEGDCIPPHIDHHDFVRPFCTTSFLTECNILFGSSLKIVGPGEFMGPVSIPLPVGSVLILNGNSADVAKHCVPAVPAKRISITFRKMDESKIPYGYVADPELHGVRPLSLSLKASVSASTSVLSQSEETSPPSPPSRVVSKPKAPAFVGGENDFPPLGLGLQRSSNKNVSKA >cds-PLY65008.1 pep primary_assembly:Lsat_Salinas_v7:8:88504540:88505344:-1 gene:gene-LSAT_8X60001 transcript:rna-gnl|WGS:NBSK|LSAT_8X60001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAKYSNSGGLIVPAISLPSIYNSVYLDKPRCKMKLLFSTEMGTWVFVSEVKSTSDISTQGVNDPSKGLIGGLTNEALYSFGVRLGKQIELVHSSYGDKYITKAGARKPGFFSKFFQKEQQKIEIKGLTDDILREAAAKIDGFLGREVAKLMASVQAAIYGSENCVLDPLLFREAVDYKVAKHQQRRKLAGNDGGD >cds-PLY67377.1 pep primary_assembly:Lsat_Salinas_v7:4:213510804:213511492:-1 gene:gene-LSAT_4X118240 transcript:rna-gnl|WGS:NBSK|LSAT_4X118240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVIFLLLSWVLYLGLTKSPFGQMLMVSIVKNLEKNNKIGSNVSQEQTKSFAASEAVILNKLSNQEAWEMSCFGANVLHPRTIIPAMRFDIPIVIKNIFNLSAHGTKISRSPINEIEDQKNLVTYVKGFATIDNLALVNVEG >cds-PLY81481.1 pep primary_assembly:Lsat_Salinas_v7:8:156903207:156904207:1 gene:gene-LSAT_8X104900 transcript:rna-gnl|WGS:NBSK|LSAT_8X104900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQETKSEKKMKKSLIASLMESGASPLRPPPFKEDTYHHQNLKPSEKKALADLKDMLHSTSNFQESMWGIPLTMTSESEKSDVILLKFLRAREFKVQETFNMLLKCLSWRKDFGTDFIVDEDLGFKELEGVVAYMNGFDREGHPVCYNAYGIFKNHEMYDKIFGDDEKLQKFLRWRVQVLERGIKMLQFKPGGVNSIIQVTDLKDMPKRELRVASNHILSLFQDNYPEMVACKVPPPXY >cds-PLY86081.1 pep primary_assembly:Lsat_Salinas_v7:7:140277110:140278748:1 gene:gene-LSAT_7X84220 transcript:rna-gnl|WGS:NBSK|LSAT_7X84220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLETENRIAAILMKEAAELRRQAARDGIDAYLHPPNVRGRPNSRFLTATVLGVQQANRAVEVNEMWKARQKEKEMDDRVRKYEQKRANNVIHESQKNANVRFDDYERKHSSLCSSSKSPSEDELKDKEIEEFLHSRAKRGRGAVGSRMDETGPYLPEVSKGKDVDDIGYDEWWDNRAVLGPKRPHSLRAKSSSDSDCSERREKRVKRDCSRKKHRSKEKSKDKKKKREKKRSKHHK >cds-PLY66598.1 pep primary_assembly:Lsat_Salinas_v7:6:166098952:166099424:-1 gene:gene-LSAT_6X101501 transcript:rna-gnl|WGS:NBSK|LSAT_6X101501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKWYDPNERVLEMVGLENTWAKEEKTDDPTLQGDASPEPESSINSPLSIEIGIPSLTAPPAFSSKQPIITPVSYKRKDTSHCDDVVAIDSGVEKTLYLEAVLDCLDDLVNVFPIKRYFGEFHG >cds-PLY71126.1 pep primary_assembly:Lsat_Salinas_v7:9:82312370:82317105:1 gene:gene-LSAT_9X65800 transcript:rna-gnl|WGS:NBSK|LSAT_9X65800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAASNHVYFEEESSMPTLTSQSSNCQAILNPSKYPEPLQILVECMKCSFLSRALSTAKEVPMRIVTLAFTTAIVNKVNDTVSFVIHGGKRTTISKTNFAKLLCLPTQGPYVTPTSEELIDMFNSMGHKPYMKKVSDFKKSKLPAVWSLLFGFILRGLTSRACGLDAARREVLSLMYGLYKGVNVDFATVLWGDFVDSIKHSKRATELSAHRFWGLIVSQAYEFHKISIEESEIPKMVIHQISVPTKVDHSHFSFVGQIPEEMLSLIKTPSKILDQYRATLIIPYPVRPKPQEEDVQIARGTRMTVQKRKTPFVKVEAIRKSKRVKKPKIVEESVDEEEDEQQHHSDSNFEEDIPITTTQTIVKTSLVETIPISASTTPVETTLVETVPISEPVFETHISKPMSISEPLTISEEEEEEVDGFVFKAGEDPSFDDVANDFEMAALGEGLADSDEEDDEDDNQLMSKRDFKKLNRKLNVVLQSLDSNTQPAQHLNQEKMLADWSVMLSDQNKKIDSLTTGFDLFKAHINIETKSYMTKVQEVMFNERKKLLDEIMKMREENEKSLNKAFSDLKSEHKNSLKSLNESLTEAKQREITLQNFQILIGVERFRCPEILFHPNLIGIEQAGLDEMAGVSMQRLKSRAQRLDLEEVVIGITNSVLITGGSCLYPGMSERLEAGIRMMRPCGTPIRIFKASDAVLDTWRGKHPPCISHDKILIK >cds-PLY65866.1 pep primary_assembly:Lsat_Salinas_v7:4:85644085:85647279:1 gene:gene-LSAT_4X56901 transcript:rna-gnl|WGS:NBSK|LSAT_4X56901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNFYERFSRTFRENPSLSRFLVVFAVSGGGLVAYSEGNILSSSPKIDELPEPGNEKKKVVVLGTGWAGTSFLKNLKNPSYDVQVISPRNYFAFTPLLPSVTVGTVEARSVVEPIRNIVKKKNVNVNYWEAECYRIDAKSKKIYCRSSQDDKEEFVVDYDYLVVAMGARVNTFNTPGVEENCHYLKEVEDAQKIRRKVIDCFEKASLPNLSDDEKKRVLQFVVVGGGPTGVEFAAELHDFVSEDLVKLYPSVKDLVKITLLEATDHILNMFDKRITTFAEEKFHRDGIDLKTGEMVTKVSEKEISTKVIKTGEVSTIPYGMAVWSTGIATRPVIMDFMKQIGQANRRVLATDEWLRVEGTNSIYALGDCATINQRKVMEDISAIFEKADKDKSGTLTVKEFQEALDDICDRYPQVQIYLKNKHMSNLVDLLQESKGDVSKESIELNVEQFKSALSQVDSQMKNLPATAQVAAQQGSYLADCFNRMEECTKSPEGPLRFRESGRHRFRPFRYKHLGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYARYV >cds-PLY66504.1 pep primary_assembly:Lsat_Salinas_v7:4:341856090:341859429:-1 gene:gene-LSAT_4X168480 transcript:rna-gnl|WGS:NBSK|LSAT_4X168480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAPNANEAALRAVMVELLNTVVLETKRTAKFRTLLKRIERTLKNIEPIFYGYERLRKVLYRPEHETKMFIYYVANGKEVVLECSEIKCWNVYKKFLHANKLIGLDNMLLRFFQSELQDNLSSSRRSLFEIYALGDKLDQVLAAVTKQTDGFSESCSVPGLPDVIIGLDFHLQELKRMVLKDDTQVVTVSAPGGCGKTTLVKMLCHDNEIKGIFGDNIFYVTVSRTTSIKTLIQKLFAHFHVNHCELQTDEEAKNQLENFMRQMGSKNILLVLDDVWSESESLIEDLKFPISGYKILVTSRFLFSRFGSTYELTLLNDQDAKTLFCSSAFHNMNSINVPDDLVNKMVKYCKGFPLALTVIGASLSGQNLVKWRSTLKKWSEGQSIFHSSSRLLLSLQSSVDALEDLPILRDCFLDLGLFPEDEKITASALMDMWVESYNLDDEGMYTSEYLLELSSRNLLNLVLTRKDGSELEGYCNEHYVTQHDLLRDLGIHLSSQDPISQRTRLMVEIYKNQIPTWWIQQKQEPITARLLSITTDESFSSIWYDLNAPNVEVLVLNIRSRKYEIPSFIKKMNKLKVLIITSYGTCPCELHELQFVSSLSNLKRIRLEHLSLSHSIQSILELYKLKKLSLIMCEIGSALASCTNVTLPNLLELEIDRCYDLTEIPLEFCSLKNVKKLSITNCHELHSLPKGLGSLSNLEILRIHSCTRLVRLPDSIRFLGNLVFLDISDCLSINMLPYELGKLNGLRVIKMSGCRGLEELPDSVIDLSLLEDVICDEETSYLWSYYEDDLCDLKINTVEDDRFANFMKIVAH >cds-PLY90918.1 pep primary_assembly:Lsat_Salinas_v7:4:338071906:338072977:-1 gene:gene-LSAT_4X166460 transcript:rna-gnl|WGS:NBSK|LSAT_4X166460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPHAAAPSASMPPLSSPLYKQKSWSPDVHRDEEWMRRKGKHLHRRRRKSKSVTDEDIDELKACIELGFGFDNSSPNLDDRLSTTLPALGFYYAVNKQYHDTISKSSSMSSSSSSSSISYSSPPSEADLSSPSNTSHTIINRGDNPQTVKTRLRQWAQVVACSVRLSSSSSSP >cds-PLY83756.1 pep primary_assembly:Lsat_Salinas_v7:4:43698462:43699375:1 gene:gene-LSAT_4X30220 transcript:rna-gnl|WGS:NBSK|LSAT_4X30220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPPHKLFFSSLKQVEKRLKLDDGNPPTPHLPPPPLPQPQPQPQTSETQPSESFSSAPIYLYNQPTNNSSTFQDNSIEQAPQEFLSHDDIDKFPSNTGGTPNQLKDSENGLSENSSVDDIDAMIRVLKLSDFDAKGPEIPDFGDDEFYDRIVKVKGPRCKKEVERLDGWIKYLLNNGGREPLRLAHLLLAKAAFVSVGGGGGDGVEFPSTVDEFLHNDPPSD >cds-PLY81170.1 pep primary_assembly:Lsat_Salinas_v7:9:20742702:20743297:-1 gene:gene-LSAT_9X19861 transcript:rna-gnl|WGS:NBSK|LSAT_9X19861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAELGRLLIYEASRDWLPTISGEIQSPLGLATVEFIDPREPVAVVPNLRVRLALAEHATSILPATKTYHLGN >cds-PLY99534.1 pep primary_assembly:Lsat_Salinas_v7:1:113939764:113940525:1 gene:gene-LSAT_1X89001 transcript:rna-gnl|WGS:NBSK|LSAT_1X89001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCFWMNSVILNAMLLISYKEFVEALLAYQQKLNMLKIESSLKFDRDLEAVYVGISEKGN >cds-PLY93822.1 pep primary_assembly:Lsat_Salinas_v7:6:143641597:143642058:-1 gene:gene-LSAT_6X86641 transcript:rna-gnl|WGS:NBSK|LSAT_6X86641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERILNEAIDNLNIYWLELVVSFDLDNSPDSQLDMQITPKAFQFRSFDKITNASLPNHDADQMLFSFYLKHMKPQYEAWCLKRITVVKVFGPIETKSFINSRFKVARGAASFVIEFTLVDLPCVNPFDWISLLHLLLMDAHKLEPIVAHLKRI >cds-PLY97144.1 pep primary_assembly:Lsat_Salinas_v7:4:359064021:359066023:-1 gene:gene-LSAT_4X176461 transcript:rna-gnl|WGS:NBSK|LSAT_4X176461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPFLFLFPFLFFTHESIAQQTYSGNTVMDCDNSDKTGPFPSFLYTCNGIQSCSTFLIFRTQPLYDTVFTISKLMSIDHMDVARINGITDSNDILPLNKELIIPVTCSCYDQYYQANTSFVIPTFYDTYFTIANLTFQGLTTCDSLEKYNVYDRLDLHAGDKLHVPLRCACPTVSQTNDGIRFLLTYLITWKDSIKKISKRFNVSVHNLILENGFSSVDEIFPFTTLLVPLSTEPLTSQTRTLGRNRNWNLSKKVIIAGVLSGGFLAILCGVFVIYVISKRGVNKGKTVKWALPKDIQLGIASVDQLFKIYRFEELEEATDGFTLEHRLSASVYKGSLKGRKVAIKQMGAHANKEVKILQKFNHFNLIGLYGVCEHDKASYLVYEFMENGSLNKWLQDLSCQESQTWNNRIRIGLDVAKGLQYLHNFANPAYVHKDINSSNILLTKDLRAKISKFGFAKSTEKGENVNSSIKCRFESKGYLAPEYLEAGYVTTKTDVYAFGVVLLELITGKKAVYENDDDGEEVMLSEEVASIMGDENNGKGKVNYLIDPRLQARHALGFVIDQDELALRMVKLSLGCLESEPSRRLSMNEIVSTLMMIQMDAQSSETVFMV >cds-PLY80205.1 pep primary_assembly:Lsat_Salinas_v7:MU042018.1:537702:542887:-1 gene:gene-LSAT_3X105980 transcript:rna-gnl|WGS:NBSK|LSAT_3X105980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDVSGGGGVGGMTDMPEMLQGGEYSGGNVRPPMAETTPLTVSGSFREGGGRGSGMTSRRRSSRRPSLDTDDFINLLHGSDPVKLELNRLENEVRDKDRELSEAQAEIKALKLSERLREKAVEELTEELSKVDEKLKLTESLLDTKTLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAITERAAKSEAQLKEKFQLRLRVLEDTLRSPNSLSRSSAPMGMSNGASRRQSLGGADNFSKLSPNLPKRSPSFQIRSSGSSSILRHAKGTSKSFDGGTRTLDRSKLISNGTSGSGSGSPTFNLGQTCEGTKDTEIPHEKPAVSLEDSVPGVLYDLLQKEVVSLRKAGYEKDQSIKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRVDKEQDNRAKRSTNTSHLHPARNVARTGLTRGTQ >cds-PLY76115.1 pep primary_assembly:Lsat_Salinas_v7:9:31891009:31892208:-1 gene:gene-LSAT_9X27220 transcript:rna-gnl|WGS:NBSK|LSAT_9X27220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDYDRSVQDLYSDEDIRDVHALTPPFSPLHSSPSMTTSDQASRGGFSNMSVTNSTLVLAGSPIASRSSIAENDHSVNWGFGLHPPAALSSVLGDRGSVVTADHGRDDQVLVSVTSFKKEEVESKITAWKNAKVAEINNRFKCEDAIIKGWESEQAQQSALRMKKVERKLEEKRARALEKMENEIAKAHHKAEERRASAEAKRGTKIARVLEVANLMKAVGRSPVKNSFF >cds-PLY93359.1 pep primary_assembly:Lsat_Salinas_v7:9:63800503:63800760:-1 gene:gene-LSAT_0X29740 transcript:rna-gnl|WGS:NBSK|LSAT_0X29740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLQVSRLSFILIFFLLVDMSSCRNMVDRSTNRLKNEERIRDKFVSTFQKHFHTKPEFKNEGNSEDAYYKVSHRLVPGGPNPLHN >cds-PLY74890.1 pep primary_assembly:Lsat_Salinas_v7:8:90362851:90369728:-1 gene:gene-LSAT_8X63941 transcript:rna-gnl|WGS:NBSK|LSAT_8X63941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLRKLLSKKQPDGLVGVYERLYVFDSCFRIKTWEQEEYKAYVGNIISKFQETYPESSVMIFNFREGDAASKIHQFLSQYFITIMDYPRHYESCPLLSVEVIHHFLKSTESWLSLGQQNIALMHCEVGGWPVLAFMSAALLLYRKQYTAANRALEMVYKQAPPDVSPQLMSRLNSLASQLRYLQYVLKRNAEEQWPPADKPLTLVCVMMRMIPDFDGKGGCCPIFRIYGRDPLLLVDKSSKLLFSTPRRSKNVCSYNQAESELVKIDINCHIQGDIVLECLNLHDDDMAKDDIMYQAMFNTAFIKSNTLVLNRDEIDVSWDIKDQFSKDFKAELLFTEMDATASTVPVDLSCFEEEGLPMEAFAKVQDMFSSVDWLVPKSDAALNRLHNMALSDIVNEMLGIQSTESSNLLQTLPKRNQGKDGPTVSHTKSKSSFLGDEKTSSGIVGPRSVSLPRHLPSSKQLQASLDGGAKAFSSFKSMSPHIILTSHYLGSLNQPNLLLNREMEVVKXGGGPPPAPPPAPPAPPAPPAPPAPPVPPPPQAKPAAPPPGPPPPPPNKSGPPPPPPPVNGNKEGAPGPPPPPPGSKGGGVAAPSPPPPLNKPRALSRTTAVKTQPGKKLKPLHWSKINRVGQGSLWAEAEKSGEAARAPEIDMSELETLFSASNPNSDKATKAKSKAANKPEKVQLIDHRRAYNCEIMLSKVKAPLHELMEHVLNLDELAMDVDQVDNLIKFCPTKEEMELLKGYKGEPEMLGKCEQFFLELMKVPRSEAKLVVFSYKIQFSEQIRSSVKLRRVMQTILSLGNALNQGTTRGAAVGFRLDSLLKLNETRARNNKMTLMHYLCKVLADKLPELLDFSKELGSLEPASKLQLKILAEEMQAITKGLEKVVQEKKLCKKDGHVSKKFRKSLKKFLASAEPNVKELASLFSKLGKSVDALIIYFGEDPAKCPYEKVVDTLLKFVRMFNQAHDENCKQIEAEKIAEKKAAQKAAEQERLKAAEKDKLRAEEKEKSKRVLVAAASACVPAFFAEKTGNDLVLIDYEEGFP >cds-PLY80334.1 pep primary_assembly:Lsat_Salinas_v7:7:153846821:153849690:-1 gene:gene-LSAT_7X90360 transcript:rna-gnl|WGS:NBSK|LSAT_7X90360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYAGDIMIVNVNLVGFPVLVLPCRFVRNGILVGVQMIGVAFDELQENAMVGLIVFYSMVRVYDLGFTESLRVVVLHGERKPSSSQVLKRLTLMWRRMKT >cds-PLY73060.1 pep primary_assembly:Lsat_Salinas_v7:2:29578386:29581181:1 gene:gene-LSAT_2X12341 transcript:rna-gnl|WGS:NBSK|LSAT_2X12341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNSPPGLSKRKPGRPPKHRSDSEPLSSSPGLGNDSVAVPSPPPTENSAATAKRGRGRPPKVGATTGGHITVFGGGETQAVETPSENSSREPKMMSGTMGSKRGRGRPRRIGIGTVTVPLSGNVLRRRGRPKKRDGRSNVAANGGAGDRGLGSRSSRRSAINIAKLIGKTLGKPNKVGAGTAIVVTDPRQLVVYQELKTKYDLLQSKVKEVVNVIKPHIDYENPAFKVIQEVEAMEDM >cds-PLY68010.1 pep primary_assembly:Lsat_Salinas_v7:4:314622992:314625428:-1 gene:gene-LSAT_4X158640 transcript:rna-gnl|WGS:NBSK|LSAT_4X158640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLVLELGLNSSSSSMAENTSINPTKKPCSSLSFEPCLTLAVSGDLDHLKKPPCVGGGVGGGGDLYRQDSLSGGCSSFSVASVSVKREREVKSEDSERVSRVFRTSVHEHEVDDDDDATVNGRKKLRLTKAQSVLLEEAFKHHSTLNPKQKQELARELKLRPRQVEVWFQNRRARTKLKQTEVDCEYLKKCCEALKEENSRLHKELQELKALKLSTQPLYMQLPAATLTMCPSCERIGDTTSSTKSRPFTMAPKPHLFNPFANSSAAC >cds-PLY70744.1 pep primary_assembly:Lsat_Salinas_v7:8:166106710:166109919:-1 gene:gene-LSAT_8X108920 transcript:rna-gnl|WGS:NBSK|LSAT_8X108920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLGLVTRFLGLPAHQYQGKSWISYGLNLALARKGVIVNDKIFKNLTTSELQTRGATIQDSLSGIPVYIRGNLFVQKSEITKAQFSKLLKQVTTHISSVSDIFVHDGAIGSTSTSTSTLQIDAKVRVISDNPTATCAFHNILFQTPTRAVSHDSCPLTVYVASSISLNVLDAIGLGSEVTDGVIAADADLSSLVLCGKAFCDANGIKKALSALSEPIILSRGGLLLHPKILLSCDRVFLIFLSEDTIKRSLKHMVTDHGGVIMSSTHNASPLFPSKNPENQFLSKFPASMILSSSDSSGVIPTISKLSHGQAAYHFLAGYKNGTFNPAYGMTSYFCDPLQSAKSLFSKLIDNQIPSFLINVNQGEKQISGMDFVNLVKSTQHKNIPSFECKGGDLPRRYNNFLSNKFGKLPQYFSF >cds-PLY93058.1 pep primary_assembly:Lsat_Salinas_v7:9:26893406:26896275:1 gene:gene-LSAT_9X23580 transcript:rna-gnl|WGS:NBSK|LSAT_9X23580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGICLSARIKAESPCHTGVSSRNVSTDGNGISTSFSSKVSSVPPTPRSEGEILQSTNLKSFSYSDLKTATRNFRPDSVLGEGGFGSVFKGWIDEQSLVATKPGTGIVIAVKRLNQESFQGHREWLAEVNYLGQFSHPNLVNLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWSLRLKVALGAAKGLAFLHNAENKVIYRDFKTSNVLLDSDYNAKLSDFGLAKDGPTGDKSHVSTRIMGTYGYAAPEYLATGHLTARSDVYSFGVVLLEMLSGRRAVDKNRPTGEHSLVEWAKPYLPHKRKLFRVLDNRLEGQYTLDGAHTAANLALRCVSMDPRFRPSMVEVVKELELLQDPKGMTRIRRHSANEKQTRRLVPREGVDGNKSGPTGYPRPSVSAIYSK >cds-PLY85611.1 pep primary_assembly:Lsat_Salinas_v7:9:97872864:97873757:1 gene:gene-LSAT_9X73380 transcript:rna-gnl|WGS:NBSK|LSAT_9X73380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLCMSTLNAAPLISTSPSLTTSSSIVNSTSHAAISKTKYLASCSVHGLNSRNFQYHPRLMIARRKFVVYSNITPLPGVPLPSGSPSGSMESWVMCIVLTFVLPFITHKWGPLIALKNRVDTAVDMAEHIAEVMEDVAGKVDKVIDSITDDLPEDSKLRKRLEAIDELIEGVAMSAHIANDIIDKV >cds-PLY68890.1 pep primary_assembly:Lsat_Salinas_v7:2:194302412:194304936:1 gene:gene-LSAT_2X116200 transcript:rna-gnl|WGS:NBSK|LSAT_2X116200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELCLYQRHQLSIKNSQIKRSGEFGNRHLCPASSDHWLVSLMKILKKNDAGEVLIVCCSLALIGVALVLSPSRLIQFLKGNLRLWIHIGSIALTVMLMVLWCNMVNTRNQITLQKRPTDMGLSVFSTELILMVLLLLSLMTGAAAGQFPCLNLYRYNVTNVLNTLLLFLGPYKRTM >cds-PLY64749.1 pep primary_assembly:Lsat_Salinas_v7:MU045566.1:191865:194059:1 gene:gene-LSAT_0X22560 transcript:rna-gnl|WGS:NBSK|LSAT_0X22560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTVKLQDIDNLQDVMIDMMDISSEIQESLGRSYCVPDDIDEDDLLGEIDALEADIGRETECEDVPSYLQSNNEPDLNEQFNLPSAPSGYAVPTGRVNNESWRDSTIETPIIPLWQLMVGSRKNQAKFTTKTL >cds-PLY89578.1 pep primary_assembly:Lsat_Salinas_v7:4:366923366:366923779:1 gene:gene-LSAT_4X176821 transcript:rna-gnl|WGS:NBSK|LSAT_4X176821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQNWDRISTLPQDIIEKIQTLMPMRDALRTSILSKKWRYSWASIKKLVFDENVVNVYSDKEEIDKYKLVNAILHVLLLHKGPILEFVVCMADTYIFNEFNQIILHLSRRNNLKIFIFEIWSPNSRDKLPSLFFSQC >cds-PLY62880.1 pep primary_assembly:Lsat_Salinas_v7:9:132238413:132240371:1 gene:gene-LSAT_9X85880 transcript:rna-gnl|WGS:NBSK|LSAT_9X85880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILIDAYGLLQIESWMPCLKRLSPFWLIGFRMISFCLILAASIDDVATHATNLFYYYTQLTLMLTTIYFLIFKLLFGSVVSASGCFRNDKKKMYNAHSIIDTEQGIGIYMPLNHAGKEKPINLQEECYFSQTAAIWGYIFQIVFQITVGAVMLTDGVYWLVIFPSLNILDYEMSFLTVVVHSLNLVLVLGDTAMNSLVWVEEICSTTTTTNGWNGDAAYATIWNATNGLLLIYSLLVVERTNLNSFESCVLGVKRWIGKMRECLMICFQPVVDAFDPRLLVALTVSHVINFTTVKEEHLYEIDIPLKFTASVGTRIHGLACWFDVLVNGRWLTTAPGAPTTHWYQLRCVLSQPIYVKLWFRPLCL >cds-PLY71591.1 pep primary_assembly:Lsat_Salinas_v7:1:116042685:116042909:-1 gene:gene-LSAT_1X88141 transcript:rna-gnl|WGS:NBSK|LSAT_1X88141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHEDNQFGRCGRTLLWISDHILHRALLSLPSPSSHYGRRRRRNREEGISNNWFYYGTAHNVHIDLLCASASSTG >cds-PLY83686.1 pep primary_assembly:Lsat_Salinas_v7:4:43795610:43797100:-1 gene:gene-LSAT_4X30341 transcript:rna-gnl|WGS:NBSK|LSAT_4X30341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTVEEAEKVVEKFNGYDLSGRALTVNKAAPRGSQPEKRVVGTSFKIYVGNLPWQLCLWPNTFIIDINTITIAASASSTIDRSQREHILSSRNRWWTLGLHEAEEGDAEE >cds-PLY98729.1 pep primary_assembly:Lsat_Salinas_v7:6:104587591:104590895:-1 gene:gene-LSAT_6X66121 transcript:rna-gnl|WGS:NBSK|LSAT_6X66121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLIDLNLYPDPPNTTTIATGYADTAAISIIPKVEPKLEPLDESADVPPESNSNPILGLISQPTTALVEHSEVDENNVYSEYNRISEMFRTAFAKDMETYDEIQATDPDAQAIVPVPEENQLSTVIVPSRRKNPSRSSELVRVTNLGIEDERYFRDVVRKTRMIYDSLRVLVVMEDDKRRSFGIVSTPRARGDLKASAVMKDRGLWLNRDKRIVGEIPGVHVGDVFFFRMELCVVGMHGQVQAGIDYLTSNQSSNREPIATSVIVSGGYEDDEDAGDVIVYTGHGGQDKNNKQVVHQKLEGGNLAMERSMHYGIEVRVIRGFKYEGSPSGKVYVYDGLYKIIEAWFDVGKSGFGVYKFKLVRMENQTEMGSALLKLAENLRTKPLEVRPVGYISFDISMKKENIPVFLFNDIDSNHEPMYYDYLKTTVFPPFVYHNVGGGCMCVSGCSKDCFCAQKNGGEFAYDSNGLLVRGKPLIFECGPFCRCPPNCQNRVSQKGVRNRFEVFRSRETGWGVRSLDLIQAGSFICEYSGVVLTREQAQLFTMNGDSLIYPNRFSERWAEWGDLSQIFSDYVKPSYPCVPPLDFAMDVSRMRNLACYMSHSSSPNVLVQLVLYDHSNLAFPHLMLFAMENIPPLREFSIDYGQADEWMGKLSITN >cds-PLY98567.1 pep primary_assembly:Lsat_Salinas_v7:1:39968293:39970838:1 gene:gene-LSAT_1X35220 transcript:rna-gnl|WGS:NBSK|LSAT_1X35220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDRADVNPDSIPELEMIYADMELMKERFAKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEISRLEPMPPDSKARWRKEIDWMLSVTDHIVEFVPSKQNTNGIMMEIMVTRQRSDVHTNIPALKKLDAMLMECLDNFKDQNEFTYASKDDAGAKSQKKREEEKWWLPTPKVPPNGLSDVTRKWLQFQKDSVNQVLKAAMAMNSHTLTEMEIPESYIDGLPKNGRASLGDTIYKNITVDHFDPDHFLSAMDLSSEHKILDLKNRIEASVVIWRRKMTNKDGKSGWGSGVSIEKREQFEDRAETILLILKHRYPGIPQSALDVSKIENNRDVGHAVLESYSRILESLAHKVMSRIEDVMHADNLAQNPSGDPKRNPLKDSASMASGKFPSAKEELEKLNLSDAPTSMTLSDFMGWTLDNEKESKQELSNGTETTTEQLAKQPSATNSKKLSYLEKLDTLGGRSPSARQ >cds-PLY65789.1 pep primary_assembly:Lsat_Salinas_v7:5:275466973:275470867:1 gene:gene-LSAT_5X146340 transcript:rna-gnl|WGS:NBSK|LSAT_5X146340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVAADDARCSEIGASVLRSGGHAVDASVAVALCVGVVNPMASGIGGGGFMVVRSAETSQTLAFDFRETAPLAASENMYEKDLNSKYFGALSMGVPGEIAGLYKAWSKYGRLPWKTLFNPAIKLAKDGFLVAPYLANSISSFASTIKKDPGLQQVYAPKGKVLEAGDICYNPKLGLTLEIIANEGPEAFYGGVIGEKLVKDVQDIGGILTMEDLRDYEVEVADALEVDTMGYTVLGMPAPSSGTLGLALVLNILQSYENSNAAKGSLGLHRLIEALKHMFAIRMNLGDPDFVNISKTVAEMLSPAFAKKIQKRIFDNTTFPPAYYMPKWSQLLDHGTSHFCIVDAERNAVSMTTTVNYPFGGGVLSSSTGIVLNNEMGDFSVPTEISPNTLPPSPFNFIQPNKRPLSSMTPIIILKDDQLVGVIGGSGGMEIIPAVAQVFINGFILGMDPSTAVQSPRVYHKLIPNVVNYENLTVIDGDHIELSNDRRRFLEERGHVLKPKASGAICQLIVQTLTKVKDNRKVKYDHRNVLRGMLTAVSDPRKDGRPAAL >cds-PLY76183.1 pep primary_assembly:Lsat_Salinas_v7:4:54038862:54040308:1 gene:gene-LSAT_4X36960 transcript:rna-gnl|WGS:NBSK|LSAT_4X36960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVRTVKVINVSLSASEQDIKEFFSFSGDIEYIEMKSENERAQNAFVTFKEPQGAETAVLLSGATIVDQSVTIVLAPEYTLPPFTTTLSDQQNTATGGQGVGGAESAVQKAEDVVSSMLAKGFILGKDAVNKAKLFDEKVQFTSTAAAKAATIDQKIGLTEKINLGTTLVNEKVKEMDQKFQVSEKTKTAFATAEQTVSVAGSALMKNRYVLTGTAWVAGAFSRVTKAAGEVGQKTMEKVAVEEQAAGTGTTRTTHQEPPPTSTHPATS >cds-PLY98590.1 pep primary_assembly:Lsat_Salinas_v7:1:39812769:39817422:1 gene:gene-LSAT_1X34940 transcript:rna-gnl|WGS:NBSK|LSAT_1X34940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLNIDRVEDNSGPGHAQPETTTISILVPSETMGTQPQELHGVCLPPQKTTFQKLRHKLSEIFFPDDPLHGLKNQTRLNKILFALHFVFPIFQWAPNYNLTLFRSDVVSGLTIASLAIPQGISYAKLANLPPVIGLYSSFVPPLIYAVLGSSKHLAVGPVSIASLVMGTMLNDAVSYTLNPVLYLKLAFTATFFAGLFQASLGFLRLGFLIDFLSKATLVGFMAGAAVIVSMQQLKGLLGIVNFTSKMQIIPVLSSVIERRDEWSWHAIMMGFSFLACLLTTRQIGLRKPKLFWISAAAPLTLVILSTLIVALFKSKLHNIATIGQLKKGLNPSSLDMLYFHGEFLAVAVKTGAVTGLLSLTEGIAVGRTFASLKNYQVDGNKEMIAIGLMNMAGSCSSCYVTTGSFSRSAVNENAGGQTAMSNIIMALTVLVTLLFLMPLFRYTPDLILAAIIVTAVIGLIDYQSAFRLWKVDKLDFVACLSSFLGVLFVSVPVGLAVAVGVSVFKILLHVTRPNTGVLGNIPGTQIYQDLDRYREAQRVPSFFILSVEAPIYFANSMYLQERILRWLREEEDWIAANNGSTLKCVIIDMTAVTAMDTSGLAMMNELKRMLEKRSLQFVLTNPVGSVMEKLHKSNTLESFGSDGLYITVGEAVAHVSSSWKTQL >cds-PLY91900.1 pep primary_assembly:Lsat_Salinas_v7:8:201314696:201320802:1 gene:gene-LSAT_8X128721 transcript:rna-gnl|WGS:NBSK|LSAT_8X128721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEETTSNHLQESIAAETTTTVISEILLENTTSIDKQSEKQLSNLAPESNSQEEKDSSVSVPKSEGSEAFVSAAAGEKVEEPVHCRNSESVAALSGGGDNSVVVVSMSVVQDSKDADDGAVKEIDVAAVECGGSELTYAVKDGGDEGGEAVVERIGDPEVVEEMVGNDGDDTNVGEFLETEKDENEGNEIMVGMEGKPEDEKETVKEEEDAEVLKVGDDGGVLSSPVVLVHNDGGDEMTDQSKNDGGLNVRSEDVENVQGMVGSDVKNDGHGDAQIENIDNLQEDEAVAEEPVVPEIVKEEDNVSDEDVREDQQEEASVANEETVDGVGENEEEEPMEEDEEKSLDTDIETEKSLDIEMESVKSLDTEMETEEEINLEDEEKVQEADIETEPELPESSKKDSGGKRKRGGKLSKTTTKKTMEEDVCFICFDGGNLVLCDRRNCPKAYHPSCVNRDEAFFQSKGSWNCGWHLCSICEKKAEYMCYTCTYSVCKSCIKTSDMLCVREKEKKGLCEACMRTVMLIENPENQENANFDDESSWEYLFKDYWTETKAKHDLSLSELIQAKKQESSITQSDVKDEGNSDNPPENPSARKTRRQTKKQKSDAISDDSAPEWVSKELLEFITHMKNGDSSVISQYEVQDLLLEYIKRNKLRDPNKKSQIICDTRLETLFGKPRVAHIEMLKLLESHFLTKEDSQVDDFQGSVVDDDETNETLGKDQKDKKRKGRKKGDKKEPQTNRDDYATIDIHNINLIYLRRKLVEDLLDDMEMFHHKIVGTFVRIRVSGANKNQDIYRLVQVTGTSEAAEYTLGKRTTCAMLEILNLNKTEIIPIDTISNQEFTEDECKRLRQSIKLGLINRLTVGDILDKAMELQVARVNDWLETEVVRLNHLRDRAKKLNLLKGPEERARRLEEFPLVHDDPTMDPEYESEEDTNSDDNKRQELYKRSDSFGFNKRGRDQFSPRGDYNYSYNSNSNSNSKESWSGTPRNSRKNYEFTRNHSNKNMSSFENIENSRDHEQQRAPFVQQPIKSEKPNLVVNIETSVSNPKVNESDKMWHYKDPSGKIQGPFSLVKLRNWSKNGYFPDHLKIWKKNLKEDDGILLRDALEGNFQNNPNPTPVNRPGPDFANTPVKSSAGQSPTPTPTPNTGSTSVGPPFVPCGNNDMNAAGTSVAPVPVPVAPENIVAAPGGSVALPPQMVQAASGQSQSQIQNPQGWNGGGPQVIPMAGMGMQPMVYNQWSGGPAMVQDPAGNFLPQPMAAVAAPPQQFPFPVNQNMQQPNVNWGTMGMNPNMVWAGPNPGMAWGPMVQGPQVTGTMDPNWVQVPGWVPQPPVPGVGVAAPNQTWVAPPNGNPGWVGPVQGGWNQGNVNVNTGWNQGGAPGTNMGWVQGTIPTPTPTPAPAPTPIPTPMPPGNSNQNWGPRNQGNWGGNERGGFSGQRRNRGSGFHGGNNRRSYNNNNNNNNNSNNKQESFHKDGRLSSSFHGGSSGDPAPAPAPAPAPSDQ >cds-PLY99500.1 pep primary_assembly:Lsat_Salinas_v7:4:58091793:58092080:1 gene:gene-LSAT_4X38740 transcript:rna-gnl|WGS:NBSK|LSAT_4X38740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDELIELIIAKLSSAIVLILTSKSKILITVVAVHILRRMLKTIYHRHQFPIFTAKHNNSTTTTTATKTLYCSVCLHDVDGGQRYRRRNAVTASM >cds-PLY78711.1 pep primary_assembly:Lsat_Salinas_v7:9:51376210:51378234:-1 gene:gene-LSAT_9X46060 transcript:rna-gnl|WGS:NBSK|LSAT_9X46060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTELLNFCLCHGDSGSSSLWQLRGSIFSFLASLIIFSFPATDIKTETTITTTGGGETVAMESPSEKRLEIITKTTTNNGIKCKERERAQQRGRRGMLLGIGFWMQGFRCYPWMAVIFFLKDGLHVDPSTLQILQNSANLPMVAKPFYGLLSDSFYISGQHRIPYIACGAFLQAVSWFSIASLPPSSISFFTITINLLLGNLGASIVEVANDAVVAECAKQPAGNSASSSSSDLPSFAWVAGSIGGVMGNLLGGISIERFSSQTMFLFFGILLTLQFFFTISVNEKSLNLPKSKSNHGIRKQFLDLLLVLRKPEIYQPISWFAASYAIIPALTGTMFYYQTQHLNIESSVLGMSKVFGQVAMLTWGIVYNRRLKSIPPRKLISIIQAILAILMVSDSLFVSGFYHRIGIPDSLYVIFVSGVLEVLYYFKTLPFSVLMAKLCPPGCEGSLMAFVMSSIALAFIISGYIGVALASYVEITETDFSGLRKALLIQAACTVVPLFWSSFIPESPKVEIGKKEE >cds-PLY90787.1 pep primary_assembly:Lsat_Salinas_v7:9:114105634:114105897:1 gene:gene-LSAT_9X80000 transcript:rna-gnl|WGS:NBSK|LSAT_9X80000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEQCEPSQASRDRSERQEPVHMPPKKKGRQRKKVQSEPNQPSGSKSVRSKKKMCIQIGGGIVGDRAIVDDYDGLDGHIEGRALRNK >cds-PLY92874.1 pep primary_assembly:Lsat_Salinas_v7:8:40746927:40748816:-1 gene:gene-LSAT_8X32020 transcript:rna-gnl|WGS:NBSK|LSAT_8X32020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYSLVPPHHISHCCFLTNPIKLLHSSDRPHFRLRLSNKRIPPSHFSTGIFYSLSAIDSRDEEQDNKENHQNRDLDDYDDGDRAFLNRFYDSPPIYLNDTRRKNDRRLIIGNEIRENTDRIRGESSTGNFANMWWADLKAAVGQRINVEGLLFSVSVITRNKQWVMPHVSVPDIRYIDWDALRRKGFEGVVFDKDNTLTAPYSLALWGPLASSVESCKSVFGNNIAVFSNSAGLQEYDPDGRKARALEYVIGIRVIRHKMKKPAGSAEEIERHFGCDSSKLIMVGDRPFTDIVYGNRNGFLTILTAPLSLAEEPFIVKQVRKIELALVKRWRRKGLKPANQRLLSDASDCVKELPPV >cds-PLY66766.1 pep primary_assembly:Lsat_Salinas_v7:8:72481923:72482363:-1 gene:gene-LSAT_8X52260 transcript:rna-gnl|WGS:NBSK|LSAT_8X52260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKMYVSKGYSTNTYIPDLHVGYDHGPKDSMNDYVHSPNMSSSSSSSKFNNGGSWTINSSNFSEEAHSRLGATHHHPLTTLTDNIKEPSGFQDSVNHSPLLDLHQREVLDELSTRAQLLETEKRYGRPTFVAKPNDIYKKRYRWL >cds-PLY79035.1 pep primary_assembly:Lsat_Salinas_v7:3:7516915:7517196:-1 gene:gene-LSAT_3X4400 transcript:rna-gnl|WGS:NBSK|LSAT_3X4400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNCFCGRQAVIVTSWTDLNPRRRFWKCPSSCGFIAWFDEPMCRRAVAVIPGLLRSMNKLQESLLQASFEAKKVKMMLVFSWVFFFMYVYKRC >cds-PLY81019.1 pep primary_assembly:Lsat_Salinas_v7:9:176123758:176125634:1 gene:gene-LSAT_9X108881 transcript:rna-gnl|WGS:NBSK|LSAT_9X108881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGGGDGTSAASLDQWRQFSRLFQIYLDKTTPHAVYRWIGTAALVFLYALRVYYIQGFYIVSYGLGIYILNLLIGFLSPLVDPELEPSDGPLLPTKGSDEFKPFIRRLPEFKFWYAITKAFIISFLMTFFSMFDVPVFWPILLCYWFVLFALTMKRQIMHMVKYRYVPFNIGKQKYGGKKSSAGGSSSGSRAD >cds-PLY82090.1 pep primary_assembly:Lsat_Salinas_v7:1:128221907:128227017:-1 gene:gene-LSAT_1X96381 transcript:rna-gnl|WGS:NBSK|LSAT_1X96381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVYRIALLTVVVLGYYASIVVYVLIVSSYMPPLLVILLLDPTHVIIVCLIPSRYQFSNRPLFQMASVMLSSCQFPLAGYKTPIRWPRSRDQLVEVKGHQNWVKVTGEYLSFPGGGNQFKNGALHYIDHIKKSLPDIRWGKRTRVILDFGCVVASFGGYLFERDVFTMSFAPNDEHEAQVKFSLERGIPAISAVMGTQRLPFPSKIFDAIHWVSCRVPWHIEGGKLLLELNRLLRSGGLLLQFTQTIQKMLKYGKLVVIYSDKLNQVGAAIYRKLTSNECYDNRPPICGTNDDPDAIWNVELEACMNKVPVDESIRGTKWPKTWPQRFAAALKDLNVWVMNVVPLDSPDTLPIIYERGLFWIYHTGVNHSVLTHLWDALGQETDKRSHLMDLLHARIDNGSVKHVCTCSRVYCSCFLLTKKRYVGYSYEKPDQVKPFFDVKGVETLCKDTCEAAWIITKERKMAKKFARLVGLKVECPNKK >cds-PLY88416.1 pep primary_assembly:Lsat_Salinas_v7:8:87312703:87313029:1 gene:gene-LSAT_8X60780 transcript:rna-gnl|WGS:NBSK|LSAT_8X60780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLNYVAGVIPKYFSFVWSLAQFRLVEGSQYIVAFGHQKNTVVIIGLDGSFYRCQFDPKAGAEMTQLEYHNFVKPDDYF >cds-PLY62446.1 pep primary_assembly:Lsat_Salinas_v7:1:84459095:84461162:-1 gene:gene-LSAT_1X70780 transcript:rna-gnl|WGS:NBSK|LSAT_1X70780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALTVEKTSTGREYKVKDMSLADFGRLELELAEVEMPGLMSCRTEFGPSQPFKGARITGSLHMTIQTGVLIETLTALGAEVRWCSCNIFSTQDHAASAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEEFEKTGKLPDPTSTDNAEFQIVLSIIKEGLAVDPKKYHKMKDRLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAAMKQAGARVIVTEIDPICALQATMEGLQVLPLEDVVSDADIFVTTTGNKDIIMVSDMRKMKNNAIVCNIGHFDNEIDMLGLETYPGVKRITIKPQTDRWVFPETKTGIIILAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKERTTGKYKKEVYVLPKHLDEKVAALHLAKLGAKLTILSKDQADYINVPVEGPYKPLTYRY >cds-PLY99583.1 pep primary_assembly:Lsat_Salinas_v7:7:189872916:189874379:1 gene:gene-LSAT_7X112940 transcript:rna-gnl|WGS:NBSK|LSAT_7X112940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQALRNFLRNPTISIAETYKTIGEINQIHSQLLVNGFHNDPDLVSKFAASIALKHPQNIDYSVQLLDQCENPSVFALNSLIRVYSNSSTPEKSFDFYKRIVKSNHKPDNYTFTFSIKSAAQLVDKNLGLAVHGTALKYALDQDPHVQSGLINLYAEMGSLRDLKDLFFNIHNPDLVTQTTMVVACARLGDIKFARQVFDKMPDRDVIAWNAMIGGYVRFGEPLNGIELFNTMEMKGLKVNEATMVSVLSACTQLSALDAGQRAHRYIKYKKLQINTTLGSALVNMYAKCGDINTAMNVFGGMKEKNVFTWSGAMGGLAMHGYGKECLDLFTLMQQENITPNEVTFTSILKACSVAGLVEEGCKHFESMTKEYGIVPKLEHYGCMVDLYGRLGRLDEALRLIQSMPCAPHTEAWGALLNACKIYKNVEIAKLASRKMVELEAKNDGAYVELSNVYADFKNWDGVDGVRHKMKSKGVVKIPGVSIIDSS >cds-PLY74970.1 pep primary_assembly:Lsat_Salinas_v7:3:154805281:154813081:-1 gene:gene-LSAT_3X98060 transcript:rna-gnl|WGS:NBSK|LSAT_3X98060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWFVELSFNKKTWRNIERQFVPSSASNNLDIDWLPHTCTILASSLCGLLLAESYDPNEYVSKILFVIKPTSTDAKWILFSTSEYTAEKFALVVIRSNPLHFKVIRLSYTKPSMKTSEVVHENEKLETIAAKSTSDSESDTTKIIDFSLHFNPYKSIMERVILEEVSAIAKEDEEFKRKKKDFSSNTVEVG >cds-PLY85700.1 pep primary_assembly:Lsat_Salinas_v7:7:158088968:158093400:1 gene:gene-LSAT_7X93281 transcript:rna-gnl|WGS:NBSK|LSAT_7X93281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLPESLYVDPEGGSSSNSAPKNTARRQPQPQQFSASDRAEIQQLINLLNHPHTRAEAITQLNRVYTRHKGKGGRELGLLLWNSRDTIFSLLEELLGVYKLLSPPKLGMGEATRVCNALALLQCIATHPDTRLELLKAHIPVYIYPFLNTTEKQLVHYDYLRVNSLGVIGALLKEQCPKTDEIVHYLLQSEMVPLCLRCMDVGSDLTKSVAAFVIGKILGEPEGKTYCGTFAERFFSVSRALSKMVDEFSGKPSPALLKNTLICYLRLSEISRCCNVLKKRYPERLRNPVYLNHVCDGNTRALAEQVLQNIMGRQQVEAVPVEALVSATRSMQT >cds-PLY74507.1 pep primary_assembly:Lsat_Salinas_v7:7:40200692:40202941:-1 gene:gene-LSAT_7X29141 transcript:rna-gnl|WGS:NBSK|LSAT_7X29141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFMILTRNGYIGTNLVDDDCLFDYHVLFDVEVGEGHRIVEEVGGDGEVGERYGVNEDVGGDAEVGEEHGLVEDVGDVGGVADLQNTGNQHVVAPNLKRRKYGPSQRNTKLRLKRKLMTKADKGESLGNHLTI >cds-PLY64466.1 pep primary_assembly:Lsat_Salinas_v7:3:16518199:16518876:1 gene:gene-LSAT_3X11661 transcript:rna-gnl|WGS:NBSK|LSAT_3X11661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVARQGPIAMVAHQICLQVWLAVSLITDVLAASSKVLIASSVSEGDYRSMKDITYFVLTEIITMEGLSTMEMVGGKIGKDMVVDGMTGVVLVEEGGDEEEVVAVTVDVVVVVVIVMVTRE >cds-PLY67719.1 pep primary_assembly:Lsat_Salinas_v7:4:2778842:2780666:-1 gene:gene-LSAT_4X541 transcript:rna-gnl|WGS:NBSK|LSAT_4X541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKAFTNLHTTSRRVADRKVEKFDKNIVRRGSVPETFAKKGKSYPVGPVMLGFFVFVVIGSSLFQIIRTATSGGMA >cds-PLY68529.1 pep primary_assembly:Lsat_Salinas_v7:4:21317186:21320704:-1 gene:gene-LSAT_4X14360 transcript:rna-gnl|WGS:NBSK|LSAT_4X14360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISGHDFYTVMAAMVPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISLNNPYEMNFRFIAADTLQKIIMLVVLGLWAKFSKNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGDYSGSLMVQVVVLQCIIWYTLLLFLFEYRGAKILIMEQFPETAASIVSFKVESDVVSLDGHDFLETDAEIGDDGKLHVTVRKSNVSRRSLPGMTPRPSNLTGAEIYSLSSSRNQTPRGSNFNNSDFYSMMGFPGGRLSNFGPADMYSVQSSRGPTPRPSNFEESTAPGPLNSPRFGSYPAPGVQSTSSYPAPNPEITSTVTKPAKGLQPPVTVVQQNGSSQNKTKNDDKELHMFVWSSSASPVSEGGGGGLHVFGGTDFGATTDQSGRPDNDGAKEIRMVVSSDHPESKGTGDFRRDEFSFGGGRDGEDERDKDGSTGLKQGSSSTSELHHKEAPVLEGNAGKLMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVSFRWHVAMPKIIEKSISILSDAGLGMAMFSLGIFMALQPKIIACGNSVATFSMAVRFLTGPAVMAAASIIVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLVYYILLGL >cds-PLY88705.1 pep primary_assembly:Lsat_Salinas_v7:5:69010452:69010616:-1 gene:gene-LSAT_5X31900 transcript:rna-gnl|WGS:NBSK|LSAT_5X31900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPYCRWESPHRIDDNLIFLPSYSSPRNIPYVNQGQKIKISITFKQQKKFKF >cds-PLY85260.1 pep primary_assembly:Lsat_Salinas_v7:3:71632861:71634225:1 gene:gene-LSAT_3X54521 transcript:rna-gnl|WGS:NBSK|LSAT_3X54521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDGWSRVQRRKTSANKANGVVTNLFVTDIPNGVTKTEFKKIFYPFGRLSDVNFEGKRDRNGKNFGFIRFVGVSDVRELERKLNGTKCRNNSLEVNVERRKRNPPAKQFQANKQVPFSSKVNVNGGGNPIRNVGGSFKDGRSFADVTEKKQMYVSDSEERTDEVLGTVRATENKDQDHGLSPLESIEMEEGEIMAEEMETTSVNLREARVEHPTSESVAGTDKDGYKKVSGLMESILSINKEEPAMVGDIHEKIHAINSSGTQHVD >cds-PLY86334.1 pep primary_assembly:Lsat_Salinas_v7:8:28075796:28077645:-1 gene:gene-LSAT_8X22741 transcript:rna-gnl|WGS:NBSK|LSAT_8X22741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHVSRGGWVGPTFALAKFDDSFEGKKSVIRRSKEERKGMVETFIKRYQKSNNGDFPSLNLTRKEVGGSFYTVREIVREIIQENRVLGPPKSTPGDKNMEKLDSFLEHNPLGSMSVDLHVHMVTQEGSIVDDQKDGLVTNQSEKHEISEDIVVGASSSSSSSLGHVTMEEKDTENREVVDIQLEEENHKNQNHTSTEENIDVKLEDSLPVEEKNVNGAYTPNLHTISVQELTLEDDDERNANLIKSSDHSSSQKRRTTATLNRINLESWEAASKKSSGQETHRFVTFIKAFITAFVKFWSDD >cds-PLY87093.1 pep primary_assembly:Lsat_Salinas_v7:5:263872322:263873535:1 gene:gene-LSAT_5X135620 transcript:rna-gnl|WGS:NBSK|LSAT_5X135620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVALRTKGGVTYMFAYTDYSEEQFVLLMHAVISSDLKQQKDLNHTLIGDIEANNQLQLAYFDEPLPYCGCGIGWFCLLVGFVFPLLWYYATILYLRNYYQKDPRERTGLAASAIVALIFTIAVLIAVVVIVL >cds-PLY61796.1 pep primary_assembly:Lsat_Salinas_v7:5:81927575:81931253:1 gene:gene-LSAT_5X37300 transcript:rna-gnl|WGS:NBSK|LSAT_5X37300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNLLVEPSDFRRENQWDQRKIPFSEVVITRTRNHFFGRKWNTADIQVASWFIFVHLLTLFAPFAFTWDAFWIASVGYLLTGMLGITLTYHRLLSHHSLKLPKWLEYSFVYLGVLAAQGDPIFWVSNHRFHHKYVDSDNDIHSPTNGFWFSHMGWMFDSGYLVEKYQERKNVEDLKNQMFYVFIHKTYMWHLFGCGALLYACGGFSYLVWGMGVRIVWLYHITFCVNSVCHIWGNRAWNTSDLSKNNWWVGVLAFGEGWHNNHHAFEYSARHGFEWWQIDVTWYIIRSLESIGLATNIKVPTNLQKFKKSIVTSDDTFND >cds-PLY81730.1 pep primary_assembly:Lsat_Salinas_v7:3:34571125:34575638:1 gene:gene-LSAT_3X25860 transcript:rna-gnl|WGS:NBSK|LSAT_3X25860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFDSSNKEGNGVKEVSKKDIGKEGSAPHQSHHVSRVSSGKSKSRVSLDPKKDQMVTKDGQTANIAAQTFTFRELAAATKNFRPESMLGEGGFGRVYKGRLDSTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLDEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNTRAPGEHNLVAWARPLFKDRRKFPKMADPLLQGRYPVRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQPYDPEAARAERSARGSGSGTPRSRADRRNPSDGGLDDSMPRAHGSPSTYKNSPDYRKRESLREINTRGADLADGESSNGGGGSGRKWGVVEDSDSQRNSPANTSSRGRNRDLERERAVAEAKVWGENWRDRKRTNTTSGGGSGSGGGGGGGGSFDATNE >cds-PLY78581.1 pep primary_assembly:Lsat_Salinas_v7:1:106620704:106621827:-1 gene:gene-LSAT_1X84941 transcript:rna-gnl|WGS:NBSK|LSAT_1X84941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNNLDLSSTSPFSLQNLQHRPDLHLQIPPDSEDDNNQHTPGSGSGSGDIVGRRPRGRPPGSKNKPKPPVIITRESANTLRAHILEINSGCDVFESIANYARKRQRGICIVSGSGTVNNVSLRQPAAAGSVLTLHGRFEILSLSGSFLPPPAPPGATSLTIYLAGGQGQVVGGNVVGALIASGPVIVIAASFTNVAYERLPLDEEEAAASSGGGNGGGGDGNGGVNHPFPDPSSMGLPFFNLPLNMPNVQLPVDGGVWSGNMASRPPF >cds-PLY89709.1 pep primary_assembly:Lsat_Salinas_v7:7:42163787:42167023:-1 gene:gene-LSAT_7X29481 transcript:rna-gnl|WGS:NBSK|LSAT_7X29481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDGEGGLSFDFEGGLDAAPTQPTASVPVIHQSADNGPSASAANLSYSTAPPSAVTDPASAAAANANFPGRRSYRQTVCRHWLRSLCMKGEACGFLHQYDKSRMPICRFFRLYGECREQDCVYKHTNEDIKECNMYKLGFCPNGPDCRYRHAKLPGPPPSVEEVLQKIQQLTSYNYGNSNRFFQNRNANQSQQSEKFQFPQGNNDTNQINKPATTDANSLQPGPPSSPHQQQQQQQQVAQSQQLVSQLGQSQAQSNGQQVQANKTCIPLPQGTSRYFIVKSCNRENLELSVQQGVWATQRSNEAKLNEAFDSVENVILIFSVNRTRHFQGCARMTSKTGGSVGGGNWKYEHGTAHYGRNFCVRWLKLCELSFHKTRHLRNPYNENLPVKISRDCQELEPSIGEQLASLLYLEPDSELMAISVAAETKREEEKAKGYNPENATENPDIVPFEDNEEEEEEEEEESEEEDEGFDQGGFMAGEGGRGGGRGGRGMLWPPPHMTLGRGPRPMPGMRGFPPLMMGADGFSYGPDGFPVPDLLNMGPRGFGPFGPRFSGDFQRPPFPGMMFPGRPGPHQGNFPGGGFGMMMGPGSGGGPGPGRGGPFMGLGGRGGRPGFPQQQLPPSQNRAKRDQAAAGGGGGSTQGMGQDDEAQIGGESMFKNGESESEDEAPRRSRHGEGKKKRRSSEGDAATNSGDGS >cds-PLY87898.1 pep primary_assembly:Lsat_Salinas_v7:MU040310.1:710043:714957:1 gene:gene-LSAT_0X27020 transcript:rna-gnl|WGS:NBSK|LSAT_0X27020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSQLLNGAGVTAGKSITSRMASVYSEVQNSRLDHPLALPSVFRSPFKIVDGPASSAAGNPDEIAKLFPSLFGQPSAILVPGESNESGSALKIGVVLSGGQAPGGHNVISGIFDYLQECCKGSTVYGFKGGPAGIMKGKYVVLTPDYIYPYRNQGGFDMICSGRDKIETPEQFKQAEDVAVKLDLDGLVVIGGDDSNTNACLLAEYFRSRKLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEQIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITLIGEEVASEKLTLKNVTDYISDVICKRAGLGYNYGVILIPEGLIDFIPEVQQLISELNEILASGIVDESGKWKEKLIPQSLQLFEILPPAIQDQLMLERDPHGNVQVAKIETEKMLMQMVESELEKRKQVGQYACHFKGQSHFFGYEGRCGLPSNFDSSYCYALGYGAGALLQGGKSGLISSVGNLGAPVEEWTVGGTPLTSLMDVERRHGKFKPVIKKAMVELDGAPFKKFASLREEWALQNRYISPGPIQFVGAEADRANQTLLLELGIQV >cds-PLY78655.1 pep primary_assembly:Lsat_Salinas_v7:9:47060471:47060758:-1 gene:gene-LSAT_9X44700 transcript:rna-gnl|WGS:NBSK|LSAT_9X44700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNSNSLSPPPETLVAPPVQTPLSNENSLHETLAAMNIPDLCFKENQMKKKVDEDARFVGRFESDAREDDFNEIIVKVVPDNASTKEDDFNGEKL >cds-PLY98397.1 pep primary_assembly:Lsat_Salinas_v7:5:315256291:315256578:-1 gene:gene-LSAT_5X171601 transcript:rna-gnl|WGS:NBSK|LSAT_5X171601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHQMEIVVIASTPSQPEMSEAGRVEADIQKEIIVLDIPNANATTDDQPILDISDYSEIGHYEGSLDLGFMPKAVISVVTLNVAYHDSYFAVEIP >cds-PLY82302.1 pep primary_assembly:Lsat_Salinas_v7:1:67779458:67781483:-1 gene:gene-LSAT_1X57801 transcript:rna-gnl|WGS:NBSK|LSAT_1X57801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIPAKRLEGKVALITGAASGIGECCAKLFAAHGAKVIIADVQDQLGQAVSEAIGSSNSMYIHCDITNEEEVKNTIDTAVATYGKLDIMFNNAGIADAFKPRIMDNEKKDIERVLGVNVIGTFLCMKHAARVMVPQKSGSIITTSSLTSHLGGMASHAYSCSKHALVGLTRNLAVELAPFGIRVNCVSPFGIATPMTADFIGLEREVFENMINGVANLKGVTHKPDDVAYAALYLASDEAKYVTAQNMLVDGGLSYCNNSFNMFKYPEEAT >cds-PLY87821.1 pep primary_assembly:Lsat_Salinas_v7:3:85690860:85691060:1 gene:gene-LSAT_3X65741 transcript:rna-gnl|WGS:NBSK|LSAT_3X65741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEAKNNKAFGMEILKLSEEAKNNKAVQLDILSLLKVMLLMMVMFLMVVIVMGFMVHNVVVKAL >cds-PLY92616.1 pep primary_assembly:Lsat_Salinas_v7:7:161674807:161675429:1 gene:gene-LSAT_7X93900 transcript:rna-gnl|WGS:NBSK|LSAT_7X93900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAFLLLTLAFAVVLLITSKMAAATELAENTDSEYKAGGHGEHSISRGIPGHDKGGKDKYNVGHKGCMYGCCTGKSHRAIGGCKCCKTFAEATAYKQTQN >cds-PLY90127.1 pep primary_assembly:Lsat_Salinas_v7:7:13060652:13060900:-1 gene:gene-LSAT_7X10381 transcript:rna-gnl|WGS:NBSK|LSAT_7X10381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVEKGKQVTFALSSSSGSDPYEPDVVAWFTNAMHNAIRDFDVKEFVCYLRLGKLGLADLCQLCSEDEDVVPDDSVEGGV >cds-PLY67626.1 pep primary_assembly:Lsat_Salinas_v7:5:28077436:28079339:-1 gene:gene-LSAT_5X12880 transcript:rna-gnl|WGS:NBSK|LSAT_5X12880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSLSLILFFLLSSTAISTSVQPPEHTVQQVQRSLNESRRNLGFLSCGTGNPIDDCWRCDPNWEKNRQRLADCAIGFGKGAVGGRDGKIYVVTDSSDNDAVNPKPGTLRYAVIQDEPLWIIFQRDMVIKLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGINIHDCKPSGNAMVRSSPRHFGWRTMCDGDGVSIFGGSHVWVDHCSLSNCADGLIDAIMGSTAITLSNNFMTHHDKVMLLGHSDSYEQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRFLAPDRRFSKEVTKHEDAPESKWKNWNWRSEGDLMLNGAFFTPSGAGASSSYARASSLGARPSSIVSALTTNAGALICRKGSRC >cds-PLY80945.1 pep primary_assembly:Lsat_Salinas_v7:8:256916038:256918439:-1 gene:gene-LSAT_8X151760 transcript:rna-gnl|WGS:NBSK|LSAT_8X151760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDKKIRIGINGFGRIGRLVARVALQRDDVELVAVNDPFISTDYMTYMFKYDSVHGQWKHNELKVKDEKTLLFGDKPVTVFGIRNPEDIPWGEAGADYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNENEYKPELNIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMSFRVPTVDVSVVDLTVRLEKKATYEQIKAAIKEESEGKLKGILGYIEEDVVSTDFVGDSRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSSRVIDLICHIASVEA >cds-PLY98144.1 pep primary_assembly:Lsat_Salinas_v7:1:138968636:138969401:-1 gene:gene-LSAT_1X99340 transcript:rna-gnl|WGS:NBSK|LSAT_1X99340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILVVKVLASQKWLENKYKASEQASEDWYKRAQLALSNRDEDLAREALKRRKSYAENATSLRTQLDQQKGVVDNLVNNTRILESKIQEAKSKKDTLKARAQSAKTATKVSEMLGNVNTSSALSAFEKMEEKCSISFYLKTKQKT >cds-PLY61818.1 pep primary_assembly:Lsat_Salinas_v7:6:58506742:58512916:1 gene:gene-LSAT_6X45181 transcript:rna-gnl|WGS:NBSK|LSAT_6X45181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVADLLHGLRKRKLWFPCKYFSLNAASLTVIAAAIKLPMDLNNQMPGSVDITAKYGSMCFMCTMMANLLLSIATMNSKELLTDIIALAVLVITLVVNVCIQINTGILDSSDQNAAWILLDGSIYVPYDKLFNPDSNCAVIYSYMLLMLLMIYVCLSLALLKSKQILEWKYQRAHQTTLRDQELQQPGRVLTVEKLKQHVRNYWTMAGTGSPQFMIPCSATTCASGVICVLSGVVHTVYMIGNFKNLGDYKSDYNWSMPVIFMIQFIGVILGTIAPVARCFAALNFKLSLKWIWKHVKQVVMACKMIAVIPILIAVFPIFFVICVLYCLRCWKWLKASFSASSIVLVQNPAHLGEDNDRRQYVLQLEDDIEFAERTLKGISKSMNRLIQKAEKQQPKNLMRLLAESRDPWLAYAPVKNNPDDDAAKLQNPDSQLHTAGQILQWLRDTAKNMVIEMEGTNYGVQDDNSKCRSISANSMYRITETILLSYHTNIDELSQEELFVQLSLMSADILAACLTNFPQVILMKCHTSAIERREANVQAAAQLLGETTKIINTLQERELPCLNTDDLASIDKWRAYLMDHIP >cds-PLY74747.1 pep primary_assembly:Lsat_Salinas_v7:6:116650392:116652346:-1 gene:gene-LSAT_6X71600 transcript:rna-gnl|WGS:NBSK|LSAT_6X71600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRQPRTLCLNTNSNGLLQVVVQADIKILHQPCQQQLPSVSLSCISYWSRQQPNHGHTAAFANIYHPPQPITTGAVDPLAGGGPGSVDIVGPAAVASVYQQQQPQPTQINWPNNY >cds-PLY77117.1 pep primary_assembly:Lsat_Salinas_v7:7:183708219:183708740:1 gene:gene-LSAT_7X108901 transcript:rna-gnl|WGS:NBSK|LSAT_7X108901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSASSKRNVELQADHPCKCDMPSRVKISRTPDNPGRKFRVCQNSLSARTPRCNFWEWLEDDEYQLQNNSDLGQIYNLTFKLGNLENEITYCRKKLEEEKNSDLVFRQELDKVKWKLFTYKVALFVLFFLYVKDLVCKV >cds-PLY82617.1 pep primary_assembly:Lsat_Salinas_v7:8:176963894:176965441:-1 gene:gene-LSAT_0X45961 transcript:rna-gnl|WGS:NBSK|LSAT_0X45961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSGRQLQRYNKGQRQVVGCIPYRLKGSNMGSDKNLKDALEVLVISAQRKGKGMLFPKGGWESDESIKEAALRESLEEAGVFGTVEGQLGKWSFKSKGNDGYYEGHMFPLLVKEQLDFWPEKDIRQRVWVSVPKAKEVCQYSWMKEALDLLVTRLESTPSSNL >cds-PLY86871.1 pep primary_assembly:Lsat_Salinas_v7:8:50281742:50282513:1 gene:gene-LSAT_8X38020 transcript:rna-gnl|WGS:NBSK|LSAT_8X38020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRIIIIPALICLLVVVSEGQAPATSPSTTPAIVPPPSTTPLPPPATPVSSPSIPPPQPPTPPPPAPPVSAPSTPPPPLPAPTPPPVASPPTVPPPAPIPPPPTPAPTPPPPTPAPTPPPPAPAPAPPPPTPAPAPVALPPAPTPEMSPSPAPAPTKHKHKRHRHKKHHAPAPAPVAKSPPAPVTTTDSDDTAPAPSPTLDLSNGRTLFKNGGVGFIIAVLLVFMS >cds-PLY89002.1 pep primary_assembly:Lsat_Salinas_v7:3:72929623:72930815:1 gene:gene-LSAT_3X62041 transcript:rna-gnl|WGS:NBSK|LSAT_3X62041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDSSSTYILNHADLPSLYYQTNNENVGIIDLGLSLRVLQPETYNHSGNPNVTDDYHNLIEWNQLHPFESSHVGVSYPRNTDDNVVIDQNTLQRQLQRSDFVKVNMDGVLVGRKISVNDHSSYSGLATQLEDMFGNQCLSGLRLFESGSEFSLWYKDRDEQWRVVGDVPWKEFVDTVKRIRIMLKDETLFQFTSTLV >cds-PLY65860.1 pep primary_assembly:Lsat_Salinas_v7:4:86287395:86290357:1 gene:gene-LSAT_4X56481 transcript:rna-gnl|WGS:NBSK|LSAT_4X56481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSIVMYRIPILVSRQRDYLNFMRYVKVLTWDVVRSLLRLSRYDCLISPSSFTQAMEELVKEAPCASSVDRKPKGILAIEHKKEADAEVEAPSKPPPAEPLPEPEPKSELVKVEAHGLNDPLPSSSGQPPHALVTFGFGGKLIVMKDTSGGPIFVLNMAEIVTGGGDMATGGTRICDYFHTLCRQSVPGPLAGGNVGGKELNRGIDERITQSHPPTDMDYKKDQVLKLLLSLLKIASQHYGKLRSPFGTDTTSKENDAPNVAVARLFAFAKKDTSQYGHYGAFANCLQQLPPEGQIRATAAEVQIMLVYGGLP >cds-PLY82053.1 pep primary_assembly:Lsat_Salinas_v7:9:156796845:156798826:1 gene:gene-LSAT_9X98661 transcript:rna-gnl|WGS:NBSK|LSAT_9X98661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVLKMANSRVSLLRHSLNSFFPPSATSTFSLSILPTRRFIVSASISSKEAFVDSLSSQQAATPFADSENPKLSNRWKPMCLYYTQDKCTMMQDSSHLQKFNHSFYLENELNTTEYKNKHSEHFDFFLVLDLEGKVEILEFPVLMIDAKTMNVVAFFHRFVRPSEMSEERINEYIEGKYGKLGVDRVWHDTAIPFTDVIEQFEEWMTKHNLCSNDLCGPLPRAAFVTCGNWDIKTKIPQQCKVSKMKLPSYFMEWINLKDIYLNFYKRRAAGMRTMMNELNIPLLGSHHLGIDDTKNIARVLQRMLTDGAILKITAKRNPQVHEKVEFLFENRIK >cds-PLY87778.1 pep primary_assembly:Lsat_Salinas_v7:1:46573246:46574126:-1 gene:gene-LSAT_0X44961 transcript:rna-gnl|WGS:NBSK|LSAT_0X44961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDLFQEIPTPKASIFEIPTRLSADPSIADLSVEGCCFKPPFLWVSSNCFGVEDGTVLKTFNHLLHRNRKVDFIEQFNEKLLVKQENENLQILDVRNSELTEVSRTEFMTPSSFIFLYENQLFLTFRNGTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKVDSDDPLTEGNAGSINISNILTGKCLADPKFHYFR >cds-PLY74798.1 pep primary_assembly:Lsat_Salinas_v7:6:116571958:116576146:1 gene:gene-LSAT_6X71361 transcript:rna-gnl|WGS:NBSK|LSAT_6X71361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQAYGEAWYWDKRYAKESTGTFDWYQKYESLAPLLHLYIPPTTAHRRILVVGCGNSSFSEGMSNDGYTNIVNIDISSVVIEAMQKKYSDSPHLKYIEMDVTHMKAFEDGSFDAVIDKGTLDSLLCGHNSKTNAAKMLDEVERVLKKGGVYILITYGIPAYRLRLLRESHSWAIQLHVIDKLLPGECSKHETWELTCPIPLNSDGSFRESGPLENMDVHYIYVCTKV >cds-PLY81324.1 pep primary_assembly:Lsat_Salinas_v7:4:37123176:37123469:1 gene:gene-LSAT_4X24600 transcript:rna-gnl|WGS:NBSK|LSAT_4X24600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLKRQKRRRRVREWWHMALTSSSIALASFIVVLPSVHVVTAARVKMALQGQGSRWHSVLAVHSFAGSLKQHGAGRLTSHTGGNDSGVACDFDNS >cds-PLY64724.1 pep primary_assembly:Lsat_Salinas_v7:5:22261925:22264068:-1 gene:gene-LSAT_5X11501 transcript:rna-gnl|WGS:NBSK|LSAT_5X11501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLKLLSTCLFSCSFFFAISTFSTAYLLRVCSLLLFQFLLPSIRSFQTTTLHFPLPPSVFNHCTPMVMLFDLRSIWTMISPTTTTTSARPPPSGRIHYLSLTLRFC >cds-PLY78860.1 pep primary_assembly:Lsat_Salinas_v7:5:304468758:304469984:1 gene:gene-LSAT_5X165220 transcript:rna-gnl|WGS:NBSK|LSAT_5X165220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEIGSGSYGVVWGALNEFTEEVVAIKQLKMKYNSVKECMNLTEVKALRQMDHPNIVKLKDIIKEHDSLYLVFEYMECSLYERMKCRKKPFSEDEIRNLCFQIFQGLAYMHNNGYFHRDLKPENLLVSKDVIKIADLGLAGETNQQPYTVNVGSRWYKAPEVLLGQRYDSSVDMWAMGVIMAELFTGRRLFPGKNDGDQMYKICSVIGSPTETIVNSNYQFPQLPGVQLSSLLPSASMEALNLIATLLSWSPSARPTAMKALDHPFFNTWCHVLPSFQASPFYGFETEDSSKNLTRLLPENPFCGSETEDSSEKLENPFCVAEIEDPSENLICLLPENPFYGSKTEDSSKNLIGQFPENPFCGYETEDSSKNLIRQLPENLFYGSETGGYSENLSPMFLEIHFMVPKL >cds-PLY99094.1 pep primary_assembly:Lsat_Salinas_v7:5:138659250:138662558:-1 gene:gene-LSAT_5X59261 transcript:rna-gnl|WGS:NBSK|LSAT_5X59261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMAGVVNPEDLQLSSAEGKLLNAYNNKPTSTAGSTIASNRSKRKRTTSNIENLEAATSGQGMSEGKKSLYHCNYCNKDISGKIRIKCACCSDFDLCVECFSVGAKVYPHKSNHPYRVMVNEEILLLEGIEMYGLANRNEVVEHGGTKSKSDSATTGRPPLKPTRRNPAATAI >cds-PLY64768.1 pep primary_assembly:Lsat_Salinas_v7:2:102886109:102889179:-1 gene:gene-LSAT_2X44620 transcript:rna-gnl|WGS:NBSK|LSAT_2X44620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSRSAFHFFSLIIFLITTTISYSDNDTDYQALLHFKSRITNDYPLTSWNASFQFCNWIGVSCGKQQKRVIALRLNSHGLKGSLSPYVGNLTFLRDLYLMNNSFQGTIPHELSRLSMLRFIDLSDNNFSGVIPTNLSGCSNIEELRLNNNKLVGSIPKKITFLSKLTHFVVADNMITGGIPPVLGNITSMIMFSVKSNPLGGGIPDTLGHWKSLTRLYLGGCNLSGTIPYSIYNLSLLTNISLGENQLIGSLPSDIGTMLPYLTFVQLRNNQLTGPLPSSISNCSNLRFLEMSENKFRGKLTIDFGKLKDIYRINLGSNIYGFGEADDMKFIDTLKNCSKLKILDLYNCSFEGVLPTSIGNLTNQLSNLYLGANHFYGNIPSSVGNLVGLNTFSIGDNQFTGKIPSTIGQLQKLREAYLFWNQFSGPIPDAFGNLSLLITLDLSSNKLESNIPSSLGSCKHLSQLYLNDNQLSGKIPKQLLQLSSLTISLYLSQNHLSGSLPKEVGELKMLSSLDVSDNNLSGNIPSSLSSCTSLVFLSLKGNLFQAMIPSSLSSMRGVSKLDLSHNNLSGKVPRFLEQMELLEYVNLSFNNFEGEVPMVGVFANASAFSVEGNSKLCGGLAELGLPKCMETRKHEKKFPLYVIVILIVSSLFIVLCFAYVCCKKRKGQLSRSLTNERFGKVSYSQLLKATNGFSKENLIGEGGFSSVYKGVLDDDDRFVAVKVIRLQNRGAHKSFITECDAWRSIRHRNILKIINSCSSVDFQGNDFKALVYEFMPNGSLHDWLHSSAFKSRMSVLQRINILLHVAFALDYLHNHCLPAIVHCDLKPSNILLDGDMVAHVGDFGLARFLGTSSNQNSTGGIRGTIGYTPPEYGLGGEMTSSGDVYSFGILLLEVMIGKSPTDNFFNEGLSLHKFACMGLSNHITDVIDDDILKFLQEDDITKKYTLENSKKIEQCLASIVRIGVSCSVHSPPQRMNIANVVHELQHIVDVVQNI >cds-PLY69999.1 pep primary_assembly:Lsat_Salinas_v7:8:147355169:147357716:1 gene:gene-LSAT_8X99521 transcript:rna-gnl|WGS:NBSK|LSAT_8X99521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLEKTLLGIFVAIIGAIFISKLRGKRFKLPPGPIPVPIFGNWLQVGDDLNHRNLTDLAKKFGEIFLLRMGQRNLVVVSSPDLAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYRHGWEAEAAAVVEDVKKNPASATEGIVIRRRLQLMMYNNMFRIMFDRRFESEDDPLFLKLKALNGERSRLAQSFEYNYGDFIPILRPFLKGYLKVCKEVKEKRLQLFKDYFVDERKKMGSTKSMDNNQLKCAIDHILEAKDKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNHPTIQSKLRHELDTVLGPGVQVTEPDIAKLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLSGYDIPAESKILVNAWWLANNPAQWKSPEEFRPERFLEEESKVEANGNDFRYLPFGVGRRSCPGIILALPILGITIGRLVQNFELLPPPGESKVDTSEKGGQFSLHILKHSTVVAKPRSL >cds-PLY92853.1 pep primary_assembly:Lsat_Salinas_v7:5:329399375:329399754:-1 gene:gene-LSAT_5X181520 transcript:rna-gnl|WGS:NBSK|LSAT_5X181520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTGNLLLSSLQKGSVRPPGNGCCNTGGCGNPCVGSKKVAGLHGGGALPPPPPSTLTTTSTDQ >cds-PLY65377.1 pep primary_assembly:Lsat_Salinas_v7:6:40449951:40452994:1 gene:gene-LSAT_6X30401 transcript:rna-gnl|WGS:NBSK|LSAT_6X30401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHRHLFSPSYMLETENDQSWNLTEHPYMHTARNVTSESTSLIYPDNMSIDGAQYTHCNHTPISTPYSSLPNNLQLPNYQQNGIGPSDLSMHTSSSYIPTEDYRNQASSSNYNGTNNPFNEDLFNARGHYKRKSPGVPDTNNGSSSDVTMLTEPWQETPSINFLHAPWDYNNNTTNPDHRINGNNSLSIGESSRNVRSRGMFDLETNSSRTHLTSNLSRDYFTTSRPMDVSAPMDLNGLTRDWNPTVHESSSLNCELNPFLEGNSNPSSSLDIAQQQQQQQNNNNNNLVTRNNHVLENFQSTSSVRGIRSNYSQRPGSTFRVSSTSSNLRLGHNTDEGLQLATSNYSSRNLRPSSSSFSSSTSSSSSTIGWHSSNNDRSGRARLSNERYRSFSGVNFHDRPQPEGLVIVDRSGFYGSRNPFDQHRDMRLDIDDMSYEELLALGERIGSVATGLPDHLISKCIQESIYCSSDQIQDEATCVICLEEYANMDDIGMLKACGHDFHVGCIKKWLSMKNVCPICKGEPVKQK >cds-PLY73666.1 pep primary_assembly:Lsat_Salinas_v7:5:207820956:207821875:1 gene:gene-LSAT_5X96201 transcript:rna-gnl|WGS:NBSK|LSAT_5X96201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGLKINLVVIMALMLASVQFHDTAAQTTHVVGDALGWNIPPNGPSAYTTWASGQTFSVGDVLLFNFTTGFHNVAEVAQAAYAPCTTANPISIATNGPARVTLNAPGTHYYICTVGTHCQIGQKLTINVSAASATPAPSPTPAPVSPPTPTPAPSTITPPPTSSPAPSSEDASPVSPPAFSQSPSGSNAPSPTDNTILPPPSPSSAPSFTAVVPFTFLAIALAFFY >cds-PLY73046.1 pep primary_assembly:Lsat_Salinas_v7:9:35653909:35654313:1 gene:gene-LSAT_9X31581 transcript:rna-gnl|WGS:NBSK|LSAT_9X31581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIGRAEHSWSLNYGRHMDENHSNGVTNEDMAISLLQTQMELSLIREDFQDQLRELRQAVNRHLDAMNLEVDDVHASQMDISHMVVDLKNYFVSLQGAYVKMVFKDNNRKKLMSCVGIFGVVCASVVTYLVFK >cds-PLY87129.1 pep primary_assembly:Lsat_Salinas_v7:5:256985971:256987298:1 gene:gene-LSAT_5X132160 transcript:rna-gnl|WGS:NBSK|LSAT_5X132160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGIQRLSHHLSPAPLAGKCSTLGKTSPFHTQIPVLQSQYKPMARPEISPSKSAIKVHFMKKNTGLGLSSFSTGPARAGWFLGLGEKKKNITDIPEIVKAGDPVLHEPAGEVRPEEIGSERIQKIIDDMVKVMRTAPGVGLAAPQIGIPLKIIVLEDTEEYIGYAPKEETKAQDRQPFDLLVIINPNLQKKGNKSALFFEGCLSVDGFRGMVERFLEVEVTGLDRYGQPIKVVASGWQARILQHECDHLAGILYVDKMIKKTFRTVENLQLPLANGCPKLGVR >cds-PLY80571.1 pep primary_assembly:Lsat_Salinas_v7:6:13963369:13966886:-1 gene:gene-LSAT_6X12221 transcript:rna-gnl|WGS:NBSK|LSAT_6X12221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHGGVWDAITCFAAVLVFLQCSCSAAGLVTCSGIVPMAYRSDKISITDFGAVGDGRTLNTKAFREAIYRIEHLKRRGGTLLYIPPGVYLTESFNLTSRMTLYLAKGAVIQATQDTTNWPLIAPLPSYGRGRERPGGRYMSFIHGDGLHDVIITGENGTIDGQGDVWWNMWRQRTLQFTRPNLVEFKDSRSIIISNVIFKNSPFWNIHPVYCSNVVIRYVTILAPPDSPNTDGIDPDSSSHVCIEDSYISTGDDLVAVKSGWDEYGIAYGRPSNDITIRRLTGSSPFAGIAVGSETSGGVTNVLAEHITLYNTGIGIHLKTNIGRGGIIRNITVSNVFMDKVRKGIKIAGDVGDHPDENYNPNALPVMKHIRIKNVWGENVQQPGLIQGLKNSPFTDICLSNINLFGSSGAKNVPWKCADVSGGAVQVSPSPCSELISSRQTVYTRLLVDSFSS >cds-PLY68185.1 pep primary_assembly:Lsat_Salinas_v7:8:118864005:118865972:-1 gene:gene-LSAT_8X82561 transcript:rna-gnl|WGS:NBSK|LSAT_8X82561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G41350) UniProtKB/Swiss-Prot;Acc:F4IK01] MSDTPDLTPSSANELSKTSSGSFDANRIGEVKSWLASQFDAAGKDHVPDFEYTPRSISHLHNLATISQAKTQAATIIANDFRLKASEYRSQAARIREILENVGLAQEGLPANVVASSQVLANVANLLNIRDTELSSFLVAMGDVSLRKTGVEDKRAKVQKESKILLDYTRKAIARLTYLKRTLSQLEDDVAPCEAQMESWNTNLSIMISKERQYLQQYSNYKAMLTRVGYTPEISHGMLVEMAEHRKDLDKKTKPILDTLRSYQDLPPDKALAALAIEDKKRQYNAAEKYLEDVLQSALAASD >cds-PLY75655.1 pep primary_assembly:Lsat_Salinas_v7:1:100727023:100731610:-1 gene:gene-LSAT_1X81921 transcript:rna-gnl|WGS:NBSK|LSAT_1X81921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKEVALRLKTAAETAIGSIGLGYDLTEDLRLKYCKGKSPESRLIGIDEEQVRDILIPGGILIQNVSKSINCDKGERMRFSSDTLSFQKMSEQFNQDVSLSGRIPTGQLNAAFEFTGSWQKDAANTKSLALDGVFITLYNIKLEKSQIALRDHVKNAVPSSWEPAALAKFIERFGTHVISGVKMGGKDVVYMKQQHSSTLSLDEVRNKLKGVAEKRFSGQHNDNPRETHDSELLERNDYGLINLNPPIEDITLFWRRRGGSYGKNLDHRTWCQTVQLEPEVISMSFVPITSLLSGIDGNGFLTHAINLYIRYKPPIEELQQFLEFQLPTRWAPEFGELAVDVGDNPVTGLRLYLEGKRNDCLAIHLQHLSSMPKSFKLEIEPNPNSSTNPKDKRYYEKVQWKSFSHIYSAPVESDDDLSIVTGAEFEVSDSGLKRVLFLRLRFAKVVGATVVRQPEWDGSPVLSQKSGIVSTLISGRFSTGQRPPPDPRVVNMNSALPGGPPVSAHGKKLLKFVDTTELTRGPQDLPGYWVVSGGRLVVEKSKISLRVKFSLLAVVSGDEETL >cds-PLY61646.1 pep primary_assembly:Lsat_Salinas_v7:2:46936567:46945314:-1 gene:gene-LSAT_2X21580 transcript:rna-gnl|WGS:NBSK|LSAT_2X21580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRISPIKIVLQSFTDSEYIIIIDKVIEIWGQLKVIVLEPLLRVLMYVSLAMSVMLFIEKVYMSLVVGFNKLFRRKTEKRYKWEEFKDDVESENSVYPLVLIQIPMFNEREEVYQLSIGAVCGLSWPSNRIVIQVLDDSTDPLVKSLVEVECEKWASKGKNIHYQLRHNRKGYKAGALKEGLKHSYANECEYVAIFDADFQPEPDFLWKTIPFLHHNSQLGLVQTRWKFVNSDECLMTRMQEMSLNYHFKVEQEVGSSTHSFFGFNGTAGVWRMAALNEAGGWKDRTTVEDMDLAVRASLKGWKFLYLGSIQVKNELPSSFKAYRYQQHRWSCGPANLFRKMVYEIIINENVTLRKKLHVIYSFFFVRKIVAHIVTFVLYCVVIPASVWLPKVEVPTWGTIYIPTIITILNAVGTPRSLYLVVFWIVFENVMALHRTKATFIGIFEAERVNEWVVTEKHGDDSKAKTITSQHEIRGFKLSERLLVVELCMGIILFVSGCYDLAFGKNYYYIYMYLQAIAFIVTGVGYIGT >cds-PLY76013.1 pep primary_assembly:Lsat_Salinas_v7:5:320543996:320549074:-1 gene:gene-LSAT_5X175680 transcript:rna-gnl|WGS:NBSK|LSAT_5X175680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGMLAKDFGFRPQGKSAPMKSIGGDRRSRNSPSSATFAADGKDIFNDVFGGPPKYTNSNSKSTSSMSDFDYDSIFKDSVSPSNNETKTKPTSSNSPVYDKPVYDDDIFDGLPGIKSTPVSSSSPRRYDDNIFASMNSSPPKPSPQSGHFDDLFSSLGRTEKAQPSKNQSNKSPGGFDDLIPGFGSGSPASGTRWNSDPSPKSAIKRKEKSNATDDPFAVLESMSTPVASASSQGLFTDPLEEISRISKSKSTKKGGDVFGDIDSLNGLNKSSSPSSTKETNNRGKGSEEGSSIGSARSSIEESFSQKSPFEDHQSVFDMPAVSRNSPTSHTETNSQAGPSPKSEHGDDIWLTVSEIPLFTAVTKAPPPSRPPPPIPSPRQSSSPLDELEGFVREKEHTNASRSKEEEQEKERVREKTRQAVERATREARDRAASEARLKSERAAVQRAQAEARERAAVEAKGRAERAAIEARERASAEKAASEAKEKEAREKAAVAKAQAEARRRAERAAVERVTAEARERAAAEARDRAAAAATAAKVNQQKNDNDLDSFFNMGSRPNSVPKSRTSSDSATDPLSQERRAPEVAHRASSSAGAPSNVRKTSPTTNFVDDLSSIFGAATPGVEFQDVEGGETEERRRANMERDQRIEERVAKALAEKNERDMQSQKEQEERQRISATLDVEIKRWAAGKEGNLRAMLSTLQYVLWPECGWQAVSLTDLISGGSVKKAYRKATLCIHPDKVQQKGATLQQKYVAEKVFDLLKEAWNKFNSEELF >cds-PLY82746.1 pep primary_assembly:Lsat_Salinas_v7:2:147745563:147751572:1 gene:gene-LSAT_2X73941 transcript:rna-gnl|WGS:NBSK|LSAT_2X73941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLFEVASMPILEVLLLSAIGAIMATDYFNVLSSDTRKSLNRIVFVAFTPSLIFASLAKTVTLEDIISWWFMPINIGITFLCGGILGWIAAKLIKPKPHVEGLLIAMCSTGNLGNMLLIIVPAICTEGGSPFGEHHICKSKGLSYSSFSMALSGLYVWTYTFQLIKRSGEKYMKEVDELLQEPNKDLNANEKTHLLNGKSQEYIDVVVPLSFPTSEDKQIQPNVNKNEKKDKSFSSKLVNILHMVVEKLMAPPTVGSIVGLIFGATPWLKKLVIGDDAPLRVIQDSITLLGEGTIPCITLILGGNLIQGMRRASIRPIIIITIICVRFVILPIVGIFVIKTASGLGLLPHDPLFSFVLLIQYTVPPAMNISTMTQLFDVAHEECSLLTMWSYLAAAFALTAWSTVFMWILTSRS >cds-PLY95066.1 pep primary_assembly:Lsat_Salinas_v7:5:227378175:227379675:1 gene:gene-LSAT_5X107120 transcript:rna-gnl|WGS:NBSK|LSAT_5X107120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVYVSQTSLAIIIHFKICDIKHFVDSIVVKCRDPINKNHCLNIRYRNPNANLLTRSLTSFPLAKQITQKSIYVLDSILPLKLHVRSASNSAVEMTMDKDVVRFCISKQLERSSDGFTQRETKQKRVKMSKKAKLNELRFYRLKAKKKMRSPNPEIRILYRLGKAKRKEEWLIEKLRKFDVRKAAAEVYDPEILTEEEKFYLKRTGEKKKHYVPVGRRGVFGGVVLNMHLHWKNHETVKVVCKPCKPGQIQEYADELTRLSKGIVIDIKPNNVIIFFRGKNYVQPEIMSPPDTLSKAKSLEHTSEFIEKLENELEEYYKHKARFSKDKGSSPKD >cds-PLY78190.1 pep primary_assembly:Lsat_Salinas_v7:8:177227471:177233610:1 gene:gene-LSAT_8X115661 transcript:rna-gnl|WGS:NBSK|LSAT_8X115661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRAPRTVIDPKVRQVGFFAPPDRAQSGPLPQSSDSPSSPPLSDISPSGNSISPVMIPPPRHLSDLSSRTIPAGFPPNRLVSPLHRFSGESIIPVGSYNPSEFASPSVADFFEDKNARSPGPRRSRGVGGSGKFASSLPAGGFELPPEVKPKSLTTVSVVSNLQPNVTEKDGDQSNESHDGHGTNSKPLKEKMSKAERRALQEAQRAAKAAAKGEGNSGSTKSVKPSKASVQKKDNASIATHEKRGGDRAIDKDRKKEIPHPRMQFDDMSRVEKANKRAVVKQTEVRNRVELFRHLPQYEHGTKLPDLEKKFFRLNSVHPAVYKVGLRYLAGDISGGNARCIAMLQAFQEAIMEYSPPPEKALNRDLTTKINSYISFLINCRPLSISMGNAIKFVKTRIANLPLTLSESEAKQNLLSEINHFINEKILLADKVIVRHAVTKVRDGDVLLTYGSSSAVEMVFLRAHELGKKFRVVVADSRPKLEGRLLVRRLIGKGISCTYVYINGISYIMHEVTRVFLGASSVLANGTVYSRVGTACVAMVANACGVPVIVCCEAYKFHERVQLDSICCNELGDPDAIAKVHGREEINDLKDIANDENLQILNLTYDATPSDYVSMIITDYGMIPPTSVPVIVREFRKEQIWI >cds-PLY74198.1 pep primary_assembly:Lsat_Salinas_v7:9:24343007:24344990:-1 gene:gene-LSAT_9X21861 transcript:rna-gnl|WGS:NBSK|LSAT_9X21861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVQDRNATSQNTMSSSSPQTAVNCRENECDWVWNEIKSEARRDAEYEPSLSSYLYSTIISHSSLTHSLSLSLSTFRKQTLLLHPPLRSFPQHLLLRPIADLPAAHQRDFACISFATIGNGSTLVVFLFLIVFEVMRKQEKARLAELAAEKAHQEAIQAQLAIYLQTDHEAQRQHNAELARMQDESLIRKEQARTATEEHIQKF >cds-PLY92016.1 pep primary_assembly:Lsat_Salinas_v7:8:240472279:240481221:-1 gene:gene-LSAT_8X144760 transcript:rna-gnl|WGS:NBSK|LSAT_8X144760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH-like ubiquitin thioesterase 1 [Source:Projected from Arabidopsis thaliana (AT1G48790) UniProtKB/Swiss-Prot;Acc:Q8VYB5] MKPSSGAINVAASAQKINVDNRISLRYYYRIAENILRQAAIFREEKNIIDLYIMLLRFTSLATETIPFHRDYRASVQRDKLYLKKKILSVITELEGLKPAVREKLEELSRKHTYQSNGWSNLPQTKLLESSKSLPSVRQQTSLNTYAINKKKLHLLENGASVEKISSNLEHEKPSVEESTILPTKTINQAHINELDSLICFDEKPETPPIQMEIIRQPSPPPVLAEVQDLIPTASPPVTDAGPASLKVNSTDGVVVSESPLELHISTKLMDNFMKMAKSNTDKNLETCGILAGSLKNRKFYVTALIIPKQEATTDSCQATNEEEIFEVQDKRSLFPLGWIHTHPTQSCFMSSIDVHTHYAYQIMLPEAIAIVMAPRDSSRKHGIFRLTTPGGMGVIRSCPHRGFHAHDAPSDGSPIYKQCTDVYIDPKLKFDVIDLR >cds-PLY87328.1 pep primary_assembly:Lsat_Salinas_v7:3:112403871:112407027:-1 gene:gene-LSAT_3X80440 transcript:rna-gnl|WGS:NBSK|LSAT_3X80440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASVTASSLAITENKPRRTGGCVGIFFQLFDWNRRFAKKKLFSKRLLPPDRAKEASKKFGGDEKLPKLRLIADENSGGFPNMNKNGVSNNGVQTPSLVARLMGLESMPSSVNRDKLHNHKAICNKEESENETTRQDFRPQKLQKTMADRRSVTRFGAEALQLKNVLSRSKKHHHHHPKLASPVKTTTHHSRKNTSRLIGAASRILETRNKSKFAITYPHSVRTERMKLKSENLEASCKNCGNLLHITESTSTQTSLFDSQNPFQNSNSRFNQEQEFVASSNRRLNCFPSATDAVSDNTKDFVALNRSLSGQTRSRAPGKVEDTKRNNFVSRGQKRHLTHIRKQGEGYSNVMGCPPNNKPRMVVSASCNGKQMRHEHQGQNGNGMMFKFSSPMKNTCEKVEKRRNQNQNVSYCKSKTTSSRKRLTSNQIDKFPLLTGDSLGALLEAKLNELTSQTSTKRTPAHIFQELLCALNKENPLPQNDVFCLQTNSERSGYKNSNHFSPGSVLEASFSNDSCCSSSLEDSSGRMQHTDSTSYSYDESHFRKFQNSELVDTLAEIMWTKFGNFFGYENAKTRYQVKRFVFDCLIEYLDSNCGVMGSKVLIDEVMEEVMRWMDFIGLNADEVAERDMSYSLVKLMDFEIECREIGGRIEGDLVNMLVDEIVYDFATI >cds-PLY83743.1 pep primary_assembly:Lsat_Salinas_v7:4:39343339:39346434:-1 gene:gene-LSAT_4X26660 transcript:rna-gnl|WGS:NBSK|LSAT_4X26660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRTAITRRILTSSSVVHGSRSMSSWWKNVEPAPKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGKPVVLDCVREAERRIAGSMNMEYLPMGGSNKMVEETLKLAYGEDSDLIKDKRIAAIQALSGTGACRIFADFQKRFSPDSHIYIPVPTWSNHHNIWRDANVPQRTFHYYHPESKGLDFASLMDDVKNAPKGSFFLLHACAHNPTGVDPTVEQWKEMSYQFKVKGHFAFFDMAYQGFASGDPERDVKSIRIFLEDGHLIGCSQSYAKNMGLYGQRVGCLSLVCEDEKQAIAVKSQLQQLARPMYSNPPLHGALVVSTVLGDPDLKQLWLKEVKGMADRIIGMRSALRENIEKLGSPLSWEHITNQIGMFCYSGMTPEQVDRLTNEFHIYMTRNGRISMAGVTTGNVEYLAKAIHEVTKTA >cds-PLY65623.1 pep primary_assembly:Lsat_Salinas_v7:8:40353044:40356670:1 gene:gene-LSAT_8X31420 transcript:rna-gnl|WGS:NBSK|LSAT_8X31420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSTTSCFKIISCGGGSNESVDRDDIDASSENKDKRGWSFRKRSARHRVLSNTVITETPLENKPISEPVPLTSEPQLNSSISEKTCDSIWTEESPQLSNPITKDAVTMSTMATDTCEDDIKSEPVVDESAILVIQSAVRRFLAQRELVRYKNVVKLQAAVRGHLVRNHAVGTLRCVQAIVKMQALVRARRADVASEINSDPKYISIEKLLSNSLARQLLESAPKNKQINIKCVPSKSDSAWNWLERWMSVSSPETVESRTPEQNQDQDQEKVINNTENQVETVIPDCESRELESNVGEETVFSDEKVNLVKVNTEEKSNEEEIPQPESMDLIVEESVLEIEPKRVTKRLATDEADSEGRKSVFGSRKPINPSFIAAQSRFEELTSKNNIPSKPSDYSSKQENDDFTPEHITPSKPINTSSNQDNDVGTPEDDTSKPINNSSNQENDDFTPEDIIPSKPINNVISSVTPEESLVSECGTELSITSTLDSPDPSEVETKKLPEDSKVSNQEIDDTNGDNIEEHNNVVENIEKLPEDSKVPEDTPSEADIEINLEPNSNSDSVHQIPESLSPRSHITAPESQGTPNSQLSTNTKKSSTKNVSSQKRKSWSTSKKSTVDSGSKLESLPKETKPGKRRNSFGSPKPDNSDHEGNGLLPSYMQATESARAKAIANGSPRSSPDVQDKEIYLKKRHSLPGNGRQASPRIQRSVSQALESAKGNGNQEKKWQR >cds-PLY76168.1 pep primary_assembly:Lsat_Salinas_v7:4:55615006:55616665:1 gene:gene-LSAT_4X37720 transcript:rna-gnl|WGS:NBSK|LSAT_4X37720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGALRIIFLVVSLLSAGSVVSAHNITAILSQFPEYSEFNSYLSQTKLSDEINSRETITVLVLNNGVVSSLAAKHPLSVVKSVLSLHILLDYYDNKKLHAISDGTTLSTTLYQTTGNSPGNVGFVNITDLKGGKVGFGSAVSGSKLDSSYTKSVKQIPYNISVLEINAPIIAPGILTAPAPSADVNITGLLEKAGCKTFVKLITDTGVLKVFQTAAEKGLTVFAPNDEAFKAAGVPDLSKLSNAELVALLQYHALATYTPKGSLKTTKDPISTLATNGAGKYDLTVETAGDSVTLDSGFSTSRVASTVLDSTPLCIFTVDNVLLPTELFGKSPSPAPSLSPETSPASSPAPAALSPEPAAAPSPFLSPPAPPTSSPTAGPAPSDGPTADSQNSNASNAVDSVKAPSVVHATFTLLVLIMSYVML >cds-PLY90342.1 pep primary_assembly:Lsat_Salinas_v7:2:198609017:198611647:-1 gene:gene-LSAT_2X119701 transcript:rna-gnl|WGS:NBSK|LSAT_2X119701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKGSRTTVLTFAEKCKNILASNWQGSLNTVKADATGSKEEIYSSKVKYFVKKGRPYIWVPEDDLHNVNTVIDERGSLAVTSPLPGSLASLLHSLKKPPNRIALIGEVLPLGDKKANSAAESLREMIISEAEAIKEFSYSVSGILSSSNFRSTSRAENLQDLLNEEDQQYRIYKFNPSSISYIESKGNSHEVDLKDMEESKADPLSVFSGSLIDGINQSEARRRALIIFCATYLNKNVKDAVVVSVDRKGLDLLGKVVGPTMEEYEWKELRLVLKEEARDVETFCRRLVEMEEESIKNISAFSGLPLEANDS >cds-PLY72539.1 pep primary_assembly:Lsat_Salinas_v7:2:143202556:143202819:1 gene:gene-LSAT_2X70940 transcript:rna-gnl|WGS:NBSK|LSAT_2X70940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTARIDPESGEPMKIILWPATKQLKEIPIPQHFHEGYLNNMELWANDDETATVAIKFKNSETILRLISAKDLLRFRERDIRTLACH >cds-PLY62106.1 pep primary_assembly:Lsat_Salinas_v7:4:160289328:160289854:-1 gene:gene-LSAT_4X96461 transcript:rna-gnl|WGS:NBSK|LSAT_4X96461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLLVLGKENNVNNIGMRFDKQARSGLAFVTLRADREREFMFFRHPNADMLLTEVELDTDLIQKPTIFHYGSISLIKDPCKSAHLPALKIAKKAESILSYDRNLRLPLWPSPEATRKSILSIWDQARCYQGAQFHSRLNFFDLHLLMGF >cds-PLY75627.1 pep primary_assembly:Lsat_Salinas_v7:8:212725112:212725339:-1 gene:gene-LSAT_8X132861 transcript:rna-gnl|WGS:NBSK|LSAT_8X132861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L16 [Source:Projected from Arabidopsis thaliana (ATMG00080) UniProtKB/TrEMBL;Acc:G1C2Q4] MSGQFRRNGKIWVRVLADLPITGEPTEVRMGRGKGNPTGWIARVSTGQIPFEMDGVSLSNARQAATLAAHKXENY >cds-PLY84559.1 pep primary_assembly:Lsat_Salinas_v7:1:29821899:29824864:-1 gene:gene-LSAT_1X25461 transcript:rna-gnl|WGS:NBSK|LSAT_1X25461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TIC40 [Source:Projected from Arabidopsis thaliana (AT5G16620) UniProtKB/TrEMBL;Acc:A0A178UEV6] MDNIAVYASSQKLVLGSTANPRTNGVISNKPLFCSFKIPKRSGLLSKSKTSIAAVSQPQGSTPLTTNKSNELERLGKDCFARISSSSNQHTSSVGATPQIAVPPPSSQVGSPLFWVGVGVAFSAVFSWAASYLKKYAMQQAFKTMMGQMDTQNNQFANSGFSPASPFPFPTPPMSGSTASSPGSPFPFPTPSAASSGPASQRTVTVDMPPTKTEAPPAATNSIDELEAPKEPKKSAFVDVSPEETLKANPFENFKESKETESPKDSQSATQGSQNGSASNPMNNPFSGASSTGTTGPVMSVEALEKMMEDPTVQKMVYPYLPEEMRNPTSFKWMLQNPQYRQQLQDMLNSMGGSPEWDNRMMDSLKNFDISSPEVKEQFDQIGLTPEEVISKIMANPEVAMAFQNPRVQAAIMDVMDVFNKISELFPGVTGAP >cds-PLY78252.1 pep primary_assembly:Lsat_Salinas_v7:3:87933326:87937876:-1 gene:gene-LSAT_3X67140 transcript:rna-gnl|WGS:NBSK|LSAT_3X67140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAADTEKLNNLRSAVSGLTQISDNEKSGFINLVSRYLSGEAQHVEWSKIQTPTDKIVVPYDTLSAVPEDVAQTKSLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIESLNKKYGCNVPLLLMNSFNTHDDTQKIVEKYSGSKIEIHTFNQSQYPRLVTEDFLPLSSKGVSGKDGWYPPGHGDVFPSLMNSGKLEALLSQGKEYVFVANSDNLGAVVDLKILNHLVQNKNEYCMEVTPKTLADVKGGTLISYDGKVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLNAIKRLVQADALKMEIIPNPKEVEGIKVLQLETAAGAAIKFFDNAIGINVPRSRFLPVKASSDLLLVQSDLYTEKDGYVVRNPARTDPANPSIELGPEFKKVGDFLKRFKSIPSIIELDSLKVSGDVWFGSSVVLKGKVVIAAKSGDKLEIPDKALIQNKEVHVAGDI >cds-PLY78648.1 pep primary_assembly:Lsat_Salinas_v7:9:47419767:47421245:1 gene:gene-LSAT_9X44381 transcript:rna-gnl|WGS:NBSK|LSAT_9X44381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTLKLIKRCATQGPKLNACQIRSLKGSFLEGLKDSFGRAASRRDCHDHILLHTHTYLRILTATCSPSLMKINSVDKIEFCMKGKGGPENLRSNFKGIRQGTWCKWVAEIREPNRGSRLWLGTFGLAVEAALAYDEAARVMYGPCARLNLPNCRTILF >cds-PLY78368.1 pep primary_assembly:Lsat_Salinas_v7:9:10461722:10464373:-1 gene:gene-LSAT_9X8201 transcript:rna-gnl|WGS:NBSK|LSAT_9X8201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLARLASKRLLEIRQAFRQSPQTIRSLSTALNYHIDTPENNPNVPWEFNEANKPKVNEILSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMDAVAKVVEVAPIRVYEVATFYSMFNRAKVGKYHLLVCGTTPCMIRGSREIEDALLKHLGVKRNEVTKDGLFSVGEMECMGCCVNAPMITVADYSNGSEGYTYNYYEDITPKRVVEIVEALKRGEKPPHGTQNPERINCGPAGGNTTLLGEPKPPPCRDLDAC >cds-PLY90561.1 pep primary_assembly:Lsat_Salinas_v7:6:52779773:52780649:1 gene:gene-LSAT_6X39181 transcript:rna-gnl|WGS:NBSK|LSAT_6X39181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFTVSYSGYVAQNLATASGKSNTCRIFHEVFSRPRIFQNPDRDPVAGYGPAATASSRSIPSASMSPTLDVGDRIMAEKVSYIFRKPEVSDIVIFKAPPILQEFGYSSGDVFIKRIVAKAGDCVEVRGGKLLVNGVAQDEEFILEPLKYEMKPMVTFFPFNSYGNLVVFDKSCLGSIWFMISNGISE >cds-PLY78004.1 pep primary_assembly:Lsat_Salinas_v7:9:44262260:44265526:1 gene:gene-LSAT_9X39901 transcript:rna-gnl|WGS:NBSK|LSAT_9X39901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PIN-LIKES 6 [Source:Projected from Arabidopsis thaliana (AT5G01990) UniProtKB/Swiss-Prot;Acc:Q9LZN2] MHRLLSEILMDTQGGGESLLGSIKIAVLPIAKVFTMCFLGFLMASKYVNILPASGRKLLNGLVFSLLLPCLIFSQLGQAITFEKMIEWWFIPFNVILATISGSLIGLLVACIVRPPYPYFKFTIIHIGIGNIGNVPLVLIAALCRDKSNPFGDSATCTRDGNAYISFGQWVGAIVLYTYVFQMLAPPPGGSFEIEDTKNLPIKNPPKTNTNTNNPPEEVPLLTHEPESIDQDTPKDGKIKQFMKFLYDKLKLKQILQPPIIASILAIFIGCIPFLKGLIFTPDAPLYFFTDSCLILGDAMIPCILLALGGNLTDGPGSSKLGLKTTAAIIFGRLVLVPPAGLGIVTLADKLGLLPPDDKMFRFILLLQHSMPTSVLSGAVASLRGCGREAAAILFWVHIFAIISMAGWIVLYLNILF >cds-PLY65207.1 pep primary_assembly:Lsat_Salinas_v7:8:19871079:19871603:-1 gene:gene-LSAT_8X15240 transcript:rna-gnl|WGS:NBSK|LSAT_8X15240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGGYLSTEDGCRTPKQSGCRIPATCPPAPRKKQAYSKQRNPPENGYFQPPDLEILFAMPPTPIREACI >cds-PLY74239.1 pep primary_assembly:Lsat_Salinas_v7:1:77284983:77289072:-1 gene:gene-LSAT_1X65381 transcript:rna-gnl|WGS:NBSK|LSAT_1X65381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSQEYNGLKSTSYEGHDHSSSSQTEQVAIPLHMVIDNEDYGKNLGTCTPSRTLQSSCSQEFIRIKMPPTPPPLPPYHRKVNFNLRASPETCSTSSRSKASKKSLRPKLGFKNRNNTISDVVIPTSSSTSLPQQEKSSIARSWSLTKMFTPFVKMSTPHSDHVSNVLRRAGGSLNLQMKVHEHIPRSQSVPIFNETTRLKRMDSFFRVVPSNPRVKDVDATTPTPNPADNQDDGDDIAEEEAVCRICFVELCEGGETLKMECSCKGELALAHKECAVKWFSIKGNKTCDVCHKDVQNLPVTLLRIHSTVRNRDTHETAISHAHHIEVNGYSDIYRVWKEMPILVIVTILAYFCFLEQLLVGNMGTGSIALSLPFSCVLGLLSSMTSSAMVERRFVWLYATIQFVFVVIFAHIFYSVVHVQPILSILLATFAGCGVAICGRSIGVEVLRLRRWWNSRSNQQLDSRIVEIAPSSPSSESPPQPPPPPPSYIASPDVVLNVELPFDEAALSRDQPPQLSQPPQPSQPPRPPQPPPPFWKTSNL >cds-PLY87706.1 pep primary_assembly:Lsat_Salinas_v7:1:4277325:4278287:1 gene:gene-LSAT_1X3720 transcript:rna-gnl|WGS:NBSK|LSAT_1X3720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANAHRLVQFLFVVSIFHVSFAGRRLTELVSDASNLLQYHNGALLTGDISVNFIWYGNFKPSQKAIVSDFITSLSSGSGVSPKSQIEPSVATWWKTTEKYQMKSKKQRSLTLRLGKQISDPAYSLGKSLTDEHLVQLASKGESRNAVNVVLTASDVAVDGFCSSRCGTHGSSSSSSKIPNGKGKNNKFAYIWVGNSETQCAGQCAWPFHQPIYGPQAAPLVAPNNDVGLDGMVINLAGLLAATATNPFGNGYYQGDASAPLEAASACPGVYAKGAYPGYAGDLLVDSTTGASYNAHGTNGRKYLLPSLYDPSSSTCSTLV >cds-PLY79614.1 pep primary_assembly:Lsat_Salinas_v7:2:166713789:166715062:1 gene:gene-LSAT_2X90320 transcript:rna-gnl|WGS:NBSK|LSAT_2X90320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLEEKAQSGRLKNERPWLQEALTGIYHVHLGNMEKAQAFFGVFTHETVNDYSHLIIEAADSLMTVKPHAYLMLEGNDGVNKNVFSSESHRDKINGKSAGG >cds-PLY81104.1 pep primary_assembly:Lsat_Salinas_v7:9:66842704:66851915:1 gene:gene-LSAT_9X58201 transcript:rna-gnl|WGS:NBSK|LSAT_9X58201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate synthase 1 [NADH], chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53460) UniProtKB/Swiss-Prot;Acc:Q9LV03] MSVALNHAVQARPDADVTKPFASASRPLSGLARIGVGRSRTWTSRGSVVKQSSLLGKKLYGTRLQRGSVSETLHQWKSDGPGRDPKLKVVVRSALSLVPEKPLGLYDPSFDKDACGVGFVAELSGKSNRNTVTDAIEMLVRMSHRGACGCETNTGDGAGILVGLPHDFYKEVAKDEGFELPPPGKYAVGMFFLPTSDTRREQSKIVFTKVAESLGHTVLGWRTVPTDNSGLGKSAIQTEPVIEQVFLTPTSRSKADFEQQMYILRRVSMVAIRAALNLQHGGIRDFYICSLSSRTIVYKGQLKPNQLKEYYYADLGNERFTSYMALIHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMKAREGLLKCKELGLSKNEMKKLLPIVDASSSDSGAFDGVLELLVRAGRSLPEAVMMMIPEAWQNDKNMDPKRKALYEYFSALMEPWDGPALVSFTDGRYLGATLDRNGLRPGRFYVTHSGRVVMASEVGVVDIPPEDVSRKGRLNPGMMLLVDFEKHTVVDDEALKKQYSLQRPYGKWLEQQKIELKHIVESVNKSARACPPIAGVSQASPNDENMENMGIRGLLAPLKAFGYTIEALEMLLLPMAKDGVEALGSMGNDAPLAVMSDREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMVGPEGDLTETTEEQCHRLSLKGPLLSIEEMESIKKMNFRGWRSKVLDITYPKELGKKGLEETLDRICSQAHNAIKEGYTTLVLSDRAFSSKRVAVSSLLAVGAVHHHLVKKLERTRVALMVESAEPREVHHFCTLVGFGVDAICPYLAVEAIWRMQVDGKIPPKSNGDFHSKEELVKKYYKASHYGMMKVLAKMGISTLASYKGAQIFEAVGLSTEVMERCFAGTPSRVEGATFEALAGDALQLHDLGFPSRQFPPNSAEAVALPNPGDYHWRKGGEVHLNDPLAIAKLQEAARGNSVEAYKEYSKRIHELNKTCNLRGLLKFKEGKVKVPLEEVEPASEIVKRFCTGAMSYGSISLEAHSTLAIAMNKIGGKSNTGEGGENPSRLEPLPDGSMNPKRSAIKQVASGRFGVNSYYLTNADEIQIKMAQGAKPGEGGELPGHKVIGDIATTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPSARVSVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKSAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEEMRELMSEMGFRTVNEMVGRADMLEVDKELTKSNEKLKNIDLSLLLRPAADIRSDAAQTCVQKQDHGLDMALDQRLISLAKPALEKGLPVYIESPICNVNRAVGTMLSHEVTKRYHLPGLPADTIHIKLHGSAGQSIGAFLCPGIMLELEGDSNDYVGKGLSGGKIVVYPPKGSGFDPKENIVIGNVALYGATSGEAYFNGMAAERFCVRNSGAKTVVEGVGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVLDVDLKFRSRCNTELVDLDKVEDEEDIMTLKMLIQQHQRHTNSQLAKEVLADFDHLLPKFIKVFPRDYKRILATMKETEVAKKAADLAAEEADIREEDVLKEKDAFEELKKLAAKSLTETVNQLIETVNQVKEDEKAEQATRPSRVADAVKHRGFVAYEREGVSYRDPSVRMNDWNEVMEESKPGPLLTTQSARCMDCGTPFCHQENTGCPLGNKIPEFNELVYQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECSIIDKAFEEGWMVPRPPLKRTGKKVAIVGSGPSGLAAADQLNRMGHTVTVLERADRIGGLMMYGVPNMKTDKIDVVQRRVDLMATEGVNFVVNANVGTDPVYSIERLRQENDAVILAVGATKPRDLPVPGREFSGVHFAMEFLHANTKSLLDSNLEDGNYISAKGKKVVVIGGGDTGTDCIGTSIRHGCTSIVNLELLPEPPRTRAPGNPWPQWPRVFRVDYGHQEAATKFGKDPRSYEVLTKRFISDENGAVKGLELVRVQWGKDESGRFQFKEVEGSEEILEADLVLLAMGFLGPESTIADKLGLEKDGRSNVKAEYGRFATNVEGVFAAGDCRRGQSLVVWAISEGRQAAAQVDKFVMKEEEEIEDGRLQIEEGKKREEQQAFRT >cds-PLY65332.1 pep primary_assembly:Lsat_Salinas_v7:6:40536153:40545323:1 gene:gene-LSAT_6X30341 transcript:rna-gnl|WGS:NBSK|LSAT_6X30341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 21 [Source:Projected from Arabidopsis thaliana (AT2G37130) UniProtKB/Swiss-Prot;Acc:Q42580] MVSLVVVVPFVLVVFVVMGVYFGAHNAMGSIRVVGANGACDDDGGRGHSELQLNYYSESCPNAEEIVKQTVITLYKEHGNTAVSWLRTLFHDCMVKSCDASILLESINGIQSEKISKRNMGMRNFKYINTIKDALESSCPMTVSCADLVALAARDGIVMLGGPHIEMKTGRKDSKESHLAIIDAMLPNHNDSMLYVLDRFQSVGIDVEGTVALLGAHSVGRVHCINLVDRLYPTADPTLDPNFAEYLKRRCPHPDPNPLAVEYSRSDLQTPMILDNMYYKNIKNNKGLLIVDQQLVSNPITSPYVDKMAADNDYFHDQFAKALLTLSENNPISEEEGEVRKDCRFVNHN >cds-PLY95445.1 pep primary_assembly:Lsat_Salinas_v7:2:414609:414797:-1 gene:gene-LSAT_2X1161 transcript:rna-gnl|WGS:NBSK|LSAT_2X1161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVVEKVAFKTGAYGCTISGAGPTTVSVIDDEGKRREIGENMVEAFMVEGNLKALAMARFFK >cds-PLY87552.1 pep primary_assembly:Lsat_Salinas_v7:9:118001048:118001793:1 gene:gene-LSAT_9X80960 transcript:rna-gnl|WGS:NBSK|LSAT_9X80960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKEWKLYDRLMRLETGLGGTRSLVDASPEWWEEKIKENKDYAKFRNTDLSIFDEKYVILFRDSVAVGDRTMTPLQFQNNSNPNEENMEGKGDSDEINLDDDEPLFTSLHESSSSKRKRSKSVSNNRPTKSKNSIYEEKVDALLDAISSKSTQTYPQNNLSPTIADCMAIVIKFPDFREGSNNFSQALFVFTKKQNCEAFMFPTTDEAKMEFLKLLMK >cds-PLY94767.1 pep primary_assembly:Lsat_Salinas_v7:2:177743006:177747158:1 gene:gene-LSAT_2X98041 transcript:rna-gnl|WGS:NBSK|LSAT_2X98041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLNHAVSGQNAESFGNGGVSSLSTSSNSSNSSLKSPNSNPSSSVYMELWHACAGPLTSLPNKGNVVVYFPQGHLEQIASHSSTHFSPIEVPSLGLPPQIFCKIVDVQLLANKDNDEVYTKLALLPLPEGNLQEGEEDQEEGGGVTPTRSTPQMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELVAKDLHGVEWKFRHIYRGQPRRHLLTTGWSIFVSQKNLVSGDAVLFLRGEGGELRLGTRRATRPKNILPDITMSNLNSYSDTLASVAKAVSTNSIFHVFYSPRSSRADFVVPYTKYMKSVSNMISIGARFKMKFCMDESPERRFSGVVTGVGDKDPYKWNNSKWRCLMVRWDEDIGNDHQERISPWEIDLSGGGFPLLNIQSSPRHKKLRAGLHATPSGHPATARGGYVDFEESIRSSKVLQGQENIGPMSPLYGRDKMNNSLSFGVQPSMHPSFSPNGMMMMGRSSTNFVSELMKTHHQPLTTTPYSGFLGSDNTTRFPKVLQGQEICSLRSLTGKIPPNIGSWGLPRTHVGNNVLNMNQSFYPLGSEGGRNFGFPIGDVYEARQNPGPVLPTNIMRSHIIPPSVESGIMRDNNVASLQLPNEKTEQPNSSSEKDDGASDSIESSCKLFGFPLNEPPTLLDAKSLNKRSCTKVHKQGNKVGRAVDLSKVSSYRELFMELEALFNMEGVFSNPDGGWRLLYTDEENDMMVVGDDPWDEFARMATKIHIYTAEEVEKLMSSGVISDNTSCLEEAPGVVDTAKSSSVGQPD >cds-PLY95986.1 pep primary_assembly:Lsat_Salinas_v7:9:42557882:42558582:-1 gene:gene-LSAT_9X39240 transcript:rna-gnl|WGS:NBSK|LSAT_9X39240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRMIMKVLCVVVAFMVVSAPYTMAITCDDVVKKLTPCANYLKKGGAVSAACCKGVKGLHAAAKSTPDRKTACVCMKEAYKSNPGIKPENALVLPKKCAVNIPYKISLKTDCSKVK >cds-PLY90489.1 pep primary_assembly:Lsat_Salinas_v7:1:205815083:205818308:1 gene:gene-LSAT_1X126121 transcript:rna-gnl|WGS:NBSK|LSAT_1X126121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPQQWTPPCGNQCTNKYAALMQIPWRVFCKKGCNADGDTWEECLSECDEICYKDPVLKDQQWSAYIDRSPGSATYSQDCFHACVSGCGYKFDIPSEKVTEVHPNRPLPPPPAAKTNPPPPRKSGSITEDVPSTSA >cds-PLY64599.1 pep primary_assembly:Lsat_Salinas_v7:6:34990355:34990895:-1 gene:gene-LSAT_6X24760 transcript:rna-gnl|WGS:NBSK|LSAT_6X24760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGEQNKRRSPLVVHREEEPPPVLFTFPERRVKRGQQGLLEQLLALLSRKEDLKEGARCGWRSRREKETEAVISETKQEDGLLCD >cds-PLY74265.1 pep primary_assembly:Lsat_Salinas_v7:1:78064879:78065205:1 gene:gene-LSAT_1X65680 transcript:rna-gnl|WGS:NBSK|LSAT_1X65680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQVSRRSPSSLRRNESTPDGALIAAVRHRTSAAITVAPPSLSFTSDSRHHRSHPAVIQSRATQPPMEVVNTAMCALLLLPVDDNKVAAYCLDEVCFCGVVADGVTKS >cds-PLY71432.1 pep primary_assembly:Lsat_Salinas_v7:8:42571561:42576170:-1 gene:gene-LSAT_8X33260 transcript:rna-gnl|WGS:NBSK|LSAT_8X33260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHHLQNSVSGHLEAQFASTIFQEMENEWGIRHTKDKYQATSDISLFSSSLPVIPHEKFLSYYFKTTFGSNLVDSQSNKQSVEGGSEDTHTVGDITNSLTGSMLPGDEDELLAGITDDFDLGDLPTQLEDLEDDLFESGGGMEMDFDSHDGIRFGLSNLTMSDGVPLISINRHELLNSGNTVVVGEHPYGEHPSRTLFVRNINSNVEDSELKSLFEEYGEIRNLYTACKHRGFVMISYYDIRHARIAMRELQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDSSISSDDLRHIFSVYGEVKEIRETPNKRHHKFIEFYDVRAAEVALKALNGSEIAGKRIKLEHSRPGGARRSMMQQLSQELEQDEARALWHHVGSPVINSPPGSWSSGFGTLSPVNTTPLHGLASILPPHISNPMKISPIGKDQQSSSRSFPDHHNTSSSFLDSKFDPSSSIGTLSGTEFLWGSPTTYSDHQSNSWRASPVGPFSPSQHGTFLGPPHHHVGSAPPGIPLDRSERSFMYNGNQMGSFGKGQMLFGNVTSYQGRGGGLNDGLMVGNRTRRVDSSVTQLGNNNNNNNKQYQLDLDKIITGEDMRTTLMIKNIPNKYTSKMLLAAIDETHTGTYDFVYLPIDFKNKCNVGYAFINMVSPSHIISFYEAFNGKKWEKFNSEKVATLAYARIQGKVALITHFQNSSLMNEDKWCRPILFQSEAQGNDYLDSIPYHNMNICIRQPDGSYSVDSFDSSNSSLEENQS >cds-PLY71983.1 pep primary_assembly:Lsat_Salinas_v7:8:148865053:148865400:-1 gene:gene-LSAT_8X100301 transcript:rna-gnl|WGS:NBSK|LSAT_8X100301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDENHSNGVTNKDMAINLLQTQLELSLIREDFQDQSRELCRIVDRELDAMNCEVNDVRGQLDISNVVADLKNHFVSLQGAYVKMVFKHNKHKKLMYCVGIVGVVTASVVTYWVFQ >cds-PLY77293.1 pep primary_assembly:Lsat_Salinas_v7:3:212178531:212181285:-1 gene:gene-LSAT_3X124180 transcript:rna-gnl|WGS:NBSK|LSAT_3X124180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNSISDQAFFIESEDYEEDKDSIRGEDDGNDSEFSNYSDDNPQHQRKPSSLNPSWPQSYREFEILPNQRSDHLSLSPNLSLSSSLIQFPKWSSNPTIFLKTTTTITDGRRLLTLRRTGRISLSSRKVIEAQRLSIYDPAPPPVKSSRKKNYSDYEDSDEEVANGVAGNDDVEEKKKKPKKVKKPKVTIAEAAEKIDVDDLARFLLEVTTSFEAQQDIQLMRFADYFGRAFSSVTASQFPWVKLLRESPVAKVADNPVSHIPEAVYKTSVDWINKLSMEALSSFLLWSLDSILADFAIQQGGSKGSKKVAQKTPSKSQGKEQVEC >cds-PLY77026.1 pep primary_assembly:Lsat_Salinas_v7:6:177080384:177082379:-1 gene:gene-LSAT_6X106520 transcript:rna-gnl|WGS:NBSK|LSAT_6X106520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 48 [Source:Projected from Arabidopsis thaliana (AT1G58340) UniProtKB/Swiss-Prot;Acc:Q9SLV0] MCNPTPSSPSNFVISKNTHFNNHHNNDPPKSTDHDDHLHSWPTPSEALEEIKAIGKISGPTAMTGLLLYSRAMISMLFLGYLGELELAGGSLSIGFANITGYSVISGLAMGMEPICGQAYGAKQMKLLGITLQRTVLLLLSTSLPISFMWFNMKNILLWCGQDEEISSAAHTFIIFAIPDLFLLSLLHPLRVYLRAQNIILPLTYCSAVSVLLHVPLNFLLVGYFKMGISGVAIAMVLTNLNLLFLLITFVYFSGVYRDSWVAPSSDCLRGWSSLLALAIPTCVSVCLEWWWYELMIMMCGLLVNPKATVASMGILIQTTSLVYVFPSALSLGVSTRVGNELGANRPAKARISMIVSLFCAMVLGLMAMAFTTLMRHKWGRFFTNDSEILELTSIALPIIGLCELGNCPQTTGCGVLRGSARPTIGANINLGSFYLVGMPVAIIMGFVLKMGFPGLWLGLLAAQGTCALLMLYVLCKTDWILQVERAKELTKSPSSSSSKPSRTANPVLPVSNKNLQPKNSTEANLEEIMVSETMKKQDNSRSLETDPLIMH >cds-PLY97599.1 pep primary_assembly:Lsat_Salinas_v7:5:239810616:239811207:1 gene:gene-LSAT_5X118320 transcript:rna-gnl|WGS:NBSK|LSAT_5X118320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESYTKLVIVALVLVATIVTEPMATNGFSICGVTTDGLKTCQPAVAKGVDPLPLPTTECCAALTKADMPCFCKLKDSSLLPIYEIDPTHAMELPAKCKLPQATYHC >cds-PLY64769.1 pep primary_assembly:Lsat_Salinas_v7:2:101867974:101868129:-1 gene:gene-LSAT_2X46420 transcript:rna-gnl|WGS:NBSK|LSAT_2X46420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSKILLSLWKTLEVTATATANATYTDHHHYHRPSSSPQSHDSSSGEEGRA >cds-PLY96062.1 pep primary_assembly:Lsat_Salinas_v7:8:21538962:21544413:1 gene:gene-LSAT_8X16481 transcript:rna-gnl|WGS:NBSK|LSAT_8X16481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 1 [Source:Projected from Arabidopsis thaliana (AT5G13680) UniProtKB/Swiss-Prot;Acc:Q9FNA4] MKNLKLSWELSSNLQLQSENEVIRCTAFDIEQNRFFFASSANFIYTTHLPSSQIEESWGKPSSNPLVEAIDLDPDDSITSLEYLMEKEALIIGTSSGVLLLYNVDDNVTEVVGKVEGGVKSLSPSPDGDLLCIITGLGQMLVMTHDWDLLYEITLEDPIEDADTRDRILDSECSATWRGDGKFFATITSSNNSSHKKLKIWERETGNLHSVSEPKSFMGEIVEWMPSGAKIATVCDQKEENKSPSIVFFERNGLKRSSFSVNSGLDTITTVKNLKWNCNSDLLAAIVRKESHDSIKIWSFSNNHWYLKQEISHSRHDGVKFMWDPVNPLRLISWNLKGMIMVYNFIWITAVTDNSVALVIDGSKILVTPLSISLFPPPMCLFELEFPSSVREMAFWSFKNRLAASLSDGSLSVVELPDIDTWQDLEGKVFRVELTILENPTPFLHLTWLDSHVLLGVAPNGNLLELEIMCSEDHIHGSVACSGWDAKNSNIFSLERNVIAIASNPVKKRSAFIQLNGGNVFEYTSGLSLQENNHLRFSQSCPWMSVASIGDFGVLESFLLFGLDNNSKLHVNQNVISNNCHSFSLYSNSQNQEMTHLILLTKQDFLFVIDIRDIVLGQTEVKYGNFVPVITRRKDEEGKKFIQIWEKGSKILGVVHGDESSVIIQTTRGNLESIYPRKLVLESIVNALVQERFRDSLHMVRRHRIDFNVIVDHGGWENFVKLATEFVIQADNLNYITEFVCSLKNENVMETLYKSYITNEANAKESRNVDSNNKVNSVLLAIRKALESKVPETPERELCILTTLAKSDPPFLEEALERVKKIREMELSDSTDPKRQNYPSSEESLKHLLWLSESESLFEAALGLYDLNLAAIVALNSQQDPKEFLPFLQELELLPGGIMRYKIDLRLQRHEKALQHIFHAGDSYFQDFINLIINNPQLFPHALQLVTDPIKRNQVLEAWGDYLTNIKSFEDAATTYLCCCNLQKALKAYRASYNWTGVLTVAGLLKLKKDEITLLANELCEELQTIGKPSEAAKIALDYIGDFKSGINLLISAREWEEALRVALMDRREELVADVATGAVDCAATLVAEYEEGAEKVGKYLARYLAVRQRRLVLAAKIQAEERSVNELDDDAVSEASSSFSGMSAYTTGSRKDSAASMISSSTSKRGQRRQKKKGKIRAGSPDEEMALVEHLKGMSLTAGAARELKSLLGCLVMIGKEDVARKLQRVAENFQLSQTAAVKIASDAMTCESVDEHTFVLEVYLKKLRKDLLQSEEFSWQSKVFVAP >cds-PLY63824.1 pep primary_assembly:Lsat_Salinas_v7:3:230515951:230519112:1 gene:gene-LSAT_3X131281 transcript:rna-gnl|WGS:NBSK|LSAT_3X131281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTLSSPPLQLKPSFPFPHRSLTSFPTLGNISNQKKYSLHCCTICNLKDEREQNGTMENLKGRRLLVFLISSSLFPTLPSIGKTKMKNPYDEKRLLEQNKRVQRENNAPDEFPSFVREGFEVKVVASENYVKRDSGLIYRDFVVGTGDVPKSGQQVTFHYIGYNESGRRIDSSYQQGTPAKIRMGTNALVPEGIKDMKPGGKRRIIIPPELGPPVGPSTFFSAKQFEVFDVELLGVKDCTRRTIAFYSDVVCD >cds-PLY64721.1 pep primary_assembly:Lsat_Salinas_v7:5:21283164:21285293:-1 gene:gene-LSAT_5X11380 transcript:rna-gnl|WGS:NBSK|LSAT_5X11380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKGMEGLEKFTKVTLSMDQVLLLLPLNDEVVEERIADDDVILIKGTKTTGAVSIILRGANDFMLDQMDRAVHDALCIVKRTLESNIVLVVNAAKDATDAVLGLIFLVKYRKCPFTEAVLGLIFQLLGEGAPSDASFLSGSNIKTEEKSVKNFIGAETFFCVGIIARDGVAGLYRGFVPNALKTLPNSSTSIKTQKVE >cds-PLY82467.1 pep primary_assembly:Lsat_Salinas_v7:2:184604858:184605163:1 gene:gene-LSAT_2X107840 transcript:rna-gnl|WGS:NBSK|LSAT_2X107840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNESSYSDDLSNQTASCPVDKISYRRVRPRRWGKYAAEIRDSTRNWARVWLGTFDTAEEAALAYDQAAFVARGSMAVLNFPIETVYESLRAMDYRFEEGS >cds-PLY75680.1 pep primary_assembly:Lsat_Salinas_v7:1:100326556:100331685:1 gene:gene-LSAT_1X81640 transcript:rna-gnl|WGS:NBSK|LSAT_1X81640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGRFAFRFMKGSLTQKLKQMASPVANPRHYCSSSSSSSSSAKPKLSQSSRKERLLAGAAIGVVGGAYASTLDKETFSGWLFTATKALNPMFALLDPEVAHRLAISAAARGWVPREKRPDQQILGLEVWGRRFSNPIGLAAGFDKNAEAVEGLLGLGFGFVEVGSVTPIPQEGNPKPRMFRLRNEGAIINRCGFNGEGIVAVAERLDTQNSKRKPKKTSTSSNDEVKHGQGILGVNIGKNKTSEDAVADYVQGVHALSQYADYLVINVSSPNTPGLRKLQGRNQLKDLVQKVKAARDEMEWGKVGPPPLLVKIAPDLSKQDLEDIAVVALDLHLDGLIISNTTISRPEAVERNPVSEEGGGLSGKPLFNLSNDILKDMYILTKGKIPLVGCGGVSSGEDAYKKIRAGATLVQLYTAFAYGGPALIPQIKAELAGCLERDGFKSIYDAVGADCR >cds-PLY66948.1 pep primary_assembly:Lsat_Salinas_v7:7:21933100:21936018:1 gene:gene-LSAT_0X35581 transcript:rna-gnl|WGS:NBSK|LSAT_0X35581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKKKCSXSSVSCGRIKCSVLLSPRSIVANMDKGFIRDRNSGSLQVGPTKEGPWTTVRLNYVAHATCWPLGNAVVASEVIVKDGNRYVNIRSLVSVTNNIDLVLQLCLQIDASKENLDTLEDSRPDSPKDAIETDEYSWSSVMDKHAVSKDDSRPKETSGIHVSNLNESEELLYCSEISGTSSNPSHGLWLCLAIQASEISKDIRSDPIQDWNIVVKSPLSITNNLPLTAEFSEVVLISHHFLDPAKTLGLRSSASGRVVHIVLEQNYEMERPLAPRALRVYSPYWLTIARCPPLTFRLVDMSAKKVKQNPFKSKKTNEVILEEITEEEFHEGYTIASALNFKLLGLFASISDNGNDHFGDVTDLSPLADMILLSHMLKISNGYVYDVERLDEEVQLLNL >cds-PLY78733.1 pep primary_assembly:Lsat_Salinas_v7:9:50570715:50571754:-1 gene:gene-LSAT_9X46740 transcript:rna-gnl|WGS:NBSK|LSAT_9X46740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVVKVYGPPFSTAVSRVLACLLEKDVPFQLVPISMAKGEQKKPDYLKLQPFGQVPAFQDDEITLFESRAICRYIAEKYQNKGTIGLFGTNPLVKASIDQWLEAESQSFNPPSSILTFQLFFAPRMKMKQDEALIKQNESKLVKVLNVYEKRLGVTRYLAGDEFTLADLSHLPNTQYLVEKTNRAELFKSRKNVGRWWEEISTRPSWKKVVEMQNA >cds-PLY67427.1 pep primary_assembly:Lsat_Salinas_v7:6:73863497:73863958:-1 gene:gene-LSAT_6X51900 transcript:rna-gnl|WGS:NBSK|LSAT_6X51900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSGCATSDIPEPNLNPNQKPNTNPSSNPNPFPNTNQNPNPNTNTNNTPNPNPNSNPNTVPNTNPNTETCPRDALKLGVCANLLGGLVKVELGSPPVKPCCSLIQGLADLEAAVCLCTAIKANVLGINLNVPVSLSLLVNVCGGEVPNGFVCS >cds-PLY64838.1 pep primary_assembly:Lsat_Salinas_v7:2:30825265:30828738:-1 gene:gene-LSAT_2X15121 transcript:rna-gnl|WGS:NBSK|LSAT_2X15121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNLLGLLRIRIKRGIDLAIRDFKSSDPYVVVAMGDQTLKTRIIDKELNPVWEEDLTLPVENPELPIKLMVFDHDIFTKDDEMGDAQFSIKPFYNVVKSIDTVPNGTVLKTIKPDRNNCLSEESCVTFKDGKVVQGMTLRLQNVECGEVELELHWIELPK >cds-PLY94819.1 pep primary_assembly:Lsat_Salinas_v7:2:180683502:180687279:1 gene:gene-LSAT_2X103660 transcript:rna-gnl|WGS:NBSK|LSAT_2X103660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFVAESNQGAIGNGGKSGLRSLVRRKRVDSVHSKSLETGHHQLAKELSIAHLIAIGVGSTIGAGVYILVGTVAREHSGPALAFSFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGLAWIIGWALILEYTIGGSAVARGISPNLALLFGGPDSLPFFLARYSIPSLGIVVDPCAAFLVFIVTGLLCVGIKESTFVQSVVTTANICAMIFIILAGGYLGFKSGWIGYRLPVGYFPFGVDGMLAGASTVFFSYIGFDSVASTAEEVKNPQRDLPLGIGAALSICCMLYMLVSAVIVGLVPYYAMDPDTPISSAFASHGVQWAVYIITIGAVTALCSTLMGSLLPQPRILMAMSRDGLLPSFFSEVNKRTQVPVKSTILTGVIAAALAFAMDVEQLAGMVSVGTLLAFTMVAVSVLILRYVPPDEVPLPSSLQAAIDSVSLRYSNNIRTEEIDVEISKSQTGEKNISKKGEASAEYPLIAKISAQAKCKESKRRNIAGWAIILTCIGALILTYSASNLGIPRSFRLTLCGVGGLLLLLGLGVLSCIDQDEARHNFGHSGGFICPFVPLLPILSILINVYLLLNLGADTWMRVSVWLVIGVFVYVIYGRSHSSLQHAVYVPAAHVDEIYKSSAESLNS >cds-PLY80992.1 pep primary_assembly:Lsat_Salinas_v7:9:177392839:177393670:-1 gene:gene-LSAT_9X109200 transcript:rna-gnl|WGS:NBSK|LSAT_9X109200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import receptor subunit TOM6 homolog [Source:Projected from Arabidopsis thaliana (AT1G49410) UniProtKB/Swiss-Prot;Acc:Q9XIA7] MFPGMFMRKPDKEAALKQLRVHVAMFGSWVVAIRVAPYVLHYFSGSKDELVLDFYYVGILLCGRSVV >cds-PLY75802.1 pep primary_assembly:Lsat_Salinas_v7:3:68999839:69002802:-1 gene:gene-LSAT_3X56080 transcript:rna-gnl|WGS:NBSK|LSAT_3X56080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTKRAFNEDLQEFIEHPKHLDYGNKSASFTDDGRKHFRSDESLESENVTVPFMVPKEFETTAPLPLVTGIGTDESDSGSVPVYCPNLFSEFLENNFPRRPLFCYEDIYSSLMNRPPRKSIPIGPDHQADVPEFSPELARTYKNNSGIERFLGVSVVGIGDSDGISHSDGINNSNGIRHSGSGFSNCECLDGGSIRCVQQHVKEARLKLMESLGREKFVDLGMLEMGEEVAYKWSDEEERRFHEVVYLNTGSVGKSLWKQLSITFPYKTMKELVSYYFNVFILRRRAIQNRSRFLDIDSDDDEWRGGYGRGFGGGEDDDFVVGGGFGFDGVDESGSEDGGDGGSYGGGGGADVVVGFEEGQPEVGAKSS >cds-PLY72166.1 pep primary_assembly:Lsat_Salinas_v7:7:52614726:52615851:1 gene:gene-LSAT_0X25361 transcript:rna-gnl|WGS:NBSK|LSAT_0X25361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYMLGEVCHLIQRTNHSSSYHHYYTNPILEATRQNANEVVEEIVNWFPNAIWSANEDGHNFILYAAINRSEKVYNLLYHMSEHKNIYRTLEDSSRNNLLHLAARLAPSNKLNLISGAALQIQRELQWFKEMEKFICPLSIIKKNSLNETPQMVFTREHKELVIEGEKWMKSTAESYTITAALIITIVFAAAITVPGGSDQNKGIPIFTSNAAFTVFAISDAISLFAAVTSLLMFLSILTARFAEQDFLFKLPTKLIIGLSTLFISTTAMIAAFAATLYLVFGQSNSRILIPIAVLTCLPITSFVTLQFPLVLDLMSATYGRSIFGRNKSYYGLFGGILI >cds-PLY83693.1 pep primary_assembly:Lsat_Salinas_v7:4:40802199:40802363:-1 gene:gene-LSAT_4X28460 transcript:rna-gnl|WGS:NBSK|LSAT_4X28460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDGSGSAAAVVITGDGGGGGNCVSGGDGGGSGGGGASDGLMVVVVVMVGR >cds-PLY70436.1 pep primary_assembly:Lsat_Salinas_v7:1:73739154:73739576:1 gene:gene-LSAT_1X62740 transcript:rna-gnl|WGS:NBSK|LSAT_1X62740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKERRRSSLPRHGKGSWDEEKKEKVQGEEISRGRIGEMSSKELVKTRFFSTGHGVKLEGSMNYAKGKSWALLLSIYKWRRRSCGDSWSRQRAPLEQKAASCKHYSKSSELRNKSEASRGSLLSFSAASYRTMGGVRTSFR >cds-PLY68438.1 pep primary_assembly:Lsat_Salinas_v7:2:60210127:60210432:-1 gene:gene-LSAT_2X28040 transcript:rna-gnl|WGS:NBSK|LSAT_2X28040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPVFKANIPGLKKEEVKVEVEERRVLQINGERSKEHEDKNDKWHRVDRSSAKFLRRFMLPKNAKLEEVKASMENDMLTVTVPKAEEKKQEVKSIDIRG >cds-PLY88788.1 pep primary_assembly:Lsat_Salinas_v7:4:92980796:92982721:-1 gene:gene-LSAT_4X59200 transcript:rna-gnl|WGS:NBSK|LSAT_4X59200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDVLIGGQSTAIVDSGTALLAGPTSVITQINHAIGAQGIVTEACNDRSDGVSHPSVTAPNCLLCKAIVGLMHKMIANNNTQEMILKTLGNLCKLVPVPVEESMVDCARLSSMPSISFTIGGKEFELLPNEYILKTGEGEDAHCFSGFMPLDIPPPRGPHWILGDLFMRRYHTVFDYGNLRVGFAEAA >cds-PLY68453.1 pep primary_assembly:Lsat_Salinas_v7:2:214923344:214925185:1 gene:gene-LSAT_2X135281 transcript:rna-gnl|WGS:NBSK|LSAT_2X135281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ISI1 [Source:Projected from Arabidopsis thaliana (AT4G27750) UniProtKB/TrEMBL;Acc:A0A178URL9] MYLKKAFWNEAPKSETQSGDKLSETTSPVTDLVSSLDDQRLYREVTLALRTGLRDARSDFSFLRLHGLRNILKFLRSVAASDSTINLFCHTQTIPDLQVVPVLFQYSLQECEDQIVSNLDHIFSVEPLKIKSPSTDAEVSLALRVLEGCCLLHKESTVLAHQFKAIQVLMNILATRGVLEQGACLDALISIMLDSSVNQMDFEECNGIEEVALIIGDKQIDENLRLKCGEFLLLLIGHVNGREGAPMAGIHDDVRRLLGEKSASLIWAASQFGSTLDPDQRLTALHIQARRVLESLDLY >cds-PLY90528.1 pep primary_assembly:Lsat_Salinas_v7:2:41615412:41617108:1 gene:gene-LSAT_2X18880 transcript:rna-gnl|WGS:NBSK|LSAT_2X18880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRLLHPVLSWEKRMWRTRQILDACRCRCCCCLVTASPAGRNSHCRPIHLHLAKGSEPPAKFPKVSTPKDDDDEGLDDEDDKEHGDMLCGSCGENYASDEFWICCDICEKWFHGSCVKITPTRVEHIKQYKCPACTNKRARP >cds-PLY64199.1 pep primary_assembly:Lsat_Salinas_v7:7:3959684:3961560:-1 gene:gene-LSAT_7X4000 transcript:rna-gnl|WGS:NBSK|LSAT_7X4000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVDWDLHAVVRACCSTAASSSSAASTATTSTATAGAPAWPVDAYNQQKSSFQDENFMGFFPDPFQRRNDNSIEQFLNDLNNPLDFPKLQKPPLSPQSLPISPLSVLGGLQDPPLQHHHHHHQQQQQQQQQQQQPKQLQEKQQSFGISRCTTSNAQSTRSKKRKNQMKRVCQVPAEGLSSDIWSWRKYGQKPIKGSPYPRGYYRCSTSKGCLARKQVERNRSDPDMFIVTYTGEHSHPVPTHRNSLAGSTRNKPTTSGDEDSTTKTNKQTSSPPVSPPASQSPTTEKAEDNDTDDDNFDMVMEDDFFEGLDELVGPVIPATSTPSPPFPWLSNNAANTSTTAAGGS >cds-PLY69927.1 pep primary_assembly:Lsat_Salinas_v7:4:67408881:67409829:-1 gene:gene-LSAT_4X46620 transcript:rna-gnl|WGS:NBSK|LSAT_4X46620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLVMSFMGKGLPTTQMLSLVMGTLYSKFIEKEIKTFDDFHFAILDMFNTINAALPGKHYDVPPPNQVHDIFKKWEGAKEASEKKKLFIDFMKTSVSLSKFDDSTLITGLVTPPAAMAAKRAGESLPQLSMIKVVPDVIFVPAATVLALISAKLSKKMFLGNVAS >cds-PLY82841.1 pep primary_assembly:Lsat_Salinas_v7:1:86782999:86783352:1 gene:gene-LSAT_1X73260 transcript:rna-gnl|WGS:NBSK|LSAT_1X73260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRLEEEFKLSIGHGGAESSDNVVEGAVGGYLDSHYDEDDGFDDVEIPVAHPVSYYNITIKALPSSTINDLYEIAKRMVAAGYKKECPLAYSTCRREFLEESLSRLGFLGLQNSINL >cds-PLY77959.1 pep primary_assembly:Lsat_Salinas_v7:1:22066595:22068149:1 gene:gene-LSAT_1X18521 transcript:rna-gnl|WGS:NBSK|LSAT_1X18521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAGFGEVPNRSPQSPSCSNPNNSNNGDPGNFECNICFDLAQDPIVTLCGHLFCWPCLYKWLHIHSHSQECPVCKALIQEEKLVPLYGRGKNSTDPRSKSVPGVEIPHRPPGQRPETAPPPDRNAFPQPGFGLMGGFGPAMTASFGNFTFSFGGLIPSFFNVQMHAFTGPQMYGNGGGGGGAVHGFNYGGNHHHHHHGHGGHQQRGHHHETGFSAASPILIIGLLFLLALLWN >cds-PLY84171.1 pep primary_assembly:Lsat_Salinas_v7:4:369449895:369451059:1 gene:gene-LSAT_4X181601 transcript:rna-gnl|WGS:NBSK|LSAT_4X181601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGANPELAALFPEKKRSRNPFVPIGALITAGVLTAGLISFKKGNSQLGQSLMRARVVAQGATVALMVGTAAYYGDTF >cds-PLY85570.1 pep primary_assembly:Lsat_Salinas_v7:2:195505741:195506939:-1 gene:gene-LSAT_2X117641 transcript:rna-gnl|WGS:NBSK|LSAT_2X117641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGLHPRYNRRLIYLPPLPSSASDSDQSHSLMLSRLSLIYLSTQIPQAFLPFLRQTDESRDKDRVRERDVGDGATHVALIVKGYIQERIVIDVARHSPTSAARDFISKRSFDWLREKDQLHMEMKAGSVFQGMRS >cds-PLY91126.1 pep primary_assembly:Lsat_Salinas_v7:3:83799251:83803991:-1 gene:gene-LSAT_3X65440 transcript:rna-gnl|WGS:NBSK|LSAT_3X65440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIIYKEALGRSFSSESDLDLSIWRRQSYRMSSWSGIGRIEIQSNVQVEKMILCRSRTGISGSIVKIWDVKSQANVARFDGHVGAVTAISFSENGYFLAVVSKPGSTVKRISGINILDNNGLNLVLVFLTSVLTSFMCLYIF >cds-PLY84359.1 pep primary_assembly:Lsat_Salinas_v7:4:215614178:215614417:1 gene:gene-LSAT_4X121881 transcript:rna-gnl|WGS:NBSK|LSAT_4X121881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHDYDEVELTPLEFDASANGEPSQVHVQEQEAGETPLATLLKKIRRKKSERIIKLKLGKKVGGADAPGNSEAKPVTLE >cds-PLY78104.1 pep primary_assembly:Lsat_Salinas_v7:1:6297417:6304244:1 gene:gene-LSAT_1X5920 transcript:rna-gnl|WGS:NBSK|LSAT_1X5920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENQSELIIELRSKLSSSENRVKELEAANAKLASLVSSCICHKTHKGAACVRDKPCMHCNKHCIIFSKDKASGNQAIDLGDEDVAEETKKTSPSPIDVEGFGNVPMSQGPTASLQLMFEKDKLINMVEENFDSHLSDKNMLAEKMEKLKQGNSVENGKRKPKKKLSGYSFNSMNHHSQRLVALKIMYFGQRFYGFASEAQMEPTVESELFKALEKTRLVLGDKKDLQYSRCGRTDKGVSSVGQVVALLLRSKLKEPGCNTSEEFVDKRPEEEELDYVRILNGALPNDIRVMGWCPAPDNFSARFSCLSREYRYFFWRENLNILEMESSCKRLIGEHDFRNFCKMDAANVHNYKRRITSFDICHCNGSDELWFMRIKGSAFLWHQVRCMVAVLFLIGQGLESPDVVDALLDVKKTPRKPQYKMAPEIPLVVDALLDVKKTPRKPQYKMAPEIPLVLRSCEFEGLNFMCSSDSRRALQLHLEKECQTYKLQAAIFHQALLNLSTIQDDVSITSKTKKKVSSHVPLMSRPTEHCLVCATPEGVIVIWHPKNTVMMA >cds-PLY62320.1 pep primary_assembly:Lsat_Salinas_v7:8:72652189:72652643:1 gene:gene-LSAT_8X51440 transcript:rna-gnl|WGS:NBSK|LSAT_8X51440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMLSISAEESLKPCCKNKTKLTLFSLKVVVKVNVHDDKGKRKVLKAVSTLSGVESIAFSMKEKTLTVTGDVDPVFIVGKLKKYCHTEIVTIGTAKGW >cds-PLY93518.1 pep primary_assembly:Lsat_Salinas_v7:1:110318385:110319089:-1 gene:gene-LSAT_1X86001 transcript:rna-gnl|WGS:NBSK|LSAT_1X86001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSQYQHENIVTLIGFCDINDKMILVYEYAIHGSLDTHLHNPSAGLSWPQLLNICIDVASALDYLHNHVAEKHRIIHRDIKSANILLDDNWNAKLADFGLATIGLTNQQNTFVITNPAGMPGYYDPQYERTGLLTKESDVYSFGVVLFEVLCGRLACVVNYHDERRFLPHLARTCYKNGEINKIIDPRIREDINPRTLLKFSAVAYQCLQETREERPTIGEVAFQLKEAMKIQV >cds-PLY62714.1 pep primary_assembly:Lsat_Salinas_v7:6:55700681:55707704:1 gene:gene-LSAT_6X41521 transcript:rna-gnl|WGS:NBSK|LSAT_6X41521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYDSQVRTECELLVNVADTQLKVANGIAWPTSETVIHSQPISTGCVKVQVDEIVEIYENLSVHAVTRTEEVEYVKHLLHTIVQWPRYAIKLVNKTPSKSNSGTGMGSNRASPHIHVDDIMTTSVYRPQFEENHIAYQHEINEHFQGGLVDMMLSMNPPQVHLNAPEPRARPEPESEFESEIESESESESETEPEPEFVTHDEPELFTSLSKLQEQRPQIQSVGFQVVEFFGNANVVNIFSPKGMYRRRVQITIQYIEVLQLFLRDWLDQSVIHWFAMEAYQPQIVSIGPYHHGNKHLEMIEEHKWRYLDDLIQRTGNSIGYFMKMIVSMQDEIRQSYSESIDHFSANDLAKMMVLDGFFLIELFRKVGKLVETKDDPIFRMVWVSPFLMRDLLKIENQIPFFVLQMLFDESKDGSKPDDRTLSTLILEFFNYTVDRKKEILNEHKNTKGKHLLDFFRKSFINPKEQNPVPTFDISKNSYLKLIPPANKLKIVGVTFKASHEPDSFLDIEFRNGVLSIPQINMDDFYSSFFLNCIAFELCYFHCSKHITTYVVFMGCLMNTSTDVGVLSEDKIIENYFGTDKELAKFFKSVGKDVAFNIKDNYLTGLFVEVNEYCENGWHVHLAGFKHTYFESPWAAVSAFAAFLLLCFAGLQTFYTVYQYYKAKKE >cds-PLY91422.1 pep primary_assembly:Lsat_Salinas_v7:3:56386864:56387728:1 gene:gene-LSAT_3X43761 transcript:rna-gnl|WGS:NBSK|LSAT_3X43761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-U1-1 [Source:Projected from Arabidopsis thaliana (AT3G21870) UniProtKB/Swiss-Prot;Acc:Q9LJ45] MLAISVTDDGQNRLPEQSEQDPSTPRVLSILSVVLERLVNRNEILSLSSGGGVPARLGKNLEAFHGVRPPAITIAKYLDRIYKYTNCSPACFVVGYVYIDRLVHRHPGSLVVRLNVHRLLVTSIMVAAKILDDVHYNNAFYARVGGVTNAELNRLEVEFLFMLDFELTVTSRVFESYCLHLEREMILWNGTTTLKIERRIHTTNTIEDIAEIPIEEDMESFSSTLSNGNGGLT >cds-PLY62016.1 pep primary_assembly:Lsat_Salinas_v7:5:116352556:116353611:-1 gene:gene-LSAT_5X51360 transcript:rna-gnl|WGS:NBSK|LSAT_5X51360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCSKSSKWLNRLRSSRGYPDSDNINLEHFLSNTLDKIDPQTSTDPSLPDKRPKLDKRDDSGAIQGREVMNNVLSELFQMGEFQDLSRIKRKKSCRKQQCPRICIVSTNSNVQNVPVGKDRVSSPPPSLSPFPLTLSNRRTAKDVNRELKVTGHVEEEEKGHWDLTAYSQTEVTVIDTSVPSWKFEKMLYRRKNVWKVGDKKGKGLMTSDRKKRKERLIENGDVEKKKLKLCSSLSKSGNAEQGGENKKKKKKKKKKLKMCNSSKYEDKEESMARSKSPQEKIEELKNRRIDNLKNWDLNLGFESRCWNRTPFILFFIFFNYLNTKNNKENRKKKLKRVKSTFQVFLDQKQ >cds-PLY92918.1 pep primary_assembly:Lsat_Salinas_v7:3:114867241:114868720:-1 gene:gene-LSAT_3X81200 transcript:rna-gnl|WGS:NBSK|LSAT_3X81200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALAKERNPNDHSSHMSGLVHQSYTFYGSAIGEVEEGESPEKKANFAGSSSNSSSLSSPSSATNSGGFLYRSTTNHQQQLQQEEGHSVISFKPGYYDNSFMQSGSGSCFLSFEENEEQPYSSNLSPDQLMNLGSGSFDSIRLLENMNCIQSSNIKENHHEELESFTWPNPSSSNNYNATQESSFHKRSHSGESEQAFKKQCTTNTATKKIKQKSTQVQPKDPQSIAAKNRRERISERLKVLQDLVPNGSKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPVQGGKPPELSQVKDAIDAILSSSQRDRSSSSK >cds-PLY83460.1 pep primary_assembly:Lsat_Salinas_v7:9:88396949:88397263:-1 gene:gene-LSAT_9X69100 transcript:rna-gnl|WGS:NBSK|LSAT_9X69100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGATEVEMLMVVVVVEGQVLSGDRSTSDVCFGYVAPERPIDDPARPTAALVAPSAPPEDYGSPKIRKCVQQHPYKALLPPAIGSCNGGLSVQTRPCSCCAREKE >cds-PLY80115.1 pep primary_assembly:Lsat_Salinas_v7:5:147290126:147290659:1 gene:gene-LSAT_5X64481 transcript:rna-gnl|WGS:NBSK|LSAT_5X64481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLQGRYQGKLEHHVVPLHENLPPLCRLTVVAARVPPQPVGSSTASGSQPSPYTVMTPPTTFCTPTELATNVAVDSKARIMAGDRWVVEKRKVVQAMSGPTPEGSSGPIGQRVMQRHRLMGLLSSVPSSPGDVLVIPDDEVQHYEGIEGASHQPIVLVSLGAGVSPQSSRGSPER >cds-PLY76001.1 pep primary_assembly:Lsat_Salinas_v7:5:319303645:319308868:1 gene:gene-LSAT_5X174940 transcript:rna-gnl|WGS:NBSK|LSAT_5X174940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPARICMNGLCGATTTSQWKRGWPMKAGGFATLCYACGTVYDNVRYCERFHLNESGWRECNSCEKPVHCGCVVSKYLHECLDFGGILCIKCLRAKAIQPKKQSIVNEIPNGFARFSATWQPSIFDKGKLTQLTREGLEKHQHTSSTSTIGLQFRQDEKTPSSSKDVGSSFLKPLTLPSIFPTQLPDNTKPNLGLKSTYEQSSGFALSSSISSILPTYNGAAVQEKAGLVKQEQKPRPKPSKSGVSVKSQPNKGVVGADNLVARPPAEGRGRGQLLPRYWPKITDQELLQISGDLNSNCTVTPLFEKVLSASDAGRIGRLVLPKACAEAYFPAIDQSEGLPIKIRDIKGKEWTFQFRFWPNNNSRMYVLEGVTPCIQNMQLQAGDTVIFSRLEPDDKLIIGFRKAPFSAELITIPNTANDGALISTGNENLSTNIEQEVIHEKKKARNIGSKSKRLHMHCEDAMELKFTWEEMQEFLRPPPSIKPTVVMIENCELEEYNEPPVFGKKTIFTTNASRLQEQWVQCDSCSKWRKLPSDVLIPSKWTCSDNVSDPNRCSCSAPEERGVGDLNRILKFGKESKKRKVAENCGTQEPKVEPTGLDALATVAVLGANPNEACATTRHPRHRPGCSCIVCIQPPSGKGNHKPNCICNVCSTVRRRFKTLMLRKRKRLLEQESDEARKSSVPVNIGMESGEGVGIGTEVISESSNKGQELDLNCDPKEEEMMLAFTASASEIPPEWHDMLAKLVPQGTTDMKEEPIITTNKVIEGVGHLELL >cds-PLY90402.1 pep primary_assembly:Lsat_Salinas_v7:9:173995876:173996966:1 gene:gene-LSAT_9X106941 transcript:rna-gnl|WGS:NBSK|LSAT_9X106941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFNSSWKVRLHQVILKVLCRTQDVFGLLTSQLTPHCSRVGVMMVLLSFVTLIKEGVLVRFKRRRMCVVLSFHVILVILLHLGQHITSYQI >cds-PLY64705.1 pep primary_assembly:Lsat_Salinas_v7:7:138633038:138633565:1 gene:gene-LSAT_7X83740 transcript:rna-gnl|WGS:NBSK|LSAT_7X83740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCQKLSMDACMHAAQNERLPLRVVVQVLFSEQVKISNAIASNSVKETGDPHYQPLVQNRKTLLEGTPQSFQEGWAAAKKDINTLKFELETVKTKYLELQHEMENLQRQFDKGTKPKQQSAWTSGWKKLSKITKMNTMENNEVGGQNNVAQARKAPRRWRNSIS >cds-PLY71440.1 pep primary_assembly:Lsat_Salinas_v7:8:42487519:42489368:1 gene:gene-LSAT_8X33421 transcript:rna-gnl|WGS:NBSK|LSAT_8X33421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAYLSRIARLSASHQNPVRTFSSSITPFSSFRALKSAIKTEEDPLKIAKLFELSISIPTFRRFRPLFTLSVHKLSRSKRFDLIDRILTNSITSSPPPQLASEGYWLRIAMLYSQVGMVDNALQLFDKMLQQQNCTLTEKSLCGILSVMIDNKIYDDKLQQTFEAFVTKTGISPGVKSYNLILKAHCKGGHVDEAQALIEKMETENNVHPNIDSYNILLGGYLDSKKKSAFDMVVKQINEKGLEHNLTTYNHRILRYCKNKECVRAKKLLDEMISKGVEPNSCSYNTIIFGFCKVGDLESAKKLLEQMVSDGYVKAPSFGYYTLMKHMVDEGEFDGGLEICKDIIQKKWVPPFEATGLLVNGLVKNSKADEAKEIVMKFKNRLNGPALQSWGKIEATLPI >cds-PLY67369.1 pep primary_assembly:Lsat_Salinas_v7:1:170930348:170944923:1 gene:gene-LSAT_1X113260 transcript:rna-gnl|WGS:NBSK|LSAT_1X113260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDVFGKNSQQPLIPVEPSYITDLIDFLQHVVHYEGDGGPVQMNSKPEADVLGLGKQFVNAIGEIYRVVVILGATVKFYKLWILLNVVNLEGLYVLLAIVNEEESRTRYISLMLLCVSVSILLFLPPKKQRLGWGEGLAKYEKKKVDPEDILDKEAAARNGMVDGVTGSEPLLTSPSSLTDKSPSVNGYSECASPATPYSYACTSSLVGVVRSVSVAFQVINGFHQYTGGVFTSDVCGNDPMCYPCKRVRKHATNIVLRKKRSLRRRKSKLHAGKHVGFLKGWIRGKDPSRVVHYEYWEAIDSTFGLQGGFIWDWVDQGLLKENANGSKYWAYGGDFGVTPNDLNFCLNGLIWPDRTPHPALNDPYLRSQAKFWADYIDKVIYTVAMKFNSGPKGEEMQKARHECLGYLNVLEGELGEKPYFMGDAFGYVDIALMSYYNHFFTYETLGGFSLKINSPKLFAWATRCMKRESVSKSLPDPQKIYDYTIVYRKNIGVED >cds-PLY93686.1 pep primary_assembly:Lsat_Salinas_v7:2:201286315:201290852:1 gene:gene-LSAT_2X121900 transcript:rna-gnl|WGS:NBSK|LSAT_2X121900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNHDKSQSSGDRDADDQQKSPEDSSSLSRGQASTDAVSGDSSTRRNGNRIGLAERLTDLFVGDGDNDDELLIQRSVQGTVIQWLHALDMQVMGACRADERLKPLLKLNVCSGVTEDRLLSHLSQHFEPSEVGLLARCLCVPLVSIRVGKIDKQGTLLIPNSTRGNLSLSLLPTSDLCISFLGDDGDVERLSTLRNISDCSSVLIEGISADSSGRSFMIRVPSGDPFYFWCSEKSRLVGYELLEKMRNLLEKKPSLADLTGISDSRLKCFVTHLRTYLVGANTQVSNNTAHVSITPCSGSSTTSLALSEAVTSSQSASSKSSRGRSNTSVLQGSLSPRPSSFKEAPPRNLASLKNVVKEKLRRKVEAGNGSVENSESLSANTSSSSPSNQSVKDKFPEIHLFPLVSGLEVGLTEKTVDTVPLSLNPIPSISMSMMTPSLYSPYYCWCPPGPSTLQYTVAPTQMTPMSMAMADSFTLPPLSSLLAGSSSSDLLAAAPKPSPLNLDEIPPFLPESTSQQFPTFTPLMTDSIVHIPVIDICSSGQAYLVSAGPGIPPLHHHHHLPLIQQTDSMAEKSARDTLRLLISGSSQLPSVLATVGGNQFQNGSRGLYGGATDVNHAIANSIAAMGMVSLSDRRRFIHQGDLVDLLGEDSGGGISTRPNDDDQSSFSDSGTGKTL >cds-PLY87640.1 pep primary_assembly:Lsat_Salinas_v7:1:122177487:122180669:-1 gene:gene-LSAT_1X92840 transcript:rna-gnl|WGS:NBSK|LSAT_1X92840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTIPGFNQIQFEGFCRFIDQGLTEELSKFPKIEDTNQEIDFELFLERYQLVEPSIKERDAVYESLTYSSELYVSARLIWKNDRRRYIQEQTILIGKIPLMTSLGAFIVNGIYRIVINQILQSPGIYYQSELNDNGISVYTGTIISDWGGRLELEIDRKTRIWVRVSRQQKLSILVLLSAMGLNIREILENVCYPELFLSFLNDKKQIGSKENAILEFYQQFACVEGDPVFSESLSKDLQKKFFQQRCELGGIGRRNMNRRLNLDIPQNNTFLLPRDILAAADRLIRIKFGMGTLDDMNHLQNKRIRSVADLLQEQFGLALVRLENMARGNIYAALKHNWTPTPQNLVNSTPLTDTYKVFFRLHPLSQVLDRTNPLTQIVHGRKLSYLGPGGLTARTATFPIRDIHPSHYGRICPIDTSEGINVGLIGSLAIHARIGRWGSLESPFYKISERSKGARMLYLSPGRDEYYMVAAGNSLALNQGIQEEQVVPARYRQEFLTIAWEQVHLRSIFSFQYFSIGASLIPFIEHNDVNRALMSFNMQCQAVPLYQSEKCIVGIGLEGHAALDSGALAIAEHEGEIIYTDTDKILLSVGGERILGKNVLVAYMPWEGYNLEDAVLISERLVYEDIYTSFHIRKYEIQINQGSERVTNEIPHLEVHLLRNLDKNGIVMLGSWVETGDILVGKLTPQMVKESSYAPEDRLLRTILGMRVYTSKETCLKLPIGGRGRVIDVRWVQSSKTDETEKTESIRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGRPVDMVFNPLGVPSRMNVGQIFESSLGLAGGLLDRHYRIAPFDERYEQEASRKLVFSELYEASKQTVNPWIFEPESPGKSRIFDGRTGDPFEQPVIIGKPYILKLIHQVDDKIHGRSSGRYSRLTQQPLKGRAKKGGQRVGEMEVWALEGFGVAYILQEMLTYKSDHIRARQEVLGTIIFGGRIPTPEDAPESFRLFVRELRSLALELNHFLVSEKTFQLNRKEA >cds-PLY97918.1 pep primary_assembly:Lsat_Salinas_v7:4:83059768:83063330:1 gene:gene-LSAT_4X55420 transcript:rna-gnl|WGS:NBSK|LSAT_4X55420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLPPTEAPSLYSQEQRDDHWRHFDKSVNAISFGFVATAILISMFLVMAIFERFLRTTSPVLSPGGGGARGRNAAGDVGSQMGFNSKLANSSFKISENAREVSVLMPGEHVPTFIAQPVPCPSGRIPWSPDPHVMYPKLTSSSNFQSNC >cds-PLY92061.1 pep primary_assembly:Lsat_Salinas_v7:5:323939439:323940451:1 gene:gene-LSAT_5X181001 transcript:rna-gnl|WGS:NBSK|LSAT_5X181001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMIGVLYPLSHKLFLVLGWIRGKDPSRVVHYEYWEAINSTFGLQGGFIWDWVDQV >cds-PLY62739.1 pep primary_assembly:Lsat_Salinas_v7:8:48175796:48177222:1 gene:gene-LSAT_8X36981 transcript:rna-gnl|WGS:NBSK|LSAT_8X36981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENFWQKAKSLAEEATRRSQTLTSSPVRIADIVSETAKRSKDIAAEASKKTALLLSLADQIPSLSITSSSSSSDIPSELELETFGITQDLRDFVKGLTLSTFQSFPFPDDLVSDVATVSNVRQDLSEWQQKHANLVLTTVKEVSRLRYDLCPRHMKDGRFWRIYFTLVSTHVSPYERKFIEENRLKETPQKEKDQTEEVEAQLKSKSSSSEQDLDSYLLGDFDDSDAENNDAFDGGDDDEDDDFDKIENSVSINFVLLLNLEFVFIIKIGLCYMFLYVLFAGD >cds-PLY86483.1 pep primary_assembly:Lsat_Salinas_v7:9:183839579:183841767:1 gene:gene-LSAT_9X112881 transcript:rna-gnl|WGS:NBSK|LSAT_9X112881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g33990 [Source:Projected from Arabidopsis thaliana (AT4G33990) UniProtKB/Swiss-Prot;Acc:O81767] MLTFEVKPDFYTFPPVIKACRDINNGKKIHAWISKTGLEWDVFVAASLVHMYCRFGIFDAAYGIFTNMPFRDMGCWNAIISGFCQNGKGEKALQILDEMKFEGIKLDSVTVSTVLPICAQMDDMSQGRLIHLYVIKHGLESDLFVGNAFINFYAKFGELEISQKFFDSLVTRDLVSWNSIISAYEQNGNPDRALWFFREMQKDGFAPDLLTLVSVASSIAQSRDTRGSKSVHGFILRRSWFVKDVIIGNAVVDMYAKLGNVENARELFERISIKDVVSWNTMITGYGQNGLASDAIEVFQNMVEKNFVTPNQGTWASIIPAYAHIGALKEGQKCHSNVLKTGLILDLYIGTCLIDFYGKCGKVKDAMLLFDEVPKTNSVPWNAVISCLGIHGHGETCMKLFENMIESGAHPDAITFISLLSACSHSGLIEQGEQCFNAMEKDYGVKPGLKHYGCYVDMLGRAGELEKAYDFIKSMPLTPDASVWGALLGACRIYGNTDLGKVVSDKLLEVDEGNVGYYVLLSNIYANAGKWEGVNRVRLMANEKGLKKTPGWSSVEIDKRMEVFYTGNYSHSKCDEIYRELEDLMMKIKIIGYVPDYSFVLQDVEEDEKERILMSHSERLAIAYGIISTPPKTVIRIFKNLRVCGDCHNATKFISKVTEREIVVRDSNRFHNFKDGVCSCGDYW >cds-PLY74472.1 pep primary_assembly:Lsat_Salinas_v7:7:34554310:34554651:1 gene:gene-LSAT_7X26560 transcript:rna-gnl|WGS:NBSK|LSAT_7X26560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSNDGSGQGRMRRQEGKGGDIRECKEGVPIGSRASQAAVATRTSLRSSMVDGNSREKTRGKGVCGEVSRAAAVKKTRMFGGFASTEGETKADNQRLRGCWVVRKKGNTLGV >cds-PLY97174.1 pep primary_assembly:Lsat_Salinas_v7:2:205993294:205996238:-1 gene:gene-LSAT_2X126640 transcript:rna-gnl|WGS:NBSK|LSAT_2X126640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVESKSQSQWQDSQIMPENSIRVTENVEGFNQCVKEILNLIEQDESLSETTDETFDCKKSKLTTMVTELSRMHGVLADQHVHFIGEVNKNLSSLFKNQSVDSSDSSSPQVTQMFTPDQKSAHKFMTPIGFDVLLSSGGCGSYNTRREASESSFSLSSDSESESSMSINKLLISPANDDASKVKETKTQDPDVLLKKISVLEEELLNLNTKLQTLVDENTKLKHDIHENESDIDSQNAHLEAEKAKVVELQEQVSDLKLMIFESNLKIETLGEELEAANDEISEVKGELSTKIIELGTTQEEMALLQIQIKSQKTKIVELNEEINLCVADISVRDDQITELNTKINQSISEISLLKSCHSAKEDNWKTDLKRSKTEVMEKCELIDELNHKHDAVMSERDEVKAQLDNLRAEMCSRDNLIQELETRLSWLQGEHARVVSSFDTAQKFTKEVELKMVELEREVERQREVMSDRAEEKREVIRQLSFSLEHYMSGYKELRQAFVGNRRRVVLTS >cds-PLY89218.1 pep primary_assembly:Lsat_Salinas_v7:5:311000983:311005627:1 gene:gene-LSAT_5X169601 transcript:rna-gnl|WGS:NBSK|LSAT_5X169601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTSTVYIQVIEDVINKVREEFINNGGPGEGVLSELQGLWELKMMQAGAIVGPIDRSAVAKSMVPGATSNTVHDLNVPYEGPEEYETPTADLLFPPTPLQTPMQTPLPVQTPLPGTAPTPLPGTMDNYNIPTGGTPITPSDYPSINENGVSDGKSGRPNTYMASASFLSPSLLYQPPSPWLNQRPPLDVNVAYVEGREDADRGGAANQPTTQDFFLLSGKRKRDEFPSQYRPSGGYIPQQDGAADKLEVGEGSNSIVVGGNNRIPQLDGPIPDPYDDALSTPNIYNYQGVVSEDYNVANTPAPQELQAATPAMVNQNDILDDDEDEPLNENDDDDDLDDVDQGEELNTQHLVLAQFDKIVKWEQTRVSNVYKLWYSNAQNRVGVMLSAKPKDNVVEVTTCRDRIMAIKVIVEEEVLLGLIGQYG >cds-PLY88874.1 pep primary_assembly:Lsat_Salinas_v7:8:4703548:4704035:1 gene:gene-LSAT_8X1920 transcript:rna-gnl|WGS:NBSK|LSAT_8X1920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGINEKKVNGVSDWYNVLGVDPTADAEAIRRRYRKLALSLHPDKSKSIGAEGAFKLVSEAWTILADKARKKTYDQKRNPKPIYQKVSTSTLPPTTFWTSCTKCKMHFEYLKV >cds-PLY68363.1 pep primary_assembly:Lsat_Salinas_v7:5:107542404:107545011:-1 gene:gene-LSAT_5X44300 transcript:rna-gnl|WGS:NBSK|LSAT_5X44300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALPVTMTTTTMMAEDCCVKVAVHIRPLIGDEKLQACRDCVAVIPRKPEVQHGTHSFTFDHVYGCSCSPSSAMFEHCLSPLVEGLFQTHNATVLAYGRFNLVDDRYYISGSFDAKVRKWSIPDRQVFDWSDLHEMVTAACYTPDGQVFTHTPDGQVVIFPFRSKRYSSQNHGG >cds-PLY83683.1 pep primary_assembly:Lsat_Salinas_v7:4:39649958:39650518:1 gene:gene-LSAT_4X26341 transcript:rna-gnl|WGS:NBSK|LSAT_4X26341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFTGFISYVKKLVKDGRIIVYCCVPQHSLRDGLTNFEDENDYVRFLDDGYKNGGRMNFYIDHSQELGMEWITKEIAGDGCSGGHTHDDDDINFEIADNEFVEHEPDDEVIQIQPSDDPFLRQKIARPTRVVDDKKDVKSDLPQYHVHDPNQKWDTMVPILCMKLCDRFELKHMLSNYVVANRYKI >cds-PLY72560.1 pep primary_assembly:Lsat_Salinas_v7:2:138870047:138871611:1 gene:gene-LSAT_2X66201 transcript:rna-gnl|WGS:NBSK|LSAT_2X66201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGYGDASQKIDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIQHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQTFDHIPRWLEELRNHADKNIVIILIGNKSDLEDQRSVPTEDAKEFAQKEGLFFLETSALESTNVEDAFLTVLTEIFRIVNKKSLVADEEQNGNTGNLAGKKIIVPGPAQVIPEKKMMCCSS >cds-PLY91664.1 pep primary_assembly:Lsat_Salinas_v7:8:12335469:12340229:-1 gene:gene-LSAT_8X9040 transcript:rna-gnl|WGS:NBSK|LSAT_8X9040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQLAAGTGLLKLPPVTFVTGNTKKLEEVKVILGKSIPFHRLSSNVKNEIEMPEPPNSGLIVGSFPAIDMLMEGDDYREVRERLSSAERRPCEILEIGQEKS >cds-PLY97579.1 pep primary_assembly:Lsat_Salinas_v7:5:239850723:239851460:-1 gene:gene-LSAT_5X118361 transcript:rna-gnl|WGS:NBSK|LSAT_5X118361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNMLGEIKDIMQENTYSSYYPEVEKIVLARWEKMTIPLHCLGFALTPRFYDRQYLQKLAPGGIPRKAPNLDKEVVIGVMKAFHRMSENEEEEQVLREQFATFHMKKGIYSMAATQTDAVTMDAIDWWSTYGAETPELAVIAKKVLSQPISSSSAERNWSTYSYIHNVKRNRLNCKRADKLVFIHSNIRLLSRFSDSYKAGPYKKWDMNPENTYIEGSSSRLEEMFWENLDEDMENGQRKRQRVD >cds-PLY84537.1 pep primary_assembly:Lsat_Salinas_v7:1:30364984:30368191:1 gene:gene-LSAT_1X26120 transcript:rna-gnl|WGS:NBSK|LSAT_1X26120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRQKQDDVSTSSQEEQEQEREHQSFSDAAARRKRPSFRNAVLEVMKFCTIHKYVEPVLEPLIRRVVKEEVEMALEKHFAHMKWDCEDEIESFHPKNLQLQFLSALSLPVFTGTRIEGGDCNMLKVALIDAHTGKTISSGIESSAKVEIVVLEGDFGDDSGDSWTLEEFSANIVRARRGKKPLLHGNALLNLKEGIGLVGDLFFTDNSSWTRSRKFRLGARVLDNFDGIRVREAKSESFVVRDHRGELYKKHHPPYLSDEVWRLEKISKDGAFHKRLNKEKVKTVKDFLVLYFLYPARLRHILGSGMSAKMWDVAVEHATRCVIDDNKLYLYCPQSHQRDGVVFNIVGQVLGLLSNCKYVVSNKLSETEKTEAHKLVIYAFQNLEKVVSYDDEASLKTGTCTLTEDIGNNDYLPKGRFDYPQMNAPSPSEYESNQMGSINVGFDEPMDFECHVDNTLICDPSSSMHLQYLGTSSSFSPGDLQCAVDRFLFPCSGMGKAQRRWKIVSSVVKWFSLMLEIRKGDLSSNARLVDENTRF >cds-PLY61883.1 pep primary_assembly:Lsat_Salinas_v7:6:57347650:57348444:1 gene:gene-LSAT_6X42241 transcript:rna-gnl|WGS:NBSK|LSAT_6X42241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSLHGTIPSCLSNLTNMVQQGGFSQDVLFYERIRGYATRAGIYVDHALIEWQGVEREFFSTLKLLKAIDLSSNNLTGQIPYQITNLSDLVSLNLSKNALSGEIPQKIGEMKKLLTLDLSRNNFSGQIPSSMSQMSLLNYLDLSFNNLSGRIPTNTQLQSFQPSRYVGNKGLCGPPLTKKCPGDEEPVATSVIGKNDGDGEDTDDELEKWFYIGGGMGFATGFWMACGALLLNRRGRHAFFQFYDSFKDWVYVFIASLKMARHT >cds-PLY64570.1 pep primary_assembly:Lsat_Salinas_v7:6:36435110:36436812:-1 gene:gene-LSAT_6X28801 transcript:rna-gnl|WGS:NBSK|LSAT_6X28801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALEKPQDNQLQFLIIPLMSPGHYIPTIDMAKLLAQHGVKVTIVTTPVNALRFGSILDQAVQSGLPICFLEFPLPSTEFGLPEGCESLDDLPNLGLAKELFLAQSSLQQQVEQYIENSEPKPSCILSGTFLQWPAETAKKFQIPRIIFDGMNCFTQMINHVLYLTKVYESVGESESFVLPGLPDRIELTRSQLSFAFNSSGSKEVKEFHEKVRVSESEAYGVIINSFQELEQGYSDEYQKIKEDKVWCVGPLSLCHKDVSEKALRGNKPSINKNECLKWLDSKDNASVIYACLGSISRMEPDQLVELALALESSNRPFIWVVRAGHKTPKIEKWIEEDGFEERTRDRGLLIRGWAPQLLVLSHPAIGGFLTHCGWNSTLEGVCAGVPMVTWPQFQEQFYNEKLVVQVLRIGVSVGAQSVVHWGEEEKSGVQIKSEELRKAIEMVMEEGKEGEERRKRAKELGKMAHKAVEEGGSSHQNMRRLIEDIRNQSSTRNSS >cds-PLY77849.1 pep primary_assembly:Lsat_Salinas_v7:1:24005854:24006180:-1 gene:gene-LSAT_1X20581 transcript:rna-gnl|WGS:NBSK|LSAT_1X20581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVYMKNDLHFAGFFTRYPEISYTDGAEQRFEDVDFAGMDRNKFVDFVQRFANEMYVNIFYFMPGKDFPDGLRILASEIDYQDFIEARYATGCVVDVYMDHLGVNVQQ >cds-PLY79913.1 pep primary_assembly:Lsat_Salinas_v7:8:18781287:18784332:-1 gene:gene-LSAT_8X14661 transcript:rna-gnl|WGS:NBSK|LSAT_8X14661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVVGGEGEPGFRKPSSVSSYDEAMDALSSLITKKNRADKIVVDHRYELMFEYVKILELEEPISQMKIIHVAGTKGKGSTCVFTEAILRNCGFRTGLFTSPHLIDVRERFQLDGKDISKEKFLAYFWWCWDRLKKKCGDDIPMPTLFRFLALLAFKIFATEQVDVAVMEVGLGGKTDATNVVQTPIVCGITPLGYDHTEVLGNTLGEIAGEKAGIFKKGVPAFTVSQPEEAMQVLKEKASQLDVNLEVANMLDSKLLNGLHLGLAGEHQYINAGLAIMLSSTWLQRTGHLELETSYLSERFIKGLTSAKLQGRAQIIPDNVCEGDVVFYLDGAHSPESMEVCANWFSIAIGGDSDNSRKDSAQILLFNCMSVRDPQLLFPRLVDTCGGHGVKFKKAIFVPNMSVYNKVGSSLPQTDLQEVDNSWQLTLGRVWENITCCSKSETGSCESKNSMVFSSLPLAIKWLRDTAKQNKSVRLQVLVTGSLHLVGDVMKLVNK >cds-PLY92685.1 pep primary_assembly:Lsat_Salinas_v7:3:117557705:117557884:-1 gene:gene-LSAT_3X82360 transcript:rna-gnl|WGS:NBSK|LSAT_3X82360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKVGLPIQTEDFLNIQFKGFRCANHVLHEFTLVEWPFMNHYDWLSLFYIVAKDAQKY >cds-PLY97012.1 pep primary_assembly:Lsat_Salinas_v7:2:34687384:34687858:-1 gene:gene-LSAT_2X15621 transcript:rna-gnl|WGS:NBSK|LSAT_2X15621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPPQVDIRSTLVVSNPPKATKIFLGESGEKLNYIHTYFELCADFNGWLRDFLWAQASQVILPYGSSLSAYVLFYVGAHFVWAFSLMFLFSGHGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLPGGIATTWAFFLARIIAVG >cds-PLY68261.1 pep primary_assembly:Lsat_Salinas_v7:3:189091815:189092422:-1 gene:gene-LSAT_3X113600 transcript:rna-gnl|WGS:NBSK|LSAT_3X113600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVDGLEARIAMGMYMGIHNLTTLRSAPSFMRTKLRAKPSAALIASSSRTEGRTVGGLLLQPKHDLNEVNGHDDTVNNIIIKKKKRVFFLDVNPICYDGSTPSLHSFAHWIFLFFSEVSLTDPVIVRSRRSALESSVDC >cds-PLY61659.1 pep primary_assembly:Lsat_Salinas_v7:5:90584468:90586903:-1 gene:gene-LSAT_5X41081 transcript:rna-gnl|WGS:NBSK|LSAT_5X41081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHNFKMLPHETLFDSYSRYNIVVNRVKKLKGERLQEDFNLKFLNSLSPKWDTVHMIELQTTINLDTMYLFDLYDELQQHDPMVNKLAQATSFNNQGLALGNSAPMANHSQNLIAHHNPIPNLFADQFVNQGYGAYPYQSSSMAQQYNQNPYMSTQQPLQTANGYMANHLAFNGTTMESPQFNEEKGYEECLALLTKFNPNFKKFVNKPTGNFRAQSYQQPQHFPQNSGNFQGGSNSQQSFNSQNSNSKSYNSQRMNTRVQDNKLKSHEDNEVIRCHNCQGEDHFAKDCKMKTKKVKDEAYYLQKAEQIKKQSKDKAFTVMETPSVEVCEPDDEVEQAEETEAYKNYFCFMVDDDKEPSSLQQQVVEKVHFMLRDNHLTIEPFHDDIYHITEIIKEYVTNVEYRVSYYKDELNDTQFKVEELRCCIAKLENDLAIKTEAHHTLVEQYEIVLNEHDIIGKDNREMYTQINTKVNSYKASKTLLEQNSHAILKVPQPSWIKYGLGYDEMNREIMGLDTQPELLLCDPSLFTGDNEDHEEVVTCSPDVIATSSSSIPLEVTSSHDLPDLDTMSYSPIEFSLECPTMESFNSEDATSKQPNIDVSKSSDKQPKSFDSIVYSKTEPIELLSQESFPSLTTSVSGTKDFGCKYSKKQQTGESPTPVDKDDTLKTVSNDETVVEILKRPFVPIKISSPSPKQQETIVQPTNVSKEQVPTVVHPSKPKVVKTTNNDKNRLTKRVPKAKQSQGKTRHTSPPKSSRTQRTSPSNHGFSNSGFANQTGTNYQNNFGPNNLRDLYEMMRPRPVQTNPFMYQNSN >cds-PLY82703.1 pep primary_assembly:Lsat_Salinas_v7:2:147987116:147987670:1 gene:gene-LSAT_2X73140 transcript:rna-gnl|WGS:NBSK|LSAT_2X73140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLEKLLISLSKKQTLKKLESWMDHTWKYHEMWRERNGGGMDLWTFFCNERLKQKRLEENTYADDQVNDNDMIISPPKRQALSKLCTYIFDYFTRNKLAFG >cds-PLY74236.1 pep primary_assembly:Lsat_Salinas_v7:1:77210221:77210866:1 gene:gene-LSAT_1X65280 transcript:rna-gnl|WGS:NBSK|LSAT_1X65280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEEKKAAVAEKAPAEKKPKAGKKLPKEAGAGAADKKKKRSKKNVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLASESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >cds-PLY91808.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1511810:1518192:1 gene:gene-LSAT_0X501 transcript:rna-gnl|WGS:NBSK|LSAT_0X501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTQLIRHPLKEESLNIPSIPPGFESFTPFRIDDNTPASTIGSALQTAKKEQEIVHHEDKKVKRSLRRKPGINYANFDCSSGDESNSKTFNHIHIDQTSRSRGVTRGCEKGSNCQKVTAKWHPEEARVPNLSEAPVFYPNEKEFENTLEYIASIRDKAEVYGICRIVPPSSWKPPCPLKEKTVWETSMFSTRIQRVDKLQNRDSLKKSFKPNHHKKKRRRRSTKVGVDQDHETRGSLSPMAYDFGFEPGPSFTLDGFKKYADDFKTQYFRKNVDESHTKRSCEPSIENIEGEYWRVVEKPTEDVEVLYGADLETGTFGSGFPNMPSQVEGVDEKYLKSGWNLNNFPKLPGSVLSYETSDISGVLVPWLYIGMCFSSFCWHVEDHHLYSINYMHWGDPKIWYGVPGQDAIKLEEAMRKHLPDLFAQQPDLLHKLVTQLSPSILKSEGVPVYRCIQNPGEFVLTFPRSYHSGFNCGFNCAEAVNVAPFDWLLHGHNAIELYREQGRKTSISHDKLLLGAARDAVKAQWEMNLLRNNTPDNLKWKDVCGKDGILLKALKERLEMERVMRDYLCKTGQKALKMEATFDATNERECSVCYFDLHLSAAGCHHCSPNKYSCLNHAKEFCGCSLNSKFFLFRYDMSDLGILVEALEGKLSAIYRWAKLDLGLILTNHVPKDLGMIKSPSKEPLVVKNSDDVIILSDDEGENSIKKDESCKSDTLQSVGDITRGSHQETVSSQNNLERCNRRKGPCIAKVVRRLNCNVELLEFGIVQSGKLWCDNCAIYPKGFRSRVKHINILDPTNTCYYISEILDAGWDRPIFMVSLENCVSEVFIHLSAVKCWDMVRERINQEILKLHKLGRLKLPPLQPSGSLDGMEMFGFSSPFILQGIQSKDRHRMCKEYWESRPFHTQSHDDISGNSSVNIEDQSNSGDDTIVSGLFKKANLEELNVFMSVLTKDDSNQNRVMRLLNEEIVKRLRRIKKGS >cds-PLY93633.1 pep primary_assembly:Lsat_Salinas_v7:MU039104.1:310695:311403:-1 gene:gene-LSAT_0X15860 transcript:rna-gnl|WGS:NBSK|LSAT_0X15860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELISQKDNRSPDAAKASSSVTEVCDFMSPKLSDWSRRGSEDMLDCFPDQGDNYVSMDDLKAHPCMSCRTRFGPKSDQGMTTSSAGSMTPRSPLMTPKTSQIDLLLAGKGAYSEHDDLPQMNELADNARCAGNTPLDDDRSLSYLLTCFDDLRVMIDRRKFDALTVETFGARIEKLIRCYKQGEVFADL >cds-PLY96336.1 pep primary_assembly:Lsat_Salinas_v7:5:191840446:191841192:1 gene:gene-LSAT_5X84781 transcript:rna-gnl|WGS:NBSK|LSAT_5X84781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTFSNVVFLFCMVISIISSSADAAYGVAKLPEIPHKMKQKEIPKSIEVQGLIYCKSGPKFIPLKGATARITCLARNQKGLELAPFSVSSCPADDKGYFLAKLSPQSTKFLKNAQWELKECKALLESSPLKECKVPLDINGGVKGAHIISSSTHRLLKNANLYSLKPFFYTGNKPQTVSDNKKY >cds-PLY70984.1 pep primary_assembly:Lsat_Salinas_v7:9:70118800:70119246:1 gene:gene-LSAT_9X59961 transcript:rna-gnl|WGS:NBSK|LSAT_9X59961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVEGALLGDTNDALKSKSISDLNLEKPSSRSTSESLVSSKAPTFVHCAVFQQKLFGSSFAQTQLVITESTVTAINPLPPGTSTSNSTPMDIPLIVADVADPDATLDVPPKTYNMDCILPALLVLYYICQCMCIFDEMVVFYSDPFI >cds-PLY64291.1 pep primary_assembly:Lsat_Salinas_v7:5:84368826:84373120:-1 gene:gene-LSAT_0X24560 transcript:rna-gnl|WGS:NBSK|LSAT_0X24560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLGKNLWGEELVILAEASMEPTVIYVKQVLDIISKASNKGIKGIAHIPGGGFIDTICRVFGIGLGALVYNDSCSVPPVFKWIQKAGGIEDGEMKRTFNMGIRMVLVVSKEVSERVVKEEGEMVYRVGEVFSDSPRDSVQFIECSPSSCPRALPGEVRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAYTQVEADVKVEEPGKDNVHNNTFYTQETLNHKQCGIVGGGSSRGQNAPTQKVINGSVVNYWACINFSRIIPDGATHSFCHQLLQMCQESGMSILQDQISFLEIDLGHLKVTNEVSWHGPAEDQASVHCDYFLGFPFHQLSCHEFG >cds-PLY70404.1 pep primary_assembly:Lsat_Salinas_v7:9:152451942:152454359:-1 gene:gene-LSAT_9X95921 transcript:rna-gnl|WGS:NBSK|LSAT_9X95921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLSATFFFFFISASTPILQVSNNGVGGFKLEENESHVKISDFPSGFLFGAATSAYQVEGAYLSDGKSLSNWDVFCHSVGCGENEENGDIADDHYHLFLKDIEMMHSLGLKAYRFSISWARILPRGKFGEVNPAGIMFYNKIIDNLILKGIEPFVTLFHEDFPQELEERYGSWLNPQMQEDFVHLAEICFNYFGDRVKYWITINEPRLFTDFAYETGIFPPARCSEPFGKCLNGDSDVEPIIPTQNGLIGLVAHCDMFEPLTNSKLDREAAKRAFAFNAGWVLDPSIFGDYPEEMCEYLGNQLPVFSLEEKNFMKNSIDFIGINHYTTVYTKDCMDSSCTATGVRAIRGLEGIYVVPRGMEEIVDHIKIRYNNKPMFITENGYSSPDVYEEQVNEILNDVKRVDFHSKYIASLIKSIRKGADVRGYFVWSLMDSYEWLVGYNLRYGLYYVDRQTFKRIPKLSARWYKSFLTNNSNILIRKPQDRKVYFKRMS >cds-PLY66723.1 pep primary_assembly:Lsat_Salinas_v7:6:79469765:79470926:-1 gene:gene-LSAT_6X54961 transcript:rna-gnl|WGS:NBSK|LSAT_6X54961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METIHPSLPKYLQNFEVSEECNDLISSLPKDRGWVVPIMYQYQGFWHHTWQLQGVLAFQKHFLAQDTDILLVTAPKSGTTWLKAISFAIINRIQYPIASKNHPLLKNNSHVVVPFVEQLYADDNSNPDFSETPPRLFATHVPYVSLPESIHNSKVKIVYMCRNPKDLFISAFHFTNKLRPEHMGTNSMEEMFDLFCKGVFLYGPYWDHVLGFWNESLKRPKEVLFLKYEEMKEQPTTQVRKLAEFYGCPFTPEEEKEGIVDAILGLCSFESLSNMEVNKKGYLPTGEMKQAYFRKGEVGDWKNHLTAEMAEKLDMITECKFQGSGLKF >cds-PLY75215.1 pep primary_assembly:Lsat_Salinas_v7:2:204026292:204029602:1 gene:gene-LSAT_2X125740 transcript:rna-gnl|WGS:NBSK|LSAT_2X125740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSFPDIWTWIQNLPPLTQWQTNTKSIRIISSSQPSLELSISKTLIHSSSFSFSIRVNYNLPISLWTSKPLKSAISNTLFHEHTISKLLTNIIKDILNYTPIKPSNSFLKLPQPNSIPNLKDIFNFVFLALVFIVSIYEAPQDIRCDCINMLKDEFASSWARETSKRLMRLMGSNTEEQWMRSMNLSITNWMTELRARNHCLKAPSPMYSSAISGLGLWKVQLYCPVIAMEIEKCNSPGQEDQKLGFSLNYHQVEGVIQLNHQVMVREEWIDVLVSIDNVRCDVIRLVNDTLLKERGAGIEEKHFPSRISLHLTPTIQTNVLSVSVSRSSENPSREIGVERSIEGSFEPPNPHIGISVSAGETVTTNLKPWKFEQSVYGYSGTFNWFLHDSVNGREVFSSKPSKIAMLQPKAWFRNRYSSAYRPFTRQGGVIFAGDEYGESVLWKVGRGAIGETMEWEAKGSIWLTYWPNKYNTFYTETRRLEFSEILQLTLA >cds-PLY86762.1 pep primary_assembly:Lsat_Salinas_v7:4:296761652:296762713:-1 gene:gene-LSAT_4X147801 transcript:rna-gnl|WGS:NBSK|LSAT_4X147801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGKVTKDEKYLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFTVGGWFVGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWTFVALHGAFGLIVYPLRQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGTVLLCAIHGATVENTLFEDDDGVNTFHAVNPTQAEETYSMVTANRFWSQIFGFAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPRGNAL >cds-PLY88958.1 pep primary_assembly:Lsat_Salinas_v7:8:129973280:129975773:-1 gene:gene-LSAT_8X90121 transcript:rna-gnl|WGS:NBSK|LSAT_8X90121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRYTKVEQKKPDIPINENEIRITSQGLVRNYVSYATTLLQERRGKEIVLKAMGQAISKTVTIAEYIKRNIPRLHQDTQISSISITDVWEPIEEGLFPVEMTRQVSMISITLSTKELNKNSPGYQAPLYVERPRPQYNNYQRQQQQPPRNTQQAYNVINEEPYGGNYHGNRGGRGQGGGRGGRGRGWNRGGGYGYGYQGGDGYRNYQGGGGGGGYGNYQGGAGGGRGYGNYRGGRGYGNYQSGGDYGNNYQSGGGGGDYGNNYQDNNGYVNRGRGGARGGNAGYRGGGFMRGGGGRGGGGGRGRGRMGGRSREGGEPQQQ >cds-PLY73717.1 pep primary_assembly:Lsat_Salinas_v7:8:170872416:170874136:-1 gene:gene-LSAT_8X112080 transcript:rna-gnl|WGS:NBSK|LSAT_8X112080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRDLVRKESPEKPWKRSRVWKHEESLDLLKKDKGTQRIRGLILDTNLLRKEHNFKDDDVNKSFRADQPIQIVYEFFLRIWLFFSRLLLMLSSSHCKNVELRADALRKMDKLKLLQLNHVKIDGSYKNFPEGLRWLCMHGFHSKFIPSGLPMENLVALDMSYSNLTQLWKKPKLLGSLKILNLSYCKIVRVEGFSWLPVLERLILTNCESLIHVCESIGGCDGLVILDLSYCSKLSNVPISISKLKNVRRLSLDGCLGASEFLMRMKDMESYASSSIIPKTPKSFWLTSLVALSLNGNNLSNESFPKDFSSMSMLKKLYLNKNPIDSLPDCVRSLSRLEELDVGECWMLKSVLCPPPTIKYLSTENCLSLIKITFPQEMSSPSVLYHYGAESLSEIEGRIKFQDIAEIDEQILCSLGWTNLQHLKHQKIRIQDSYRFSPPKKDPVQVLYEFGILAYVFLGKQFQIGYLIKARVHQYQYR >cds-PLY81391.1 pep primary_assembly:Lsat_Salinas_v7:8:32021590:32022054:-1 gene:gene-LSAT_8X25221 transcript:rna-gnl|WGS:NBSK|LSAT_8X25221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRVSTLAAASTSLSRAILASSEHHHEYNKLFQAASFYAANTGSSRRWFSSSVGSTSPGGYSSTAAKVFLSLGCVAASVAAGASSLFVEEEAYAKEPISPDLVPKEVVLYQYESCPFCNKVKGKTFILSPRFTSSTPQTRSSRRGNVVINTNVP >cds-PLY63212.1 pep primary_assembly:Lsat_Salinas_v7:6:89429084:89432866:-1 gene:gene-LSAT_6X60760 transcript:rna-gnl|WGS:NBSK|LSAT_6X60760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDILAGDGEVPKLPIPGKRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKALQENCTPKEICDRYHAIHRDVYNWFNISFDEFGRTSTPQQTEVCQAIFKKLMENKCLSENTMQQLYCDICQKFLADRLVEGTCPNKTCNYDSARGDQCESCSKLLNPTELINPRCKVCVSSPCIRDTNHLFLELPLLEGKLEEYIKKMSVSGSWSQNAIQATNAWLKTGLKQRCITRDLKWGVPVPHERFNDKVFYVWFDAPIGYVSITSCYTPEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTSENWTLMKTISVTEYLNYEGGKFSKSKGVGVFGNDAQDTNIPVEVWRYYLLTNRPEVSDTLFTWTDLQAKLNTELLNNLGNFINRVLSFIAKDPDSRAGRGSGYNSIIPDAPGAEKHLLSKTLGDKIGTYVEQYVEAMEKVKLKQGLKIAMSISGEGNAYLQESKFWRLYREDLPSCSIVMKTSVGVVYLLACLLEPFMPSFSIQVLKQLSLPHQLSLCDEKGDVEKAKRPWEMIPSGHRIGTPVPLFRELRDEEVELLRERFAGSQADRADRQT >cds-PLY62094.1 pep primary_assembly:Lsat_Salinas_v7:2:57580175:57583044:-1 gene:gene-LSAT_2X26200 transcript:rna-gnl|WGS:NBSK|LSAT_2X26200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDELEFQRVLHLFPVVRTRDYNAESEMQRQLTSQASRRLRERMNSQIEGGSSEAVVGGIDGQDAFWQKLKIAAAKKVGNADAEGFVKAFQHVYRKLVFEELSLTAAQRFVNSS >cds-PLY66052.1 pep primary_assembly:Lsat_Salinas_v7:MU045213.1:88764:89506:1 gene:gene-LSAT_0X32861 transcript:rna-gnl|WGS:NBSK|LSAT_0X32861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYNQVSMADKKTDQVPPLVLVNRMSEEAVFPSGFILSTAKPISVPYRQKTKVPTDLRFTVPFGVSFQIVPIPDLAQKSISVSEGFIGPCTDAPITVTMHNYSGSHIELKAGDQIAQLKISDIIAPEFVDVTPRID >cds-PLY66627.1 pep primary_assembly:Lsat_Salinas_v7:3:64890185:64891156:1 gene:gene-LSAT_3X49820 transcript:rna-gnl|WGS:NBSK|LSAT_3X49820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKDLDTLENLKSLLGMDGFEGIESKYAGGFQVVIKFDRSSMAELSYQTRVCGKKMFNWLDYANKKDVRFERIAWLKITGVPLLAWDEDNFASISGQFGKVISQDNILFMCSDISFGKVGIITAHRKKINEEIVGSLNGKSFIIGIVELDEEWYPFKPFSPYRPVKSDDDKDDDDGYEEEDGISDTFEQPPEDLEDGEIDQNQPAQQYYGSPIAPIQNDTSPTMEVEETPREDNSKNSNALFNAFIQHMNNATECKLDKIPNEPVDKSISLGSSNDMDANVGQHKNGPDINADSYPLDSPNFASDGSRIKRRKINRSHSRF >cds-PLY97914.1 pep primary_assembly:Lsat_Salinas_v7:4:90797550:90798025:-1 gene:gene-LSAT_4X59680 transcript:rna-gnl|WGS:NBSK|LSAT_4X59680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQEKSHTSSLQQSSLLLTMETVFHEFGYAFLQHTLTRQDEGLVAGIHGIEWDAVELPFQFMENLCYRR >cds-PLY94477.1 pep primary_assembly:Lsat_Salinas_v7:2:158590803:158594254:1 gene:gene-LSAT_2X83340 transcript:rna-gnl|WGS:NBSK|LSAT_2X83340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNFRYVNKKFSNQYKATVGVDFLTKEVEFEDRLFTLQCKNEEEVVAVIAHELGHWKLNHTMYFFIAVQDFRVVLDKELGLILKLRDMMKGFRASSDTLMTSY >cds-PLY91794.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1450352:1450879:1 gene:gene-LSAT_0X561 transcript:rna-gnl|WGS:NBSK|LSAT_0X561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSAIKHVGDHHRRPSSARDLPAGCCNLLKGQGPVTIEHVLLVLKVTKDEKESRFWGLFNFFDTSKAGYLDFVQIGVGLSVMQILTDYKYVKELLRVCDANRDGRVDYQEFRRYMDDKKLELYRIFQAIDVKHNGCILSEELYDTLVKAGILPYLPPFSLLCNYFHFSVKFIIL >cds-PLY77202.1 pep primary_assembly:Lsat_Salinas_v7:8:26646988:26648881:1 gene:gene-LSAT_8X21281 transcript:rna-gnl|WGS:NBSK|LSAT_8X21281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ELP6 [Source:Projected from Arabidopsis thaliana (AT4G10090) UniProtKB/TrEMBL;Acc:A0A178V2M9] MNRPASLLDEALGFDDGESNSRQRRVGRVVLVEDCVETSGAFVLHHLIKRFLSPNHSSSDSVVIFVAFAQPFSHYDRILRKMGCNFAVQRENKRLIFFDMLMLECPDDDGVEGGLIALYGNIHKAVEANSINKNITIIIDDISLLEVAANGSTKDVLNFMHYCNTLTTQFGCTIITVIHEDIYSNGDEFTLPIQMEYLADITLKAEPLVTGLAADIHGQLTVLNKGGCEGLGRMKGKIRNFHYRVKENSVDYFYPGSRS >cds-PLY90490.1 pep primary_assembly:Lsat_Salinas_v7:1:205413761:205418320:-1 gene:gene-LSAT_1X126320 transcript:rna-gnl|WGS:NBSK|LSAT_1X126320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSRPSEELLDKDQGPSDNGIKTNLHKNKHGALSPPPKISDIDAFRETRSKAKRFSTPQPERVESKQDYKGKPLVRRSTMLDLRSPLICNPSLKRFNSSKLYGKRIRKRVPSWSKLFECVGGRVTSLEIDDSFLVDLSQLFLRFRFAHGAHSQIYRGVYKEDEVAVKIIKVRDEDENKKLGIRLENQFVREVALLSRLHHQNVVKFVAACKKPPVFFIITEYLSKGSLRGYLHNLQDKTIKDKEILSFEKIIKMALDIARGMEYVHSQGIIHRDLKPENILLTQDFQLKIADFGIGCEEGHCEVVVDDPGTYRWMAPEMIKKKPYNRKVDVYGFGLILWEMVAGALPYKDMTPIQAAFAVVHKKLRPSIPTTCPLALRTLIELCWSSNPQNRPNFSLVVKILQEFEISLTRDGNMDGLQCPNSSDQRKSHPDQRKSHPQLMQNHDSYHRHMNSPIPKPRFS >cds-PLY99651.1 pep primary_assembly:Lsat_Salinas_v7:6:81837910:81842426:-1 gene:gene-LSAT_6X58181 transcript:rna-gnl|WGS:NBSK|LSAT_6X58181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METNAEAPLLTSKNPTHNAHFTGDVEDIPPINDVKEFFLQFGIESKKLWYLAAPAIFTSICQYSLGAITQTFAGHVGTLDLAAVSIENSVIAGFCLGIMLGMGSALETLCGQAFGAGQVDMLGVYMQRSWVILFVTGLFLMLLYIFATPLLLFIGQTEDISQAAGQMALWMIPQLFAYAFNYPIAKFLQAQSKIMVMAYISAVALVLHTFFSWLLMLKLGWGLWGGALVLNLSWWFMVVAQMIYILSGTCGRAWSGFSWEAFSNLWSFVKLSLASAIMLCLEVWYFMALILFAGYIKNAEIAVDALSICMNILGWAVMASIGFNAAISVRVSNELGAAHPRTAKFSIVVVVISAFLVGVLFAIFLVIFRNQYPALFADSLQVQQAVYALTPLLAACLIINNIQPALSGVAIGAGWQAVIAYINIACYYIFGIPLGLTLGFAANWGVKGIWMGMLTGTVVQTVILVWICYRTNWDKEVFFATRVKQIENHLIVFFNVFFPNI >cds-PLY73576.1 pep primary_assembly:Lsat_Salinas_v7:4:320992248:320994253:1 gene:gene-LSAT_4X160220 transcript:rna-gnl|WGS:NBSK|LSAT_4X160220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTDQIIQSCLEASPLDAWSYNDQQAASHLLTAPEKSKPQCIFCIKYLIHLQAIVVYNLYYKQLSNKKVIFSLDTKFGQKEERAKLEPLLSTSNDRLKDLLFMDIGLDSTVTTTIERSFEELKNAKPEKIMYLVTLPLENLILSSDNNGDLVYWWKVNIFTEEMIRSGSTASLSSLVKRLDPILRSVAILGSWQVISPVEALGYIIVVDELLFV >cds-PLY74852.1 pep primary_assembly:Lsat_Salinas_v7:8:106035837:106036115:-1 gene:gene-LSAT_8X72361 transcript:rna-gnl|WGS:NBSK|LSAT_8X72361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERDYPQQDYTFYNGGSFTSASTAATFASVFSDVIRKSKLWDEVKEQDANVDELLAVLGYKVKSYDMVDIAKKIEHLESVLGNDDGLSQLAF >cds-PLY94843.1 pep primary_assembly:Lsat_Salinas_v7:2:176394558:176398773:1 gene:gene-LSAT_2X100301 transcript:rna-gnl|WGS:NBSK|LSAT_2X100301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDASSRQIDEGIIFMEGWLYLIRSNTIGFKYSRKLYYVLQNHRFMSFKSIPHFCNQDPIRSAVVDSSIHVVDNGRKYIRGKVFFVFTLCDASNYDAHMKLGANNPEDATRWINSFLELSHEKVNQNPGNFLGCHRSNYFGRMCDKNDVDEILSLASIMDPTTADIYEPSYWTIFGCHNGLRLFKQARYDQEDNHKRGSHPALAAVSVMEGTPEVIFQILMSLGSSRSQWDFCFQKGSVIETIDSHTDIIHKQLDGDWLPWDMKRRDLLLHRYWRKEENGTYSRVLFLVAVILYHSVIHNKCPPQKGFMRAFVKCGGYAISPVNQYKQSVVRHMLSIDWKFWRSYLQKSSGQCITIHMLGRLAALRELVKTNLSDYLFTEFTSQELKKDSLMQHHNEANSNELDLVKMDDHDHYSDEFFDFDDESECDYIITLPEDQTGNLAHSWSSPEPSLFPIRGETFLEDRKKITAESTLLKTVAVDWLKSDKREDHLAIRPGNIVQKYAAHGRPEFFIVINFQVPGSTHYNLASYFMASSPIKDIPLLENFIKGDDAFRNSRFKIIPNVSKGPWILRHAIRRPTLVGQILKINYIHGNNYLEANTRDELPEHVGGAFRLSHLDMCKAIWANHTTS >cds-PLY76380.1 pep primary_assembly:Lsat_Salinas_v7:8:86000298:86002136:-1 gene:gene-LSAT_8X61081 transcript:rna-gnl|WGS:NBSK|LSAT_8X61081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDETEFLNSSPTEKKGRNKFPLLRYEELPEYMKDNEYILNYYRAEWSLKHAFVSLFLCHNESLNIWTHVIGFAAFLVLTVANLTKFYQVADFINLSKWVFPSDTGTPPPLIDTPRWPLFVFLCGSMFCFVSSSLCHLFSCHSQHLNCLLSQLDYIGIAVMIITSFFPPIYYLFQCDTIWQYVYLTTISIIGVLAVIILLSPKRTRGKYRFVRTIIFVAMGLFGVIPMIHATIVNWNVPQRNITLGFESAMAFSYLIGAMFYATRVPEKWKPGWFDLVGHSHQIFHVFVVMGALAHYIVVIILYEYRRRNGCN >cds-PLY88626.1 pep primary_assembly:Lsat_Salinas_v7:5:139792827:139797913:-1 gene:gene-LSAT_5X62200 transcript:rna-gnl|WGS:NBSK|LSAT_5X62200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDNAEDMEVEVLSSMWPEDIDAAGKKFNLEKPGADQDLLEDVTFIEEPTIVDFQRLLELTNYSEKGSSQLSYLVKNWEWKQENAVRLLREELENLSKQQHEVELKKLEILEEHRFEDDSYGGDKRPISILDEVYEIIPDVPKRRNDVIYQDQRLEIDGEDDTDTVKYWKQRALNLEKLLELSVNREQILLEKLEESIEKLEKQSSPVEELSQILKRADNFLHFVLQNAPVVIGHQDKDLRYRFIYNHFPSLGEEDIIGKTDVEIFKGGGVKESQDFKREVLEKGIPGKREITFETELFGAKTFLIYVEPVFSKEGETIGVNYMGMEITDQVRRREKMAKLREEIAVQKARETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILSTTKLDKDQKQLLSVMLSSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVIKHVLQTAAASLKKMLILEGNVADDVPIEVIGDVLRIRQILTNLISNAVKFTHEGKVKINLYIIPDPCSESQQKSEIDMEESESHERVVWIRCDVEDSGIGIPETALPSLFKKYMQAGADTARKYGGTGLGLAICKQLVELMGGSLTVSSKEHCGSTFTFVLPHKIPHACESSDETDDFKDMDDNASTEDDETCGFFQFKPRTLGSLFSSNGSGRAHGLTGTELSFEKSNSENNSIKYDSFDHDRPFHDPCIQKNRPLNPDAPRLHSNGNSGGISGNVHEKTKSLRPKILLVEDHVVNVMVAKRMMRQLNQEMDVVNNGVEAVRAFQRCDYGLILMDVCMPVMDGLQATRLIRSYEKTGNWDEARLAGVELSGDHIPKEQRRRIPIIAMTANAMSESAEECFANGMDSFITKPVTLQNLKQCLEQYLP >cds-PLY65197.1 pep primary_assembly:Lsat_Salinas_v7:7:59581137:59581613:1 gene:gene-LSAT_7X45761 transcript:rna-gnl|WGS:NBSK|LSAT_7X45761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSYSFSSSLNRSSKKSTAHDTKTCDCGFPARILTSKTPKNPGRHFMVCNEGKCKYWKWLDVEPVEMPLMEVVEGMKVELIALKTEVEKVKEDMEQMKKEKCSDAIAMKEKIYKFTIGFLFLIIVYMMK >cds-PLY82468.1 pep primary_assembly:Lsat_Salinas_v7:2:188864732:188866515:-1 gene:gene-LSAT_2X110940 transcript:rna-gnl|WGS:NBSK|LSAT_2X110940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METFTIFPSWLLTTLLVLSLFCFFLYTVRSKRSPMVVPELPPNPPKLPIIGNLHQLLGKPRHQALWQLSQKYGPVFLLHIGSKPYLVISSSAMAKQVFKIQDHIFCSRPISQASKRLTYNYLDIAFSPYNNHWKKMRKLLVSEFLGPKRAVSFNHVLVAEIECMVRSISSHPSNTVVNLNKMFLASVKAVVCKVAFGKNYREEPLKGPSWEVMLDETMEILNGSLGDSFPWLGRLIDQFSGWNFKLEKCFSNIDAYIETIIDDHYNHITGEVNDDHDTDFVHTLLELSSIENPYDDRVTKGDIKALVMDVLTGGIDTTVVTMVWAMSEIIRSPRVMQKLQSEIRNCSRRKQGGHELDITKMSYLKMVVKETLRLHPPAPLLIPHESLSHCQIGGYSVLPGTCVLVNGWWIGRDPGTWGENAAEFYPERFENIDVDFGGGNFEMVPFGGGRRSCPAMNTVPATIESMMANLLYWFDWEVPDGVKNEDLNMLEEGSLVVRKKLPLCLVPKKHNWED >cds-PLY66361.1 pep primary_assembly:Lsat_Salinas_v7:5:289255497:289258153:1 gene:gene-LSAT_5X157921 transcript:rna-gnl|WGS:NBSK|LSAT_5X157921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENIRRASHAGSWYSSNSQTLEAELDGWLRECGLPKSPDVRGVIAPHAGYSYSGRAAAFAFGNIDPTNITRIFLLGPSHHHYTPKCALSRATIYKTPIGDLPIDLEVIEELKATGKFDMMDIEVDEAEHSMEMHLPYLAKVFRGHSVKVVPIMVGAVSTENEAMYGRLLSKYIDDPKNFFSVSSDFCHWGSRFNYMHYDKKHGAIHKSIEALDKMGMEIIETGDPDEFKHYLLETDNTICGRHPISVFLHMSKNCSTKIKIKFLRYEQSSHCKSMRDSSVSYASAVAKSE >cds-PLY81236.1 pep primary_assembly:Lsat_Salinas_v7:4:304574327:304575576:-1 gene:gene-LSAT_4X153841 transcript:rna-gnl|WGS:NBSK|LSAT_4X153841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSDNCSIRPCLQWIKSPESQANATVFLAKFYGRAGLMNLINAGPEHLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGNWQLCQTAVEAVLQGSPITQIASDTAETNKGPPFKAYDIRHISKDENSGAGSSELHRVRTRCRFKRSSAKGKASRVWIGSSDQEVSAQNEHNNEFSSHGSALSHQSEAAHVVEDDNREMVEESLVSVETPLMSNKQAETEAAELDVKVELELTLGYEPVDKSKVKEATIAAASNNSDAGVELRLDCPV >cds-PLY96670.1 pep primary_assembly:Lsat_Salinas_v7:7:43455133:43456093:1 gene:gene-LSAT_7X29921 transcript:rna-gnl|WGS:NBSK|LSAT_7X29921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTVWLTPWGGKGGNTWQFIIPDGARIIKISVRSGDILDSISLTYKDESGYIFSEKYGGNGGLLHNIELADNENLVGISGRFGTFQNITAITSFSFQTNIKTYGPFGINGGTDFSVGLAEGKFFGFYGRSGSYIDALGVILQP >cds-PLY70574.1 pep primary_assembly:Lsat_Salinas_v7:1:87959841:87961253:-1 gene:gene-LSAT_1X75941 transcript:rna-gnl|WGS:NBSK|LSAT_1X75941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIRSIAFGRYDEFKHPDTIKAGVAEFISTFIFVFAGTGSGLAFSKLTNDGPATPTGLMVAAIAHAFALFVAVSISANISGGHVNPAVTLGAFVGGNITFLRGLVYILGQVLGSIVACLLLEFVTNGMSVEEFTFDEGVGFWNAFVFEIVMTFGLVYTVYATAIDPKRGNLGTTAPIAIGFIVGANILAGGAFTGASMNPALSIGRVLVSWNITLPNHLVFWTAPLIGGAIAGFVYDFLFMNQTHDHLPTST >cds-PLY97864.1 pep primary_assembly:Lsat_Salinas_v7:2:215693392:215695230:1 gene:gene-LSAT_2X135621 transcript:rna-gnl|WGS:NBSK|LSAT_2X135621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSIDLYYQHRIDTRVPIEITIQICIPAFIRELGIGIVAYSPLGRGFLSLGPKMAENLAEGDFRQNLPRFLPENLENNKMLYERVSAIAVKKGCTPSQLALAWVHHQGKDVVRIPGTTKIENLQQNIGALSVKLTPQDMVELESSLGDGTNMYDFTYVENVAHAHVCAERALASDGSASKRAAGEVI >cds-PLY62805.1 pep primary_assembly:Lsat_Salinas_v7:4:30602512:30603144:-1 gene:gene-LSAT_4X16780 transcript:rna-gnl|WGS:NBSK|LSAT_4X16780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAQSQNSDRISALPQDTTEKILTLMPLRHAIRTSILSKKWRYCWTRIPKLVFDDNLVNLSSRSGNKELDKYKLVNAVFHILLLHRAPISELCINIANVGIDNEIDKIIVHLSWSKNIKKFILEITSIGEYYKLPCSFFSFQGWEHLDLSFCKIELPLMFNGFNMLKSLVFNEVKMTAKVLIKFLTNCPLLEEFTSVRSILPLNYEALLS >cds-PLY63965.1 pep primary_assembly:Lsat_Salinas_v7:3:172325810:172330584:1 gene:gene-LSAT_3X105480 transcript:rna-gnl|WGS:NBSK|LSAT_3X105480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQEISIGGLTTRKQGLLKDQVRLVKRKDCSRYEIGNIEDALSFEKGFFVVIRACQLLVQNTDGIVLVGLAGPSGAGKTAFSEKILNFMPNVAVISMDNYNDGTRVIDDNFDDPRLTDYDTLLKNIYDLKDGKSVEVPVYDFKSSSRKGYRTLEVPSSRIVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIYQISETVYPMYKAFIEPDLKMAHIKIVNKFNPFTGFQSPTYILKSSRNVSVDKVKSVMSDEQTDTLEETYDIYLLPPGEDPETCQSYLRMRNREGRYSLMFEEWVTDSPFVISPRITFEVSVRLLGGLMALGYTIASILKRSSHVFSDDRVCVKIDWLQQINRHYVQVQGRDRITVRCVAEQLGLEGSYIPRTYVELMQLEKLVNEVTVLPEELKTKLSIDDEILSSPKETIATTNNFNKRYAIESPRFDDKSTESTDTTTIQGAISHLSEQIFNLNDRMDEFTSRIDAQSSQFFRTFSGNLQNTESCNGVASTSCFTSIFTNGSPNESKVCHSTSSTHLAKDSPLIEEVRIIVPPSTSCLFATKEWNGPFLTSCDFFSQADSFHGGEVFFIPSWNGMISYN >cds-PLY77505.1 pep primary_assembly:Lsat_Salinas_v7:4:48358492:48358656:-1 gene:gene-LSAT_4X33720 transcript:rna-gnl|WGS:NBSK|LSAT_4X33720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVASKLCPGPAVALAFTPCLPYFDHKEERPSKACCKGYNGVQAGTKTKADRAAT >cds-PLY80745.1 pep primary_assembly:Lsat_Salinas_v7:8:138966727:138968518:-1 gene:gene-LSAT_8X104980 transcript:rna-gnl|WGS:NBSK|LSAT_8X104980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKEDKQKLQTAFDGQERDIKELKQTLTITKAGLQFMQMKFHEHCSFNFCCQLCKGILLFGPPGKTLVAKALENKAGANFINITGSTLTSKVWVVLKPGFLAKGIMWKQKHNNK >cds-PLY71522.1 pep primary_assembly:Lsat_Salinas_v7:7:30341646:30343110:1 gene:gene-LSAT_7X21961 transcript:rna-gnl|WGS:NBSK|LSAT_7X21961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLMKLGTLALRTLSKPIASRLKKEAAINPKFRTSIIGIAQANHRLTTTLQRRIYGHATDVEIRPLNEERAVQVAGDLIGELFVFTVACGALIFEVQRSARSEAKREEIRKQEIEIMRQRDEDIAKQMEFLMKKLEELEKAIKGKTLTSSQTNYVK >cds-PLY83588.1 pep primary_assembly:Lsat_Salinas_v7:5:18209408:18211049:1 gene:gene-LSAT_5X9120 transcript:rna-gnl|WGS:NBSK|LSAT_5X9120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVFEVDQPEHLLLVNEDEHGGFSGSEAKIEVKNLWRFSDKGVSILQNVSLDIPRGKIIGIIGPSGSGKSTLLRALNRLWEPASGTVFLDGKDITGLDVLQLRRKVGMLFQLPVLFEGTVADNIRYGPQLKGKKLSDQEVYKLLTFADLDSSFFNKHGNELSVGQAQRVALARTLANEPEVLLLDEPTSALDPISTQNIEDLMMKLKSSKGMTIVMVSHSIKQIQRIADVVCVLVGGEIVEVVNSDKLSEAKHPMATRFLQLSS >cds-PLY78047.1 pep primary_assembly:Lsat_Salinas_v7:4:183697962:183700344:1 gene:gene-LSAT_4X107541 transcript:rna-gnl|WGS:NBSK|LSAT_4X107541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVDVWLTAVIGMLPLVGCLLWWWNDIWYGLLVTTLRPSKGSKLPPGHMGLPFLGETLTFLWYFRFLRRPDDYINSKRQKYGDGIGMYKTYLFGRPSVITFLPETNKYVLRTTESFILKWANPDLVGKMSLVAVHGKAHLRIRSFVIRSINQPDALRRIAIAVQPRMISALQSWVKRDKITSYDEIKKVSFENICKYFASFEPGPTLDQLKEHLAGMLTGFRAYPLNIPGFTFHRALQSRRKAQAIFREELDKRRRKNDGRNDAMNDLLDGLMNLKDEEGNNLSDTEVLDNITGILLAGYESTVIVTMWAIYYLAKCPEDENMVLKKCKNEQLVTSDEILKLEYTMKVVDESIRLANVSTFIFRTTTQDIEYKGYTIPKGWNMVVWLRNVHVDPKNYNDPLCFNPDRWDGSILPENFQAFGGGPRICAGNMLARLQIGLFLHHMSTGYK >cds-PLY98504.1 pep primary_assembly:Lsat_Salinas_v7:7:127568372:127573445:1 gene:gene-LSAT_7X77500 transcript:rna-gnl|WGS:NBSK|LSAT_7X77500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPSDSQSRRTIIKLIVMASLIFGLYHMGNPFFAHDFKIPQKSKMELKDAEKCKNQCRPQGTDVLPTGILAKTSDLEMRPLWGSDPDNNNSSLPVSLLAIAAGIKQKYLVNKIITKFLENGFVVMVFHYDGIVDQWDDLQWSSRVIHISAANQTKWWFAKRFLHPDIVAEYDYIFLWDEDLDVEHFNPSRYISIVKEEKLDISQPALDPSKSEIHHQITVRRRNSKVHRRYYKFRGGGRCYGNSTGPPCLGWVEMMAPVFSKAAWRCSWYLIQNDLIHGWGIDFQLGYCAQGDRKQKVGVVDAEYIVHLGVPSLGGSNHASKMSITNHVTTKNSTLDTHNILLPSNSSDLPASDERSEVRRQSYNEMRVFWRRWDKAVENDKCWVDPYAN >cds-PLY88246.1 pep primary_assembly:Lsat_Salinas_v7:4:155080380:155085968:1 gene:gene-LSAT_4X95001 transcript:rna-gnl|WGS:NBSK|LSAT_4X95001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIMNQNEEQKLEHLKGQSRLPKFATPHRYHLALKPNLELCNFSGSVLVDLSILESTRFLVLNSIDLVITESSFTDSNKHQVVPSEVVVDNDAEMLVLVFEAPLGVGEGVLEINFTGILNEHMKGFYKGTYVDEGVRKNMAVTQFEPADARRCFPCWDEPALKASLSNMPVSKETINGDFKTICFEETPIMSTYLVAVVIGLFDYIEETTPDGVRVRAYCPVGKSEKGKLALSISIKALELYTKYFSMPYTLPKLDMVAVPDFSGGAMENYGLITFRETELLHDNLHSAAANIQRLSIVVTHEVGHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDVLFPEWRIWTQFLEVTAGGLRIDSLEQSHPVEVEVENANSVLEVFDAISYKKGSSLVRMLKEYLGDEIFQKSLSSYMKKYAFKNAKTEDLWSVLTEESGFEVNKLMDIWTKQTGYPVIYVRFENNTLEFVQTRFMSLGLQSEGQWIVPITLSLGSYSNHKKFLLETKVGKLDLSELYHSYYTSLNQNGNKNQEVDEKLWVKVNIGHTGFYRVKYDITLTARLRKAIQDKCLSPEDKFGILDDTYALCEAGEESILSLLSLMDLYRDDLDYLVLSRLISVCYNVAKILKDAIYDPWNHLNQFFIDLIISSAEKLGVEPVSGESHLNTMLREEVFMALATFGHKETHEELKKRFQTYMNDKNTSVFPVDIRKAAYISIMRTSSALERSDFDSLLKLYRETDAVQEKTRILSCIASSPESDIVTEVLNLMFSNQIREQDTIYVTARISLEARETSWIWLKDNWDVIVKRWGQGMVFHHFIRDIVTPFSSNEKAEEVEEFFGSRVSPSFSRNLKQSIEQIRIKAKWIEKVRKEESLIPKLARGLTRSPMLT >cds-PLY94615.1 pep primary_assembly:Lsat_Salinas_v7:1:159288635:159294487:1 gene:gene-LSAT_1X108760 transcript:rna-gnl|WGS:NBSK|LSAT_1X108760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 3 [Source:Projected from Arabidopsis thaliana (AT3G59550) UniProtKB/Swiss-Prot;Acc:Q9FQ19] MFYSHNLLARKGPLGTVWCAAHLQNKLKKSNYITVNIPSTVEQIMNPQVPIALRMSGHLLLGVVRIYSKKVEYLQHDYNVLRIDISKVYANADINLPEDANQAKFDSITLPDRFDLDLMDVDDYDPFGSPDTHLRRHEDITLSENRDQIPSGYIVISFGEDASSNPSLSGNDSQSRGMPVVESAIPETPPVRITTTGFQDPGPSHQGQSSDMFSNENDTASPEVIRDAAADAIHNNDYFHMSPPSHVSLPDRVEPDPELVNEIEISNQSALPTMDEADGLFVPPHQTPPLHSTSTHSESVNHNSDPPVLYEVAPTPPVEPEPEPELALVAKQPRAKRRKIKYDEAIVLTNEFMEKSLKDHSNLLRKRKGVACSSLDVGRVNNIRKKEKVLFEPVFTGLSDNLCKLFDGGYMSSRAHEIRIEEEAEMEIEHEHQPENVGSRAHQIHIEEEAEMEIEHQRENVGPGPNDVNMIPTYSPDHNNNTSFSSPPPMDEYTPAMTNTTDVGGSRSYPFQTTIGSTPDPTSSTGSFLSDVETPATFFQDHHGFDNTGALSDIPELDDADAGELAFIEEDGGSPMSLRGTPQSDYSSARQRSPPEIGSLQARTRAVAQYIKEKSSATPSSSTLQNAGSVSLNTILEGKRRKVCARMFYETLVLKSCDLVEVKQDEPYGDIILKVTSKLAKHQFSN >cds-PLY65841.1 pep primary_assembly:Lsat_Salinas_v7:1:192296159:192297781:-1 gene:gene-LSAT_1X126661 transcript:rna-gnl|WGS:NBSK|LSAT_1X126661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGMNLFGIFMLQIIVLSLFSLHAEGQGLKVGFYKKSCPQAEVIVSKVISDVMAVAPSLSGPLLRMHFHDCFIRGCDGSVLLDSPTNQSEKFSPPNLSLRGFNIIDRVKLALEKACPDVVSCADIVALVARDVTVATKGPFWEVETGRRDGNVSLFIDPITPVTGLPSFASNISVLKQSWALRGLNTKDLVVLSGGHTIGISHCSSFDSRLYNFTGEGDTDPTMDPNYIARLKLKCKPNDLTTFAELDPGSFKTFDDSYFKLVTKRRGLLQSDAALLDDPETRAYMIQATSEGSTFFKDFGVSMVSMGRIGVLTGSQGEIRKVCTKRN >cds-PLY69481.1 pep primary_assembly:Lsat_Salinas_v7:6:42813329:42813529:-1 gene:gene-LSAT_6X32880 transcript:rna-gnl|WGS:NBSK|LSAT_6X32880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGSQLLAGEKKRRLNMEQVKTLERNFELGNKLEPERKMQLARALGLQPRQIVIWFQNRRVRWKTK >cds-PLY83461.1 pep primary_assembly:Lsat_Salinas_v7:9:89487262:89490815:-1 gene:gene-LSAT_9X68460 transcript:rna-gnl|WGS:NBSK|LSAT_9X68460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSKWRKVKMALGSNLCVYVPPADADVSPPQSERCSDAALLSPASDQRSLGGSATAPRPWSPALRLSKSFSRSSKKCTICLTSMKRGEGQAIFTAECSHSFHFQCISSNVKHGNQICPICRAKWKEVPLQVSTGLNNPVMAVIRQFPPQHNSNRQVTAAVFPANEPPVFNDDEPLDLQSSTTTSNGSDSQRVKLETYTEVPAVPRFTTTDDFTVLIHLKAPVSTSINPGQTVVNRAPRAPVDLVTVLDISGSMAGTKLALLKRAMGFVIQNLGPADRLAVIAFSSTARRLFPLRKMSDSGKQHALQAVNSLAANGGTNIAEGLRKGRKVMEDRREKNPVASIILLSDGQDTYTVPVIASSNSNSNSGGQNRLNYQLLLPGVGTGIKIPVHTFGFGTDHDASLMHSISEISGGTFSFIETESVIQDAFAQCIGGLLSVVVKSLQLIIETENPGIRLKSLKAGSYKNHLMPDRRSGIIDVGDMYADEERDFLVSVNVPKEKELTSSLFKVRCYYTDPFTKETVKLETEDVTIKRPEKVGSENVVSIEVDRQRNRLQAAEAMVQAREAAEEGDLTRAVGALEGCRAVLSGTVSARSGDRLCMALDAELKEMQERMASRHVYEASGRAYILSGLSSHSWQRATARGDSTDGSSLVQSYQTPSMTQMLTRSQANLVRPVQPRPR >cds-PLY74146.1 pep primary_assembly:Lsat_Salinas_v7:9:11234841:11235191:1 gene:gene-LSAT_9X9221 transcript:rna-gnl|WGS:NBSK|LSAT_9X9221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLHCCTLPHPRYISSRCSSIFGTLNTSTIGSFSFTIDAMTNPGYHNLQTPSSKIIASHHPLGHLPSQSRSGGRESTLGLHIYSKMTNYTGMLLIFWFGELAIPFLEKVELLREKS >cds-PLY88007.1 pep primary_assembly:Lsat_Salinas_v7:MU040244.1:919292:921309:-1 gene:gene-LSAT_0X2140 transcript:rna-gnl|WGS:NBSK|LSAT_0X2140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLRSKSFVDISSSSKGTSSVKVLKANTASKVITSPTEDDDFVFAPVVGQVNVKKAEITYKYPPVLISTIYRSLNDNRKMQLRKYGISIAHEKSIENEVPIVLDIADKGKKVFEDNKKENRVRDNGKKFVQENIIDNEMRNDDSMHTSPFFPQFVIILDSSKSLEEFKEKVDFLF >cds-PLY83484.1 pep primary_assembly:Lsat_Salinas_v7:2:182731606:182736724:-1 gene:gene-LSAT_2X104981 transcript:rna-gnl|WGS:NBSK|LSAT_2X104981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRGLQRLVAGHRAHIGRRLEPYIDNAAARHTSRRLFMVSSSNNVSSRVTSSISRPFVLPAHASSGLGNFVGQKRSMFIQTQSTPNPLSLMFYPGKPVMEVGSADFPNARTAMNSPLAKALYGIDGITRVFFGSDFVTVTKSEDATWDFLKPEIFAAIMDFYSSGNPLFLDSATAASMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYIGFDPETGVVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKSVEQELDQEEDNNGELTGQLE >cds-PLY92159.1 pep primary_assembly:Lsat_Salinas_v7:8:5547610:5550034:1 gene:gene-LSAT_8X4420 transcript:rna-gnl|WGS:NBSK|LSAT_8X4420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVVEVFWEILKRPTLGEIVREMLMILGPVGIAFFLGVMIGWAWKPRWANLGNCEIDSLVSSSPPLSLMPSTLKNQDFGSKSNQSLQHPSSVNMDRNVVEGPTTLLLSSNPNCSSSIAKKDENRLLLTDEDLKHLWHLVEKKDGGPQWKHMMNRSTPSMQYQAWQRDPETGPPQYCSKTVYENATPELMRDFFWDDEFRFKWDDMLSHAAILEEFPNSGTSVVHWIRKFPFFCSDREYTIGRRIWELERSYYCVTKGVPIPWVPRKQKPRRVDLYYSSWFIQSVESKCEVTFFHHEDMGISWEIAKFGVRQGMWGAARKVERGFRLYQQERAFSKTISLHVVMDQMSTKIDEKYLASLESEEEEEEDDEVADMAETTTKVAEVVGMKVPKLLLFGGVVMVACSIDRGLFSKAVIFGVAKRFGSIGRQVGPQR >cds-PLY67949.1 pep primary_assembly:Lsat_Salinas_v7:3:217169307:217170248:1 gene:gene-LSAT_3X125341 transcript:rna-gnl|WGS:NBSK|LSAT_3X125341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKLFLSFSCIFFLATFLLPVLSHLHYPHLQPNGLLGNNTNPSPFGFLKSMQGCRKGEKVTGVRDLKVYLARFGYLNYQKNPNLPDLDEDHFDEELEAALKSYQAYYHLNATGTLDEPTVSKMVMPRCGFPDKETHHNHNTNNSLHTVSHYRFFPGRPKWPRAKKHLTYAFGSRFPTRFMPPIDRAFRKWATATRYFTFSRARSYRSADLKISFARGSHGDGNPFDGPGGVLAHAFAPTDGRLHYDADDRWAVGAVRNAYDVETLALHEIGHLLGLGHSQFQNAIMWATFRSGVTKGLSSDDVRGLRALYGF >cds-PLY82099.1 pep primary_assembly:Lsat_Salinas_v7:1:128354683:128356594:-1 gene:gene-LSAT_1X96481 transcript:rna-gnl|WGS:NBSK|LSAT_1X96481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSIQLLLVPSAPTICKSFSPIIVKSQARMRATRRQLLASHPSAIAPKREKDAKKRIVVTGMGLVSVFGSDVGTFYDSLLAGESGISLIDKFDASSFPTRFGGQIRGFNSKGYIDAKSDNRLDDCQRYCIVAGKKALEDAGLESHERSKVNYINAHATSTVVGDLAEVNALKKVFKSKEGIKMNATKSMIGHCMGAAGGLEAIATIKAIKTGWLHPTINQFNPEPAVEFDTVANEKQQHEINVAISSSFGIGGHNSVIAFSAFKA >cds-PLY83335.1 pep primary_assembly:Lsat_Salinas_v7:1:62531839:62532015:-1 gene:gene-LSAT_1X54640 transcript:rna-gnl|WGS:NBSK|LSAT_1X54640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLHYAADQTSTLMVAAADRVCLIDVNEKLLKTFQGVVASIREELYDLEAERRILF >cds-PLY62069.1 pep primary_assembly:Lsat_Salinas_v7:2:55776786:55777915:1 gene:gene-LSAT_2X25261 transcript:rna-gnl|WGS:NBSK|LSAT_2X25261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRNHHDDASSSKKMKTDDVDVGPWSYLNHDVLSLVMMQLRVIDFLAFSGVCKTWRSATVTHRERFMASQSPMLMYISTRGNNKDKECCLTDSQGQKFKTVLPRSVGRAFVGLTCGYLILFRAKTRDFWLVNPITRHELHFPSTPSNCVFIGIPKITAVLVNSPTNTIPTTHVLVMLNIVRNELWVSKAGEGSWNHVSLRIDINYLHVFKGKIYTLCSKPGSLKVRHLCELTLDPKPKLKLLKTKNFPKRAFIFPKLLSSGENLYVMESLSVDFYNVHKLDFEKMEWVRFQETLDEGAFFLSRFRHGAVVKPDLWVDLQSQPEGCNFFEEEGCGKFFTTNQWYFPHEC >cds-PLY98395.1 pep primary_assembly:Lsat_Salinas_v7:5:314797709:314798033:1 gene:gene-LSAT_5X171181 transcript:rna-gnl|WGS:NBSK|LSAT_5X171181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLLGEDVNTLKVIDSSLRLCVVPLSLGSMWLTLTNHQNNEMYGKIEFSNIKGLKFLVSISAISAGYAVVAVISS >cds-PLY73315.1 pep primary_assembly:Lsat_Salinas_v7:8:259983457:259985589:1 gene:gene-LSAT_8X150380 transcript:rna-gnl|WGS:NBSK|LSAT_8X150380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMMYYPLGRSSYEDSLKAIEADIQHANALAAAIPRSKDGARFQMKLVHDQLTPLIMFLLQWIDSSCTCLLPRYLNLFHVLVYKVYTDGRPKISRHGRKATVSDFYAVILPSLRRLHYDLMELDNTTKGESSSELVVSSQKKPEKDNEFTNIDLEREDECGICLEPCTKIVLPNCCHAMCINCYRDWNSRSASCPFCRGNIKRVKSRDLWVLTCNDEVIDADLVSKEDLVRFYLYINNLPKDSPDALFFMFYEYLI >cds-PLY82095.1 pep primary_assembly:Lsat_Salinas_v7:1:128285158:128287215:1 gene:gene-LSAT_1X96420 transcript:rna-gnl|WGS:NBSK|LSAT_1X96420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAILLLPVPSAPTIFKSSSPIIMKSQAPIRATRRQLLASHPSAIAPKQEKDAKKRIVVTGMGLVSVFGSDVGMFYDSLLAGESGISLIDKFDASSFPTRFGGQIRGFNSKGYIDAKSDNRLDDCQRYCIVAGKKALEDAGLGNQELSKVEKDRAGVVVGSALGGVTIFSDNVDSLMERGYKKITPFFIPYCISNMGPALLAKDLGFMGPNYSISAACATSNFCFCAAANHIREGKADLMIAGGVDAPIIPVELGGFVACRALSQRNDDPQTACRPWDKDRDGFVLSEGAGVLVMESLEHAMKRDAPILAEYLGGAVNCDAYNITNPRFDGLCVSSCIRSCLVDAGVSAEEVNYINAHATSTVVGDLAEVNALKKVFKSKEGIKMNATKSMIGHCMGAAGGLEAIATIKAIKTGWLHPTINQFNPEPAVEFDTVANEKQQHEINVAISSSFGIGGHNSVIAFSAFKA >cds-PLY65361.1 pep primary_assembly:Lsat_Salinas_v7:6:40151784:40153687:-1 gene:gene-LSAT_6X30540 transcript:rna-gnl|WGS:NBSK|LSAT_6X30540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIQITETLENSTSLPVTSTEITKTLEQLTPPDLPASSGIMQMMGREKRLEYAASFEICYQFRLLYYSGTQYPIMGALRMAYPYSNTTRRCYTILTRLPFFELHFGVLNSFQGSPSEDRNFRSDLDSLEIEESSFSSKEDNEHNEILDWAKMMLISNNLFTCAEIS >cds-PLY89038.1 pep primary_assembly:Lsat_Salinas_v7:9:28224378:28224761:1 gene:gene-LSAT_9X24780 transcript:rna-gnl|WGS:NBSK|LSAT_9X24780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSEGKPPTRLQKQAPATLQFNNELWNSSKTVIPLLSPLVVSPAPLPNATGQTQTQNSFLSPNNVNNNHEAKMNHEIAIGIIPQLQQSSGGASNSGWRHPAMAGQTPDASALYAYFQSQCVLLPRKR >cds-PLY77148.1 pep primary_assembly:Lsat_Salinas_v7:7:184088788:184091496:-1 gene:gene-LSAT_7X110000 transcript:rna-gnl|WGS:NBSK|LSAT_7X110000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSNEEILLERPRAPGLSLNLLTLSGSKGEEKATGDDLGQLSKGGAVLMEEVYFSMAPLINRFPFVATHLKRMIAKLNANDEKHTIKSWSRASMIIPEMVGHRIAIHNGKRHRPIQIKDAMVGHKLGEFALTRARKPQKPQNVKKPLKKGK >cds-PLY84900.1 pep primary_assembly:Lsat_Salinas_v7:6:14857520:14860600:1 gene:gene-LSAT_6X10820 transcript:rna-gnl|WGS:NBSK|LSAT_6X10820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVPVPTPGLHRKIQTSKVPLLMERTESNTECEHVINITTNSNEASSSSSTLNGSNLRQNEDLPSTNPQSPVLQHSLSTTNARNTSFARRGNGNGNGRRRSPLNSGYWISVELVITVSQIIAAIVVLSLSRDEHPHAPLFTWVIGYASGCVVTLPLLFWRFHNRNASTQEVSQARQNATPGNLSAIASSFNSSSNGRILEGDNRNTAVTSTRRLTMGALSARVKVLVEYFKMGLDCFFAVWFVVGNVWIFGGHSSSSEAPNLYRLCIVFLTFSCIGYAMPFILCTTICCCLPCIISVLGFREDLAQNRGATTESINSLPTYKFKKHKNDKENNSSSSSTSEGGIVAAGTEKERAISGEDAVCCICLAKYANDDELRELPCCHFFHVECVDKWLKINATCPLCKSEVGETILSSLTEATASLRRSTVY >cds-PLY67997.1 pep primary_assembly:Lsat_Salinas_v7:1:195768493:195777991:-1 gene:gene-LSAT_1X125481 transcript:rna-gnl|WGS:NBSK|LSAT_1X125481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISIFTVLAINSLYAALGFPPLLGWLLAGGDPCLEGWQGVQCVNSNITGIVLNDANLGGELGENLGAFASIIQIFLSGNQLKGTIPESLSTLGQLTDLSLNNNHLSGVIPDSFQQLIALSNLDLSRNNLSGPLPPSMVNLSSISTLHLEDNYLTGVLDVLQDLPLIDLDIENNLFSGPIPPKLLSIPNFRNKGNPFNTTTIPSPPLSSPSPSSPFPPQTPETSSGIEALGPSSPKLPHDSGQQKSIFSNKVVWVAISGFLIIVLLALGFCIRMSKRHKEKSSIKVSDHIKSSSNPPHLKENEAQRNDNGRNNLLPISNVKEKKKETISKNPTPHQLLSRESVIVKSLVIPTNTNGNTSRNINSPKSFSIASLQQYTNSFSQENLIGRGVLGPVYKAQLPNGKVFVVRKLENISLRQWSDEDFMGMVSNVSKLQNENIVGLEGYCVEHGQRLFVYEYCKNGTLHEALHLDDEIHEKLSWNARVHLALQAAKALEYLHEVCQPIVAHKNFKSTNILLDEELNVRVSDCGLAPLLPSGHISKLQASGYGAPELESGTYTYQSDVYSFGIVMLELLTGRKAHDRTKPRGEQFLVRWAVPRLHDIEALSRMVDPSLDATYTSKSLSRFADIISLCVQAEPEFRPPMSEIVQNLLQMTKMNH >cds-PLY62673.1 pep primary_assembly:Lsat_Salinas_v7:7:100932154:100941228:-1 gene:gene-LSAT_7X65741 transcript:rna-gnl|WGS:NBSK|LSAT_7X65741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-d [Source:Projected from Arabidopsis thaliana (AT5G26240) UniProtKB/Swiss-Prot;Acc:P92943] MLANHLQNGVETAKLIWSRLPNAEDASDAELDEDGVLRNDGFDGVESLDYEVIENYAYRQEQARRGKLYVWYYVGVKWFFALLIGIGTGFAAVLINISVENFAGWKYSWTFTIIQRSYVAGFIVYTSINLALVFSSVYIITQFAPAAAGSGIPEIKGYLNGIDTRGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLSTRWLQVFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAVVAVVVRTAMGWCKTGNCGHFGSGGFIIWDLSDGQEDYSFAELLPMAIIGVIGGLLGALFNQLTLYITHWRRNYLHKKGNRVKIIEACLISVITSVISFGLPLFRKCSACPDSDASIECPRAPGMYGNYVNFFCTKENEYNDLATIFFNTQDDAIRNLFSAKTIHEYSAQSLLTFLVMFYGLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVRLYEKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEEQARLRGIPLLESRPKNLMRKMTAKEACGNQKVCYFTRVVKVADVAAILRTNSHNGFPVVDQSRSGETMVIGLILRSHLLVLLQSKADFQHSPLAVDMRSQSIPIRHSLSDFVKPVSSKGLSISDIHLSPDDLEMYIDLAPFVNPSPYVVPEDMSLTKVYNLFRQLGLRHIFVVPRASRVIGMITRKDLIFEDNDDSGSVELQSTSVRGRHRGGNRVRTRNPDVGHPLLNGLLE >cds-PLY85896.1 pep primary_assembly:Lsat_Salinas_v7:2:190085746:190085979:1 gene:gene-LSAT_2X112080 transcript:rna-gnl|WGS:NBSK|LSAT_2X112080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQPSPTQLRDLDGNLVRSCTEYYILPVIRGTGGDLIPDSTRNVSCPLDVVQANHEVNNGMPLTFTPVNPKKGVIRE >cds-PLY79030.1 pep primary_assembly:Lsat_Salinas_v7:3:10294465:10294843:1 gene:gene-LSAT_3X6900 transcript:rna-gnl|WGS:NBSK|LSAT_3X6900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLKKRAFEVIDNGADDADFDDKYGAVFKPLKKSFLKYLKEIGHVKANEMADKSITPVRLTMXVILKKKLLNGNVVFQKKVVLKKKFWKS >cds-PLY66343.1 pep primary_assembly:Lsat_Salinas_v7:5:288545555:288546169:1 gene:gene-LSAT_5X153521 transcript:rna-gnl|WGS:NBSK|LSAT_5X153521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARYSILFLLLLPIICKTHGHSSEIEHHVVVPVPVPVPVDDYSYRVLEGGRRMLGPFQVCLMCRCCVMASDPTTCTNMPCCFGIDCQLPNKPFGVCAFVPKTCNCTTCASP >cds-PLY93937.1 pep primary_assembly:Lsat_Salinas_v7:6:6676898:6677655:-1 gene:gene-LSAT_6X4360 transcript:rna-gnl|WGS:NBSK|LSAT_6X4360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDDDQEKPLSPAPEIRHLPTATVDEASSTTSNKQSQGFRKCTIYCGIITAVVLIIAVVLLVLGLTVLHVKNPQITMNSATIIGFDRVNSTDLLTGKANLTVVADVSVKNNNVAAFKFKRSNSSLLYHQTVIGVADVPGGVAKARRTMRLNLTFEVAVAEITGNQQFGSDLSTGILPVESYTKIKGRVKILNIIKKKATVTMNCSFAVNITSRGIVGQNCKRHVSF >cds-PLY71665.1 pep primary_assembly:Lsat_Salinas_v7:5:128355194:128358912:-1 gene:gene-LSAT_5X56541 transcript:rna-gnl|WGS:NBSK|LSAT_5X56541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGFHLDTKWEDITCPICLSYPHNSVLLQCTSYNKGCRPFVCDTDHLHSNCLHRFRIATGTLTESTGELTESTGTLTNKPSCPLCRGDVTGWVVIKEARVKLDEKKRCCEDEKCTFSGTYTELREHAQTEHPHACPSKVDPARQQDWENFQQSSEIIDVLSTIHSEVPHGVVLGDYVIEYGDNESGDEYEDFPGDDGNWWTSCILYQVFDNFRSSRNRRRGRVSRENRGMSYDTSNSDEGSVVSMEIQEYRVDEGDDEFVSSSSLSRGRTGHRRFPRGKRKLTGWKTLKKKACNLRRHLICAM >cds-PLY73311.1 pep primary_assembly:Lsat_Salinas_v7:7:194605015:194608357:1 gene:gene-LSAT_7X113720 transcript:rna-gnl|WGS:NBSK|LSAT_7X113720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWNLRCLVYLSLLSLVAAQGSTNTTSPWLTLTGAAPLVVAHGGFSGLFPSSSFNAYTLAVITSVPDVILWCDVQLTKDKAGICFPSLNLQNSSTIVSAFDKGENTYNVNGVPVKGWFPIDFTLDDLGKVFLTQGIYSRSPVFDGSSSILTVNDVADQIQPPGLWLNIQHDAFYKQHNLSMTSFVTNFMRNTSAIVSHISSPEVNFLTSIAPSFRRSTTTSLVFCFLGKSETEPTTNQTYGSLLRNLTFIGTFASAIVVPKSYIWPVGTDLYLQPATTLVQDAHKAGLQVFASNFVNDVQLPYNYSYDPVQEYLSFVDNGDFAVDGVLSDNPITPSAAFDCLSHMGKNESQKVKALIISYEGASGEYPGCTDMAYEKAVSDGADIIDCPVQMTSDGIPICLGSINLLDRTSVANSLFNKPLVIPQLSGAGIFTFQLKWEDIQTLQPYLASGQGMSVTDAVMGVLNTSSYNNQRRKKILIQSSDSAVLRLFKARSNRHELVYEVDENIRDALNSTISDISEIANSVIIGKESVFPRNAGFLGGQTDVVAKLQAFKLPVYVQFMNNEFVSQPWDFFSDPYVEINSYVNGVSVNVNGVITDYPATVAKYTRNRCLGLAANMTPAYMAPASPGQLFSVMTPLLIPPAEAPSPILTDADVAEGPIPPARIPSPPSGGGSTGPPTASPSGEPPKAAVGVVFSFLAVIVAATVLVF >cds-PLY91433.1 pep primary_assembly:Lsat_Salinas_v7:7:133248861:133249415:-1 gene:gene-LSAT_7X80400 transcript:rna-gnl|WGS:NBSK|LSAT_7X80400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNTDGEASGKKHPSFTVLSLDPIYYQDNMTVTIKGLELELVKILTIFTSINISSNHFSSEIPDTIRRLNALYMFNVSHNDFTGLIPPSIGNLSQLESLDMSWNKLTGDIPSTLTNLSFLSSFNLSYNQLEGRIPTGSQFQTFQDTSYKGNIGLCGSPWMNTNKDVDMFVFSSLISASFCIWHR >cds-PLY64150.1 pep primary_assembly:Lsat_Salinas_v7:1:857869:860841:1 gene:gene-LSAT_1X620 transcript:rna-gnl|WGS:NBSK|LSAT_1X620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSFDWWRKETHRGTPVVVKMENPNNWSMVELEGPSEDDFLNDGVSEGRGRNKNAKQLTWVLLLKAHRAAGCISSIAPATFFLASAVRRRLSSGRTDTEKTVRTSTRFYSCIKLFLWVSILLLGFEVAAYYKGWHFSAPDLHLQYLYTLTNPFAFKGFFDSIYSRWVLFRVEYLAPPLQFLANACIYLFLIQSLDRLVLCLGCFWIRFKKIKPVPKQTLPDLESGDNDGFFPMVLVQIPMCNEREVYQQSIGAVCNLKWPKSKILIQVLDDSDDPTTQLMIKEEVDKWKAEGANIVYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVAIFDADFQPTPDFLRKTVAHFKDNEELGLVQARWSFVNKDENLLTRLQHVNLAFHFEVEQQVNGIFFNFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLHGWKMIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIKSKISIWKKANMIFLFFLLRKLILPFYSFTLFCVILPMTMFVPEATLPAWVVCYIPATMSFLNILPSPKSFPFLVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLLSLNPNANANGVSESESKKQHLNRIYTKELMLAFLLLTASARSLLSAQGIHFYFLLFQGLSFLLVGFDLIGHQIAT >cds-PLY97492.1 pep primary_assembly:Lsat_Salinas_v7:1:193873649:193875836:-1 gene:gene-LSAT_1X124901 transcript:rna-gnl|WGS:NBSK|LSAT_1X124901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGSITQPKELGHEVSYPSRDVAVLDFSYMFGTKLVETKLRLPAVINKFFQPIPVSTEELREAVQNFFLKDLGYFKPQSHDLQMRQGPVHHQVGVIGNPMSQNMFNDMKRMHGGNLSHLGHWQSSMHKDQMLSTMAYVKPQPLDQISDQQQQQHKPRQQGQSLFFQGQIELGGIAGSSKDYSFEMMSSSRPAFSTPMSKPKPMSITTQTERQNAEEEEQLFSGSKEENRVLEASRKSKGHSRRRRKVDFAENSTPEEISRN >cds-PLY80465.1 pep primary_assembly:Lsat_Salinas_v7:2:137672805:137677499:-1 gene:gene-LSAT_2X66660 transcript:rna-gnl|WGS:NBSK|LSAT_2X66660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYDYLEKTVDEADNRNGSSSKAKDGGAEQSEKGYRRRDREEGDEEDDRIVEEDRKSKKSKGEEENGSRRDRERDREERSSRSERHRSSGRDSERDVDRERDRRRSSRDGERDRDRERSSRDREKDKERDRERSSRDKDKDREKEKKDRERDREKREREREKERERSRRSRSHSRLEREREKELLREKERELESRESRRLKDKKEAEPEADPERDQRTVFAYQMPLKATEYDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGHLLLGQPVMVKPSEAEKNLVQSNATAGGTGGIAGPYGAVDRKLYVGNLHFNMTELQLKQIFEAFGPVELVQLPTDPETGHCKGFGFIQFAQLEHSKAAQSLNGKLEIAGRTIKVSSVTDHVVAQDSGAKAADFDDDDGGGLALNAQSRAMLMAKLDRSGIASSVVPGALGVGANLVNGSGPIQAINGPSTTVPVPALAQIVSEPVGNPSECLLLKNMFDPSTEVEPDFDLDIKDDVEDECCNYGRVKHIHVDKHSAGYVYVRFESVEAASRAQQAMHKRWFARRLISAIFLQPYEYDAKFKSAA >cds-PLY69128.1 pep primary_assembly:Lsat_Salinas_v7:5:287140166:287143068:1 gene:gene-LSAT_5X152080 transcript:rna-gnl|WGS:NBSK|LSAT_5X152080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQLTALIENKPFKSSKNYFQFPKNAPNETSDTLELDFSDTFGPLPLPAGNSEIPSDDPVVIYSRSHSLVGPTPCVSHLLNLRKLTICETDESLEDFSDVIDKEIEEEEEENGCKVKTIGLEDFEVMKVVGQGAFGKVYQVRKRDSLEIYAMKVVRKDKIVEKNHAEYMKAERDILTKIDHPFIVQLRYSFQTKYRLYLVLDFVNGGHLFFQLYHHGLFREDLARIYAAEIVSAVSHLHANGIMHRDLKPENILLDVDGHALLTDFGLAKEFDENARSNSLCGTVEYMSPEIILGKGHDKAADWWSVGILLFEMLTGQPPFHGGNRDKVQKKIVKDKMKLPAFLSSEAHALLKGLLQKDPSKRLGNGVTGSDEIKNHKWFKPINWKKLDAREIQPSFRPEVSGNQCIANFDKRWTDMPLLDSPASSPNGSSDLFQGFTYVKPAASFLHRQSPAC >cds-PLY67498.1 pep primary_assembly:Lsat_Salinas_v7:6:68697869:68698666:-1 gene:gene-LSAT_6X50141 transcript:rna-gnl|WGS:NBSK|LSAT_6X50141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKNHLNGTIPSCLSNLRSMIQGGKTYENVHFMLTSDHVVLNEYVDQAMIEWQGDEREFFRNLGLLRSIDLSSNNLTGQIPYAITNLYELISLNLSKNSLLGEIPLTIGQMKQLETLDLSRNNLSGEMPSSMSEMCLLNDLDVSFNNLSGRIPTSTQLQSFEPSRYNGNRRLCGPPLSKKCPGDEESEATSVNGKSEGDGEDIDEVWGWFYIGGATGFVTGFWIACGALLLNRQEGHVFFHFYDGFSDWVYVKVVVFIVNLQRVS >cds-PLY80063.1 pep primary_assembly:Lsat_Salinas_v7:4:348383704:348384087:-1 gene:gene-LSAT_4X172541 transcript:rna-gnl|WGS:NBSK|LSAT_4X172541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDIWEWVSHPYPEEHQVVPRTPEREINYDLTMSLLSTPMSAIEEPFKDEEELCEEEQHGNEIDGVPADSSPYLDSSFHHDCEETHDEDPTDSESSLAIVAPLPSSSLSIHAPRRSIISTTIKSIPI >cds-PLY80573.1 pep primary_assembly:Lsat_Salinas_v7:6:13652045:13653518:1 gene:gene-LSAT_6X11800 transcript:rna-gnl|WGS:NBSK|LSAT_6X11800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVASGNQNIWLTGHSLGSAMAMLAGKNMVKNGIFLESHLFNPPFVSVPIDNIKNKKVKHGLRIASSFVTVGLAVAVKIKNNNQQRNIPAKDQFVDLAAWVPRLYVNPGDHICSEYIGYFRHRRKMEKMGVGMVERLASQHSIGGAFHGCNWEGIT >cds-PLY79661.1 pep primary_assembly:Lsat_Salinas_v7:5:252783661:252786449:1 gene:gene-LSAT_5X127001 transcript:rna-gnl|WGS:NBSK|LSAT_5X127001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGWHVSRKKVLFFSEIELNRCLRPTKWFMDRCRKRFTGAIVVVLLLDLVLSLDEMDSIQEDFFKRHCNYHIVVEEIWMKLDFIWAPYATNLTHVITDFKRNNTYPDVLVMSSSLWHMLHFTNYSDYGVSLRFLRESLIPFLPVGSDSTRGFHLFWLGMPTLINRMLNTKEKRIRALEDRIRSVNLSKHIMPTHPIPIVPSRSPSASPKPTSLKVNIWPPDHHRKMK >cds-PLY79439.1 pep primary_assembly:Lsat_Salinas_v7:3:79032817:79035890:-1 gene:gene-LSAT_3X60340 transcript:rna-gnl|WGS:NBSK|LSAT_3X60340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQIMSSSNFLLFSLTLLIITVLLPIPNFASASLEEANNLLKWKASLEITNKSSLSSWMPLPMNSSASVPCTSWFGVVCNADGSIQKLNLTSSGLRGTLQEISFFLLQNLTRFDLRVNSFFGPIPPQIRLLSKLIYLDFSQNQFSGEIPPEIGSMVSLEFLYLYSNNLSSSIPSSLGALTSLNVLSLYQNQLSGSIRSSIGNMSNLYRLNLAHNKLSGPIPIDLGKLKSLTRLLVSNNTLSGSIPSSIANLSNLHFLFLDMNKLSGPIPIELGNLKALTNFQVSENVLSGSIPSSLENLSDLQCMFLQKNNFSGLIPFELGNLKSLTELALSNNQLSGSIPLSLANMSNLQSLYLDGNNLSGPIPIELGNFKSLNDLDVSQNKLSGSIPPEFANSTQLQRLDLSFNNLVGEIPKEFGKMISMLNLYLSNNQLSGTIPLELGSFRELLELDLSTNRLNGSIPVSIGQWAQIHYLNLSNNKLSGKIPSDISKLVHLTEFDLSRNLLTEEIPSEVQSLQDLKILDLSHNMLSGSIPNAFTNLPGGIDIDLSYNELTGAVPQSPNFVNASIQGNPGLCGNATGLQLCASKILKKKNDPFHYRLMLIILLPLMGVVLLGLFTYGLIAYRQQKKKSPQKPLEEESIDYFSITGFDGKIVYADILKATNDFDEGYCIGVGGYGAVYKAELKPNNVVAVKKLHSSSENVDSNGFHNEIRALTNIRHRNIVKLYGYCSHARHSILIYEYLENGSLGSILKSDVLAKELDWLKRVNIIKAITNGLAYMHHDCSPPIIHRDISIANILLDSDYEAHISDFGTSKLLKLDSSNWTTIAGTYGYIAPELAYTMVATEKCDVYSFGVVALEVVMGKHPGELITSLPTLSDDHLVQANLRDSRIPPPSQVEEQVALVLTLSRACLNSNPVERPTMLQVSNLLMKA >cds-PLY80797.1 pep primary_assembly:Lsat_Salinas_v7:1:70478996:70483256:1 gene:gene-LSAT_1X58860 transcript:rna-gnl|WGS:NBSK|LSAT_1X58860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVAGQSPPPPSPRQSTPSSPSTLTAKDAESLFRTKPISEIRNVEAATRKQIQDKSEELRQLVGNRYRDLIDSADSIVNMKSSCHSISGNISAVHDGILHSLSSTTINPNSASSNPARARIYGIACRVKYIVDTPENIWGCLDESMFVGAAARYMRAKLVHHGLTSNDDNKSVLLKFPLLQHQWQIVESFKTQISQRSRERLLLDQAVGLEISAYADALAAVSVIDELNPAQVLKLLLDSRKSCVSQKLGSCKVASEDVIMVFCEVLKVIQVSVAHVGELFLQVLSDMPLFYKTVLGSPPVSQLFGGIPNPDEEVRLWKSFRDKLESIMVMLDRDFISKACLDFLRSCGKEIVNKVNGRYLIDAIDNGQQLSAAEKLIRETMEGKDVLAGSLEWLKTVFGSEIEMPWSRTRELVLGNDDDLWDEIFEEAFASRMKAIILSGFDEMNKIVNVKESILTISGDQVDFQAFLNRSPLGGGVWFMEPTSKRGGLVTSPKASMVGNDYQNCLNAYFGDEVGRIRMAVDNHCKNVLEDLLSFLESPKASLRLNKLAPYLQSKCFESISAILTELKHELEYLYATLGNTNTNSSPPSSSSSSSSPSPAIIVERSLFIGRLLFAFQKYSKNIPVILGSPRQWLNESRVSVSPLLRYSSGRFDSFTSENHGKKILTSPRRQTSLTASALFGVDDTSSPQLSELKKTIQDLCIKAHNLWITWVSDELSSLLSQSLRNDESLSATTPLRGWEDTVVKQEESSEHPSEMKISLPSMPSLYITSYLFQASEEIHRVGGHVLDKPILQNFASKLLEKVIDIYMNFLSNNESTTNTTRVSEKGVLQILLDLRFTADILSGGDLTGNETLKTSKTKTAYRRKQDVIQQTKTVMKDRLDELANRLSQKLDPIDWLTYEPYLMENEKQCYLRHAVLFGFFVQLNRMYTDTMQKLPTNSESNIMRCSTIPRFKYLPISAPVLSKGTSKSPISTSSIDNSVTSSSNSWRNYTQNEHMNMDHDTTSLGVATPFLKSFMQVGSRFGESTLKLGSMLTEGQVGRFGDILPAQAAGLLSSFTAGRSD >cds-PLY61989.1 pep primary_assembly:Lsat_Salinas_v7:5:89407657:89410744:-1 gene:gene-LSAT_5X42681 transcript:rna-gnl|WGS:NBSK|LSAT_5X42681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVLCSYSSSDTDLNICKETVIRSTVNFHRSIWGDRFLTYNEREDLAWEEQQAKELREEVRKQLVVNTASNEQMQHVKLIQLIDVVQRLGVAYHFEEEIEETLNHMFVTYGDHWIDDSNLQSTSVWFRLLRQQGFNVSSGIFKKYMDSKGNFMESVRKDVQGMLSLYEAAYMRVEGEEVLDEALSFTTFQLENIANDHLCKDASLKIQIEEALEQPLRKRLPRLEALRYIPIYQKEASHNEALLKFSMLDFNLLQSLHKKELSQISKWWKNLDLQNKLPYVRDRLVEGYFWILAVYFEPQYSDARIFLMKTCNLVIILDDTYDNYGTYEELEIFTQAVQRWSASCIDTLPEYMKIIYQELLDVYKEAEEVLEPKGKAYHSYYTKEMVKEYTRNLLIEAKWAKEGYIPTVEEHMSVTMVTCAYGMIIAKCYVHGDDLVTEDTFKWVSTYPPLVKASCLILRLMDDIATHEEEQERNHVASSIECYMKQYGVSEEQTREIFSIQVEDSWKVINQESLRPTDIPRPLLMPPINLARVCDVLYKHGDDYNHAGKEMIHIIESLLANSMSV >cds-PLY72520.1 pep primary_assembly:Lsat_Salinas_v7:2:142845031:142845381:1 gene:gene-LSAT_2X70700 transcript:rna-gnl|WGS:NBSK|LSAT_2X70700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDVFESIKLSPVLASNDFQYPNGNWWYDGGRDDDYEVHSEEDLNSPISSPTYSHQSQDGEYEKLRSCETIPVLKEQYKNENPNGRQKGVDPSHVSQSGSPPLLQHEIGLGLGQLR >cds-PLY81043.1 pep primary_assembly:Lsat_Salinas_v7:6:132316238:132319300:1 gene:gene-LSAT_6X80041 transcript:rna-gnl|WGS:NBSK|LSAT_6X80041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSYAASISRPPSSPSPNLRTLFPSGIRSRASSPISHTNRFLRFGVKCSYADAGIKDTNSRTIDVEADIKAERIVVLGGSGFVGSAICKAAVSRGIEVISLSRSGRPTSLNSWEDQVTWITGDVFYVNWDEVLPGATAVISTLGGFGSEEQMQRINGEANIISVTAAKEYGIPKFILISVHDYNIPSFLLTSGYFTGKRKAESEVLSKYPSSGVILRPGFIYGKRKVGNYEIPLDLIGEPVERLLNATASFTKPLNSLPASDLILAPPVSVDDVALAAVNAAKDDDCFGVFTINQIKEAASGAKV >cds-PLY68701.1 pep primary_assembly:Lsat_Salinas_v7:7:85181349:85184398:1 gene:gene-LSAT_7X58260 transcript:rna-gnl|WGS:NBSK|LSAT_7X58260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLNSEGVGSVGSGCRILPLPPPETVVMTNHGGRIEAERIYETAGATKFMRIIEEECNSSSSSSIGKNSDDDDDGDQESDAQSPYRYDHQNKNEISNGSLDDAIQALEEALPIRRGISTFYNGKSKSFTSLTEMWPSSKPSIHEIAKPDNAYTRKRRNLVASSILSHKNKNHTAFQLSGINMGRISKKTKSSTLRFTSENEDLELNKKNMSSIRSFSMVNLHRCGSRFIENC >cds-PLY92214.1 pep primary_assembly:Lsat_Salinas_v7:6:74700480:74703089:-1 gene:gene-LSAT_6X54541 transcript:rna-gnl|WGS:NBSK|LSAT_6X54541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHPCVFIIFSLLFSCLETTTANQLAAVGGGDDDNGAVNRCFEKEKDALLHFKSLLQDPSDCLSMWTAEQDNCCEWSGVTCDSKTGHVTELDLMLCGLVGEISHSLVNLTYLNYLDLSGNSFHGTIPAIIGSLTQLRSLYLGYNNLNGTIPRSIGSLTQLRGLSLSYNSLYGAIPPEFGNLTNLEGLYLSYVGMCRLENLEWLSPLSHLEGLAMDGISLAKTNHWVDVILSLPKLSLLSLDGCELSQVIYPYSSSFLNSSSSSIEVLYLENNSLTSSMYRWLFPLTSNSLIYLDLSGNMLDGIPKYLGNLCSLVSLRFDRNSAVVTFPDFLNNLSGCTSLSLQLLVASNNQFTGSLPDEIQKFTSLTYLFLSTNQLKGTISKKLWELPNLKYLDLSKNSLHGFPSSDYMSNHSHIESIQLSSCKLGPHFPKWIQKLKNLTSLDIANNGISDTIPVEFWGSWPSRLTFLNLSSNNISGKVPDLSSIFYNNSVIDLSSNSFDGLITNVSSTVALLNLSRNKFSGGISFLCEVIHGFLVILDLSHNYLSGQIPNCLWHFKELKVLNLEHNNLSGRLPASVGSMIKLEALDLYKNDFSEEFPLSVKNCTSLKSLNLGANKFSGNLPVWIGESLSGLYVLMLRSNNFSGSIPLQLCQLASLQILDLSVNHFHGTIPSCLSNLTIMVQQGFPQVQNLERGVSVYSYNVDHVMIQWQGVEREFVRSNMVLLRSIDLSSNNLTGEIPYQITNLDELIALNLSKNALYGKIPWNISEMKNLLTLDLSRNKFLGEIPSTMSQMTLLSYLDVSCNNLSGRIPSSTQLQSFDPSRYKGNLGLCGPPLTKKCPLDEESEIQHNIGESEGEGEGVDELHVLDFG >cds-PLY88564.1 pep primary_assembly:Lsat_Salinas_v7:7:8726882:8727965:1 gene:gene-LSAT_7X6381 transcript:rna-gnl|WGS:NBSK|LSAT_7X6381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSEYQSSNASDPYKHLNVTLNPDGTLTRHISFPSSPAEPQLTTDSQLTLSKDIPLNPTTATFLRLYRPVSPPTQKLPIIIYFHPGGFVVVSATAGPMHLICSEISAQTPALVINVEYRLGPEHRLPAAYDDGVDTIRWVRDQALGTGLDGSEEWLTEFADFSRVYLMGSSAGGNLIYNAGLRVLDLDLDPVTIMGLIIDQAFFGGIERTEAELRLVNDHVLPLTASDLLWSLALPLGADRDHEYCNPLADRHVSYKEKIGRLPNSLIRVNGEDPMADRQKAFANMLESHGVHVTRKFYDEGNHCVEMFDPKKAQIFYNDVKNFVCS >cds-PLY99467.1 pep primary_assembly:Lsat_Salinas_v7:5:333150609:333152943:-1 gene:gene-LSAT_5X186261 transcript:rna-gnl|WGS:NBSK|LSAT_5X186261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNEGVTFGDYTMGGCIEYVGIASLSFATVITKDLYNFGGETTVPKLGEFSQFLCISGI >cds-PLY68156.1 pep primary_assembly:Lsat_Salinas_v7:7:70504655:70507299:1 gene:gene-LSAT_7X50861 transcript:rna-gnl|WGS:NBSK|LSAT_7X50861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18b [Source:Projected from Arabidopsis thaliana (AT4G30510) UniProtKB/Swiss-Prot;Acc:Q8H1Q8] MAASSSASNPILCASFNQDNSCFVIGTKDGFRIFDSNSGRLLYERVIGAFVIVEMLFSSSLLAIVGAGEQASLSPRRLCLFNTQTGTALRELNFLTSILSVCVNRKRLIVVLQGKTFIYDINSLVILDTIDTVPNSKGLCAFSPCLDGCFLALPASSTKGSVLVYNVIDLHSHCEIDAHKSPLAAIVFSSNGMYIATASEQGTLIRVHSVSEATKSYSFRRGTYPSTIFSLSFGPCIGLPDVLVASSSSGSIHAFFLGMAIDQRSKRSSSFLGSIIPNSVGDALDATHHHILHNAVPPGVKSYVVVRKVDKVADVSESKSKSTTFRATVSIITYNGYFLEYFLDINHLNESCWTLDREFNLVTAISDSESSATSS >cds-PLY98927.1 pep primary_assembly:Lsat_Salinas_v7:7:51528938:51534162:1 gene:gene-LSAT_7X37420 transcript:rna-gnl|WGS:NBSK|LSAT_7X37420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIDTIKPYSTTVLRFSFLITTFLFCSSATSTAALKIARPLRKLTHPVVILVSVDGFRFGYQFKTPTPNIHRLIKKGTEAETGLIPVYPTLTFPNHYSIATGLYPAYHGIILNRFTDPTTGDNFTTRSREPKWWLGEPIWETIANQGLKAATYFWPGSDVKKGSWDCPVNFCPPYNASVPFEERVDTFLHYFDLPNEEIPVFMALYLEDPDSQGHLVGPDDPQITEAVSNIDGLIGRLINGLEKRGVFEDVTIIMVGDHGMVGTCDQKLIFLDDLASWVKIPEEWVKFYSPVLSIRPPSNQSPSEIVAKMNQGLSSGKVKNGDKLKVYLKEDLPARLHYWESDRITPIIGLVDTTGKRAKTLSPPPPYTLLLSDYRISCQLRSLHKRNPDSIIVYFFPTPILWLSPKYIPPLIASSSSLIKLRAASVVERQSYTACVIKLRAAGVVERESTTSRSPPLKASGSSSSPIQNRVLRSLEKHSWSMKSKFASNFHQWHLKPTHLCKPSVITVAHFSYLISRPTAVNLSDVATKLKKIVTNSVATATDANQVFLAYIEAAEVMLEDDVASNKAISLATFGFGTALGVIRALHVDEVLERAYCTETRPFNQGSRLTAYELVHDKIPATLIANSAAAALMKTRSIHYVIVGAYRVTANGDTANKIGTYSLALSAKHHGVQFYVAAPLTSVDLSLSSGNEIVIEERLPKELLNTCGGMGEQVATSEICIWNPAFDVTPTNLISGIITEKLLVREDALAEFKTNLLQQNLKIIQSLLLLQLPGVQEKLKKQIKEVELAQSKEVSLHWPGMAESVKVIGGIYVAFSHLGEIRTLGMLHSRFKWVTSAFCEHLVPMQKEEPKRYHGDYHTRCYE >cds-PLY90013.1 pep primary_assembly:Lsat_Salinas_v7:3:84311692:84312988:1 gene:gene-LSAT_3X64621 transcript:rna-gnl|WGS:NBSK|LSAT_3X64621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYISHPIKHLHQKMKYLQYFELCVSFVNVFLYISVVGFETIDTTLGFVSKPLNESNFIIQKPYDVPLEQRYSYSHGVHKLWVIKTDKPHSETSNTNPRSEIRIQGYDYSSGVWQFEAYGYVPCGTTGVSIMQVFGSAPPNATTTMLRVYNSSLYYYKDPMIIRDLYNKWFRFNVIHDVEQNNVKVYVDGVLKYEGCGHGGTSHYFKCGVYTQDHASFYMESRWKNIRVLEKYY >cds-PLY84630.1 pep primary_assembly:Lsat_Salinas_v7:1:31373926:31377204:1 gene:gene-LSAT_1X28321 transcript:rna-gnl|WGS:NBSK|LSAT_1X28321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVIPSNPLSSAGESSLDVATNAGSTDWLGHGQGQGSKLGSLSRIGSQLMWISLSASACGSVLGSSQPSCRPWERGDLLRRLSTFQPANWFGKPKAASSLACARRGWVNVDIDKIECESCGATLKYIAPDSWTPIEDLGEESANQLDEGHKVICPWRGNSCAESLVCIPTTLSSGVALTRVLFRSQINHLESTKVLDKCLRLHGFESAAPCDTSCKLRYDDEVGIGNNSLVSYTLSDHTL >cds-PLY79949.1 pep primary_assembly:Lsat_Salinas_v7:3:109008423:109009103:-1 gene:gene-LSAT_3X79561 transcript:rna-gnl|WGS:NBSK|LSAT_3X79561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPKNSINQIDNHIDDDGDQNSFPSHRHQIITYSSKRKHSQSQVITPIDSTQVNPLFESSVLGLVLLAHTFSYDIQNLTESLLLKILTRFPLKSIFRFKCVCKHWLDLIFLPSFAHFYCSRMLTASASSSSLSFRILYRYIYVSKFTDVLDGFRPEIYNSSKFSVLRSFKKKISRILNPRFRELAENEEDELCTPSVRRNA >cds-PLY67683.1 pep primary_assembly:Lsat_Salinas_v7:4:1314453:1315224:1 gene:gene-LSAT_4X2201 transcript:rna-gnl|WGS:NBSK|LSAT_4X2201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDLSFLGLHNSLGLKMNKGLKNLCTGDTSTSTLKQQKSPPMAAGNSQQQATLEEMIMRLDLEEKMAARAKLQEEDGDGQQHRMSCVNSSDILRCARNALNQYPRFSLDGKDAMYRSSFRNPTSVAVDLRSCLPAKVAGERVIWCTPGAVGRLMGLDAMPIPVRLNHRINSNLRRRCFHHRLASSSTAGYCVMKPLRDQPGWPMRRFI >cds-PLY70004.1 pep primary_assembly:Lsat_Salinas_v7:8:146293044:146293690:1 gene:gene-LSAT_8X98841 transcript:rna-gnl|WGS:NBSK|LSAT_8X98841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAETGTYCWMAPEARKKKHYNQKVDAYSFAIVLWDLLHNRLPFEGMSNLQAAYAAAFKNVRPSLEDLPEDLAMILSSCWMEDPDARPNFSQIIQMLLHYFSTILPSKPILPSCLFVNENVPVSPESPGTSALMAVCNFTGDTPREMEHNKPKGFFFLF >cds-PLY80487.1 pep primary_assembly:Lsat_Salinas_v7:2:136063674:136071081:1 gene:gene-LSAT_2X65200 transcript:rna-gnl|WGS:NBSK|LSAT_2X65200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANTPFLLESTFNLNPLLQQTFHFPPLRSPLIKFSSGDNNAFKSNYTPYKMIRHYPKIRTKRFFIIPKPKGENGIGGNSIREVNDLKASGVNEVIWRSIKNVVKALQKPVTTGVLLGLLLLYDHHHGCVALAASGGVGPAFGVRAAVGHDLGFCAIMMIFAVLAYRILTINNKISVLKLQVGLSDTGKALQTDLNRIAQIKDHNLVFQIYNTYDREDLSYILQETTLALLQHPEYCISGYSSASTSPITIIVAAKGGHKLPPINSSAELKEALQKLATIPLNSVEAVAVFLTPQNENDTFMEQKFLENYPLLHPL >cds-PLY70376.1 pep primary_assembly:Lsat_Salinas_v7:4:99723987:99724973:-1 gene:gene-LSAT_4X65080 transcript:rna-gnl|WGS:NBSK|LSAT_4X65080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAQQFFDLFDSYWFAHQIFNKTLIPPPKSNKNAESIAITTQTHDFPAVPTVNVRSYSDMVFMDAKNQSFISDSPNSVLNLQTPKLETIFSGMDMKEIQELPVREKDRVSGRRRKRKSKSKSLSELEFEEVKGFMDLGFVFSEKDKDSSLVSIIPGLQRLGKYEKEEVDGSIVVASRPYLSEAWDDMKKKREEEALRLIRIPPLGNEVEIKHQLRFWAHSVASVVR >cds-PLY79315.1 pep primary_assembly:Lsat_Salinas_v7:4:698807:701088:1 gene:gene-LSAT_4X1681 transcript:rna-gnl|WGS:NBSK|LSAT_4X1681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive leucine-rich repeat receptor-like protein kinase CORYNE [Source:Projected from Arabidopsis thaliana (AT5G13290) UniProtKB/Swiss-Prot;Acc:Q9LYU7] MEKKSSGYIIFVKLVFVLLLYCVECHGATIKRIPAAPPASERSPEFRGKLQRVMLSILLGSITGLVCALVCACLVRCVFIYMKRVPILKGPVVFSPEISPKTLQSALANENESQVLGSSPNGKYYMTVLDNGFRIAVKKVEPFVIGSGSPEAHRRIQRELEILANLRHRHLMMLRAYLCESVRFCLIYDYIPTGSLEDAMKRARENELQLGWDARLRIAVGIIKGLQYLHFTCTPRILHYNLKPSNVMLDADFEPRLGDCGLARIMHTFDGRSSAYNAPESWPNFSIYTEKSDIFSFGVILGILLTGKDPSDPLFGEAATSTGSGDMGMWFRQLLENGDDAREALDKSLLGEEMEEDEMLMAVRIAAVCLSDMPADRPSSDELVPMLTQLHSF >cds-PLY98270.1 pep primary_assembly:Lsat_Salinas_v7:7:169280812:169281153:1 gene:gene-LSAT_7X101141 transcript:rna-gnl|WGS:NBSK|LSAT_7X101141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPGEKEMNTLLRNEASSLFFFKAPIRSRSPLLTGSRLISLPLATQMFQFAKFEKSKERRLATELGYGFPIGDPWITNGISPWPFASESVIPSQCPGIHPMHSFRSCTHGVVH >cds-PLY94499.1 pep primary_assembly:Lsat_Salinas_v7:2:157841576:157843730:1 gene:gene-LSAT_2X80301 transcript:rna-gnl|WGS:NBSK|LSAT_2X80301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSCLLSADVAQGHSGDCGGDGGPPRCHLDSVSTSCATCLIWISSSPASPSNEGSVTLKNISLSRASLALLKEGFLLELLFLKTIKDGNQA >cds-PLY89987.1 pep primary_assembly:Lsat_Salinas_v7:8:208906114:208908134:1 gene:gene-LSAT_8X131761 transcript:rna-gnl|WGS:NBSK|LSAT_8X131761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAARQAAAFLRLSSPRSASQAASLIQRRGLAGAADPHGTPKVDFWKDPMSPSKWKEEHKPVAA >cds-PLY82937.1 pep primary_assembly:Lsat_Salinas_v7:1:20068248:20072581:1 gene:gene-LSAT_1X17041 transcript:rna-gnl|WGS:NBSK|LSAT_1X17041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTNFHHQQQQEHHQPLVDSSCYGLAWYQNPILNGTRISTNSRDVKQHINHSIDLVPPPTVQDLGLPLSTMESFMAHELQHLARIKEEVSLSRSYPGLSEMIISSSPTSSIEDLHLHPSPTYMSKNDHQRIRYDNDNNQEIFLKTFSNGCQIKSDHQHMNQIPFSEESVSYSDDTNSCYRGTFSQILPTINISSLNQSSSTPPLAISSSSFDINLPALDPFRSPTFDGSFRYQPSSLNIHNLGGLLKDNCLSYGLDQMHQPNHSQAVCHSKVSPPFIIETTEAKRSASNYMDAKAIKATPPKKSKLELRPSCAPLKVRKEKLGDRISALQQMVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMNSTQKATRTSTRGGSIKNGNEEMKRDLRSRGLCLVPLSCLSYVTYGGGNIWATP >cds-PLY74374.1 pep primary_assembly:Lsat_Salinas_v7:6:153965413:153965889:-1 gene:gene-LSAT_6X93181 transcript:rna-gnl|WGS:NBSK|LSAT_6X93181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSAIDNPVDKEEVVVVKIEPPAEVHRGTFIFTIAFVLKCILSIVTLLERFGRLWMYYYPRLFGEIMLPSPLKFIIIGLATFAEMKSQGSEFPFKTHPRSMNVAVTSLLFYGLASAVQHFISACTRLGPASVSAIVAHSGRIGSLCILVATVASLFYL >cds-PLY73799.1 pep primary_assembly:Lsat_Salinas_v7:7:69904798:69906162:1 gene:gene-LSAT_7X50320 transcript:rna-gnl|WGS:NBSK|LSAT_7X50320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATTQSPQPSLSLPWKTRIALSLHFTVTNAFLRKNGTINRRLLNLIDFRNPPTLQPINGVASHDVVVDKARNLWFRVYVPTELAGEELPVIVYFHGGGFVFLSPDALPYDTMCRRLATKVPAVIVSVNYRLAPEHRYPSQHDDCFDVLKFLDDEENKSKSLPENANLLRCFIVGDSAGGNIAHHVAQRACEFNFQRFKVIGVVAIQPFFGGEERTDSEIRLAGTPLVSIERNDWVWKAFLPEGEGLNRDHPIINVSGPKAVNISELKLPPVMLVVGGCDALQDWQKRYYEWLKKSGKEVYLFEYPNMCHAFYISPELSESVQLITQVKDFIQKISSNDATKFTN >cds-PLY92048.1 pep primary_assembly:Lsat_Salinas_v7:5:323155437:323156817:1 gene:gene-LSAT_5X177840 transcript:rna-gnl|WGS:NBSK|LSAT_5X177840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLMKAVCYDSYGGGSAGLKHVEIPVPVPGNLEILMKIEASSINPFDWTIQKGRMRPILPRKFPFIPVSDVAGEVVEVGPGVKKFKVGDKIVSTLGTGGGLAEYAVAKESSTVPRPQEVSAVDGASLVIAGCTALHALTVTAGLNLVKTEPLSNVLVTAASGGVGHYAIQLAKLGNTHVTATCGARNIEFVKNLGADEVLNYKTPEGSSLKSPSGKKYDVVIHCTTGISWSTFEPNLSRKGKVVDLTPGGRAFWTYAMNKITFSKKQLQPLIVVPKVEEIECLLNYVKDGKLKTVIDSRYPLSRVKEAWAKSIEGHATGKLVVEPIYSWIFI >cds-PLY70645.1 pep primary_assembly:Lsat_Salinas_v7:5:71207007:71210498:1 gene:gene-LSAT_5X33281 transcript:rna-gnl|WGS:NBSK|LSAT_5X33281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSADRRLYMLSRHLAGAIGNEGSGISSSPTSAGNSVFANVVRAPEDPILGVTVAYNKDPSPIKLNLGVGAYRTEEGKPLVLNVVRKAEQLLVNDRSRVKEYLPIIGLADFNKLSAKLILGSDSPAIQGNRVTTVQCLSGTGSLRVGAEFLSRHYYQRTIYIPNPTWGNHTKIFTLAGLTVKTYRYYDPVTRGLNIQGLLEDLSSAPSGAIVLLHACAHNPTGVDPTLEQWEQIRQLIRSKSLLPFFDSAYQGFASGSLDKDAQSVRMFVGDGGECFIAQSYAKNMGLYGERVGALSIVCRSADVASKVESQLKLVIRPMYSSPPIHGASIVATILKDRKLYDEWTLELKAMADRIITMRKQLFDALSARGTPGDWSHIIKQIGMFTFTGLNTKQVTFMTKEFHIYMTSDGRISMAGLSSRTVPHLADAIHAAVTTVG >cds-PLY70577.1 pep primary_assembly:Lsat_Salinas_v7:1:89661960:89664754:1 gene:gene-LSAT_1X74601 transcript:rna-gnl|WGS:NBSK|LSAT_1X74601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRITPVNLQSEGYRSFGFPQTANLRSPKFAMASTLGSSTPKIETKKPFTPPREVHVQVTHSMPPQKIEIFKSIEGWAEQNILTHLKPVEKCWQAQDFLPDPASEGFEEQVKELRARAREIPDEYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASLTPWAVWTRAWTAEENRHGDLLHTYLYLSGRVDMRQIQKTIQYLIGSGMDPRTENSPYLGFIYTSFQERATFVSHGNTARHAKEHGDVKLAQICGTIASDEKRHETAYTKIVEKLFEIDPDGTVLAFADMMRKKISMPAHLMYDGQDDNLFDHFSAVAQRLGVYTAKDYADILEFLVGRWKVADLMGLSGEGRKAQDYVCGLPPRIRRLEERAQGRAKEGPKMKFSWIFDREVKL >cds-PLY92926.1 pep primary_assembly:Lsat_Salinas_v7:3:116012566:116014107:-1 gene:gene-LSAT_3X82260 transcript:rna-gnl|WGS:NBSK|LSAT_3X82260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPITATGLEVRKGSWTAKEDMLLKKCIEKYGEGKWHLIPLRAGLSRCRKSCRLRWLNYLRPNIKRGNFGEDEVDLILRLHKLLGNRWSLIAGRIPGRTANDVKNYWNTNLNPRSKQPKKESSDVKPLQHMITTTVIKPKPLAFSNTQNECMGDNPHIMANGGSSLIRTSNDGDNNMNISIGVTSSLSILDDKSNEYLDDLFDDIQKEDGKFGWLFGGSPISEQELNVVEQEDGQNQLFEFPMDDVAWKLITDSNRM >cds-PLY90206.1 pep primary_assembly:Lsat_Salinas_v7:9:20404860:20405144:-1 gene:gene-LSAT_9X19080 transcript:rna-gnl|WGS:NBSK|LSAT_9X19080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNSLKDIEESFVGCLETHSDLKDESIQILKAQESGIAYILGHSYAKAKKSSSIFAKFAIDVVYSFLSRNCRGTDVVLCVPHTLLLEVGMINYV >cds-PLY79342.1 pep primary_assembly:Lsat_Salinas_v7:9:54465606:54468058:1 gene:gene-LSAT_9X49421 transcript:rna-gnl|WGS:NBSK|LSAT_9X49421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYILGAFKPSCNISVTLNDVKTRKQVPLKKENGQISMVPLFQSQESIAGVISVDPVQGKKVEHNGIKIELLGQIEIYFDRGNFYDFTSLVRELDVPGEIYERKTFPFEFSSVEMPYETYNGVNVRLRYVLKVTISRGYSNITEYQDFVVRNYSPPPSINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKLKNMDLEIRRRESTGSGANTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTHKNINNKFSVKYYLNLVLVDEEDRRYFKQQEITIYRTAETAS >cds-PLY92323.1 pep primary_assembly:Lsat_Salinas_v7:9:180009653:180012065:-1 gene:gene-LSAT_9X110840 transcript:rna-gnl|WGS:NBSK|LSAT_9X110840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLLLQMSSDIVCSSGIPPTSILVICEEVFKHHIVLCSNIINRLLMTEASAGSLASIVIATVAIRTLLAPITINQHKILGPELEQIQQKMEDKSMSPTAVAEVEAQKKRVYMEYSAAMYTQFTRLFIQAPVFVRFFLAIENMVEKVSSFQTGGAYWFIDLTIIDAFYILPILAAISCWITVEFTMQEGKCGGVWKNIARGGADLTLPHTTYTGSILIAVNPFTKLPYLMSFSRRQGAIQTR >cds-PLY63198.1 pep primary_assembly:Lsat_Salinas_v7:6:89304007:89305819:1 gene:gene-LSAT_6X60821 transcript:rna-gnl|WGS:NBSK|LSAT_6X60821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVLLRSPKPRILENVFTQRFCLHRPLSTTVVPSHHNDHQRNHQYLSQNDYLNSWKPPRDPKEAQAKLSLLRRDYAMKVKAVRKEYIQEMELQRLEKQRKDEIKKEALRIEGEERKAAKAAAKKAKAAERQVAEEEFRQTLLKERAQKLEYHKMRENKFMEKKKEKSELVRRQSSMWVDEGELESKILEVIVSTSL >cds-PLY89835.1 pep primary_assembly:Lsat_Salinas_v7:4:323003885:323006935:-1 gene:gene-LSAT_0X27841 transcript:rna-gnl|WGS:NBSK|LSAT_0X27841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGGSNSNSLIPVFVDENLFQYPSNTSNQLQLFGNVACNVDQVNYSARDQNSPVFRPNKRPRETEANNLMQKKLQISLNHSFYNEDINPPSTIPNPHHVSTGLKLSYDDEERNSSITSASASMTLAPSIMSSFGDSITTELDRHKDEFERYIMIQEENMLKGMRDIRQRHMASFLAAIEKGVANKVREKEHEIQTINRKNKELVERIKHVANEAQNWHYRAKYNESMVNILKTNLHQALAQGNDNQIKEGFGDTDLENDNDAVSSMDPKNHTTTTCKACRTKEVSVLVMPCRHLSLCKDCDSFTSVCPVCQVVKTVSVEVYLS >cds-PLY66780.1 pep primary_assembly:Lsat_Salinas_v7:3:65570777:65571535:-1 gene:gene-LSAT_3X51340 transcript:rna-gnl|WGS:NBSK|LSAT_3X51340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSQRLNVVPTVTMLAVVKARLTGATRGHALLKKKSDALTVQFRQILKKIVNTKESMGTVMKSSSFALTEAKYVAGENIKHIVLENVQTATVRVRSRQENVAGVKLPKFEYFTEGEMTKNDLTGLARGGQQIQGSRVAYLKAIEVLVELASLQTSFLTLDVAIKTTNRRVNALENVVKPRLENTVTYIKGELDELEREDFFRLKKIQGYKKREIEKKEEAAKKIVVSSRRGGTLSSASSWSKRKDEDIIF >cds-PLY85657.1 pep primary_assembly:Lsat_Salinas_v7:6:85027492:85040055:1 gene:gene-LSAT_6X59340 transcript:rna-gnl|WGS:NBSK|LSAT_6X59340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQSHAQAVKSLNKGAGRRRFVFKTFSQRIEEIDVDVFRSLDPLKPEPYEGSSFFRDCLVEWRELNTAEDFISFYEEMLPLVQTLPQIILQKELILSQLLSRLDMKGRLSLEPILRLIAALSRDILEDFIPFLPSVADSMVLLLSSGADRESEIIEQVFTSWSCIMMYLQKYLMRDVVHVLKVTTKMRFYPKDYIQEFMAEAASFLLRNAPIEQLNRGIKKIISEVVSKPLEARKSGASALLFYVMKGFSSKLHSRAEKVIRLLLHSEIIESGDKDSGGSNPVVEVLITVFQRLCEELQSSELKLLLQCEKEEIYKSISSGRSLHLTHLLSLFVSTMENDNVHKIIEFEPVLELAKLLIETFILLKKDESSELIDKILQLLICILDGLHTGNHVTALTELSTQWAPIFEMRNKSFLSFIKKLLSKDPSILQSFRTSIISVLTDLISTMEDEAMYMFIKFFEKLQSLKSCLLEEKPREEISRLCIFLEEQISCWIGKINDAAHKDPSCVEFSGTNLGQMWGTATCYSYMEDFQANPTLLMDFVEAIDRLLMVNSETIGELPRRTWETLIGAGLVSYQKLGFSQLPPVDKFLYFAKKYKSSIHILSAVADFLDSFDASTTLADGKYHPELEKGKTVDAFDIFSENLCHSDKRIRLFTLRILCHYESLTSESMMIDDVQSENVLHSLLAIEATSLSIATSRKVILFITKIQMDLSAERIHNAYIPLVFNAIIGIYHNQFNYLWNPAMECFAVLVSKYNKLVWDLCMKYLEKSVSNIISHQEHSRDNTESPENPDDLFTSFNAFVGPSFDDLASPTVLSLLIKSLQLVSAVVESKSRQVIPLFLNFLGYEADDLSSVRSYNLEASKGKSWKGVLEEWLNLLKSLHNPKSFYRSQFLKEVLQYRLLDENEPELQLKVLDCLLNWKDEYLLPYGQHLRNLVNPKTLRDELTRWSLSRESELVNEKHRDSLVPLVIRILVPKVRKLKTLASRKAASMHHRKAVIGFLAELDINELPLFFALLIKPLQTGSSGVDEVDELLWSTPKASANFDSSGVLKHFTMDNIKSLSSKKIYGFLHVTEEILGVFDESRIKPVLDTLMGSVVRILASCAGSMEGIECVDEEESGAEKQSMTSLAPKHFKDLRSLCLKIISLVLNKFENHDFSLEFWDIFFSALKPLIDGFKQEGASSDKPSSLFVCFVAMSRSPKLVSLFHRAKNLVPDIFSILTITTASEAIISCVLRFIENLLNLEIEMESSDTDVKGILLPNIDTLICSLHHLFTSKTTNRKSLKYPGGRELNIFKLLPDYIKDPSLGKKFVDILLPSLTKKHHDWDSCLETLRVIQKMVPMLGNEGSSRILKTISPLLIHATTEVRLAICDILDALSESDPSVLNVAKLLREFNAVSPMELDVLDYDVIIGAYEKINIEFFCNAQADQSLAILSHCVHDMSSQDLLLRDSAYRLLLMFLDFCEKILNGELETNMGKWSEASIQNIVNYFFLKYMGEAMDKETSVKKVWMDLLREMVLKLPNVFNLESYQVLRSEDAEKDFFNNIVHLQKHRRARALSRLSGAVQSSHLSDVVTNKVLVPLLFNMLLEVKDGKGEHLRNSCIEALSSVSGSMEWKQYYALLNRCFRELKLKQDKQKLFLRLICAILDHYHFTADEDHHSDIQSCLQENVLPKIQKLLTFDTDKVNVNISLVALKLLKLLPGNILELQLPTILHRVSNFLKDRLDSVRDEARSALSACLKELGLEYLQFMVNILRSTLKRGFELHVLGYTLNFILSKSLSGPVSGKLDYCLEEILSVAENDILGDVSEEKDVEQIASKMKETRKKKSFETLKLIAQNVTFKTHALKLMSPVTRHLQKQLTPKLKSKLETMLQHIASGIECNPTVNHKDILIFVYGLIDDGLKGNSNADVSSTKSTRGGPQCSHLITIFALGILHNRIKKMKLKENEEEVLSLLDPFVGLLCSCLSSKYEDITSTAIRCLSLVVKIPLPSLHSQADNIKTALFVILHGSVNASSPLAESCMRLLTVLLKSTSITLSSDQMHMLLQFPLFVDLERNPSFVALSLLKAIVNRKVVAPEIYDLAKQVAELMVTSQVEPVRKKCSQIFLQFLLDYSLSQKRLQQHLDFLLSNLRYEHSTGREAVLEMLHAIIMKFPPQVVNEQSQTLFLQLVVCLVNDSEKKVRLMTGAAIKLLIGRVTPQSLHSILEYTLSWYSGEKQGLWSAAAQVLGLLVEVMKKGFVKHIDVIFPVMRHIFPSAVNILKSDDNHQDPSTVPLWKEAYYSLVLFEKILVQFPELCLGKDLEDIWEIICELLLHPHIWLRNISNRLIAFYFTTLTEANRKNNEKWIGDFFLMRPSRLFLLSVSFCSQLKASLTDDSANNYLRHNIVFAILGLHSILGQQKEAQVFWSTLQQKDEEILIKAFMILDPRKGRSMFASIASGLDGCSDVEKCELLCYLLISSLLKKMGKIALDMEEIQMRIVFDSFRQISPKILKNMEILEQSDKKDGGTYAYQVLLPLYKICEGFAGKVISDEVKQFAQEVRDSIRDAIGVQNFVQVYSMARKNLKAKRDKRRQSEKVMAVVNPMRNAKRKLRIAAKHKENKKRKIMTMKFGRWTQ >cds-PLY70808.1 pep primary_assembly:Lsat_Salinas_v7:4:58761060:58762621:1 gene:gene-LSAT_4X39320 transcript:rna-gnl|WGS:NBSK|LSAT_4X39320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKENGEIEIEISECSFISAMTLTLKSDENIPEKYILPPLQRPNPRLMDHPSTNLPLIDLSLLKDPLFRSQTINQIHTACNKLGFFQVVNHGIPISVMQDALDIAKEFFHLPSKEKMQFASANVREPVRYGTSMNHGTDKMFFWRDFIKHYANPISEWIQLWPSNPPTYREKMGSYAKAVHILQKQLMAIVLENLGLNANYLHDEIEKGSQAITVNCYPPCPKPDLTLGIPPHSDYGTLTILNQNQQGLQIMDKDRKWHSVPFIQGALTVQLGDQIEVMSNGRYKSTFHRATVNNKRNRLSIASLHSLPIEKKVGPAPQLVDEQYPIAYREGSFGEFLDHISKKCLSETRYIDTLRIL >cds-PLY66204.1 pep primary_assembly:Lsat_Salinas_v7:2:168683833:168687255:-1 gene:gene-LSAT_2X90941 transcript:rna-gnl|WGS:NBSK|LSAT_2X90941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQRLLNPWVLHLQKLGLELKCPLCLQLFNRPVLLPCNHIFCNLCMPKAVETGSECPSCKHQYIDREVKPASFMENIVNIYRDIDRTFNANLFRPVQSDVTRVSAQSPASVKIDVNSDSRNENVEIVQSGNSSNGQSTTSQTVKPTLLNTPAEELATRVFKKCVTPESGQKQKFNITVDDKPSLSDSTGQVATEKQMETERHSPKRPVENDSYGTNLESNTRSTSGAKSQTIEVKRQKKTSDGLNDTSVVLDSKRSPCAFCNSSEETDGSGPLVSYARGKEVVGNVANFSKVTHVHENCIQWAPRIYFKDGIIHNLESEVARASKLKCYSCGKKGAVLGCYMKSCKKTYHVPCAYYNFECRWDQIAFLMLCPNHTHIKFPHEKKMKKNTEKGISTHMNPCMTQVKAKQNLVFCGSALSPEEKSSLIEFARSNDSIVLRYWRPNVTHVIAAIDSNGACTRTLKVLMAILNGKWIVTVEWVKACVEAGCLVNEEPYEVHLDTHGCSGGPKAGRLRVKNNGPKLFNNLKFYFVGDFVKAFKSDLLNLVATAGGTVVEAKDQLLAGSNGGDEDVKWECVVVYNADLSDHSEFDNEDSVKFQRIAVAQDIAQESCSQIVGHTWILESIAGCCLLPFTKV >cds-PLY87215.1 pep primary_assembly:Lsat_Salinas_v7:4:308599440:308601338:-1 gene:gene-LSAT_4X155100 transcript:rna-gnl|WGS:NBSK|LSAT_4X155100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAFMEEFQHLKIQLQEINLATNNFDMNNVIGKGGFGMVYEGVLSHSKGQSMVAFKRLNRSNGQGNCEFLKEIMMLSRYTHENLISLLGFCDEDDEKILVYEHAYNGSLDRHLSNTAITWMQRLKICLGAAMGLCYLHDPKETHQRVLHRDIKSSNILLDENWNAKVSDMGLSKIGLANQQHTALVSNVVGTFGYVDPMYAENSILTKESDVYSFGVVLFEVLCGRLCFEINDGDHFQCLVRTWKQSYKQKKLDEIIFQDLKQYIDPRSLEIFSAIAYQCLQKYREARPTMSHIVEYLGIALRLQEIFEKVGQPMNFEEMIKIEVSKGKLKMLLSRQGMLINGGKMGIGVAKSIVETPTSVPQKEKPLKCPRCDSTNTKFCYYNNYSLTQPRHFCKSCRRYWTLNGSLRNVRVGGGSRKPKRPFQDL >cds-PLY89732.1 pep primary_assembly:Lsat_Salinas_v7:7:42215360:42215829:1 gene:gene-LSAT_7X29500 transcript:rna-gnl|WGS:NBSK|LSAT_7X29500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVCRVSTMELLNFANGIAMGSRALERLFKILDVYEAVKDLLPEFETCSSGGGENLVVIELHNSGGDGGSRLEGSVRWCLVVITTTEVVINYQNRRRKERLRLRR >cds-PLY71223.1 pep primary_assembly:Lsat_Salinas_v7:6:18792774:18794199:1 gene:gene-LSAT_6X14420 transcript:rna-gnl|WGS:NBSK|LSAT_6X14420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGFEGFEKRLELQFSSHDHVIGIGLRELDFKSLEQVLHQVQCTVVSALGNQHFDSYVLSESSLFVYPTKIIIKTCGTTQLLKSVQPFIHHARTLNLHITALRYTRGSFIFPQAQPHPHTSFQEEIVYLEDSIPESLCYRKASVIPSKLTSHSWHVFSAGAVEYSDDVSLDLYTVEVCMTDLDQSLARKFFRHPNDGKNGDSAGREMTEITGINRINHNAHICDFAFDPCGYSMNGIDGDRYSTIHVTPEDGFSYASFECVGSIYNDDMADMVKKAVRVFGPGTVSVATTSANQDMCARIKSAVEQLGMKCRSFSMDVFPAAGTVVFQTFTSRRNRI >cds-PLY85946.1 pep primary_assembly:Lsat_Salinas_v7:9:99743833:99744240:1 gene:gene-LSAT_9X73700 transcript:rna-gnl|WGS:NBSK|LSAT_9X73700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYNDPLLSVDELADQVAEVLDYFGRGVVMCMGVTIGAYVRNLFAIKYNTTCAWTHPCFSFMQNSFIDRMVV >cds-PLY99591.1 pep primary_assembly:Lsat_Salinas_v7:5:242016089:242020560:-1 gene:gene-LSAT_5X119261 transcript:rna-gnl|WGS:NBSK|LSAT_5X119261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGKAKGKHRLDKFYHLAKEHGYRSRAVWKLIQLDSKYTFLRSSHAVLDLCAAPGGWMQAAVERVPVGSLVIGVDLDPIRPIRGAIAVQEDITTPKCRATVKRLMSDNGVRAFDLVLHDGSPNVGGAWAQEATSQNSLVIDSIKLASELLAPKGAFITKVFRSRDYNAVLYCLRQLFEKVEVDKPAASRSTSAEIYIVCLKYKAPAKIDPRLFDVRHLFQSGKETPKVLDVLRGTKQKRHRDGYEDGDTTLRKTSSVTEFIWSDAPLDILGSVTSIKFKDDTCQAIKDHALTTEEVKALCDDLRVLGKQDFKHLLKWRIHIRKAFSPEKETPKPADVEPEKKDDDDDDDEALNEMEALTNAMLRKKKQAKKIIAKRRAKDKARKATGMQSDVMEDGYTDIELFSLSSIKGKKDLVAVDNPEDDGPNNEAADSDDERAQADSQDESLSDIDSEEERRRYDDQMEQLLEDAYEKYIEKKEGSTKQRKRLKQKHSEDEEEEEDVHPDEDSDNDQQIQETNPLMIPFDDTEPTEEEIAAKWFSQDVFDDENEQETTKMDVSDDDEEDEMQIDNKKKPDNTQIMVPNKPKSKISKKNITAPKSQPTEDLEVVAGPDTDSSDDSSSDSSDDDVETKAEILACAKKMLRKKQREQMLDDAYNKYMFHDDEGLPKWFIDEERKHMVPMKPITKEEVNAMKAQFKEINARPAKKVAQAKARKKRVAMRKMEKVRKKANSISDQADISEKSKMKMIDTLYKKAVPQRPKKELVVAKKGVQVRAGKGKMLVDRRMKSDARKQGMTKDKGKGKGKGKGKVSSKGGKGQKGKPAGPAKGKTGRK >cds-PLY93190.1 pep primary_assembly:Lsat_Salinas_v7:8:267066775:267067068:-1 gene:gene-LSAT_8X154500 transcript:rna-gnl|WGS:NBSK|LSAT_8X154500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGHKQPLLSPPYPPTSPSPSPPPSTLLSLPHLRLENTSEKKIFNIIGANREVREWEKPVSNDHKPPPPLPPLSVFDEKNRGTFEKAKYKYKQSVIG >cds-PLY79509.1 pep primary_assembly:Lsat_Salinas_v7:1:34704905:34706882:-1 gene:gene-LSAT_1X32360 transcript:rna-gnl|WGS:NBSK|LSAT_1X32360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPPENEDDTVEAETIHVLEKLLDSIHKTQSFKGKWTLMETKLSDLKAQLSELSDFPPNSLSVDLLRSLSRTLSDALTFSLTCHSSNLPGGKLKTQNDVDSISAKLDNHIRDWEVLIKSGVLNDGVVSSLSVSKRESVRIEARNLVTRLQMGSVDSRTLALDSLFRLIQEDDKNVLIAVAQGVVPVLVRLLDSGSSMEIREKTVTAIARISTVDSSKHGLMAEGLLLLHYLIRVLESGSGLAKEKSCITLQALTLSKENARAIASRGGISSLLDICQSGTPSSQAFAAAVLRNLTIFSDTRDDFMEEHAISVLLTLASSGTALAQEHSIACLSNLVREDDDMKLLIARKGGINSLKSFWDSSPVVRSLEVAVEFLSNLASDQRLVELIITNGFLNRLIAVLNCGVLGVRIHAAEAIYKVGYSTKTRKELGELGFIPPLIRMLDGKAMAEIEAAAKALSIILIYTENRRIYRKEQKGIMNVVHLLDPSIKNIDKKYPISILMSLTHSKKCCKQMVKSGALLHLLKLVTMEVEGAKKLQETIGGGKLWGVFKRH >cds-PLY93863.1 pep primary_assembly:Lsat_Salinas_v7:5:332226026:332227163:-1 gene:gene-LSAT_5X185561 transcript:rna-gnl|WGS:NBSK|LSAT_5X185561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYHITRSMGGVQGWCSSGLKGVMEVEMAAEKCKYFKWIDDELTPHYKNAFNNLKYELKLMKDTSYVAILERRVALLENLNAEAIAAKEIVDGELAMAVEEKKQLRGELKFVRLKFRIAMMFLVLLVVVLIMQKAKVVG >cds-PLY85134.1 pep primary_assembly:Lsat_Salinas_v7:9:148684936:148685100:-1 gene:gene-LSAT_9X94820 transcript:rna-gnl|WGS:NBSK|LSAT_9X94820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQIHGGNKTYREMEATEDNDGDKSRNNEQIKTGYGQIILPRKKEERQCYRVFS >cds-PLY87313.1 pep primary_assembly:Lsat_Salinas_v7:4:271222517:271225296:1 gene:gene-LSAT_4X139320 transcript:rna-gnl|WGS:NBSK|LSAT_4X139320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVQWLLVCHGLVTLLVVVSFLCGQWPIFQGTFIQRIHNFLTFGAFDYFRRFIEYVFGSRGTNALYLAQYYCCDRPNPILQAMYLAIVGGTYCLIVYTTFNYIPGHYIAGYHRYTSMLAVGVGILLFLLASFSDPGTINSENVSQYLSLYPYDNIIYSEKECSTCKILKPARSKHCSICDRCVARFDHHCGWMNNCIGEKNTLYFVMFLFWHFFLCVYGTVAIGLVLAGRLKELEVVYILTAYYGIENSFRSLTPHVVQWLVNSYNTQILVMVFLAIVSLLLSGFFGYHASLCLSNTTTNETFKWQDYLSWQRKVEEAKASAEALKASLDELSSQEIKPPQSKWKSFFRKSHLEDINIVKNNIYDRGFLKNLYEIIYPLSSRHSFSFSKLKNE >cds-PLY86441.1 pep primary_assembly:Lsat_Salinas_v7:8:4197527:4200435:-1 gene:gene-LSAT_8X3141 transcript:rna-gnl|WGS:NBSK|LSAT_8X3141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENKQIIFKRYTNESVQETDMELKLGNPIKLEAPKGSNAVLVKNLYLSCDPYMRGRMRDFHRSYIPPFSPGSAIEGLGVSRVLDSDDPNFKRGDLVTGYTNWEEYTLIKKTNELRKIQQDDGIPLSYHVGLLGMPGFTAYVGFYEVCAPKKGDYVYVSAASGAVGQLVGQLAKLHGCYVVGSAGTSQKVELLKNKLGFDEAFNYKDESDLESALTRYFPQGIDIYFDNVGGGMLDAALANMRAHGRVAICGMVSQNNRTDPQSFRNMFSIISKRITIKGFLQSDFIHLYPRFLEEITGYYKQGKIVYIENMNHDIETSPAAFVGLFSGKNVGKQVICVATE >cds-PLY64275.1 pep primary_assembly:Lsat_Salinas_v7:7:2668320:2670040:1 gene:gene-LSAT_7X1520 transcript:rna-gnl|WGS:NBSK|LSAT_7X1520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARITDMMKLKPLTSTIETLGHRFLQQCSVSGTAKGKGKVKAGVTLKRSKITIKKGQQAPDPSATKGPRKGQLEQMIDDCLQAKAPVRFLKPKEREREAEREKMGLISEDRKQEIASFKKNKSKVKDDDQKSKSGFIGPEGLDLVTLGLVDADKIPKYELTVEDGRKLAKEYSRVLMRKHRARQAAETGLLKCKKEAIEALPEGLREAALVPDMAPFPVNRFMATLTPPIEGYIEKINEAAKRQSSVKGKLR >cds-PLY75244.1 pep primary_assembly:Lsat_Salinas_v7:7:65147018:65147368:-1 gene:gene-LSAT_7X46660 transcript:rna-gnl|WGS:NBSK|LSAT_7X46660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPLLAASPRPSASSEIISVGGKGLHTTSSTLIIPSFTLTNVGGGEWGLPELDDFIYEWLESNKVKAQPPDLSGLYGRNHLRYQIWFLEVDGWGDFLKTTTTFDDQTLLNATN >cds-PLY75998.1 pep primary_assembly:Lsat_Salinas_v7:5:320168386:320174373:-1 gene:gene-LSAT_5X176940 transcript:rna-gnl|WGS:NBSK|LSAT_5X176940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSTIGSRCGRARQLFLLRRTAANKMTTISSYTNTSTSISRSFLSQNFADASPSSGSSVFTRQFSVLRRDPWDVSRKSTHVFKHLIAFVSDFSPTPLEKSGSIPKGYASFTTSSGNAAMGMKQNKKGEEEKSLVTKGDKINNAKILRTLAKYLWMKDNMEFKLRVLTAMGFLVGAKVMIVQVPFLFKLAVDWLTTATGNPSALSEFTAANSTYLAVFVSPAAVLIGYGIARTGASAFNELRTAVFSKVALRTIRSVSRKVFTHLHQLDLRYHLSRETGGLNRIIDRGSRAINFILSAMVFNVVPTILEISMVSGILAYNFGAPFAWITSLSVAAYVAFTLAVTQWRTKFRQDMNKADNDASTRAIDSLINYETVKYFNNEKFEADAYDKYLKRYEDAALKTQRSLAFLNFGQTLVFSTALSAAMVLSSNGIMNGVGTVGDLVMVNGLLFQLSLPLNFLGSVYRETVQSLVDMKSMFQLLEERAEIRDEDDAKPLKLDGGSIEFEDVHFSYLTERKILDGISFVVPAGKSVAIVGTSGSGKSTILRMIFRFFDSNSGTVRIDGQDIRKVTLESLRKHIGVVPQDTVLFNNTIFHNIQYGCLSSTPEEVYDAARKAAIHDTIMKFPDKYSTLVGERGLKLSGGEKQRVALARAFLKAPPILLCDEATSALDSTTEAEILSALRSLANNRTAVFIAHRLTTAMQCDEARPISFSFLEGGRVVEQGPHEVLLSNAGGRYAQLWSQQNTTID >cds-PLY69369.1 pep primary_assembly:Lsat_Salinas_v7:4:114740138:114740329:1 gene:gene-LSAT_4X72660 transcript:rna-gnl|WGS:NBSK|LSAT_4X72660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYEQQLSFPSKTQPTKCPPVASNITLKQVLFLGSWITFSILLVISFTATQGVLTSFVNHVQT >cds-PLY95146.1 pep primary_assembly:Lsat_Salinas_v7:1:94312528:94315958:-1 gene:gene-LSAT_1X77681 transcript:rna-gnl|WGS:NBSK|LSAT_1X77681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPENFNSNGNASSLPWENGSLDSLSLANSKKAVMEIIEQPLVQGIEDHLVEFSEAMRTVSKALRRAAEGKASAQAEASEWKRKYELERQRNLQLENKVPASVDLKDNFPEKKVENFKRMDEQSRIHSGKNGIYSHEVLRDNENNSYSNIHSKLIRKASFKLHWCCKGEKNDQHKHDVVSFEKGNITTAERSSKQICLKWESPPQTVLILTKPNSVSVKFLCAEMIRWLKEKKLNIYVEPRVRTDLVTESPFFNFVQTWKDDGILLLHEKVDLVVTLGGDGTVLWAASMFKGPVPPIVPFSLGSLGFMTPFHSQHYRECLNSMLQGPISITLRHRLQCHVIREAAKTEYETEEPILVLNEVTIDRGISSFLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRIQVPFNSRGHAWASFDGKDRKQLAPGDALVCSMSIWPVPTACQGDSTNDFLHSIHDGLHWNLRKTQSFDGPRESS >cds-PLY65716.1 pep primary_assembly:Lsat_Salinas_v7:5:269340045:269341952:1 gene:gene-LSAT_5X140160 transcript:rna-gnl|WGS:NBSK|LSAT_5X140160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLSPLWNRLGTKPVETVVGQDITPLDHLHKKRLANISMIIEEVIVPDSPSPLELSMDSTMNPPSSKASMPLISSPIKKNVKMELANGKPLSSFTRVDVKVISSSGKEALTSTLVNLAASLPQFQRPFTTTTVIRCPELVSASDEETLDKDRSHIMDGIHHLNEVSTCSKLRFTKKLSEREISCSDKDVRIKMLEEELSINKGLVVDRDAQILQLNQAQTSYLQNEPCLASTTEIRASKLRWLVQEGIPSFVCALLNSTDFGDVNAAVQTTAIQLGLHRACVEMKEKYVDVL >cds-PLY95461.1 pep primary_assembly:Lsat_Salinas_v7:9:160817531:160820460:1 gene:gene-LSAT_9X100501 transcript:rna-gnl|WGS:NBSK|LSAT_9X100501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVERSFEAWEEVQRHGLDLADRLTQGFTGLIQSHITPPSFTWPNAHPPKLFDVEFPTQNFVTRDLGLVIEKTSYGINGVSAIFDIGNRLGQAGVDFGANLNGVVQQFFRRLPVPFRHEEDTVVLRVDTGVQRTADLGVNMQVHEDLGALTKRFKDFGFNETEKVKDESDEDEVSGFNLKLARFSGKSQGILNISSTFDSRTHDVESSLVARGDFWRVEASHGRATSANENPSLFLVQLGPVLFVRDSTLLLPVHLSKQHLLWYGYDRKNGMHSLCPAVWSKHRRWLLMSMICLNPLACSFMDLQFPNGQLTYVAGEGVSTSAFLPLFGGLLQAQGQYPGEMRFSFSCKNNWGTRITPMVQWPERSFTMGFEQALAWKRSGLMVRPTIQLSLHPTVGGSNPGVKAEVVHSVNENLNLIGGCSLASHPSAFASLSVGRSKWNGNVGRSGIVLKVETPLGNGSVAQPSFSVQLNSGIEF >cds-PLY66984.1 pep primary_assembly:Lsat_Salinas_v7:6:140937664:140938796:1 gene:gene-LSAT_6X86160 transcript:rna-gnl|WGS:NBSK|LSAT_6X86160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDSDKMDRKGKRKIDHIIDLDKEDSSTKKNSQRNRNIVHQGSSSSVLENQSAPVDLPDQNTNVSISTTVAEAGVWPPPQPQVEELGTSSSSDRRSGAPALGGLNRTVALLPPYFLGKVSSSPNQESRNLHKCVFCSTMSYNFWLLHQHQMSHVDGVDQSEQNQNPTQRSTTSPTQYNNPSARYNTPMNMNPTLTSPYLSQPELGFWFDFSQIQIPQTGFQSQINSNFNLRPIYGGGGCSRTEGFQAVNDIHNFSGIQDHGGRSTYWRLATTTSSLSISETREEEINDNSIDLLSGVGTSNSLENRERDGDEDQDHNMNEEDDGALIDLSLQIGRKPPN >cds-PLY83773.1 pep primary_assembly:Lsat_Salinas_v7:4:41740881:41745972:-1 gene:gene-LSAT_4X27980 transcript:rna-gnl|WGS:NBSK|LSAT_4X27980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFFGRGSSDDSSPKSPATASPSTPNFPSSSAVPSGQARAIRLVYCDEKGKFQMDPEAVSVLQLVKEPVGVVSVCGRARQGKSFILNQLLGRSSGFQVASTHRPCTKGLWLWSTPIKRTALDGTEYSLLLLDSEGIDAYDQTGTYSTQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRASGGKTTASELGQFSPIFVWLLRDFYLDLAEDNRKITPRDYLELALRPVNGAVRDIAAKNEIRESIRALFPDRECFTLVRPVNNENELQRLDQIPLGDMRPEFKAGLDALTRFVFERTRPKQMGSTVMTGPIFARITQSFLDALNNGAVPTITSSWQSVEEAECERAYESATDLYVSIFDRTKPPEEAAMREAHEEAVQKAMANFNAIAVGSGSARQKYEKRFHTFLKKAFEDHKRNAFREAYLQCTTAIQNMEKELRKTCQSPSTKLDDVLKVLDRLLSKYESTCHGPEKWQKLTSFLRQSLEGPILDLVKKQIDQITSEKSSLNLKCRSIEDKMELLTKQLEASEKYKSDYLKRYEDAINDKNKLTQDYMSRITNLQKNSSSLDERCSTLTKTIESTKHESMEWKRKYEASLSKQKSTENQAASEVANLKSRSNAAEVRLAAAREQTMSAQEEAEEWKRKYDIAVREAKTALEKAAAIQDRSNKQTQQREDVLRAEFSGTMADKEAELKDRASKLEHAEQCVTTLSLQLKTAESKIKNYDLEISSFKSQMKELGERLEGANARAQSYEREAQILEQEKIHLEQKYRSEFERFEEVQERCKNAEKETKRATQLADTARAEAVTAQKEKNEIQKLAGERLTEIARSERRIENLERQTKDLADELESFRSAELDAVSKVAMLEARVGEREKEIEKLLESNNEQRASTVQVLEALLETERAARAEANNRAEALSVQLQATQGKLDLLQQQMTTVRLNESALDGRLKTASHGKRVRVEDTLDSVQEMDVDDGNGDGVGIGGKRVNKRSRSTTSPLKFASTEDGGSVFKGDEDHTKFTISKLKQELTKHNFGAELLQLKNPNKKDLVSLYEKCILNR >cds-PLY91813.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:2482891:2486348:-1 gene:gene-LSAT_0X18100 transcript:rna-gnl|WGS:NBSK|LSAT_0X18100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene receptor [Source:Projected from Arabidopsis thaliana (AT3G04580) UniProtKB/TrEMBL;Acc:A0A178VKQ4] MSKSLVIGFLIASLILTVSLANENEFAHCHCDDEGSWNAHIIESQRVSDFLIAIAYFSIPLELLYFLSCSNVPFKWVLVQFIAFIVLCGLTHLINGWGYYGNQTFQLMMALTVAKLLTALVSCATAITLLTLIPLLLKFKVRELFLKQNVLELDQEVGIMKKQKEAGWHVRMLTHEIRKSLDRHTILYTTLVELSNTLVLQNCAVWMLNDAKTEMNLTHELRPNSSGYHSSIPKNDPDVLEITGKKGVTMLRVDSELAVKSRGGIAESGPVAAIRMPMLHVSNFKGGTPELVDTCYAILVLVLPDSDRKWSFDEMAIVEVVADQVAVALSHAAVLEESQTMRDQLVEQNRVLQHAKENAMMASQARNSFQKVMSHGMRRPMHSIMGLLSILQDDQKNTNQTNIIDTISKTSSVLSTLINDVMEISAKDTGRLPLEIRPFQLHSMVKEACCLVKCLCIYQGFGFSMEVPSSIPNLVMGDEMRTFQVLLHMVGHLLDVSEEGRLVMFRVSLENGNEGRNDKVWGTGRSGSVDFVNVKFEIGTGDGGFQSELAIPSMHSGVKRQNAGGVKDSLSFSMCKKLVQMMQGKIWMSSNSKGNIQSTTLVLKFQIQHAFKRPPHFDLTNYVDQPKSNSIFRGLQVILADDDGVNRMVTKKLLEKLGCHVTTVSSGFECLSSLGPTTTPFHIVILDLHMPEMDGYEVATRIRKFRSRNRPLIVALTASAEEQVWERCLQVGMNGVIRKPVLLRGLENELRTVLQRAGERLSS >cds-PLY92309.1 pep primary_assembly:Lsat_Salinas_v7:9:180306078:180307648:-1 gene:gene-LSAT_9X111421 transcript:rna-gnl|WGS:NBSK|LSAT_9X111421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGASYQRFPKVKIRELKDDYAKFELRETDASVANALRRVMIAEVPTIAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSERAMSMRFSRDCDACDGDGQCEFCSVEFHLRAKCINDQTLDVTSKDLYSSDHTVVPVDFSDTSSGFENPEDQRGITIVKLRKGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPEIHINEEMMETLSLEEKTSVVESSPTKVFGINPHTQQVEVVDPEAYTYDDEVLKKVEAMGKPGLVEIYAKEDSFIFTVESTGAVKASQLVLNAIEVLKQKLDAVRLSEDTVEADDQFGELGAHMRGG >cds-PLY99282.1 pep primary_assembly:Lsat_Salinas_v7:3:93469798:93473139:-1 gene:gene-LSAT_3X70201 transcript:rna-gnl|WGS:NBSK|LSAT_3X70201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEISVDNKKPTNLQVKGQKMTTRKFGVDVSNNRRALGVINQKGGAQLYPCAVNKRTLPQANGNNENSVPNQVHRPITRKLAAQIANKKQCFPEDVLNPKQSSESFKVWEDMEDQPVPMSLETTEPQKDHMQEVEMEDIFEEPVVDIDVSDTKNHLAVVEYVEDLYAHYRKMESYGMVSPNYMLTQQSDINERMRAILIDWLVEVHHKFDLQQETLFLTVNLIDRFLAKQSVVRKKLQLVGLVAMLLACKYEEVSVPVVDDLVFISDKAYSRTEILEMEKLMLNTLEFNMSVPTPYVFLKRYLKAAQSEIKLEQMSFYLMELCLVEYEMIKFTPSFLAAASVYTAQCSLYGLKQWSKTCEWHTNYTEDQLLECSRMMVKCHEKAGTGRLTGVYRKYNTSKFGYAAKCEPANFIVVEEGSQQ >cds-PLY75732.1 pep primary_assembly:Lsat_Salinas_v7:4:333940876:333941426:-1 gene:gene-LSAT_4X164780 transcript:rna-gnl|WGS:NBSK|LSAT_4X164780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSISSLIQFRHQLLSIPFSRDYLELPKSKQFQEQEMMFGFGFHPISGTGGVIQMHMKILEEHFQGQKDKNKK >cds-PLY91783.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1465139:1465932:1 gene:gene-LSAT_0X541 transcript:rna-gnl|WGS:NBSK|LSAT_0X541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIHGAMSYATLPTWLPSPHFPIYIENIHKCKHGSDSATYDTEGRYIPANFENIFSKYAQTKADKLSFKELWQMTDANRNAFDFFGWIASKLEWGVLYMLAKDTEGFLSKESVRRCFDGSLFEFCAKMQKGYVDKND >cds-PLY97697.1 pep primary_assembly:Lsat_Salinas_v7:8:5846240:5848037:1 gene:gene-LSAT_8X5601 transcript:rna-gnl|WGS:NBSK|LSAT_8X5601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLILLLAVVVGFLLVPRILSRTSIGHFLQNRWRFLEECFHAHQFYKIPKFNQYMQENQLHHKVLLYLNSLPSAGDSDFVNLFSGNNKANEITLVINADQVFPDSFLGSRVYWKIEKDCFVLKIRRKEKRRILSTYLQHIHKVADEIEQKSNEVRLYINAENEPEKNGRWKSIPFTHPATIDTGMIDSEFKNKVKSDLELFLKSKQYYHRLGRVWKRSYLLYGPSGTGKSSFIAGMAKFMCYDVYDVDMSKITGDSDLKLLLLQTTSKSMIVVEDLDRYLVEKSTAVSLSGILNFMDGIISCCGEERVMVFTVSSKDQIDPTVLRPGRIDVHIHFPLCDFSSFKNLANSHLGIKEHKLFPQVEEIFQTGASLSPAEIGEIMISNRGSPTRALKTVINALQTNNDSRLSGKFRLSHKLSQNGSVTGSAGAQRNLSHSGSIDGLVDMSSRLVATGSTRTVDESSETGIFCMENGHTGKELKKLYGLLKSRSRRKESVDLDGGGKL >cds-PLY72884.1 pep primary_assembly:Lsat_Salinas_v7:4:272660561:272669937:1 gene:gene-LSAT_4X139981 transcript:rna-gnl|WGS:NBSK|LSAT_4X139981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATVGWAYSSSSNGSSLATNDLDRNGDRPHDIEPATPQSLIKMSSRGGNSMEDPDGTLASVAQCIEQLRQTSLSAQDKEYNLKQLLELIDTRANAFSAVGSHSQAVPVLVSLLRSGSLGVKMQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSVEGRIAGAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWEQLEKGLKGGHLVDDLLTGALRNLCSSTEGFWSATIKSGGEVILVKLLATEQSTTQANVCFLLASLMMEDASVCSRIVDAEATKLLLKLLGPGNEAPVRAQAAAALKSLSAQCKEARREIASSSGIPSLINATIAPSKEFMQGEHAQALQENAMCALANISGGLSYVISSLGQSLDSCASPAQTADTLGALASALMIYDNKAETSKASDPVDVELTLVKQFKPRVPFLVQERTIEALASLYGNATLSTKLANSDSKRLLVGLITMATNEVQDELIKSLLILCKNEGSLWYALQGREGIQMLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSPKAKEDSATILGNLCNHSEDIRECVESADAVPALLWLLKNGSSNGKEIAAKTLNHLIHKSDTATISQLTALLTSELPESKVYVLDALKSLLSTAPLQDLLREGSASNDAIETIIKILNSTKEETRAKSASALAGIFSKRKDLRESSVAVKTLWSVMKLLNSESESILAESSGCLAAIFLSIRENRDVAAVARDTLTPLLSLAISSVLQVAEQAVCALANLLLDSEVSEKAVPEDFIMPATRVLLEGESTGRTHAAAGIARLLNSRQTDSTLTDYVNRTGTVLALVSFIKTTSSGSDAMSEAINALAILSRLKGSTGQVKPAWAVLTEYPDSIHPIVSCISGASSLLQDKAVEILSRLCHAQSVVLGNSITSISGCVSSIASRVVYSSNPTVKIGGTALLVCATKVNIQRVVEDLHELQLHASLMQSLVLMLSLPKGSHLGDMEDKETISICRDFEEGIASEKVTSTSVIYGANIAIWLLSAIASHDDKSKAEIMEAGAVEVVTERISQCLSQYGQFDVNEDSSIWICALLLAILFQDRDIIRSNSTIKAIPTLASLLRSEDSANRYFAAQAITSLVCNGSRGTLLSVANSGAAIGLISLLGCADSDIRDLLELSEEFALVPYPEQVALERLFRVDDIRVGVTSRKAIPALVDLLKPIPDRPGAPFLALNLLIQLSNDCPSNKTVMVESGALEALTKYLSLGPQDAPEEAATDLLGILFSSPEIRKHESSFYAVSQLIAVLRMGGRGARYSAAKALENLFHADHIRNADSARQAVQPLVEVLNTGLEKERHAAIAALVRLLSDNPSGVLAVADVELNAVDVLCRILSSNCSMDLKGDAAELCCVLFGNTRIRSTIAAARCVEPLIALLVSELSPAQNSVVRALDRLVDDENLAELVAAHGAIIPLVGLLYGKNYMLHEASSRALVKLGKDRPSSKMDMVKAGVIESILDILHEAPDFLCAAFAELLRILTNNATIAKGQSASKVVEPLFSLLTKPEFGPDGQHSALQVLVNILEHPQCRADYTLTAHQAIEPLIPLLDSPVPAVQQLSAELLSHLLLEEHYQKDAMSQQVIGPLMRVLGSGIPILQQRAVKALVSIALTWPNEIAKEGGVAELSKVILASDPSLPHALWESAAAVLSSILQFSSEFYLEVPIAVLVRLLRSGSEATVIGALNALLVLESDDSTTALAMAESGAIEALLELLRCHQCEETAARLLEVLLNNVKIRETRATKTAILPLSQYLLEQQTQGQQARLLATLALGDLFQNETLARSADAVVACRALVNLLEDQPSEEMKVVAICALQNLVMHSRSNKRAVAEAGGVQVVLDLIGSGDTDTSIQAAMFIKLLFSNNTIQEYASSETVRAITAAIEKDLWATGTVHEEYLKALNALFGNFPRLRASEPATLSIPHLVTSLKTGSEATQEAALDALFLLRLAWSACPADVSRSQSNAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLTVIIKRGNNMKQSVGNPSVYCKLTLGNTPSRQTKVVATGPNPEWDENFVWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYALLPESKNGASRNLEIEFQWSNK >cds-PLY83670.1 pep primary_assembly:Lsat_Salinas_v7:4:44008339:44009807:-1 gene:gene-LSAT_4X30401 transcript:rna-gnl|WGS:NBSK|LSAT_4X30401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPSILAHLLPNAFLSITTTSRTSSSDESSDDADEVLAAAAAPHGVIHHGIPQTPTVPSPSAPYQSLTIVTGHTESVSCLALCGEFIISASQGKEIMVWQQPDLRLLTTFGHGRGSVKDLVTVGSKIFTAHQDSKIRVWKVSRNLENIFKFVDTLPTNKDYLGKFMKRSHYIQTRRHHKRLWIEHSDTISCLAVQDNLIYSGSWDRTLKVWRVSDFKCLESIKAHNDAINALTTSKGMVFSASADGKIKAWGRLESEGPHFLMAILEGHKDISMNSVIVSGDGTVVYGGGSDGYVIGWSVDSWEVVFEVEAHKMSVLCMCMKGDILCTGSTDRSIRVWKTEVKGLTKIGVMMGHDGPIKCLQVSPSRIGGGFLVYSGSLDKSIRVWWVPNRNKVEVITSTPIKIIETINPALTFL >cds-PLY68083.1 pep primary_assembly:Lsat_Salinas_v7:5:292545348:292545646:-1 gene:gene-LSAT_5X154160 transcript:rna-gnl|WGS:NBSK|LSAT_5X154160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITEYSSNGHDFSVNGEYVDDNDEFRSISNDDNDEFRSISEDLDDEVKDQGFESINDSQNNAHGQYVCLGGKDY >cds-PLY88203.1 pep primary_assembly:Lsat_Salinas_v7:5:122246265:122246973:1 gene:gene-LSAT_5X53160 transcript:rna-gnl|WGS:NBSK|LSAT_5X53160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNEIGVTSATNFDILILSIQNNRSFVHRIMCSSKEPPAEIGFTSKLFESLKIGSTTIIVEAPKMLKTTTSLPCLRVNSGLVKADDVGSSNCDVLIN >cds-PLY62933.1 pep primary_assembly:Lsat_Salinas_v7:2:212020095:212022841:-1 gene:gene-LSAT_2X132700 transcript:rna-gnl|WGS:NBSK|LSAT_2X132700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prolyl 4-hydroxylase 12 [Source:Projected from Arabidopsis thaliana (AT4G25600) UniProtKB/Swiss-Prot;Acc:Q8GXT7] MATDLSVLLVLVLICCFSRCFTESRKDLRTKENVIQLGRAVPHPNTIDPSQVTELSWRPRVFLYKGFLSYDECDHLISLGNDKKEKSSGNNSSKVVGQTTNLDVSLEIEDEITERIEERVSAWTFLPKGNGKPIHVVHIGDEESSSSKGKQKYMRSNTNTNTNTGEILQATVVMYLSNVSQGGHIVFPQAEWKVKSKIWWGDGDGDCMKRSEISKPVKGNAILFFSLHPNTSLDPSSSHVARCPIINKGDMWWATKSFVVKANSNSNSKGKVKDKDKDEDDLSCTDEDESCPQWASFGECERNPIYMVGTPDYYGTCRKSCNVCS >cds-PLY65223.1 pep primary_assembly:Lsat_Salinas_v7:8:20302554:20303458:1 gene:gene-LSAT_8X15580 transcript:rna-gnl|WGS:NBSK|LSAT_8X15580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEHKKNKKKKQKHHHSNSDFSFKPSSHVKGLRFGGQFIVKSFTIRRARHLELLRLLSLPPMTTHHKPSPTFLSTAAFLPSTFTILAHHAWHTLTLGLGTKKSKVVLFVFESENMKVAVDRMWPTEIPLGEVNRKLIKGLTGCEMARFKFRKGCITFYVYAVRKIGNKGFSCGDDLRVILEIVVSLNDFLDHTAMLAMPNQRSIGFVHPVAMAN >cds-PLY72714.1 pep primary_assembly:Lsat_Salinas_v7:6:28439680:28443123:-1 gene:gene-LSAT_6X22300 transcript:rna-gnl|WGS:NBSK|LSAT_6X22300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKRNIDAHKCCKCKTTKCLKLYCGCFAAESYCTEACSCKECCNRLDYQDTVEVAREQAKVRNPLAFSTKEDKDRNKIGCECRLSMCLKESCKCNKAKVGCQLQCRCRGCKNVHGKREDNFDKNKMNERNLSSVTNFSYIQSAAESSNFEMMIGTSKTEYDLDLPTLDQLELMNQFTSQDLDQFEFMNQSTSQDFNGINSPEQENNPINAITTPLSSTKSTGFQGKVGCWNNCRCEGCKNVHGMKGGGKCDIMIRTSRTEFGLDQHEFIPQDINGGHVKSMMNPSYNSSSPDGSSNNDMMIGTSTWNSEMVCFDQHECINRFTPQDMNIQLAAGSSNCEMMIETSDLDLPTVEELEFINQFITHQDFNARHDDEIK >cds-PLY90076.1 pep primary_assembly:Lsat_Salinas_v7:6:18046090:18046434:1 gene:gene-LSAT_6X14221 transcript:rna-gnl|WGS:NBSK|LSAT_6X14221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEVARMCSSGSAARCEGGRSIGDTVDLMVRRWPTNREERRASTSDDPPWSICSVVGSHRSRKITQRRITDIATVGSSGVQAVCEEGILLSPATRLWDPCSSPAAAIRRKEAQN >cds-PLY67219.1 pep primary_assembly:Lsat_Salinas_v7:6:134313092:134313803:1 gene:gene-LSAT_6X82980 transcript:rna-gnl|WGS:NBSK|LSAT_6X82980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLFYLAIKIPQAITMTIFTNLASHLVSLLLAALTHVGLFKSPPDPDDYTFSSSNYVLILDGSSPSLLPVPVHVVTASIKAKVPIIPYSDFAYSHGESETAACSVCLDCIDSTHLIRELLNCKHVFHRECLDRWVDEGQVTCPLCRSMLLPPKKFFSSSSAAAVVSSAATDF >cds-PLY99860.1 pep primary_assembly:Lsat_Salinas_v7:4:46290075:46294589:1 gene:gene-LSAT_4X31580 transcript:rna-gnl|WGS:NBSK|LSAT_4X31580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGRTDQMTKKPRVPGVLWKLFQNRAHTLAETILSFIPPPSSATVQCRCKDSRHCLRCCCDGDRMSFLIREDDPSDYSKLLNQCFVVVSDNAPSLPPFDPHSRWSQLQIVRMTIEMMSCENVLTKNMICAGYNKQFRSSIILEALTSASWSLLLQRIGDGLMVYLLKYSSIFILIHRKKHHQVTGIPINDMCWKLLKQTSESKNQQPSLVQKVPERYHEVDSQEHSMTCLGCDIKSSATPSTKLHMKQCSKMSCHGDSNSITDADCNGPTFTQEDEVLTVHQSRKRLRSYAWLHRHKLRKLSSQNVCDSNSEKMRIPCSCCSIWRTLQKVPRKAQISKQSMLYKLEHASSILPGKHIINSLKPNIAGANALFKEIFGSSNDSHSTLCFHSNNICVIGTTCLYHSLHKLLKILIRKAIRCPCLKLLKKHIFDKKDLHCSKGQVVSFIWAASRSIVPEELLGDLRILRKNISKFIRLRIYEKFSLHQCMHKLKTSNFSFLSNNHSFCNSSNIDHKFGEVKQRILGRWIYWFFTSFIVSLIQANFYVTESEHGRLDVFFYEKSIWEKLMKSSVSSLKDKCYSLLDVNDVKKILSSRKFGFSRVRFCPKPNGIRPLANLKSSSRLPKTNKSFKAVNIVLRDLHAALKDIQLKTPEKLGSSVFSYNDVHRKLRGFLTRVKSGLESSHCVYYMVVADVQKAYDSIDQDKLLHVMKDVITDDHLLHETHNVTISKRNIQICQNINLSRQFRSHLHSPSSHSILVDMGRKRTARKDELLSTLRQHVKYNVLRIDKSFYLQNVGIPQGSILSSLLCSFYYGHMENSKLVPFLDKVSEGHFGQNLLLRFIDDFIFVSTSKKQALAFLSRLERGFCEYNCNMNKEKFGVNFDGEKIRAEESTRVYFDEDGNKFLKWSGLFINCKTLEVQADYTRYLDGNISSSLTVSWLGNPVCDLREKLCNYLRPKCHAIFYDSKINSASVVRLNIYQSFVICAMKFHCYVCDLSNIYKFESGSYMNIIHNSLRFMYKLMKKRMYSCVVNMIDDSLRPILRVKRREMEWLGLRAYLEVLKRKQGRYKEVLSLLELKLKSLNVGIVSPALKFAVDKSNSSVLWKIKY >cds-PLY96873.1 pep primary_assembly:Lsat_Salinas_v7:2:109063822:109064238:1 gene:gene-LSAT_2X48761 transcript:rna-gnl|WGS:NBSK|LSAT_2X48761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLISMYAILVLLITTPIATSTSTIGVVNTESKIKIDFCESYFNWGSSCQDKKCNDYCSNVYDKFSWGECVTLYGMVRCHCHWPC >cds-PLY98373.1 pep primary_assembly:Lsat_Salinas_v7:5:314459901:314462138:1 gene:gene-LSAT_5X171441 transcript:rna-gnl|WGS:NBSK|LSAT_5X171441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTLPITSLPRHHHVSLPPATASITVNNDRYFSNHPTISLVNQCSNSKQLKQIHAQMLRNGLFSDPFSASILVSAFALSPIQDVDYAHKLFDQIPKPNIYTWNALIRAYSSSQVPIQSLSIFTRMLYRCDEVLPNKFTYPFLIKAASELLNMRVGEVLHGMAIKTSLGSDVFILNSLIHFYSTCKCLDSAYRVFQNIPVRDVVSWNSMITGFAQGDRPDEALKLFHEMQGENLKPDKVTMMSVLTASTKKLDLNTGKWVHSNIQRYGIKESLNLNNALLDMYTKCGSLEDAQKLFDKMPEKDIVSWTTMLVGYAKSGDYITARKHFDTMPSQDIAAWNALISSYEQSGNPKEALAIFNELQLSNKAKPDSVTMVSTLSACAQVGAMDMGGWIHVYIKKHGFNLTSHLITSLIDMYAKCGDLNKALEVFNSVDSKDVFVWSAMVAGFAMHGRGRDAIELFKKMEENNVNPNSVTFTNLLCGCSHTGLVTEGRDFFKKMEDVYGVVPGVKHYACMVDMLGRAGCLDEAMELIKSMPMPPLASVYGALLGACKLHGNVEIAEEASSRLIELEPWNHGAYVLLSNIYAKFGKWDKVAMLRKRMKDIGLKKERGCSSIEVDNRVHEFVAGDNTHPQCVMIYEKLNEIFEKLKLIGYEANRSQVLQCVEEEDMQEHALHLHSEKLAIAFGLIGLKKSQPIRVMKNLRVCGDCHNVAKMISMVYGREILLRDRYRFHHFKEGNCSCKDYW >cds-PLY65283.1 pep primary_assembly:Lsat_Salinas_v7:8:105572191:105575713:1 gene:gene-LSAT_8X71240 transcript:rna-gnl|WGS:NBSK|LSAT_8X71240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVMRLLRPGLPLPGSEPRVPTTFVSVPYSDFLTKVSTNQVQKVEVDGVHIMFKLKQEQGTPESDISSSLSKMQDSELLLRSVAPTKRIVYTTTRPNDIKTPYEKMLENDVEFGSPDKRSGGFLNSALIALFYVAVLAGLLSRFPVNFSQQSPGQLRNRKSSGSGGSKVNDQGEVVMFSDVAGVDEAKEELEEIVEFLRNPDRYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGRFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRADVLDPALRRPGRFDRVVMVETPDRVGRQAILNVHVNKKELPLGEDVDLAKIASMTTGFTGADLANLVNEAALMAGRQSKLVVEKMDFIQAVERSIAGIEKKTAKLQGTEKAVVARHEAGHAVVGTAVSKLLAGQPRVEKLSILPRSGGALGFTYTPPTTEDRYLLFVDELRGRLVTLLGGRAAEEIIYSGRVSTGALDDIRRATDMAYKAIAEYGLNPTIGPISLSTLSNGGMDDSSGSMGFGRDQGHLVDLVQREVKLMLQSALDVALSVMRANPTVLEGLGAYLEEKEKVEGEELQEWLKLVVAPEELADFVRGTEKTFLPLQSSAPDLKQLL >cds-PLY89477.1 pep primary_assembly:Lsat_Salinas_v7:8:91724918:91727451:1 gene:gene-LSAT_8X64861 transcript:rna-gnl|WGS:NBSK|LSAT_8X64861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRRGGKSKGGRVGNRAISSECTDKMSTKGRSGRRLVKYDELPEYLKDNEFILDYYRCEWPLKDVIGSVVCWHNETLNIWTHLVGFFIFLSLTIWSLLTKDKVESLIASFFFSSTHGIREPTMTTAMMTKANTTVASMLMPESSLLGEILKPSIILINNPENPIPKWPWFIFLTGAMSCLICSSISHLFACHSKTFNLFFWRLDYAGISLMIVSSFFAPIYYAFTCHPFSRLFYLSSITTLGLLAIFTLLSPALSAPRYRSFRATLFLVMGFSGVIPASHAVYLHWDDRKIVVALVYEVVMAVCYSVGAMFYVKRIPERWKPGMFDIAGHSHQIFHVFVVAGALAHAAATLVIMNLQQVSPALCVGGATWM >cds-PLY88607.1 pep primary_assembly:Lsat_Salinas_v7:1:201504615:201506719:-1 gene:gene-LSAT_1X125320 transcript:rna-gnl|WGS:NBSK|LSAT_1X125320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPSEPNPTTTGNPATQWLAGPNPGKLGSSSAQLNRWSRARVIRSGRKLDRPAQCKQEQNTEVDDQQLHRLEGTNLSFSSSYCSSSSSEDGTGGETEETPGTVKEIFLVSDGSGRTAEHSVNAALGQFEHFLVDRGCPVNTRLFSGINDVDRLMEIIIQAAKEHAILIYTLADEKMANSARHACKTWGVQSTDVLRPITKAIASHLGVSPSGLPRGAPGRKFPLTENYFKRIDAIEFTIKQDDGALPENLPKADIVVAGVSRSGKTPLSIYLAQKGYKVANVPIVKGVELPKSLFLEVDQNKVFALTINPVMLQTIRRERAKSLGYVRDAKSNYAEMMHVREEVDYASKIFAKNPTWPVIEVTGIAIEEIAAIILRLYQDRKHGCSMPTISKLY >cds-PLY86420.1 pep primary_assembly:Lsat_Salinas_v7:3:252097532:252107353:1 gene:gene-LSAT_3X138880 transcript:rna-gnl|WGS:NBSK|LSAT_3X138880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSVLMWMFWVLAIGGTTSERDMADNGGHRNAYATMMYMGTPRDYEFYVAIRVMLRSLSKLQVDADLVVISSRDVPLPWIHTLEEEDGAKVVRVENLNNPYQSESNHDRFKLTLNKLYAWSLVEYERVIMLDADNLFLQNTDELFQCGHFCAVFINPCIFHTGLFVLQPSSKVFKKMLHDLDIKRDNPDAADQGFIGGYFPCLLDQPMFHPPPNGTKLDGTFRLPLGYQMDASYYYLKLRWSVPCGPNSVITFPSAPWLKPWYWWSWPVLPLGIQWHQQRQQTLGYDSEMPVVLIQGLVYLGIIAITHLARPSWLSKLWYRGGGDKNVMISIIIIQSRSLIIIKAVVMLSIMMTSYLFPFLVIPHTIHPIIGWGTYLLGSFALSCIAIKVFLLPVVPVLTPWVGIIGVLLVMACPWYNDGVVRALFVFAYAFCAAPVLWISLGKIVSSRHTISLEREGFLPSRLVDPTQPSDPFNKLY >cds-PLY83807.1 pep primary_assembly:Lsat_Salinas_v7:3:49148967:49151026:1 gene:gene-LSAT_3X36721 transcript:rna-gnl|WGS:NBSK|LSAT_3X36721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHQYLQDPIPQNTFNKEDNTSLNKWKSTNESIDESLNNPSSGFDCNICLDSVQDPVVTLCGHLYCWPCIYKWIHHQKTTQNPQTQCPVCKTEISQKTIVPLYGRGQTTEQKSQNPDDDITVPRRPPSPRSNLQIVPAQQVHHNRSYQQATPMALSMNNFGGGMTMTNLINPTSPTTGMLGEIVYERIFGNSGNNLHPTLFAYPNSYNLAGISTQRARRQAMQADRSLNRICFFLLCCVMLCLLLF >cds-PLY80961.1 pep primary_assembly:Lsat_Salinas_v7:9:175264093:175265956:1 gene:gene-LSAT_9X107800 transcript:rna-gnl|WGS:NBSK|LSAT_9X107800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRNLQQGQGQGLSLSLSSHIQPTGIQFHSGDYRNPNIFFRPESSSVITGFHGNNLDSIKTDVSPYGIGNVSRGITDSKYLKSAQELLNEIVNVGKALKQQNSRKESTDDSKGIDDESKNIISPNQELNELSANEKQDLQNKTTKLIAMLDEVDRRYKQYYHQMQIVVSAFDVIAGCGAARPYTSLALQTISRHFRCLRDAINNQIKAAQRSLGETDSAGNGKGIGISRLRFVDQQLRQQRALQQLGMIQQHTWRPQRGLPETSVSVLRAWLFEHFLHPYPKDSDKIMLARQTGLTRSQVSNWFINARVRLWKPMVEEMYKEEAGEAGMESNSSSEIAPKHAKRDRNPSDDQGETEASKLTNQDMVGTSNESDFQNMMVNRGEHERLMAAAYQIPGRFGNGNVGGGGGGGGGVGGVSLTLGLQHCEDGSLQPMASNHHHSFGGGGGGVYDAGGGSSVGPETVDFDCVDSGNQRQRFGSSHLLHDFVA >cds-PLY86183.1 pep primary_assembly:Lsat_Salinas_v7:3:82535893:82536878:1 gene:gene-LSAT_3X63520 transcript:rna-gnl|WGS:NBSK|LSAT_3X63520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEMIREAATNLGIFQVVNHGIPVSLMDEAVPAVRRFHEQDEEVKKGFYTRDLSSTLVYNSNYDLYSSPALNWRDTFFSFMAPSPPPPEELPEVCRDIQIEYSNQVMKFGGVLFRLFSEALGLNVNHRSFSLSDVQFVNWCYFMQLDMLQEENDNVLEKLRFAEESCQDAEMRVKDLEKQVLTHILLIQCLSYIET >cds-PLY61757.1 pep primary_assembly:Lsat_Salinas_v7:5:302598135:302601225:1 gene:gene-LSAT_5X164121 transcript:rna-gnl|WGS:NBSK|LSAT_5X164121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNMNRPPNEDFSLKETKPHLGGGKVTGDKLTSTYDLVEQMQYLYVRVVKAKDLPTKDVTGSCDPYVEVRMGNYKGTTRHFEKKTNPEWNQVFSFSKDRIQATMLEVTVKDKDLMKDDIMGGVLFDLNEVPKRVPPDSPLAPQWYRLSDRKGDKLKGELMLAVWWGTQADEAFPEAWHSDAAAVSADGLASIRSKVYLSPKLWYLRVNVIEAQDLIPNDRTRFPEVYVKAVLGNQALRTRISTSKTINPLWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCAIPLQYVDRRLDHKAINTRWFNLEKHVIIEGEKKKEVKFASRIHMRVCLEGGYHVLDESTHYSSDLRPTAKQLWKNSIGVLEVGILSANGLSPMKTKDGRATTDAYCVAKYGTKWVRTRTIIDSFVPKWNEQYTWEVFDPCTVITIGVFDNCHLQGGGDKAAGGGGNRDSRIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSQPLLPKMHYIHPLTVAQLESLRHQATQIVSMRLSRAEPPLRKEIVEYMLDVGSHMWSMRRSKANFLRMMGVLGGMIAIGKWFDQICNWKNPITTVLIHFLFLILVLYPELILPTIFLYLFLIGLWYYRWRPRNPPHMDTRLSCADNLHPDELDEEFDTFPTSRQPDIVRMRYDRLRSIAGRMQTVVGDLATQGERFQSLISWRDPRATSLFVIFCLIAAVVLYVTPFQVVAIFAGFYVLRHPRFRRRLPSVPLNFFRRLPARTDCML >cds-PLY87641.1 pep primary_assembly:Lsat_Salinas_v7:1:122882249:122884935:1 gene:gene-LSAT_1X93020 transcript:rna-gnl|WGS:NBSK|LSAT_1X93020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTMFALSGQSVGAMLGRLYFTKGGNSKWMATLVQTAGFPLMFPFIFLFSPSKTPPEHPEQVAKKPSWTTLVIIYTTIGTFLAANCMLYTFGLKFLPVSTYSLICASQLAFNALFSYFLNGQKFTPFIANSLVLLSFSSTLLVFQGDYEETRKISRNKYIIGFVCTVVASAGYGLMLSITQLAFQKILKSTSYNVVFDMIVYQNLIATVGILVGLFASGEWKDIKGEMGSFESGRVSYIMNIVGTAVSWQVFSIGYVGLIFEVSSLFSNVITTLSIPIVPVLAVVFFDEKMNGVKVISMLLAIWGFLSYIYQHYLDDLKEKESARLVNHDREVNHT >cds-PLY69092.1 pep primary_assembly:Lsat_Salinas_v7:5:276314086:276315751:1 gene:gene-LSAT_5X145241 transcript:rna-gnl|WGS:NBSK|LSAT_5X145241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWQAYVDDHLMCEIEGNHLSSAAIIGHDGSIWAQSSNFPSVKPEEITAIINDFNEPGSLAPTGLYLGGTKYMVIQGEAGAVIRGKKGPGGVTVKKTTMSLIIGVYDEPMTPGQCNMIVERLGDYLLEQGF >cds-PLY93576.1 pep primary_assembly:Lsat_Salinas_v7:2:174411176:174416364:1 gene:gene-LSAT_2X96600 transcript:rna-gnl|WGS:NBSK|LSAT_2X96600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDVISGKNLIPESFPGASVDLAAQIGLLWDLIKAPLIVPLLRLAVYVCLAMTVMLFLERLYMGIVIVLVKLFWKKPEKRYNWEPIRDDLEIGNSAFPLVLIQIPMFNEKEVYKISIGAACNLSWPSDRLVIQVLDDSTDFVIKDMIEKECQRWASKGVNIKYQIRESRGGYKAGALKEGLKHDYVKDCEYVTIFDADFRPEPDFLRRAIPFLEFNPQIALVQARWRFVNSDECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTGGVWRIAAINEAGGWKDRTTVEDMDLAVRAGLKGWKFLYLGDLQVKSELPSTFKAFRYQQHRWSCGPANLFRKMVMEIVRNKKVTLWKKLYVIYSFFFVRKIIAHMVTFFFFCVVLPLTILVPEVDVPIWGAIYIPCVITTLNSVGTPRSIHLLFYWILFENVMSLHRTKATFIGLLDAKRSNEWVVTEKLGDALKNNKSNAKAPKKFKFNFNFGDRIHLTELGFAAFLFFTGCYDFMYGKHNYFIYIFLQTITFLIVGFGYVGTIVPSS >cds-PLY75664.1 pep primary_assembly:Lsat_Salinas_v7:1:99574538:99576291:1 gene:gene-LSAT_1X81201 transcript:rna-gnl|WGS:NBSK|LSAT_1X81201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTENYRAGIVTCAKEDRISNLPEHLIDSILERVPLEEAVRTSILSKNWRYRWTTMKELVFDQQFSKKFAKNGAFGHNGFIRIVNKVLFFHKGPILKFHVHVPNMFLDNFEEVDQWVLFLSRNGVNELLLNNSNRRSELPSYLFCCLELTKLELHKCCFKPPLEFEGFLNLEKLRLEDIDFGARLCGTKFNLPQLKKLSLLKCTNVYNFNIKATRLQELIVYACHDAMLLRLLDSPCLLLVSIAFEKPIEDFVRVEEMNLATMLSNLSKVEYFYINSYFLKFLIAEKIPKLLPGAISSLKHLWLLDIQLGDLNQLHAVLCFLRNSPNLEKLFVRHFDMDPRVDVGPASDHLESPNCLDSTLDQLKIVEMTCLKGSKPELLFIKLLLAHSPSLQKFTIKPSRASDVQKRYDIAKEVMQFPRASTKAKMFFFDPEP >cds-PLY86186.1 pep primary_assembly:Lsat_Salinas_v7:3:82537774:82538156:-1 gene:gene-LSAT_3X63561 transcript:rna-gnl|WGS:NBSK|LSAT_3X63561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERFYDPTLGEVLLDGENIKNLKLEWLMSQIGLVTQEPTLLSLSIRENIASGRDATSLQIEDAAKTAHAHTFISSLEKGYDT >cds-PLY80597.1 pep primary_assembly:Lsat_Salinas_v7:6:14355919:14358419:1 gene:gene-LSAT_6X12580 transcript:rna-gnl|WGS:NBSK|LSAT_6X12580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPPLCILVTGGAGYIGSHTVLQLLLDGYKAVVVDNLDNSSEVAINRVQELAGDLAFNLSFHKMDIRDKPALEKLFASTKFDAVIHFAGLKAVGESVEKPLMYYNNNIIGTLTLLQVMTAYGCKKLVFSSSATVYGSPKEVPCTEEFPLSAVNPYGRTKLMIEEICRDIYSSDPDWKILLLRFFNPIGAHPSGKIGEDPHGIPNNLMPFLQQVAVGRQPKLQVFGTDYSTKDGTGVRDYIHVVDLADGHAAALRKLSDPKIGCEVYNLGTGKGTSVLELVSAFEKASGKKIPMEKTGRRRGDAEIIYASTAKAERELNWKAKYGLEEMCRDQWNWASKNPQGYQSEE >cds-PLY69449.1 pep primary_assembly:Lsat_Salinas_v7:6:121762503:121764634:-1 gene:gene-LSAT_6X73360 transcript:rna-gnl|WGS:NBSK|LSAT_6X73360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAACTVYTLQALNTTCSISTPTKTHLGFNQKRVFFYSNGGKGTSSGKMRGGEITCSGDTIVIGLAADSGSGKSTFMRRLTSVFGGAASPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPRANDFDLMYEQVKALKNGVAVEKPIYNHVTGLLDPPELIKSPKILVIEGLHPMYDPRVRDLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTRLIPDDNEGKVLRVKLIMKEGVPYFNPVYLFDEGSTISWIPCGRKLTCSYPGISFSYGPDAYFGHEVSVLEMDGQFDRLDELIYVESHLSNISTKFYGEITQQMLKHADFPGSNNGTGLFQTIVGLKIRDLFEQIAAKRESTPLQSTKS >cds-PLY99195.1 pep primary_assembly:Lsat_Salinas_v7:8:90197081:90199394:-1 gene:gene-LSAT_8X59821 transcript:rna-gnl|WGS:NBSK|LSAT_8X59821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSWVCTVIIQLALCFALYYAINLGEPQTPTYRLRIHRQFSEIYFISVRGGFRTPKEQTRLLKQIEKVMNTYKVGFVINISELGEDDPLLQNATQYFNPLKAPWYTTVALQDMKSDYFFKQVNVSSERTLDIIALNNGMIQDPSEVELKFLSRKLESSNSNWHIATGFHPLFCNQSRNQTQAKGNNVMLQMLMNHGVDAYLSGHSCDNEARIEGPYLTTISQESLPFNVTKNMFLLHRVSPLEIVTYGVSFKGDIVHESTFRQRGREVM >cds-PLY64001.1 pep primary_assembly:Lsat_Salinas_v7:4:190302455:190304798:1 gene:gene-LSAT_4X109141 transcript:rna-gnl|WGS:NBSK|LSAT_4X109141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHNLKKEYYGSRWFHCSKERRFHTTHFHRPXXXXXXXXXXSRSLLRGGGNRQIHKTLNNIKITILCGLVTILVLRGTIGFGSLSSDNDVENQAIIEETNRILAEIRSDKDPDDPEENLDQTTINLNDTYSLGAKISNWDEERSLWLSRNQDFPNIVNGKARILLVTGSPPSPCDNAIGDHYLLKSIKNKIDYCRIHGIEIVYNMAHLDKELAGYWAKLPLIRRLMLSHPEVEWIWWMDSDALFTDMVFEIPLSKYKDHNMVIHGYPDLLFNQKSWIALNTGSFLFRNCQWSLDLLDEWAPMGPKGPVREEAGKILTANLKGRPAFEADDQSALIYLLITKTEWMKKVFVENSYFLHGYWAGLVDRYEEMMEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVQRCLSSMERAFNFADNQVLKLYGFRHRGLLSPKIKRIRNESVTPLEYVDQFDIRHSAQGISN >cds-PLY79444.1 pep primary_assembly:Lsat_Salinas_v7:9:100348191:100352097:1 gene:gene-LSAT_9X73520 transcript:rna-gnl|WGS:NBSK|LSAT_9X73520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHPGSDIRQRAFALLGDLARVCPIHLRPRLAEFLVVAAKQLVNQSISPVVMTVISCLVPLFNTRRIQDDIEKEDAFRGLCAVVKVNPSGALSSLVFHYRAIASWHVVYERVRNEMPGEMDAKAAEMDAKQQQFDAKY >cds-PLY94024.1 pep primary_assembly:Lsat_Salinas_v7:8:101197753:101198141:1 gene:gene-LSAT_0X3661 transcript:rna-gnl|WGS:NBSK|LSAT_0X3661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKEVDEDVCFIYFDGGSLVLCDRRGCPKAYHSACVKRHEAFFQSKARWNCGWHICSICQKADHHMCYTCTYSLCKGCIKRADYVCVRGDKGFCTTCMKTIMLIENNGQEKDEKAQVDFYDKTS >cds-PLY88289.1 pep primary_assembly:Lsat_Salinas_v7:6:126270150:126273005:-1 gene:gene-LSAT_6X76841 transcript:rna-gnl|WGS:NBSK|LSAT_6X76841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSIHGLGKSAIKSYWIGAAIVLIIILTALLKKWAVYLDKQAEARSLAKAQGDENQKNIGLCSLSTTKGIRTFALDEIKATTRNFRIRIGVGATSYVYLADLGDGRFGAVKRVMEERGGSQKMFLDEVSILLRISHPNLVGLLGFCLDQGEQLLLLEYIPNKSLFDRLHTRKGKSSGSLSWSSRISIALDIAYALDYLHSVADPPVIHRDVKSSNILLINDDHAKLADFGLCKLGHDTQSAQTPTIIRGSLGYVDTNYLNTGLVSPKSDVYSFGVLLLELITGMKSLQGSVTLAEWTNECRKHHDVDILMGMLDPKLNGEVNAEQLRVLVNVANMALLENSMARPNMAEIAYRISSCMDNSLEKDLPV >cds-PLY62529.1 pep primary_assembly:Lsat_Salinas_v7:5:294839044:294842999:1 gene:gene-LSAT_5X155800 transcript:rna-gnl|WGS:NBSK|LSAT_5X155800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITGSDLYHVLTAVVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNTRFIAADTLQKLIVLVVLAIWSRVSARGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFLFEYRGARLLIAEQFPDTAGSIISFRVDSDILSLDGKEPLQTEAEVGDDGKLHVTVRKSTSSRSEIFSRRSHGGMNSGVSLTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVNGKNASGVSPRGSNFGNVGYDEESGNNTVRVNGQGSTGYPAPTNAGIFSPVSGPAGKKKGGGGDGGGKDLHMFVWSSSASPVSEGGIHVFRGGEYGNDLGGVPHTKDYDDFGRNEFSFGNQPGPNGVDREASVLSKLGSSSTAELHPKSSPHGEPKASAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFKWHVQMPAIVAKSIAILSDAGLGMAMFSLGLFMALQPKIIACGNSVATFAMAVRFLTGPAVMAAASIAVGLQGTLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYIVLGL >cds-PLY63713.1 pep primary_assembly:Lsat_Salinas_v7:9:86146913:86147422:1 gene:gene-LSAT_9X67781 transcript:rna-gnl|WGS:NBSK|LSAT_9X67781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRSCSNGSCCFVPGDFVEWERAGNNPITTDEFGGEFGSRDSVSWMVLARHNNNTTITITCLLLLRIVDFKFYGISNLSILHLLANPVTILIDFALHTSNCRNHMKMKLLT >cds-PLY81930.1 pep primary_assembly:Lsat_Salinas_v7:8:122988296:122989780:1 gene:gene-LSAT_8X85201 transcript:rna-gnl|WGS:NBSK|LSAT_8X85201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDMFGSPGSVSGLMLRIGQCLCAAASIGWMVSASGFSNYTAFCYLIASMGLQVLWSFGLACLDFYALRIKKDLQNAVLVSLFVVGDWVTATLSLAAACSSAGIVVLYARDLHFCTNTKMHLPCIRFEISIAMAFITWFLIAISSHVMFWLLASA >cds-PLY99554.1 pep primary_assembly:Lsat_Salinas_v7:8:81466353:81468017:1 gene:gene-LSAT_8X57801 transcript:rna-gnl|WGS:NBSK|LSAT_8X57801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYLPMFRYPVFKMDMQESLEGNPVVATLKNVSRGFAALTVPLTASFPKAGTGIAELIALEISNQITNTNFFQTTEDLEFNWVIEGDGCKLDSGTLSLPTLEFNWVIEGDFGSSNETQDIVFWHG >cds-PLY70671.1 pep primary_assembly:Lsat_Salinas_v7:5:71934934:71937742:-1 gene:gene-LSAT_5X34221 transcript:rna-gnl|WGS:NBSK|LSAT_5X34221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMGASTMTVFYNLKLRHPTLDMPIIDYDLALLIQPMLMLGISIGVSLNVIFADWMVTVLLIIIFTVTSTKAFCKGVATWKKETITKKEAAKCLESNGYSVEVDYKLLPSGPNNGSETKAEESLKQEVDLMKNVCWKEFGLLVFVWIAFLGLQIFKTYTTTCSIWYWVLNLLQVPVSFGVSGYEAVSLYKGSRKISSKGDSNSKLRVGQLIIYCFCGILAGMVGGLLGLGGGLIMGPLFLELGIPPQVSSATATFAMMFSSSMSSVEYYLLKRFPVPYAFYFVVVVTIAASAGQHFVRRLIIFLGRTSLIIFILASIIFISAISLGGVGISNMINDIHRHEYMGFENMCKIEV >cds-PLY68803.1 pep primary_assembly:Lsat_Salinas_v7:3:62608183:62609314:1 gene:gene-LSAT_3X49160 transcript:rna-gnl|WGS:NBSK|LSAT_3X49160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLCQELMVEILTKLPPKSLPRFRSASKSLRSCIDSPDFIRKHTCRSRQRVLLIHKVKQTHKYKRYRDASFCTLHAKEQLPLPLSLCTTLVVLPCRKATLLGSCNGILLLYENGVISLWNPSIMRQLTLPDCPLQECFGGMAIGLGFDPITDDNKVVSAWHPIDSPMPLYSEVLSSACYLNGVLHWVVERYYPIYRTWVHYIMTFDLSSHVFGMIALPKPSWYIEKLATIQGSLAMISSVAYDSWLWVRGEAEDSWSVVSKLKTKDGAELRKVLELTNNGDHLLLDFLQEFYVYTPKTGSLSRLVDFYDASSLVDMDTYVETLQLLHIGTACEEATPLFLQDSSFK >cds-PLY71469.1 pep primary_assembly:Lsat_Salinas_v7:7:191707348:191709285:-1 gene:gene-LSAT_7X115281 transcript:rna-gnl|WGS:NBSK|LSAT_7X115281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVRTHERRTSVNGNDELGEVKWAKHYSSNHQILLVGEGDFSFSLSLAMSFGFASNIVASSLDSYDVVMKKYKRAKTNLEMLCKFGAQILHGVDSTIMKLHMDLRMRKFDRIVYNFPHAGFLGKESDHLVIMKHRNLVRGFLRNASGMLRPNGEIHVTHKAARPFDSWNIEELATQSGLTLIECVEFKIEDYPGYNNKRGAGRNPDGAFPLGECNTFKFIVTSKPLKLLRQKPREIPLQRANEQIVMNPLQTGDCFWIFKEYFNHARYTFGEPDYFLPYNVPDMLRLGFERYNADDCGKPLDGYLNHLEELWNLSRRRLVFLRNRLWEIDHQY >cds-PLY73793.1 pep primary_assembly:Lsat_Salinas_v7:7:69449752:69452042:-1 gene:gene-LSAT_7X50001 transcript:rna-gnl|WGS:NBSK|LSAT_7X50001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASASAFTAQISNFNGGASSDRKTFITLSSSSSSYSQKLSLKPNSVGMRTLSHSHHQKLVDNPRSLRVFALFGGKKDGDKDDGKAGVFGNMQNLYETVKKAQMVVQVEAVKVQKELAVAEFDGYCEGELVKVTLSGNQQPVRTEITEAAMELGSEKLSLLITEAYRDAHQKSVQAMKARMGDLAQSLGMPQGLGDQFKQ >cds-PLY64194.1 pep primary_assembly:Lsat_Salinas_v7:7:3537360:3537590:1 gene:gene-LSAT_7X2220 transcript:rna-gnl|WGS:NBSK|LSAT_7X2220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSAINDHHPLNVRPIFVVAFSTPVDPPCAKVIQDSKDDIISNVQRAMAKRKAILAVEESSSDVEGADIGRCIK >cds-PLY92477.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:219460:222730:-1 gene:gene-LSAT_0X14641 transcript:rna-gnl|WGS:NBSK|LSAT_0X14641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGINITLLLILLFLCKNCNSSDSNSNVKNGEIVEVKGEHDVVWIVQLSDLHFSVHRPERASEFQKFMGSTLSMINPSLVFITGDLTDGKSKDLLTMKQNEEEWIEYQNTMENVINKSGLDKSIFYDLRGNHDNFGVPTTGDSYDYFSKYSITGQLGRTQSVNSVTLQMGPRDLLFVSFNSTTSTGLRGPTNVFGHPTDQLLTELNSHLSQHDATSAKPLTKIALGHFPLSFSASTNSKNTLKHIFLNHSISAYLCGHLHTRFGNNLKRHHEINSYNLLQLNIHEKTQSSSTICPNESQKVNEFWEMEMGDWRKSRSMRIMAIDQGHISFMDINFKNGVKEILILPTFPLDSRYLSTTILNKYKCQSLDDMSHSHIKALVFSSNVIKKVTAKIYDSTHGDLYMVLETPMSENADHIYTSPWNSKPFIDPNPTRYWLQIEAIDINDLTISSNLIPFSLNGFRANLSWTWKEFFVMGCQWEGLYYPIFWSFYLLMFIVLVLPKFITLLWKRQYTYLFYKSNKGLFSLLTWVFTEVHNIPFLWKLMLAYLLYLLLCPWLLGQVFTEGGERGYMTYKGWVVDFKDLKKLEFIGFPDVMVIVIPHLYFVVLPSFFIILGVAAERAIYQDHVCSISGKKRDDYEGNFGRLNSRNKLSGFVLDKLRKWSRSILLILSLAICWKHFKSCWGLMKAYEMNPVVHFPFYSFSIPILLAYVFYKTKRLHNTHQI >cds-PLY87840.1 pep primary_assembly:Lsat_Salinas_v7:2:65628545:65630329:-1 gene:gene-LSAT_0X37300 transcript:rna-gnl|WGS:NBSK|LSAT_0X37300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAAMIKHDDMVPITINIGWPTSYFPPYPILMGLGVLLLFVIVWMVPVTKHLPYLISYKKYLKELHIKMKKLNDARIDVEQHMDRNRRSHLEVPAEVVGWLEEVKKIKTKVDTTPIDIGISLNLMIRHQLGRKAFKMIEEIESLLIQQSLMAWSDEPVPLGKVDFMKESTSTPSSDHNEFKSREQIFMNALKALGPNNKSHMIALVGMGGVGKTTMTQRLKKVVKDKKMFNFVIEVVIGVKTDPISIQQSIAEYLSLELKEDTKTARAHKLNEHFKVLSDGGDMKFLVILDDVWEPVNLYDLGLSPLPNQGIDFKVLLTSRNRNVCNMMGASSILNINILTEEEAHSLFQRYVEISYDADQELNKIAEAIVEKCGGLPIAIKNMAYALRNKSKDKWQDALVRLEHHDTDNVVADVLKMSYNNIEDQETKSIFLLCGLFPEGFDVPTEDLVRYGWGLKIFKKVYTMRHARNKLDTCIERLMHANLLIESDDVGFVKMHKLVRAFVLDMFSEVEHASIVNHGDISSWPTTANDMSSLCKRISLTCKGMSEFPGNLKFPNLSILKLMEGEESLRFPEGFYGEMENLQVISYDNMKHP >cds-PLY78614.1 pep primary_assembly:Lsat_Salinas_v7:4:150538482:150544391:-1 gene:gene-LSAT_4X92501 transcript:rna-gnl|WGS:NBSK|LSAT_4X92501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSEENMNETISSLFTMKGKFGLGEINEEFVDEQDEGDTDLEDNDYDKDEDHYVEAYESKISKMINSFERMKEKLNSKLNDAMTKFPEKESFRIFKEKMTNMIVEEKTESTTLFNFPINETRVEGINLTPIMGQKTNDQTENEDKEGDGEEDSDNGASQPEVDYLLDSNEADNEEIKNDADNNQKEGEIGVKENYGKRNENENDEEEKDDHVEETNNHEETIQQTENENLLDKVVDNIVDNVLGIGISSLNSQEDEIWNHPEMKTIFDNIDIGSPMTTGKTNTLAEKEKSEGVHEQGTKVEKTKGDDTGPSKHDLDQPREKKLADAFKSPFKCIITHTKPKLTXNLQGNTLDVVVQTKYGQITERAIMESLYANTEIFGEVLDTWSDLLNHQELEKDYENSPYRLFLKVGVCISLCSKLNSFLIHK >cds-PLY98188.1 pep primary_assembly:Lsat_Salinas_v7:8:143683628:143686235:-1 gene:gene-LSAT_8X97580 transcript:rna-gnl|WGS:NBSK|LSAT_8X97580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSENKISLSLLILFCFLNRELWAQSVPSENKNFNTNNNIVISTGIILDMESWIGKSIHRCITMAISDFYDLNHSYKTRIVVHTRDSKGDPLKALSAVDHLLKNVKVQAIIGPEIYLQSKLLSLFADKAQVPIFSFAGRSSMEYPYLFQIKEDESTMAKSIASLMELYKWRNVIFVHEDTDDGREILPYLAESFQDNNIKISYTSAISSSATIHEITEELHKLMNFQTTIIIVHMSPSLASNLFLNAKSLGMVTEEYAWILTEKTVDLFRSTNFTVIESLQGAIGFRPYVPPSVRLHNLTATWHNFFYRNYHTSLTKEVPVPAIWAYDTIWALAESVEKVGVPHINSGTLLLHEVFKTRFKGISGEFQLSEGKIRSNGYEIMNAIDNGEKRVGYWTLSEGISRGYPMNNSVYQNSTLGPEAVIWPGGSTTAPKGWVLRATPNKRLKIGVLKIRNFKYFMDVDHDVEKNVTTATGFSVDVFNTCIRALPYEVPYTFILFDNANNYDDLVQKVYNKEIDGVVGDSTILANRSVYVDFTSTYTDLGVGTLARVKKEDMWFFLKALDMGLWLTAIASLILTGFVIWAIECLNQESEFECSPAQRIGTIFWFILLTIFFAQREKLSSNLSRFVMFIWLLVVLVLITSYTATLASLLTVEQFELASKGRIVGFHGGSFMRGVTVSNVHFEGHHKRAYYSYEDYAHALSEDGDADAIVDEIPYIKMFLSKYSGEYALISSQPITSGFAF >cds-PLY69797.1 pep primary_assembly:Lsat_Salinas_v7:4:245253973:245256161:1 gene:gene-LSAT_4X130961 transcript:rna-gnl|WGS:NBSK|LSAT_4X130961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFHLQNQKYITEDQILLLKKIINDTSILLDEDPDLEEDEQLAMAIQESLNINMNSLPRNNHGSLFPHLPSFFPSVYRKDLGLVKELMDDCLTSQLSNSLRPHDVVSALGPMYEGHDNNGCKKIAGLKSTTWKALKLSPACGRLVISSDGVWDALSTESALECSRGLAPESAAAQIVKVWKQKMSNL >cds-PLY88676.1 pep primary_assembly:Lsat_Salinas_v7:5:67757689:67758638:1 gene:gene-LSAT_5X31481 transcript:rna-gnl|WGS:NBSK|LSAT_5X31481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSLMRWMLNPNKNWLAAQHKKALSARLRKYGLRYDDLYDPMYDLDINEALNRLPREIVDARNQRLKRAMDLSLKHTYLPEDLQAKQTPFRSYLQEMLALVKRERAEREALGALPLYQRTIP >cds-PLY91114.1 pep primary_assembly:Lsat_Salinas_v7:3:82573152:82573400:1 gene:gene-LSAT_3X64341 transcript:rna-gnl|WGS:NBSK|LSAT_3X64341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGTFFATGTPAAVATPAVNPNAPVPNIMANDAERPEKFSGLNFKRWQQKTLFYLTALNLARFLTETGPIIVKGRLMLNLSI >cds-PLY75942.1 pep primary_assembly:Lsat_Salinas_v7:4:123545290:123546646:-1 gene:gene-LSAT_4X77800 transcript:rna-gnl|WGS:NBSK|LSAT_4X77800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAAFGMEYLHSKNIVHFDLKCDFGLSKMKRNTLVSSGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVLLKTNHTADVYAQPPPPPPNENDMVIDVKDQFMSDIFTRAQDGAGLSFSIANHEPQHWSFFQKLAAADLDQLAGPPKASNTFLQDDDPSQP >cds-PLY85303.1 pep primary_assembly:Lsat_Salinas_v7:5:326254657:326257286:1 gene:gene-LSAT_5X181040 transcript:rna-gnl|WGS:NBSK|LSAT_5X181040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 6 [Source:Projected from Arabidopsis thaliana (AT3G21350) UniProtKB/Swiss-Prot;Acc:F4IXJ7] MAATPMLPPNLGPGDGNAPAAPLPPGTDMTGICFRDQLWLNTYPLDHNLVFDYFALSPFYDYTCNNEQLRMRSIHPLDISHLSKMTGIEFMVSEVMEPHLFVMRKQKRDGPEKVTPMLTYYVLDGSIYQAPQLCNVFAARVGRALYHISKAFTTAASKLEKIGHDSENENVSLEPKAAKETIDFKEVKRVDHILASLQRKLPPAPQPPPFPEGYTPPSTAEGEQGPPETDQPDPKLPLVDPILDQGPSKRQKYA >cds-PLY65702.1 pep primary_assembly:Lsat_Salinas_v7:5:270519107:270521251:-1 gene:gene-LSAT_5X141041 transcript:rna-gnl|WGS:NBSK|LSAT_5X141041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase 3 [Source:Projected from Arabidopsis thaliana (AT2G06050) UniProtKB/Swiss-Prot;Acc:Q9FUP0] MAETPPSADNPTLFSPFKMGKFNLSHRVVLAPMTRCRALNSIPNQALVEYYRQRATAGGFLITEGTMISPTSAGFPHVPGIFNQEQVEAWKKVVDAVHEKGAVIFCQLWHVGRASHQVYQPNGVAPISSTSKPISKKWRILMPDGTHAQYPNPRPLATHEIPEVVEDYRLAAINAIEAGFDGIEIHGAHGYLLDQFMKDGINNRTDEYGGSLANRCKFLLKVVKSIATAIGADKVGVRISPAIDHLDAMDSDPRSLGLEVIERLNKLQVELGSKLTYLHVTQPRYTAYGQTEAGSHGSEEEVAELMKIWRRAFMGTFVCSGGYTRELGIEAVAKGDADLVAYGRLFISNPDLVLRLKVNAPLNRYVRASFYTHDPVVGYTDYPSLEKGNGNLERLSRM >cds-PLY76775.1 pep primary_assembly:Lsat_Salinas_v7:4:187321891:187322253:-1 gene:gene-LSAT_4X108521 transcript:rna-gnl|WGS:NBSK|LSAT_4X108521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMIVVNCFSSFISSTSHKTFKLSSICNKSHSITIFLIIMAIVGFYRVMTLVCIVFLFIHSEKVYAIRSMDLAIKWSHGRLFSRKDNTQFNIAPTPSPFDPYQSNKRRVRRGADPIHNRC >cds-PLY80699.1 pep primary_assembly:Lsat_Salinas_v7:5:219020920:219021533:1 gene:gene-LSAT_5X103460 transcript:rna-gnl|WGS:NBSK|LSAT_5X103460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAYVKDTDMPLKMQAHAMDSASQALDIYDVVDYTSIAAYIKKEFDRIYGSGWQCVVGSNFSCFFTHSKGSFIYFSLETLSFLIFKGAS >cds-PLY98971.1 pep primary_assembly:Lsat_Salinas_v7:7:50543108:50545587:-1 gene:gene-LSAT_7X36881 transcript:rna-gnl|WGS:NBSK|LSAT_7X36881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSHVLPAYILVLHMINNFVSNMLTKFTPWRNTSFSSLPLPLEIATKLYADSTSIKTASSDFGKLFQETPFAVFYPSTISDIVHLVKSSYTSSSPFKIAARGHGHSVHGQAMAKDGVVVEMTSLSGGIKVSWSDYLGFYADVGGEQLWIDVLRTTLERGLSPVSWTDYLYLTVGGTLSNAGISGQTFLHGPQISNVHELDVVTGKGEFMTCSKNMNSELFYGVLGGLGQFGIITRARIVLDKAPTRVKWVRMIYEDFDIFTKDQEHLISKNYGLNYVEGSLIMKKSPANNWRSSFFSILDEEKVNSLASKRGVVYSLEVVKYYDEFSVQPINEELDDIFKDLSFKTGFIFKKDVSYVDFLNRVRIEELKLQCKGLWDVPHPWLNLFVPKSGILEFNQQVFVNIIQKEAKSSGPFLVYPMKRTKWDDRMSATIPDEDEDVFYTIGLLHSARNTEDGKIIDDQNKKILNVCAEIGIEIKQYLPRYYTTKEEWIKHFGAKWSLFEERKAKYDPKMLIQQETPKMGKSSTIKTKRY >cds-PLY73530.1 pep primary_assembly:Lsat_Salinas_v7:9:77622352:77625194:1 gene:gene-LSAT_9X63021 transcript:rna-gnl|WGS:NBSK|LSAT_9X63021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENSSDKSRRNDVFDSFDSSTRSSNSSNSDSSSKECCSSPPPLGWPIRKTQLIGKCGDVSEDEAKLKRQLEDDTKLTKLDSRFEETEMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCAAAFGQLWRLEPLQSEKKQMWQREMDCLLCVSDHIVELIPSWQTFPDGSKLEVMTCRPRSDIFMNLPALRKLDTMLLEILDSFINTEFWYVDQGIVASDNDVSGSGSGSFRKQPQRHHEKWWLPVPRVPSGGLQENTRKQLTHKRECANQILKAAMSINSIALSDMEVPESYFESLPKNGRACLGDVIYRYITSEQFSPECLLDCLDLSSEHVALEIANRVEASIYVWRKRIQSRPLPHPNRSAAKASWDMVKDLMADGYKRDSLAERAESLLLCLKHRFPGLTQTSLDISKIQHNKDVGKSILESYSRVLESLAFNIVARIDDLLYVDDLTNQSDNLPSTADVIAHKRVPIPIIPSSGTPYKSAFATPKFSPGPIVAPATTDRTPSLNGNSHKPPRRGFGVKRALTNYLAGETKVKGNVQLLEGPGCLSTRNGDLPPVNRSSIDGPLSQKENRNPARLPKMER >cds-PLY67440.1 pep primary_assembly:Lsat_Salinas_v7:6:70766119:70766334:1 gene:gene-LSAT_6X48761 transcript:rna-gnl|WGS:NBSK|LSAT_6X48761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSHTLGRNLIDEECESFQFRFGFVSEPRVQIPFPDASLYNPPEGKVGISIALFEVGLRLPTTDFFNLII >cds-PLY76143.1 pep primary_assembly:Lsat_Salinas_v7:4:52385440:52386591:1 gene:gene-LSAT_4X34540 transcript:rna-gnl|WGS:NBSK|LSAT_4X34540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHNLSVRFPSVDIFKEYQNAAMAYIASIGEGKSERNLKMLQPIGWLRENIPFEDSSELIILFSILLRNLEMQDRVIGILDWELSTLGNQMCDVAYIYMGKPWPLVGWKFYIAFSFFRGPSILAGIMNSIPRKRIRWKEHDDQGFED >cds-PLY99312.1 pep primary_assembly:Lsat_Salinas_v7:7:179826332:179828376:-1 gene:gene-LSAT_7X105960 transcript:rna-gnl|WGS:NBSK|LSAT_7X105960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 4-1 [Source:Projected from Arabidopsis thaliana (AT5G54110) UniProtKB/Swiss-Prot;Acc:Q1ECE0] MSIVDHRRSHSDGKPWRLCPFWQSGTPSSSFSSTRNLQFQGQKSHENGVESKRRTSNKVSSVAKSLLPARRRLRLDPANNLYFPYEPGKQVRSAVRIKNHSSSHVAFKFQTTAPKSCYMRPPGGILAPGETIIATVFKFVEQPEKNEKQLNQRSKVKFKIMSLKVKEGTDYVPELFDEQKNEVVVERILRVIFLNAERPTPALEKLKHQLAEAEAELEIRKKPQVDTSPKVVGQGMVIDEWKERREKYLARQQVEGVDLS >cds-PLY87928.1 pep primary_assembly:Lsat_Salinas_v7:4:33836337:33836889:1 gene:gene-LSAT_4X22240 transcript:rna-gnl|WGS:NBSK|LSAT_4X22240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIILSTFNPSTPEARIFVLSNVLSEDSQAESTIFQESIHQASSPNKLKINAEAKLPMKIIKKSQLPF >cds-PLY66931.1 pep primary_assembly:Lsat_Salinas_v7:7:20772908:20775227:1 gene:gene-LSAT_7X17841 transcript:rna-gnl|WGS:NBSK|LSAT_7X17841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESQNNELHQPFVEPIQDCTAGGHGGSAELERVLSETETPLMNRLLVASRIELNLLYKLAAPAVMVYLINNAMSMSTRIFSGQLGNLELAAASLGNQGIQLFAYGLMLGMGSAVETLCGQAFGARKYDMLGVYLQRSVIVLTITGIPITLVYVFSKPMLLLLGQSPSMASAATLFVYGLIPQVFAYAINFPIQKFLQAQSIVAPSAYISAGALVVHLILSWVMVYKFGLGLIGASLTLSLSWWIIVFAQFVYILTSDRCKETWNGFSSNAFCGLWEFVKLSSGSAVMLCLETWYYQILVLIAGLLQDPQLALDALSVCMGVSALLFMVSVGFNAAASVRVGNELGAGNPKSAAFSVVTVTVVSFLISVVEAMIVLSTRHVISYAFTSGETVANAVSDLCPLLAITIILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYVVGIPLGCVMGFYFNLGVKGIWSGMIGGTAMQTVILLWSTFRTDWNKEVEKASKRLDKWEANKETLLKK >cds-PLY92849.1 pep primary_assembly:Lsat_Salinas_v7:5:329268776:329269366:-1 gene:gene-LSAT_5X181660 transcript:rna-gnl|WGS:NBSK|LSAT_5X181660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHKFKSKWESNTLLFDNDYGYDGVSWRQRNFMCNFCKKEYKSAQALGGHMNVHRRDRARLRLSSPSLDQHPNPNPNPSFSSQPSWLQCLPYKTFHSSLFSLSSQSSIVDKEDKQGMFTFLPHSDSNSLGDVRKNVNMEGFVANAHGGLSGNSWDQENGSTVLKKRESFRVEMEMGLLTDGNIEMDLDLELRLGRS >cds-PLY74631.1 pep primary_assembly:Lsat_Salinas_v7:7:37076175:37077152:1 gene:gene-LSAT_7X27520 transcript:rna-gnl|WGS:NBSK|LSAT_7X27520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAMTTFNSYSPQEQAQESTDTPQLSKKPKTLLHKHPLYTPTHSKLSLQFKEKILCLEVMGIDSGKALSLNPSIHSTSLDSIHSIITFLRSKGIQQKDLPRIIGMCPQILTSTITNDLIPIFNFLSHDLKIPDHNFRRVINKCPRLLVSSVENQLKPALFYLQRLGFRDLGALAYQDCVLLVSNVENTLIPKLDYLIGLGFSKREAIEMVLRCPGLFTFSLENNFKPKFEYFEKEMMRDLSELKDFPQYFAFSLEKRIKPRHLEALECGIKIPLPLLLKTTDEEFADLLKQGDNNKSRYL >cds-PLY85996.1 pep primary_assembly:Lsat_Salinas_v7:3:132975827:132978787:1 gene:gene-LSAT_3X89881 transcript:rna-gnl|WGS:NBSK|LSAT_3X89881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIPPLTDPLSSSLLRSTTASVPTTAFFHRQMPCLISSPPVLAARLSSPCRLTDPRRPSLTVAAATTLMSNSAQPKSGVLIVGDFMTKKEELHVVKPTTTVDEALKSLVENRITGFPVIDENWKLVGVVSDYDLLALDSISGTGRADTDMFPEVDSTWKTFNEVQKLLSKTDGKVVGDLMTSAPLVVRETTNLEDAARLLLETKYRRLPVVDDEGKLVGIITRGNVVRAALKIKKENEMK >cds-PLY73954.1 pep primary_assembly:Lsat_Salinas_v7:5:36432906:36434829:1 gene:gene-LSAT_5X17920 transcript:rna-gnl|WGS:NBSK|LSAT_5X17920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVINPATEQIIGDIPAATEEDVNVAVDAAHKALKRNGGKEWASASGAHRAKYLRAIASKIVEKKSELAKLEAIDCGKPLEEAAWDMNDVAGCFEYNADLAEELDRNQNASVSLPMDTFKCHLIREPIGVVGLITPWNYPLLMATWKVAPAMAAGCAAILKPSELASLPVTLELGGKSPIVVFDDVDIDKAVEWPLFGCFWTNGQICSATSRLLVHESISEEFLEKLVKWAKNIKDLFLVRLMEFCNLFFYHM >cds-PLY81689.1 pep primary_assembly:Lsat_Salinas_v7:6:102171620:102174473:-1 gene:gene-LSAT_6X67421 transcript:rna-gnl|WGS:NBSK|LSAT_6X67421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSSFLPLHCISLHQRTSNPYTHYSLPILTKNPKRIKLTSLTIVSAGSDIRKEEVVIVGAGIAGLSTAVSLHRLGVRSVVLEQAESLRTGGTSLTLFKNGWKVLDAMGVGDELRSQYLEILGIVIKTENGRVLRAFTFKDEDQTQEVRAVERRTLLETLAKQLPLGSISFSSKLADIQKHENDETLLQLVNGTRISSKVVIGCDGIRSSVAKWMGFSEPKYVGYCAFRGLGEYPDGQPYEPRVNYIYGRGIRAAYVPVSPTKVYWFVCFNSPTPGPKITDQSVLKKQTKELIKNWDSELLNIIDATPDDTVIRTPLVDRWLWPGLSPNASLGGTVVVGDAWHPMTPNLGQGACCALEDAIVLVQKLAPALKAGPMVVDDALKAYQNERWRRIFPLTVRANVTGAILQLENPLVCSLRDHLLVPKLIRLGPMMEHTNFECAPLLQTKI >cds-PLY87770.1 pep primary_assembly:Lsat_Salinas_v7:1:46530003:46534151:-1 gene:gene-LSAT_1X40720 transcript:rna-gnl|WGS:NBSK|LSAT_1X40720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVSGPLTPGQVSFLLGIIPICVAWLYSEFLEYKKTAASLKTGRDSDINLVELDNNTVKEDDRAVLLEGGGLQLASPRSHSSSITSPVVRLFTMDESFLLENRLTLRAISEFGLILFYFYLCDRTNFFGDSTKSYNRDLFLFLYFLLIIVSAITSFKIHHDKSPFSGKAILYLNRHQTEEWKGWMQVLFLMYHYFAATEFYNAIRMFIAAYVWMTGFGNFSYYYIRKDFSLARFAQMMWRLNFLVMFCCVVLNNSYMLYYICPMHTLFTLMVYGALGIFNKYNDNNTVIAAKFAACFLTVILIWEVPGVFEFLWAPFTFLVGYTDPAKPNLPVMHEWHFRSGLDRYIWIIGMIYAYYHPTVERWMEKLEEAEIKRRISIKTLVIIISVTIGYLWLEYIYKLPKLTYNKYHPYTSWIPITVYISLRNVTQCFRSYTLTLFAWLGKITLETYISQIHIWLRSGVPDGQPKLLLALIPDYPMLNFMLTTSIYVAVSYRLFELTNTLKIAFVPSKDDKRLTYNVITGIVISTLVYTLSFILLKLPQVMG >cds-PLY64186.1 pep primary_assembly:Lsat_Salinas_v7:7:4319732:4320046:-1 gene:gene-LSAT_7X3440 transcript:rna-gnl|WGS:NBSK|LSAT_7X3440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRLIGGIGGILGSKFFVPEQKEGVVVPSSTMTPPSLFTGSFSVDHESIFVLEGALGSPQGPFQLRKLSPVDEIGTSSHPLSSEAYALYLEIGRDSLLSEEIIT >cds-PLY88604.1 pep primary_assembly:Lsat_Salinas_v7:MU040060.1:18089:24842:-1 gene:gene-LSAT_0X32880 transcript:rna-gnl|WGS:NBSK|LSAT_0X32880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKERFPVNAEDYKVYEEVGEGVSASVYRALCVPLNKIVAIKVLDLEKCNNDLDGIRREVQTMSLINHPNLLRAYCSFTTGHNLWVVMPYMAGGSCLHIMKTSFPEGFEEPVIATLLREVLKALVYLHAHGHIHRDVKAGNILVDFNGSIKLADFGVSACMFDTGDRQRVRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKEMVAACLVKDPKKRPSSEKLLKHPFFKQARTPDYLQRTILDGLPPLGDRFRMLKAKEADLLLQNSELNGNKDHLSQQEYIRGISAWNFNLEDLKNQAALIQDYDENSNANDQNSSSNSKQENGFNDVGLQLEKPLSPEIIPNHSDDASHLEDEIDEVPNLEDSFASFPMKPLQALKGCFDIDEDSAVDEKLLDTEENGIENQDLKKSLQQPIIIGPKKHSSGSLLPDNVFSSKKLIGDFERESTQPGFRVERSYSGPLQSRQKNNTANSFNTKEDASEEAVVQRKGRFKVTSADLSLKAIAWSMGRRGPMNHHRNGILAEQLQRQKMKNAQLEMKLSDLMKKENIQE >cds-PLY94417.1 pep primary_assembly:Lsat_Salinas_v7:6:8869051:8869677:-1 gene:gene-LSAT_6X5641 transcript:rna-gnl|WGS:NBSK|LSAT_6X5641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAREETAESGVGQQAAYLRGQISEHVKSAKEAEAELAEVLKTLRSLRRVGEPEDPEEAKLEAELVGILETNRYRMERIVQFLSSSSNNNLEPPSKVS >cds-PLY84833.1 pep primary_assembly:Lsat_Salinas_v7:4:145318658:145319996:1 gene:gene-LSAT_4X90520 transcript:rna-gnl|WGS:NBSK|LSAT_4X90520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKASKIMNTRIFDADHEVLKAFKGSGIEIMIGLGNEFLTDISINEDRAMNWVKENVEPFVPGTRIVGIAVGNEILGGGNQELWEVLLPAVKNVHNALVRLNLADKVKVSSPHSAAVFQISYPPSAGEFKESVLPYMKPLLDFFSQIKSPFYINTYPFLAYISDPEHIDLNYALFKKNPGVYDQKTKLHYDNMFEAQIDASYAALEKAGFEKMEVIVSETGWASHGDANEAAATLTNARTYNMNLRKRLLKKKGTPYRPKMVVKAYVFAMFNENLKPGPTSERNFGLFKADGSVSYSIGFTGLVASSATSVFSLKLMYAISAALVLIVSL >cds-PLY66841.1 pep primary_assembly:Lsat_Salinas_v7:7:21317678:21318528:1 gene:gene-LSAT_7X17261 transcript:rna-gnl|WGS:NBSK|LSAT_7X17261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAFLTPLNPTSASRDNVIHETASFADETQALSSESAISSVLDLSIRSLPSDHGLPLQQALPDVPQGMVDAVNWGGELFSNLTAGTLEQIT >cds-PLY88735.1 pep primary_assembly:Lsat_Salinas_v7:7:193822635:193828721:1 gene:gene-LSAT_7X114421 transcript:rna-gnl|WGS:NBSK|LSAT_7X114421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTIQPDTTLESLKDGDVLLVAAVRGFDFSILIEYEILWLIRSATHLIWTFEGEFHYACQLVNEMLEPGFLDLLYSFLDGAIDGAKYEDECRTVLGTWLFLVFTLDNLIDKLTKFESRTLLFLDQVLEKICNSTCIYDQNFTIKVDVEGSWTKAVKNVLNSVQGNVLMENNGKVNISGYINPTLLLKCLEKAGKTAEIVHWEYGECSSNLFEKTESPLPLPPINNNGYPPNYNYNYRRRRNYAFNHLECAGNASECCGHHIQNPITPIKSSSSQTHFQKLASGHPPCCSLM >cds-PLY80167.1 pep primary_assembly:Lsat_Salinas_v7:8:116220685:116229167:-1 gene:gene-LSAT_8X79781 transcript:rna-gnl|WGS:NBSK|LSAT_8X79781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSKRVPNQEKSNTESKSKDETQGETQLESKPEDEQKSASSVTTAEAKGKGKEKKPVSPKGKSPMDAAKKGKAKGIVIGEAKEPETETTVEKSGKKRKREFAVKSCYSLRSGLKGTAVEVGQQKKVGRKSAVKKVKGEKDEYGTFYPCGDDGSYDMKGDGEGEGYNINVPWENRKCGDGDHILIPVAREFKRVQGRGRKRARRPMKTLVYISDFFGSFGASKSYMEFVVVADGGDGVRNGKFDLGVWKRTSIRWETICSRSWESTIYKSSVKIRCYFFLEIMRKGRSREVTIGTWIQRKLEMAVDVTNHTHLLLNHGEDGEGTATSLS >cds-PLY64546.1 pep primary_assembly:Lsat_Salinas_v7:6:36885041:36886130:-1 gene:gene-LSAT_6X28181 transcript:rna-gnl|WGS:NBSK|LSAT_6X28181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit T, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G09350) UniProtKB/Swiss-Prot;Acc:Q9SMS0] MAARTAIPSPQLSLLLGRRATTTTTSTTVFCWKPTTGVGSKRVLRVYAADGEGGGGGGKRRAPPGIDTRIHWENEDDGWVGGSATESATEEDQTNNLLGEKFSELLNNSTDSHYQFLGVAAEADLEEIKAAYRRLSKEYHPDTTSLPLKTASEMFMRLREVYDVLSDSEKRRFYDWTLAQESASREAEKMRVKLEDPYMKDIENFVSIPDMVDRLGGRNMELSDQAKSALTFDIIVIFISICCIIYVVFFKEPY >cds-PLY88728.1 pep primary_assembly:Lsat_Salinas_v7:7:193683471:193685958:-1 gene:gene-LSAT_7X114520 transcript:rna-gnl|WGS:NBSK|LSAT_7X114520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDILDTIQYLSELREVKKQGRKERRHKEAQDVLAAATTAAAASSRLSSFRKDTLEESAHHENCYFALRNNCHKRIALPYTGDKFLLHXLLHKQSTKWITVMTMAPPRLEKGVGVLYKPVIEKTIPGRESEFDLRKSRKMAPPYRVMLHNDNYNKREYVVQVLMKLTRIGRDAVLYVESLIESIMGGLEGLINILDSEGASTRSQLKLQMAFDGQERYMKRSWEPSDKADLHFVYKDVEGVSTHRDDIHRKLRNLPPKPSAFEPNPFTPAEDEDSKPKTKSRIDNKTEELKDLEDDLDDSCFLEEYKYLQLP >cds-PLY73655.1 pep primary_assembly:Lsat_Salinas_v7:5:205919318:205920437:-1 gene:gene-LSAT_5X93841 transcript:rna-gnl|WGS:NBSK|LSAT_5X93841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGQLFLIKHLLILREQIAPSDIEFSVTHKELDFSQLFEHLRRILRGQTSLFDWSRSTSLARTLSPRVLESQIDAKKELVKNLKATCENLSSSVDPMLSFVTKVTAVRVALSSGDQNQKLE >cds-PLY63044.1 pep primary_assembly:Lsat_Salinas_v7:8:75837735:75840460:1 gene:gene-LSAT_8X53361 transcript:rna-gnl|WGS:NBSK|LSAT_8X53361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKLEYKGEEALKELQKLTSEADKVQYELLKAILTRNNKTEYLQKHMKGFSMNDVSVFKHKVPVITYKDIYPYIQRIANGEDSSLISGHPITEMLCSSGTSGGEPKLMPSIAEDLDRRTFVYNLIMPIMNQYVTGLDEGKAMFLYFVKSEMSTPCGLPARTVLTSYYKSKHFKTRTRDLYNDQTSPDEAILCDDSNQSMYCQLLAGLIHRQQVMRLGAVFASALLRAISFLERNWLSLCTDIRTGQLNPMITDSGCRSAMSAMLKLADPSLGDEIENICSRRSWKGIVCDLWPKAKYIEAVVTGSMSQYIPALEYYSRGKLPLVCTMYASSECYFGVNLKPLCDPEDVAFTLLPNMGYYEFIPLGENETYGNDDEEISTDTLVKLVDVKLGCYYELVVTTFSGLNRYRIGDVLQVTGFHNRTPQFRFICRRNVILSIDNDKTNEEDLHKSIMAAKRLLEPYNALLVEYTSYADTSSVPGHYVIYWEIKHCTPSVLDKVTSPFGPKVLEDCCIAMEEDLDYIYRRCRTHDKCIGPLEIRVVKPGTFDSLMDLFIKQGASINQYKTPRCIKSEAALKLLNSNMKACFFSPRDPTWNP >cds-PLY68429.1 pep primary_assembly:Lsat_Salinas_v7:2:60443067:60453411:1 gene:gene-LSAT_2X27860 transcript:rna-gnl|WGS:NBSK|LSAT_2X27860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METVEEGQNQPKIRRKLRKSEKIVVKGELDRISALPDCLLLEILSRLPTTKDSIRTGTLSKRWNHLWTLVPNLTFIHGGRQTWPDFALCVDKTLNQCRQLKLKKFHVCSRYSRGFVGFESHINNWIRYALRCNVEEFNLTLPKEKQTFLLDQFFFINTCFTDLKLQACVFTPIGAISWKNLRSLCISFGKLNEDLIENILSGSPLLETLELKFCYGFRKMDITSKSVKKLVLSGYLDVDNAIDAHIIEINAPYVLSLTIEGNLWLWKLLLLNVSSLVEVYLNYDILFTWDMTREETEDEMFKGFILKLRHVKELKIGVFCSLKHIYESPIDNALFSGFHMVSRGEPPIGSARSGAIPSPGSNSVDQFVDSFQRARGSNVLDQIPIYPSMRKMICLNIAGQFEDASIIQSIPSTLKMMFNGPWTTWKDVDTINSEALWTEVMKSRFSDTMTNARKESVKLVKAKNVNASGDMSLLKPFNPKWIRSEYWEKTIDEVWKTNK >cds-PLY65061.1 pep primary_assembly:Lsat_Salinas_v7:1:119600583:119606277:-1 gene:gene-LSAT_1X91940 transcript:rna-gnl|WGS:NBSK|LSAT_1X91940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSEIPEGSSSSSSTHGPSSSTCGPSSSTQDHRYDVFLSFRGVDTRLNFTNYLYEALLDANINTFLDDEEIETGEDLKPELESAIKASRASIIVLSKNYASSTWCLDELVLILEQRMKYNHIIIPIFYHVEPTHVRKQQSSFGDAMAEHKQKMERETNANKRSQWAQKMDRWNKALTEVADLKGKDVTGRFETEFIEEIVKDVYRRLHVPLKSVQPLFIGMDYSINFITSWLKDGSSHIVDILTISGLGGIGKTSLAKHVYGLYSHEFNKSSYIEDITRRCDGKYNGLLDIQKQLCGDISKTSSIQVYDVSKYTSMIENVVARKRLFLVLDDINSIDQLDALLGSKGFHPGSKVIITTKDKCLTESCALFKTNIKPKHVEHFLEGLNKTESRQLLCSHAFMCNHPKEGYEEVSGKLLEYCQGHPLALEVLGKVLHNRGVAYWEGCMKGLKKETNDRINNVLRMSFNSLPSKNDKELFKHIACFFVGTDRYVSETILEACDIDMRSGITNLIDRCLLSIGWNNELKMHQLVQEMGRFEVHQESPDKPWKRSRLWCHMDSFRVLKRKKGKGNLLGLSLDMHMLEKEKLGASYELKTEALSNMDNLMLLKLNYVYMNGSYENFPEEIKWLCMNGFRLKSIPLDLPMQNLVALDMSYSNIESFSGCYSNPHRLEKRQKLDGSCFKEKRLFASLKILNLSFCEQLHSVGDFDQLPALERLILRNCIGLVDVCESIRQCVELILIDLSYCMKLEKLPRNIGMLNKVETMLLDGCNLGESGIKNMDMDSLEMCTVTNIGINRVFMGCIPRDLKSSAMSLPRSLVTLSLEENKLSNESFPMDFSCLSMLKELYLDGNLFNSMPSCVRTLPRLEILSMNDCNLKSVEYPPRTLKKLFLDVVDERKKLNKVVFVPDMSPLQLSIEWFDLTSSSLGLNCEIQGVIKIQEMMTVDEKVLRSLGWTNLDFLNERHVGTNSSESKIQVLSS >cds-PLY99392.1 pep primary_assembly:Lsat_Salinas_v7:4:103615836:103616003:-1 gene:gene-LSAT_4X67760 transcript:rna-gnl|WGS:NBSK|LSAT_4X67760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKVNQRSNEAEVTMVRDLKSATKCDHGGEVAVGGGNVTTSGDVTIGGSDCGGVH >cds-PLY65226.1 pep primary_assembly:Lsat_Salinas_v7:8:19557004:19557318:1 gene:gene-LSAT_8X14100 transcript:rna-gnl|WGS:NBSK|LSAT_8X14100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFKSNNISEDNNNQGWQKVTYAKKNRKNQPKQQVPQPKALPNGSVVAGNDNVFTAIEKKSEERRKVIEAQRLSIYDPAPPPVKSSRKKNYSCVNLSPSFFSLL >cds-PLY93776.1 pep primary_assembly:Lsat_Salinas_v7:6:141985947:141986827:1 gene:gene-LSAT_6X84640 transcript:rna-gnl|WGS:NBSK|LSAT_6X84640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRTVHTFSLFILLSFLILHCSSTLAHSEKLNEKNPCKRLVLYYHDILFNGTNASNATSAATANHTKLGKFDHGMLVVFDDPMTKDNHLLSPAVARAQGFYFYDMKTTYNAWFSYTLIFNSSEYKGTLNIMGADMMGEETRDLSVVGGTGDFFMARGIATFRTDSVQDASFNR >cds-PLY77874.1 pep primary_assembly:Lsat_Salinas_v7:1:23638854:23639252:1 gene:gene-LSAT_1X19901 transcript:rna-gnl|WGS:NBSK|LSAT_1X19901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHQEIDLLKVVKKAFEPKSTRGLDLPLPLIIRFLDVLKNRLESIQSAFNCVVELHEYGSHYQGVYPVKCIHDWFVVEDIVKFGSSFRFGLEVGSKPELLLAMSYLCKGSSNSLLICNDFKDVEYISLALIA >cds-PLY82611.1 pep primary_assembly:Lsat_Salinas_v7:8:176579805:176580635:1 gene:gene-LSAT_8X114661 transcript:rna-gnl|WGS:NBSK|LSAT_8X114661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEECFHVIKKLQEIHEQKEGEAQSFKTRIVEKDVQLASLNEFFSLVEEKEQQKRALSDQEASDFQWLMKEGISSFLRAVTNSADFGDVNAALQTVVIQLGLRHSCLEMKEMYVEALDSKNVHYSYPDAQHHVLYHFSYMITHNYSLFNLLKREKFHVDTLKKELAGLDLILKWDGASSST >cds-PLY64594.1 pep primary_assembly:Lsat_Salinas_v7:6:38703815:38705302:-1 gene:gene-LSAT_6X31200 transcript:rna-gnl|WGS:NBSK|LSAT_6X31200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSNLHFARFIKKHPTIGEDQRIAEKSIEFGIKFTRVLHQSSEKPVAMNDHPPPRLLPPRLIVPRTTPEILKQTTTSIFSSGQNLLLILLLSFFLLSLRGSVEMATHFLTSFIDNNPSIKSFIDRDNVPPNPTTSTTTTALRQFVQLIRGGIVDDNFLPGDNSFNHQLNATSLILDSFDSQMGFSNFVSDNGIRTSEIVRSTAKMNFRSIKIIQKEEINDSAPFNETGISQTYYQSFLKRFDRELHEYTAITIFILAFAASYWFLVQLFIFTYTKIHGIIFVLVLNDFCKRSYSFAITYSNGSSLAVERLSGSFIVMRWVFRDVFIQLMCFWFLGGIDDPYSQLKIFVWSKFMPFSIMSPWDKGFEKEIYEFKVSCFLLDKLMSFVFDVGAWIVMADSRKNMNEVVQEVWHLLYLMIESATELKCCEYIVCGRNTKWLLTLCFGELFAMAFQSFMEVYFMVAWLMYYLSVKSIDANSRGQPFGQRELKAMLRDVR >cds-PLY92362.1 pep primary_assembly:Lsat_Salinas_v7:3:123983342:123984429:-1 gene:gene-LSAT_3X86301 transcript:rna-gnl|WGS:NBSK|LSAT_3X86301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSEVFIAGDHLPSDGAGFFDTEGFTPSDTQENQFLLSPQEPVFSFSGSDDSTPKTNSSDDATVMDERKRRRMISNRESARRSRMRKQKHLENLRNQMNRLKTNNREVANRLRVVNLHGKLVREENQRLRSESVMLQQKLWDIRQVLLVRQLHHQLLPSAWPCNNNVTSIYEQYPPSLIT >cds-PLY85865.1 pep primary_assembly:Lsat_Salinas_v7:8:179151951:179161156:1 gene:gene-LSAT_8X117061 transcript:rna-gnl|WGS:NBSK|LSAT_8X117061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNNSELKKELLEQVYHENCPGCKVDRQKRMQKGLPIKELIFIWIVVLCVALPISSLFPFLYFMIKDFNIAEKEEDISYYAGFVGSAYMIGRAMTSVFWGVVADRYGRKPVILLGTSTVVIFNTLFGFSVNYWMAIATRFLLGFLNGLLGPIKAYACELFPEEHQALGLSAISTSWGIGLIIGPALGGYLAQPAEKFPALVSSDSLFGRFPYLLPCLCISIFALFVTIAAFWLPETLHFHKKDELESASYETEGKSNEGKDSSLGSLLKNWPLMSSIIVYCVFSLHDMAYSEIFSLWAVSPKSLGGLNYTTEDVGTVLSVTGVGLLVFQFTLYPIMERIFGPIVVARIAGVVSIPLLTSYPFIAMLTGFALSLTLNCASIIKNVLSVSIITGTFMLQNKAVDQHQRGAANGIAMTMQSICKAIGPACGGALLSWSQQRKNAAFLPGDQMIFFILNIIEAIGVILTFKPFLITHHY >cds-PLY74277.1 pep primary_assembly:Lsat_Salinas_v7:1:175441241:175442176:-1 gene:gene-LSAT_1X115520 transcript:rna-gnl|WGS:NBSK|LSAT_1X115520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDLWRSIMPPADEPMFHVLPGDPYFPDYASVYQPISPVEHAPMQSDEFEPEEDPNEDPEEDPEEEMEEEPKDDPEEDMDEDEVIIITDPESPAPIPPSPSRSFLGFSLRRSRKTARISVPKPVTIKYSLRSPCTKKTMEPPVSESNHENQRTTGKRTAGTFEEGQTSGAAPASDMDIDKLSFLLEQNVRLHGQMWHVNDELSNMQGQSIKTKEEMARIAGLISIQILQDNLCQEMDYRHNSWTYFDSRMTNVETQSQIAFSAAHGVEERHALLEEKHESLEEKHKILEEKYDDMSRSVDKFFSFKKKKTN >cds-PLY99559.1 pep primary_assembly:Lsat_Salinas_v7:8:80830954:80831334:1 gene:gene-LSAT_8X57961 transcript:rna-gnl|WGS:NBSK|LSAT_8X57961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIIVQWRKRNTTGNNPKVDQWSSGRIRKYDRATQEAVASYRSPEREIAASWCFTHPERRMKRGQQWLLEKLLCSSISEEGYEGRELGVLGVLKGRRAEKSSNKKHNVAGGSVAFALTGEEEMCER >cds-PLY80592.1 pep primary_assembly:Lsat_Salinas_v7:6:12324557:12328329:-1 gene:gene-LSAT_6X8301 transcript:rna-gnl|WGS:NBSK|LSAT_6X8301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMEDDSSSGNVAVEFTFTAVEIDLDYEFEAARFFDFTREESTVEAREAEMWFESVESYPPSPFAVRLISREQNENANIHTESKGFNTNQLDNVSNVVRAQEGSSMALTKTNTDGDVANGGVSLDLKSYCLQTFQKQQPRRNDNYKSKIKSNLKPSFPRTSTLMKPTASQLAKQNQERLMDHSRVQKSGNNSINVEGQAAKRQKLEGGLLCKVTDTKQQANFIHKAPKKEGGMDSKLHITVPRPPDLATAQRAQRSQRIRQKGDTGNENVASRAHGFRALPLNRKIFETPSLLQQKRSTPQLPEFQEFHLKTTERAAQNTAAVPSTSSYCNNLKVPQKPRFSFATESKQEDCETISRFKALPLNKKIFSSKGDLGVFRSSKRETTVAMAFNFQTEKRAQNAPPIDLFNKV >cds-PLY95722.1 pep primary_assembly:Lsat_Salinas_v7:2:114943818:114945481:-1 gene:gene-LSAT_2X53240 transcript:rna-gnl|WGS:NBSK|LSAT_2X53240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYQTFFLVVSCLFPLAIYAYIISSRRNSRLPPGPKGFPVIGNLLEFGDKPHQSLAILSKRYGPLMSLKLGSNTTIVISSPDITKQFFNTHDVAFLNRSPPMAIQIGDYHKYSIVWMEAGDQWRKLRRMTKEYMFSVQQLDASELLRREKVQELVNHANRCCIEEKPLNVGACAFTTSLNILSNFMFSIDLAEYGPKSTQQFQDLVLQGMQSGARPGLPDLFPILHSLDPLGLIWSENVYGKKMLAIFDKIINDRLKTRSDGVSTKSNDVLDLLLDQHSSFTQNDMRHLFLTLFMAGTDTTSSTLEWAMSELIRNPEKMKKARLEVDKLMQNNNNGSIQESDMSQLTYLQAVIKETLRLHPPAPFLIPRQALHDVTIQGFIVPKNAQILCNVWAMGRDPNIWSDPEMFMPERFLDVKIDYKGQDFELIPFGAGRRMCPGLNLANRMLHIILGSLIHKFDWKLVGNTRPEDIDMGEKYGITLQKAEPLMVIPTKL >cds-PLY89580.1 pep primary_assembly:Lsat_Salinas_v7:4:366437891:366439076:1 gene:gene-LSAT_4X177261 transcript:rna-gnl|WGS:NBSK|LSAT_4X177261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPPEPMFFGYEDHFQGGDPLMRRSMSYSGREVFEETTGGCDGETSEDEGSQLGEKKRRLNLEQVKALEKSFELGNKLEPDRKRQLARALGLQPRQVAIWFQNRRARWKTKQLERDYNVLKKQVDSIRADNDSLKNKNQKLHAQLMAIKGQEPNGLNLNKETEGSWSNGSESEDNTTLFYTQISNNLPTGAAMIGGLGRPYLLRQPLNQTVVTGDEGFCNMLNVMEEQPAFWPWPEAEAPHPQL >cds-PLY62040.1 pep primary_assembly:Lsat_Salinas_v7:5:130829372:130832302:-1 gene:gene-LSAT_5X55720 transcript:rna-gnl|WGS:NBSK|LSAT_5X55720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYFKIADSNKDGRINGVEAVPFFQTTGLPKAILAKIWSYVDVNGNGYLNRSEFNNYLKLVTVAQSKRELTPDIVKAALYGPASPKIPVPQIDLEALAVP >cds-PLY81468.1 pep primary_assembly:Lsat_Salinas_v7:5:334936590:334938510:1 gene:gene-LSAT_5X188240 transcript:rna-gnl|WGS:NBSK|LSAT_5X188240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTHGHMRSIKLWPPSQITRQVLVDRIVKNLATPSILSRKYGLLSKEDAEEDAKLIESAAFVAASQHFDKDPDSDGGSAVQLYAKESSKLMVQVIKRGSRGKDDRETIIPELVTLPSDHETVFDISGGRRAFIEAEEAKELLKPLKESGNKYTKICFSNRSFGLPAGHVAASILSAMKDQLTDVDFSDIVSRRPEPEAVEVMRMLSSALDGSNLNYLNLSNNSLGERGIRAFGDLLKSQKNLVELYLMNAGISEHAAKALRELIPSTNKIKILHFHNNVTKDEGAVAISQIVKESPNLEDFRCSSTRVGSVGGVALSESLQNSTLLKKLDLRDNMFGPQSGVALGRALSVPVKLTEVYLSHLRLEDEGVTAIVNGLKGSASALEILDLAGNSLTSEAAPAVAACITSKKHSLTKLNLSENELKDAGAMVIGVALEGDIGRLNIVDLSSNGIRTGGATALAKAVVGKPEFRMLNINGNFLSNKGVEDVREIFKNSPRMLGSLDDNDPNGKDDDDGDNNQDA >cds-PLY65004.1 pep primary_assembly:Lsat_Salinas_v7:8:88957727:88959367:-1 gene:gene-LSAT_8X61661 transcript:rna-gnl|WGS:NBSK|LSAT_8X61661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDRNNGVLHGKYELGKQLGHGTFAKVYHARNLQTGKSVAMKMVAKDKVIKVGMTDHIQREISVMKMVRHPNIVELHEVMASKSKIYFAMELVRGGELFSKVEKGRLREDVARSYFQQLISAVDFCHSRGVYHRDLKPENLLLDGEGNLKVTDFGLSALSDHLRQDGLLHTTCGTPAYVAPEVIGKKGYSGAQADIWSCGVILYVLLAGFLPFQDENIVAMYRKIYKGDFKCPPWFSSDARRLIVKLLDPNPKSRITISKIMQSTWFKKATPKKPNFSGEDEGMHLKGKEGESLNAFHIISLSEGFDLSPLFEEKKREEKQEIRFATMKSAGAVVSKLEEVAKAVKFSVKKSGDESSLRLQGLENGRKGKLGIAADIFAVTPSFLVVEVTKSSGDTLEYNQFCSKELRPALKDIVWTSPANHSTPA >cds-PLY77150.1 pep primary_assembly:Lsat_Salinas_v7:7:183386786:183387975:-1 gene:gene-LSAT_7X109420 transcript:rna-gnl|WGS:NBSK|LSAT_7X109420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKLFIAVILFTFFTAWTVKAWTGEIHGRVVCDVCADGSVGPEDHVLEGAEVAVLCITKSGEVLNYQAFTNSKGIYTVAETMPESERWDACLARPISSFHEHCTHLGDGFSGVKFGYNHVSGYSHAVRPFVYRHASIPMYCA >cds-PLY79870.1 pep primary_assembly:Lsat_Salinas_v7:8:18379129:18380822:1 gene:gene-LSAT_8X14480 transcript:rna-gnl|WGS:NBSK|LSAT_8X14480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPKPPSPPHVLIFPCPAQGHVNSMLKLTELLLRAGLHITFLISAKDHDRLYRFTTVHSRLSSYPGFRFHVIHGLYEGPIDTDEKLNLMLIDSLPKVTTPLLRMLLLDSQVTCFIADGILGFSLDAAAGTGVPVMFFRTISACAFWAYFCIPDLINSGDLPFQGTNLDERIVNVKGMEGFLRRRDLPSFCRSGLSNTTFQEVTSITRRTPDAHALILNTFEDLEGPILSHIQKHCPNIYTIGPLHAHLKAQSISKSTSSNSLLQEDRTCIGWLDQHAPKSVLYVSFGSIATLTRDQLIEFWHGIVNSEKPFLWVIREDLVSSLDDENNVPSELEKGTKERGYLVGWAPQEDVLTHSAVGAFLTHNGWNSTLESIVEGVPMVSWPFFADQQPNSRFVEAVWKLGLDMKDTCDRRIVEKIVKEVMEVRKEEFEESARRMAKLAKESVSSGGSSYRNLDRLIEDIKMMTPQSN >cds-PLY76179.1 pep primary_assembly:Lsat_Salinas_v7:4:54828493:54829540:1 gene:gene-LSAT_4X37260 transcript:rna-gnl|WGS:NBSK|LSAT_4X37260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDALKSLENKLLVLPIFNQVEGIEEFLNSSRSETIGLSIESPKRIHVTSVRVPRTICRTSTRKKANNFETESLHATLSRATSRGVRTKLDGEVNEFLKTPMVSSTRKKGTTTSTHQENLKKETTTTTTTTTIHKAYNTRISTKLTAKKFEGLEVLERERSEPIKLDSFLDEVKDLGNQSDENSKNEKEVMHVDTTTLAS >cds-PLY94377.1 pep primary_assembly:Lsat_Salinas_v7:6:9230627:9235094:1 gene:gene-LSAT_6X6281 transcript:rna-gnl|WGS:NBSK|LSAT_6X6281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKVFADRMSQPSRAVLIFCKINGIDFEEIRVDVLKNQQFSPEYKAINPMSQVPAIVDGRFKLFESHAILIYLSCSFPGVSSHWYPGDVSKRAKIHSVLDWHHSNLRRGAAGVVFNTILAPLNGIPSSPQAAKEAEKILMRSLSKLENFWLKDGRFLVGSSQPSIADLSLVCELMQLELLSEEECHRILSPYKKVVEWMEGTKKATAPHFDEVHEFLFKAQKRFREQTSKKESGKNEFKSKLKNGIDFEEIRVDVFKNQQFTPEYKAINPMSQVPAIVNGRFKLFESHAILIYLSCAFPGVASHWYPGDVSKRAKIHSVLDWHHSNLRRGTVGLVFNTILAPQIGLPSNLQTAKESEKLLMKSLTKLENFWLKDGSFLVGSSQLSIADLSLVCEIMQLELLSETDCNRILSPYKKVVQWMADTKKATAPHFDEVHEFLFKAQKRFREQTSKESEKDELKSKL >cds-PLY76871.1 pep primary_assembly:Lsat_Salinas_v7:3:3674666:3675464:-1 gene:gene-LSAT_3X1961 transcript:rna-gnl|WGS:NBSK|LSAT_3X1961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHGLCLENLKIMIYITISSVLLILFIQSLHRKIPSISIEEFYVNTLNNTATGNTTATPTTKNTTIYFDLKLYNHNPVGLYYRPMNLTFSYFPNKTTNSSIPLAVYTLRGFHQDHHKEKHFRDTVMTGGMMVQPGGGSVMVMRVDLVGRVKFKSIAQWKRSVVVGIDVEVDEFTGEKLKKNSIKLIPSGAAQVVDWLICLPAPAVTLLILSCSFNLMFL >cds-PLY71521.1 pep primary_assembly:Lsat_Salinas_v7:7:29825939:29827336:1 gene:gene-LSAT_7X22361 transcript:rna-gnl|WGS:NBSK|LSAT_7X22361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTLEIHEGGINFLIHVYKQEFKNLGGYLVNMEKSGNREEELFPVISTHNQKGSSVGSDVCSPYTEKLPDHDVQMAENTKAAQMIEKTKALNEQLKSYYREISDVFRNGLLSDMVKLGTPGWRKRYYKYKFSAETEVDMENTRKEVVEKYTEGLCWVLLYYFSGVAYGHGFTHSIMGHLHQILKVFQVLKLCFR >cds-PLY99335.1 pep primary_assembly:Lsat_Salinas_v7:1:69819232:69821422:-1 gene:gene-LSAT_1X59540 transcript:rna-gnl|WGS:NBSK|LSAT_1X59540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein-like protein [Source:Projected from Arabidopsis thaliana (AT1G54390) UniProtKB/TrEMBL;Acc:F4HWW1] MAIARTGVFVDDYLEYASTLPAELQRLLNTIRELDERSQSMINQTRQQTKNCLDMASQNTHKSLHEDTDMAFEKMKKEIEANQDNALSLCTEKVLLARQAYDLIDSHVKRLDEDLHNFAEDLKQEGKLPADEPAILPPLPLVPKIEKRKLPYIVPQSKKLDYRDREWDHRDRDFELMPPPGGFKRDYSTATATATSLDIDQPIDPNEPTYCVCHQVSFGDMIACDNENCQGGEWFHYSCVGLTPETRFKGKWYCPTCRQLPL >cds-PLY90613.1 pep primary_assembly:Lsat_Salinas_v7:6:49927469:49928244:1 gene:gene-LSAT_6X36260 transcript:rna-gnl|WGS:NBSK|LSAT_6X36260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAREVYEEKLRTRNLYHEPTIKPGLGTPRCPRCLSSLKSTSGLKFEDSISILGIPYIQKHAKNQTSGLNTKYDMGFQISLNSVLHLTMLLQVPHITLFH >cds-PLY95256.1 pep primary_assembly:Lsat_Salinas_v7:8:136524689:136525097:1 gene:gene-LSAT_8X93561 transcript:rna-gnl|WGS:NBSK|LSAT_8X93561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGGAVGKRGGKGSKSGGTSSGRGGKTSAGVDEVEGGGVAEVENEDDTIPEKYLVHLWKEMQDLKVSHYSIEEIKNNLNLTDSHMKQLNDSNDTIEQVLLMSMEEEDPP >cds-PLY82963.1 pep primary_assembly:Lsat_Salinas_v7:1:20348513:20349334:-1 gene:gene-LSAT_1X16941 transcript:rna-gnl|WGS:NBSK|LSAT_1X16941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKFFQLIEVFFAVALISWSSTRFPTVLKVSGEYLLAFSSYLMNQHVVFLLGNVIVVLCYVLSRHTEAGNESGGSGIDNDDGKYNQLNHLKTTVDTPNLKPAPSPVIESSITKKAVEAESRDRKIQNEKAIVKTESEVTTVMVIKQAAKQIERFQRTQSDKLKIQNSMKPRRELRRSVTSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXADESAVTSFDAVDRLSNEEFRIAVEAFISKQQSFLKQQSMVDDGRS >cds-PLY72405.1 pep primary_assembly:Lsat_Salinas_v7:3:188448558:188450125:1 gene:gene-LSAT_3X112400 transcript:rna-gnl|WGS:NBSK|LSAT_3X112400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLILAQRRNFNHFILPIFYHVRNHRRNFEIEVTGSKRTEYKVNRWKAALTEVADLIGMIASGSYLEEIGNHYKQSDGLLGLQKQLLRDILRGKNVSIFSVSEGTRSVEEALQVKNMFIVLDDIDEHDELSALLGTIAFHAQIKIIITTRHLDIRSWFRSISWSCRMHELELLNDHESLELLSHHAFGFQTPMEDFKELAVQLAHYCGGSPLALKGWKPTSS >cds-PLY78619.1 pep primary_assembly:Lsat_Salinas_v7:4:151299834:151301200:-1 gene:gene-LSAT_4X92941 transcript:rna-gnl|WGS:NBSK|LSAT_4X92941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGSNLNLFTAAQWEELEQQALIYKYMVSGVPVPTHLILSVRRSLYNSSFTNPSNQFGVWEGNFQYNQLYQIGGRKIDMEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVEFPSSSSTATSSSSSTTANVSSSPLTTTTNISKSISPSDHLTYHNTTLHTRSSPIQTNFIDYRYLQDERSVFFPQNDPYTSQKMTVNAPSSSDHQNYSHFNFQNLKNQQQHKEEDIDFIKSSEETKSSIQIDTKLDEIPNKQTFHHFFAPQKPNDTPSWVDVDHHHHQHQNLINPQKSPLSTQDLFQSKPRSYW >cds-PLY63846.1 pep primary_assembly:Lsat_Salinas_v7:7:102179735:102179953:1 gene:gene-LSAT_7X67680 transcript:rna-gnl|WGS:NBSK|LSAT_7X67680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENILDVYYTTAMMDPCRNFFELAGNSQVWTTMQENHIFGPDLDSDGGRKHRSGPELADLDDGGGGNRKSGL >cds-PLY99572.1 pep primary_assembly:Lsat_Salinas_v7:7:189422356:189423048:-1 gene:gene-LSAT_7X112181 transcript:rna-gnl|WGS:NBSK|LSAT_7X112181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKINVILRKCKTLSKQIGRSSSFSSLGSNSKSASARHHHDRAAGDGIVWNTNAISVYGYGGDGDSNVSGGEEEPHEIVFVGSSRKRYVISSKYLSHPLVNALIEKSKPVSDDDDDVSVINCEVVLFDHLLWMLENADFNVTSECLDELADLYSS >cds-PLY93968.1 pep primary_assembly:Lsat_Salinas_v7:8:233933233:233941519:-1 gene:gene-LSAT_8X141761 transcript:rna-gnl|WGS:NBSK|LSAT_8X141761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPLAQMTTSDQSRKSNMVIELLKGIHFVSSVEAISLGVQAGIHPWILYDIISNAAGNSWIFKNYIPYLLQGKQIKDDFLNTSVQDLGTVLDTAKSLIFPLPLLAAAHQQFLAGCRHGDANGLDGLKVWERVLGVQIKDAADAETYNPEQLAKQIGTKSQRPNKIGFIGLGAMGFGMATHLVKSNFCVQGYDVYKPTLSRFEKAGGLIGSSPAEVSKDVEVLIVMVTNEVQAESVLYGVNGAVSVLPPGASIVLSSTVSPAFVSQLELRLQNENKGLKLIDAPVSGGVIRASEGTLTIMASGTDEALEHAGSVLTALSEKLYVIKGGCGAGSGVKMVNQLLAGVHIASAAEAMAFGARLGLDTRVLFDVIKNSEGTSWMFENRAPHMVDNDYTPLSALDIFVKDLGIVARECASRRVPLHISTVALQLFLSGSASGWGRIDDSAVVKVYETLTGVKVEGKVAVISKTTTLASLPSEWAVDPLDDIRKLDNNLKTLVVLDDDPTGTQTVHDVDVLTEWNVESLAEQLRSRPKCFFILTNSRALSSDKASALITDICQNLITAAKSVGNNDYTVVLRGDSTLRGHFPEEPDAAVSVLGEMDAWIICPFFLQGGRFTIEDVHYVADSDQLIPAGDTEFAKDASFGYKSSNLREWVEEKTRGRIPASSVSSVSIQLLRKGGPTAVCEYLCSLQKGSTCIVNSASDRDMAVFAAGMAQAELKGKRFLCRTAASFVSARIGIIPKAPILPNDLGISKGKSGGLIVVGSYVPKTTKQVQELKLQCDHNLRSIEVSVDKLAMKSAEEREEEISDAADMADVYLRTGKDTLVMSSRELITGKTPSESLDINFKVSSGLVEIVRRIKTSPRYILAKGGITSSDIATKALEAKRARIVGQALAGVPLWQLGPESRHPGVPYIVFPGNVGDTKALAEVVKSWARPVRISSTKDLLADAEKGGYAVGAFNVYNLEGVEAVISAAEEQKSPAILQIHPSALKQGGNPLVASCISAAEQATVPITVHFDHGNSKQELMEVVELGVDSVMVDGSHLPLKKNISYTKYISSLAHAKGMTVEAELGRLSGTEDDLTVEDYDAKLTDVNQAQEFIDETGIDALAVCIGNVHGKYPANGPKLRLKLLKELYDLASKNGVFLVLHGASGLPKELVQECIELGVRKFNVNTEVRKAYMEILKSPEAHTDLVHVMAAAKEAMKAVVAEKMQLFGSAGKAT >cds-PLY94945.1 pep primary_assembly:Lsat_Salinas_v7:4:110076804:110077721:-1 gene:gene-LSAT_4X71121 transcript:rna-gnl|WGS:NBSK|LSAT_4X71121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASISSNITPHSHVRSISLPSTSDQQSVFNEFYRFQASQETTTSCSSSSFVGDKLNRLNDMYESIQPFLSFPSTKQSLAQGCHKEQLNKFLDELLGLLDLCSTTKDALLISVDNAKELQSVIRRKKGNNHGLTSSFEAYLSQRRKVKKVLCKTLSGLQKHCSSSVKEGQRTKSDINMLKEMRVNTMEVFESLLTFILGSNTQSKPKGWSLVSKMIGNQHAQCHQTLEETEVKKVDHEVHSLITYKKTKSDYLVLDHIQEGLAEMEFSLLDLSEQVECLFKHLIKTRVSVLNILNC >cds-PLY89324.1 pep primary_assembly:Lsat_Salinas_v7:7:177705678:177707675:-1 gene:gene-LSAT_7X105060 transcript:rna-gnl|WGS:NBSK|LSAT_7X105060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKHTEVEVPAAKKDETAPERPKRTLLGWKDKPENTQGSTTTTGFRNREKVLVTCSRRINYRYRHLMLNLVSILPHCKKDNKVESKATKGATLNELVELKSCSSCLFFECRKHKDLYLWMSKCPNGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSSNFDKDPHWKLLKEMITQIFGTPKEHRKSKPYHDHVFVFSIVDDHIWFRNYQISCPHTGADKIDRGGLEKMTLIEVGPRFCLNPIKIFGGSFGGPTLYENPFYVSPNQIRSLEKRQKAGKYAMKVKAKTRRKMHEMTNPLEADEFADMWKE >cds-PLY75099.1 pep primary_assembly:Lsat_Salinas_v7:4:10891885:10894271:-1 gene:gene-LSAT_4X6121 transcript:rna-gnl|WGS:NBSK|LSAT_4X6121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMLGLGSVSEFGEALKLPFRVNDVLPVLPRQISWPVMNSFGKAVDLLPSFVGTISPNNGTLQWKGACFHGNEARMDFTVGDDRGLGGGIIHLKTSEAHSWTCMDLYVFATPYRITWDYYFAANQHTLPFESWEEPAELEYVKQHGVSVFLMPSGMLGTLLSLVDVLPLFANTKWGQDANLKFLKTHMGATFETRSKPWRATINPDDVHSGDFLAVSKIRGRWGGFETLEKWVTGAFAGHTAVCMKDDLGNLWVGESGHENEKGEEIIVVIPWDEWWDLALKDESNPQIALLPLHPEIRAKWNNTAAWEYALSMSGKPYGYHNMIFSWIDTIGDNYPPPIDAHLVISVMSMWTRMQPAYAANMWNEALNMRLGTEGLDLYGILEETEKRGISFDELMTIPENDEWVYSDGKSTTCVAFILQIYKAAGVFGPFADSIQVTEFTIRDAYMLKIFENNQTRLPKWCNNGDDKLPFCQILGKYRMELPLYNTLHPYSNMNENCPSLPPTYERPTHC >cds-PLY69073.1 pep primary_assembly:Lsat_Salinas_v7:5:276658504:276659621:-1 gene:gene-LSAT_5X145040 transcript:rna-gnl|WGS:NBSK|LSAT_5X145040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRMKSLLHSIYHFHSSIISNSIAAGLHRTMATAVQPISPSNTRLGWIGTGVMGQSMCSHLIKAGYTLTIFTRTQSKAQPLLDIGANWASSPHAVASQSDVVFSIVGYPSDVRHVLLHPTSGALAGLSPNGILVDMTTSEPSLAIEISNSAAEKSCFSIDAPVSGGDRGARNAALSIFAGGDESTVKRLNPIFTLLGKVNYMGGPGKGQFAKLANQITIASTMVGLIEGMIYAHKAGLDLPLYLDAISTGAAGSKSLDLYGQRILKRDFEAGFYVNHFVKDLGICLRECQNMGIALPGLALAQQLYVSLQAHGEGDLGTQALILSLERLNNSSLKSYS >cds-PLY73542.1 pep primary_assembly:Lsat_Salinas_v7:9:78487288:78490753:1 gene:gene-LSAT_9X62761 transcript:rna-gnl|WGS:NBSK|LSAT_9X62761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTEATVGVVEGGGPARKLVTHHQRPVQQQQSQVGTVSQLIAGGVAGAVSKTCTAPLARLTILFQVQGMHSDASTLRKASIWREATRIVNEEGFRAFWKGNLVTIAHRLPYSSISFYAFERYKNFLQMFMGVESNGTNISADLCIRLAGGGLAGITAASVTYPLDLVRTRLSAQRNVLYYRGIWHALRTISREEGIFGLYKGLGACLLGVGPNLAISFSVYDTTRSYWQMQRPQDSTVLVSLACGSLSGIASSTVTFPLDLVRRRMQLEGAGGRARVYNTGIFGTFGQIIRAEGLRGIYRGILPEYYKVVPSIGIVFMTYEKLKQVLSNVDTTCR >cds-PLY95822.1 pep primary_assembly:Lsat_Salinas_v7:7:176221616:176222493:-1 gene:gene-LSAT_7X103901 transcript:rna-gnl|WGS:NBSK|LSAT_7X103901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDIPPINDEFLLKFVIMVRAKIKVFLESFKDAHWFAIATQISKCMGMEDEKCPYSYTKLEDLLKYLQESFKTKGEAFQLHRKLCYSVFVETFSPKKPVDVVDVEPSNKKRKVEGTAEDKTTEETEDEDVENETETAMVREVKMDVGEETRDVIVKDETGKKTDTTMKGKQTDIAMVGEVKIDVGEDTKDVVPEEQKGKKTDTAMIGEVKIDVAPEVNPAETEEEKSKRKAHRESSCGFSYKKNP >cds-PLY89700.1 pep primary_assembly:Lsat_Salinas_v7:3:164778752:164779894:1 gene:gene-LSAT_3X102200 transcript:rna-gnl|WGS:NBSK|LSAT_3X102200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSPDQILKEIPGLIRVYKDGRFQKLSGTDVVPAGNDSSSGVQSKDVVFSPETNIFARLYLPKTTTKKLPLLIYYHGGGFVIETAASPVYHNFLNLVAAESNVVIVSVDYRTAPEHVLPTCFDDSWEAIKWVAQHINSNGPEPWLNDYADLQHVFFSGDSAGANISHHMAIRVGLEKPGLSIFLRGIILLHPYFWGKDRIGSEDEHPWNASMEDIWTFAHPGTSGFDDPWINPDMDPKISDLGCSRVLVCVAEKDIFKHRGWYYKDILGKNGWKGNIEVIEDKGEDHVFFLFKPSAESACTLRKRICTFINDA >cds-PLY67233.1 pep primary_assembly:Lsat_Salinas_v7:6:135551780:135552133:-1 gene:gene-LSAT_6X81801 transcript:rna-gnl|WGS:NBSK|LSAT_6X81801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVEGKIIKVGLVVYEDEPWFTFKFNKEEHPYMYDLDAKSDSRKEENEYDDVDNAFDGDKRISVTWIYDIEEEEIVGDDVNAPMLPMDAKPNDDTTAFVDTNEIKSLGMPTYHPPAT >cds-PLY82692.1 pep primary_assembly:Lsat_Salinas_v7:2:18957801:18960533:-1 gene:gene-LSAT_2X7960 transcript:rna-gnl|WGS:NBSK|LSAT_2X7960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAYIRGLIPNLAQLRDMPGAFVQMYCRIAAPKFFFFFEPNRQGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSMTSAQRVCEMNGTLSKQELREYADGTLTNIFIERAFDEHVRRVKTGRGNAREMDFESFLDFVLAVENKDTPEGITYLFRCLDLNGRGFLTTTNIHTLFSVLFAGLIHFAVLLVGLFLVPESPRWLDYTETLQKLPKAKIFYLFQRRYLRSVTCIKEAHHLVDYETLMFKSLLAMHVRWTATQYTRWQTNNIEDFFDMISETRCPQIAM >cds-PLY97839.1 pep primary_assembly:Lsat_Salinas_v7:5:196715927:196717666:-1 gene:gene-LSAT_5X88160 transcript:rna-gnl|WGS:NBSK|LSAT_5X88160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANEHENNLIEILEENHPIDINKYTNYVHAPQCGAIATFYGNTRDTFEGKTVIELRYEAYVPMAIRCLNSICSSARSKWNLNSIAVAHRLGPVSVGETSVFVAISSVHRVDALDACKFVIDELKASVPIWKKEVYSNGEVWKENMEFLERIGKNEDLKRGCCGTKVKVEGDDVAGVVKNGCCRPKVKVEDDGCIES >cds-PLY89275.1 pep primary_assembly:Lsat_Salinas_v7:8:296052908:296053969:1 gene:gene-LSAT_8X163720 transcript:rna-gnl|WGS:NBSK|LSAT_8X163720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGKGPTCESQRRASSEGLGLLARLGNDMFTARLVVLQQGVGRLINEIVAVLGPELHPGCIFFSRCKSVIAEISTQQETTTLLE >cds-PLY68817.1 pep primary_assembly:Lsat_Salinas_v7:3:64487397:64487786:-1 gene:gene-LSAT_3X49960 transcript:rna-gnl|WGS:NBSK|LSAT_3X49960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSTCPVAIPKKVTFKTTEGTSTYSQVRKRKSKSKPIVVEKDASVKNVHERTGKGGSKKVKKEVTKNSFEGGSAKEVPIYPVKVVNTVVLETSIPEIVFRMFKKLKTESTSSGVVIKDITEKEAQESRK >cds-PLY63869.1 pep primary_assembly:Lsat_Salinas_v7:1:125526387:125529265:1 gene:gene-LSAT_1X94401 transcript:rna-gnl|WGS:NBSK|LSAT_1X94401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSELPEGSSSSSLTHGHSSPTCGRSSSTDGHRYDVFLSFRGVDTRHSFTDHLHKALIHANITTFLDNEEIETGEDLKPELESAIKASRASIIVLSKNYVSSTWCLDELVLILEQRMTSNHIVIPIFYHVEPTHVRKQQSSFGDAMAKHKQTMEAETNVNKRSQWAQKMDRWNKALIEAANLKGYDVHGRTENEFKMHQLVQEMGRFEVLQESLDKPWKRSRLWCHEESFKVSKKEKGKGNILGLALDMRMVEKEKSGASFELDTLSNMDNLMLLQLNYVHMNGSYENFPEELRCLCMHGYHLNSIPLNLPMENLVALDMSYSNIESFVFCDSNPQRHEKRQKVTYLPLLLFHVDWVKFTITLTYPYFNS >cds-PLY73702.1 pep primary_assembly:Lsat_Salinas_v7:5:207486878:207487231:1 gene:gene-LSAT_5X96481 transcript:rna-gnl|WGS:NBSK|LSAT_5X96481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSNVGNGSGGGGGSRNSTAMLAVDGRGWQPLGCLAIFFVFRAAGRREEDSRRWDEVWWGLIVVHGDQCHRSWFLMVTTTTTTTPLVVVVFLDSEGNREGKGNQQNVKGRQWEGGDV >cds-PLY78929.1 pep primary_assembly:Lsat_Salinas_v7:8:2618922:2628666:1 gene:gene-LSAT_8X500 transcript:rna-gnl|WGS:NBSK|LSAT_8X500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSKKSSGTSSPEGSNSPRSIGSNSNSPIRSEKKKPKSATKEDHHRPIGGSSSFRQTQVKDGSTSTSNLKHQNQTRKDVTVVTESKIHAQTQIPLSTRPSSGAASTSSKSTRESAAEVPPTVSPILASSLGLNRIKTRSGPLPRESFLGFNRDSNNNNANSKMSSLGASNLSKAKNSAGGDEGSFKKMGIAADKKKIPSSFENVDRGSWADNVSASDATTKSLLSRDQTPNVLGRSPLRNVESSSEAGRLKTRGYSGGLRSSEICTPEMKTSYDCENPKESESPRFQAILRVTSAPRKRYPADIKSFSHELNSKGVRPFPLWKPRGLNNVEEVLSMIRGKFDKAKEEVDADLHIFAGDLLGILEKNAESQPQWQETLEDLLVLAQRCAMTSPGEFWLQCEGIVQELDDRRQELPMGILKQLHTRMLFILTRCTRLLQFHKESGLAEDELVLQLRQSLHSADKRIPPRPSKPSSSSSRKSFSQEQHGMLEPKKEKPIQHPANVASPPTVEIPKSLDSGRDRMASWKKFPSPGPGPGPGPKSPQEELVVKEQTDTKLDAIIESEKDVDLTTTTTATTMKLSPVKDSHAQSQSQSSTPFKHQHRVSWGWGDQPNISDETSIICRICEEEVPTLHVEEHSRICAIADRCDQTGIRVDERLVRVAETLEKLMEFISQKDNNNRSPDAAKASSSVTEDCDFMSPKLSDWSRRGSEDMLDCFPDQGDNSVSMDDLKAHPSMSCRTRFGPKSDQGMTTSSAGSMTPRSPLMTPKTSQIDLLLAGKGAYSEHDDLPQMNELADIARCAGNTPLDDDRSLSYLLTCLDDLRVVIDRRKFDALTVETFGARIEKLIREKYLQLCEMVDDEKVDISSTVIDEDAPLEDDVVRSLRTSPIHSGNKDRTSIDDFEIIKPISRGAFGRVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARIYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLGDNESQSSLTSPSPSLSLSATETQQERRKNRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELIVGIPPFNAEHPQMIFDNILNRNIPWPAVPDEMSPDAQDLIDQLLTEDPNQRLGARGATEVKQHPYFRDINWDTLARQKAAFVPSSESALDTSYFTSRYTWNNSEQVYAASETEDSSDDGSMSGSSSCLSNRNDEVADDFGGLAELESGTSVNYSFSNFSFKMYYKSSSCYWKSHDMMMMMMMMMGGIDKMLVMDPLFSSCT >cds-PLY72021.1 pep primary_assembly:Lsat_Salinas_v7:3:194271604:194272187:-1 gene:gene-LSAT_3X116181 transcript:rna-gnl|WGS:NBSK|LSAT_3X116181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTIAQALRGQVSENSMEALRVLDIILRQHATKQGCLLVRQSFFHNDVKNFVDVGGRVLGCGGFHSSFRTSQGGLSLNISKYLITLFHVYP >cds-PLY67593.1 pep primary_assembly:Lsat_Salinas_v7:5:74333514:74334425:-1 gene:gene-LSAT_5X35061 transcript:rna-gnl|WGS:NBSK|LSAT_5X35061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIHLRNVEYNTKKKGLNSKPPHLCKHVDRLRAQVSTMEQQPQQMQTQMEMVMRMINMSGNQSRAPPDNPMDN >cds-PLY87072.1 pep primary_assembly:Lsat_Salinas_v7:5:263386300:263392291:1 gene:gene-LSAT_5X135361 transcript:rna-gnl|WGS:NBSK|LSAT_5X135361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRFNLLLMVLLCSWVCFCNGSVSYDHKAISINGQRKILISGSIHYPRSTPEMWPDLIQKAKEGGLDVIQTYVFWNGHEPQPGQYYFEDRYDLVKFIKLIKQAGLYAHLRVGPYACAEWNFGGFPVWLKYVPGISFRTDNGPFKAAMEKFTRHIVNMMKAERLYETQGGPIILSQIENEYGPLEYELGAPARAYTKWAASMAVGLGTGVPWVMCKQDDAPDPVINTCNGFYCDYFSPNKNFKPKMWTEAWTGWFTEFGGAVPYRPAEDLAYSVAKFIQSGGSFINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEFGLKREAKWGHLKDLHRAIKLCEPALINSDPSIITLGNYQKAYVYKYKTGGCAAFLSNNNRAAYATVSFQNQRYNLPPWSVSILPDCKNTVYNTARVGAQTALMKMTSAGSGFAWQSYNDQTESYDDNSFTTVGLLEQLNVTRDSSDYLWYMTDVRVGSNEGFLRSGKWPTLTVQSAGHALHVFINGQLSGTVYGSQKNPKVTFNKPVNLRAGLNKISLLSIAVGLPNIGPHFETWNAGVLGPVTLYGLNQGKRDLTWQKWSYKVGLKGEILSLHSLSGSSSVEWIQGSLVTQRQPLTWYKTVFNAPNGNEPLALDMSSMGKGQIWINGQSVGRYWPAYKASGSCSTCSYAGYFDEKKCLSKCGESSQKWYHVPRSWLKPRGNLLVVFEELGGIPYGISLVKRGVYSVCADIYEWQPSLMNYEMQASGKVTKPLRPKAHLSCSPGQKISSIKFASFGTPLGGCGSYSEGSCHAHNSYDAFNKLCVGQQACTVPVTPEIFGGDPCPKVMKKLSVEALCS >cds-PLY64695.1 pep primary_assembly:Lsat_Salinas_v7:7:139033578:139034119:1 gene:gene-LSAT_7X84040 transcript:rna-gnl|WGS:NBSK|LSAT_7X84040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHAFNMDIETTEHETCEKQPSLLQKKCDLALQSWKWNEVVGLVSYDNQQQVTDEDVDTEDTADSVKPLKTPRVTIQRRNRFSKFSHLPNDKDYRYGLELPVDVNHPIHGEDDVSHPTEDDVGVI >cds-PLY63439.1 pep primary_assembly:Lsat_Salinas_v7:7:150133374:150134067:1 gene:gene-LSAT_7X90001 transcript:rna-gnl|WGS:NBSK|LSAT_7X90001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGICASTMSTNTQGQLLITYELTPTIKVINSVDGSLKEFEQPIKTSEVLSGHPDTFFLCNSEEIDVDCHVPQVTGTEELQPGQLYFILPISMSKGLLSLHELCLLAIQASKALKRSAEMKEKESTASFSDRRKSKRNARRNHKVDFQLALKKLG >cds-PLY89444.1 pep primary_assembly:Lsat_Salinas_v7:8:31045288:31046145:1 gene:gene-LSAT_8X22480 transcript:rna-gnl|WGS:NBSK|LSAT_8X22480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKELEGGKANATNYKKIIQKSEQVDMNFKLGFIALFVNTFAESIPMGTNNLVLVRALVEVDDISKIDWCAYLLYCVKNSKGRWRPDNPKCYYRGPMLLLLLQKIERKTPLVTMWTTYKLKEIQSFEIEADGFGVGNLIEQSSNLECDKNENQDTCIEEYEEKYETIINNVSTEKDNMEDIILHCLSKFSEDNRTKEMIRKFRDIFSTTLFSSREKGNK >cds-PLY96866.1 pep primary_assembly:Lsat_Salinas_v7:2:108741720:108742566:1 gene:gene-LSAT_2X49700 transcript:rna-gnl|WGS:NBSK|LSAT_2X49700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSKVEVGGRSNFGRERDNFRRYSNDRDVEVYRMEELVGEKGDHVSKLQEKSQLMKLLQPMLVKHPKKVQKRGNFSMKISSPRVRSKC >cds-PLY86082.1 pep primary_assembly:Lsat_Salinas_v7:7:139173895:139176330:1 gene:gene-LSAT_7X83621 transcript:rna-gnl|WGS:NBSK|LSAT_7X83621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDANISNQHLHRATYEPVSIQRHHHQQQGITINSNNDDGNQHHMVDDVVVEEDDDDVPGGEEESIDNPNQIRYDHHNPHHQHHGLQNGGGLEGGMEEMSAPPHGLYVPESEIQQHAVGGGGGTGGADQLTLSFQGEVYVFDAVSPEKVQSVLLLLGGYEVPTGVTSLGIPPQNQRDLAEFPARSSQSQRAASLIRFREKRKERCFDKKIRYTVRKEVALRMQRKKGQFTSSKATSDVSGSSSDWNGGPTQDEETTCRHCGISSKSTPMMRRGPDGPRTLCNACGLKWANKGLLRDLSKVSASGSQEAGGKSNNLDAGNVNAAVLVVSNGDSSGVAGER >cds-PLY88375.1 pep primary_assembly:Lsat_Salinas_v7:5:61213446:61213958:1 gene:gene-LSAT_5X28101 transcript:rna-gnl|WGS:NBSK|LSAT_5X28101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEDKKVESLRSKIDDVVVGLPKGSRTLSSQSQMGTHMRNVMDVLLSAFSSSNGKKRHDAPYSFVNVLSVPRMAVAGAKLEFFVPRMKDDVKENECLLLNEVKRGILGDVNESESKSKVREREKVEKDGKFGVDRAPLSYILAT >cds-PLY97745.1 pep primary_assembly:Lsat_Salinas_v7:1:20943063:20944934:1 gene:gene-LSAT_1X18940 transcript:rna-gnl|WGS:NBSK|LSAT_1X18940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFENYDPCFPDQPVVDRYLPVWANLPSFQSKPAFVWVEDGSSGLLEGSSLTYEELNHSVQLISTQLLISLQRGDTIIILCSPGLQLVEILFGCQRAGLLAVPIVPPKPTFSNNDHHHLIRVLSQTRPKFAIANGPYVKSVRKYIKNSKPENPIAHLLNNLTWISTDNLRVEKNVSSKKNLHSSYTGCKPEEVYLIQYTSGATGIPKPVLVTAESAAHNVRTARKSYDLHPNSIITSWLPQYHDCGLMFLLLTIVSGATCVLTSPDAFIKRPRLWLEMISEFKATCTPVPSFTLPLVLKRGGVDEGTLPINLATMKNLIIINEPIYYEAIEDFLEGFKPFGLNPSSICPSYGLAENCTFVSTSWRQGCKHAFPVYKKLLPSARLGTSETKADEESIEILIVHEDSHELVEDGIEGEIWVSSPSNASGYLGHPSLTQQVFQSRVKRPFSHKFFIRTGDRGVVIGTKRFLFVTGRCSDIIRVKNGIEIHPHYLETLAYDSCRRFLRGGCISAFMIPRNTVAIVAEMQRSDWKDEVFLKRVCEGIRCLVKKDVGIDVGLIALVKSGEVWKTTSGKIQRSVAKDRFLSGKMNILMEMGYEEMGFKKSLGKAKNKGKIKVVESILSSL >cds-PLY92312.1 pep primary_assembly:Lsat_Salinas_v7:9:178039590:178039802:1 gene:gene-LSAT_9X110500 transcript:rna-gnl|WGS:NBSK|LSAT_9X110500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQGQGRRRHADLAVHSFAGGLEQHGAGLFWCSFGDLRPRQRSSGRKQVAIGSWDAMAAGGTLISWWQL >cds-PLY73189.1 pep primary_assembly:Lsat_Salinas_v7:3:233627595:233628720:-1 gene:gene-LSAT_3X130720 transcript:rna-gnl|WGS:NBSK|LSAT_3X130720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYFLSSVPKHQRPLFTIRSLWPDGESSERSSRTAVDKKLARFEKANIDVEKEENIMLLLFNGSQSSNFKN >cds-PLY70529.1 pep primary_assembly:Lsat_Salinas_v7:1:76760640:76762851:1 gene:gene-LSAT_1X64020 transcript:rna-gnl|WGS:NBSK|LSAT_1X64020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKFWGSPQEQQVSSPRPQESTTNSWYPPSASPPSSSGSPIPNDINSNTYTERLEDRSHLSSHETQSPVVYLQDKSIDELRRLLSDQGAYQQFLLSIDPVRTQNNVRDELKKETLQLARENLEKEPQITELRNQCMIIRTMELASAQEKLHDLKKKTQLLQCYSPDSLLNKLQECMNKTDEESEMLHEQLLGKEIDVVTFTKKYKQLRINYHKQSLTYLAAKTSVVG >cds-PLY81121.1 pep primary_assembly:Lsat_Salinas_v7:9:67829694:67831367:-1 gene:gene-LSAT_9X56880 transcript:rna-gnl|WGS:NBSK|LSAT_9X56880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTIILYPSPGMGHLISMVELGKLILKHYPSYSIVVLTLIPSFNTGTTATYVRRISTTYPAIAFHHLPDIPLDPLLYPSMEAIIFDLIRLSNPNVNNALQSISQSSKVTVFIIDLFCTPAMSLADKLNIPVYYFFTSGACCLAQFLYFPTIHRTTNESFKDMNRPIHSPGLPPIPSSEMISPLLDRTTTDYSDFLEFCEHFPKSAGIIGNTFDSLEPKAIKAITDGLCVPDLPTPPLYSVGPLVAPGEDSQHECLNWLDLQPSRSVVYLCFGSLGLFSADQLKEIATGLEMSGQRFLWVVRSPPSHNQADRFLPPPEPDLDLLLPQGFLDRTKDRGLVVKKWAPQVAVLSHESVGGFVTHCGWNSVLEAVRVGVPMVAWPLYAEQRFNKVVLVEEMGLALPMDESDGGRVAATEVEKRVRQLMEAEEGKAVREVAMARKLDAARAMEDDGSSRVALSKLVESW >cds-PLY76272.1 pep primary_assembly:Lsat_Salinas_v7:8:32698081:32701355:1 gene:gene-LSAT_8X26901 transcript:rna-gnl|WGS:NBSK|LSAT_8X26901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNAERAANIALAGLTLAPLVVKVDTNLNVVLTACLTVFVGCYRSVKPTPPSETMSNEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTGYFFILGIIALSATLLPAIDRFLPNKWNDDVIIWRLPYFRSLDVTFEFTRSQVVAAIPGTFFCAWYAAQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPSRIAARPFSMLGLGDIVIPGIFVALALRFDVSRGRESHYFKSAFLGYTVGLVLTIVVMNWFQAAQPALLYIVPGVIGFLAAHCLWNGEVKPLLEFDESKTAGEEEAISSKKVE >cds-PLY96665.1 pep primary_assembly:Lsat_Salinas_v7:7:44360505:44361031:1 gene:gene-LSAT_7X30480 transcript:rna-gnl|WGS:NBSK|LSAT_7X30480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNMGGFKSDNGFKSRYLQHLENALKEKIPSSGILGKPHIESRIKTMKKDWQVVYDMVNGTNKSDFGYYSSTHSLTAEPAVWDSYIQVHKEAGKWRNKIFPHYKDLCIIFGKDRAQGNKAKDFAQMEEDANNEEQSEQIEYGFEE >cds-PLY82941.1 pep primary_assembly:Lsat_Salinas_v7:1:18222744:18225201:1 gene:gene-LSAT_1X15860 transcript:rna-gnl|WGS:NBSK|LSAT_1X15860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVRRIVVVVEEVEVARTAMVWALHNVLRYGDLVTLLHVFPATTAAGSKSRNRKKLRLLRLKGFQLALSFKDICIHSFPNTKIEIVVTEGDEEGSRITDLVRQIGASTLVAGLHDQSFLYRLAMAHKNIGNNFNCKVLAVKQPTSPLTSSGPTLSDSSTSMDFSQIEISSLSVPEIPPPKIPYQVCPDPSAIIWRSRRRRKQ >cds-PLY67290.1 pep primary_assembly:Lsat_Salinas_v7:5:134402200:134404607:-1 gene:gene-LSAT_5X58180 transcript:rna-gnl|WGS:NBSK|LSAT_5X58180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAACKVLKLDVLVIVGRPESFDIFHGHSKATMLLIINNAGQGVLSSSFFKYADTILKKYSSIVVTIFTGFTSAVLFGHTLTINSMLGISIIFISMHQFFSSLSKVKEEENRVLELEPIKSNNRSRDSNFTNMTVGANKEAVIVWILMQDNHFLLKCSVIFNKNVQRIKSEDQSENTNLIFINIKALFPTKIPDPEKSDKTSKCFLCFNVNVWTV >cds-PLY84413.1 pep primary_assembly:Lsat_Salinas_v7:9:113102853:113105639:-1 gene:gene-LSAT_9X79301 transcript:rna-gnl|WGS:NBSK|LSAT_9X79301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKDNVKKGPWAPEEDAKLKAYIEEHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDRIICSLYVSIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKQLLGKQRKEQIYRRKGELLIKKGRSPSHIPSSIVVRSNDTNNSQDPYWPELPVMPPVPYSNQEPCFVDDHASIRKLLIKLGGRFSSDDNGSQSTNMVSDFPIDTSTDLQTSSQDNQNLIASGSPVSSSSMLLNSQYNILPILQGQNVSFPSVFEDMCYTTNPQKLNGLEFLYGELCDLNASGSSSVVTSGHSMDWGEMSSLISGTTTGNVLNSCDYQRSLIRQGVLLQDCSYDEDFNNRLQ >cds-PLY86681.1 pep primary_assembly:Lsat_Salinas_v7:4:319762593:319765234:1 gene:gene-LSAT_4X159961 transcript:rna-gnl|WGS:NBSK|LSAT_4X159961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFGITPFHNTQFFNHDEKTNGSGFNKQERSDPDPPDDQELFLRTSKSSRTDYFDQPTKTMSMRSDSLLSVSATDTNNMLSFSSSSSSSSSAIKDANQSSLFSFYQPSPSYIRNTGRILMALLVSGYGYGGLQTGMHMPVSRYKGPFTPSQWMELEHQALIYKYFVANVPVPSHLLTPLRKSLNTFLFPATSSSTSYAPNSYGWGAFHLGFSGNTDPEPGRCRRTDGKKWRCSRDAVPDQKYCERHINRGRHRSRKPVEGQNGQANVSVPAKVGPVVSSSSSVPVSGSRTSTATVTAAANHHNPPTNRTQESQPFTAINSSETLMFPTNYNSFMDPVAPSLNPTPTPTPNPNPNPNPLPSFVNEWSKEIKQDWTQLSMSIPMASSDFSSSSNSPAQEKLVVPPLGLSHEFDLDPTHKQASNWIPISWGNTMGGPLGEVLNRTSSSGVNSKNASSSSEMWDAINNNNLGSSPTGVLQKTTFVSLSNSSSGSSPKGDKKDDYGGGLCDELLLSSFNTC >cds-PLY67083.1 pep primary_assembly:Lsat_Salinas_v7:5:280703610:280705895:1 gene:gene-LSAT_5X147140 transcript:rna-gnl|WGS:NBSK|LSAT_5X147140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKKIIIDTDPGIDDAMAIFVALRSPEITVIGLTTIFGNVYTTLATRNALHLLEVAGRSDIPVAEGSHVSYMLQVRDFAHGMNGLGNQNFPQPKSKPIEKSASEYLVEQANLYPGEVTVVALGPLTNIALAIQLDPTFTKKIGQIVLLGGAFAIDGNVNPAAETNIFGDPEAADIVFTSGADVLAIGMNVTRQVIMKNNDLTNLAKSDGIFAKYLCKILDHYFSYHRDAYNIKGIYLHDPTALLATVNSSLMTYTEGVVRVQTTGITRGLTLFFNKRNRFNEATEWSNKRTVKVAVTVDAPAVVKLVTERLM >cds-PLY92237.1 pep primary_assembly:Lsat_Salinas_v7:2:211187699:211188273:-1 gene:gene-LSAT_2X132960 transcript:rna-gnl|WGS:NBSK|LSAT_2X132960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDGSKINQATLVLLVLALVVGTYGQTCPNQLANLNVCAPFVVPGSTDLMPNSDCCGALQSIDRDCLCSTIQVATRLPTQCNLPVTCELSCRGKCIMAARSAYQL >cds-PLY75626.1 pep primary_assembly:Lsat_Salinas_v7:MU042972.1:13265:13444:1 gene:gene-LSAT_0X41120 transcript:rna-gnl|WGS:NBSK|LSAT_0X41120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCCRKVGTCTFFPSFGLQAQEKNTKGRSEKKKLRKRMNEVRFRGLAMAKGQKCPWYGSY >cds-PLY77343.1 pep primary_assembly:Lsat_Salinas_v7:5:143239111:143239467:1 gene:gene-LSAT_5X63601 transcript:rna-gnl|WGS:NBSK|LSAT_5X63601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLRPMPHRADNIFKIQYSIRWSDPDPALERNHLNQTWVMHDFMTPFVSKNPSGAFLNYRDLDIGVMTGDNYSEGKAYGQYHRVGCSYSSPLFPSFSLISHLSRTYETRSVNHTYE >cds-PLY86549.1 pep primary_assembly:Lsat_Salinas_v7:4:297165974:297166876:1 gene:gene-LSAT_4X149000 transcript:rna-gnl|WGS:NBSK|LSAT_4X149000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSQPSCPTQHPYLAQPPSSSLVNRPSSPDTTNLHTQIPFTILFHKRGVKQAWSYATEESSKEQVERT >cds-PLY78273.1 pep primary_assembly:Lsat_Salinas_v7:1:131547394:131547822:-1 gene:gene-LSAT_1X97420 transcript:rna-gnl|WGS:NBSK|LSAT_1X97420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISEQILDYLLIIQIRWWEKLVASVLKLLSSANGLEFMKSVADKNHDLLRPSTCYFSMFKGPITNSDNDIYNLIRDDADDFQQGIYDKPLPFFGCGVGWFS >cds-PLY77023.1 pep primary_assembly:Lsat_Salinas_v7:6:176962761:176965299:-1 gene:gene-LSAT_6X106600 transcript:rna-gnl|WGS:NBSK|LSAT_6X106600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLSPYQDRSHQNGGNVSPFSVNSPSHKLNSTSRFALGVRRFIGGEKHSLPRKGFRTWKRSIYSCLSFFLVGFLLGLAPFGEFEDVRTPDFSFEVNRPPILDVKEDIVVDKVELAVVKRENVKERFDYVARKQVIVVTPTYNRALQAYYLNRLGQVLRLVPPPVLWIVVEMNVASTETADILRGMGIMYRHLVCTKNLTNIKDRGVHQRNTALEHIEHHKLDGIVYFADDDNIYSLELFDTLREISRFGTWPVAMLAQSKNKAVLEGPVCNGSHVIGWHTNEKSKKLRRFHVDMSGFAFNSTILWDPKRWQKPIAPSIRQLDTIKEGFQETTFIEQLVEDESQMEGTPFGCSKILNWHLHLEAREVGYPRGWLLQKNLDAVLPIE >cds-PLY96020.1 pep primary_assembly:Lsat_Salinas_v7:1:12674743:12681058:1 gene:gene-LSAT_1X10641 transcript:rna-gnl|WGS:NBSK|LSAT_1X10641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQKGLKLLLQQYKALFMKNLLLAWRNKRATFLQLFSSIFFIFLLFIVQKAINAQFGSSTSFKTVRDPEALIDPPIPPCEDKYYTKLPCFDFVWSGSDSARIGSIVDRIRANNPGRPIPSTKVKPFRTKGEVDAWLFANPMTCPGALHFVERNATVISYGLQTNSTPIAKRGHYEDPTFKFAIPLQIAAEREIARSLIGDPSFSWIVSLKEFAHPVVETYSSVGTAGPSFFLAIAMFGFVLQISSLIVEKELRLRQAMAMMGLYDTAYWLSWLTWEGIITLFSSLFIVLFGMMFRFDFFLNNSFGVVFLVFFLFQLNMIGFAFMFSSFISKSTSSTTVGFSVYIVGFLTQVVTIFGFPYTDNFSNTQRIIWSFFPPNLLAKALQLLSDATSTPQDPGIRWSHIGKCAPNDIDCIITVSDIYIWLVSTFILWVSLAIYFDNIFPNSSGVRKPMFYCLNPGYWSGKGGNKVEEGRICSCMWSVPTLGNTYPDDEDVLQEENIVKQQHREGFVDPNLAVQIHGLEKVYPGRTNIGCCNCKRSAPYHALKGLWMNFPKDQLFCLLGPNGAGKTTAINCLTGITPVTEGDALIYGHSIRSSVGMSNIQKMIGVCPQFDILWDALSGQEHLYLFASIKGLPPASLKTVVQKSLAEVRLTEAARVTSRSYSGGMKRRLSVAIALIGEPKLVFLDEPTTGMDPITRRHVWDVIENAKKGRAIILTTHSMEEADILSDRIGIMAKGRLRCIGNSIRLKSRFGTGYIAHIRFSATSANVTPSREDVTASACHEEVKLFFKDRLDVVPKDENKSFLTFVIPHYKEDRLTKFFEELENREEEFGISDIQLSLATLEEVFLNIAKQAEFESAAAEGRFTTLTLTSGTSLQIPVGARYIGIPETVSTENPHGVMVEVYWGQDDAGALCISGHSNETSIPSHVQLPDALSSSHSHTSRRNFLGRSGPVHGIVINPN >cds-PLY83816.1 pep primary_assembly:Lsat_Salinas_v7:3:52182370:52183812:-1 gene:gene-LSAT_3X39800 transcript:rna-gnl|WGS:NBSK|LSAT_3X39800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g28210 [Source:Projected from Arabidopsis thaliana (AT4G28210) UniProtKB/TrEMBL;Acc:Q9M0I6] MVSTLTSTYPSPKLSRHSIPIPRTTSKSIQSRNSSTALPAKKKLGIFSFGRKNLGFVVKSTSSDGEGEQVESSTSKDVNNEAEIQARGESTMPERFRYLTKEVPDPPIRWPYFIALGFLIYAWRTVIWELYNWKRAATSILQFLGNLSKLLLALIFHFIGDPITSIIRAIETTFYTLRAFYSQIVAYTPIPELSTVIMLTSIILAISEVASPTSVDNQWHLLTVSGLIGYFAVKGMIGDLPFWTILFGLFSYSRFIKKRDYVSSVLPVAAVLAGVGEPWVRVVGGGGFLGLSVFQYSKNQPEIEESEGVATTTGDRRVPVPLLCAALAIGIRVAARWAGYRHLTWMVV >cds-PLY94735.1 pep primary_assembly:Lsat_Salinas_v7:8:50533112:50536561:1 gene:gene-LSAT_8X37461 transcript:rna-gnl|WGS:NBSK|LSAT_8X37461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKTMCSSSSASNFLPLHLPSRNRLFLKFSLPFPILNDEGVFRHANPRRRRLMSLSASITRDNLELSSSNRRDAEDYNGWAILEESESPKKHKKGLPTFLLFGIGTSVVALLAVFAHFTLSRKGYVLRFNTPFHVSVDHKSSSSEDTSEVDEAVTKPLMETVSEEVDATTEEVIDHIKKGKEKPGRVVVSVSADTTQQEALLWLKNLKIIEDEVMADELCSRREYARWLILLNSRLERNPKNRISPYVSLAGSTINAFDDIKSEDPDFVYIQALAEAGVVLSKLSSKNLTSDLDTSFFPERFISREDLIGWRAKLEYQVMAGLNEEILRNKIGFLDARELKSDVLPPLFMDMMADDKSIMRKVFGQVKRFQPGKPCTKAQAAVALTGGKFTKLIHQEFSKLKSENSSRNFAIEEIKSELLERGEIQRFWEKKIQDEKRHRLEVEAAYFKTLKDLENQKINQDNAVNAYLKEIAALDCQKQLLLSLEKEVDEMNERLSYERKNFVDEKQKTRSNVGELEVKYERILDTKSILEAELEALRILRSWIEDEAKKGQARTKVLEEVGRRWKWGNR >cds-PLY67652.1 pep primary_assembly:Lsat_Salinas_v7:2:207388869:207392793:1 gene:gene-LSAT_2X127720 transcript:rna-gnl|WGS:NBSK|LSAT_2X127720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGMIQGVDKLTTPSYLVVALAVNGNKKTKYVVRWALDKFVPEGILLFKLILVRPKITRIPTPMGVVPASQVRDDVVIAYKKEVEWQAYEKLLPFKNMCAKKKVQVEILQIESDDVVDAIKQEIVKGNINKLVIGASSSGMFSRGKGLSSRISESIPSFCTVYAISKGKLSSLRASDSDTIGSSKDDNSSDSSSVTNSSRTEWTDQGSAATSFSQFFSTSLPMQRFEALSSINRTLHQRTSSFENPEKNTDFYRANSNDFSTRSFGTENHSWTSDQASSSYAHSEISTEIQGNNVDFELEKLRVELRHVRGMYAIAQNESFDASRKLKDLKERQAEESIKLKELKAKEEEAKTLAEQEKQEHEKAKRQAEYVKECLRREAAVRKDAEEKAYQEHREKEKLLDAIIGSSPHYQKFTWEEIVDACSSFSEDLKIGTGGNGIVYKSSFHHTVAAVKVLHSQEAHRTKQFQQELEVLSRIRHPHLLILIGACVDHGCLVYEYMENGSLDERLFRKNNTPPIPWFDRFRIAWEIASALVFLHNAKPKSIVHRDLKPANILLDRNLVSKIGDVGLSTMLQSDSCSTSTVYKDTGPAGTFCYIDPEYQRTGLVSPKSDVYALGMVILQLLTAKPAIGITDAVETAIEDDELAMVLDPEAGEWPIDEAKELAVLGLSCTEIRRKDRPDLKNTVLPVLERLKAVTGEAQRIASMTSTTPPNHFICPILKDVMVDPCVAADGYTYDRRAMEKWLEASDTSPMTNLPLPSRSLTPNYTLLSAIMEWKSSKQRFE >cds-PLY92483.1 pep primary_assembly:Lsat_Salinas_v7:2:152456320:152460450:-1 gene:gene-LSAT_2X76200 transcript:rna-gnl|WGS:NBSK|LSAT_2X76200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase DDM1 [Source:Projected from Arabidopsis thaliana (AT5G66750) UniProtKB/Swiss-Prot;Acc:Q9XFH4] MLAIQFTFPGFDCEMVPKNEVMEEPVADSPTSVLEDEDVCKDKSAVKMEDVLLGEAKNGDATLISAVMAEEEVKLEQQRNQEEESVAKEPPTLNDTQFTKLDELLTQTQLYSEFLLEKMDDITKNGVAEDVQEVKGAKKKGRGAKRKSASNYNTRKAKTAVAAMLTRSNEGTPFDDTNISKEERAAIEQAELVPLLTGGKLKPYQVKGVKWMISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGNGLDGPYLVIAPLSTLSNWANEIARFVPSMNAIIYHGDRSAREELRRKHMPKSIGPKFPIVITSYEVAMNDAKKHLRHYNWKYLVVDEGHRLKNFQCKLLRELKWLRVENKLLLTGTPLQNNLAELWSLLNFILPDIFSSHAEFESWFDLSGKSNTEEGEEGRKSKVVAKLHAILRPFLLRRMKEDVEQMLPRKKEIILYATLTEHQKNFQDLLVNKSLEAYLREKVDTGRGSKGQLNNLMIQLRKNCNHPDLLEGAFEGSCFYPPVEQIVGQCGKFQLLEKLLQKLLARKHKVLIFSQWTKILDIMHYYFSEKGIEVCRIDGNVKLDERRQQIQEFNDVDSDLRIFLLSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLATAQSVEGRILKRAFSKLRLEHVVIGKGQFQQEKTNTEVLKEEDMLALLRDDDDPEDKRIQTDISEEDLERVLDRSDLLAKAGSDEKPEYMASALPLKGPGWEVVIPTATGGMLSTVNN >cds-PLY90242.1 pep primary_assembly:Lsat_Salinas_v7:8:14558189:14560118:1 gene:gene-LSAT_8X11560 transcript:rna-gnl|WGS:NBSK|LSAT_8X11560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHMAEQDQDQEHEVYGGDIPDEGEMDADVEMSRNETEGDENNNSKDLEDMKKRLKEIEEEAGALREMQAKVEKEMGSVQDDPSGASATQAEKEEADARSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVELEAIPNALLLNESELHGRQLKVAAKRTNVPGMKQFRGRRSNPYLGFNSRRPFMPGPPMYSPYGRIPRARRPMRYRPY >cds-PLY67764.1 pep primary_assembly:Lsat_Salinas_v7:9:167460750:167461313:-1 gene:gene-LSAT_9X103961 transcript:rna-gnl|WGS:NBSK|LSAT_9X103961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSNHINKQTPKTNFIKLNNMFSIFIFIIFFFSSTVSLSSYTHHFSSFDRHYIFLLCNGILVFLIMNFGSTNSSSPKQNHTVITNETNLPHLLISSAMEEQEQEQKQEQEEEEKIEEDDESIHIGSHVCVVEDDYVVSATQYQIEDQKAEIHFVVDEHEIEELNRRCAEFIRKMKKRIKSESFLH >cds-PLY80696.1 pep primary_assembly:Lsat_Salinas_v7:8:258236048:258237122:1 gene:gene-LSAT_8X152040 transcript:rna-gnl|WGS:NBSK|LSAT_8X152040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSNEPLTKNHHSQLGISRKQSHRIVLIAPSSALSVTFYTTSRYIHLLSRNLSCLAWPVYWFFQSSVVGGVWIIAHECGHHAFSNYQWVDDTVGFLLHSALLVPYFSWKYSHRRHHSNTASLEREEVFVPKLKCNLPSLVVYLNNPPGRVLYIYLVRPHPRLASIIVSDVRVVIVTYFLYNLVMTKGLTWVLWMYGVPLLIVNISLICVTFLHHTHSSLPHYDSTEWDWLRGALATVDRDYGILNITGHNITNTHVIHHLFPKIPHYHAMEAKKAIKPIFKDYYRFDETPILKALYREAKECVYVKSDDNEEAKGVFWFDNKF >cds-PLY63793.1 pep primary_assembly:Lsat_Salinas_v7:6:23623362:23629067:1 gene:gene-LSAT_6X18960 transcript:rna-gnl|WGS:NBSK|LSAT_6X18960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFDVIDAAKKVQTNATVRKTGKEIYIDIDSTSQDRIKKAKKVQTNATVRKTGKEIYIDIDSASQDRVKKAKNTKKKKILEKKKRHYVSDSSSLQSETSESETSTTDSDEYDDESDEEIYVKKRTGDRKYVEGVTKIKEAKRKKNNRDNRAAVKKQKTVKEQKTVKDILKELPSINTRSTPGLMTDAVSSLTSEQKDWVKRMGFKAFLKINIKSIPLKLCHFVLKHYDEGTNSILIKGKRIKITKEKIHKVFGLPKSGKSLFDLHKVSEDHQVFNGWMKELEGGKANATNYKKIIQKSEQVDMNFKLGFIALFVNTFAESIPMGTNNLVPVRALVEVDDISKIDWCAYLLYCVKNSKGRWHPDNPKCYYIGPMLLLLLIYCDEIECKLQKIERKTPLVTMWTADKLKERQSFEIEAGGFGVGNLIEESSNLELEKNENQVNENQDMRIEEYEEKYEKIFNNVSTEKNDMEDIIFHCLSKFPEDNKTKEMIRKFRDIFSTALFSSREKSETIKERTEVKSDRDEELNKTNISDSDDDKDEGMNTKLVAFLAVKPLQQKFPENEETQEEDQDMNVDDRTNLGFENNIGEETIRHPHNPERQIEFEGINVDDKINLASEVNNIDETIEKKNLEDNVESKNLVKGGEIIGGENIGEGNIVEKVVGDNIGESSIVTPKHNPKGISIDFSPWSDSFIEKMDEDLLRIFSNRNPDSNTIQNPVVRSTVPKKLTFENSEFPSFDLQITQLINNAETGDNSEGSDEDGELEGNEEHILDEKGKKDQNVNARGKRKVTNPDIFRSPFVNRVIDLSEKVSTEQEIMAQIMFRCVADKDPMEMLFETESGDIMDRVHFEGMRPNHKIHPFVIDCWAAVLNFEEENLRNKKSPPRVFFNTQIMTEKLLDSSIPFVERSRLFDEAVNNYLYDIKRKVDFNSINLVFFPIHNRGHFYCILFNLTNPEHIIIDNIRYTKKVEDVYGEIPKLVQMYFSKFLDNNRRDKVSLFKSMKPKKMKMAWQTKTKTNDDGIFLMRHMEKYMGEKEEKWDVELGEESVRTSKKIAKLRTFYVSKLANHQINKQRKLNVTEALEFSKLDKKTRCMLVKEGSEARDNLEMKKV >cds-PLY74388.1 pep primary_assembly:Lsat_Salinas_v7:6:154477545:154479252:1 gene:gene-LSAT_6X93540 transcript:rna-gnl|WGS:NBSK|LSAT_6X93540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVEKEDLGLSLSLNFPATMEGNPSSLQLNLMPSFVSSSSSFNHLHRTPNWSDSCPSSSDRNIADAFKVETRSFLKGIDVNRIPPTAAEVEEEAGVSSPNSTISSISGKRSERDLPVNEQDGERASSRGMSDDEDGDNSRKKLRLSKDQSAILEESFKEHNTLNPKQKLALAKRLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQKELQELRALKLSPQFYMQMAPPTTLTMCPSCERVAAPPSSAVEKPADHQHNRNHLTGLNQNHHRSLPFNPWSTPATQVVHRQMSALHPRS >cds-PLY72247.1 pep primary_assembly:Lsat_Salinas_v7:7:86895679:86897582:1 gene:gene-LSAT_7X60080 transcript:rna-gnl|WGS:NBSK|LSAT_7X60080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNANLSHNEQSNHNLQAFEHYLMCDNDDFPPLNFTELLTPLQQNEIPITPARFQSPVVDSENVQPNDSSFDPLNLGNETHEECFQTDPSQACDETNIGVNTANGTNDFGNPIQLSSWPLQVPPYTCSCCHILREIIHTNGVDITKLEVHGRLGLICHAVLDKYGIDHTTNQGHEYKMFDFCKETTKRVKQFLVEYCKERKTKGHVMLQDPLSSFYEAVCVGFNWEHNIHTDDLIPNDLGDQQTNQPDVGTSRGRLNNQKTLSMQRERTGKLTMKDLVEYFHIPIEVAAKKIRVCPTVIKKICRKHGLLRWPYRKVGAS >cds-PLY92665.1 pep primary_assembly:Lsat_Salinas_v7:2:161971754:161972035:1 gene:gene-LSAT_2X85840 transcript:rna-gnl|WGS:NBSK|LSAT_2X85840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTAVVVDLSPHPQWRHRLDKIAALPIFTATSGGSLAGLAIPTMARRRFDGSSASHRFIGTCRGSIFGDSSGVTAARRWQSKIEGGEGDGRP >cds-PLY64066.1 pep primary_assembly:Lsat_Salinas_v7:8:94593913:94594557:-1 gene:gene-LSAT_8X66320 transcript:rna-gnl|WGS:NBSK|LSAT_8X66320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFPEATSMMLVFFLSLLMSSSNAIQHPRSHSHNAIKQFMTLQNRARAAIRMPPLAWDPQLARYASMYARQRRQDCLLKHSNGPYGENIFWGSGSRWNPSQAAAMWVSEGRWYNHQLNSCNGGKECGHYTQIVWKRSRRIGCARVTCFGGRGVFMICNYDPPGNYVGEKPY >cds-PLY73299.1 pep primary_assembly:Lsat_Salinas_v7:MU043501.1:526654:529061:1 gene:gene-LSAT_0X32480 transcript:rna-gnl|WGS:NBSK|LSAT_0X32480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLNILVNNGTQEDLLYPHSTVQELLWDGLHYFGESVFKYWPFKQLREKALKRAIELIRYSAQESRYITTRAIEESLQMMVWWAENPSGDEFKHHLARLPDYLWLAEDGMKVMPSGGQLWNCALSTQAIIASNMVEEYGESLKKAHFFSKESQVKNNSAGRFY >cds-PLY83489.1 pep primary_assembly:Lsat_Salinas_v7:3:233873184:233873685:1 gene:gene-LSAT_3X130001 transcript:rna-gnl|WGS:NBSK|LSAT_3X130001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTQTVPVSQDVRRQVSSGGSSGTGTTSNLRDSLPKLFASFKSQNHVLSFVVIVMAVIILLMQVCYYTHCGVAAKTTNCPSSFQHKLDEQHQHTHRHEGRNNQFTKGYSMERMKIEKQYGEDGEKQYEEDED >cds-PLY63135.1 pep primary_assembly:Lsat_Salinas_v7:4:66262227:66262490:-1 gene:gene-LSAT_4X44080 transcript:rna-gnl|WGS:NBSK|LSAT_4X44080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDERGKGRNDRNSLQGEFNNYDRRVERVEQQGAAASKSRRNWPLPEGEDRRSRRGRCLMFFFLMATTKLNEKKVVWSWFISLKLWV >cds-PLY62705.1 pep primary_assembly:Lsat_Salinas_v7:6:56193394:56195480:-1 gene:gene-LSAT_6X41240 transcript:rna-gnl|WGS:NBSK|LSAT_6X41240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRMVKPTVETRSQKLRLDDHAEQILKIKATMNEIRTIQDREREEEREFQKAMLTWMKQQDPEFFSDISNFPDSIVKLLEPVVDDAFRDTLSAAPMVLDEVDHECHDTSLEDELLSVVYASELESKTPPPFLQPPLSLTTVAETSDMASDFQSHLPSDAIPVELMDLPCVDKKINLLEFYGFDPQQKAKLYFNIHGNPFLHRITQISESITRDGFQFDVLQRCNGFEIQHSYKPSFQGNPIKVSFTSRPSGNSSFVAEGELWAVYTRMLKDGLNSKDKFYKETQEIQLSKLNETCYWSLMEKMMGKKTIEAARLLFQKPRILDRLIIEGLLVLGRVWLKTSCEVDQIKDKLAICLRCQRVLAHPQGRGMYQGYLVSIQKGSGKLTSEIMYGRKPLMENHCVLKALSSLIEQVFLLEQEWSASDWRIPIAILVICSIITYWKKIEWWLILWKGHTTEKATVEEACAIEIQFLNTSLEGNNFLMEGVMIGI >cds-PLY69189.1 pep primary_assembly:Lsat_Salinas_v7:7:103326633:103330986:1 gene:gene-LSAT_7X68540 transcript:rna-gnl|WGS:NBSK|LSAT_7X68540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERICDLVFVVLIGFSLLGKIVNANEFEFDDAEFHANTTQMLSFLENFSNYSQSAAAYNPLYVGLTLIPGAAAKGAVCLDGSLPGYHLHRGFGAGANSWLVHLEGGGWCNSLRTCIYRKTTRRGSSKYFEKSLAFTGILSNRAEENPDFFNWNRVKVRYCDGASFTGDSEDRAHGLQFRGQKIWLAAMEELMSKGMRYANQALLSGCSAGGLASILHCDEFRGLFRRRTKVKCFADAGMFMDARDVSGGHTIRNMYQGVVSLQGSAKNLPRTCTNHLDPTSCFFPQNIVSNLRTPMFLLNAAYDSWQIIASLAPPSADHRGVWKACQKNPANCSPSQINFLQVFRKHMLNSLKRFSMSKQNGLFINSCFAHCQSERQDTWFADNSPLIGNKAIALAVGDWFFDRSSVKQIDCPYPCDKTCHNLVFRS >cds-PLY74667.1 pep primary_assembly:Lsat_Salinas_v7:5:176474161:176475670:-1 gene:gene-LSAT_5X78441 transcript:rna-gnl|WGS:NBSK|LSAT_5X78441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitric oxide synthase-interacting protein homolog [Source:Projected from Arabidopsis thaliana (AT1G61620) UniProtKB/TrEMBL;Acc:A0A178WN49] MPQRHSKNNNDLAFFTYDEKRKLGYGTQKERLGKDSIKPFDACSLCLKPFIDPLCCQKGHVFCKECILECLLSQKKDIQRKLAAHTTQQKQQKDEETEKIALQKARELDAFDQQNHSAVPQYTDKNLNRDQNNFHGANSVKTTSYEAEALRTMKAFWLPSATPEAPVKITAPSTATTCPEGNEKLKMKSLFSIRFTEETNEEKTNSPLDKTYVCPSCKVTLTNTLGLVALSSCGHVFCKKCAEKFMAVDKVCLVCNKGCKERNLIYLEKGGTGFAGHGDNLEAKDFKHLGSGSGLGLVRPAMKT >cds-PLY81411.1 pep primary_assembly:Lsat_Salinas_v7:9:95552169:95553587:1 gene:gene-LSAT_9X72960 transcript:rna-gnl|WGS:NBSK|LSAT_9X72960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIPAAVYTLVDNIDDWNRGKKDEPDTGFGEEEDMVNEEEEETYYHDAIHTEIEENHQTKEKKEWRVRKKQMKTL >cds-PLY73779.1 pep primary_assembly:Lsat_Salinas_v7:8:92221289:92221492:1 gene:gene-LSAT_8X64180 transcript:rna-gnl|WGS:NBSK|LSAT_8X64180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEETHNISDAFLMIYRVGGDVGASRRRAAVLCSPRCCSQENGDNVVVVCHPEAEAQFLVMVFGFIGW >cds-PLY68114.1 pep primary_assembly:Lsat_Salinas_v7:8:34633969:34635558:1 gene:gene-LSAT_8X27841 transcript:rna-gnl|WGS:NBSK|LSAT_8X27841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPGTITKLHRLHNTLTRILNRRGGTFKWKGHWFANMDMLITTDPLDIHHVLSKNFPNYPKGPKYRKLFDIFGDGIINIDGHLWEIHRKTIMSLFRQPNFQSVFEAVVWNKVETALLPVLESISKTGMEIDLQEIFQRFTFDTICKVLLEYDPQSLSLNFPYIPCEKAWVDITDGMLYRHLLPPIIWKLQRLLRMGNEKKLSDAYNAVYHFVDKCLVRIQHESINMESEHVHESFGLVTSLVTEFKSQSGNFGYPPKNFVRDTIVTLLGAGRDTTSTTLSWFFYLVAKNPIVEEKIREEIQTFLEMKVDDQKNWNSKEVGKLVYLHGALCEALRLYPAVPFNHKTPLQPEILPSGHHVTQNTRIILYYYGMGRMEKIWGKDCTEFKPERWISEKGGIKHEPSYKFVAFSGGPRTCLGKDMSFTQLKIVASTIIYHYHIELVEGHPVIPSASMVLLMKHGLKVRLTKISI >cds-PLY92015.1 pep primary_assembly:Lsat_Salinas_v7:8:240045146:240047985:-1 gene:gene-LSAT_8X145101 transcript:rna-gnl|WGS:NBSK|LSAT_8X145101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SAR DEFICIENT 1 [Source:Projected from Arabidopsis thaliana (AT1G73805) UniProtKB/Swiss-Prot;Acc:Q9C9T2] MTGKRFADEAEPDQYWQNERRRRARPSLASAIGEVVKMNFVQNFCTVLEPMLRRVVNEEVENGLRRRIPSYSRSNSLRINALVPSSTMQLKFRKHLTLPIFTGTKILDEDGNPLEIYLVDTDNSLESSFSSALKLQIHVVDGDFPSSNSIIWTSDDFEKSIVKERRGKRPLLAGDVSVTMRDGVVSIGDIELTDNSSWIRSRKFRIAARVVHGATPGVVIREAMTEAFVVKDHRGELYKKHHPPMLEDDVWRLEKIGKDGAFHKKLASNDIKTVQEFLKLSVVNESKLRRILGLGMSDKMWEVTIKHARTCILVSKLYISRGPNHTIFLNPICQVVKAVINGDVFTGKDLATLNKVCIHKLVGEAYQTWDSLEIVDGLLNDTPRLTQGDMVDQYPRSQIMTVGMYGGHAYPIAQATDLAFVSTNDHIGVVGSTSFYTPVEAYNFSESSSEGEFAAHHNFIK >cds-PLY75824.1 pep primary_assembly:Lsat_Salinas_v7:3:69491910:69492387:1 gene:gene-LSAT_3X53880 transcript:rna-gnl|WGS:NBSK|LSAT_3X53880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSYSISSSLNRSSKKSTVNDPKTCDCGFPARILTSTTPKNPGRHFMVCNEMPLMEVVEGMKAELVALKTEVEKVKEDMEQMKKEKYSDAIAMKEKLYKFTIGFLFLIIVYMMK >cds-PLY85685.1 pep primary_assembly:Lsat_Salinas_v7:7:157950612:157951463:-1 gene:gene-LSAT_7X93500 transcript:rna-gnl|WGS:NBSK|LSAT_7X93500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIALALAFTALVAFASAHSTIITTTIEEESTFSKQQCSRQLQGQRLNQCQRYLAQGQSLYEEDDRSQKQGGLQLCCQELQFVDEQCQCEAVKEAFREAQKMQQQQQGQQGGSFGSKQIRQMMQKAQNLPNQCKLQTRQCQVGKISITTFTTITEDTTYSRRGSQQQCEHIRSRQFNQCQNFIQRQMGSYATLLMSVSRQGQQPQGLEQCCNELQNVEEECQCEAMQEVYRQAQRQQQQGSQQRSRRGGQPQTQDLQQIVQSLPNQCKLEVQQCRIPSTMF >cds-PLY69574.1 pep primary_assembly:Lsat_Salinas_v7:4:84753609:84756887:1 gene:gene-LSAT_4X56060 transcript:rna-gnl|WGS:NBSK|LSAT_4X56060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDPNPFDDGEEVNPFAEGAAGKGRKTNYSGGSFYTTGVPSAPSSKISLLPHEPANYYDRNASVDIPLDSASDLKKRERDLQAREADLKKREEIVRRKEEAAARAGIVLEEKNWPPFFPIIHHDIANEIPIHLQKLQYVAFMTYLGLVCCLFWNIIATTTAWIKGEDVKIWFLAVIYFILGVPLGYVLWYRPLYRVFRKESAFGFGWFFLFYLLHIGFVIFAAVAPPIVFKGKSLTGILPAVDLVGDQALVGIFYFLGFGLFCLESLLSIWVIQQVYMYFRGSGRAAEMRRGGA >cds-PLY75642.1 pep primary_assembly:Lsat_Salinas_v7:1:100772241:100774590:1 gene:gene-LSAT_1X81940 transcript:rna-gnl|WGS:NBSK|LSAT_1X81940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVMDEEGTKYQSRVFHQNFSRFRDLLKEDVIGLIVSFRPLETTNPNPSKHYMKMTISNLESVHLNVTIFGSQAHEMSHYLKSNTTITCVVIVMQFVKLNVWNGIGQAQSHFDVTKMFINSDIVEINEFKKELKANNKGGMSEKSITTLPSYSTSYIDDFKGDFPLKTVCEITEPLKEMKFLLVGSIVNIRQNLPWYYDACYKCGRRINNVPKTNLSYTAPGKMEDSVVIKCKNAACNDSNFHTVIKYIIPINVQDHTGTIGFTLFDREAKRLLDISAFELKKIHEEVGDSLELYPNHMNVLKNRKFAFLVDVTSHNVTNYNNIYTVVKLTEDESVVLELESKLELMSVQSVSLNEVPLESDEVVQNVQKDVISQTDENFTPSTIDKSSATSPLKISVDLKRNLHDIYDVDGGGDLSSIKSKRKSMGEGNPLLVPKVEK >cds-PLY95968.1 pep primary_assembly:Lsat_Salinas_v7:9:42024958:42025698:1 gene:gene-LSAT_9X37901 transcript:rna-gnl|WGS:NBSK|LSAT_9X37901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFWPYVNSFSCFYSSNGDVESLDFDLSNEESKRRLTNSRLLYRSKQRGFLELDLVLRSWEENHIGSLDVKGIKSLITVLDVENPYLWKWLTGQEQPPEVVETNPIFNEVRSKVMNNLESYASKQTRATPGQPWVRGWDDFKKGRDSPDVGNQ >cds-PLY90676.1 pep primary_assembly:Lsat_Salinas_v7:6:49904147:49904898:-1 gene:gene-LSAT_6X36221 transcript:rna-gnl|WGS:NBSK|LSAT_6X36221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSVSKSITVIFSVLLLSFFLTVESQKFSRNLSQRSLGLRKEKLSHFRFYFHDIVSGPNATAIRIVEATRTNRTAATGFGDISMIDNPLTIGPERNSRLVGRAQGMYTSASINEMGLLMVMNYVFVEGKYNGSTLCIVGRNPVMSTVREMPVIGGSGLFRFARGYAEARTHTFNMSNGDAVVEFNAYVFHY >cds-PLY86487.1 pep primary_assembly:Lsat_Salinas_v7:9:183763063:183764817:1 gene:gene-LSAT_9X113121 transcript:rna-gnl|WGS:NBSK|LSAT_9X113121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQGQPPVGAPPPQGYPPEGYPKDAYPPAGYPPQGYPPQGYPQQGYPPQYAPQYGAPPPQQQQQQQSTGFMEGCLAALCCCCLLDACF >cds-PLY65495.1 pep primary_assembly:Lsat_Salinas_v7:3:1576985:1577520:1 gene:gene-LSAT_3X580 transcript:rna-gnl|WGS:NBSK|LSAT_3X580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLQYVIQVDSKGYTYLIFFWHTCLKAPTRSYWRTLVAQLCFIREIDQFNAAVFPVVGNCGVLSSIKAKPMQEFVAFVGLNATPSQRSFMKP >cds-PLY74594.1 pep primary_assembly:Lsat_Salinas_v7:7:41314803:41315549:-1 gene:gene-LSAT_7X31840 transcript:rna-gnl|WGS:NBSK|LSAT_7X31840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPHKLPTPLVYLRVLVLDVCFLQEDEIYCLLCLINSSPNLEKINLEMCWDHDECGEQNFNKLFDLEDHLDLKLDHLKELEITSFYNVGYEMEFVKLIMAKSPVLKLARIKLNPNVSVDEEIKMFQDLVRLPFPRASPTANFIIERMPFN >cds-PLY79043.1 pep primary_assembly:Lsat_Salinas_v7:3:8987975:8989350:-1 gene:gene-LSAT_3X8200 transcript:rna-gnl|WGS:NBSK|LSAT_3X8200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIAVVTGGNKGIGLEICRQLANEVVVVLTARDEKRGVDAVAKLHSSGLLDVVFHQLDVTDPASIASLANFIDARFGKLDILVNNAGVSGTIFDEESIWSLNLSKKEVIDENTNQSKKFATQTFEGAQKCLETNYYGAKHVTQALLPLLLKSTSPKIVNVSSKLGQLQNVHGESARKILSDLDGLREEMVDEVVSEYLKDAKDDELLEKKGWSSNVSGYIVSKAALNAYTRILARDFPSISANSVSPGFVSTDMSCFKGTSTVEEGARGPVRLALISDASPTGQYFWTTEKSTF >cds-PLY70656.1 pep primary_assembly:Lsat_Salinas_v7:5:71370446:71372719:1 gene:gene-LSAT_5X33141 transcript:rna-gnl|WGS:NBSK|LSAT_5X33141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSAPSFYDATHHPHDHRHPIRRRPPRKPSSPPLSLSPFGLSVNRSFLEGLGLPISRYVNKKFSNQYKATIGADFLTKEVEFEDRFFILRIWDTAGQERFQTLGVAFYRGADCCVLVYDVNVQKSFDDLNNWREEFLIQVSEKKARAWCASKGNIPYFDPVINTWTEWLTEFGGAVPYIPAEDLA >cds-PLY97623.1 pep primary_assembly:Lsat_Salinas_v7:5:238442521:238442752:1 gene:gene-LSAT_5X113060 transcript:rna-gnl|WGS:NBSK|LSAT_5X113060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSFLDVLMGLLRVRRWRNEYRSNGLFQAPLIKIRRHHNFGLLDWTRWQHV >cds-PLY82221.1 pep primary_assembly:Lsat_Salinas_v7:1:68985319:68992757:1 gene:gene-LSAT_1X61061 transcript:rna-gnl|WGS:NBSK|LSAT_1X61061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTQGILKPRKSTSKYANSPSSSTTSSSKHFPEASVDNLSSPASSSRSKPQYFYSESLPLGSERSKENVTVTVRFRPLSPREIRRGEEIAWYADGETILRNEETPSIAYAYDRVFGPTTTTRHVYDIAAQHVVSGAMEGVNGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAIKDAFSYIQETPDREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDNQGAFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGDNNTEGESVNFSQLNLIDLAGSESSKAETTGVSRKEGSYINKSLLTLGTVISKLTDGRGSHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSNSEETHNTLKFAHRAKHIEIQAAQNKIVDEKSLIKKYQNEIRSLKEELEQLKTGVVTFPQLKHPGPIADDILILKQKLKDGEVKHESKLDQEEEEEEAKAILLSRIQRLTKLILVSTKSSHPSKFSHLRRGYSFGEEELAYLPQRRRDLSLDEENMELYVSLDTSEEINNDVLKEDKKVKKTGLLKWLKLRKRDGSYGALTITSDRSSGAKSISSPVSTPKTSNHNHHPPIQQSRHSHSHSQSVDFLFDPKQDNQQQIIEDDNSSQHDTPVVSISSPSITRLNHEIKLKNEQISLLEAQVANNQLLQQQLNQKVLEIEQLQQKVTQLTESKTELESRNKKLADDSKYAKGLASAAAVELTALSDEVAKLMNQNERLMAELAAAATATTPKNSPGNRKTTGPAKNGRRENVNTRTKEQVEMKRELALSREREMSYGTLLSQKDEREAELRRRLEESKQREAYLENELANMWVMVAKLKRLQGAETEPSESSKDLD >cds-PLY85314.1 pep primary_assembly:Lsat_Salinas_v7:5:326381306:326383802:1 gene:gene-LSAT_5X181120 transcript:rna-gnl|WGS:NBSK|LSAT_5X181120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan galactosyltransferase MUR3 [Source:Projected from Arabidopsis thaliana (AT2G20370) UniProtKB/Swiss-Prot;Acc:Q7XJ98] MRRRSPVTPSTDHMEKGTNKSQQSRLCVLGLLCAFFWGFLLYFHFVIIGGRSFQVNPISSESRTLEPIPVSRPIPEIDIDHVENYDKSESRDLVVAQLKTPKPEPVIEPKTDDLDKPEVFHSVTPQPKIPQPDPVIEQKNDDLNKPKVFPFVKALKTTENKSDPCGGRYVYVHDLPPRFNEDMLKQCRSLSKWTNMCKFTTNAGLGPPLENTEGVFSDNGWYATNQFAVDVIFSNRMKQYECLTKDSSLAAAIFVPFYAGFDIARYLWGYNISTRDAASLDLVDWLQKRPEWSIMGGKDHFLVAGRITWDFRRLSEEETDWGNKLLFLPAAKNMSMLVVESSPWNANDFAIPYPTYFHPSKDSEVFAWQDRMMNLDRKWLFCFAGAPRPDNPKSIRGQIIDQCKNSDVGKLLECDLGESKCHSPSSIMQMFQSSVFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKNYTKYSVFIPEDDLRKKNISLEERLGQIPVDQVKMMREEVINLIPRLIYADPRSKLESHKDAFDVSVQAIINKVTRLRKDMIDGRTDDDFIEELSWKYALLDEGEYVGVHEWDPFFSKPKPNDGNTDSDGSSAEVAKNSWKNEQRDHS >cds-PLY63183.1 pep primary_assembly:Lsat_Salinas_v7:6:88265979:88266620:-1 gene:gene-LSAT_0X15601 transcript:rna-gnl|WGS:NBSK|LSAT_0X15601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKATSVLKTYSSKKQVAKPVKHKIKKKVVDDDATNKKDVNYDDVIVDTEDKEETDTKVLHDDPLYISPQRITPVKSYTEETSNFDVTMNISEVDTNITNVETPSTFVPLSNPIPPPEGPQVEPNTEVTENLDISRNTSNMDPNVNVGVTSYLKTWIVLAHPPPPSFGIDYICCSNRYCSYPFTYV >cds-PLY70190.1 pep primary_assembly:Lsat_Salinas_v7:9:1668818:1669012:-1 gene:gene-LSAT_9X3940 transcript:rna-gnl|WGS:NBSK|LSAT_9X3940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMKTIVTNFDYVNNPFLFQIKTRFRATRFFIRFINLENPFNFKIDQGKPIDLRIERLPECSLC >cds-PLY89053.1 pep primary_assembly:Lsat_Salinas_v7:9:27864008:27866337:-1 gene:gene-LSAT_9X24380 transcript:rna-gnl|WGS:NBSK|LSAT_9X24380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALPSTFLPAASLFLTFCLCLYPQLALANPGSTGITRHYKFNIRMQNVTRLCQTKSIITVNGKFPGPRIIAREGDRLVIKVVNHVSNNITIHWHGIRQLRSGWADGPAYITQCPIQTGQSYVYNFTIIGQTGTLWYHAHVSWIRSTLYGPIVILPRRNTSYPFVKPYKEVPIIFGEWWNSDTEAVINQALQTGAGPNNSDAYTINGLPGPLYNNCSSPMETFQLTVKPGKTYLLRLINAALNDELFFKIRNHTFTIVDADASYVKPFETDTIYITPGQTSNVLFKTKTLTSNAKFMMAARPYSTNAAGTFDNTTVVGVLEYMSDSMSSSSINASIKDLSLPTLPVINATSYVANWTNKFRSLGSSQFPVNVPQTVQNRYYFAVGLGTDPCLINQTCQGPINGTKFAASVNNISFTLPTIALLQARYFGKSNAVFTTDFPTTPLNPFNYTGSPPNNTMVSHGTKVVVLPYNTTVELVIQGTNIFGFENHPLHLHGFNFYVVGQGKGNFNSTTDPTSFNLVDPVERNTIGVPSGGWVAVRFRADNPGVWFMHCHIEIHLSWGLRMAWAVMDGKLPNQKLLPPPSDLPQC >cds-PLY63967.1 pep primary_assembly:Lsat_Salinas_v7:7:117936638:117937192:-1 gene:gene-LSAT_7X71620 transcript:rna-gnl|WGS:NBSK|LSAT_7X71620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANIKIRRLRRKLGFKFNKSKKQSDRLLAFTKHRDVIFKSASDLCTLVGVDIVILITSLCGITYCFVHPNVESVQSQFLYQTLLPKSILEPYQKVHIEELNKEIYEVRKSLKVEEKRAMILKEKKDARPKKVWEEPIPELSTIAIENVKRILGEMQVLAFNKINEEYLCKQAIHGIKEFVPNHM >cds-PLY97407.1 pep primary_assembly:Lsat_Salinas_v7:4:16069758:16072308:1 gene:gene-LSAT_4X10901 transcript:rna-gnl|WGS:NBSK|LSAT_4X10901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGQKNDYGKKSHYQPDFHGNEGGNRTDERESHGIGPEDTVYRYLCPSRKIGSIIGRGGEIVKQLRLDTQAKIRINESIPGCEERVVIIYSSSEETNSFGDNNDLVSPAQDALFKVHDRIVIEEDEFDESQQITVRMLVPSDQIGCVIGKGGQVIQNIRSETHAQIRILSNEHLPNCALNSDELLQISGDTIVVRKALYQLASRLHENPSRSQHLLLSSPSLHRGGYMGPHSGAPPVGLVSLMGPYGNNGGDWREFSLRFVCPTDNIGAVIGKGGVIIKQMRQESGANIKVDSSSAEGDDCLITVSAKEVFEDSSPTIDAAMRLQPRCSEKSEKDSGVFVITTRLLVPSSRIGCLIGKGGAIISEMRNLTRANIRILNTENLPKVASEDEEMVQITGDINAASSALLQVTTRLRANVFEMSMEMADGSRYGNSRGRGNLSHSGRYDQDLPPGDGYGGPQSQVSGSGYGAYSGRPASGR >cds-PLY86783.1 pep primary_assembly:Lsat_Salinas_v7:5:16627985:16628186:1 gene:gene-LSAT_5X7520 transcript:rna-gnl|WGS:NBSK|LSAT_5X7520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLVRLYEQMPEPKYLIAMGACTITGGMFSTDSYSTIRGVDKLIPVDAVHLNQVPLACIQ >cds-PLY79169.1 pep primary_assembly:Lsat_Salinas_v7:4:218157798:218158505:-1 gene:gene-LSAT_4X122661 transcript:rna-gnl|WGS:NBSK|LSAT_4X122661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEEAEMNEETFVVRLLVVSIQVGCLLGKSVGVIKRMASESMEQIRILPRDKLLACASSSDELVQQLLDHPAPAGAQDKSDSLASNNVIVNNSNICEQHPHHPNGRAGHHEGGRFGPFTDMLTYHLICSDEKVGGVIGKGGATVKELKHETGCDIIVISLYLSLLVTGGNEHRENNKAWLDTCAKIR >cds-PLY92968.1 pep primary_assembly:Lsat_Salinas_v7:8:98605425:98606927:1 gene:gene-LSAT_8X68341 transcript:rna-gnl|WGS:NBSK|LSAT_8X68341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGARGGGRSGSHIGGRDAGDRNASQSHNDAESQPSSSVRGSNILEQVPSNPSKRKFIEVDSEKEFTDQISVIRAITCILKTMFDDPWTSWKKVDKEHRDAMWEHFKFGLYVWPEETDVLARKVWEDCMKKRFPDVMRRASEASLKLSKAANVNASLEGKNNINKLEDGSVSKHTEGSISIRQHKKRMQAMLKRPPTGVELYARLHTKRSTQEYITPKAAKVKEAYESAMVAKFGDDTSCQPFLDNETWCDVSGGVKKGRI >cds-PLY84405.1 pep primary_assembly:Lsat_Salinas_v7:8:78949022:78952543:1 gene:gene-LSAT_8X56480 transcript:rna-gnl|WGS:NBSK|LSAT_8X56480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSCLSDHSPRVSKRDYYHLNIDSLLESLLAVSDSALIEASFDGLVESRSAYSDQNEFIQRALHIGSVLLEVGKRYGRKLSSVHNASFWPLPPDLTIKVFSMLDTPSVCSASATCLFFQNCAKDPLCFANIDLAIPKVNVVVVTTMIQRARNALQSIKLVEVPPELSLNKQGKKSSILTRSCLGFLGANGGASGSHLKRLHLHNIKMMDNTALLASLSVCPSLVDLEIVGLDVDASSTLKFVSRHCRLIERFVFDLSKSKDSMGRLMKRRLFDDEGNFIGNSACEELVLNCPKITTLALKGCRLSDYNAHKLLKGFHELKYVDFSTSCFFSGSFLNKGDGNNLEVMILRNCSRLKKVEVKKLMKALLAGKFRLLRHLDISNDEDIAYNGHLEGVSAILIKQLLEQRPNFCLVPEFSKLNSVNHMMDDDLSSPTSDASSSTSTSTSSDSSSSMSTSTTSDSSSSTSTSSSS >cds-PLY98399.1 pep primary_assembly:Lsat_Salinas_v7:5:317260775:317261725:1 gene:gene-LSAT_5X173580 transcript:rna-gnl|WGS:NBSK|LSAT_5X173580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHLSEGNNLQHHLSLPALTQPHRSPSTSDARQQPRTPMPTTTITGDEAEQQRCHRRSLAAPIIPIDDLLQEQPSKVTMPAESKIRFSSSNDRLQQGTKKGKTITTEGFDHQSPPNAPPSDRLLNTYDSSSNYFAISLADSNLLIEPAGSLNCVSPINLQPPMSRCISTTSNPTVRMMPTSFTEVVVFLSLTSDRPNEGGGVRTNIRLSSSN >cds-PLY72098.1 pep primary_assembly:Lsat_Salinas_v7:4:10378657:10380540:-1 gene:gene-LSAT_4X6921 transcript:rna-gnl|WGS:NBSK|LSAT_4X6921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFLPQRFLLSCLVLFNCSFLLPVFSRGFIYKPPNVEHLTDHFHPTPVAGNMLTIFGAPNIHMKSNGSYADIILDKTSGSGLVSKEKYFHGFFSAAIRLPVGVTSGVVLAFYMSNSDVYPHNHDEIDFELLGHEKRKEWVLQTNIYGNGSVKLGREEKFYLWFDPTQDFHQYSILWNTHHIVYLVDNIPVREVIHNQASSVVYPSKPMSLYVTIWDGSEWATHGGKYPVNYKYAPFVASLGELEIEGCSLMQTTNSSSIGSCSKNIAHSSFDPLEGDEYIKLTKQQMVGLNWARTKHMFYSYCKDTSRYKVVPPECNA >cds-PLY74750.1 pep primary_assembly:Lsat_Salinas_v7:6:121007367:121009121:1 gene:gene-LSAT_6X71681 transcript:rna-gnl|WGS:NBSK|LSAT_6X71681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVLPKIYTRNTRIDPSMLRTFRHYHFNTDQTHKIPNPFPSTQGIGRNIVAVFWDLDNKPPKSVSPFDAAIRLKKAAESLGIVRYKIAYANQRSFDYIPPKVKLHRKDRKTLNQLENKGITKPIDPYICRVCGRKFYTYEKLINHFKQIHEREHAKRVSQIESSKGSQRVKLVGKYSMKMEKYSNAARDILTPKVGYGLGDELKRAGYWVRTVSNKPQAADVALRDHMVDMMDRRQMECLVLVSDDSDFVEVLKEARLRCLKTVVVGDCKGGVLKRVSDACFSWEEIIMGKAKKEGVSVVGKWKDGDILKRLEWRYNHERERKLYDSDGSDLVGGEDDGGKVDESRAWWKLESDSDVASL >cds-PLY76473.1 pep primary_assembly:Lsat_Salinas_v7:5:198240086:198244029:-1 gene:gene-LSAT_5X88741 transcript:rna-gnl|WGS:NBSK|LSAT_5X88741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYAGQKSLQQEVQSHAKKVAKTVVLNVVEKRNAKDASGNICTCIESEEQGDLGVKDYPITGVVQASFEATWPQECASLVQSLISAKGCLVLSQQHLAISLANSGCLCLSRSIGDRDVGEFIIPVPHVKQVKLSSACGRLVISSDGVWDALSTESALECSRGLAPELAAAQIFKARKQKNVKFVIKYYAMDSIFCI >cds-PLY84512.1 pep primary_assembly:Lsat_Salinas_v7:1:29136152:29139600:1 gene:gene-LSAT_1X24600 transcript:rna-gnl|WGS:NBSK|LSAT_1X24600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGGVPPGFRFHPTDEELLHYYLKKKISFQKFDMDVIREVDLNKIEPWDLQERCNIGSTPQNEWYFFSHKDRKYPTGSRTNRATNAGFWKATGRDKCIRNTFEKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEDNEDQHDPLNSTLTSPEDGWVICRVFKKKNLFKVGGNEGSRGSSGSDQLNHGSNQPGSFSHPRVENHQYLPYHQEQHQQLQTFVDLGLNHGHLPHPYPHLQAQNFIPTHKPLGYDFSNLPSEDSPVMVKQLMSNHGDCDSGSCENQQLPVGYQGCEPGLEAGTCEPPERMVNAAGNEWGMMDRLVTSHLGAAANEDGSSSKEVVRYENNANASSSSSMQQVNQLPLRGEMDFWGYGK >cds-PLY84036.1 pep primary_assembly:Lsat_Salinas_v7:6:190204688:190206038:1 gene:gene-LSAT_6X116760 transcript:rna-gnl|WGS:NBSK|LSAT_6X116760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATNPVTNNTDAPRDASKRRKKKKMLKQFSSIRNQVPENMNNDHDEITSWKSEDRQEAYSSKLFEALRHLRLSSGTSSHSVSLRGRAVREAADRVLAMAAKGRSRWSRAILSNKLKHKFMKSNLRQRGAIATATGKSRFKKPRMGILRLKSMNLPAVKRKTRDLGRLVPGCRKQPFPIVLEEVADYIAALEMQVKAMAALASIFSAGSSSGPGFATGAVNRNQLSLSRPPPSS >cds-PLY70883.1 pep primary_assembly:Lsat_Salinas_v7:9:14682719:14686782:1 gene:gene-LSAT_9X12540 transcript:rna-gnl|WGS:NBSK|LSAT_9X12540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKYKKVEQKRPVIASNANEIRITSQGLLSNYISIATTLLQERRRNEITLKGMGQAISKTVTIAEIVKRCIPQLHQETEISSVFITDVWEPIEEGLLVVEMTRHVSMISITLSIKELDKTSPGYQAPLLVERIRKALMYNNYQRPQQPIRYNSVVEGSYGGYQENRDPGEGGGRGWRRGGGCGNYRGYGNYPGGQYRNYQNRNYQDYVLRSDLWRICVRLGKVVDVFISNKKSRMGKRLELIRFVDVKDYDVMIRNLCDIWFGYHKLFAPTPRFSKTGDPPQHSQKFESNVDKIARPSASYASVVKEGLMDNLVFKKADDIIHLST >cds-PLY82737.1 pep primary_assembly:Lsat_Salinas_v7:2:147432128:147434556:1 gene:gene-LSAT_2X73561 transcript:rna-gnl|WGS:NBSK|LSAT_2X73561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDATAVVVDKVSLAKRPGVLVIGSSGVGKRTILSRLLSVDFEDDSDSSSEVLAYGWTINTKYYTADVSMWMAHLFNEFSITGVPMFDQITALVMVFDLNNQSSFSELKKWVSRNDIGKFDILLCIGNKADLVVGHSAHIEYRRHVLNQSSEYGIEETEGSSLLEDESSSSSSLEIKKSCMEWCLEHNIEYIEACASNPQFDKCLSVDGDSQGVERLLGALSAHMWPGMILKSGQTISQPSLPQQEDSSDEEPNYEFEYEILSAGSIEEQWDDSDVSWVSATKDTTESVIINNEREVKVDMQPSTSKLQEEIDNEKANEGNDNDDDDDDDDVDDGGKVFEVENMEQLMSEIGNMRDSLRLMPDFQRREMAANLAMKMASMFGDSSGDEGEIDE >cds-PLY72439.1 pep primary_assembly:Lsat_Salinas_v7:2:142800884:142804541:-1 gene:gene-LSAT_2X70621 transcript:rna-gnl|WGS:NBSK|LSAT_2X70621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLNQLWKRFKGGDSPPAELGQSKFKMLIWSPRHFMMANGALVRVLIHTNVTKYLNFKAVDGSYVYNKGKVHKVPATDVEALKSPLMGLFEKHRARKFFIYVQDYDDNDPKSKEGLALNKVPAKDVISKKYGLDDNTVDFIGHALALYRDDSYLDQSAIDFIKRVKLYXTMIMIQNQKKGWILIKFQQKMKKYGLDDNTIDFIGHALALYRDDSYLDQSAIDFIKRVKLYAESLARFAGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVKFEDGKAIGVTSEGETAKCKKVVCDPSYLPDKVKAVGKVARAICIMSHPIPHTNDAHSTQVILPQKQLGRKSDMYLFCCSYSHNVAPKGKFIAFVTTEAETDNPETELKPGIDLLGAVDQIFFDTYDRYEPTNDGADDHCFISTSYDATTHFESTVQDVIAMYSKITGKSLDLSVDLSAASASNDE >cds-PLY72468.1 pep primary_assembly:Lsat_Salinas_v7:2:140695404:140699989:1 gene:gene-LSAT_2X68700 transcript:rna-gnl|WGS:NBSK|LSAT_2X68700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37630) UniProtKB/TrEMBL;Acc:F4K790] MAVEISEEKHLMQKIAKVFNDARASRASHIRKLKDLSALRSSVPLAQFFDAFSKNLTLIFTTQRRIASVERIVQFVAIFACLRDPNHSEDCDEFFERFLRLLMAGTAATSRTARFRACQIISEIIMRLPDDAEVSDELWDEVIDCIKVRVGDKVPVIRTYSVRALSRFANDAENSDILELLLETLPSEQNHDVRKTILLSLPASNATSTAIINCTLDVNESVRKAAYCVLASKFPLQSLSIKQRTIILQRGLADRSAAVTKECLKLLKDEWLMKSCNGNPIELLKFLDVETYESIGVSVMEALLKSGLVKLHGGQTINQFISSNSNTTEGQNVQQVDAEVALYLRTLCKHLQTEAQSKGSDAAMTTGTEATVYASEASDSNDLLEKILPESVSDYIELIKTHIAAGQANRFIARQLLILGSMLDFSDATNRKVAAVFVLELLKSPLDHEVDDDGEKVVIGDGISLGGERDWADAVSGLAKKVHASQGEFEEIILGVIADLAISCRERTADFLEWMHCLSVIGLLLEHTKSLRWMFGKPIEPSELLHSLLLPGAKHIHFDVQRAAIRCLGLFGILERNLTEELVKQLRVSFMKGPSPVSSMASKSLMDLATWHGPDAVDKSMNQNLSSQFKEQAKTIHPVDLNETNEDLEIEIMDLLYAGFEKNDFGKIETDENESVQPVLAEGFAKILLLSENYPTLSSSSHPILLAKLITLYFSNTTVDFQTLKQCLSVFFEHYPSVSVNHKECICKAFIPVMRSMWPGINGVSGGAPAVVSRMRKRAIQASRFMLQMLQAPLYPKEIDKKVNESTDDFDFGEEGLAIHITAEVLSFQKKKSPAEKAYVSAICKVLVLVRFRGLEQVAIKLMRKLLNRVAESVSSDKETLKEVKQMVDQLKSLDKTPDEPLPVDQANILLEKLDVQVSIDGDESSMDVEPTPVPRSTKPVRARRRAREKVSSSSDEDETVPDTVVPVNPVMMSVRSQRASKSVALSKMVSSNKPVKIDDEEDEDEDDEESEVTSEDESD >cds-PLY95326.1 pep primary_assembly:Lsat_Salinas_v7:8:243576199:243581642:1 gene:gene-LSAT_8X144061 transcript:rna-gnl|WGS:NBSK|LSAT_8X144061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-1 [Source:Projected from Arabidopsis thaliana (AT1G18140) UniProtKB/Swiss-Prot;Acc:Q9LMS3] MEKQYCVSPAVLVILLLATACSSSTGSRHFDFNVQWKNISRLCSRKEILTVNGEYPGPTIAVNEGENVEIRVTNGVSINTTIHWHGIKQLRTGWADGPAYVTQCPIRPGQSYTYKFTVSGQRGTLWWHAHIAWQRATIYGAIIIYPRMPYPFSTPIQAEIPIIFGEWWNLPVEGIETEMNKYGNGPNSSDAYTINGLPGPLYPCSIRDTFIQTVEHGKTYMLRIINAALNDELFFTIANHTLTVVEIDASYTKPFDTEAIMITPGQTSTVLFTANQDKHDSTGLFVMAARPYLTTVFPFDNTTTIGFLKYKGTLAENMALPEPYKVRLPYHLPQMEDHAFAISFSNQLRSLGTPKYPCSVPKRIDKRVVITISLNLQDCPVNQTCKGYNGKRFAASMNNQSFIRPLTSILDWHYRKYSSTHYSYDFPQKPPHVFDYTGVDPMTHNLNPNFGTKLFAVEYGTKLEIVLQDTSFLNLENHPIHIHGHNFFIVGTGFGNYDVEKDTGSYNLVDPPERNTVAVPMGGWAAVRINADNPGVWFMHCHLEEHTSWGLAAGFIVKNGAKPYQKLLPPPDDLPAC >cds-PLY66616.1 pep primary_assembly:Lsat_Salinas_v7:4:282960978:282975783:-1 gene:gene-LSAT_4X143680 transcript:rna-gnl|WGS:NBSK|LSAT_4X143680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAQSYRFGGPATTAAAAGNIETLNRVLADLCTRGAPKDGSAFALRRHVEEEARALSGEAFSRFMDQLYDRITSLLESNDVADNLGALRATDELIDLRLGESGTKVSKFSNYMRIVFDTKRDPEILILASKVLGHLARAGGAMTADEVECQVKNALKWLGGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPTLAVRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGKNASVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRNPLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILAVLKIPTERASGFIALGEMAGALDGELVHYLPTITTHLREAIAPRRGRPSLEALACVGNIAKAMGPAMETHVCSLLDAMFSAGLSSVLVESLEQITISIPSLLPTIQDRLLESISVVLSKPQNTHTGASATPSRANIANNMQQMSELTGSALEQLALQTLARFNFKGHDLLEFARESVVVYLEDDDGATRKDAALCCCKLVVNSFSITTFNPSRSSRAGGKRRRLIEEIVEKLLIAAVADADVTVRNSIFSSLHGNGGFDDFLAQADSLTAIFAALNDEDLQVRKYAISVAGRLSEKNPAYVFPALRRHLIQLLTYLAQSADSKCREESAKLLGCLIRSCERLILPYIAPIHKALLAKLCEGTAGVNANNGIISGVLVTVGDLARVGGFAMREYIPELMPRIVEALLDGAAASKREVAVATLGQVVQSTGYVIAPYNEYPQLLGLLLKLLNGELAWSTRREVLKVLGIMGALDPHVHKRNQQSLQGPLGDGTRTTNDAGPHIQSSDELPMDLWPSFATSEDYFSTVAINSLIRILRDPSLSSYHQKVVGSLMFIFKSMGLGCVPYLPKVLPDFFHTIRTCEDTLKEFITWKLGTLVSIVRQHIRKYLPELLSLISELWSSFSLPAANRPVHGPPILHLVEQLCLALNDEFRRYLPIILPCCIQVLSDAERCNDYTYVRDILRTLEVFGGTLDEHMHLLLPALIRLFKVDASVDIRRAAIKTLIRLIPRVQVTGHISTLVHHLKLVLDGKNDELRKDAVDALCCLAHALGEDFNIFIPSIHKLLIKHRLRHKEFEEIEGRLQRRRPLIVASVAAQKSIRQPPVEVISDPLSDMENDPYEDVHKQPKVHQVNDARLRAAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWSQLHESSQKALVRSLEMAFSSPNIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGALSKKMDANPVAVVEALIHINNQLHQHEAAVGILTYAQQRLDVQLKESWYEKLQRWDDALKAYTAKSAQATSQHLILDATLGRMRSLAALARWEELNNLCREYWTPAEPAARLEMAPMAANAAWNMGEWDQMAEYVSKLDDGDETKLRVLGNTAATGDGGSNGTFFRAVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRIQQLSELEEVIDYCTLPVGNSVAEGRRSLIRNMWNERIKGTKRNVEVWQALLVVRSLVLPPTEDSETWLKFASLCRKSGRISQAKSTLIKLLQFDPETTPETVRYHGPPQVVLAYLKYQWSLGEDQKRKEAFARLHDLAIELSSSSGLQVSTPTGFGGVPHVSLMARVYLKLGAWQWALSPGLDDDSIQEILNSFKHATHCATKWAKAWHTWALFNTAVMSHYTVRGLPNFAAQFVVAAVTGYFHSIACAAHAKGVDDSLQDILRLLTLWFNHGATAEVQAALQRGFSHVNINTWLVVLPQIIARIHSNNHAVRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRKAAAQEVVDKVRQHSGLLVDQAQLVSEELIRVAILWHEMWHEALEEASRLYFGEHNIEGMLKVLEPLHEMLEEGAMRNNTTIKEKAFIQTYHHELLEAYECCMEYKRTGKDAELTRAWDLYYHVFRRIDKQLQSLTTLDLQAISPELVECRDLELAVPGTYCADSPVVTIASFAPQLVVITSKQRPRKLTIHGSDGKDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTAEKDLSIQRYEVIPLSPNSGLIEWVPNCDTLHQLIREYRDTRKITLNQEHKYMLSFAPDYDHLPLVAKVEVFEYALDNTEGNDLARVLWLKSRTSEVWLDRRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRGSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGLEGNFRSTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVTQMSTLASTTNAQAAVNSEEAAPNHMQQPQRGVRERELLQAVHQLGDANEVLNERAVVVMARMSNKLTGRDFSTAPTTTTLQPAPDSATLLSSGDAREVDHGLSVKLQVQKLILQATSHENLCQNYVGWCPFW >cds-PLY79736.1 pep primary_assembly:Lsat_Salinas_v7:5:178385716:178395961:-1 gene:gene-LSAT_5X78901 transcript:rna-gnl|WGS:NBSK|LSAT_5X78901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQEPHYPRQTCNVFNKFTCLVLLAVFLLVVVVLLGVFLLVVPSTEVVPSEDTESRFLVKTLPGFLGDLPITLETGYIGVGDSEDVQLFYYFIESEGNPEKDPLMLMLSGGPGCSGLAKVLAETGPFTFNYASSSSKKPILEINPYSWTKVGSIISLDQPAGTGFSYAETSDAYTTNDTLSAIQVYEFLRKWLMDHPNFLKNPLYVCGDSYAGLVVPMIVEEIYNGNEVGEGLHMNINGYVIGNAVTDTNDEYNSRIPFAHRLALLSDEIYMSAKENCFGEYLNVDPNNTLCINDLQVVDKCLERIKMEHVLEPNCDTSIAVKGCPDNKSEYLSAWANRRDVQKALHIREESKDAKWVACNESLKFYYDKEPISYTHNVLSNVAYHRQLASKNCRALVYSGDHDMVVPYLSTLNWIKSLNFLVVNAWRPWFVDDQVAGYTKKYSYHDYNLTFATVKGGAHTVPDNKPKESLSMLTKWLVDDAL >cds-PLY93191.1 pep primary_assembly:Lsat_Salinas_v7:8:267145877:267149651:-1 gene:gene-LSAT_8X154420 transcript:rna-gnl|WGS:NBSK|LSAT_8X154420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYKTSLLIASITFLHLVSSSNGDARTQVIKLFCDEQIHEDDTYFVPNYVQAMEIVSTQMRTSHNATVEVGTGSNTNYVLFQCYDDLSSQDCVLCYAETRTVFPSCYPRNGARIFLDGCFMRLLNYSFYEEYTGSYDTIVCGNITRESIEFQNSTKQAVLNVVADALSNDMYFGRGEVVAARGNKSVYVMAKCWTTLSLGDCRKCLENASEVISKCLPWSEGRALNTGCFMRYSNTDFLNPLPLTSGSKSKGKTATIIISIISSVVVLAVALTIALYIRRRRYIQQRRRGSYDSEKLAKILTDSSLNFKYSTIEKATTNWDESNKLGQGGFGTVYKGVLSDGREIAVKRLYVNNKLRAADFYNEVNIISSVEHKNLVRLLGCSCSGPESILIYEYLPNMSLDLFIFDAIKGKKLNWEKRFQIIIGTAEGLVYLHENTKTRIIHRDIKASNILLDMRLRAKIADFGLARSFQDDKSHISTAIAGTLGYMAPEYLAYGQLTEKVDVYSFGILLLEIVTGMESNRSNALEYTDNLVSTAWELFQKGIVEQIFDPNLSMDVHPNTIFKKVAIKVVHVGLLCTQEAPSLRPTMSTVLRMLAKDDEHLPLPSNPPFADEKTMELNNITQKLLHDHEGESSYSVATVSHSEFYPR >cds-PLY65705.1 pep primary_assembly:Lsat_Salinas_v7:5:268835564:268837769:1 gene:gene-LSAT_5X139740 transcript:rna-gnl|WGS:NBSK|LSAT_5X139740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVVCRRRYHCLLFLSLFLFFPPCLSQQPPSIALLHFKNSLSKCDSLTNWKDDGSHPCDPNNVWIGIICSDGQINSINLAGMELQGQPDIGALESIDGLKAISLENNALVGPMPEINRLRFLKAFYGGSNWFSGVIPSDFFQTLGSLKKLWLQRNNFSGQIPISVGELPNLKELHLEYNEFSGPIPAFSDPDILTTIDLSNNRLQGEIPKSLKNFDAKAFDNNADLCGGQLSRDCNAPPNQPGLEDSTETKSSTPWIIMVVVLALLILIIFARVNQVHEDYQNTRPNLGKGAXXXXXXXXXXXXXXXXXXXXXXXPAPLTATVTPTPPTTATNITVNPSTNVKETKDPPARTAKKVIPQLPVKPAAGDLVMVNEERGIFGLSDLMKAAAEVLGNGGLGSAYKAVMQNGVSVVVKRVREMNQMTREVFDTEMKKLARLKHQNILTPLAYHFRKEEKLMVSEYVPKGSLLYVLHGDRGISHAELNWANRLKIIKGVARGTGFLHSEFSSYPLPHGNLKSSNVLIGSDFEPLLSDYAFHPMVNETPTAQCMFAFKSPEAMLYQKISPKSDVYCLGIIILEIVTGKYPSQYFNNQKGGTDVVQWVRSALAEKREKSLIDPEISSEGEASVAQMEKLLRVGGACTADEPDERIDLTEAIRRIEKISV >cds-PLY81111.1 pep primary_assembly:Lsat_Salinas_v7:9:68462266:68465847:1 gene:gene-LSAT_9X57440 transcript:rna-gnl|WGS:NBSK|LSAT_9X57440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLELVKFINSDLTWNAVKKRHRSMMRRPRKPAASNSAIKMQESVAFDYEKSGVAILGQHFGEEVVDVPIKKRILMLQSPSLSNEKARSTQPHSPSPHHEKQECHSMKSKLIEKEIPDLNDFFGIELLAAAACHTSVYDTPAPMESSAVEEHTTTRVVESYTDGVTVKDDIAPVDSDDSSVQDNTFPVIITTDNGNSEGDKASVPSKDVRLHWDLNTVMDEWEEPCCDILVDPHSEKGYLKDDDSQQVKSDAIDNKSEGIQAVSEVVQGGSCQDDKVSSEDRLSDCCGSNKVKAGGGYDSPVEDGELRDTWQKNEVEEMECVDYESDNIYEDNFDAIESVNNEVIETLPNNEIEQDDKKNIPSISNQIPEPSQSEEKGRTSSFGVHRSRSENFQDSYSRGKRDFGQEKSTGRDGASYHGWDSRNTQNHRYCNNRPKHVIGGYNQRSSSYKTFNTKPERNESYGVYSRERVKGGLGFHQQGSRRGEDYNGHDQERKVSSFSPSFTRGPHLSRSRRRSRSRSRSESPIAWNFQKKSKVDTKRERESPDHIHIHRADKYNSNINGESRDRNNLGRNGMKSSDHFYPNFSRSGRYPPQGSGQYDEKYGGRFRYYHKDNGFRYTRNENRYFKDPVGDGVE >cds-PLY94982.1 pep primary_assembly:Lsat_Salinas_v7:4:109255875:109258793:-1 gene:gene-LSAT_4X71461 transcript:rna-gnl|WGS:NBSK|LSAT_4X71461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGDPYPTKAFISYKGICGFTVVEEDKEESPIPGLSLITLGIKNPVRGNLLLTKTISNSRLLPCSSPNAQQTSRKQRRCWSTELHRRFVNALQQLGGSQAATPKQIRELMQVDGLTNDEVKSHLQCFYGKLIHSTHFFYCSIEMNIIYEPLNWWEGGKILGGRDVTAGGTWLASSREGKVAFVTNVRELNSISAAKSRGDLPVRFLQSKKNAMEFAEEIAMEADEYNGFNLIIADLLSMNMVYVTNRLKGDKCYVTSVSPGVHVLSNASLDTPWPKAQRLEHGFKDVLDEYGEGEIPVTDLIDKLMRNTVKDDISMLPGIYGPDFEYELSSVFVNPASPKDYGTRSTSALAVKASGEVFFYERHLENGLWKENTEIYMIEKMK >cds-PLY87164.1 pep primary_assembly:Lsat_Salinas_v7:5:260378626:260379558:-1 gene:gene-LSAT_5X130361 transcript:rna-gnl|WGS:NBSK|LSAT_5X130361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDTAVTVYGSNAISDPNKKNKNAFSVKVGLAQMLRGGAIVQVTNVDQAKIAESAGACCVIVAEQSESGGISRMLDPAVIKQIQRAVGVPVMAKARVGHFVEAQILEAVGVDYIDENEVIAIADEDNYINKHNFRIPFVCGCRNLGEGLRRVREGAAMIRTQGDLSGSGNIVETVRNVREVMGKIRVLTNMDEDEVFTFAKELGAPYDILAQTKQMGRLPVVHFAAGGITTPADAALMMQLGCDGVFVGSEVFDCSDPYKSVRAIVQAVRNYNDAHILAKVSSGLNDSIATTSDAMTGLNLDDNTGGSY >cds-PLY85709.1 pep primary_assembly:Lsat_Salinas_v7:5:78110055:78112139:-1 gene:gene-LSAT_5X36021 transcript:rna-gnl|WGS:NBSK|LSAT_5X36021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRFVCEVCYKGFPRDQNLQLHKRGHNLPWKLKQKSATDDAKRKVYVCPEPTCVNHEPSHALGDLTGIKKHYSRKHGEKKYKCQKCEKMYAVNSDLKAHSKTCGTKEYPCHCGTVFARRDSFINHRAYCEALAEGITTITPKGVCSFKSSRNHNQVNTNSPQLAPQVPSTQNLIKTSMGPQVPTPPLFGSSSNQKNPINSLNNTTTNLVNNTTTLHNNMQLYNLSIMGNPINSGSGEGLSLFSSGSFVSHHSTSLYFSSHKETMPQQLSATGMLQKAATLGSTSRTNSFSNFPRGYTTFPEGSNGNHSNMNELLKSFSSSGGYQGGVESGSGGYDEEWKNLNSVEEQQPPQNSSFKMGLEQVSGGKTTRDFLGVGGETVGNISSDGPKSTFAVRSFL >cds-PLY65892.1 pep primary_assembly:Lsat_Salinas_v7:5:38235491:38238336:1 gene:gene-LSAT_5X19140 transcript:rna-gnl|WGS:NBSK|LSAT_5X19140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKFGAEKKRIRRSSPEIADVLGDVNIFTASGDFVAFNQVWDQYEDSMDKTDHEASISKKPRTKKVEITRPIPPAPALALATSNNTRRTILAPSSAASALETSSTLRSTKPASSTSSIPTRPLATSKGTKSKKAPTGPPVLSKDTKTKEPEGLFACATLHQDRKHE >cds-PLY65246.1 pep primary_assembly:Lsat_Salinas_v7:8:231420418:231422211:-1 gene:gene-LSAT_8X139501 transcript:rna-gnl|WGS:NBSK|LSAT_8X139501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGQMEDPKEYGLIVKSDSIEKVKEMISHTKTNELQFYLVKSCPSPEEVKKEEAHKLYQQMSVSMYHILQEIDLRKEKQLTRLSLQKDEHQMNYELMWIYKELEWKSSSLMYLERATVDDVKPHLPIRPIPRTAGFLHLTENDPQSPVHIGTDRKRCHKRLKKERIDMEYLKQVQEELLSSMKSSNRPTHGTQELNDVFLSTSFWKMESLVHRIQHGNNNRGEEKKFFHEIRNLKETIETYTAPTEPDPRNNWRRYDVGGSRRRLYEEQMRQHRIKINLNQIDEIKRDQKERTTKVTRLKAELELVRKSIRSMDRELEKLNSKRIKAYKCAYKYGEQKEEVEEFLKQYLSNSKV >cds-PLY78393.1 pep primary_assembly:Lsat_Salinas_v7:9:8866922:8868810:1 gene:gene-LSAT_9X6441 transcript:rna-gnl|WGS:NBSK|LSAT_9X6441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFFYILLNNRPKRSRVYDSRLLELPEHQPPIPAIPTAIMSLVANEEFQHILRVQNTNVDGKQKIMFAMTSIKGIGRRFANIVCKKADVDMNKRAGELSNAEIDNLMTIVANPRQFKIPDWFLNRKKDYKDGKYSQVTSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVVLDVWGYVMLDVTKKTGSQTEPVIESETGLLLKRFRLEPNPTDLETGLPPNRFSLEPNP >cds-PLY93176.1 pep primary_assembly:Lsat_Salinas_v7:3:257494784:257498180:1 gene:gene-LSAT_3X140881 transcript:rna-gnl|WGS:NBSK|LSAT_3X140881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITSNPISFFILLAIISLLLPTLIIPFWVLKIKAIEKEVDLVTKKSHEETWSAIQHAATTILPPMKSSATNLAKLATVSLNKTDLSFSHIESQLSPLLFQALLTIPHLSQISYITRDGLLFALYSNNHQQIFSIYSNTSFSKPTNSRKGYSWYTQPVDSDTGKLYGDAVVFPSQVLVNETWLQQAFNSTNGCASLGKSLNDVHDLLVLNTAGVDRNGVISLGFHLKSLMNVFSGIKPSGGGLYLATKDGNGLREVDGNKTISFQLWNGNSQIFKISGTKYILYSSSLDIMGMEPVYVLALPYDGGAESRMHKNILIVLLLLSLLFVTVCISIFSFVVLTVRAARKEMCLRAALIKQKGATQQAERKSMNQSLAFVTASHDIRASLAAIAGLLEMSINEVDQGSELAKNLKLVQICSGDLYGILSSILDTSKIEAGKMELEEKEFDLTKVVEGVVELFYPVGLKKGVDVILDLQVNKFSQVKGDEGRLKQILSNLLSNAIKFTSEGNVYVRAWARKRRLQNSRCAHDEESAGCCLLFRTTEAIDEVHHHHHDDPNSMEFVFEVNDTGKGIPKEKQASIFENYVQVKETETAPKIEGTGLGLGIVQSLVRLMGGEISIVDKEVGEKGTCFRFSVVFKACVSDLSEDNKTVKSSPPKQGYNSSIVVLFISSDERRKMAQNFIAEQGIKVLAVKNICQLSESLREFRRQEEEQNRSSSDLSLSFGYLNWPTLTLTSNGVQARKRNDNEASHVPNFILLVIDTTRVDFNELCKAVAEFRKDSKNACSRIVWLGSKCIQLQGLDQKKLPPSDIIIPMPLHGSRLHSLIHLLPEFGAPTHANHQQMTKRNRTQEEEEIVRFSMSSSSPLRGKKVLVAEDDSLQQMIAKKILLKLGVSFEMCRNGKEAFAMVTKGLSHQRNLGASHILPYEYVFMDCQMPEMDGCEATRLIRLEEKDYGVHIPIIGLTAHAEGVELNRFIEAGIDINISKPLNEHKILKVIEDLHTRK >cds-PLY91854.1 pep primary_assembly:Lsat_Salinas_v7:8:195884783:195885202:-1 gene:gene-LSAT_8X126720 transcript:rna-gnl|WGS:NBSK|LSAT_8X126720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRLPSLVSNVKCFGRSISLRDTSYQNDVPKGHLAVYVGETQKRRFVVPISYLDQPMFQVFLRQSEEEFGFDHPMGGLTISCKEDEFVKLTNLLETS >cds-PLY67835.1 pep primary_assembly:Lsat_Salinas_v7:9:145412261:145412806:-1 gene:gene-LSAT_9X92860 transcript:rna-gnl|WGS:NBSK|LSAT_9X92860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWMMCGRKVILVLDDVDHVDQLEALAGKHSWFKPASIIIITTREQQVLLAHEVKIHNLNLLSDKEAICLFGRYAFGKEIPIQGYEELSGQVVCYAAGLPLTIKVLGSFLCGKSKPEWVDTLARLKTIPLEETQKKLELSYFGLDDDHKEIFLDIATILKGQWKNQESKHLKAVDFMLELV >cds-PLY81917.1 pep primary_assembly:Lsat_Salinas_v7:8:122843915:122849592:1 gene:gene-LSAT_8X85341 transcript:rna-gnl|WGS:NBSK|LSAT_8X85341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRSYKVEMGAELFKKYASSSMKIFYRSLLRHPFLVGMVFILIWMHRLFPLFFSFLLSSSPVIVSTAVLLGTLLSFGQPNIPEIEKEPKSGQYEIQKLESKVMSQTETVERDGNFASEETNISRIEVGEKSLFNSVNDDNSCVEVNKPLYLVDDGEFVTEEQFEEEHLVDEAKNEIDEVKVVLGSHYSPLKQSEDEHIVLESDDKLWEGSADPLNSDPALLWKRVEGDGYDEDEDEDDDDDDDDDDDDGESDSGSDLAESSSPDASMADIIPMLDELHPLLSEEQEIRQEHNDDEDGIIDHEDSEHSLESSESSSESNDEDGDENHEDEQVGQEEEDEEDEEEDKESKHTDKEDEPRSAITWTEDDQKNLMNLGTSEMERNRRLENLIARRRARKTMRMQAEKNLIDLESADLPWSIPPISTTRSNPFDYDSQDNIPGSAPSVLLKRRNPFDLPYDPNEEKPDLVGDTFQQEFSGFQPKEPFFRRHESFNVGPSNFGALRSERQETKLRPYFVPERTFQRQFSGISDSKVSSVPDTESVSSADDLENKDHEDEQSLEQELVSEKDQEHEHPELISESHERPYFVPEHVASLAYERQLSGVSDSKLSSIPDTESVSSASDLENKDHIEDDHHSLEHELVSEKDQEHEHEELISESHERPYFVPEHVDSVAFERQSSEVGDSKLSSTSDTESVSSADDLENKDHIDLSQEPELISFEDDIDGNVESVQVREGDERLHEDEIRVGNVTNQQEVVNVVSSMEIMAEAVHSRASSLSSSDEVSEQVYNEKDGDEVATSVSETSSYFEEHDSLIERSEIDVTSLSVEESHPKEPVYDTSPRSVTRNLSSSSISNDLQAISERHGSFSGQDSQETAPKTETDCHGNDVELIDSSGADEVGSRSITNRDLNDLEPSVVDDHLHKDESFQHTDDQHQSLSSADVGLDMDASHQPEQVEVSSSNSNGRSHENTQQEEVISQPEEKDPEELQVQELKQGEVNGETYESPNPTHHQFVSEEEEATSQPQEEFPLLDTSANEQSEDNPELVQVHITSNNLDNLQIEEPQIDISRSFDSVLNAKFDVVETEEPGNRVGPSIECNDVPDNIEDVDEIHGIDENFLVELDAVGDFSVNDLVSTSNEMEQDPHAYDHVLETKLSADEDSYSKDLGSVLNVREQLPHALDHDLETKHSGDENSYPKDLKSTLNEMKEDSHDLETKCSADEDSYSKDLGLEQNPHSLERDLETKHSEDLGATLNEMKQDPHDLETKLSGDEVLGSTLKEMKQDPDASEHDIETKHSGDEDSYSKDLGLSLDDEMKQGPHDPETKLSEDEESYSEAIGSTLNEMRVQDPEASGHALETFYSVDEYAFTKFVESALNEMKQEPQALEHVLETNPSADEDLYPINLSKTKLKMDEEQVMESQNEKESNNIEVAVASEGEAFKTKLTNELVVALPQEIESNSTSASMVNHGTENASSTSNTDKE >cds-PLY65698.1 pep primary_assembly:Lsat_Salinas_v7:5:273760296:273761561:1 gene:gene-LSAT_5X142441 transcript:rna-gnl|WGS:NBSK|LSAT_5X142441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKKITKKKRQNVDPFDDVVVETAIESESERSDIRYDDIGFNSLQRDSPVKSNFEEIENPGSNVYISDTDTTTNLSDPLHISIPEKATVTPLEVSLIESIMEEVRTPCIIVNISNMDTNVIMGEGIMHNESSGTSSIVKKLEESVNLKVAKLKSERTKEVEKIEKNDSILHCKVEVIVDAITKLVKYNTLYSTKLDVKTERDSKVLEKMEEFLSSLKESLSKVDLSQKSSVT >cds-PLY97094.1 pep primary_assembly:Lsat_Salinas_v7:4:73150425:73151211:1 gene:gene-LSAT_4X49661 transcript:rna-gnl|WGS:NBSK|LSAT_4X49661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADVHKGLDATGIKLFGKMINVQAMRELKEEQVCKSEVDDDQSLANKRPDKIIPCPRCKSMETKFCYFNNYNVNQPRHFCKGCQRYWTAGGALRNVPVGAGRRKAKPPCGHGAMDDSFSDVSFFEDTTTTSGIHFERMMEWHLAAQGSFQHLFPAKRRRNTTGDF >cds-PLY94112.1 pep primary_assembly:Lsat_Salinas_v7:8:29672392:29673519:1 gene:gene-LSAT_8X23940 transcript:rna-gnl|WGS:NBSK|LSAT_8X23940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSREFNVPPVIFPSGGNPNTGPQRRLPTAPFQPSRSSNPSIPFMSFDIGSSPASTSFATPQFGSIGSGSGSGSANFDDEPPLLEELGINTKQIWNKTASILNPFRVKADLHEDADLSGPFLFLMAFGLFQLLAGKLHFGIILGWVTVASLFLYVVFNMLAGRNGNLDLYRCLSLIGYCMLPIVILSAVALFVPQGGLVIFVMMGIFVIWSTRVCTRLLVELASCGDEHRGLIAYACFLIYMLFSLLVVF >cds-PLY97614.1 pep primary_assembly:Lsat_Salinas_v7:5:234941823:234943188:-1 gene:gene-LSAT_5X115340 transcript:rna-gnl|WGS:NBSK|LSAT_5X115340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVEVDFVGTQFGVDSPAVPMPSNISLVNDGFVCPRPSMQGEYSFSIFGPPKFSLLKTSPPNGETPRNPIDLLWDQQSVVTNSGMWQNLEPESSASCHWFVTSYALVKFQTRPAI >cds-PLY65336.1 pep primary_assembly:Lsat_Salinas_v7:6:40390207:40392318:-1 gene:gene-LSAT_6X30420 transcript:rna-gnl|WGS:NBSK|LSAT_6X30420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVIEILTRVDAICKKYDKYDVEKQRDLNVSGDDAFARLYAAVESDIETALQKAETASNEKNRASVVAINAEIRRTKAKLLEEIPKLQRLAMKKVKGLAPEEFAARNDLVLALPDRIQAIPDGGAPPPKPSGGGWTASASASRPQIKFDSSDGRFDDEYFQQTEESSQFRSEYEMRKVKQDQGLELISEGLDTLKNMAQDMNEEVDRQVPLMDEIDDKVDKATSDLRNTNVRLKHTVNQLRSSRNFCIDIILLCVILGIAAYLYNVLK >cds-PLY90861.1 pep primary_assembly:Lsat_Salinas_v7:9:162898020:162902788:1 gene:gene-LSAT_9X102201 transcript:rna-gnl|WGS:NBSK|LSAT_9X102201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDYVNNPANMHDFSLMNTKAFANLKGSGGNIWEVFEVLDDARRAIFRNTVFGYFIDVPRLQGDALLFHKMFLHQIRPDPVLSPDGIKRLYFRVGNTKMVYGPEEFCLITGFNFGEYPKNIGRKGSEKLISSKKRCLLRERLFPDHTNSSVKIGDLKSLILNQTFLALDDLDAVRVCLIYILCEGFLGKEVNDRVPQDWFYLAENLDLWNSFAWGSYLWDFTYVDLEDTWNKIHHYLSLPERGQTLKYSVSGFTAPIRIWIYEMIPAIWIYEMIPAVRACGFALRKNKDLPRMKRWSGTKKLKWVDVNKIWSKMQEGLPPRQNMLPGDGEMTSFYYMSFQEYVYGEGKAVPSPVRDHFRRQDESSSSMSSSGRSHGRGRGSGKHKLDELLKRVHALEQHVFMNQQKPTEVFFEEVNNEQFWNDIIFEEPTVSQRNYDEQVVQDEVMNKNNTTQNVFGDTQDDKVLEESTQYAGNKFDDDVCDVNDYSEVKEEWEERNDNAGNKFDDDVPDEDELIIMGNVDYFHDDDDDKEVTPDKPRSRKPSQFLCTPYTELHTTPKQKRRTKKKVGMKSTSPVPPPVFGVAHDFSMLRLQPYVAGGEDVIQNYVLHSYDVQHRLFNFVLDRDFWSSLFGHTHDGWLESAHITIWYRLLMERRFESDRHTIMPPNFFVSHALEEGQDWRAFMAGIATYPNFMVAWWDVDTVLLPIHSSPNHWLFGELRLASMEVHIYDSLGRGAYEKFQSEGIFSKFERRVANYLDKIKYWARRNIPRIPLNMQFIYEENVPQQSSHLGDCGVFLCMFMEQLVSGQPIRVLIDPKNAALEFRLRMAKIIWGSSLAPL >cds-PLY98533.1 pep primary_assembly:Lsat_Salinas_v7:1:39715985:39720574:1 gene:gene-LSAT_1X34820 transcript:rna-gnl|WGS:NBSK|LSAT_1X34820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQTQSFNLVDPFEEQSVLSLNPRTLRLSTYALKSKDLEAVHQYMKSMALQSPDKHFEKAKSVVDGGLESLKTKKSEADIKEKENPQQHRPGLVRRRAKFSLKPDTSQSSTILEPSLEIDHLQDPEEFFAAFEKFENTKKELKKQRGEDVDEVKTATTVRHRRPEIPRRKVSYKHHEYSSQSQDDSSVAEETLQDNIGSQPTQSLQQESFTPNLPCEEEEVTGSISKSENRVQELFDELLASNIHNLDGNQALSFLKDRMKIKSVDIDELQLPDFHEIPRIDFISPVKNLVENSQSLLTNTHALRDVTKGKTLAAQIGLSNNNFQSLGGSPTPPRSPFSAIAALGKQMLKSIVSKDPFSSHDLDSPPITSTKIIGGGSSHANKDKEFLVSATLHSLAKENITETATGDMGDRDHNMEEKDADVNVTDIQTNKTINGNAADMMQETASVTEVNLNVEDITEEENVEDMTEKAGASSLPEVNVEVSEVEDLSQPAQTDANSIQDPDVSAPTQILDILPQQQNEEEHQIPRTRTNKRKKIAARTSKIDPKKRRQSLAGAGSSWTSGVRRSSRIKRRPLEYWKGERLLYARVHNSLPTVIGVKYLSPTSKEEGGFKVESFVSDKYKHLVELTALH >cds-PLY81691.1 pep primary_assembly:Lsat_Salinas_v7:3:33861086:33864057:1 gene:gene-LSAT_3X24581 transcript:rna-gnl|WGS:NBSK|LSAT_3X24581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVNKEADKLPPGFRFHPTDEELITSYLVNKISDSSFTGRAITDVDLNKCEPWDLPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNSNTSDLVGMKKTLVFYRGRAPRGEKTNWVMHEYRVHAKSAFRTSKDEWVVCRVFQKTAGGKKYPSSSHSRGMINPYNHEIGPASGIHLPPQIMSTDPSYQFPVGLGRSYMSNADLAEFSRVYRGSNNMPLHQTQMNYPDGGGAECFTISGLNLNLRGSTSTQMRAMAQQQPSAATSSLPPQLQEDVTSSMLINSGGLGNEQAMGYVGEMSSNSNGLGNNRFMTMDQCADLDNYWAPF >cds-PLY75508.1 pep primary_assembly:Lsat_Salinas_v7:9:34435915:34437062:-1 gene:gene-LSAT_9X31921 transcript:rna-gnl|WGS:NBSK|LSAT_9X31921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKASGVMIFIGMMLLLHIPNNHAKLMFSPSPAPQPQSPGNFTMYGATPGSLQPQECAPRCTSRCSKTAFKKPCMFFCQKCCATCLCVPPGTYGNKQLCPCYNDWKTKRGGPKCP >cds-PLY90364.1 pep primary_assembly:Lsat_Salinas_v7:2:199458047:199458280:-1 gene:gene-LSAT_2X120721 transcript:rna-gnl|WGS:NBSK|LSAT_2X120721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGVRKKGQPSRSSSRDELFMKVSYSQLLKDTNGFSKDNLIGEGGFSSFYKGVLDHDDTLLRSKFNIFKTEVLTKAL >cds-PLY69153.1 pep primary_assembly:Lsat_Salinas_v7:5:284568918:284570684:1 gene:gene-LSAT_5X151121 transcript:rna-gnl|WGS:NBSK|LSAT_5X151121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSKNLFKISHFALCLWVLFGTTSGQLSANFYARTCPNFRSVITRAVNSAVSSEARMGASLLRLHFHDCFVNGCDASVLLDDTANFTGEKSAGPNSNSLRGFNVIDTIKTQLESQCPGVVSCADILSAAARDSVVALRGPSWNVVFGRRDSTTASQSAANSNLPSPGASLSGLISSFSNQGFTTNEMVALSGAHTIGQARCTVFRNRLYNENNINSSFATSLRPNCPSSGGDNNLSPLDASATSFDNRYYNDLINQRGLLHSDQELFNGGSTDAQVRTYSSNAATFSTDFANAMVKMANLNPLTGSSGQVRTNCRRTN >cds-PLY95051.1 pep primary_assembly:Lsat_Salinas_v7:5:226932387:226935099:-1 gene:gene-LSAT_5X106741 transcript:rna-gnl|WGS:NBSK|LSAT_5X106741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGDSSASVRLPALLLLLTFLNSACFVVSGEFPSLTVSEYASLQISPKLAVENSPGSRPGSQVVCERVEIHGLSRFRNLTSFFSSVKVKAIIVNSTGRPPNVTICFHRNASLAVGMCHESQWEKLTKGSWIKSMSPFDHKLLDIRIVGPSEGTIEILLNKEFYSYRIVFLVLGITLMTFASSLSNSLVVYYGGAMTLGVLLVVLVILFQGMRILPSGRKSSLALVLYGSIVGVGSFLFSYLPTLFNSLLMEMGISEDVYSPIAVFLLAFIVLLGAWLGFWAVRKLVLTEDGSIDTGVAHFVSWSFRILASSMILQSSVDPLLAVAAWVCGILVPSAFKWFLKVLLETNKVKHRGSYKKVSNEEDHGIIHKLEDKNTFYSSFHDTRERRQYSKEEWDEFTKMSTKKALESLVCSPDFNRWAVAHADRITLRPKNDTSQRRQSWLPWS >cds-PLY80473.1 pep primary_assembly:Lsat_Salinas_v7:2:136554531:136558396:-1 gene:gene-LSAT_2X67440 transcript:rna-gnl|WGS:NBSK|LSAT_2X67440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAVLGFLEKNEQIIDSGVFAEEQGISHDEIVNVIKSLNGFRFVEAQDIKKERWQLTDEGKTYAANGSPEFQLFMAIPPEGISIVELKKKLGDSIFAIGSRQANRNKWLEMGKSQVSRKVEHVDDNVKAMLVKIKDGETLNKEDITALKGRKLISPQIWKGYSVKKGPNYAPKRRKPATDLTRENLQKGDWKEMQFKEYNFSAKGLPVEGGCLHPLLKVRQQMQMIFLQMGFEEMPTNNFVESSFWNFDALFQPQQHPARDSHDTFFLREPSTTKTLPEDYVERVKQIHESGGHGSRGYGYDWKREEANKNLLRTHTTAVSSRMLYALAQKPFEAKKYYSVDRVFRNEAVDRTHLAEFHQIEGLICDRGLTLGDLQGVLYDFFSRLGMSKLRFKPAYNPYTEPSMEIFGYHEGFKKWVEIGNSGMFRPEMLLPMGFPEDVRVIAWGLSLERPTMILYGIDNIRDLFGHKVDLGLIKSNPICRIGLN >cds-PLY84338.1 pep primary_assembly:Lsat_Salinas_v7:5:191212802:191214405:1 gene:gene-LSAT_5X85421 transcript:rna-gnl|WGS:NBSK|LSAT_5X85421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA33 [Source:Projected from Arabidopsis thaliana (AT5G57420) UniProtKB/Swiss-Prot;Acc:Q9FKM7] MNSSDQQGSLNMMKKRWLQDHQRRLMSQPYINMLVSQNNISSTCPPPPPIHKFLKEEDDLIAGVIPAVTVVVEGRSICHRISLHNHDGYNSLAKALRHMLVDDEHSGHVHEEQEVGVDLSNAVPGHIIAYEDMEKDLLLAGDLNWKDFIRVARRLRIIPVKANLSKGK >cds-PLY97853.1 pep primary_assembly:Lsat_Salinas_v7:2:217032895:217035794:-1 gene:gene-LSAT_2X136800 transcript:rna-gnl|WGS:NBSK|LSAT_2X136800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLQYNNPNEHGRNEQIVSQFLLKSLHIVLQSRVPSIGNGNGPITTSTSGHRGNLQSQSDSQVKKSDKWFNLALGERPSPTGLDSLKFWHRNIMEPMMIDIILVQEMGMGTRSGYGALVETVIERWVVQYEYEYSRTSASSSEFYKKTYKKSIILLRSLYTMMRLLPAYRAYRKLLCISSSEGEGKGKGKGCGFDINYRVSSFSAPFTRAEEESMKHYSFFPIEAHHGRLSISVKYRESLADFNLETSASFPPEIITDYVGSPATDPFRAFHFPVMNRVGVNVNANAATSFPSSAAAPPPQRPHSWTSGLSRGAPFTHNQYSSGSTPPHRSSSGRYDSLTDVYGPNYRPPSFDDYQLSPPFSPSPPTYLPIQSRLHSETAPVSIPMINRTPSPRYLSPNLSDPANRHLPPPSPRRYDHESPSGIRSLKKSDMSRIGIGDLSSGSGSGSANHYSSHNPKVVSRDNKDDSGRFSGLLSSSGSPRVGFSRSSSKLSLQDELDDIDFSCPFIVDDVDTCDSSLNVSESGGKQAGRELSKKSQDAAVGALVRMLRTAPPLRQDSSCYSLSTSRYALEEPEFNNSNSGLFLSRKTSDALEELKAYTDIKDLILSKSGTRFLSKEQPL >cds-PLY73083.1 pep primary_assembly:Lsat_Salinas_v7:6:92880144:92881717:-1 gene:gene-LSAT_6X62060 transcript:rna-gnl|WGS:NBSK|LSAT_6X62060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEKFSATLRIGDLNDFIAPSQGCVVSMKSGSARLVDKPKKLVKTTPFKETLETDPVKISLKDCLACSGCITSAETVMLEKQSLDEFLSNINNGKTVIVSLSPQSRASIAVHYGLSPLQVFKKLTTLFKSLGVKAVFDTSCSRDLSLIESCNEFISRYKQSNSDDKESSRSFLPMISSACPGWICYAEKTLGSYVLPYISSVKSPQQSIGAXFINT >cds-PLY78417.1 pep primary_assembly:Lsat_Salinas_v7:3:237367304:237368110:1 gene:gene-LSAT_3X132720 transcript:rna-gnl|WGS:NBSK|LSAT_3X132720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 30 [Source:Projected from Arabidopsis thaliana (AT4G00220) UniProtKB/Swiss-Prot;Acc:O81323] MSSNPSTSSTSGGGGGGSSGGGPCGACKFLRRKCVAGCIFAPYFDSDQGAAHFAAVHKVFGASNVSKLLFHLPVQRRHDAVVTICYEAQARLKDPVYGCVSHIFALQQQ >cds-PLY73044.1 pep primary_assembly:Lsat_Salinas_v7:9:35312077:35312806:-1 gene:gene-LSAT_9X32740 transcript:rna-gnl|WGS:NBSK|LSAT_9X32740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQILEGLQWKVGGVTPKKGGTEHLGLPVFISVVDAKAETKANASVYVPPPFAAVAILEALEAELDLIVCITYGIPQHDMDNRSAHVYVVGACGAAGLVLTAATWYQQEAVYEHRLHRNQEPRSLSTGSRRRKK >cds-PLY89412.1 pep primary_assembly:Lsat_Salinas_v7:4:63494787:63495221:-1 gene:gene-LSAT_4X45660 transcript:rna-gnl|WGS:NBSK|LSAT_4X45660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRPPMSMWISNYGNEKECYCVLDDLKGRKFKTLLPHSTDTKYIGLTCGYLVMLCLKTNNYRLVNPITRHQLHFPVVSCSPNLNPFKAILVFSPSVSGWLFVLLERFSGKIWLSIVGTGAWNHVSSAFFTFDLHAFQGKIYIP >cds-PLY87867.1 pep primary_assembly:Lsat_Salinas_v7:3:44344393:44350002:-1 gene:gene-LSAT_0X9261 transcript:rna-gnl|WGS:NBSK|LSAT_0X9261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSQSSSTSCEFSQILWVSSPSICPCKRRTQSSFCSSIKLNCFVSGKRLSKRRKFSLIRACVVPNDGAKHNLNLEFVNSTKRGAKHYVVKQILDDSSSSSESSIPLSSSNSFTNFREDPIVDKLRTQLGVIHPIPSPPINRNIVGLFVFFFFVGVLFDKIWTSRKKNKSRDEGTPGIWPQVPTSFSLFLEKDLQRKESVEWVNMVLGKLWKVYRGGLENWVIGLLQPVIDNLKKPDYVERVEIKQFSLGNEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARMLLMLSLKFGIIPIKVPVGVRDFDIDGELWVKLRLIPSEPWVGAVSWAFVSLPKIKFELSPFRLFNLMVKEDILSNSIIVDVFQFLTKLLTEDLPRLFVRPNKIVLDFQKGKAVGPIRNDFKTGEMQEGNKDFVGELSVTLVDARKLSYAFFGKTDPYVVLRLGDQVIRSKKNSQTTVIGPPGEPIWNQDFYMLVTNPRRQKLSIQVKDALGFADTTVGTGEVDLGSLKDTVPTDKIVALRGGWGLFRKGYAGEILLRLTYKAYVEDEEDEKTESIKKDTDASDDELSDLEQAALTYGQRVSDISNGTSKEAFMDVLAALLVSEEFQGIVASETANAKSSNDFINSELKERSRGAVVAPEVSDSQSGSRESVLIWLAVITSISILIALNVGGSSIFNP >cds-PLY93971.1 pep primary_assembly:Lsat_Salinas_v7:8:234508318:234511407:1 gene:gene-LSAT_8X142440 transcript:rna-gnl|WGS:NBSK|LSAT_8X142440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSLASPLSTLHLSNFRASSPLFSLRPSTSSSSSSFRRLLILSNNTFSNPCHVNSSFTHPFHSTSTFLMSTEDSFARSSSSSSSCSSSSSDDNHSSTIEDDDDDDDVVGAVLDKDDYDSSPPPLPDRWDVLGLGQAMVDFSGMVDDEFLMRLGLDKGTRKVVNHEERGRVLQAMDGCSYKAAAGGSLSNTLVALARLGGHSIGGPPLNVAMAGSIGGDPLGGFYRTKLRRANVNFLSAPVKDGTTGTVIVLTTSDAQRTMLAYQGTSSYINYDSSMESIISKTNILVVEGYLFEFPDTIKTIKKICQFARDSGALVAVTASDVTCIERHYDDFWEIMENYADIIFANSDEARAFCHFCSEESPISSTQYLSHFVPLVSVTDGPRGSYIGIKGEALYVPPSPCVPVDTCGAGDAYASGILYGLLRGASGLKGMGTLAARVAAVVVGQQGTRLRVQDASQLAQSFGFGVEGSVQADVGSDQISSL >cds-PLY93221.1 pep primary_assembly:Lsat_Salinas_v7:6:159322580:159324451:-1 gene:gene-LSAT_6X99080 transcript:rna-gnl|WGS:NBSK|LSAT_6X99080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKASMGSLQKKPHALCLPAPAQGHINPMLKVAKILHSKGFHITFVNTEFNHQRLLKSQGSDALHGLPTFCFETIPDGLPPSQNPDATQDVESLCKSFDKNFMGPFKSLLTKLNASSSPVTCIVADAVMGFTHDVASEFDIPEIVLWTSGVGCLICIHEYPSLLERGLMPRKDSSTLVNGYLDTVIDCAPTMSGLRLKDMPSYFRNASSFDEYMGEFLCLQVERAKRASAIIINTFHELDHDLLEMLCSIFPPCYEIGPLNLLEKTIVDESVASLSSSLWKEEDKCLKWLESKQPSSVIYVNFGSLTVMTYEHLVEFCWGLAKSNYSFLWIIRPDLVKGDSSVLPAEFLEETNSRGMLASWCQQEQVLNHPAIGGFLTHSGWNSTIESISGGVPMICWPYLGDQQTNCWSCCNKWEVAMEIDNDVKREEVAKLVIELMNGERGNELRKNAIDLKNNAEKACASPFGSSIVNLDKVIQLLHASTK >cds-PLY80955.1 pep primary_assembly:Lsat_Salinas_v7:8:145301794:145304498:-1 gene:gene-LSAT_8X98541 transcript:rna-gnl|WGS:NBSK|LSAT_8X98541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPESKKFGKGPRELTGAVDLISYYKLLPHHEFFCKKPLPLSISDTHYLHNVVGDSEIRKGEGMQLDQLIQNNNNSTSRETNTRIQPFDLDTLREAFQLRETSSPVDLPSSEKGTPTVAGKSRSESKEKEKKHKKHKDKDREKDKEHKKHKHRHKDRSKDKDKEKKKDKSSHHEKKRKHDGDEDINDIHRHKKSKHKSSSKMDEMGAIKVAG >cds-PLY89107.1 pep primary_assembly:Lsat_Salinas_v7:4:145757517:145760155:-1 gene:gene-LSAT_4X89200 transcript:rna-gnl|WGS:NBSK|LSAT_4X89200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRLAAGKQRKSAKILFICVGLLGAALIADLLWASSTSSSARSFTYKPAFDWPPPKTSSDSFPLPVSSNHSAKVVADKDKKDEFHGRILSKTFADLPGPELKWEKMSEAPVPRLDGAAIQINDELFVFAGYGTIDLVHSHVDIYNFTSNRWGGRFDMPKEMAHSHLGMVTDGRYIYIVTGQYGPQCRGPTAHTFVLDTKTKKWEDMPPLPVPRYAPATQLWRGRLHVMGGSKEDRYTPGVDHWSIAVKDGKVLEKEWRIEMPIPRGGPHRACIVVDDRLYTIGGQEGDFMAKPNSPIFKCSRRNEVVYSDVYMLDDEMKWKVLPPMPKPDSHIEFAWAVVNNSIVIAGGTTDKHPVTKKMILNNEIFQFQLDTLKWSVVGKLPFRVKTTLVGFWDGWLYFTSGQRDRGVDDPTPKKVIGEMWRTKLRLL >cds-PLY70493.1 pep primary_assembly:Lsat_Salinas_v7:1:72695800:72696893:-1 gene:gene-LSAT_1X64940 transcript:rna-gnl|WGS:NBSK|LSAT_1X64940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPQRTIVDQVSGWFTVYDDGFVDRTWTGPPEFKFMSDPVPPHNNFIHGVATHDLFIHPDSDDLRVRVYLPEVPDDSRKLSIILHLHGGGFCISQADWFMYYNTYTRLTRETGAIVVSTYLHLAPEHRLPSAIDEAYSTLLWLQDLANKKAHQPWLSCKGDFNRVFLIGDSTGGNIVHQLAKRVAGENLHPLRLAGAILIHPGFLRSVKSKSELEKQESLFFTRDMLYKFLQLGLPEGTTRDHPITCPMGEGEALRGLDLPPYLMCLAEDDLMIETEMEFYQEMKEAGKKVELLMSNGVGHSFYLNKIAIDVDPKTSQETHKLIEGISHFMRNN >cds-PLY84033.1 pep primary_assembly:Lsat_Salinas_v7:6:187136720:187140958:1 gene:gene-LSAT_6X114601 transcript:rna-gnl|WGS:NBSK|LSAT_6X114601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIFLGFLFVLVLDFEISSTDARPEASFPFSAISVPESSPAAPPIPSIPASMADFTSGTEIDITKHQHSSSRKVLIGLITVSSVMAIIIVSIICLWICHRKNIHKSGKIGTKKLDSLRGLPLSSFVSRTNGVFKTKIEKGSVVVMDYNVLESATNNFGESEILGVGGFGCVYKARLDDNLHVAVKRLDGISQDAIKEFQTEVDLLSKIHHPNIITLLGYCVNDETKLLVYELMHNGSLETQLHGPSSGSNLTWHCRMKIALDTARGLEYLHENCKPSVIHRDLKSSNILLDSSFNAKLSDFGLAIMDGAQNKNNIKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVVLLELLLGRRPVEKLAESQCQSIVTWAMPQLTDRSKLPNIVDPVIRYTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPVELGGTLRLTQTRPSVSVDP >cds-PLY84970.1 pep primary_assembly:Lsat_Salinas_v7:2:123187119:123187998:-1 gene:gene-LSAT_2X56160 transcript:rna-gnl|WGS:NBSK|LSAT_2X56160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLFSTFKYSDGLTVVGISFCTAVICEAISWLLIYRTTSYKSLKSSIDKASKKLETMKTDSTVAAAPKKSKTKKIDRVETSLKESSRDLSLFKFKSGGVVALVLFVVFGFLNNLFEGKAVAKLPFVPARIVQKMSHRGLQGDDPTDCSMAFLYFLCSISIRTNLQKFLGFAPPRGAAGAGLFQIPDPAKTN >cds-PLY94462.1 pep primary_assembly:Lsat_Salinas_v7:4:143662545:143662879:-1 gene:gene-LSAT_4X90201 transcript:rna-gnl|WGS:NBSK|LSAT_4X90201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLLYALIKFDPVQLHGTKYSQCLSFSLSTSTMLAGNEAFKNDNHALLTILSK >cds-PLY85823.1 pep primary_assembly:Lsat_Salinas_v7:8:173624679:173626284:-1 gene:gene-LSAT_8X114320 transcript:rna-gnl|WGS:NBSK|LSAT_8X114320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METARPADLLISILPSVCILWLMLLLLLLFYPLLEPTFFYGEVCRWFRKMVQPKINMMNKDDFFLFHKLYIAWSQDPWERDAFYIREAFLAGPTSYNVIMEITCTRSSYELVAIKKAYHSLFKTNLDEDVARYITSAEIEHKLLTALVRGYRDEGSVVNEKHANSDALTIYSVLSVPENPLLDDYVVMILASRSILHIKSVLRHYQETTGSSLYDELGTTYPIMKDTLQCLCSPSSYFCKVIEAAMQVPGEANETRKEDLARVIVTRADVDMKNIKQEFYRKNGVTLSQRIFRTGSRNYIEFLSMLITMEEDTEIESVSN >cds-PLY96901.1 pep primary_assembly:Lsat_Salinas_v7:4:285592732:285597323:1 gene:gene-LSAT_4X145001 transcript:rna-gnl|WGS:NBSK|LSAT_4X145001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPDLFKATVAGVPFVDVVTTMLGPTIPLTTAEWEEWGDPRKEEFYFYMKSYSPVDNVSANVSCFSLTDINPSDRSSNENVEVVKSDIQDVIDKDGEGFTMVTKKAGNAGTATVKGYGTGDIHPNVSGSKGSNWNGGNNKRGSYNSVNKGNKGWNNRSHSGNWNRGSVSHWNHQKKQEFVAANNKSFIVDKQGHNGKESVDSRKKEEQVEKGKDFKVMTMAPPRLEKGDGVLDKPVIEKTTPGRESEFDLRKSRKMAPPYRVMLHNDDYNKREYVVQMLMKFIPGMTVDNAVNIMLLTWTPL >cds-PLY75389.1 pep primary_assembly:Lsat_Salinas_v7:6:177509086:177512501:1 gene:gene-LSAT_6X107920 transcript:rna-gnl|WGS:NBSK|LSAT_6X107920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANTTYRRNHFFLLLLIGFISSVSSDELEFLLNLKTALAESDTKVFDSWRSDNSVCNFTGITCDDSGSSVKEIDLSHQNLAGSIPFDSICQLQSLEKLAFGFNYLNGSVTEDLNKCSKLTYLDLGNNIFSGPIPDISSMNGLLYLYVNNSGFSGTFPWSSLENMTKLVVLSIGDNLFDQTPFPKQVLKLLNLNWLYMANCSIGGEIPAGIGDLKELINFEISSNYITGEIPKEISKLVKLWMLQLWANNLTGTLPVGLRNLTNLQFFDASTNYLEGDLSEIRYLNKLKSLQLFENELSGEIPPELGEFKQLVNLSLYRNQLTGKLPPQLGSLSDFNFIDVSENFLSGQIPPNMCKNGKMTELLILQNNFTGEIPASYSDCKTLTRFRVSNNMLSGVVPSGIWGLPNAEIIDIATNDLEGGITSDIANAKTLAQIFATHNRLSGELPPEISKATSLNMIDLSHNQFSGKVPATIGELTQLTSLHFDNNKFSGEIPKSLHSCASLTDINMAYNSFSGQIPAALGWLPTLNSLNLSCNQLSGQIPSSLSSPRLSLLDLSHNKLAGAIPESLSIEAYNGSFAGNPGLCSQKVSYFRRCSSDSRGTSSVLRTAITCFSIASAIVLVFLAYFCYLKNKNQKDDQSRSLKEDSWNVKSFHVLGFVEDDILDSIKEQNLIGKGGSGQVYRASLKNGVELAVKHIRNSDSVHRKRKHSGKQDSSEFDTEVETLSSIRHVNVVKLYCSITSEESNLLVYEYLPNGSLWDRLHTSKKLGLDWETRYGIAVGAAKGLEYLHHGCEKPVIHRDVKSSNILLDEHLKPRIADFGLAKIVQTDSTHGSTHVIAGTHGYIAPEYGYTYKVNEKSDVYSFGVVLMELVTGKKPMESEYGENKDIVYWVCSKLKNKETVLSLVDSSIPDLYKEDTIKVLKIAILCTSRLPALRPTMRTVVKMLEEAEPCKLVSIIITKDDDGKKKNDKILF >cds-PLY84431.1 pep primary_assembly:Lsat_Salinas_v7:4:209900480:209901276:1 gene:gene-LSAT_4X117720 transcript:rna-gnl|WGS:NBSK|LSAT_4X117720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L31, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G75350) UniProtKB/Swiss-Prot;Acc:Q9FWS4] MAISLSNSFLHRNTPPPTLSMKKVAASVSPPNQARWTCRKKDIHPEFYDDAKVYCSGDQVLTTGGTKKEYVVDVWSGNHPFYLGSRSANLIDADQVEKFRKKFGGVGGLDQFMQIPTLKGEIIIPPKRKSGAGKGKKK >cds-PLY62072.1 pep primary_assembly:Lsat_Salinas_v7:2:57219963:57221337:1 gene:gene-LSAT_2X25920 transcript:rna-gnl|WGS:NBSK|LSAT_2X25920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAADDSDNNVEIWKVKKLIKAREAARGNGTSMIYLIIPPCDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKIAPNGLVLYTGTIVTSDEKEKKVTFDFEPFKPINSSLYLCDNKFHTEALKQLLESDDKFGFIVIDGNGTLFGTVSGYTKEVLHKYTVELPKKHGGGGQSALRFDRIRMEKRHNYVRKTAELATQFSINPATNQTNVSGLILAGCADFKTELSQSDLFDPRLQEKILNVVDVSYGGENGLNQAIKLSSEILCQCEVHSGEAFDWEETDESNFRDSVSDTELVVEENTSLIEWFVNEYKRFGCKLEFLTNKSQEGLNICRGLGGIGGILHYQLHIHSFDELSDDAENNDIQSFDEGKSYDTENHYDGENYNAE >cds-PLY97370.1 pep primary_assembly:Lsat_Salinas_v7:3:244922948:244928397:1 gene:gene-LSAT_3X135061 transcript:rna-gnl|WGS:NBSK|LSAT_3X135061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNILSLYCFLFFLFTRGHLLQVNGETKDFYIVFLSDQLANKESKARTHIDILSELKGSELEAVESHVYSYTKSFNAFAAKLSSSEAKELSGMEGVQSVIVNQYRKLHTTRSWDFLGFPQTARRKKTESNIIVGVMDTGITPQSDSFNDEGFGPPPAKWKGSCHHFANFSGCNKKLIGAKYFKLDGNPDPNDILSPVDTDGHGTHTSSTAAGGMVQDAELFGLAKGTARGAVPSARVAMYKVCWASSGCSDMDLLAGFDAAIHDGVDIISISIGGLTGAYTNDTISVASFHAMNHGILTIASAGNDGPSLGSVANHAPWLLTVAASGIDRRFQSKVVLGNGKTLTGIGINGFEPKQQFYPITSGNDVAIDIESKESAGYCIEDTLDASKVKGKLVQCKLGTWGSDSVIKGLGGIGAIIESEVFLDTAQIFMAPATMVNSMVGENIRNYIHSTRSPSAVIHKSEEVNISAPFIATFSSRGPNPGTKHILKPDIAAPGVDILASYTPLQTLTGLKGDTQFSKFTIMSGTSMACPHVSGTAAYVKSFHPNWSPAAIKSAIMTSARPMSSKINQEAEFAYGVGQLNPRRAINPGLIYDMDNMSYVQFLCHEGYDGSSIASLINSESKISCASLIPPLISEDAINYPSMQLTLKSKKGVTIGVFRRIVTNVGHAMSIYNATIRVPKGVNITVEPMTLFFSHILQRRSFKVTVEAYPNAKKLLVSGSLIWRSCCHVVRSPIVVYDPQD >cds-PLY70444.1 pep primary_assembly:Lsat_Salinas_v7:1:75073987:75078711:-1 gene:gene-LSAT_1X62341 transcript:rna-gnl|WGS:NBSK|LSAT_1X62341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYTPATNIGEDAHQEMVTTFSEITSVTKEETSFFLETHNFDLDSAVSTFFETAAVVEEARLMKVSSCGVRRGKESSS >cds-PLY97639.1 pep primary_assembly:Lsat_Salinas_v7:5:239012759:239014584:-1 gene:gene-LSAT_5X117640 transcript:rna-gnl|WGS:NBSK|LSAT_5X117640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDPTFIQQPEHRPKLEVIEAQGIPQIDLSPLLTSSPADVHADLVAQVRDACENWGFFQVFNHGIPVESRGKLISAAKRFFDQPMEEKRKVRRDEAHPLGYYDTEHTKNVRDWKEVFDVTVDVPTLMWASHEPDDEQMTEYLNQWPHHPPELREACEEYVKDVQKLSYKLLELIALSLNLPANRFEAFFSKGQTSFIRLNHYPPCPAPDLALGVGRHKDAIALTILTQDDVGGLEVKRKTDGEWIFVKPTPNTFIINVGDMIQVWSNDKYESVEHRVMVNSTRERFSIPFFLCPSYYTVVEPLVELIDEQNPAKYDSYNWGKFFATRKRSNFKKLDVENIQIYHFKKSYELKG >cds-PLY86896.1 pep primary_assembly:Lsat_Salinas_v7:5:262024001:262025483:-1 gene:gene-LSAT_5X134080 transcript:rna-gnl|WGS:NBSK|LSAT_5X134080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTVPGQLIWEIVKKNNSFLVKEFGNGSQSVQFSKEPNNLYNLNSYKHSGLSNKKTVTIQPAGKDQSVLLATSKTKKQAKPAALLHKSIMKKEFNRMAKSVVNQVAANYYRPDLKQAALARLSAVSRSLKVSKSGVKKKNRQASRIYGRK >cds-PLY82231.1 pep primary_assembly:Lsat_Salinas_v7:1:68220952:68222003:-1 gene:gene-LSAT_1X59981 transcript:rna-gnl|WGS:NBSK|LSAT_1X59981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGESTAVNITETPKERKGKAPLLAPPSSSTIKPIVQKAPKGGYKRGLAVFDVVLRLAGIGAALGAAIAMGSTDQTLPFFTQFFQFKAQFDDLPAFTFFVIANAITAAYLALSIPISIVCIIRPQLVAPRVLLIFLDIVMVALTTSAAGGAASIVYLAHNGNSDANWPAICQQFNDFCQEISGAVVASFLAVVVLMCLIVLSAFSL >cds-PLY88846.1 pep primary_assembly:Lsat_Salinas_v7:3:193653447:193655698:1 gene:gene-LSAT_3X115041 transcript:rna-gnl|WGS:NBSK|LSAT_3X115041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISSPLPSPWIPTLLLLLLSLPIFFFFASHILPSPPPPISLPDELDDLTLFRRAAALESHPNHKPKSRLGSTNSKPKIAFLFLTNSDIQFAPLWEKFFNGSKSNRNLYTIYIHADPTVKTKFKSPGGVFSQDRLIPAKQTHRATATLISAERRLLANALLDDPSNAFFTLISQHCIPLHSFQFFYNTLFEASSHQVAEFRHLKYKSFIEIISKDPNLWDRYNARGKKVMLPEVPFDEFRVGSQFFTLTRRHALMVTQERRLWKKFMRPCLRSVACYPEEHYFPTFLSMEDPEGCSGYTLTNVNWTDSVNGHPYTYHPSELSPELIYKLRRSNFVQPYMFARKFTPDCLNPLMDMADDVIFRD >cds-PLY84503.1 pep primary_assembly:Lsat_Salinas_v7:1:28960335:28960538:1 gene:gene-LSAT_1X24500 transcript:rna-gnl|WGS:NBSK|LSAT_1X24500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKKSYRFPYVWLVLDFQKSVERKLTNLITNVLPPLSHQAERECQCVRPCQHFKQSFRIIFICCS >cds-PLY98334.1 pep primary_assembly:Lsat_Salinas_v7:7:172069155:172070534:-1 gene:gene-LSAT_7X102601 transcript:rna-gnl|WGS:NBSK|LSAT_7X102601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLQNPFVGAAFQSSLKPRNVNCLGYLGNKFPRKPRYDIIPRAKKNDWISHGIRFSQSFGENVEILWKNMGLRSGFVVKSVKEPFTRSKAIVRSLSIVWEKGLLLFQCSVFYVVISRLEEFRKKKAAAKKAASSNSVNGDLHEVKTSVADAINTFNKPSTNNNPKEDNNHSNLNHYSNIGALLGTYEDNRFKSESKKPYLKSIGSLNLGGGPELEKKLKYTEHVCSGIILGKELVNAPPNVLTPGVLAEEAEKIASTIDCDKLSDIGSEYEG >cds-PLY97564.1 pep primary_assembly:Lsat_Salinas_v7:5:236398723:236401020:1 gene:gene-LSAT_5X116121 transcript:rna-gnl|WGS:NBSK|LSAT_5X116121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWSAPTTTTPSPHKSQSQSPSRSPSHADRIDTYPSKPRSVTSLDSSMKEMHESLLLPSMILASHSYHKSNRTGGLSISICSPDGHVIAGVVGGRLITSTLVQLSMGAAMFSTMEYGKIVIGRAGIPDDISL >cds-PLY94649.1 pep primary_assembly:Lsat_Salinas_v7:1:41017258:41017791:1 gene:gene-LSAT_1X36360 transcript:rna-gnl|WGS:NBSK|LSAT_1X36360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVDSNMYKSELRVLKGEEHGEDCICKLQSIRAEQYPSGDPLEKSMLFQDEGFEKNVRGETDVERRGGGCRVRVQQKSGHRKGGLGVTGNWRGKSKAADRKMKSGMYLV >cds-PLY99240.1 pep primary_assembly:Lsat_Salinas_v7:6:184612410:184614790:1 gene:gene-LSAT_6X112880 transcript:rna-gnl|WGS:NBSK|LSAT_6X112880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLASNLACLVEESSLVNERKPRTRLELKRFLESRIKKSVKDQFKDGKFHNLIEKVIANPYTLQDAYDIIRVNSNISLLSESDDINFDSLAQELSSGNFDINSNVYSISTKGAKKEKQKEKLVLPNLKLTIIQEAIRIALEVVYKPHYSKISHGCRSGRGHSSALKYIRKQVSNSNWWFTVIVNKKVDDSTLSKLISTMETKIQDPKLYSLIHSMFDVGVLNMEFGGFAKGHGLPQEGLLSPVLMNIYLDLFDHEILNLSMKYEALDSQHDGSKSKSKLRGWFRRQMSQQNEGNTSGVRIHCCRLMDEILIVIKGSKEVSLTLKSEIENFIREFLHLEVDNKSDIFPCNDPRGVKFSGNIVKKSMRENPAVRAVHKLKEKVELFALQKQEAWDECMIRIGKKCLGHGFKKVKESEIKHLADCTSVLSQVSRFRKPGMETDHWYKVLLKIRMQDMDSKYTDTEESILSKLITENALPQDLKDSFYTFQNHVKNYVSSETSSVLTLLPESESESVSITEVLAPIKAIRMCLQRYGITNSEGIPRACRMLVLLDHDHIIDWFSGLVSRWVKWYRLCDNFNEVKHIISIQIRKSCIRTLATKYRLHETEIEKKFDSDLSGIPSTEEIENERLEYDERLECDEGLMYGIPYSGLCLVSLARIVSESRPCGCFVLGCRVDAPCVYTIHVMQRQKFPVWKTGFSTCIHPSINGRRIGLCKQHLKDLFIGRISLQSVSFGAWK >cds-PLY72394.1 pep primary_assembly:Lsat_Salinas_v7:3:188302734:188305210:-1 gene:gene-LSAT_3X112301 transcript:rna-gnl|WGS:NBSK|LSAT_3X112301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNIVKSPEEDADASSPFSRLPDEIILQIVNKLIDLKALCFCYLVSKRFSSIVLQVDAISFTSSMIDANIPDTNTISDVARSRPRPTEVSSFFGEAFLSAKGFLNKFKGVKSLYIELPSVGHRAIDNRCLFKWKVKFGKKSVSFIFLSPNSICDKDGFYLNGNGDEEEDVDLTSDLIKKKFAISIWCMEDVMAWYIMFLHLLDNLPMLEGVSITDSGRRGRFSLNGEKLSEVKQWWLHPPLEPRKELLGIPNMVSKCYIPVLNLPVSGYMMKGIFCALMESKNLDGEIDGLLNSEDGFDDKEEAAYIEAMKEVLEKHRGLMD >cds-PLY99298.1 pep primary_assembly:Lsat_Salinas_v7:7:179592830:179593303:1 gene:gene-LSAT_7X106161 transcript:rna-gnl|WGS:NBSK|LSAT_7X106161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSMPRVVAENEVDSMAACYQRGQVATSPSLGELLKFVEDIRKEANGDETLAHRVFEMSETRNEPRSLPFVLKFNNLNYSVKVRRKMAVPSLFDRREGLGDSSVGSEHLFSRSKVLLNDISGEARGDKLVAVLGAIGSGKSTWRRTMSEEVEEYKET >cds-PLY85785.1 pep primary_assembly:Lsat_Salinas_v7:8:250060257:250061238:1 gene:gene-LSAT_8X147900 transcript:rna-gnl|WGS:NBSK|LSAT_8X147900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVIVRQSVDNAKIKDSSVEKILNLLFNHCESEEEGVRNVLVECLGKIALIKPSKLVPALKHVRLAVVLALSLAGHNMPNVIKGLLLELLPLLYDQTVIKKELIRTVDLGPFKHTVDDGLELRKSAFECVVTLLDSCLDQLNPSSFTVPDLKFGLDENIFIFLAFNLILY >cds-PLY74084.1 pep primary_assembly:Lsat_Salinas_v7:9:11204769:11208001:-1 gene:gene-LSAT_9X9260 transcript:rna-gnl|WGS:NBSK|LSAT_9X9260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFAKFFNLGWLIYGFSLLEPLPGLSFQQMKPDYVLNKKKPGALFDVGFQPRALDQLRIRNPYVSQAMFRQRNMLKLWMHSLFEEEMCTLRYYLSHEIVKEKVAYTNGFDDDCV >cds-PLY63417.1 pep primary_assembly:Lsat_Salinas_v7:7:146576866:146577255:1 gene:gene-LSAT_7X86961 transcript:rna-gnl|WGS:NBSK|LSAT_7X86961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGLVACTGNQFCGQAIIETKVRALKVTEEVGRLVSVTRPVRMHWTGCPNTCGQVQVADIGFMGCMTRDGNGKVVEGADVYLGGRIGSDSHLGEIYKKGVPCKDLVPVVVDILVEKFGAVSRERDEGEE >cds-PLY71752.1 pep primary_assembly:Lsat_Salinas_v7:3:47833685:47835569:-1 gene:gene-LSAT_3X38720 transcript:rna-gnl|WGS:NBSK|LSAT_3X38720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNRVINAEHKFSATKLFNYSKGDTEEHMNKALLKGALSYSPSNSTSRTTEIESLCYLNEAEIPQKLQETPFKSTGFIDTFKKLKMEPGKQKQRDAGLTYKSVRSPPPKKQKLEEAKTIQNHQWKTNLTVPKIPLLQTLLRPRHLKISNYKEVEKEQLEKAPKFKARPLNKKILESKGELGLLCNKKRQVTIPQEFHFAIDKRIPPRRTANNVDLFNKITLCLKSHNKKLIPRNTIPRPFHFQTEERGAQKESKFVVKILHKQIEGSSNVVTKSKSSTTFSGRVMKDRQRKLQHQT >cds-PLY65457.1 pep primary_assembly:Lsat_Salinas_v7:5:240593999:240596458:-1 gene:gene-LSAT_5X117920 transcript:rna-gnl|WGS:NBSK|LSAT_5X117920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASAISTSFTFSKVSRHELFSQRNSKRKSIVCTLPSPYSDTSKIGLSSKAQKLHLTPEEMHPHTLHNSSYNPIVARRGAPPIMPAVMTPGGPLDLSTVLFRNRIIFVGQPINSQVAQRVISQLVTLATIDDKADILVYVNCPGGSTYSVLAIYDCMSWIKPKVGTVAFGVAASEGALLLAGGEKGMRYAMPNARIMIHQPQSGCGGHVEDVRRQVNEAVQSRHKIDMMYAAFTGQPLEKVQQYTERDRFLSVSEALEFGLIDGILETEY >cds-PLY90281.1 pep primary_assembly:Lsat_Salinas_v7:7:105201869:105202273:1 gene:gene-LSAT_7X68820 transcript:rna-gnl|WGS:NBSK|LSAT_7X68820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPTGILPPKVSSEGGEGSSKASKGHKKKKQDEKPKEVAEEVIKEVVPKNTVKRALKRTKKHAKESSASEPTKFTDKPIVETIVNQSETVATEIRTKKERAVKKEISKRVKQLQFTKTGVVVREISSPNSSA >cds-PLY91104.1 pep primary_assembly:Lsat_Salinas_v7:MU039484.1:445472:445933:-1 gene:gene-LSAT_0X6160 transcript:rna-gnl|WGS:NBSK|LSAT_0X6160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQELVGLPLVEGGNVGSNGPALPSQLTFRVVSPVCSSIYVPSGSPIWVQAGRGRAPTRKRRSVPVVPSPVEETESDDAGLHLHKSSRTVSVAMLLGGIGGILGGQFSAPRQKEVMVVPSSLEASPSPSAGSPLVNLGSDSMSGAASSSPGGSL >cds-PLY84332.1 pep primary_assembly:Lsat_Salinas_v7:5:188812297:188815213:1 gene:gene-LSAT_5X85741 transcript:rna-gnl|WGS:NBSK|LSAT_5X85741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDFERKPIEVIKAINGLEKVVLREIYGSRVEVYLYGAHVTSWKNENGEELLFVSSKAIFKPPKPIRGGIPICFPQFSNLGPLEAHGFARNRLWTFDDDPPPFPTDATNKVFTDLILRPTKEDLKIWPHSFEYRLRIALGPGTNLMLTSRIRNTNTDGKPFTFTFAYHTYFSVSDISEVRVEGLETLDYLDNCQKRERFTEQGDSITFESEVDKIYLSTPTKIAVMDHEKKRTFVIRKDGLPDAVVWNPWDKKSKAIVDFGDEEYKYMLCVEAAAVEKPVTLKPGEEWRGRQELSIVSKKL >cds-PLY73038.1 pep primary_assembly:Lsat_Salinas_v7:9:35802140:35804320:-1 gene:gene-LSAT_9X33520 transcript:rna-gnl|WGS:NBSK|LSAT_9X33520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEVRADGGSAATAATGGSPTVKSIFIHPIKSCKAISVSQAPLSPTGFVWDRHWVVINSKGRACTQRVEPKLALVQVEMPMEAFSLGWEPKKTSYLVVRGPGMTTELKISLTKPSLRSDGISVWEWCGSALDEGDEAAKWFTEYLGKPSRLVRFNEETETRPVDPLFAPGFNVKFTDAFPILLASQASLDAVNEQLKEPVSINRFRPNIYVDGCESFAEDLWKHIKINGLTFTGVMLCPRCKVPTINQEDATEGSEPTVTMMKFRSAKVLEVNPTKYKGRVYLGQMMVCENIDNNWRKVINVGDVIHVEEAFASYADVAV >cds-PLY66005.1 pep primary_assembly:Lsat_Salinas_v7:4:135898714:135901866:1 gene:gene-LSAT_4X84661 transcript:rna-gnl|WGS:NBSK|LSAT_4X84661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRLFPRGNLSFINNRFVLTYQSRKSSSNTSRINEETVGEITAILRNRNWPHLLNSSPNLLKSLNPDVIQSVLHQNQRADPNRLLHFFNWSIHQIGTLQNLKSFLILAAVLCNSNQSRHASILLGQMIETRKPVSDIMESIASFCVEGEGLNSGSSLYGMIIDAYNNKRMFDEAVSVVSGINNRNHFPDSSCVNSMMTNLSKYHKKELAWKVYDKMLELHIVPDVYIYTNLISALCKNGNMSEAKRVFVEMGEKGCDPSLVTYNVLIGGLCRAGLFDEAFELKTSMTDKGLVPDQYTYTTLIDGLSKAKRLEEAKMVLEDMSKVGTYPDHVAYSALIDGFMKQGCVDEALKLKDEMFVNGVKLNVFTYNSIISGLCKAHRFEEAIGILTGMKERGTPPDVYCYNSLIIGLCKEKKMEEVQSMLTQMKNNGVKPNSFTYGSIINGYSKVQDMEFADRYFKEMIDSNIIPDRVLFTTMIDGHCKKGNIKEAFSIFKSMLGRNILPDVITYTSLISGFCKNGDMQEAYNLVDEMSQKGVPPNIVTYNVLISGLCKLGEIKKAKELFDGVSCKGLTLDGVTYATMIDGYCKSGNLSEAFELFDKMDENGVKPDYISYNALLNGCCKEKEIEKAMLLFDKMVEKGIASAHTYNTLIDGYCKMGKIVEADALLKDMIENKQIKPNHVTFTILIDCYCKSEMMEEAEDLFIEMQNRGLMPTIVTYTCLAHGYIKSGKKSKMISIFEEMVAKGISLDKVVYGMLDEDQGSLEKSFMLLDDLLQKGISGKDVYDKLVDGLCQNGKFSEAVKSIDEIGKRGVMLSFATCTTLVRSLHSAGYKNKLEGVLNSMEGFGWVPQASSLTHLIDQDVDWEKDGQVV >cds-PLY67630.1 pep primary_assembly:Lsat_Salinas_v7:5:27764410:27764827:1 gene:gene-LSAT_5X12620 transcript:rna-gnl|WGS:NBSK|LSAT_5X12620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAIIKGLEKVIQELDASANDGPVYEVFHKTPKQFISIVELEVGSIRNLYFVVGRNVDALALYFGEDPACCPFEQGNIFN >cds-PLY67987.1 pep primary_assembly:Lsat_Salinas_v7:1:79479976:79480859:1 gene:gene-LSAT_1X67501 transcript:rna-gnl|WGS:NBSK|LSAT_1X67501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASYSSVRMQRRQQGKRITALPSHLIEKIVTTVGGKSPINALKCHCKFFRDSVTSYAIYKTINTNQLRFRPFSVHTYEVLSRCRKLNNPHVLFDDRMAKYFSFREEIVGKQLHQDAADKGQLDVIFVLGMMLMAEGNERKQEALIMLNNTYINTRRCWNRIHTCYKVQSHLVRRSKQIQFHGLHKRCAKHPSVSCYGTTFMYQYIWLFNCDICLWDACLVKFARMFDIILE >cds-PLY76078.1 pep primary_assembly:Lsat_Salinas_v7:9:31911632:31912463:-1 gene:gene-LSAT_9X27180 transcript:rna-gnl|WGS:NBSK|LSAT_9X27180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCLMINDIDAGLGRFGNTQMTVNNQIVVGTLPNIDDLMNIVNRMFEKDGLSKNNVIIIMKTFPNQGYLDLNEMTNMKVGKYLPFCY >cds-PLY72957.1 pep primary_assembly:Lsat_Salinas_v7:8:135454063:135456841:1 gene:gene-LSAT_8X94080 transcript:rna-gnl|WGS:NBSK|LSAT_8X94080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLQVLSVWTLLLFLPLSNAASYDDFAFPIDHSLVHNYTRLTEIKKHCNPFISSASELESDDNWASRLKNELSFFNGDWEQDSYGAPLMPFDDSDMSHATSSFISPWKLVSFEVKNVSSTSSHHPKNTISISGVLSIGITRNSTVISEPFLKFHKKPGMSALRVDFEGFYLETEGGNLVCLLGNSTFPFKKVPTRYESDDMTSYYNLRNPYNEDHVILPHDKIFLVLKYPKTSPFSIKQIHGEMKSLNKKDDFEYFDNVHVASHLGIDPTNSEDLISETESFNQNPLQDAMVEDGVNKFNNSEFCKILKSFEHKAYRVMPNLKLGGQNGFQDKVGPFLLGNEIQLVDRNNENLRILMQNIICKEEKVSGVLRMYPASMDPHVAARRTGLSSLTLWVKGTWNPSTGLLSMTGCLGPTLVKCETRVLLYFPKSFSIKQRNVVFGSINGLKSQTGFYHPVFFGLEMLSPGLYEDGWYSNAYLLYNYSKSDLAIEFQERIQEPWLLTYIRKSLFRYPTLEEEKDGIFHLLNDLRIDTFSSSETFVRVEVLSFGGSFRTNESHFYEASKNEVFNISMNLYIVENPRKVKEESYQHVSKLYLEGLYDQSVGKMYLIGCRKVSYDHVDLERGLDCLIEVGIEYSCVNTRWLINPTAKITIRSQRNEEDIYHFKTIRLQTFMIHDKNHEKNVIFRKLFEGYLRVFLVLVLIAHMLNQMRKRRKSIEPFAYISLAMLGLWIIGYGINLINGKEIMFISSETQYYKNQPYDHRSYKRYLSILDYLARFLVLVSMFLMAKISHIILKARKALKSQGESTPSEKKILMMTLGFYMCYLLLIMLEHGIWIYLNPLQGESTKDYYMKLMMDLLRYHVYMLQDYFMVPQIIALYVWKNHPHDQRSFINGLWIPSLVLLIYEFFRDPVDYPIIAHDIVL >cds-PLY95002.1 pep primary_assembly:Lsat_Salinas_v7:1:197074657:197081442:-1 gene:gene-LSAT_1X121861 transcript:rna-gnl|WGS:NBSK|LSAT_1X121861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQPNMFESHHHHHLLDMSHKSPENQLDMLRDDDYESKSGTDIMEAPSGDDQDPNQRPNKKKRYHRHTQHQIQELESFFKECPHPDDKQRKELGRRLTLEPLQVKFWFQNKRTQMKAQHERHENSSLRNENEKLRMENIRYKEALTNATCPNCGGPAAIGEMSFDEQHLRIENARLREEIDRISGIAAKYVGKPMLSYPNLTQNGPPRSLDLPIASFSPQPVMVDDMFGTSSLLRSVSGPSEADKPVIIELAVAAMEELVRMAQSGEPLWVPSSDNSSETLNEDDYAQTFPRGIGPKQLGLKSEASRESAVVIMNHINLVEILMDVNQWSNVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAEYQVPSPLVPTREYYFVRYCKQHADGTWAVVDVSLDNLRPSAMSRSRRRPSGCLIQELPNGYSKVTWIEHVEVDDRAVHDIYRLVVNSGLAFGARRWVSTLDRQCERLASAMANNIPAGDVGVITTLEGRKSMLKLAERMVLSFCSGVGASTTHTWTTLSGSGADDVRVMTRKSVDDPGRPPGIVLSAATSFWIPVPPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDPGNCVSLLRVNSANSSQGNMLILQESSNDSTGSYVIYAPVDIAAMNVVLSGGDPDYVALLPSGFAILPDGPGKHQGGRIPEVGDGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKAAVAPDNP >cds-PLY72335.1 pep primary_assembly:Lsat_Salinas_v7:1:173841340:173843513:1 gene:gene-LSAT_1X115801 transcript:rna-gnl|WGS:NBSK|LSAT_1X115801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPVHNCQGVTSKAPFRAKRSWVGNLIKEKVKESPKIKPKDIATELKRDYGIDLNYSQARRAKEYAREQLLGSYKDAYSELPFFCEKIMETNPGSLATFSTKEDSSFHRLFVSFHASISGFLQGCRPLLFLDSTPLNSRYQGMLLTATAADXXXXXXXXADGDDGAFPVAFAVVDEETAENWRWFLTELKLVVHTPGQITFVADFQKGLRESLREIFGGECYHAYCLGYLAEKLNKDLKGHFSHDARRLMVEDLYAAAHAPKLEAFEKCTEDIKAISPEAYNWVIRSEPEHWANTFFGGLRYNHMTSNFGHLFYSWVSEANELPITQMIDELRGKMMQLIYTRRVESTQWLTRLTPSMEEKLKNEIIKARSVQILRSHGSKFEVRYGETVDIVDIENWDCSCKGWLLTGLPCCHAIAVLESYGRSPYDHCSRYFHVETYQSTYADSIHPIPNVERLVDSEAEDGAVLVTPPPTMRTPGRTKIRKVAAGRIGPSDFLKRQLQCGKCKGLGHNKRSCK >cds-PLY84721.1 pep primary_assembly:Lsat_Salinas_v7:5:229840410:229840723:-1 gene:gene-LSAT_5X109861 transcript:rna-gnl|WGS:NBSK|LSAT_5X109861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKRLCITSTDIPIESEIHDQSSEFSATDIPTKGDQSGHPQTTEGGQSTTEEHSSIEGGESVTFLCKRPLLC >cds-PLY85688.1 pep primary_assembly:Lsat_Salinas_v7:7:158093624:158096209:-1 gene:gene-LSAT_7X93260 transcript:rna-gnl|WGS:NBSK|LSAT_7X93260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMPTDLINQSQIGFRELAGLSSFNPSDTTLPSPPTIEASISTLDPSPPYLRCKYCQGKLLRGLQSLICIYCGEHQKKDLHPDPIPFNSTSGYSWLLQSLNLHGSERVGSLAEGNGVHGGQSPAEDIVTLSELLDMQISWRDEPKKPDNSFNNKTSDLTNPLNLGTTNLDNFFTERIASDASEVQQVSSKQDQNQAFETSFPSSIDVNDDASSDWNAEFQFADSKVENESPKPVDPFVVVPEADLSAHMDAVFGQMEVSNNIKPNEDKDWIQDDLFTNMGPTTFQQAEQLDAVVKPNDEFPAHLNNPSSKDVDQDWFSDNNWQKSSVNNSQDKPNDSFTESVSVDWFENANWQKSSGFKKGDFNPQINESGQDHNVAPQISSENKSLDFDNIKKQALDTSTDWFQESQWSTGPSSATNIVNTKEDDDFDDWNDFTSSTPNQDSYKQSSNQDSFPDSWKQSSEKIPELDFFSESSTTNRNVNGEGGNNTPNANANANATTTSPENEVQMLLSQMHDLSFMLKSELSIPSKTDDRGPSHG >cds-PLY67577.1 pep primary_assembly:Lsat_Salinas_v7:3:54290940:54296447:-1 gene:gene-LSAT_3X41580 transcript:rna-gnl|WGS:NBSK|LSAT_3X41580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVKASDHFFFFFFLYLFSIPSLSSGANNNLAVNQVLSGFQTLISDAGNFEMGFFKPGQSPNFYIGIWYKNVRTTPETVVWVANRETPISDALSSKLQIVNGNLVLLNESNTQIWSTNIIPTPTPTTSASVVLLDDGNLVLRYNSSSSSITPIWQSFDHPTNTFMPGGKFGYNKRTNTKQIITSWKSTEDPSMGPFSLEVHQDEKQYVIKWNRSVEYWTSGSWNGRIFSAVPEMSLNYIYNFSYVDNENESYFTYSLYNPSIISRFVMDVSGQIQQLSYLGVTAQWNLFWSQPRMQCEVYALCGAFGSCRQNEFPFCNCLSGFKPRSERDWNQSDFSGGCVRKIELNCSVKDEKPGFIVGYLPVKSVSKFLETGTPKDEAACQRSCLDDCSCDAYGVIDNKCLLLNTENLNNISSFFLSVDPNNLTFPLKIKVSASDLANNTAKINTKFLVAGFCGLVFLCSIGIIFYRRVKRKGSREENRENFELEFQDNGRNVRYLVDPGILSAEERKGIDVPFIEFKTILSATDNFSLANKLGQGGFGPVYKGILPGLGEVAVKRLASQSGQGLKEFKNEVVLIGKLQHRNLVRLLGYSMKDHEMILLYEYMHNKSLDRFIFVKTLSVCLDWDLRFDIIMGIARGLLYLHQDSRLRIIHRDLKASNVLLDEDMNPKISDFGLAKIVKGRETEDNTTRVVGTYGYMSPEYALDGLFSIKSDVFSFGVVILEIISGKRNTGYYHNQQAFSLISYTWELWKAKRALELVDLALAESCNSIEVLRCMIVGLLCIQEDPRDRPTMVNVVLMLGMDIESLPEPKEPAFVSKRSIERLPSSSSKSEINQMTITQEEGR >cds-PLY98817.1 pep primary_assembly:Lsat_Salinas_v7:7:22961892:22963013:1 gene:gene-LSAT_7X18320 transcript:rna-gnl|WGS:NBSK|LSAT_7X18320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSESEPANSSSGEEEEVSSEGSESESEPDKTSTPPPSSKKTQLTHNSSSDESGSESESDTDKPPEKPTIPDPSIKPIASKPMEDDQSKKPRSKIPTTRYSPPPLKSSTGKRPAAEGEPQDLKRVKKKTVVAVAAPDAGNGGEKKQLFQRLWSEDNEIELIEGMINYVNEKGKDPVADVNDFHDFVKKSLHVDVNNKQVIAKVRRLRKKYENNVARAENQSKKVRSFSNPHEKKMYELSKNLWGNDSNKNVVMSSTIKKVNVTPKPNKNRKSNVNGGGEPEPEPELEVTPKEVQPKVVQPLRSDHGSMGFMFTDEAIMNKGLELLSAPKKLEMEEKWKNLKVQELKHFLKKVELLKEQGEIVLNAMVKSGDN >cds-PLY66544.1 pep primary_assembly:Lsat_Salinas_v7:4:342078950:342081889:1 gene:gene-LSAT_4X168161 transcript:rna-gnl|WGS:NBSK|LSAT_4X168161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Sks17 [Source:Projected from Arabidopsis thaliana (AT5G66920) UniProtKB/TrEMBL;Acc:A0A178UJS3] MVKAEDPYKYFTWTVTYGTASPLGVPQQIILINGQFPGPKLDVVTNDNIILNLINNLDQPFLLTWNGIKQRKNSWQDGVLGTNCPIPPNSNFTYKFQPKDQIGGYTYFPSTGMHKAAGGFGAINVYARPRIPVPYAIPAGDFNLLIGDWYKSNHKGLQQYLDSGRSLPFPDGILVNGQTRTTFSGDQGQTYMFRISNMGLSTSFNFRIQGHKMKLVEVEGSNVVQNMYDSLDVHVGQSISVLVTLDQAPKDYYVVASTRFTRKILTSVSVLHYSNSQTSVSGPIPAGPTYQVHWSMQQARTIRWNLTSNAARPNPQGSFHYGTITPTRTIVLSNSANLINGKIRYAVNGVSYINADTPLKLADYFNIPGVYSMNTIQMSPNSGAATVATAVMGAALHDFLEIVFQNNEKIVQSWHLDGYDFWPVGYGSGEWSQESRKSYNLVDALTRHTVQVYPNSWSAIYVSLDNQGMWNLRSSMWERQYLGQQFYLRVYNPVKSLANEYDIPSNALLCGRAAGRRF >cds-PLY90437.1 pep primary_assembly:Lsat_Salinas_v7:MU039599.1:16751:17354:-1 gene:gene-LSAT_0X40601 transcript:rna-gnl|WGS:NBSK|LSAT_0X40601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLCFCGKFAVVKCSWTPKNPGRRFYACPQKDSACRFIGWVDPPMCERSKVIIPGLLRNINRMQAWCTALKIMLLASWVKERLM >cds-PLY63049.1 pep primary_assembly:Lsat_Salinas_v7:8:74488016:74488964:1 gene:gene-LSAT_8X52140 transcript:rna-gnl|WGS:NBSK|LSAT_8X52140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAATATTTTTTRLLSPTTTSIAHQTLRSTLSSFTKPASLKTLRLSTKLHVSSPTDKPISTTTTTKPTQETIFFDGGAHYGDLVANLLLGFTLVWLPLTLAAVLRGFFLRYRFTNLRVTVISGLTGQDRSDFSYKVVKDVQVVPRFIGEWGDVIITLKDGTKVDLRSVPKFREIAKYCLSMVEKKGADELEESGGAKGF >cds-PLY64566.1 pep primary_assembly:Lsat_Salinas_v7:6:38849360:38851747:-1 gene:gene-LSAT_6X31080 transcript:rna-gnl|WGS:NBSK|LSAT_6X31080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVDSHQNGERAEFCVKGDPLNWGMAAESLKGSHLDEVKRMVAEFRKPVVRLGGETLTVSQVAXAASDNAGVKVELSETARAGVKASSDWVMESMNKGTDSYGVTTGFGATSHRRTKEGGALQKELIRFLNAGIFGNGTESTHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKFLNHNVTPFLPLRGTITASGDLVPLSYIAGLLTGRANSKAVGPTGEVLNAEKAFAEAGVEGGFFELQPKEGLALVNGTAVGSGMASMVLFDANVLALLSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEYILDGSDYVKAAQKVHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRSSTKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISARKTAESVEILKLMSTTYLVALCQSIDLRHLEENLKSTVKNTVSLVAKKILTTGVNGELHPSRFCEKDLLRVVDREYVFAYIDDACSATYPLMQKLRQVIVDHALNNENDAGTSIFQKISEFEEELKAVLPKEVEGVRSAYESSTLTIPNRIKECRSYPLYRFVREELGTGFLTGEEVTSPGEEFDKVFTALCKGHIIDPLLECVQGWNGVPLPIS >cds-PLY79451.1 pep primary_assembly:Lsat_Salinas_v7:9:100472338:100472532:-1 gene:gene-LSAT_9X73581 transcript:rna-gnl|WGS:NBSK|LSAT_9X73581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEISTSETCRHSKLGSSSTSLLSRNHMWILVDIEFGKLVTVAKGQRKLLEQIVAENEGGNCGR >cds-PLY92674.1 pep primary_assembly:Lsat_Salinas_v7:4:153410333:153411774:-1 gene:gene-LSAT_4X94220 transcript:rna-gnl|WGS:NBSK|LSAT_4X94220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDVTMVPASDASSLPGPSSSSAVASSSSKKPKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >cds-PLY72943.1 pep primary_assembly:Lsat_Salinas_v7:4:232758357:232758548:-1 gene:gene-LSAT_4X126640 transcript:rna-gnl|WGS:NBSK|LSAT_4X126640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGLPDDPPAWMELTDPEELHVVPLQLERDPYFLDRLPIAKTDLSEEKDPSEDVEDSEDEGII >cds-PLY65106.1 pep primary_assembly:Lsat_Salinas_v7:4:5338309:5338524:-1 gene:gene-LSAT_4X3720 transcript:rna-gnl|WGS:NBSK|LSAT_4X3720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEEVSKVVRGSGGNVGGGGESSRGEGGGGNKVVVVVEVAEAEVGVVEAKVAEEKVGVVVKVAKAETEVGW >cds-PLY71346.1 pep primary_assembly:Lsat_Salinas_v7:7:185048031:185057696:-1 gene:gene-LSAT_7X111140 transcript:rna-gnl|WGS:NBSK|LSAT_7X111140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEARCENMVLNSFDGHKFPINRHENRSAIINEVDFFSRSSTTGNSSQLHPSSIHHAIENKEHDRVNQELQLNLNVGSNLNTINTKNQDAHKLAILQNELEKMKRENESLRSMLAQIKEKYSFLQRHIKTINKVEDDTLEFKKLTPPLMKIDPNVDSSDMNKDVKLNSPKDICDQGATAETTMRRARVSVRVRSEASMISDGCQWRKYGQKMAKGNPCPRAYYRCTMVVGCPVRKQVQRSMDDQTILITTYEGTHNHPLPQTAMAMASTTSAAASMLLSGSVSSSNHHNHNLIVGSMLSSYHPNITTTLSATTPFPSITLDLTNPSSNHLQQPPFHFPFSTNTNPNFSLPNKPSLLAQLDAQQYSVRKFSGIPNSDQELMDAATAAVTTNPHFMAAXVIGPLLPMTTITMTVSTTAKEVIIILQQIRVLQSIYCQKETK >cds-PLY94351.1 pep primary_assembly:Lsat_Salinas_v7:5:170827388:170830324:1 gene:gene-LSAT_5X75101 transcript:rna-gnl|WGS:NBSK|LSAT_5X75101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQPQTHLNVTDNSGARELMCIRIIGASNRRYAHIGDVIVAVIKDAVPNMPLERSEVVRAVIVRTCKELKRDNETTDEIIETLKKMHLLIQLTVMVVAFISHEHKGVAQYVQPYTSIVVPVIKHTDAAKPLYSVQIMTSFANSQYMKANFLIDIDAPLIWHDCILKWNISPGSCPSNTLCTSPVSCEEDYCTDVRTTYSNQNPSCPPVTNSSTLPGWGYCTCPVNVVDPVTGVCVQALLNYDDFTVNTSNGRNVFSGLYGPSPNAACAPTSSFESFPVNVTGVMAFSSSPYALPAYLYQPLKKILALCLPSNSSAPGVLFFGNGPYSLLPHSDVDLRSLLSYTPLLKQPDSFGYFIDVKSIVIKHVSIDIPEHTTTKFSTTEAYTTLRTDIYNRVIQTFSMATIGIPHAKPVAPFTLCFRTFNIGIRVGLMFPDMDFSLPDGKKWTISPTNSMKQITKDVVCLAIVDGGVASHEHAIVIGTFQFEDNFIVFDLENSTFGFSSSLLGEQTSCSNFNFTLTDIT >cds-PLY89972.1 pep primary_assembly:Lsat_Salinas_v7:8:208070900:208071280:-1 gene:gene-LSAT_8X131440 transcript:rna-gnl|WGS:NBSK|LSAT_8X131440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKTKLLMGKEGFVNAAKLLGECLHETFTDKDGIVKDLGSFEDFLSELKPTTMIGVAGSPKLKFYDLDFGWGKPNKHETLSIDYNGSISMAACKDQSEDLEIGVCLSATEMEVFVRIFNDALETYI >cds-PLY94363.1 pep primary_assembly:Lsat_Salinas_v7:6:9051558:9053804:1 gene:gene-LSAT_6X6601 transcript:rna-gnl|WGS:NBSK|LSAT_6X6601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAVKSNLVLILDYGSQYTHLITRRIRSLSVFSLCISGTSSLKSITDLNPSVIILSGGPHSVHAQDAPCFPDGFVEYVEKTGIFVLGICYGLQLIVQKLGGQVAIGEKQEYGRMMIEVVKDYGGLFKGKNIGDKQVVWMSHGDEAVKLPAGFEVVARSEQGGVAAVANPGRRFYGLQYHPEVTHSPEGMELLRHFLFDICDVSAGWKMEDVMEEEIKVIKGMVGPDDHVICALSGGVDSTVAATLVHKAIGDRLHCIFVDNGLLRYKEQERVMGTFERDLHLPVTCVDASVQFLSELKGVTDPEKKRKIIGKEFISIFDAFAHDLEKKLGTKPSYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRELGRILDVPVAFLKRHPFPGPGLAVRIPGDVTQGNALEILRQVDEIFIQAIKDGGIYDEIWQAFAVFLPIKTTGVQGDQRTHSNAVALRAVTSQDGMTADWYYFEHKFLDDVARKICNSVRGVNRVCLDITSKPPSTIEWE >cds-PLY93573.1 pep primary_assembly:Lsat_Salinas_v7:2:175825604:175828290:1 gene:gene-LSAT_2X98881 transcript:rna-gnl|WGS:NBSK|LSAT_2X98881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g15130 [Source:Projected from Arabidopsis thaliana (AT3G15130) UniProtKB/Swiss-Prot;Acc:P0C898] MMNERQRFAQLLRVCSKNLFLDQGLQVHTTVVKSGYGFDMMINNDLIDMYGKCGRVEMACKVFDRMLQRNVVSWTSLMCGYLNQGNAKSSLLLLSRMGSSMVKPNEFTFSTNFKACGFVGVPENGMQVHGWCCKTGFEWFPVVGNSLIDMYSKCGRIEAASQVFDEVPERSLITWNAMISGYAVGHMGDKSLDLFKEMQIQGKNPDGFTFTSTLKACAGLGELEAGRQIHGFLISMGFLLSQQTIVAGSLIDLYAKCGSLRDAQKVFDQVERKSVISWTTLVVGYAQEGNLSKAMESYSALRKSSFPIDGFVLSSVMAVFADFALLEQGKQMHAYITKVPFGLDISVANSVMDMYLKCGVTEDADKVFEEMPKRNVVSWTIMITGYGKHGLGEQAINIFENMKSENISPDGVTYLAILSSCSHSGLVEKSQQYFSRLLNDPKIKPNVEHYACMVDLLGRSGRLKEARNLIKNMPVKPNSGIWQTLLSACKLHKDLEMGREVGEILMKMDDVSVVNYVMMSSIYANAGLWKESEKVRKSVKVKGLNKVGGQSWVEIDKSIHFFYNGDERHPLTSRIHEKLKEMEKRLKEEVQFAYEVRFSLHDVEEESREESLRVHSEKLAIGLFLVHNDGIEKERGCIRIFKNLRVCGDCHEFIKGLSKILTKVFLVRDANRFHKFENGECSCGDYW >cds-PLY89820.1 pep primary_assembly:Lsat_Salinas_v7:4:323177097:323178309:1 gene:gene-LSAT_4X161140 transcript:rna-gnl|WGS:NBSK|LSAT_4X161140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDSVEVVHDIKSILTSTGTNYLVRNNGDQVAMDTLNGKKLGIYYSASWCGSCQRFTPNLVEVYNELLPKVDLEIIFISSDEDLESFNGYFSKMPWLAVPFSDFKTRGCLDGSVEVDGIPHPAFFDENGKLLTYRGVEIIGEYGAEVYPFTPERFKEIEDEEEEARKNQSLRTILESRSRDYVISANGKKVNITDLEGKTVGLYFMLSSFESSTDFTPTLIKVYNELKSKQENFEIVMIPLHDNEESFEKELANIPWFSLPLKDKKC >cds-PLY64542.1 pep primary_assembly:Lsat_Salinas_v7:6:34575239:34576734:1 gene:gene-LSAT_6X25121 transcript:rna-gnl|WGS:NBSK|LSAT_6X25121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQLHFPFRFRNTSKNYTHIVATRASSSSASSNLPISTPKSSDFGRLISTLKSESLNFALSGTLALAVSFSGAGFAEAKIGVNKPELLPKNFTTVIDVAGFLSDGQEKRLAKEIDSIEKDTGFKLRVLAQNYPDTPGLAIKDFWKVDDRTIVFVADPTFGNILNFNVGESVDLDIPRSFWSRLAGKYGNMFYWKENGEDASVEAAVMAISNCLREPVGANNCAEVK >cds-PLY79080.1 pep primary_assembly:Lsat_Salinas_v7:3:8838719:8840008:-1 gene:gene-LSAT_3X8400 transcript:rna-gnl|WGS:NBSK|LSAT_3X8400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPVEKSCELTGESLRTIPTPFLTKTYHLVDDSSSNQMISWNEHGNAFVIWRPAEFARDLLPKYFKHNNFSSFIRQLNTYGFRKIVSDRWEFANDYFRRGERLLLREIHRRKISITPTTTPVTTSIPLAAMPISTYSPANSSEELADIQTCTTNPEQLLEENERLKEQNSKLRCELDHIRSLYRNVFTLMSNFLSGQPEKENDGSEEEKAVGGGGGGAYVEEEMSPCPRLFGVPIGVKRVRRSEENAIRDEMLE >cds-PLY99543.1 pep primary_assembly:Lsat_Salinas_v7:4:70717770:70719522:-1 gene:gene-LSAT_4X49080 transcript:rna-gnl|WGS:NBSK|LSAT_4X49080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHTIERCRLPLIRFSPPPPLFDISIRVESASFPLHLLLRRNNATRTQEDLNGLVGDVEYRFGKWKDSTRLLLLDEKHAYKAFDEMPDPIKLHKYFLNMREYSDKLIPTPDQKMEKQFEELRGISGRYEHDKKVWVATVKEVGNMVNHG >cds-PLY76539.1 pep primary_assembly:Lsat_Salinas_v7:8:91097177:91098967:-1 gene:gene-LSAT_8X63641 transcript:rna-gnl|WGS:NBSK|LSAT_8X63641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFKRRVVDADAQGQQNPFYHSQSSSSSSPYTYYTKQGIRAGFPTGDFRGRHGGPEIFRGRLDMRDAIQREIEKERIREELIAEEMARKRMLKEEVRHDLMMEREMAMRSGGGFPSPYMPFPLPGSHNNLFDAGILHRQPIGLEERIIISLDEKFSRGDGGGGYPLEIRDFNVHPYQRFVDSPIIQEIPTPLPESSQKEVIVLRKPKGETLTGAKRKSTPPVAGDSSGSYSDTSKKKIREEWNCAICHVTATSERGLNEHLQGKKHQLKEASLIAQKTGANCGLGVATKKPVVKSVKLDVTRVNLSSGEKNSKPRKRSRSGNQTPSMDSSKSSTNSKKEDEMKGGKNRDKFQFWCEMCKVGAFSEKVMNNHKEGKKHSVQLVELLQKGKSVIPCDIEKKTGSETKETEVKLVEEEEDVST >cds-PLY78500.1 pep primary_assembly:Lsat_Salinas_v7:4:124008047:124019946:-1 gene:gene-LSAT_4X79080 transcript:rna-gnl|WGS:NBSK|LSAT_4X79080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSDNWGRLVRATLRREQLRVAGQSHERKASGLASAVPDSLQRTTNINAILQAADEIQAEDAHVARILCEQAYTMAQNLDPNSDGRGVLQFKTGLMSVIKQKLAKKDGGRIDRNRDAQHLWDFYQRYKRVHRVDEIQREEQRMLESGTFSSDMGGLGLRSQETKKVFTTLRALVEVMEILSKDAAPDGVGRYIAEEIKRLKKTDKGLSGDLTPYNIVPLDAPSLTNAIGFYPEVRAAISALRYHEEFPRLPPNFEVPAQRNLDMFDLLEFVFGFQKDNIRNQRENVVLSLANAQSRLGIPTEADPKLDEKAITEVFLKVLDNYIKWCKYLRIRLVWNSIQAINKDRKLFLVSLYYLIWGEAANVRFLPECICYIFHHMARELDAILDHGQASPAQSCICEDNSVSFLTQVIQPIYNTLSKEAERNNNGKAAHSAWRNYDDFNEYFWSPTCFSLSWPMKDDSPFLRSTKKKRTGKSTFVEHRTFLHLYRSFHRMWIFLVVMFQGLTIIAFDHGNLNLNTFKTLLSIGPTYAIMEFVECSLDVLLMFGAYSTARGMAISRLVIRFFWGALSSVFITYVYVKLLQERNDNNSNSMYFRLYLLVVGVYAGARVLFAMLLKIPACHSLSQKSDQPFFQFFKWIYEERYFVGRGLYEKPMDYIRYDHWWSPPTLSRIFPGCNIPGVILSQRLICIYPLAFADNNNALTLVSIWAPVVAIYLMDIHIWYTLLSAIVGGVMGARGRLGEIRSIEMVHKRFESFPEAFVKQLVSSQAKRAPINGHFDPEDNNDKAYAAQFSPFWNEIIKSLREEDYISNREMDLLSMPINTGSLRLVQWPLFLLSSKIYLAIDLAIDCKDNQSELWSRIIRDEYMAYAVQECYYSIEKILLALVDGEGKLWVERIFREINNSMSNGSLLVTLDFKKLPLVLSRFNALTGLLIMDEKPELAKGAAKAVYELYEVVTHGLLSPDLSEQLDTWNILAKARNEGRLFANIGWPRDPDIKEQVKRLHLLLKMKDSAANVPKNLEARRRLEFFTNSLFMHMPPAKPVSEMMPFCVFTPYYSETVLYSSHDLWAENEDGISTIFYLQKIFPDEWENFLERIGRVNTGDVELQDSATDALELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLENRSLGVAHPQAALSPQGFQESREARAQADLKFTYVVSCQIYGQQKQRKEKEATDIGLLLQRNEALRVAFIHVEEVPGGDGKITKSFYSKLVKADINGKDQEIYSIKLPGDPKLGEGKPENQNHAIVFTRGEAIQTIDMNQDNYLEEAMKMRNLLEEFRADHGLRPPTILGVREHVFTGSVSSLAWFMSNQETSFVTLGQRVLAYPLKVRMHYGHPDVFDRIFHISRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRIGQLFDFFRMLSFYFTTVGYYVCTMMTVLTVYVFLYGRAYLAFSGLDEGISSRSRILGNTAFSAVLNTQFLVQIGVFTAVPMVMGFILELGLLKAIFSFITMQLQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVQHIKFADNYRLYSRSHFVKALEVTLLLIVYIGYGYTEGGSFSYVLLTLSSWFLVVSWLFAPYIFNPSGFEWQKTVEDFDDWTNWLMYKGGVGVKGDNSWESWWDEEQAHIQTIRGRVLETILSLRFFIFQYGIVYKLHLTGNNTSLSLYGFSWVVLVGIVMIFKIFTFSSKKSNFQLFLRFVQGVAALSLIAALCLVVIFTKLTVADLFASVLALIATGWAVICLAIAWKGVLRRIGLWDSVREFARMYDAGMGMIIFAPIAMLSWFPFVSTFQSRLLFNQAFSRGLEISLILAGNKANVQA >cds-PLY67497.1 pep primary_assembly:Lsat_Salinas_v7:6:68510934:68511589:-1 gene:gene-LSAT_6X48681 transcript:rna-gnl|WGS:NBSK|LSAT_6X48681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEASEAYLVGLFEDTNLCAIHAKRVTIMPKDMQLARRIRGERA >cds-PLY89587.1 pep primary_assembly:Lsat_Salinas_v7:4:365321040:365322629:1 gene:gene-LSAT_4X178121 transcript:rna-gnl|WGS:NBSK|LSAT_4X178121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDENVDTTKKKSIGRSSLSKKNGAKVHRKIHKAEREKLKRDYMNDLFLELTKALEPTNQNNGKSSALTDTIRILRDLIAQVESLKKENSVLLAESQYVAIEKNELKEENVAMEAQIKRLQSQIDQAAGQVVGPVFVVPIQNDPKLYTEPKIGSNVSKPHARYPLPSDSWPFNILSEQGRTD >cds-PLY84280.1 pep primary_assembly:Lsat_Salinas_v7:1:45262032:45262924:1 gene:gene-LSAT_1X39541 transcript:rna-gnl|WGS:NBSK|LSAT_1X39541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box zinc finger protein 32 [Source:Projected from Arabidopsis thaliana (AT3G21150) UniProtKB/Swiss-Prot;Acc:Q9LJB7] MKLIPTLCDLCHQQQPSFYCSSDSAFLCSDCDSHVHAANFLVARHIRLSLCSHCKSFHDMNSSSSSSSSSSSSSSSASSSCISGNNCSTKMTTMVSDWKTRDLLVNWCTRLGVSGGVREVARLAFESWLAYRWVWPYRVGLAASLWLGMMRCTKDDEKKRIRMRSLLSKLEEISGVPARSIVVAESKLASMLKMMKHRRGQQHDEESVEEGWAEC >cds-PLY93225.1 pep primary_assembly:Lsat_Salinas_v7:6:158966376:158967797:-1 gene:gene-LSAT_6X97301 transcript:rna-gnl|WGS:NBSK|LSAT_6X97301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSSADFDYLFKLLLIGDSGVGKSSLLLSFTSANAIEDLSPTIGVDFKVKFVTINGKKLKLAIWDTAGQERFRTLTSSYYRGAQGVIMVYDVTRRETFTNLSDIWAKEIDMHSTNQDCIKMLVGNKVDKESDRVVTKKEGIEFAREYGCLFIECSAKTRVNVELCFEELVLKIMDTPSLMAEGSATMKKNIFRQKPPVSDASTSGCC >cds-PLY76556.1 pep primary_assembly:Lsat_Salinas_v7:8:91146300:91148569:-1 gene:gene-LSAT_8X63761 transcript:rna-gnl|WGS:NBSK|LSAT_8X63761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDYKTIKINIKQSSLVQPSKATVPSNQKLWTSNLDLVVGRIHILTVYFYRPNGCPNFFDPVVMKKALADALVSFYPFAGRLSRDQNGRPEINCNGEGVLFVEAESDSTLDDFGDFTPSPELRLLTPTVDYSGDISSYPLFFAQVTHFKCGGVGLGCGVFHTLADGLSSIHFINIWSDMTRGLSIAVPPFTDRTLLRSREPPTPTFDHVEYHLPPTMKTTTGSSTRKASTTVLKLTLDQLNALKAAAKNDGGNTAYSTYEILAAHLWRCACKARGLPNDQLTKLYVATDGRSRLSPQLPPGYLGNVVFTATPMAESGELTSQPLSTSASLIRSTLSKMDNEYLRSAIDYLEVQPDLSALIRGPSYFASPNLNINTWTRLPVHDADFGWGRPVFMGPAVILYEGTIYVLPSPNNDRSMSLAVCLGADEQPLFEKFLYEF >cds-PLY86142.1 pep primary_assembly:Lsat_Salinas_v7:6:156602441:156603099:1 gene:gene-LSAT_6X94520 transcript:rna-gnl|WGS:NBSK|LSAT_6X94520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRTKRLCTEGVINFMDVSINDDMMIGFHVVLHVRSKNACGQQGSYPIKMFYTSNMPIILQSALVSNLYFIYQLLHRKYCGNFLVNMLGKWKEFEYSVQSVPVGGLAYYVAAPYQGND >cds-PLY94285.1 pep primary_assembly:Lsat_Salinas_v7:7:165076047:165077180:1 gene:gene-LSAT_7X98060 transcript:rna-gnl|WGS:NBSK|LSAT_7X98060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRSMLRKKKYDDACTEKKYDDACRTKKYDDACTEKKCDEVHSRITINSGVASWSDLPHDVLSLVMMQLGVVDFVAFSRVCKSWRSVALSNRNKFIVSRPPMSVSVYSEAKEKGFYYLKDIEGRILLRIILPYSAMRRWCVGVTCGYLIFYGEEPYDFWLVNPITRHELRFPGVPCGYSCCPGDTEPFLVFSPLISEWVLVVVTRCDCDLIWFCIAGKVGWRYVSFPFPPINDLHAFKGKIYTIHSASTSDEVKLCELKLYPEAELVLLETKNFPKPNFRYPGFVTSGENLYVIDRGSKKHPYNIHEIDLDQMIFVSREKKAEEYAFFLIEFISGSLPPHHGRYVVSDKNGKGGSFHAKIWYLFFDCLNVDLIHE >cds-PLY78591.1 pep primary_assembly:Lsat_Salinas_v7:1:106054189:106056366:1 gene:gene-LSAT_1X83180 transcript:rna-gnl|WGS:NBSK|LSAT_1X83180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLLLGAQVEVSLVIHLRSLTGGSSRGELIDWANQMDLTYILFDSRNGTNSGRAGWKLQLPKPNDSLYIDITFVVIMRKFAWHIL >cds-PLY66831.1 pep primary_assembly:Lsat_Salinas_v7:7:19139203:19139682:1 gene:gene-LSAT_7X15300 transcript:rna-gnl|WGS:NBSK|LSAT_7X15300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLVLLTFSLSITPLSSLVSNDKIIHDDGNPHMVSRTQLLALNPFYQCDVYPRVCRARGGVAPDCCKKKCVNVDTDGSNCGFCGKKCTSNESCCQGKCVDVLTNRLSCGFCGLKCKYNESCCKGKCKNTYVDKRNCGVCQNKCKNGESCAFGMCNYA >cds-PLY90933.1 pep primary_assembly:Lsat_Salinas_v7:9:170952493:170995478:-1 gene:gene-LSAT_9X105240 transcript:rna-gnl|WGS:NBSK|LSAT_9X105240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRLSNVLIFISFFFLSFTGNLNLVDAGDTASVILNSGNFEQLVMQSKDVWFVLFGAPWCPYVKQLKPEWDKASKALEGKVKFGELDCDVENDIQARFGVTGFPTVFAFGPDKCAPPSGLTERTADALEKAGLSYYDKAQGCKMEF >cds-PLY76428.1 pep primary_assembly:Lsat_Salinas_v7:8:154421672:154423457:-1 gene:gene-LSAT_8X103441 transcript:rna-gnl|WGS:NBSK|LSAT_8X103441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDISVQKIQISGTTLASLIQRVSSSVGDVDGLLFGRVTHITPLTLSDDSSASASATTSDQSTLIATVTSFYSFTSTSTFYSPSGHLDTPTLNNLLSTSSSSDDRLIGWFSGRRKTHLRPSMRESSVTSALASNTQLSSQVQNSPKSHAFPPCIFFLLTTPFQDQLIHTHEYKAFQFQSSTDSFDPKTLDVVNLGPAFRGHYSDFTPKSPFPDLPFEVKGLNCESMVEDLGKKENEKFEKLCDQGLKIERLKNLMGSQASNYTAELEELYNSMLKKLNGLAKLVEQSSARVLEQENHNMKLRYKVAGFE >cds-PLY73328.1 pep primary_assembly:Lsat_Salinas_v7:8:260794303:260798802:1 gene:gene-LSAT_8X152141 transcript:rna-gnl|WGS:NBSK|LSAT_8X152141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNFHLQTLKLGLILVWLCGFILISISFYATTQILPSSSSMKEHINNLTSSTRPTVTIFTAVRPFSGSIGRKQTLAIRSWLGLSQDIRVVLFSKDPSVFSFAGSFGYGSRVSVEPTIDFTFLGTPFFHSMVARAQASNSDISVLMDSETILLPDFFSTLSYVHKLDHDWLFIASSRNVSDFPFHLDVDGKHWLGDNNKMVKMEKLQEFLSNGKWEHCDDKKTIIAWNNGELPLHNGVLPPFLYGKGFHTLWMINEALSSNFRFVFDASWTISSFFINNIYNNATIEARNWENVGNSHLGAFYGSLFFHEDHYNYSNLIKLFKCDKHYHFGSTIDDIVYPLGVFRSSKHTKLSTCFDKLKPKGNTGCSTKNQLMSLEPISLPFSLELLLSTRADQNKTIVLAVAGYSYKDMLMSWVCRLHLLNVSNFVVCALDDEIYDFSILQGLPVFRDKLAPNNISYDDCHFGTNCFQRVTKVKSRVVLEILKLGYNLLMSDVDVYWFKNPLPLLTTFGPAVFLAQSDEYKITGPINLPRRLNSGFYYAHSDNSTIAALEKVVKHASLSNLSEQPSFYDTLCGVNGSNRLGDDMCHEPETNLTIRFLDRNLFPNGAYQDLWDLNNVSSTCMKKGCFVLHNNWISGRQKKLERQFLEMIKGCIGLLFGLEKDSRTPPKQVKDGSFSIGSSQKSREFCVRVVHAGGKEDLYEKPVSVSQIMKRYPGMIVAWPEIFKNPHEAVLSSSELLLPGRKYYLVPVTTLKKLKKKHSSKKKKSTGKKGQTQTVPPDESDDSVCSAKDYYVSKEKWSRFLVKKHIRSNKPPVVKSKSWRGGSDWEPSLTSIQELSP >cds-PLY98251.1 pep primary_assembly:Lsat_Salinas_v7:7:171570832:171575153:-1 gene:gene-LSAT_7X101941 transcript:rna-gnl|WGS:NBSK|LSAT_7X101941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELRVNSDLRLLVVIISILSPLMALGAIKSDEAMMGCDLFEGNWVLDQTYPLYNESTCPFLEKEFNCVNNGRPDRLYLKYRWQPHDCRLSRFDGRNFLQKLRGKTIMFVGDSLSRNQWLSLLCMIHSSVPTANYAVNISLIQDMTTYTFTDYKVKVIYHHNLYLVDIVKQRIGRVLKLDTLTAGKLWLGVDYLVFNTWHWWNRRGASQPFDYIQDGSHIYKDMDRVVAFGKAITTWGQWVDKNIIQNKTKVFFQGISPSHYNGTDWGEPKAKSCSGEKVPLLSSTYPGASPPALKVLKNSLKTIKKPVTLLDITNLSLLRKDGHPAAYGLGVVDCSHWCLAGVPDTWTLLLYNLMF >cds-PLY78781.1 pep primary_assembly:Lsat_Salinas_v7:8:60938361:60938994:1 gene:gene-LSAT_8X43780 transcript:rna-gnl|WGS:NBSK|LSAT_8X43780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSISPIFLLIIAATVLSSPLKATNTRKLTSTDTASSGAQDDQIKCGGCPCNQPCYTAAPPPPPPPKKPSPTPSFNCPPPPSYIYITGPPGNLYPVDPYSHSSANRRVLVVPPLLVLVGLLGMLAF >cds-PLY92584.1 pep primary_assembly:Lsat_Salinas_v7:7:162000141:162001242:-1 gene:gene-LSAT_7X94141 transcript:rna-gnl|WGS:NBSK|LSAT_7X94141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTNSYLLFALIVIVLLSSTFADDISKQETTPQTKEAADAHKVEAKEDGEKYGVNYGGGGGNNGGGGSGWGGGCHHGCCYQGHGGSCNRCCASPEEAKAFAENQAKSKKAADAHKVEAKGDDGQYGINYGGGGGNYGGGGGGGGSGWGGGCRHGCCQGYGGRCNRCCTSPEEAKASLLNKEANGDEGKYGGNYGGGGGNYGGGGGGGGSGWGGGCRHGCCQGYGGRCNRCCSSPEESKAFKESQSRP >cds-PLY94022.1 pep primary_assembly:Lsat_Salinas_v7:8:100036619:100045398:-1 gene:gene-LSAT_8X69840 transcript:rna-gnl|WGS:NBSK|LSAT_8X69840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAYKSSTQLEGGKTADFEHVDLFYAIQNIYSQQQNKVMIAKSEPVDLFSSARGVPDANQTNSSFAMLLAIDSGFKTFCGSEVDDGEVTTRKRRRNEKVVGTPDADDWEMNGEVVKRFTWIWIEDSCLRVLDFWL >cds-PLY90411.1 pep primary_assembly:Lsat_Salinas_v7:8:213574504:213575202:-1 gene:gene-LSAT_8X133421 transcript:rna-gnl|WGS:NBSK|LSAT_8X133421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTAHQTTFYTEDKSSDKGVDESKNSVSGSFECNICLDAVQEPVVTLCGHLYCWPCIYKWIQQNDTSSETPEAQNAKCPVCKTEVSQKTIVPLYGPCQTTINHGTEEKDPMMIPPRPPTPRYNPLGTRVEQLSRRRGYQRHAPPPLAMPSRGDMLMDAVVVPSPTIGMLGEMVSGRILGDLESPLFATPNSYNLVGNTTRRRRLQTTQADRSLSRIYTFLLCCIIFCLFLFT >cds-PLY66235.1 pep primary_assembly:Lsat_Salinas_v7:5:142268218:142270735:1 gene:gene-LSAT_5X62560 transcript:rna-gnl|WGS:NBSK|LSAT_5X62560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHVATTQFPGGRQSGSSGDAIYKELWHACAGPLVNVPQGHMEQAEPETNEVYAQIALVPDTNVCIWRVNWFRRFDIKWTAPKSMVYIGMLFSWFAWLSQDLVGDHELHNLNFLHIGSPKTWYAVPRDYAFTFEEVIRSKAYGGGVDRLGFNRGEAANFGTPKWLSVAKEAAVHRAAMKFLSMLSQQQLLYLLTISFIPRVPRSLLPGIRSSRLKDRQKEERELLVKKEFSSSPFR >cds-PLY99219.1 pep primary_assembly:Lsat_Salinas_v7:4:367194360:367197358:1 gene:gene-LSAT_4X180161 transcript:rna-gnl|WGS:NBSK|LSAT_4X180161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRSFYMHLKHPHTIKRKWIYPLAVGSTVFLFLLFLLTLTSFDGNRIYGFYATAGNSVFVEDKLRPIGISDVPNPPRFAYLISGSNHDGAMLRRTLLALYHPNNRYVVHLDAESSPEERLELHEFVKNHPVFVKFGNVVMITKANLVTYRGPTMVANTLHAAAILLRDGGDWDWFINLSASDYPLVTQDDLLHTFSSLPRDLNFIDHTSNIGWKEFQRAKPVIVDPGLYMTEKTDVFWITQRRSVPTAFKLFTGSAWMVLSRSFIDFCIWGWDNLPRTVLMYYANFISSPEGYFHTVICNAKEFRNTTVNTDLHFITWDTPPKQHPHYLTLQDMSNMVNSNAPFARKFHHNEPVLDKIDSDLLFRGPDMIVPGAWCAGDTQNGSDPCSVVGNVTVVRPGPGAKRLESLVSSLLSDESFRPRQCR >cds-PLY89076.1 pep primary_assembly:Lsat_Salinas_v7:9:29103551:29107431:1 gene:gene-LSAT_9X27001 transcript:rna-gnl|WGS:NBSK|LSAT_9X27001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein D5 [Source:Projected from Arabidopsis thaliana (AT1G02730) UniProtKB/Swiss-Prot;Acc:Q9SRW9] MVVTDHNSPSSSPVRITVSSSGAFRNVGLTSPVRRASISNSPLSGGEIKRLSGGRRGSGNGVGGGGRYLSMSKEEGDEYVAYTVQIPPTPEHHFMSNSVTSPEYGKIHGNPNENRIKDTVFTGGFNSETRAHARRMKSVEEMVASKSKLLCQVDGCDEKLLDKSSKSQCECGFRICNECYLDYCSSRAGVCPGCKEPFREAGEEEDEDDYQPIMSEEKDTVNPLRKNRGGIKLENNFSLVRSFKAPNQEFDHTRWLFETNGTYGFGNAVWPREGCHRGGADNYESQPMFNDRRNRPLTRKVGISAAIISPYRLLIVFRLVALSLFLTWRILHPNQEAVWLWLMSVICEVWFAFSWLLDQLPKLCPVTRSTDLSVLKERFEPTTNNPKGLSDLPGIDIFVSTADADKEPPLVTANTILSILAVDYPVDKVACYLSDDGGSLVTFEALAEAASFASTWVPFCRKHEIEPRNPEAYFSQKGDPLKNKRRVDFVRERRRVKREYDEFKVRINALPETIRRRSDACNAREELIAKKKQMELGGSSSESVKVPKATWMSDGNTWHGTWFSGEEDHSRGNHAGIIQLMLVPPGPECTYKTENDPEDLIDSRNVDTRLPMLVYVSREKRPEFDHNKKAGAMNALVRASAIMSNGSFILNLDCDHYIYNSLALKEGMCFMLDRGGDKICYVQFPQRFEGIDPSDRYANHNTVFFDVSMRALDGLQGPMYVGTGCIFRRIALYGFSPPRATEHHGWFGGKKIKFSLRRMKKNKSDDDAEMILPIVDDRNNSEEDDELKQALIPERFGDSTYLIDSIAVAEFGGRLIHELRGKGSHGRPSGSLAVQREPIDRAAIEEAIKVVTCFYEDKTEWGKRVGWIYGSITEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLIQVLRWATGSVEIFFSRNNALFASRRMKFLQRIAYFNVGMYPFTSIFLLAYCVLPALSLFSGKFIVQSLNVTFLVLLLAITVTLSMLALLEVKWSKITLHDWWRNEQFWLIGGTSAHPVAVVQGLLKVIAGIDISFTLTSKPAAADDGEDEFAELYEFRFTMLMIPPVTIILMNVAAIAVGVFRTMYSPFPEWSKLLGGVFFSFWVLSHLYPFAKGLMGRKGKISTIVYLWSMLICIVVSLIFLYIHPPDGSRSQNFKFP >cds-PLY92571.1 pep primary_assembly:Lsat_Salinas_v7:7:160398210:160401022:1 gene:gene-LSAT_7X94701 transcript:rna-gnl|WGS:NBSK|LSAT_7X94701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRRLWCKSAQLCHCIHRNFSSSSSSLEPFKPPPFFPHRRVVVTGLGMVTPLGCGVETTWNRLIKGESGIRALSLEDLKMNGFDRDTQSYTFDQLTSKVAGIVPSGTKPGEFNEALWLDSKHGKSMAKFISYALCAADEALKDANWIPSEQDEKEKTGVSIGGGIGSIGDILDASQLICEKKLRRLSPFFVPRILINMAAGHVSMKYGFQGPNHAAVTACATGANSIGDAARMIQFGDADVMVAGGTEASIDALSIAGFCRLRALSTKYNSTPQEASRPFDCDRDGFVIGEGAGVLVLEELEHAKKRGAKIYAELRGYGMSGDAHHITQPHTDGRGAILAMSRALKQSGICHDQVDYVNAHATSTPLGDMVEAHAIKSLFSKHAMSGALAFSSTKGAIGHLLGAAGAVEAIFTILAIHKGIAPLSLNISNPDPIFEEAFMPLKTSKKMQIKAALSNAFGFGGTNAALLFAKIP >cds-PLY69409.1 pep primary_assembly:Lsat_Salinas_v7:5:302232013:302234007:-1 gene:gene-LSAT_5X161220 transcript:rna-gnl|WGS:NBSK|LSAT_5X161220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDHQRHMQPRKGVKETLMHVDEEHGCVNIKRSRPKLLFFLTFCSLLSCFLLLLPPLLSYPSPSFSLLYSYEGEDEALLEIKAPLCSSVSNGTICCDRSSIRSDICIMKGDVRTNSSSFSVVLYNSGDLLTGEEELRHEKIKPYTRKWEPSTMATIDELTLTSKKSNTSTTHKCDVYHDVPAVFFSTGGFTGNVYHEFNDGLIPLYITSQQFNKKVVLVILEYHDWWIMKYADVLSQISDYEPIDFNGDNRTHCFSEAIVGLKIHDELAINSSLTTTNKTIQDFHDMLDKSYQPRIHDLVQEEPEKTRENPDNNPKLVIISRNGSRAIMNQDLLVKMAEKIGFDVEVLRPDKTTELAKIYRALNSSDVMIGVHGAAMTHFLFMKPGSVFIQVVPLGTTWAAETYYGGPAKKLGLRYIGYEIGARESSLYDEYDRNDVVLTDPDSVNDRGWEFTKKIYLDRQKVRLNLIRFRKHLVRSHFFIMAKRNHAQV >cds-PLY62518.1 pep primary_assembly:Lsat_Salinas_v7:1:84191142:84193256:1 gene:gene-LSAT_1X70140 transcript:rna-gnl|WGS:NBSK|LSAT_1X70140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCSPRVTFFSTLLNHCLSLESLFSVKIVHAQLIKLSLCNANTFLGNRCLDLYSKFGTIKDAFQAFDDIRHKNVFSWNIYMRVLISLDDLKGARQLFDEMPERDVVSWNSIISGYSSSGFHDSALRLFSQMQTFGVTPSDYTYSIVLSFIQSVHHGMEIHCNMIRNGVDFSSVIIWNSLIDMYCNHGVLDYAFGVFLNMEQIDIISWNTLIAGFSKSGYKELAYKHFNIMRTTNHLPDAFTISSIMTSCSSSNSTIDQDLSTGKQLFSLSIKLGFLSNTILSSAAIDMFSKCKNINDSIRVFEEINNWDSCVCNSMISSLVNNRLEENAMDIFTLSLNKNIRPTEFTLSCLVSCSSLFLPPVVGTQLHSLVVKLGFENDSIVSSSLVEMYTKCGSIDSAKTIFDQMGVKDLISWNTMILGFTYNGKTIKSLKLFDELLKNGPTPDEVTLYGILLACNYGSLINEGLLIFYSMENEYGVTPKDTHLTIIVDLMIKCGRLNEALEIVTTMGSGLNGVMCKSILDVYGVYGELRFIEKVAQRLIEFEPMCVLPYIVLCKAYEVRGKWESVARVKKEMKDRKIKKVVGCSWIGVKRNLFDFKESEVVHHGGEDLYLMLRLMMWDLDDEGYYIF >cds-PLY65486.1 pep primary_assembly:Lsat_Salinas_v7:8:32210037:32211181:1 gene:gene-LSAT_8X25300 transcript:rna-gnl|WGS:NBSK|LSAT_8X25300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHQELGPPWLIPMLRADYFTTCRFHGDANKSECNMYCLDCCGNSLCSYCLTHHKDHRVVQIRRSSYHNVVRVNEIQRFLDISCVQTYIINSAKIVFLNERPQPRPGKGVTNHCEICGRSLVDAFRFCSLGCKLGGMKRGDRELSFTQKMKHGREFYEPEEPVTPKKVRRSHLFNQLIDTNMFQFNLYGRNGSDMSCSSTSGDETPNMSPGTPPIFNHRNSSRRKGIPRRAPF >cds-PLY97774.1 pep primary_assembly:Lsat_Salinas_v7:4:374999846:375000953:-1 gene:gene-LSAT_4X185580 transcript:rna-gnl|WGS:NBSK|LSAT_4X185580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSPILDSFLPNLPLFFSMFFAIYLTAHITVFRNWTPKLRPEAASCLMSLAHGTPAVFLACHAILSDSNRGFASINTDFQNTVLEYSIAYFVMDLSHYLIFNPSDVLFIAHHLATLFVFITCRYLVFHGAYGILILLILAEVTSFFQNVWTLARVRKTDSKIAAQVFAVLSPPFYALYSVVRGLFGPVFVYKMLVFYLSGDADDVIPRWVWVSWISVVIAAISVSVLWIYNRWLELYSGRIVIPEDQKNR >cds-PLY69577.1 pep primary_assembly:Lsat_Salinas_v7:8:10151447:10152316:-1 gene:gene-LSAT_8X7301 transcript:rna-gnl|WGS:NBSK|LSAT_8X7301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVAPITLTNEEKPSLRRRQGFLSFNQLNALALIVVFSASGMVGVQDFAFVLISFFYMFFIAKVAFPTLSSNPEPPVFAEHKRLLTIYVSIGALIGLFLPVVYILHGVLEGDKEGIKAAAPHVFLLASQVFMEGVTFSGGFSLPIRVFVPVVYNSMRMYSILDWVKTEMMKENTVDHGSSRRLYAGRLLAMANMVFWGFNLFGFLLPFYLPRAFKKYYGYSHDNKDS >cds-PLY99547.1 pep primary_assembly:Lsat_Salinas_v7:4:71069229:71069453:1 gene:gene-LSAT_4X48021 transcript:rna-gnl|WGS:NBSK|LSAT_4X48021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRECARWRSIKKEVATKETVHGLRLSLQKKTGTRRSVDRRGIERDWEEGLLEEELKATSMAHWFVSRQPLSVVS >cds-PLY68883.1 pep primary_assembly:Lsat_Salinas_v7:2:192935628:192938934:-1 gene:gene-LSAT_2X113521 transcript:rna-gnl|WGS:NBSK|LSAT_2X113521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEILHYFLFFGLLMSDLGICRAQDTITANQTLSDGQTLVSADEMYELGFFSPGNITNRYLGIWYKNKSPLRVVWVANREKPITDTSGVFKVDTNGTLLVMAGSNNTTVWSSNPGPMAISPTNVNPVAQLLNPGNLVVRTAGQESFIWQSFDYLGDKLLPGTKLGKDLVSGFEWRLTSWKSLDDPSPGLYVGFVNTNGFPQVFIERDSVPYSRFGPWNGLTFNGIPTHISNSIYTHDFIMNDKEVYYRFTLVNSSVVTHIYLSPDGIPLRMNWNERTQMWFLYSSANIDMCSRFGLCGSYGRCNPNNSPLCSCMEGFEPRNPDEWSASQWSSGCRRRTALGCPNGDGFRVFKNVKMPDTRRAWFNRNMTLGECKTACKQNCSCNAYANIDIRMNGSGCLLWFDDLLDIRTVDESQDLYVRMAVSDLTILLIVPSIPELTSRPGSKKKRQTTIVVVSILSSLVMVILILAIFYGWRKKKRTQVKIPVQTIDEEYIMESQDDDTELTSFSLTTILKSTNDFANDKKLGQGGFGPVYKGVLDDGREIAVKRLSKTSRQGLGEFKNEVKFIAKLQHRNLVKLLGYCIQGDENMLIYEYMPNKSLDSFIFDKIKSSILGWSDRFHIIHGIARGLLYLHQDSRFKIIHRDLKASNILLDVDMNPKISDFGLARMFTEHENEANTNNIVGTLGYLSPEYALDGIFSEKSDVFSFGVLVMEIVSGKKNRGFSHENDSDNLLAHAWRLFEEGMAVEFFGVHMRNTFVASEVLRSIHIGLLCVQHHPNDRPTMSSVVLMFGEEGVLPRPKQPAFFAKGSVPQHYLVSNNGITMTTLEPR >cds-PLY86349.1 pep primary_assembly:Lsat_Salinas_v7:8:28801597:28801977:-1 gene:gene-LSAT_8X23321 transcript:rna-gnl|WGS:NBSK|LSAT_8X23321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMNIVFYLCILFPVFRIKHDLLNLIKDFFFYLFRCDHSTPYPSLVDLPVIRFEELLDRRQRSVEEMCFICSADYHLDDVVCQLSRCRHVYHSDCVGQLLHRKQLSCPFCHSPIFSGLSPIDCKSF >cds-PLY64290.1 pep primary_assembly:Lsat_Salinas_v7:8:222275273:222275737:1 gene:gene-LSAT_8X136960 transcript:rna-gnl|WGS:NBSK|LSAT_8X136960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEFATSTSTPQRVRMMGSLGFNSSLRPSGVPASHLQRPLQYFLRPQTGANNQTASQIDPGKRLDLEVVMTFLLILLMMLLNL >cds-PLY87509.1 pep primary_assembly:Lsat_Salinas_v7:8:97593777:97601351:-1 gene:gene-LSAT_8X68241 transcript:rna-gnl|WGS:NBSK|LSAT_8X68241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVSMRDLDPAFQGAGQKAGIEVWRIENFKPVAIPQSSYGKFFTGDSYVILKTIALKSGALRHDIHYWLGKDTSQDEAGTAALKTVELDAALGGRAVQYREVQGHETERFLSYFKPCIIPQEGGIASGFKHAELEEHKIRMFTCQGKHVVHVKEVPFARSSLNHDDIFILDTANKIFQFNGSNSCIQERAKALEVVQHIKDTYHDGKCDIATVEDGKLMSDAETGEFWGFFGGFAPLPRKTATDDTKSVDALPTQLFCVEKGEAEPVVADSLTKELLDTNKCYLLDSGAEIYVWMGRNTSLDDRKSASGAAEKYLRSIDRRKLHIVRVIENFETVAFRSKFDTWPQSAEVAVSEDGRGKVAALLKRQGVNVRGLLKAAPEKEEPQPYIDCTGNLQVWRVNGQEKTLLPIPDQSKFYSGECYIFQYTYPGEDQEEYLIGTWFGKQSVEEDQHSASSQANKMVESLKFMAAQLQIYEGREPVLFFAIFQSFLVFKGGLSDGYKNYILEKELPDETYKEDGVALFRVQGSGPENMQAIQVEPVASSLNSSYCYILHSGSSLFTWIGNLTTPELQELVERQLDVIKPNMQSKLQKEGSESEQFWEILGGKSEYPSQKIARDAESDPHLFTCTFSKGDLKVTEIYNFSQDDLMTEDIFILDCHSSIFVWIGQQVDQKLKTQALVIGEKFLKHDFLLEKLSLQTPIYIITEGSEPQFFTRFFTWDSTKSSMHGNSFQRKLSIIKNGGRPTLNTKPKRRAPVSSHGGRSVATEKPQRSRSVSFSPDRVRVRGRSPAFNALASTFENANARNLSTPPPLVRKPYPKSGTADSPNAAARSNAIASLTATFEQPPPREPLMPRSVKPRPKSPPKSESNSKENSMSSKMESLTIQEDVKENEVEDEEGLTLHPYDRLTTLSTDPAPDIDVTKRETYLSSSEFREKFGMTKEAFYKLPKWKQNKLKMALQLF >cds-PLY72300.1 pep primary_assembly:Lsat_Salinas_v7:5:98107374:98107649:-1 gene:gene-LSAT_5X44481 transcript:rna-gnl|WGS:NBSK|LSAT_5X44481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLVIEAKARKRIVMDVMVECMNTVIMMAWMVMRMGWSRFQSSPISWPLSFLEQVWVQFVFIFLKYEMETINAGYVIPFEYYRIQPFDHKL >cds-PLY95964.1 pep primary_assembly:Lsat_Salinas_v7:9:40880050:40880328:-1 gene:gene-LSAT_9X36581 transcript:rna-gnl|WGS:NBSK|LSAT_9X36581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTVVNENERLSKEEIEKMIEDADKYKQEDQEYKKKADVFNALEDCIYNMKKMEHVIVDATTWIEDNQDAIVDEIERMKEQLESMCMPKF >cds-PLY97534.1 pep primary_assembly:Lsat_Salinas_v7:5:238607845:238609118:1 gene:gene-LSAT_5X113260 transcript:rna-gnl|WGS:NBSK|LSAT_5X113260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVRKVSKEHPKGLRRLATIMNLERVSAAMKVMSPAQKNGIVSMGFGSLMNIDMDSTPGLLNYYHLDHYDPQSSRLVLENIVITITKETAYEVVIEHTYGIILSEKKTIQMALKAGMENFPDSMLLNE >cds-PLY89530.1 pep primary_assembly:Lsat_Salinas_v7:4:159253606:159258156:-1 gene:gene-LSAT_4X95801 transcript:rna-gnl|WGS:NBSK|LSAT_4X95801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPTSTLYASQLMDRMFDEFANLQMKMKIQVKTKEELDSKQYIFRPRAFDIKDVIEMDVSTLESSIANEAFSKWKELASEEVSAESEKDCCLIPESPFYLKGQGGLFEFIQHRLKENGHVVIVLAEGADQEYVSESVNAVEERDASGNKLLIDIGQWLIQKIKNHFATVKRMAINMKYIDPTYIIRAIPSNAYDNIYCTLLAQSAIHGAMAGFSGFTVGPVNNRHALCQPCSSYLTGSGTFQKDLCRHASWVARESRSTGFKDKAKHMGLVEFLERDFHTKIKAPGSTSASLKEKGNHKLEEHEKELRAREALNKTDNNLLDHWWMMMTQSQIDYDGQVTHEEMASAAIYLKDTLGKEGVQELITNLSKDKEGNILVEDIVKLGSRAEDADWLISILSTTTDEMNIGIVFFCLILDVTN >cds-PLY65315.1 pep primary_assembly:Lsat_Salinas_v7:8:103810579:103816249:1 gene:gene-LSAT_8X70320 transcript:rna-gnl|WGS:NBSK|LSAT_8X70320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKWRTRKNNNALVVNASDGGGGGGEVDTAVVEKDKPKVLPPRFQVLQGSPMPFGATAQEDGVNFAIYSRNATSATLCLMTPSDLPEKRVTEQIPLDQLTNKTGDVWHVFLKGDFTDMLYGYKFSGDFCPEEGHYYDSSRILLDPYAKAVLSREEFGVLGADDDCWSQMACTIPSNHEFDWEGDLPLSFAQRDLVIYEMHVRGFTRHESSKTESPGTYLGVVEKLDHLKELGVNCIELMPCHEFNELEYFSYNPVLGDYRLNYWGYSTINYFSPMLRYASAGGRNGGLDAINEFKQLIKEAHKRGIEVLMDVVFNHTAEGNENGPILSFRGVDNSVFYMLAPKGEFYNYSGCGNTFNCNHPIVRQFIVDSLRYWVTEMHVDGFRFDLASIMTRGSSLFDAVNVYGNQVEDDLLTTGSPLTNPPLIDMISNDPILRGVKLIAEAWDCGGLYQVGVFPHWGIWSEWNGKYRDTVRQFIKGTDGFSGAFAECLCGSPNLYQEGGRKPWNSINFICAHDGFTLADLVMYNDKHNLANGEDNKDGESHNNSWNCGQEGEFVSISVKRLRKRQMRNFFLCLMVSQGVPMIHMGDEYGHTKGGNNNTYCHDNYMNYFQWDKKEESSSDFFRFCRLVTNFRHECEALGLNDFPTAERLQWHGHAPETPDWSETSRFVAFTMKDLVKGELYIAFNTSHLAVTITLPERPGCRWQPLVDTSKTAPFDFLSDDVPEREREVAMKQYAQFLDGNLYPMLSYSSIILLLTPDVSGLI >cds-PLY94670.1 pep primary_assembly:Lsat_Salinas_v7:1:40961681:40968219:1 gene:gene-LSAT_1X36320 transcript:rna-gnl|WGS:NBSK|LSAT_1X36320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDGGDVAVAPEGPPPPLDWKFSQVFGERTAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDKKEHGGNRKDLEKTDYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTSNGALFLLSSNDKTIKFWKVQEKKIKKIAEMSMDTSNSKASGNGNVGSSIVTSNPKLHLANGGCTDKDKSYTSLSNALSFPSGGIASLRLPVVTSNETNLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEVSNQSFNIVDVKPANMEDLTEVITSAEFHPNHCHTLAYSSSKGSIRLIDLRQSALCDKHSKLFEEHEAPGSRSFFTEIIASISDIKFGRDGRYILSRDYMTLKLWDINMDSGPVSTFQVHEYLRPKLCDLYENDSIFDKFECCLSGDSKRVATGSYSNLFRVFGCNPGSTEATTLEASKNPMRRQVQTPSRPSRSLSSITRAVRRGGESNTPGVGVDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >cds-PLY75407.1 pep primary_assembly:Lsat_Salinas_v7:6:177362803:177363680:1 gene:gene-LSAT_6X107860 transcript:rna-gnl|WGS:NBSK|LSAT_6X107860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNALQTFTAFYDGWLLRHQRLQDQLSTVANVKEEDLSKLVEQAAEHYRLYYEQKSIAIDKDVFLICSPPWYTSFEKALFWVSEFPPSLFFRFLSDLNLTTEQARRVETVRVEAARKESEIGEAMATVQESLAAAPLYGLVNRTERLVDGQVSELDDAMKELKEAMRVVMVEADGLRVVTVVEILEVLEVMQRVKFFAAVGEFRIRARRIGLQMEV >cds-PLY72418.1 pep primary_assembly:Lsat_Salinas_v7:3:190916959:190917387:-1 gene:gene-LSAT_3X113260 transcript:rna-gnl|WGS:NBSK|LSAT_3X113260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGSKRWLGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKQPTTPWGYPALGKRSRKRNKYSDNLILRRRSK >cds-PLY68855.1 pep primary_assembly:Lsat_Salinas_v7:3:61459886:61460224:1 gene:gene-LSAT_3X48621 transcript:rna-gnl|WGS:NBSK|LSAT_3X48621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLVEEDGGFTLPESIFIFFLIGEETGDIKASEDRGFTVESILDSEGEGESGTTTSSMTTYESVNRYLCKRSSRVTSQPTTVSTSFLPSLFQRGLKSAMPRVTSSESAHLT >cds-PLY81886.1 pep primary_assembly:Lsat_Salinas_v7:8:123081426:123082950:-1 gene:gene-LSAT_8X85080 transcript:rna-gnl|WGS:NBSK|LSAT_8X85080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTDEIIDKSLKSHDGSQVCEDFVGASHTWLSKIKVWVQLGVFLMFLKDQQLRFLVWELSAAQGAKIRGASTIIGVDTNPEKKEKAFGVTDFINQNDIDQTVQQAIKRLTDGGVEYSFECIGDTDMINTALHSCCDVT >cds-PLY93422.1 pep primary_assembly:Lsat_Salinas_v7:9:58678360:58679461:1 gene:gene-LSAT_9X51920 transcript:rna-gnl|WGS:NBSK|LSAT_9X51920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEIIDLPSGDYIVEKRQRACLVKARYFSTLPNLRVLSLDEGFDNFEMKYVGGLWVLLEFNSNNACSNFMSGQAIDHWILEKRAWDRTFVPSERIVWVDAEGVPLFNWSKESFRKILSKWGAIAQLDDDLGEDIYKNRICILTTIQTIILEVIKVRVDGNIHWIRVKEPPGLTPSFVHDFPAPELAESEDNFNCSERNEEGQNGNFVMENVFSHSPADCNASTSPVASQVPQSDAHGSAVDLIAAATTVLDAAAEEPQAAPAASSTLKVVPTVVAPLANSVSSQSGNKTIFNKLRLVSQVDIFVCQAFLLWRTPYLIHLDFQI >cds-PLY73165.1 pep primary_assembly:Lsat_Salinas_v7:2:190286748:190286912:-1 gene:gene-LSAT_2X111841 transcript:rna-gnl|WGS:NBSK|LSAT_2X111841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHYHNTYIQELNRQLTSLRFQLETVKKKTSEELNKISNLRQEDFLWDAPLENL >cds-PLY82492.1 pep primary_assembly:Lsat_Salinas_v7:2:189058183:189061622:1 gene:gene-LSAT_2X110721 transcript:rna-gnl|WGS:NBSK|LSAT_2X110721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLSLIVVPWMLLPKPFILKAHHNRTHQGDSYVALEGIDESLVEGGHHGSHDHEEEFEFSEETRDHGGTSLPPSFPPCTLRKALASYADVLTSPKKSALLALAAHATDPDEAERLKFLASPAGKDEYAQWIVSSQRSLLEVMEAFPSAKPPFGVFFASVAPRLQPRYYSISSSPKMAPERIHVTCALVYEKTPSGRVHKGVCSTWMKNAVPMTESEDCSWAPIFVRTSNFRLLSDPKIPIIMIGLGTGLAPFRGFLQERLALKESESQLGSFVLFFGCRNRKVSSDFVSKVVDIVVKELIAVATNREVLGATKGVDVSNERQGSDKKSVVELTNGSSEDTKEQIL >cds-PLY81978.1 pep primary_assembly:Lsat_Salinas_v7:9:153293211:153293513:1 gene:gene-LSAT_9X96801 transcript:rna-gnl|WGS:NBSK|LSAT_9X96801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESIIHMLIGQAEEELAALTNLESDFYFNQEMKNELLENMSRRPKYTNYLDMKEVINNSTYVASKRIMAIYSLKKETETTIQELRKLLKTLSEDDQPYMD >cds-PLY73994.1 pep primary_assembly:Lsat_Salinas_v7:1:32626278:32627926:1 gene:gene-LSAT_1X29461 transcript:rna-gnl|WGS:NBSK|LSAT_1X29461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYIITVNATILTDSGGTCSVSDCTPPPSSNQTTTFISPDCMFKPNIGYENCLSKLKSDLIVATALSSMIGSLAMGIFANLPLALAPGMGPNAYLAYNLVGFHGSGSISYQTAMAIVLVESCVFLIIATFGLRAKLARLVPRSVRLASAAGIGLFIAFVGLQANQGIGLVGPDPDTLVTLAACTSKNSITGACTDGIMQSPTFWLGLVGFLITCYGLMKDIKGSMIYGILFVTLISWIRHTSVTVFPDTPVGDTRYNYFKNVVDFHKIESTLGAISFVNFNRSEVWVALFTLLYVDILATTGTLYTMAELGGFTNEKGGFQGEYVAYMVDAGTSIVGSTLGVSPIATYIESSAGIREGGRTGLTAILVGFYFFLSIFFIPLFSSVPPWAIGPSLVMVGALMMKVIKDIEWDNIKEGVPAFVTILLMPLTYSISNGIIGGIGMYIALSLYDYGVWWFKWLIKIKKMVSREQNQVSASIAADRIVEVL >cds-PLY97304.1 pep primary_assembly:Lsat_Salinas_v7:1:177735361:177738691:-1 gene:gene-LSAT_1X116661 transcript:rna-gnl|WGS:NBSK|LSAT_1X116661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNHSYYSYSFSLCRHLLLCTVASPNPLPPPVSGFITQKFENCEGEKSIHKLGSETNICTVALYKHGRRRRGGSFGIIHRADWNGSKEGETAAVNGVAGGTSSGNGNMATKNMEQEGGMGNGDSTQIGMSKGTGTGTPWNRSGRDQYYNIVIKVGGESAEHEKFVFAKVVETMHGYRRSLHRSPSSVSHFDIDFSIESFLLPIKPNLHLPSIFFQIISEDLSDPVHSHKDLDKDAALYFRKVVQFYEELAKQMVSHGYVLDLFASTLDQVEVSKMKVIIERTGGLVVLAESFGHSIFQDSFKHVFEKGEGSLGLAHNGTLKISCSKDIKIQGIIGPCTPLDKKGPVVANTVIGQWITTSWKLCGLDKDTYLTVFFDISSSDKILVVYANHHKHVFCLDGVAPMETTQAINNSESHTTTIKNPWQEDGLTAEKIDWLNR >cds-PLY67175.1 pep primary_assembly:Lsat_Salinas_v7:6:161825959:161828518:-1 gene:gene-LSAT_6X97880 transcript:rna-gnl|WGS:NBSK|LSAT_6X97880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPKFAGKPIASASYYGGGRMDIEQMPETPNRGAHHRRAQSETFFRFPDEDILLDDVVADFNFANIDLPSLSSDAPIPTTTGESSSKSEGESSDVNAVKSTASRPPGPVNHIRSLSVDADFFDGLGLSSAAEASGGYRHRHSNSMDGSAASSFEGDSMLMMLDNSKKALAPDKLAELALIDPKRAKRILANRQSAARSKERKIRYTSELERKVQTLQNEATTLSTQVTMLQRDTTGLTSENKELKLRLQAMEQQAKLRDALNETLRAEVQRLKIETGQLPPLNGMNYHHHRSLPPQYSSHQQTFHHFGNQNPQQPPQQQIRPPDAATNRPPKPTFMDFN >cds-PLY94510.1 pep primary_assembly:Lsat_Salinas_v7:2:157485051:157485370:1 gene:gene-LSAT_2X80520 transcript:rna-gnl|WGS:NBSK|LSAT_2X80520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRRCSWDIALLQFGSGEGNESNEVVVKSSYLTELQRRILKAEAGLREKEENDILHQRLQQYESRW >cds-PLY97274.1 pep primary_assembly:Lsat_Salinas_v7:1:43843641:43844752:1 gene:gene-LSAT_1X37921 transcript:rna-gnl|WGS:NBSK|LSAT_1X37921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFISWMQSKINGGQGYKNTNTPHHTKHEPQKEEFSDWPQGLLTIGTFGNNDLPIENEEIQETRDTETISSPDLSEFTPEEIGKLQNELTKLLSKKPAANTQEAIDLPLDRFLNCPSSLEVDRRLSLTVNDHDENNKEEDIDRTIRVILGRCKDICMNNTSKKAIGKKSISFIFKKMFACSSGFPAIPSLRDPLPESKMEKLLRAMLKNKINPQNSSQSSSRTKFIEGKRQPRKVKVTENENEKDGSKWVKTDSECECFYI >cds-PLY79553.1 pep primary_assembly:Lsat_Salinas_v7:8:118157337:118157831:1 gene:gene-LSAT_8X82121 transcript:rna-gnl|WGS:NBSK|LSAT_8X82121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGEIKGSVCRPSVSFYTSDTSTSMDIAKVIREEQAGRFHEFGDSNEDDFRFSLDINEEGVSEKEIDSRGWTVFPLFNRDLLIKDEVKSKDNEIHASDSITSSLWKLFIDEPEESSSCSSSEADELEALPSGTFCVWRPKTEGGSSPVMTKIKKSKTLIWWG >cds-PLY74097.1 pep primary_assembly:Lsat_Salinas_v7:9:13561439:13564616:-1 gene:gene-LSAT_9X11861 transcript:rna-gnl|WGS:NBSK|LSAT_9X11861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKLVVEVLGAHNLMPKDGEGSSSAFVEVEFEGQRQRTQVKYKDLNPVWNEKLVFHVNDVADLPYRTIEVNVFNEKRSNNSRNFLGKVRVSGTSIAREGQEDLIPQLHTLDKRSLFSHVRGEITFKLYLSVKEHVKGGGGGGGGVVVSGGVSKKSNKFQQQNANTVVQNHQQMGGAGGGGQENKKMTHPNQMTNKPDPNQGDLKPVVITSIPGPPIPAMNVAVPGGGVGGGGGGRVGLFSGGSNEYSLKETNPHLGGGLLNKDKTSSTYDLVEQMQYLYIRVVKGREIPVVGGGELVAEVKLGNYRGITKRAALNNAEWDQVFAFCKDTIQSSMVEISVKERDKDDFLGRVWFDLNEVPKRVPPDSQLAPQWYRMDDKRGERGKGGEVMVAIWFGTQADEAFSEAWHSKAANVHLDGLCSIQSKVYLSPKLWYLRVSVIEAQDVVLGEKGTSLMRYPELNVKVQVGNQVLKTRVAPAMANRSLSNPFWNEDLMFVVAEPFEDYVMFSVEDRVAPNREEVVGRVMLPVSVINRRLDSKEVASRWYNLDGHSSNPNESKSVVRFASRIHIRASLDGGYHVLDEATMYSSDVRPTAKQLWKPHIGVLEMGILGASNLMPMKMKEGKGGSTDAYCVAKYGQKWVRTRTVVDSLAPKWNEQYTWEVFDPCTVITIGVFDNSRVDKNPTGNGAGTRDSRIGKVRIRLSTLESDRVYTHAYPLLMLHPSGVKKMGELHLAVRFSCANMLNMLHMYTMPLLPKMHYVQPLSVSQLDSLRYQAMNVVVL >cds-PLY64851.1 pep primary_assembly:Lsat_Salinas_v7:2:31202688:31204260:-1 gene:gene-LSAT_2X15421 transcript:rna-gnl|WGS:NBSK|LSAT_2X15421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSKQSAREAREVDGALPIIGHLHLLGGGNQLLHRTLGAMADKYGPTFNIRLGTRRAFVVSSWEVAKECFTVNDKALASRPKTAAVKHMGYNYAIFGFAPYTPFWREMRKITTLELLSNRRLEMQKDVRSSEINSGITELYGRWVENGGRQPLVVDLIKWLEPMLLNIITMMVAGKRYYGVETDSHEAISCQKALNEFFRLIGIFVASDAIPFLGWLDFDGYVKQMKRTAKDLDLVLGGWLDEHRLNRKLDSKRNKHDFVNVMLSLEEEGKLSGLQYDSDISIKSTCLSMMLGGGNTPAETLTWAISLLLNHPDHLVKLQHELDDKVGKERQVVETDIKNLVYLQAVIKETLRLYPAGGPLLGPREAMEDCTVSGYHVKAGTRLIVNVWKIQRDEKVWTDASEFKPERFMGEEHEHVDLRGQQFVLIPFGSGRRSCPGATLAIQVLHLTLARLVHSFDLGLPGGLPIDMTESPGLTMPKKKPLQALLTPRLPSELYG >cds-PLY77766.1 pep primary_assembly:Lsat_Salinas_v7:2:169388577:169389630:-1 gene:gene-LSAT_2X92160 transcript:rna-gnl|WGS:NBSK|LSAT_2X92160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIQDELRIDSGKTRAVALVCKMVGGGSDGSLDICAKVCIIDEYENILFRTYVKPHLPVTSYRYETTGIRPEYLRDAMPLRQVQRKIQDFLCNGEPIWKIRPRGGKARILVGHGLDHYLKCLELEYPAVKIRDTATYPPLMKTSKLSNSLKYLTKAYLGYDIQVGIQDPYEDCVATMRLYRRMRSQIHRNENYPLATDPQNKNNFASWRQNELERMSPDELLAISRSDYYCWCLDSKDYV >cds-PLY64334.1 pep primary_assembly:Lsat_Salinas_v7:4:23690841:23692235:1 gene:gene-LSAT_4X15820 transcript:rna-gnl|WGS:NBSK|LSAT_4X15820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQAVFSPGHVIIPASRVKTSAADGLHLPSSNVHLLRRTNHRRKPLLRSLTTPIRCSTNFSFDLKSGKGMNQFHEIELRVRDYELDQYGVVNNAIFANYCQHARHELLEKIGINIDTVAQTGNAIALSDLSLKFLGPLRIGDRFIVRARISDSSAARIYFEHFIFKIPNQEPILEARATAVWLDKNYRPIRVPPEVRSKLVMYLRHDEGN >cds-PLY99772.1 pep primary_assembly:Lsat_Salinas_v7:9:53332659:53335411:1 gene:gene-LSAT_0X7461 transcript:rna-gnl|WGS:NBSK|LSAT_0X7461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSVEMRRTTRVFGARVLRSGRRLFPTDEKLKHMRRTNLAVENAEEDWIELLDHDDGDVEFKDNGWHNSHEANNDELMDIEGETQTEIKLGELRLDDSFHQIHHNSGCRRWGLVYSRKRKRNLPSTDHDTQVSSDKRYGKKFVRKQARKTIIADELLTDFQTLPPKIIIKRNQSPVKRLPLRSKSKRKKVPTGMNTSNLPPRNNITIHRAIQKRRSSFRSRKRRNPSSCLVKDGVPFFPIKSNPEISQSICRPNFKELKSTLVELTQNIDSATCSANILVIESDRCYRESGAVITMEMAAPKQWFLVVKRNGVESFRVETRTAMRSCFHNRVTHAIIWAGNDESWRLEFPNRQDWFVFKELYRKCSERSVQLQATLTSIIPVPRVNEVKGYADVESSPFIMPDSYITSRGDEVSRVLERSDTVYDMDSDDDEWLKKFNFDRDVKVNEDDFEKIIDSFERGIYGSPEDYADVALVVDRCQMLASKDVLEGVYDYWMAKRKKKHSALIRVFQFYKPKKTEQLNTKSVLRKKRSFRKRGNQSGRGKQLTFLKAALYDKNVEEAENTALKVEETEGAAKRAEEGAIVKRERAQMLMEVADLLTYKATMALRVAEALATYGSVELGDMI >cds-PLY84730.1 pep primary_assembly:Lsat_Salinas_v7:5:229286405:229286668:1 gene:gene-LSAT_5X109000 transcript:rna-gnl|WGS:NBSK|LSAT_5X109000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASCTHDDRWRAAAARLASXRVPAATGERPTTGVVFNENGSSASTVLIRPAIVVLPPLYDTSGDLASPVSVFTTAGRLRRLKEK >cds-PLY89332.1 pep primary_assembly:Lsat_Salinas_v7:7:177601028:177603091:-1 gene:gene-LSAT_7X105160 transcript:rna-gnl|WGS:NBSK|LSAT_7X105160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53000) TAIR;Acc:AT1G53000] MSWMIPKSTCAESSRPMSTKAWVLHGVAVAAALVGAHAYFYYRRSATVFRSRVVGIIPARFASSRFQGKPLVEILGKPMIQRTWERAKLATTLDRVVVATDDEKIAECCRGFGADVIMTAESCRNGAERCSEALQKLDKKYDIVVNIQGDEPLIDPEIIDGIVKALQTTPDAVFSTAVTSLKPEDAFDPNRVKCVVDNRGYAIYFSRGLIPFNKSGKINTEFPYNLHLGIQSYDSEFLKIYPDLSPTPLQLEEDLEQLKVLENGYKMKVIKVEHDAHGVDTPEDVAKIEKFMLERNLS >cds-PLY81078.1 pep primary_assembly:Lsat_Salinas_v7:6:132512022:132513040:-1 gene:gene-LSAT_6X81320 transcript:rna-gnl|WGS:NBSK|LSAT_6X81320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVPHPTADPTKKNEEQVSKMMMKIQNTNLHLVPSIDQYPEPLRMLVQTMSNSLLSTALSSSFAVLMEWLSIDDPTVVFSKTTKVVTFHLMNSKTKNPNRKQFAQILKLPVSGIFYEVLTDQDFFIDDPSVFPTIARIPDAMLKLVDPKNHIFMQYLASIDSSTPTGVLPQKGVKVSSKASKAPKKKKQVEKPPTVEEEVMKDIILSKSGILK >cds-PLY97259.1 pep primary_assembly:Lsat_Salinas_v7:1:42657364:42666535:1 gene:gene-LSAT_1X37500 transcript:rna-gnl|WGS:NBSK|LSAT_1X37500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKMIGASGVSAEHNAIPWMQARFSLLLSSYGFLSYLSSVISYRRKQQALIPPVVILNFVIKQQKAEARAKKVDFKKMSFQGYSLLDKANLIDLYKRVHVGRRVAYGIHKTCPDVAKVELKFEHRNSKDCSYGPLYEWQLYINEETLSQPPQLESRDSRSGSIFISWKE >cds-PLY72265.1 pep primary_assembly:Lsat_Salinas_v7:7:87822485:87829119:1 gene:gene-LSAT_7X60741 transcript:rna-gnl|WGS:NBSK|LSAT_7X60741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNTNPDVPLSEEELERKKKKEEKAKEKELKRLKAAQKAEAAKLKAQQGPSISKASKKKTVRADASEENPEDYIDPETPSGDKKKLSQQMAKQFSPVAVEKSWYAWWEQSGFFEANSSSSKPPFTIVLPPPNVTGALHIGHALTAAIQDTIIRWRRMSGYNTLWVPGMDHAGIATQVVVEKKIMRERKMTRHDVGREKFVEEVWQWKEEYGGTILKQLRRLGASLDWSRECFTMDEKRSNAVTEAFVRLYKEGLIYRDLRLVNWDCVLRTAISDIEVDYIDIKEKTPLKVPGYKNMVEFGVLTSFAYPLEENLGEIIVATTRVETMLGDTAIAVHPEDPRYTHLHGKFASHPFNGRRLPIICDAILVDPKFGTGAVKITPAHDPNDFEVGKRHKLEFINIFTDDGKINSNGGLGFVGMPRFEARVAITEALKSKGLYKGEEKNEMRLGVCSRSNDVIEPMIKPQWYVNCNGIAKEALDAVMDENNKKIDILPKQYAAEWKRWLENIRDWCVSRQLWWGHRVPAWYVTLEDDKLKELGAYMDHWVVARDEKEAETEAKKIFSGKKFQLAQDPDVLDTWFSSGLFPLSVLGWPDDTQDLKTFYPTAVLETGHDILFFWVARMVMLGMKLGGDVPFQKVYLHPMIRDAHGRKMSKSLGNVVDPIEVICGITLEGLHKRLGEGNLDPNELKVATEGQKKDFPNGIPECGADALRFALVSYTAQSDKINLDIQRVVGYRQWCNKLWNAVRFAMTKLGDNYTPPSKIDPNNMPFSCQWILSVLNKATSKTISSLDSYEFSDASTAVYSWWQFQLCDIFIEVIKPYFFGDDASMAASKAHAQDTLWVCLDTGLRLLHPFMPFVTEELWQRLPSPKHCEREKSIMICEYPSVVESWRNEKVEYEMEVVESAVKSLRSIRANMPAQERNERRAGFAVSRNAQTTDLLRRHEKEVSTLANLSSFTVLSENDAAPAGCAVSVVNQSLSVYLKLQGAIDVKKEREKLNTKLTDLQKQRDSLNKAMSAKGYEEKVPEHIKEENMAKLTMLMQQLLSCEEATQHFEREVAVRAEI >cds-PLY93688.1 pep primary_assembly:Lsat_Salinas_v7:2:200865268:200867653:1 gene:gene-LSAT_2X121560 transcript:rna-gnl|WGS:NBSK|LSAT_2X121560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit F [Source:Projected from Arabidopsis thaliana (AT2G39990) UniProtKB/Swiss-Prot;Acc:O04202] MASADQTVLQFVPLSTSLSAKVHPLVIFNICDCFVRRPDQAERVIGTLLGSVLPDGTVDIRNSYVVPHNESSDQVALDIDYHHNMLSSHQKVNPKEVIVGWFSTGFGVTGGSALIHEFYSREVTNPVHLTVDTGFTNGEASIKAFVSVNLSLGDQQLAAQFQEIPLDLRMVEAERVGFEILKSTAVDKLPSDMEGMEASMERLLALIDDTYKYVDDVVEGRVAPDNTVGRFISETVSSVPKISPPAFDKLVNDSLQDQLLLLYLSSITRTQLSLAEKLNTAAQIL >cds-PLY82688.1 pep primary_assembly:Lsat_Salinas_v7:2:18383777:18392299:-1 gene:gene-LSAT_2X8340 transcript:rna-gnl|WGS:NBSK|LSAT_2X8340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPMVVVDVIEEEPVVIGGVKIPVDTSKPNPNKIEYDNMYLDMNGIIHPCFHPEDRPSPTSFSEVFQCVFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAAEAAAEEERLREEFEKEGRTLPPKQESQTFDSNVITPGTEFMAVLSIALQYYVHKRLNNDPGWKSIKVILSDANVPGEGEHKIMSYIRLQRNLPSFDPNTRHCLYGLDADLIMLALATHEVHFSILREVVFIKGEQGKCFVCGQSGHMAAGCKGKVKRKAGEFDEKGGTEEPKKPYQFLNIWTLREYLKHEMQIPNVEIDLERIIDDFVFLCFFVGNDFLPHMPTLDIREGAINLLFAVYKKEFKAMGGYLTDASKPDLVKVEHFIQAVGSFEEAIFQKRARMLQKQLERVKRDKAQAKRGDDGQPRVDPDSLVPITRFDGARLACGPSPSPYKQKRSRKGVKKNEQQVKLATKDLSALELDIQHKRPMQCDDEKIDIRAKKVARLSSGNTVGAAIVEAETSHEREAFENKEELKVRLKGVLREKSDAFNSEELEDKIKLGAPGWKERYYEEKFSATTIEEMDAIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKDLNKLNIKFELGSPFKPFNQLLGVFPAASAHALPEQYRKLMTDPNSPIVDFYPTDFEVDMNGKRFAWQGIAKLPFIDETRLLEEVKKVEHTLTNEETQRNSRMCDMLFVSISHKLSPYIFSLDDQTIKYGSKKRAQINQQIKPESSDGMNGYLSLPSGDPCPKVFRSPIEGLEDIKDNRVICAIYKLPDPHPHIPRPPPGVKLPKKTVTKDDLTETPALWHEDSGPKHWENRRIHTRTRPDLFPGSGWLWRLTDLWSTAYKPXAAAPPPFQQTGPHNHAAQTNRHHPSGNDSGEPWSGQPQGGGRRRNRRNRYRSAGGGGSHQDSGSNIEGKLSGGMNQNQNPVRMWVPRAGQSGGGATTKPPPNKGEKFTKLPPPPPLPPQQPPQPPPAHECNKVISNPPPPSVGSKKVKKRGKKVYKAANTATAATTSTTTMSS >cds-PLY92568.1 pep primary_assembly:Lsat_Salinas_v7:7:163037820:163038580:-1 gene:gene-LSAT_7X95901 transcript:rna-gnl|WGS:NBSK|LSAT_7X95901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQFTSSTCVASIPHNLFSSWMGGSQKRYTTNPNDYKHLEELGYSYSATVYRAIYLPTNDVIIVKILDLDCINSNLDDIQIEAQTMSLIDHPNVIRAFCSLVVNQNLWVVMQFMAEGSCLHLLRTTYPDGFEESVISIILKKTLKALVYLLRHGQIHCDGWKHTS >cds-PLY83255.1 pep primary_assembly:Lsat_Salinas_v7:4:146628874:146629568:1 gene:gene-LSAT_4X90881 transcript:rna-gnl|WGS:NBSK|LSAT_4X90881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMITKAFRTFPTVRGGLISQLRWCRLFAGKTSDRAAVHGLKQPRTHTLDDRERIAEEIDKTKEELGKRRKKVEEKVDSQLTNSENVVSESPESLSSS >cds-PLY82676.1 pep primary_assembly:Lsat_Salinas_v7:4:62570122:62572428:1 gene:gene-LSAT_4X43681 transcript:rna-gnl|WGS:NBSK|LSAT_4X43681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRDQISHDETCDSGGVQLWSDLNHDVLLLVMMRLGVIDFLSLCGVCKSWRSFALSNWNRFMASKPPMSMSISANVNEREERYLYLEDFDGRNFKTILPRLFGVDFVGLSCGYLAFYGKETHEFWLVNPITRHQLHFPHVPFDVDGIVYGRVRLILMFSPSIPGWVLVVENQLCDEIWSSIAGKGAWNLVSSRFPFHLFDFHAFMGKVYAISYTIGKKKI >cds-PLY63273.1 pep primary_assembly:Lsat_Salinas_v7:3:90003622:90005450:-1 gene:gene-LSAT_3X69381 transcript:rna-gnl|WGS:NBSK|LSAT_3X69381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKLAKSLANYVPLTPVTFIKRASVVYSNRTSIIYGRTSFTWRQTYERCCRLSYSLRSLNLYRNDVVSVLAPNIPALYEMHFAVPMAGAVLNAINTRLDAKNIAAILRHSEAKVFFVDYEYVPQASVALRLLMVGSKGQHRMPLVVEIDDINKPKGVSLGKLEYEQLINDGNPEYSGEKLEDEWDAIALNYTSGTTSEPKGVVYSHRGAFLSTMSLIQGWEMGTEAVFLWSLPMFHCNGWTFTWGVAARGGTNVCIRNTTAEEIYKSISTHNVTHMCCAPVVFNILLEAKAHERRRIISNVNILTGGAPPAAALLEKMEDLGFHITHAYGLTEATGPALVCEWQSKWNQLPRDQQMRLKARQGVSILTLADVDVKNKKTMESVPHDGKTMGEIVLRGSSIMKGYLKDEKETAKAFQKGWFLTGDVGVIHPDGYIEIKDRSKDVIVSGGENISSVELEAILLKHPVILEAAVVAMPHPRWGESPCAFVVLRKTGSTTETEILAYCMKYMSKFMVPKKVEFVEVLPKTETGKVLKKELREVAKTLKIYNKPRKTIDLEMNV >cds-PLY84651.1 pep primary_assembly:Lsat_Salinas_v7:9:103227598:103232069:1 gene:gene-LSAT_9X74041 transcript:rna-gnl|WGS:NBSK|LSAT_9X74041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:Projected from Arabidopsis thaliana (AT4G24620) UniProtKB/TrEMBL;Acc:A0A178UUU3] MASISGLCSSSSNLIPKPNLPSLPRIQSPWMISSPLRIEDNLKISFSGISSKSSHSLLTHSVARDAPSSLSKIAATGDGVPGKSVAKKGLETDPKVLWERYTEWLYQHKDLGLYLDVSRVGFTDEFVKEMEPRFMKAFQAMEDLEKGAIANPDEGRMVGHYWLRNPKLSPNSFLRLQIENTLEAISTFAEDIIVGKIKPPSSPEGRFTHILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVVSKSGGTPETRNGLLEVQKAFRDAGLNFAKQGVAITQEGSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLAAALQGINIKEMLEGASLMDEANRTTVVKNNPAALLALCWYWASDGVGSKDMVVLPYKDSLLLFSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLRDGVHNFFATFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQVKNNPAALLALCWYWASDGVGSKDMVVLPYKDSLLLFSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLRDGVHNFFATFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANGRESVTVTVQEVNPRSVGALIALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLSVLNEASCKDPVEPLSIEEVADRCHSPEDIEMVYKIIAHMAANDRAIIAEGDCGSPRSVKVFLGECNVDGLYG >cds-PLY99258.1 pep primary_assembly:Lsat_Salinas_v7:6:185402042:185403963:1 gene:gene-LSAT_6X113620 transcript:rna-gnl|WGS:NBSK|LSAT_6X113620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENGLRNAPPANGKLITILSIDGGGIRGIIPATILAFLESQLQELDGKDARLADYFDVVAGTSTGGLVTAMLTAPDQKKRPLYAAKDIVPFYMEHGPKIFPQHRGVWGSIMKTMKMLIRPKYNGNYLQKLIKEKLGNTRLNETLTNVVLPTFDIKRLQPIMFSTYEADVNPCYNAKLSDICISTSAAPTYFPPYYFKNDNENGRNSEEFNLVDGGVAVNNPALVAISQVTKQVFSENQDFFPVKPMDYGRFLLISLGTGASKQANRYNAKMASKWGILGWLVHSGFTPIIDVFTQASGDMVDGHLSVFFQAVKSQENYIRIQDDTLDGDAALVDVVTKENMVKLEEIGEKLLKKPSSRINLKTGISEPIGTGETNAEALKSKLNSFLNYCNSHIHADLQKYFQRKRSCESRLYNLKPSKP >cds-PLY72828.1 pep primary_assembly:Lsat_Salinas_v7:6:21930934:21933758:-1 gene:gene-LSAT_6X16520 transcript:rna-gnl|WGS:NBSK|LSAT_6X16520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMALHSVVLNPEDTTTTTITKPMEELSTMVKPDHALYPITLKFEEVVYKVKLEGSREKTILNGVTGMVCPGEILAMLGPSGSGKTTLLTALGGRLTGKLSGKITYNSHPFSGPTKRKTGFVTQDDVLYPHLTVTETLLFTAMLRLPKTLTQAEKVHQVTRVITELGLTRCQNSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTAHRILTTVKRLASGGRTVVTTIHQPSSRIYHMFDKVILLSEGSQIYYGSASTALEYFSSIGFSTSVTVNPADLLLDLANGIAPDSMHDYEQGENTDDEKKLIRQQLITCYETRLKTDVCDLDVCNYNLGKEALPRNRVESEQWCTSWWHQFKVLVLRGLRERRFEAFNKLRIFQVISVAILAGLLWWHTPSSHIEDRIAMLFFFSVFWGFYPLYNAVFTFPQERRMLIKERSSGMYRLSSYFLARTISDLPLELALPTAFTFILYWMGGLKPDPSTFILSLLVVLYNVLVAQSLGLAIGAILMDVKQATTLASVTTLVFLIAGGYYIQQIPTFIVWLKYLSYSYYGYKLLLGVQFDENDVYECSKGVYCHVVDFPGVKSVGLKNLHIDLLAMGFMLIGYRLIAFLALHRVR >cds-PLY83036.1 pep primary_assembly:Lsat_Salinas_v7:5:51041738:51046884:-1 gene:gene-LSAT_5X24161 transcript:rna-gnl|WGS:NBSK|LSAT_5X24161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:G patch domain-containing protein TGH [Source:Projected from Arabidopsis thaliana (AT5G23080) UniProtKB/Swiss-Prot;Acc:Q8GXN9] MASDEEDFVFFGTPIEREEDITSRKKKSIAEASGQLRTLAPWKQEVRDEEGRRRFHGAFSGGFSAGYFNTVGSKEGWTPQTFTSSRKNRAEIKQQDLSNFLDDDEKAELEGNSLGTSMQFDTFGFTAAEVARKQVEKEQNERPSAIPGPAPDEIVVPATDPIGVRLMLKMGWRRGHSIKSSKTSSLYDARREARKAFLALSEDAKAPVAGPTQAEAEDDMASAAEFSTDGVSQLHKSTPVYVLNPKQDMHGLGYDPFKGAPEFRENKRLHLPGNKESGHKRQPPKKDGLFSFKTRNVAPGFGIGALEELDAEDEDVYASGYDFEAFVEEIEEPTKLAIEDKKKTTVKQHGILPGFKSATNSDYQLERFDPPVVPKDFVPRHKFPATSEVNHKMTELPPKDVPPPEDNNLKILIEGVATLVARCGPLFEELSREKNQSNPLFDFLNGGNGHDYYKRKLWEAKQKHGDKIKPLLKEKATPNTQKMTAESRGNILGEKPLVRSVAKDVPAPVSVTETVNLQFHLSDTFTEPSSFVEPTEITKPFQHDPAKQDRFEQYMKEKYHGGLRTKDAGGSSKMSESARARERLEFEAAAEAITQGKWGKESQPSGQQILDKMEVSRGEETIEKSMFPKREEFQWRPASILCKRFDLIDPYMGKPPPPPRSRSKLDSLIFMPDYVKAATEVEKTFSNNKLKLSVSQLDEKGETSVEDVVEVENVERPVDLYKAIFSDDSDDEEGSSNIIINQPQSEDPTKKIEAANTTLSRLVAGDFLESLGKELGLEVPPENHVNVKPPQPTQKSTPQKQEPINSDSHKDIQENSSKNNASELNHEKRDTKVERKGSSSEDDRKRKRSRRHRNRNRSSSDGNASESSDDYRDRDRHSSRRKERKKESSRDRSSSHGRHRKHRSRDSSSKSRRYEDKDYGDGKREKRKSRD >cds-PLY93149.1 pep primary_assembly:Lsat_Salinas_v7:9:3544975:3546920:1 gene:gene-LSAT_9X4641 transcript:rna-gnl|WGS:NBSK|LSAT_9X4641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFKALPYSLRLVLFVSRLVLKLHQNNTTTLKRTLSNSHASKFKSQTPVSLAQDSDLSLKTLIFDVEGTLLRSSSVFPYFMLVAFEAGSLIRAFVLFSLYPLLCLVKEDVSLKIMVMVCFFGIKKENFRVGSSVLPKFFLEDVGMEGSDMLRRGRKKVGVSKLPQVMVECFLKDYLEIDYVFGRDLVVCGGYYVGLMNENKNILKRRINDVLEKDEDATVCFSNKWIKHDWIMCSKEVYVVTNGEKIAWQSLPREQYPKALIFHDGRLAFRPEPLHTLVMFMWFPFALVLAISRVIIALSLPYGALIPILEFTGLQLRLTNHKSVQKSGSDHDHKQHKGLLYVCNHRTLLDPLYLSFGLKKPFAAVTYSLSRMSEILSPIKTVRLTRNRDQDAKMMDKMLKQGDLVVCPEGTTCREPYLLRFSPLFAELSDRIVPVALDTHVSMFYGTTAGGLKCLDPFYFMMNPSPIYRVQLLEQVRGVSSNSRCGDANSTRFDVANYVQTEIGKTLEFECTSLTRKDKYLALAGNEGLVSTSKKR >cds-PLY73629.1 pep primary_assembly:Lsat_Salinas_v7:5:205161846:205164481:1 gene:gene-LSAT_5X93320 transcript:rna-gnl|WGS:NBSK|LSAT_5X93320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSCRINFIEKLIQCKDVLRINWCECIVDCLGKSKNKWRPNNKNCYFTGRVAFLMMAYADRVICEDVNFHRHRPFIIEIDSEHLRVLEEYEEYAKFVKMDNATRKRIVQENLRVVVDEAIG >cds-PLY73856.1 pep primary_assembly:Lsat_Salinas_v7:4:205999064:206000798:-1 gene:gene-LSAT_4X116941 transcript:rna-gnl|WGS:NBSK|LSAT_4X116941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSLLSFFPLLLLFISAVTATVTVADELSSDLLIRQVVSEEETTADPLLNVDHHFTLFKSKFGKTYGTQEEHDFRKSIFKSNLRRAKRHQLLDPTAEHGVTKFSDLTPSEFRRTYLGLKKPLKFPADANKAPILPTSNLPENFDWREKGAVTPVKDQGSCGSCWSFSTTGALEGSHFLQTGELVSLSEQQLVDCDHECDPAERNACDAGCNGGLMNNAFEYILKAGGVQKESDYPYKGIDGTCHFDKSKIAASVSNFSVVSTDEDQIQANLVTYGPLAIGINAAWMQTYIGKVSCPYICSKNRMDHGVLLVGYGSSGYAPLRFKEKPYWIIKNSWGADWGEDGYYMLCSGYNACGMDTMVSAVVSTNT >cds-PLY66650.1 pep primary_assembly:Lsat_Salinas_v7:1:52101408:52102256:1 gene:gene-LSAT_1X45680 transcript:rna-gnl|WGS:NBSK|LSAT_1X45680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVVQNERLAKECDEFVKLLQKRNQKLLEEVPSPALTPELRKAMGDAAIATAASIGYIGVGTVEFLLDERGQFYFMQRNTRIQDKLIEEQIHVAVGEKLCMTQSILDIS >cds-PLY98238.1 pep primary_assembly:Lsat_Salinas_v7:7:167322810:167324414:1 gene:gene-LSAT_7X99560 transcript:rna-gnl|WGS:NBSK|LSAT_7X99560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDYHYNGLSHHDSDLDLSFTSSTSIATTSARSSLARSSLCLSFNDRMSSVSVGTPSTEIPNLHSRPHRKSDTNWSAIRAATNLSSDGTLHLRHLKLVRHVGSGNLGRVFLCRLRDYDHASFALKVVDNNSLTSKKLSHVQTEARILSSLDHPFLPTLYAHLEVSHYTCFLIDFCPNGDLHSLLRKQPNYRLPIDSVRFFAAEVLVALEYLHSLGIVYRDLKPENILIREDGHIMLSDFDLCFNADVVPKLEKRIHKITRKKHSDCFGLYSGRSYTEEETLTEFVAEPTTAFSKSCVGTHEYLAPELISGNGHGNGVDWWAFGVLVYELLYGRTPFRGGSKESTLRNIASTSDVRFDEDSARGMAQAKDLIQKLLIKEPQQRLGCTRGATDIKRHPFFDGIKWPLIRMYRPPEVRGLAVKRSSRAHVSHVNGWPSTHKKRRWLWKGLSCILLKNKGSKRNLSFNQNYYQYKNRK >cds-PLY92638.1 pep primary_assembly:Lsat_Salinas_v7:2:160096601:160099177:1 gene:gene-LSAT_2X83681 transcript:rna-gnl|WGS:NBSK|LSAT_2X83681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYNDSPRSFFFILTLTLTHSFLFLFLFLFFSVRFLLPSSPVVAAAAYFDQPCPFFFPSPGASVDDFSASSVQQIVDHCLYDTINRSRPVNNMSKSRVYADVNVVRPREYWDYEALTVQWGDQDDYEVVRKVGRGKYSEVFEGINVNSNEKCVIKILKPVKKKKIKREIKILQNLCGGPNVIKLLDIVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNQDQLVKIARVLGTDELNAYLNKYQLELEPQLEALVGRHSRKPWSKFMNADNQHLVSPEAHPYFMQVRAAENSRMRTQ >cds-PLY94905.1 pep primary_assembly:Lsat_Salinas_v7:4:109866632:109870005:1 gene:gene-LSAT_4X70960 transcript:rna-gnl|WGS:NBSK|LSAT_4X70960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKMKKVQKRDISSDDDDDNITYQLQNVDNEKYGIEDHRTNGDEDSDDEEEYHSEIEIMNLENEENVSGNEHSDSMGDDDDDYDDDVDMKTEDNNHSDREELEKEINDLRHQEQDLFRNIRRDPNEDLQKGKSVKNQGALWDKTLEFRLLLQNSFVNSNRLPQEPIRSSFCNSCEEVKEAYSDLIDSTKKTLDSILKLQEALVEKNPSIVEASKGDAILDASRKGDEEWFKISQMQSKIAPFRNMSVDKWQRKTQVTSGIKNKFQAFNQNISQQVAFYMRDPSRMIKGMQQRRSVVSLFGNVPNSTLEDEITNGDGDPELLDDSEFYQQLLREFFETINPESSEEAHVALKRLQAQQPAFYALKSLQTKKRKIVDRCASKSRKIRYHIHEKIVNFVAPENRQMPPMAPKLFENLFGLKTQKLASVY >cds-PLY81262.1 pep primary_assembly:Lsat_Salinas_v7:4:305597015:305597824:-1 gene:gene-LSAT_4X154280 transcript:rna-gnl|WGS:NBSK|LSAT_4X154280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTHLHLSPGLLAFTVLIVIYSPVIWCQETRPYDVCFESVECGELRLEYPFWGLDRPAYCGHPGFQLICQSNVPLLNYESVNYRVLDTDTSTQMITIARNDLWETSCPRFLYDTSYNSTLFNRDNFPQLNVSLFYGCNSSSAVIPLSANYRFSCNVNETQSDSYFIRNDQLIPSVANFLDQCQNRIDVPVNQSSTARLAAGAATTDDLRSGLTAGFQLQWTANNNECDRCIRSDGQCGSNSTSPDLFACYCANGNFSLTCNNSDGGGGK >cds-PLY65800.1 pep primary_assembly:Lsat_Salinas_v7:5:269293260:269293664:1 gene:gene-LSAT_5X140120 transcript:rna-gnl|WGS:NBSK|LSAT_5X140120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSESLLAVKANEDDVHSQSQVESVNCECCGFMEECTLPYISQIRRHYNGRWICGLCVEAVKYEILKSENLITTGEALDRHIDFYENFRSSSRLPFSDAVADHPILAMGKIMRKRLDSPSLRRHRSAPISPD >cds-PLY61849.1 pep primary_assembly:Lsat_Salinas_v7:6:58664409:58667273:-1 gene:gene-LSAT_6X45060 transcript:rna-gnl|WGS:NBSK|LSAT_6X45060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSCVFIISSLLLLLLVTTTGSQLVAAGGNDMKKKCLDKERDALLLFKAPFQDPYDYLSTWTAEDDDCCKWRGVVCSNQTGHVTGLDLNEYWLQGEISHSLLNLTYLNHLGLYGNAFYGTIPTFIGSLTRLRYLNLGLNAFNGIIPTFIGSLTRLRYLNLSVNHFNGTIPRSIGSLTELSYLDLSVNSFYGPIPPEFGNLTNLQHLHLNSVGRCRVEKVEWLSHLSHLQSLRMGGISLAKQNHWVDVILSLRKLSYLSLEGCELSQVMYPYSSSFLNSSSSSLSIHTLSLQDNNLTSSMYRWLFPLTSNKLRVLLLSGNMLDGIPKYLGNLCSLETLSISNNSAAVKFPDFLHNLSGCTSLSLQELSAQGSQFTGSFSIEIQKFSSLRYLDLSHNHLNGSISEKLWELPSLRTLDLSFNNLTVPSTYHLSNISYVKYLDLSSCKLLGPRFPKWIIQTLKNLTFLDLSNTGISDTIPLEFWDSWPSQLEYLNLSSNNISGKVPDLSSKFDQYSAIDLSSNSFHGPILNVPSTLSILNLSRNKFSGGISFICQAVGGLLSFLDLSHNSLTGQLPDCLWHFNQLQVLNLGHNNLFGSLPPSIGSLIQLKVLYLFKNNFSGELPLSLKNCMGLISLNLGANKFSSNVPVWIGENLLWLYVLILRSNNFFGSIPLQLCQLPNLQVLDLSMNNLHGSIPSCLSNLTSMVHQGGFSQDVQFPTFVNNSLYEWGTYVDHAMIEWQGDEREFSRTLKLLKSIDLSSNNLTGQIPNEITNLSDLIALNFSMNTLSGEIPQHIGEMKKLLTLDLSRNNLSGKIPSGMSQMSLLNYLDLSYNHFSGRIPSSTQLQSFPPSRYHGNRGLCGPPLTKKCPGDEESEATSVIGKSEGDGEDTDDEVELWGWFYIGGGMGFATGFWMACGTLLLNRRGRRAFFQFYDSFKDWVYVKVVVFISSFQKARHT >cds-PLY82326.1 pep primary_assembly:Lsat_Salinas_v7:1:66761871:66765551:1 gene:gene-LSAT_1X58321 transcript:rna-gnl|WGS:NBSK|LSAT_1X58321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP97B3 [Source:Projected from Arabidopsis thaliana (AT4G15110) UniProtKB/TrEMBL;Acc:A0A178V2Z2] MPVAEGAVSDLFGKPLFFSLFDWFIEHGSVYKLAFGPKAFVVVSDPIVARYILRENAFSYDKGVLADILEPIMGKGLIPADLETWKQRRRVIAPGFHTLYLEAMVQMFANCSERTISKLENLLEAKNSQGEHEIELDLEAEFSNLALDIIGLGVFNYDFGSVTKESPVIKAVYGTLFEAEHRSTFYIPYWKFPLARWLVPRQRKFANDLKIINDCLDGLIKNAKDTRQETDVEKLQQRDYKNLKDASLLRFLVDMRGVDVDDRQLRDDLMTMLIAGHETTAAVLTWAVFLLAQHPDKMKKAQAEIDTVISEDGITLESLKKLEYVRLIISESLRLYPQPPLLIRRSLKSDILPGGCKGDKQGYQIPAGTDIFISIYNLHRSPYFWENPNEFEPERFLVQKDNNNIEGWAGFDPSRSPGALYPNEIVSDFAFLPFGGGPRRCVGDQFALMESTIALAMLLWKFDVELKGSPESVELVTGATIHTKNGLFCKLKNRSRRED >cds-PLY80600.1 pep primary_assembly:Lsat_Salinas_v7:6:12254842:12257608:1 gene:gene-LSAT_6X8180 transcript:rna-gnl|WGS:NBSK|LSAT_6X8180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKLGFTITNSRLFTVPVPRPSVSPSLPTCSTLSSSRVQSLQLIGRDVLSRYRPIILSPRATTDQPGEVKEDEVVDSNIMPYCSIEKQQKKSLGEMEQDFLQALQAFYYEGKATMSNEEFDNLKEELMWEGSSVVMLSSDEQKFLEAAMAYVSGNPIMSDVEYDKLKMQLKAYGSEIVVEGPRCSLRTRKVYSDLSVDYLKMFLINVPAAVVAVGLFFFLDDITGFEITYLLEASLPEPFSFIFTWFAALPFILWLSFSFTSLIVKDFLILKGICPNCGTENNSFFGTILSISSGGTTNSVKCTNCQTPLVFDQNTRLITLPEGSEA >cds-PLY67405.1 pep primary_assembly:Lsat_Salinas_v7:4:213189093:213191248:1 gene:gene-LSAT_4X118420 transcript:rna-gnl|WGS:NBSK|LSAT_4X118420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCSFGILRFFRRVSAVFGAVSVFCFRFLLTPSSDGDGGFNQEALMEMSGTDKEIRMQTSSFPYNNHQLIHESSSEIMDHGSDPDRFDGSGASATPISVGSRLLKSPPPRYRECLKNYAANIGGSITDGCGEFMPSGDDGTLEALKCAACNCHRNFHRKETTTAAAITAGPFLQLPPPLPSHSPLFNSHQRTSTSTVSLHHNPNWVSSINAPPVRIAVGGSGAATESSSEELYFTAVPPYGVAKKRFRSKFTQDQKEKMLEFAEKVGWRIPREDDPEVQRFCAEVGVKRQVLKVWMHNNKAISGKKQVPDSNENID >cds-PLY67332.1 pep primary_assembly:Lsat_Salinas_v7:4:18659634:18660053:-1 gene:gene-LSAT_4X13140 transcript:rna-gnl|WGS:NBSK|LSAT_4X13140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRQLYLLPEEPCNDCLVPFCCEPCAMCQEYRELKYRGFDMSLGWHGNTDRQNGGVVMPEFAFMEMKH >cds-PLY73240.1 pep primary_assembly:Lsat_Salinas_v7:8:45093473:45119637:-1 gene:gene-LSAT_8X34381 transcript:rna-gnl|WGS:NBSK|LSAT_8X34381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDPSGCKKRKRVERVFKFKAFGEQGYPIESCGTFRDNVKALLEYGNVEMAFVNGMTFWSFQLEVHRHPPSHVLLFVVEESVEASLNRHCKHCIYVGWGDHMICNKKYHFLVPSKDTMTAFLSYEGNHSNIVMGKLNFVELNGHTMHGVLHSNGFGHLLCINGLEMGSDLAGHLVMEFWNRLCSCLQARKVSLIDLAKHKSMDMRLLHGVAYGQSWFGQWGYHFGRGSFGVTQQMYQKALDALQNIPLCIIAQNIGTSNMEIPLMLSRYQTLSSRSLVTLSDLLRFMLDLKSRLPKESTLHTYNPGILVENSCRWSPKRIEMAARVIVESLKKAKFRWVSRQEVRDAARAYVGDTGLLDFVLKSLGNHVVGKYLVRRCLNPVTKVLEYCLEDISNALPNQEEFITNDSKLKTRCKISRVQLMSDMFCIYRHILKGQTKDMDTTITSTISLASRIILDSKYFVKDYSKETPLKLEPEVGEKSKLYCTITIQDIEEINSHEECRKKLVTTPYETIMLRNNATFNDLVDEVEKNFKEIYWGLKSFMFGSIMNLNPKGSDQVFRVTRSGSRLVFQGKLVETGMNHESIYESGSRQSFIVDCACGAKDDDGERMVACDICEVWQHTRCLKIPNNEEIPNIYVCNRCEQDILVLPALP >cds-PLY86543.1 pep primary_assembly:Lsat_Salinas_v7:2:208594721:208595447:1 gene:gene-LSAT_2X128861 transcript:rna-gnl|WGS:NBSK|LSAT_2X128861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKCLMLLVLITTLLLAPISSATVAPKNTYSTFVKTSCSSATYPAVCMKTLLPYASAVKTNPFKLVNVALSTTLKSANATLSTVSQLSKNKQITKWEAAVLKDCIGDIKDSMSEIKDTLKAISGLPKSADKRFVISNAQTWASAAITDENSCLDGFSDRKVSPAIKKKIKNSIVSLTRVSSNALYLINHLTV >cds-PLY66290.1 pep primary_assembly:Lsat_Salinas_v7:8:217946091:217947546:-1 gene:gene-LSAT_8X134981 transcript:rna-gnl|WGS:NBSK|LSAT_8X134981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMLYKEVDGMTIWQLSLLSFLGRATLFETYDVGSWIQDSAGLVLTVGSEVFEESGRSLVRGTLDYLQGLKMLGVKRIERVLPTGTPLTVVGEIYMQDSYNSSLTLAKAMLLQDPGPGGSDSISISSMAAVAGTSEAQGLGMEEFQGMIELLLQYGANVNVPDSRGQTPIQF >cds-PLY93053.1 pep primary_assembly:Lsat_Salinas_v7:9:26132205:26134744:-1 gene:gene-LSAT_9X23221 transcript:rna-gnl|WGS:NBSK|LSAT_9X23221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARQQVEEGGGWPLGLQLQPLNLRSTTHADAVSFTTLLSGSPSSSSDSSSDLDTQSTGSFFHDRSITLGNIMGVSSIVEFSRRSLSRGRMISRTPSLGTKTKSNPKLKSWCFGFCLCQRERFDVIDVSTNNVVPLGHFLEVERRADQVHRQGQRSPLIYGADELTLAQPFGETSNSLFVDGRIVPPTKSSPWTSINTDESREGRGFRTPCF >cds-PLY68402.1 pep primary_assembly:Lsat_Salinas_v7:8:23315674:23317946:-1 gene:gene-LSAT_8X18080 transcript:rna-gnl|WGS:NBSK|LSAT_8X18080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRNNRLIGNYTKTATAIMLFIPSFSSSQTLTLRSLRTLIALASNPITLLTPPQLPHQPLGVQPPRSSLTLSLCRFSHSSTSSPIPTTARDLFGSSSNYIGAKCISSFSSSASSSSSSPSFSVADTLEWDEPAVCAEVEDSGDDFVTDEDVKPPISVRAYFFSTSVDLRSLVEQNRPNFIQPTSRMTNYIVLRFGSNKPEHIGLGSSLSGSESSYMVVFQYGSIVLFNVRDHEVDEYLNIVKSHASGLLQEIRKDEYEVKEKPSLDTWMQGGLDYIMLQYLNIDGIRTIGSVLGQSIALDYYVRQVDGMVAEFTDINRGMEKTGTFTMKRKKLFQLVGKANSNLADVILKLGLFERSDIAWKDAKYAQIWEYLRDEFELTQRFASLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILIGAEILISVYDIAHKSVTSL >cds-PLY72221.1 pep primary_assembly:Lsat_Salinas_v7:7:59450307:59451386:-1 gene:gene-LSAT_7X42540 transcript:rna-gnl|WGS:NBSK|LSAT_7X42540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMRATQWISVYNAGRPMKQRYHYNVVDVRLHGHIQKGNEDGLFINSVASCHALCALIMDAGTGFTHQVCELCFMFVNKDYIIEKCENNYYISAITGANNGSSLVQLTQVMVIQPVFPSRKLLR >cds-PLY94706.1 pep primary_assembly:Lsat_Salinas_v7:2:85789518:85797774:1 gene:gene-LSAT_2X38600 transcript:rna-gnl|WGS:NBSK|LSAT_2X38600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering time control protein FPA [Source:Projected from Arabidopsis thaliana (AT2G43410) UniProtKB/Swiss-Prot;Acc:Q8LPQ9] MGPPVRPNKQSFGTANAIGKQNLFESSSDAMFNNLWVGNLAADVTDSDLRNLFEKHGAVDSVTCYPSRSYAFVNMKRPADAKRAKESLQGFVLRGSALKIDFAKPAKPCKSLWVSGISSSTTKEDLEEEFSKFGKFEDFKFLRDKNTAYIDYSRLDDASKALKMMHGKKRGGSVIRVDYLRSQPKRDQGPDFRDAKEGQHFRSMGPHDSPWLPPDSVKNYPDVSYYGPKRQQHNNILGMEGRKGDGEQPSNVLVISYPPIVHIDEQMLHNAMILFGEIDDITSFPSRHHYLVEFRSVEEAQLAKDGLQGRLFNDPRISIMFATNDQTPNKDIPGFHPHGIFNEPPPPQLDAYGHPVLIMPNRPYVGGGPFVPPDTFDPPEFPPMSMNMNPMGVGVGVPNWRRSSPTPNPSPGPWDVFDGTHLHREPKRLRTEGNIPLRDMNDHDPYAAAGGGGRHPPGCDYIWRGVIAKGGTPVCHARCVPIRDWIGYEIPEVVNCSARTGLDMLAKHYTDAIGFDIVFFLPDSEEDFASYTEFVRYLGDRNRAGVAKFDDGTTLFLVPPSDFLSNVLNVSGPERLYGVILKFPQPPPPPPPQYIDKQQIPPQTLQNDYNLIMPGGGEKLLQIDYSGVPLSHEDLKSPAPQPPPPQPQPQPVSSTLSLTPELIATLASLAKGKFNGAQQQPSTATSASASTVGPERPWEYEPEPSGHLMQQAQPQVPPGYGGQDVNFTMPQGQSGHFAVPSQQQYVPNFPQAGGYGFEQKPEALSQVYGGGNIYQPQSMGGEQKTDASLGSQIYGGGGNVYQPQNMGGGENSGGGGVQLPEQMQQLQSALYAASQQQPVADFDADKNERYQSTLQFATNLLLKIHQQQPGQGSGAGGSLH >cds-PLY63752.1 pep primary_assembly:Lsat_Salinas_v7:6:26567795:26571496:1 gene:gene-LSAT_6X20120 transcript:rna-gnl|WGS:NBSK|LSAT_6X20120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFHPNHLQQQMALQQHFSDTDNNSVLRTILPDHHHLAVAAQSSSPSSRSAGKDHWLNSAILRQQGQYAGGATDGSNNFLNLQTNNNSDSPVSTSSQHLHHHHHHQQGGNNNQWLSRSMLQRNVSDVGGDDIVTQVSNDSIIAAMSSHDSPDLNNNQSRTIVNSQVDNNGGGELGESEDGGGDVNWQNARYKAEVLSHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQHVVSKYSGFEGQSNLGDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDEDQVDESDNANLFDGSFDGHDSMGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKSWWQSHAKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTVLKTKRKSFKKDLVEGLKRKMKMV >cds-PLY93790.1 pep primary_assembly:Lsat_Salinas_v7:6:148183185:148186152:1 gene:gene-LSAT_6X88880 transcript:rna-gnl|WGS:NBSK|LSAT_6X88880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQMDRRQCLDEYEKLLVRMNTPRVLTDNASCLNATRVMIDSARKDEILLEAVQVLTDLNLSIKKGYVSSDGRWNMDVFHVTDLDGNKLTDDNIINCIEQSLETIHNTRSKPIDGMTVLELTGTDRVGLLSEVFAVLSDLKCDVVESKVWTHNGRIASLIYLKDTDSGYQIEDSDKIDTIKGRLRNVLKGDNDIRSAKTSVSMAITHTERRLHQMMFADRDYARKSDLKMENSPLVSVQSCLDKGYSVLNIQSKDRPKLLFDVVCTLTDMKYVVFHATINTTEKGAHLEFFIKHMDGTPISSEAEKERVILCLSAAIERRASEGVRLELRKADKPGLLAEVMRIFRENALNVIRAEISTTMGTALNMFYVTDAIGNRVDSEVIDSVRQRIGMVNLRVKEPSHQKIEIQEQNTSLGGAVLVSLGSLLRRNLYNFGLIKSYS >cds-PLY97382.1 pep primary_assembly:Lsat_Salinas_v7:4:14296875:14307959:1 gene:gene-LSAT_4X10141 transcript:rna-gnl|WGS:NBSK|LSAT_4X10141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPREVRDCGLLRFSSSPHEVEKVSCLVVIKEEEEFKNLDNRVHSGIPKSFPSLLGLIQEGMLEDRSFFVSRTFNTKSNTTWGFMSNFTINNIESHQEKRPLEQLEDEEPVHARKISKYENLELGLGFHDLAIDQSNNHPNPDSHSDSDFDSSSLIHAIGRDNSISCLLRCSRSDYGALASLNRTFRDLIRTGELYRLRRKNNIIEHWVYFSCHLVQWEAFDPINQHWMHLPTMSSNTCFQFSDKESLAVGTELLVLGKEVLDHVIYKYSLLTNSWSFGQLMNAPRCLFGSASLGQIAIVAGGSDPVGKVVNSAELYNSESGEWVTLPNMLKPRKMCSGVFMDNKFYVIGGVGGVDMRPLTCGEEFDLVTRVWTEIPNMSPVRAATKEWETVGRLPERADSMNGWGIAFRGCGDRVVVIGGPRSSGTGFIEVNSWVPRDGPPRWTMLGRKQSSNFVYNCAVMGC >cds-PLY64747.1 pep primary_assembly:Lsat_Salinas_v7:6:127351330:127351737:1 gene:gene-LSAT_6X77181 transcript:rna-gnl|WGS:NBSK|LSAT_6X77181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVVGIGWLTSRWTLGVSFVQEDYWAQIVGNVGLWWREVCLLQMEVLGNPLFGFSLFGSATVSGKPLVFLPPSSLSVVFGKPLVFLPTSGLLVVSGKPSVEILPYGLAAVVSGKPPVVRLSSGLAVVAGRNSVWR >cds-PLY67477.1 pep primary_assembly:Lsat_Salinas_v7:6:68644661:68648206:1 gene:gene-LSAT_6X50040 transcript:rna-gnl|WGS:NBSK|LSAT_6X50040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:IRK-interacting protein [Source:Projected from Arabidopsis thaliana (AT5G12900) UniProtKB/Swiss-Prot;Acc:Q9LXU9] MATTSTSEQSNAYQREERRRTPTNTTTTGNRDVSKQEIQAAIAKAVELRALHAALLQSNSPAHLRLPSASPVSRHVSQLSAQDYPVFTPSYDDVPRNQSFPSCWDECSVNGGGNDDLRFLSDYKNPNTSSRTLLPPELLNIVPHTCPSDDQNSVTASTANHVNHLRASPGTEFSKSRRNSLGDFRSISSCNKCKPATLSTNKYSNLVVPMTDSHSLFQSHSKPKSGISLSWLFPRLNKKKHKNVARSPNRMESDEASVKDSGVVMSIEALKKELIEANERREAAVIEVSEMKSSICDMKEKLEYLESYCEELKKALSQAVLQTKRNGNIGIGIGISDSMPVSNEVMVEGFMQIVSEARLSVKHFCKTLVSHIDEGDTVLLDNLNSILQPYKQSINSKYSKSVLYHLEAIINQSLYQDFENCVFQKNGIPKLLDPQQERELQFSSFCALRNLSWNEVLRKGTKYYSEEFSRFCDLKMSGVITALNWTRPWPELLLQAFFVAAKCIWLLHLLAFSFNPVLGILRVEENRSFDGVFMEDVFVDRQRGQNQTPSKVKVMVMPGFYVQDRVLRCKVICRYKTSG >cds-PLY82374.1 pep primary_assembly:Lsat_Salinas_v7:3:214755699:214760834:-1 gene:gene-LSAT_3X123981 transcript:rna-gnl|WGS:NBSK|LSAT_3X123981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINILQIWNNRMGTIGETKYGSFTYESLEREPYWPSEKLRVSITGAGGFIASHIARRLKTEGHYIIASDWKKNEHMPEDMFCHEFHLVDLRVMDNCLKVTKNVDHVFNMAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARITGVKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCRHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKALTATDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYFWIKEQIEKERGEGFDLSVYGSSKVVGTQAPVQLGSLRAADGKE >cds-PLY65155.1 pep primary_assembly:Lsat_Salinas_v7:5:249443974:249444792:-1 gene:gene-LSAT_5X124321 transcript:rna-gnl|WGS:NBSK|LSAT_5X124321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSQKWGCVILLGLAIVFHLSAFALGDGNDETTRWGDDCRFSRRRDCDGRGGFGRGGRGIGGGGGFGGGGGRGGGLGGGRGGGLGGGAGGGVGGGGGLGGGGGGGVGGGSGHGGGFGAGGGVGGGAGGVGAGGGGGSGGGGGGGVGGGSGQGSGFGAGGGVGGGAGGVGGGGGGGGGSGGGGGVGGGSGQGXE >cds-PLY96338.1 pep primary_assembly:Lsat_Salinas_v7:5:194451300:194451581:-1 gene:gene-LSAT_5X87301 transcript:rna-gnl|WGS:NBSK|LSAT_5X87301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEKGVVRVIDKVIESAEFASGIHGVHEACEALGFEKGKKLGGWSTIVSESGVQDHGCVARRAKEVDVALSSLAEKDFVGLFHLGKLDYDGFR >cds-PLY89334.1 pep primary_assembly:Lsat_Salinas_v7:5:113480176:113480563:1 gene:gene-LSAT_5X50501 transcript:rna-gnl|WGS:NBSK|LSAT_5X50501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRNSMRNINIQCVFLNNLIFQLFNHFILPRSCDTFTKKNPFVANNLLKKTNKLNTKAEKEIIITWSWASTIIPIMVGHTIAIHNGKEHLPIYITDRMVGHKLGEFAPTLNFRGHAKSDNRSRR >cds-PLY83034.1 pep primary_assembly:Lsat_Salinas_v7:5:50455021:50456975:1 gene:gene-LSAT_5X24900 transcript:rna-gnl|WGS:NBSK|LSAT_5X24900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYDSNDNPHLLIYPFNASGHIIPLLDFTHHLLRHGLTITIIISSSNLPLLHPLISSYPSSLHKLLFSDPDPDHHPSPHPLIAKVIATQQMFDPIVRWFQSHPSPPVAIISDFFLGWTNELAAHLGIRRVVFSPAGALGSSIFHMLWRDLPEINALNGDRDESFLLSLPEIPNSPEFPWRQLSPLWRSYKEGEPNFESFRKGVIGNMTAWGIVYNTFEDLEGVYIDHMKKQIGHDRVWAVGPLLPEEDGPVGRGGSSAVPRDRLLMWLDKKPDDSVVYICFGSIERLSEKEMSVLTSALELSNVDFILCVKTSDLKFIPSGFEDRMSGRGLIVKGWAPQLVILRHRAVGSFVTHCGWNSTLEGVAAGVMMLTWPLGADQFANEKLLVDQLGVGKRVCEGRPENVPDSVELTQLLDESLSCDRPERVKIKELSQTGIKAVKEGTSMRDLDMFINQLCEH >cds-PLY83308.1 pep primary_assembly:Lsat_Salinas_v7:1:63285016:63286067:-1 gene:gene-LSAT_1X54160 transcript:rna-gnl|WGS:NBSK|LSAT_1X54160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIHTKPISSPGTTDDFPPPLMMFPRSKSKRGSRSRANPMFSRKINTTNDPTQEPSSPKVTCIGQVRVSRSNNKQPTTTTAFRSCRWLQKVKSGWFQRLWRTNLSFFRCDCCKKSESLPESKRIEVNQAIEYGKREIEDVIGPDNVILIPESPPRNAFLLTRSSSAPYRSSSLAYKFWESTIEKTRMDTEDDETGEKVNEGEGINGNSEDESFFNGDEEFKAEPLNLSRCKSEPARIGDKFFTSFSS >cds-PLY76995.1 pep primary_assembly:Lsat_Salinas_v7:6:67943147:67944510:-1 gene:gene-LSAT_6X48301 transcript:rna-gnl|WGS:NBSK|LSAT_6X48301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTFVWVIGAALLLSVGVTVNACPPSDRAALLAFKAGLHEPYLGIFKSWTGNDCCNKWYGVTCDPTTKRVADINLRGESEDLVFQKAHRTGYMTGTISPAICKLARLSSVIIADWKGISGTIPPCISSLSFLRILDLIGNQISGEIPSDIGKLRHLTVLNVADNKLTGRIPRSLADLSSLKHLDLRNNLISGTIPRNIGKLRMLSRALLSGNRIYGPIPETISYIYRLSDLDLSLNRFSGQIPDSLGKMAVLGTLNLDGNMLSGKLPATLLNSRISILNLSRNAIEGSIPDVFGPRSYFMILDLSYNKLKGSIPKSISSASFIGHLDVSHNHLCGQIPAATWFEHLEASSFSYNDCLCGKPLKACL >cds-PLY71701.1 pep primary_assembly:Lsat_Salinas_v7:3:46613606:46616405:1 gene:gene-LSAT_3X34541 transcript:rna-gnl|WGS:NBSK|LSAT_3X34541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G77470) UniProtKB/Swiss-Prot;Acc:Q9CAQ8] MAEVISPMDIDEANPSQGPNGNKGKAVVASGGAPPAHSKATPWVEKFRPQSLADVAAHRDIIDTIDRLTSENKMPHLLLYGPPGTGKTSTILAVARKLFGAQMHNMVLELNASDERGIDVVRQQIQDFASTQSFSFGAKASVKLVLLDEADAMTKDAQFALRRVIERYTKNTRFALICNNVNKIIPALQSRCTRFRFAPLESVHVTERLKHVITSEGLDVTGDGLAALVRLSNGDMRKALNILQSTHMASQQITEEAVYLCTGNPLPKDIEQISYWLLNESFSFSLQKISDIKATKGLALVDIVREVTMFVFKIKMPSDVRVQLINDLADIEYRLTFGCNDKLQLGSVISTFTRARSALVAAAK >cds-PLY82785.1 pep primary_assembly:Lsat_Salinas_v7:2:146923715:146926705:-1 gene:gene-LSAT_2X73081 transcript:rna-gnl|WGS:NBSK|LSAT_2X73081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDLFSAASMPILKVLIVTALGSFLALDSIDILGQTTRKQVNNIVFFVFNPALVSNNLARTITLESIISMWFMPVNILITFIIGSALGWLLLIITKPPKHLKGLILGACAAGNMGNLPLIIIPAVCKEQGSPFGDPDVCHEYAMAYASLSLAIGAIYLWTYVYNLVRIFSGHSQDTSNTVVKETVIIQEDLTETLLPSSSLTVNKKGTMKVLERMKQHLGYISSRVNLKVLFAPSTNGAIVGFIVGTIAPIRRLLIGTTAPLRVIQDSASLIGDAAIPTTTLILGANLLRGLKGSSRVSLSIVFGIVAVRLVVLPLFGILIVKGAVYVGLVHADPLYLFVLLLQFALPPAMNIGTITQLFGAGESECSVIMLWSYGLASVSLTLWSMFFMWLVA >cds-PLY83313.1 pep primary_assembly:Lsat_Salinas_v7:1:63885342:63889175:-1 gene:gene-LSAT_1X53381 transcript:rna-gnl|WGS:NBSK|LSAT_1X53381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSGNFVVEFEDHFPSMVEKLGAEGFMNELYKGFELLEDRDTKGFITFDSLKRNASSLGLQKMNDNELRYMVREGDVDGDGKLSEMEFYVLMFRLSPGLMEGSLRCFPDSIT >cds-PLY95378.1 pep primary_assembly:Lsat_Salinas_v7:9:190139458:190141647:1 gene:gene-LSAT_9X117300 transcript:rna-gnl|WGS:NBSK|LSAT_9X117300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHNYIVIHALENAEIVIGFTEDERNALLALKDGLRSTFLDDQWQSFDCFDHWYGVNCSDNRVVGVTLENLDIYGEIKLDAFFNLTSLSVLSFKNNSLSGELMDFSRNIDLTYLDLSNNHFNGVISPSLVDLVLLQSLNLQDNRLSGAIPWFDQSSLKELNLSNNNLSGPIPDTKKLQSFNSSSYDHNLFLCGPPSSTPCSFTSPATNNSGKPEKSGIVVILIIVNILGLLVLLFLLLLFYKKNKQLNQKIIEKKEKDEEKAVEEVEVETSSKMMDRHENGGKEDEGKLEFVDGVAEFELGDLMKASAESLGKGNFGNTYRARLEDGRNVIVKRLRDLKPLSNDEFMKMMKEIAAQKHPNLTPILAYYFSKNEKLLVQKFITNGNLFNHIHGGRGTTDRIPFRWSARLAVARGVARALEYLHMSPNSQSQTLVPHGNLKSSNVLIDENNMVLVSDYGFTSIISNTIAVQRMFAFKSPEFLTSKRVSKKSDVWSYGSLVMELLTGRLSVQSAPQDENAVDLCNWVHRAVREEWTAEIFDLEIMGQRSATHGMLKLLQLAVRCCDKSPEKRPEMSEVVREVESIKIPNADSESEEDMSGDGDRSLTDDSMSATPSR >cds-PLY89347.1 pep primary_assembly:Lsat_Salinas_v7:5:113483443:113483811:1 gene:gene-LSAT_5X50441 transcript:rna-gnl|WGS:NBSK|LSAT_5X50441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQPQTHLNVADNSGARELMCIRIIGASNRRYAHIGDVIVAVIKDAVPNMPLERSEVVRAVIVRTCKELKRDNGMIIRYDDNAAVVIDQEGNPKGTRVFGAIARELRQFNFTKIVSLAPEVL >cds-PLY87210.1 pep primary_assembly:Lsat_Salinas_v7:4:309245714:309248223:-1 gene:gene-LSAT_4X154720 transcript:rna-gnl|WGS:NBSK|LSAT_4X154720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPILSLALPSETGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPIMSVQFSNHTGKFRVLLFTSLNTPTFRVIFVNFNLSMTKQQQIFTGYPTFKGQVLNGKQLWELIEGLEANNLLHYTHLLTGYIGSVSFLENVLEVVKKLRSINPNLTYVCDPVMGDEGKLYVPQELVSVYREKVVPIASMLTPNQFEAEQLTGFKIASEKDGREACRHLHAAGPSKVVITSISIDGNLLLIGSHQKQKDQSPLQFKIVIPKIPAYFTKYPENLDKAAELAVSSLQAVLSRTLEDYEKAGYDPQSSSLEIRLIQSQDDIRNPQIKYISQLYD >cds-PLY64027.1 pep primary_assembly:Lsat_Salinas_v7:5:59416753:59422955:-1 gene:gene-LSAT_5X28981 transcript:rna-gnl|WGS:NBSK|LSAT_5X28981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTRNDGESSEAVGIPTGLNRIKTRITSSSNDNNQLSSSNFHSKSIASRFKQNHQRSFSKGFVKLHSSKEGFRKGKKIARWLTSHLSKDSDRALRDKPPETEKRQHEVKAFDKEAVSSKQQKVRRSTFGKQTEAGNIDKMPEVMKSFSHELGPKGGIRPLYTRSHSFSDLKELLGSLRSNFNAAKDLVNTELSCFKGEVIEVSQKSETLSLEDQRSIQHLLILSKECIEMSCQDFRTKCEAIVQDLTKKRQDCQTGPLKWLFTRMLFILTRCTRLLHFEKHSEHIDEVSLLKMKECLEKIPSYEMSRILKLGIVDSHSGDGLNNKLLDNEKKLHTQKEDSTERWPQSEDSGDLVICRICEEYVPASHLESHSYICAYAEKCDIKGSDVNESLLGLSEILDQIIISCTPVDDSPQNSQFQNLDGCSPKMSEWRNKGVEGMFEDLHEMDTACIEDSTPGNFVNLKGFPGVKSLNGPPSSTGSMTSASSTPKAANFDFFWLELNHPSELEDVQQMTDLRDIAHSAAETDLMEESSHEVLLTCMEDLQDVLQHSKLKALVIDTFGHRIEKLIREKYIIACDLENSNRRMSDVVDTASPSSSVSTPSHSHKERTSIEDFEIIKPISRGAYGKVFLARKRTTGDLFAIKILKKMDMLRKNDIERIVAERNILITVRNPFVVRFFYSFTSRDNLYLVMEYLNGGDLFSLLRNVGCLEEDIARIYIAELVLALEYLHSLGIVHRDLKPDNILIAHDGHIKLTDFGLSKIGLINSTDDLTRPESKGVIVSDGQSVDHEWSSIDRRGQSAAVGTPDYLAPEILLGTEHGYAADWWSVGVILFELLTGTPPFNSDHPERIFNNILNAKIPWPSVPDQMSYEAQDLINRFLIHDPNQRLGAHGSSEVKAHPFFNGVNWDTLAMQKVAFIPQPDGIDDTSYFISRHSCSSTGTPDDQDCSDAASDTTEFCSDTREKMDVCGDLAEFEAPPDDDLSWINFSFKNLSQLASINHEVLQQNSKDVSRCSSPQNAPTS >cds-PLY81577.1 pep primary_assembly:Lsat_Salinas_v7:1:133510587:133511466:1 gene:gene-LSAT_1X100400 transcript:rna-gnl|WGS:NBSK|LSAT_1X100400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g31560/F3L17_130 [Source:Projected from Arabidopsis thaliana (AT4G31560) UniProtKB/TrEMBL;Acc:Q9SV14] MASFTVTPNSISSSVLRLQSPSSSQISPLFPSYQHQLCGGGSCRRNRGSLVVTRGGPPGTSTYIFAFVFPLSLLAVTIFTAINISDKLDREFIEDLAVNESILEAQDEDEEVVIETDEELPRPRTRNRPKREAEVSGR >cds-PLY91706.1 pep primary_assembly:Lsat_Salinas_v7:7:26578544:26580222:1 gene:gene-LSAT_7X19141 transcript:rna-gnl|WGS:NBSK|LSAT_7X19141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEIKHNYIEVDGLKLHVAEIGSESSPAVIFLHGFPEIWYTWRHQMIAVANAGFRAIAPDYRGYGLSDIPAEPEKTLLVDLVKDTASILNSLAISKVFVIGKDFGAMVAYPFALLYPEKVAGVITLGVPFMRPGGPNHLQTLPEGFYIRRWQESGRAEADFGRFDAKTVVRNIYILFSKSEIPIAPENQEIMDLVDSSTPLPSWFTEEDLEIYGDLYHKSGFQTPLQVPYRSFSEKIESPNQNVIDPKVEAPALFIMGEKDYVFKFPGMEEYLKSGEVKKYVPNLEIIYLPEGSHFVHEQFPHQVNQILLNFLNSNKF >cds-PLY98441.1 pep primary_assembly:Lsat_Salinas_v7:1:9163558:9170977:1 gene:gene-LSAT_1X7181 transcript:rna-gnl|WGS:NBSK|LSAT_1X7181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTESKKEEQSIVVKKIPDTKVGRNLDSLSSDEDHHYVCFRNRNECHQDACNDVKCGQGTCKPSGNSTIPFECECSPGWKQMAVTDDDNSLKFLPCVIPNCTMNYSCSKAPSPVQEKENRGNESIFDVCRWTDCGGGKCETTSLFTHKCECDEGYHNLLNLTFSPCFKECSLGMDCKDLGLGFGNKSPPAPPPSLSDDRSNQGMTVSINYHMHSDLFNNFPNFNVKPTIIIEY >cds-PLY89095.1 pep primary_assembly:Lsat_Salinas_v7:9:28791909:28794207:-1 gene:gene-LSAT_9X25361 transcript:rna-gnl|WGS:NBSK|LSAT_9X25361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDVTGKYTGDSELQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSLRSGAYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAIYRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEEEEEYEEEA >cds-PLY75563.1 pep primary_assembly:Lsat_Salinas_v7:9:33730337:33730495:1 gene:gene-LSAT_9X29740 transcript:rna-gnl|WGS:NBSK|LSAT_9X29740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVRLLVRIDHNGSSKAERGSATLVAENDSRRNNDLRRTKGSVTPMATCDVG >cds-PLY94695.1 pep primary_assembly:Lsat_Salinas_v7:6:20110668:20116861:-1 gene:gene-LSAT_6X15161 transcript:rna-gnl|WGS:NBSK|LSAT_6X15161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carotenoid cleavage dioxygenase 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19170) UniProtKB/Swiss-Prot;Acc:O49675] MDSLSSSFLPTFSPPNSTASHPSPPPPPPRPSTFRVFSVRIEEKPQTVTTRSTTKRSNNQERPTPPSVNIEKKPVADQSLPATIFNAFDDIINKFIDPPSRVSVDPRHVLSDNFSPVDELPPTDCEVIQGTLPSCLDGAYFRNGPNPQYLPRGPYHLFDGDGMLHAIRISKGKATLCSRFVKTYKYNIEKDAGFPIIPNVFSGFNGIAASAARMAVTAGRFFAGQFDPTKGIGSANTSLAFFGNKLYALGESDLPYALKLAPNGDIITLGRHDFDGKLFMSMTAHPKVDPVTKEAFAFRYGPMPPFLTFFRFNENGEKQADVPIFSMTNPSFLHDFALTKNYAIFPEIQIGMSPMEMIGGGSPVSTDPGKVPRLGVIPRYAKDESEMKWFEVLGFNIIHAINAWEEDDGDTVVMVAPNILSVEHTLERMDLIHASVEKVRINLKTGMVSRHPLSTRNLDFGVINPGFVGLKNRYVYCGVGDPMPKISGVVKLDVSLAEVDRRECIVGSRMFGPGCYGGEPFFVAREPDNPNADEDDGYIISYVHDENTGESRFLAMDAKTPTLEIVATVKLPRRVPYGFHGLFVRESDINRM >cds-PLY85219.1 pep primary_assembly:Lsat_Salinas_v7:8:182739858:182741437:-1 gene:gene-LSAT_8X119000 transcript:rna-gnl|WGS:NBSK|LSAT_8X119000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSVQIDLSENFYENEFGRDVDKNGNVLKEGTKRAYEDDGTSDSKMDVDIKELEEGEIAPKESKTADSMAEQYNKGNKKSESDNATKPIKPPVENDDSLGNQQTPLMTEHAVEPPRSEGKKSPRNLEMAPLPESTTQIISQSESLVATNQDFSIDPTITIDIQDTNPTSSMIKRSRGNSTPIISEEERTIAIGKKLGFDIEIGNVILQEVLGGEI >cds-PLY88261.1 pep primary_assembly:Lsat_Salinas_v7:8:153207427:153209414:-1 gene:gene-LSAT_8X102480 transcript:rna-gnl|WGS:NBSK|LSAT_8X102480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHIPVSALNKLGHELEEITEQEKDIALGNGGFGRHASCFLDSMETLNLPAWGYGLRYMYGLFKQSISKVGQEEMAEDWLEVNGVAQLHSDILKAELFADYVSIWPNKFQNKTNDITPRRWLSYKTYPIAVILIAHKTMINLQNHHYIGFLVLKFVQPFIVYFPDSSLWLSRVVSKSN >cds-PLY66887.1 pep primary_assembly:Lsat_Salinas_v7:7:20258106:20260538:1 gene:gene-LSAT_7X16221 transcript:rna-gnl|WGS:NBSK|LSAT_7X16221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESASNVPSAELLEFPKKDKRRFLHAVYRVGDLERSIKFYTEAFGMKLLRQRDIPEEKYSNAFLGFGPEESNFVVELTYNYGVDKYDIGTGFGHFAIATSDVYKLAEDIKAKGGTITREAGPVKGGTSVIAFAKDPDGYLFELIERGGTPEPLCQVMLRVGDLDRSIKFYEKALGMQLCRKIDRPEQKYTLAMMGYAEEKETTVLELTYNYGVTEYTKGNAYAQVAISTSDVYKSGEVVNHVIKELGGKVTRQPGPIPGINTKITAFLDPDGWKTVLVDNEDFLKELHKKE >cds-PLY61777.1 pep primary_assembly:Lsat_Salinas_v7:8:76995009:76995540:-1 gene:gene-LSAT_8X55660 transcript:rna-gnl|WGS:NBSK|LSAT_8X55660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLEASFFFFLLLCIAVIDLSQAGGEGSLTRAQCPHACGVRCSKTHHRGNCLDTCNSCCRACLCVPSGFVGHKDECPCYRDRKTHDGKPKCP >cds-PLY82732.1 pep primary_assembly:Lsat_Salinas_v7:2:144459768:144463591:1 gene:gene-LSAT_2X71240 transcript:rna-gnl|WGS:NBSK|LSAT_2X71240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVIVMLVLFLLIEPGISQLNGDENINGNAPVRSFCGPNPPIILSSFINNRNSTLTQLRSQLSSTGVFYSRAQSLSDGDSVFGDAQCRQYLSEAQCVACFDSCVSQLVPCITGNGAYAFSDNCFVRYENYPNYYNDPSAVEQITFLPLDICGNQSASQTSSFSEAVDGLLSDIRVATPRAPNWYVASTRQVSNENATVYAVAQCVENTSQTICQDCLNRAHSDLYNCLPNTEGRFIDQGCFARYSITPFFSDNQITDITNLLKEKKSNKVPIIAGAVGGVSFFFLVIALGLYYLIWKKSKRTKEDARVFKGAVNYNYKHLQLATKNFSEENSIGRGGFGEVFKAIHEDNQFLAVKKLEVRDARAKQEFENEILLISQIHHRNLLRLLGWSSEGSNLLLVLEYMPNGSLDRFLWGPKRGTLNWKQRYDIIVGIARGLAHLHNECHVRIIHRDIKSSNILLDDHFQAKIADFGLAKFQPDDQSHVVTKFAGTLGYTAPEYARHGLLSDKVDTFSLGIVILEIISGQRCNDVNIDGPITDYLIEHAWKLYENKEHMKLVDETMDARKYEEEHLMKIIEIALLCTQSPAINRPTMSEVLLMLQDGQSLGERQLTRPTYIDHNRRIHIGSIKNSIATGDLFQKQKGTETNEHVRINKADDQLDVMEKGTKVANIW >cds-PLY64185.1 pep primary_assembly:Lsat_Salinas_v7:7:2482244:2482925:1 gene:gene-LSAT_7X1320 transcript:rna-gnl|WGS:NBSK|LSAT_7X1320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEAKIRIAWALAILLVLGRLNTQVEGQLSLPCMRINLRMISCYLHVITCHPNCRKAPCNDQCTPPPMSCCVQLARIGKGINTNADAKNLCDCIQETVIDRQGTPFTGVGLSVLPNECMLAMKLPPVKADTNCKKWKKKMIVRV >cds-PLY78628.1 pep primary_assembly:Lsat_Salinas_v7:4:152244815:152246693:1 gene:gene-LSAT_4X94081 transcript:rna-gnl|WGS:NBSK|LSAT_4X94081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRKPNAWKTILLGCFTNKTVRLDPQTLVSKTGTSQRLSISDVSSSLSIINGLSNSIVGWDLHEFTMAELTMITHDFASSNYLGEGGFGTVHKGFIDDKLRPGLEAQPVAVKLLDLDGGQGHNEWLTEVTFLGQLRHPHLVKLIGYCCEEKNRLLVYEYMTRGNLESQLFRRYSISLPWLTRIQIALGAAKGLAFLHCQDKPVIYRDFKTSNILLASDYTAKLSDFGLAKDGPEGDETHVSTRVMGTHGYAAPEYIMTGHLTTMSDVYSFGVVLLELLTGKRSMDKKRPNREQCLVEWARPLLKDPSNLDRIMDCKLDGHFPVEGAKKAAALASRCLSHHPKCRPAMTEVVKTLEHILELDDLEVGSFVYIAPKEGEKGVEKEKEGDKDVSGSSSSGEKAEVVVVVEEKEHGRRREGEKKGHRHKHRIRSMRSRAVYSDTALYKNFKKGTNSPLLPLPQPKENGGVNLLKC >cds-PLY64491.1 pep primary_assembly:Lsat_Salinas_v7:3:16109691:16113925:1 gene:gene-LSAT_3X11900 transcript:rna-gnl|WGS:NBSK|LSAT_3X11900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNRGSSFLSPEGETPSRFPAKHDLLYKELWKACAGPLVDVPRDGERVFYFPQGHMEQLEASTNQELNQRIPLFNLKSKILCRVVHTQLMAEQDTDEVYAQITLLPEIDQSDPTSPDESLAEPVRPTVHSFCKVLTASDTSTHGGFSVLRKHANECLPPLDMTQATPTQELAATDLHGTEWRFKHIFRGQPRRHLLTTGWSTFVTNKRLVAGDSFVFLRGENGELRVGVRRQARQQSSMPSSVISSQSMHLGVLATASHAVSTQTRFAVYYKPRTSQFIIGLNKYIEAVNNGFTVGMRFKMRFEGEDSPERRFTGTIVGVEDISPQWNCSKWRSLKVQWDESASIMRPERVSPWEIETFVAPVPTSIPQPVAPKTKRPRPPMEIPNLEPTCSTVSTVWNPSHDSAQLSCTPEGQRVDKNGCLLRTQMEVGWLSSSSPVKASRNMYGDEGEDRKGQGQGLSAWSTYSPRESIKQTTNESHSVEKKKPENVSSCRIFGFDLKIPNIENSQPPQSSIEGGQVASTLSAVISDKEQEKLHVSPKEVQSKQTTCTTTTTTRSRTKVQMQGIAVGRAVDLTVLKGYNELIDELEEMFEIKGELRPRNQWEIVFTDDEGDMMLMGDDPWPEFCNMVKRILICSSQDVKKMRAGSYKQQQQIENEEAIEK >cds-PLY67741.1 pep primary_assembly:Lsat_Salinas_v7:9:166396085:166398618:1 gene:gene-LSAT_9X102521 transcript:rna-gnl|WGS:NBSK|LSAT_9X102521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRPDFGDGASPGKIFIGGLAKDTTIDEFVKYFGKYGDLTDSVIMKDRQTGRPRGFGFITYADPSVVDTVIAETHVINGKQVSEFSFFRLNLLITFSIRNGIVEIKRTIPKGSGESKDFKTKKIFVGGIPTSVTEDEFKGFFSKYGKVVEHEIIRDHATKRSRGFGFIVFDSEQVVDTILVDGNMIDMDGTQVEIKKAEPKKASNPGPMSYGSEPRGRGYGGDSFGGYGDSYGGYGGGGGGGGAI >cds-PLY92001.1 pep primary_assembly:Lsat_Salinas_v7:8:240856373:240856984:-1 gene:gene-LSAT_8X145720 transcript:rna-gnl|WGS:NBSK|LSAT_8X145720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSFPYFAMVFVQFLFAGASITMKIGFANGLNQLIFVVYRHLLSTILFCPLAFVYERKERPTLSLVVMIKIFVLSSLGSTIHLNAYAYGLAYTSATVASALNCITPSVTFLIAFLLR >cds-PLY70993.1 pep primary_assembly:Lsat_Salinas_v7:9:70441501:70443230:1 gene:gene-LSAT_9X59761 transcript:rna-gnl|WGS:NBSK|LSAT_9X59761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSQITILALLISFFFTLVYGNWQNGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEMRCNDDPRWCLPGSIIVTATNFCPPNPAQSNDNGGWCNPPLQHFDLAEPAFLQIAQYRAGIVPVAFQRVPCMKKGGVRFTINGHSYFNLVLVTNVGGAGDVHAVSIKGSKTGWQPMSRNWGQNWQSNSYLNGQSLSFQVTTSDGRTITSYNVASSGWQFGQTFQGGQF >cds-PLY72496.1 pep primary_assembly:Lsat_Salinas_v7:2:141594829:141595080:-1 gene:gene-LSAT_2X69161 transcript:rna-gnl|WGS:NBSK|LSAT_2X69161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIVICAHQYKSYILDSARGTKTLKDYTIVEYVNKVVTWFKKTKTDRSRLCPKISSR >cds-PLY87265.1 pep primary_assembly:Lsat_Salinas_v7:1:51155260:51157877:1 gene:gene-LSAT_1X42681 transcript:rna-gnl|WGS:NBSK|LSAT_1X42681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISAKTFSYINHCRYRWRSVPESRFRVVAEMLDIMNLNVKIKTKAPNRLSPDVVYGVYLVFKFCDPRTVSTKPVYVNLKYKNGGETAETSHAYFATWRDNEWMMIELCRFLNNRGHIIFKFLLESFSRYYCGDSNIYVQGIEFRAIDNANKDAEHENLEGLMDVQEVLTSNSYVDWIRTLLPFGLPTRLQSIYSLPTRLPSIYGLRTSLSSVRSLGTSQATSITNFFSRNDDAGEQISINVNGRKHHLVSAKAALHNYSEVECFKTQQTDQYRFPEVIELLPQQVFGIKCTIISRMLSRDTNYVCYLVFKLSDTCRGLHCPVKVRDLPHFENKDDEIIYFRSPSPRNLHDSNQAPIPRADGWMEVRIWKFNLNEVLKNNYLSIDLKLISYEGTVSGLIIGGLEFRPIS >cds-PLY71145.1 pep primary_assembly:Lsat_Salinas_v7:9:79657292:79657896:-1 gene:gene-LSAT_9X64301 transcript:rna-gnl|WGS:NBSK|LSAT_9X64301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNLESCYHISQLSHPLLKASGFGSIVFISSVAGSVHVNYTSIYGPTKAAMNQLAKNLACEWAKDNIRSNSVAPGCTRTPLVERLFNNHDEFINTLVSKTPLKRIAEANEVSSMVAFLCMPAASYITGQTILVDGGFSVNGFP >cds-PLY75897.1 pep primary_assembly:Lsat_Salinas_v7:9:199071391:199074646:-1 gene:gene-LSAT_9X123041 transcript:rna-gnl|WGS:NBSK|LSAT_9X123041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRVHTLSRQKIFYHFRITTLLPRNLVHHSIYNERSPPLQFTKGSHLHHSTFENRHWFSTIRAPDDVSNDIKDSCVETSGTQLSGKPVEFSKVDARLLPTVLLIGRPNVGKSALLIRRREALVYNTPNDHVTRDIREGIAKLGDLRFKVLDSAGLEAEASSGSVLGRTAEMTANVLRMSNLALFLIDARDGLQPMDLDVGKWLRKHAPGIKIIMVMNKAESLDDGFGSVDAASGEAQILGFGDPIPLSAETGLGMNDLYEVLRPLLEEHMLKNINESVDASNEECESSEQEDESKLPLQLAFVGRPNVGKSTLLNAILQEDRVLVGPEAGLTRDSVRVEFQYEGRTIYMVDTAGWLQRTKSEKGPGSLSVVQSKKNLMRAHVVALVLDGEEVSKARKSMTHDEVVIARRSIEEGRGLVIIVNKMDLLKDKLYDRVVKAVPEEIQTIIPQVAGIPVVFVSALEGKGRIDVMEEVIQTYKKWCLRLSTARLNRWLCKVMSRHSWKDLASQPKVKYFTQVKARPPTFVAFVSGKTRLSDTDLRFLTRSLKEDFDMGGIPVRILQRVVPKKGTTGSNSNSSSNSNSKSKKNGGKFVAGEASDKRILNVVKD >cds-PLY86469.1 pep primary_assembly:Lsat_Salinas_v7:8:54320675:54320875:-1 gene:gene-LSAT_8X39461 transcript:rna-gnl|WGS:NBSK|LSAT_8X39461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVRKTESGRWKAKIRDPVKKSHVWVGTFDTEEEASEAIESKKVEFGSSRSNAESGSSLKTPKTET >cds-PLY81638.1 pep primary_assembly:Lsat_Salinas_v7:8:219784353:219784743:-1 gene:gene-LSAT_8X134701 transcript:rna-gnl|WGS:NBSK|LSAT_8X134701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQIKITTNEPEQPVGPGAAQLTTFVGVIARDVNFAPLTYNWKKIPPENKENMWQKVLNKFDIDPNCRRWVLLSIRNKWRTFKSRLKANHYDVHVTDQDHLADHG >cds-PLY98289.1 pep primary_assembly:Lsat_Salinas_v7:7:168759104:168762490:1 gene:gene-LSAT_7X101481 transcript:rna-gnl|WGS:NBSK|LSAT_7X101481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPEISIESAASTTVAGSITAVDQSSPKTEVAVTNQSDNFNVAKPDDNDSVVNNVDSQNGSDSDSKSEMKMQDIVDILSNLKLNPMAKEFFPSSYSPIDRNGDQTELTLNYFAPAYFTNSPGDGIEAYPNNRRRRNNYSQGRRRLNGRAFRAQREDSIKRTVYVSDIDHNVTEERLAALFSAYGQVLDCRVCGDPHSRLRFAFVEFADENSARAALNLCGIMLGFSQVRVLPSKTAILPVNPTFLPRSEDEKEMCARTVYCTNIDKKVSQGEVKNFFETRCGEVSRIRLLGDHVHSTRIAFVEFVMAESAIIALDCCGQTLGTQPIRVSPSKTPVRPRVARSLPTN >cds-PLY84720.1 pep primary_assembly:Lsat_Salinas_v7:5:228985837:228986558:1 gene:gene-LSAT_5X108800 transcript:rna-gnl|WGS:NBSK|LSAT_5X108800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANRRRPNSSGFQQHTSQSTAPGGSSGRHPVYRGIRLRAGKWVSEIREPNQTKRIWLGTYATPEMAAAAYDVAALALKGTNAVLNFPDSVISSTLPENPTADDIRAAAARAAAARAPGYETGGGSTTSVGGTNTAPPGAYMDDEPNLWSDMAEGMLLSPPRMDSSPPPDDGTDQSGGGNLWNY >cds-PLY63055.1 pep primary_assembly:Lsat_Salinas_v7:8:75613225:75616456:1 gene:gene-LSAT_8X53720 transcript:rna-gnl|WGS:NBSK|LSAT_8X53720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT4G02430) TAIR;Acc:AT4G02430] MSRSSRTIYVGNLPGDIREREVEDLFYKYGQIAHIDLKIPPRPPGYAFVEFEEARDAEDAIRGRDGYDFDGHRLRVELAHGGRGNSSSTDRHGGYGSGRGGGGGGGGRSGGVSRRSDYRVMVTGLPSSASWQDLKDHMRRAGDVCFSQVFREGGGTTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRGYIRVKEYDSSHSRSRSRSRSKSRSYSRSRSRSIRLPVSSKVKM >cds-PLY72180.1 pep primary_assembly:Lsat_Salinas_v7:7:57267773:57268130:1 gene:gene-LSAT_7X41560 transcript:rna-gnl|WGS:NBSK|LSAT_7X41560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTCLISHFSAIKSSATTRCKLRFVFSPLPFQLALEPIVGEEEDDVVVGVIYKVDGWKAFPREQFKQQQSCRGGLSTVVLKRRR >cds-PLY90532.1 pep primary_assembly:Lsat_Salinas_v7:2:42593621:42594405:1 gene:gene-LSAT_2X20300 transcript:rna-gnl|WGS:NBSK|LSAT_2X20300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLCATSSYYEPQEIAARILPNVVVLTIDPHSDVRSKAFQAVEQFLQIVKQYHEKTSSGDSSEGMGSTISSLPGNASILGWAMNSLTTKGKPSEQTTQAMPPKSTSSIKYTYPKYNNTSPRWKFRLWW >cds-PLY99227.1 pep primary_assembly:Lsat_Salinas_v7:6:184607372:184609847:-1 gene:gene-LSAT_6X112861 transcript:rna-gnl|WGS:NBSK|LSAT_6X112861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 7 [Source:Projected from Arabidopsis thaliana (AT1G21760) UniProtKB/Swiss-Prot;Acc:Q9XI00] MASDFAKNIVAELESASQLRAAGFFITRRPWLDLYGVNVRPVAPFGSTCNKPVVDLSLIHRSLPDELLFEIFARMTPYNLGKAACVCRKWRNTVRNPVFWRNACLKAWQISGVVENYKLMQCNYDSSWRKMWLSRPRVRMDGIYVSRNTYIRAGVAEWKVTNPVHVVCYYRYLRFYPSGRFLYKNSSEKIKDVVKFMKLRSTKAEICHSGRYTMSEDKVEGALLYPGMRPTIWRIRLRLRGTIAGANNRMDLLSIVTSGVHENEVPTGPDGDILAVVEGWEDDETHNADVPAISHTRGLTPFVFVPFEEAETSVLNLPVERMDYYVPG >cds-PLY77057.1 pep primary_assembly:Lsat_Salinas_v7:1:160439643:160441068:-1 gene:gene-LSAT_1X109200 transcript:rna-gnl|WGS:NBSK|LSAT_1X109200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFTGVYLVVVLLVAVFSSTVVNSCPPSDREALLAFKSALNEPYLGIFKTWTGTDCCSNWYGISCDPTDSRVNDVVLRGESEDKIFEKAGRSGYMTGSLSPSLCSLDRLTTLVVADWKGISGEIPACITSLPHLRILDLIGNQLTGKLPADIGKLGKLTVLNVADNKISGEIPSSIVNLARLMHLDLSNNQITGVLPADLGKLSMMSRALLNRNQISGSIPSSIAGIYRLADLDLSMNRISGSIPAQLGSMPVLSTLNLDSNQLTGQIPVSLLSNTGLNIVNLSRNSLDGYLPDVFTPRTYFSVLDLSFNKLKGAIPKSLSSAKYIGHLDLSNNHLCGSIPMGFPFDHLEASSFTNNDCLCGSPLMRVC >cds-PLY76578.1 pep primary_assembly:Lsat_Salinas_v7:5:223039923:223043245:-1 gene:gene-LSAT_5X104621 transcript:rna-gnl|WGS:NBSK|LSAT_5X104621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASASLGHGGVGSSRTVSGFNESSSAAVDRLGRGMLEMRIRDKVERDDDKVSDSEPVMIHGAGTEAGHVIRTTTGGRNGQPEQTVSYIAEHVIGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNVVALKHSFFSTTEKEELYLNLVLDFVPETVSRTARHYTRMNQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFRFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACVHPFFDELRDPATRLPNNRPLPPLFNFKPQELAGVPRETVHRLIPEHARKQNLFMALNIQ >cds-PLY79285.1 pep primary_assembly:Lsat_Salinas_v7:4:370749366:370749533:-1 gene:gene-LSAT_4X182561 transcript:rna-gnl|WGS:NBSK|LSAT_4X182561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVTPGANAKSEAAMAEKEIIEDEYRKKVEELKKKEGELENDMANMWVLVAQLKR >cds-PLY88913.1 pep primary_assembly:Lsat_Salinas_v7:3:118451503:118452941:1 gene:gene-LSAT_3X83561 transcript:rna-gnl|WGS:NBSK|LSAT_3X83561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHPSHQPQGLLQDLLITSTTFNPNQEDDSLLASSSSSSSSSSSSFMQLISSSLQPTNFPCFEETIFPTLPNLDNEFTQIYGFEYNDIPTSMVSVQETCPTLVDYDNMVHDKREVQYVFSEEKKSKSKKVEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIEYMKDLLEKIHNLNPDSNSLNLMGVSQLSQAKYPTKFEVERRNVDTRIEICCSTKPGLVLSTVNTLETLGLDVQQCVMSSFGDFSVQASCFEAQKSREMTSSEEIEQILFRNAGYGGRCL >cds-PLY79941.1 pep primary_assembly:Lsat_Salinas_v7:3:109176727:109180028:1 gene:gene-LSAT_3X79700 transcript:rna-gnl|WGS:NBSK|LSAT_3X79700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGDNPEKHLVKLKCSVQNYDWGRIGYDSRVARLFERNCGGQIEENKHYAEFWIGTHVSGPSFVEETDNVSLKNWILQNPKVLGDVVVQKWGRDLPFLLKVLSVGRSLSIQAHPDKELAGILHKLQPNMYKDANHKPEMALALTEFEALCGFISSEELDLVLKSVPEINEVIGNENRDVKEDRVLWSIFTKLMSIDRETISTTLSRLITRLNMEKEIRQLSSKEELVLKLEKQYPNDVGVLAALLFNHLKLNPGEALYIGANEPHAYLTGECVECMAASDNVVRAGLTPKYMDVKILCSMLTYNQGLPEILKGVPLNPYTRRYTPPFEEFEVDRCVLTEGATVVFPAVPGPAVFVVISGEGSMLTSSSEESVSVSVSEGSALFAPAGTEVCVSTESKVELYRAGVNNKVLMNTTPMI >cds-PLY86975.1 pep primary_assembly:Lsat_Salinas_v7:5:260782935:260783622:1 gene:gene-LSAT_5X130940 transcript:rna-gnl|WGS:NBSK|LSAT_5X130940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S17, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G79850) UniProtKB/Swiss-Prot;Acc:P16180] MSLLQLQLPLSQFKSLNLSTPFIHGNSVVSTKPSSSTSNHHHQRHAYLPPIRAMRSLQGRVICTTNDKTVNVEVTRLAPHPKYKRRVRKKKKFQAHDPENQFQIGDLVQLEKCKPISKKKTFLAIPVPKRTAKQPKEVAPQDLGIPLESDSSSQV >cds-PLY65427.1 pep primary_assembly:Lsat_Salinas_v7:9:184827412:184831047:1 gene:gene-LSAT_9X113941 transcript:rna-gnl|WGS:NBSK|LSAT_9X113941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSHSIAERGYLPNEKFDDDGRVKRTGTWKSASAHIITAVIGSGVLSLAWCFAQLGWIAGTITLALFSVITMFTSILLTDCYRSPDPVTGKRNYTYMDAVKANLGTLQYKLCGIAQYGVLTGITIGYTTTTAISMAAINKSNCFHKHGHQADCSVHNNSFMVIFAVIQIILSQVPNFHKLSPLSVIAAIMSFTYSLIGIGLSIAKIIDEGIGETSITGRPIDKDFSGMEKMWKTFSALGDVAFAYSFCFVLIEIQASRLQIPRKKDTLKSSPPENKQMKIATAIGIAASTVFYMLCGVLGYAAFGNDAPGNFLTGFGFYDPFWLIDIANICIVIHLLGAYQVLAQPFFGFVENWSKKKWPQNKLITNEFSICGTDFNVFRLTWRTTYVIVTTIIAMIFPFFNDFVGLLGAGTFWPLSVYFPIELYMSQAKIRKYSFTWIWMQILSLACLIVSLVAAVGSTRGLITSVQSFEPFQSVS >cds-PLY81750.1 pep primary_assembly:Lsat_Salinas_v7:3:30024964:30027776:1 gene:gene-LSAT_3X23361 transcript:rna-gnl|WGS:NBSK|LSAT_3X23361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQDHLHLHEQHHKQSYEELLCSYLGISFAIFLGFLPKQSLALVTSLQTHDESLSKRLLQAEEQLEQLYSRRKEDSKANARVVEIFASHRHGWQQEEKRLLRQIDENVEEIANLRAKVEDLEIRVDELKREVSERDELLNFMTNREDDGGGYGGDGDGEFYGEMLGSRYGKLRVSDEGINHNHNHNLKHDINYGNNSVMGDCYMERGVHNVDDLGSIYDVHSMFKSSEFSNSEVSKFLAERSNLWQGVQYEPVEQAHDLKHYVTRRESPWKVDGDSSGVSAKLKLLEQELQNLENIGSNDLLKVPSLMRKQAKRYQALSGKIDDLCRRMQENDPCEPNAGLEFRTQRQTEFLLEALRLQQRASETGQKLMALQTETGNGNGCNYGNDLVEGRARLTTRLALNSIRNNFRDIQRNLEIWLARIIGDVEGILARDGASRVNEYYISPRYPFVQHERF >cds-PLY92653.1 pep primary_assembly:Lsat_Salinas_v7:2:161521819:161523437:1 gene:gene-LSAT_2X85620 transcript:rna-gnl|WGS:NBSK|LSAT_2X85620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RER1C [Source:Projected from Arabidopsis thaliana (AT2G23310) UniProtKB/Swiss-Prot;Acc:Q9ZWI7] MMDPGAGPTTATPSTDAPPSAGSLHSTDTPAAAVQRWGFVVSQRFQHLLDKSTPFLLYRWIVFFAIAFIYGVRVFFVQGFYVVSYALGIYILNLLIGFLSPQVDPEFQDLSDGPTLPSRSSDEFRPFVRRLPEFKFWYSITKAFCIAFVLTFFSAFDVPVFWPILLFYWVILFALTMKKQILHMVKYKYVPFSFGKQTYNGKRGATSTESTSLLPRD >cds-PLY68687.1 pep primary_assembly:Lsat_Salinas_v7:7:84119854:84120372:-1 gene:gene-LSAT_7X59300 transcript:rna-gnl|WGS:NBSK|LSAT_7X59300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSHVTILFLAILFQETLFLATGDRQFIENTCKGTPSYNLCLSILLANPKSQDANLTGLALIVVDAVKNEGVKTLQQIDALKKSLPELTTTLMQCGDVYNTIMHVNVPLTINALNLGNPKFGEDGMADTTIESQTCERSFKEHGQTSPLTNMNKDMEDVANVARAIIRMLL >cds-PLY88086.1 pep primary_assembly:Lsat_Salinas_v7:6:181413238:181417361:-1 gene:gene-LSAT_6X109741 transcript:rna-gnl|WGS:NBSK|LSAT_6X109741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEPLVSSSPSSNEDNDNTFATKKKKSEEETEPKPLVSVGRSRSQAATRRVAPTSTVAVAVSIPETVATTSGSATTEKHLQNGDLYIGSFSGNVPHGSGKYLWSDGCMYEGDWKRGKASGKGKFSWPSGATYEGEFKSGRMEGSGTFTGSDGDTYRGAWSSDRKHGYGQKRYSNGDYYEGTWRRNLQDGQGRYVWKNGNEYVGDWRNGVINGRGILIWANGNRYDGNWENGVPKGHGVFTWPDGGCYVGCWSKESNFNYKNPFQPHQILNGTFYPGNNSAGKDDKLGFTRKLSAPLLDENFVVSTARKRSSVDGSRGSLTERNFPRICIWESDGEAGDITCDIIDNAEASMLYRDAMGLGRDEIRQFRRNPCCFNGGEAKKPGQMISKGHKNYELMLNLQLGIRYSVGKHASTIRDLKTTDFDPKEKFWTRFPPEGSKLTPPHQSAEFRWKDYCPVVFRHLRELFQVDPADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKVLIKMLPSYYQHVCRYENSLVTKFFGVHCVKPVGGIKTRFIVMGNLFCSEYRIHRRFDLKGSSHGRTTDKPEDEIDETTTLKDLDLNYVFRLQENWFKELIKQINRDCEFLEAERIMDYSLLVGMHFRDDNTGDKMGLSPFLLRNGKSDSYQNEKFMRGCRFLEAELQDMDRVLAGRKPLIRLGANMPARAERMGRRSDFDQYTPGGFNNFTPSRTGETYEVVLYFGIIDILQDYDISKKLEHAYKSLQADPTSISAVDPKLYSKRFRDFIGRIFVEDR >cds-PLY76372.1 pep primary_assembly:Lsat_Salinas_v7:6:170639538:170644030:-1 gene:gene-LSAT_6X103341 transcript:rna-gnl|WGS:NBSK|LSAT_6X103341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADGGSRRMSRSLHRGISGGGKLPEDIQDFMKDSQMKVKTENEDLHKNHLSPSRNPFQFFYSINSSSKQSIPTNGYIVPDQYSPVTSRNRYKLTLFLLKLSLFMIIILALTGSFWWTISLTTSSRGHTFHGYRRLQEQLVSDLWDIGELSLGTSGVKESEFCPLESENYIPCFNTTENLDFGLTMGHENDRHCGPTSKQNCLVLAPPAYKIPHRWPTGRDVIWIDNVKINAQQVLSSGSLTKRMMLLDEDQISFSFASSMVDDSIEDYSHQIAEMIGLRNESYLVHAGVRTILDIGCGFGSLGAHLFPKQLLTMCIANYESSGSQVEITLERGLPALVASFTSKKLPFPSLSYDMVHSAWDGVDWNHKDGLHLIEVDRVLRPGGYFVWTSPIANTPISTRNKDNLKKWDFVRNFAKDLCWDLLSQQDKTVVWKKPSNKDCYASRNHGSGPLICKVGHDVESPYYHQLEACIGGTHSHRWIPIEKRPNWPSRARLNTKELAIHGVLSDDLYEDDVNWNLAIRNYWSLLSPLIFSDHPKRPGIEDPSPPYNMVRNVLDMNAHFGGFNSALLDARKSVWVMNVVPTTAPNNLPLILDRGFLGVLHDWCEAFPTYPRTYDLVHAEGLLSLESEKHHRCSMMDIFFEIDRILRPEGWVILRDTTSLIETARMVTARLKWEARVVEIESNSDEKLLVCQKPFIKRRSNPS >cds-PLY71567.1 pep primary_assembly:Lsat_Salinas_v7:3:53786031:53787911:-1 gene:gene-LSAT_3X41301 transcript:rna-gnl|WGS:NBSK|LSAT_3X41301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLNYIYNFSYHMNENESYFTYSVYNPSIISRNIMDVSGQLQQLTWLEATNEWNLFWSQPRKQCEVYALCGSFGICRQSGLPFCNCLTGFNPRSESEWNQSGFSSGCVRKTDSQCWRNTEKQDFLKIRVKNLPPNNSVAVGSAGECRTTCMNDYSCNAYSFVGTQCLVWDGDLLNLSEDDGSGNTIYIKVASKDLPHPKKSNLITAGVIAGLVGGAVLVLGLILVLFYVKKRVSVGKTEMVGSLVAFAYKDIKTATKNFSDKLGGGGFGSVFKGVLHDSSVVAVKKLESISQGEKQFRSEVSTMGIIQHVHLVRLRGFCAEGNNKLLVYDYMEKGSLDTYLFCGKQVLNWETRYQIALGIARGLVYLHDKCRDCIIHCDIKPDNILLDANFQPKIADFGLAKLVGRDFSRVLTTTRGSMGYLAPEWISGVAVTAKADVYSYGMMLFELVHGKRNVMHCEDSSSTFYPGLVSNILMKGGDILSLLDSRLNREACVEQVTKIFKVACWCIQDEEERRPTMSLVERILEGVSDVNMPPIPQIVNLFVENTGEVVFFTDSPSNECSLAQSNSWGDDPQLKSSSS >cds-PLY74698.1 pep primary_assembly:Lsat_Salinas_v7:5:25547069:25550404:-1 gene:gene-LSAT_5X13720 transcript:rna-gnl|WGS:NBSK|LSAT_5X13720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVELKGMLTDLQNLKTSLSDPSHQASIDQIRLRVENLTSLAMAGSTRRSKVKDMSAEVVDSNPYSRLMALQRMGIVDNYERIRDFSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPEQVGMTKTDAAVQTLSEINPDVVLESFTMNITTVQGFETFVSSLKNKSFRQDKQGTGVDLVLSCVDNYEARMVVNQACNELNQTWMESGVSEDAVSGHIQLLIPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNTLKFLLKFGQVSPYLGYNALKDYFPTMSMKPNPQCSNGPCLERQKEYMVVKPARDAAAKAKMEEELSTIEIPIHAENEWNISVVDDCEVDVVNAQTSDVLPEGLTRELPDADVYQQPTVSKESVETVDDLEDLKRQLEALHAD >cds-PLY99730.1 pep primary_assembly:Lsat_Salinas_v7:9:57335753:57338262:1 gene:gene-LSAT_9X48840 transcript:rna-gnl|WGS:NBSK|LSAT_9X48840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFDSGIPMSRPPVTAEVSSSLSPPLNEDALWQLNLRSRESMESGPYPVREGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIATAKMRGEYPERPGQPECQYYLKTGTCKFGATCKFHHPREKAGIAGQVSLNVLGYPLRPNEAECAYYLRTGQCKFGNTCKFHHPQPSNMMVAFSGSPVYPAVQSPTSPGQQWSLTRASFVPSPRWQPPSNYAQMILPQGVVSVPGWNAYRGSMGSLSSIDSQHQHQHQAATGGNQGYYALERENVFPERPGQPDCQFYIKTGDCKFGAVCRFHHPRERVIPMPDCVLSPIGLPLRRGEPLCIFYSRYGICKFGPSCKFDHPMGVFTYNLSPNSSDRQHNMLASASGGSGVLNITSQGNMEGVSVTLSERIQMPSNDNSIDHQE >cds-PLY86427.1 pep primary_assembly:Lsat_Salinas_v7:1:10377229:10377839:-1 gene:gene-LSAT_1X7560 transcript:rna-gnl|WGS:NBSK|LSAT_1X7560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLKFVLNSKKQWEVKQQTRNWLDLPQDVMANILYRVGVYDILENAQKEMCKHAVDRSQGQLVDITICDFVNEELLGYIANRSSQLKRLEFVGGDICKNWVAFLKKIPLLEELRSRLIVAVWESVVWESFLS >cds-PLY94079.1 pep primary_assembly:Lsat_Salinas_v7:4:172938871:172939361:1 gene:gene-LSAT_4X103120 transcript:rna-gnl|WGS:NBSK|LSAT_4X103120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDLFQEITTPKASIFEIPTRLSADPSIADLSVEGCCFKPPFLWVSSNCFGVEDGTVLKTFNHLLHRNRKVDFIEQFNEKLLVKPENENLQILDVRNSELTEVSRT >cds-PLY98348.1 pep primary_assembly:Lsat_Salinas_v7:5:315911932:315913372:-1 gene:gene-LSAT_5X173181 transcript:rna-gnl|WGS:NBSK|LSAT_5X173181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAENTQLASHLFDELLGSIIMDVSSECHRIARLGLDRNLDDEEEELKLSAQARARVADPSNSEANSKYVVDIFGQTHPPVATEIFDCMNCGRAIVAGRFAPHLEKCMGKGRKARVKSTRSSTAAAAHNR >cds-PLY63182.1 pep primary_assembly:Lsat_Salinas_v7:4:312324150:312325120:1 gene:gene-LSAT_4X156341 transcript:rna-gnl|WGS:NBSK|LSAT_4X156341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARAGGITNAVNVGIAVQADWENREFISHISLNVRQLFDFLVQFEATTKSKLASLNEKLDTLERRLEMLEVQVGTATANPNLFKT >cds-PLY66324.1 pep primary_assembly:Lsat_Salinas_v7:5:290306547:290308203:-1 gene:gene-LSAT_5X156481 transcript:rna-gnl|WGS:NBSK|LSAT_5X156481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVSTQQAASCELEPWRDLRGTIVMVTGASSGIGWEFCIDLAKAGCRIIAAARRTDRLKALCDSINNLKIAGTHRSQGRDNDVQAVAVELDVSADGPTIKASVLKAWDAFGRIDALINNAGIRGPVRNPLTLSEEEWNTTFRTNVTGSWLVSKYVGLQMVANNQGGSIINISSTAGLTRGHLPGALAYASSKSALNTMTKVMAMELGSHKIRVNSISPGIFKSEITEQLLQKKWLKNVVAKTIPLRELGVTDPGLTSLVKYLIHGSSDYVTGNVFIVDSGYTLPGLPIYSSL >cds-PLY69337.1 pep primary_assembly:Lsat_Salinas_v7:7:79989817:79990984:-1 gene:gene-LSAT_7X56941 transcript:rna-gnl|WGS:NBSK|LSAT_7X56941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARKAVIISIVVSFLMKITKASSTTTGNFSQEFDITWGDGRGKILDNGQLLTLSLDKTSGSGFQSKNQSLFGNIDIQMKLVPGNSAGTVTSFYLSSLGSTHDEIDFEFLGNLSGDPYILHTNVFVQGTGNREQQFYLWFDPTMDFHTYSILWNPENIIWFVDGTPIRQFKNLETYNITFPNKQPMWIFSSLWDAEDWATRGGLVKTDWTQAPFTASYRNFGIQVCEQPYSYSCSHEAWITESLNSSSLEKLKSVQMKYMIYNYCTDTKRFPQGVPSECKYT >cds-PLY93983.1 pep primary_assembly:Lsat_Salinas_v7:8:233837046:233839743:1 gene:gene-LSAT_8X140981 transcript:rna-gnl|WGS:NBSK|LSAT_8X140981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTSRESSEEFDKAGLDLFKASMKAAKDILDKHEGNDGKLPLQMADFCGPFDMLANLQGKSERMNSTEESRGGVLLACVEAELFDVAQQIVKDCPELAVSERIILRILAILARKPHAFGKKYNPIQKYIYQYDANDGHVDEGNQAVQLLRTILPNIPKHELDDMPSGSLYPIMEGISSSSVLFVAAELGNTVFVNELIHHYPQLVVELNDNKQSIFHIAVLHRHLGIYNLLHRIGSIKDSIINLEDKNGNNLLHFVGIRKEPLMDSQSQYLQGPAVHMERERQWFKMVSDMLPPSLREKKNKAGLRPRELFTKNHKELLSKAVDSVEKTSLELMVVASAIAIISCVIDIAFVGRYGKDTGRSTFMFHQKNWSIIWNGTSLLLAYTAIFISLSIVRSSYVERDFFSSSLHKLKSAEIVLGISFMFQTLAFLHNFVLLFQDISLKIPSLMLIICIAVFYLFRHRFN >cds-PLY96896.1 pep primary_assembly:Lsat_Salinas_v7:2:109726740:109730042:1 gene:gene-LSAT_2X51220 transcript:rna-gnl|WGS:NBSK|LSAT_2X51220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGCMSIANKKLIKKTKNNVKPIHSHDHDHKTTKLHASCTNLRRPHVLRESSGNNIFRWYRFGGELGRGEFGVTYECQDKVSGEKVACKKISKSRLRTEVDIEDVRREVEIMRHLPPHPNIVRYRDVFEDKEAIYLVMELCEGGELFDRIVSKGHYTERAAALVTKTIVEVVQVCHKHGVIHRDLKPENFLYANRGENAPLKAIDFGLSIFFKPEHRFKEIVGSPYYMAPEVLRRNYGAEVDIWSAGVILYILLCGVPPFWAETEEGIAHAIIKGDINFRRDHWPLVSEDAKNLVKGMLEPSPHKRLKVEQVLGSNWIQNADKAPNIPLGENVRSRIQQFSLMNKFKKKVIRLVAENLPNEQMDGLKKMFNDMDKDKNGALTFEELKDGLSSIGDHPVDDPDIQMFMEAADLDQKGVLNCEEFLTIVVHLKKISNEEQLRQAFRHFDKNRNGYIEFDELKECLFDGHMNPHNEKMVHEIIIDADLDKDGRISYPEFAAMMTTGMDWKMSSRQYSRAKLHAISMKMFKDNSIKSGD >cds-PLY96600.1 pep primary_assembly:Lsat_Salinas_v7:7:46674307:46675383:1 gene:gene-LSAT_7X36080 transcript:rna-gnl|WGS:NBSK|LSAT_7X36080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGYKHFSHPHNLSFHKSLEGAQLTCTGCKFPCTGTPVYSCRRCKIFLHDQCFDAARSLIHPSHPAHPLSLFPSSTYTAGSFICNSCNQTGSGLCFCCSICEFDLHVHCAYKDLKPKSDKSINPPKQIQLQAHPNHPLLYLPNPPYSDDIVCTCDVCGMVCEGELYNCGVCGYDAHVGCCNLPETVRREDHEHGLSLLHVNPHETFECDVCRGGIAQKHCMYYCMSGCDYGMHVQCVSAKVTEKAPMDAMTFQVEMFKLQNQMKIHQMMIDTKLMGISGLHRNRYY >cds-PLY63753.1 pep primary_assembly:Lsat_Salinas_v7:6:24086218:24086782:-1 gene:gene-LSAT_6X19301 transcript:rna-gnl|WGS:NBSK|LSAT_6X19301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLFGVAVTDGGIAPATEQPDCDSKRFECQYCKRDFANSQALGGHQNAHKKERQRLKRVHFMSNHHRRFAAPVTIINAHAARSGHFQQPQMAVVDHYICPSSPPQVLSGVPLRFPSRFYIGRPQHLSLTTAGMSSNSSRMIEAADDNGDGVDVDLHL >cds-PLY97475.1 pep primary_assembly:Lsat_Salinas_v7:1:192700555:192702077:-1 gene:gene-LSAT_1X126421 transcript:rna-gnl|WGS:NBSK|LSAT_1X126421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHEIRSQEVFEIGRFLFEIQGMQLDFSETEYILICGLKVGPYMDLLHDKRDQSNSNLRAWLFPDITDARLRLKNLEDYIMSLNYLSLQDQDDVMFIQLVFMLKGLHGRDVKTGIPAAVYKFADNIDDWNRFAWGTYFLTYTLGLMRGMFEKIKNFRIFKHTNPESKKVHKFIVAGFMLPFKIWILETFPEAAQYYIRTPTEMPRMSLKTILLLSWKTRQSSCFCFIFATSIGLLTMKSPPWQRSPPIVGLPPRKKKYKSETYSTETATNASSMQQLEVERTYMSSETSTRSAKKKKKTSTKALVKRLIGVVAELTSKVDRVLQEKDEPDIGFGEEEDMVNEEEEETYYHGTQLEYDDTYTHGLEGEVGRTPTHVEPSLDVGEHHKKKQ >cds-PLY68717.1 pep primary_assembly:Lsat_Salinas_v7:5:278797052:278798757:-1 gene:gene-LSAT_5X143741 transcript:rna-gnl|WGS:NBSK|LSAT_5X143741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIEKGHTLASTGGLHASLYRNVSRFLRSYKLMEDTLKVYIYKEGKKPIYHDPKLRGIYASEGWFMKLMEKNRHFVVKDPKKAHLFYLPFSSLKLRLTSQEHTPQSRKNLELYLKNYTTLISRKYPFWNRTNGADHFLVACHDWAMKLTKDDMGNCIRSLCNSNLAGGFKIGKDTTLPATYIRTSEDPVKDLGGKLPSERPILAFFAGGMHGNLRPILLRQWHDKEPDMKIFGPMARDVESKAKYRMYMKSSKYCICARGYEVFTPRIVEAVYYECVPVIISDDYVPPFFEFLDWEGFSVFVLEKDVGNLSRILRAISDEKYQEMWERVKMVQQHFIWHKTPVKFDLFHMILHSIWTNRVYRT >cds-PLY96209.1 pep primary_assembly:Lsat_Salinas_v7:3:92663754:92668702:-1 gene:gene-LSAT_3X69080 transcript:rna-gnl|WGS:NBSK|LSAT_3X69080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVRRRPIKHQSHHRSVKSHTAGELLKPHNQPKASDALPLPLYLTNGLFFTLFFSVMYFLLHRWREKIRNSVPLHVVTLSELAALVSLVASVIYLLGFFGIDFVQSVIRPSPDSWEIEDDNSDQLMIDDDKPVKPCGQALVPHIMPTTDVAESVVEKKKPPPAIEHTSEEDEEIVKMVVAGSVPSYSLESKLGDSKRAAAVRREALQRITGKSLSGLPLEGFDYDSILGQCCEMPVGYIQIPVGIAGPLLLNGAEFSVPMATTEGCLVASTNRGCKAIYVSGGATCMLLKDGMTRAPVVRFGSAKRAAELKMFLEDPLNFDTLAVMFNKSSRFGRLQSIRCAIAGKNLYIRFCCSTGDAMGMNMVSKGVQNVLDFLQTDFPDMDVMGISGNYCSDKKPAAVNWIEGRGKSVVCEAVIKEDVVQKVLKTSVASLVELNMLKNLTGSAMAGALGGFNAHASNIVSAVYLATGQDPAQNVESSHCITMMEAVNGGKDLHVSVTMPSIEVGTVGGGTQLASQAACLNLLGVKGASKDEPGSNARVLATIVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSTRDMSKLASS >cds-PLY96836.1 pep primary_assembly:Lsat_Salinas_v7:2:109948246:109949300:1 gene:gene-LSAT_2X51320 transcript:rna-gnl|WGS:NBSK|LSAT_2X51320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSYSYDTNFQEDQYEFSNQTTFVHENPSGLFKKQDSWPKNNIHRKKNQVFLEGYVETSDEDEIVRAKSLTDEDLDELKGCLDLGFGFSYDEIPELCNTLPALELCYSMSQKFLDDQQKSPESPSSAVVEETISPPPIANWKISSPGDHPEDVKARLKYWAQAVACTVRLCS >cds-PLY94656.1 pep primary_assembly:Lsat_Salinas_v7:1:40588239:40589226:-1 gene:gene-LSAT_1X35440 transcript:rna-gnl|WGS:NBSK|LSAT_1X35440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDNPMNAIYVPFNRPVKQSLIETVGITKMGVFIEASSGYGQSSDSIHCHHGITSAEIGQLSTIPPKKRTKEAIELYKRNKKDIPHEAFHGGFILEKIGYPKSKGELKLINTNVDNNPSVVFNYFSHPDDLRSCVHGVRMMEKLVRSKPFLNFTQCDNETVNKLLNMSVTANVNLIPRHTNDTESLEQFCKDTVITIWHYHGGCHVGKVVNNDYEVMGVHRLRVIDGSTFEQSPGTNPQATVMMIGRYMGVKILRERLRKEGS >cds-PLY74413.1 pep primary_assembly:Lsat_Salinas_v7:2:13931560:13931908:1 gene:gene-LSAT_2X7020 transcript:rna-gnl|WGS:NBSK|LSAT_2X7020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRYIALQNSTFDETLINRERGMILHKTEEMRAQTEEVIFDHLHSTAFQYTPLGRTILGPAENIQKIT >cds-PLY66176.1 pep primary_assembly:Lsat_Salinas_v7:4:34790589:34790828:1 gene:gene-LSAT_4X24440 transcript:rna-gnl|WGS:NBSK|LSAT_4X24440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMRIPGHLFPAYAEFRTSDAPSFTIANPDSGSTATISGHPSHYLLLQSDSISGGLLAAPQIKVAGKAHLHLLLPTIID >cds-PLY69198.1 pep primary_assembly:Lsat_Salinas_v7:1:79769235:79769810:1 gene:gene-LSAT_1X67980 transcript:rna-gnl|WGS:NBSK|LSAT_1X67980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKIFFCFLLPLFIAFSQTSPTTGADTTFVQSIKRNPMKLRSEKLSHFRFYWHDIATGPNPSVVKIVQPPANKTTPNNFGTILMIDDPLTEKPEADSKLLGRAQGFYGQASQEEIGLLMAMNFVFSTGKYNGSTLTILGRNPIFEKVREMPVIGGSGLFRFARGYAEASTITFDTKTGDAVVEYNVHVLHY >cds-PLY90935.1 pep primary_assembly:Lsat_Salinas_v7:9:170120842:170122047:-1 gene:gene-LSAT_9X105520 transcript:rna-gnl|WGS:NBSK|LSAT_9X105520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAQVVSATTAFHDEKREDQLIKKPEDDIKNTNDQETTVTTPQEEDQLAKEPAYTVAPQPKPESELVAPEPEPEAESEALAVEAETKLVLNDEDKEEGKEVSSVEQPAKVAPEPQPEAEETKEPEAKPDETKKETETEPNEVEKVDTEPEEKVEKKEEAIAAEE >cds-PLY66857.1 pep primary_assembly:Lsat_Salinas_v7:7:17085394:17085753:1 gene:gene-LSAT_7X13621 transcript:rna-gnl|WGS:NBSK|LSAT_7X13621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAMDLMAQVCFPWLHSDLHCLLSLQLWCFRVPVGIYVSFSRLPSPVLPYLPPHRFLATHIRISPRYRRDDADDHRPDSDLRSHDGTGIGYVLLSSSNPRISSMLPQSICEFDTSTIDV >cds-PLY88448.1 pep primary_assembly:Lsat_Salinas_v7:8:87830358:87831574:-1 gene:gene-LSAT_8X63020 transcript:rna-gnl|WGS:NBSK|LSAT_8X63020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLFLVITLLILSTLLYEAQGINRKLMTETISTSTTTSASTITYKKHNNEENKGHDLKLGNKSTEDNLAVNLSSKHLKYGKTEANPPGYADIVDLAGMDYSPARRKPPIHN >cds-PLY95222.1 pep primary_assembly:Lsat_Salinas_v7:1:212332070:212333396:1 gene:gene-LSAT_1X129320 transcript:rna-gnl|WGS:NBSK|LSAT_1X129320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTLESQLRSDNFVTYESPYTLYSMAISSAAASHRRIALGSFVEEYANRVDIVTFDEETLSIKPIPNLSFDHPYPPTKLMFHPNPKVSGDILASSGDFLRLYGVRENSVEQISVLNNSKSSEFCAPLTSFDWNEVEPRRIGTSSIDTTCTIWDVEKGVVETQLIAHDKEVHDIAWGEAGVFASVSADGSVRVFDLRDKEHSTIIYESPQPDTPLLRLAWNKQDLRYMATILMDSNKIVILDIRSPTMPVAELERHRGSVNAIAWAPASWQHICSAGDDSQALIWELPTVAGPNGIDPMSMYTAGAEINQLQWSAAMPDWIAIAFTNKMQLLKV >cds-PLY99143.1 pep primary_assembly:Lsat_Salinas_v7:2:11988208:11991132:1 gene:gene-LSAT_2X6401 transcript:rna-gnl|WGS:NBSK|LSAT_2X6401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLSFISISNLYNSVELTEEGIALAEMALETKDLWDENDPWARVEEKRRWSEGIHQDVEAKEVLPIQADSIVVAQITYQSMFKLYPKLSGMTGTAKTEEKEFLKMFQMPVIEVPTNMANIRHDLPIQAFANARGKWEYVHAEVESMSRVGRHVLVGTTRYYYFNEIHSSLVILCVENSEYLSALLRASKIPHNVLNARPKYAAREAQTVSQAGRKYAITISTNMAGRGTDIILGGNPKMLAKDVIEDNILSHMSHDTPDVEVEDPNSQKAEMYPLGPCIAIAYLSVLKDCEIHCFHEGLEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVR >cds-PLY75183.1 pep primary_assembly:Lsat_Salinas_v7:2:202906676:202908147:1 gene:gene-LSAT_2X124081 transcript:rna-gnl|WGS:NBSK|LSAT_2X124081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFSLNSFVGFTSTAKHQNVPRECIGLKASHFPSSSTSLVFSRRPIHTRNQRPLSVSNSDRVTTETTEKTSEIPEVSISINQSLPTSEVDPIKKTQPDEAASNGSISSPSESEPKRSKLTAREKLKAARVRSRSSEPKPVKKAEMGSKVLEALRENDRISGKMRSGLPEAPTNLFDDSKRGMPKKGLTFELPVGWDVFLIILSVVLISTIMFTTTFIVWKVGAIHFNEN >cds-PLY98875.1 pep primary_assembly:Lsat_Salinas_v7:5:20298946:20303175:1 gene:gene-LSAT_5X9980 transcript:rna-gnl|WGS:NBSK|LSAT_5X9980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEVSSKGNRDTFSSSCFSDRNDGVTDTRNGMATSGPGKADADIALYKDLWRACAGPLVTVPRENELVFYFPQGHIEQVEASTNQVADQQMPVYNLPAKILCRVVNVQLKAEPDTDEVFAQITLMPEPNQDENVVKKEPPPPPESQFRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGSEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQPNIPSSVISSHSMHLGVLATAWHAIQTGTMFTVYYKPRTSPSEFIVPFDQYMESVKNNYSIGMRFNMRFEGEEAPEQRFTGTIVGIEEREQQRWPDSKWRYLKVRWDESSTIPRPERVSPWKIEPALVPPAINPLPVHRQKRPRSTMLPSSPDSSVLTREGPSKMGTADPSPAFSRVLQGQELSTLRPTFVDSNESDSCDRLIQWAPSVVDDEKRHHGSDRILSVGRTPESSFTDLLSGFGSTNELSTTPAPTPNESKFNLHSNPWSIMPSGLSLSLLGGGMKSNEISYQSRDVRFSAFDEYSGQHSQRGNGEQAGKWVMPPPSLTSYLQMPSHSSDVNVKPKDGNCKIFGVPLAGNRNISADAAATHQYHIFDSDQSKRLKVIDHNPSKEQDKEYQHFQQAKLQGVSVSSRSCTKVHKQGIALGRSVDLTKFNDYDELIAELDVIFEFNGELKTRNKNWLVVYTDDEGDMMLVGDDPWQEFCGMVRKIFIYTREEVQRMNPGTLNSRDEDNSSVAEGMDEKDTRKIENA >cds-PLY99003.1 pep primary_assembly:Lsat_Salinas_v7:5:28560767:28565267:1 gene:gene-LSAT_5X14180 transcript:rna-gnl|WGS:NBSK|LSAT_5X14180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferric reduction oxidase 8, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G50160) UniProtKB/Swiss-Prot;Acc:Q8VY13] MAKAFLVVLKLLMVLLALGWVSIWVLKPTQIWTRTWKEAEDAARTSVFGSNGLDFIVLSLPIIVSVMIGFVYMHFKPKERRQRRQGRSFITALSNPIIVNGFVGVLSAMEALVMSLFVIFLVWTFYIRISNDFNKMMPVKSLKLNMWQYKTFRIATRFGLLAEACLGLLLFPIMRAMTVFRLFGIQFEASVRYHIWLGTMMLSFSTLHGVGTLFIWGIKHQIQDEIWKWQKTGRIYLAGEIALIVGLVIGITSLPQIRRKRFEIFYYTHHLYIIFIIFFLFHTGDRHFYMVFPAIFLFAIERLLRIIQSRPQTCILSARIFPFKAIEVILPKEPSLKYTPTSIIFIKVPRISKFQWHSFSIASSSSVDDDTMSVIIKCDGSWTNSLYDMIQGMPVSGLNQKVETCIPVSVEGPYGPTSTDFLSKYDSLLLVAGGIGVTPFLSILQEISSAQNHGKKNYPTNIQLVYITKKRNSIGMLNSILPLLSNKNTKEFRLKLKVFVTQEPKSSTTLSELINEFSQVETVDFDTGRISYSPNGYGSSLFMATIIGLSSILFLVFLIILSHVFLPQPKKPSSKEKTQTPSSFVDLVLTCSFILSILIVTTMSLVLKLKHLKKQPLLMGINHEGKEMQPNSFQSRNLDDHELHFGARPEFQEIFSKFPNETGGSHVGVLVCGPEAMKESVASFCQLSSQGLIVGALRKKTYFNFHSLNFSL >cds-PLY98470.1 pep primary_assembly:Lsat_Salinas_v7:4:248208833:248212528:1 gene:gene-LSAT_4X133060 transcript:rna-gnl|WGS:NBSK|LSAT_4X133060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTSKWRRRRGGGAQSLDRNLSRRIRGILSRRFIFRSVLVILAVIAILPPVYFHFKLRRIHQTQLKKCSWLNKPPLVCAHGGDSSKAFPNTMDAYRIAIRSQVDCIEIDVSRSADGVLFALHDRDLQRISGNTSSMVGHLSAKEIKELGATHVASHDTTIPTMEDALKFISSSVEKVVVDAKVGPPSYEKGLAADILSVLKTTQCKNCVVWAKSDNLVRDVNKQSQDVPVGYIVMMNFSSGTRTNLLRMRDAQVVGIFHGLVDEAVVKILHRRNKKVYAWTVDDEAAMHKMLNENVDAIITSHPTLLQTSMRDVS >cds-PLY70679.1 pep primary_assembly:Lsat_Salinas_v7:3:105467302:105468643:1 gene:gene-LSAT_3X76381 transcript:rna-gnl|WGS:NBSK|LSAT_3X76381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRAMMVINNQGKPRLAKFYNYTPVEQQQQLIRSIYSVLCLQPENVSNFIEGGSLLGPDSRLVYKLFATLYFVFVFDSSENELAMFDLIQVYVETLEKCFSNVCELDIVLNYGKMHTILDEMILGGQVVETNSQNIIKAVEEISK >cds-PLY87979.1 pep primary_assembly:Lsat_Salinas_v7:6:171223708:171224106:-1 gene:gene-LSAT_6X104300 transcript:rna-gnl|WGS:NBSK|LSAT_6X104300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNKRRPSSSSTKCKKHPKHQQSPGVCSLCLRERLKKISRSSSRVTTYASSSSCSSSSISSVSSVSSLSNTASPMHDNRKGQISFLKSKLKKSKSMAFVSERTMDNDAKKKSGFWSKLMHSRTMKEMLTTGV >cds-PLY88627.1 pep primary_assembly:Lsat_Salinas_v7:5:141079155:141079792:-1 gene:gene-LSAT_5X61640 transcript:rna-gnl|WGS:NBSK|LSAT_5X61640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPGNIIPSFIRTWIKPEHPEVNATHNPVAADIHAHQNPVDPPPSGFGQPPSVGVIRPFVASIPYGSNQMHNGALPPSLGKLGERARSEPVAPSANKKASAAQQKAGFSKTAAAYKRSHPSANN >cds-PLY68054.1 pep primary_assembly:Lsat_Salinas_v7:5:292761813:292763009:1 gene:gene-LSAT_5X153820 transcript:rna-gnl|WGS:NBSK|LSAT_5X153820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRANQGEWTEVRRRKKPIQNMETNANITSFYVSNLPDNVSKIKIRNSFQVFGKVADIYISGKRDRSGSMFTFVRFEGVKDAKILEMEMSRVRCEHCILKVNIAKYMRKSNAPTATRNHVSLNGAPAHPTHNGHYFQPTVCRPQGNKTFAEAVAGQKFTSTTTTRHVIDLKPIERTRNWDDCVLTGESRWFKWLKHGFCENEEAERITWVRIHGIPVRFRSETNYACIAGFFGKVIETFGITWDVFDISSGHVCILTKSKISINEEIDVKYENNLYKVGVVEYDREWTPFDNNAWNQAWNKKFEYDNDTKEDIDSGNSMEVESSESDEEAISASWEVGEKIIEN >cds-PLY93972.1 pep primary_assembly:Lsat_Salinas_v7:8:233965858:233973544:1 gene:gene-LSAT_8X141780 transcript:rna-gnl|WGS:NBSK|LSAT_8X141780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAVPVEMIDDDDDDFDWEAAVREIDVACGEAIKQSSSGVTTTTSATIYRNSNLHTGSYPKIENNKPSSSRQSTLDRFIGSTGLKSTNQDARHDAQDKVECNDERVSDVSIDPEAAKTWIYPVAENVPVRDYQASITRTALFANTLVSLPTGLGKTLIAAVVMYNYYRWFPQGKIVFAAPSRPLVLQQIEACHNIVGIPQEYTVDMTGQTSPSKRSGLWKTKRIFFLTPQVLNEDIKYGRCDMKQLVCLVLDEAHRAIGDYAYCAVVRKLMAVPVHLRLLALTATPGSKQEKVQQVIDNLQISCLEHRSESDPDVTPYVHERKVDLIEVEMGNDALEVDKLLMDIIRPYVSRLSPFIALPKRDINSFSPYDFLESKEQFHKALPQNISEIKIREIDGILLVLITLYHIRKLLSAHGIQPAFDMLEEKLKQGNFSRFMNTKEELWKAKAIMKQNLDNGVHSPKFQKMLEVLSEHFNKKQDPKKSRVIIFSNFRGSVSEIMKSLSTIGPYVKATEFIGQSSGKKSKGQTQKIQQAVLEKFRAGEYNVIVATSIGEEGLDIMEVDLVICFDANVSPLRMIQRMGRTGRKSEGRVVVLACKGQEVRGYLKKQSNSKSIKKHMINGGTSSFRFHSSPRMIPNAFRPEKVLTKLLIEEYVRRGKKVKNDEAIQTPKYKLKLNNFEIDLLAKYFQPSSENEWRPSLIAFPHFQAFPSRVHQVSHSMRTEMLIDTMQNLQDLSFDNKDEEETSEGYFRTENIDMEHHEVSHSIRDDDEIQKEPESDVLSPMKKPSVHSFLFGSDFTSIDSLGRVMILSVPLFSLTKNSTPQNNIHLEDLQTSCDIINHVFTTPVKSDDDLLESSRRNITETPLADTESTDSKVAELSPRLTNLIMSGVVPESPIDNSDYKVKDNPTMPEIPISLVQNKNNEGEIQNNSSENYVSVCKFVGETRTPVTKLSDDISSKDWMLSSGEKSISQPKSRLKRLRKYGDIKSGNLSDVEEVVGHRSCAQLDRFSNKRGRGDKKVLNNARVFIEDEAEVSSEGSGDEDVDHGQDSYDGSFIDDRINPTVATTQAAECDMMAIYRRSLLTQSPVIRTPQFPMDPSPDIDPMHDDGGSTSRTTNPTHNNTYSTSVSFNAERLSSATGIPTTTTGISSTATGIRKRKLSFSHGESLPIRNLEKEILIDAESTAAKEPPWKAEGGAMDDFDSDEFYRGIDFDALEEEATRQLRSRSEASGKVNDKPNDQNLDFLDCPSFDLGI >cds-PLY95973.1 pep primary_assembly:Lsat_Salinas_v7:9:40951377:40951984:-1 gene:gene-LSAT_9X36741 transcript:rna-gnl|WGS:NBSK|LSAT_9X36741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFFVKCIETVEACLRDAKMEKSCVNEVILVCGSTRILKVQCMLQEFFGRKELCKSLNPNEAVAYGAAVMAAKLSGNSHKSCGDLLLSLLCPLVLNQLEKYLVLSFLGTLPYLPRNPKIMLQLRTINLRHGSRCIKVKEPDLVITICWGSSYFREYHRLQKEMQNLSIVLK >cds-PLY77040.1 pep primary_assembly:Lsat_Salinas_v7:8:151502672:151505460:1 gene:gene-LSAT_8X102240 transcript:rna-gnl|WGS:NBSK|LSAT_8X102240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g57430, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57430) UniProtKB/Swiss-Prot;Acc:Q7Y211] MSAFTISLPFQTPHQQQNPINKNHSFRSPPKPPSSNQKPTSDSRSYSSWIEQLRSHTRSGNFHEAISVYIDMTTAGLRPNNFAFPAVLKAVTEIQDFNLGEQVHGVVVKLGYDASSVTVANTLLNMYGKCGGLNDVVKVFDKITERDKVSWNTFIASLCRLEEWELALDMFRRMQFDDKVEPNSFTLVSMSLACSNLENRHGVMLGKQVHAYSLKIGDTMSFTNNSLMSMYAKLGRIQDSVSLFEMFPGKNIISWNTMISSLSQQDRFQEAMAVFKLMILEEMKPDGVTISSVLPACSHLELLHHGKQIHAYAIRNNNLIENSYVSSALIDMYCNCREITIARKVFDRLVNRSLANWNAMLAGYTQNGFYDSALVLFFKMMEFSGLSANPTTMASVIPASVHCESFHDKEGMHGFIVKMGFSKDGYVQNALIDLYSRIGKIDISRNIFDRLEIKDTVSWNTMITGYVVCGFHEHALDLLHKMNQRDETHEQNLEKVTCKPNLITLMTVLPGCAALAALAKGKEIHSYAIRNLLATDVAVGSALTDMYAKCGCLNFARNVFNEMPVRNVITWNVMFMAYGMHGKGDVAMSLFNHMVAEINPNEVTFISLFAACSHSGMVDEGLELFHKMKDKYGVDPTEDHYGCVVDLLGRAGRLAEAHELITKMPPRFKKLGAWSSLLGACWIHQNVDLGEIAARNLLEFEPDVASHYVLLSNIYSSAGFWKKATEVRKTMIKNGVKKEPGCSWIEFDDEVHKFMAGDFSHPQSEKIHGFLEKLLERLKQEGYVPDTSCVLHDVNEDEKESLLCGHSERLAIAFGLINMPPGVTIRVAKNLRVCNDCHSAIKFISKVVGREIVVRDVRRFHCFKDGNCSCGDYW >cds-PLY66967.1 pep primary_assembly:Lsat_Salinas_v7:7:122526442:122526844:-1 gene:gene-LSAT_7X74580 transcript:rna-gnl|WGS:NBSK|LSAT_7X74580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLETRLSGMRSLIDASPGWWEEKIKENKEYAKFRNTDLSIFDEKYALLFRYSVVIGDQNMTPLQFQNNSNPNEENMEGKGDSDEISLDDDEPFFPSFHESSSSKRKR >cds-PLY72622.1 pep primary_assembly:Lsat_Salinas_v7:6:48271209:48272036:1 gene:gene-LSAT_6X35220 transcript:rna-gnl|WGS:NBSK|LSAT_6X35220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTINKKLLLNTTAVSVGCGGGCRRFNLSKIFNPKPNKYKRNHTHYYPDNHRRHHNHHHSSTSTSWNTTPTTTTTTPTATSFSPNTSESTLDESEVSLRAVQGFGKIGGNSLAVEKDSDDPYVDFRDSMLQMIMEKEIYGREDLRELLNCFLQLNSPYYHGIIIRAFTEIWNNVLASKLMHGERSMYM >cds-PLY66552.1 pep primary_assembly:Lsat_Salinas_v7:4:341931285:341932239:1 gene:gene-LSAT_4X168341 transcript:rna-gnl|WGS:NBSK|LSAT_4X168341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEGKDSRYLSFDIDERRLDSKIYTSWTLAPNFNNNRKWVKHMVEFKIDSNEKEGDDSDMELYKVNPRDFFIPTQENWLLVTADYSQIELRLTTHFSKDQSLIDLLTKPLGDVFNMITAKWSGKEESLVGPKERDQTKRLIYGILYGMGANSLAEQLEWSSDDARDKIQSFKRSFPGVASWLKEAVAD >cds-PLY96224.1 pep primary_assembly:Lsat_Salinas_v7:3:197428722:197429346:1 gene:gene-LSAT_3X117300 transcript:rna-gnl|WGS:NBSK|LSAT_3X117300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILHAPGDIIKVGETLLQLVVDDSAVPFNDSDASVVSDGPKSDEHQLELRKSHANDNLSTPAVRSLAKQHGIDLADVTGSGKHGRILKEDVLKYDVEKGIIDDKLAFNPTSIEPMSGPEEQLQEMVESLYHDKIFSQRLISVQWLGQ >cds-PLY98235.1 pep primary_assembly:Lsat_Salinas_v7:7:173196281:173200468:-1 gene:gene-LSAT_7X103460 transcript:rna-gnl|WGS:NBSK|LSAT_7X103460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHSRKPDSGLGFDTLSDRLRKTVTCGGDNTNKPDFRELDLGSPISPLRDGPAVSSSSSSSGSVSGRTGNGLQVGSGTRKSDSSGTPNNHSGELSVDSSPTFSVGGARGVKSGHTRSESGSVSGGHPSIFTGGSSATSPPVNVLPTGNICPSGRVLKTGMMTSRTSKPDVLSLGTGNYGHGSIMRGGLGSGSGSSSSPTIKSTVSGGKPETPVSGVSNSPFSKRSSMDPEDLKRLGNEEYKKGHFLEALSFYERAIAQSPANAAYHCNRAAALMCLKRLTEAVKECDEAIKLDSGYVRAHHRLGSLLISLGQVENARAHLYFPGYQPDPKELQKLQLVEKHLSKCTDCRRVRDWVGVLRESDAAISSGADTCPQLFACKAEALLKLRQLDNAEATILNMPKFEASNSASCSQAKFFGMLSEAYLFFVRAQIDMSFGRFENAVTSIEKSGQIDPRNVEIAVLLQNIRSLSRARTRGNDLFKSERFTEACSAYGEGLRFDPSNPILYCNRAACWFKLGLFERSLDDCNQALLIHPNYTKALLRRAATYSKLERWSESVRDYEVLRRELPNNNDIAESLFHAQVALKKSLGEEVYNMKFGGEVELITGLEQFKAAIASTGASVVLFRTTSDLQCKQISPFLDTLCAKYPSINFLKVDIEESPEIASVENVRIVPTIKIYKKGNRVKEMVCPCREVLESSVRHYSF >cds-PLY83049.1 pep primary_assembly:Lsat_Salinas_v7:8:193813603:193813854:1 gene:gene-LSAT_8X125220 transcript:rna-gnl|WGS:NBSK|LSAT_8X125220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVRRNLQLDHPEDPNILERLLLSLGELFVLFNWSESGDVAEDGNARMEERAKASHKAFDDPFSLPLSSLAPKQKQPPPPECN >cds-PLY93916.1 pep primary_assembly:Lsat_Salinas_v7:7:180151348:180153358:1 gene:gene-LSAT_7X106941 transcript:rna-gnl|WGS:NBSK|LSAT_7X106941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSSNVRILMEKVTILVLLLVSLHIQKIYTAEIDLISDSRFLTEPDTLVSPAGIFELGFFRPGSSENKYVGIWYKKISDQTVVWVANRDFPLPVNAIAQLDDTGNLIVKERIEEKILWQSFDYATDTLLPGMKLGRSFLTGKEWQLSSWENSQDPAPGEFTWSTDTNGFPQDLLKLDMVINGTEVVYSYLLRNSSVVSRLVLSSSGQLECWVGMADGKKWQLFFQLQRDFCDGYNICYAYGTCSVSTSQRCGCLDETRFVPRNQKAWEISDWSGGCVRRTPLDCRTDGFIKYSHVKLPDTRTLWYNMRMNRKECEEKCIKNCSCMAYSDTDIRGEGSGCLLWFNDLMDIRVFSQSNNGQDIFVRMAYSELGIHILHEKKERANLKIILPVVFLGVLLIGVSSTWFHYACRKSDDQQLWEGSGEFLDVGRSQRDAMELPLFSFSTLARATARFSPENKIGEGGFGSVYKCVLERPESLQHQAKDLMSLRMKSFAFQNFSTEILLDSSDVAYREMKSC >cds-PLY99655.1 pep primary_assembly:Lsat_Salinas_v7:6:81511892:81512392:-1 gene:gene-LSAT_6X57940 transcript:rna-gnl|WGS:NBSK|LSAT_6X57940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHNLEGFSISPFKLLKCSTGTSDNTVFCLDNWKEEGILASLFPFLLDLDKKKLCLVLEMLTRDGVIWRWKKKPKHPLEIVEWDQLHGIINNYPISTGEDAWSCTLALNAKYCVRDLRFAIDSKTNGGGGVNNPTVWIMAVHRKIYVFSGARVCIASPRRWPYRVME >cds-PLY96859.1 pep primary_assembly:Lsat_Salinas_v7:2:110434028:110435939:1 gene:gene-LSAT_2X51801 transcript:rna-gnl|WGS:NBSK|LSAT_2X51801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNWMHEFVLFRQHGFPKNIWKDKKPFSSEELEERVKTWMRTFGDKVKPFCKAYNESTTKGKERLDVTKGKERLEVTKGKESMRKGSWESITQPFPSHIRRIENTDQLCVDGHDGHLHWQNETEETIVAFDLGLETFREIPVPDSLLDSNHIAHRLGVLGGKLCEISWQKNGAWEVWVMEEYGMPELWVKRYVFPKFINDGWRFPFGFTSRNELLLVDCRGRLVLYDPISNKAKLTSTEQSAHRIVEYVDTLFWV >cds-PLY95898.1 pep primary_assembly:Lsat_Salinas_v7:3:185520192:185528231:-1 gene:gene-LSAT_3X111340 transcript:rna-gnl|WGS:NBSK|LSAT_3X111340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSIMIKGLCKSGNNDTAIALLKLMDEKGCKPYVVTYNTIIDSLCKDKMVDDALNLYKEMVFHKGILPDVVTYTSLIHGLCNLCRWDEVSKLLKEMEDERISLDVHTYSILVDALCKEGKVEDANCIISLMMQRGKDPDLVTYNSLIDGYCLRGEMSKAREVLNLMGSREEAMDLFHEITKKGGMQPNVITYGIMIQGLFQAGRCEAACELFNEMQPQKLIPDESTYRIVLKGLCNNKQVDEALTLFHLEGANKIKSDITIYNILIDGASKSGKFDIARNLFNELTIKGLPPNIWTYNTMISGFCREGLVSEAKEFFLKMEERGYQPDSVTYNVLLQGILKYVHHDMVEVLLLEMEGKGFSLDASTVAMLLDHIKARSLDASCFI >cds-PLY64278.1 pep primary_assembly:Lsat_Salinas_v7:7:3002789:3004689:-1 gene:gene-LSAT_7X1981 transcript:rna-gnl|WGS:NBSK|LSAT_7X1981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIDGSFGVEYELQRFLLRCPKLAAALQLDNLVEKGKILTEKELIDGVAELLLHPRYTIPLLGCFRPIARKIVDRVVALLHLVPDLTSNSNDSMIEFDDGRLFKDNESSDYEQDISVINLYVKYGRGLGLHELSCLAFSRTLDMLPYLTGSVKDYFKFAPPPFKRMMEKESMAQSLSLVQPGTHLLDAVQVSYRLLLAEPEVFAKLWDWSCYMDLIHEITSLNNGENTEILMDIRWCAVQTLTMVLNMSDKFIRKSKTSDLATSDFGFDDEKAYGCLLRWKEFLQDVSLEKAGWYLEPFGENTSVGQPNWSNMEAKWDTLKTGSPFVLTSAVKKSFEMVLLAVSQRWPVLLYGPAGAGKTALISRLAQGHGSQVLSIQMDEQIDGKTLIGTYVCAEQLFNTFLTKLSNWGAATSLGHQRRNLCSSYSTQKLPSIAIYTSTCLQIELELLL >cds-PLY70338.1 pep primary_assembly:Lsat_Salinas_v7:4:101376669:101378077:1 gene:gene-LSAT_4X65980 transcript:rna-gnl|WGS:NBSK|LSAT_4X65980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGRLIIFVSLGIGCNVWYNFIPGVPEVAPPGILVPRELPYDSFKDVSFDIAPFMKMSYVEYKYISDQVLSAFDNVYPFNEIHLPSGNTAEGSNARVALGLGIIVAAFLGMVQLSEDIDFLYNDLKSTQKYNLSKKELCNLQQILLSGFYTLSPLRLRRISRDDLLEFLFATLPDFPDLTFYPSRDSSHYIVVFPSKKEDVLVFMALSIHFYRLTYGYVPKENYRLLDRLGLFYSGIQNMGKVSRLYQINMDPSLQLIPESLVLDGVKSFVGADSVCYKLVSSFLNLETFDEDGRKICFGCMPIVGEINRVLFNLTLMEFDRQFSHKYPGIAFERFIGMVFIACTDDLRIDEYQVFDMIQDLGLSCSIEYKEPGLEPLYCRNRMVALDYEGKVVVYNPTDYYPTDYG >cds-PLY99064.1 pep primary_assembly:Lsat_Salinas_v7:6:150637474:150639839:1 gene:gene-LSAT_6X91661 transcript:rna-gnl|WGS:NBSK|LSAT_6X91661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVDDDKKSRFLHLVLINRTCFCQHLDVVILSHLEEYTAFSELLPLLAVGTTPLLKVDKNCQQISATTLSIDNSITFSTPFATFTSNASANTEVRSPSRIHEGSFQPPKRKSNSLNPLQEVVASLAGAISGQAPLKIKILGERTKSWLLTTYFDKDLRISRDDVGLFVLLSTFLSFGSDTYEPMQFTYLFALYVELSPSIWGLLIPGMKLGPHCHCQVVYAFDPTELMPKSLLVIHSRWTATQYTRWQTNDMDDF >cds-PLY97600.1 pep primary_assembly:Lsat_Salinas_v7:5:237638449:237640625:-1 gene:gene-LSAT_5X112341 transcript:rna-gnl|WGS:NBSK|LSAT_5X112341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANGMTSALILSSGSMEQHQPHMLMKNTNTLDGPIAILWDMENCPVPNDIRPEDVAGNIRMALRVHPIIKGAVNMFSAYGDFNGFPRRLREGCQRTGVKLIDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFSPALHVLGQRGYTMILVIPSRVGVSVSSALSNAGSYVWDWHALVRGEGFVTPSRSHVKNIDEPKNEEEAIVYKGISLPECNNLSKSYSLPSASNSNNVMWVQPGDLIGLKGQLMKLLEHSGGSLPLARLPAEYQKSFGRPLYVSEYGSGKLVNLLKKMSDVICVEGDGQKKVVLLKKCSIVGTIKDDKKGKQGVGSSDDDEFDDDERVVVEEQSIAILNEFRYELQEILVSYSCKILLSCFEEIYQQRYKKALDCKRYGVNKLEELFEKVNEVVALHEEPVSKQKFLVAAGGL >cds-PLY94790.1 pep primary_assembly:Lsat_Salinas_v7:2:179571494:179571655:-1 gene:gene-LSAT_2X101581 transcript:rna-gnl|WGS:NBSK|LSAT_2X101581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTKMNYNGGHFQQKSSISSLLGLGFHSRSHSCPRRIEMKLEVAGATTNPSSD >cds-PLY96437.1 pep primary_assembly:Lsat_Salinas_v7:4:343428344:343428974:1 gene:gene-LSAT_4X169620 transcript:rna-gnl|WGS:NBSK|LSAT_4X169620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAPITFEKFKVHGDMNTVPGDVMASIKKNRVCLKGEFIMPVGGGVNSLNLLLRKELDLENTEGEYSGLEHEVVPGVVESLKVITKFCSERIEQYAFEYAHLNNRKIVTVVHKANIMKLADGLFLESCREIAKRYPNIK >cds-PLY89296.1 pep primary_assembly:Lsat_Salinas_v7:2:50014610:50016439:-1 gene:gene-LSAT_2X23681 transcript:rna-gnl|WGS:NBSK|LSAT_2X23681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRQDPRARDDITGKEVRAEEAARTAADELRDVNKQRGVSGGAGEVVIEHHETPSDQNRPGIIGSIFQTVTGTFGSAKDAVIGKTHEATEKASVVSGEAAERERQNREETARKAEEYKDSAAQKAKEAKDTTMGKAGEYKDYTAQKAKETADSAAQKTKVAKDTTMGKMGEYKDYAAEKTKETADATAQKAKQTADSAAQKTKEAKDTTMGKMGEYKDYAAEKTKETADATAQKAKEAKDYTADKAIAAKDYTADKAIAAKDYTAEKAKEAKDATMQKMGNAKDYTADTAVAAKDYTADKATEGKDTAVGKMTEAKDSATGIARKAMDFFTGKKDEAKEKMTETSEATKGTLKETEEDARRKMETMHLKDQGYEARGTGVDISGGGKRDDVVRMTEDTADALRKADQMTGQAFNDVGGFDEDLGEAKGVYRVQVKKETHRK >cds-PLY85249.1 pep primary_assembly:Lsat_Salinas_v7:1:165103224:165107882:-1 gene:gene-LSAT_1X111240 transcript:rna-gnl|WGS:NBSK|LSAT_1X111240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKKKESEGIALLSMYGDEDDDMDEDLDNDDTTNDDNAVSTNNKEQNEVGANETVHMEEDDAAALNNSNIMGYINASSIGPVVNLDSANDDTIPDSIDNSSLNTPPPPPAQQAISAEPIRGREGTLTIVDYGHDEAALSPEAEDGEIIATGRVMFGAELQTANGAGAGTPPGTTVEATPQLSESHSQSEKGNESESEEAVNNNITEDKEIDPLDKFLPPPPTTMCPDELQEKIIKFLLLKKKTNRSFNSEVRNRKEYRNPDFLTHAVTYQNIDEIGSCFSIDVFNPHGYDKADFYDEIEADLKREAERKEQEKKKNHKIDFLSAGTATQSTLPIPTPKTVPIPAAVGGGGGTNPVSAGAIDTGTREGRLNKKSKWDKVDGDRRQDSSHATALLSGSAASANNAGSGYTAFAQQRRREAEERRSIDRKLDRRS >cds-PLY64690.1 pep primary_assembly:Lsat_Salinas_v7:7:135478482:135479058:1 gene:gene-LSAT_7X81040 transcript:rna-gnl|WGS:NBSK|LSAT_7X81040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAFAELIRQRVSERNPNLFEFFNGVPVDPNANNILMGTGLEDLIEQLIANNGRQGPPPATQSAIDSLPVIRITNRHLQMESHCPICQDKFDLGCEARMMPCHHIYHSGCIVPWLLEHNSCPVCRLELPPQGTGNISNNSSNSRNTQDNDQSDGRMNPLSFLWPF >cds-PLY67481.1 pep primary_assembly:Lsat_Salinas_v7:6:73791396:73792973:1 gene:gene-LSAT_6X52001 transcript:rna-gnl|WGS:NBSK|LSAT_6X52001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMSLVHSANTLNILDFVVNKGHGVKGLSELGLKTLPPQYIQPPQERFDHTSNEEENKDSIPVIDMSNWDDPNVAKAVCDAACKWGFFQIVNHGVPIHVLEDVKDATHKFYALSAEEKNKYSKERSVSNHVRFGTSFTPEAEEALEWKDYLSLFFVSDDEAASLWPPVCRNQALEYMKSSEFMVKKLLEILMKGLNVKEIDESKEAILMGSKRINLNYYPTCPTPELTIGVGRHSDVSTLTVLLQDDIGGLYVRNTETSKWVHVPPVSGSLVINVGDALQIMSNGKYKSVEHRVIANGTNNRISVPIFVNPKPSDVIGPLPEVVGNGEKVLYKHVLYSDYVKHFFRKAHDGKATVEFAMI >cds-PLY96652.1 pep primary_assembly:Lsat_Salinas_v7:7:43122928:43126292:-1 gene:gene-LSAT_7X29720 transcript:rna-gnl|WGS:NBSK|LSAT_7X29720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLLKKCVPCDKKDLSPMREETTNRFRLQVPKWEWVSDDHGVMKLRRKWKVKTFLQGLEFFKVVANLAHAEDHHPDLHLVDSLNITIELCTHACGGLTENDFILAAKIDKLPLQQFLRHM >cds-PLY84976.1 pep primary_assembly:Lsat_Salinas_v7:5:155901407:155907988:-1 gene:gene-LSAT_5X68480 transcript:rna-gnl|WGS:NBSK|LSAT_5X68480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIGNKLYLKDIEVIADDSHLKLRVLKIWNFIKNNQVLAIEMIVMDEEGTKYQSRVFNQNFSKFRDLLKEGESYIILKPNMAAVKNGFSVTGHKQTLTLDWKSIVKKCDDFSGPVNGFVFADFNSIIEQKCPRDSFFDVIGQIVSFRPLETRNPNPSRHYIKMTISNLQLKADNNGGMSEKSITTLPSYSSSYIDDFKGDFPLKTDCEITEPLKEMKFLLVGTIVNIRQNLPWYYDACYKCGRRINNVPQTNISYTAPGKMEDSVVIKCKNAACNDSNFHTVLKYIIPINVQDHTSTIGFTLFDREAKRLLEISAFELKKIHEAAGDSLELFPNQMNVLKNRKCAFLVDITSYNVTNYNNIYTVVKLTEDVSIVSELENEVVQNVQKDVISQTDESFTPSTADKSTATSPMKISGDLKRNLHDIYDVDGGGDLSSTKSISSKSGKWKNEIRGYDRLFSPNGNYVAEGVVIVDEIFHLQETLRC >cds-PLY98661.1 pep primary_assembly:Lsat_Salinas_v7:5:303488127:303489367:-1 gene:gene-LSAT_5X163161 transcript:rna-gnl|WGS:NBSK|LSAT_5X163161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQSQAVFSPGHVIIPSSRVKTSSDGLHLPSSNVHLLRRTNHRRLQTPIRSSTNFTFDLKGSKGMSQFHEIELWVKDYELDQYGVVSNAVFANYCQHAHRELLQKIGINIDTIAETGNAIALSDLSLKFLGHLKIGDRFTMRVRISHTSAARVYFEHLIMKIPDEEPILEARSTIVWLDKNYRPIRVPPEVRSKVAQFVLHEGKSDSIFVGGK >cds-PLY98706.1 pep primary_assembly:Lsat_Salinas_v7:8:9525807:9528032:1 gene:gene-LSAT_8X6721 transcript:rna-gnl|WGS:NBSK|LSAT_8X6721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGFPGGHPDYFNINIGTNNVSGRSMSMMNMTNNPQGSYRSPLAGILADPSSQIGLRRPELMGKRSLAEFQHHHQLQQQAAFFLRNVKQRPYNQHASPVSPLSLVDFPNSPEVSSVSNMSSSSLSSVPRYGVPVMQQVHPASVQSFNLGNGNFNGVMGNYQHNNRGNFPRVSLPNLAAKQSAASQETEGKMMKRLQELEKQLLLDDEDGENDVSGVTNSEWSETIHNILGSTPVVKAENTVSPSPTSSSSSSCASSSASPATPICPKQLLSDTAVAIADGKNDSAMEILTRLNQVSNALGTPEQRLSFYIASALRSRLSGNPTTASELYGKDHIVSTQLLYDKSPCFKLALMAANDAILELGQAENSIHVVDFDIGQGVQYVYLLHEIAAARKVDKETNISLKLTTFTDFGNGGADRLKLVGDGLSSLSNKLGVPFSFNISTLKLSDLNASALMVEPREVLVVNFAFKLYKLPDESVTTENLRDEVLRRVKGLSPALVTVVEQDLNANTASFETRVSQSCGYYGALLESLDATIGRDNSERVKIEEGLSRKMMNSVACEGRDRVERCEVFGKWRARMSMAGFEPKAMSQLRAETLVSKVNSGTRGHPGFTVKEEAGGINFGWMGRSLTVASAWH >cds-PLY61752.1 pep primary_assembly:Lsat_Salinas_v7:5:302922690:302924301:1 gene:gene-LSAT_5X163761 transcript:rna-gnl|WGS:NBSK|LSAT_5X163761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPYERVHGSWCMLVGIKIQEKGLEQFIVTAGYDDIGGSNVITSENKKVVESSRILEKLKKKGIDEERDKELLVIAKKGKYVVSKDLMLNWLRDKFPGANGSGSEVTYGELYQMEEFLLRSFKVLDDSSDGFTEGLKVELANLNSKGYNASFASGGCITSDHYENGWEVVKRGVNTHVIGEVPHKFKNVFEVVKTPREGPNFHYQYFSSGVMSNKNGTTDEVVKMILEELEPLGAVEQVEEVIVGHATKQGFELEEMEREQQQQESLKKKIEEILVNSLNLLMLHMKIELLRVYLSLWQPHLNRNTRATHYFLHLEDKVKVWAVGIDKPHGLLVYGFEEVAINVENEENMENDIVF >cds-PLY65711.1 pep primary_assembly:Lsat_Salinas_v7:5:273096372:273098524:1 gene:gene-LSAT_5X142901 transcript:rna-gnl|WGS:NBSK|LSAT_5X142901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVAATGDANSTHTEQNPGIRQEKIVLRNNHGEKLVGILHETGSREIVILCHGFQSTKETNTMMNLASALEKEGITAFRFDFAGNGESEGTFQYGNYCREADDLHSVIQHFSEANRVTSAILGHSKGGNVVLLYAAKYHDIHCVINVSGRYKTEGGVEERLGKGYLEKVKKDGFIDVKAKTGEVLYRVTEESLMDRLNTNMHEACLQIDKDCRVLTIHGSNDSIVRVEEALEFAKIIPNHKLHIIKGANHGFSMHQNELVSVVLSFIRECSR >cds-PLY62332.1 pep primary_assembly:Lsat_Salinas_v7:4:88118431:88119995:1 gene:gene-LSAT_4X57480 transcript:rna-gnl|WGS:NBSK|LSAT_4X57480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAPEDDDNSNCFFDFSLIEQIPVPGGELPPLESDFHWSSNPFPGPSNLSSAGFLDSSGKPNYSNELGSRKRANPGLCSSTDTKACREKRRRDKLNERFQELNEILDPGRPPKTDKTVILGDAIRRVNQLRDEALKLKESAQELQSKINELKAEKNELRDEKQKLKAEKERLEQQVKAFGRSPAPAAATAAXXXXXXXXXXCQYLFRRTTWWMGSLCRSWDTMEFQCGLLLLLLLLIPQRIMFIVHLLLKKNQFFFLNNFFFIDGFVGY >cds-PLY97927.1 pep primary_assembly:Lsat_Salinas_v7:3:18213562:18214891:-1 gene:gene-LSAT_3X13961 transcript:rna-gnl|WGS:NBSK|LSAT_3X13961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKMSTDELTNRRHAHMVRSMAYNVGFDKRHKTELEEARGLTVVASTEVSEYKTKYELTKKNQQEHDRLVRQVSNLELEVANLRVSNSKLNGRLTHSRNDMDWMLQERISESFDKAMCSETFMSNSKRLYKAYNDYCLEHVCKLMKEKYGFQIPEHRMPSGSYEELQHALPTSPNEDYLASSGLDQSSFEAFKASLIEEEE >cds-PLY66093.1 pep primary_assembly:Lsat_Salinas_v7:1:182971071:182972511:-1 gene:gene-LSAT_1X119060 transcript:rna-gnl|WGS:NBSK|LSAT_1X119060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGNIFREIELPEQKPDSDGALFPAVLSPISNADFTSTAKLNGFEEAIKAHKPWLESLLQKRGAILFRGFPVNSTSDMNDVVEAFGFPEAFYVGGRASRTKILGRIYTTNEAPQDKTIPFHHEMAYVPDYPSKLFFFCQEAPRSGGETPIVLSHIIYDKMKEKHPDFVEKLEEHGLTYTKIMSDEDLPSSFTGSGWKSAYMTNDKNVAEERAAKLGTKLEWMGNSVKTITGPVPGIKFDKGSQRKTWFNSLANSYGGPANAEIYDDSRSIEFGNGEPLSDSAMKDCLRILEEECVAIPWKKGDVMLVNNLMVLHSRRPLIKPPRRILVSLCK >cds-PLY72322.1 pep primary_assembly:Lsat_Salinas_v7:4:60846364:60846702:1 gene:gene-LSAT_4X42560 transcript:rna-gnl|WGS:NBSK|LSAT_4X42560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSPLPIHLCFFLIILFMFVSFSWYSNYESMFEGAFDNLKLFFIASPVLLLVVLHMISTFDTTGRSPFFVPDNDSPTSGTPWGVGLVLVLLFFLLSYQSDLRERWFPLLS >cds-PLY66174.1 pep primary_assembly:Lsat_Salinas_v7:4:34719228:34721574:-1 gene:gene-LSAT_4X24381 transcript:rna-gnl|WGS:NBSK|LSAT_4X24381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHYRSKYSSFQLFAKLLMMYDHSTSPERIEKKIQNAPPGQGTVRMLSREEWEKFREVQPRTPFESKLARPNARIRTWEPLHMCVAILLEDVKDWTVDVLTDAFTRAKECVKQGSNSKTLLII >cds-PLY82332.1 pep primary_assembly:Lsat_Salinas_v7:1:68419728:68420616:1 gene:gene-LSAT_1X60220 transcript:rna-gnl|WGS:NBSK|LSAT_1X60220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQIQGMVSLLVSQLAAQWVILDPNGLVTSLVTPPSTIPKDDEKTTTPKLPVKHKCINSASVLKQFVPNKKIQKNLQL >cds-PLY70161.1 pep primary_assembly:Lsat_Salinas_v7:3:10646601:10647645:1 gene:gene-LSAT_3X6421 transcript:rna-gnl|WGS:NBSK|LSAT_3X6421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSCVGEGKDSSRKHSKNTHDMFKDNTSRKNWRIRCRWWQTRHFSGYVAHTILNPESSVGATPRVYYTIYVFFVFLFNIFDIAKMRKEIVEYLNKMGARIPNINPGKAIVKRLMTDVPFGVLLSGGLDSSLVVVVASRHLANSEAYWQWGSQLHTFCIGLKVS >cds-PLY78741.1 pep primary_assembly:Lsat_Salinas_v7:9:48944520:48946835:-1 gene:gene-LSAT_9X41421 transcript:rna-gnl|WGS:NBSK|LSAT_9X41421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTIIVPAHATSITDDVEQLHKAFSGWGTNEKLIISILAHRDAEQRKQIRETYAATHNEDLLKSLDKELSNDFEKLVLLWILSPPERDAYLVNEATKRWTKSNQVVVEVVCTRSSHDLLLAKQAYHARYKKSMEEDIAYLTKGDFRKLLLPLVATCRYEGSEVNMTLAKTEAKLLHEKLDDKCYNDDDLIRILTTRSKFQINATLNQYKNIYGEDIIKELEEDSKDEYISLLRATLECLTYPEKYFERVLRLAINKTGTDEGALTRVVATRAEVDMKVIKEEYLKRNSVPLDKAIAKDTRGDYEDMLLALIGCVDE >cds-PLY75127.1 pep primary_assembly:Lsat_Salinas_v7:4:61768212:61771302:1 gene:gene-LSAT_4X41940 transcript:rna-gnl|WGS:NBSK|LSAT_4X41940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNRCSPEALLSIILGMSKEQKEFVRSMGFGALLKMKITDIPLNLGFYVLQKFDSERMVIDIEGKEPKVTAESVHDMLGIPIGGTKLTQLDQWPKDDTSYDEWKQQFQKDSIIRLSAIKNVIVSTTQADFNFKLNFLVLFVNTFCESTSMGRCNMFPLSYISRRTDISNIDWCSYVLDGLVRTKKLIHTILRYQLLCWTFSFLGVIIHVQLFYADNIQSEALTVTRKRPTICYWSSEKIRYREAFEQEKGRFGLGEINEEFVDEQDEGDTDLEDNDYDKDEDHSVEEKLNSKLNDAMTKFPEKESFRSFKEKMTNMIVEEKTESTTLFNFPINETGVEGINLTPITGQKTNDQKENEDKEGNDEEDSDNDASQPEVDYLFDSNEAENEGIKNDADKNKKSQEDEIWNHPEMKTIFDNIDIGSPMSTGKTNTLAEKEKSKGVHQQGTKVEKTKGDDTGKENSEDRNEGGTEAKNTKMKVKKNIQKLRKEIQKIKERKSQKMKTRKEKKLTRQKEIKEKVVIRLAKKNVLNPNQISVSIPTEVGPSKHDLDQPREKKLADAFKSPFKCRITDTKPKLTHQESIICEWLFNLQGNTSDVVVQTKYGQITERAIMESLYANT >cds-PLY96168.1 pep primary_assembly:Lsat_Salinas_v7:7:114559712:114560023:1 gene:gene-LSAT_7X71141 transcript:rna-gnl|WGS:NBSK|LSAT_7X71141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPYLKIKLRHTLKPEAMAFGKDAVLRYVVVACWMRAFNLRVPITSTPFFVCLNVLFSQTWFVQFNITLLPTPN >cds-PLY86275.1 pep primary_assembly:Lsat_Salinas_v7:8:57688804:57690719:1 gene:gene-LSAT_8X41961 transcript:rna-gnl|WGS:NBSK|LSAT_8X41961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANYYDIDDILADEELVPAVFLEAVNGVGLFESNEANRVEPRSKVELPFWLARELHLRQAVSVTIPPCFNKKTREEIGADGAHVDLRSRSSYFYELGCKIVQLMGDKSIGSLLLVAFQTRYKEVLIKAHTAASSLTPKFLSSLTKEETKLYDAAKSSTAAFKTWRMGGPRFQKAAVLGRKRKPIGGE >cds-PLY92564.1 pep primary_assembly:Lsat_Salinas_v7:7:159344660:159347299:1 gene:gene-LSAT_7X95281 transcript:rna-gnl|WGS:NBSK|LSAT_7X95281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDANDGMISIGGGINAKELDHALMVEMASTAGLDLGSEAVSDDQITPLLTQQPEKPRLDIFSVSYPKRKTNKDQIPRLTESETSPFTQFIMWSWSGSRYSGLVCMALSSSVYCIMTILSNVFSAQAIPLFEIAFTRCIIISALSFMWLRRSSQPLFGPVNATSLFVSRAVTGSISLITFIYCIQRLPLSQSMLLSFTIPLTASISARFILHENLKLAEIAAIVSSFFGVIFIIRSMVSVQGTEGGEQVDGIHYFYVFLIGLLSSLTGGMSYCFIRAGAKQSDQPVVTIFSFGLVSTPAAAICMITFEDFVLPSFYSFFLMIILAILAFVAELFLARALQLEKTSKVANIQYLEVALSQLWGIASSRVTPTFGGFIGSFIIFISISCTMYLGPEKDME >cds-PLY75973.1 pep primary_assembly:Lsat_Salinas_v7:5:248309024:248309209:-1 gene:gene-LSAT_5X124720 transcript:rna-gnl|WGS:NBSK|LSAT_5X124720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTNGAPEMGAQRVCCNVEWMCNFYGARLTIRAKCFFFTEAKEKEPTEDGDERRVGGGSER >cds-PLY88862.1 pep primary_assembly:Lsat_Salinas_v7:5:120039329:120041325:-1 gene:gene-LSAT_5X52660 transcript:rna-gnl|WGS:NBSK|LSAT_5X52660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCMVQSIVTGFAEVVTIHVLNGSIPNGVRLRTDRRQKRPPVRYACNPTNSLNRYLKREIGKLDCTETRLGSGILVLERRFVKLAGNCFYPEKSHRRLISSLSPRFHVSHKGRILEPVEKLKGGLRSRSPRPRSRSPPRRSRSPSRSRSPEGGGNEKASTSSPYSHGRANSRSPLQRSDSSGLPGPMGLMSTSHLEQEAAVLALSTLMTIAPAEVYAEFEKVAPTKNVSAFLASVGK >cds-PLY97254.1 pep primary_assembly:Lsat_Salinas_v7:1:43876021:43878408:-1 gene:gene-LSAT_1X37860 transcript:rna-gnl|WGS:NBSK|LSAT_1X37860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESPENDRIPLEQAEQIVLRWDSTVSEEARARMIFESDRPEIDQYLQAVDEIQRSMESTTLSDDDGADEESKKVNTTIQIAMARLEDEFRNILISHATPIETESLTESISSNHLTPRTSSSFSEFHENDDYSNRGEEDGSSRNGSSSFLERGESSTTIASYRSMSSIREIDLIPSDSIYDLRCIADRMIAAGYFRECVQVYGSVRKSAVDASFKKLGVEKLSIGDIQRLEWEALNSKIGKWIRAAKVCIRVLFASEKRLCQQIFEDLGTAADDACFMETVKGPAIQLFNFAEAISISRRSPEKLFKILDLHDACMDLLPDIDAVFFFKSAESIRVQATEILSRLAEAARGMLSEFENAVLREPSRVPVPGGTIHPLTRYVMNYISLISDYKQTLGELIVSKPATGSRYSDDLTTPDMDFTDHEGQSPLALHLIWIIVILQFNLEGKSKHYKDNSLAFLFIMNNVHYIVQKIKGSPELREMIGDSYLKKLTGKFRQAATRYQRATWVGVLYCLRDEGLHVSGSFSSGVSKSALRERFKSFNTMFEEVHRMQALWLIPDTQLREELQISISEKLIPAYRSFLGRFRTHIENGRHPEQYIKYSVEDLETAVLDFFEGYAVSQHSRRRSQ >cds-PLY91959.1 pep primary_assembly:Lsat_Salinas_v7:2:20414687:20415998:-1 gene:gene-LSAT_2X11321 transcript:rna-gnl|WGS:NBSK|LSAT_2X11321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDELPFHIQEAILKRLPIKSLIQLRSVSRTWKSLIDSSEFIAAHSVSHTQPQHLFVSYSSEEQVPKFVSFVDDDSFPQHRFVPSLPLSIRDPQIVGSSYGFFCFKGFWFLFSXLCFKGYRFSGSSYRKRIAVLLNPSVRKSIAIALPDMLYTNHLIVLGFGVCPVTIDTKIIQITQLHWSWGNELKSEIGNFWEVKVYKQSSGKFTSLSGNLPTISIHIRGRQVVIGKSIYWCAVDCTLVDSVFQTRNLIMSFDITNENFQVVDLPERMAIITFDLLSVSKLRESLVILEYNKSGYDDTIEEQLCCTVWMVEHGVERSFTKLFTIKAPGDLMRTVGFRRKGGPIMEVQDYMFESTEELVVYEPNSELSNHLISGYRFTVNSYIETLVLVGISDCSSY >cds-PLY77448.1 pep primary_assembly:Lsat_Salinas_v7:4:310561257:310561727:1 gene:gene-LSAT_4X156660 transcript:rna-gnl|WGS:NBSK|LSAT_4X156660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDTLEEREWIFKRFDDNGDGKVSAAELAKNLKTLGCVSDEQIQRMMTKLDTDGDGYISFQEFTDFYNANRLLMKDVARLL >cds-PLY90258.1 pep primary_assembly:Lsat_Salinas_v7:8:14654346:14656518:-1 gene:gene-LSAT_8X10781 transcript:rna-gnl|WGS:NBSK|LSAT_8X10781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAREWTGIQLFPLATQTKLLELLGDLKTKERDSLTILVMGKGGVGKSSTINSILGERAVAVSAFQSDVPRPVMVSRERSGFTLNIIDTPGIVEGGYVNDQALDLIKRFLLNKTIDVLLYVDRLDAYRVDNLDKQIVKAITESFGKEIWHKGVVVLTHAQLTPPDCLGYDDFFGKRSEALLKVVESGGRFRKREIQGHSIPVVLVENSSRCNKNEREEKILPNGSAWIPNIVEVITKVATNESSSILVDQKLIDGPNANERGKIFIPLIMAFQYFFVVKQVKKWIKDDIAKQPKSSWE >cds-PLY72383.1 pep primary_assembly:Lsat_Salinas_v7:4:147459220:147461233:1 gene:gene-LSAT_4X91381 transcript:rna-gnl|WGS:NBSK|LSAT_4X91381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVDMDTSPSYFDPQDLSLRERFRRYGKRNSPSPHQENYQSKFSNAALYLENIKKEVDHSDGDQSEGINARTQSSFKRRSPSVDSRGIAEMAIANDSVGRSRTHSLKACKLEEDASPDSGDATFPLFASLFDAALQGLMSIPDLILRLEGACRNVSESINNSCTERHRIVEDKLMRQKARVLLDEAASWSLLWYLYGKGNEELPENLILLPTTSHLEACQFVAADHKAQLCLRLIQWLEGLASKALDLENKVRGSHVGTHLPTSGVWNHTQRFLKKGSSNDKIVHHLDFDAPTRQHAQPLSDDKKQDESLLEDVWALLRAGRLEEACHLCRSAGQVSII >cds-PLY88461.1 pep primary_assembly:Lsat_Salinas_v7:8:86682196:86685821:-1 gene:gene-LSAT_8X60221 transcript:rna-gnl|WGS:NBSK|LSAT_8X60221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSTKKKFNKSKTRKPTKQSLFVEGGLLSDWSPLITSPPSRGKSTHPSGSGNKLNASKNKSAVRYEIGSTSGGKSKPTNSTSRILDSRKPKANAFAYKYPEASPFVDEGGDGHNKFDESTPIVLLDSKESKIIAYMDNTPVTNSQTVKYTYDYGASFEVDDSSHSHTGLGFQDEPEENPSIIESSPVTEKQECSRFNSSSSSHEMETDMMDDDGDDLSSSPVKNSGFLSIGGMKLYTHDISDEEADDDDDDDDDDEDDDGDDDDDESLESSESEDSSNSSDSEGAYNSDSDINDEIAKDYFEGIGGSYKVANVDQLLGNVLDSSDDDDDDDDDGVGGGRFHKMVKGVSGIALQEASREYGMKKSRSKKKSQSKAKDDWSAIDDLMLVKNSRSLYGKKKHAAKIPQSWPSKAEKSKNFRRFSGEKKKIRQDKIASKRRDRMINRGVDLEKINSKLVQMVQNKGDIISLQLMHSRDCSQVQRLAAIYRLQSNSQGSGKRRFVTVTRTQHTCMPSSADKLRLEKMLGINNEEKNTPAGKTPSNRTKTPSTGPTKPKTPGESSKKKRREKDKTGSYAAQPVSFISSGNMVTEPTTVDLDLDIDHGPKSTERAPNYGAFEMHTTGFGSRMMAKMGYVDGGGLGKDGRGISEPIEAIQRPKSLGLGAKIPENTPTNNMSEAKPIYTTPTPPHRPNRVVGQGSGSKGKSGNSEIGSFEKHTKGFGSKMMAKMGFVEGMGLGRDSQGIVQPLVASRLPKSRGLGAKG >cds-PLY83472.1 pep primary_assembly:Lsat_Salinas_v7:2:182613539:182617078:1 gene:gene-LSAT_2X105040 transcript:rna-gnl|WGS:NBSK|LSAT_2X105040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYCKRKANTIPLILNNRPDPISPISGTNPSTTNVLTNAEQYQREQQSDIVFETEQENDSDATIAKRGITCGKGARKAMKASKKKLPVEFNFVARRVICNNESTFTYECGYILRKNCTLQHKEWRLVPKEEKFTLHHKLTTLFDIDVENENVCKVINSYMARSWRNYQAELHKYFKEIGGPEDPIKAKTKPPSNIRNKEDWEYLCDMWCEPKYMEMAKKKVVARGKRKMETRNGSKSTIRYHVELGHDVDSSSRHIETWRLTHWDEEKGWKSTDMTAKYEEMKKMRNEHSLE >cds-PLY99535.1 pep primary_assembly:Lsat_Salinas_v7:1:113470650:113470814:1 gene:gene-LSAT_1X89301 transcript:rna-gnl|WGS:NBSK|LSAT_1X89301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHHRRPSTSRFHTENDDFTRFEVFGFIKTPNRHPLELNQEESQKPIVSEFDALL >cds-PLY94720.1 pep primary_assembly:Lsat_Salinas_v7:1:201128509:201132951:-1 gene:gene-LSAT_1X122360 transcript:rna-gnl|WGS:NBSK|LSAT_1X122360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLHLLWLPVVISTATAAEFNTHYCGHNTTRLTNTTYYINLIHVLDSLASDNTLNDSKFAYRTAGSSHPDIAYGVYLCREDVLPNDCRNCLLKARDDIFMTCPSSKHAVYWKDNCMLHYANYSMHSMMDSAIFVPECNKSNISKQLSEQRRFWEAARVFMSGLATEASTDPKKKFAFSELSYGMKEKVYGYVQCTPDLSVVDCGRCFQGSIDRLGEYCLGKQGARVLTPSCNVRFEIYKFLGFSATSWESTSTGKKNISINIVAGIVASIGVLCVIVCMYLVFMRKKRRALTLTDDSEIITEESLQFELRTIEAATNNFSKHNKIGEGGFGGVYKGVLPNGNEIAVKRLSKGSGQGALEFKNEVVLLAKLQHRNLVRLLGFCLEGEEKILIYEYVPNHSLDYFLFDSTKQAQLDWSIRYRIIGGIAKGMLYLHEDSRLRIVHRDLKASNVLLDEEMNPKISDFGMARIFCGNQTQGKTDRIVGTIGYMSPEYAMHGNFSVRSDVFSFGVLVLEIISGQKNAARFESGYMDILCHTWDKWKHGEPLWIVDPNVVESCSKNEVLRCINIALLCVQEDAELRPSMASVVIMLNSYSVVLPLPESPPFVSHGRARRMTSKMLEPDNSVSKSTVWGTDTSLITEVHPRS >cds-PLY94865.1 pep primary_assembly:Lsat_Salinas_v7:2:179340492:179342506:1 gene:gene-LSAT_2X101200 transcript:rna-gnl|WGS:NBSK|LSAT_2X101200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLKDDRKKRPKMEYTDGTHPDQSNDQNYIQRRVLRSGYLSIQSYIRDRSDEIAAASSNKFISIIDEVDEMHHLVNKPREQVSDAEALRDLANTLVASIRVHSTGSVTPSLFVSSLISQFGKKESTEITETAQIQWKDIGLHASHPLFMTFQGSCTMIGPMKQDVKPPKILVKRKRSRSSVKEEKVKPEEIEETVSKEKTNTEKIIASMFDILKTNTNVCLENIILNRVSFAQTVENLFALSFLVKDGRVMITVNEKGSHYVSPRNAPSAGMMSSGKVAYSHFVFKFDFNDWKLMKDLVAGGSELMPHRTKIDSCGGCESEPDLKRNNHPTTNITTQKVSRNQEGSPEIGSKWRPICV >cds-PLY82218.1 pep primary_assembly:Lsat_Salinas_v7:1:66884139:66884465:1 gene:gene-LSAT_1X58221 transcript:rna-gnl|WGS:NBSK|LSAT_1X58221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVASVYQPPLRKYLVHVTSQASAYVVGVAGWATRLKLSSDSIGIEYNTHRNIGIALFALGTIQAYALLLRPKQENKYTPLI >cds-PLY71485.1 pep primary_assembly:Lsat_Salinas_v7:7:191351827:191353869:1 gene:gene-LSAT_7X116180 transcript:rna-gnl|WGS:NBSK|LSAT_7X116180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSRESQGTSMKSFNTIILKFPKIDASLRKCKAIFEQFDEDKSGSIDPKELNHCFRELKIDFTDEEIKDIFEECDINDDMGINFKEFIVILCLVYLLKKDRSNSRMGIPDLETTFETMVDSFVFLDKNKDGYVSKDEMVGAINETTSGERSSGRIAMRRFEEMDWDKNGMVNFKEFLFAFTKWIGLEDAEDEEEENINE >cds-PLY97313.1 pep primary_assembly:Lsat_Salinas_v7:1:178037845:178040128:1 gene:gene-LSAT_1X118261 transcript:rna-gnl|WGS:NBSK|LSAT_1X118261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDTMEWYFGNEVEDLVVPKDYEQQEMISSDENWSQWGMNAFGGSSFPKKNINMTREELTFNGGKNFYTSIDMADSDNERQKSNTSSMSQGLYNNGGSLLWNDQADFQQFEEEEARINHMDDIFFSSLLEEDPTKDSTEEHENMILDNNVNMFEDNMVNSHVGNHGQSIGSSKYLKTHAFSPSTDWGNREVSTTCQMPKQYTTDENLTEESVLKDLERVTSQFNDKTRICFRDAFYRLAESSKQSLNSCQDGELMLTSNDDTLRVVEPEASESKTNVIDRAVANLMFNKFDFEETDDQQHIDLCCQNQTDYDDDAEVPIQGGPDPSSTAY >cds-PLY80127.1 pep primary_assembly:Lsat_Salinas_v7:2:74797908:74803421:1 gene:gene-LSAT_2X33621 transcript:rna-gnl|WGS:NBSK|LSAT_2X33621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAMATLVSHSLHGKLTLLKEPQEVHTDENRALPEKKQKRIVKTPAQVAALENFYNEHKYPTEGMKQELADSIGLTEKQVSGWFCHRRLKDKKSPNDEILPPGNGKQDRSSGVIQDRGSGLRQDSCGSTKQGDNKHSDPKEVESRRFTTQNILPLQIQHNNIIMDHHHHDVDRDDHDGNDDDDDDDTSSGSSSPLKDSFHPQNVVGAITSKYPSHDFKSVRGRGGPSGYLKVKGQVENAAITAVKRQLGRQYREDGPPLGIEFEALPPGAFENPVKIPVNQSYYVGDRSASALHSSDGSRTFQPPNASKMYERYNPKSYNSMDLDNSPLDIRHASKHREKHFDNQCNNHNNKQTPHFSKNRHSQSMEVNDDSAEETSIHDMRDHFETRIKHVHGHGPGVRRQQDSVSVSNRHLIGYGKNINHNIRPPPKVNYRDRVESLTSDLTVKRGEFLELEDRGMSRKIPKDEEFDGERRGIDEYSKLVSARIHPGNEMKVTKRSREEFLHQIYPRKEAVIDMPPRTIMTRPPPAQMTSSFSDDETAETTSSAD >cds-PLY88641.1 pep primary_assembly:Lsat_Salinas_v7:5:139185185:139187476:1 gene:gene-LSAT_5X60700 transcript:rna-gnl|WGS:NBSK|LSAT_5X60700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEADLTFLVVLSSLILSFYFILHRKFKASKPLNLPPGPPKLPIIGNLHQIGGALPQHAFRELAKKYGPIMHLQLGQISTIVVSSPQLAKEVFKTNDLALASRPYTLLADIVLYKSSDVALGPYSDYWRQMKKIITVELLSPKKVRSFSCFREQEVDHFIEFIGSNCGKPVIIRDKVTRMINNIVCKSSFGDNCKQQDVLIELVDELGRLVSGFSVADLFPEFGFLSVISGMRSKLRKIHKSLDKIFDDIFEERKNKRERNEGSGDDLLDVLFTIKESGSLQVPITDNNIKAIFVNIFVGGTDTSAMTIEWAMTELMRNPNVMEKAQKEVRDAFKGKKKINESDLQELHYLNLVVKETLRLHPSLPLLLPRECREQCQIDGYDIPLKMKVIVNAFACAVDPEYWDDAESFKPERFEQSSIDFMGTNFEFVPFGSGRRICPGIGFGVISVKSALAQMLYYFNWTLPYELSPKSIDMTENEGGVAIKKVPLMVTPTLYTSF >cds-PLY74422.1 pep primary_assembly:Lsat_Salinas_v7:6:11313526:11319032:1 gene:gene-LSAT_6X8860 transcript:rna-gnl|WGS:NBSK|LSAT_6X8860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPESEEENRSSNFFIRGPPATEELPVMMTMDLDLDGSWTFDQIFSSDPSPSFILSATEQSFSPLWAFSDDNNNNLNDGDDKPAGNATLTSSGAAHRLLPDNTDQVTRKPSNNDIKRRLPLPILEVNPSEYQDATCIIKERMTMALRYFIELGEKHVLAQVWAPVKNRGRNVLTTSGQPFVLDPNCTGLHQYRMASLMYVFSLDGETDEVLGLPARVFRHKLPEWTPNVQYYSDKEYQRLNHALNYNVRGTLALPVFEPSGQSCVGVLELILTSQKINYAPEVDKVCKALEAVNLKSSDILDPPNTQASIFPLTQICNESRQQALAEILEILAVVCETHNFPLAQTWVPCRHRSVLAYGGGFKKSCSSFDGSCMGQVCMSTTDVAFYVVDAHMWGFREACAEHHLQKGQGVAGRAFATRSSCFCENITHFGKTEYPLVHYARMFGLVGSFAICLRSSHTGDDDYILEFFLPPNVVEFKDQQKVLGSLLTSMKQHFRSLKVACGEEIGEDGRMVEIIKACEEGDALDSGVQSIRLSESLISNGGPVGCVDALVRPNALNVNCGKSENVEITKKSERKRGKAEKSISLEVLQQHFAGSLKDAAKNLGVCPTTMKRICRQHGISRWPSRKINKVNRSLTKLKRVIESVQGGEGTFTIPSLATTPLPIGVDSTSWPTAPNGSPGSKPSPKNDQGQTHQTSGSREASTGSPTSHRSCQESQLFEVKAPNLEGLIAPQTEEPFRGMLIEDAGSSHDLTNLCQPTEVLEKVQVVSPKVQPFTARTEMKTITIKATYREDIIRFRVGANSGIVTLKEEVGKRLKLDVGTFDIKYLDDDHEWVLVVCDADLQECVELSMSSGCNIIRLLVHDLSTNHGSSCESSG >cds-PLY93286.1 pep primary_assembly:Lsat_Salinas_v7:4:301458987:301463639:1 gene:gene-LSAT_4X150960 transcript:rna-gnl|WGS:NBSK|LSAT_4X150960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDYEERYEGNGEDVETFGGGSSPQARANSHGGGPDDFSDSKSQRSSRDYERESSKSRSKDRERGREKDRERDRDRDKDREKSKDRDRDRERDKDRDRHRDRYRERSERRERGRDRDDDDYHRSRDYDRRRDYDRDREDRHSRRRSRSHSRAKSEHRSRSRSRSRSKSKRVSGFDMAPPASALLAGAAAVTAGQIPGATPTIPGMFQNMFPLAAGQFGALPVMPVQAMTQQATRHARRVYVGGLSPTANEQSVATFFSHVMSAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEAFLLPPSIFLIQISNTYFYKSIQKHEKTIYFLDFQGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLGAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGALRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGQTQPKPEQESVLLHAQQQIALQRMMLQPPPSIGTTATKVLCLTQVVNEDELKDDEDYQDILEDMKIECGKFGSLVNVVIPRPNPTGEPAPGVGKVFLEYADVESAAKARGGLNGRKFGGNQVVAIFYPENKFNQGDYEG >cds-PLY61678.1 pep primary_assembly:Lsat_Salinas_v7:9:138372765:138373312:-1 gene:gene-LSAT_9X88520 transcript:rna-gnl|WGS:NBSK|LSAT_9X88520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYTIITSRCKGPKYPPNLCCQAFKDFACPYADELNDLSNQCSTQMFSYINLYGSYPPGIFSNLCHDNKAGLVCDAVPPQDSTSNIISDHFSLLLILVSQLFLIMFLP >cds-PLY98296.1 pep primary_assembly:Lsat_Salinas_v7:7:171898043:171898407:-1 gene:gene-LSAT_7X102341 transcript:rna-gnl|WGS:NBSK|LSAT_7X102341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARLTILTLFLIVMLYCSTSLDARKLLNEKNESVMEGNVRQSALLEGPTPPSTGLSEKLFALHLAHLDRILHSVPSPGAGH >cds-PLY71235.1 pep primary_assembly:Lsat_Salinas_v7:6:18622804:18626963:1 gene:gene-LSAT_6X14240 transcript:rna-gnl|WGS:NBSK|LSAT_6X14240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter binding protein-like 7 [Source:Projected from Arabidopsis thaliana (AT5G18830) UniProtKB/TrEMBL;Acc:F4JZI4] MHNPPPPSSPSPPSPPQQPPSLNMDSIDESSTLIWDWSQFLDFNIDDHLPLPGEESNHSSAIPTSQELYPIDNPQVEPVPDSFPVNSTSNTNDRVRKRDPRMACSNFLAGRIPCACPELDALLVAEEEEGAPGKKKSRSTTARTASSSRCQVPSCEVDISELKGYHKRHRVCLRCATATTVVLDGLNKRYCQQCGKFHILSDFDEGKRSCRRKLERHNNRRRRKPSETKTSGLQSADYDDAYDEAGKATKCTTSEAAGEEKSLIAPGVHNSLLGPTHAQIIHSDSIPSLAVSGETQTDEEKEKGNHSPSYCDDKSAFSSVCPTGRISFKLYDWNPAEFPRRLRHQIFQWLSSMPVELEGYIRPGCTILTIFIAMPTVMWVKLNEDPVVCIHELLSSPRNLLSGRDTFFVNLNSMIFGVMKGGRSVIKIKTGEKAPKLHYIEPTCFEAGKPIEFLACGSNLLQPRLRFLVSFAGKYMTNEASVSPSCNQSETSTTNLDHQLLKISIPHTELDVFGPGFIEVENESGLSNFIPILIADEEVCSEIKIMQMKYYSKDSESIAVFRVKQSKFSELLVDMAWLLKQPIVEEIECVMMSSQLQRFTCVLDFLIEYESTTVLKRILKCIKMRIMKNESDGILIQGTVNHATEVLHQRLNKKVNQEDEVLPFVSTVNQDMVVANRMPIPTSMDKSETIALLNAEYIMSVTPGKEQHPVGPTNRIFTYNTNKNNRLFPTRPLILVATVVTLCFGICAVVFHPHKAAAIAITIRRCLFDDK >cds-PLY74266.1 pep primary_assembly:Lsat_Salinas_v7:1:78568823:78572787:1 gene:gene-LSAT_1X66020 transcript:rna-gnl|WGS:NBSK|LSAT_1X66020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METQVVKRAKYKSSVKDPGVRGTLKMTRERFVFMPNDPSSSIRLNVEFRLIKGNKEGSNRPALLNLTQDQGNYIFEFENFSDRELCRDFVAKAITFYGEGGSEKAVPLPHKDEQLSSAEMERRIKLLQEDSELQKLHKQFVMGGVLSETEFWATRKKLLDVNNTSSSRKAKQRVGLKSDMIFNVKPSSDGQSNKVTFNLTPEMIHQIFAEKPAVRQAYLNFVPNKMTEKDFWTKYWRAQYLHSTRNIVAAAAEAAEDEELAVFLRQDAILASEIKHKIRKVDPTLDMEADEGDDYTHIPGHGLATESGKDELEAQYEPFKRSFLQDINRHAAVVLEGRTVDFETEGDTRSVAQALATCKRVELAKEASSDGNLVHQERLDRITRMAEIEDLQAPRDPPVAPLSIKDPRDYFDSQQQVVGMGMGMGDELGGGGRKFKSRMNTSETYASLRGFISEIKTLGLTDPVVRPEVAVKVLNGLTQTISSSKYQRGFGKNPHDSILDTLPTVTKDELLLHWTSIQELLKHFWSSYPITTSYLYTKVSRLKDAMSQIYPKLQEIKESVQSDSRHQVSLLVQPMLQALDAAFAHYDAVDQRKRSSTNGYNV >cds-PLY62718.1 pep primary_assembly:Lsat_Salinas_v7:6:55585004:55585648:-1 gene:gene-LSAT_6X41680 transcript:rna-gnl|WGS:NBSK|LSAT_6X41680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMVEDDASSSSSSSQTEIGETISPPSIKKRKAGRKKFKETRHPIYRGVRVRNGSKWVCEVREPNKKSRIWLGTFPTAEMAARAYDSATLALRGDASPLNFPDSAHLIKRAKSSSAHDIREAALEAALAFRPEGYEHRSSRSPVSFQIEKVAVEVPEMAFVDEELLFNMPSYYNNLAEGLVITPPAMKRGFNWGGDFDWPCDFDSDMDLTLWRY >cds-PLY87156.1 pep primary_assembly:Lsat_Salinas_v7:5:263797657:263801903:1 gene:gene-LSAT_5X135500 transcript:rna-gnl|WGS:NBSK|LSAT_5X135500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNQDPPRPSSPVVAHKVVNLIQIDVGEGDLGLALTLYKSVTPEFSRSKGWKHSKGASSCSRKLKLDWEGGEKTLANGEVDKGKVVEPIHPEFVVVGAEKELNKKLDVNEELDMNKSNGEDVEGFIDEGYRVVMDNDPQGVNEFSTNININDELMTNFQPFEGFEDRDSIPFNGYEDQDNIPFNDKWRQEEPDDIEFENQNSEDEDINCSSSDTASLRKKIDSIHVNNSMQGRVTQYQTIPLKVICFIWRVVLGHAPSAITLQHRGIIIESTLCGSCIGEQECVDQILVRCPYASKDASPIIKNVLLLDSEGKRVAVKYFSDEWPANSTKLAFEKLVFTKTQKTNARTEAEIAMFENNVVVYRFIQDLHFFVTGGDDENELALATVLQGFCDSVTLLLRGNVDQREALENLDLIYLCLDEIVDGGMILETDGNMIAGKVATHSIDEGSPLSEQTITQALAAAREHLTRSLLR >cds-PLY98545.1 pep primary_assembly:Lsat_Salinas_v7:1:37929703:37937335:-1 gene:gene-LSAT_1X31160 transcript:rna-gnl|WGS:NBSK|LSAT_1X31160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSNSNPISSDQRWILTIRQAIDEDIEEDTDIPVSIFNVPIVLVSTKPESYIPQQVALGPYHHWRPELYEMERYKLSAAKRCQKLMQDSNVKFQQIVDQFVVFEPKIRACYHKYLNFDGETLAWMMSLDVSFLLEFLDVYNIEKGRLITRVASRMSHLVDSSCRKFGHDAILRDIVMLENQIPIFLLEKLLEFKFKSQSPESTQKYLTSTLLGLHKELSPFTVVDQECNLHSSENCAHVLEFLYHMIIDPNIKQQWYGTTNEGHAEEQVQETRHKLQRIIDAMQNFVKRLISSEPVKLLVNFPLAIISNTSMVRILKQQVCYDEDKQISSVECTSKPPLMEEISIPSVTELVKAGFGFSPSSGGILTIDFDNKTSKLFLPIVSFDVNTDVVLRNLVAYEVCKASGLLILTRYIELMNGIIDTKEDVKLLREMGIIKNRLKSDEDVANLWNGMSRCVRLTKLPFLDTVIEDVNRVYEGKWKVKIGKLMKVYVLGSWQFLVFVAVVMVLFLMSLENLRFKKGKSKHRRVKDVVVVSDSSSIMRIGNLLYPYLAIFLKSPVSLFSSLQAQGDGCVKRKNRASLRRFHMAIQTRRGSIDDGCVKMGSRIKGQRLHPNRMSPPSEPNPDASVTPSGSDPFNPMGLRFGFNESAQTPSLNPNFDDESLDDYTDDQLIVNEEPKKHFSIEEIQIKSLPSCSNKKSDAD >cds-PLY99601.1 pep primary_assembly:Lsat_Salinas_v7:5:242022127:242024291:-1 gene:gene-LSAT_5X119281 transcript:rna-gnl|WGS:NBSK|LSAT_5X119281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQMDLRKVEEIVNDSKGGIIEKKEAIVSTLSNPNPNSDTDSDAGVSIPTTPSVDAASALQLFLDHIPISSIPGIHNSPSTVLVVRTQECVKDVIKMLYEKNVSGALVADGLEPDPTTTFTTSFSHPYVGFISFSNMVLWTLQEFKKHHFGSEKDNAKKSKKTKPQGFFSLLYQTPVVSETKIGELAASFMWDPVFPVKRDQTLFHVLLLLSKHRPSVVPVTQHSSSKVVGFVTENSVNHVLLQSSGLEWFDSIADKPLSDFRFDHNDQVVSIYNDQTIADAVHVLWKKKVCAVAVVKRQTEKLIGCVRVSDIHRLLADDRIFTDREDTSVEKFIHIDTGPALDDIDHDLGALISAGTLVLKDKFGPRMDSPVTNMEKDTLKEAMKNLAEKKSDFSFLVDECGRVKGVLTLRDMLVEFAPPCMDSRIDGGGFFDMALKESGCTIKDGTMVQLK >cds-PLY70015.1 pep primary_assembly:Lsat_Salinas_v7:8:146443536:146445611:1 gene:gene-LSAT_8X99761 transcript:rna-gnl|WGS:NBSK|LSAT_8X99761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSTLATVIPSSPEFSKTATKRRLKTHAKRTHRFQVSCNDNEKPPTTNPQPEKLILPPETSLNRQNVDRRNLLLGLGGLYTTTNLNTLPPVFANPIKAPSFVPGCTDSLWNLDFESGVRTGACCPPAAKELEMDYKFPTTGETRIRQPVHRASPEYIQKFKDAMQKMKALPDDDPCSFKNQAKVHCAYCNNSYTQMASGYPEKVLQVHYSWLFFPFHRWFLYFFERILGDLIEDKTFGLPYWNWDNPAGMEIPAVFEDGGRSNPLYNSYRNVNHLRPAVIDLDYRMKERNISPLDQVRINLCIMNRQMKRNASDPTSFFGGEYVAGDNPISSVGSIEAGCHTAVHRWVGDPRTPNEEDLGNFYSAAYDPLFYVHHANVDRMWTLWKGLGGEGRKEPNDKNWEEASYVFYDENRKPVRVYNKHCVNLEDLKYEYEDSETPWKSNPPKPRSATYSETTSPENVTEMEFPLSISETVTVQVKRPETNRPKDQKKTIKEILLLKGINFNGGKFVKFDVFVNIFEDINRISPCESQYAGGFGLLPHKTSEKMNSKTGVRIELTELLEEINADGDDSVQVTIVPRVGCDDVTFEDIKIELIDVYEKESN >cds-PLY76739.1 pep primary_assembly:Lsat_Salinas_v7:7:61712486:61715003:1 gene:gene-LSAT_7X44261 transcript:rna-gnl|WGS:NBSK|LSAT_7X44261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILIKTTFNKFTNEESNPLLIDIGDEDNQGNETVQPRIRREGQKKCSTPKKKTKKQTGGVEERVIRTPDNSMQKDLKVVVLSSDSKKNMKAKVNVKKFDAGKARVSKSKKKIQKQKGLIESVDMTEDNGIHRKNELRTKRRFYKRKIKGDEVVVLNSCSGKDNVTVKKLNEDEDSDFEDAKPVLTRKKRMHYTSFKNDDKETVKKFKRQKNKEGNVVKARKMPKVVGNDAEEARRIQVQTSPNVLYSCMHNLRNEQEAYISSIGLENLLNMKVDGCASIMGHYTVRNFDADRMVLNLHHGDIPINQEVIHEMLGLPLGNVTIKSMAYREVTDDTITVWKKQFDDEDNIRPRAVQQVIMQTTRADLLFKETKSAWNPNSKKGFYAGPIILLLLLYVESVRCDSVKIVRGRPAICFWNVDKLRERERVECRTIGLGMGELQEPFQVINEASETSNVGQEKVQGNDARGVKISDRTCKTIISTIKEMHDMLVQQKKVLEDKINDAVKKYPENQLVKEWKNKVNDLFNEVSASEEP >cds-PLY78770.1 pep primary_assembly:Lsat_Salinas_v7:9:51228892:51230928:1 gene:gene-LSAT_9X46301 transcript:rna-gnl|WGS:NBSK|LSAT_9X46301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPMNSSPPSSSSLYSGASATKIEPAALSSVAAGLQTFKPSKQQPWLIVGLGNPGKLYNRTRHNVGFEMVDAIAEAEGISMSNVSFKAFFGKGCIGNTPLMLAKPQTFMNVSGESVGAIVSFYKIPPKQVLVIYDDLDLPFGKLRLLPKGGHGGHNGMKSIINHLKGNREFPRLRIGIGRPPGKMEAAAYVLKRFNKQESEELDFTFQTGIEAIRILLLQGFDKSATFVNSPKPLKHLQ >cds-PLY92273.1 pep primary_assembly:Lsat_Salinas_v7:2:211272500:211273136:-1 gene:gene-LSAT_2X132880 transcript:rna-gnl|WGS:NBSK|LSAT_2X132880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDLTVEIEGVNPMRKPKRIDIVGSAKCAYHCIKAISDVGWVLCWGMVDMDLDNIRVFLHLLSL >cds-PLY91383.1 pep primary_assembly:Lsat_Salinas_v7:8:47708491:47711935:1 gene:gene-LSAT_8X35540 transcript:rna-gnl|WGS:NBSK|LSAT_8X35540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGELTVDFHDNPWSNFSPIPSLSLLTKISIDSFRFSPPSLTFLREKHGEFMLRELVVGLQEQATVYGLGVCAEHGGSVIKPLVGEVISRLNFVIRHPNALQPDNIMAYDNAVYALGKVCQFHRDSIKSAQVYMIDWERSHPDSIEDVILAQGIDATRNHFLSVNALLSSLEIDNHGGLVREHMPGRYAELDQYCWADEFSQQHGGDSNAWALSFERQHGTGGWAFKFQHEQTQMMSVDRMAGANIPSLAAMEQTRMLAHTLAQNTNPKFQNCKFLQFVSKMSHGELTIEDNQVKPASGDWANEYQQQ >cds-PLY90741.1 pep primary_assembly:Lsat_Salinas_v7:3:35759611:35760235:-1 gene:gene-LSAT_3X27040 transcript:rna-gnl|WGS:NBSK|LSAT_3X27040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQKEARAKKAKRAAEIAIHMTEFQALLDHENMNVERTQAKEKANVALEKLMAQSKAQVGKMHNQTERLMAEFKICVEEVRDLVNEEEAEVKAIISKGTLIRSLLEDMPKRERTEVEARYSRQLEEIEAQHVRLASRLVTLEGILACEQLMSHCISAYLASSNSSTTTIPTTSTSSINPMP >cds-PLY89103.1 pep primary_assembly:Lsat_Salinas_v7:4:145771414:145774615:-1 gene:gene-LSAT_4X89180 transcript:rna-gnl|WGS:NBSK|LSAT_4X89180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKERAPTMSSSAMVYTGGDIKKSGELGKMFDINTDGSKSRKSGPITGPSRTGSFTGVASHSGPIMSNAARSSYSTSGPVSTGGVPVSVKKSNSGPLNKHGEPIKKSSGPQSGGVTPVNRQNSGPLPPVLPATGLITSGPISSGPLTSSGAPRKFSGPLDSKGSMKVHGVVHNQAVTTLTKDDDYSFKKGFPKIILWSMILLFVMGFIAGGFILGAVHNGILLVIVVVLFIIVAALFTWNTCYGRQSIIGFIARYPDAELRTAKDGQYVKVSGVVTCGNVPLESAFQKVPRCVYTSTSLYEYRGWDSKAANPTHRKFTWGLRSSERHVVDFYISDFQSGLRALVKTGYGARVTPYVDESVVVDVNPLNKDLSPEFVRWLGERNLSSDDRVMRLKEGYIKEGSTVSVMGVVQRNENVLMIVPPGDAFTTGCQWAKCMLPGSLEGIVLRCEDASKVDVIPV >cds-PLY97819.1 pep primary_assembly:Lsat_Salinas_v7:9:2199122:2200578:1 gene:gene-LSAT_9X5560 transcript:rna-gnl|WGS:NBSK|LSAT_9X5560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METIKHSKIPVNGINMHVAEIPGDGPPVLFLHGFPELWYSWRHQMLYLSALGYRTIAPDLRGFGDTDAPPSAAEYTAFHVVGDLVGLLDALGLKQVFLVGHDWGANVAWNLCLLRPDRIKALVNTSVVFSPRNPVRKPIESMRAMFGNDYYICRFQQPGEAEEELARVDTAQVIKKFLTSRNPGLLCVPKEVGFGGKPNSKITLPSWLSEDDVNYYATKFNRTGFTGGLNYYRAIDLNWELMAPWTGVQIKVPVKFIVGDLDLTYNTPGVKDFIHKGGFNKHVPFLQEVVIMEGVAHFINQEKPQEVSEHIYDFIKKF >cds-PLY65104.1 pep primary_assembly:Lsat_Salinas_v7:4:5861507:5864424:1 gene:gene-LSAT_4X3900 transcript:rna-gnl|WGS:NBSK|LSAT_4X3900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKSKKFKNNNNSQNGHRSKRTKSDKPDPFFDGDSKRRKKFVHDNDEDSIKSSDSDDYEDRDVAAAVEDDGEGNEMFEDENAVEKRKRLADAFLEKMRASLRKEEDEDDEVDERGGKEDGDRDSRVARMLQAQQLEDSGRVRKLIASRVQKPGTTDGFRVLVKHRQSVTSVVLSEDDSKGFSASKDGYIVQWDVDSGKTEAYAWPSEEVLKSHGAKDPQGRAKKRSKHVLALAVSSDGRYLASGGFDRHVHLWDTRTREHIQAFPGHKGPVSCLTFRQGTSELFSGSYDRTIKIWNAEDRSYITTLFGHQSDVLTIDCLRKERLLTVARDRTMHLWKVPEESQLVFRASASSLECCCFINNDEFLSGSDDGSIEHWSVLRKKPLHIVKNAHPSLMIPNKPDDDDDDLPNGDKDDLAEKVCSSVNSWVSSVSVCRGSDLAASGAGNGVVRLWEIESDAKGVRPLYELPLVGYVNSLAFAKSGNFLVAGVGKEPRLGRWGSLPAARHGVVVHQLQLSK >cds-PLY67922.1 pep primary_assembly:Lsat_Salinas_v7:5:298270823:298275324:-1 gene:gene-LSAT_5X158981 transcript:rna-gnl|WGS:NBSK|LSAT_5X158981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDVFSGGDGRRRRYSFVEGAVGKSDGGSGAIFWITGGGIGSSGGSGSQSNVGIAIAMTIMAGLAMAATLVYSNWCGHCKKLAPEWKKAAKNLKGKVKLGHVNYDDEKITKFPFPTPPEAKSLVEVEVCLKSLEALDSDGNLTPLDVIGSTDIVEKSIKNVLFRTSIDMNMGCPKAFSIGVGMGAALLTKPDLIHDILTTLKRNLCVPVTCKIRLLNSTGDTVELARRIETTGVSAIAVHGRKVSERPRDPANWSEIAHVVDAFSIPIIANGDVFQYQDFHNIQAVAAKR >cds-PLY72805.1 pep primary_assembly:Lsat_Salinas_v7:6:22841512:22842114:-1 gene:gene-LSAT_6X18420 transcript:rna-gnl|WGS:NBSK|LSAT_6X18420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENMLRLGPKEMKGSIWDEGGGTTIVQILISHRHYQIDSIQFLYAVNGKVFRSEIRGELTGLKFDMVTFDHPREYLTSVSGQYNNGAYNGELVSIAFGTNIRKYGPFGRVVDNSLTQFVYNFTPKVSFGGFYGSVYNHSVYAIGVYVRPLVSLAEIESYIIKDEERRR >cds-PLY91312.1 pep primary_assembly:Lsat_Salinas_v7:5:250630665:250630946:-1 gene:gene-LSAT_5X125461 transcript:rna-gnl|WGS:NBSK|LSAT_5X125461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPGMVFEDEDRKCIKTPKGFQRQLHRSNHRLGRNNRCGSTTSFLLGFARVRRVGGGAGTRAIDVIVVLASPLQKQRSKLDFVYRRRQNPSCQ >cds-PLY72526.1 pep primary_assembly:Lsat_Salinas_v7:2:138776278:138778995:1 gene:gene-LSAT_2X66381 transcript:rna-gnl|WGS:NBSK|LSAT_2X66381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTELLEIQPEEVKFIFEFQKQSSCCIRLTNRSDHHVAFKVKTTSAKKYCVRPHTGIIKPNLTCSFTVTMQAQNAVPSDFVCKDKFLIQSTIVPKGTKEEHITSTTFSKEEGKHIEEKRLQVILVSPPSSPVLSPMNEISRIRPYNASPESNDEFAQRYSCYAPVEEGIEVSKTRKSVCVVAMKKEQSLARKHTEELRLSNDVEEMKSKLRELESLLRQEKLRNNENTRTKGQIGFQFLFVVVVGLSGLYLGYMLKS >cds-PLY78543.1 pep primary_assembly:Lsat_Salinas_v7:1:106897890:106899518:1 gene:gene-LSAT_1X85060 transcript:rna-gnl|WGS:NBSK|LSAT_1X85060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSATTEHHLQVETIFTYGNRIILIPLSLSSFNSFILLPVELFAKPAAPTATPPVTPKSSSPSIFAPSPNSISTPIALSHAPVLESHLWIIISIGSRTWVDEPTPGNVLMNLQYRDERTMETRAKLRTGLEGPGLTVYQKIWYCVATVGGQYIYNTLKDFIKLHLFANLPIFVYTGRYRNLIERAIKARLVIGSPHMNRAISFKYMNCQLVWKEFLEMLSLLLPLLNSCSMKNFLRLLSKDNSSGSARI >cds-PLY71104.1 pep primary_assembly:Lsat_Salinas_v7:5:195655848:195656999:-1 gene:gene-LSAT_5X87560 transcript:rna-gnl|WGS:NBSK|LSAT_5X87560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHNSSQLKKEFIKKWVQGLQICCSSKKQMNLLERKKKIKLSADIALATAKNATTSWSKALISNAKKDKENEILVQNLARNELKLMVAHQKVTCNKRIRSKKILKTSFRFGKRIKKMGNRRSDLATCIATRLVKKKTQVLKKLVPGGEAMDDFTIFKEALDYILSLRVQVDVMMNLVNSTKILS >cds-PLY88164.1 pep primary_assembly:Lsat_Salinas_v7:5:221475931:221479367:-1 gene:gene-LSAT_5X103340 transcript:rna-gnl|WGS:NBSK|LSAT_5X103340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLRHFIKQSQCDKTLLRPVLISLTSVRPLSSSSQTIKPGGLYASFFCTLIHLFLSRNRLSKAADAFSAMRNYNYVPESLQSWNRLLREFNNSGMVSQVWFVYSEMISCGVTPNVTTRNIVLHSVCKVGRVGLALDLLRDTSFGECDVVSYNTVIWGFCKYGFVEQGFGLCSEMIKKGFTFDEFTCNILVKGFIDTGFLGYLEWIRDQFGYKGIGYVDVVGFNTLIHGFFKAGNVNSALEMLSRMMEEKSFPNVVTYNTLIDMFCKMMDIPQAKSLFDELMVPQNNGGSVLEELDIKPNAITFTSLINGYLKQQGLEEALNLYEKMAMDGLSYDVVTYTSLIYGLCKHGRIDDAQALFQKMQRVGVYPNHITYSVFLHSFFKSRNAAVPLGLQSQMVVRGIPFDVVVFTIMMDGLFKSGRPDEAEMMFKSLLASGLVPNCFTYSALIDGRCKLGDLDNAKSTFQEMEGKNVSPNVITYTALINGFLKFGKHEAVLEILEKMVVERVMPNIFAYSSLIDGYFKAGEEEVAIRFYEEMKSCGLEENNFVLDAFVNNYKRKGKMNEAGLFFQNMISKGLFPDSINYTSLMDGYFKAGEELAACRMVEEMTEKDVQFDAVAYNVIANGLLGLGKYEVESVYNGIKEHGLSPNLETFNTIIAAYCRVGKIDNALSLWKEIKSVGLVPNEITSNLMVGGLCEAGKIDEAMDLLNEMASLRIYPSSTTHRLMVYAASKSKKGDDILLIHDRIIALGLKPSQKVYNALITTLCRLGMTRKATLALQEMKSSGFSPDIVTYNVLINGYCKSSHLKKAVDTFLHMLVEGVSPNISTYHTLLRGLSKGGLMKEALKLVDDMLERGFEIDSDVYNILVSGYGRIGNRREAIRFYCEMISKGFVPQTSTYNVLISEFAKVGMMIQARELMNEMQEKMVPLNSSTYDILISGWCKLAKRAELERALRASYEYKVKMLLNEMNEKGFEPCESTFRVPSEIPVQRSQMMDIIFSVKTKEPDVDTGIPFRAEVIIANPQSNRMIQMAIKEYLHL >cds-PLY75125.1 pep primary_assembly:Lsat_Salinas_v7:4:62430728:62431009:1 gene:gene-LSAT_4X41041 transcript:rna-gnl|WGS:NBSK|LSAT_4X41041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVALVVRAVGCHARCSRSFAVQTPQQRRHRGCRVHRRIAVVDRNDVRGRLLTLSDVPILLLLLFRVWVAASSYDRRCGAVEPQRCRKAPSFS >cds-PLY80352.1 pep primary_assembly:Lsat_Salinas_v7:7:154226954:154242329:1 gene:gene-LSAT_7X90501 transcript:rna-gnl|WGS:NBSK|LSAT_7X90501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMFVFFVLASKLSCQLPFAIRVSVEHFRGVSFAFFSPRFVFVIGNVIILILLFKSKVTENGDLNGNIDVYDEYVKRCEKNVVNPGNILTVATTEIVPSEEKKICRSQSEKLMIVNRKDNQTHRELRRSVTERNMSKKIDRGGCVTVVEEKSCAADELSGEEFRRTVEAFIARQQQSLRDEELTQLAYIDCKFHTRFSLDGTFISHWHDQDSRVKHTMDNHNEKKSVTLICGNPKNKVDLEIKLDELGQNIVAPQSQFSNYCGVMVRTRIFILIFRWDEVPKAKIDELWLNIKEISSKSTETTKLNKYPPQIVRYHGMKPQWEKEMEFGESMEFHNIRSERARNFILARLKRDPIGIYSLPSDLYSLTSELIIVTMLFRSRAVENGNGYGSKSTYTMSMLRECKNSVVNTSSISVVVDVSVPVVEVVVVGW >cds-PLY96997.1 pep primary_assembly:Lsat_Salinas_v7:2:34640269:34641490:1 gene:gene-LSAT_2X15600 transcript:rna-gnl|WGS:NBSK|LSAT_2X15600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTCNMNMASAAAAGFLPVSASNTTASSSRTSVSFLNFNNRGLRRHLVIVRASEEEPAAPAAAATTTTDAPAEGAKTEVKAAKPPPIGPKRGSKVRILRKESYWYKGVGSVVTVDQDPKSRYPVVVRFNKVNYANVSTNNYALDEIEEVV >cds-PLY66319.1 pep primary_assembly:Lsat_Salinas_v7:5:288301228:288302088:-1 gene:gene-LSAT_5X153780 transcript:rna-gnl|WGS:NBSK|LSAT_5X153780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METPTKRPLLKTISSNSFRLRSPSLNSVRLRRIFDLYDTNHDSFITIDEISRALTLLGLDADKSDLDSMIKSYIHPGNAGLTYNDFVSLHSSINDLLFGLEDLQKPAATKEEQEESDLKEAFKVFDENGDGFISAKELQMVLGKLGFTEATEMERVKMMISSVDLNHDGKVDFSEFRDMMRVLDQ >cds-PLY62577.1 pep primary_assembly:Lsat_Salinas_v7:9:73787579:73789119:1 gene:gene-LSAT_9X61740 transcript:rna-gnl|WGS:NBSK|LSAT_9X61740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPKIESAVKESRDSVVVLSKNYATSTWCLDELLLILTQRRECSHFVLPVFYHVDPSDVRNQNETYEIEVKASSKWTNDKVNQWKKALKEVADLASMVLSGPTTPLTTPPPSLSPPFTLLLIGNIHIDSMRVKEETQLAAKSPTTITVSTLRQTFSTSIESPPNSLTHSP >cds-PLY91978.1 pep primary_assembly:Lsat_Salinas_v7:3:14625:21717:1 gene:gene-LSAT_3X1741 transcript:rna-gnl|WGS:NBSK|LSAT_3X1741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIKLLFSNNTIQEYASSEIIRAITAAIEKDLWATGTVHEEYLKALNALLKQKMVPGKIMHHIVQIGPLKCWRGGLCLSRSIVDRDVREFIIPVPHVKQVKLSSAGGWLVISWMESRIGSGINSNTNCQKSLKKIMNLETIHSGDYLLLAGIYASVGRLEDAFRVRREMKEKGVIKKTPGCSSIEINGVIHEFVAEDDVNFESEKIYAATEKMMKKIKLVGYVMNIEDARLEADKYDKEKSVFHHKNLVLTKDQRTLKLVDFGLAREETVTEMMIDETGTYRWMAPELYGXEELTFTTDKLIP >cds-PLY87188.1 pep primary_assembly:Lsat_Salinas_v7:1:162447232:162447679:-1 gene:gene-LSAT_1X108601 transcript:rna-gnl|WGS:NBSK|LSAT_1X108601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTNRLRFQPFSVDMDEVIRRCRKLNNPHILFNDGMEKDEVGKQLLQDTADKGQLDVIFVLEMLLMDEGCERKHEALIMLNNSYINTSRSWNLRQTCYQVRSHLVRGRR >cds-PLY95229.1 pep primary_assembly:Lsat_Salinas_v7:8:179075562:179076582:-1 gene:gene-LSAT_8X116461 transcript:rna-gnl|WGS:NBSK|LSAT_8X116461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARYGRVYKDADEKEQRSKIFQENVRYIESSNSVMNKAYKLAVNEFADLTNQEFTSTRNRFKAHECSPSTSAFRYENVTAVPSSMDWRKKGAVTPVKDQGQCGCCWAFSAVAAMEGITQLKTGKLVSLSEQELVDCDTSGQDQGCEGGLMDDAFDFILNNKGLTTESNYPYKGVDGTCNSNEESNHAAAITGHEDVPANSESALLKAVASQPISVAIDASGSDFQFYSSGVFTGECGTELDHGVTAVGYGASADGTKYWLVKNSWGTGWGQEGYIMMQRDVDAQEGLCGIAMMASYPTA >cds-PLY87176.1 pep primary_assembly:Lsat_Salinas_v7:5:154045211:154052347:1 gene:gene-LSAT_5X67900 transcript:rna-gnl|WGS:NBSK|LSAT_5X67900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLHYITNTPISVPHSYSAFSSHQSPFHFRKTLPQSSHHPSPLGQLHKRLHVCSGMDAIGVSLKKEVAVGVDASIEEEYVSLSKLYKEFTNMSTIDKAWTYKSPNGKGSHATFSIGQPNLFANKKKKLMLSSFISEDSNGTLHVQWSPFPIEITGASVMVPSPSGKKLLVIRNPENDSPSQFEIWGPLQLEKEIRVPQSVHGSVYVDGWFEGISWSSDESLIAYVAEEPSLCKPTFNDMGYKKDVGPTDKDCNSWKGQGDWEEDWGETYAGKKQPALFVLNIDSGDVRAVDGIGRSLSVGQVVWAPTTKGSGSGSHQYLVFVGWPSDTRKLGMIYCFNRPCALYAVKAPLFGTEIKENAINDVSVINLSQSTSSAFLPRFTPDGKFLVFLSAKSAVDTGAHNATNSLHKIEWNSEGEPSPAKILDVVPVVMCHEDGCFPGIYSHNALSKPWLSDGSTMIISSIWGSKEVIISVNVLSGKVSRITPSDSNQSWSLLSLDGNNILAVCSSLIDIPQIKYGSLAKDESKDATWHWQDVSTPTSESHEKVKSLLSSLQFDILKIPVKNVQENLTKGANKPFEAIFVSSKSKHEACDPMIVILHGGPHTSLLSSFSKSSGFLASLGYSLLIVNYRQGSLGFGEEALQSLPGKAGLQDVNDVLTAIDHAIDMGLADPSKITVVGGSHGGFLTSHLIGQAPDRFVAAAVRNPVCNLALMVGTTDIPEWCFVESFGSKGLSTYTEAPSPQLLKLFHDKSPISHLPKVKTPTLFLLGAKDLRVPVSNGLQFARALKEKGVEVKVIVFPEDTHAISRPQSDFESFVNIGVWFKKYCKK >cds-PLY84058.1 pep primary_assembly:Lsat_Salinas_v7:6:189481254:189483148:1 gene:gene-LSAT_6X116420 transcript:rna-gnl|WGS:NBSK|LSAT_6X116420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGETTITEEITGDLGERDGSNKGYGEEEQCNMDMKRLYHMGARKILVTNVPPVGCCPFERDFNQHSGQVCVKFQNLLVQKYNNQLKWMLKELTNTLKGSTFVYADVYHIFDDIMKNYGSYDFENVDNACCHMSRLHSGLAPCLPHATICPNRSKYLFWDSYHVTESANLIVAKRILDGDSIDISPINIHTLSKT >cds-PLY68158.1 pep primary_assembly:Lsat_Salinas_v7:7:71022073:71026850:1 gene:gene-LSAT_7X51181 transcript:rna-gnl|WGS:NBSK|LSAT_7X51181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRALIKSSRLIYSQFRYHEVKRVLSNANPRLFDLKYDTHTYNCGHSSHIFSRAMSADAAKVNYEEVKRSGPLVEYERRINAGELEDGDNCQIGTLREIQRLYEELGNSAHVCHLDLNSDSGKKARSRWLWSRFMPQSSVSPVKGLYLYGGVGTGKTMLMDLFYDQLPCNWRKKRIHFHDFMLNVHSRLQRHKGVSDPLEVVAGEISHESVLLCLDEFMVTDVADAMILNRLFKHLFSNGAILVATSNRAPDNLYERGLQRDLFLPFISTLKDRCIVNEIGSSVDYRRRTSAEEGFYFIQNGTSDFLMQRFKELIGEHTAHPQEAEVVMGRTLQVPLGANGCAYFPFEELCDKPLGAADYFGLCKNFHTLALDGVPIFGLHNRTAAYRFVTLVDVMYENKARLMCTAEGTPFEVFERIVTVADAHNIAPRTSSRSRKNDDFDLCVDNELGFAKDRTISRLTEMNSKEYLEQHSEMITHNNENVVHA >cds-PLY88332.1 pep primary_assembly:Lsat_Salinas_v7:2:10519157:10520587:-1 gene:gene-LSAT_2X4121 transcript:rna-gnl|WGS:NBSK|LSAT_2X4121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIEIVSKECIKPSSPTPSHLKTFKLSALDYVVLTPFVPVTLYYPNSDGETVTEAIERSITLKESLSRTLTQFYTLAGTILDDFTIDCNDNGAQYIVAKVNRRLDEFLNNLDLPSVNRFLPCEPSCSGSTAGSPVTNVQINIFECGSIAIGLCISHKVIDGAALTVFLKGWTNMACGSTEMMYPNFTAPSLFPQKVLSLKATSMGLSMPLLKQGKCTTRRFVFESDSVAKLKAEAIENGLQRASRLEVVSAFIWKCAMAASKEVCGFQKASGLSQIVNLRTKLNQPMSQNFIGNLVWLVLATSEANHEPTMHGLATRVRESTSKVNSEFVEKSQGDEGHLVMQKSLEDLVEILSKETMDVYGFTSLCKLGYYEFDFGWGKPIWMTGIVAEGSPVFMNMVNMLDMKSGEGVETWVHLDEPEMEILMKNQELLAYASLDPSPLKNGN >cds-PLY66448.1 pep primary_assembly:Lsat_Salinas_v7:5:321192994:321193464:1 gene:gene-LSAT_5X176161 transcript:rna-gnl|WGS:NBSK|LSAT_5X176161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAYSLSVKPRYIANPSVTNNQVRHKFFSSYFSGKNQKGDRIPDCSELNXVKVGNIAGVLKLRRGDCFAVVRLCGNVSQRQQKGGGDGRLYSESMSELDSAKMIIDAGSSDNIASTEMVGQLGLGKLKQDVWCEVVPMYYISYSEDRGNTSRVLPA >cds-PLY74957.1 pep primary_assembly:Lsat_Salinas_v7:8:102626176:102626624:1 gene:gene-LSAT_8X71821 transcript:rna-gnl|WGS:NBSK|LSAT_8X71821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTRAAVKLETPASWLAKQILIVLILIPELRDTDAFVIKAIKFNHILIQAVKISMNVKIPTVTCARGSVPTLLEVTRVLAKMATL >cds-PLY76417.1 pep primary_assembly:Lsat_Salinas_v7:8:154392894:154395629:1 gene:gene-LSAT_8X103380 transcript:rna-gnl|WGS:NBSK|LSAT_8X103380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TRICHOME BIREFRINGENCE-LIKE 35 [Source:Projected from Arabidopsis thaliana (AT5G01620) TAIR;Acc:AT5G01620] MIQRWHKKKTQLPLIALVCFLFVFCTIFFNERRFQEIHRKENRKGGDIGTRNDVKQAFLSKPTPSSLNISRLKVPPVALDRFSSCKSTVNYSGQKARWDINLIQSDKHEKEKKNSCDLFKGKWVFDNTSYPLYKESECPYMSDQLACHKHGRPDLEYQYWRWQPHGCNLKRWNATEMWEKLRDKRLMFVGDSLNRGQWISMLCLLESVIPPEKKSITPNAPLTIFRAEEYNATVEFQWAPLLVESNSDDPVNHRLDERIMRPDSVLRHASEWEHVDILIFNSYLWWRQGSVKLLWSNEENGVCEEAEGLEAMELAMEAWANWIDSNIDPFKKKVFFVTMSPTHLWSREWELGTEGNCYGEKSPIIDEGYWGSGSDLATMRMVDNVVNKLKSRVSIINITQMSEYRKDGHPSIYRKFWESRSAAELANPMSYSDCIHWCLPGVPDVWNELLFHFL >cds-PLY66946.1 pep primary_assembly:Lsat_Salinas_v7:7:18908620:18911263:-1 gene:gene-LSAT_7X15061 transcript:rna-gnl|WGS:NBSK|LSAT_7X15061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRSWIYGKFIDKTFSIVANILLRIIPSTSGEKEAFTYYRPFFLLISVEFSTQVTKKIRKLWNIIFERSNETYSYHKLLIIWP >cds-PLY75309.1 pep primary_assembly:Lsat_Salinas_v7:3:87301977:87302630:-1 gene:gene-LSAT_0X46221 transcript:rna-gnl|WGS:NBSK|LSAT_0X46221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDLNSLANLVM >cds-PLY69816.1 pep primary_assembly:Lsat_Salinas_v7:6:3352725:3353858:1 gene:gene-LSAT_6X3840 transcript:rna-gnl|WGS:NBSK|LSAT_6X3840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPSISVVCVLIIYLSFLADGGGIGVNYGLLGNNLPPPSNVVNLLKSRNIGRVRIFSPDLNVLDALQNSGIQVIIGTFNQDIPSLAGDINFAKAWVQSKIVPYAQTIRFRCISIGNEVIPGDMTNSVFPAMQNMNAALKYFNLGGIPVSTAVPLNSLGASYPPSYGDFSGAVKPAMRDIAGFLAYNGFPLLVTAYPYFAYANEPGSISLPYVLFTSPDVVVRDGDLGYTNMFDAMVDAVYSALEKVGAGGVEVVICETGWPSQGNGDFTTPELARTYNQNLLNHVRASGTPKRPNKNVETYVFALFNENQKDPGVEQHFGLFYPDMTEVYHINF >cds-PLY88014.1 pep primary_assembly:Lsat_Salinas_v7:MU040244.1:1732881:1735168:-1 gene:gene-LSAT_0X1580 transcript:rna-gnl|WGS:NBSK|LSAT_0X1580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTMVSKNYNRIRIIYCTDFDFAFNIWKWTNKEVSSTVTYSITGWKVVPGSCLVFFPGYVVDKIVLAGPPLVKVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKLLLKAFGKRLACFVSSNFGRGTEAYIIYV >cds-PLY81766.1 pep primary_assembly:Lsat_Salinas_v7:3:30376491:30380219:-1 gene:gene-LSAT_3X23040 transcript:rna-gnl|WGS:NBSK|LSAT_3X23040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRKCRVWWPAHLSPTTQPQSSTFLFGWFVTSSSSSSASVPLEVIVAFLIDEAAFSSIGSDLEGILHKINKRMPTSLQNRCGLSMLGYCSASSSSNGHLGQQFEQIISEDKGGHQICGCHKVVGLLEHYKDFTKSDSIQLVRGSYIRTSRKLGWIPKLHHIHWNEQVVSNLDLHIVIYGTPRFGRHHFSLGTQHSSDHAKKISRKPKWVEDLDQKKLVLDLDTVILATNCAAAATSFFEEQVSPHRPSHFYVLVFKFITLIWQLLAVFVASVSTSVYIVLQLLHFLISYGLESFIYVTLHNLFPHTSRNIRVRCCQILYWPIFLHTNDSRSGSCVEYAEKASQRRHSMWLSVVIDILLGNLFGLTLLTHANCACLTILTFTGDVTNNWWLISCARLMGNPAGFKLNTELAGVLGTLSLNAIQFWSTVLGSMRFLFIFFIKGLATSGVIFGITTPAALTLDMITISTTHISTLHWLISLIYSRQIQATTALWRLFRGQKWNPLRERLDSYDYTVEQHIVGSLLFTPLLLLLPTTSAFYMSFTIMYTTIGFILMIIEVAISVIHATPYTKIFLWLLRPSRFPCGIWFQIFSVDLKESVGSDVLVSSLHSYSYSLGELVKPHFNYLRSAVSRSSISSSVYGVFSGRSLSSALYKLPVAPGAGVDGKMPWTWIPLKEYWMLCYDAFFACNPG >cds-PLY86366.1 pep primary_assembly:Lsat_Salinas_v7:8:29114985:29115365:-1 gene:gene-LSAT_8X23621 transcript:rna-gnl|WGS:NBSK|LSAT_8X23621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMNIVFYLCILFPVFRIKQDLLKLIKDFFFYLFRCDNSTPYPSLVDLPVIKFEELLHRRNRFVEEMCFICSADYHLDDVVCQLSRCRHVYHSDCVGQLLHRKHVTCPFCRSPIFSGLSTTACKNF >cds-PLY65193.1 pep primary_assembly:Lsat_Salinas_v7:7:59688275:59690382:1 gene:gene-LSAT_7X45681 transcript:rna-gnl|WGS:NBSK|LSAT_7X45681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTPTAGGLMRQRHSQGYASSGDDLEDDACSRNRSQSPAFPTTRTWVEVLENVLWIASAVFIVYLGDWHSNLIYILFHDGRIRRIPLYLGVFGVSLNFLYFLYTSMLVWGVRKSSEKWEISSTDALPFVTILGLISFSLFCFALWPIWSFLTLPLVFTLFMACMVILPYMVLETFKQQPSDMFRID >cds-PLY64907.1 pep primary_assembly:Lsat_Salinas_v7:1:166579115:166579321:1 gene:gene-LSAT_1X111860 transcript:rna-gnl|WGS:NBSK|LSAT_1X111860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSSTVAAKRRQQPCRSQPSSQRSMLRIWSATYLPVRRMRHDVWLRWFLTSVVDRKQHGGGAGGET >cds-PLY77283.1 pep primary_assembly:Lsat_Salinas_v7:4:164254717:164255910:1 gene:gene-LSAT_4X99281 transcript:rna-gnl|WGS:NBSK|LSAT_4X99281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATGKFFRVIELPQQKPLDNGLLFPAVLSPNLTTDSTAVELHDFEEAIRTHKPWLESLLQKSGAILFRGFPVASPSDFNHVVEAFSYAEIPYLGGIAPRTKVVGRVYTANESPPHIGIPFHHEMSYAPDFPTKVFFFCDEEPGEGGETPIVLSHIIYEKVKEKHPELVAVLEEHGLTYTEVIMDEDDPSSIISRNWKSKFNTDDKNVAAERAAKLGIKIEWIGNAAKATTGPLPAIRFDKESRRKTWFNPITTTYSGPAGKSFFVEIGNGDPVPDDAVEDYRKILEEECVAIPWKKGDVLLINNLMVLHGRRPLLKPPRRVLASLCK >cds-PLY65393.1 pep primary_assembly:Lsat_Salinas_v7:1:61220026:61220268:1 gene:gene-LSAT_1X52221 transcript:rna-gnl|WGS:NBSK|LSAT_1X52221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVKDNLQDHREAIRVGMMMVAATLWGAQELYGYRSAGDECCGCAALEQRISDPVQPTAALVAPNALLASYGSPENQK >cds-PLY66268.1 pep primary_assembly:Lsat_Salinas_v7:3:47991307:47993284:1 gene:gene-LSAT_3X37780 transcript:rna-gnl|WGS:NBSK|LSAT_3X37780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKMRKLNRPTGHRISMLRTMVSQLVKHERIETTVAKAKEVRRLADNMVQLGKEGTLCAARRAAAFVRGDDVIHKLFTELAYRYKDRAGGYTRVLRTRIRVGDAAPMAYIEFIDRENELRQSKPPAPQPPQRPALDPWTRSQLSRSFAPPKEIKSSEPED >cds-PLY84297.1 pep primary_assembly:Lsat_Salinas_v7:6:5914991:5918775:1 gene:gene-LSAT_6X2441 transcript:rna-gnl|WGS:NBSK|LSAT_6X2441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAFRYSLLFVCISLFLSFALAEDPTVSYKFEYTYITASPLGVPQQVIAINGKFPGPTINSTTNNNVVVNVRNKLDENLLITWAGIQQKRSSWQDGVLGTNCPIPPKWNWTYNFQVKDQIGSYFYFPSLNFQRASGGFGGFIINPRSVIPTPFDNPAGDIVVLIGDWYIRNHTDLRKTLDAGKALGMPDGVLINGKGPYRYNDTLVPDNIDHETINVEPGKTYRIRVSNVGVSTSLNFRIQNHNLLLAETEGSYTVQQNYTSLDIHVGQSYSFLITMDQNASSDYYIVASARFVNESTWRRVTGVGILHYSNSKGKASGPLPDPPQDQFDNTFSMNQARSIRWNVSASGARPNPQGSFRYGSINVTEVYLIKNTPWVTINGKTRATLSGISFVNPTTPIRLADQYKVKGAYKLDFPTTPLTGPPKMETSVINGTYRGFMEVIFQNNETKMHSYHVDGYAFFVVGMGYGEWTNDSRGTYNKWDGIARSTTQVYPGAWTAVLISLDNVGVWNLRTENLDSWYLGQETYIRIVNPEINNKTELPMPDNALFCGALSRMQTPQDTSPATSVVFSGGCGWVAAVVMMMMAC >cds-PLY99061.1 pep primary_assembly:Lsat_Salinas_v7:6:151090439:151094601:1 gene:gene-LSAT_6X91481 transcript:rna-gnl|WGS:NBSK|LSAT_6X91481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSVDKANCSLFCNSTKKKHSGLSRCGKSCRLRWENHLRPDLKKGTFTPKEERHIIELHVKMGNKWARMAIEKALVIMVKEGPCCHCGIEENENTEEDEPQINIEMINGIIKALQAIPDAILSTTVMIL >cds-PLY86093.1 pep primary_assembly:Lsat_Salinas_v7:7:139192735:139193169:1 gene:gene-LSAT_7X83601 transcript:rna-gnl|WGS:NBSK|LSAT_7X83601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPFKFFLPQTRTGETHSQPSFSSPFYGGSPPTHIPLNLLLLPIGSLFSIGQRQRTEVLIPHSCVVERLDQKKKEDDGGFKMNKPQALTPSTPSLDPPGTIKRPSCELQLQRMPPFSFPKTLGFSEVVVASIPSFDLCVTAWSF >cds-PLY82513.1 pep primary_assembly:Lsat_Salinas_v7:2:186066059:186067298:1 gene:gene-LSAT_2X106721 transcript:rna-gnl|WGS:NBSK|LSAT_2X106721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLTVCLIRNLQPAAYFLEAYCPVRKGDFFLVRGGMRSVKFKVIETEPYEYCVVAPDTEIFCEGEPVRREDEDKLDEVGYDDVGGVRKQMAQIHELVELPLRHPQLFKSISVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKMAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDRLKSRAHVIVMGATNRPNSIDPTLRRFGRFDREIDIGVPDEIGRLEVLRIHTKNMKLADDVDLEKVGKETHGYVGADLTALCTEAALQCIREKMDVIDLEDESIDPEILNSMVVSNEHFQTALGTSNPSALRETVVEVPNVSREDIGGLENVKRELQETVQYLVEQPEKFDKFGMASS >cds-PLY79618.1 pep primary_assembly:Lsat_Salinas_v7:2:166023792:166026453:1 gene:gene-LSAT_2X90040 transcript:rna-gnl|WGS:NBSK|LSAT_2X90040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKLKISLGRTAVQSHSKSAPWAGGISQSSPGVLAVPFHGSFFNGVSDLRPFQCSKTAVTGILFSSPMTVFIEDQQSFSWFFLLDAKQISRRIQTNDRILGLASTPIIVKGMRFDLKTGATKCITEDIKINAMTVGKYSVVKRVQGFSLPESDRVTVRVTSPLGNNYHYADRRDSGNFAFTAGEAGDYMACFWAAKQSPQKTLSIDFEWKSGLAAKDWSKVAKKGQVEMMELELKKLYDSVTTVHEEMYNLREREETMQHLIRSTNSKMATFSFCSLVVCLSVAALQLWHLKSFFQRKKLI >cds-PLY76909.1 pep primary_assembly:Lsat_Salinas_v7:6:30244349:30245440:1 gene:gene-LSAT_6X23140 transcript:rna-gnl|WGS:NBSK|LSAT_6X23140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGDDELISIFKRINNPDDRRSFSQVSKQFLKLACSRLTNLHIAFPDLLYDILPESPNLVTFECHKPLSNTHMKLLAHSCPKLRYMNLSLEKNTDSQADSMIEVDFDNNGLCEVTNACKNLYYVSLSRRLHVGDVEVTSLVRSSKNLAVLDLSGCVSVTDESLKAIAETTSWLRVLNLQGCYLITDLGLKYLSNGHVRHFLEELVLAECDRISDDGILYLKQIRCLTDLNLSKCGVNITDVGVGALLQLPKIERLDLSWLINVTDISLFVIGEHYWKLRAISLTGCEAVTSEGLLAFEDHETLEELEFFSCHNFSWEDVVILASSCERLKYLGLTRRMVTPMPEAVHNDFYVINNCWIDWE >cds-PLY71893.1 pep primary_assembly:Lsat_Salinas_v7:8:279306038:279310394:1 gene:gene-LSAT_8X159581 transcript:rna-gnl|WGS:NBSK|LSAT_8X159581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 6 [Source:Projected from Arabidopsis thaliana (AT1G70300) UniProtKB/Swiss-Prot;Acc:Q8W4I4] MDLETGSRQNHLRKQSWRAVLTLAYQSLGVVYGDLSTSPLYVFKSTFAEDIKHSETNEEIFGALSFIFWTLTLVPLLKYVFIVLKADDNGEGGTFALYSLLCRHARVSSLPNCQLADEELSSYKKEIPNLALSSFGSRLKSTLEKHGVLQKFLLVLALVGACMVIGDGVLTPALSVFSAVSGVELAMAKEHHKYVEVPVACIILIALFALQHYGTHRVGFLFAPIVVLWLLCISSIGLYNILHWNPHIYQALSPVHMYRFLKKTQTGGWKSLGGILLCITGSEAMFADLGHFSQLSIQIAFTSFVYPSLILAYMGQAAYLSQHHFIENDYKIGFYISVPENLRVPVLLIAILAAVVGSQAIITGTFSIIKQCSSLGCFPRVKIVHTSSKYHGQIYIPEINWILMLLCLAVTIGFRDTKRMGNASGLAVITVMLVTTCLMSLVIVLCWQQSVFLAIAFVVFFGTIEALYFSASLIKFLEGAWVPIALSLIFMLVMYVWHYGTLKKYEFDLQNKVSVDWLLSLGPTLGIVRVRGIGLVHTELVSGIPAIFSHFVTNLPAFHQVLIFLCVKSVPVPHVGPEERFLVGRIGPREYRVYRCIVRYGYRDVHKDDVEFEKDLVCSIAEFIRKQKDDSTIDARTDGEVGNNDEVMTVIGTPSTNAEGVHICSPSPDGIGLPEVEEIQASTTNQVKKRVRFVVPESPKIDDGSRAELRDLMEAREAGVAYILGHSLVNEWSATTVRWWWVEW >cds-PLY74373.1 pep primary_assembly:Lsat_Salinas_v7:5:55154576:55155902:-1 gene:gene-LSAT_5X26441 transcript:rna-gnl|WGS:NBSK|LSAT_5X26441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPIGVKKHLAPYLPSHPVVATRGIPTLEQSKPLGTVSAAPWGSTLIFPISYTYIAMMGSQGLTDAYSIAILNANYMAKCLENHYPILFRGVSGTVAHEFIVDLRPLKTRAGIEPEDVAKRLIDYRFYGLTMSCSIPGTLMIEPTESESKAELDRFYDALISIRQEIAEIEKGTVDINNNVIKGAPHPPQLLMADKWTKPYSREYVAYPAPWLHAAKFWPTTCRVDNVYGDRNPSATT >cds-PLY86280.1 pep primary_assembly:Lsat_Salinas_v7:8:56048715:56049232:-1 gene:gene-LSAT_8X40440 transcript:rna-gnl|WGS:NBSK|LSAT_8X40440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSNSPAERPWNLRTRRAACKAPPSPSNGVNGNGDVLKPNSSPVRNECKSPKHRPIIGIATATTSAEKRDRPKFSISLSRRELEDDFMAMAGRRLPRKPKKRPRIVQKQLDTLFPGLWLSEITADLYKVPDEIETGKVIIR >cds-PLY72331.1 pep primary_assembly:Lsat_Salinas_v7:1:173951060:173951242:1 gene:gene-LSAT_1X115701 transcript:rna-gnl|WGS:NBSK|LSAT_1X115701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGMRQLCILEEVDEIPNGMLIDGVGGDGRGDGGDGNGNIGGVGNDDDGGADGEKRCWWC >cds-PLY92380.1 pep primary_assembly:Lsat_Salinas_v7:3:123697629:123698468:-1 gene:gene-LSAT_3X85580 transcript:rna-gnl|WGS:NBSK|LSAT_3X85580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDKKIVLVTGCAKGGIGYEYCKAFAEQNCHVVASDIPQRMNDLLELREQEIETLELDVLSDISVSSAVKAIILKHGKIDVLINNAGIGSTGPLAELSLDEIKKAYEINTLGQLRMVQHVMPSMASRRSGVIVNVGSVVGKVPTPWAGSYCASKAAVHSISHTLRLELKPFGINVVLVIPGAIRSSLGSHNTGSLSHYDWKVYKDFSDAIAERARASQVGKSTDASLFARHVVNKVLSPKPPKQIMFGHMTSLFAILSFSPLWVRDLFFTKRFGVDKKV >cds-PLY81744.1 pep primary_assembly:Lsat_Salinas_v7:3:30906459:30906881:1 gene:gene-LSAT_3X22261 transcript:rna-gnl|WGS:NBSK|LSAT_3X22261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKERRRSSLPRHGKGSWDEEKKEKVQGEEISRGRIGEMSSKELVKTRFFSTGHGVKLEGSMNYAKGKSWALLLSIYKWRRRSCGDSWSRQRAPLEQKAASCKHYSKSSELRNKSEASRGSLLSFSAASYRTMGGVRTSFR >cds-PLY77789.1 pep primary_assembly:Lsat_Salinas_v7:2:170242863:170244180:-1 gene:gene-LSAT_2X91160 transcript:rna-gnl|WGS:NBSK|LSAT_2X91160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEINEEDWELVNDDGFVYKRLKRPRLDSTSASAVPPPDPAAEAKARTERKKKVLLKLKTKYQQEIHHWEHLSNTLKALQDRMQNQPSSTVLSDQTVSVLLENSSDSPFQDLTDTLLAQVEAQEATIREVSRLCDVAEALCNAEEQRLKQPFFDLPIWDPSPGELVTSLLEE >cds-PLY74443.1 pep primary_assembly:Lsat_Salinas_v7:8:247378637:247382754:-1 gene:gene-LSAT_8X146520 transcript:rna-gnl|WGS:NBSK|LSAT_8X146520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALNSGRMLCNPSTIAPYNRFPLHRPVLLHPPSSRTPLHIVLAAKKLSSRTGKFDSKNKRGSTSTREDESSGEFNDGAIGTVDATEVENFDGYVLPDLPGLEPDFWEGPQWDGFGFVIEYLWAFGIVFALVSSGIAVATYNEGATDFKETPAYKESIQSRDLLEEPEASSPDVFESNPTEEAPSLE >cds-PLY77406.1 pep primary_assembly:Lsat_Salinas_v7:9:200153677:200160331:1 gene:gene-LSAT_9X124500 transcript:rna-gnl|WGS:NBSK|LSAT_9X124500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEIVLMISLIPRDIAKATTRLEITSIVEETESLQSSMPLTASDSVKLHLYNNINGGIDEALSRLNVVIRHYNVLHPENVIAYDDAVFALGKICHFHCNSIELAQIITVCLSCFLIKGDLIKAKVVNELLCAMAERYVGVYDTR >cds-PLY80290.1 pep primary_assembly:Lsat_Salinas_v7:3:208217452:208236471:-1 gene:gene-LSAT_3X122700 transcript:rna-gnl|WGS:NBSK|LSAT_3X122700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQTHSASHPLNLCHVKTRTLIINRLYIFSHSIAIAFLIYYRASSIRTLIDTKTQPLIPHLLIFIAELTLSFIWILSQSFLWRPVIRTVFPERLPEDEQLPPIDVFICTADPEKEPPLGVMNTVVSAMTLNYPARKLTVYLSDDGGCPVTLEAMSEAWRFAKIWVPFCKKYGVKIICPEAYFAERGVDDEGLVYNDEFAAEKVKVKGEYESFAHKVTKISESERCRSNKDHSSVVEVVSDEIVHTQREMPLLVYVSREKRPYHNHQFKAGSLNALLRVSSLISNSPYILGLDCDMYCNDANSARQAMCFHLAPISSSLAFVQFPQRFYNISKHDIYESELRCTFKTLWSGMDGIKGPCLSGTCYYLKREALYMHHLPLEDINLKELKECFGSSNDFLKSMHQKHGENMDYGKVLSDVLLQEIKLLSSCGYENNTKWGKEVGFRYFSVVEDYFTSFNMHCKKWISVYYMPQKPAFLGSCTTSLNDSLIQGTRWTAGLIEVALSRFSPIIYGPSRMSVLHSFCYAWLACFPFAFLPLWILATIPQLALLNDVTVYPEVKSPFFLIFLYVFVLSNLQHMREIHSTGGSLKVWRYEQRTWMVKGITCHLYGSMYAIMEKLGAKEASFLPTNKVVDDDQDF >cds-PLY83373.1 pep primary_assembly:Lsat_Salinas_v7:5:94572786:94574382:1 gene:gene-LSAT_5X43301 transcript:rna-gnl|WGS:NBSK|LSAT_5X43301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDVRMLDADTLTWTKLVTSGKILPPRAVHTYIALGKNLFVFGGFTDAVDLYNDLYMFDLVGEGPSARFSVAGSTLHPQHGGVLIFILGCNKKLEALNDMFYLFTDRQNVYLNEYHNPQGKRTFQAKVTKKNITNCYTIETVIDGKPLCGVLFSNNIKKTATDDLRRKIVAVERVKKGFEHDTTKSHEPEHHTPGATSATSDMKTPTTSNASPPHEVVFVILIIISLFCFRRVKWFLEVNKLNPSTATEDTANLIPNPNLGYDGESHPLTGEEHGQQV >cds-PLY66277.1 pep primary_assembly:Lsat_Salinas_v7:4:63674552:63675043:-1 gene:gene-LSAT_4X44700 transcript:rna-gnl|WGS:NBSK|LSAT_4X44700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASYRNFITALEQTPWAPRNLTTTPQREQKLMQKMQISIEKLKKSGFFAAFLNQIRTSEASFHIHKVKESEHKLKMVIYGIGSIESSRSSELQLSLAILMKKEVDWIGDVEVFDPIISLTELKDIEQLGCCVLSVNEWCKREAKNPTLFSCHVVHYGYLKVS >cds-PLY69359.1 pep primary_assembly:Lsat_Salinas_v7:7:80994602:80994972:-1 gene:gene-LSAT_7X56560 transcript:rna-gnl|WGS:NBSK|LSAT_7X56560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVFISFLSLCLFLVCEYAESNFSDSLDMLEDKSLKIEEDSDLPSWSDDERGVRVLMNVDSFGAVGDGVSDDIKAFGDEWKKACFTDCIHGK >cds-PLY77395.1 pep primary_assembly:Lsat_Salinas_v7:7:9536430:9545613:1 gene:gene-LSAT_7X9401 transcript:rna-gnl|WGS:NBSK|LSAT_7X9401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQLFLSFLVISFTICSFAITVESRSLARTYAESQCKSTRYPDLCVQTLLPYVSKRGLPSSQLQAQLSLATCLSTARFTKAYMNMVADKLNETSNSGDYQAMEECMHQMNDGVTQITQSFKELQQMGKDGYQKFLWHESNVQTWVSAALTDATTCVDGILGDGISDREKTMIRARILKTEEENNDGWETVGKKPARKHQQSHVQKGNWDNFKRPANEQDYSNEVSHTSQMEPSQDELNDISQAYNKLWELDYNRLTPGNDYEIDCGEGKKTYQKQDMAECNLFTWLSEDVLKNLQFLLVLELLITDPILSLGKDNVGFHLVVVYIISDSSVETTRFHNPQASHAFSTSNIGVIPKPYGANGHGNYHYPRSSISASYMATVESLLLGSGTSHPPSSAFNPKAKAAAAFPETAPGLNPRAIMSFAQDLEQVTLFILIIEVELMKYFMNQMKLKSFHATTF >cds-PLY66371.1 pep primary_assembly:Lsat_Salinas_v7:1:201419369:201423283:-1 gene:gene-LSAT_1X127621 transcript:rna-gnl|WGS:NBSK|LSAT_1X127621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTSFHRYAVVSLLMIFFIQPSISIYCDEDDCYDLLGVSQNANASEIKKAYYKLSLKHHPDKNPDPESKKLFVKIANAYEILKDEATRGQYDYAIEHPEEVFYNTARYYHAYYGHKTDPRAVLVGLLLILSAFQYLNQWTRYHQAIDMVKRTPAYKNKLKALELERTGALSSKKKGGYKLDKKTQEELSKELELQIKGAEKPSMWGLLAVRFILLPYTLGKLVLWHGSWYWRYKINRTSYSWEDASYLTRRALGAPPDSWEYLDESTKKDLINRRLWVKSNLEIYLAEMRKESKRRR >cds-PLY90106.1 pep primary_assembly:Lsat_Salinas_v7:6:17366561:17368297:-1 gene:gene-LSAT_6X7400 transcript:rna-gnl|WGS:NBSK|LSAT_6X7400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEARGQSSIAAARYTLPATRLSSEDILFCIDVDPESLVEMKNTSASGRPFTRLESIKQAILLFINAKLAINPDHRFAYSALGKTPFWLKKEFSSEVESAIAAFRAITVDSSAGHADLTHLFKVANHEAKKSRSQNRLLRVILIYCRSSVVPQHQWPTNQKLFTFDVVYLHDKPGPDNCPQKVYDGLVDALEQVSEYEGYIFESGQGLTRVLFRHMCVLLSHPQQRCVQDDIDIPKSLTKKSPAPDSATPNPAAVADQECVPVSSQ >cds-PLY84445.1 pep primary_assembly:Lsat_Salinas_v7:2:695605:704725:1 gene:gene-LSAT_2X1460 transcript:rna-gnl|WGS:NBSK|LSAT_2X1460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSSYERSLEQTPTWAVALVCTVFVIISVIIEHGIHSIGKWFQKKQKKAMLEALEKIKAELMLLGFLSLLLTVGTSYIAKICVPEKIGYKMLPCKPEYYKSKGDGSGGDDDDNNDHEGKSRRKLLNLAEEMIFRRVLAASSDDVGTCGKGMVQMISYSAVHQLHIFIFVLAVFHILYSVVIIGLGLAKMKKWKAWEAETTSLEYEFTNDPARFRFAHQTSFVKRHTGLSTKPGIRWVAHFAPSSKFDFHKYIKRSMEDDFKSVLGISMILLLVGTKLELVIMEMAQQIQDKATIVRGAPVVEPSNKFFWFNSPRLVLFLIHFTLFQNAFQMAFFLWTVYEFGIHSCYHESIVQIGVRVGLGVLLHIMCSYITFPLYALVTQMGSHMKRSIFEEQTSKALKKWQKAAKDKKKLRELGGRSVDVSNSGLENTPSRESSPVHLLHNQKYRSSTAESEIDIPSSPRTYTSEADISDAEGSYHANDHNKNLQRDFTFSMS >cds-PLY94346.1 pep primary_assembly:Lsat_Salinas_v7:7:166135799:166135984:1 gene:gene-LSAT_7X98181 transcript:rna-gnl|WGS:NBSK|LSAT_7X98181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGARGGGRSGSHISGRDAGDTNASLSYNDSVRGSNILEQVPSNPSKRKFIEIDFEKEY >cds-PLY71835.1 pep primary_assembly:Lsat_Salinas_v7:3:60204249:60207797:1 gene:gene-LSAT_3X47521 transcript:rna-gnl|WGS:NBSK|LSAT_3X47521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIVTRSRRIFSIVLKSNKNPRWYSSVTANPPKEPIISSSILSDQAASSIPPPPPESAAAAKGGGGQPWSFLKYSIIAAVTGGVATAGYATYAYSLEEVDEKTKAFRASTKVSIGDDLSSFEKFQAMLKSTAMTVPAKLVELYLDLRASTEEHVRGFTEPLSDKLLPDLHPQEQHVFTLVLDLNETLLYSDWKRDRGWRTFKRPGVDDFLEQLARYYEIIVYSDQQAMYVDPIVDRLDGNHCIRYRLSRAATRYQDGKHYRDLSKLNRDPSRILYVSGNALESCLQPENCVPVKPWKCEAEDTALVDLIPFLEYVARHRPADIRPVLASYQGHDIAKEFIERSKEHQRRMQEQKQPGRLWRR >cds-PLY86654.1 pep primary_assembly:Lsat_Salinas_v7:4:281359204:281361916:1 gene:gene-LSAT_4X142200 transcript:rna-gnl|WGS:NBSK|LSAT_4X142200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDLPIKYGCGVFSAVFGRRTRRSVSTSSLPTDNFPDISTTPSSQKSNSTRLNGSDDASFDISSSPEQSQKQVDQIIARPLPNQKKKATPPLYVQTPQQVKKSEPNGQQHNQIYDQNKKVLTRGTSGILEDLETMIDDHQRSIYAGNMKVFGNLGNLPQPNSNNVDYHPKSVKEQTSLPNGNINRIPRKEVLKPVKRTAFCRALSTRMDPEQLKIMGNEDYKNGRFAEALSLYDAAISIDPEKAAYRSNKSAALTAMGNLLEAVFEAREAIRIEPFYQRAHTRLATLYLRLGDPENTIRHYKQAGSEVEPELLTKAQKLQVHFNRCNEAKKRRDWNTMIKESSVAISSGADFALQIFTLKAEALLKLHRHHEADEVMSNAPKFDEDDCNKFYGPISHANLLLIRAQVDLAAGRIDDAMEASEMASKIDSNNKDVNMMVCRIKVVMGARSKGNELFKAANYPDACIAYGEGLDHDPFNSVLLCNRAACRTKMGQFEKAVEDCTMALNIRPTYSKARLRRADCNFKVNHIHTQPSSWLFIFPAICVSDQMV >cds-PLY68306.1 pep primary_assembly:Lsat_Salinas_v7:7:97638939:97640307:-1 gene:gene-LSAT_7X65241 transcript:rna-gnl|WGS:NBSK|LSAT_7X65241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PPD1 [Source:Projected from Arabidopsis thaliana (AT4G15510) UniProtKB/TrEMBL;Acc:A0A178UV94] MAVTIVDHLGFRPLSTPTATVLRSIPPHFLSSAAHTALLMLPTRVAPFAMVSNSNSASCSSLSCNLQSTKAFVVPRRSLMSTLILSSCLFSQTESNFAFAQPTIGFKEYIDSFDGYSFDYPKNWIQVRGANADIFFRDPYVLDENLSVEVSSPSSSKYKSVEDLGPPEEAGKAVLRQYLTEFMSTRLGVKRESSILSTSSTVADDGKMYYQIEVNIKSFASNNELAVMPEDRVVRMEWNRRYLSVLGVENNQLYELRLQVPENVFVEEENDLRKVMASFRVNKLSA >cds-PLY85944.1 pep primary_assembly:Lsat_Salinas_v7:9:99632097:99634934:-1 gene:gene-LSAT_9X73681 transcript:rna-gnl|WGS:NBSK|LSAT_9X73681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGLQHGRSSGGEDRFYMPAKARRIRQHQENLRRAQSNVSPTQSTTSSVREEPENQLMQPSNPEPLESSVVAVPATSSLCNLERFLETVTPSVPVQHLSKQRTMRGWRTSDVEYQPYFVLGDLWESFKEWSAYGAGVPLILNEANCVIQYYVPYLSGIQIYVDPLKSSINSRQLTEDIDDNSFTDSSSDGSSDYEQEKGSLHYLREKSNNHHPKNDILHRMDHLSMNDENNVVQEDFSSDDSDSATTQSCLIFEYMEHSQPLGREPLCDKILDLAQRFPELKSLRSCDLLPSSWLSVAWYPIYRIPMGPTLKDLDACFLTFHSLHTPTTGNECEHPPVVRHFSGTGVVSLPAFGLASYKFKAPLWVPNELKRLECPDGLFTILYVSRSPPRRSRSPSRSRSPEGGGNEKASTSSPYSHGRADSRSPLQRSDSNGLPGPMGLMSTSHLEQEAAVLALSTLMTIAPAEVYAEFEKCIRSYNMLKC >cds-PLY97402.1 pep primary_assembly:Lsat_Salinas_v7:4:13611805:13615417:-1 gene:gene-LSAT_4X8620 transcript:rna-gnl|WGS:NBSK|LSAT_4X8620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNMKKKACDSTSSSNNNNSSGDGEAQKQKERHIVSWSQEEDDILREQIGIHGIDNWAIVASKFKDKTTRQCRRRWFTYLNSDFKKGGWSQEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKNEAMAKENNTCYITPNNKRTRFQNGQNEDRVLEPSMPLSKMRRKHIPDTTEESHNINTLTTQVHPKSEEVSKDGTFLKKDDPKIIALLQQAELLSSLALKVTLEKTEESYEKACKAVEDFLKKTKESDVVGVNNSMSDMDIRSCDEGSQQSWRQPDLYQGSPESSEYSTGSTALSQVIEKICGKNIITPDSTTNLDVLASCDECENDVGGICPLPNSQFNSPLQVTPLFRSMAAGIPSPQFSESERNFLLKTLGMESTPPPKPGIRTSQPPPCKRALLHCL >cds-PLY98945.1 pep primary_assembly:Lsat_Salinas_v7:7:51879881:51880623:1 gene:gene-LSAT_7X37740 transcript:rna-gnl|WGS:NBSK|LSAT_7X37740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACVFIFLALVFSMAMAADHSPLQDFCVADMNAQVMVNGFACKNPSSVQANDFSFAGLHMMGNTSNALGSRVTPVFVTQLPGLNTLGISMARIDYAPWGINQPHTHPRATEILTVLEGSLEVGFVTSNPENRHITKVLYKGDVFVFPVNLVHYQRNVGKTNAIAIAALSSQNPGVIPIANVIFGSKPDISTDILAKAFQASDDVISAIQIKF >cds-PLY78634.1 pep primary_assembly:Lsat_Salinas_v7:4:151236284:151237585:-1 gene:gene-LSAT_4X92901 transcript:rna-gnl|WGS:NBSK|LSAT_4X92901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFMLQMEGDIFSGIGNGSQLGVDGKVLQTFQKSFVQVQNILDQNRLLINEINQNQESKLPVNLTRNVGLIRELNNNVKRVVDLYGDLSNSFSKSMDASSEAESGGTTRSDGKKRVRSG >cds-PLY81871.1 pep primary_assembly:Lsat_Salinas_v7:8:122717929:122719799:1 gene:gene-LSAT_8X83741 transcript:rna-gnl|WGS:NBSK|LSAT_8X83741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQWWDQAISMSFKLQLSLLTSELIIEILSRTSLQTFAIVRCTNTYYGNLTYNNYVLHNYNRRNNVVCGIIVQQKKLWKNIERQFLPSFGSNNHDINWLPHTCTILASSLCGLLLFESYDPDEYVSKILFVIKPTTSDAKWIPFPTSEYTATKFALVVISSNPLHFKVIRLSYTKPSDMPTEKVDYDYYNIELFSSTTWQWREFQNIWLPSSVYPVSDEAVTSGGAVYFLLSNDTILRFDIYSEEHILIFSPSTINELKPYASRLIKFHGKL >cds-PLY75543.1 pep primary_assembly:Lsat_Salinas_v7:9:33885639:33886676:1 gene:gene-LSAT_9X29960 transcript:rna-gnl|WGS:NBSK|LSAT_9X29960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKISGKLNPKHLFRSNKSRSVSRSDPSSFGSYATTSSTSPEPSHGHHKSMSSGVATPTSVLPRHSNSHSHSHEISSDEWSENSTDVQFELVQAFRFIDSDGDGRITREELEAVLNRIGGSEPPIREELSLMLSELDRDGDGIITLEEFGAISSAFGPPACDTELRDAFDFFDTDRDGKITADELFAVFKSIGDGRCTLEECRSMISSVDKNGDGFVCFEDFTRMMEQQR >cds-PLY70273.1 pep primary_assembly:Lsat_Salinas_v7:2:92713130:92716974:1 gene:gene-LSAT_2X39660 transcript:rna-gnl|WGS:NBSK|LSAT_2X39660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIKHWIEKHRIKLSGTVRKMMMKCFHSGERFGAKEMNASSESLATRDYSASGYSSRVNEPDPKLDNSNIEEAESSLRESGFLNYEEARALLGRLEYQKGNVEGALHVFEGIDIPAVIPKIKNSLARRSELSRRHSQGDDDPSPPMSVHAISLLFEAVFLKSKSLQALGRFREAAESCKIILETIESALPDGFLDFSSYYKLQETVDKAVELLPELWKLASDPQSAILSYRRALLFQWNLDKKTRMNLEKEFAVFLLYSGCDATPPNLRSQMESSYVPKNNVEEAILLLLILLRKIVIGIIEWDPSIYHHLSFALSISHDLKSLAHQIEEFPPGIIEKKERYSTLALCYYGEDEDMVSLNLLRSLLNNQEKSENQHNFVLEMLLASKICIDQSDSLQEGITYLHKLMKLEENCNETKSVANFFLGISLSAQSRNTNLDSERIKMQSEALNALETAHKMKQEDANILFHLSLEYAEQRKLDMAVYYAKKLVKVEGGDNVKGWILLARILSAKKQYFDAEVVIDAAIDETGKWDQGELLRTKAKLQIAQGKLKNGIETYTRLLAVLQVRSKSFGVHKRLLKKRSNKERELEMETWHDLANLYTSLLQWHDAEVCLSKSKTIDPHSASRWHAIGILHQAKGEKEEALSSFEKALDVDPNHVPSLISTAIVLRELNDRSLPIAKSFITDALRLDRTNSLAWFNLGLIYKVEHGSSALEAAECFEAAIMLQESEPIEPFR >cds-PLY71089.1 pep primary_assembly:Lsat_Salinas_v7:1:145474656:145476771:-1 gene:gene-LSAT_1X103400 transcript:rna-gnl|WGS:NBSK|LSAT_1X103400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSINNGGGGGGGNHIIDAFGRLLTCVLRHMASELNLKMRKDELNLSDEVVPSESLINKESKLPKADDKGSIIVEEKSRKETEKPQADKEAAVAHAKNRILTTAGT >cds-PLY69435.1 pep primary_assembly:Lsat_Salinas_v7:1:64127891:64134546:-1 gene:gene-LSAT_1X54920 transcript:rna-gnl|WGS:NBSK|LSAT_1X54920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPYAHCESKLRALAGQAEGFGRLATGGLHGALYCVTTLADDGPGSLREACSKKEPLWIVFEISGEIKLKSFLPVSSYKTIDGRGQWIRITGMGLRLAQCEHVIICNLEFQEGRGHDVDAIQIKPNSKHIWIDRCSLKDYSDGLVDVTRGSTDVTISRCKFGYHDKTILIGANAAHCDDRNIRVTIHHCLFDGSRQRLPRVRFAKVHLYNNYTRYWAIYAVGASVDSEIYSQCNIYEAGRDCNVAFKYIPEKAGDKNAPTAGVIVSEGDLFLGGTQSGLKPGNCSFRPSQYYQTCTVEPATMELKKALEWFAGWQRVPRPGDWQEHGW >cds-PLY77669.1 pep primary_assembly:Lsat_Salinas_v7:9:18242072:18247150:1 gene:gene-LSAT_9X13380 transcript:rna-gnl|WGS:NBSK|LSAT_9X13380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKIPVKIKAVVYGLSPFQQKVMPGLWKELANKITHKVTENWLSAILLVGPVVGTYSIATSDLMAEEITSNSYEEARKQQLLENQKRFEELGILKITKSLSDISKSEKKSKQREVKLKIRNSPITEPRRSTRERNPIVSYHDDVDIGLPSVRRSKSNSSWASYLARPLEEVKMARYEERVKAMKSAEKLQSNLQSEFPSFVKSMLRSHVYSCFWLGLPLSFCKTHLPKSTVNMILEDEDGNEYDCVFISERTGISGGWRAFALEHKLDDGDALVFELVEPKRFKIHVVKASDGGSVDDDDGGVEEEEDVETKKPSRGRPKKRKTTDESPKENGKKSTAKKGGQHEKPKKSNETVMGTRRSTRGVKN >cds-PLY85833.1 pep primary_assembly:Lsat_Salinas_v7:8:174314806:174316511:-1 gene:gene-LSAT_8X114001 transcript:rna-gnl|WGS:NBSK|LSAT_8X114001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METDSKSDSYLLRVYVSSEVGLKNLDEYLLSRSYITWYQASKDDLTVHAAFTKPPSPQYVNVSRWLTHIDALLRISGVSAEGSGVVVEGSASFPEEAIATPPVADTKASDEDEDSDVDLFGEETEEEKKGAEERAAAVKASGKKKEFGKSSVLLDVKPWDDETDMQKLEEAVRSVQMEGLLWVDTIIEERLTAEPINEYVQSCDIVAFNKICKFIHAKKNYCYYFFWLLMMELDVL >cds-PLY99052.1 pep primary_assembly:Lsat_Salinas_v7:6:150365208:150368688:1 gene:gene-LSAT_6X90200 transcript:rna-gnl|WGS:NBSK|LSAT_6X90200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKWTMVFQFNLLLVIFLVIRFSEASGHNMFGMFRSTNYKQERNSTIWAILVAGSKGYDNYRHQADVCHAYQILSRGGLKDENIIVFMYDDIANNPSNPRPGVIINNPKGSDVYAGVPKDYTGKSVTAANLYAVLLGNKTAVKGGSGKVVASNRNDKIFIYYSDHGGPGILGMPNTPFVYANDLIKVLKTMHARSTYDEMVIYVEACEGGSIFEGLLPEDLNIYVTTASNSIENSWATYCHDTKPPSPPEFDTCLGDLYSISWMEDSESEDLRHETLKQQYLKVKKRTYNNNSYEGSHVMEYGTLRINNETVSVYQGSIPRNLSVNPGSYFSSMGVVDQRNADLYSMWQKYKKSTEESQKKELLKKINEIKVYRVHLDRSVDMISSYLLGSRHRSVRGGGLPLVDDWECLKSMVRTFETHCGSLTQYGMKHTRTFANICNSGVSKKVVDEVVKETCSSHIMGKWDPKIVGYSA >cds-PLY64524.1 pep primary_assembly:Lsat_Salinas_v7:6:36579546:36579857:-1 gene:gene-LSAT_6X28981 transcript:rna-gnl|WGS:NBSK|LSAT_6X28981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIPAAEDVESMWIRKFGFEKVTQALVLFFLLSSFLILIIMLQITYIIYYICLQRREYRQKLTSIVAFEGTCLLEKEVVGPYGGVTFQDGFCFSLSKQKQIKQ >cds-PLY92068.1 pep primary_assembly:Lsat_Salinas_v7:5:324924786:324928223:-1 gene:gene-LSAT_5X180201 transcript:rna-gnl|WGS:NBSK|LSAT_5X180201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSSKEKRSSRRHGAGGQRGRGPIVPNSPPRVSQPASVNQRRVQAEAKPVSPSKRTSNEVVNNQPPTKPAAGNNNIAAQTFTFRELAAVTKNFRQDSLIGEGGFGRVYKGKLAKTGQEVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMSAGSLEDHLLDLPRGKAPLPWYTRMKIALDAAKGLEYLHDKANPPVIYRDLKSSNILLDQDFNAKLSDFGLAKLGPVGDKTHVSSRVMGTYGYCAPEYQRTGQLTVKSDVYSFGVVLLELITGKRAIDPTRKNEQQNLVCWAEPIFTDPRRLTELADPLLKGNHPAKGFNQAVAVAGMCVNNDASVRPLISDVVTALTFLGEGNEDNKVELIDSPSPTEASRPSSLKIKASGSDREKAVAEAMEWGTSSRPGGGKKPRASRSMR >cds-PLY82583.1 pep primary_assembly:Lsat_Salinas_v7:2:188592982:188593347:1 gene:gene-LSAT_2X110460 transcript:rna-gnl|WGS:NBSK|LSAT_2X110460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSINFLYALSLGSVMRETNGGGEHSATAAPTNGGVTNHENALRQSRGSEVLVVPLRISVLPFEASRSSMDVLFPVLTAEGAFLCNSFPKLGLDFSENFHNFTFPWSRSCSGMEFGGRR >cds-PLY81216.1 pep primary_assembly:Lsat_Salinas_v7:4:104453204:104453425:-1 gene:gene-LSAT_4X68161 transcript:rna-gnl|WGS:NBSK|LSAT_4X68161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLKDSTETKMGCQERQERRGGRMSSWSDLSAALLRFPYRGSLGNRIKLALVNNLMHYLPSTL >cds-PLY84671.1 pep primary_assembly:Lsat_Salinas_v7:2:151493823:151496683:1 gene:gene-LSAT_2X78121 transcript:rna-gnl|WGS:NBSK|LSAT_2X78121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:D-2-hydroxyglutarate dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G36400) UniProtKB/Swiss-Prot;Acc:O23240] MEKRMAAATASASYRLLNQKNHTSKRFLDHRRLVTNSSEVHRSSSSSRGFMHHCGTAPLKNFLARFPWDLQKSSLIHIQNLPGIQHRTFGSATSTIIQRNPLFSTINHDDIIYFENKLGMKNVIQDEEELQTANTDWMHKYKGSSKLMLLPENTDQLSQILKYCNSRCLAVVPQGGNTGLVGGSVPVFDEVIINTRRMNNIISFDEVSGVLVCEAGCILENLMSFLDKQGFIMPLDLGAKGSCQIGGNVSTNAGGLRLVRYGSLHGTVLGLEVVLANGNVIDMLGTLRKDNTGYDLKHLFIGSEGSLGIITKVSILTPPKLSSVNIAFLACQDYISCQFLDAQAMNLVLHHLDSVRNPLPSSIYNFYVLIETTGSNESDDKEKVEGFLVDAMESGLVSDGAIAQDLNQASSFWQIREGIPEALQKAGAVYKYDLSIPVEKMYDLVEEMRIRLGGKANVVGYGHLGDGNLHLNVSAPQYDDAILGEIEPFVYEWTSKQRGSISAEHGLGLMKAEKIYYSKSTATVELMASMKKMLDPNGILNPYKVIPQSLLS >cds-PLY72070.1 pep primary_assembly:Lsat_Salinas_v7:2:204683351:204684328:-1 gene:gene-LSAT_2X125220 transcript:rna-gnl|WGS:NBSK|LSAT_2X125220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGLFYDINVDYASILWEDFLSFLLASKNKFLIHHPRWWSIIIHDAINNSNLAPGGIPEGPQPHFLSMKPYTICMASESDISYPTIIPDAILTKLDENSASLRSYQIYIQGIISPPKKKRKHSNHASNKLAKKKKRSKSNQPPPIPSPTVSDDNLGADLDEPTPPPKSGTTSKPFSFVDSLFQVPSDYDEPSSPAPVSPAGFQSVLESPSHLVSLDYDSLEDDDQDDDKQSEPENAPQSSHVQDNQDEEMQTIDIPSSKELIVDDDPNDMSVALYSNASTRTFNIDLYDYSPPTQKESQEKDDVQEQTYPPFNKILFMTMVLQDK >cds-PLY66022.1 pep primary_assembly:Lsat_Salinas_v7:1:123414674:123416568:-1 gene:gene-LSAT_1X94741 transcript:rna-gnl|WGS:NBSK|LSAT_1X94741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEGAKTTTETTKGCNSLPSFHFIVPPNETPVKLEGKIVPILECWILGFGSLVTWNSMLTTRYCYYAPLPVPLMHLSLIMQEDHEGINSFLIMSNMLILFLRKTMALSLNPWVLCVTMFQPKNLGMDSPIPWNQVMMGVELQEVDKQP >cds-PLY90641.1 pep primary_assembly:Lsat_Salinas_v7:6:50553631:50558349:1 gene:gene-LSAT_6X36500 transcript:rna-gnl|WGS:NBSK|LSAT_6X36500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRNTSSSGGSGALSHAYIHYPPLKCKNNGSIGLFYDDGNKLLISPTSNQVVSWKTSPYTPQVASTTDTISEGPVLSIRYSLDLKLIAVQRSNNEVQFWVKETGETFSQKSKSESDTILGFFWTDCAVCDIVFVKTSGLDLYSYVPELKSLELIDSKKVNVSWYIYTHESRLVLLASGMQCKSFMGYQLSSAGIIRLPRFEMAMAKSDVNNKPVLSAEDVHIVTVYGRIYCLQVDRVAMLLHSYRFYRDAVIQQGSLPVYSSKIAVSVVDNVLLIHQVDAKVIILYDIFADSRTPVSAPLPLLIRGLPRGNISSSQSANDTSANLYSDDWNFLVPDLAISASSSEVPLVLEFLQRRKLEAKKAKDLCLELLRSIMIERRPLPVIFRAVNVLVTSFAYSLKTGSYSKSTPVNSSSGVPENNSGNKPGETSGGGFSTSDSEDNINLKKENGENLMVSEDQQESQSQVVTSATIPPDELYTFVFASVEEEMSAFTDASYLFSILLEFIRSANLEKIKVHPNIYVLAVRLLGRNERYAELGQFVLNKIIEPSKEVALELLEGVGSHNNIQTRKLGVDMLRQLSLHHDYVVLLVQDGCYLEALRYARKHKVSTVRPSLFLEAAYASNEPQHLAAVLRFFGEFIPGFGTTSEHTTYIRALADMPSSITV >cds-PLY82969.1 pep primary_assembly:Lsat_Salinas_v7:1:17036314:17037043:-1 gene:gene-LSAT_1X15280 transcript:rna-gnl|WGS:NBSK|LSAT_1X15280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVESVLSKVMEELENRITSQVELVNEVKGEDVVCLIKNSVTLSGSLFTLHVSQICIELPTLTDKDKEVISTWGVRNKIFAKIENFEVGCSHFSSCM >cds-PLY87994.1 pep primary_assembly:Lsat_Salinas_v7:6:170998870:171000498:-1 gene:gene-LSAT_6X104180 transcript:rna-gnl|WGS:NBSK|LSAT_6X104180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVGHVKVLLKASLEKAMEVLLAPEWKKAAKNLQGKVKLGHVNYDDEKDVMEEKCGSAAICFVSFLPDILDSKAEGRNKYIEILLSVAEKFKRSPYRKHFRLLPFGVQPKLTYDEMKILRRLGRPLPCHFALG >cds-PLY75876.1 pep primary_assembly:Lsat_Salinas_v7:1:172256006:172256554:-1 gene:gene-LSAT_1X114540 transcript:rna-gnl|WGS:NBSK|LSAT_1X114540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHPSKMQYHLIKIFIFILTLIFYDGCEALGKGTLAGGWKPIPDVTNPLVVDIGKFAVDEHDKKNHATLKFRKVVSGKSQVVAGMDYNLTIMAVNGGLVLNYVAVVWDKPGQKFRQLVSFKGPI >cds-PLY63541.1 pep primary_assembly:Lsat_Salinas_v7:9:151183662:151183916:-1 gene:gene-LSAT_9X95461 transcript:rna-gnl|WGS:NBSK|LSAT_9X95461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTARIVMDLGGGNYALPDDDLFEPQRGGRNVRTRMEGEEPMRDEPMSDEIPVDLYHVMARQYDEDVGRGYIIWDIAWSRSCIIF >cds-PLY90250.1 pep primary_assembly:Lsat_Salinas_v7:8:14040926:14041870:1 gene:gene-LSAT_8X9840 transcript:rna-gnl|WGS:NBSK|LSAT_8X9840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGQSSRCTHSAGHSSIRTYSFELYGERIDPNLSQSHEKVKEEDQYLCSNGNSFNRPCKNRRAFSFKLFSEKIDPNLNQSNEKLKIEDDHNHRFLNGNGNSLRRSSISMGILDACNYDPDFMDNGYLSDGLPNGSSHYIHDKKKGLPWTRDEHKSFLMGLEKLGKGDWRGISKNYVKTRTPTQVASHAQKYFIRIKAHEKGKRRSSMFDMPNHSVFNYF >cds-PLY96975.1 pep primary_assembly:Lsat_Salinas_v7:2:36291558:36291854:1 gene:gene-LSAT_2X17241 transcript:rna-gnl|WGS:NBSK|LSAT_2X17241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMPTYDEISRADEIDNWTVSKEPMPLTRSSNFGPGFRDSSGFKDDRWKRGVVPRDDDQERPTKRRRLVLDPPKGESAPVEPSTHTNKPSPFGAARPRG >cds-PLY72329.1 pep primary_assembly:Lsat_Salinas_v7:8:294007241:294010193:1 gene:gene-LSAT_8X161201 transcript:rna-gnl|WGS:NBSK|LSAT_8X161201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPRIILLCILGLVLGFSLKFGDALKLPFRVNDVLPVLPRQVSWPVMNTFGSAVDLLPSFVGTISPHNGSIGWKGACFYGNDARMDFTHGDDRGLGGGVIYLKTSDAHSWTCMDLYVFATPYRITWDYYFTAREHTLTFESWEEPAELEYVKQHGISVFLMPAGMLGTFVSLVDVLPLFSNTGFGQNANLAFLKSHMGASFEKRSQPWKTVINPVDVHSGDFLAVSKIRGRWGGFETLEKWVTGSFAGHTAVCLKDEFGNLWVGESGHENEKGEEIIVTIPWDEWWNLALKDDSNPQIALLPLHPDIRAKWNNSAAWEYAQSMSGKPYGYHNMIFSWIDTIGDNYPPPLDAHLVISVMSMWTRMQPAYAANMWNEALNIRLGTEDLDLYGILEEIEKRGMSFDELLTIPENDEWVYSDGKSTTCVAFILQMYKAAGVFGSVSDSIQVTEFTIRDAYMLKIFESNNTRLPKWCNNGDDHLPFCQILGEYRMELPLYNTLQPYAKMNENCPSLPPLYNRPSLC >cds-PLY86300.1 pep primary_assembly:Lsat_Salinas_v7:8:57925935:57929758:1 gene:gene-LSAT_8X41841 transcript:rna-gnl|WGS:NBSK|LSAT_8X41841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METNGFNRRGRRREHIIHYNINGEVSTSSTDEELDPWTAWAYKPRTISLLFIGACFLIWASGALTPESNSSPDLVTSVKRGIWAMIAVFLTYCLLQAPSTLLIRPHPAIWRLVHGMAVIYLVALTFLLFQNRDDARQLMKYLHPDLGIELPERSYGSDCRIYVADNPTSRFINVYETLFDEFVPAHIFGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGISRQPNILGKVKRTLGQFTPAHWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWVPPRNPIIVYRLVLWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIIELFICIKFGYGEFYFHHFVVKFVSDSNAKLAGGDVDICWNWDFGILDHVDTKIPSNYNPKARMKIF >cds-PLY69575.1 pep primary_assembly:Lsat_Salinas_v7:4:84936620:84937977:-1 gene:gene-LSAT_4X56181 transcript:rna-gnl|WGS:NBSK|LSAT_4X56181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 11 [Source:Projected from Arabidopsis thaliana (AT1G55910) UniProtKB/Swiss-Prot;Acc:Q94EG9] MSRSLLFISLLLLLVISAAAHGGGEDDEDDDAQKPNLRSKSLILVKIWCLIIVFFGTFIGGVSPYFFKWNEGFLVLGTQFAGGVFLGTALMHFLSDANETFEDLTTVEYPFAFMLACAGYLLTMLGDCIISYVYGKERTPDVEGQGDNRNGKDEMKIGISSASSLGDSILLIIALCFHSVFEGIAIGIADTKADAWKALWTISLHKIFAAIAMGIALLRMIPDRPFLSCASYAFAFGISSPIGVAIGIVIDATTQGRVADWIFAVSMGIACGVFVYVSINHLLKGYKAQKVVSVDTPHHKLLAVTLGIGVIAVVMIWDT >cds-PLY73347.1 pep primary_assembly:Lsat_Salinas_v7:7:64246483:64251846:-1 gene:gene-LSAT_7X46160 transcript:rna-gnl|WGS:NBSK|LSAT_7X46160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLHLVLKLAVVGNEWVNSGEAITQLQDLGERDLFSCPVCFEPLTRKGPPGFNLPAIYRSGFKCGKCNKSYSSKNMYLDLTVIAGAKDYVEIQPSRTELFRSPLVSFLYERGWRQNFNLSGFPGPDEEFNMAQDYFKPTEGGTLVDVSCGSGLFSRKFAKSGIYSKVIALDFSENMLRQCYDFIKLDDTISSSNLALVRADVSRLPFSSGSIDAVHAGAALHCWPSPSNAIAEINRILRSGGVFVGTTFLRSTSSTPAILRPFDRRISGNYNYLTEEEIEDLIKSCGFTNYTSKVQQAFIMFSAQKP >cds-PLY83910.1 pep primary_assembly:Lsat_Salinas_v7:5:9820463:9822532:1 gene:gene-LSAT_5X5640 transcript:rna-gnl|WGS:NBSK|LSAT_5X5640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSPENTNWIYDYGLIDDISVPVGNFLTPVCGSSWPMQTALNGSSSIPSVELDSLPADTDGIKESGSKKRGRNEQCSGTGTTSKACREKLRRDKLNDKFVELASILEPGRNPKTDKSAILVDAVRMVTQLRSEAQKLKDSNSDLQEKIKDLKSEKNELRDEKQRLKAEKEKLEEEVNMINSQPRFMGVSPGIPAGYPPQVQSASLASMGNKLVPVMSYPGMAMWQFLPPAAVDTSQDHELHPPVA >cds-PLY95845.1 pep primary_assembly:Lsat_Salinas_v7:5:63917791:63919451:-1 gene:gene-LSAT_5X29481 transcript:rna-gnl|WGS:NBSK|LSAT_5X29481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPNLFDASLTGNVQLLNALLQEDELVLDRIPLSCFSETPLHIAALRGHLDFVKILVRKKPKLAMSLDSQRRTALHLASTEGHVEIVCELLNVMSPEGWRFHDQEGRTALQLAVMNEQLEIIKLLIQKDVGKELQRNGETILHTCISWNRFEAMKLLSELWNDEELAKLTDCNDNTLLHLAVVHKQIQTVTYLLQKPSVRAAGTIVNGHGFTALDILDHCPQDLGALQIRSLLMEANFLRAKDVSYSLRPFQSSTESKSSEAVANPESKHKLGCMSRVWKWYLNHNGDWLEKQRGILILAAILVAGTSFYSGLHPPGGTFINSNDGPLGNAVQTEVVMGNSTTFVIHNTIIMVVSMMIALVFLCGISLRNKFCLWVLNLASACILFYTTLTYLQEIAWMSPDSWVNAPTAYMCFAWILLCFLFAFIHTVFFGIWAIKKLLNARARKRNNI >cds-PLY85523.1 pep primary_assembly:Lsat_Salinas_v7:2:194966944:194968452:-1 gene:gene-LSAT_2X116020 transcript:rna-gnl|WGS:NBSK|LSAT_2X116020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVISACLLVLSLLYLFYKLIDKRISQSCYILHYECFKPTEDRKVSTEFSGKMIRKCKNLGIEDYQFLLRAIVSSGIGEETYGPKNFFLGHGTNSSLNDSLHEMDEFFSETLDKLFSRSRVSPQDIDILVVNVSVMASVPSLTSRIIKHYKMRDDIKSFNLSGMGCSASLISINIVQNLFKTHRNKLALVLTSESIAPSWYNGNERSMILTNCLFRCGGASVLLTNKRSLQKQSMFKLKCLVRTHLGSSDEAYTCCRQQEDDKGHVGFFLGKTLPRTATRALTENLKNIAPKILPLTALFCFILTANIQKFGAKFLHIRVKRKVILNFKLGVDHFCLHPGGKAVIDGVKQSLGLTEGDMEPSRMTLHRFGNTSASSLWYVLGYMEAKKRLKKGDRVLMIGFGSGFKCNSCMWEVLRDLDDKNVWEDCVDKFPPKSLTNPYLEKYGWINDDPWIPPPEIVQAFASIESGD >cds-PLY95976.1 pep primary_assembly:Lsat_Salinas_v7:9:43062176:43064946:-1 gene:gene-LSAT_9X38720 transcript:rna-gnl|WGS:NBSK|LSAT_9X38720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREGFRFYNGEVVLGFILGLLYLCDYSIVPIRASIHEYRNEFFTPQLNAFLFHGGSEGLFASKHLEDHDNSSSITSPGNKPLEGKSFIRFEDVTFKRTEESAKIQNKMQSRTGLIEAIIFEVKDTERIGGNFLKTNSNILCCTPKLASEESCTVGEVIIQKDENTPEWPKRIQTFFEGNKQEAKMKPQSVDINKTGMYYLYFMYCDPELKGTVISGRTVWRNPEGYLPGKMVKLMTFYGFMSLGYLVLGLGWFLRFVQFWKDIMQLHYHISAVIALGMCEMALWYFDYSNLGSTGSRPFGITVWAVTISAVKKTLSRLLLLVVAMGYGVVRPTLGGVTSKVMILGVVYFVAIEALELVENLGNINDFSGKSKLYLVLPVAFLDAWFILWIFSSLSKTLEKLQMRRSIAKLEVYRKFTNALALFVLLSIAWIGYELYFNATDPISELWRIYWIIPAFWSLLAFSLLVVICILWAPSRNPTRYAYAGDTGEEYDEEAISLTSGVKVDGGEVGMMMERKEKKGSASTDHLIGLTEDVAEDKRE >cds-PLY79247.1 pep primary_assembly:Lsat_Salinas_v7:9:182311623:182313968:1 gene:gene-LSAT_9X112320 transcript:rna-gnl|WGS:NBSK|LSAT_9X112320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTICRRHSISGLVGVPIHKIHVQQELQVSKAFYSRFNGRRTKEMELHVPVHSIVSTKTYSTTLLASITNSGVVRWYLRMIKTQPILTKSVTASLVYTAADLTSQTMTRQSLEPYDLIRTCRMAGYGMIILGPTLHLWFNFLSRVFPKKDVFTTLKKIFMGQAIYGPIMMAVFFSTNAALQGEKGKEIVARLKRDMLPTMINNVMYWPMCEFVIFRFVPVPLQPLVSNSFSYVWTIYITYMANLAKAVAN >cds-PLY81348.1 pep primary_assembly:Lsat_Salinas_v7:8:4385875:4386901:1 gene:gene-LSAT_8X3561 transcript:rna-gnl|WGS:NBSK|LSAT_8X3561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSLVQEVEESIKVLKKAAKTRKVEATEILSALKWMEKAKLDPSQFCETLGGSESPGRTWMLIFTADKGLKSGKYFPITAVQRFDAAARRIENGVYLGPLGCLTFEGRFSWKKRILAFVFELIRIKVGPFNPFEINIKGNDETEPTTKNPFFIWFYIDEEIAVARGRSGGTAFWCRCHRV >cds-PLY64095.1 pep primary_assembly:Lsat_Salinas_v7:MU045727.1:859537:876668:-1 gene:gene-LSAT_0X3501 transcript:rna-gnl|WGS:NBSK|LSAT_0X3501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFNPQILMEKLTKLNSSQQSIETLSHWCIFHMNKAKQVVETWEKQFRCSPRDQRLAFLYLANDILQNSRRKGSEFVGEFWKVLPDALHDVMDNTDDSGKNAALRLIKIWEERKVFGSQGQILKELVGRHVESSNRNEKHSGLKLQQSAGNALDKIVSGYQIIYGGQLDEDAMISKCKNTITCIEKVEQGIGHDVTSAQVNGLGVVEVLKGHHATLRDCIEQLSSVESSRINLVSYLREALQEQEMKLDQVRNELKAAHTQSEKTDNFCRQLMNPSATKLLPEQTITTTTATTKPHNYIPGTGEQSAAVMYTHQVPITEEEITKSAAAEVAAKLTASTSSAEMLSYVLSSLASEGVIVNSTTESSSNNHPPEKRAKIETTDHHYQPPPPSSPPPPLPMPPMQAYPLPPFMVAVPYNYNQQAPPPLPGYPTVGPLINSGSSYTPAVVPPPPGGYHNYQMEGGYTSQPMAPMSRQ >cds-PLY67173.1 pep primary_assembly:Lsat_Salinas_v7:6:164359488:164377193:1 gene:gene-LSAT_6X100521 transcript:rna-gnl|WGS:NBSK|LSAT_6X100521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFIITNYENLIPKLKIAILVVGTRGDVQPFLAMSKKLQEFGHHVRLATHANFQSFVESAGVDFYPLGGDARILAAYMARNKGLIPSTLSQLLTQSKQVKEIIESLLPACIEPDQKTNQPFRAQAIIANPPACGHAHVAEALGIPLHIFFTMPWTPTSEFPSPLAPVAQSVGNWLSYINVDIFIWWGIRGYLNEIKRKLTLVPQTTGNWLSYIVVDHIIWWGIRGYINEFRKKSNLTPISYLSMYRDSISHFPTGYMWSPEVVPKPSDWGPLVDVVGYCFLNIGSEYQPPIEFTRWIGRGTSPIYIGFGSMPIENSKRTTNIILEALKKTGQRGIIDQGWGNLGTYSKISDDIFLLVDYPHDWLFPQCAAVVHHGGAGTTATGLRAGCPTTIVPFFGDQYFWGDRIYNKGLGPTPIPISQLTVEALSDAINFMLQPEVKARAMDLAKLIENEDGVANAVNAFHRHLPPDMLLSPPPS >cds-PLY74402.1 pep primary_assembly:Lsat_Salinas_v7:1:173610574:173613383:-1 gene:gene-LSAT_1X115321 transcript:rna-gnl|WGS:NBSK|LSAT_1X115321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRNKKFLANPNSCRSVPNPNEDDGEENAMNPQDNNRPDFEAGYQDFKRQLDNYEKDAVAWLEYFESKALEQQEAARNGTQKPDASISSKGHLR >cds-PLY81956.1 pep primary_assembly:Lsat_Salinas_v7:9:152885387:152886901:1 gene:gene-LSAT_9X97161 transcript:rna-gnl|WGS:NBSK|LSAT_9X97161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTTTESKAPWTEVLGSNNWEGLLDPFDITLRLLILRCGDFCQATYDAFNNDKNSKYAGSSRYGKKSFFEKVMLRPSPSDYQIAAFLYATAKVSIPEAIFVHSLSRESWDRESNWIGYIATTTDEVSRTLGRREIYIVWRGTSRDFEWLNVFGAKSESAEPLLRQKTFGATPTTADSGETSSSSSSDSDNDEIPRVMQGWLTIYTSDDPNSSFTKQSVRTQVLTTIKHLVGIYKNEETSIIITGHSLGASLSILSAFDLAENGITDVPIAAFVFGSPQVGNQAFNDRLNQFSNVKILHIKNKIDLIPLYPSGLLGYVNTGVDFVIDTRKSSSLKESTNTGDWHNLQGMLHVVAGWNGEDGEFELKVNRSLSLVNKSSEFLKDEFLVPGSWWIEKNKGMILDGNGDWVLEPPDEEDIPVPSGPVEEMVTATSGDGEEKVTAAASGNNKRCWIL >cds-PLY80061.1 pep primary_assembly:Lsat_Salinas_v7:4:349419867:349420265:1 gene:gene-LSAT_4X172120 transcript:rna-gnl|WGS:NBSK|LSAT_4X172120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVKRIVRMLMKKAPIIKKGANDEEDTDKDEEESATDKVEDSSQGMNSPLWINKHIQFSPTSLSTPSADDVAQRGSTPPFVETTEPMIQDKLAPPFPQSKIIPSMPTPIMTVDLYQENQEESNANFHTTVLS >cds-PLY62513.1 pep primary_assembly:Lsat_Salinas_v7:1:84664414:84668974:1 gene:gene-LSAT_1X70641 transcript:rna-gnl|WGS:NBSK|LSAT_1X70641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKKPTTDDLDLLKKEKRKEKKHKKDKKDKEKKEGKEKREKDRSESKHKDKKDKKDKHRDKKKDKEKGKTSTQDEGYNGEKHNEQMQQIQDKKHSLQFRNGESNKDRTNSSDDKKQQSTQFQGQNGDLARNRDTENIKFVQELDRRIRDEEKGSGSHQFFNEGRKSSYNNKVEMQNAMARSKINGATPPPPPPPSQPPLPPPPQPPLDHKIERRFDQKEKVQESDDRRGDKRKHKDRDKQRAGKEKDNEKEKKEKSKEKNEREREKNKHMKKSDSPMATLNNLSSHILENSFHEGILKKRKEMETNGVSHENEPRPNKMARPISNISPENGRPQNQNPSSSFLDNQRERERSSSQNNFKMGGGKSQKVNGIIPPPSPPLSASLPAKKPPIAAFNHLHHKPSPIKSPPPPVITNTNHVTAQSPPVTATKLPPPPPPIPIPIPVPAKQPVSRPKPPPVVKAAAAPPPPPPKKKAETVKPPHPDTKYLNQILTVPKPDEWGGVDDQEWLFSTSAPPPLPPREAATMADVQVWSEAKHIESVDVCALPYVIPY >cds-PLY79145.1 pep primary_assembly:Lsat_Salinas_v7:9:91372001:91374518:1 gene:gene-LSAT_9X69760 transcript:rna-gnl|WGS:NBSK|LSAT_9X69760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLVLMLHNSTYLRALRGMLQRRKMPQLRLRGRKMATMGGFNDLDTLLIPQPSNLSIALDRSFQIVIYASNIGGPLKETSRPSLNILIELVDFESLVFTPFFTAHGGLLLKFFE >cds-PLY80160.1 pep primary_assembly:Lsat_Salinas_v7:3:41109031:41110699:-1 gene:gene-LSAT_3X31200 transcript:rna-gnl|WGS:NBSK|LSAT_3X31200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHPSVMTHACMSSWQLLDWMHRKFRNGDPLKEFATGNPCTCLMGQPSLDDPEYYPKSNYYAKTSSKARENQLLKSFSCIRSDDEHMGEESSAALSELFHGFLAIGTLGIDPVNSDPATPTFSTSVEYIMEKETEATENELQFINDELEKVLGAEGKEDDSSGRNSYVSIGRSSHGSTITLSGKPLEAAENENGAVACPLQGYLFGSTIGLPETTTGKKEHRTSLGELFQKTKMAEENSGLKCNRIEKQKERDTDKSAVHLMKKILKGRKSSGGTNIDNASADKKVHKILHLFHRKVHPETTTKSQNHSKYLRNSICDNEDGHKRRNQMLPEEDISIFPEKEISKKGANTTRINMPQGPCGAGDSNGNRECWIKSDADYLVLEL >cds-PLY66849.1 pep primary_assembly:Lsat_Salinas_v7:7:20915204:20919952:-1 gene:gene-LSAT_7X17620 transcript:rna-gnl|WGS:NBSK|LSAT_7X17620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPKQQTQQQKLEIPSSPLPHSSSSFLLRDISNLKTPKPRRQSQANPSLNFESPCPQFFTASKQTPKSSHSTVRRRPRYSLAAQKLKAVELEQSKSSRKTQTDKEKSLKSLAKSLTVWLNFLFENPRSCGVDVSRFTGEDSSDGSSMVFSGGKRDSVCHDGIGVDRAWRGPKRRKDTLWIHKGEVENNFSSSIHARLQVSLEDVCSLDDMKERMSFYLSLTSCKEIFDVMTHVTKNIDEGRLKMKAHCPIVTDVGMKENALKILMCYNPVWLRIGLYIIFGGESLLPNPNTEMNYDQEISFLKMVAEKLFFSHSGLAKAYIYNKLVEGLYRPGYYEKLGSVILKKFLLLVIILDRAKSQSSLPISYGIDGVDGGSPLLFTSRATIKSSSEVISEFLSPDVMHGVGNLLTHLMIIGYKVSYRQNPLVKYVFKVTDLFNDLQNGVLLCRVIQLLQHDPSILKKVVVPSDDRKKNFANCEISLNYLKNIGVPLCDEDGVEIITEDIVNGDKELIISLLWNIFVHLQLPLLINNKLVSDEITKIRGLEEMLPESSSSLQMLLEWIKAVCENYDLKVDNFATLVDGKAMWCLVDYYFRKEHCNATSNKNQKETNEVSLMSASDYVDAVHNFLLSQKLTTLLGNFPEINFHKLLGHNQNSERKCIRRDRLPGNHEETSQNHIEDNGRKFKAIMSWWKEMAQHNSNVNVKPVYTKFIQERALIVSQQNNTKCNQEKAALRIQVAWRKHKEFLELQASCNKDSSLLSLLDVKAEFLESQASCNQNSSLLSLLDAKEEFLESQTSCNEHSKSLSWICIKKEFLESEASCNKNSSFLSLLDVKKEILESQASCYANSSFLSLLDVKEQFLVSKASCNENSKSLSWIYIKKEFLESQASCNKNSKSLSWDVIEEEFLESQASCNKNSKSLSWIYIKKEFLESEASNNKDSKSLSWNVIEEEFLESQAGYNKNTKLLSWIAVEEEFLESEGSNNKNSKPLSWNVIEEEFLESKASSNKNSKSLSWMGFKKQFLENKTSSY >cds-PLY78512.1 pep primary_assembly:Lsat_Salinas_v7:5:338552999:338559672:-1 gene:gene-LSAT_5X191480 transcript:rna-gnl|WGS:NBSK|LSAT_5X191480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSSSYWMTSLSCSSSSVVAEDASGISFVLQWLRFIFLAPCPQRALLSSIDVLFLLILFVFAIQKLYSRFTSASSGHSTNEEPLIPKSRVLVNTNVWFKISLLVTSILVVLSIVSSILAFTRNPQVPWKLVDGICWVIQAVTFLVITVLIIHEKRFQASTHPLSLRGFWAVNFIVITLMAASGIARLVSGTRNTTPLKSEDIVSLISLPFSVFLLILSITGSTGIIVKTESESLNGNGNVNGNGKVSGGDELSKSIKVSGWASASIPSKIFWLWMNPLLQKGYKSPLKLEDIPTLSPEHRAEKMAKLFEQNWPKPHENSKHPVRVTLLRCFWKHVAFTACLAIIRLCVMYVGPLLIQRFVDFTSGKSTSPYEGYYLILILLVAKFIEVLSSHQFNFNSQKLGMLIRSTLITSLYKKGLRLSCSARQSHGVGQIVNYMAVDAQQLSDMMLQLHAIWLMPLQVSVALAILYSYLGTPSLVALVGLVAVIIYVVLGTKRNNRFQFNIMQNRDSRMKATNEMLNYMRVIKFQAWEEHFNKRIQAFRESEYGWLTKFMISIGGNMIVLWSSPLFISSLTFGTAIWLGIPLDAGTVFTATSLFKNLQEPIRTFPQSMISLSQAMISLGRLDGFMLSKELDEGAVERQEGCSGPTAVEVEDGSFSWDDEAAEGGVVKNLNFNIKKGELAAIVGTVGSGKSSLLSSVIGEMHKISGKVRVCGSTAYVAQTAWIQNGTIQENILFGLPMDKQKYTEVIKTCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGSSIFKECVRGALRNKTILLVTHQVDFLHNVDQILVMRDGMIVQSGKYDDLLESGLDFKALVSAHDTSMQLVEMEATTPESPSPRPLQKSPSHNPREEDDKALERSKSSSVIGTSKLIEEEERETGRISLSVYKVYLTEAFGWWGVIAVLFFSFLWQGAQMSSDYWLAYETSEDRAASFNPSLFIQVYTAIAGLSFLLVFGRVISSTILGLKTSQSFFKQILHSILHAPMSFFDTTPSGRILSRASSDQTNIDVFLPFMMSLTLAMYITVISIIIITCQYAWPTVFLLVPLGWLNFSYRAYYLATSREITRLDSITKAPVIHHFSESISGVMTIRCFRKQDRFVQENVDRVNGNLRMDFHNNGSNGWLGFRLEFIGSLFLCVSTVFMIILPSSIVKPENVGLSLSYGLSLNGVLFWALYMSCFVENRMVSVERIKQFTNIPSEAEWVKKDSAPPSNWPSHGNVELKDLQVRYRPNTPLVIKGITLSIRGGEKIGVVGRTGGGKSTLIQVLFRLVEPSGGSIIIDGINISTLGLHDLRSRFGIIPQEPILFEGTVRSNIDPIGQHSDEEIWRSLERCQLSDVVASKPGKLDSAVVDNGDNWSVGQRQLLCLGRVMLKHSRLLFMDEATASVDSQTDAVIQRIIREDFADCTIISIAHRIPTVMDCDRVLVIDAGYAKEFDKPSRLIERPSLFGALVQEYANRSSGL >cds-PLY84141.1 pep primary_assembly:Lsat_Salinas_v7:6:190601690:190604741:1 gene:gene-LSAT_6X116040 transcript:rna-gnl|WGS:NBSK|LSAT_6X116040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFPFQIQRASEGFTKDFIIEQRFELQININFVYVYLEIKVVMEHRPPIDEDFIVDLESGTIEERIPNPQSDENLARNDFISTRLCDQLNVDPLNVNVVIDSKIEGDSTMKKMGKEKRKKSCSSAKKPPKPPRPPRGFSLDAADQKLIKELAELAMMKRARIERMKALKHKKALKASSSSSISGSLFAMIFTIIFFLMIFHQGMSCQNSSVTSQGSPQTAQTNENGLVFTQEQLNPSASDSILPDSKSSSFFQKIPGSGFVSRKVLSSSVMQDKRKQNSS >cds-PLY64857.1 pep primary_assembly:Lsat_Salinas_v7:4:260511449:260516850:-1 gene:gene-LSAT_4X134340 transcript:rna-gnl|WGS:NBSK|LSAT_4X134340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDEKETGNGHGSDLNCSIRIKTEGTDNDEGAVLLDGGSGGVSVGEETSAERSRIMYKRRKRRKLSNPDEKTMTEFSDKIKAGDGSAYDHDIPILSFDASPNGPDKCSIRHCRKTVLHQSHKEHENGVGECIRNAAITYPESGCTHAIKESLHNVERSDNQTHGSAKELPLAISGRLLNQPEGPTVSKLCELAFSDILMSDKFSELRGLLLKNFGVVNVNQILDLDAIKMKIKNGAYESSPMLYHNDIRQVWTKLQQVGNEIVTLANTLSDKSRAHYEQKTLKVAIALTLYFDVMSLSVHQQQFARKPKSNCHGCGGAADPQNCLVCDSCEEIYHVSCTDLVGPEMPPKTWYCGRCVSNGIGSPHDNCIVCQKLKSTSACASASRVKTNTNTNTNGEGGEGSKTCFICKCEVKPGDNFRTCGHSLCAHKLYHYNCLTRKQLGVCGPCWYCPSCLCRSCMIDKDDHQIVLCDGCDQAYHIYCMNPQLSCVPEGKWYCGKCDRDLKRIQTMKILYENMRIKVKVEEPNGNDSGGLEMLVTAAKTLSHQEDTPLPSPFLAHTNGIQIQQNGHPF >cds-PLY98058.1 pep primary_assembly:Lsat_Salinas_v7:2:19917227:19917553:-1 gene:gene-LSAT_2X11620 transcript:rna-gnl|WGS:NBSK|LSAT_2X11620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGMQRKPPNLDKEVMQGVMESFNRFSENEEEGQLLRDQFITFYTKKGIYSMEETQMDALTTDPDWWSTYGAETRIWTWRQSRYFHRLLVARPRKGIGVHIAKFIS >cds-PLY89121.1 pep primary_assembly:Lsat_Salinas_v7:4:145672727:145674521:1 gene:gene-LSAT_4X88641 transcript:rna-gnl|WGS:NBSK|LSAT_4X88641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA reductase-binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21200) UniProtKB/Swiss-Prot;Acc:Q9LU39] MLPHAQSMTSPLQSIFSPKLPISHSKPIFNYKPRFDPPKSLLLQPLRCSVSLAPEQTHLQSNVTTKSNKPSPAEISRTIMELSSVGTFSTTAQDNWPLAVGVRFAVDPEGTPIVCLSASHHHFANDKRSSLNVQLEQSGLRTTQCTIQGSLHRPDDENLLKKIKSLWAKRFGEKADNEFIHILDVQRVLQMDNFMEDGVWVSSSDYGLANADPLKDSAERLVHEINTNNMEDVLRFCNVFVDSDVQVSEAKMVWVDRLGFDIHLYSPQNDVFEVRIPFPREVTDEKGAKSSFNGMSQTAWEVEKNYHTLEFEKVKQLKKIASKVQ >cds-PLY81330.1 pep primary_assembly:Lsat_Salinas_v7:4:38182978:38185500:-1 gene:gene-LSAT_4X25621 transcript:rna-gnl|WGS:NBSK|LSAT_4X25621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDEYEKFIRRMNPPRVVIDNDSCKNATIIQVDSANKHGILLEVVQVLTDLNFIITKAYISSDGGWFMDVFNVTDQEGNKITNEEILDYIQKALGSETSFTTSMRSVGVTASTDHTVIELIGSDRPGLLSELCAVLTHLKCNVLNGEVWTHNTRAASVLQVTDEESGLAITDPKKLSIIKKMLCNVLKGSNKANEAKTVVSHGVTHTERRLHQMMFADRDYERKDECCSEKERPDVKVVNWYDKDYSVVTIRCKDRPKLLFDTICTLTDMEYVVFHGNVDAEGPEAYQEYCIRHIDGFPVNSDAERQRVIQCLEAAIERRVSEGLKLELCTTDRIGLLSDVTRIFRENSLTVMRAEVTTRAGKAMNTFYVGDASGYPVDPKIIDSIRKEIGQTILKVKSTPQELNQAQQESPNRFLFRGLFKTKSFCNFGLVRSYS >cds-PLY92139.1 pep primary_assembly:Lsat_Salinas_v7:8:5651412:5654801:1 gene:gene-LSAT_8X4600 transcript:rna-gnl|WGS:NBSK|LSAT_8X4600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHCCSKDAVADSAVKDDDNNAGSTVPNRPRTPKPRTPSPAVGNGTNGRSSTNTPSHSFTGSPWHSTYPAGVPPTPSPARTPRRMFKWPFPPPSPAKPIMSAIMKRQGNGKGKGNTAATGTIPEDEGGEGERALDKSFGYQRNFGSKYELGKEIGRGHFGHTCWAKGKKGALKNQPVAVKIISKAKMTTAISIEDVRREVKLLKGLSGHQHMVQFHDAFEDDQNVYIVMELCEGGELLDRILSRGGRYTENDAKSIVVQILSVASFCHLQGVVHRDLKPENFLFSTREEDSALKVIDFELRLNDIVGSAYYVAPEVLHRSYNVEADIWSIGVITYILLCGSRPFFARTESGIFRSVLRADPNLNDSPWPSVTLEAKDFVKRLLNKDHRKRMTASQALTHPWLRDENRAIPLDILIYKLVKTYLRASPLKRAALKALSKALTEDEIVYLRAQFELLDPKDGCVSLDNFRMALVKHSTAAMKESRVLDILDAMKPLSYTRMGFEEFCAAAISPHQLEALENWEMIASTAFDYFELEGNRVISVDALAQEMNVGPSAHAILKDWIRNTDGKLNFLGYTKLLHGLTIRSSNTRHH >cds-PLY78877.1 pep primary_assembly:Lsat_Salinas_v7:5:304476938:304480156:-1 gene:gene-LSAT_5X165241 transcript:rna-gnl|WGS:NBSK|LSAT_5X165241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKVRSSISSWCLGSCVTSRGEEDGMNDSPLAGLDGSQLTGGKIGDRLLAEAETNLAINIIPGLAESYEARGRGELQLGDCLVTEACSAVTHVAHDLCIVHSWAEKRDVAGLQEQEKVSTLEQEISETQTKLEETTKLSEDRLKEAMEAENRIIDIKIDMQSLQEKIADMEAEEEILLWNGLEEEKKGQMQVLHSLFQLLV >cds-PLY95392.1 pep primary_assembly:Lsat_Salinas_v7:9:190793519:190796509:1 gene:gene-LSAT_9X117881 transcript:rna-gnl|WGS:NBSK|LSAT_9X117881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQTINPHFPLLLAIAFLLSIFLSVSAQYSPRFACDIDKNPGLKKFLFCNTSLDVKTRVNDLVNMMTLQEKAGSIVSVADRIDRLGIPSYGWWSEALHGVSDTGPATWFNKTVVPGATSYPQVILTAASFNESLWNLLGKVVSTEARAMYNTGVAGLTFWSPNVNIFRDPRWGRGQETPGEDPLVASRYGVAYVKGLQETEDGDEDRLKIGACCKHYTAYDLDNWTSVDRFHFNAIVTQQDLEDTYNLPFKKCVLDGNVASIMCSFNKINGVPACGDKELLEDTVRGKWKLNGYISSDCDSLDVMFKDMRYEKTPEEVTADALNAGLDLNCGDSLKNFTASAVKKGLVNETMVNRAVTNSFTTLMRLGFFDGNPSKQMYGKLGKKDICTKANQDLARETARQGIVLLKNNLGSLPLLPSDIKSLAVIGPNANATVAMIGNYAGIPCKYTTPLQGLSDSVETIYEEGCDHVMCNSTEGFEKAKNIAAKADAVVLVMGTDLSIEHEALDRTEIDLPGQQNLLVSEVAYAARGPVILVVMSGGGIDVSFAKCNPKVTSIMWVGLPGQEGGGALADVIFGRYNPGGRLPMTWYPRSYTDTVTMSNMNMRADPSTGYPGRSYRFYRGETVYPFGYGLSYSLYVHHLVKAPKQLTISLKKPATDQCSKSTCKSIDATDKVCGSQTFDVEITVTNIGKMAGSHSVLLFSYPPQVIYNSPQKQLLDFKRVQLGPWNQTSVKFKVDVCKQLSVVDKDGNMRLPLGRHVLQIGDLKHSINLKI >cds-PLY73561.1 pep primary_assembly:Lsat_Salinas_v7:5:58803480:58806137:1 gene:gene-LSAT_5X27761 transcript:rna-gnl|WGS:NBSK|LSAT_5X27761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAEFLPKPSENDLSGDYQAAPVILGLLPAALVDHIARVDLSILSRIPGEPGGSFPVAAEELKDLLKEVNTHISSSPNDLSPVKTIAGGSVTNTIRGLAAGFGISCGVIGACGDDEQGALFLKNMSFYGVNISRLRVKDEHTGQCVCLVDPLGNRTMRPCIATAAKIQAGELKREDFKGSKWLVVRYSIYNIEVINAAIQIAKQEGLLISLDLASFEMVRKFRTPLLELLESGNIDLCFANEDEAAELLSGEQVAGPEAAVDVLGKYCQWAVVTLGPHGCIARHKKEVVKVPAIGQTKTIDATGAGDLFAGGFLYGLVKGMSLEECCIIGSCSGGSVIRSLGGEVSPENWQWMYKQFKTKGLPAPIVSL >cds-PLY79471.1 pep primary_assembly:Lsat_Salinas_v7:3:248815934:248823136:1 gene:gene-LSAT_3X137260 transcript:rna-gnl|WGS:NBSK|LSAT_3X137260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 33 [Source:Projected from Arabidopsis thaliana (AT2G45910) UniProtKB/Swiss-Prot;Acc:Q8GUH1] MAVGAQRMNQIRYPVVDTSDLMGSRHEIVAETPPTPREVEDRIYVAVGKDLKESQSTLLWALRNSGGRQICILHVHQPADKIPIMGTKFRINQLEAHQVSSYHEKEKQNMRQLLDEYNQICQNAGVTAELYDVDNDSIEKGIVEFIIDHNVRRLVMGAAADKHFSRQSKKAIYVRLQAAASCQIQFICKGNIIFTRQGRVDGVNVSLSSLSLQPNTTSNSGPSSLRSRSVSEITGLPLNSPMRDYHRAMSDNRGIKTSPNFNVELISSSRVSVGRTSDEWSGISQRSRSPSTGSRLSTCSSEVVEPYAIIESGEIELEYGFKEDIDIRHTSPPSVLERGVNDELYDQLVQAMAEADNSKRDAFEESLRRRKAEKAAIEAKRRVKASEALYANEQKLRHEINESLEKTKEEHENIKRELNEVSQELQIALQQKSHLESQIADIDQTVNELEQKMFSAVDLLKNYKKERDELQVECDDALRLLEEMKEKERRDEGSSSNAMSHQFYTEFSFEEIKDATCNFDQSLKIGEGGYGSIFRVSLRHTEVAIKMLHSHSLQGPSEFQQEVSVLSKLRHPNLVTLIGACPDAWIIIYEYLSGGSLEDRLTCKNNTPPLSWQTRIRIAAELCSVLIFLHSCGVVHGDLKPANLLLDQNLVTKLSDFGICRVLSENELTSNNTSLCCRTDPKGTFVYMDPEFLSTGELTSKSDTYSFGVILLRLLTGKPALGLRKEVQYAMNKENLKSVLDPTAGDWPFVQAQQLALLAMNCCDSVRENRPDLASEAWRVLEPMRVSCGLSSFRFGSDGQCQIPHYFICPIFQEIMQDPVVAADGFTYEAEALKGWLDSGHNTSPMTNLDLVNSNLVPNHALRSAIQEWLQQS >cds-PLY88661.1 pep primary_assembly:Lsat_Salinas_v7:7:47523529:47525941:-1 gene:gene-LSAT_7X34400 transcript:rna-gnl|WGS:NBSK|LSAT_7X34400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLSTTFRSIKPHSQLPKSPSIRLPSSSPSSSNSNPSPSVVSKQPNWSVYLILSTNPPIKTYVGVTTNFSRRLKQHNGELKGGAKASQAGRPWICACLIRGFESKSEACKFEFKWKNISRKMGRKRNSKDEGGLYLLQHRNAALEKVKGSTDCNHLEFDWKLQPI >cds-PLY81120.1 pep primary_assembly:Lsat_Salinas_v7:9:68975420:68977408:1 gene:gene-LSAT_9X55221 transcript:rna-gnl|WGS:NBSK|LSAT_9X55221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLVNNSVETVNAAATAIVSAESRVQPTSVQKRRWSSCWSLYWCFGSYKQSKQISHALLAPESSTAPNPTAPSTIQNTNTTNTVVFPFIAPPSSPASFLQSDPPSASYSPVGLLSFKSQGVASSIFTIGPYAYETQLVSPPVFSTYTTEPSTASFTPPPESVQITTPSSPEVPFAQLLTSSLARARKQNQKFPFSQYEFQPYNNTKKLGSRLSSGSLTPNGWGSRLGSGSLTPNGLGSRLGSGSLTPNGGEMSQISEVASLANSATNSPKGETLVDHRVSFELTHLDLVNNLESSRTSSGHRDFNFDNMNPSVGVELGSEKNWTFFPMLQTPVKPS >cds-PLY71366.1 pep primary_assembly:Lsat_Salinas_v7:4:229660811:229662150:1 gene:gene-LSAT_4X125741 transcript:rna-gnl|WGS:NBSK|LSAT_4X125741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTNSYTILFTNQESQKKESSEWTNEKHSLYLKSIEASFVDQLYNSLDLQSYQTQKTSSSKTISFWKNHTNAPRIPSGQFKVHQGGCWTKKKFMKENPQLKDSERSHVSLTNPWIQHFTNGNRHGAMTSASPSIHETLSSSSTETSQQNPVPESDSNTEMTDQNFVEDLSIKKTNTKRKRTTTVANSSNDQVVPFCTSPTNAKNKDL >cds-PLY99121.1 pep primary_assembly:Lsat_Salinas_v7:8:70629342:70630473:1 gene:gene-LSAT_8X50900 transcript:rna-gnl|WGS:NBSK|LSAT_8X50900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTITNPTTLFHPQITISHRRHSHPLPPIKATFSGEEAQTHRRKFIITTSISLLALNNLNVQVASAESWGTRSFIKERFFEPGLSPEDAVARIRQTREGLHSIRNMLETMSWRYVLFYIRLKAAYLNQDLKNAMSIVPEPRKSSYVKAANELVNNMSEFDTYIRSPKVYESYLYYEKTLKSIDNLVAILA >cds-PLY98319.1 pep primary_assembly:Lsat_Salinas_v7:7:171728942:171737296:1 gene:gene-LSAT_7X102060 transcript:rna-gnl|WGS:NBSK|LSAT_7X102060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVKMLEGKLPLLPPVVKRGVGNTDMKFKAFDMMSHDSQTQVSTISADSQGPSLWNDTSLYKDETQEIEAMRVIASKLQNTRWNVSRDSCTTGVGLQQIISNDTRAARRHLMEVGSNVTCNCNITNSTFCHITNIQVKKMNLNGVLPEEFANLTFLQEMSIGGNRISGSIPAQLANISTLEELVVENNLLGGPLPPQLGRLSRLRRFVASANNFTGTIPVSYGNLANLEQFRIDGSKLSGRIPDFIGNWKNLTILDMQGTSMSGPIPSTISLLKKLKSLRISDLAGSSSSPFPNLEAMTNIEDLILRNCLLTGPLPDYIDGGYDDLKNIDLSFNQLNDSIPELFQFIDFDTLFLNNNSLSGDIPPWMFTRTDKIDLSYNNFANSTQQTCGSSRVESWCLRDEIGCSRSPKYHSLFINCGGDSTVFDGNEYQKDVTNEQSYFYSESDGWAYSANGVFMGNSSAPFVGTNTDVRDAKIYTTARFSPTSLRYYGLCLREGSYKVRLHFAEISYTNDSTFASLGRRYFDISIQGVLERKDFNIVEKAGGVRMGTFLDFDNVTVTGSTLEIHLYWAGKGTTGIPERGVYGPLLSAIAITPNYKVSTGGISAGAIAGIVIELRALELQTGYFSLRQIKSATHNFDHANKIGEGGFGPVYKGVLSDGSEIAVKQLSARSKQGNREFVTEIGMISALQHPNLVKLYGCCIEGKELLLVYEYLINNSLARALFGKENQKLNLDWSTRKKICMGIAKGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEEDTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVLLEIVSGKSNTNYRPKEEFVYLLDWAYVLQEQGGLLDLVDPGLVKYSKDEALMMLNLAILCTNISPTLRPPMSSVVKMLEGKLPLLPPVVKRGVGNTDMKFKAFDMMSHDSQTQVSTISADSQGPSLWNDTSLYKDETQESTSSETKLLPDLYDVDI >cds-PLY72501.1 pep primary_assembly:Lsat_Salinas_v7:2:138978997:138979365:-1 gene:gene-LSAT_2X66080 transcript:rna-gnl|WGS:NBSK|LSAT_2X66080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVATTSAVNGGRQLVDNGNDQQQRRIAALWRQMGLPSILRSLTTIAAAKPHSIVGLGGHLGEHVVSGSGAATAATPDEAAAIEVVPTVAAARDPSSDLVSNRSLGQEDGARLLASVVLVGGG >cds-PLY96974.1 pep primary_assembly:Lsat_Salinas_v7:2:35757660:35759712:-1 gene:gene-LSAT_2X16200 transcript:rna-gnl|WGS:NBSK|LSAT_2X16200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNCLPCLRGKKSKGKKPDGKNEDKKEEGELPVAQPKENPLKKQTTVKITGSNKKYDSYKQNDSSKQEQIVDIDDGVGGGGATVAAQPVVVAPVASATTTPYEPIEEVPTGSFKLHELVAATKNFKRECLLGESGFGKVYKGTLADGKVVAVKQLDKNGFKENIEFLEEVSRLSALQHPNLVDLIGYCVEGDQKLLVYDYMPNGSLKDNLFEKRPLDWITRMKAASGAAQAMQYLHEKVNPPVLCRNLKGTNVLLDENFEPKVTDYGYVNLESYTGNVQQRVVGMVGCAPEYEKTGQLTVKSDVYSFGVILLELITGRKALDTSRPRDEQNLVSWAQPYFRDPKRFQELADPMFKGVIPEKNLNQAVGVAAMCLQEETYMRPLISDIVDGLSFLTEDPEGFTTLAASELDLKLEPYNPPSSSSSSSSSSDSDSKNELKVKIEPFNRPVLXGAI >cds-PLY98737.1 pep primary_assembly:Lsat_Salinas_v7:6:104585804:104586787:-1 gene:gene-LSAT_6X66101 transcript:rna-gnl|WGS:NBSK|LSAT_6X66101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLIVEDEESNGFKNEEIQPPSPKMYLATGFGIDGIGGTEFTPTLFDDEYYKMIVDQDPYNPLALRKCAQFLQSRGDLTGAEDYFLRATLEDPNDGQTLMQYAKFVLEVHGDQDKALSYFEKAALVAHGDCNILAAYASFLWEIDEECEHGGAVSVGAEPVL >cds-PLY63252.1 pep primary_assembly:Lsat_Salinas_v7:4:200212199:200213983:-1 gene:gene-LSAT_4X112280 transcript:rna-gnl|WGS:NBSK|LSAT_4X112280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSYNNGKYATAAGNDHLNSHVILQNNSLMGKKASKKDRHSKINTAQGPRDRRMRLSLDVAKRFFRLQDMLGFDKASNTVDWLLMKSKPAIQDLLPQQLDRTCSLIGLSNSGSSASECEVMSGIDDQSMEKIREEKVIIVGNAKSTSSSSNKEKKKVDRGVRKSVYIHHSLAKETREQARARARKRTTEKRNNKIGVGGSGSDQYSKFRPNFDQVMNQNVNRLGSWIPFGENQAQTTDQAEYPNSHFQFKQGIVGDKSSAMPNSWSPSFLFNYQHNPGPSYEHHFTDFPILGKPWESNEN >cds-PLY73802.1 pep primary_assembly:Lsat_Salinas_v7:7:69696005:69698421:1 gene:gene-LSAT_7X50200 transcript:rna-gnl|WGS:NBSK|LSAT_7X50200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFRTIAKRDRNFFLKLIGNAATQSHLRQTHAQIILNGLQSDLATVTKLIQKLSDLGAISDATLIGSTFPNPDIFLYNVIIREFSCNNCHSNSLSIYRQLRQNTALKPDSFTYAFVISAASKLLCSKLGSSLHGHAIVGGAGSDLFVASTIVNMYLNFGKVGYAYKVFDGIPEPDTVLCNTMISGSVDNGCLDESLSIFKDMILRRTRFDSTTFSSVLKAAAELQELKLGMAIESLSIKLGFHSHLHVLTGLISLYGKCRDISTAKHLFQQIKHPDIIAYNAMISAFSYNQEMEYAINLFKELSSSQHKVNSSTLVGLIPVSHPFPHSTLTTTIHSFCIKHNFLSQTSVSTALITVYTRLNEINSARKLFDESKHKSLAEWNAMISGYAQNGVTDKAISLFKEMQNLKIPPNPTTITTTLSACAQIGALTLGKWVHDLANKYNFISNIYVSTALIDMYAKCGSIKEARQVFDKMQDKNTVTWNAMISGYGLHGHAHEALNIFNKMLNSKIPITRVTFVNILYSCSHGGLVKEGENIFQTMGSGSTHGFDPLPEHYACMVDLYGRAGDLQKAYDFIKKMPIEPGPAVWGALLSGCKTHKNMDLAKLASDKLFELDPENVGYHVLLSNIYTADKNFDEAASIRKMVKNRNLGKTPGCTLIEIDKIPHVFTSGQQFHEQIDGIYRMLEKLMGKMKEVGFCTDTVTALHDVEEEEKELMVNVHSEKLAIAFGVMNLEMGEEIRIIKNLRVCLDCHNFTKFVSKITERVIVVRDANRFHHFKDGECSCGDYW >cds-PLY81064.1 pep primary_assembly:Lsat_Salinas_v7:6:132607185:132609978:1 gene:gene-LSAT_6X81281 transcript:rna-gnl|WGS:NBSK|LSAT_6X81281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFFPSQPDLSLRISPPNTQPTSISWRTSNPTDQDHDFDLGHFWTRALNSQNHQHDSTTRSQSSFGLYEPYQAHSNDSGLNQNTITTNLYHHHQGVSLQEELLKPIKGIPIFYQTTHPNLQIPAQYHHHHQNNQASLDSCAVATSSSSSSLTHGSNFNNIARSRFLSSRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKALVSSAQSEVFGNGKSGDNSVDIMLGIQTHGMELLPENGGMSSVHQDKDFNFGRWCNSSSFIYDKYMIQKGSEVHAMIPNKFRGLGQQA >cds-PLY96961.1 pep primary_assembly:Lsat_Salinas_v7:4:173926733:173928578:-1 gene:gene-LSAT_4X101960 transcript:rna-gnl|WGS:NBSK|LSAT_4X101960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFHTKSESDITSLAPSSPSRSPKRPVYFVQSPSRDSQDGDKSSSLQATPNFRSPMESPSHPSMGRHSRNSSSSRFSGIFRSHSGRKVHRKRNEKGWPECNVIVEEGKYDEYEDEKKLNRRLQALLALLCFIVLFAILCLIIWGAARPFKPEITVKSLAVNSLYIGQGSDSSGVITKMLTINSSLRLGVHNPATFFGVHVSSNAVNLVYSDVVIVTGQLKKYYQQRKSRRTAIVNLEATKVPLYGAGSSLEVSDAGVFEIPLRLEFEIRSKGEVVGKLVTTKHTSQISCNVTLKSNTIKPIRFRKDSCILR >cds-PLY69615.1 pep primary_assembly:Lsat_Salinas_v7:5:313946319:313946855:1 gene:gene-LSAT_5X172261 transcript:rna-gnl|WGS:NBSK|LSAT_5X172261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSKCNSSKKVDGRRTTKISASCRFLLPVRYLKNVGNWMVAVLCFVAPRKRGSTKISSSGTPKRLSLSPVDSERAEAIKDCIDFINSSSSSTSSTMSNSVSC >cds-PLY96355.1 pep primary_assembly:Lsat_Salinas_v7:4:357954429:357954811:-1 gene:gene-LSAT_4X175641 transcript:rna-gnl|WGS:NBSK|LSAT_4X175641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNLWNDPTDSVIPVFKSAAEELLNNSGLTQVELLAKSLSKSIGYTEIKHMSLLSSMENHVTLHLEVGRPVYTPS >cds-PLY82391.1 pep primary_assembly:Lsat_Salinas_v7:4:336887156:336887803:1 gene:gene-LSAT_4X166720 transcript:rna-gnl|WGS:NBSK|LSAT_4X166720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKTELADHHQLGDGVMNVWRPLAAEEEHFIILSALKMVISGHITTNHHPSSSSSLATTTETDHNSHHEIPMMSLCLHPMEVCQVCRINGCLGCNYFRQNAPCDGGALMMNNGGGGRVETKRKRKRQYRGVRQRQWGTWAAEIRDPFKKVRVWLGTFGSAEQAARAYDRAAVHFRGDKAKTNFPASDYKEQRPVKVEEGHEKCNTSSMSPKNTDQ >cds-PLY89756.1 pep primary_assembly:Lsat_Salinas_v7:1:59131277:59132417:1 gene:gene-LSAT_1X51140 transcript:rna-gnl|WGS:NBSK|LSAT_1X51140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDWQRVKRCSNSVLVQQVSHSIYVTNFPEEFYVQDLWKTCELYGNVVDVFIPKGKSKAGKVFYIHAIEVIGWNPKYIEDDDEDDSGEEDPLTHTDHINSDDDQVQVENIIDERSVGLGNNKKVNDSKVVYEDPFQIYNLLAWEK >cds-PLY62482.1 pep primary_assembly:Lsat_Salinas_v7:1:82527554:82528402:1 gene:gene-LSAT_1X71580 transcript:rna-gnl|WGS:NBSK|LSAT_1X71580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFLVGEIPQSVTKLKYVDSFEISWTNVSGNVPPFLSELKNVWILNLSYNNLSGLIPSSLATLPHLIRLDLSRNRLTGSIPESFGHLVSPNSQGSLSLILSYNMLFGEIPKSVGNTNFNQFDVSRNNLSGDASMLFGALKNTWVLDISRNNFEFDLSSVSFMTHGLSYLDLSHNKIYGKISSQIMEAIDLQYVNVSYNRLCGKIPSPWKLKYEGLDNTSFLHNRCLCGSPLAPCK >cds-PLY92077.1 pep primary_assembly:Lsat_Salinas_v7:5:324874177:324875583:1 gene:gene-LSAT_5X179321 transcript:rna-gnl|WGS:NBSK|LSAT_5X179321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGDVIEMELATKAMEFANRAASNNTVINIFLVGAFGGLAVRSLNQQRQIEALESQRDSLVKSNKSMRQTIWEWKQKLYTEAEADKKPIVPLSKLKSIYGEVPTLPQSAGIGEKKDGKASATKIVI >cds-PLY70377.1 pep primary_assembly:Lsat_Salinas_v7:4:100097469:100099201:-1 gene:gene-LSAT_4X64780 transcript:rna-gnl|WGS:NBSK|LSAT_4X64780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGGGFGHNGIWGMRPFDSQNGDKGRRKSPKSSGSSPASPEAGGRFPVKQAATAAALALTGDTIAQVRERWVKNKALQNQHPSDSHEDLTWSLMDHNWLRALRMASYGFLLYGPGSYVWYQYLDRCMPKQTAQNVVIKVVLNQIVLGPCVIAVVFAWNNLWLGKLAELPNKYQKDALKTLLFGFRFWIPVSVINFWAIPLQARVAFMSMNSIFWNFYLSSTMSK >cds-PLY87221.1 pep primary_assembly:Lsat_Salinas_v7:4:309269621:309270736:1 gene:gene-LSAT_4X154701 transcript:rna-gnl|WGS:NBSK|LSAT_4X154701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKWSELEEQTLLTKYSDLDTSGALAKLKTREKKFKPIAEHVNSVHHLRDPSSFPFKWSWRDVSIKVQNMRHQYLGVKQKIRVSDSEYNWKDGENHWENFMKYKEVFGDIELESRDNKRFSDVGGDLFADSGFAYSRNGGDEFFGLGFDELQNDEDDDNDNDDDGDSEKEETDDSGSVHVKKLRKGVGGRMLGLVGAQMLELRDVVLRREEKRRRREEGGLEREERKNMNINGKEKDDDDEEEEEEEELLMVMGRREVESRVKLEMEFEEERRRRMAVEERWEEEEMKWREKMVSMQMEHEKQMMQLHANACQNQMQILGVMARVFCQFFGSGNDGLGNLGPQVLQNLQHPGVLDDNGKPDSNSPSEFL >cds-PLY76690.1 pep primary_assembly:Lsat_Salinas_v7:3:140384499:140384942:-1 gene:gene-LSAT_3X92160 transcript:rna-gnl|WGS:NBSK|LSAT_3X92160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTTLCEVGESSVVARRNVAPTINDDDFINDDNDNGDTYSLDEEINNEKYDSDDDVVHMTEVARGHGGDGGDRPPHGGARDVPSGCQSCKLHEIFKY >cds-PLY75947.1 pep primary_assembly:Lsat_Salinas_v7:5:248222284:248222556:-1 gene:gene-LSAT_5X124820 transcript:rna-gnl|WGS:NBSK|LSAT_5X124820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIFLWLDPPLPNTYYKETMWKFHMDLEEANNNKAFAMEVLKVSEEDKNNKAVQLETLNLLKMELLMMVMLLVVVIVMGFMVHNVMVKSL >cds-PLY78289.1 pep primary_assembly:Lsat_Salinas_v7:2:110991001:110993005:-1 gene:gene-LSAT_2X51381 transcript:rna-gnl|WGS:NBSK|LSAT_2X51381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNSLSIKFCIVVDFIILIIHRFFLNSIAGEECLNKKASKIQQLNIMLAFCGQIFDGDINHLLWMYGGGTGSISHSSLATFSLIIMSHLCSGVEWGTSERSAQ >cds-PLY82114.1 pep primary_assembly:Lsat_Salinas_v7:1:14842954:14845847:-1 gene:gene-LSAT_1X13321 transcript:rna-gnl|WGS:NBSK|LSAT_1X13321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g74600, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74600) UniProtKB/Swiss-Prot;Acc:Q9CA56] MFLNSRIPKKALSNHHINLKFSIYSTKFLSSLVSALQVPSIFPTKPHNQQNFPTTFDPYQYLNESAKSRDYTLEETKIIHTHFLKTNAFHSNPEFSNYLLRCYYKASAFDHALKVFDAIPHPNINSWNLIISCHNGNLRYAESWGSFCRMHSLGFYPNEFTYGSAISGCIASNFVNCGKQLYSLALKDGFSLDGYVRSGMIDLFLKSCNFNDALRVFYDESCGNVVCWNAIISGAIKHNEDRLGLNLFQQMCRGLPSPNKFTFPSVFSACAKCQQLDLGRLVHGLVIKYGDGEDVFVSTAIIDFYSKCGQVDEAVKIFSRMSVHNVVSWTAIITGFVQKGEFESALRLFKEMLSLKVEINNYTLTSVLSACVNPILLFQIHCWICKTGFYSDPTVKNSLINSYSRTGAVESSQQIFKDSKDSMNPSTWAAMITCFSQNGRLEKTFSLLKRMFREGLKPDKSCIPSVLSITDRLQLGEQIHCYTHKTGIFNNPLVGCSLFTMYSKCDCLKESYKIFREIPEKDEVSWASMIAGFTEHGFAYKAIELFQEMLVNHIVLDEKTLTPVLAACASLQSLKSGKEIHGFFFRRLIFAGSPIVHMYSKCGDLKSAKLVFNMMPFKDPVSCSSLVSSYAQNGQIEDAFHLFRELIVSDFEVDSFTISSILRSVNGPETGIQLHGRIVKLGLESDTSIGSSLVTMYSKFGNLEDCCKSFEQIDNPDVITWTAMINGYAQNGDGLAALKVYELMVESGFKPDSVTFVGVLSACSHGGLVEEGYCYLESMVKDYGIEPGLRHYACMVDVLGRAGRLEEAVGFIGKMPVEPDGLVWGTLLAACKVHGDVEIGRMAAEKFIELAPLSDGGYVGLSNILAELGNWEEVLKIRNEMKGTGIKKQPGWSYV >cds-PLY78514.1 pep primary_assembly:Lsat_Salinas_v7:5:338341827:338343811:-1 gene:gene-LSAT_5X191240 transcript:rna-gnl|WGS:NBSK|LSAT_5X191240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRATTPILLFFAIFQFPYVTSCRDTEEAKMSRGAVAKGGKKKIATFVIDCGKPVEDKIMEIASLEKFLQERIKVGGKAGNLGDSVTISREKNKISVTSDNNFSKRQ >cds-PLY67328.1 pep primary_assembly:Lsat_Salinas_v7:4:18917289:18918069:1 gene:gene-LSAT_4X13401 transcript:rna-gnl|WGS:NBSK|LSAT_4X13401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIQIRYTIFFFFFLSTTLLTLTTAGNPFPPTSNDTDFIRTSCRTTLYPQICYTSLSGYSSAVQQDPGRLARVAIGVTLSKATHMARYVSNISRKADYAGIPRVAAAVHDCFSVFGDAVDEIRGSLRQMRRLNGSGESLRFQLSNVQTWMSAALTNEETCTDGFEDVPDGGLKADVCGRAVKVKEVTSNALALVNGFANTIQAR >cds-PLY68374.1 pep primary_assembly:Lsat_Salinas_v7:7:150108:152368:-1 gene:gene-LSAT_7X561 transcript:rna-gnl|WGS:NBSK|LSAT_7X561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTNIDTLWLFALSSKCTTINFFFIFALASFINLFFQWSKHKSTIPGPRGFPFIGSMHLMSGLAHRKIATAAQSCRANRLMAFSLGETRVIVTCNPDVAKDILNSSAFADRPIKESAYSLMFNRGIGFAPYGVYWRTLRRIAATHLFCPKQIKVSEDQRMVICYQMVEMFHHKQQQRGTNSLCVRELLKRASLSNMMWSVFGRRYRLDSNDVESVEMRKLVDEGYELLGMLNWTDHLPWLADFDLQGIRSRCSKLVPKVNRFVKQIIDEHRGQLLPVNGDFTDVLLSLEGSDILSESDMIAVLWEMIFRGTDTVAVLMEWILARLVLHLDVQSKLQDELRRVVGRSRVVTESDVTNLVYLQAVVKEVLRLHPPGPLLSWARLAITDTNIDGHDVAAGTTAMVNMWAICRDPQIWKEPLQFRPERFMIEAEGLMNTSVMGSDLRLAPFGSGRRSCPGKSLGMTTVTFWVASLLQEFEFGRSECDGYNVDLSEVLKLSCEMANPLTLTMRPSPSRPIPAASSRR >cds-PLY92062.1 pep primary_assembly:Lsat_Salinas_v7:5:323063104:323065224:1 gene:gene-LSAT_5X177740 transcript:rna-gnl|WGS:NBSK|LSAT_5X177740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSQLPKSKTLFIHSSNGAEIAAKPGVNYGQLGNNLPHPKKSVELIKSLKAGRVKIYDANPKILKALANTNIQVSIMVPNEIITAMAPNQSLADEWVRSNVVPFYPKTMIRYLLVGNEILSQPDNVTWFNLVPAMRRIRKSLVFYKLKKIKVGTPLAMDCLEASFPPSSGKFRSSVSESVIKPLLQFIHRTKSFFFIDVYTYFAWVPDPVNIKLDYALLQPNVSTYTDPVTGLMYTNLLEQMLDALYFAMKDAGYPDIRLFIAETGWPNGGDIDQIGANIYNAAIYNRNVIKRFTEKPPRGTPLKPFVVLPSFIFALYNENQKTGAGTERHFGLLYPNGTNIYEIDLSGKTALSEFKKPLPVPTNNEPFKGKIWCVAARGANTTALAGALSFACGQGNSTCDPIQRGGKCFKPDSLTWHASYAFSSYWAQFKKSGGSCYFNGLATQTAKNPSFGSCKFPSVTL >cds-PLY88725.1 pep primary_assembly:Lsat_Salinas_v7:7:193922094:193926017:1 gene:gene-LSAT_7X114181 transcript:rna-gnl|WGS:NBSK|LSAT_7X114181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGVTRVSIPHNARKVIQEIKKTIGSKHSDEFVYTTLKDCDMDPKEASRRLKMIHDIIEIAGKHNVEDVYTMLKDCNMDPNEAAQRLLYIDTFHEVKKKHDRRKSISSDTSEDYKRTQGNQWRRNLSSGKEYGVTNRQERVSRLIIPVDSVKVNNGAHVANSVANGNGTLVVSNGSYSDKLAPESSSTSDTVVVSSKNTCAVGTIQCEIVKRSGSTKSNSRLPAGTGIKSDVVEGIEISESLKPLSLSAVNPSQDNQPPQSLHEPVKVNGCWIPEEKPELKVSGDVGVEKSSYQAVIFPDHLQVPENLKSQFTFGSLDVVQEASSLKSSHPDSALKHDETKKVEVEVEVEMMPPLGLGGGFQNPIVQRDYSFGYMPHLMGPGPHFLHVDVPELQSQSGSGGSVVTSGIGQTPATQSATVGAAQNPISLSPPPPPPPPPVFPYFRQVFPSYVPYNPYFPHFYIPQNAHLFNHAHAHAHAHGVFPTHQPPPTSTPVPAAATGIKFPVPQLKQGSNDDDVTVSQSQQKDNNLLQQIQGEVWGREVVPNYFYNFVPQAQGHGPIYQYQYQSASTCNVQPVIVPVPLMYQSQSTTTAAATNTIEPSP >cds-PLY71627.1 pep primary_assembly:Lsat_Salinas_v7:9:136106470:136108026:-1 gene:gene-LSAT_9X87781 transcript:rna-gnl|WGS:NBSK|LSAT_9X87781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAENDVPVTNQQPQVQSEQERLKYLEFVQVAVVHAVVYASRAYGYAKDNSGPLKPSVETIESTLKTVVGPAYDKFQDVPVDVLKRVDRKVDESVTIIDSRVPPLLKEVKTAGVVETASGLAKTAYTKLEPTAKGIYVKYEPVAEQYAASAWHSLNQLPLFPKVAEVVVPKAAYYSEKYNQTVQQTAEKGYKVSSYLPLVPTERIAKVFNPTD >cds-PLY64172.1 pep primary_assembly:Lsat_Salinas_v7:8:125421155:125427037:-1 gene:gene-LSAT_8X87660 transcript:rna-gnl|WGS:NBSK|LSAT_8X87660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPGGPRPGNPPPNYNPNSIADNMQNLQINRPNMPPNSAGGPRGPPPPFGQQPFPSSAPFSSSPFSGPSRPGPPPPGVVPRGAVPPPTGPPQGALPPFMASNRPPGSNPPPPFASRPMTSGPLPSSTMSSRPGPFASSPLSTGMPVPPLGGGPPSNGPPAFGPGGMQGGGPLFPPSGVRPRPTSGPPPSLPTMGGPFSGQTTQTPGSPPDYQQRGPGSLAPPPFLAAQSQRGPPTFSAQGQPQVSPFGAQPWQMQPPPMSGSVQPPRMFGMPPPLPNQQSLATISPAMGAGVGAVTGPSKIDPNQIPRPIPNSSVLIHETRQGNQANPPPPATSEFIVRDTGNCSPRYMRCTINQIACTTDLLNTSGMQLALLVQPLALPHPSEEPIQIVDFGESGPVRCSRCKGYINPFMKFVDQGRRFICNFCGFTDETPREYQCNLGPDGRRRDADERPELCRGTVEFVATKEFMVRDPMPAVFFFLIDVSMNAIQTGATAGACSAISRVISDLPEGPRTMVGIATFDSTIHFYNLKRALQQPLMLIVPDVQDVYTPLQTDVIVQLSECRQHLDLLLESIPTMFQNNKTADSAFGAGIKAAFMAMKSTGGKLLAILPSVGIAALSAREAEGRTNISAGEKEPHKLLQPVDKTLKTMAIEFAEYQVSVDVFITTQSYVDIASISVIPRTTGGQLYYYHPFSALSDPAKLYNDLRWNVTRPQGFEAVMRVRSSQGLQVQEYSGNFCKRIPTDVDLPAIDCDKTIMVTLKHDDKLQDGTECSFQCALLYTTVYGQRRIRVSTLSLPCTTMLSNLFRSADLDTQFSCFLKQAANEILTNPLLHVREQVTNLCINILHAYRKFCATVSSSGQLILPEALKLLPLYTLALIKSIGLRTDGRIDERSFWINYVFPLSAQLAVPLVYPRMISVHDLNSKETDGSVIPVAIPLSSEQVNDNGIYLLENGEDCLIYIGSSVDPDTTRQLFGISSVDEIPSQFVLQQYDNPLSKKLNEVINEIRSQRCNYLRLKLCKKGDPSGVLFFSYMVEDKSPNGLSYVEFLVHVHRQIQSKMN >cds-PLY68175.1 pep primary_assembly:Lsat_Salinas_v7:8:118926474:118926698:-1 gene:gene-LSAT_8X82661 transcript:rna-gnl|WGS:NBSK|LSAT_8X82661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLAAETTMRSGEGEERPRTKKKKKSEGKKLPLSVGHQSEHPSESITEIRKRKEGARSRLRNTLSQCTGSAR >cds-PLY72891.1 pep primary_assembly:Lsat_Salinas_v7:4:273447905:273448167:1 gene:gene-LSAT_4X139641 transcript:rna-gnl|WGS:NBSK|LSAT_4X139641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSASRRCSAETLTVRRLRGTLNGCGHLEQDWRILGLEEVVGQRGERFWLKEQQRIRVLRFGDTERAYSR >cds-PLY65349.1 pep primary_assembly:Lsat_Salinas_v7:6:41180262:41183202:1 gene:gene-LSAT_6X29941 transcript:rna-gnl|WGS:NBSK|LSAT_6X29941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEILSQQIRLSSEFSPTHQTFPISSKTTSSQRSEPDSSANLGNDSVMGRKGSWLSSIKKTLSPISKEKKIQKSERRAFVEENPSVPDASIVENAGGSYDPPPEDVIPIEVEAEPPITADTTAAAATSSRYAGKSREEAAAIRIQTAFRGYLARRALWALRGLVRLKTVVEGPGVNRQTANTLKCTQNANHLQSQINSRRIRMSENQALQRQILRAKELANLQNGDDWNDSVQSKEEMEAKLLSRYEATMRRERAMAYSFSHQQPWKKSAATTNMLFMDPTNPQWGWSWSERYMAGRPAEAQGGEKDPGNNAKSGINITGTEIAKSYARHQLNSAPSTPRSKSGGGPVASRKPKPGPSPSPRVPITEANEDDDSKSVVSVQSEKNRRHSVGGLAGSPAAGKRMVAGSGKSGKGKSRVQGLSENGGGRMTVGAKKELSFSGSPAKPRRHSGPPKVETAVE >cds-PLY86191.1 pep primary_assembly:Lsat_Salinas_v7:2:130266122:130266283:-1 gene:gene-LSAT_2X61821 transcript:rna-gnl|WGS:NBSK|LSAT_2X61821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPKELINSVAKVLLYYVGVFLSLGTVKSSAQLKNDQHDSSTKFSKCKKNYEC >cds-PLY63330.1 pep primary_assembly:Lsat_Salinas_v7:9:133280688:133280924:-1 gene:gene-LSAT_9X85041 transcript:rna-gnl|WGS:NBSK|LSAT_9X85041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRNSWQKKTSYAILNIFKAKKTRGDEEDAWDDSLKAYKVFPSDQDGVRWVAEPGIDKRASAYINNITNRWSHLDIAD >cds-PLY83039.1 pep primary_assembly:Lsat_Salinas_v7:5:50604529:50606591:1 gene:gene-LSAT_5X24460 transcript:rna-gnl|WGS:NBSK|LSAT_5X24460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFSGLNALYDAVNGGADVWINDNRFKIVRQLGEGGFAYVFLVREAFTDASGGGVSKKFKDPSHIAEDGTYAMKKVLIQNNDQLELVKEEIRVSALFSHPNLLPLLDHAIIPVKATPEQPWTHEAYLLFPVHLDGTLLDNSTTMKSKKQFFSTSDVLHIFRQLCAGLKHLHSLDPPYAHNDVKPGNVLLTHRKGQPPLAVLMDFGSARPAKRQIRSRSEALQLQEWASEHVSAPFRAPELWDCPSQTDIDERTDIWSLGCTLFAIMYGVSPFEYALGESGGSLQLAIMNGQIKWPSVTGGGGGVPKPPYPDALHQFVSWMLQPQPTVRPLIDDIIIHVDKLISNYSI >cds-PLY88238.1 pep primary_assembly:Lsat_Salinas_v7:MU040167.1:19237:23495:1 gene:gene-LSAT_0X36820 transcript:rna-gnl|WGS:NBSK|LSAT_0X36820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWILLEELHVETEGGIDLDEVQVQGDLDDNIEDGDCIPMNKTLNDVFLNKLCPKEQPTPDTPPQEDPFHEDPFDQMDEHDPIHEEKIFYKSFKYSPSLELIELLEFIVSGDGGGVGSMEDSEREHEYSIRLSGCVWPEVVTKC >cds-PLY93280.1 pep primary_assembly:Lsat_Salinas_v7:4:302659596:302662227:1 gene:gene-LSAT_4X153620 transcript:rna-gnl|WGS:NBSK|LSAT_4X153620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTRKLFGISLSLIIINLAAIVERTDENLLPSVYKELSETFNVGPSDLGYLMFMRNFFQGLASPMAGILVLTYDRPRVLAMGTLCWALSTGALGGSRYFSQLALWQIVNGFGLAIVIPSLQSFIADTYSDKVRGTGFGLLNLVGKVGGIGGGAVAMIMAGHEFWGVPGWRFAFLMISALSCVIASLVFMFVVDPKRLVAVDPDRNELLEGNRDSRSSSVWIESWIAMKGVMKVKTFQIIVLQGLVGSIPWTAMVFFTLWFQLIGFDHQQVATLFSLFGAGCSLGSLMGGIIADRLSQIYPHTGRIMCAQFSAIMGIPYTFFLLRVIPQSVNSYQIYAITLFLMGLTISWNGTAANAPMFAEVVPAKHRTMIYAFDRAFEGSFSSFAAPIVGILAERIYGYDPKSVDPVAGSTREALALSKALFSMMAVPFGMCSLFYTPLYRFFRLDRDNVRMAIQKEEEMI >cds-PLY82348.1 pep primary_assembly:Lsat_Salinas_v7:5:312150969:312154675:1 gene:gene-LSAT_5X171020 transcript:rna-gnl|WGS:NBSK|LSAT_5X171020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANKASNLSDLIQRVTGSCLLHPLGSARHYADDITDDDDSDYEERSRMEKYYNEDEDEDKKLSPALAVVEERGDGYKTERQMEMVTLMNEVFETVSSMKKAYVSLQESHCPWDPQKMRSSDMAVVAEMKRLGVLRERFRRSVGSGVADAVRRKVAGVMLREVVAPYEAAMEKLKTDVKNKEAEIENLREKLKTATVLSSGGSGGRKSRSHSHHQSKKKVNYSSQLQVLPSPMPDVAATFESCISSVKEGSKSFTSLLLSLMKSAHWDIAATVRSIEASATSTPATGAPATPRDSIVGPNHAKYALESYVNRKIFQGFDHETFYMDGSLSSLLNPNQFRTECFTQYRDMKAMDPIELLGILPTCQFGNFCSKKYLSIVHPKMEESLFGDLEQRSQILAGNHPRTRFYGEFLGLAKAVWLLHLLAFSLDPPPTHFEGSRGAEFHPQYMESVVRFPGGRVAAGHVVGFPVSPGFKVGNGSIVKARVYVVPKSEV >cds-PLY80661.1 pep primary_assembly:Lsat_Salinas_v7:5:246079492:246080185:-1 gene:gene-LSAT_5X122020 transcript:rna-gnl|WGS:NBSK|LSAT_5X122020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSCVYFPKTPWIIAFFLPILTYAYGSFCNFPIFFFLSTVLILVSSTFLFLPLAKLKVQVLKKEQSQQQDGHDLICSDRKISTQAQDEVKIGFSDKGLPESFSENDIIGRFSSTSEEDSDFDCGQFPSESPDCSDEESLIEIELPAGNYVGLKGGGEDDDEEELCFEQLSPESIYRWSEMNEEENLIEIDISMGSIK >cds-PLY99892.1 pep primary_assembly:Lsat_Salinas_v7:7:191876707:191879691:1 gene:gene-LSAT_7X113520 transcript:rna-gnl|WGS:NBSK|LSAT_7X113520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNGIDFEVFLRGYLNLHSHAAAKLGNSNNSSSFLKATTTTLLHTIDESEKESYVAHINSYLRDDPFMKQFLPIDPATNALFELARDGVLLCKLINVAVPNTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGNQDLVEGRPHLVLGLISQIIKIQLLADLNLRKTPQLVELVEDNNDVEELMGLAPEKVLLKWMNFHLKKAGYKKAVTNFSSDVKDGEAYAYLLNVLAPEHCSPATLDTKDPAERANLVLEHAEKMDCKRYLAPKDIVEGSANLNLAFVAQIFHQRNGLSTDNKKISFAEMMSDDEQMSRDERCFRLWINSLGISSYVNNLFEDVRNGWTLLEVLDKVSVGSVNWKHATKPPIKMPFRKVENCNQVIRIGKQLKFSLVNVAGNDFVQGNKKLILAFLWQLMRFNMLQLLKNLRSHSNGIGKEMTDSDILKWANRKVKSTGRLSQMDSFKDKSLSNGIFFLELLSAVEPRVVNWNLVTKGGSDEEKKLNATYIISVARKLGCSLFLLPEDIMEVNQKMILMLTASIMYWSLQQSTDESESSPSPSSVSATPEASPAPSVNGDEDSSISMTGSAAEIFNLSIDDAASDTTVSSIQENNNDAGLQ >cds-PLY92728.1 pep primary_assembly:Lsat_Salinas_v7:7:4831832:4834737:-1 gene:gene-LSAT_7X4380 transcript:rna-gnl|WGS:NBSK|LSAT_7X4380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDLYALDFDGVLCDSCGESSISAVKAAKLRWPELFVTVDSSMEDWIVDQMHIVRPVVETGYENLLLVRLLLEIKISSLRKSSVAEGLTIDGILENWSKIKPVIMEEWSENREALVDLFGKVRDEWIENDLATWIGANRFYPGVPDALKFASSQVYIVTTKQGRFAEALLRELAGVMLPPERIYGLGTGPKVEVLKMLQKQPEHQGLTLHFVEDRLATLKNVIKEPELNGWNLYLGDWGYNTQKEREEAATYSRIHLLQLSDFSKKLK >cds-PLY76025.1 pep primary_assembly:Lsat_Salinas_v7:5:319889920:319893528:-1 gene:gene-LSAT_5X177300 transcript:rna-gnl|WGS:NBSK|LSAT_5X177300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLCSPHLLQSILIILSLVSPLCADLNSDKQALLAFADAVPHAPKLNWSNTTQICTWIGITCDSNGSRVSALRLPSLGLTGPIPSDTLGKLEALEVLSLRLNSLTGSIPSDVLSLPSLHHLFLQHNNFTGNIPASFPSHMNVLDLSFNSFTGKIPESLQNLARLTKLNLQNNYLSGSIPNITFSKLKNLNISYNHLNGSIPSSLKTFPNSSFIGNSFLCGLPLNPCSPPLPPPPAHTPPPPPTGDHQEKSSKKFPLWAIIAIAVGGGVAVILLMIILYFCCFKKKKRNRNENENGNGNGNVSSVRRAKSSSVGGRSEKPREEFGGEVQEVENNKMVFFEGCSSNFDLEDLLRASAEVLGKGSFGTTYKATLEESVTVVVKRLKDVVAGKKEFEQQMEMIGRVEPHPNVVPLRAYYYSKDEKLLVYDYLSSGSLLTLLHGNRGGGRTPIDWETRVKVTLGAARGLAHIHAIGGPKFVHGNIKSSNVLITPDGEGCISDTGLSPLIKQHPPTTSRHTIGYKAPEVLEIRKHSHKSDVYSFGVLLLETLTGKQPLQSPGRVGGEDMVDLPKWVQSVVREEWTAEVFDVELMKFQNIEEEMVQMLQIGMACVVQTPDSRPSMDEVVKMIEEVRVSN >cds-PLY96059.1 pep primary_assembly:Lsat_Salinas_v7:8:22347676:22350173:1 gene:gene-LSAT_8X16060 transcript:rna-gnl|WGS:NBSK|LSAT_8X16060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQDLKPYLAVIFLQFGNAGLVIIAKAALNHGMNHYTFAVYRNLVATLVIAPFALYFERKGRPKLTFSIFIKIMLLGLLEPVIDQNLYYAGMQYTTATFAIAMCNIIPAMTFIMAWICRLEKVNIKKVHSMGKIVGTLVTVGGAMIMTVVVGPTIGLPWSKGSTTAHNQQSTAPVSAGDNIKGSMMIIAGCLSWSCFYIVQALTLKSYPAELSLTALICAAGTLQGSIVTIIAERGNNAAWRLQWDAGLVTIVYGGVICSGLGYYLSGIVMKEKGPVFVTAFNPLSMVIVAILGSIVLSEQMNLGRVLGAVVIVVGLYLVIWGKSKDESQSNSKFDPDEIPPVNQQIPMTISIKKLGNEDHDHSLSIAMPPTNETFNKINGK >cds-PLY73790.1 pep primary_assembly:Lsat_Salinas_v7:8:150799293:150801519:-1 gene:gene-LSAT_8X101260 transcript:rna-gnl|WGS:NBSK|LSAT_8X101260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFQGDLRSYLQKDGKLSPSKALTFALDIGRQVVFFNLSLCESGEKAYNYVSGLHTCRPDRATWDLIAMVENGKHEGPATYSVTFVWNGHEGENVELIRGFAGNWKEPVKAIHKGGPQYEPEVRLAQGKSYYKFIANGNWRHSTTSPTEKDDRGNVNNILEVGDVANVRPSIQHPTKENMSEAIKICNKIVQHHPTP >cds-PLY92310.1 pep primary_assembly:Lsat_Salinas_v7:9:178168170:178169739:1 gene:gene-LSAT_9X110161 transcript:rna-gnl|WGS:NBSK|LSAT_9X110161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSPELLHYFPPFLQVYNDGRIERLITTPRLPPSTDPITGVQSRDVVISFDHETKSRIFLPKINPQDPPKKLPLIIYIHGGGFCIGSPVNIVTHSFLTPLVSQTPAVAIAVALLPTAYHDCWAAFKWIAAHSTGSGPDPWINDYVDTSRVFLVGESAGANLAHYVTVQAGVSKPGLDIQGLIALHPYFSEKEPDKMIQYLYPGSSSSDDDPKLNPRSDPDLEKMGCSRVFIMVAEKDFLKQRGIDYMETLKKSKWEGSVEFVENEGEDHCFYLFNPRSEKAKGVIQMLISFVNQA >cds-PLY66387.1 pep primary_assembly:Lsat_Salinas_v7:4:119432363:119438897:-1 gene:gene-LSAT_4X75160 transcript:rna-gnl|WGS:NBSK|LSAT_4X75160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNINEETKNINEKSNSEEGEDTGKEMVPPVANSIHRSSSRPQLDLSGAAIQGNFEERDPTILLPNQSDDISHLALDIGGSLIKLVYFSRHEDRLVNDKRKKSMKERFGVINGNRRSFPILGGRLHFVKFETAKINECLDFIHSKQLHRGDNDNAVIKATGGGAYKFADLFKEKLGVSIEKEDEMDCLVAGANFLLKAIRHEAFTHKEGHKEFVQIDHNDLYPYLLVNIGSGVSMIKVDGDGKFQRVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQRGDNSSVDMLVGDIYGGLDYSKIGLSASTIASSFGKAISENKEIEDYRPEDISLSLLRMISYNIGQISYLTALQLGIKRIFFGGFFIRGHAYTMDTISFAIQFWSKGGAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHQLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFVRKGTKITAPVPMASPRTTGLGGFEAPSSKGDTLRSDESNLNVGVLHLVPSLEVFPLLADPKTYEPNTIDLAEPNELQYWFTVLSDHLPDLVDKAVASEGGTDDARRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFNFFDAYSTIKQRENEASLAVLPDLLMELDSMSEETRLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRKKMQRPWRVDNFDAFKERMGFGENKPPRHKRALLFVDNAGADVVLGMLPLARELLRRGTEVVLVANSLPALNDVTAMELPEIVAEAAKHCDILRGAAEAGGLLMDAMISIQDSPREKSSSTPLMVVENGCGSPCIDLRQVSSDLAALAKDADLVILEGMGRSLHTNFNARFVCDALKLAMVKNQRLAEKLIKGNIYDCVCRYEPAS >cds-PLY71492.1 pep primary_assembly:Lsat_Salinas_v7:7:191352808:191355913:-1 gene:gene-LSAT_7X116201 transcript:rna-gnl|WGS:NBSK|LSAT_7X116201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRYMAYSPSPSAPHSPHIAGLRSAATALVEQEKYLSELLSERNKLSPFVPVLPQCYRLLNQEILRVTTLLGNASVLDQSGLEHASGGGMFSNAGANMNMNMNRWSSPIQSEMSALLQPSSASAQSWLGSQGSSSSLVVKRTIRVDIPVASYPNFNFVGRLLGPRGNSLKRVEASTDCRVLIRGRGSIKDPTKEEMMRGKPGFEHLNEPLHILVEAELPVDIIDARLMQAREILEDLLRPVDETHDFYKKQQLRELAMLNGTLREDTSQMSGSVSPFNNSLGMKRAKTRG >cds-PLY66398.1 pep primary_assembly:Lsat_Salinas_v7:4:119883017:119884432:-1 gene:gene-LSAT_4X75020 transcript:rna-gnl|WGS:NBSK|LSAT_4X75020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGGHSGYPTFPEEHRSHTQYDMTALPQLQLFGKFPVGCTLDNINFVGNDHATATASGRGIKRAREQKLHISLNNNFFCQDDAGLMNPNHNHPVSTGLKLSYEEDERNSSVTSISENFKALQPLSHSLTGNIKLEMDRQKQLLNHYLKLQEENMVKGIRELNEKHTVSLLNTLEKEVSKKLSEKEMEIENMNRKNMELGLKIKQASMEAQSWHYRAKYNESVVNALKNNLQQVMMTQSQKPVQGKEGYGDSEVDDAASYTNVNLNPQKCDDMKAFNCRACNGREVCVLLLPCRHLCLCKECEVFTQNCPVCQVMRTESVHVFMS >cds-PLY99905.1 pep primary_assembly:Lsat_Salinas_v7:7:15862303:15865089:-1 gene:gene-LSAT_7X12921 transcript:rna-gnl|WGS:NBSK|LSAT_7X12921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEPDDFPLFETKLAKGRILFKLYATSMAMGICLICVYRATHTPEKGKTGRYLWIGLFMAELWFTLYWLITQLVRWNPVTHQTFKEKLSHRYENMLPGVDIFVCTADPVIEPPLMVINTVLSMMAYDYPPEKLNIYVSDDGGSELTFYALLEASRFSKHWLPFCKRYKIEPRSPSAYFAHASPINNEWSFIKNKYEEMRKRIDDTTSLGKVTEEMRKEHKGFHEWVLGSNKNDHQAIIQILIDQRDPEARDTDGKSLPGLVYVAREKRPEWHHNFKAGAMNALIRVSSKISNNPFILNVDCDMYSNNSESIRDAMCFFMDEKRSEKIAFVQFPQNHDNLTRNDIYSNALMVINDVELGSLDSYGGCLYIGSGCFHRRDTLCGRIYTLDTKINWEKQNHKEITESIDAIENECKILMGVKYGCPVEDIITGLSIKCRGWRSVHLNPKRKAFLGLSPTTLLQTLIQTKRWAEGDFQIFLSQYCPLVFGHNKIPLRLQISYCCYLLWAANCWATLYYVSVPSYCLLARISLFPKLSSYWFLPFAYVFVGKYAYSLGEFYWLGGTIKGWWNDQRMWLYRRLTSYLFGFCDVVFKSMGFTTSGFVITSKVSEEDALQRYNKEIMEFGATSPMFNILSTLALVNVLSFVNGVKWVVMDSDINHFRELGIQMVLCGLIILINIPLYEGMFFRKDNGSMPSSVTFQSTILAVLFCAVAII >cds-PLY86528.1 pep primary_assembly:Lsat_Salinas_v7:2:208403411:208404763:-1 gene:gene-LSAT_2X128940 transcript:rna-gnl|WGS:NBSK|LSAT_2X128940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSHVPPGFRFHPTDEELVDYYLRKKINSRTIELDVIRDVDLYKIEPWDLQDLCRLGTEEQNDWYFFSHKDKKYPTGSRTNRATAAGFWKATGRDKAIYSKHELVGMRKTLVFYKGRAPNGLKSDWIMHEYRLETDENATTTQEEGWVVCRVFKKRLPAMIRRASEHEPIWYDDHQVSFIPEIDSTSQNNTRSNLGNTGYQYPYGSCKKELDSQLQNYQITPGHRLQLPHLESPKLLPTCNSSMPINYGIDINQQSINLEPSLFTQHHNNIHNQQHHQDQNFNDQVTDWRVLDKFVASQLLNEGDHVSIKGNHEQAYTNAEEEILLQEEDASNPVSSCQIDLWK >cds-PLY75021.1 pep primary_assembly:Lsat_Salinas_v7:1:112852945:112856883:1 gene:gene-LSAT_1X87481 transcript:rna-gnl|WGS:NBSK|LSAT_1X87481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLSKLLQNLDNPTAKVAGDHRSPLLQVIGIVPKDDVFDFDRKNKGFYLRVSDSIHSAYVSVAEADVDLILGDKIQLGQFIHVTRLDFGSPVPVLRGLKPVPKRRPCVGDPKDLISSDSLVIRSNSRVDFSKKKKEGKVKESNSNSSRRLSLVNVKTRDEPETRRLSLDYSSRKSWDRSPAPASKHRRSGSDSNGGLGTKFTEPSSPLCSTPVRSGKKASSVKDLPLKPPNLNLPPLRNKNIIVSEKLINKPIKKDLKTSFDSIPTPIHLTKIPINAKTASDSKVSWDSVSPTVRELGKDLIGRRNLGFSYAVNALEETSVIENILQCMSEFAEICELAETSTNPLEQFLNFYQKLQTSSAMVNVLIDSKSTLHKSKSQASLWVQAALQTNLAKFTLFTMEENQKIPQAERNYHIVLDTEKIQVENRLPENKKSPKTNEPVASTTRCVGPTMKKERIELSNGSSRLKETANLAEKLLLASRKWFLNYLEVSLNKGFKLTKGEESGVAICLLGQLKRVNQWLDDSVIGDEKVENLRKKLYTFLLEHVRK >cds-PLY82305.1 pep primary_assembly:Lsat_Salinas_v7:1:67886143:67886373:-1 gene:gene-LSAT_1X57881 transcript:rna-gnl|WGS:NBSK|LSAT_1X57881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGDWQIETASESHQKRWLRKRREVGVGNGKRESARESIAIDPSVNDRNSSHNPKMYHKKHVDWYLDDWKRSIE >cds-PLY80158.1 pep primary_assembly:Lsat_Salinas_v7:3:41148946:41149946:-1 gene:gene-LSAT_3X31180 transcript:rna-gnl|WGS:NBSK|LSAT_3X31180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSLAVAPRPDCDKNPPNNHRQDQTAGAVEDVMESLRMMDLQLVAFITVFSASGLVPLFDLLFPAITSAYLLLLSQLVFPEHTSATTSKERLFQSSRSFRLYVITGLAVGLFLPLAYVLGGFVRGDDHAVKSATPHLFLLSFQILTEKVVSGLCLFSPPVRALVPMLYTVRRIFVILDWVRDVWFNKTLPLNAGIKDVGWYWFGRILAMANLGYFSINLFGFLIPRFLPRAFEQYFKERNEIHEKSSVKDLRSKYPTPTGIRMWAARDHLQSEKSD >cds-PLY94276.1 pep primary_assembly:Lsat_Salinas_v7:1:91646454:91655189:1 gene:gene-LSAT_1X73760 transcript:rna-gnl|WGS:NBSK|LSAT_1X73760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRPRLPVLLVALLCFVAGISASNGDADLIYIDYVEQCEKTGCVGDVCFQHCNLTSNGKFVSDPWYIQETLYIKWRKWDCLSACRYQCMLFKEEERETAGEEEFVDCATNMCGYSLNIDVYHKGVFSPNPFVYFHPHKLPVTGLDVRNMDFKEFKTYLKKVINNRCQDIYYYLKNRSPEDGLKELRDEDDYVRFLDVGFDDDDNQINIYIDDYHEPLLDWIEEEKAEEGDNNTDTYEDDVNSVFSGDLSVDHETDDEDI >cds-PLY83422.1 pep primary_assembly:Lsat_Salinas_v7:5:330845176:330846979:1 gene:gene-LSAT_5X184880 transcript:rna-gnl|WGS:NBSK|LSAT_5X184880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIIFSLTNIQEHHFHSNINPEAKVMLITLLICLLLLGFYITKRTSRSRGVYLIDFTCYKPPDAQKLTKQFWVEQLKHVGNFSEEMVHFMRKILDKSGLGDSTYLADVLLKKDFDPCMIEARREMEMTVFGSIDMLLAKTGVRCEDIGILVVNCSIYNTMPSLSSMIVNKYKLKENIISYNLVGMGCSAGLMAIGLAQNLLQVHHDSYALVMSTEGVTENGYVGDDRSKLLTSGLFRVGGAAVLLSNRPSDRHNCKYELLHTVHTNGSSSDPSYNCIFQEEDEAGIRGVTITKDLFKVASTVIRSNATTLGKLILPLPEKLRYLTNSIARKLRPTANIQPYIPIYGKSVELFLPHVGGKPMLDELQKNLGFDEIAMEPSRMTLYRFGNTSSSSIWYELAYAEAKGRVKKGNRVWQIAFGSGFKCSSVVWCAMRTVDYDEMNPWTDEIDGFPVDVDCDDEPLPIFFEPSK >cds-PLY78497.1 pep primary_assembly:Lsat_Salinas_v7:4:123964851:123967962:1 gene:gene-LSAT_4X76400 transcript:rna-gnl|WGS:NBSK|LSAT_4X76400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAFEENSQLNNQQQQQQHIDLGLQSDSSINNDKLVEDITKELQNLVVPCKDKLLDRDLQGHSVVTDEEDEVKRAIDEELRHLLVKEAVNEAFQADSSSGSGEKYFRDVRQFVDEAIERNGLKKVREEDPKECRVLEEREVGETGLECEKDGGNVVDVNGDADEKAVEHKEMDSKENHEIEIEDGDDTSEIVGYESNGEDKRSEGGNEKEFEDGEDLENENENAGGEGDEGGPMTVPGGGGSKRYHYPLRPDAEDCSYYMRTGMCKFGSNCKFNHPLRRRNQQPTKETKIQKEENSERHGQVDCKFYLSTGGCKYGKSCKFSHGRGKTAVTPVVEYNFLGLPIRPGEKECPYYMRNGSCKYGPNCRFNHPDPTAVGGVGGDSAHSHSPTPYGNDGPLPNMPPWSPQRTPDTPAAFLPVMYSPPPPPPQQHIPPPTPDWNGYQAPVPPPPPPAHVYPSSERGLPIPPAFFLNNPPTDANLYTHQHQNQHHQPQSQMTHQHQHQNQHQHPHQHHQPQSQMMGSDYPERPGQPECSYFMKTGDCKYRSGCKFHHPKSRITKTAPSVLSDKGLPLRPDQNMCTHYSRYGICKYGPACKYDHSPNSNSNSHSHSNSNSIPGEGYRSDGHLMQQSM >cds-PLY61939.1 pep primary_assembly:Lsat_Salinas_v7:5:158871151:158874665:-1 gene:gene-LSAT_5X68981 transcript:rna-gnl|WGS:NBSK|LSAT_5X68981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNRGNSSGSGSNSNISSSNRPEWLQQYDLIGKIGEGTYGLVFLAKIKSNRSKSIAIKKFKQSKDGDGVSPTAIREIMLLREITHDNVVKLVNVHINHIDMSLYLAFDYAEHDLYEIIRHHRDKVSQAINPYTVKSILWQLLNGLNYLHSNWIMHRDLKPSNILVMGDGEEQGVVKIADFGLARIYQAPLKPLFDNGVVVTIWYRSPELLLGGKHYTSAVDMWAVGCIFAELLTLKPLFQGQEVKATPNPFQKNGQHLPIFHIGKQISNTSKDTNIINHLTPIIYSPYSDSPGLYSVVHLSPKNPAYDLLSKMLEYDPRKRITAAQALEHEYFRMEPLPGRNALVPPQPGEKIVNYPTRPVDTNTDFEGTTSLQPTQQASGNVVSGGQHVMPTRNVPRPMHMAGMQRMQQQQQNMAAYGLASQAAMGGGGGAMNPGNIPMQRGVAAQQQQQQQQQQGTGKALKLSRDFTFEHLKSEKCKNKICCF >cds-PLY97098.1 pep primary_assembly:Lsat_Salinas_v7:4:73362199:73365450:1 gene:gene-LSAT_4X49501 transcript:rna-gnl|WGS:NBSK|LSAT_4X49501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSPNHIFSNLESIVDDRFLGNLSGFNGDFENVDGLFSKSSQSLVLDEEKGELVKAQPKVIGKKIGLISEEKSLAALKSHSDAERRRRERINAHLDTLRGLVPSNDKMDKATLLAEVIHQVKQLKINATHASKGLLMPEDTDELKVEKLNNGGLVFHTSFCCKKRPELLADVRRSLSSLKVKIERAELSTLGDHVKMVFDFTKTSNGTMDEDIISSIHDAFTSVMEKGSISPEYSPRTTLPNKRRRYSL >cds-PLY88029.1 pep primary_assembly:Lsat_Salinas_v7:MU040232.1:257510:258756:-1 gene:gene-LSAT_0X26840 transcript:rna-gnl|WGS:NBSK|LSAT_0X26840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGDFLSSFISLPLNWVNVKQSITVTQFGSYLGRTEKKQVQLKPKGLTKAFSQQSTMVDK >cds-PLY84393.1 pep primary_assembly:Lsat_Salinas_v7:8:77885056:77887782:-1 gene:gene-LSAT_8X55941 transcript:rna-gnl|WGS:NBSK|LSAT_8X55941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMHKQAQKPLMQITTKGMEKVVIVMGATGTGKSKLSIDLATQFQAEVINADKIQVYKGLDIVTNKITDEECNGVAHHLLGIVDPESDFTADNFARAALLAMKSIIQRGKMPIIAGGSNSFVEALVDDEKYEFKSRYDVCFLWVDVATVVHNQILAERVDRMVASGMVEEVRNMYNPNADYSKGIRRAIGVPEFDSYFRAEYSSSSDEKARAKLLEAAINETKINTCSLVNRQLEKIYRLTNVKGWKIHRLDATKVFQKKGKEADEAWAELVVGPALVIVSEFLYDQSQDFSDMGAGNLGSDIREVEKGTAVATATY >cds-PLY69381.1 pep primary_assembly:Lsat_Salinas_v7:2:3220387:3226411:-1 gene:gene-LSAT_2X660 transcript:rna-gnl|WGS:NBSK|LSAT_2X660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMRGPLISLLRLLAVIPAAYGSLPVLPPPSTTSDSTVSYQFHLPPPLPAKINSTPKQDAILVAAPDGTLYQVDISSGRILWSFPSGAPIYDSHQAVNLEDDEHNSSRQQQHNKFYIDCGDDWQLYIHAKNTKAEKLPFGVEELIGRTPHVSVDGVLLGSKKTTVFLVDPQTGKIIYTFKSNGGQTPEQNSIILKKDANKWNDPTTLDFDPVDHDQLLYIKRFDYELKYSSSTTGNVLWYLMFADFEASQCHGSNTFLGGMQDFCQTNYPVFRVRDPRELESIFMVSKLEMSLNGGQTFALPPPSDEMPKQIVPYSPPKPRHIYEIPPQIHHSNKTENPSRILVNLHGFLVAGVSFLIALIFYLWNLLRKEKKVNEVVSVIKVQNVTPSKKKKTRKSGGNNHIVSERKIGKLLVFNKEIGKGSNGTVVLEGIYDGRAVAVKRIVKVHHDVALKEIQNLIVSDQHPNIVRWHGVEYDQDFVYIALERCVCSLHDLILLHGDSSVELQSTMEVFKDLKLWKPNGYPSPVMLKVMRDIVTGLAHLHELGIIHRDLKPQNVLIRKDTSISYGSSGWQAPEQLKNERQTRAVDLFSFGCLLFFCITGGRHPFGDMLERDINIVNDRKDLFLVDNIPEAFHLISHLLHPDPQFRPKAAEVYNHPLFWDAETRLSFLRDASDRVELEDRETNSNLLKSLEATGPQALGGKWDEKLDNTLLTDIGRYRKYKYDSVRDLLRVIRNKLNHYRELPKELQSVLGVVPTGFEGYFSSRFPRLVMEVYKVLKEYCGDEEFLHKYYREGKF >cds-PLY89050.1 pep primary_assembly:Lsat_Salinas_v7:9:28373365:28375414:-1 gene:gene-LSAT_9X24201 transcript:rna-gnl|WGS:NBSK|LSAT_9X24201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQNGQGIDPAVLDDIINRLLEFRLARTVRQVQLSEAEIRQLCAAAREIFLQQPNLLELEAPIKICGDIHGQYGDLLRLFEYGGFPPDANYLFLGDYVDRGKQSLETICLLLAYKIKFPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTDCFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRNLPRPTDVPDTGLLCDLLWSDPNRDIKGWGMNDRGVSYTFGPDKVAEFLMQHDMDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPADRKPRFL >cds-PLY66603.1 pep primary_assembly:Lsat_Salinas_v7:4:313920616:313923086:-1 gene:gene-LSAT_4X157861 transcript:rna-gnl|WGS:NBSK|LSAT_4X157861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTPFGKGHRSLNLTLRKELNLYANFGPCYSLPGYKTRYDDVRFITIHENTEGEYSGLEHQPSSAGRRLVISSDGVCDALSDGHQPSRVHQVMTN >cds-PLY84689.1 pep primary_assembly:Lsat_Salinas_v7:2:150113393:150116807:-1 gene:gene-LSAT_2X75980 transcript:rna-gnl|WGS:NBSK|LSAT_2X75980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGACLSTTKISGSNSTTPSNADHRRTATATGKHNEGGSNVKSHTPTEIHRNQKQKQKTQQQNNHKNKRKEKSTSRKGVIPCGKTTDFGYLKDFDSHYSTGKLLGHGQFGYTYVAIDKSNGDRVAVKKIDKNKMILPIAVEDVKREVKILQALSGHENVVQFHNAYEDSSYVYIVMELCEGGELLDRILGKKDSRYSEKDAAIVVRQMLKVAAECHLHGLVHRDMKPENFLFKSKKDDSHLKATDFGLSDFIRPGRKFTDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLKNKPDFKRKPWPNISASAKDLIKKLLVKDPRARFTAAQALSHPWVREDGNASEIPLDISVLSNMRKFVKYSRLKQFALRALASTLDEEEISDLRDQFHAIDIDKNGAISLEEMREALAKDLPWKMKDSRVSEILEAIDGNTDGLVNFTEFVAATLHVHQLEEHNSEKWQHLSKAAFEKFDVDKDGYITPEELRMHTGLKGSVDPLLEEADIDKDGKISLLEFRRLLRTASISPRPRPNHHHHNNNNNNNNNNSIQIPSSHGVGVRVDVDSHTSTQG >cds-PLY64714.1 pep primary_assembly:Lsat_Salinas_v7:7:135157803:135159459:1 gene:gene-LSAT_7X80680 transcript:rna-gnl|WGS:NBSK|LSAT_7X80680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFRHHIPGGSPSSSSTTSSSSQNPSHRNGNQHHQNHNGSTAITTTNDNSTTNNNNNDPMHSWWESISKARSRIHLLSTLLPVPEPDSEDPNPISSLADSDAPARSLISSLIAYTAVSSSLTSSHDSGSGEDSLCNWLYDTFLSSDPDLRLVVLAFIPLIAGLYLSRIHSLSSLTPSLAGFEAVLLALYSSETKSRAGKPIMISIPDLSQPSLYHSPRVSNSKKKPNSAKVNPNSGSQPSRPTVGILSPPLEPQTAIKSTKRATIVGVALDCYYKQISQMPSWSKLDFCKFAADWAGQDCACKSEFDQSSEVTNFTDGIVEDGIDIEGNVVEEMKNLEIQDGDSDKVVARGTRILLPWELLQPILRILGHCLLGPLNSNDVKDTASTAVRCLYARASHDLVPQAILATRSLIQLDKRAREAAAMAAASTANTPGSNANTPSKAKKPEILLVSK >cds-PLY84228.1 pep primary_assembly:Lsat_Salinas_v7:7:78135011:78136162:-1 gene:gene-LSAT_7X55921 transcript:rna-gnl|WGS:NBSK|LSAT_7X55921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHIDLSDLEEESRSETWNLRMLEEVGILVPGDGELVLAPPRGCTPEASAQRDPNDIPDTSVARHNLNGFLAQCDPNGIPTTNVARWDPNGIPDTVVGRHYPNGFLAQCDPNRIPAINVARCDPNGIPATSVAQRDTNDIPDTVVGRHDSNGFLAQCNPNDIPASNVARCDPNGIPATGVTRCDPKSIPNTSVAQHDPNGFLARRDSNGIPVTNVARCDPNSIPDTVCHPNVIPVTGFAQRNPNSIPAVSVVHPLTSTSQQHGRVPATNALHIFEDVRAKQRVIRNNQKRMMTTLDGLVDDISEIKRVVRLLAARHQIPTRLIRSSQADSVCSTNRYRPPY >cds-PLY89316.1 pep primary_assembly:Lsat_Salinas_v7:2:51361347:51365801:-1 gene:gene-LSAT_2X24380 transcript:rna-gnl|WGS:NBSK|LSAT_2X24380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASVTSKSGKALVSRQFVDMSRIRIEGLLAAFPKLIGSGKQHTYVETENVRYVYQPMEGIYLLLVTNKQSNILEDLDTLRLLSKVVPEFSASLDEEGICKHAFELIFAFDEVISLGHKENVTVAQVRQYCEMESHEERLHKLVLQSKINETKDVMKRKANEIDKSKIERGKLEKGGYSSLQSMSSMGSMGSIGRMDNSFSNDMGISSGNTFGGSSGFGLTSDVDSFSTKSKGRPAASVAAPAKGMGMKLGKSQRTNQFLESLKAEGEMIVEDVGPSTNPTRPAAQPLTDPITFTAEEKLNVTLKRDGGLSNFDVQGTLSLQILNQDDGFIHVQIESASNPEIKFKTHPNINKELFSNENILGSKDPNRPFPAGQSGDGLGLLKWRMQSKDESAVPLTINCWPSVSGNETYVSIEYEASSMFDLQNVVISVPLPALREAPNVRQVDGEWRFDSRNAMLEWTILLIDNSNRSGSMEFVVPPADTSVFFPISVRFSATSTFSSMKVASIVPLKGGAPPKYSQRTQLVTESYQVV >cds-PLY99818.1 pep primary_assembly:Lsat_Salinas_v7:MU037944.1:306304:319755:-1 gene:gene-LSAT_0X21141 transcript:rna-gnl|WGS:NBSK|LSAT_0X21141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGVDKKKRVVVIGGGVAGSLLCKTLQKHRHFDFTLIDSKEYFEVTWARLRSMVEPSFAKRSVINHHEYLQGASIITSDANGIEDNEVSTTEGRLISYDYLVIATGHMGSKCVTKPEKLREYEADNEKIKSSESILIIGGGPTGVELAAEIAVDFPTKKVKLVHKGSRLLEFIDEKGSKKVLDWLTLKKVEVILGQSVDLTSSSDDGTYKTSEGETIMADCYFKCTSDPIGSSWLKETSLKDSLNDSGRLMVDANFRVKGFENIFAIGDITDVAELKQGYLAQKHALVVAKNLELLINGSDGGNMRRWPTSQRSAASHTGDPSPISAPDIRSPASAPATDFSFYGLLILGSCVHHHLQAKGSVSNSTMEFISIVVIITTLLLVRILYVISQGSKPICNTSSKHLSTLIVLGSGGHTAEMINLLSVMQNDRFAPRFYIAAVTDNMSLQKARVFETTLADKISLQVSDSTKFMQIYRSREVGQSYITSIGTTLFALCHALWLMLKIRPQVILCNGPGTCIPLCAIAFIFKVLGVRWSYIFYVESIARVKRLSLSGLLLYKLHMADQLFVQWPQLQKQYPRARYVGRLM >cds-PLY90981.1 pep primary_assembly:Lsat_Salinas_v7:8:84016753:84018812:-1 gene:gene-LSAT_8X58340 transcript:rna-gnl|WGS:NBSK|LSAT_8X58340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVKPPPPPNTNDQSIINAPPEKRTRVPSAYNQFIKQEIQRIKATNSNISHREAFSAAAKNWAHFPHIHFGLMLEGNNHQAKLEQQSNMQGSKKRLKSTKESFDK >cds-PLY99092.1 pep primary_assembly:Lsat_Salinas_v7:8:108927287:108929208:1 gene:gene-LSAT_8X155060 transcript:rna-gnl|WGS:NBSK|LSAT_8X155060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKPSILLVLDEPTNYLDIPSKEMLEIDFLNFDNEVDNDVEEPDEDLSDTEENRLVDDSGWSSHTKAVGKYLQNIFNKEEENRRKALRMNNLLNGKTCKEESRMFFTTLGKKIKDDFLNTSVQDLVGRSRRFGHLGLAMNFITIDDRFNLYRIEHELGTEIKQIPPLIDQAIXLFI >cds-PLY69259.1 pep primary_assembly:Lsat_Salinas_v7:2:128797311:128799825:1 gene:gene-LSAT_2X60681 transcript:rna-gnl|WGS:NBSK|LSAT_2X60681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFFPISSNIYATDVTPLLSLSQGSIFGVEFNDLILFQQSNLGFSWLQSAEFAEGLKNKERKNQKALLVILSVGESSIDNIIIMTLVENVASNNRVESGDVDQSKSSISISSFHQQKKMIPNGNGNGNTGLNHHHHHHHHQMKVNGVDHHDHEEEGFKKEMRDLAEMLSKLNPMAEEFVPPSLTNNNYTGALILPPSGATAAHFGYSVVNDFLLQTNQTPFPNINGVSTRRKKGNFTHGKRRMNNRTTMAQREDVIRRTVHVSDIDQQVTEEQLAALFITCGQVVDCRICGDPNSVLRFAFIEFTNEEGARNALNLAGTMLGYYPVRVLPSKTAIAPVNPTFLPRSEDEREMCARTIYCTNIDKKVTQADVKLFFESFCGEVYRLRLLGDYHHSTRIAFVEFVMAESAITALNCSGAVLGSLPIRVSPSKTPVRPRAPRPTMH >cds-PLY98977.1 pep primary_assembly:Lsat_Salinas_v7:7:49324772:49325209:-1 gene:gene-LSAT_7X34980 transcript:rna-gnl|WGS:NBSK|LSAT_7X34980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDAYGGMGIQLDGKMSQTFQKSFVQVQNIFDQNRLLINEINQNLESKIPDNLSRNVGLIRELNNNIRKVVDLYSDLSSNFTKSMDVNNSSEGDSRLDSKKRSRLS >cds-PLY86181.1 pep primary_assembly:Lsat_Salinas_v7:3:82550475:82551004:-1 gene:gene-LSAT_3X63621 transcript:rna-gnl|WGS:NBSK|LSAT_3X63621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHLYIFLLIFQLSFNGVGMELKEDANFAIKLSFNGVGMELKEDANFAIK >cds-PLY95347.1 pep primary_assembly:Lsat_Salinas_v7:5:267503597:267505202:1 gene:gene-LSAT_5X139101 transcript:rna-gnl|WGS:NBSK|LSAT_5X139101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGAPIRVQSIAEKDLKLEVPPQYIQPPENRPSKPSNGNATDHKIPMINLGGDRDVNLLCKEIGSACSEWGAFHVINHGVSTTLLDQIRKVGSSFFEDFPMTEKLRYACDSTSPASEGYGSRMLVASDDAVLDWRDYFDHHTFPLSRRHPSRWPHFPPNYREVIGEYSDNMKVLALKLLGLISTSLGLSSSFIEESMGEVYQNITVSYYPSCPQPELTLGLQSHSDMGFITLLIQENVAGLQVSKNDGWVTVNPVSHAIFVILGDQTEIITNGVYKSAVHRAITNGEKARLSVATFHDPAKTVSVSPAPGLQPPPKYHEVVYGDYVQSWYTKGPNGKRNIDALLI >cds-PLY70441.1 pep primary_assembly:Lsat_Salinas_v7:1:73981882:73983654:-1 gene:gene-LSAT_1X62921 transcript:rna-gnl|WGS:NBSK|LSAT_1X62921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKNGNNNNNNHHHHNNDNSDNSNNNNENEDNGGKKQKGSGIVVVVLKMDLHCEGCAGRVVKAVRSLDGVESVRIGDSELNKLTVIGNLDPAKLRQKVEEKTKKKVELISPATKKNNDGENNNNRSDGGDGGGGGGDNKKKQQKSSSENQQPPSDKAKNAVKKDEKKPKELSVTTAVLKVPLHCQGCVRKIHKIISKTKGFIEMSIDKNKDLVTVKGATDMKMLAEVLKQKLKKAVEIVPAKKDGGDEKKGKGGGGNDGVEKGGGGGGGGGGGQKKGKKGGGGDDGDGNDGTGGKMEAYKMESFGGPYPHFEYGSGYADYVHAPQLFSDENPNACSVM >cds-PLY76278.1 pep primary_assembly:Lsat_Salinas_v7:8:32934778:32937519:-1 gene:gene-LSAT_8X26121 transcript:rna-gnl|WGS:NBSK|LSAT_8X26121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRAPVAGLSIASTTSASIQDSSTKIGFCRIPTNKTSFFGSGVGALNVTLRLDHPRNTRCYGIRMNLFDRFARVIKSYANALVSTFEDPEKILEQAVIEMNDDLIKMRQATAQVLASQKRLENKYKAAEQASQDWYKRAQLALSKGDEDLAREALKRRKSYADNAASLRTQLDQQKGVVENLVNNTRILESKIQEAKSKKDTLKARAQSAKTATKVSEMLGNVNTSTALSAFEKMEEKVMTMESQAEALNQLTSDDLEGKFAMLESSSVDDDLASLKKELSGTTKKGELPPGRTSTVSNSKAAYPFPDVEIEKELNELRQRTRDL >cds-PLY89789.1 pep primary_assembly:Lsat_Salinas_v7:1:7312409:7318661:-1 gene:gene-LSAT_1X6300 transcript:rna-gnl|WGS:NBSK|LSAT_1X6300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKGRLVAGSHKRNEFVFINADEVGRVTSVKELSGQICKICGDEIEISVDEEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSPRVEGDEEEDEFDDLDNEFDVRNCEAVLSSRLNTNPESANIYGFATPSEMDEATLNPDIPLLTYSQEDDEVSPEKKAIIIPPIMSRSKRIHPMQFSDTGSVVSLPPRPMDPKRDIAVYGYGTVAWKDRMEAWRRRQSDKLEMVKHNVNSGYELDGDMDDCDLPKMDEGRQPLSRKLPVSSSKINPYRMVILMRVAILGLFFHYRILHPVRDAYGLWLTSIICEIWFAVSWILDQFPKWFPIERETYLDRLSLRYEKDGKASELAPIDVYVSTVDPLKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIEPRAPEWYFAEKVDYLKDKVHPAFVRERRAMKRDYEEFKIRINGLVAMAEKVPEEGWTMQDGSPWPGNNVFLGNNGVLDVEGNKLPRLVYVSREKRPGFDHHKKAGAMNALIRVSAVITNAPYMLNVDCDHYINNSKALRESMCFMMDPINGKKICYVQFPQRFDGIDQHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGHDAPAKKKPPGKTCNCLPEWCCCCFKSRKKKSKGNAKKKTRKMKKMREASKQIHALENIEEGIEGTSGEISSLAPQIKLEKKFGQSPVFIASTLLEEGGVPSLASSASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEILLSKHCPIWYGYGCGLKPLERFSYINSVVYPLTSVPLVAYCTLPAVCLLTGKFIVPEISNYASILFMLMFLSIAVTSVLEIQWGRVGIDDFWRNEQFWVIGGVSSHLFALFQGLLKVLAGVNTNFTVTSKGGDDGEFSELYLFKWTSLLIPPLTLLTFNIIGVIVGVSGAITNGYESWGPLFGKLFFSIWVILHLYPFLKGMMGKQSGVPTIIVVWSILLASILTLLWVRVNPFVGKGGISLEPCGLDCD >cds-PLY62442.1 pep primary_assembly:Lsat_Salinas_v7:3:196181547:196183868:-1 gene:gene-LSAT_3X117401 transcript:rna-gnl|WGS:NBSK|LSAT_3X117401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESVQTIIKTLLKNPTQIKSKSQAKQIHAHIVKSFPIFTTTLLSIYSKLNLLPESLLLFNTLPSPPILAWKSIIKCYSSTACFSESLNCFVKMRALGIYPDHNVFPSVLKSCTHLMYFKFGESVHACIIRVGLEFDIFTGNALLSMYSKLQRSSALQVFDESPERMTSDQNESILVSNNNNNKQLDLQMQSVRKVFETMEDRDVVSYNTLILGYAQSNMYNEAMLMIKDMGNANLKPDAFTLSNLLPIVAKHMDVWKGKEIHGYGVRHGFDQNEFITTGLSDMYANCNMVQDSYHLFSSLPKKDIVSWCSIISKHVQNGLFDEGLNLFRQMLTSNIKPVPISISSITPACAHLTTLPLGQQLHGYIIRNHFDKNIFISSSLVHMYAKCGNIKLAKKIFYQMNHHDLVSWTSMIMGCALHGHVHDSITLFEQMETELIRPNSVAFLAVLTACSHGGMVNEGLKFYEKMVKDYKLIPEFEHYACVVDLLGRAGELEAAFGFIKSLRENKRGGLWLPLLAACRVHKNVELGEKIEGYLWNFDDDEEKGAYVLLSNMYFDVGRYEDAGKVRGMMGKKMNGKEPGCSWIGIGNKVHAFISGDGFHSDYDGVIEALDILLQHMEKEGYVADKTCI >cds-PLY84843.1 pep primary_assembly:Lsat_Salinas_v7:1:59987917:59989398:-1 gene:gene-LSAT_1X52500 transcript:rna-gnl|WGS:NBSK|LSAT_1X52500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTDLHFVLLPLMAQGHMIPMVDIARILAQTGTTVTIITTPVNANRFKSVIDRAIEAELNIQMLELQFPFAESGLPEGCETFDLLPSAAHVVNMLKAMKMFEEPFERMLQVLCPPPSCIISDGAFPWTADVAKRLNIPRLVFYGPGCFPFLCIHILNKTNILDEIKSNSEYFALPDLPDHIEVTKPQASGWGKGNRKETKEVFEQTQEAEKATFGIVVNSFEELEPIYVEAFAKAKDTKVWCIGPVSLCNKSFQDLAERGNKAEHDCMKWLDSREVKSVVYVCLGSLSHASTEQAIELALGLELSGIPFIWFIRQTREDFEKWLLEERYEERIKDRGLMVRGWAPQILILSHQAIGGFITHCGWNSTLEGICAGIPMVTWPHFAEQFLNERFIIDVLKIGVKIGAEVPITFIEKDTLEVMVKRKDIRTAVEVLMNEQEEGEARRMRAREVGEMAKKAMEEGGSSYLNIKLMIQAIAEEVAKKNKPIEDIV >cds-PLY64338.1 pep primary_assembly:Lsat_Salinas_v7:4:22593457:22594798:-1 gene:gene-LSAT_4X14841 transcript:rna-gnl|WGS:NBSK|LSAT_4X14841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:Projected from Arabidopsis thaliana (AT1G68230) UniProtKB/TrEMBL;Acc:A0A178WQE6] MLIYSSSEAEDSNDHNAPTTKLFGRERSVHSLLGGGQVANLLLWRNKSLSAAILLGITMIWFLFEVVEYNFVSLICHISILVMLIVFLTYTAAKFANWDLPDIHEITIQESVFRWLYRKVNWVLLRFYEISSGENFIEFFLVIGSLWMISVLGSYFSSLNLLFFCFICLGTLPVLYEQYEQEVDRLIRKGSKDAKKVLKKFDSKVLNKIPRGRVKDKKRK >cds-PLY95019.1 pep primary_assembly:Lsat_Salinas_v7:5:227609661:227612733:-1 gene:gene-LSAT_5X107881 transcript:rna-gnl|WGS:NBSK|LSAT_5X107881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYEKVKNPDVEENLLTCLAELFTQISTQKKKTGVIAPKRFVQRVKKENELFRGYMHQDAHEFLNFLLNELVDILEKETKGTKEHSSPPEKIPNGIHMPQANGVRKEPLVTWVHKNFQGILTNETKCLRCETVTARDETFLDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKQPHILVIHLKRFKYMEQLGRYKKLSYRVVFPLELKLTNTMEDADCEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTSFGSAQEYASNTDHGYILFYESLAGNNNNITSC >cds-PLY78928.1 pep primary_assembly:Lsat_Salinas_v7:8:2993569:2997108:1 gene:gene-LSAT_8X2441 transcript:rna-gnl|WGS:NBSK|LSAT_8X2441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGGKWWCFDNRSSGGDVADLNPILLVSGVGGTILNSKPKSWFGVTTRVWVRILLADLEFRKRVWSLYNPDTGYTEVLDDSSDIVVPQDDYGLYAIDILDPSYWIKCLHVTDVYHFHDMIDMLIKCGYKKGSTLFGYGYDFRQSNRIEQSMDGLKEKLETAYKASGGKKVNLISHSMGGLLVSCFISLHSDVFAKYVNKWITIATPFQGAPGCINDSLLTGLQFVEGLESYFFVSRWSMHQLLVECPSIYEMLPNPEFQWKKQPEIVVWRNRSENGQDSAKLETYDTSGCVGLFEEALKGNEIEYNKKTIPLPFNSSIYKWAATTRKMLNSVQLPEGVDFYNIYGTSLDTPFDVCYGSETDPINDPSEICHTSPEYSYVDGDATVPAESAMADGFPAIERVGIPGAHRGLLRDETVFEYIRKWLGLQQQSNTTRVNVKTSKVVDVGLG >cds-PLY97448.1 pep primary_assembly:Lsat_Salinas_v7:2:43579947:43580486:-1 gene:gene-LSAT_2X19540 transcript:rna-gnl|WGS:NBSK|LSAT_2X19540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCTDTIHGAAVGDGISKCVKGLSEEEEELVELTAAPPRSWYSGLTPRKLLGLVESNPLLAAEVLIKLIEGPKISEYLTALLNMDMSVQSMEVVTRLTLAVELPTEFVHMYISTCMSSCRNIKDKNVQNRMVRLMCVLLMSLIRNKIINDEDLLIEVRAFCKEFSRVKEVAGLFRVLKAL >cds-PLY90969.1 pep primary_assembly:Lsat_Salinas_v7:5:287549827:287552715:-1 gene:gene-LSAT_5X151701 transcript:rna-gnl|WGS:NBSK|LSAT_5X151701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHEKKKRQKKKKNKQGKTNERPPTGVGELSSENDNHTNVNNQNLNSENADVHNKGLHQRVLDSDRESISGAETSHSIEAESYSFNREASIQQKIKDLKEQLEVYVLREADLERNLSQSQKEINLWHHKETEFETRILQLQTEKDSWLQKETGYQEKVDQLVEEINTMNKDNARLQAQVIELEASRHDISHQNQQLKEHVSVLQSKIQDLENSMDSYLSSEKSKSKHVVMEDEEMKTQLESARGLIEKLVSENEKLIEKVNSLNGEMTTTDDPMVTNSEVDDETSDTETTTDDKIMESMKKDDVNRVSMASGEIVQIPLDESDVLLERKGGGDVDVDMDVVPLIDAPLIGAPFRFISLVARYVSGADLVEKT >cds-PLY94859.1 pep primary_assembly:Lsat_Salinas_v7:2:180924773:180925138:1 gene:gene-LSAT_2X104081 transcript:rna-gnl|WGS:NBSK|LSAT_2X104081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEFEESEVIFVEVAVQSKQDNHYNVDVRQGNELKRKRKKKRKSSMPINIPENKLNLHEYEESVDDFDLFEDDDERGERIVPPHVIWHRRIVENVAYSIYTGRGTTLKIRDFILNLTGFL >cds-PLY91506.1 pep primary_assembly:Lsat_Salinas_v7:7:143630060:143631682:1 gene:gene-LSAT_7X85921 transcript:rna-gnl|WGS:NBSK|LSAT_7X85921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PSAO [Source:Projected from Arabidopsis thaliana (AT1G08380) UniProtKB/TrEMBL;Acc:A0A178W5Y5] MAAATSTVVGLATSSLSSPSSLNRRQTTLNSAFLRSTTTTRNPLRVSHASGGKYTCFERDWLRRDLNVIGFGLIGWLAPSSIPAINGDSLTGLFFGSIGTELAHFPTPPALTSPFWLWLVTWHLGLFICLTFGQIGFKGRTEDYFEK >cds-PLY88466.1 pep primary_assembly:Lsat_Salinas_v7:8:87763115:87763603:-1 gene:gene-LSAT_8X63040 transcript:rna-gnl|WGS:NBSK|LSAT_8X63040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVTGSLRRDSPLKSNFEATGNPGVNVNISNMDTKINSSDHPPTSIPEKTLVIPSVVSHTESNKEEVRTPDIPMNLSDKDTHVDMDEGIHNNESPVTSTIETSTINTSTVKTYTIETSTTLPPISSPITTSVPVSTISLIYSAIMHEPVTTYFLMSINRG >cds-PLY95411.1 pep primary_assembly:Lsat_Salinas_v7:9:190153037:190155327:-1 gene:gene-LSAT_9X117361 transcript:rna-gnl|WGS:NBSK|LSAT_9X117361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEKDSWGVFAASGDADSAKDMSTIQSGDAKISSNITSGSELCTDDGILKDNSAAVGDNNSYNYPPSHNKLTTLQPDLNLFSNDGDDKESSDLLYYGWPDIGNFDDMDRMLSNCDSSFGLGVTGNDDELVWFTSEDPAGGYEEPMKMELKFPCTETNTPPQNNGSQESDNKRSRIVSESKDEFKPKDNKGYMQNTTYLHDPSGPMMSCTKFENKGPTCSSQKETSNESMVSYGDPLFQGTGSENYGGFEPSFGGNGKQMDMMMIQASGSDLIPSQKKIESQNDIRELKKGSSVGLGSLDVPEGSSISTELDEISLEATSFRQLQQVMEQLDLRTKLCIRDSLYRLARSAEQRHNNHAGISGPLLTDGTNNGFMDMETDTNPIDRTIAHLLFHRPSESFNMPTTSPLKPNAKERRNESDDKVSSSRKN >cds-PLY84874.1 pep primary_assembly:Lsat_Salinas_v7:7:110716536:110717872:-1 gene:gene-LSAT_7X69360 transcript:rna-gnl|WGS:NBSK|LSAT_7X69360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFESNNISEDNNNNHGWQKVTYAKKNRKNQPKQQVPQPKALPNGSVVAGNDNVFTAIEKKSEERRKVIEAQRLSIYDPAPPPVKSSRKKNYSDYEDSDEEVANGVAGNDDVEEKKKKPKKVKKPKVTIAEAAEKIDVDDLASFLLEVTTSFEAQQDIQLMRFADYFGRAFSSVTASQFPWVKLLRESPVAKVADNPVSHIPEAVYKTSVDWINKLSMEALSSFLLWSLDNIHADFAIQQGGSKGSKKVAQKTH >cds-PLY83529.1 pep primary_assembly:Lsat_Salinas_v7:8:189181423:189181668:1 gene:gene-LSAT_8X122421 transcript:rna-gnl|WGS:NBSK|LSAT_8X122421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDVVRDVALLITSSSESEEKFLVEAGTHLIEWKARNRISNSYTKISLMDNRIRKLPDHQLHFPLLDTFLNYKEKRSFDYS >cds-PLY89414.1 pep primary_assembly:Lsat_Salinas_v7:4:63229649:63230524:1 gene:gene-LSAT_4X42801 transcript:rna-gnl|WGS:NBSK|LSAT_4X42801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARNQNDDDASTSNRKKRIKTCDNSGVGPWSELNHDVLFLVMMQLSFVDFVALSGVCKSWRSFALRKRFMASRPPMLMSISDTSCMNSCYCHLKDFDGRNFKTLLPHSVGRRCVGLTCGYLILLSFITNDYWLVNPITRHELHFPAIPNLKNLVLLKCILVFSNIINRWVFLVIDKLYFNIWFSVEGKGAWNHVSSTYPILDLHAFKGKIYARSNTWRVYEMKLNPKPKLTLLKIKNFPKSKVGNPVFVSSDENLYVMDHGLKDPIEELDFGVMKWVSPKEKTIGEYSFFL >cds-PLY70267.1 pep primary_assembly:Lsat_Salinas_v7:2:93043116:93043728:1 gene:gene-LSAT_2X40920 transcript:rna-gnl|WGS:NBSK|LSAT_2X40920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHLAEMDAITLTKLILDANTRAAENSDACKDLFRRIKFIGNLLVELRVFDLYNASAIREPLVDLEEALRRTYVLVTKSQEHNYAYKFALGWKINRKFKKTLKQIDTIISIITLIFFVGHNRSPQIINSTDNDIPLQEGDHRDKIAIEK >cds-PLY84453.1 pep primary_assembly:Lsat_Salinas_v7:7:71363178:71363444:-1 gene:gene-LSAT_7X53061 transcript:rna-gnl|WGS:NBSK|LSAT_7X53061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAWRRGVVVGRSSGGRRKKKPVMVVFKINDNRKSMERKLRQLQRIIPGGGVEGIDMETLFQRIEAHISLLESRVDLLRSICSLFSPS >cds-PLY95065.1 pep primary_assembly:Lsat_Salinas_v7:5:226462383:226463030:-1 gene:gene-LSAT_5X106261 transcript:rna-gnl|WGS:NBSK|LSAT_5X106261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAQNDLHITVPEPEDKFEQKHGEMSVEEDCDYSQRGQWLRAAVLGATDGLVSVASLMMGVGAVKEDVRAMILTGFAGLVAGACSMAIGEFVSVSSQRDIEVAQMKRDKRISVNEEESEKEALPNPIQAAAASALAFMLGAIMPLLAAAFIMDHKVRLGVVVATVSLGLVVFGWIGAFLGRSPVVKPCFRILVGGWMAMAITFGLTKWIGSSTGL >cds-PLY71580.1 pep primary_assembly:Lsat_Salinas_v7:1:115723817:115732099:1 gene:gene-LSAT_1X87740 transcript:rna-gnl|WGS:NBSK|LSAT_1X87740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGINLENFLIPLKEIHLATQKFSPMSRMGYDGFGAIYKGQLSGHWQNRMATFKRFDPTGPGGEDVFVTEVQMRANFNHENITAFIGYCEEGNEKIIVSEYSLNGSLDAYLKDPNKRRSLTWVQRLMICIKAATGLQYLHSGLGENNQVIHRDVKSANILLGDNLEAKVCGFGLSLLLDQNQPQLYKRAAGGTNFYLDPIYNESGIVRIELDVYSFGVVMFEMLSGMLANEKRSISENQPQSLLNLVRRYYDEGLEVIIDPYVRDDINIRSFRAFKEIAYQCISWNLGNRPTMDMIIKRIEEALNIELKKTTSTISRQPPNLESFLIPMREIIWATSYFSPETRIAVTRHGAVYKGQLSPLWENWPVAIKRFGHREENEFLSKIMLISSLQHDNIQLDAKRDFTNHLQMMPNFYHPNIITFIGYCNEGNEMIMVSEFAKNGSLHAFLENYDQRRFLTWALRLEICIGIAQGLDYLHCGKGEAGRVIHRDISSANILLDSNLKAKISGFGLSILVDQNQPQDDVGALGNEYYLDPVYNESGVVNMELDIYSFGVVLFEMLSGMLAYKRKRVGGDKPERLISLVRRYSDDNLDCLIDDTIKNHININSLRTFKKIAYRCISLNLSDRPSMKRIIKRMLEALQFQDKGKIRRPSLTILVLLYTQYSESTSTITTPSHRYQNIETYLIPLKEIKLATRDFSQEMRIGGGGFGTVYKGQLAHQRVQNCMVAIKRLDPTGHQGKTEFLTELNNGSLDYHLVNLEKKRNLTWLLRLKISLGAARGLDYLHTGLGKDNRVIHRDVKSGNILLDEYMAAKICDFGLSKEGPRNQQGTQLYTKVAGTNFYLDPVYQESGILSKESDVYSFGVVLFELLSGRPAYCLTRFVDGNPQPLINLARRYHNDGPEKLIDPLIRDQIESRCFHTFIEIAYKCISYNSRERPTMDTVIDAIEDATDFQISKR >cds-PLY67176.1 pep primary_assembly:Lsat_Salinas_v7:6:162146183:162151599:1 gene:gene-LSAT_6X99280 transcript:rna-gnl|WGS:NBSK|LSAT_6X99280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKISVANHVLLVLTLTMMLIVNGDKQGRQEIGLGAILDMNSSLGKSIRISMLMAIEDSHIGDTNNPIIIVPHFRDSKNDNIDAASAAIDLLKNTQVTAIVGPQQSSQTQFVLDIAQRSKVPIISPVTNPNISPIRNPYFIRIAQASSTQAQPIAALVKSFDWKKVVFVYEDTDFGREPIPYLSDAMVNIGTEVMFRTLLSPSSSDDEILLQLHKLKTMQTRVFVVHMLPDLASRFFKKADEAGMMAQGYAWIITDVLTCFLHHVEPLSLHSMQGVLGVKPYIPETNKLTNFERRWKRRFHKEYPDTDHRVELDMFGIWTYESIIGLATALKRVDTELSTTFKRSTNASTDLDAIGTSEMGPKFLSMIRDTRHRGVSGDFQVVNGQLQIPAYHIVNLIGNKGEKQIGFWSSRNGISNRIINNGSSDYTTNKDNLGAIIWPGDTSEFPKGVFGIPTGGDIFLTVGVPANGGFVEFIEAEIDPNTQEVKASGFVIDIFKAVIDTLPYTVHYNLTPYDAPDYNDLLHQIVLGNFDMVAADVTIKWNRSRTVDFTLAYSESGVSMLVPAKVDDGKNIWIFMRPLETKLWITIGALFMYTGVVVWVIEHRVNKEFRGPPHQQIGLVFWFSFSTLFFAQKEKMVCNLSRFVVMVWLFVVLVLTSSYTASFASMLTVQKLQPTVTNIYELIARGENVGYQDGSYVRDMLKDMGFPSSKLKNYSSFQEYADALSNGSKNNGVAAIVDDVPYLKMLMAKNCNKYLMVGPTYKSAGFGFAFPKESRLVDDFSRGILKVIEGQMSAISNKWFGDAAHCPDQNADVQTFDKLTVASFKGLFWISGLSSTYALVVSVFKFLYEKKEILISQESFYRKMTSIIQSFDEEKDRKPSETGTIHPLPSPAISVDQI >cds-PLY66043.1 pep primary_assembly:Lsat_Salinas_v7:6:49629129:49631111:1 gene:gene-LSAT_6X37120 transcript:rna-gnl|WGS:NBSK|LSAT_6X37120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFESNNISEDNNNNHGWQKVTYAKKNVKNQPKQQVPQPKALPNGSVVAGNDNVFTAIEKKSEERRKVIEAQRLSIYDPAPPPVKSSRKKNYSDYEDSDEEVANGVAGNDDVEEKKKKPKKVKKPKVTIAEAAEKIDVDDLASFLLEVTNPVSHIPEAVYKTSVDWINKLSMEALSSFLLWSLDSIHADFAIQQGGSKGSKKVAQKTPSKSQVLVSSLLAIQCGVPSFINS >cds-PLY97524.1 pep primary_assembly:Lsat_Salinas_v7:5:238304338:238310033:-1 gene:gene-LSAT_5X112961 transcript:rna-gnl|WGS:NBSK|LSAT_5X112961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMERAVLLRSISSCTSHACIRTFSRSSHRLSSNRHRLIPNIHRRSPLRRHLRQISTALPSRLHFSSRFSPVTPKAIATSSPQFSPDAIGAHDDVAEKLGFEKVSEQFIEECKSTAVLYKHKKTGCEVMSVSNDDENKVFGVVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVEDIKTFQQEGWHYELNDPSEEITYKGVVFNEMKGVYSQPDNILGRTSQQAVFPDNAYGVDSGGDPQVIPKLTYEEFKDFHRKYYHPSNARIWFYGDDDTNERLRILSEYLDLFDASPARLESKIETQKLFSKPVRVVEKYPAAEGGDLKKNHMVCLNWLLSDEPLGLETELALGFLDHLLLGTPASPLRKILLESSLGDAIVGGGIEDELLQPQFSIGLKGVSEENIQKVETLIMDTLTNLAKEGFNMEAVEASMNTIEFSLRENNTGSFPRGLALMLRSIGKWIYDMDPFEPLKYQEPLAALKARIEKEGSKAVFAPLIEKYILNNPHLVTIEMQPDPEKASQDEAVERESLEKVKKSMTEEDLAELARMTHELKLKQETPDPPEALKSVPSLSLQDIPKKPTQIPIEVGDINGVKVLQHDLFTNDVLYTEIVFDMSSLKQELLPLVPLFCQSLLEMGTKDLDFVQLNQLIGRKTGGISVFPFTSSKRGSEAPISHIIVRGKAMSARTEDLFNLVNCILQDVQFADQKRFKQFVSQSKARMENRLRGSGHGIAAARMDAKLNSAGWISEQMGGVSYLEYLKDLEEKVEQDWNGISNSLEEIRKTLLSKKGCLVNLTSDGKNLKNSEKHVGKFLDLLPVTSPVASSSWNARIPSINEAIVIPTQVNYVGKAANVYETGYQLKGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDSHSGVFSFLSYRDPNLLKTLDIYDGTCDFLRQMEMDDDTLTKAIIGTIGDVDSYQLPDAKGYSSLLRYLLGITEEERQVRREEILSTRLNDFKEFGDAIDAIKEKGVVVAVASPDDVEAANKERSNFFQVKKAL >cds-PLY70104.1 pep primary_assembly:Lsat_Salinas_v7:3:10977476:10980010:1 gene:gene-LSAT_3X6081 transcript:rna-gnl|WGS:NBSK|LSAT_3X6081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSGEEQVHLMKQRQSFRNLWHTDLMSTMAADTPYCCFALFCGPCASYLLRKRALYGDMSRYRCCGGYMPCSGKCGERKCPKFCLCAEVFFCFGNSVASTRFMLQDEFHIQTTKCDNCIIGCMVCLQQIACIFSIIACLLGSEELSDASQLLNCLADLVFCTVCTCMQTQHKVELDKRDGKFGIRPMDVPPIQEMSRIDQPYPPHVHQYGPPSYGYPLQHQHQHHQPGHGYPHMYPPPSGYPPAGYPPPQGYPPYPPPQGYPPYASPPPPHHQGNQPQPPNPPTNQPPGPGQYK >cds-PLY83640.1 pep primary_assembly:Lsat_Salinas_v7:4:40269166:40270682:1 gene:gene-LSAT_4X26041 transcript:rna-gnl|WGS:NBSK|LSAT_4X26041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYDKSIVTILIPILSLLVSLNYIPCKAQLSSTFYDDTCPNALSTIRTSIGAAVTSDRRMAASLIRLHFHDCFVQGCDASILLEVAPSEKDAFRNGGVRGYEVIDDAKAAVESVCPGIVSCADVLAVAARDASVEVGGPSWSVRLGRRDSLTTNPDEANNILPLGSMGLDVLIPIFAQKSLSVRDMVALSGAHTIGQARCVTFRARIYANDSNIDPEFASNLRTNCPQTGGDGNLERLDLVTPNTFDVNYFRNLLERRGLLTSDQALFNGDSTDSIVQEYVDNPALFESDFAAAMVRMGDLDPLTGANGEIRTLCTALN >cds-PLY66507.1 pep primary_assembly:Lsat_Salinas_v7:4:339315273:339315581:1 gene:gene-LSAT_4X167560 transcript:rna-gnl|WGS:NBSK|LSAT_4X167560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQTKLILLILTLTFTIYLQCVECRHLKSITHHTQTIVKGGVHNTAAYPNANEALLTKPTSPPSDQTLVASQLLVPPPPHAIEDFRPTTPGHSPGAGHSIHD >cds-PLY97568.1 pep primary_assembly:Lsat_Salinas_v7:5:237707940:237710546:1 gene:gene-LSAT_5X112540 transcript:rna-gnl|WGS:NBSK|LSAT_5X112540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNPNQRVSRGMQEDTYGPSSSNSTNTSTSSSSPPSTNVHNSDNSKKKLTNFLPLFLALVFIAEIGFLARLDLIKNPDLVNSWADSFLQFTNSSFSSLSLDPVDEVAVADLSAAVVDVSRSVGGESCEEWLEREDSVVYSRDFRKEPVLISGREEDWKSCSVGCKFSLRKKKKADASFGLHQEEGTVAILLSMESSHYFPRNEISKARGAGYDIIMTTSLSSDVPVGYFSWAEYDIMAPVQPKTEKALAAAFISNCASRNFRLQALKGLEKSNIKIDSYGSCHGNHNGNVEKVETLKRYKFSLAFENSNEEDYVTEKFFQSLVAGTIPVVVGAPNIQDFAPSPNSVLHIKKLTDVMLVAKTMKQLSQNLTAYNESLRQALLDMAAVHSSCRLCILVATRIHEREEKSPKFPKRPCKCTRGLETVYHVYVRERGRFEMQSIFLRSGNLTIEALESGVLSKFESTEHESIWRKERPERIRGNEKELKIYRIYPVGMTQRQALYAFSFKGNKNLFTSHIMSNPCAKFEVVFV >cds-PLY89463.1 pep primary_assembly:Lsat_Salinas_v7:8:30666560:30667384:-1 gene:gene-LSAT_8X21881 transcript:rna-gnl|WGS:NBSK|LSAT_8X21881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLPSEILSNIFLRLFAKQLAQMRSVSKSWNAFLSKPSFVKSHLHRSIKNNDGILLVSFQSFSFDSKPFTAHPSKSPRIELADFVTLPVNPQYDDTLGRVIGSVNGLVCLSFESIRNSILLIWNPSLSAVVTLPPISTPYYGFFPIRLFMRFGFGPKSDDYKVVKLTSFLSKPPIDHALASFFGGLSDVVKEWLQVEVYSMRKGSWHLITQKFPSHITWVEDQDEVYVDGRGGHLHWLCYSDLKGKRQVIVAFDLGDESFSEIPLPESLLATT >cds-PLY78516.1 pep primary_assembly:Lsat_Salinas_v7:5:338493165:338494581:1 gene:gene-LSAT_5X191140 transcript:rna-gnl|WGS:NBSK|LSAT_5X191140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEIGTENLFSYLSDDVVLNIFFKIADDPRNWSRLACVCTKFSNMVRTICWKTKCHETIPSVVSDLLQSNTPITSSISPPGGWASLHKLSVCCPGLLHSGVLLENSDLGLERELGPDENYHETNLFQPGKSVSNPSCSRIADDDKMKELKRSVNSSDCSWSLYDDLYYDSAYGNVCHKSEEVSEEGTEGNDGIVVVVDFGVCKRRKICRSSRSHLASGAWNLSREQGNKLLASRFRGDCLYICDWPGCVHIEEKRNYMLFRGVFKDFKRSRVWRTIKDGNRSRIDLNCAYCSISQVYDLHAAFCLRPAYGFHDDGEPVVRAYVCENGHVSGAWTDWPLYT >cds-PLY78509.1 pep primary_assembly:Lsat_Salinas_v7:5:338524300:338524855:-1 gene:gene-LSAT_5X191201 transcript:rna-gnl|WGS:NBSK|LSAT_5X191201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGQSQGIYHLCCGISARNGLEHRCQLLGRPEETNATAAIMAQTEHGGRALSANIKWWWWWHCVNFYRWDRFSMKINGVLQGVGELKENVPMLPNS >cds-PLY84045.1 pep primary_assembly:Lsat_Salinas_v7:6:189136728:189138409:-1 gene:gene-LSAT_6X116221 transcript:rna-gnl|WGS:NBSK|LSAT_6X116221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSREQRWSLKGMTALVTGGTRGIGYSIVEELAGFGASIHTCSRNAKEINERLEEWKGKGYVVTGSVCDLSSKEQREELMNTVSSIFDAKLNILINNAGVTRIKDATEHTTEDYTFIMGTNFQSPFHLTQLAHPLLKSSGDASVVFISSVSGVTALPSMSVYAASKGAINQLTKNLACEWAKDNIRTNTVAPWGVRTTIMNSEKVDEKNIEALGALMARTPLRSSMAEPDEISPLVAFLCLPVASYITGQVIVVDAGYTAGGFKS >cds-PLY66106.1 pep primary_assembly:Lsat_Salinas_v7:1:109403396:109404523:1 gene:gene-LSAT_1X85701 transcript:rna-gnl|WGS:NBSK|LSAT_1X85701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICTNHSANTDDGQTTVDHDDDPVLLPGLPNHLAQVILSTVRPSLLSAVCRQWRRLIYTPYFPPFLTLYAIVANNNDRSLSDSVAFFTFDPVSSKWISLPPPATDPPLRFLHRHPSFISRNLTIQSLTVSGRLVLIAATGHNFLPALSHPLVFDPLTGEWFLGPPLTNPRRWCAAGCIRNTVYVASGVGAHYRGDVGKMMEKWDVGRRREEWRWEEMAGLKDGRFSREAVEAVGYKGKLCMVNVKGNAGKEGVVYDVEENRWKKMATGMLSGWKGAVGVAEEEVMYVVDEEKGALSKYDDEKDCWEEMVEGSELLKGAEQMAVGGGKICVVSGGGGRITVVDLVAEPVKMWVVDPPLPENEVISVHILQRPCKIF >cds-PLY98434.1 pep primary_assembly:Lsat_Salinas_v7:7:90177448:90177918:1 gene:gene-LSAT_7X62720 transcript:rna-gnl|WGS:NBSK|LSAT_7X62720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKISIESLLREKRQLHNLMPRSRLKPAPAPATDEHEPLNPDSDRGLLVMKKQRVSLSQGVGLTHQILDSSLRQDPIVYGMWDGFGCGNTLKNCNSCYDAKTDWKTKHRTPSNV >cds-PLY77582.1 pep primary_assembly:Lsat_Salinas_v7:2:164091247:164093946:1 gene:gene-LSAT_2X86980 transcript:rna-gnl|WGS:NBSK|LSAT_2X86980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHISLPSLCLNCYKKSRRKDQSKSNHSTHAIKRNPSEVRKLQENKLKAALQQASDHGSLVKPQNMDVSESIDMENKNLGRSRSLARLEAQKEFLKATSLAADTTFETEDSITDLHEAFLKFLTMYPKYKSSEKIDQLRVDEYSHLADDDSKVCLDYCGFGLFSFLQFVHYWESCSISLSEITSNLSNHALYGGREKGTVEYDIKSRIMDYLNIPESEYGLVFTVSRGSAFKLLAESYPFHTNKKLLTMFDHESQSVNWMAQRAKEKGANVHSAWFKWPTLKPCSTHLRKQILNKKKRKKDSSTGLFVFPVQSRVTGAKYSYQWMSFAQQNNWHVLLDAGALGPLDMDSLGLSLFRPDFIITSFYKVFGYDPTGFGCLLIKKSVIGSLQKQSIRSSSGIVKISPVYSSNLSDSMAGFPQFGETGDDQVTGEDEVMPESHNGTQLRAFSGALTPSQVRKVYETEIEHGIGDSPVFEETEIFSVMKTPVFSEDDSPEDSMWINLGQTPLGSQPDNISSSLPPPFWFTGKKRNDNVRRVDRFEAQTQEGEQRVHSPEIQEESEIEGSSIRREPEREFRLLGRRVSSGLDDKDDYISDEEYYEGEESDRREPEITCRHLDHVNTLGLSKTTSRLRFLINWLVTSLLQLRLPASSTEEDSIPLVQIYGPKIKYERGASVAFNVRDRITGLIRPEIVQKMAEGNGISLGVGILSHIKIIQSTKQTGAMDYTDTTLCRPMENENHDEGGGGFVRAEVVTASLGFLTNFDDVYKLWVFVAKFLNPSFIREYGLSPVMETQES >cds-PLY88810.1 pep primary_assembly:Lsat_Salinas_v7:4:91278299:91281001:1 gene:gene-LSAT_4X60721 transcript:rna-gnl|WGS:NBSK|LSAT_4X60721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSKHNDGLTQPILSDHRNSQVAPEPKGEVVSSELEHILSDNSLTSFQRYKKALSLELVSLFWLAAPTIVVYLLNNLTSISTQIFSGHLGNLQLAAATLGNNGVQIFAFGLLLGMGSAVETLCGQAYGAKQYGMLGVYLQRSTILLMLTAIPLMFIYIFSKPILLLLGQSKEIASAASLFIFGLIPQIFAYAANFPIQKFLQSQSIVFPSAYIAAGIFVVHLPLSYLVMYVFDWGLLGGALVLSFSWWVIVLAQFVYILKSDRTKETWNGFNVEAFSGLWSFFKLSAASAVMLCLETWYFQILILVAGLLPNPEIALDALAVCSTILGWVFMISVGFNAAASVRVSNELGAGHAKSTSFAVIVVTSMSFIVACICGIILFFGRHYISYIFTEGEVVAEAVSELTPLLVFSILLNGIQPVLSGVAVGCGWQSFVAYVNIGCYYMVGVPLGVLLAFYFDFGAKGIWSGLVGGMVMQTMILCWVTFRADWNKEVKIANMRVTQWKQQKEDLLLNN >cds-PLY72037.1 pep primary_assembly:Lsat_Salinas_v7:2:204678728:204680245:-1 gene:gene-LSAT_2X125240 transcript:rna-gnl|WGS:NBSK|LSAT_2X125240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIDEELAEQLKAKELKSKQERESGDKAYADVLQHLRQYVLNMVIDFSVDWEIGQLGEKEAKEPNLDLNTENESPGNTLKKPHRDVVFSSKGRLSNHHIGGNCGKISILKIQQVFEESYVGALVVDMEHLKKASLIIMILDGTSRSYVEHQDAGESFGVLMDHQKHCWLIHNSMAQQELMAQHEYLVAQLKSMDQHDHIDGSTRLHMAHHVQ >cds-PLY88005.1 pep primary_assembly:Lsat_Salinas_v7:MU040244.1:498579:505813:-1 gene:gene-LSAT_0X2320 transcript:rna-gnl|WGS:NBSK|LSAT_0X2320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTVYITVRNAVTSTLLGVVVLLQAVSGFPATLTLERAFPMNHRIELSQLRYRDSLRHGRMLLKDTSPKGIIGFAAEGSYDPRVAGLYYTKVKLGTPSKDYYLQIDTGSDVSWVSCRPCNDCPTSSGFNVSSSFLLHSMTRQTYDSSSCSNNHCTYTIQYGDGSATSGHYVSDLMHFKVIVSGTETEISNTSASIVFGGYYSINLQSISVNNKKLSIDPSIFAINDDKSGTIVDSGTTLAYLTEEAYTPFVDSITKLVSLSVQPHTSNGDPCYSITSSVSNIFPIVSLNFVGGASMHLRPQDYLSHQSSKSGAEVWCMGFQKSPQKGITILGDLVLKDKFIVYDLDAKRIGWAQYDCFSIVEVSSNSSSGSGEAMNNLLSTIMPPAKEPMFPVLPGDPYFPDYASMYQPISPAECVSMQSDEFEPEEDPEEDPEDEMEEDPEDEMEEEPEDDPKEDMDEDEVITIIDLESSASISTYSQPLFPWIFTPPFQKNR >cds-PLY76631.1 pep primary_assembly:Lsat_Salinas_v7:4:115285975:115287578:-1 gene:gene-LSAT_4X74380 transcript:rna-gnl|WGS:NBSK|LSAT_4X74380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFKMATTGMWVTDECKSSFMEMKWKKVHRYIVFKIDEKSKLVTVDKVGGAGEGYSDLEASLPGDDCRYAVFDFDFVTVDNCHKSKIFFIAWAPSASRIREKMLYATSKDGLRRVLDGIHYEVQATDPTEMGFDIIQDRAK >cds-PLY76123.1 pep primary_assembly:Lsat_Salinas_v7:9:29464477:29466833:-1 gene:gene-LSAT_9X25941 transcript:rna-gnl|WGS:NBSK|LSAT_9X25941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGVCKEVIESHPKILRHDVSDKSINITKTSRANEKHTMPSTNVVYELLECPMCTKLMYPPIHQCPNGHTLCSNCKSKVHNCCPSCHSELGNIRCLALEKVAESLELPCRHGCHDIFPYYSKLKHEQNCRFRPYNCPYAGSKCSVTGDISNLVAHLKNDHNVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCYGRQFCLHFEAFHLGMAPVYISFLRFIGEDNEAKNFSYSLEVGGYGRKLTWQGVPRSIRDSHQKIRDSQDGLIIPRKLALFFSGGNRKELKLRVIGRIWKEQ >cds-PLY74598.1 pep primary_assembly:Lsat_Salinas_v7:7:41164407:41166099:1 gene:gene-LSAT_7X32001 transcript:rna-gnl|WGS:NBSK|LSAT_7X32001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATALMSSLPQFTGLKATSPSPTPIQSMVALPMKPKGKGKGALGVRCDFIGSSTNLIMVTSTTLMLFAGRFGLAPSANRKATAGLKLEVRDSGLQTGDPAGFTLADTLACGTVGHIIGVGVVLGLKNIGAI >cds-PLY91090.1 pep primary_assembly:Lsat_Salinas_v7:5:6800085:6800934:1 gene:gene-LSAT_5X3741 transcript:rna-gnl|WGS:NBSK|LSAT_5X3741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVQSVLYDPILVSYLVTCSIRGDLRPTCNAAGMIDIYILGTDHLYQKHAYRNLKISESGQVSESTPSWCYAPFEPKGILRYTSVAFFISIVSCSTNDGRC >cds-PLY91042.1 pep primary_assembly:Lsat_Salinas_v7:MU039518.1:10983:11485:1 gene:gene-LSAT_0X41240 transcript:rna-gnl|WGS:NBSK|LSAT_0X41240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAGRPQSDAYGEGIIITGHSRLQYYFSLMNQQLPIESQFVSKLVDQLKAEIVFGTVKNAIEAIEWLGYTYLYIRMVRNPTLYGLSDDALTRDVLLVDRRADLVHSAATMLDKNNLVKYDRKSGEFQITDLRRTESI >cds-PLY90612.1 pep primary_assembly:Lsat_Salinas_v7:6:51598946:51601551:1 gene:gene-LSAT_6X37600 transcript:rna-gnl|WGS:NBSK|LSAT_6X37600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELSLSSSSLRISGSSPHFRKFTTNTKCKFYDTVFKFPDNSTLTTNFYSIKRSSNVVSNKFPKQFSITACSQVGAAESEPPLKKVADFKDAFWRFLRPHTIRGTSLGSVSLVTRALLENPNLIKWSLLIKAFSGLIALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWFLVLSFAALGVLIVAMNFGPFITSLYCLGLFLGTIYSVPPFRMKRFPVAAFLIIATVRGFLLNFGVYYAVRAALGLTFQWSSPVAFITTFVTLFALVIAITKDLPDVEGDRKFQISTFATKLGVRNIALLGSGLLMMNYIGSIVAAFYLPQAFRSSLMIPLHTILASCLIFQAWVLERANYTQEAIAGYYRFIWNLFYSEYIIFPFI >cds-PLY67926.1 pep primary_assembly:Lsat_Salinas_v7:5:298832830:298836113:-1 gene:gene-LSAT_5X159820 transcript:rna-gnl|WGS:NBSK|LSAT_5X159820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDISNEATVDRFSIGPSTAFGRTIAFRVLFCKSMAHFRHQIFHILSFYFDIIRTYISDIATPIISWLHPRNPQGILLLVTLIAFLLKRYTNVKIKAEMAYRRKFWRNMMRAALTYEEWAHAAKMLDKETPKLNERDLYDEELVRNKFQELRHRRQECSLRDIIFCMRADLIRNLGNMCNPELHKGRHQVPKLIKEYIDEVSTQLRMVCDSDSDELLLEEKLAFMHETRHAFGRTALLLSGGASLGAFHVGVVKTLVEHKLMPRIIAGSSVGSIMCAVVATKSWPELQSFFEDSWHSLQFFDQMGGIFNVFKRVMTQGALHDIRHLQVLLRNLTNNLTFQEAYDMTGRVLGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRGGEIVPYHPPFHWGPEEVDGTSVRRWRDGSLEIDLPMIQLKELFNVNHFIVSQANPHIAPLLRLKEFIRAYGGNFAAKLAHLVEMEVKHRCNQVLELGFPLGGLAKLFAQDWEGDVTVVMPATLAQYSKIIQNPSHLELQKAANQGRRCTWEKLSAIKANCGIELALDECVAILNHMRRLKRSAERAAAASQSHAASNPVRFNASKRIPSWNCIARENSTGSLEDDLADVLHHGGRNWRHHRNHDGSDSESESAAEVTSWTRSGGPLMRTTSADQFVEFVQNLDSDSKMNKAIMVYQNLRVIPPDRISDPESDNRDAGAARVSSGSITVAAGDLLQPERIHNGIVFNVVRKGDLTPSNRSHDSENNSPSDSAAECVQLDSPEKDMDGSSGSECGDSEMCEGENVIEPEEGCEVKDHCSVVDNDGEIKSL >cds-PLY94903.1 pep primary_assembly:Lsat_Salinas_v7:4:109376351:109376602:-1 gene:gene-LSAT_4X70801 transcript:rna-gnl|WGS:NBSK|LSAT_4X70801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISNMQLLCDVGVPGSKMLYVLTDHPRDISDTKEQFKKAMEEVVEMGIDPLKTNFMSVVHALRSISKSTWEKKMDNFLLPVDD >cds-PLY76952.1 pep primary_assembly:Lsat_Salinas_v7:6:66526143:66528730:-1 gene:gene-LSAT_6X47140 transcript:rna-gnl|WGS:NBSK|LSAT_6X47140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALGRMREGLNDCRMATVLDPNFMVNVRSANCHLLLGEVDDVSYYYNKCLEFKETVCLDKRITVEAAEGLQKPQKVSNYLRREHFELSVSPPRVMYKIEKEHVGMVMEALSHRRAKVTDMGHVAGNFGRTRMTLTCPKRGLVGYRSVFSSDTRGTRFMHRAFMGDSGVIEEETTKELEHTLLQDSIDKELYELNKRLEHKESDVPLFIVGVIGLIL >cds-PLY93194.1 pep primary_assembly:Lsat_Salinas_v7:8:109467412:109468522:-1 gene:gene-LSAT_8X74980 transcript:rna-gnl|WGS:NBSK|LSAT_8X74980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein CcmE homolog, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G51790) UniProtKB/Swiss-Prot;Acc:Q96326] MASRLSCRFVSRLLRNTNPNIHHSNPRTIFTTIPNSPYPPLISPLFESKLSPSDHLRLLSSASRFFSTARRHPTRPTKIDIGARARQMQNRRLWTYAITFSCIAGFIIIVLNQFEDQLVFYVTPTDALSKYAENPNKNKFRLGGLVLEGSVAHPAASHEIEFVITDLITDMLVRYEGQVPDLFREGHSVVVEGFVKPITEQIKKEATEKSVSGKARSVECYFSASEVLAKHDEKYMPAEVANAIEKNKKKLAEEATNQIEGQEAIPTS >cds-PLY65346.1 pep primary_assembly:Lsat_Salinas_v7:6:40387758:40388827:1 gene:gene-LSAT_6X30441 transcript:rna-gnl|WGS:NBSK|LSAT_6X30441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKIKNPSNLDIQLPPGFRFHPTDEELIIHYLQKKVTSSPLPASIFAEIELYKFNPWELPYRALFGEDEWYFFSPRDRKYPNGVRPNRMAGSGYWKATGTDRPIMGSLGKKIVGVKKGLVFYKGRPPRGIKTDWIMHEYRLLDTVTCNSSKRKESMRLDDWVLCRVRMKTCTPRNFCEDYGQRVLEPEKSMIFSTDKNPNLEILKESLFKDCPMLPFMFGSHLDFPSIDTMCSISEKSDNFEASSVNYDRNHREEEGVIESNKKLRTLMEGQNGDVWSSGIDTNDMSFYGGEEYDIYGII >cds-PLY98719.1 pep primary_assembly:Lsat_Salinas_v7:6:104059275:104060773:-1 gene:gene-LSAT_6X66541 transcript:rna-gnl|WGS:NBSK|LSAT_6X66541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIINLNLTMKCTCIELEEQGDLGVKMCILSSVLDKCLRLLGFESATPCDTSCKLRLVLSNVSKVGYAFQDLLEIKKLGSSLCQFLVSNK >cds-PLY68791.1 pep primary_assembly:Lsat_Salinas_v7:3:159330768:159333367:-1 gene:gene-LSAT_3X100161 transcript:rna-gnl|WGS:NBSK|LSAT_3X100161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSFSNRLDIFEIYRRYCEITSEAYAFGEDGYRPFNESKKSKLYRDALSQLLQLVESRVDKRMPILEEIPMLMSRLDLMVDSCEFSRFYNFMFFICRENGQRSITVSRAIIAWKLVLSGRFRLLNQWCSFVEKNQRHNISEDTWRQVLAFSRCVHENLEGYDPEGAWPVLIDEFVEHMYIINGSEDARSFSCNCGDLETEPIVDSLPGLKILPGVKRKLGEEEFRDSNTIMNSKRRQTDFREGYGMSSSPSCAVEGSLSKGFAELFSGLSCLQFDRETRVPFTL >cds-PLY84296.1 pep primary_assembly:Lsat_Salinas_v7:6:6346102:6348938:1 gene:gene-LSAT_6X2261 transcript:rna-gnl|WGS:NBSK|LSAT_6X2261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSFLLTVVVALMVMSVSVSTAQAQAECASKLVPCAQYLNATTKPPNTCCDPIKEAVATDLQCLCNLYENPAFLSGIGINIDQALRLPQLCGIPSDTSACNTTAQSPAGSTTQTPPGRTPGAGGGNGVGKIASSGVIGLLLISACMMLF >cds-PLY75775.1 pep primary_assembly:Lsat_Salinas_v7:3:68621764:68625289:-1 gene:gene-LSAT_3X51780 transcript:rna-gnl|WGS:NBSK|LSAT_3X51780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVAAVSVFIALGFAFYVFFAPFVGKKMYQYIVMGIYTPLIISAFGLYIWCAGADPADTGVFKSRKYCKTTNNKTGVKKEGSIIEDTKDYKSETEKATSQPSCFMPLLALIPCAAMCHQHQDSSEHQTSDDGMFYCSLCEVEVFKYSKHCRVCDKCVDRFDHHCRWLNNCIGKKNYARFFALMVSALLLLILQWSTGIVVLINCILDHKRFDGEIASKLGSSFTLAPYVSVVVVCTILAMIATLPLIQLFFFHILLIKKGISTYDYIIALREQENQGYGGPQSPQMSPATSITGLSSVSSFNTFRQGAWCTPPRLFVEDQYDVVPPETSSVSSLGKKTIEDRDPIKKKNPTSVKISPWTLARLNGDDVSKAAAEARKRSKILQPVSKSNRIERERDRSFGRSNREGSRKIGNDRVREESSSGNLVPLQLEARSVYRAMSMSSPDNSSLDMESPDIQPFMGRGGDNDVGVGLSGVVENQGILALMRSMSDGYDASGGEDSDRIPSKFERKI >cds-PLY82766.1 pep primary_assembly:Lsat_Salinas_v7:2:146208495:146210359:1 gene:gene-LSAT_2X72320 transcript:rna-gnl|WGS:NBSK|LSAT_2X72320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALRNPNEANSSPLSPLGFLERAATVFADSPSLVYNNLTYTWSETFRRCLQLASSISGLGIGKGDVVSVLAPNIPATYELHFAAPMAGAVINTINTRLDARTISILLCHSESKLVFVDYQLTRLIEEAVSLLPGGCTYPRLVLITDDGPPSLPTDHFVGTTYEGMVENGDPGFEWVRPESDWDPLTLNYTSGTTSSPKGVVHSHRGTFIVAVDSLLEWDVPKQPVYLWTLPMFHANGWSYVWGMAVVGATNVCLRRFDASTIYTAIHHHNITHMCGAPVVLNMLSNGEPLGRTVHIMTAGAPPPAAVLLRTESLGFDVTHGYGLTETGGLVIACSWKKQWNRLPATERARLKARQGVRTVGMTAVDIVDPESGLSVARDGLTQGEIVLRGGCLMLGYLKDPESTAKCIRNRWFYTGDVGVMYPDGYLEIKDRSKDVIISGGENLSSVEVESVLYLHPAVNEAAVVGRPDEFWGETPCAFVSLKKDGGKPLPTAVEIMEFCKGKLPGYMVPKSVVLKEELPKTSTGKIQKYVLREIAKSMGFVVKSRM >cds-PLY67875.1 pep primary_assembly:Lsat_Salinas_v7:5:5881142:5883923:1 gene:gene-LSAT_5X2721 transcript:rna-gnl|WGS:NBSK|LSAT_5X2721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDRQIEQLKNCEPLKESEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPNINTLDQIRTIDRKQEVPHDGAMCDLLWSDPEDIVDGWGLSPRGAGFLFGGSVVTSFNHTNNIDYICRAHQLVMEGFKWMFNNQIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFKAAPQNIIMLVFGMMKSIREGME >cds-PLY85830.1 pep primary_assembly:Lsat_Salinas_v7:8:173178010:173178688:-1 gene:gene-LSAT_8X112740 transcript:rna-gnl|WGS:NBSK|LSAT_8X112740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEDPNHFVSKFCSFDFTRNGDGLKPFNTDYAMQALGLIFDRVMYSWLAKESGLLLYLLLEAQQSHVWGAC >cds-PLY95248.1 pep primary_assembly:Lsat_Salinas_v7:8:269174505:269176617:-1 gene:gene-LSAT_8X156041 transcript:rna-gnl|WGS:NBSK|LSAT_8X156041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGARGGSRSVSHIGGCDAGDKNASQSWNKYQISVIRDITCILKTMFDSPWTSWKKVDKEHRDAIWEHFKGLYVCPEETNVLARKQATLKHPTIGVELYARLHTKQCTQEYITPKAAKVWEASESDMVAKFGDDTSCHPLLDNETWCDISGGVKKGRIYGFGSLSDLVSFLEGTSSTITSQEVVYERVRNEMHGEMDAKAVEIEVRHQQMHEEMDAKVASIDVKQQQIDAKYEAMEKMYAALQNMMGN >cds-PLY76057.1 pep primary_assembly:Lsat_Salinas_v7:5:320226599:320228803:-1 gene:gene-LSAT_5X176820 transcript:rna-gnl|WGS:NBSK|LSAT_5X176820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEARTGFSIIAEHATPNNQILWLSSVFGGFVMCKFAYELTGVISPLIFKGFIKLENSKKLEWKNRGFSTFHAIFAAIGALYFLVFSDLFDENTQNQQVLLINRSSASLDTLLAMSIGYFLSDLAMIIWTYPTLGGFEYILHHGLSMLAMGQALLSGQVQFYILIVLFTEITTPFVNLRWYLDVAGKKNSTLYVLNGVAMFAGWLVARVILFVYFFYHMFTHFDQSEVGTTVDRPFCCVLVSGEASLSDGVLQLADDSAGVGGDEFDLVSEDRQRID >cds-PLY93753.1 pep primary_assembly:Lsat_Salinas_v7:6:146301989:146302348:-1 gene:gene-LSAT_6X89300 transcript:rna-gnl|WGS:NBSK|LSAT_6X89300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPQMSMSHSDGALKIADADEESGFTFFDSSFIEIGLSLEKLRWKFYEKSVAVGPSLSIEVSNSPSMSIEANLVRVPVDDVDRPFFPLSSDAATLADSLPCEGVGPHPYISGICLYLCP >cds-PLY65648.1 pep primary_assembly:Lsat_Salinas_v7:7:28128407:28129998:1 gene:gene-LSAT_7X21620 transcript:rna-gnl|WGS:NBSK|LSAT_7X21620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPEIVDDDIEQHLLEKITHGILRIGDQQNLVTRYYSLDSDFNDVKDILTRTRNPDYNGDWLSARRENLYYLNNLLNEWQLINKHSSFAPVEDREACLNIKKSLKKMMKEFKGEESSSRGDAEYSVTRHQDPKHFTFERNKEDVYRWSSRHGPRKVHGFEHNVMAMERELVMRNINVPYKVFGVVGVAGIGKTTLCQDIFGRKLVKEHFCPRIWVCLSKQPRDNHDYRKEIVVRILKCLGIKDEVISNVAEERDEHGLRKLILLIRLQLIGKRYLIVLDDAWNDDEFFLKLIRTEDPNMKWGEELAYALPKGCGGTVISSSRSDALLKRMLGKDVSLLYLKPHTKEIIDQIFRDTVIGYEEDEREFPAHLEELKMEILKKCDGIPLAAKLLAKIAREPLPLKQKPPPPTSVVGGHENKQAPESGGEQLNGGVDAGGSASASGVHDGEDNGVVLPNPKQKDGLPPIGPDQVA >cds-PLY98104.1 pep primary_assembly:Lsat_Salinas_v7:3:247562453:247562956:-1 gene:gene-LSAT_3X136681 transcript:rna-gnl|WGS:NBSK|LSAT_3X136681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKVLLRFAPEPSGYLHIGHAKAALLNQYFAQKYNGKVILRFDDTNPAKESNEFVDNILIDIATLGINYEKIAYTSDYFPNLMEMAEKLIKEGKAYVDDTPKEQMRYEREKKIESKCR >cds-PLY91417.1 pep primary_assembly:Lsat_Salinas_v7:3:55968232:55970060:-1 gene:gene-LSAT_3X44160 transcript:rna-gnl|WGS:NBSK|LSAT_3X44160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDNDETALGLQDVYSKQNQDQDELSAEDLAWVNSCLIEEPEISKTNIDSLKEALLSILDHHSEIFSPYENELELDNSNDIIDPENSPSPTEEVTDANGDSGDDESCDVLSPLNHPFLPNFNDEMMKIEYSDSDSGSDSDLGFRVSELVMEPESEDIFKVWELDIPVEEDELVKELKEALSENDATSRVPRMKSLKIESLDSLVESIADLSLK >cds-PLY85511.1 pep primary_assembly:Lsat_Salinas_v7:2:196660419:196667987:-1 gene:gene-LSAT_2X117921 transcript:rna-gnl|WGS:NBSK|LSAT_2X117921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGKLDLQVSQEVMGLVGDDRQKQQLTTTVVHSSDDDGGRGCSDCSTVSFSPFSGNGSGSPPTILAPPPVLLALLTLSTTDQSNLVNMKALILVGGFGTRLRPLTLSVPKPLVDFGNKPMILHQIEALKAIGVTEVVLAINYQPEVMLNFLKDFETKLGIKISCSQETEPLGTAGPLALARDKLLDDSGEPFFVLNSDVISEYPLKQMIAFHKSHGGEASIMVTKVDEPSKYGVVVMEESTGLVEKFVEKPKVFVGNKINAGIYLLNPSVLDRIQLKPTSIEKETFPKIASEKLLYAMVLPGFWMDIGQPKDYITGLRLYLDSLRKNTPGKLAFGPHIVGNVLVHESAKIGDGCLIGPDVAIGPGCVVESGVRLSRCTVMRGVRIKKHACISSSIIGWHSTVGQWARVENMTILGEDVHVCDEVYSNGGVVLPHKEIKVSILKPEIVM >cds-PLY97193.1 pep primary_assembly:Lsat_Salinas_v7:2:153962731:153964402:-1 gene:gene-LSAT_2X76880 transcript:rna-gnl|WGS:NBSK|LSAT_2X76880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G13930) UniProtKB/TrEMBL;Acc:Q460R0] MASSIDIAQIRNAQRAQGPATILAIGTATPSNCVYQADYPDYYFRITKSEHMVDLKEKFQRMCDKSMIRKRYMHLTEEFLKENPNLCEYMAPSLDARQDVVVVEVPKLGKEAATKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPSVKRFMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPNDTHLDSLVGQALFGDGAAAVIVGSDPDLTIERPLFEMVSAAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIEKALTQAFSPLGITDWNSIFWIAHPGGPAILDQVELKLGLKEEKMRATRHVLSEYGNMSSACVLFIIDEMRKKSAEDGAATTGEGLDWGVLFGFGPGLTVETVVLHSLPTTMPIPT >cds-PLY79133.1 pep primary_assembly:Lsat_Salinas_v7:9:90060406:90063820:-1 gene:gene-LSAT_9X69720 transcript:rna-gnl|WGS:NBSK|LSAT_9X69720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKIIKGKKKGSKQEAIEPPPGPNPSSDVTVNHASRSAMVIDQQPIVRPPLTTLSTSPPQPGVVEILPMLKDVPVADRHVLFLKKLQICSYIFDFTDTLKNTMEKEIKKQNLLELVELVQSGSSKMNEIMQGEMIKMISLNIFRCLPPQAHENTGTENLDPEEDDMFLDPSWPHLQVVYELLLRYVVSSDTDTKVAKRYLNHSFVLNLLDLFDTEDPREREYLKTILHRVYGKFMVHRPFIRKAINNIFYRFIFETERHPGISELLEILGSIINGFAVPMKEEHKLFLSRALIPLHKPKSVNLYHQQLSYCVTQFVEKDYKLADIVIKGLLKYWPVTNCGKEILFLGELEEVLDATQPVEFQRVMLPLFRRIGRCINSTHFQVAERALFLWNNERVVTLIAQNRHMILPIIFESLEKNIQGHWNQSINELTTNVRRMFIEMDPDLFEECQTRYMDKEAMVNEKVKQRELTWKKLESIAS >cds-PLY89844.1 pep primary_assembly:Lsat_Salinas_v7:4:323831634:323836647:1 gene:gene-LSAT_4X161500 transcript:rna-gnl|WGS:NBSK|LSAT_4X161500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRSKPFFPLEHRHSWWNTAECGGGTLRGFGVSKIGAKLVMNCKVNEECRRCRDCCTDDGGRKKDEFVEMMREAWPYFEAHGGRTFVVVLCAEIIESNNLGGILEDISLLHGLGIKFVLVPGTHELIDKLLAEKGCKPKYVGKWRITDPNSLKASIDSAGRISQIIESKLSPTPSLNITDNTCWHHNLTVATGNFLAAKKKGVVEGIDYEATGEVKKIDVSRIHETLDNDSIVILNNIGYSSSGELLNCNTYEVATACAMAIGAEKLICIINGPILDEMSRVIRFLSVEDADRLIKQSEIADNYVNAIAEKDHSNSDSNPTFRNGIGFDFDHGNGFAIGDQERSNGYLSELAAAAFVCRGGVKRVHLLDGNICGVLLKELFQSNGVGTMVAKKEEKR >cds-PLY92598.1 pep primary_assembly:Lsat_Salinas_v7:7:163368875:163372255:-1 gene:gene-LSAT_7X96121 transcript:rna-gnl|WGS:NBSK|LSAT_7X96121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNASGSTSLSASILSSPLSSPNVTALLKIKIISWSQETGLPASVCVHVANRIFNLHKYPLFSKSGYFNRKLTESNEIKLPDNFPGGPEAFEMISLFIYGSSTLVDPFNVAALRCASEYLEMTEEYTSGNLCERFDIYLNQVVLQSWEDTLIVLQQCQNLVPWAEELLIVSRCIESLAFMACMEILDPERRRDQPVVTLEALSFQKWSDEMVQEVLSQDVWIKDLIALPFGYFKRVIASLRRQGMKEKYVTPIVLFYANSILSDENGRKEDIAMLLKGILDLLNMGEKGSKVIPVGFYFILLSKSLKLDLDKEYTLKLQNQISGVLHMAQVEDFVETESGTGGIEMEIIENIFSTYALFNMCGNNSPSPYNFVVAELWDSYLTRVASDMKMELKRFMELIEIVPVSCRQNHDHLYRALDIFLQMHPELSQDEKSTVCKYINCQKLSQEVCVEAVQNELMPLRLIVQALFIQQLNTHKALKECSDSFRYTHNGDFSGSLTSSRYAHSKSQNLVESPCDLQDTRNKPLSFLIQKDLSSQKPELHKTEYESTSFRIQSLEQQLMTLKRTLQLQNTSKKGGQVSTKGELEGRTMNKRRTPIGQMTNCIGTVNFASQRKYASRLLKVFRRFSLFGRTKPKRKQSVTSIRPKSV >cds-PLY64233.1 pep primary_assembly:Lsat_Salinas_v7:7:3195399:3196368:-1 gene:gene-LSAT_7X2081 transcript:rna-gnl|WGS:NBSK|LSAT_7X2081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEIGIIKVTMDILYKQGCDITGLLVTLLLGDDKVQGLYVMKLVRSFCTSSNEKKDDPFGHVGSILVNISKNKEGRSLLLDSKRGLLKKIIRQFDSTSILRKKGVSGTIQTCCFEADNQIQNLLLISEFLWPALLLPVAGNKVYNEQDTSKMPLELGSALSIEREPATDTEIRIQALEAVYLIIL >cds-PLY82334.1 pep primary_assembly:Lsat_Salinas_v7:1:69220492:69221784:1 gene:gene-LSAT_1X60521 transcript:rna-gnl|WGS:NBSK|LSAT_1X60521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHLKFLQTISFLYALVTVKNVTGSSVSHDEECSALFQFKQSIIHQDDAACAAHGSQVFHSWNTSFDCCSWEGVACSNDHDQYGHVIGLDLSERSLCAHIKSNTTLFNLLHLQTLNLSGNDFGESQIPSEIARLKQLRSLDLSYSGFSGQIPNGILQLMQLSSLDLSGNSLKLHSPSLKNLVQNLTLLEEIHLSGVDISSSVPHFLANFSSLRSLKLRDCSLGNEFPAAILELPKLQVLNLADNTNLAGSFPEFHGKSLLKEVILGGTGFFGIIQESISHLKHLTVLSLSYCSFSGRIPRSLSNLTQLTYLAIGGNQFTGSLPSLVSLSKLDVLELSGNKFEKGCFPNWLGKLGKLSELYVSDMNTNSTEIPLFLASLTKLSVVRMGKNSLKYTIMVLQPHPTNIFRSSDESIARTNSKHIFQLQKSPVP >cds-PLY68093.1 pep primary_assembly:Lsat_Salinas_v7:7:195390135:195391673:1 gene:gene-LSAT_7X113400 transcript:rna-gnl|WGS:NBSK|LSAT_7X113400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGFVVNVVIIRLLKEKTKVKNTSTPVVGTKTSSPVSVSVKEVVKVEDTNPDPGLVFFVEQEEQFNLQSLLDAGADLQNQNYCSSLYKVQLNNNNNNFAYAVKRLKKLQAPCEEFDRTMAMVGKLNHQNILPLVAYSCQGEEKLLIYKYQNKGSLLALMERHIEGKIEFPWKVRLSIAVGIARGLDHIYKSDPGIPHGNIKLSNILLNENKEALISEYGYWNMVDPKSASLQRSSNGYGSYTAPEKCSSEKGDVFSFGVILLELLTGKIVENSYSGGLDLPKWVKAMVREEWTGEVFDKEIAKVGMYAFPLLNVSLKCVAHFAENRPSMAEVLETILGVVVEAQEEFSFSSTDSTPPHPHTHDAAHVLYSVAEDKEEG >cds-PLY64756.1 pep primary_assembly:Lsat_Salinas_v7:3:187468990:187469952:-1 gene:gene-LSAT_3X111580 transcript:rna-gnl|WGS:NBSK|LSAT_3X111580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAICSRIFLFYNLIYTIFLSFIPKKLRHYLPSSYNPHQQQQQQQIHDLTTTTTPLLPPPSTPRSSRMDSDQLHRIFQMFDKNGDSRITKQELNESLENMGIFIPDSDLVKMIENIDENNDGCVDIDEFGALYKSIMDDRENEEDVMEAFNVFDQNRDGFITVEELRSVLESLGLKQGRQADDCRRMIMKVDVDGDGMVSFTEFKEMMRSGGFSAMAQN >cds-PLY68907.1 pep primary_assembly:Lsat_Salinas_v7:2:192959171:192960211:-1 gene:gene-LSAT_2X113561 transcript:rna-gnl|WGS:NBSK|LSAT_2X113561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDIPDNILHNILVRLPAKSLLRFRCVSRHWNRLITDPYFMKSRSRRMIILPTSPLHLIDDNVSTNDQDHSIVKLPFPFRHQEGTDVTVVGTFNGIVLLVLSDQFQNPHMILYNPLTRASKEIPDPPYPFNGATYAFGFGYGAIPDDLKIVRIEVHDDFYGDWNTFDVFDLKKSSWITRKDFSPKYGFHEDIGGTFLNGFLYWVAYKYDMVVILCLNIKVMVVSKIDIPDRCHCTLLGSINGCLCIISMIRFNRFDVLLMKEHNSWSKAFSFRFGLKCINWRNLFYPISILDHGRIIMMDGSFRIFIYDTLKRSCKSISLKNFRSIYGIEYIESLVSPSYMCFV >cds-PLY89263.1 pep primary_assembly:Lsat_Salinas_v7:5:310429294:310430350:-1 gene:gene-LSAT_5X167961 transcript:rna-gnl|WGS:NBSK|LSAT_5X167961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVQISPNENGLSESLCSLNFASRVRGIELGPAKKQVESSEVLKYKKMLFVERKLARQHVDTKIAEQQMKQQQQLDDHRLPLVSKPLNTYKNSNESKENQQPLIDKNMTYKLPAPLPPARDLVNLDVDDFVEKENNPYLLEKFTAPKQTGRASIFTTTSQRVSVRSVPPRRNSLIPLPSVAQVSTKYVLQLYPLPLIKSGNENLDETGECCMEVSLKRSNGSGKKPMSALRRSIKKNQVKSLMMMERESE >cds-PLY73223.1 pep primary_assembly:Lsat_Salinas_v7:8:178785718:178786087:-1 gene:gene-LSAT_8X115961 transcript:rna-gnl|WGS:NBSK|LSAT_8X115961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQLPSPIKDAVSGGLRLPLNGAFQRMFMISYLDEVILILRDTSGVLEVLMRLDVDQSPIDPIQEYES >cds-PLY70449.1 pep primary_assembly:Lsat_Salinas_v7:1:73722248:73724582:-1 gene:gene-LSAT_1X62721 transcript:rna-gnl|WGS:NBSK|LSAT_1X62721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSFLSRSLRFAATKPFYPSSIPSILTTPPKQVSFNRCRLISSSMASDSTQNELPSNNPGLQSTPPDKETNGYFMQQTMYRIKDPKASLDFYSRVLGMSLLKRLDFPDMKFSLYFMGYEDTSSAPEDPVERTAWTFGQKATIELTHNWGTEKDPNFKAYHNGNSEPRGFGHIGITVDDTYKACERFARLNVEFVKKPDDGKMKGIAFIKDPDGYWIEIFDTKTIGNVAKATASKD >cds-PLY91820.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1209907:1215769:-1 gene:gene-LSAT_0X840 transcript:rna-gnl|WGS:NBSK|LSAT_0X840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRVGSAGNTSNSVRARKEKRLTYVLTDANDTKHCAGVNSLALRKSSAPDNCSYLFTGSRDGRLKRWEVSENSATCSATFESHVDWVNDAVLVGENTLVSCSSDTTVKTWDCFSDGTCTGTFRQHTDYVTCLASAERNGNIVASGGLGGEVFIWDLEGALAPLTKSNEGDDDCSNGINGSFPVTSLRTISSSNSISSHTNQPQGYVPVVAKGHKESVYALAMNDSGTLLVSGGTEKAVRVWDSRTGSKTMKLRGHTDNVRALLLDSTGRFCLSGSSDSMISTPSFSHVYSGGRDLSLYLTDLATRESILLCTREHPIQKLALHDDGIWVATTDSSVHRWPIEDHNPQQVFQRGGSFLAGNLSFSRARASLEGSTPVPVYREPTLTVKGIPGIVQHEILNNRRHVLTKDNGGSVKLWEITKGVVIQDYGQVSFEKKKEELFEMVSIPAWFTVDSRLGSLSVHLDTPQCFSAEMYAIDLNITEKAEDDKINLARETLKGLLAHWLAKKKVKYGSQPLANGEVTSGRDRDRDRDRDRDISGRSITHSRVEVDAVNGENDCVVYPPFEFSTTSPPSVITEGSQGGQWRRKITELDGVEDEEFPWWVLDCVLHNRLPPRENTKCSFYLQPYEGSALQILTQGKLSAPRILRIHKVVNYVVEKMVVEKPLDSLINDAGGQLPLPFVGDGSFKSMGLKPWQKLKPSVEILCNNQACLKS >cds-PLY84126.1 pep primary_assembly:Lsat_Salinas_v7:6:188013252:188015008:-1 gene:gene-LSAT_6X115101 transcript:rna-gnl|WGS:NBSK|LSAT_6X115101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVQVKFLGTQFGVGSPDVPMPNNISLVNDGFICSVPTMQGIRVMEVCCMKDPNAITNVTLPDEFLPRQTGDLTIMYDVIRTYESNYWAAVTIENHNPLGRLDNWNLTWDWMRDEFIGDIKGAYPFTRDSSSCIFGPQGAFYTSMDFSNVLNCERRPTLIDLPLEMTNNTQIGNIPFCCRNGTILPPTMDPSKSKSAFQIQVYKMPPDINRSELLPPQNFKINGRLNPDYNCGPPVRVSPSESPDSGGLPGSTAVSSWQVVCNITQPKGSSPRCCVSFSAYYNESIIPCPTCACGCRNTNPGTCSATAPALFLPSQAILVPFDNRTALATAWAHLKHRNVPSPLPCPDNCGVSINWHLLTDFRGGWSSRLTMFNWEDEAFADWFVAVEMDKSTPGFEKAYSFNGTILEVNRKNDTILMQGLPGLNYLVGEVDGASPEKDPRVPGKQQSVLSFTTKKMSGINVAGGDGFPTKVFFNGEECALPGLIPTNDSHRKKLEGVFSVVLAIIVLVLMQH >cds-PLY93773.1 pep primary_assembly:Lsat_Salinas_v7:6:142289500:142289841:-1 gene:gene-LSAT_6X84901 transcript:rna-gnl|WGS:NBSK|LSAT_6X84901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSGSRISTGSSNHKVDKEVLCDCELPSRIRTSKTKDNPGKKFRVCPNSLEPGKKCKFWEWIDEEPENIKPIAEDTLSDVADYLI >cds-PLY64404.1 pep primary_assembly:Lsat_Salinas_v7:4:24552780:24553854:1 gene:gene-LSAT_4X17520 transcript:rna-gnl|WGS:NBSK|LSAT_4X17520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQDVTSPKPAPPPQVETIPPMLTPTKIASFHQDDQEESNSNFQIVVLSQHSLIVQLTQSLGKSLTKVEKDVADMKCLWPWLTPNQTLQLTPRNRKASFSGGAHDAKVGSSSGTGDPSAPPPSKKSKLIFDKVREIMMERIMLLFDGRKKLEKENIRLPNLLKLLLLRMKALMIKSKKLNSSIIVWRNNTGFQT >cds-PLY86360.1 pep primary_assembly:Lsat_Salinas_v7:8:28921227:28926252:-1 gene:gene-LSAT_8X23521 transcript:rna-gnl|WGS:NBSK|LSAT_8X23521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTENLSRSVRPYEHHVLLCYKTREDWASRVESSHSDLLPKRRARAIKERKNDIAIKVTVPPEHSSFHFHKFNTSLSVHSSSPHHDHATNHLRSKDSSSNSLASFVTKVRMSDVIETETWVQRDGRIGTRSDWILKDYSNGDISGRATRSSDAQSGSMPTMPTMPEAGFPANPFDFSSMAGLLNDPNIKELAAQIAKDPSLNRMTEQLQQTFHAPDEGVPQFDTQQCYSTMQQVMQNPQFMTMAERLGNVMMQDPSMSQTAKETRATYFVASVRREYLEALLHECKKKEGAPVLIDDGLNDLIARRMNNQTDQSRWLEYVNYQQTRWLELDLKLVADIGIVGAPNARKSMFFSDISVDM >cds-PLY93387.1 pep primary_assembly:Lsat_Salinas_v7:9:65624057:65629948:-1 gene:gene-LSAT_9X55280 transcript:rna-gnl|WGS:NBSK|LSAT_9X55280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSGVPNFCVVLLALNDLGYKAKGIRLDFGDLAYLSCDKRKFFETIGMEFGVPRFGKTGITAVLSVFCFMGISDPVKFYHHHHHDHEKRSKSPPVSALLTREFLVTKKFKDILGNDSSKDCAVCLDEFNEEDEIRCLTNCKHMFHQKCLDRWMDQIQETCPLCRAPILPLVCQDEYKKRFRAFMGLDNFYGEDFVIMGL >cds-PLY84722.1 pep primary_assembly:Lsat_Salinas_v7:5:230264575:230267979:-1 gene:gene-LSAT_5X110501 transcript:rna-gnl|WGS:NBSK|LSAT_5X110501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELELPPVIHENYHLLVSAVALLFGIVSLYGLRSNLKTRRKSKEIWRKSSNNSGNDGLQSPETDGSTDVIIVGAGVAGAALACTLAKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVDGIEAQQVFGYAIYMNGKNTKLSYPLEKFDSDISGRSFHNGRFIQRMREKAATLPKVKLEQGTVTSLLEKEGTVQGVCYKTKDGQTLTAYAPLTIVCDGCFSNLRRSLCKPKVEVPSCFVGLVLENIDLPYANHGHVILADPSPILFYPISNTEVRCLVDVPGQKVPSISNGEMANYLKTVVAPQIPPELYDAFVAAVDKGNIRTMPNRSMPADPQPTPGALLMGDAFNMRHPLTGGGMTVALSDIVLLRDLLRPLRNLNDAPMLCHYLESFYTLRKASHLADSGPVSSTINTLAGALYKVFCASPDPARKEMRNACFDYLSLGGIYSEGPISLLSGLNPRPLILFLHFFAVAIYGVGRLLIPFPTPKRLWLGARLIMGASGIIFPIIRSEGVRPMFFPVTVPAYYRTPRVA >cds-PLY82722.1 pep primary_assembly:Lsat_Salinas_v7:2:144132633:144134540:-1 gene:gene-LSAT_2X72741 transcript:rna-gnl|WGS:NBSK|LSAT_2X72741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPQTAVNGGENECDWVWNEIKAEARRDAESEPSLASHLYSTIISHSSLIRSLSSPTHLSYALSLSLYNLCLNTFSSDPSLLSDTIADLRATRQRDSACISFATTGNPKEIKNDAKRTKRSMSEPSDSFSPEVQCKIAHIEEINSRIANFVKIPDYLSEGTKSALKCSGVSKLYSHQAESIQASISR >cds-PLY85721.1 pep primary_assembly:Lsat_Salinas_v7:1:46906149:46906825:-1 gene:gene-LSAT_1X41660 transcript:rna-gnl|WGS:NBSK|LSAT_1X41660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIFFDTVAHFLESRGMIDEAIEVATDPNYRFELGIQLLKLETAKLEMAEDCLKPANYLSGLLLLYSSLGDAEVIEKLALVAKDSDAWVVALSNNLSPWWPWVDEGDSPLTTTKGYIYFHS >cds-PLY88827.1 pep primary_assembly:Lsat_Salinas_v7:8:307919659:307922836:1 gene:gene-LSAT_8X167520 transcript:rna-gnl|WGS:NBSK|LSAT_8X167520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVSVVPASGVKEPSGNTVAAEKLPDEMNDMKIRDDREMEPAIVDGNGAETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGEAVAIKKVLQDKRYKNRELQTMRILDHPNVVALKHCFFSTTEKEELYLNLVLEYVPETVHRVIKHYNKMNQRMPMIYVKLYSYQIFRALAYIHGSIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSGGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEYKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSTALEAMIHPFFDELRDPAARLPNGRFLPPLFNFKPHELKGVPVEMVTKLVPEHARKHCAFLGL >cds-PLY65079.1 pep primary_assembly:Lsat_Salinas_v7:6:102429053:102431936:1 gene:gene-LSAT_6X67641 transcript:rna-gnl|WGS:NBSK|LSAT_6X67641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGSWFSAIKRFCTSSNSKQKKPVNEAEKKSLKKHGGGNRSFIPLFREPSSIEKILGEVDQQNLFMAHPHPPTLSQQSSETQQPGRPQSPPPPPSPRATSPPPPPPPPPPPRVAHQQTKIISDRPEPTLQQRHLSATRIQAAYRGYMERRKLRGLTGLVRLQGVVRGQHVKRQTVNAMKQMQLLVRLQTQIQSRRMQTIENQALKCQAQKTSASASASGVGKNQLEIGDEDWDASLITKEEREARLHRKVEALNKRERAMAYAYSHQLWKAAPKSAQNTLMGYPWWWNWLERQLPLNSQSAVENHQLTTPSPQSSLGRQKQAIRPSSSSPSRRSMAPSRTRQQMTPPSSRMMKFSKPRATGGSPYPVKDDDSLMSCPPFSVPNYMSPTLSAKAKARPTSNPKDRMPGTPGSTSMSVSSQRRFSFPVTPSTRGGSRKSPGSVGDFSVDSSFSIGRKPFNRFV >cds-PLY65980.1 pep primary_assembly:Lsat_Salinas_v7:4:137535885:137536187:1 gene:gene-LSAT_4X84900 transcript:rna-gnl|WGS:NBSK|LSAT_4X84900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLEGVITSPHRRSQTPFSPPSVKKQYTKEDELGSFSTVVRRHRYLLTALVLLAVLCTVYLYFAVTLGAGDICYGLNPTQKALCQVKLAKESIAKRKLKL >cds-PLY86003.1 pep primary_assembly:Lsat_Salinas_v7:1:48816160:48816933:1 gene:gene-LSAT_1X45380 transcript:rna-gnl|WGS:NBSK|LSAT_1X45380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSCCFNPRPPTVPPATTSTSQPPSCVRPKKEVSWRNQCVVGMACVIIGLQVEGIVVNNHDYAVAIEPKVVASKIKGKRWSGKRICPAWQLNSLETIVPEDLPRPYHRRRWEEIGDDLSRVAPPVKASPTTITAASSACFSM >cds-PLY88171.1 pep primary_assembly:Lsat_Salinas_v7:5:220398996:220400153:-1 gene:gene-LSAT_5X103200 transcript:rna-gnl|WGS:NBSK|LSAT_5X103200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSNDIIAPFILKTYQMVNDASLDGFIRWGIANNSFIVVEPLDFSQRLLPAYFKHNNFSSFVRQLNTYGFRKVDPDKWEFANEWFLRGQTQLLKNIERKRQIHNRNFMRGEEDEEDEMAMEIARLKQEQKALEQELVGMNKRLEATERRPEQMMALLHKVAEDPEILPRMMLEKDQRSKRLLKKKRQRNLIPPPPPSSSSRVKFEEEECQIIEGWTTSSPEAYYGNEPFWQSSSSPNTPSMAWPMSKVGSGGGGAAVNMEYAPMNGINRYVNFTDGIGGGYDINYSGGPSPEPDVRPSPAYPFSLLGGGF >cds-PLY88045.1 pep primary_assembly:Lsat_Salinas_v7:6:181581939:181583018:1 gene:gene-LSAT_6X109880 transcript:rna-gnl|WGS:NBSK|LSAT_6X109880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIVCFGEMLIDFVPDTAGVSLAESQGFLKAPGGAPANVACAITKLGGSSAFIGKVGKDEFGYMLADILKKNGVNADGVLFDEHARTALAFVTLKKNGEREFMFYRNPSADMLLKESELNMDLIKNAKIFHYGSISLITEPCRSAHMAAMKTAKQAGVLLSYDPNVRLPLWPSPEAARHGIMSIWNEADFIKVSDDEVAFLTQKDADSEEAVKSLWRDHFKLMVVTDGEKGCRYYTKVYMYI >cds-PLY64620.1 pep primary_assembly:Lsat_Salinas_v7:6:35612791:35616920:-1 gene:gene-LSAT_6X28301 transcript:rna-gnl|WGS:NBSK|LSAT_6X28301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHIQLSQELIFNSFKTVDGRGANVHITGGGCITLQYITNVIIHNIHIHHCYESGNTNVRSSPTHYGYRTKSDGDGISIFGSRDLWIDHCSLSHCKDGLIDAVMGSTGITISNNFFSHHDEVMLLGHSDDYLPDSGMQVTIAFNHFGEKLVQRMPRCRRGYIHVVNNVYSRWEMYAIGGSGNPTINSQGNRYTAPANPNAKEVTKRVETAQEHWRGWNWRSEGDILVNGAFFVASGEGLELKYEKAYSVEPKSAGLIDQLTMNSGVLGSRSNKLGKWTDGNGDAEVGIDGGENDYVMFEGSSASQIKLHLTSNKKERERRMKEEEERMRLPNGENEWGRRDEEERDLDCYCRVWTPSSICRVHGPVFAESTSLLSRQLRLI >cds-PLY64029.1 pep primary_assembly:Lsat_Salinas_v7:5:59574726:59575413:-1 gene:gene-LSAT_5X29061 transcript:rna-gnl|WGS:NBSK|LSAT_5X29061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKFKFSLSSFRLCRPKNTSHFFSPINPKVLEIAYPTSTIPSPPPTTPSSHQQSRISKSKTAPLTTTPETQNSPVSFTKISGDRRSKHKKTNNMECSSDESGWFSNSDRETDSMSISVDSYKKDHDETVLYVKNIGGGTTEKKMKKVEEKRKSRMMKESLTVVKWSKEPYDDFKKSMLEMILEKKMFEAKDLEQLLQCFLSLNSKHHHADIISAFTEIWELLFL >cds-PLY89270.1 pep primary_assembly:Lsat_Salinas_v7:3:250478320:250482041:-1 gene:gene-LSAT_3X138340 transcript:rna-gnl|WGS:NBSK|LSAT_3X138340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLECLLTADSDSSSASEKEKKRPTEAKAAEDARRRVEAEAAAEAKRKRDLEREAARQALLKDIGKKPLEKQSSVIGFVGSYA >cds-PLY69479.1 pep primary_assembly:Lsat_Salinas_v7:6:42346370:42346675:1 gene:gene-LSAT_6X33201 transcript:rna-gnl|WGS:NBSK|LSAT_6X33201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPTRVLPKTITFEATEGSSKVAKGTKKKKQVVKPKVVEEEVNKQTIPKKSRNNVLKRTKKTVSEKHSKLQPMNPTGVTTTQEGETHIQTFLSKKYKKI >cds-PLY61940.1 pep primary_assembly:Lsat_Salinas_v7:5:159630178:159631428:1 gene:gene-LSAT_5X69420 transcript:rna-gnl|WGS:NBSK|LSAT_5X69420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYKRGKGKDKDRDDFICDDDAPPKKMHKKDTSGDDSGDDDTIFICEVSKNRRVSVRNWQGRVFVDIREFYVKDGKQMPGKKGISLSIDQWKELRAHVDEIDKALA >cds-PLY92471.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:88535:95508:-1 gene:gene-LSAT_0X14521 transcript:rna-gnl|WGS:NBSK|LSAT_0X14521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSHYPIKSSAPKIIQDLKVIIHDTITIFPPHETEKRSMFLSNIDQVLNFNVETVHFFVADPQFPSQVVAEMLKSALSEALVVYDFLAGRLRLNLDTQRFEFDCNGAGAQFVVGSSEFELCEIGDLVYPNPGFRQLVQKSYDDLAIHDRPLCIIQLTSFKCGGFAIGVATNHATFDGISFKTFLQNLASLAADKPLATTPCNDRRLLAARSPPQIQFDHPELFKIPTGIDLPSPTVFDTPEGELDFKIFNLTSNDIAYLKQKAKDEPFSTNAKITGFNVVAAHVWRCKALSSGADYDPERVSTVLYAVDIRSRLGLPPSYAGNAVLSAYASARCKEIEEGPLSKLVEMVTEGTKRMTGEYAQSVIDWGEVNKGFPNGEFLISSWWRLGFADVEYPWGKPRYSCPVVYHRKDIILLFPDIVGESNNEVNVLVALPSKEMENFEALFHKFLA >cds-PLY73759.1 pep primary_assembly:Lsat_Salinas_v7:2:94861534:94862025:1 gene:gene-LSAT_2X41741 transcript:rna-gnl|WGS:NBSK|LSAT_2X41741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLTLYLGIERGNIACHKSEARFGTLLREGRLASRAFRDEAFWRSQVNFGPPNPATDEKALWYGVKGSVYVVTLPAFQRCLEDGPDAAERRPGSGFPTGGGGRRRPSQGTSRPTGNTGETWEGNPIGSQRIHSTCSLPDFIFIIFESGGKGSFFCNGKKTEQI >cds-PLY78769.1 pep primary_assembly:Lsat_Salinas_v7:9:49436644:49439208:-1 gene:gene-LSAT_9X41721 transcript:rna-gnl|WGS:NBSK|LSAT_9X41721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESISTAYTFSPKHTPLRSTLPVAPEQPKIKQTQKSFLNNVIVLPPYCQKIIAELLGTYILIFAGCGSALVDRDQSLTILGIAMVWGLSLMALIYTLGHISGAHFNPAVSIAFAITGRLPLIYLPMYVVSQLLGSVVACLTLKILFNHQNDTLPTLTRYSNPTTDLEAFLWEFIITFVLMFAINGAATDDRSSKELAGVAIGGTLMFNVIIAGPITGASMNPARSIGPAVVANDYKNLWIYIVAPILGSITSTIIYTLLRQPNQENQDIEVESTKNIYNNIYSQSMV >cds-PLY78324.1 pep primary_assembly:Lsat_Salinas_v7:2:181492336:181499408:1 gene:gene-LSAT_2X102681 transcript:rna-gnl|WGS:NBSK|LSAT_2X102681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFERGFPFPKHGNGDTWNSVARGMPIPRESGTVCNQDSLTATAGGNADTTEGANGIVQRANDWKPATHGHGTSFPHRNNSSWTPVTPDKLRSVGMQEKESGTDNWQDLIGMYTGLLKEETVDNTKKRTFSTSSDATSVPYFLSNDPANWKCNHLASIVCSKSSHEPQNYGIHLSNRSRGLQVETNTSKRSPSTSMLGTRQTHKLPDEHTSKGGATSSTITDSVPLAPITPAKHNDSQRVAENVNSPTNGDSPPSAVSTTHKEQVVPEDKEEQGVDLSKTPQQKTPTRRKKHRPRVIKPKKPNKDQKDGTTPGETRVKRKYVRRKGVENSETQQKTPGTSVGKRKYVRKKNIDKTKGQQQNTGDDTPVKSCRKQLNFDLGINLNVNPQDIEQGRKINGTKYTSLPVLNVPIPKTTHHAINVLARNMNMSPRENGYHMVPANLQKMQHSISLDERRGIKRQNSAMDSLFLYQKLLLGVAHRAHDHNIFLENNKKIKIQSEFQEPSRQMNGIYGNGSMMQLLHPYGQKVNPTTTNVINCQYRPESLQFHTQGHVIGWNQPPPIPPKEGIRYGVITYPATLLEKKQGALSGWRVEKDEVSVEYVTYKLQRMHIYDDKKKRENELVLYRGSNAIVPFEPIKKRNQRPKVDLDPETDRLWRLLMEKEGSEGGGGGGGENLEKDKEKWWENERRVFRGRADSFIARMHLVQGDRRFSRWKGSVVDSVIGVFLTQNVSDHLSSSAFMALAAKFPLKTSFQDGGCEKPIEVAEEEDIIKCHENIKEPATEDSFKSSTVQIVDEIRSSSGSNSEAEDLTAPNGENGAQKQEAEDLTTACDENGAKKQESAILEGIPMKVEESSKEQNVSQEPSSRAGANMSGSGSNSEAEDLTTAHGENGTQKQEAEDLTTSNEENGAKKQESAILEGMPIKVEANSKEQNVSQEPPNEAGANTSSSGSNSEAEDLKTAHDENGTQKQESAIIEEIPIKVKANSKEQNVSQESTSGAGANTSKEKKRIADDERNIAMNWDSLRKQAMSNGEKKDQSTDASDSLDYEAFRHAPVNEISDAIKERGMNNLLAERMQNFLNRLVRDHGKIDLEWLRDAPPEKAKEYLLSFRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLEMYPVLDSIQKYLWPRLCKLDQLTLYELHYQMITFGKVFCTKSKPNCNACPMRAECRHFASAFASARLALPGPEGKKIVASNAPIPTDPVPHVVITPMPLPEAENKSEGDFEKKCEPIIEEPTTPEPEATELTLSDIEEQYYEDSDEIPTIKLNMEEFTTNLQSIMQDKKLLQDDMSKALVALSPNAAYIPTPKLKDVSRLRTEHQVYELPDSHRLLEGLEKREPDDPSPYLLAIWTPGETANSIQPPERECSAQESGRLCEKTTCFSCNSIKEANSQVVRGTILIPCRTAMRGSFPLNGTYFQVNEMFADHASSLNPIDVPRAWIWNLPRRTVYFGTSVSTIFRGLSTQGIQYCFWKGFVCVRGFDRKTRAPRPLMARLHFPASKLIKIKNEAK >cds-PLY89826.1 pep primary_assembly:Lsat_Salinas_v7:4:323634610:323635616:1 gene:gene-LSAT_4X161380 transcript:rna-gnl|WGS:NBSK|LSAT_4X161380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVLATPFTALLLLFFFISAPPTSTTAEMESPTTQPDNLAPAPSPYAHSSFHKTCSLYSFFNGNCFPQLSSVYFAFSFVYLCFLVYWISVCFKVVPTFRRIHLLLAGLILVKALSLIYEAVDVTGTHRSDLFFYIPKFLSVVRLSIVIQLIASGWYFMEPIMQTVDRVVLLLLIPLQVLADVVKTVFGDTSNSVNDWMNLIDLITCGLMTYPSTFSLLSMCTGRMKAKDFEKLNGFRFFYMIVMLYLVFMSLVVEPVRKNGAIGLNAIDAEVYMLKANVVFELNSMYFYMICMCNMVRKHFALVVNHDESKGRCVESGI >cds-PLY65581.1 pep primary_assembly:Lsat_Salinas_v7:5:338971548:338971808:1 gene:gene-LSAT_5X190840 transcript:rna-gnl|WGS:NBSK|LSAT_5X190840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIIGTKMLFLHLLPLLFVFGLQNLTTTNIPPQPPPSHRPDVGGELINSVTGTTTEHHPPTYFSFDVAHPSTRTGDVSEVASFPI >cds-PLY63914.1 pep primary_assembly:Lsat_Salinas_v7:5:127705355:127707136:1 gene:gene-LSAT_5X57060 transcript:rna-gnl|WGS:NBSK|LSAT_5X57060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEFEHLRVPLHFIKLATNNFGASNFIAEGGFGKVYKGEFKAEFFQSGDPIVGAVKLLDRSKDQADVSFWREIMLLSSYNHKNLISLLGYCDESDQRIIVYEYASNKSLDFHIFGPNLTWIQRLKICVGAACGLQFLHDPRGSQQRVLHRDIKSANILLDENWNAKIADFGLSKYGPANQQHTFLFSDAKGTLGYCDPMYIETMLLTKESDVYSFGVVLFEVLCSRPCVDYSFSDLRRSLPLLVKKCYQELTLHTIIDANLSQQIEQNSLDTFVELAYQCLEKDRTKRPSMDLVVSKLQTALKYQEEFEGKQPETRTSVSQKNGDDSDKEQVAGLSFKFKKTNKPSWEYEKICPPGGRDLIILYTGCYTHIPKTFYDCSSIESLLDLYRVSYEKRDLLWNTSFKGELEEMLGKDVLPPRLFIKGKYIGGAEEIELLDEQGKLQLLLLA >cds-PLY95884.1 pep primary_assembly:Lsat_Salinas_v7:5:79797010:79797646:1 gene:gene-LSAT_5X37400 transcript:rna-gnl|WGS:NBSK|LSAT_5X37400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGDVNMEASISADDVMRAGGFGARDDIKCEIEGLLSILSKESMVEDLDFDDEGLEEWFPVEWLDCTVLSILASITKRRLSNQLEVSIA >cds-PLY87391.1 pep primary_assembly:Lsat_Salinas_v7:4:12426096:12426533:-1 gene:gene-LSAT_4X8200 transcript:rna-gnl|WGS:NBSK|LSAT_4X8200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLMRSFFKLSSCPLKGTDVGSSSSVLPPPNSHHPAVKQPKDPTREPPNSRHPNVSDGGGSSSFIKIQGNNHSDIGIADDANWYSGIQSSELKASVTNLVASDYIRRFHERNKHESVLLVLPPPPPPPPQQQPPPPLPQRQFLVK >cds-PLY76938.1 pep primary_assembly:Lsat_Salinas_v7:8:264181668:264222929:1 gene:gene-LSAT_8X153541 transcript:rna-gnl|WGS:NBSK|LSAT_8X153541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFVAQSLQQSCNLFNKLSTSILFVMFLLRVSYEVSGSRFLVKTLPGFDGDLPLTLETGYIGVGASDAVQLFYYFVESQGDPQSDPIMLMPTGGPGCSGLVNLMSKSGPLNINYLNSTWENQTLEINSDSWTKVASIIYLDLPAGCGFSYAKTPEAYITNDIFSATHAYQFLRKWLVDHPKFLNNPLYVASSSYSGIPVPIIVHEIYKGNEVGEMPKINIKGYLLGNPLTDINGDYNSKIPYAYRMALLSDAIYKSTQENCHGEYFNVDPNNTLCMHYLQVVNKCLERINTEHILEPQCDVANTLNSNLYRRGLRSFEKRYRDIWTLPQAHIQPCELAQENIRRYIPAWANRNDVRKALHVSEELDDIEWVRCNERMKFNFHETEDIPYNHNVQSSVPYHRLLTTRNCRALVYSGDHDLLVPYLGTLKWIESLNLLVTDDWRPWFFDEQIVGYTMTYSNHDYNLTFTTIKGEGHTPQEYKPKEHLSMIRKWLANDVL >cds-PLY70957.1 pep primary_assembly:Lsat_Salinas_v7:5:137897789:137898010:-1 gene:gene-LSAT_5X59461 transcript:rna-gnl|WGS:NBSK|LSAT_5X59461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCVVVHGWVACDLLSSAYCLRSSEMLVVVITTSTSTDGAAVALDSWYATTTAEDEISTNEVVVREANRVCNC >cds-PLY73733.1 pep primary_assembly:Lsat_Salinas_v7:8:170918269:170920673:1 gene:gene-LSAT_8X112001 transcript:rna-gnl|WGS:NBSK|LSAT_8X112001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDEKSKSGTGDENMVRITLRMIGPSRPSSMDVHSPIKVRDLRALIAGNARLPLENLRLILQGNVLHDSKYGDDISVHFNNGDTLIVAVKPKPPAKHIQNGFEDEEEELKFQLPELNSGWKKRLFIILHEKLKIPDMVLMAIFSLSLKVWALIIMWFILAPIAHRWDIGPLYILGTGFAIIFLNLGHRQQGDMR >cds-PLY77539.1 pep primary_assembly:Lsat_Salinas_v7:2:165461105:165462559:-1 gene:gene-LSAT_2X89801 transcript:rna-gnl|WGS:NBSK|LSAT_2X89801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCREGFMSPQTETKASVGFKAGVKDYKLTYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYGIEPVPGEENQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPTAYVKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIFKSQAETGEIKGHYLNATAGTCEEMMKRAIFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGIHFRVLAKALRMSGGDHIHSGTVVGKLEGEREITLGFVDLLRDDFIEKDRSRGIYFTQDWVSLPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLATEGNEIIREATKWSPELAAACEVWKEIKFEFQAMDTLDQ >cds-PLY61864.1 pep primary_assembly:Lsat_Salinas_v7:6:59714306:59716085:1 gene:gene-LSAT_6X44161 transcript:rna-gnl|WGS:NBSK|LSAT_6X44161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMNIIKPKPNPQLLLRDWQRRLRHECRNIDRQIRDIQREEKNVQKAIREAAKRNDMGSAKSLAKEIVQSRRTVNRLYENKAQLNSISMHLGESVAIARTVGHLSKSAEVMKIVNNLMKAPEMAITMQEFNKEMTKAGVIEEMVNDAVDSALDSEDLEDEIDEEVDKVLTAIAGETAAELPEAVRKERLKQPAKSNEEAEDEGVDDEEEMEEIRARLAKVRS >cds-PLY88062.1 pep primary_assembly:Lsat_Salinas_v7:6:183985678:183993538:1 gene:gene-LSAT_6X111621 transcript:rna-gnl|WGS:NBSK|LSAT_6X111621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT4G09960) TAIR;Acc:AT4G09960] MGRGKIEIKRIENNTNRQVTFCKRRNGLLKKAYELSVLCDAEIALIVFSTRGRLYEYANNNMRSTIEKYKKATSNTPNTFSLQETNAQFYQQEAKKLRQQIQMRQDSNRHLMGEGLDCLNMKELKQLETRLERGISKIRSKKHDMILAEMEFLQKREVELEHHNTFLRSKIAENERVQQHEVDGAEQYNAIQAYLARNSLQLNIMEPLEDAPSAYPLLPNKSLHIG >cds-PLY74650.1 pep primary_assembly:Lsat_Salinas_v7:4:196827850:196829884:1 gene:gene-LSAT_4X113220 transcript:rna-gnl|WGS:NBSK|LSAT_4X113220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSVTPTPILKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKVIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGNDINALEQHIKNLLSPSTPFFFNTLYDPYREGTDFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPRERNTRFVDAVLTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCMKVICDHMGWGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEELIPFFQATTLPKECTTVQSCYKELSKQVKAKLGKVDDYFNKLADAMLTWIEAWDELNPSGQQVVNGK >cds-PLY65956.1 pep primary_assembly:Lsat_Salinas_v7:4:139879141:139879976:1 gene:gene-LSAT_4X85860 transcript:rna-gnl|WGS:NBSK|LSAT_4X85860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARSQQRYRGVRQRHWGSWVSEIRHPILKTRIWLGTFETAEDAARAYDEAARLMCGTRARTNFEGSQSQSQSQSQSSSSKLLSATLRAKLHKCHMTSLAMTKKTEVGNQEDQRTHQRSPCSDTGEEFMENRGNFGNIVNWEGKVENIQQYKSSEDEHIEQMIQELLDYGTIEFCSVVQN >cds-PLY81449.1 pep primary_assembly:Lsat_Salinas_v7:5:334745189:334745733:1 gene:gene-LSAT_5X188040 transcript:rna-gnl|WGS:NBSK|LSAT_5X188040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALNHSSLEMLQEPSIDTDKLSYEIFSILESKFLFGYGYDDQELWQPKQWRNEEYLSRKSPSISGRRFEGKVRKNKTNGKRRFNPLSIMDLVRCDGADLYNQGKYYPLGVTLTESQIKDIVDWLSSCHRFSTGLNTYSLADVGYLELFY >cds-PLY84691.1 pep primary_assembly:Lsat_Salinas_v7:2:151373237:151377775:-1 gene:gene-LSAT_2X78280 transcript:rna-gnl|WGS:NBSK|LSAT_2X78280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTATASVSSPWIPEDDLLLKNSIEGGASLEALAKGAVKFSRRFTFREIRDRWYSLLYDPDVSAQASARMAELELSGSNPNPSSKFKLDNLKGTEKVLEKRKTGSIRKQYHSWRKRIKNEFFSSQNLGFYEERSLHNYNHPEHKFQDHVTTNHVAPGGDAMLDDCLANNLGFEEKDFEILRQAFPESIGSIATTATTTVIDNHNPNPFHMERCHKSVENDFINGREEEEEGDLFKFPEDISPSRNELIGSFDPIKNATCASETPPNLKTDKPESGFGGKHHFSSPVSDGSASFPLSFSSPSTRLPLWKTLEDVSAPDMPVDDNNAESHQVTEETLPPLPDDSDHIRENKTSPGVVVSLLGNRPNGFINSSGVHEGEYTDLPDSLLNLSNEDDILFMDVDVKDHMKDTMDDVPNQEVDLCNVDVIPGVQDDVASTSTSVSQIESVQLTEGQIICTLNREDPDIPCNDDIFLLIHPSTPFPPCIGQVATTDSMCPLSPSSHEKDEQGIITVRKGKDLTPPCFPRPSALPEFGSVFKREPSEVEYRAPLPVKPNNHLRIPGQTRSMQLHTPLEITRNGMVEEGGAKAELQGLGSPTLYNEMPLPLEVGSVKMIDPESMDDSNVSDQNNSDDDADIPYFSDVEAMILEMDLTHAQESCLASEVVRNEYEGSKKMIIRLEQAARSSFQRALSSQGALAIFYGRRLKHYIKKAEVTIGRSTDDTEVDIDLRKEGRANKISRRQAIIKMETDGSFSLKNLGASSISLNGKEVAHGQVVALSSTCLIEIRGMSFVFETNDKYVRRFLGKQRK >cds-PLY71480.1 pep primary_assembly:Lsat_Salinas_v7:7:191477760:191479287:1 gene:gene-LSAT_7X116380 transcript:rna-gnl|WGS:NBSK|LSAT_7X116380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVSIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTAGRPVGRGRGRGRGRGRGRGR >cds-PLY92819.1 pep primary_assembly:Lsat_Salinas_v7:2:149200544:149201897:-1 gene:gene-LSAT_2X75101 transcript:rna-gnl|WGS:NBSK|LSAT_2X75101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSWTRPSPKEQKDCISKSGTFNYDSKYRGATVKPLSVLKEDKELSKDGFLINHSKTLVGSGSEAYEKGKTALQTWRHFGLSWAFVDPKTPIENGVKFCACVKEFFPWLRMPLQVVYVNETRNPKMAVSSFGFSGGTLRGHLLSGEERFSVEMDEEKQVWYEILSFSKPAHPLSVIGYPYVFLRQKYFAHQSSDAVRKFVSSK >cds-PLY85658.1 pep primary_assembly:Lsat_Salinas_v7:6:84436470:84436802:1 gene:gene-LSAT_6X59080 transcript:rna-gnl|WGS:NBSK|LSAT_6X59080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVLFFVLFSSILLIKISSRVSSLNPDSVIKDDLDTIAEDEMMMESEASRRLLLMGRRYISYETLRRDVIPCGTPGASYYNCKGNGNGVANPYNRGCEIITMCARDAINT >cds-PLY93795.1 pep primary_assembly:Lsat_Salinas_v7:6:146857443:146859127:1 gene:gene-LSAT_6X89121 transcript:rna-gnl|WGS:NBSK|LSAT_6X89121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNVLLLNRGVGEGKNGDCSLQLQSQPGGPTATSSRFVDDPSANHIFFSDFPGESGGVNPRKRRRDFDMNQLMSIIHQQQFHNQLVDVTQLRSRNVDVSTGLRLAFNDQQHSLSSQSSVLPLFTEDLTTQINRQRDEIEHFLQAQGEELRRTLANKRQMHYSALLRAAEESVSRKMKDKDVEAEKAARRNAELEARAAHLSAEAQVWQARARAQEAEAAALQSQLQQAIVSGRRGGCCVGEGEEVGQRFADGEAEDAESAYIDPERVVLASGPGCKACGKRVASVVLLPCRHLCVCTECDGVVSACPLCLTFRSSSIEVYMS >cds-PLY62189.1 pep primary_assembly:Lsat_Salinas_v7:6:32159884:32161518:1 gene:gene-LSAT_6X24041 transcript:rna-gnl|WGS:NBSK|LSAT_6X24041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKLEKHKATQPPPPPSLFKLHSLSDTISTNCNMANEKILSYNDVILLQSDLTILKNPCFLNDRIIEFYFTHLSSLHSQQILFLPPSITFWITNCPDTDSLSDFLQPLNLPSKQLIFFPVNNNDDVAVAEGGTHWSLLVFFQTKNLFVHHDSFHGINNHHAKRLYKRVVSYATCDLDDTETRYMEYVRTPQQVNGFDCGLYVLAIAKEICRWFDGDGNKNEDDLWFLFVKERVTSISVSGMRREILELIRSLREKK >cds-PLY91821.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:22078:28442:-1 gene:gene-LSAT_0X33060 transcript:rna-gnl|WGS:NBSK|LSAT_0X33060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERNSILRVRLRMLIMLACFATIKATEADISCLRSIKESLEDPSHIFSSWDFNYMYEGFICTFAGVECWHDDNIVISIHLAGKKLRGSFPVGIKNCTNMATLDLSSNYISGPIPSDLGDGLDFIVDLNLSNNSLSGPIPRSIVNWRYINVLRLDNNNLTGQIPSDLTALDRIKVFNVTNNRLSGPVPIFSNGDFSAESYGNNLELCGGPLKACKDDNKHDDEGFFLSGLNRTCDAGYLTATPCQHMPPRHSSCATSTSSWPRVTTAHVTTLRDTSHVSASTNNNPTTVVDRISIAAPP >cds-PLY66592.1 pep primary_assembly:Lsat_Salinas_v7:6:167089784:167090575:1 gene:gene-LSAT_6X101960 transcript:rna-gnl|WGS:NBSK|LSAT_6X101960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNIYPHILLLVSIVFLALDLGESLHPHHFTYKVKGGYGESQNHKHPKEGKNVELGMDLYPTGSSIPDCSHACGPCFPCRRVMISFKCSMPESCPVVYRCTCRGRYYHVPSN >cds-PLY85347.1 pep primary_assembly:Lsat_Salinas_v7:5:244405889:244407247:-1 gene:gene-LSAT_5X121081 transcript:rna-gnl|WGS:NBSK|LSAT_5X121081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEEVILLNLWASMYGMKVMIALAEKGVSYEYREEDFRNKSQLLLKMNPVHKKFPVLIHNGKPICESNIIVEYIDEVWKDKAPLFPSDTYDKAHARFWADFIDKKIYQIGWKLYTRKGEEHEAARKEFMDSLKLIEGELGDKPYFGGDSFGYLDLSFIPFHSWFHAYETYGKLNIQQNFPKLIAWGKRCFQNKKSVSNTLPDSLKVLAFVQNFRKIFKLGE >cds-PLY61906.1 pep primary_assembly:Lsat_Salinas_v7:6:62160893:62162154:-1 gene:gene-LSAT_6X46001 transcript:rna-gnl|WGS:NBSK|LSAT_6X46001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METPNGKNWKKQNLFLEIPSRTPQASSSQESVQIKIGQTTIPTPKKVYFNLTQSPSDIKANGAPTTFSSRSKSSKKSLLPKFMNRNTIPDIEKTDENVIPSVSSCVPQEKPSIPRSWSLSKIFGPQRTSSLPVTPIAQSVLGNSGGSLNLETKVQVNIARSRSVPVLNEDITIKRMDSFFRVIPSTPRPKDFNTISPTPSPARDKDNEEGDGEDILEEEAVCRICLVELCEGGETLKMECSCKGELALAHKDCAVKWFSIKGNKTCDVCHQDVQNLPVTLLRIQSSVRNRINGASRPNPMEVDGYGQVHGNFTTSIL >cds-PLY96028.1 pep primary_assembly:Lsat_Salinas_v7:3:194515596:194519167:-1 gene:gene-LSAT_3X116040 transcript:rna-gnl|WGS:NBSK|LSAT_3X116040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSSNLLLYSLTLLIITLFPKPTITYASLEEANALLKWKESLEIPNNSLLSSWLPLPMKSNASVPCTSWFGVVCNTDWSIHGLNLSSAGLKGTLHQFPFSLLHNLTYFDLAMNNFFGPIPPEIRLLSKLVYLYFSENKFSGVIPSEIGNLHQLTIFYLDSNNISGSIPSSLGDLISLKVLYFDQNQLSGPIPIELENLKHLVDLELSNNQLSGSIPSSLGNMTSLKFLYLFQNQLSGLIPIELKNLKSLAELSISYNKLSGCIPTSLANLSNLQLLYLSKNKLSGPIPIELGNLKSLTDLQMSYNKLSGSIPLSLTNLSNLQVLCLSQNKLSGPILIQLGNLTSLTDLQLSHNQISGSIPSSLGNLSNLQTLYLNENKLFGPIPTELGNLKSLTDLVVYINQLSGSIPSSLANLSNIESLHLHGNNLSGPIPYELGNLKSLTHLQMGKNRLSGSIPSSLGNLSNVHILYLYENILSGPIPTELGNLNYVSALAVSSNQLSGSIPSSLANLSNLQNLHLDENMLSGSIPNEVGKLKSLTILEVGNNHLSGTIPPEFGNSTQLQRLALFSNHLVGEIPKEFGKMKSMLYMYLCDNQLSGNIPPELGSFNELLELDLSTNRLNGFIPSSIGQWSHIYELNLSNNKIGGKIPSEIGKLGQLIELDLSHNLLTGEIPSEVQSLKILQNMNMSHNRLCGSIPNAFTSLPSGIDINLSYNELTGRVPPCSNFLNASIKGNPGLCGNVTGIKACASQIIKKKKDPFKHKLILVIMLPLSCAILLGLFMCGFIAYQKQKKKSPQKPLEEESGDYFSITSFDGKVAYDDILKATNDFNEAYCIGTGGYGIVYKAELQPNNVVAVKKLHSSSENVDHNGFLNEIRALTNIRHRNIVKLYGYCSHARHSFLIYEYLEKGSLGSILRSDILAKELDWLKRVNIVKAIANGLAYMHHDCSPPIIHRDISIANILLDSDYEAHISDFGTSKLLKLDSSNWTAIAGTYGYIAPELAYTMVATEKCDVYSFGIVSLEVIMGKHPGELPTLSTDYLVLANVGDSRIPLPSPQVEKQVNLVLNLSRSCLNSNPQERPTMHQVSNLLMKT >cds-PLY65039.1 pep primary_assembly:Lsat_Salinas_v7:1:118246512:118246742:-1 gene:gene-LSAT_1X90820 transcript:rna-gnl|WGS:NBSK|LSAT_1X90820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHNILNGSSVILCLCVDVLNGGEHCGELVKECRGNMMGFRVPPTAVVDTGASQNAMEEAENGHLLDLQAEGNRME >cds-PLY70212.1 pep primary_assembly:Lsat_Salinas_v7:9:920403:924590:-1 gene:gene-LSAT_9X4580 transcript:rna-gnl|WGS:NBSK|LSAT_9X4580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAASVSTASDLAPTTHPIETFTKDVIISWFRGEFAAANAIIDALCGHLSRLEGGRCEYESVFAAIHRRRINWIPILQMQKYFSIADVTLELQKVTETKSKGVQKIEEEVDVSLPLKVQHHEIPKEDPNDRNRNRGVEAVDDDFTRLNSPTNEIKITDPGSEDAREALVEEENIKICSNQEDWEVNVVRGLKLYENILTHTELTRLNEYVNKLRVAGNNGQLSGETFIIYNQQSQAIKRELIQFGAPIFGQINAEVTSKSQDTHIEPIPDPLEGVIDHLIKYHLIPENRRPNSCIINFFDEGEFSQPFLKPPHLDKPISTLVLSESTMVFGRTLVCDKDGNYKGPLMLSLNQGSLLLMRGNSADMARHVMCQSPTKRISLTFFKVQTEDSYYEKNVRSATSSITMWQPNGNVNSKSKWDVLGAPQLVMLAPVNPMVLSLRRWPHGGGSGTGVFLPWSIGSQKPTNHLPPRVQRGRVTSVLTLPASSQPHTPDSTSTSSI >cds-PLY81166.1 pep primary_assembly:Lsat_Salinas_v7:9:20746603:20749330:-1 gene:gene-LSAT_9X19881 transcript:rna-gnl|WGS:NBSK|LSAT_9X19881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCSDDSQAKRVRVLELSRRLKHRGPDWSGLYQHGDCYLAHQRLAIIDPASGDQPLYNEDETIVVTVNGEIYNHEQLRESLTGHKFKTGSDCDVIAHLYEEHGENFIDMLDGMFSFVLLDTRDNTYIAARDAIGITSLYIGWGLDGSVWISSELKGLNDDCEHFEVFPPGHMYSSKTGGFRRWYNPPWFSEAIPSTPYDPLVLRHAFEDAVIKRLMTDVPFGVLLSGGLDSSLVAAITARHLSTTKAAKQWGAQLHSFCVGLEGSPDLKAAREVADYLGTVHHEFTFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKEELHRETCRKIKALHQYDCLRANKSTSAWGLEARVPFLDKEFINVAMSIDPEAKMINMDEKRIEKWILRRAFDDEDHPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAELHVTDKMMLNAAHIFPFNTPVTKEAYYYRMIFERFFPQNSAKLTVPGGASIACSTEKAIEWDASWSKNLDPSGRAALGVHNDAYKQQKVASIAAGNLATSLIDDVPRTMDIQAPGVVIRG >cds-PLY86668.1 pep primary_assembly:Lsat_Salinas_v7:4:320052361:320053070:1 gene:gene-LSAT_4X159841 transcript:rna-gnl|WGS:NBSK|LSAT_4X159841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHKQTHSPSAIPFSWETIPGVPKLMATPAAASIGMLDEVSKKVLSPPPPGSLRGSMKRSMSSRVFWREEDPFLAALKECSKDYKGKGDMKKRFGIWGSKSFSWCRCSFDVEEGNLRGRGRPLPSSGGPVIPRERVQSLIRIQKGLRK >cds-PLY87900.1 pep primary_assembly:Lsat_Salinas_v7:MU040310.1:72853:74714:-1 gene:gene-LSAT_0X30380 transcript:rna-gnl|WGS:NBSK|LSAT_0X30380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKYCVSPPLYADETYLEFTNEATDFSPAKEYDYIIVGGGTAGCPLAATLSEKYSVLLLERGGVANLDPNVLYEDNLFNPLLTANNNDSPAQTFTSEEGVLNARGRVLGGSSMINFGFYSRADDYFYKNSGIEWNSSGVKSAYEWVEDSIVTRPNHLGRWQTSMLNALLQSGVDPANGFTVDHVEGTKISGSTFDDSGRRHGSVELLNKAKPGNLKVVVHATVDRVIFSTSKSLGIAANGVRYHDSEGIYHEVHVRKPGEVILSAGALGSPQLLMISGVGPLSYLSSLKIPVVRDNPYIGKFMVDNPRPGINLLVPVASLDVGARVVGIVKNGPYIESSAAPRQVPFLGSLIPLNSSVLVIGGKIIGPKSSGSLQLISPSDVNVSPSVRFNYYSHTNDIIECGRVVEVLRDFLGTQAMEEYKFSDTSGARDFRYIGPSLPEDPSHLKSNEAFCRETLSTFWHFHGGCLVEKVVDSDLKVIGVDSLRVIDASIFTSSPGTNPQATLMMLGRYIGVKILNERPPN >cds-PLY68891.1 pep primary_assembly:Lsat_Salinas_v7:2:193035050:193038462:1 gene:gene-LSAT_2X113620 transcript:rna-gnl|WGS:NBSK|LSAT_2X113620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRHRHLSNSFTRFGGDQQDNNHTHTPVEHSYFPTGRSENGPFVGQNLMNHMSRGHRNLEGGSSRANEYPPPPPPSSNISMEVQPPFVPPFPHPSITGGHPAHYTNYNHLPNAHDMESGLLNHHHHHPTPNGVGGGPLKRKRSGSLNSLYTVGSSSSSSASQMPLEKPTLDPYRGNLTIDGQDSSRNVRRRYRHDDMESESSRSHVPNHFYQSAPVPHPPPPPPPPNYSSAAPHVPHYPAPSHIRDMRHEMNQFHVGGSSGDPAFSSSSRQNLHVHANNHSRRIHSSYGSASRYSHYGHGGTSSSTSGNGLRTPPDNFSPRNSRHWSPNGWRGNYSHRSGRPRIAVERFHSVVDVTESHDRIGHETLMMVDRGGSLYGNSRNFSDQYRDLRLDIDNMSYEELLNLEERIGSVNTGLSEDSMSKCLREKVYYSSSDQNQNQNQNHEEVSCPICLEEYKNGDAIGMMERCGHGYHVDCIKKWLLMKKLCPICKTECSNQ >cds-PLY69415.1 pep primary_assembly:Lsat_Salinas_v7:5:302010543:302013182:-1 gene:gene-LSAT_5X161440 transcript:rna-gnl|WGS:NBSK|LSAT_5X161440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGSANGATDLSSEMEVDAFRRLFPLRFHERHLLESVRPDARSLGKARETSLALGAVASADGSALAKIGCTTMLAAIKMEVMTPSKEAPDEGCVAIDFHMPPICSPIVRPGRPAEAAPVVSKQLSDTIISSGMIDLKELSLVSGKAAWMAYLDIYCLDADGALFDAALLAAAAAFSHLQIPVVSLNDDGRIVVVSKENGEKAKNKPVNEEKRKLKLRSIPFALTCILHKNYILADPTAEEESIMDTLITIVLDTSCQLVSLYKPGGPALAYESVLQDCIALSRQRVKELQKILNEAILDMDVD >cds-PLY68128.1 pep primary_assembly:Lsat_Salinas_v7:8:233175544:233184539:-1 gene:gene-LSAT_8X141000 transcript:rna-gnl|WGS:NBSK|LSAT_8X141000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELTAVCCVLGVASISMFFVWRLSNWLWFEPKKKEKLLRDQGFKGSSYKFMYGDLKDLVHMTSEAKLKPMSVTHDITPRVSPFMHKSFSTYGKNIFIWMGPTPMVQIYEPSMIREILSDYYQFQKPRGGNPLTRLLVTGLADVNADQWVKHRKIINPAFHVEKLKYMVPAFYVSCCEMINKWGEMLTNEGLCEVDVWPHIQTLTSDVISRTAFGSNFKEGKKIFELQREQAKLVMKAARSFYIPGLRYFPTKRNNSMKEIDREMKTTIKSIIDKRVIAMKAGESSKDDLLGILLDSNQKEIEKHGNRNFGLSIEEVIEECKIFYFAGQETTANMLVWTMILLGQHTDWQTRARDEVLHLFGKRKPDVDGLNHLKIINMIFCEVLRLYPPATIIRRLIYKETKLGNLTLPAHTLVEINPLFLHHDNDIWGDDANDFKPERFSEGVSKVNKGQASYLPFGGGPRICIGQNFAMLEAKMALVMVLQCFSFELSPSYSHAPHIIITLQPQFGAHLILHKI >cds-PLY87875.1 pep primary_assembly:Lsat_Salinas_v7:3:44130714:44133314:1 gene:gene-LSAT_0X8880 transcript:rna-gnl|WGS:NBSK|LSAT_0X8880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKQNKQDQSAQKEMNDYEKNRLLRIKENQARLKDLGVKSIENSLTSLVESQKPKKKQVKPTYIGARDSDYIPDLGDDNDGDYHEVARSVQVSKKQHRPQYIAPMSMNRLANLTRQRRVIAPNVSNKYPLVSNATKEKQSRSKTSMGDLILRNRTAKGKRADMEQFGLKDNVNEGRLAQCEGTFEQMKKYEHLEDESDAIDPYMIVMKKENDGYRRLYGRGVTNRLIKKVGGGDASYMIPTGLMESFKANEVERNELIEMRKEIQEDHEKKQAELEAMQIDIKKQQENLEAMMRKLAEQQPREGR >cds-PLY85903.1 pep primary_assembly:Lsat_Salinas_v7:2:189509835:189514058:1 gene:gene-LSAT_2X111261 transcript:rna-gnl|WGS:NBSK|LSAT_2X111261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTSQTPPYSPKSLKHPSSTHRYLPRSINYIIKEQRLLFTLIGILIGSAFVILKPSFTTISIADTGRGYQIPSMPRSTGISNQDTVSHYYRPVNKGVGRVPVAIGQKRRRVVVTGGSGFVGSHLVDKLIARGDDVIVIDNFFTGRKENVAHHFGNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPCDYKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGDDVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKQPMTVYGDGKQTRSFQYVSDLVDGLMALMEGEHIGPFNLGNPGEFTMLELAQVVKETIDPSATIEFKENTADDPQKRKPDISKAKNFLNWEPKITLREGLPRMAADFRNRILNEDEGKGVK >cds-PLY76176.1 pep primary_assembly:Lsat_Salinas_v7:4:52388694:52389725:-1 gene:gene-LSAT_4X34561 transcript:rna-gnl|WGS:NBSK|LSAT_4X34561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRSEGPHRGFLPIGNPFKMMMPKTSHLPSTFLTTFEKNLAKRFQQLKPNHPNDVITFSWMKSALSSICDTLDDVRKLIVDLELPICSWDIKWVDMYLDNSLNLLDFCSAFGTDIVRQRFGYVMLKCALLDLDSDNPQKLMKASSSLHEWRQYHNSYHNSKLQDCCDVISKLEQTLNLPKIKNIPKAKDLVRAMYGLKVQTMFIFSTFVAAFSTFPRVLVELQVPKLYLWQESFTELQVVVNGEIKNVYSSNGVSPLMELRRIEENVKKLYPLLHEGLGDVKDEDFKSYCSELMENNEKFLIGLDEIKSEMDRFFKVVVSGRMALLDNFQQQPPRSGVQQVRM >cds-PLY68512.1 pep primary_assembly:Lsat_Salinas_v7:2:213946133:213947862:-1 gene:gene-LSAT_2X134680 transcript:rna-gnl|WGS:NBSK|LSAT_2X134680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYIKKHHRHEISENQCTSVLLKHIKAPVHLVWSLVRRFDEPQKYKPFVSRCVVAEGNLEIGSLREVDVKSGLPATTSTERLELLDDDQHIFSIRIIGGDHRLRNYSSIISVHPEMTEGGRPGTLVVESFVVDVPEGNTKDETCYFVQALIKCNLKSLADVSERLAVQDRTEPIDRI >cds-PLY85306.1 pep primary_assembly:Lsat_Salinas_v7:5:326468588:326468938:-1 gene:gene-LSAT_5X181201 transcript:rna-gnl|WGS:NBSK|LSAT_5X181201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFVNTFVVLVIYLLICTTVMVCGRKIVGGEKKSCRNVNVRAALKNARTNYIGAKLGVKLVATIIKNLGGKVEGLGKGQTRGNHLGVFVGNNKERADNMFKNVGVAINSGDGGNTP >cds-PLY86083.1 pep primary_assembly:Lsat_Salinas_v7:7:139826015:139830620:-1 gene:gene-LSAT_7X82880 transcript:rna-gnl|WGS:NBSK|LSAT_7X82880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDDVNEEETEATSPTRDHHLLRRPFRTRYPGQVRLKAYIFDGFGEYCDKDWDLIEGNGKKFCWYHVELPKGNQKLSQSAQYLIDVLCPPLKLQDILSLVSNGPYCAHVDGALVFRVNSPGPASSKFTFRLAARITENSVITVSLGRVPRLGFSPVGQSLLTEIPSVESPSPKFGDEMVDRGGIVIKEHVLDFLLTMNHSEEADNPVPRSVSNLVVHVIDTHVDHLEDVVTKLEIGLDSVELDLDKGGFSLKKQLLNDRRFPKMLLDLQRLLQVIAHGEQVFPRVKEKCSTKSWFANEDINSLEELIGRLRRLKDNVGFISNRVKAVQAGLDSWQAEQINRKLYCLSFLSIIFLPLSIITGGFHKTHAIIDLGITYNPPRRSVPIIIGLGRCTRDAQAVFGRFSFDSDSICEGEENILLDRTAPVRVFAVVLDRRRGRCNLKLDVSNKDNLSMEINSRIKGVPLRLYMYDSSKKI >cds-PLY74332.1 pep primary_assembly:Lsat_Salinas_v7:6:175039:181656:-1 gene:gene-LSAT_6X1101 transcript:rna-gnl|WGS:NBSK|LSAT_6X1101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESIPRILLCLFVFLLLKNSESASPQAYRRDPGHPQWHHSAFQDVKDSIRSDVRSMLHSRAEVPFQVPLEVNVVLIGFSGDGGYRYTMDSQKLEEFLRVGFPSHRPSCLETGEPLDIEHHMVFNAFPAAQPELIALEKALKAAMVPSGTARESDFGREVPAFDVDASVVEPEFQKLYSYLFDFDNMGYNAEEADRPMPTAIFIVNFDKVRMDPRNHDIDFNNLKRDSNQLTEEEIKKQEGGYIYRYHYNGGGASQVWLGSGRFVVIDISAGPCTYGKIETEEGSVSSKTLPRLRNTMFPQSSVSANHHSTTHDNFIGQLAAIIGITVQHVIAPDVRFETVDLATRLLVPIIVLQNHNRFNIMEKGKNYSIDMEAIKAEVKKMVHKGEEVVIIGGSHSLHVHEKLAIAVSKAMRGHSLQETKKDGRFHVHTKTYLDGAILKEEMERSADVLASGLLEVSDPSLSDKFFLRQHWMDEPDGASDSILKHKPNWSYNFNSKSKKKKTKIVQKKQGDIHRTYGTRVVPVFVLSLADVDPHLMMDDESLLWTSNDVVIVLQHQSEKIPLSYVSETERRHVVPLQAQRHIVAGLASVVGGLSAPYEKASHIHERPVVNWLLAAGCHPFGPFSNASKISQLLQDVALRNTIYARVDSALHRIRETSESVQAFAGEYLKTPLGEAVKGKKNKTTTELWLEKFYKKETNLPEPFPHELVQRLEKYLDGLEEQLVDLSSLLYDHRLQDANVNSTEILQSSIFTQQYVDHVLENEREKMKCCEIKYKSPKQETSQSLVYAGILIAGLFVYFLVIFFSSPVTVR >cds-PLY69650.1 pep primary_assembly:Lsat_Salinas_v7:5:47408441:47408907:1 gene:gene-LSAT_5X22180 transcript:rna-gnl|WGS:NBSK|LSAT_5X22180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPEISEASAIQNMTRIPGKRGRNEILHELIEVSQDELKKNRPREDQVKSTGIAFGPSYQSASLGKGNPTKLHKRKHQIGSLYFDMRSKEMELAERHSKGFLIKAETQAKYGR >cds-PLY78054.1 pep primary_assembly:Lsat_Salinas_v7:4:353939690:353941694:1 gene:gene-LSAT_4X173961 transcript:rna-gnl|WGS:NBSK|LSAT_4X173961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPFQFPSSTLNVLCLFGFVEEFFLFYIHKKYPYGIENRYYDLLLVPICICIVSILLELITPKSNYSRLGRGVGLVLQGMWFVQIGISFYSSSITNGCFMREKSIGNFTIRCKGHHEFHRARAIATLQFSCHLALLVCFVAGVYSLLSRKHGIPWGGNKLISIAGHLKDPLEVVNVKAFDLQKNTWSIIKTYGKPHVSRGCQSVTVVGGTLVIFGGQDANQTLLNDLHNYSSL >cds-PLY78577.1 pep primary_assembly:Lsat_Salinas_v7:1:107964694:107967000:1 gene:gene-LSAT_1X84540 transcript:rna-gnl|WGS:NBSK|LSAT_1X84540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQEVEEGIEKVVDDLKEEVKKEILASLNDPTKHLNLLKLVDATQRLGIGYYFEKEINQALQQVFDAYGDHNWNGAGTSLWFRLMRQQGFLVSSDTFKTYRDKDGSFNESLKSDLEGLLDLYEASYLSMPGEVILDDALDFSRKCLDDMAKNHLLSNHVLSNEIHEALKQPLHKRLQRLEAIRYIPFYERQTFHNHSLLKLAKLGFNLLQSLHKKEICQISKWWKRFDIPTNVPYARDRLVECYFWALGTHSEPQYSVGRMWVARVLALGTLIDDTYDAYGTYEELVIFTEAIERWSITCVEDLPEYMKLLYQILMDLHEEMEEFLARMGKLHQLNYVKETIKEYIRSYMVEAKWNHENYTPTVEEHRDVTYITTAYMVLLVSSFAAEGNVKTDELFHWLFSYPPIVKASCGVCRVMDDIVTHKWEQERKHVASVIECYTKELDVNKEHLPGFFNEKVEDAWKEMNKESITCKDVKMTINIRVINLARIMDLLYKNKDHFTHVGEELISHVKSLLVDPIFI >cds-PLY80970.1 pep primary_assembly:Lsat_Salinas_v7:9:176962817:176965378:-1 gene:gene-LSAT_9X108541 transcript:rna-gnl|WGS:NBSK|LSAT_9X108541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAILARKSISAFRYRQLTVAGQVLQGPNICETVSGARSFATKHSFSTDKDDEEREKLAREISKDWSSVFERSINTLFLTELVRGLSLTLKYFFEPKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >cds-PLY70814.1 pep primary_assembly:Lsat_Salinas_v7:4:58399604:58409098:-1 gene:gene-LSAT_4X40140 transcript:rna-gnl|WGS:NBSK|LSAT_4X40140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAAGGFVTRALESMLKECSGKKYTSLQTAIQSYLENSKDINKEVKSSDASKVTSSEGGDTSVPETDNGTTRTEAEGDVAPSASNDAEVAENVVSGGSVKTTLASAGHSLEGAESELVLNPLRLAFETKNPKIIELALDCLHKLIAYDHLEGDPGLENGKNGPLFTDILNMVCSCVDNSSPDSTTLQVLKVLLTAVASAKFRVHGEALLGVIRVCYNIALNSKSPINQATSKAMLTQMISIVFRRMETDLASSHKKSGSSTKVEESFSGDENGQKSTPIESLDELHNLAGGTDIKGLEAVLDKAVKLEDGGKTPRGIDQEKMSIGQRDALLLFRTLCKMGMKEDNDEVTTKTRILSLELLQGLLEGVSHSFTKNFTIIDSVKAYLSYVLLRASVSQSPAIFQYATGIFSVLLLRFRESLKVEIGIFFPLIVLRSLEGSEYPLNLKLSVLRMLEKVCKDPQMLVDLYVNYDCDLDAPNSFERMVTTLSRIAQGTQSVDPNSVNATQIGSIKGSSLQCLVSVLKSLVDWEKLRRESKQSEDQKSIEEDSSAAESQVGSDVANNFEKVKAHKSTMEAAISEFNRHPVKGIEFLKSNSLVENTPVSIAQFLRNTPSLDKAMIGDYLGQHEEFPLAVMHAYVDSMSFSEMKFHTAIREFLRGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYILAYAVIMLNTDAHNPMVFPKMTKAEFVRMNATNDPEESAPTDLLEEIYDSIVQEEIKMKADNLGKSSKKPEAEERGGIIGILNLALPKQKNQSDTQSESEAIIRQTQAIFRNQGAKRGTFYTSYKIELVRPMVETVGWPLLATFAVSMEEKENKARVFLCMEGFKAGIHITHVLGMDTMRYAFLTSLIRYTFLHAPKDMRSKNVEALRTLLDISGTEPDALQDTWNAVLECTSRLEYTVSTPAMAATVMFGSNQISKDAVLQSLRELAGKPSEQVFVNSVKLPSESVVEFFTALCNVSAEELKQNPARVYSLQKLVEISYYNMARIRMVWARIWSVLANHFIAAGSHHDEKIAMYAIDSLRQLGMKYLERAELANFTFQNDILKPFVVLMRNSRSEIIRRLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDDLEPIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKTSHRISLKAIALLRICEDRLAEGLVPGGSLKPIDDNADATSDITEHYWFPMLAGLSDLTSDPRAEVSNCALEVLFDLLNERGSKFSANFWESIFHRVLFPIFDHVRHAGKETTLYGDEWVRETSVHSLQLLCNLFNTFYKEVCFMLPPLLNLLLDCAKKTDQSVASISLAALVHLIEVGGHQFSDSDWDTLLKSIRDASYTTQPLELLNALGIESGMTKSRSVVARDLEVHINDNGQVQENQEGRPSMDLHESEVSPGKVQNGSEAAADLQRSQTIGQRLMDNIFMRSFTSKPKNPASDIVVQATPSQSVDVVGGHESEDLSESPFMGTVRGKCITQLLLLGALDSIQKKYWSKLKAYQKMTILEILFSMLEFAASYNSYTNLRLRMQHLPSERPPLNLLRQELAGTCIYLDALQKTTCGVEKGEVDDDVAVKSDAEEKLVSFCGQVLKEASDFQSSIGEGTNNNMEVHQVLGLRSPIIVKVLKGMCVMDNQIFKKHLRSFYPLITKLVCCEQMDIRGALAELFSMQLNGLLQ >cds-PLY89235.1 pep primary_assembly:Lsat_Salinas_v7:5:311047452:311051209:1 gene:gene-LSAT_5X169541 transcript:rna-gnl|WGS:NBSK|LSAT_5X169541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALTASTRPLFNDFNPPSHSKNRDPPILRSHRTHFSNPSYLLSFPQISSSFSQINTQFRCPLFKSMPLVPSRKLNSKVRASAAGEEAYQEDFSWSSVILPFVFPALGGLLFGYDIGATSGATLSLQSAELSGTTWFNLSAVQLGLVVSGSLYGALFGSILVYPIADFLGRKRELLLAALLYLVGGSVTAYAPGLGVLLLGRVLYGLGIGLAMHGAPLYIAETCPSQIRGTLISLKELFIVLGILLGYFVGSFQIDAIGGWRYMFGFSAPIALLMGLGVWGLPQSPRWLLLRAVQGKASLQEYKEQAIVALSKLRGRPSGDKVSEKQIEDTLVTLKSAYGSDEESEGSIFEVFQGTSLKAFVIGGGLVLFQQITGQPSVLYYAGQILQTAGFSAAADATRVSVIIGVFKLLMTSIAILKVDDLGRKPLLIGGISGITLSLFLLSAYYKFLGGFPLVAVGALLLYVGCYQISFGPISWLMVSEIFPLRTRGKGISLAVLTNFGSNALVTFAFSPLKELLGAENLFLLFGAIALLSLGFVLLYVPETKGLTLEEIENKILK >cds-PLY66307.1 pep primary_assembly:Lsat_Salinas_v7:5:289141299:289142129:1 gene:gene-LSAT_5X158081 transcript:rna-gnl|WGS:NBSK|LSAT_5X158081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLCKPYIALASHTQSRSIAYISGSIFRCTTLESSLASAASSKPSLLRLYSFATNFTICLSKSNFDRITYNTSAAFAYF >cds-PLY64148.1 pep primary_assembly:Lsat_Salinas_v7:1:2623157:2624533:-1 gene:gene-LSAT_1X2141 transcript:rna-gnl|WGS:NBSK|LSAT_1X2141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLVAQDLSGTRIAIALWGSFAMKLNTYISQHNNDTAPVIILLRLAKLKIWGGQPQVGNCLFGSRLHINDDMPHILEFKSNLNALDTNVEYSSRTSQLNSDTVVANPEDYYLRFQIKNIDEILDFNED >cds-PLY75319.1 pep primary_assembly:Lsat_Salinas_v7:5:51940602:51941156:-1 gene:gene-LSAT_5X25221 transcript:rna-gnl|WGS:NBSK|LSAT_5X25221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGASDRSRHQVNSKWKDLQKKCNAFNGIYNRKMNSMASGRSEADVLQSSLSEYRRTINQKGFPHQQACEWLKDNVKWAFVTKAGEESPPPSSKRTKTSSSNAYTSDPQYPPGFSPQQQHSFSVEDSPPQRKRKGKKAASTSSIENDMFDLVKQIADINTATETQAEQTQRYREQKLCILEANEE >cds-PLY73212.1 pep primary_assembly:Lsat_Salinas_v7:8:178868660:178875496:-1 gene:gene-LSAT_8X116101 transcript:rna-gnl|WGS:NBSK|LSAT_8X116101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase EDR1 [Source:Projected from Arabidopsis thaliana (AT1G08720) UniProtKB/Swiss-Prot;Acc:Q9FPR3] MEKKKGMKNIFKKLHIGSNHDANRSSNDPTSSSSSSSCATADHRTSSTLTGQISVQSPAAAASPPQTSPTVNVTSRQQDYYSSEEEYQVQLALALSVSNSESRGDTDSDQIRAAKLLSLGQHSSNNHVPDRGDAAADKLSRQYWDYGLLDYEEKVVDGFYDVYGLSTETTSQGKMPSLSDLETDPQTSGFEVIIVNRTIDPALEELFQVAHCIALDCPPAEVSLLVQRLAELVTEHMGGPVRDANIIMARWMERSTELRTSLHTSIYPIGSLRVGLLRHRALLFKVLAESVGITCRLVRGSHYTGVEDDAVNIIKLDNESEFLVDLMAAPGTLIPADIFSGKDSSLKPNIPKSSQLPGVQSTKDFSLPSRPVSNNNINGASSQNIEVQNNTSQLDHIPSSAIGNSLYKGGRGPNAVSGGSRMNVNIVPYNTQNTPGPEDNKNLFTELNPFQIKGSGKASMQQADNKNTKINQVVSGRPPVPQMWKNRHAVNEVVAGPGPGRVYSDGLKSRDSYGGTLNQNSETGSSNNAAESEAAWAEWKNYRLSLEVVGNNNNNNNNNNAFSRNKEKLNVVRGEEDVRKGSEYRVNVENRKVVGPESSTSSSSSSSVVDPVIDDVGDCEIPWEDLVIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDFSGAALAEFKREVRIMQRLRHPNVVLFMGAVTRPPNLSIITEFLPRGSLYRIIHRPHCQIDEKRRIKMALDVAKGMNCLHTSIPTIVHRDLKSPNLLVDEDWNVKVCDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNENSNEKCDVYSFGVILWELATLRLPWSGMNPMQVVGAVGFQNRRLDIPKELDPLVGRIIWDCWQTDPNLRPSFAQLTVALKPLQRLAATTQIDDDQPIDI >cds-PLY71597.1 pep primary_assembly:Lsat_Salinas_v7:3:175003194:175005430:1 gene:gene-LSAT_3X104940 transcript:rna-gnl|WGS:NBSK|LSAT_3X104940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESLSSKKGMSLVEKAKRKVAMPNSCWQNAYGNLFAGCSEILADEEQRSRLAWHLSDCFQKDTGRPPFPYCHVKDPMVNCLKNLDKAAHTIYLEFYLETNSICHQLQTDAFKRQTERLVNELKKSAEYAEEKLEKIENQAEGLLHTSDHVQESLSSIDVQTQQVSQTSKNIQEHVSVVLQYSQSVYNQSLKLLDSQMELRNGQMKMNERLDEGMIMLNESTSKIGEEMKNLRNEAVEIEKEIGKVGDAMFMKMNRLQGKADDIENIAETSLDKQKQLIDSQTAALEILHTVTSFQSQALEESRGTLQQLIELGQSQQQELIQRQQQLKAAHDDLVKNSKSILAAQETFESKQASMFLAIEKLFDLHNAILLESRVIKSFLVYSILIFTLYMFTSTKQTYNVRSRLYIGLCVTFLIEFMVLRYGNEIEQQAWIISIVRLIFVFLASCQLLYAIYTFRDYETLNHQMLQSLIEKVNGMQGNKQSLCDDDDDDDDDDDVDWSSWVDTDLPEDESEDLDYKLPEEVGEASITNLVSRQYTLRHRHL >cds-PLY70046.1 pep primary_assembly:Lsat_Salinas_v7:5:201098767:201101744:1 gene:gene-LSAT_5X91461 transcript:rna-gnl|WGS:NBSK|LSAT_5X91461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-interacting protein 1-2 [Source:Projected from Arabidopsis thaliana (AT3G18060) UniProtKB/Swiss-Prot;Acc:Q9LV35] MAKLIETYACVPSTERGRGILISGDPKTNAFMYCNGRSVIIRYLDRPLDVEVYAEHAYQTTVARFSPNGEWIASADVSGTIRIYGTHNGFVLKNEFRVLSGRIDDIQWSADGMRIVASGDGKGKSFVRAFMWTLISTVLYRWDSGSNVGEFDGHSRRVLSCAFKPTRPFRIVSCGEDFLVNFYEGPPFKFKQSHRDHSNFVNCVRFSPDGNKFITVSSDKRGLLYDGKTAEVKGELSKEDAHTGSIYAVSWSPDSKQVLTVSADKTAKIWTISDDFNGTLSKTLTCPGSGGVEDMLVGCLWQNDYIVTVSLGGTIYLYSASDLDKDPTILCGHMKNVNSLAVLTKGPEKAILSSSYDGLIFKWLRGFGYNGKLERGDKNQIKCLAAVDEEIMSSGFDNKIWRIPLNGEECSEADIIDIGSQPKDLSLAINNHELALISIETGVVLLKGTQVLASVELGFTVSACAISPDGDEAIVGGQDGKLHMYSVKGDTLSEEAVLEKHRGAITVIRYSPDVSMFASADANREAVVWDRASREVKLKNMLYHTARINSLAWSPDNKMVATGSLDTCVIVYEIDKPASSRTTVKGANLGGVYSVAFVDEKTVISSGEDACIRLWEITPQ >cds-PLY80779.1 pep primary_assembly:Lsat_Salinas_v7:3:180024376:180027375:1 gene:gene-LSAT_3X108280 transcript:rna-gnl|WGS:NBSK|LSAT_3X108280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTFTNSQEKFYEQEINKGQFCHMNFEWCKTLYVALWCLFCSWNLEALFTSTNSFHDKLILCHLSLEKLAFAVMDANREEALRAKQIAEKKMENKEFSAALKIAQKAQQLYPELENISQLILVCEVHISSEKKSFGSDKDWYSILKIDPSSDDLSIKKQYRKLALVLHPDKNKFSGSTDAFKLIGEAQRVLLDPEKRTLHDNKRRAFSTTNWAPRQQPVVRQPQHSWNPVFPQGHSGNFAGDNFTGGRSGSGPGTGSFQFQRAKPGGSAVRLTFWTVCPFCSVRYQFYRDDVLNKPVHCQTCRKAFTAYELNIHAPQPSVPQRNTIPVPTPTPAPTPVPVPPKSKSKSKPTETQNVNKKRKKKVDESSESSDNGTSSSEEDEDEDEDSGDENSTESDENAPEKNQDSGRVGEQPRRSARPKRNVSYKENVNDHDHDHDDEAAPSKKRAPPSGTAKAKPEDMFWKAEVREPVKESQNQESHSNSDGKKVDVEDLESESEPEPEPEAEDEPESDPEVFECPDPEFNDFEKDRKEDSFTPGQIWALYDTEDAMPRFYAYIRKVQSPGFSLQITWLKPVPETDDETNWVEEELPVSCGNFKRGKPDKAEDLPMFSHLVTWEEVKRGIFNIIPRKGETWALFKDWNINWSNDGNGKYEYEFVEVLSNYDEGIGFRVSYLEKLAGFRCLFGQKEDGEMVIPESDKYRFSHMVPSCRMSGEEREGVPKGSYELDPAGLPASAFE >cds-PLY80856.1 pep primary_assembly:Lsat_Salinas_v7:4:326213942:326214226:1 gene:gene-LSAT_4X162280 transcript:rna-gnl|WGS:NBSK|LSAT_4X162280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNHQSCSSLFRSVEAQSDEDEGRRHFFRRGEGNNVGCKTKDYDDVSRGWFTYIRLKGFPVRGWWWQRRWGDEGGGGSTDDSGVGDALLQRKRN >cds-PLY63397.1 pep primary_assembly:Lsat_Salinas_v7:7:150749759:150750097:1 gene:gene-LSAT_7X89541 transcript:rna-gnl|WGS:NBSK|LSAT_7X89541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGVKVEYLLKAQESQIRTQITQNDERILTHSRTLSGKFLKLRDVLKERHEILSKQLKEMKVLLQTQIEDIQMLLDSEVKKFHENSTELHKKLDVVADTITRLIEDIISFNK >cds-PLY89497.1 pep primary_assembly:Lsat_Salinas_v7:4:148839586:148842286:-1 gene:gene-LSAT_4X92061 transcript:rna-gnl|WGS:NBSK|LSAT_4X92061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYFHFLLYLFLLILTVSATDQQRQTTFIVRVQNDAKPSIFPTHKHWYDSTLAALSDRSLPSSRIIHTYDTVFHGFSAKLSAVEASRLESAFGVLLVIPEQVRHLHTTRSPEFLGLKTSDSAGLLKESDFGSDLVIGVLDTGIWPERESFNDRGLGPVPVKWKGSCVASGGFPATSCNRKLIGAKYFSAGYESTNGKMNETVEHRSPRDSDGHGTHTASIAAGRYVFPASTLGYARGVAAGMAPKARLAAYKVCWSAGCFDSDILAAFDSAVNDGVDVISLSVGGVVVPYYLDAIAIGAFGASDHGVFVSASAGNGGPGGLTVTNIAPWVTTVGAGAIDRDFPADVKLGNGKLIPGVSVYGGPALAHHRLYPLIYAGNEGGDGYSASLCLEGSLDPNSVRGKIVLCDRGINSRAQKGEVVKKAGGMGMILANGVFDGEGLVADCHVLPATSVGASSGDEIRRYIMSASKSKSPPKATIIFKGTRINVRPAPVVASFSARGPNPESPEILKPDLIAPGLNILAAWPDKVGPSGLASDNRKTEFNILSGTSMACPHVSGLAALLKAAHPGWSPAAIKSALITTAYTLDNRGETMIDESTGNSSTVMDFGAGHVHPQKAMDPGLVYDISSYDYIDFLCNSNYTTKNIQVITRKHADCSRAKKAGHTGNLNFPSMTAVFQLYGKRKMSTHFIRTVTNVGEAKSVYTVSIKPPRGMNVTVEPMKLAFRREGQKLNFLVRVKVVAMKLSAGSSITKSGSIEWSDGKHVVRSPLVVTMQEPL >cds-PLY73706.1 pep primary_assembly:Lsat_Salinas_v7:8:171114074:171114322:1 gene:gene-LSAT_8X111900 transcript:rna-gnl|WGS:NBSK|LSAT_8X111900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTGSFSYGGLHASDLDIPIDNELLAMEMQVKMENLRNDFTVELVEVKEQMAMMQKRFNVSVWILILGVGVVLSWVYRSSK >cds-PLY90944.1 pep primary_assembly:Lsat_Salinas_v7:9:171498716:171498928:1 gene:gene-LSAT_9X106121 transcript:rna-gnl|WGS:NBSK|LSAT_9X106121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSLKSINKKTELPFHKVMHMVYNKHLGRVKDIDGLARSRKSKDHLQSWIKERKDIRRISSGGITPTLFS >cds-PLY62950.1 pep primary_assembly:Lsat_Salinas_v7:2:212521286:212523033:1 gene:gene-LSAT_2X131981 transcript:rna-gnl|WGS:NBSK|LSAT_2X131981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ACYB-2 [Source:Projected from Arabidopsis thaliana (AT4G25570) UniProtKB/TrEMBL;Acc:A0A178UZ09] MGLEINAVYLSYVVHVLAVAGAVMVLVWNIHFRGGLAWESSNKNLIFNVHPVLMLIGLVIMGGEAIVSYKALPFKKAEKKLIHLILHAIALILGIIGIYMAFKNHNESGLANLYSLHSWIGIGVIVLYGVQWIYGFLVFFYPGGTGDLRKESLPWHVLFGMFVYILAVANSALGFLEKLTFLESSGIDKYGSEAFLVNFTAIIAVLYGTFVLLTALSRAPAEDHHSYSAI >cds-PLY61726.1 pep primary_assembly:Lsat_Salinas_v7:5:218715742:218717717:-1 gene:gene-LSAT_5X100580 transcript:rna-gnl|WGS:NBSK|LSAT_5X100580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNRCSPEALLSIILGMSKEQKESVRSMGFGALLKMKITDIPLKLGFYVLQKFDSERMVIDIEGKELKVTAESVHDMLGIPIGGTKLTQLDQWPKDDTSYDEWKQQFKKDSIIRLSAIKNVIVSTTQADFNFKLNFLVLFVNTFCESTSMGRCNLFPLSYISRKTDISNIDWCNYVLDCLVRTKNSYIPYSDNSFFVGPSAFLVLFYADNIHSEALTVTRKRPTICYWSSEKIRYRETFEQEKGRFGVGELNEEFVNEQNEGDTDLVDSDSDKDEDHSVEAYESKISKMINSFERMKEKLNSKLNDAMTKFPEKESFRIFKEKMKNMIVEEKTESTTLFNFPINEIGVEGINLTPIMGQKTNDQTENEDKEGNGEEDSDNGASQPEVDYLLDSNEADNEGIKNDADKNKKEGEIRVKEKDAKRNENQNDEEEKDDHAEETNNHEETIQQTENQNLLDKVVDNIVDNVLGIGVSSLNSQEDEIWNHPEMKTIFDNIDIGSPMSTGKTNTLAEKEKSEGVHEQGTKVEKTKGDDTGK >cds-PLY67616.1 pep primary_assembly:Lsat_Salinas_v7:5:117921394:117923301:-1 gene:gene-LSAT_5X51620 transcript:rna-gnl|WGS:NBSK|LSAT_5X51620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMSNWRHTQGTSGGAVGDTSMESSDWRSQLQADSRQRILNRIMDALKSHIRVYGDEGLQKLMKIAVNFEETVYIAATCQSDYMRKICFKILTIETRSQNPMLDFISVNPSDPASLDSTNCEEWQEELYEKIKAMKVLYLPDLNELNLKILRRLQHNDFHPHQLNNEQLEKLRIFKNMLERFMAFLQIQKHNISVNYKDKLSTYEKQIVHVITSTRRKPRA >cds-PLY76315.1 pep primary_assembly:Lsat_Salinas_v7:5:296801230:296809027:1 gene:gene-LSAT_5X159601 transcript:rna-gnl|WGS:NBSK|LSAT_5X159601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEVKKVSPQDLQLVQNLIERCLQLYMSQKEVVSTLLHQAKIEPSFTELVWQKLEEENQDFFKAYHLRLIVKDQIMKFNKLLQTQADLMHQMSTSVPVSNGSHLPLLHQNPSCYSQDNNTTTNNNNNTQTQQGMKLENMNMQQSTVGQLSVLMTQNSNMGIMQGMKSESGYTDASSFLYNPSNNVEERHSVMTDVHVPIPSFVTEESNPKHVIVNESMDSSSFGFLGQIPRSFSLSDLTADFSISSDILDNYTKSPYLASDTDFLNPHGNGGIQQGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGAIDFDEFVYMMTAKIGERDSKQELTKAFQIIDQDKNGKISVSDIKNIAKELGEHFTDAEIHEMVEEADRDHDGEVSVDEFMRMMKRTSYGY >cds-PLY79999.1 pep primary_assembly:Lsat_Salinas_v7:9:46298370:46301381:-1 gene:gene-LSAT_9X42801 transcript:rna-gnl|WGS:NBSK|LSAT_9X42801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMSFQALGFLLVLSFIHSQAAVLQYTFMIQETNYTRLCSSKNILTVNGQFPGPTITARRGDTVIVDVINGGDHNITIHWHGVKQPRYPWSDGPEFVTQCPIQPGANFSQRVLLSDEEGTLWWHAHSDWSRATIHGLFVILPRLGTTYPFTKPDAEVPVVLGEWWQNDIQTVFEDFITGGGDPASSDALTINGQPGDLYNCSSSETTKIKVDEGKTYMLRMVNAAMNNIAFFAIANHSLTVVGGDGAYMKPLISNYITIAPGQTLDLLLTANQPAGGRYYMAAKLFNGQPSSLFDNTTTTAILEYNTGNFTPSSQAPVFPSLPDFNDSNASFNFTSSLRSLATPEHPIDVPLNIKKTLLYTLSINTVPCSVNETCLGPGGRRFAASINNITFDMPSTSVLGAYYRGINGVYGNDFPDVPPFRFNYTASSLNRSLQFPETGTDVEMLKHNETVELVFQGTNLVAGVDHPMHLHGHSFYVVGSGFGNFNRKRDSLNYNLVDPPLMQTIAVPQNGWTAIRFKADNPGVWFMHCHLERHVSWGMGMVFIVRNGKSSNARILPPPPDMPPC >cds-PLY95767.1 pep primary_assembly:Lsat_Salinas_v7:3:28144842:28145429:-1 gene:gene-LSAT_3X20000 transcript:rna-gnl|WGS:NBSK|LSAT_3X20000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRALPLSFSGFFLEKCDSIRQRSSGEIFIGGLITIIGRAIGLDFPAPEYIPVDTPPNFLLDCDALIWMEMLLDRGTRTYSWLNSDKAPVYILPIWIGSSFDTDDPNTWLPPDQLTQVGVFPEFDDDEGDDAEEQEEEDDEEDDEMPEAEDHFDQPSMQQPMFQHDQFHAPPQRFDQPHQQGGTRSPTIFPPYVL >cds-PLY76954.1 pep primary_assembly:Lsat_Salinas_v7:6:64057546:64057728:-1 gene:gene-LSAT_6X46280 transcript:rna-gnl|WGS:NBSK|LSAT_6X46280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCCGGCNPGYSCPTHQHSLFHLRCLATMGMAIAALDWWSPALTGVVALGGERRYKDGGG >cds-PLY88770.1 pep primary_assembly:Lsat_Salinas_v7:4:169315187:169316068:-1 gene:gene-LSAT_4X100240 transcript:rna-gnl|WGS:NBSK|LSAT_4X100240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQNLPVLPKKVWSLLRIFYFMLRKGISKKKLWLDLNMMMKRAKILGKTLQNLMFHHHHQWAAFATNHPSHHLSFPSPPSSESEFSCTASPSNDNHNFSLFPVHKKDHHLKNQQVEDLDVVAFNAAVLKAMEVIRSETASPALPGFGRSPMVRQLRITDSPFPLNGVEEDNQVDEAAEQFISRFYNDLRRQSMKVPFGSCSPMK >cds-PLY94126.1 pep primary_assembly:Lsat_Salinas_v7:8:29492800:29493709:1 gene:gene-LSAT_8X23780 transcript:rna-gnl|WGS:NBSK|LSAT_8X23780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLTGHVIIMDQFASTITLVVKQNQNLIVDLDFSKYPKVGQPLIVCLNHSVLRKALVYYEEVPLSALILAYSTSNYNKSTYVMSFDIQGKKAVISKASFCKLLGLPVGEGYSNPDHVSYANMLKAMLSKVPLPNEVISIYKKILNSIRGMFQLNCKMFLILV >cds-PLY72325.1 pep primary_assembly:Lsat_Salinas_v7:4:60526200:60527693:-1 gene:gene-LSAT_4X40501 transcript:rna-gnl|WGS:NBSK|LSAT_4X40501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIITSVTIDVKRYLIIIKKSNTRFQNCPDLIDALFSLKPPTLRSSTSALQSRISGATLSHLQRHRCTAETFQQTLGVQMSKGLIWATADDLARNRGKVLSLYRQILRSLNSPDLPLNLAARLHRKAQARAIFMLAAEERSIHNIKDLFDIADYSLSLLRKGEIPKYIQ >cds-PLY98146.1 pep primary_assembly:Lsat_Salinas_v7:1:140424799:140432788:-1 gene:gene-LSAT_1X101761 transcript:rna-gnl|WGS:NBSK|LSAT_1X101761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSELSEGSSSSSSTHGHRYDVFLSFRGVDTRHGFTNHLYNTLMHANITTFLDDEEIETGEDLKPELESAIKSSRASVIVLSKNYATSTWCLDELVLILEQRMKSNHVVIPIFYHVEPTHVRKQTGSFGDAMEKHRQRMEAETDANKRSKLAQKMEKWNKALIEVADLKGKDVKDRLEVEFIDEIVKNIFRRLHISSRFPLPQLIGMEDSINFVTSWLKDASSHTIDILTILGMGGIGKTSLAKYVYALHSHEFDTSSFVEDINRKRDEKSNGMLDVQKQLYNDISKPSSLQVLDGSIYTSMIENAVARKKVFLVLDDIGSIDQLDKLLGSKGFHPGSKILITTKDAWLTQSSSPFKTNIKPKYAEHTVKGLSTIDSQKLLCFHAFMSNDPKAGYEDVSRKLVKYCEGHPMALKILGRSLHNRDVTYWDGYIDRLKKENYSPINTVLRMSFDSLPLENDKELFKHIACIFVGMDRYVTITILEACDVETRSGITNLIDRGLLSIGWNKELTMHQLVQEMGRFVVREESLYNPWERSRIWGHESFRALKQKKKMENALGLTLDMGMLEKEKLHGSLELKTDALSKMDRLMLLQLNYVQITGSYKNFPEELRWLCMHGFPLKSIPLDLPVENLVALDMSYSNIESFGIFYSYPQRLHKRLKQLIGSCSKDKRLLGSLKILNLSFCEQLHSLGGFDHLPKLERLILKGCIGLLEICESIEQCHELVHIDLSYCKKLEKLPRSLGMLKKVRTLLLNGCYFGESQIKIRDMDSLEMLKTNHIGISSITSSSTFFKAIPRDPKLFSIFLPRSLVSLSLANNNLSTESFTIDFSSLYFLKLLVLDGNPIVSLPNSVGSLPRLETLSMVNCTMLASLEYPPHTLKYLNLNHNSDYKTLLRKVVFDPRMSPLEFFMNWKTPSSFEFEGVIKIQPMVGVEEKVLHCLGWTNLDFIKGRHVTTSVSYRELEESEIQMYYEFGIFSTIYEGEEMPNWISDRSMGTSISFTIPSSLNRLKGLNFCFVLTPHHQHRIHEVPVMKISNITKNLTWIYLHYIEIVNMGRKCLMLLSHWMFGMNEMDAGDHVTITVRLAQSDAVTKECGVSFVYDDGEEEEEDVLGYYKSWNHIIGGDLTAFQLTTGEYLLSKHRFLSPNIDIPFPSYIYLCGEGACLKGATVPYDLLGCATTSEMCGSEMLAVKAELGQKKEEKIESVGSGSCKSRSEVVSAGIDRRWEETSWWRWAGGGCRSSEGVGWKRSY >cds-PLY64022.1 pep primary_assembly:Lsat_Salinas_v7:4:296004212:296012685:-1 gene:gene-LSAT_4X152820 transcript:rna-gnl|WGS:NBSK|LSAT_4X152820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTRKADNPKSPPAAKRTPPARKSATKTPTTPPPNASQQSTSEPTITPKRASLPRGKTMATNDTTPTTPKTPVSAIKPQADTVKATPGTRSGSKRTVKKVVKKTVIKKKPKLTDAKAQPDLVADENDDELLTEKDSFEEVKDMEHAKQQESSPSDAKNSIKEEEHDDVCMPLKDDEDTMKDVEEGTDVKPSVESSHLRDVAKAIDNQEPEVDKKENMDAKEKENKEAIDDKSLKIQESFVEARIEEEEEPEEEPEEEPEVEQLIKNQEIIMENEKKVEIEEEPEEEPEEEPEVEQLIKNQEIIMENEKKVEIEEEPEEEPEEEPEVEQPIKNQEIIMENEKKVEIEEEPEEEPEEEPEVEQLVKNQEIIMENEKKVEIEEEPEEEPEEEPEVEQLIKNQEIIMEKEQKVEIEEEPMECNDDEVEMEKDMNEEVKREEDLQEHVCSSNEEKNKDNDVHEKEKKGEIEEEPMECYDDEVEMKKDTNEEAKGEACSQEHVCSSNEEKHKDNDVHEKEKKGEIEEEPMECNDDEVEMEKDPNKEVKGEEDPQERVSLTDEEKDNDTDIHEEDDKGVQQQQQEQSETRLEEEQAECKIAVEERKRRKEFEIFVGGLDRDATEEEVKKAFQYVGEVVDIRMHKELPTNKNKGYAFVRFANKEHVARALAQMKNPVIHGKRCGTAPSEDNDTLFLGNICNTWTKEDIRQKLKDYGVEGVEKITLVSDPQHKGLSRGFAFLEFSGHPDAMLAFKRLQKPDAIFGHPDKTVKVAFAEPLHEPNPEVLKEIKSVFIDGLPPHWDDDIVREYLKSYGVIDRIMLARNMSSAKRKDFGFVDFTTHDAAIACIDGLNKRELGHEKIKVKARLSNPSPKTQAVKGGIAGGFRYGHGNGASFKRAGRGFGRGPYPNNNMEFPRGGRGFYQHGQHGQTSRMGYTEDYPPAGAHPSFRGRHDFRQGGRWNNFRGPHQQSQGPMPFPPRYDDHHHHDNHHHHPMPMRGPPFMPEEQFNRPYEDPYIYGDSSRGVKRPYYAEQDPVYMEHSRVRPRFDYPEPPNSAPASHLRGGGSGMHSRDYYNNNYDYEGPYSSYYGGGDQRPYGGNQPYGGRYYY >cds-PLY78217.1 pep primary_assembly:Lsat_Salinas_v7:6:81110995:81112394:1 gene:gene-LSAT_6X57400 transcript:rna-gnl|WGS:NBSK|LSAT_6X57400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAELHSDVLEEIMKRLDMSNLIKCKSVCKSWKTFISSTSFVNFHMNLNYHLDCNESYIDRRIVMGRIASPYESRHYEFDDRFFDHRDCHLFGSSLGLVCISPSPSEILVANPATREAQRIKEHDITDAKLLCWGFGYDSFADDFKVVIGFTKGDGLTCFQVLASKSNEWKVIGDVNYSIDSRIGILCNGALHWVMKKNNKKVILSFCLSEEEFKEIPQPDNEEYESESTNASLPTMRLGVLDECLCVFHYDKVIDKIWMMTSYNVKQSWGIVGKDCERRVVLHSLKTLKHYIRYKKSWYRDIFLFRNRDFIGAPIYVETLVSPYVNGSPMKKRHLGDIKKRCKLLKGDPSVAGPSSRV >cds-PLY87715.1 pep primary_assembly:Lsat_Salinas_v7:1:5706487:5711910:1 gene:gene-LSAT_1X4861 transcript:rna-gnl|WGS:NBSK|LSAT_1X4861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDDFVYAPLVGQKVVVKETGFGFLEEFIVKKFSGEICLWVLERYDEKRNGIRIKNNEIHSTGEVFMTFMVLLENFEEDVSIKDLDWCGFLVTCLNRSKKLLKFKSNAKDIKSPQYTRHVAFLVLMYLHCTKTTLESLDILYYASSYWFPTKAEDKLKEAFSSGGYENVEVIKTFEEFVDDPKEKIDFMFKSYSCLKPTIDDWINRARNHFPGNQEILKEKICESAIGYVGIRYAMESLIPDCRIHKDVIDFWSAFLNDLVKFKGHATPIRFFMSCSLVEKIDFMFKSYSCLKPTIDDWINRARNHFPGNQEILKEKICESAIGYVGIRYAMESLIPDCRIHKDVIDFWSAFLNDLVKFKGHATPIRFFMSCSLVV >cds-PLY70298.1 pep primary_assembly:Lsat_Salinas_v7:2:91938219:91940262:-1 gene:gene-LSAT_2X40080 transcript:rna-gnl|WGS:NBSK|LSAT_2X40080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIVNMCRMRLDITMLDELIHEYCVYRGIVSSGAPNPSCEGMKIRHEPSESESTLSVEARSGSNKLVDADMDSPGTEERYLCGTMSDNHEDCSTSGNK >cds-PLY90282.1 pep primary_assembly:Lsat_Salinas_v7:3:247909175:247909563:1 gene:gene-LSAT_3X136321 transcript:rna-gnl|WGS:NBSK|LSAT_3X136321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTINSFEKGGSGGGPSECDGKYHSDNTPIVALSTPWYNHGKRCFKSINIYYKDRSVQATVVDECDTSRGCANDIVDASVAVWNALAVSKGEWGETKVTWSDA >cds-PLY68113.1 pep primary_assembly:Lsat_Salinas_v7:8:34231179:34231476:-1 gene:gene-LSAT_8X27201 transcript:rna-gnl|WGS:NBSK|LSAT_8X27201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVYERVRNEMRGEMDAKAAEMEAKHQQMREEMDAKATAIDVKQQQIDAKYEAMEKMYAALQNMMRN >cds-PLY78177.1 pep primary_assembly:Lsat_Salinas_v7:MU042388.1:7906:10719:1 gene:gene-LSAT_0X38960 transcript:rna-gnl|WGS:NBSK|LSAT_0X38960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVVRTDESRRAEIEEFRRMLLSCSGVVLKVTTEEEYNRRQVPAANRNEYTADQKLVCVTSGVSFLGIAIVKKLLLRGYSVRIIVDNEEDIERLREIEITEEAGGRSNITSNNQIGVVQANFNERGSLMEAFDGCCAVFHTAAFIDPSGLSGYSKIMAEVEARAAENIAEACAATSSVRNYVLTSSLLTCIWRDISDSCLSPVVDHNTWSDESFCKRKKLWYALGKLKAEHVSWKIAKEKGLKMATICSGLVTGPRYFCTNPSSTIAYLKGGQDMYDYGLLATVDVDKLADAHVYVYEEMNKSGGGRYVCYDKVVCSPNEVQSLEEETGVHINTSSNDFEFRFVLSNRKLDRLLSQVYRCSNLN >cds-PLY79042.1 pep primary_assembly:Lsat_Salinas_v7:3:7095596:7097212:-1 gene:gene-LSAT_3X4900 transcript:rna-gnl|WGS:NBSK|LSAT_3X4900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGKERQPKIHLRGLGMPNNHVVIWFHSSSLQVLISKFHPSNASTMFSDSSLLQQFLIEDWLLWLAYSQIANP >cds-PLY77815.1 pep primary_assembly:Lsat_Salinas_v7:8:13333264:13334436:-1 gene:gene-LSAT_8X10180 transcript:rna-gnl|WGS:NBSK|LSAT_8X10180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKKVASPVDMEKLPGDVLSNIFIRLLAKQLAQMRLVCKTWNALLSESSFIKYHLLHSIHNNNKKDEILLFFQKAVFPDFYSGRLGPLTARSTRSPRLELTDLTKLEFPINPQHEDPLIDVIGCVNGLICFSYATERDYNYFIRIWNPSLSVSLTLPPSPFSYNSCYHLGFGFDPKTDDYKVVNLAGFHQKPTQQQCEVYSMKKGSWELISQKVPSHIKGFIHQNEVCLNGHIHWLCVTDLELWPRPQTILTFDLSAMTYGEIPLPESMLPLHDHRYRFNVLGVLSEKLCLMSRISYGQCDVWVMDDDDDSWVKHHVFSQFSDRITPYGFTSHEEFFFQVDEGCRFALYDPNAAKIKIFKIEMRDPLDSLIIFKYVDSLVWIARCSVS >cds-PLY92511.1 pep primary_assembly:Lsat_Salinas_v7:4:322705668:322705940:-1 gene:gene-LSAT_4X160560 transcript:rna-gnl|WGS:NBSK|LSAT_4X160560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSKPPSAADQNASSGLLGVKANQNLILDLDPSMYDAYLQMLIEYPRHSSLATALSKIEHVPLSLLSKAYSTARYEEGSATTAFELGN >cds-PLY75241.1 pep primary_assembly:Lsat_Salinas_v7:7:66813660:66821483:1 gene:gene-LSAT_7X47401 transcript:rna-gnl|WGS:NBSK|LSAT_7X47401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTSEGGGGSSGSGGDSGPEEKNAGSSRSKFEHSGWVYHLGTNSIKRESCNRRFLHIKGKYVMMYKRDPHEHSGTKPIRRGVVGHTLKLEELGCRKVNDGDLYVLKFSNRLDEEKKGEIGCATAGETRKWMEALEQAKKQAELELSQTSNTRNKLSKENEIDLERQGHRNRVKRYASGLKRLISIKRGESLVRRSLSLSGSNKNVEFCEGDAADVIEGHEWKCVRTLRGVRIFEDTSIYKGNKGVLVKAVGIMDVSSDSAFEVILSLHRHQRYEWDALTSDLELVDSISGNSDVVYGSYDPRHINRWKCRRDFIFSRQWFRDQDGAYAILHFPAVHKKKPPRSGYRRTKVSPSTWEIKNLSTNVPSNGGRCLVTHMLEVHSKSWSKWKNNQSSKFEKTIPYALLNQASGLKAYLDIKYVPNTKPEERKHESSSSSSSSSSTTVSQNEVSSPNSEYEEDEIADQFYDAISAYSSSDDDDSDYDDAPLDTKHPLAITSAKKHRLPPRLSLCFDEQHQSPLPQKRTSRSPRAALLHTAATAAIHSHHISPSYGEDGGSITTGPDHPCADGELDPLSSPITVDPIQLPGSMSEGKSESDINCWTSPNGKGFMIRGKTYLQNNAKVAGGNPLLRLVAVDWFKVENPVGKVALHPKCLVQSDAGKKLPFVLVMNLQVPAKPNYSLVLYFAADRPIAKDSLLERFINGTNTFRDSRFKLIPSIVDGYWMVKRAVGSKACLLGKAVTCNYLRQDNFLEIDVDVGSSSVARSVVGIVLGYVTSIVVDLAILIEGKEQAELPEYILGTVRLNRVRLESAVPLES >cds-PLY81475.1 pep primary_assembly:Lsat_Salinas_v7:5:334366976:334369524:1 gene:gene-LSAT_5X183900 transcript:rna-gnl|WGS:NBSK|LSAT_5X183900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYEALQAILGVKIEVGEGFSITILKHFDVSEDLETDDAKKIKYCNSKLAVALSVMKECFLPIVEPRTRVDMIQNLVYNCGSNFKPLNHGGFFTAILEKDDEVISVASIRVHGKKLAEMPFIGTRKIYRWQGMCRRLLHSVESVLSFLGVEELVIPAIPNLLGTWTTVFGFKPLEESTRQNMKSMSIVVFPGTYMLQKHIPQNQSTN >cds-PLY97894.1 pep primary_assembly:Lsat_Salinas_v7:4:89878858:89882807:1 gene:gene-LSAT_4X59101 transcript:rna-gnl|WGS:NBSK|LSAT_4X59101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARIKPQALLQQSKKKKAPKSISIPIIALYKVVIVVMVFFLFATYRHWSQRSVMQTEERTSNFQVEDDFSDSKKSDVPRYVHGGDLNVAVNAHFTEGDRNIRQTTSIVAPPEDFMDIDDPTLPPQRPPLSLFPSARDINMNPFFLIQTSQEVYLIVGHKVYVEVEKSREQILKDPGKSLAALLGTINGLFLSLKAAIGHFSATHQIGFFVDGSYSFPLMFETLPDVNQESSQWTDCEIRDAINLIYLNINKLDVYLSLLHALLRMIWLGLMMDTACTVVARIILCIAGS >cds-PLY74717.1 pep primary_assembly:Lsat_Salinas_v7:5:25034616:25037231:1 gene:gene-LSAT_5X11720 transcript:rna-gnl|WGS:NBSK|LSAT_5X11720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNHGSSSSRRSASLTSSSSRKKPSENGNPDSGRTSLTNSRSSVGSTGEHTVKRLRLTKALTVPETTTIYEACCRMAARRADALLLTDSSALLSGILTDKDIATRVIAREIDFMNTPVSKVMTKNPIYVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGTTLPGPNTFVETLKERMFKPALSTIISDNSKIVTVSPFDTVVMVTKKMLEFRISSAIVTVDNKPRGILTSNDILTRVIAQDLPAESTLVEKVMTPNPECATIDTPIVDALHTMHDGKFLHLPVVDRDGYVVSIVDVLHITHAAIATVGNATGVNNNNNNNNNNNNEGGSSMMQKFWDSAMTLTPIEDEDETKSENSLKLTSEAGDTVKSVGYPSSTLPNSFAFKIQDKRGRMHRFICDARSLTDVITAILQRVGGEIDRNNLPQILYEDEDGDKVVLSTDHDLVAAVEHARLAGWKVCLVFFKANI >cds-PLY64300.1 pep primary_assembly:Lsat_Salinas_v7:5:83346815:83350724:-1 gene:gene-LSAT_5X37901 transcript:rna-gnl|WGS:NBSK|LSAT_5X37901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLTKHFEHLKIQLETIKSATNNFADDNCIGRGGFGKVYKGELVLYKGHTIVALKRLDTRFGQGHPEFWKEIIMLSQYKHENIVSLLGFCDEGNEKILVYEYASRRSLDLYLNNDDLTWMDRLNICIGVARGLAYLHNPGETQQRALHRDIKSSNILLDKNWNAKISDLGLSKLGPANQQYTFLVSNIVGTIGYCDPLYVETGLLTKESDVYSFGVVLFEVLCGRLCTSNKKDVHQSLTGLVRRHYKENNINDLIFGNLRDTINHKSLEAFITIAYQCLKRNLEERPLMVDVVRTLESALEYQVSSVVLMENTNPTSTTYIKHSHLLSPFGEACSRIDLTAIHEMLEKVGYKDDKVDELSFDMWTTQMSEDLMDRKRGDKAFQTKDFNVAIEFYTSFIKKVTKASPAVYARRCFCYLMNNIADAALRDAMHAEVLLPQWSTALFLQAAALFSLGMENDANEMLKDGSMLENQIKEN >cds-PLY91837.1 pep primary_assembly:Lsat_Salinas_v7:6:32793131:32796394:-1 gene:gene-LSAT_6X26160 transcript:rna-gnl|WGS:NBSK|LSAT_6X26160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGLGLAVGCAVASCAIASVMVGKRVRSRRRWWKVVRVLDEFEEAASTPVGRLRQVVDAMAVEMHAGLASEGGSKLKMLLTFVDNLPDGSENGIYYALHIGGTNFRLLRIQLGGQRSILSHDVERKPIPQHLMTSTSEELFDFIALSLKEFIERNGNNQIRGKDLGFTFSFPVKQTSLSSGTLIKWTKGFSIEDMVEKDVVECLQKALSRRGVDMNVAALVNDSVGTLALGHFYDKDTVAAVVIGTGTNACYLERADAVIKCQGLLTTSGGMVINMEWGNFWSSHLPRTSYDIDLDAESQNPNDQGFEKMISGMYLGDIVRRVIHKMSLESDIFGPISSKLSARFMLSTPLMAAMHEDDTPNLSEVAKILEETLNINDVPLKVRKLVVKICDVVTRRAARLAAAGIVGILKKIGRDGTAGITSGRVKSGKSGKMRRTVVAIEGGLYLSYRIFREYLNEAVAEILGEEIAPFVSLKVMEDGSGIGAAMLAASNSNVDTVVQVL >cds-PLY95689.1 pep primary_assembly:Lsat_Salinas_v7:2:116884457:116884804:1 gene:gene-LSAT_2X53741 transcript:rna-gnl|WGS:NBSK|LSAT_2X53741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDHLLRGVHDAGEDSSAPPIKKWKVTINIDTLARDWRFPVEEVQQILAKHIASKKQENDECYSSKLTMKYAFVDGGINVQLSSLQLFNNLVAKRKRISEVNDHIDQRKFENVLA >cds-PLY67690.1 pep primary_assembly:Lsat_Salinas_v7:4:4065862:4066086:1 gene:gene-LSAT_4X3181 transcript:rna-gnl|WGS:NBSK|LSAT_4X3181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVRHVVVSKLGEVVREKAKNISVDLRLKREEEIDATVVELLQLCCLGHRLRGVGKIEKTKSESFAIGSIVW >cds-PLY73415.1 pep primary_assembly:Lsat_Salinas_v7:1:40289513:40291562:1 gene:gene-LSAT_1X34800 transcript:rna-gnl|WGS:NBSK|LSAT_1X34800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLERVLSARRGAHMADEGDEHEGDESKTKKHLSIAIRITNYFIRTGYLCPILLLATAILLISSLFVRPRDLLCVSSISSFDRLSRNRFFGLDGLESDFGSLGVPWCRSKDGKTVDWTSKDLLQGLEEFVPIYESRPIKNNMYGMGFDHSFGLWFIARWLKPDLMIESGAFKGHSTWILRQAMPNTRIISITPRHPEKYLKKGPAYVDGNCTYFAGKDFVDFGSVDWKTVMKKHGVTDLTRVLIFFDDHQNELKRLKQALKAGFSNLVFEDNYDTGTGDHYSLRQICDQFYIQGGGHSCFEDGDEGRARTRRKKFWEKAVDTKELCGPGEVWWGVRGYMRDDFNHSNKPIQYTQHFQNSRFVESVLDVYWELPPVAGPSLTHQTRYDPARAPSPIVEDGRFGLFQRLGLSRLETSVFNGYTQMVYVQISPKKS >cds-PLY79381.1 pep primary_assembly:Lsat_Salinas_v7:9:2369409:2377866:-1 gene:gene-LSAT_9X5980 transcript:rna-gnl|WGS:NBSK|LSAT_9X5980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RSH1 [Source:Projected from Arabidopsis thaliana (AT4G02260) UniProtKB/TrEMBL;Acc:A0A178V5K1] MASAPNFSVSVECVSICKYPKGDGSGRYDCSPIPCAWKAPRALTGLLASTANSSALHVQSGRKIRRGHRCEAADMRARLSFEALDDFPPRRLVRSNLLHLACRKWAVSCSSSFPSGYDEVSPERLWKDLQPAIAYLTSDELKLVQAALNLAFEAHDGQKRRSGEPFIIHPVEVARILGELELDWESIAAGLLHDTVEDTNHVTFEKIEKEFGATVRHIVEGETKVSKLGKLKYKNESHSVQDVKAHDLRQMFLAMTEEVRVIIVKLADRLHNMRTLSHMPSHKQSSIAMETLQVFAPLAKLLGMYQIKSELENLSFMYTNPQDYAKVKRRVAELCKEQEKEIEEANKILIKKIEDDQFLDLMTVKTEVRSVCKEPYSIHKSVLKSKGSINEVNQIAQLRIIIKPKPCVGVGPLCNAQQICYHVLGLVHGIWTPIPRAMKDYIATPKPNGYQSLHTTVIPFLYESMFRLEVQIRTEEMDLIAERGIAAHYSGKVVVNGLVRHTVANDRYLRGKPVCLNNANVALRIGWLNAIREWQEEFVGNMSSREFVDTITKDLLGSRVFVFTPRGEIKNLPKGATVIDYAYMIHTDIGNSMVNGNIVPPLHVLANAEVVEIVTYNALSSKSAFQRHKQWLQHAKTRSARHKIMKFLKEQASQSASQLTADSVREFLADSGDDSEVEEVVTDYSKGIQHTWEKIVMNVMEMSSMKMMGADLFQLKNSSSSSSIKVPKVNGNHNKQVSVSLKGKAVYEGNGFANAKMMIHKEAVLPGLESWRDGKISSWTDFEGHSIQWMCVVCIDRRGMLADISKALGDVGVTICSCAAEVVRGKGMAVILFHVEARFENMVSACSQVDLVLGVLGWSTGCSWPGVKNTHQLREC >cds-PLY69735.1 pep primary_assembly:Lsat_Salinas_v7:8:117958899:117960900:1 gene:gene-LSAT_8X80661 transcript:rna-gnl|WGS:NBSK|LSAT_8X80661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54050) UniProtKB/Swiss-Prot;Acc:P25851] MAATTSSNLLHFSSSHSTSRLSPLKTALFSCSKRKLPVAGGGAATTTGGVRCMAVAAEAASVKKTSSYQIMTLTTWLLKQEQSGIIDAELTIVLSSISMACKQIASLVQRASISNMTGVQGAVNIQGEDQKKLDVISNEVFSNCLRSSGRTGIIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLPDLDDNSTLDTEEQRCIVNVCQPGTNLLAAGYCMYSSSVIFVLSIGTGVYSFTLDPMYGEFVLTQEKIQIPKSGKIYSFNEGNYQLWDDKLKKYMDDLKDPGPTGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDKKSKNGKLRLLYECAPMSYLVEQAGGKGSDGHQRVLDIQPTEIHQRVPLYIGSVDEVEKLEKYLA >cds-PLY80748.1 pep primary_assembly:Lsat_Salinas_v7:8:138661958:138663531:-1 gene:gene-LSAT_8X105280 transcript:rna-gnl|WGS:NBSK|LSAT_8X105280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDLKVIKKWVRQILNGLHYLHNQNPPVIHRDLKCDNIFLNGNNGKIKIGDLGLAIVMQQPTAKSFIGTPEFMAPGLYDEEYNELVDIYSFRMCLMEMVAFEYPYSECKNPAQIYKKVTSGIKPGSLSKVGDSELKAFIEKCLVPATERSSARKLLEDPFLKEATPRSLNFMMIYQSGLLKENANGSKYWAYGGDFGDTPNDLNFCLNGLIWPNRTPHPALNGDGCKLDSGTLNLPTLEFNWVIEGDFGSCNETQDIIFWHG >cds-PLY82560.1 pep primary_assembly:Lsat_Salinas_v7:2:182771862:182780632:1 gene:gene-LSAT_2X104661 transcript:rna-gnl|WGS:NBSK|LSAT_2X104661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVDDLGGVGPAPPDSWEVADLDATMSRLMLSSKRDNNSDSTTTTTSSPPLPPSEFASASSTPIRSSSGGVLENLVNSVDQFLREALQNPRERLSVLRMEQDVEKFIKDPSQQQMEFQQLPTSYLRLAAHRVAQHYSLQSMVLLDNTLPDGSGSRIIVRKTSECRLPPIRLADIPVSIPTEDLPTNAVKVAIKQRPNKRSQNSGGSNSNSLKNNSLKSVEERKEEYNRARARIFSSSSSSSGKQENEPSPRVQEVFQHIKIEEVSVPGDVAIGRSSVDSTAGSSRPGRSSRTEREREREPIVRSRSNSRVAIFRDREVERKDPDYDRNYDRYAQRFDPGFGFNGGNYQIQPMYTPVLNYNTEFPQLGSAHRSPISAEHQSHALSQHLPGPWVPPSSPAGIGYRPPDAMMTPYSPNPNHASPHSASALYMQYPCQRPGVTFIHPREQVQHYTQQHHRSKRRQGGSIINEREVGVGFVCLLLVGGFLQKKRKKWLQSLSHASFVRKIDSRKRRKGREMEKLNSELYLRNCRIIQENERLRKRAQQLDKENQALLTELKQKLSEPNCTQKPQLDLQLSSSGSQNEKNKSTKTKGQD >cds-PLY87580.1 pep primary_assembly:Lsat_Salinas_v7:8:112636639:112641456:1 gene:gene-LSAT_8X78840 transcript:rna-gnl|WGS:NBSK|LSAT_8X78840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKGAQNYGKKDNTGKASSSDSNSYAAWANETRECEEKYKVDREYGLSDAEVEKRLEIHGLNELEKHEGPSVFRLILDQFNDTLVRILLVAAVISFVLAWYDGDEGGEMEITAFVEPLVIFLILILNAIVGVWQESNAEKALEALKEIQSEHATVIRNGRKVTGLPAKELVPGDIVELRVGDKVPADMRVLNLISSTLRMEQGSLTGESEAVSKTTKPVAEETDIQGKKCIVFAGTTVVNGNCICLVTETGMNTELGKVHSQIHEASQSEEDTPLKKKLNEFGDQLTMLIGIICVLVWLINVKYFLTWEYVDGWPTNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVAKLVAMGPRANAVRSFNVEGSTYNPLDGKIQDWPAGKMDANLQTIAKIAALANDASIERSDKGYVAGGMPTEAALKVLVEKMGLPAGLDSGSSKNYNDLMGCSHAWNQNEHRIATLEFDRDRKSMGVIVSSNYGKKSLLVKGAVENLLERSSYIQLSDGSVVELDQKAKSVILDSLNELSSSALRVLGFAYKDDPSEFATYNGDEEHAAHKLLLNPASYPLIESNLTFAGLAGLRDPPRKEVRQAIEDCREAGIQVMVITGDNKNTAEAICREIGVFGPNEDISSKSITGRQFMEHHDQKGHLAQKGGLLFSRAEPRHKQEIVRLLKDAGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEAADMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAAIGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKYIMKKAPRRSDDSLISPWILFRYLVIGLYVGLATVGVFVIWFTHNSFLGIDLSGDNHSLVTFSQLRNWDQCKSWENFTVSPFKAGDQVFNFDSNPCDYFHTGKVKAMTLSLSVLVAIEMFNSLNALSEDESLLTMPPWVNPWLLLAMSISFGLHFLILYVPFLAQVFGIVPLSLNEWLLVLAVALPVILIDEVLKFVGRWTIGAQTRAKSSKHKAE >cds-PLY62900.1 pep primary_assembly:Lsat_Salinas_v7:4:328336564:328343785:1 gene:gene-LSAT_4X164080 transcript:rna-gnl|WGS:NBSK|LSAT_4X164080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cadmium/zinc-transporting ATPase HMA1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37270) UniProtKB/Swiss-Prot;Acc:Q9M3H5] MASMISSSSTSPPSKMAALRLPISLTISSNSPARRKRFRVNSLRPLLHKSLIFPPNSLSKPLPTRIFPITCHSKATHDHHHDHDQDHDHGHRHQHHHCGHHDHNGGEFKLTKSQKAFADFAKAVKWTQLADFLREHLELCCFSTALFLAAAACPYLVPKASAKLTQQLLVLLAFPLVGVSASYDALFDITGGKVNIHVLMALAAFASAFMGNSLEGGLLLAMFNLAHIAEEYFTSQSKVDVKELKENYPEFALVLDVNNQQSLNLSDLKYHEVPVNDLEVGSFILVKAGESVPVDCEVFKGRSTITIEHLTGEVKPLERDVGDSIPGGARNIDGMMILKAKKTWKDSMLNKIVQLTEEAQSRKPKLERWLDEFGESYSKAVIVLSISIAFIGPILFKWPFFSTPACRGSFYRALGLMVAASPCALAVAPLAYATAISACAKRGILLKGGHVFDSLASCHTIAFDKTGTLTTGEFMCKAIEPIHGHVTTDEAKSTTSCCIPTCEKEALAVAAAMEKGTTHPIGRAVINHSQEKELPAVCVESFENVPGRGLCATLSSIEPGFGGRQELKASLGSIEYITSQFNSKAESQKIKEAVFKSSHGTDLVRAALSVNNKKVTLFHFEDKPRIGTKDVIMELKQHGKLHVIMLTGDHELSARRVANAVGIDEIHCALKPEDKLNHVTNVPRDTGLVMVGDGINDAPALAAATVGVVLAQRASATAIAVADVLLLQDNISGVPFCIKKSRQTTSLVKLNVALALSSILLASLTSVMGFLPLWLTVLLHEGGTLVVCLNSIRALEDPSWSWRTDLLEGFNKLKSLVNMLTKNTPTIKVAPS >cds-PLY92794.1 pep primary_assembly:Lsat_Salinas_v7:2:149750773:149753347:-1 gene:gene-LSAT_2X75721 transcript:rna-gnl|WGS:NBSK|LSAT_2X75721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIVLVGTKLDLRDDKQFFVDHPNATPITSAQGEELKDTIGAPEYIECSSKTQLNVKQVFDAAIKVVLAPPKAKKKKGKGQKACSIL >cds-PLY64019.1 pep primary_assembly:Lsat_Salinas_v7:4:296185567:296187019:1 gene:gene-LSAT_4X152701 transcript:rna-gnl|WGS:NBSK|LSAT_4X152701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFSNPIFSSPLPLSPLRSHAPILLNFSFKTKATLDHSSSTNGPTSESPETEIFEDQLSQVLKNFDIQSLEIPKVMKTWKAEEHGRYFRNNMYLGEGTGLLRLHSTYRHDLKIYSSDEGRVHKDSSMLDGLDNTSIEMEEAKARLNELITTGVKAAQANELSNKKPWMVDGARLPLNVADVLPTLEKYLFNR >cds-PLY63834.1 pep primary_assembly:Lsat_Salinas_v7:7:102204223:102205002:-1 gene:gene-LSAT_7X67721 transcript:rna-gnl|WGS:NBSK|LSAT_7X67721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRGTERTSDIPFPLNPETRSDVIPVRLHFSETLPQARQPISHRRLFVNNVIVSITSFQVSQGDFISFKENDTLIYSEIRRSFYIEISVSKIIGKFLDSPVRMWRRTKTEWFRLLKTKRGCRLLLKDPFLQQLRSSMQDEDLERTKKFGSEKVCLGSSFAEHKRIKRHFYHFKSIFLSKRRNEKTLNLTTRKRSPIVYNSSFYSNLTSCSTHQSSMKRKIKSSSLSTHYSEVNHRTLKAVLSYGPNIGHIPHVRQEHIR >cds-PLY69098.1 pep primary_assembly:Lsat_Salinas_v7:5:276497506:276497826:-1 gene:gene-LSAT_5X145160 transcript:rna-gnl|WGS:NBSK|LSAT_5X145160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKESSYAPEDRLLRTILGMRKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGRFHII >cds-PLY69531.1 pep primary_assembly:Lsat_Salinas_v7:7:118909786:118910313:-1 gene:gene-LSAT_7X74201 transcript:rna-gnl|WGS:NBSK|LSAT_7X74201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSENRSQNPNVEDFVRRNATMIAMHVEENPNAVNQYRRRMYKCKDCDKEYDNFQALGGHRASHRSSLRTLESHSEVKIHECRICGNGFAIGQALGGHMRKHWLRKVDNEEKGLCHGNKSIWKAAHHDDHESCSSSSVTSNSNGKELFGYDLNMTPHENELKNGDGSHNLSFSEAS >cds-PLY97445.1 pep primary_assembly:Lsat_Salinas_v7:4:14679697:14681453:-1 gene:gene-LSAT_4X9960 transcript:rna-gnl|WGS:NBSK|LSAT_4X9960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLEEKDPRRIFEGEALMRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKTGMAKSIHHARVLIKQRHIRVGRQVVNVPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQKAAAKKASGGDADEDDEE >cds-PLY84207.1 pep primary_assembly:Lsat_Salinas_v7:7:78336115:78337419:1 gene:gene-LSAT_7X56120 transcript:rna-gnl|WGS:NBSK|LSAT_7X56120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKRKTFTRSEIQHPPTLENLLGDLLLNIFIRLLAKEVGQMRCVSKSWNALLSRSSFIKSHLHHSINNNDRTLLVFYNNISSSCNRKPFTTHPFRSPKLKLTNLIKLPLVNPRSVYSASIIKVIGSVHGLICSSYGNVIHIWNPSLSMVSTLSPHSKPPRYNSEIHFRFGFDLKTEDYKVVKLIGLTSTLPYLVKKWLQVEVYSMRKGSWEFITQRFPSDVTRIFDIDFVCADGHDGHLHWLGNFAEGEKRKVIVAFDLGSETFTQIPLPDSIPSNKHPNALGVLDGKICVMSEVVTDGVCEVWVMEEYGVAESWVKRHVFSMFLGDVVFGSTSHNEFLILDGDLRILLYNPTSRKAKILENFCRGKPIKGKIVEYVDSLVWVSTPAQCEMVDGVGQKIGMERCEV >cds-PLY71032.1 pep primary_assembly:Lsat_Salinas_v7:5:43556536:43558077:-1 gene:gene-LSAT_5X20780 transcript:rna-gnl|WGS:NBSK|LSAT_5X20780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNRCFNFIKPLSKWKIVCFSTHSLPNLDLFCHFSSQTPTKIPNPHGGSYSKKEQTLLSMFKKACTKKDIEQLHAHVVQTGFTQNLFVVGKIIVSCAVSNEGSMDYAISVFEKIENPDGFLWNTMIRGFARMNQVDKTFCYYKKMLDHGGVADNFTLSFLLKASGQSGSVLLGKQIHTSVIKHGLENHVFVRNTLIHMYGTLKNVHVARQLFDEMPKPDLVAWNTIIDCHVCCGKHKEALDLFSRMQEAHIKPDDATLVVILSACATLGALDLGTWVHSIINTRLLMNDISIVNSLIHMYTRCGELEEAQTIFTKTNNKNIVTWNTMILGFATHGHVQEAINIFSLMINKKLALPNDVTFLGVLTACSHGGMVEKGRQIFNKMIKEYHITPTIKHYGCMVDMLCRAGLVVEAYELVQNMPMECNSIIWRTILAGSRVHGNVKLAEIVRRNLLEVEDHSSDYVLLANTYASLEDWNQVSRVRQLMIDNGVQKPSPGNSFHIHNGNGIGLFCYER >cds-PLY68757.1 pep primary_assembly:Lsat_Salinas_v7:2:211510332:211511810:1 gene:gene-LSAT_2X131381 transcript:rna-gnl|WGS:NBSK|LSAT_2X131381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIPEKNRRAISKYLFQEGVCYAKKDYNLAKHPDIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSDIVPATLKKSAKPLGRPMGGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGPPGEFGGEKGGAPADYQPAFNRGDGGRPSFGRGGGGYGGGAGAPPSSSFS >cds-PLY98353.1 pep primary_assembly:Lsat_Salinas_v7:5:316440234:316441271:-1 gene:gene-LSAT_5X173521 transcript:rna-gnl|WGS:NBSK|LSAT_5X173521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNKQPIMKTRKLSLRDEDFPDESESMASRTRPRLEIEPIQIGIQMASPPPPSPPPPLTEDQDLNIHTDLRLCFYFEPSTLAPEQPQQPQQPPLFPPPPPLNENQDFNIQKDLRMCVYFPQSTPEPEQPPPPQPDDNRHELPSTHVPQPQSVVVAPPATRRQRRNPTHAPRQGKPPTIQPPFPWATDRRAQVHSRNYLTEIGITTISGDVHCKRCDESYQIEYNLVQKFSEVSHYVAENKYRFRERAPATWMNPTLPTCKLCHQDNCVKPKMAEKKKSVNWLFLLLGQMLGCCTLDQLKYFCKHTGHHRTGAKDRVLFLTYLGLCKQVDPDGPFDRGESGNGYYS >cds-PLY88701.1 pep primary_assembly:Lsat_Salinas_v7:5:69481241:69481831:1 gene:gene-LSAT_5X32781 transcript:rna-gnl|WGS:NBSK|LSAT_5X32781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKGKVKQKKNNPTVTPKKVMKKAVKSRRLSQVKTPEALKTKKGSTHVKKKPVKSADKMAKGEKKQKKMKGLSQVKTPEALKTKKVSTTTKKKRTEKSTTSAKI >cds-PLY62345.1 pep primary_assembly:Lsat_Salinas_v7:4:88185117:88185900:-1 gene:gene-LSAT_4X57601 transcript:rna-gnl|WGS:NBSK|LSAT_4X57601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYAMHGQFSVKSDVFSFGVLVLEMVTGQKNQCFRSGDNVEDLLSFAWKSWRNGTVADIIDATLKTGSGSLRDVIRSIHIGLLCVQENVIDRPTMASVVLMLNSFSLTLPLPLEPAFFMHSTIDPEMPLLKEFSSSNSGSSGFGKPQISKSKSRSSQVSVNDVSISEIIPR >cds-PLY91925.1 pep primary_assembly:Lsat_Salinas_v7:8:202137814:202152267:1 gene:gene-LSAT_8X128321 transcript:rna-gnl|WGS:NBSK|LSAT_8X128321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPGFIDSTYPTHVFLAKFNEEEEEAHGVYEVGRGNKALKLKVEHNGMNFDSCTPGQYTLLICPLCKGGQSKERTLSFHKNQNEKVAIWRCFDFECGLSGHVLADVGSIQDEVNKVNVPKKPSEETLCLEPLGDELIEYFATQKISEEILKKNVVMQMIDDKNVIAYTYRRNGELVNYKFRSITSRKFWQLPQLTAFGRFASISPLTMVLLVTTMKDAYEDWRRHRSNEIENNHLKSVLVNNSYEQKKWKDIQLGEIIKFPTSATIPCDIVLLSTSDPTGVAYFQTINLDRESNLKAHYAKYLSASASNANTPLCNTLNGCSILIQISVSILGESDAK >cds-PLY89046.1 pep primary_assembly:Lsat_Salinas_v7:9:28823939:28830442:-1 gene:gene-LSAT_9X25441 transcript:rna-gnl|WGS:NBSK|LSAT_9X25441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECILNIQSLAGEYFCPVCRTLVYPHEALQTQCTHLYCKPCLTYVVGSTQACPYDGYLVTEAGSKPLMESNKALAETIGKTNVHCLYHRSGCVWQGPLSECTSHCSGCAFGNSPVVCNRCGLQIVHRQVQEHAQTCNVNGTNSQPQGSDTAQDAAVSVDQSKIANQTAAPASQPHQVAAQPQTATAPSNAQNLNQTPPTNPLPQVAPATAVPAPEQWYQQQQQQQYQQYYQQYPGYDPYQQQTYQQYYPYQQQPQNQPPVQPQPQSQPQIQAPIQPQPQIQPQPQAQGPPQFQMHSQAQPQIPSNVQPQPLYSQAAVVGSSQNQAQVNPQQQVHPGGQIQSQNQPLAHGHMPPQSYQQVQPHPAQSHAQSQPQPQMQVPQYQQPHSQMHYSQPPQAHPPFQPLPLPPPQSQPMNPQHPPVQSVAGHPSYPSQPHQPIQHPPPMQVQPPTGSLPPTQFPQPPPHMRPTQLPHMLSSQAPPTQQHIHPHNQPGLPAHPRPIVHQVQQPTPQQYVQQPQAFPGQLHHAGPFAQVQPQPMQQPSHGFVQPSQGTALPPQSYMGRPPMLNQGGQSQQFTQPQSSGVPGIPPHPRPPQYGPSQPLVNMTNQPHVYPEQQHNQYAPPLGGALADRKGDQTFERRVEQHEDKSPSLKKSDEFRANFNEVKPETGINDELKTGNGGDDDHRKDEKDAVSELHQAQGVPGDSGVVQRVKEESKDGVSDHNKAEDGGVATRDSMKQGEAQSSSEVDNGSLAVPPGSCIQQGHHERSFSQSQTPPQGQIGDMSGGFPSNPAPLTEQGRSPHPQVPYPPSGQQQRPAQTPGHPPSHLRPPGHGYLPHGPHPGEHFQPPGSFHPDFPLGGPPNNSSSRGYEPQYNGRLNRMSQGEPLGPSLPHGPDGQNAPRHPGPMESDIYHDQRPSHFNSRRLDSHIPGNVDRGPYPFGGESNSMRINGAPPVGLLDSRDEKFRTPDTFPMGHHEQGRLFPHLEDSPKYGSRSSRPLGGYGMDGPSRFFDKDPHGYGFDAPGGGGYLPPYHPNDSGGRPLHHDDNRGGRFGPDFHRPMHGFGRRSLHSFDVDGREIERHSAGERFGPPGHMHRGEFDGPRGGEPFGLRNRGSFQDYGEPNGPGGFSHKPPFGAKSAHPHLGEPGFRSSYSRKGFPSDAGFFSGGPDSFDGLRKRKTYSMGWCRICKIDCESVEGLDMHGQTREHQRMAMDMVITIKQKNAKKHKASNDHSAREEPSKLRNTEVHARVN >cds-PLY76973.1 pep primary_assembly:Lsat_Salinas_v7:6:65672281:65678480:1 gene:gene-LSAT_6X47501 transcript:rna-gnl|WGS:NBSK|LSAT_6X47501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2738 [Source:Projected from Arabidopsis thaliana (AT3G12080) UniProtKB/TrEMBL;Acc:A0A384LJ95] MSSLDVSSHVSLSRILSLFAPVSRFSQSSSLFLPTSSSNPSLCLSAVSLHRRRCKATIPSCSPSEGEFEAIDDELVEIEEDVESDFEDVSDENLDVDELEVEAEMAVREYSLSLSKELKIEEEPIGEKETRGRRKMRESIKVVNIPDHLLPKVSIVGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRAFWGDKEFMVIDTGGVLKVSKSQTDVMEQQLSVSTTIGMEGIPLASREAAVARMPSMIERQATVAVEESSVIIFVVDGQVGLNAADVEIADWLRKNYSNKCIILAVNKCESPRKGIMQASEFWSLGFTPLPISAISGTGTGELLDFVCSGLGKTEDSNVENKEEEENYIPSISIVGRPNVGKSSILNALVGEDRTIVSPVSGTTRDAIDTEFEGPDGQKFRLIDTAGIRKRAAVASSGSTTEALSVNRAFRAIRRSDVVALVIEALACITEQDYKIAERIEKEGKGCLIVVNKWDTIPNKNQQTTLHYEEDVRTKLRILNWAPIVYSTAITGNSVDKIIVAASAVESERSRRLSTATLNQVVHEALAFKSPPRTRGGKRGRLYYCTQAAIRPPTFVFFVNDAKLFPETYRRYMEKQLRSDAGFSGTPIRLLWRSRKKSEKDFG >cds-PLY72973.1 pep primary_assembly:Lsat_Salinas_v7:8:65193538:65194273:1 gene:gene-LSAT_8X45520 transcript:rna-gnl|WGS:NBSK|LSAT_8X45520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFMLYTLTNFLFVFKHQKIIRHHRDNANQAINPYTLKSILWQLFNGLNYLHSNWIMHRDLKPSNILVMGDGKEQGVVKIVEFGLARIYQAPLKPLFDNGVVVTIWYRSLELLLGGKHYTSVAAIVSRTRS >cds-PLY84810.1 pep primary_assembly:Lsat_Salinas_v7:8:23770399:23773195:1 gene:gene-LSAT_8X18500 transcript:rna-gnl|WGS:NBSK|LSAT_8X18500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSPSATPYMSGEIRSGKRSQISPTSYDFSFKILVIGDSGVGKSSLLLSFISTSQDPLQDVSPTIGVDFKMKMLTVEGKRLKLTIWDTAGQERFGTLTSSYYRGAHGIILVYDVTRRETFTNLSEIWAREVELYSTNPDCVKILVGNKVDRDVERAVTVEEGMALAKKHDCLFYECSARTRANVQQCFKDLSLKILDKPGLLEQGSVLVKRQFLKEKQLSMKKRSDNCCS >cds-PLY99049.1 pep primary_assembly:Lsat_Salinas_v7:6:150165668:150169809:1 gene:gene-LSAT_6X90720 transcript:rna-gnl|WGS:NBSK|LSAT_6X90720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFSAGNYNEAIRHFTDAINLAPTNHVLYSNRSAAYASLNQYSEALTDAQKTVDLKPDWSKGYSRLGAAHHGLHQFDDAVSAYKRGLEVDPNNETLKSGLADAQSAQAAASASSRSRAPPNNLFGDAFGPDMWAKLTADPATRLYMQQPDFVNMMKDLQKNPSNLNLYLKDQRVMQALSVLLNIKMQTHMPGDDMEMPDSPPKERKRPADETESVKEKKREPEPEPEPMEVNDEEKEIKEKKAQAQKEKEAGNAAYKKKDFETAIAHYSKAIELDDADISFLTNRAAVYLEMAKYDECIKDCEKAVERGRELRSDYKMVARALTRKGTALVKMAKVSKDYDPAIETFQKALTEHRNPDTLKKLNDAERAKKELEQQEYYDPELAEKEREKGNECFKEQKYPDAIKHYTEALRRNPKDAKAYSNRAACYTKLGAMPEGLKDAEKCIEIDPKFSKGYTRKGAIQFFMKEFDKALETYEEGLKHDPKNPELLEGVRSCVQQINRASRGDLTPDELKERQAKAMQDPEIQNILTDPVMAQVLKDLQENPKAAQDHMKNPSVMNKIQKLISAGIVQMR >cds-PLY84873.1 pep primary_assembly:Lsat_Salinas_v7:7:111024984:111030178:-1 gene:gene-LSAT_7X70860 transcript:rna-gnl|WGS:NBSK|LSAT_7X70860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQLHTPIQVSDNLIRNNTDDCRRLDLPPLVCPQLLRCRSTLSRQREIQRCRSPRRFPTSSQEEKGALPRFVSGIVPMELVDALTISADLSRRLSQTYMSWMDFLMIMTLTNRIQKLLKKMNHGMIVLIQNLLSLKRVKSLTKGFKTPPTPAMIRAGEFLSSLGTEFPSCVKMTVKSQVTYGFWMEFVVPIEDHEFEEEQDSDSTLFHNKPILVHSSTRDKLIKSYQDKSVALLTNATIEDLAIATVSVETRLPSLT >cds-PLY95506.1 pep primary_assembly:Lsat_Salinas_v7:4:142796301:142798530:1 gene:gene-LSAT_4X87600 transcript:rna-gnl|WGS:NBSK|LSAT_4X87600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDVSFYDYGSSDPAISGSLYGDSLSRLAAAVEHDDGLYCIRPPEHRHDGTSPLPLGMDWSFAPLIWEGRNSVWPHDLHKGWSYCVTIPSLISGIPTAGSSDAVFYGVKVGIQSPEGITTTRTVPRRFNEFLNLYSELRKGYPKKNLPRPPPKRFVKAKSKKVLVDRICALECWMTKLLSDIDVSRTCNELNQNDPADMDNSSSYETSDLTSSTLEKENSDELNSIKKHFTDASNVNTNHDDDDERDSNSGLKSEQNGVNIEDLIRRLNEETVARQYFTTKVKDLEMELETRIQSNKNMEELRRKCMELDLRLTIEQEARAYAESMKETMIQKNEMLMKEIEILRKEKEEMELKVKSFSKISEDKLMVDTCVSLSDTIDVLETSDTQIGLILAGEAGVVGEDGDNDNEDDDDDELRKLLGDILIENAKLREQVNSVISHALSKPVK >cds-PLY96079.1 pep primary_assembly:Lsat_Salinas_v7:3:98802938:98805119:-1 gene:gene-LSAT_3X74480 transcript:rna-gnl|WGS:NBSK|LSAT_3X74480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNRCSPEALLSIILGMSKQQKESVRSMSFGALLKMKITDIPLKLGFYILQKFDSERMVIDIEGKELKITAESVHDMLGIPIGGTKLTQLDQWPKDDTKKIRYRETFEQEKGRFGLGELNEELVNEQDEGHTNLEESDSDKDEDHYVEAYESKISKMLNSFERMKEKLNSKLNDAITKFPEKESFRIFDENMTNIIGEEKTEGTTIFEIPNDEGNHEEVNDNDGSQSEVDYLLDSNEGENEGIKNDGDKNKKESETEVKGKYGKNNENDNDEEKKDDDAEETNNHEETSQQTENENLLDKVVDNIVDNVLGIQISSLNSQEDEVWNDHEMKTIFDNIDIEKEKLEGVHEQGTKVEKTKGDDTGKENSEDRNKRGTEAKNTKNGGEDKHRETEKVNA >cds-PLY91997.1 pep primary_assembly:Lsat_Salinas_v7:8:239235827:239236941:-1 gene:gene-LSAT_8X142700 transcript:rna-gnl|WGS:NBSK|LSAT_8X142700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNSFRVKLGQGGFGTVFKGKLSDGRPVAVKVLNSLKASGQEFINEVASIGRTSHINIVTLLGFCFDSKKRALVYEFMPNGSLEKFIHGHVPLNTSEHIGVQKLFDVALGIARGLDYLHRGCNTRILHLDIKPHNILLDEDFCPKIADFGLAKLYSRKESIVSMIEARGTIGYIAPEVFNRSFGGVSHKADVYSYGMLILEMVGGRKNVDAGVGSGHTSEIYFPYWIYNRLKKEEFVLDGIISVEENDYARKMTIVGLWCIQTDPKQRPSINEVIEMLEGRLQALAIPPKPFFSSPPRSPPTAFSTS >cds-PLY68930.1 pep primary_assembly:Lsat_Salinas_v7:2:194319631:194320987:-1 gene:gene-LSAT_2X116261 transcript:rna-gnl|WGS:NBSK|LSAT_2X116261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMEMENSQSETEEGADQGYKRIKMLELLRSQSLHEGIEYRKQDKQQASGYEYTEKIKLKRLLSWNIKKPKDAPLLNKEIGGGDDIDNERRTLMSYLKPKDSVKKDIKNSEFMGSDRFEVGKWEKKRVKSRDGKLELQTDIFLATIDQRSEKALGEGACTVIATVIADWLHRNPNNLPLRCEFDKLIRDGSREWRKLCKEDIHKGKFLDQHFDLETVIQAEIRPLEVVSEKSYVGFFKLENMMNKLDLLQDAMSFDTIWNEIENGDSSLEERVYIVSWNDHFFVLKKENKEMYIIDTLGERLAEGCKKAYILKFNEDSVIHNVEDDQSSIICKGTSCCKEFIKGFLAAIPLGELQSSVEKGINGKAPLHQLLQIEFQYMWPLQQNSPIMETIN >cds-PLY65762.1 pep primary_assembly:Lsat_Salinas_v7:5:269297965:269298267:-1 gene:gene-LSAT_5X140141 transcript:rna-gnl|WGS:NBSK|LSAT_5X140141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYSILVAATASDPAPLQFLAPYYGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRLDLRLLASPKRNR >cds-PLY81172.1 pep primary_assembly:Lsat_Salinas_v7:9:21025408:21027254:-1 gene:gene-LSAT_9X20021 transcript:rna-gnl|WGS:NBSK|LSAT_9X20021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTVARKPNRSPTSLPLFRVLLFLLVLTGVFLFEVDNLATQTKTIIGYNLEPTPWHEFPAKQFNNETKIARASRIIQCSFFSCGIKSHTNQTPFTRKIEKCPRFFRWIHHDLEPWSETRISHALLMEVKKFASFRVVIINGRLYVDYYYDCVQSRAMFTIWGFLQLLKRFPGRVPDVDMMFDCMDKPLIERNSSNTPLPIFRYCTTPNHYDIPFPDWSFWGWPEINIGPWEEEFQSIKEGSQKQSWKNKYPYAYWRGNPDVVSPIREALLQCNDTEQWGAEIMRQNWTREVMDGFKQSKLSNQCNHRYKIYAEGYAWSVSLKYILACGCVPLIINPKYDDFFSRGLFPKRNYLPISPENICPSIKTAVEWGNENPIKADEIGKSVQDFMERLNIDRIYDYMFHLIVEYAKLLDFKPVRPSTSHEECVDSLYCFADQKQTGFLARSATMPSDAPPCMLPQQANRQIDKMIAQKANIINSTQLLM >cds-PLY78755.1 pep primary_assembly:Lsat_Salinas_v7:9:51581726:51582614:-1 gene:gene-LSAT_9X45800 transcript:rna-gnl|WGS:NBSK|LSAT_9X45800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMITSGSRHKIKNPKPENNFCVVSEYLKGGSLRSYLLKLKHQDKKLPYKTVIRFAIDIAKGLSYLHSQKVIHRDVKPGNMLIDKKQTIKLADFGESEIEPPELLITCGERGTHGYMAPELVSKHPHGRKCDVYSFGICLWEIYCCDTAYTYDLGILTPDIYKYTRPSIPRHCPRSLAKLMEQCWDTDPTKRPEMEEVVVISEEIEKSQELQSEMETSRGLVQVIQA >cds-PLY96144.1 pep primary_assembly:Lsat_Salinas_v7:3:97572643:97579508:-1 gene:gene-LSAT_3X72480 transcript:rna-gnl|WGS:NBSK|LSAT_3X72480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDSLKFPIFSWVFVVCVLLSCELISGYETGSGIVEGKLNVHLVPHSHDDVGWLKTVDQYFIGSNNSIQGACVENVLDSVVMSLLRDPNRKFIFAEMAFFQRWWLLQSKKIKTQVKKLVTKGQLEFVNGGWCMHDEAATHYIDMIDQTTLGHALIKYNFNVTPRAGWQIDPFGHSAVQAYLLGAELGFDSLHFARIDYQDRAKRKVDKSLEVIWRGSKTFGESSQIFTNAFPRHYSAPDGFNFEVSGDYEPIQDNPLLFDRNVEKRVNDFIDAALTQANVTRTNHIMWTMGDDFQYQYAESWFKQMDKLIHYVNKDGRVNALYSTPSIYTDAKLASNVSWPLKTHDYFPYADGGDSYWTGYFTSRPGLKGYIRSLSGYYLASRQLEYLVGRRTKGPNTFSLGDALGIAQHHDAVTGTAKQHTTNDYEKRLAIGAHEAEAVVSLALSHLTTSKSSSINSTIPTSLFSQCPLLNISFCPPTENIPSGKSLVVVAYNPLGWNRVDIIRIPVKDANVIVQDNKGNKVETQFIEFDNVKRNLRSFYTEAYLGISPKEVPKYWLLFQASVPPLGWNTYFITKTTSKGGYISTVDTPQDESIEIGSGSLKMSFSLQSGQLKRITNSRTRIDLPIQQSYLWYGSEADIQPSGAYIFHPNGAPPSIVSRSVPIKVIRGPLVDEVHQQFNSWIYQVTRVYKDKEHAEFEFIIGPIPVEDGVGKEVITRITADMATNKVFYTDSNGRDFLKRVRDYREDWPLQVTQPIAGNYYPLNLGIFTTDNKTELSVLVDRATGGASIKDGQMEIMFHRHMLYDDGRGVGEALDETVCNKTTCQGLTVRGNYYMNANVVGSGSRWRRTMGQEIYSPLLLAFAHEKQNDYKASHLTRSTTMDPNYILPPNVALITLQELEDGSVLIRLAHLYEAGEDVALSTLVKVELKKLLAAKTIKMIQETSLSANQDKSGIKKIRWKVEGGSGGEVSPVRGGPVDPSALVVELAPMEIRTFILGLK >cds-PLY90752.1 pep primary_assembly:Lsat_Salinas_v7:3:35966571:35967781:1 gene:gene-LSAT_3X26861 transcript:rna-gnl|WGS:NBSK|LSAT_3X26861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFDRNKVGRVVKEDRLSSLPDELIHNILSSFDMKFVVQTCLLSSRWKLLWTSMPCLNFTSWQFTSLPKFAKFVNHVLSHHNHQVEVSSVKLHFSGAASHVFVRKIAKYAFSHNVQQLTITSCPKYRLKSYHASLFSSHSLKHFTLTCHHMQLCTTTKAPLDFPALTTLRLSRFVLCSDLFSNCVNLKNLTLEDFSVKDVEVFDIITPRLSSLRLAHGSSCSAVINLIAPQLEKLTVICCSFKYLNAPPGLSSFDYWGDFPLKFCKDRFYSLNKVSVCFNCFGLPYKEKDAIKTINLLQELHSAKYLTLNVDIVKCISSFPDLLLHHASPFSNLISLTIDYRLNRDEYGEEISTEARNFLLENSPSAALIMKSP >cds-PLY86857.1 pep primary_assembly:Lsat_Salinas_v7:8:50299503:50300491:-1 gene:gene-LSAT_8X38061 transcript:rna-gnl|WGS:NBSK|LSAT_8X38061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPTKLMGSDKDLKVLENSATVFNLALVVLSLLIVLVRKIAVYTWQNRVTKEEADEVRSRVSAVETQFLNVEIGKVYKASVRILELNASYFLKSGGYFVINQGKLHRFYYSGRGCICF >cds-PLY79721.1 pep primary_assembly:Lsat_Salinas_v7:8:125174554:125175443:1 gene:gene-LSAT_8X86680 transcript:rna-gnl|WGS:NBSK|LSAT_8X86680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYTRILEIPNQQLDRFRELVASLPLSELRTAEEFEAAAKAKGEFKNQESKGEIHPNDGLTEAKELEAYISLREELYKKTKDYNSKIIDFETAIRRPYYHLRPLNVIQLENWHNYLDIIEGCDHLNKVIKLYERCLIACANYHEYWIRNVLCMETRKNTNVAENALARATHLFCFVLCVAGGIVSNTLRPPIPRDYDGEWRRLMEHCWAPNPMLRPSFTEITTHVLISFQNLLKIPSQQQNRKVMITNTIRSLNLAMKPTLR >cds-PLY66159.1 pep primary_assembly:Lsat_Salinas_v7:4:255019805:255021025:-1 gene:gene-LSAT_4X133680 transcript:rna-gnl|WGS:NBSK|LSAT_4X133680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMEVMKHMTHEDVEAKKNFESIKEDLKEKEEELEDLEELNQSLVIKERLISDELQDARKELIFDLKEICGSGRAHIGVKRMGDLDAKPFIVDAMKRCLSREDTVKSLSIWEDHLRDSSWHPFKIITIRDDYNIQRPPSCIDSMCAKDLYANNGEDSMSMVTESFQNNEHVHLLSPVDVSASLSVNRSGRLENNTAQ >cds-PLY73374.1 pep primary_assembly:Lsat_Salinas_v7:7:62591868:62594761:-1 gene:gene-LSAT_7X44661 transcript:rna-gnl|WGS:NBSK|LSAT_7X44661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSRKDEKFPSLTSTPQCLRFSLAEIQSATKDFDDELVIGHGGFGKVYRGSTCIEETSHVVAIKRLDSTSEQGAPEFRAEIEMLSKLRHCHLVSLIGFCDDNKEMILVYAYMPNGTLYHHLQRAETPLNWVQRLRIAIGAGRGLDYLHTGFGTQQGVIHRDVKTSNILLDENWEAMISDFGLSKIGPTNESMSYVDASVKGTFGYLDPEYFYTRKLTRQTDVYAFGVVLFELLSGRLPVDERYGEEQCSLVRWAQKCVKEQKFDQMVDSKIKGTILPKCLRQFAQIADSCLRNVLKERPTMAKIVVSLQALLELQQRYDSSTEPSSRTGLTWKIHKYLVSVTKLNADQNGTSSSKSPENNMNPLSSTNKDGKDQGEVPLQFEELVAKDLKSFTYNKLRYFGNSTQLDYYVYVYRGWINKQAHFPSEDNTRLPVAVKRLDHFKCVDRLDLEMLKEFTHPNLEKLIGYCLQGKQLFLVYEFMPNGNFEDLLDSGGLAQLPLVTKMKIAVGIARGIVFLNETQYVVGINKTNLYRSRILLDEDFTAKLSGYDVTKLIHGHYPESWTQDTLPSGDDYYPGRGKLLQPVSHTYLQFPPVYLTTDRFLRALGIRDQLRGFCCGICGVANREILR >cds-PLY82593.1 pep primary_assembly:Lsat_Salinas_v7:2:186939649:186942352:-1 gene:gene-LSAT_2X109100 transcript:rna-gnl|WGS:NBSK|LSAT_2X109100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACMKLGTKPDIFRLEDHTWQCTSGLPSDLTIEIGETSFNLHKFPLISRSALLAKLIGDNPDEDAPVCSVHLNDIPGGCKAFELIAKFCYSIKLELTSHNVISLRCASDYLQMTDDYGEENLITQTETFLQQVFTTWSDTMDALESCEEVLSDAEDLNLISRCIDSLAIKACSGQNPYNPPPTATSPVNNITQTSIIWNGVPTSNKPHTFGDDWWYKDVSFLGLHLYKRLIQTMESQGMKAETIAGSLVGYAKKYIPLMNRQSSFNDASHSKPVSAPSAADQRALLEEIVELLPNRKRIVGTKFLIRLLRTALVLHVSPSCRENLEKRVGAQLDSAVLDDILIPNQGFNSVDTLYDIDCFQRILDYFMSMAMDTTSTQCSPCIIEEGLEDVPQSLTSLTSVANLVDSFLSDVAADVNMKLSKFQSLAVAVPDYARPQSDGIYRALDIFLKAHPWLTDCEREQICRLMNIQKLSLDASTHAAQNERLPLRVIVQVLFFEQLRLRTSIAGWFFVSENLENPQSQDQTNNNKNDGEVVRSQGIGEMRERVVELERECENMKYEMRKMVKKKRSWNFFCKRRRHRRM >cds-PLY88591.1 pep primary_assembly:Lsat_Salinas_v7:7:7653548:7656327:-1 gene:gene-LSAT_7X7041 transcript:rna-gnl|WGS:NBSK|LSAT_7X7041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRSGAVMAWNVFKFCTALRGLGSIMILLVLGVVGVTYYAVVLCNYGPALAAGGLDSLIALLILIIFHTLLVMLLWSYFSVVFTDPGGVPPNYRPLVDQERGEIDTLEASEFGPLNSPDPNNSRIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTVVTLALLPHFIAFFSDGEIPGSPSTLATTFLAFVLNLAFALSVLGFLIMHISLVSANTTTIEAYEKKTTPKWRYDLGRKRNFEQVFGTVEKYWFIPAYCEEDLRRMPALQGLEYPSKPDLDAQEF >cds-PLY97777.1 pep primary_assembly:Lsat_Salinas_v7:4:375284627:375286117:-1 gene:gene-LSAT_4X185320 transcript:rna-gnl|WGS:NBSK|LSAT_4X185320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMVCRLRVAAAPLARLRLGQIKRRFYGSAAQLQYDYDDDYDYNESPSEMEDSLGSVSGRGVQWVVMGDPSTNRQMYAEKLSQLLKVPHISMGTLVRQELHPRSSLYKQIADAVNQGKLVPEEVIFGLLSKRLEEGFYKGETGFILDGIPRTRMQAEILDEVADIDLVLNFKCTEDCLQKKHGDVGHEACASSYQDILSVNGRNSMKKPLDLEEYYKKQKKLLNFNVAGAPGETWQGLLAALHLQHMNALITSSSHKLSL >cds-PLY64157.1 pep primary_assembly:Lsat_Salinas_v7:1:3121959:3123828:-1 gene:gene-LSAT_1X2541 transcript:rna-gnl|WGS:NBSK|LSAT_1X2541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSLLTRLQPLSFRKIRYGGNAKGFNFLRRISSNNLSASAYHDLPPSKQNNDIDLKSKLLFLRYPRRSATSVLQNWISEGRKVSIYDLRDISNQLVRRGRYKHALEVLKWMEDQERFQFSESDHALRLELTIKVSTLEEAEDYFAKLPNTASQKASYLHLLNSYVREEATEKAESLMIKMTSLGANVTPHPFNAMMKLYIATSQFDLVLSVIYQMKQNKIPRNVLSYNLWMSATHEVYGVQNVDIVYKEMLNDKDVIIGWSTLCTLANIYMKSGLFEKATLTLENAEKKLSFKNHFGYFFLITNYVSLKNKEGVIRVWKACKRVDAKLTCANYMCMLLSLVKLDDVEEAEKIFMEWESQCWKYDIRVSNILLGAYVRGGLMEKAEGLHVRTLERGGCPNYKTWEILMEGYLKNGNMEKVVDAMKNGFKMLKDCDWRPSGTIVESIFEYFEKSGKLEDAKEFLNVIRGFNLASLCVYRSLIRMHVAKKKACSDILEMMEDDKIDMDDETMTIALASQNDALSEML >cds-PLY66346.1 pep primary_assembly:Lsat_Salinas_v7:5:290457708:290459579:-1 gene:gene-LSAT_5X156621 transcript:rna-gnl|WGS:NBSK|LSAT_5X156621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLIQPPLLLLLLLLLLAGVEAASTVSTDSNGFSFLFKDSQLDGVREIQPDGRVVHSNYTEMFGVGHTFYSRPFRFKNSHTSNALSFSTSFVFGIIPENPLFTFHGMTFVIAPSKAVTDASSSQHLGLFNRTNDGNASNHIVAVEFDTFRNLELDDMDGNHVGLDINSIESVFAATAGYYKINGGFQTLTLASSREIRAWVDYDGVEKTLNVTLAPLPFKKPQKPLVTWKKDLSPFLLEEMYVGFTSATGVLLQTFYIVGWSFQMNGKAQEIDRSKIPPLPLKKKSTKKKKMALEIGLSLGGLLVLSTISISVIVLLQRRKRKFEFEEALESWEVQYGPCRFSYKDLFIATKGFKESELLGKGGFGQVYKGTLLDLGAQVAVKKIWHTSSQGMKEFVAEIATIGRLRHPNLVRLLGYCRRKGELFLVYDYMPNTSLDKFIFNSNPKSTLTWKQRVKIIIDVANALAYLHEWCEVIIHRDIKASNVLLDAELNGKLGDFGLARFGNNNGTDAKTTHLAGTLGYIAPELARKGKATTATDTFAFGTFCLEVVCGRRPVELRPSMSQVLKFLLGKEPLPPDFNGVLKIRDDNWSQLGHASSSSYFSQIHYSGTLEPITHSLMFSGR >cds-PLY81843.1 pep primary_assembly:Lsat_Salinas_v7:3:30962605:30967759:-1 gene:gene-LSAT_3X22140 transcript:rna-gnl|WGS:NBSK|LSAT_3X22140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTLRSESKMKGNKFSNTFEEAINLDDNDFVNIHSGGSSVVMLSREPLAKKKVKTRFEKDVEDDKTQELQKISCRMSPKSMYMAVKGMSYSQKKMVRRMGFGAFLDIKLDSIPSRLAYYLVDKFRAKTSTIKTKKGEILITKKTVENMFGLPSEGLDYNQLAECDKTDIVIEAWKSQYPGGKFNNGNYVKRIRQSDVADDMFKLNFLTLFINTFVATEMSGASRINCLEKLERCDIQKIDWCKYLVECLEKAKYKWRPNDTNCYYTGPVTLLLLTYADKVVLNDYNLRRSRPLINQIDSVDLEMLEEHGLRNGEFETLEFRADGEVDPIDVNGDEDKVVDVESIEKLYSRICDDKEKIEEPIKKRLEEDANDDVVKEWLRKVRKLFNEKNVEHVDNISPTNEVTMKTLVKVAVNTGQSNFVKGSSFVKGSSPICNVQSTGRNNEKTKIVDGCDSPSVLFLEYKGNTSLDSPVVLIPGFLSMSDEIMERSMRKNKDFCKDDIPSFDLRITQLNEEDKSMDVKEGSKQVIRYTKKSVAEDKLKKRTGKDIMEREMVHVDELKNGKGKRKGKFGQLVCSPYVDRIIDVDEAVKDDENVVAQSIIAWGKDKGEFIWETVDGHGMHLEVAHTLAMRKKSAYQYYMVDEAVDEELRYNKFRIMSVAVINDITDKPDLKTVDLKSFFCRYLNSVEHKKANVLLKKEVVIIKMKCQRNIVGVDCGIFLMRHMETYMGEAAHKWDCGLCVDNKIQEKMLGRLRYKYLDKLMMSDFNVMKKIFLKHYAAVKKMDRFERMKVIEEKKKEITGVLQ >cds-PLY88841.1 pep primary_assembly:Lsat_Salinas_v7:3:193162033:193162705:1 gene:gene-LSAT_3X115321 transcript:rna-gnl|WGS:NBSK|LSAT_3X115321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEANRQIQDLNLKERSTCWLHFDMLWVVEDDEVERRQAKCKYCFATLKVDPSRHEIEDALTRQDGQGINVVLFLIV >cds-PLY99098.1 pep primary_assembly:Lsat_Salinas_v7:5:138687209:138692617:1 gene:gene-LSAT_5X59280 transcript:rna-gnl|WGS:NBSK|LSAT_5X59280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MGNFVFDCMKLIDEKMSNRPSTRNKNKRPRSDNNAEIVSELYRKIHLSGEVSTDDIKQIYMFGKPVCQGCRVNTKDNPNCFCGLIPPSNGNRKSGLWQKTSEIVFSLGPDPSKDLRDSLNTPAGLTNLGATCYANSILQFLYMNKSFREGVLSVEPEVLSKQPVLGQLARLFAQLHASKMAFIDSAPFIEALALDNGIQQDSHEFLTLLFSLLEQCLSCSQVSKARSVVQDLFRGGVSHVTKCSKCGNQSEASSNVEDFYGVELNVKGLKSLDESLDDYLSVEELQGDNQYFCDSCATRVNATRSIRLQSLPPVLIFQLKRCVFLPNTTTKKKITSAFCFPGHVDMGQRLSEETESELIYDLSAVLIHKGVAVNSGHYVAHIKDQDTGVWWEFDDETVSDMGQHPFGDKPHPPGQSCPSEPTTLVNGNHMDVSGATTDVQTFSSNDAYMLMYSLRHQSNGDIKPQMGSCDSYLPSHLLNEVNELNKSYLDSCENYKIKKEEKLGLITERRHEVRSVISEAPVKPLMEPFWWISVDWLRQWADNFTPSIIDNTSIQCSHGKVPVSKICSMKRLSATAWHKLSSKYGGGPELGENDCCIECLKETARATVSADSYRDGRSVMKEYAEAALAGKSLDGPLYYISKAWLSQWIRRKNIDSPCDADTGPTASIRCEHDQLMPEQAAGAKRALIPETLWEFIYKASNEVKPDDMIGCMVFPSDSETCSHCCSKLSNDTNKEDSLRDFKLKQRQSHEKLALGKSVPLFPQDRYYLLPSSWLATWRTYITTSGKNASSIEKPENLSITIESLKCVQHSKLLQRPPNLVRKRGAILQKAPAVDELTIITENDWTSFCKDWNAIEEKGITAEIDLSNSGEDILMGTSEDMPITEENVNSLDEVNGDTESQGPIIKTYPEVCEDCIGERESLELGKKLNYVDEDICICFVRGKEPPKYILEGSGNILEPNRRLSKRSRRTTYGNSVNLNVSGSTTLYDLKMMIWQSFGIVKENQILHKGSKIVDGEKSTLADMYIFPGDVLWVTDTEIHENRDIAEELSTKMEVQQSEGGFRGTLLTSNIPSQECYN >cds-PLY62625.1 pep primary_assembly:Lsat_Salinas_v7:8:108686155:108688600:-1 gene:gene-LSAT_8X75941 transcript:rna-gnl|WGS:NBSK|LSAT_8X75941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQGLSCRVKDEHWLFTAVQSGDLETVRSVLERDPDLIQQTTVNDRHSALHIAAANGRIEIVSMLLDDQLVNPDSLNRHKQTPLMLAAMHGKISCVQKLIEAGANILMFDSLNGRTCLHYAAFYGHSDCLQTILLAAMTSHIAVSWGFSRFVNIRDGKGATPLHLASRQQHPDCVHILLDNGALVCASTGGYSFPGSTPLHLAARGGSIDCIRELLAWGAYRLQQDSSGRIPYMVALKHKNEACAALLNPSSAEPLVWPSPLKFISELHQDAKALLKQALMEANREREKTVLKGTSHWVASPSHYDSDGIDDNISEVSETELCCICFDQVCTIEVQDCGHQMCAQCTLALCCHNKQNPSTPPVCPFCRSKIVRLVAVEIKAAHQKAWKSRMPTNCIEESCGLSAVSSFGKARSHGSARIDQCIDKT >cds-PLY90154.1 pep primary_assembly:Lsat_Salinas_v7:7:15363957:15365291:1 gene:gene-LSAT_7X12001 transcript:rna-gnl|WGS:NBSK|LSAT_7X12001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGVIGMVKPCNLAGASSLVGEMSQCTTSMKHRTKQLSHCNTSMKHRTRQLSVKNSWNSESGQPRVTNHVAKLGQKWREYQGIKNWEGLLDPLDDRLRHEILRYGDFVEAAYRSFEFDTSSPDYAMSKYSQNSLLDRCGLGGSGYMVTKNLHATCGVQLPSWIESKASVNSSWIGYVAVCNDEEEIARLGRRDVVIAYRGTATCLEWVENLRATLTSLPNDVAGERKRAMVQKGFLSMYTTATTTCPSLRDMVREEILRIIETYGNEPLSVTITGHSLGAALATLTAYDITSKFKHSPMVTVVSFGGPRVGNRNFRSQLENSGTRILRIVNSTDVITKVPGFLVDGSTTNDVSKSEVHGSGWPGWLQKRVDESDWFRYADVGKELRLSSNASPYLTRTNFATCHDLKTYLHLVDGFVSSTCPFRATAKRLIAGTKHEKQQILVR >cds-PLY78178.1 pep primary_assembly:Lsat_Salinas_v7:MU042388.1:25477:27401:1 gene:gene-LSAT_0X38980 transcript:rna-gnl|WGS:NBSK|LSAT_0X38980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVVRTDESRRAEIEEFRRMLLSCSGVVLKVTTEEEYNRRQVPAANRNEYTADQKLVCVTSGVSFLGIAIVKKLLLRGYSVRIIVDNEDDIERLREIEITEEVGGRSNITSSNQIGVVVAKFNERRTLMEAFDGCCAVFHTAAFIDPSGLSGYSKIMAEVEARAAENIAEACAATSSVRNYVLTSSLLTCFWRDTSDSSLSHVVDHNTWSDESFCKRKKLWYALGKLKAEHVSWKIAKEKGLKMATICSGLVTGPRYFCVNPHLKGGQEMYDYGLLATVDVDRLADAHVHVYEEMNKNGGGRYVCFDKVVRSPNEVQILEEKTGIHINTISSDYEFRFILSNRKLDRLMSQVHRCSNLC >cds-PLY72264.1 pep primary_assembly:Lsat_Salinas_v7:7:88182200:88184125:1 gene:gene-LSAT_7X60521 transcript:rna-gnl|WGS:NBSK|LSAT_7X60521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAVRRPNLDLSNSSPISSVYTKAPQTPTSSTFPYKYRLSSSNFNGYHRKCKVPTPPQALPKANSNESVESNKKLIDSIAKGSRHNSALATLDGVDVMGSRLADENAILKLEKISFIGHSLGGLISRYAIAKLYTQNQTYQDRYGKIVGVEAINFITVATPHLGSRGHRQVPMFCGVRGLEKVGYHSSVVVRRTGRHLYLKDKANGQRQTPLLVQMANDSEHLKFISALQSFKRRAVYAIVHSDYLVGWSTSSIRHQIQLPKIKNLVRSGRYPHILKEDAENITK >cds-PLY76833.1 pep primary_assembly:Lsat_Salinas_v7:3:4669913:4671790:-1 gene:gene-LSAT_3X2301 transcript:rna-gnl|WGS:NBSK|LSAT_3X2301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAARFGSSQFTTISGSTSSRRRPPLKLHRNNITFKSPLKFSVRASAVEGDDSAVTLLDYGAGNVQSIRNAIRYLGLDIKDVETPEDILNAKRLIVPGVGAFAAMMDVLNNNGMAEALCSYIENDRPFLGICLGLQLLFESSEENGPDNEVRNLGKPVELAGQYYLDGADEVSFLNITGFRDFPLGDLPMLQILKYTSENVFVPLTVGGGIRDFTDGNGRYLLLSFCDIV >cds-PLY71080.1 pep primary_assembly:Lsat_Salinas_v7:2:162611661:162616127:-1 gene:gene-LSAT_2X85341 transcript:rna-gnl|WGS:NBSK|LSAT_2X85341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQTCCQGATGIDRRRKRMGRCSNGLQVKIPPIFRRRTQSYDPVEEYLYFVDNGRFSVGGVLSDNPVTPSTTFRKGKPLIISFEGASGEFPGCSDSAYRKVVSDGADIIDCPVQMTSDGITFWQPFIQCSLASICKWNIYIQPHMDPD >cds-PLY94308.1 pep primary_assembly:Lsat_Salinas_v7:7:164115011:164123689:-1 gene:gene-LSAT_7X97180 transcript:rna-gnl|WGS:NBSK|LSAT_7X97180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional regulator STERILE APETALA [Source:Projected from Arabidopsis thaliana (AT5G35770) UniProtKB/Swiss-Prot;Acc:Q9FKH1] MSTTSSSSSHDGGDGGNGGGGEFEGPSHSRQRFNNAVWPEPFLEALATQIAIDAALSFGRLAVAPALTNLFQVCRTWRTVSQSDLLWQNLTRRIWHRHHLLHPTWHEEYIYRHRTARNFRLRRCIYTTLHFPPNDDNNNEGLSCHRLALSDYLLAAGFSDGSVRLFHLPTRFHLSTFHPHQRNHLGPFSRAVCGLFFSDDRLIFASLDGDIHVASINVPGATRRAHLGDVVTDGVLVDFAGCNQWWIGLYAGLPDRAFHVRNGNTEELVYIGGTLTNPDAVIGWRLLNDLTEHIGRIRIASQDLAVGFTSSKVLVFDLRNQITLREEEVMRRINVGEEEEELVGDINVGAADAYDKALVFVNTRGVANVRHVSSLEEICRFLARGALLGCMNGGYSFISTRGGIRVWELDHGEYLYICRERIGDITAMVADERYLAACGSDNTIHLWDFGAR >cds-PLY67543.1 pep primary_assembly:Lsat_Salinas_v7:3:53920089:53922614:-1 gene:gene-LSAT_3X41320 transcript:rna-gnl|WGS:NBSK|LSAT_3X41320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFTESSSNLSGFLVLLFFTLSITISYGTNTLSTNQSLSGDLTIISEGEKFELGFFKAGNSFNYYIGIWYKKLYSNPPIIVWVANRDTPITDRFRSELKIIDGNLVLLNESKFQIWSTNVSTTTTLKSAIAVLRDDGNLVLSDSSNSVEPVWQSFDHPTHTWLPGAKFGYDNRTKRNQVLTSWRSKEDPAVGLFSLELHPSSNEYVIQWNGSHQYWTSGSWNGKTFDLVPEMTSNSLFNFSYHKNENESYVTYTVYNPSVTSSFVIDVSGQVQQLTWVETANDWNLFWSQPKTRCDVFALCGAFGICSQAVSQFCNCFIGFKPRSESDWNQSDFSGGCVRKTDLQCGGNMEKQDSLMIKAKSYPPSNITLEVGSAEECRTTCLKNCSCNAYSFVDNQCLVWNGDLLNLSEDNDSGKTIYVKVASKDLPHHTKSYWVIVGAVVGGAFLWGLILVLIYRKKRISVGKTTMEGSLLAFTYKDLKVATKNFSHKLGGGGFGSVFKGVLRDSSTVAVKKLESISQGEKQFRSEVSTIGSIQHVNLVRLRGFCSEGSNKLLVYDYMENGSLDTHLFRGKQVLNWKTRYQIALGAAKGLAYLHEKCRDCIIHCDIKPENILLGADFSPKIADFGLAKLVGRDFSRVLTTIRGTPGYLAPEWISGLAVTAKADVYSYGMMLFELVYGKRNVVHCDDSRSTFFPGLVTNVLMEGGDILSLLDSRLNREACVEEVTKICKVACWCIQDEEDSRPSMSLVERILEGVSDVSMPPIPQIVTLYVENMEDPVFFTDSPSNMCSVLQSNSSVEDSQLKGSSS >cds-PLY77063.1 pep primary_assembly:Lsat_Salinas_v7:8:172411202:172411429:1 gene:gene-LSAT_8X113360 transcript:rna-gnl|WGS:NBSK|LSAT_8X113360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKASEARDTMKLRVNWNEMRGGWISDWGVVVGLATGVLYKTAASPRSTAVAGAIGGIAVGLVMTGKQILKRYVPI >cds-PLY85968.1 pep primary_assembly:Lsat_Salinas_v7:3:202119483:202123540:1 gene:gene-LSAT_3X120101 transcript:rna-gnl|WGS:NBSK|LSAT_3X120101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSSNCCRHCRRDSSSTTKEQVGLLQTGDDCIAINGGSFHITVDDLFCGLGNGISLETDVNNFGAVGDDTTDDSLAVNKAWDATCSLTGQFKLSVGNFLVQPLSFSGPCKAPTVVVTTSTCENNPTVSNPLVQHPKQIKLYYLIKYKGFMFFSFRFWDFTIAMVFTSRV >cds-PLY67163.1 pep primary_assembly:Lsat_Salinas_v7:6:162267090:162270751:-1 gene:gene-LSAT_6X99401 transcript:rna-gnl|WGS:NBSK|LSAT_6X99401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKISVGNPGIVVLALMMMMLISNGETQGTREIGVGVILDMDSHVGKSIRISILMAIKDFYRDTNHSTTIIAPHFRDSKHDNVEASSAVIDLLKNTQVMAIIGPQKSSQAAFVIDIAQRSKVPMISPATNPDLSPIRNPYFIRIAQASSTQAQPIAALVKSFGWREVVFVYEDTDFGRGPIPYLLDAMVNISTQVKYRSLLSPSFSDDKILQELYKLKTMQTRVFVVHMLPDLASRFFKKADEAGMMAQGYAWIITDVLTDLLNQLDPEAIDSMQGVLGVKPYIPPSNQLTKFEKRWKRRFHKEYPDDIDRIELDMFGIWSYDYVVGLAIALKSIDQIKLSTTFKRPRKSSTDLAAIGTSEMGPTFLPLIRDIRLKGMISGDFQVVNGQLQTSAYQIVNVIGKGEKPIGFWSSRNGISNRIINNGSSDYTTNKDNLGAIIWPGDTSEFPKGWEIATGGDKILRVGIPTKGGFIEFVQTSIDPKTKEVNASGFCVDIFKAVIDALPFDVRYKFIPYENPNGEPIGDYNDLVYQIFLEKFDMVVGDLTILWNRSNYVEFTLPYSESGVSMLVPNKVDDSKNMWIFMRPLEMELWITIGGFFIYIGFVVWVLEHRVNKEFRGPPHQQVGMIFWFSFSTLVFAHKEKMMSNLSKFVVIVWIFVVLVLTSSYTASLASMLTVQKLRPTLTSIYELKARGDYVGYQDGSFVVDMLKKMGFHDDKLKKYTNIQEYANALLNGTTKNGVSAIVDEVPYLKMLQAKNCNKYVMVGPTYKTAGFGFAFPKGSPLVNEFSRAILKVREEQMRDISDNWIRDEADCPGKNVDVEPFEKLTLESFKGLFIVAGLSSTCALVIFFFMFLYENKEILVSDDSTRHKWTTIIQNFDKKKGLITSETKTVDDSNEYIEDNMINYPPMSPAISDYHQSEGVFSPASSTYQ >cds-PLY80726.1 pep primary_assembly:Lsat_Salinas_v7:3:84963757:84966834:1 gene:gene-LSAT_3X65880 transcript:rna-gnl|WGS:NBSK|LSAT_3X65880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAQAKKKALYRAKLKAQKQDKRIDSPLVRYNESDQPVCRVCDIVLKSDSAWGAHQISAKHREAIKNVKANAAAASKVNNVKPGGSTESGKIKPVDSVKSQIEPPQPKPQSVLPSNFFDKPDTKRQKNEISNAKLMENDKKKKVVGGVQVQVANVSNVENKRVSETIDAEIEGSETRTLPEGFFDDKDADLRARGITPVKLDIKDEYKEFEKLIQEDLKEVDNRLEEEEYDAAEMIEEAETAEQRSCRERVELFKRKKLELKATKSGKQKQSKASQVVEKESSVDESSSDVDSDDDVDWRAKHL >cds-PLY66489.1 pep primary_assembly:Lsat_Salinas_v7:5:39591812:39594504:-1 gene:gene-LSAT_5X20061 transcript:rna-gnl|WGS:NBSK|LSAT_5X20061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKGNTGDNRTRRSFSIFVLFGLCCFFYLLGAWQRSGFGKGDSIAHEITRRADCSVISNLNIETHHGVGVKNPNDLRSKSEVFKPCDDRFIDYTPCHDPMRTINYKERHCPPTAEKLLCLIPAPKGYATPFPWPKSRDYIPFANAPHKSLIEKDTQSWIRHEGKVFRFPGGGPQFPHGVDSYIDQMASVIPLGNGLIRTALDIGCGVASFGAYMFKKNVITMSFSPRDSHEAQVQFALERGVPAFIGVLDTIKLPFPSRSFDMAHCSRCSIPWAQNDGSYMMEIDRVLRPGGYFVLSGHLEEEQKKIEEIAKLVCWEKKHEKGEMVIWRKRVNNDHCQERESRFKTCESTSVNDIWYKKIEACVTPYPKTNNSNEVTPFPQRLYDVPPRISSGSIHGISNESFKEDTKLWQKHLNGYKRVNKIITSGRYRNIMDMNAGVGSFAVAIESPKSWVMNVVPTISKKDTLGVIYERGLIGIYHDWCEAFSTYPRCSYEEILLEMDRILRPEGSVIIRDDEIEVMKVKKIVSGMRWNTKMVDHEDGPLVSEKMLFVVKQYWVVGENNSPSSR >cds-PLY87218.1 pep primary_assembly:Lsat_Salinas_v7:4:308455903:308456442:-1 gene:gene-LSAT_4X155200 transcript:rna-gnl|WGS:NBSK|LSAT_4X155200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAELIGGTLGLRSRNVVFQNKYGPPKIVNDGEIVLKEAGDFCSYTHTYISRVNGDEGW >cds-PLY80332.1 pep primary_assembly:Lsat_Salinas_v7:7:156656649:156657170:1 gene:gene-LSAT_7X98521 transcript:rna-gnl|WGS:NBSK|LSAT_7X98521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKLPFVIVLVISILTFLKADELGELKRSSMTLYFQDYFGGNNATMKPVTFSGDAWSFKQFGTIFCTDDPITVTMDQGSAQVGRAQGIYVTSALDGSNTHVLISVVFTNDEFGGSTLEVQGTSKQFERVREVAVVGGTGRFRLARGYVTFETVHIDLSLSYSIIEGNFTIWHY >cds-PLY79040.1 pep primary_assembly:Lsat_Salinas_v7:3:7650449:7651193:-1 gene:gene-LSAT_3X4080 transcript:rna-gnl|WGS:NBSK|LSAT_3X4080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFIPPELDPNTSSPIFAGIPHRNSSLCNSLLPWCDQNSRRWEGDDGGNGADALDEICGLRVSSNQITYSLTIESHDAIFEMKEGNMLFAYQPFATKNPKVCDRAEELVLNRFVGDGEKLLNYVTWSNALNGLEE >cds-PLY61700.1 pep primary_assembly:Lsat_Salinas_v7:5:216938596:216942113:-1 gene:gene-LSAT_5X100240 transcript:rna-gnl|WGS:NBSK|LSAT_5X100240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSLAAAQTPTLRTVVIPFTQLKDKDADLSMKIEEGFGPDGLGIISISDVPGYTVLRQNLLNLAPILAKLPEKVKKDLEDPQSRYNFGWSHGKEKLESGKPDLLKGSFYANPLLDVPTTDALLLQRYPAYCGSNIWPQTALPELEVAFKALGKLILDVGLLVAYHCDKYVSNGIDMQSNQGIEQIVFRSRCHKGRLLYYFPAEKGNDVDDNDSMSSWCGWHTDHGSLTGLTYAMFTKDGVEIPCPDNTAGLYIKTRTGQIVKVVYKEDEIAYQIGNITEILSRGRLCATPHCVRAPKGEKATGLERSTFAFFMQPDWDEKLNFPESGHVNQELIQANELLTFGEYTEKLLDKYYHLKNVDTPATV >cds-PLY86831.1 pep primary_assembly:Lsat_Salinas_v7:1:16414236:16415390:-1 gene:gene-LSAT_1X13560 transcript:rna-gnl|WGS:NBSK|LSAT_1X13560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNDPRQPSSAKPYKPQAVHPDNLPIDYSGFIAIIFGVAGVMFRYKLGSWLALIFCAQSLANMKNIETDLKQISTGFMFAVMGMVTNYLSPARPGVKTT >cds-PLY61941.1 pep primary_assembly:Lsat_Salinas_v7:5:160156910:160157902:-1 gene:gene-LSAT_5X69941 transcript:rna-gnl|WGS:NBSK|LSAT_5X69941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLFKTSSKRLTNLASLTTCNPLKHLLVPSSTPPSPANRKESPSQSQTSHQNFGFLRSFMGHDGRVQAAGFVVASDHAYDGDKKIGGLASRKRISLIKMSEDSIWVTGVSGLIYERLWNGVQWVIAPHELHVQAGYAVYVFLINHTILALSEPGILYQMQLSENSQPILIEYTPIQDSSTTMFIKPAVISHNTEWINDGKPPGADVAAIVNAPKIRA >cds-PLY68633.1 pep primary_assembly:Lsat_Salinas_v7:7:131823736:131824751:-1 gene:gene-LSAT_7X78200 transcript:rna-gnl|WGS:NBSK|LSAT_7X78200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSNSYAPVSVIGPQFMAPSQFDIIVDTTLRGNIVITDMNHKILLKVKPFDTSFHHQRVLLDADDEPIAIIRQKWMTSHERWSVFRGESESNSDIIFSTKEPHMFQIKTALDVFLAKKTSSDDVCDFKLKGSWSNRNCTIFVGDTSTPIAQMFKMESSKNVKWVEGKFMVTIYPNVDYAFVVTLIAIVEAMKMIKSDSRKKLLKEVGTNVGGILTS >cds-PLY89864.1 pep primary_assembly:Lsat_Salinas_v7:4:316525738:316529035:-1 gene:gene-LSAT_4X159100 transcript:rna-gnl|WGS:NBSK|LSAT_4X159100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKQSPRRNGRNKGLKIKHVLQICVLLGVSIWLLYQLQHSKKPASVTSISERLLQNDDNTDTIKLGRKGLLTPKVEEVNDDHHEDEEVDENNPEELVHDHDRDTSEEDEEGNNEQEKTEEESGVKDTEEGESEKEDGIEKMNETENESENESEESKHEDEEDNKLGSSVDQNEEKSDGNDQSVREENRKGDDASSEVIDESQKTGESESKEHTVFTDSTNAAQTESTQTEGSDSTNTESTQTESKEGTEGDSGTNNEGGSDSNSNSNSAQNESTQTESSNNGDSSESTETGTESKDESANSAQNESTQSESNEQSGSTESTNNGESSESNSAQNESTQTESKEQIESTQESKEETVSTDSTSNGESSESNSAQNESTQTESKEQTQGTDSNNNEGSSESNSSQNESTQTESTEQTQSTDSNNGGSSESNSAQNESTQTESNEQTQSTDSNPSTQTESNEQNQSTDSNSAQNESTQTNEQTQNTYSDNNGSSSESNSGQSESTQTQSNEQTQSTDSNNNGASSDTNTSQNESTQTESNEQTQSTDSNNGASSDSNSAQNESTQTESNDQTQNTDSNANSAQNNESTQTESNEQTQSTESNNNGASSESNSVQNESTQTESNTDSNTNTSQNESTQTESNEQTQNTDSNSNSAQNESTQTESNEQNQSTDNNGASSDSNSAQNESTQTESNEQTQSTDSNNGASSDSNSAQNESTQTESNEQTQSTDANSNNNGASSESNSAENESTQTESNESNNNNEVSSDSSLPQDVKDARTDLETLPQTGNEGSNTHDTATAEE >cds-PLY70059.1 pep primary_assembly:Lsat_Salinas_v7:3:239058128:239062116:-1 gene:gene-LSAT_3X133140 transcript:rna-gnl|WGS:NBSK|LSAT_3X133140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFILHIIFTFLATTLLLVLITFLALILKIFIGKSIKDPKYPPVVGTVFGQLFYFNKLHDYFTDIALKHPTFRLLAPDQSELYTTDVRNIEHILRTNFENYSKGEYNKDIVTDLFGNGIFAVDGVKWKQQRKLASFEFSTRVLRDFSCIVFRKNAANLVRVVSEFAKANKVFDVQNLLMQCTLDSIFKVGFGVDLNCLEGCSKEGGAFIKAFDDSNALIYWRYVDPFWKLKRFLNIGCEANLRKNIKLINNFVLNLISKKREQLEMNQHYNEEKEDILSRFLIESKKDPRMNDEYLMDIILNFLIAGKDTSANTLSWFFYMLCKNPLVQEKVVEEIQKIIGNQENGSTIEDFVDKITDEVLEKMYYLHAALSETLRLYPAVPLDGRVADMDDNLPDGFKLKKGDGVYYMSYAMGRMDYIWGDDADDFKPERWINDNGVFQPESPFKFVAFHAGPRICLGKDFAYRQMKIISIAVLRFFMFKLADESRKVTYRTMFTLHIDEGLHLFAVPRTSL >cds-PLY67933.1 pep primary_assembly:Lsat_Salinas_v7:5:299083059:299084941:-1 gene:gene-LSAT_5X161041 transcript:rna-gnl|WGS:NBSK|LSAT_5X161041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGWRDQGVNYNQEVTRTRPNSYRKPPPLGGNPNWQQSVPSWEKKFCSSIGSIPWKKLVETKRFIHLYDNVIKWNDSAGKEAFQSAKNNFYANIHGLPCNNRLPDPDIYIDNIDWDSEVDPNLILDLDSDSVVPDSGSKDEQEQVVIFGSSFPPSYQNFSPYGWGDSDDDKKKSPNPNTSPEYNIEGNTRGVVDNNNSWWGWSENVDKTKGDQEDHWGWNMKMYDNNNCFYGDVSNNGNNMSRYKTSRFIKNQPRRSTGNGRRHGGSQAAYGCAPVNHGGAPAGGGHRWSVKKTVS >cds-PLY85353.1 pep primary_assembly:Lsat_Salinas_v7:5:243287376:243288870:1 gene:gene-LSAT_5X120261 transcript:rna-gnl|WGS:NBSK|LSAT_5X120261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRQFSLLLAFSLLIAGVAATSFAADESGGDIFIRQVVEDEDHQLNAEEDHFGAFKRKFMKSYASQEEHDYRLSVFKSNLRRAERHQKLDPSAVHGVTQFSDMTPEEFSKHLGLRSRLKFPADASEAPILPTDNLPDDFDWRDHGAVTGVKNQGSCGSCWSFSTTGALEGANFLATGKLESLSEQQLVDCDHECDPEEKGSCDAGCNGGLMNSAFEYTLKAGGLMREKDYPYTAKDHGTCKFDKTKVVASVSNFSVVSLDENQIAANLVKHGPLAVAINAVYMQTYIGGVSCPFVCSKRLDHGVLLVGYGASGYAPIRLKEKPYWIIKNSWGENWGENGYYKICKGHNVCGVDSMVSTVAAIHRH >cds-PLY92954.1 pep primary_assembly:Lsat_Salinas_v7:5:48370576:48372563:1 gene:gene-LSAT_5X23660 transcript:rna-gnl|WGS:NBSK|LSAT_5X23660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQQLPCIHLNTNSRFQRGVPFVVRAEQISSPSTTLQDKTGRRQLLATGVTIVPWFLLSYQKSTAFAAENAKGFLPVTDKSDGYSFIYPFGWQEVVIEGQDKVFKDVIEPLENVSVTVFPTNKEDIRDLGSPQEVAAALIKKVLAPPSQKTKLLTAAEHDVDGKAYYTFEFVAQAPNFTRHALSTIVIANGKFYTLTTGANERRWGKMKDKLNTVVDSFKIFNV >cds-PLY79518.1 pep primary_assembly:Lsat_Salinas_v7:1:33451055:33456475:-1 gene:gene-LSAT_1X29100 transcript:rna-gnl|WGS:NBSK|LSAT_1X29100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFATPTNTFKKPPSYFWFCHPCPRSPTSIVTSSSCRSMRSSDSNKSLYRWQVQNLHTTKDRFCSTSMALTPFGFNSWSNVSTMSFDESRRYAYTRNNQSYADFRLLVESKSGNSYARMVPHGSKDGIQENTCITPVLDTHPQNLFRKKDEKPQTWSQAREVLKATKENTYFSNKVTLAVPNVPNVPSAQYAVSVITEPCKHEDIQGALRKAQTLSPPQGQKLPELKQKFSEDTHVNVVPKKDLKLEKPKLEQTMSPPQGQKRPELKQKFSEDTPVNGVQKKELKLEKPKLEDLNETYIVPLDFKEYSHLQKKLTSIYDKVAAIDVKQETPVDHGEVICFSIYSGHEADFGNGKSCIWVDVLDGGGKSMLDIFSPFFEDPFIKKVWHNYSFDNHVIENYGLTLGGFHADTMHMARLWDSSRRMSGGYSLEALTSDSKGIMSGANLGPNEELIGKVSMKTIFGRKKLKKDGKEGKVVIIPPVEELQRVEREPWVCYSALDSISTLKLYERLKSKLSNREWKFNGVTKGTLFDFYEQYWRPFGELLVKMETEGMLVDRDYLMEIEKVAKVEQQIAADRFRNWASKLCADAKFMNVGSDTQLRQLFFGGIENSKDQSQSLPMEKEFKVPNVDNIIEEGKKTATKFRKIKLHAICSGLQTETYTASGWPSVCGDALKTLAGKISMDYDFIDDDNAELDENTTDDFIELSDKKPGNFSKISENSYGTAYEAFGGGSEGQEACHAIAALCEVCSIDSLISNFILPLQGSHISGRNGRIHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFIAAPGNSLIVADYGQLELRILAHLANCKSMLDAFEAGGDFHSRTAMNMYPYIREAIESKQVLLEWHPQTGQETPPVPLLKDMFASERRKAKMLNFSIAYGKTAVGLSRDWKVSVNEARETVARWYGGREEVLRWQEARKKEARRIGCVYTLLGRARTFPSTKNAPPSHRGHIERAAINTPVQGSAADVAMCAMLEISKNARLRELGWKLLLQVHDEVILEGPTESAEIAKKIVVDCMSKPFDGKNILKVGLSVDAKFAKNWYSAK >cds-PLY93649.1 pep primary_assembly:Lsat_Salinas_v7:1:188108228:188112046:-1 gene:gene-LSAT_1X120420 transcript:rna-gnl|WGS:NBSK|LSAT_1X120420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEPSKAIDVVQLRKIAAKNNVTGVFVFGDSSVDPGNNNRLDTTDKSNFPPYGMDFIDGHPTGRFSNGRLTTDFIAEALGYTKVIRPYLEPGVQRTFDLSHGVSFASAGSGYDNLTAQVSNVLSLSHQLKYFAHYKRQLSLKIGPKKAAESIKNAVFVLSMGTNDFLQNYYVEPTRCAQYTVEQYGDYLISNFHDYAKKMHALGARRLVVVGVIPFGCMPLVKTLKGTTKCDDEYNHVALSFNNKIKNELVALKTSLGMKTGYIDAYSLILSATQNPRKYGFLETSKGCCGTGTFEYGITCRGLGACVDRERYLFWDAVHPTDRMYKIIADEALNSIVTKLFN >cds-PLY92765.1 pep primary_assembly:Lsat_Salinas_v7:8:66765224:66765686:-1 gene:gene-LSAT_8X47181 transcript:rna-gnl|WGS:NBSK|LSAT_8X47181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWIPLKCVNKNENTEKHLTNMRWKTTPRLNLGEKHLWMQVTFLDGNPKILPTVYNDDNK >cds-PLY90859.1 pep primary_assembly:Lsat_Salinas_v7:9:163328738:163330203:-1 gene:gene-LSAT_9X102361 transcript:rna-gnl|WGS:NBSK|LSAT_9X102361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDQTTNKARITEFLRDHLKTNPVVNIEADSGIFQVWTYHFSVTHEDYFRLLRKQTTDLPIITTWHMILHSMVRTCVNKCAFLNPYKIPGEACQKYPEGVASYLVDAMRLHHGKLFLIAPYLQNKHWVLLVTCPRNRTVYVLDSLKKSVEKPVDTYCLLKRHVEIAFTRYEKDITPIEWILAKCNQQLGILESEHYLMRWMFDFVLTKQYGFPNKNIELLQFDVWNNPSTIGSMMLFL >cds-PLY77231.1 pep primary_assembly:Lsat_Salinas_v7:4:177409982:177411535:-1 gene:gene-LSAT_4X103980 transcript:rna-gnl|WGS:NBSK|LSAT_4X103980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:MOT2 [Source:Projected from Arabidopsis thaliana (AT1G80310) UniProtKB/TrEMBL;Acc:A0A178WGR3] MDEESPTTTVPLLGHRRQWWRQIPSNASSSLRLHKTTIWSELGGSVGDLGTYIPIVLALTLVSNLDLGTTLIFTALYNIATGLLFGIPMPVQPMKSIAAVAISEAPLLTLSQIAAAGIGTASVLLFLGATGLMSFLYRFIPLPVVRGVQLSQGLSFAFTAIKYVRYNQDFAANKQGDARSWLGLDGLILALSAIIFLIITTGSGETYENPESVSGKRRVRRRLQILSSIPAALIVFLIGIILCFVIDPTIFKDLQLGPSKFHVLKITWDDMKTGFLRGAVPQIPLSVLNSVIAVCKLSNDLFPEHEASVTAVSVSVGLMNLVGCWFGAMPVCHGAGGLAGQYRFGGRTGASVVLLGVGKLILGLLFGNSFVRILNQFPVGILGALLLFAGVELAMASKDMNTKEESFVMLVCAAVSLTGSSAALGFVCGIVLFVLLKLREVDCGGVDDVDE >cds-PLY66092.1 pep primary_assembly:Lsat_Salinas_v7:1:182626057:182632460:1 gene:gene-LSAT_1X119141 transcript:rna-gnl|WGS:NBSK|LSAT_1X119141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKHILVSLLITFALFISASNSRPIIRRLPGFDGDLPFTLETGYIGVGEDEAVQIFYYFVESERNPSEDPLLIYLTGGPGTSVLYSMMYQIGPLNFDLDASWENNITLKLNPYAWNKVANVIYIDAPAGAGFAYATTYEASMSSDSLLASNAYDFLRXWLMEHPRFLSNPLYISGISYMGIILPNVALHVYNGNERGNQPHMNIKGVISVSPLTDKFGDFNSRFEFAHRLSLISDEMYESTEKTCNGNYVSLYNDLDSIRCSNNLQWVDECTSMINLENILEPLCATTDPACREATFGLVVAWANDKDVQKALNVREGTIETWEWQNSTIHYDLGKNDTIIYSYDVFSTIPIHKQLLAKKCQYLIICGDHDMVFPHVGTEKWIRSLNLPVEKRWEPWFVNDQIAGYQMTYAQNEYLLTYATIKGAGHGIALYKPEEALAMVDEWLDSRIYLSDI >cds-PLY74120.1 pep primary_assembly:Lsat_Salinas_v7:9:10850780:10853935:1 gene:gene-LSAT_9X9781 transcript:rna-gnl|WGS:NBSK|LSAT_9X9781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHPLFAIPLCLCPDPFALRCSSKCFSLITKGRRDLPKLNLVKQEEDEGFREPILNVYKDVNVDPLVSFGSQDVDNKSDEPIIGKNTDFIEQNEGDSDVKGNNGQSQEEKMLLSPGRKGEIGRATLEHDQGRRAMDKKIKEMKDQLIRAKAYLTFAIPANNAHLIKELRLRIKELERAMGDVTKDSDLSKRAVQRMRAMEVSLQKAGRIYPDCCGMVKKLRAMTNNAEEMVRVQKEQETFLIQLAGRTTPKGLHCLSMRLTAEYFALKPEERELPSNPDVLVRNSTDLYHFAVFSDNVLASAVVVASTISTAKEPGKIVFHVVTDSLNFAAFSMWFLFLHPPGKATVQIHNMDNFDWLSTKYNPPNTQGHESQDPRYTCALNHLRFYLPHIFPTLDKILLLDHDVVVQKDLTSLWRVNMMGKVNGAVNTCQGHDPAFRRMDLLINFSDPIVATAFTNQPCTWGFGVNLFDMREWRKRNLTTLYNKYLQLGKKRPIWKAGSLPLGWLTFYNQTMDLERKWHVHGLGYHSGIKQEDIEKAAIIHYDGVMKPWLDIGIEKYKTYWRNHVKYDHPYLQQCNIA >cds-PLY64842.1 pep primary_assembly:Lsat_Salinas_v7:2:31104613:31111272:1 gene:gene-LSAT_2X15380 transcript:rna-gnl|WGS:NBSK|LSAT_2X15380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADESPPGEPAATLFPVFNQNPTTTSEPINPLQVSNSNAPGWLSNTSFTADISTINDVVSTRYHHYSEPDDDEEEETATETEERRPQSRYELVDSSASDQDIDGNNRSSNKKEKRKRRKTKKGAASSGYEYGPSSRKQNIQSWANNATTSSANKEYYFDSRGDRDNLAFGSLYRMDVARYKLFHGTKSSGFNSNVFHSSNTRSWGFDGDNDVNSLDTKLRSEGRYWSAKYAALEHHKNFKRLRIIAPKDSTPELGTDFVPLSDELTSSNGQDSSLVEESWEDEVLRKTKEFNIMTREHPYNEKFWLEFANFQDKVESRQPQRGARLQTLEKKISILERAVEVNPDNEELLLALMNAYQRRDNNDVLIGRWEKLLMHHSGSYKLWREFLRIVQGDFSKFKVSEVRKMFANAIQALSAACSKQHRQAHQNDMVDQELGLVNIFVSLCHFEWQSGYQELATALFQAEIEYSLFCPSLLLSEQGKQRLFEYFWNSSGARIGENGALGWSTWVEKEEEQRQKVMMESSDIVDEGGWTGWSELKENRENEENMGADDVGMEGNDDDDVEEKEKEKDDTEALMKLLGINGEIEGNGEVEDVSTWVKWSEEELSRDCDQWMPIRTESGNSLENGVTDKEGDEEQLLRTVLFEDVSEYLFSITTDEARLSLLYHFIDFFGGRIPQWCSTNSSSWAEKTLVVDSLPDSMLNDLRKVEEILTKTDENYSLEHVLNSSDNINMRSEMMKFVRNVSLQCLNVFPHDHILKEAALVAEELSNTRMNSSSVAITPCRTLAKSLLKSNRQDVHLCGVYARREAAFGNIEYARKVFDMALLSIEGLPCDPKSNASLLFLWYAELELEISNNNSSRSSESLSRTLHILCCLGCGVKYSQFKSQPSSLQLLRARQGFKEQIRVIQGIWIHGSIDENSVASICCASLFEELTSGWESGIEILNQSLSMVLPERRSQSHQLELLFNFYIQMLWKHHSEAELANIWKILVQELQIYPFSPKLYNTLIQIGHLHTSPSKLRRIIDDYLHKKPSVSVCLFALSYEIRKGSSHHRVHRIFERALSDNMLKSCVLLWRLYLSYEIHVTRDMSAARRIYFRAIHSCPWSKRLWLDGFVKLSSVLSAKELSDLLEVMRDKEMNVRTDVYEILLQDEMDVSK >cds-PLY75734.1 pep primary_assembly:Lsat_Salinas_v7:4:333535456:333537009:-1 gene:gene-LSAT_4X164980 transcript:rna-gnl|WGS:NBSK|LSAT_4X164980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIEEGEAQIDQLPMDLLAHIFVFITCFKDLAQTSSVCRKWREGVKQSMGRREKLSFAGWKMDDKSTSRLVCYAYGLKELDISKSRWGCQITDNGLFQLSTAKCIANLTCISLWGMTGITDKGVAQLISRANSLQHLNIGGTFITDESLFAISTSCPNLKSVVLWGCRHVTENGLQVLVNKCRKLESINVWGMRIPLDSFITLLEIRPALQITPQSLLNIENAPLLPVF >cds-PLY93008.1 pep primary_assembly:Lsat_Salinas_v7:4:193392238:193393213:1 gene:gene-LSAT_4X110941 transcript:rna-gnl|WGS:NBSK|LSAT_4X110941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLTNNTIIMQSEDTVEKAASVVLEFSLDVEEITTEENNNVDTNVGRIVVRPGWVPLQPLPESLQLHLLEMYLVLHSIQKYLWPILCKLDQLTLYELHYQMITFGKVFCTKSKPSYNACPMRAECRNFASAFASAGLALPGLEEKKDSGFKCSYSNRSSTTCGYYTSATA >cds-PLY92020.1 pep primary_assembly:Lsat_Salinas_v7:8:238135704:238138875:-1 gene:gene-LSAT_8X143621 transcript:rna-gnl|WGS:NBSK|LSAT_8X143621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNFRYVNKKFSNQYKATVGVDFLTKEVEFEDRLFTLQVHVLGAGDFQLGKIEVLRDHRADDIRFNIFGIPTYCANQCEDQEAHCCSHWGHNGSFMTSPDLQDFRVVLDKELGLILKIRDMMKGFRAPSDTLMTSY >cds-PLY96420.1 pep primary_assembly:Lsat_Salinas_v7:4:344689712:344691369:1 gene:gene-LSAT_4X170180 transcript:rna-gnl|WGS:NBSK|LSAT_4X170180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTLQFEGLGFKLKTYRHSSRSYGSIQASVSIESTEDSCTCVEEEEEEEEEDSKRISVRDLLRRNTNDDVTSFMKMERRTEPQSNRWFPYLDKFKTGNTYLSSGEVLDAVDPSLMELRKERFMNVVNNRTYSVCMVVEGLSDPGNVSAVFRSADALGFQSVHVVSLDSRKRYREHRHISMGAEKWLDIELWDSAEECFQVLRSRGYRIATTHVGIEAVSIYDMDWSCPTAIVVGNEGRGISEEALKMSDMHCSIPMKGMVDSFNVSVASGILMHHAVCDRTSRLGGHGDLTSEEKQILLAEFSLRHSRSSMSIAHEYAKRKVKSV >cds-PLY82823.1 pep primary_assembly:Lsat_Salinas_v7:1:87138000:87141168:-1 gene:gene-LSAT_1X72181 transcript:rna-gnl|WGS:NBSK|LSAT_1X72181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKYLVSKTEFHVLILGIDKAGKTTLLEKLKTQYSSLEGLPPDRIVPTVGLNIGRIETSNTKLVFWDLGGQSGLRSIWEKYYEEAHAVIFVVDASCPSRFEDSKSALEKVLRHEDLQGAPLLILANKQDLADAVSAEELAQYLDLKKLDERAYTFEAVSGYDGTGITETVNWLVDVMERSKRTEMLRVRAGVANSSGA >cds-PLY67478.1 pep primary_assembly:Lsat_Salinas_v7:6:68696568:68696765:-1 gene:gene-LSAT_6X50121 transcript:rna-gnl|WGS:NBSK|LSAT_6X50121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRFNIWKKNKVFEDSYPCGCGFPSRILKSHSLFFLRFSSRKQRPHNFLLQFNEQHNGCLCD >cds-PLY62181.1 pep primary_assembly:Lsat_Salinas_v7:6:31732321:31732911:-1 gene:gene-LSAT_6X24180 transcript:rna-gnl|WGS:NBSK|LSAT_6X24180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKFIKEEEEEEDEEDLFEIDLEAVGNLSPPCYWGAYLTATKNTLFANCLVPIEYVSSAVPMANRKDAKQTWMGSESGTVVWVRGAVPLQNFDGVSSLGALSNLLQKTLDVSSSLNQGK >cds-PLY78457.1 pep primary_assembly:Lsat_Salinas_v7:4:262120668:262126482:-1 gene:gene-LSAT_4X136240 transcript:rna-gnl|WGS:NBSK|LSAT_4X136240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCRPSKVDDLPLVIRCRERKRLIKSAADYRYDLSSSHLAYFHSLRDIGFALSRFVDEQLVIPSPSPSSPVLTLPSDQGKTKNNGSMSSGSISHIHSIDGGNGDSESDLSSSLDGHIHLHDSGGFENEKPRSSSHNRPHHYNELDSNDPYVMNREPPPYQPPWGPNQTNFSDPYAMNRGQQPYQPPWGDPYVVNPAAFPYQPPWGPNQTSWGENDPYVMNPSASQYQPPWGAYQENFDSHNEYGGNPNSNQYASYMKKSAPATRTVIQPEEARGFQETRQWPDPSQYNPYGYGFSYGGAPVPPPQEPEKPKSPPPPPPPKDSDYLNFFDAYDNGYPGYGYGYGSMVSSPDSSEVREREGIPDLEEETETESNHDEVLKRHTTNNIKRNLGEGTSRSVGLEDNVGSSWREHSRRIPPRKSEMETHDETTLNSVASENNEGAQSVEIEVDRHSPETVVSQSMGEGYEGKKGVSFDVDEESIHEIESSMLSSLTTLSVHGSRDLQEVVNEIKDEFEVAFGYGKEVALMLEAGKLPYQSRFAVLKEHPIQSVRSCSRVTKLAGSYNVDAVPNVRSINLSSTLEKLYVWEKKLYKEVKDEERLRVMYEKMYKRLNELDANGAESSKIDAAQASIRRLMTKLNVSIKAIDAISTEIHKVRDKELQPQVSDLIYGLIRMWQAIVKCHRKQFEALMESRSRTLRTNTTTTSIEQDSNSRAVVDLETGLVTWSQHFNNWINAQKSYIDSLNGWLNQCIDHQPELTIDGVVPYSPARLGAPPIFIICNDWHREIKSVSQDRVSKAIHGFASNLRQLMERQDDVQRIMVKNEYHAKDLAGIRKKTGGGLPEKMSGPMVPSESGVVEEEWARHKEAMKLVAGGGGLSSLQGGVIPIFRALETFTCDALKAHEQVRLQG >cds-PLY95687.1 pep primary_assembly:Lsat_Salinas_v7:2:111788442:111788774:1 gene:gene-LSAT_2X48061 transcript:rna-gnl|WGS:NBSK|LSAT_2X48061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSKKDVECESRDGYEKAYDCENKVECEMGTGCDWMRTNTDNRNVSQSNGYGCEGLIRCECKPLHNDKWMRTSAGCESLLDVNNATWMRTTCWFRTTIALKFRNQMGLHG >cds-PLY90849.1 pep primary_assembly:Lsat_Salinas_v7:6:101001355:101003962:-1 gene:gene-LSAT_6X69441 transcript:rna-gnl|WGS:NBSK|LSAT_6X69441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAEYPDRIKNFFTELETRRTLLTTITETHNKLINNFISLDEILTKKSQILDARIEKYKKQTDKTLAALTVRDNGIPEKEVALAARVEQLKESAIRDIETGNNSDPEEKPMLDSLRMRFRRMDSKGLIKYLLAKRKESVQVRADINTATEEAVDLPELVLEAVEDFVELKVSGTKVVGMADRRWACGILIQAGLPLLPYGESLAIGRSTKDRAIRVLEIWKGILGGGEGSGGVGSGEATMFLQMVIGFGLKHKFDDEYLRQLVVEFAGRREMAKLAVALGYGDDEMREIIKELVKAERDIEAVYFSFESGLTEEFPPIPLLKSGIKNYQKNTSKEVPSWDDILNEMSVVLKIIKCIEDHKLESQFSRDDLQNRYNELEKMKAASKKAAPPPASTIKPVDKRHRSGGGSSRPPKSARWAKSSHRKGRRPPPGRQPSAARSTGGSNGASAASPVQDLYGGYDNSAGGAPVGPIDQAS >cds-PLY89333.1 pep primary_assembly:Lsat_Salinas_v7:5:113349529:113351544:1 gene:gene-LSAT_5X50601 transcript:rna-gnl|WGS:NBSK|LSAT_5X50601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMSLISSSPATGGDFSQLGNSYNNTSLMFISSFATKSQMGFRQIIRCPNLHIKVAARSLSHSQPAASTMVSNPKDSVKLYVGLPMNSVSDCHSINHSRAISAGLRALKLLGVEGVELPIWWGVAEKEAIGKYQWSGYLTLVDMIQKAGLKLHITLCFHGCKQENIHLPKWVSEIGESEPDIFFADRSGKRYKDCLSFGVDDLPVFHGKTAMNVYQGFVESFKTSFSSFMGSTITGITIGMGPDGELRYPSHQDQNKNKIGLGAGEFQCYDQNMMNNLKKHSENHGNPNWGLSGPHDAPNYNQHPLINTFFKEEGSWETPYGDFFLSWYSTQLVSHADKILSMAASTFSDTPVILSGKLPLIHSWYRTRSHPAEVAAGFCNTVNRYEEIIKVFHKNSCRMILPGMDLLDEEEPNELCSSPEMLLEEIRDGCRKNGVEVCGQNLEIAGSSESFEQIRKNLVGGNGIEVFVYQRMGAQFFSPVHFPLFSAFVRRIKELELDSDDLGGNGRDSVVSVPGKNRKMQAA >cds-PLY93369.1 pep primary_assembly:Lsat_Salinas_v7:9:58178912:58180147:-1 gene:gene-LSAT_9X51281 transcript:rna-gnl|WGS:NBSK|LSAT_9X51281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNTRAKIKKIKNTINLWFSEAAMADSNHLSTESGALIGSNDDLLIEILLRLPVTSVLRFKSVSKHWRSLLSHLRFTLLYKNASSSPGLFVRNLYIPFDDENRSTPPFRDLDFYPDPCGIRIVQSCNGLLLCCSHRGEERVHKYYVFNPTTKQFAVIPSVLGGVAVRKAIYFMGLAFHQTDSVHYKLVCFHRANRGEPFKIQIYSSDTRKWKISDQSFSLSAPYYASFHYIVYWNQAIHWAPCCVNPLYFKLDIEELQSLPLPMMPEASSEGYENGDMPLYFGESRGHLHFVEAADRSVSHLQLNVYDMLNDHSGWFLKYRVDLDELPDAYPEMIRDYLDPSSQGYYEFEVFDVVRGEREDETFMVIRIPGRIIRFNVVDKSFKQISDQIYLHGLTGHVNVHRYIESLVSF >cds-PLY62726.1 pep primary_assembly:Lsat_Salinas_v7:6:55243356:55245654:1 gene:gene-LSAT_6X39861 transcript:rna-gnl|WGS:NBSK|LSAT_6X39861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTDILRQQFWDQLSSCNNGTTKKYLSSLRTLVYQSYWQNRFSQPMRSIGMYIALASLFCLLAMVADLLHGLRNRKLWFPCKYFTLNAASLTVIAVAIKLPMDLTNQTQAAKLGSLAFMCTMMANLLPSLATMNSKELVSNIIALGVLVITLVVNVCIQISTEVFSHQKDEFASGGNVHYAEIEPVFLSATQDYVLVGFIYVGVLPLLLVLYACTSLAILTSKQILESKYQATLKGQVLQQPGRLTIEKLKEHVSNYSIMATTGNPQFMAACSATTTASAATFSTYLGIVLAHKPDEIGTDEDLSRYVLQLQDQMEFPERALKGILKSVNDLIKKAEKQQPKNLMNLLAESQGFEGVKQLDNHHVKSLLSEEYLSCWSLPLVTLTAIAMSLTNIQRNTFDSLLSGVSEGLLYVTLVEESTNATDDHVVIQRAAKTLWVEVEIYHKWLGNRLQKPTPQAITTIGILRWLSDTAKSIVTEVGSTDIGGRNDKSKFRSISANSMYRITETIMLSYDANLINQLSQEELFGKLSSMIADILAACLTNLPHVIAMKCHTSVIEKREASVQAAAQLLGETTQIINTMQDRELPTLNHDELVFIEKWHAYLKLPFP >cds-PLY62306.1 pep primary_assembly:Lsat_Salinas_v7:5:162099982:162100293:-1 gene:gene-LSAT_5X70941 transcript:rna-gnl|WGS:NBSK|LSAT_5X70941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNTFAIFFTLLLIFSSQWTIPGAEARVCQSLSHMYHGSCLRHHNCSVICRNEGFSGGRCKGVRRRCFCTKLC >cds-PLY85181.1 pep primary_assembly:Lsat_Salinas_v7:9:146644032:146646148:1 gene:gene-LSAT_9X94160 transcript:rna-gnl|WGS:NBSK|LSAT_9X94160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSINAAVQVTNGTTSNNGGEMIHPPPIISSYNDKIRPILDAVDSLRRLKVTQEGIALPTIVVVGDQSSGKSSVLESLAGISLPRGQNIRTRVPLIMRLQNHSDPLPELLLEYQKKSVKIMEENHISNAIDKATVEIAGNNKGISNVPLTLVVKKKGVPNLTMIDLPGITRVAIGDQPENIYEQITDMIMEHIKPEESIILNVLSASVDFATCEYICMSRRVDINGQRTLAVVTKSDLSPDGLLEKVTSNDVNIGIGYICVRNRIKDETYEEARIQEEKLFETHPLLSNIDKSMVGVPVLACRLVEIQSMIISKCFPDIVKKINEKLLASVLELNKLPRVLSSIADAMIAFMQIVGSLKDTFQTIMIHGEFEYLDDKEMICSARLVEMLDEFSKELHKSVIFRGNFLVEEIQVLKEANGMRLPSFLTHSMFMCLLKRKLNSISDLPVSFVNKVWGYLETVVVSVLIDHCGSYPQMLPSIKKACLNVMLLMKEKLIERVIEMIEMEKVTDYTCDPDYIASWNKLMGKSRDRFLKAVTIYEPEWELDDFDPAEFDMEGYGKIRVEHLFSVPSDTRNQAFDLTMRVAAYWNIVLKRMVDWIALELRFAIHKMVKQGNGEGDSKRGDGAWWWYGEDAGRTNIGGCKEGEASKEYQFAPRIKRNRENDL >cds-PLY91799.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:4576:5319:1 gene:gene-LSAT_0X33121 transcript:rna-gnl|WGS:NBSK|LSAT_0X33121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDYMLIKSHLSLDLDDQLMNSQTFVMKMVENVEDPYSVCIEKDVDIEKGKSETPGINQETVGDLKNEEGQMLLMNHNLILPKFSIREAPRMRKYKRSSSFNSRKVLLLFSVLSSLGTIILIYLTLRVKQIGDW >cds-PLY80791.1 pep primary_assembly:Lsat_Salinas_v7:1:70780154:70785399:-1 gene:gene-LSAT_1X59281 transcript:rna-gnl|WGS:NBSK|LSAT_1X59281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGDAQHVEEAQKIGLEYMDVEGLKKLNKNKKLVKKLAKKHQAFLASESVIKQIPRLLGPGLNKAGKFPTLVSHQESLEAKVNETKATVKFQLKKVLCMGVAVGNCSMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKTTMGKPVHAKRHLPSSNPLLLSINKPSFSILHKPRFLRFIAFLSRHKMSAIRLTTPTTIITTRKTSTTPSAFLKSPSTLPSIKSISKAFGLKSGSSFRTTAMATYKVKLVGPDGEENEFEAPDDCYILDSAENAGIELPYSCRAGACSTCAGKMATGCVDQSDGSFLDDNQMKEGYLLTCISYPTSDCVIHTHKEGDLY >cds-PLY72965.1 pep primary_assembly:Lsat_Salinas_v7:8:135343931:135344481:1 gene:gene-LSAT_8X93860 transcript:rna-gnl|WGS:NBSK|LSAT_8X93860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGTFNQTKPLDLLVGSRVCFSFDPRSATDRWPIVFLERVVSKLFDQDLSEAVSFLLSYLVVNLMPQLVYPGRVFTNYAVPGDDVVIADENVATRYKESLDLLQVVISKEKSLISRSGSAEFANNFRVRDLTVDLPPVSIKKRY >cds-PLY88311.1 pep primary_assembly:Lsat_Salinas_v7:3:86125029:86127711:-1 gene:gene-LSAT_3X65500 transcript:rna-gnl|WGS:NBSK|LSAT_3X65500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMQKEIQILLSITSKEVFENLDKITRSKNSAEVNSVTYFDDDSVRQPLIHEKTDMIIKHDLGDLQKQHIINLLDKQINYGMEFLKNLHQITRKNAAKVNKMDHSDDMPDLEQVTIEKADMNTHASLQKTPLSRFQSVTTLSDNGDNTSVINELESHNSTNDKADEGDTKEYENKDGSLVNQEHVSHHDLHVGAGVDAQQSKGFLDVYNEGDKKALSFSYSLGYTENHMNINFWKKILCENTPTQERGWLDDDHIDIWGHILLNTKKPVSCTIMPANFLPPHSIDVWNKEWIALANGSYPPYKAWSVVDSVLLPINKQKSHWLIGVLELKTWIVTIYDSSSSEINEIWIKERLRAFNITEFLKSIGYWETSGRKCTTVELNVQFAEGIPQQTNWMDCGIFVCMWLEAFCAGTQLKIEHGKTEDHCLKYRKQMADVIWAHSGCS >cds-PLY95373.1 pep primary_assembly:Lsat_Salinas_v7:9:188751208:188751531:1 gene:gene-LSAT_9X115461 transcript:rna-gnl|WGS:NBSK|LSAT_9X115461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPLKYPSFIIPLLLITFTSMNSSIIVAEARNLLEIHLPDLPNLPEIPKPELPDLPEFPKPELPNLPEIPKPELPHLPSLEVPEVSDLPFPKFPDLPNDFPIPSEIP >cds-PLY68985.1 pep primary_assembly:Lsat_Salinas_v7:9:138867075:138867521:-1 gene:gene-LSAT_9X89520 transcript:rna-gnl|WGS:NBSK|LSAT_9X89520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKKSSSKTQKTGLQNPVVATWEKPKKTSSKPPKKSPLPKEKPCSSSTPKSFGQEIEDIFSKKRKKPEEQQKSKKRVKDGKHDESLDRKKLRNKSGGSNVKIFENEQTVTPKRKTGDGLVIYSEEELGIGKADAGGTRLCPFDCDCCF >cds-PLY76492.1 pep primary_assembly:Lsat_Salinas_v7:8:230827316:230828457:1 gene:gene-LSAT_8X139241 transcript:rna-gnl|WGS:NBSK|LSAT_8X139241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIPDVSLASKPNSDDEEHEKKILTLLSDRYKEKFTKFPREKGWMSEDVYMYQGFWYITDHAFSIETVIAVQESFQAQSTDIYIVTQPKCGTTWIKALVFAIVNRTKYKNINPLNHPLKLYNPHKCVPFLETEIISNVPTYVHGNSPRLFSSHIPYRTLPKSILESGCRLVYMCRNPKDALVSWFYFANKLTDKSRTQMTIGEMLNVFVKGFLPYGPYWDHVKEYHKASSEDPTKILFLTYEDMKVDTASKVKRLAEFLGFPFTEKEVANGVVDEIVTLCSFEILKEVNKNGDYRKGMANNTFFREGKVGGWRNYLTNEMTQIMDDITMEKFHGLDISF >cds-PLY83584.1 pep primary_assembly:Lsat_Salinas_v7:5:18512694:18514889:1 gene:gene-LSAT_5X9321 transcript:rna-gnl|WGS:NBSK|LSAT_5X9321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRISSLLPHVKLERLPISTPNHPSSESNLIKQEQPDMTNSNTVDILPPAFINSINEIRRLSTALSTFLRQYDELQDHLNLIKSSINSNFPNHLLYTANPNESTLTSLAETSRTHTITAVQEATVSEQNPNVTATNYERSHEHVRKSVKPNLSKNPEEINTPEKTEEVDTGKKTGEIDISKKCAVSDLEDICKRMSGRHLKKYVATHISDMNKIRQELPKALKLAKDPAKLVLLSIGRFFAGGSKSFSNGSTLSMTRLASVLILECFVMISSDNIKITKQDEENAAQAADDWKKRMIKEGGYNTANEVDARGLLLLISGFGIPDHVFGNNDIVDLIKASNVKGISNALVGSTIFIQKVTELIDWMVKLNMEIEAADLAYTLGLEDKFHPQTILITFFHNKIKDKQHTSLDQIKHQLSELKSLSKSLESHNIDPSKLFPDFKINEKIQQLEKETVEHDLVETQKRKAEPKLQVPKRSRGNLPHQQSPYPAIENGGMLAGVHGGPVVDHMANGGPYGRYNDLALSDRSLGQPYFSQPYSPQPSSSGLIGLYGGPPGRAFIESFPELPTFARRVPVSDLYSFADKV >cds-PLY92053.1 pep primary_assembly:Lsat_Salinas_v7:5:324613768:324615425:-1 gene:gene-LSAT_5X180300 transcript:rna-gnl|WGS:NBSK|LSAT_5X180300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGICAKEVVVDARHHMLGRLSSILAKELLNGQRVTVVRTEEICLSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSKILWRTIRGMIPHKTKRGAAALARLKVYEGVPTPYNRKKRMVIPDALKVLRLTAGHKYCLLGRLSSEVGWNHYETIKDLEKKRKEKAQVVYERKKQLNKLRAKAEKVAQEKLGPQLEILAPVTY >cds-PLY90706.1 pep primary_assembly:Lsat_Salinas_v7:8:141309613:141311375:1 gene:gene-LSAT_8X96040 transcript:rna-gnl|WGS:NBSK|LSAT_8X96040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYFRGASANERSAGGSSSRKGKKSNSDKPKQPQRGLGVAQLEKIRLHSQLGFHPQEDVRIQTAYSPPSSFSYTSSSTTYNTSQGQQNIMMAMGELERSNTIYGQSQFSSDPRWNANNVIFEAQHYGQPGITRHLFQVEVEDSLKIRSDSMGSISQNSNSNGSQELDLELRLSL >cds-PLY82679.1 pep primary_assembly:Lsat_Salinas_v7:4:62857837:62861441:1 gene:gene-LSAT_4X43261 transcript:rna-gnl|WGS:NBSK|LSAT_4X43261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGIEVKPGKPYTHSSKEGRGRLRISQATLATGSATKKSLVQCNVGDKSPVLLCALLPDKTESLQLDLEFDEAEDVIFSVIGPRGVYLTGYYVGHSRQSILQDDSESYGEDIANSETQESDHYSDEDEYEDSFINDDEPEALTPSPISSDRDDDDDDDDDDDDGEYLEKKKRDVKGGRRRLKKKCQFFESDDEMVFVEIDDKNASPVASIQNINKSEDSGKKIKKETTDKETKNNDDEKGAEVMPESKSKPKKKKRGSSNEKKDTVDESNGLKVEKIKQNEENPNNVDDKSVVEVVANDGSANEVKTKKKKSKKGNAVKDDDDVLVAKDDEKPSNMGVGEVMGEENVKPKKKRKARGNSVEVLGAENKQEDDLQPIDKNSGIDSKQLDNGNQSEEKKVKKKRRKTTEVEVEENRNMEVEKENKKKTLSNGLVIEELVTSNKPKGKVAAPGKKAKYVMVHYIQVKVEYVVKLKENGHVVDSNGESPYKFRLGDKQVIEGLNVGLDGMRVGDKRRLTIPPSMRLGYIGTGENVPPNSWLVYDVELCSVH >cds-PLY67886.1 pep primary_assembly:Lsat_Salinas_v7:5:5515588:5519503:-1 gene:gene-LSAT_5X3340 transcript:rna-gnl|WGS:NBSK|LSAT_5X3340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKLWWLVSLFICSISLAAAQGSSSKTSPWLTLRGDPPLVVARGGFSGLFPDSSYNAYTFALLTGVPDMILWCDVQLTSDNAGICYPNLDLSNASTISSLFNNRNKTYPVNGVPTTGFFPMDFSLADLENVSLTQGVLSRTPVFDGSLKLLTVEEVSGQVKPPGLWLNIQHDAFYSQHNLSMRNYVITTAKKAAINYISSPEVNFLKSLVTNFKASKTTKLIFRFLGQDETEPTTNQTYSSLLTNLKFIKTFASGILVPKSYIWPVDQDFYLQPSTSLVLDAHKEGLEVFASDFANDVQFAYNYSYDPVAEYLSFIDNGKFAVDGVLSDNPITPSAAFDCLSHLGKNQAKQAKPLIITSEGASGDYPGCTDLAYRKAVSDGADIIDCPVQMTSDGVPFCLGSINLLDRTTAAESGFSNLTQVIPELQPTAGIYSFSLTWTQVQGLTPAIYNTYKNYSLFRNPKAKNDGKLMTLSDFLAFASNATSVSGVLINIKNAPYLAANQGLSVVDAVMNALSNSTYNNQRTKKILIQSPDGGVLRLFKAKSNRHELVYEVDENIGDAQNATISDISKMANSVVVGKESVFPRNSGFLVDQTDVVTKLQAFKLPVYVQIMNNEFISQPWDFFSDPYVELNSYVVGANVDGVITDYPATAAKYRINRCLGLPPNQTPAYMAPASPGQLLPLMTPQLMPPAEAPNPILTEADVADSPIPPAIKPSPPTGNATSPPSASPSGQPPKAAVGILFSSLAIVVVAFLLMI >cds-PLY84497.1 pep primary_assembly:Lsat_Salinas_v7:1:29026414:29029564:-1 gene:gene-LSAT_1X24581 transcript:rna-gnl|WGS:NBSK|LSAT_1X24581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPAAAAAATPTNYTAGQFGDTTYTKVFVGGLAWETQKDTMKTYFEQFGEILEAVVITDKTTGRSKGYGFVTFREADAAMRACVDAAPVIDGRRANCNLASLGVQRSKPSTPNKLGGGRTIRVMGGYGGGYHHHNHNHHQAGGAGGMGTTAFPSATAFPHYAIHQGIPFPATNLYGYSPYSPDYTYPTSYYNVYGGTNGQYPYYGANAGGGMITAAGAAAAAAAFYPYLNMAEGGHGNYATGQSYGVYPHHLYQYSAVNSSGGYPQQYGTPISLAATPPLQPAGVNMVLQSPAAHH >cds-PLY82728.1 pep primary_assembly:Lsat_Salinas_v7:2:147861908:147866064:-1 gene:gene-LSAT_2X73820 transcript:rna-gnl|WGS:NBSK|LSAT_2X73820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKNLVWALLLLMVDFNGCFTEGLNNTNVSTRPDVVNIASILTFNSIIGKVAKIALQAACEDVNSDPTILKGTKLQITLHDSNFSAFMNIMEALQVMETDTVALIGPQGSVLAHVISHVANELQVPLLSFTATDPTLNSLQYPFFVRTTHSDLYQMAAIADIIKYYEWRKVTAIYIDDDHGRNGVISLGDQLASRGCEISHKAPIKPLATKTDISDVLVQVALMESRILVVHTYPQYGLDILEVARNLDMLGSGYVWITTNWLSTIIDISSPLPSKTIDVMQGFITLRTYTKDSKLTRKFASEWRNMTNYGLSTFCLYAYDTVWLLAHALDSFFDKGGNISFSKDPKLQALPGEMLNFDSLSIFNGGKLLLQEILNVKMKGLTGAIEFTSDKNLLFPAFEVLNVIGTGVRRIGYWSNSSGLSTIRPDKVNTKPSDHQSSSSELLHAVIWPGQIIQKPRGWVFPDSGKQLKIGVPNRVSFQEFVGQSKDSDSFKGHCIDVFTSAVNLLPYAVPYKFHSYGDGVKNPSNTELLTLINSGVYDAVVGDIAITTNRTRIADFTQPFIESGLVVVVPVRRSSSSTWAFLKPFSPLMWSVSGIFFLVIGAVVWILEHRVNDEFRGPPRKQLVTVLWFSFSTLFSSHRENTLSTLGRIVLILWLFVVLIINSSYTASLTSILTVQKLSSPIKGIESLMMIKDPIGYQENSFVRNYLVGELGINSDRLIPLSLPEDYEKALKDGPHNGGVAAVVDERAYIELFLSSRCEFSIVGQEFTKNGWGFAFPRDSPLAADMSTAILKLSENGELQRIHDKWLLRSACSSQGTQFEVDKLELTSFKGLFLICGLACFLALLIYFVLTIRQFTKHYPTLAESTGRSVRSGSLQTFFSFVDKKEETIRTRSKRKYSEGSSSMMNGDDSSIDNYSNKRDMSLNASK >cds-PLY62150.1 pep primary_assembly:Lsat_Salinas_v7:5:6899878:6900625:1 gene:gene-LSAT_5X6000 transcript:rna-gnl|WGS:NBSK|LSAT_5X6000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLKKDYDNQWGGDYWGSPATSPEEALLSCEPRSKGEKKLVAGDGDGDSDDLFSSSGGKRKRRTTEVKIKITKKQLEELLGMQEMQGLTLQQVLTQLMNSSSNINGGFESNQRPWRPALHSIPE >cds-PLY95617.1 pep primary_assembly:Lsat_Salinas_v7:4:124364117:124365085:1 gene:gene-LSAT_4X78080 transcript:rna-gnl|WGS:NBSK|LSAT_4X78080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCNKKLDFSQLFCDQLIECVTGNKKSTYIPYPHWLALILACNREGYNVNHEVSITIPILSSKIINVGPSYVDIHLTQSMENWVANPFVFEISNSKEEDDKGNNVDGTDDEEDVDDEEDELDANIGEACVQRMTNSPPRLNKHIHISSILTSSTTPSIEIIAQRGSTPHQVETNDPLIQDMTQSLGERLSKVEKDVADIKRTMTLGDNDDMMIEDTPPSSPGDDQPPLPRNNPHPSPSGNNQPPPPLPRNNPPPPPTTSPPLTPSPPHNTSP >cds-PLY68631.1 pep primary_assembly:Lsat_Salinas_v7:7:131896685:131897907:-1 gene:gene-LSAT_7X79361 transcript:rna-gnl|WGS:NBSK|LSAT_7X79361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQASYAPLSSPVSVIGSQFMVPYQFDIIVDTNSCGNLVITDINHRILFKVKPCDTFFHHQRVLLDVDDKPIAVIREKIMTEHHRWNVFKGDSKSKSDIIFSAKTPNMIQIKTSVHVFLANKTGSNNVCDFKIKGSWSKRNCAIYVGDTLTPIAQMSKLQSSVNAKLVEGKFMVTICPKVDHAFVVTLIAIVEAMKMVSSDKKKKLLLGVGRGVGGIVVSTVIPAVLLI >cds-PLY94601.1 pep primary_assembly:Lsat_Salinas_v7:8:181772763:181776658:-1 gene:gene-LSAT_8X118000 transcript:rna-gnl|WGS:NBSK|LSAT_8X118000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALTFAHHILFSKPSVFLHSTKNGFNTLFSVSVPAPFLRRYKSSQTIAAASSLLELGGVKISKEDVVKDEPTNNVPDLIFTKLGLQLHRRDHHPLGILKNAIYEYFDTNYNNQFHKFDDLCPIVTTKENFDDVLVPSDHVSRSYNDTYYIDTETVLRCHTSAHQADLLRKGYANFLVTGDVYRRDSIDSTHYPVFHQMEGVRVFSPNDWNDSGMDATLYAAHDLKACLEGLARHLFGAVEMRWVDSYFPFTNPSFELEIYFQEKWLEVLGCGVMEQEILKRGGKSDNVAWAFGLGLERLAMVLFDIPDIRLFWSTDKRFTSQYPPCYKDMSFWINESFTENNLCEIVRGVAGDLAEEVQLIDNFTNKKGMTSHCYRITYRSMERSLTDEEINELQWNVRDQVQSQMNIVLR >cds-PLY80213.1 pep primary_assembly:Lsat_Salinas_v7:9:191895528:191898034:-1 gene:gene-LSAT_9X118361 transcript:rna-gnl|WGS:NBSK|LSAT_9X118361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSQPQLPLNHLQQQQNRHGSSFFVRCSRGFSRTARLFSFKCAFILLLSASVSLSAIFSVVRIHHRQSGYDANDSIKHSAKVQAYFRLEKAVSFLLPQITRLEYDIYNEIGVPGTQVVILSMHESSEYNYTDVVFGVLHKPMNSPINPISLSVLKTSLLDLFSQRSNLTLTKSIFGLTSDFEILKFPDGITIIPRLSPPVWFLPEVLFNFTLRNSLQEIEYNFLVLKEQLNSGLQLMPDESVFLQVTNKVGSTQDPPVTVQASIVSNLGSLNPQRLKQLAQEITGSPPGKNLGLDHSVFGKVKEISLSSFLSRTLDAPTPSPAPSPEQNSPTGAPASSPSPSGGSDDDVSPGPRQHGVPPGGSTSLPPNLSPLPAVSYGSVPSHENGLAPSPLPSSSSCKLSFSFSLSLVL >cds-PLY67706.1 pep primary_assembly:Lsat_Salinas_v7:4:3986091:3987371:-1 gene:gene-LSAT_4X3320 transcript:rna-gnl|WGS:NBSK|LSAT_4X3320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYTDLLKSMDEQIARAKDEASSRKMIMEKVEKWALAREEERWLEEYNMDENRYTVSRGAHKNLKRAERARSLVNKIPALVESLILKTRSWEEERKKVFLYDEVPLLALMEEYSMSRREKEEEKQRRQRDKKIAQSHVVVLHESVMWTQPVTSSHRGLDQSIDGNKPNSTGIQIESNNERLSHKSAPCVKNGNVSKKLHRSRRDRRFGEDLPSTVSTFSSLRSP >cds-PLY65099.1 pep primary_assembly:Lsat_Salinas_v7:4:5186903:5187928:-1 gene:gene-LSAT_4X2681 transcript:rna-gnl|WGS:NBSK|LSAT_4X2681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRHKLCKCLNKSYKVNVYVPKDRVTNLHQGYGFVVFRSEEDADYAIKVINTIKLYGKPIRVNKTLRSRTEKQKFTEELKSLIVDDA >cds-PLY69158.1 pep primary_assembly:Lsat_Salinas_v7:5:287104045:287107210:1 gene:gene-LSAT_5X152040 transcript:rna-gnl|WGS:NBSK|LSAT_5X152040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFRKASVFGKHYHNPPIVDKENPEADMKGKKIKSDITVDPDLMGLLHKGLNGNERISAHEFFLTLAACNTVIPILNQSSSQGSSMGTEIHEDLEAIDYQGESPDEQALVAAACAYGYILFERTSGHIAVDVNGEKLRLDVLGLHEFDSVRKRMSVVIRFPNNEVKVLVKGADTSILSILRDNSGNDNDLSIITQHHLNEYSSEGLRTLVLASRDLTNQELEEWQSMYEDATTSLVDRSLKLRQTASLVECNLHLLGATGIEDKLQEGVPETIECLREAGIKVWVLTGDKQETAISIALSCKLLTSDMHQIVINGTSETECRQLLSESMARYGVKCRDLKNNTESDAENIEGELALIIDGNSLLFNLATSCRVVLCCRVAPLQKAGVVDLIKSRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRMGYLVLYNFYRNAVFVFMLFWYILCAAFSTTSALTDWSSVFYSVIYTSVPTIVVGILDKDLSHKTLLQYPKLYESGHRQESYNSCLFWMMMADTIWQSLALFCVPRFAFSQTTIDIWSMGSLWTVSVVILVNIHLAMDIQRWVLYTHISIWGSVVMTYACVVVLDSIPVFPNYGTIYELAKQPTYWLSIWLIIVVALLPRFIIKAMHRMFRPSDIQIAREAEILRKRRFFYGSSTLRRSSD >cds-PLY62945.1 pep primary_assembly:Lsat_Salinas_v7:2:212211173:212211621:-1 gene:gene-LSAT_2X132340 transcript:rna-gnl|WGS:NBSK|LSAT_2X132340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCFSIATLLRLAMKLDKGGRSITNRDLNDLELNLEPFMVDDVHKDENFQHIDDQHQSSSSADVLLDMDASHQPEQVEDFLIKL >cds-PLY64649.1 pep primary_assembly:Lsat_Salinas_v7:3:175916959:175917747:1 gene:gene-LSAT_3X105581 transcript:rna-gnl|WGS:NBSK|LSAT_3X105581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKEFKYYDRLTRLETGISVDPEDKEFAKFKDKNLDIYQTYYEALFRDTVAVGDKAKVPCEFGDSSTSDDVEFVDITDGKEDTDEVRLFDDVDPFITINSSSMNRSGKKLTPRHDKKRKFEGKSEGKSMAKSSYEEKLDTLFDVLLTRSTQPSRQTKWSPTTEECMEIVSTFPGFEEGSIGYLEALEVFLKKPTCQNFMVPKSNETKMEFLKRLIEKEK >cds-PLY69526.1 pep primary_assembly:Lsat_Salinas_v7:5:29499498:29504019:1 gene:gene-LSAT_5X14121 transcript:rna-gnl|WGS:NBSK|LSAT_5X14121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSQKASHSTDDSLPIHRQAVSADVVVVENWHNNDQKTPIKNTSIATLINTTDPSASSKGIQILTRAQTSHPLDPLSAAEIKVAVATVRAAGATPEVRDGMRFVEVVLSEPDKSVVALADAYFFPPFQPSLLPRSKGGAVIPSKLPPRRARLVVYNKKSNETSIWIVELSEVHATTRGGHHRGKVVSSRTIQDVQPPMDAVEYAECEAVVKEYFPFQEAMKKRGIEDMDLVMVDAWCVGYHSEADAPSRRLAKPLIFCRTESDSPMENGYARPVEGIYILVDMQNMVVIDFEDRKLVPLPPADPLRNYTPAHTRGGVDRSDVKPLQILQPDGPSFRVNGQYVEWQKWNFRIGFTPREGLVIHSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCMHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALQPGEVRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAYNQVVEVNVKVEESGKDNVHNNAFYTEETLLKTENEAMRDCNQLSARHWIIRNTRTVNRTGQLTGYKLVPGSNCLPLAGSEAKFLRRAEFLKHNLWVTPYALDENFPGGEFPNQNPRVGEGLASWVKQNRSLEETDIVLWYVFGITHVPRLEDWPVMPVEHIGFMLQPHGFFNCSPAVDVPPGACEMDVKDNEAKEGVGVATKSVSNALIAKL >cds-PLY67354.1 pep primary_assembly:Lsat_Salinas_v7:3:153888471:153890672:1 gene:gene-LSAT_3X97700 transcript:rna-gnl|WGS:NBSK|LSAT_3X97700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYDRDITFDPVGEPKDMFSREVGKTMWQMVPFDKWTWKKVSPAIKDTLLQHLGTKFDLDQMYQDVQANMLTKSFQAALLKGYRERKADAKEYFMLVRGYEDISRTLANPPDGMEVANWKKAVSYFQTDEHRIASERNKKFYEKQTNNLKRVETFRKAHIDRDGVFVTAEAEQQYIIEELLEQTQGVSELTLAQERAAFEKVLGERRSHIRDINRKPSGLPPIPQSSQPSPQPSQLENLRAMLNNPTCRDELY >cds-PLY98835.1 pep primary_assembly:Lsat_Salinas_v7:7:24911887:24914809:-1 gene:gene-LSAT_7X20701 transcript:rna-gnl|WGS:NBSK|LSAT_7X20701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYVPTAPGGDKGYPGNLTLYVTFTCVVAAMGGLIFGYDIGISGGVTAMDPFLKKFFPSVYRKQSADTSTNQYCKFDSQTLTMFTSSLYLAALLSSLVASTVTRKLGRKLSMLFGGILFCAGALINGFAQAVWMLIVGRILLGFGIGFANQSVPLYLSEMAPYKYRGSLNIGFQLSITIGILVANVLNYFFNKLDGNLGWRLSLGGAVVPALIITVGSLILPETPNSMIERGQTDEARTKLRRIRGVDNVDAEFNDLVHASEESRKIEHPWRNLLQKKYRPQLTMAILIPFFQQLTGINVIMFYAPVLFKTIGFGGDASLMSAVITGSVNVLATCVSIYGVDKWGRRFLFLEGGIQMLICQVAVAVFIGIKFGVNGDPGDLPKWYAIVVVLFICIYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSINVSVNMIFTFIIAQVFLTMLCHLKFGLFLFFAFWVVIMTIFVYVFLPETKNIPIEEMVIVWKKHWFWKRFMVDVDHPNGLELNKGSDGVKKV >cds-PLY99590.1 pep primary_assembly:Lsat_Salinas_v7:5:242099458:242099805:-1 gene:gene-LSAT_5X119381 transcript:rna-gnl|WGS:NBSK|LSAT_5X119381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLYSSDRVMKERLWEVKGCLTRWKEALLVLDWTEEGKGNGSSGVAGGLGGCSVGLLKQLLAYLLLLRQLITKGKGKFGLKGSMKQQQVRCRGDAKEAVAFLTVGKLHLQWRFIN >cds-PLY62793.1 pep primary_assembly:Lsat_Salinas_v7:4:30008790:30015682:-1 gene:gene-LSAT_4X17080 transcript:rna-gnl|WGS:NBSK|LSAT_4X17080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGSSLDMINNLPPNIIQAMLTFLPVRDAFKTSILSQDWRYHCVNMPKLKFDDELFQKFPYNHLSNRCKLLHIVCPILLLHHRPILEFSLSASQLSSCCEIDQIILHLSRNPTLKSFTLCIGSGKDHKLLSSFFMLQQLTHLNLQNCTFQPPSKFYGFGMLTSLYFHNVGVTVKVLLRFIFNCPLLKSFTLIEDEKHAIGSWNSDFVELFECLPLIEHLHMSSYPVKCFATGAMQQKLPSAPVHLRVLVLSGLRFGKEVELRSALFFITSSPNIQKVIMEVCYSTEVSSISLEAEDYSYISFDHLRELEIRNMSNIRPEMDFVKLILGKSPMLKNICYSTEVSSIGLEVEDYSYISFGHLRELEIRNMSNIRPEMDFVKLILGKSPMLKNVGIIIDSRVSINEEVKMLREFLLYQRASTN >cds-PLY80239.1 pep primary_assembly:Lsat_Salinas_v7:6:123679310:123680016:1 gene:gene-LSAT_6X75001 transcript:rna-gnl|WGS:NBSK|LSAT_6X75001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISFLFWFDRYPLTKGEKGLRSSVFFCSRRGTWWEAVTRVVLWCSWIAASSSPVNCANREIHGCLFLVFLTGNECKCVLTIQPAFVFVVDGSSSEDELQAIMNELLLIVAQLPENAIVGLIVFDSMVSGYDLGFTECLRVVVLHGECKPSSREVFQIRFFSVHFHLLLYLTVNYKYIL >cds-PLY75044.1 pep primary_assembly:Lsat_Salinas_v7:2:68041534:68042043:-1 gene:gene-LSAT_2X30280 transcript:rna-gnl|WGS:NBSK|LSAT_2X30280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLEKQVADHLFEIQIDEDIDANGYLEATSESEKINDDGVNLGCDEGENNDTCITGKVFDTPNDAYRFYNDYSFLHGFVTRKHWKFKNKSTKEHYRRIYVCNKEGFKQLKGNNSSGKTIKRCREVRTGCKAMIRISKQKDGKWIVDKFNLITFSPTKSIDCLRLLVLSV >cds-PLY97138.1 pep primary_assembly:Lsat_Salinas_v7:4:359237286:359241324:-1 gene:gene-LSAT_4X176741 transcript:rna-gnl|WGS:NBSK|LSAT_4X176741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTISHHYFGDSNGCDDIVSITIIKIVPEVTELLVAQFMWLDYDNPPKPIYLYINSSGTHNDKMETVGSEKEAYAIVHIMAVSN >cds-PLY66383.1 pep primary_assembly:Lsat_Salinas_v7:4:119171541:119174960:1 gene:gene-LSAT_4X75381 transcript:rna-gnl|WGS:NBSK|LSAT_4X75381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLNWFKQISNNGKLERRLSLGEYKRAVSWSKYLVSSGGEIKAKGEEEEEEEEEGLEWSADMSQLFIGNKFATGRHSRVYRGVYKQKDVAIKLVSQPEEDGDLASMLERQFTSEVVLLFRLQHPNIITLIAACKKPPVFCIITEYLSGGSLRAYLHQQEPYSVPPNLVLKLALDIACGMQYLHSQGILHRDLKSENLLLDEDMSVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKKHTKKIDVYSFAIVLWELITALTPFDDMTPEQAAFAVCQKNARPPLPESCPSAFGELIKRCWSGNPKKRPGFNEIVRILERYASCVEKDPDFLTSYEPGDGSLLGCLGPRKSKTTTV >cds-PLY94222.1 pep primary_assembly:Lsat_Salinas_v7:8:229674605:229679055:1 gene:gene-LSAT_8X140141 transcript:rna-gnl|WGS:NBSK|LSAT_8X140141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQKQSDSTSTKNDDPPSLDDTGDIEPAELVLFQVPESYVYLIPPRKTAASYRADEWDINKWAWEGTMKVVSKGEECIIRLEDKTTGELYARAFLRDGEPHPVEPVIDSSRYFVLRVEENIGGRLRHAFIGIGFRERPQAYDFQAALHDHMKYLDKKKTAEEMEQQYQQTSAVDYSLKDGETIVLQLKNKGGKSMRSKFFELGLNNLPDPNEKGKEVGMGISLIPPPPPPSPLSPPVAAVITPPTSPPNFTLTPKEKEKTLSPVVDKSNEPRAIDKQIAQDLPDEDFGDFQAA >cds-PLY81834.1 pep primary_assembly:Lsat_Salinas_v7:3:30362753:30364501:-1 gene:gene-LSAT_3X23080 transcript:rna-gnl|WGS:NBSK|LSAT_3X23080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g33760 [Source:Projected from Arabidopsis thaliana (AT2G33760) UniProtKB/Swiss-Prot;Acc:P93011] MVYRNAPQQHLSLYQFLLLAGRRIKPLQQVHAQIILSGKTSSLPLLTKLITSACAAEAILYTRQLLFSIANPDSFLFSSLIKTSTKHNFPVDSLIFYRHMLVCNAEWSNHAFTAAIKACANLSALGLGRIIHCHVIVSGYGVDLFVQAALVSFYSKCNELGVAQKVFDEMPQRTLVAWNSMISGYEQNGLADKAITLFYKMRDSGVEFDSVTLVSVLSACSQLGDLSLGCWIHDYISSNLHVNTTIATSLINMYGRCGDVIKAREVFNSLNQQNVVTWTSMISTYGMNGYGKKAMEIYNLMKLHGPPPNSITFIAVLSACAHGGLVSEGQLAYTSMIQDYKLVPKMEHHVSMVDMLGRSGLLNKAYQHIQDMNHVKPGAAIWTAMLGACKMHKNVNLGVIAAENLLAIEPQHPGHYVLLSNIYAMAGQMDRVEMIRNMMIRKGLKKQVGYATIEVDHKTYLFTMGDKSHPETVAIYRYLDELMERCREVGYTPASESVMHELEEEERVYALRYHSEKIALAFGLLKTGHGSIIRIVKNLRVCEDCHIAIKFISVVTKRKIVVRDKLRFHHFENGICSCLDYW >cds-PLY64751.1 pep primary_assembly:Lsat_Salinas_v7:3:186776553:186777071:-1 gene:gene-LSAT_0X18701 transcript:rna-gnl|WGS:NBSK|LSAT_0X18701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTFLFIIFCFLFSKTINVCCKIIDGEDNRSIRDDEGSSKNGYVTTKGTYFGFYDAIHGDKHVTSDFDRRPSVYTNELAENSSIGIFQHDVITLQSVIEDLDGIIGICSRVAQFIESSTLAPGDNSATLNSIGLELEEFTVTLVRGIGDIRKIQQQLQGVTLVDKVGRGP >cds-PLY68135.1 pep primary_assembly:Lsat_Salinas_v7:8:232351692:232352390:1 gene:gene-LSAT_8X140740 transcript:rna-gnl|WGS:NBSK|LSAT_8X140740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDNETAPPLPLPPPPPPPKNTSFPVREDCWSEEATFTLIEAWGDRFVELNRGNLRQKHWQEVADSVNARHGHVKKSRRTDIQCKNRIDTLKKKFKVEKSKMLELGADNYVSPWPFFAPLDSLIGSSFKPSTQTPTPPPPRKRIRTPPSLPALPPPPSSVPVAPRSKRPAPPAFQPSNDTSFFRRNFSVMAAAAAAIDGADEDSDTSWSSGGNGARRSPSFSGGDRKVCRGV >cds-PLY66625.1 pep primary_assembly:Lsat_Salinas_v7:3:65162451:65164179:1 gene:gene-LSAT_3X51401 transcript:rna-gnl|WGS:NBSK|LSAT_3X51401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPGILSAGRRDVDESINQHGDPHHPELFAAEFGAAPKRRNLKACVAVNQIDKCWRCKADWADNRQALADCALGFGKGTTGGKGGDIYEVTDPSDDDFTEPKEGTLRWGVTRDRPLWITFAKDMVINLKQELVINNDKTIDGRGAAVEICNGGGLSVFKVKNVIIHGIHIHDIQETPGGDIKSNEGKAMPRSKHDGDGIMVFGSTNVWIDHCWFHDGPDGLIDVTMGSTMVTISNCKFSKHDKVMLLGADATHSEDKAMKVTLAYNKFVEGCIQRMPRCRWGLTQVVNNDFEKWGEYAIGGSNEPTILSQGNKYVAPDGANYKEVTRRAEATEDEWSKWSWKSENDVLENGATFKQSGGDIPITPEMITPDTTPVAELTADAGLLVCSPGTPC >cds-PLY85391.1 pep primary_assembly:Lsat_Salinas_v7:5:243199307:243200557:1 gene:gene-LSAT_5X120301 transcript:rna-gnl|WGS:NBSK|LSAT_5X120301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEEVILLDFWASMFGMRARIALAEKGVSYEYREEDLKNKSQLLLEMNPIHKKIPVLIHNGKPICESRIIVEYIDEVWNDKAPLFPSDTYAKACARFWTDFIDKKVYLNGRNLLFKAEGEEHEASKKEFIDSLKLIEGELGEKPYFGGESFGYLDVSLIPFYTWFHSYEIYGKLNIEQECPKLIGWVKRCIQKESVSNVLPESVKVLGFVQQARKRLGLDE >cds-PLY77763.1 pep primary_assembly:Lsat_Salinas_v7:2:170269380:170270309:1 gene:gene-LSAT_2X91081 transcript:rna-gnl|WGS:NBSK|LSAT_2X91081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATGFSYQRLRNEGGGDKEKEIEREIKGRIRWSLRLKKVHIRKRLKMKIPSLRKFMRRKARVVMASLEKVLKRLKESQSHFGDLFAGNYLFMQVTPTPMKHVHAINASKKRIEDAFF >cds-PLY75605.1 pep primary_assembly:Lsat_Salinas_v7:9:33920872:33922534:1 gene:gene-LSAT_9X30000 transcript:rna-gnl|WGS:NBSK|LSAT_9X30000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATREEFKVVVVPSDRHGIDPRPLTSSEATTEENWHDCPSDLAYNDDEFPALDALQFFRLESTSDKSGNRIFRIVGKYFPAPVIHGEWLKKYIIYKISTELPQGPFCIVYMHSTVRNEYNNPGITILRWIYEELPSDFKDRLQVLYFVHPGIRSRLLMATLGRFLLSGGLYWKVKYVSRLQYLWEDMKRGSIEIPDFVAEHDEVLENRPLTDYGIEPDPLHFTGLPSNSFSYGRYEDRWTSREPMS >cds-PLY63650.1 pep primary_assembly:Lsat_Salinas_v7:4:131290022:131290609:1 gene:gene-LSAT_4X82460 transcript:rna-gnl|WGS:NBSK|LSAT_4X82460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKKDCLKDFQGINGLELQSPLITINNIYNSRQKIKNGEPDWYGVLHLINKPATIEEIYANYYKLHAKVEEGRNNVAGAEGAFKILMEALCMLTQTFWTRCPSCKFRFHYSNARNNKELVCIKCGNIFVAVPLTCDNYPTHFTMCRDVVLALSASSSNPEASDDKS >cds-PLY89712.1 pep primary_assembly:Lsat_Salinas_v7:7:42610008:42612151:-1 gene:gene-LSAT_7X30880 transcript:rna-gnl|WGS:NBSK|LSAT_7X30880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPGVVLYSMFFVLSLLLIPDSSFGEKPPLEAILHNKVRHLLQQDDIPIIQPTTPTGTPNTDSPTTFPPTTTTPTGNPTTLPPPSPTGFQPNNPTPFPTTPTGTTPTAPMGPSNPMGPSVPTAPTGPSGPTGPTGPSGSSSGSWCIASPSASETSLQVALDYACGYGGADCSAIQPGSSCYNPNTVRDHASYAFNAYYQKNPAPTSCSFGGVAQVTNTDPSSGSCRFSAAKSTGMMTPPTPPMPTPPTSPTISSPINPYPTTPTQPGGFSSDQPGYTSSEPTGEPNSAAMMVANLFLPLMITILLVFLNREK >cds-PLY98589.1 pep primary_assembly:Lsat_Salinas_v7:1:38649572:38649904:-1 gene:gene-LSAT_1X34501 transcript:rna-gnl|WGS:NBSK|LSAT_1X34501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDCMFMNRTNGFDGKRVMLFWSLGFQKWKEYLSQAMVRLLGVAVHQPRMPAKTRPTPPHRF >cds-PLY85422.1 pep primary_assembly:Lsat_Salinas_v7:4:291121420:291124247:1 gene:gene-LSAT_4X151921 transcript:rna-gnl|WGS:NBSK|LSAT_4X151921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSISELLPATKNPRKWRFTWESNSHVPIIRLYLFHPNTKPSSQCTDLKVTILSDKSLLEVTWLDKNQVGSPATAASLWVPIPRVLIDVDSPINLRVLDDHIEVKFVLILPVDHPIISNFDFEAGDENELEDDELQPLQLDSDLKKLASCGEVQFYCRGCSTKLTRAVQFFKEMPSVNWREAADNWFGTCCCSFGGVSEKLVAKYANSYTCSSGMCLVDATSVVISKDDLIGHTFPDKIKLQEHKSLHSLNNSFKKLNVDHGSQNEKLHDHECVHVHEHEEETLDTNELLANKTSLLNGLLGNSFMVTSPYLSKDIKWSEISCPNCSCLLGAFPHDNLDGFPLNDSVHLFKCFISTSLPIGGSNDLFRKYSLERMFSSQLLESAKDELSFRTVVRNLQTRSPMLQIVLINPNSWCSFGDCMDEMVSIPKINIYPMIKVLFSDCSNTTESQLRKLDEWVKKNQVEDVYMLMTKALAESLELANRMLPPSHASLQGLSLSFLHR >cds-PLY98981.1 pep primary_assembly:Lsat_Salinas_v7:7:51764131:51770057:1 gene:gene-LSAT_7X37560 transcript:rna-gnl|WGS:NBSK|LSAT_7X37560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 4 [Source:Projected from Arabidopsis thaliana (AT2G19600) UniProtKB/Swiss-Prot;Acc:Q9ZUN3] MLRKSLLRLFFLFLLVDLLCFICLLADEDSFIFNEEASVVNVTATGSNRSKEDSFAGMLDRALEKEFPENDEQSDGTDPGSFNNSVAGQQAVLETVARVKSKRNDTKEDKSFQLHDVFNLDNENRPEDTPRLIDSKDNVFIMSNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSVIGPGGLSFVSELVQVETVAQFGVIFLLFALGLEFSSAKLRVVRAVAVLGGLLQIFLFMCLSGVIAVLCGGEASEGVFVGAFLSMSSTAVVLKFLMEKNSISTLYGQVTVGTLILQDCAVGLLFALLPILGGTSGILEGMLSMTKTLVTLITFLAVLSILSRTCIPWFLNLMISLSSQTNELYQLASVAFCLIVASCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVQFLWNHIDILLAAVILVVVIKTFVVATVVKGFGYTNKTALLVGMSLAQIGEFAFVLLSRASNLHLIEGKLYLLLLGTTALSLVTTPFLFKLIPAVVHLGVLLRWFSPDMPTEMLFKGELMRSDTAKRISLMVQKESAMSLKEQV >cds-PLY97396.1 pep primary_assembly:Lsat_Salinas_v7:4:16531127:16532861:-1 gene:gene-LSAT_4X10620 transcript:rna-gnl|WGS:NBSK|LSAT_4X10620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:VTI1B [Source:Projected from Arabidopsis thaliana (AT1G26670) UniProtKB/TrEMBL;Acc:A0A178W140] MSEVFEGYERQYCELSANLSRKCNAAGSILDGEQKSKEINELLSGLDEADVLIRKMDLEARSLQPSVKAMLLAKLREYKSDLTKLKREVKKLASAKSSLTAHEELLESGMASSDQRDRLMMSTERLNQSSERIKDSRRAVFETEELGVSILQDLHQQRESLLNSHAKLHGVDDAIDKSKKVLTAMSRRISKNKWILGSVIGALVLAIIFILYFKLTH >cds-PLY88568.1 pep primary_assembly:Lsat_Salinas_v7:7:8644196:8645762:1 gene:gene-LSAT_7X6700 transcript:rna-gnl|WGS:NBSK|LSAT_7X6700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMGFILELGLLKAIFSFITMQLQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATDCSFLTWRNVKGFRFHLTLHGIVTIESASLIEDHPHSPSEHMGAENHMSNGNSTPSTTHIGKEMAYNANGISYNIN >cds-PLY64386.1 pep primary_assembly:Lsat_Salinas_v7:4:25997195:26000999:1 gene:gene-LSAT_4X18660 transcript:rna-gnl|WGS:NBSK|LSAT_4X18660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMVDEPLYPIAVLIDELKNDDIQLRLNSIRKLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGLEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGSQMRETDLVDWFIPLVKRLAAGEWFTARVSACGLFHIAYPSASEMLKAELRSIYSQLCQDDMPMVRRSASTNLGKFAATVEPSHLKTDVMQMFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTKTDLVPAYVRLLRDNEAEVRIAAAGKVTKFSRILSPELAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLQDKVYSIREAAANNLKRLAEEFGPDWAMQHIVPQVLEMVNNPHYLYRMTILSAVSLLAPVLGSQITSSKLLPVVVTLAKDRVANIRFNVAKVLQSFIPILDQSVVEKSVRPCLVELSEDPDVDVRFFSKEALQAIDQVMMST >cds-PLY94952.1 pep primary_assembly:Lsat_Salinas_v7:4:112388041:112388388:-1 gene:gene-LSAT_4X72180 transcript:rna-gnl|WGS:NBSK|LSAT_4X72180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTNLKVLDVTGVPGGVVSRTVGKDGVVRMKILMKRHQLQKVLEQAVKKNDNVGNHVNIRPLMRSSVSNPLERRLKEIKRLQIQRSRQVNRNCGSYWRPALQSIPEARVLSIIS >cds-PLY89545.1 pep primary_assembly:Lsat_Salinas_v7:4:159043493:159047778:1 gene:gene-LSAT_4X95720 transcript:rna-gnl|WGS:NBSK|LSAT_4X95720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTLTSTPVFLVLDDQLLPISLRVSCPLVYLHAIDTIAPMVFYFPLLIFLVLLPFSDPEMFTSVAGAAVPFVQNFSTKWVAPKLSKRMDRFMLYMLTVGKKALADGGITEDQMDELDKTRCGVLIGSAMGVFNDAIEALRVSYRKMNPFCVPFATTNMGSAMLAVDLNPDVHRAAWNSLKVRMCLVDVYSVNQSSSGCCEGGKKFL >cds-PLY74384.1 pep primary_assembly:Lsat_Salinas_v7:6:153996902:153997428:1 gene:gene-LSAT_6X93240 transcript:rna-gnl|WGS:NBSK|LSAT_6X93240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKEVCEETVSEKVESEEGLKLSDSVNIGLNENEIASKVEDEEKEQEVYVSGKGLGDDVTSSQDATFEDIKESTGEHVTTVAYLEEEQALNSTPKEILKEEAEDQSSDAQEVSEQDESGANEKIEHELNYRR >cds-PLY92967.1 pep primary_assembly:Lsat_Salinas_v7:8:98743888:98746008:1 gene:gene-LSAT_8X68301 transcript:rna-gnl|WGS:NBSK|LSAT_8X68301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMMMMKPINLHFSDLKKLRNPYPNSRPQHARSPNSLLIRKSLETNHSLLEPSQEFARSLIHEFNPHIPIEEALTPPSSWYTSPSFHSLELNQVFFRGWQAVGCTEQIQEANSFFTGRIGNIEYVVCRDENGKLRAFHNVCRHHASLLASGSGKGSCFVCPYHGWTYGLNGALLKASRITGIKNFNVKEFGLVPLRVAIWGPFILLDLEKDKFDEHDDVGMEWLGSTSEILSTNGVDTSLSYLCRREYTIECNWKVFCDNYLDGGYHVPFAHKDLASGLKLDSYSTTVYEKVSIQRCDGDEDFDRLGSKSLYAFIYPNFMVNRYGPWMDTNLVLPLGPRRCKVIFDYFLDASLKDDEAFVTGSLKDSEQVQMEDITLCESVQRGLESPAYGSGRYAPMVEKAMHHFHCLLHQDLIN >cds-PLY99888.1 pep primary_assembly:Lsat_Salinas_v7:4:46490223:46490513:-1 gene:gene-LSAT_4X31821 transcript:rna-gnl|WGS:NBSK|LSAT_4X31821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMIKVWMACFVSFLISMVGGLILLFWEIKYHPSNRQLWMVPFGLIMFLTPVLACFAAFISDTSTPPATSDHMLTFKLQSSAFSQVNSQSPPPQHV >cds-PLY64648.1 pep primary_assembly:Lsat_Salinas_v7:3:175763077:175764768:-1 gene:gene-LSAT_3X104701 transcript:rna-gnl|WGS:NBSK|LSAT_3X104701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCDESGLKKGPWTPEEDEKLVNHIQKHGHSSWRALPRLAGLNRCGKSCRLRWTNYLRPDIKRGKFSHEEEQTILHLHSMLGNKWSAIATRLSGRTDNEIKNYWNTHLKKKLIQMGIDPMTHRPCTDIFASLPQLMALANLKELVEHHSSISWPSNSSSLEQQLSMIAKLQTEQAVHQIAKIQYLNNLLQCTPPLPLHTGLISHPPNMEDLNYLGLYNGSSSSVGISNFDDDLNLPFSHLPNLQPPENIVHSCSDDAWVSSRCSSPAAVVVPVAPPVTSMTNSTSDDACSSPTTSRCGKAPPQFWPDLLLEDPLFHDIL >cds-PLY69871.1 pep primary_assembly:Lsat_Salinas_v7:6:4350708:4352750:1 gene:gene-LSAT_6X2981 transcript:rna-gnl|WGS:NBSK|LSAT_6X2981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLRNVASQIMGGNGVVGRSVASSLRLRSGMGLPVGKHIVPDKPLPVNDELMWDNGTAFPEPCIDRIADTVGKYEALGWLCGGLGFFATLGLMATLNDKASKIPFTPKVFPYDNLRVELGGEP >cds-PLY80374.1 pep primary_assembly:Lsat_Salinas_v7:MU041980.1:49542:50146:-1 gene:gene-LSAT_0X21921 transcript:rna-gnl|WGS:NBSK|LSAT_0X21921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANNNTDQVPPRVLVNRMSDQAVFPFGFILSSSKTLNIPPNWWDQTEVPTDLRFIVPVGVSFDIAPIPDVLPQSIKVMGGWISPLKEAPVSVAIVDDSGSDFEIKAGDQIAWMHTWCAIEPELVDVTTD >cds-PLY94875.1 pep primary_assembly:Lsat_Salinas_v7:2:180316730:180320020:1 gene:gene-LSAT_2X102320 transcript:rna-gnl|WGS:NBSK|LSAT_2X102320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFSLDMKANQGIGDGRQSHQPIPRFYIFRYLPHDNLPRGLVVEGGGFTTDLGSDLGSLIEGFAMLNLLVQKSQGRDDGEIIQEKVTDVALVNFNTKKKSAEKDVDPTQFSREFMRKASSLVEDEERAVRSLYQQKSVEVELRSIPDHRHGRKLCLLILDTFLSCLSSFYILYFLYLILAVIVGSQTIITGTFSIVKQCCWVIVQPYFKIGDEQLECETEVGPPELLCWELK >cds-PLY89282.1 pep primary_assembly:Lsat_Salinas_v7:2:51404223:51410517:-1 gene:gene-LSAT_2X24340 transcript:rna-gnl|WGS:NBSK|LSAT_2X24340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECFKRRILIFFLVVAVINGEPIVPALCFFGDSVLDAGNNNHVATFLRADFLPYGRDFVDHKPTGRFCNGKLAADYTSEYLGFDTYPPAYLSRPENQTLLLTGANFASAGSGYYEGTALIYQAISLRRQLSYYKDWQKRVVGMIGREKANEIFSTGIHILSAGSSDFLQNYYVNPFLNRIYSASQFSDILMTSYITFVEGLYDVGVRRFGVITLPPIGCLPAAITFFNLGSNNCMQQLNDDALTFNKKLNDTSQNLVVRFPDLKIVVFGIYDTLLTMINTPGARGFCESRKGCCGTGTLETSILCNEISVGTCPNATGYVFWDGFHPSDTANQLLAQSLLRQGIPLIS >cds-PLY85854.1 pep primary_assembly:Lsat_Salinas_v7:4:120548567:120551957:-1 gene:gene-LSAT_4X75841 transcript:rna-gnl|WGS:NBSK|LSAT_4X75841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPSAGAEALHRLKLTEPPMYLTPSSELASAARIASEHLFASLKPYTPKSPFDRLLVDDKFDAEQIWQQIDLQSQPLISAIRRQVNKFEKDPQELKNIFKSGETEPEKKRELVLEGEKEEDEDDEDNEEDSDDEDEDEMEEDEDEDEDKDGVSENKEGGAGGVEDKFLNIEEMKEFMEDDEAREYGLNKKKQVVKKLARKHVQEDEEDEEEDDDEDEDDELGVLGEEDMSDAEDARYEDFFTSKKPRDQYKKPKQNKEVENVDMGDGEENEGSDAGDEDEEDDEMGIDDEMKTDNLSTHEKQLLEQRVRIEEVERENLEAKSWTMQGEVNATKRPKNSALEVDLDWERNAKPPPVITEEVSQSIEEIILKRISEGHFDDVQKAATLPSKAPREMKELDENQSKKGLGEIYADEYAQKTGLVSQALTFSDEQKKEASVLFKKLCLKLDALSHFHFTPKPVIEDMSIQTNVPALAMEEIAPLAVSDAAMLAPEEVFSGKGDIKEEAELTQADRKRRRATKKRKFKAENAKRVIAKKPQESTETAGTGKEE >cds-PLY67751.1 pep primary_assembly:Lsat_Salinas_v7:9:166152310:166154728:1 gene:gene-LSAT_9X102721 transcript:rna-gnl|WGS:NBSK|LSAT_9X102721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYDCDYCREARSMVYCRSDEAYLCLSCDRNIHSANALSKRHSRTLICDRCNSEPAFVRCVDEKLSLCQKCNWEGHTGSNSGSGSHCRQTLNCYSGCPSAVELASIWSFMSESPSVLGSTCQQEMELMSIADDKTNQVLSCSIQRDDLQKGINSNYKNDPDPCSTSKISSTKDAFYDDFNMDETDLSIEKYEVLFGDGYNDPEHLFAKDGIDSLFGAKETSVAESMSHNSHATKGDDVHVRPTCSNAASFESLRSCKTEPNVCYKPHSTISFSSLTGESNAGDYQDCGASSMLLMHEPPWCTMAHDSTTPSGIRNDAVLRYKEKKKTRKFEKTVRYATRKARADVRKRVKGRFVKAGDAYDYDPMSQTRSY >cds-PLY66339.1 pep primary_assembly:Lsat_Salinas_v7:5:288431734:288433507:1 gene:gene-LSAT_5X153601 transcript:rna-gnl|WGS:NBSK|LSAT_5X153601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFCAPNSPPSPSKSCEKTLIHPLLLGEEEEERSKEKRCRAKIQGQNQSSLSRGDTGAAAVSFQSHSHSSQFTRYFRKQREELGMMASHTPQLLICLGS >cds-PLY70270.1 pep primary_assembly:Lsat_Salinas_v7:2:91718111:91720372:-1 gene:gene-LSAT_2X40220 transcript:rna-gnl|WGS:NBSK|LSAT_2X40220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRALRFGYGVAVTTLTKKLRYPSLYTTRFLLLNHNYDYRCRNPHKSLNLSSKNPQIFNRLFCSFRSYSSSGPPDPPSISGIDPNSVASTVSCPNSQRLQDDDVSAIDAGSSLRKPVCMWPGMYHSPATNALWQARSIFDTPSSDVDSITEKTPSHSRTTILYPFSSDYILREQYRNPWNGIRAGKLLEDLDALAGTISFKHCFNNAGMADSLLLVTASVDKMVIKKPILVDIDLKIVGAVTWVGRSSMEIQLEVLQPTEETSDPLDSQALVANFTFVARDSKTGKSAIINQITPETEREKSLWKEAEERNKLRKKRRQEKKKEIENEKEAERLNALLAEGRVFIDMPALADRDSILIKDTCLQNSLVCQPQQRNTHGRIFGGFLMRRAFELAFATAYTFAGSAPLFLEVDHVDFLKPVDVGNFLRLKSCVLFTELENPDKPLINVEVVAHVTRPEFRSAEVSNKFYFTFTTSSDAMRNGRIRSVVPATEEEARRIIERMDAEKQM >cds-PLY99040.1 pep primary_assembly:Lsat_Salinas_v7:6:150497832:150500287:-1 gene:gene-LSAT_6X90321 transcript:rna-gnl|WGS:NBSK|LSAT_6X90321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLHTASLSSSSTLLQHNRRSTLSVRSVFPIPAFNSRRSCTQIRASFTDFSASPNTGGRTELDALSRFSEVVPDTVVFDDFERFPPTAATVSSSLLLGICSLPDTAFKGAVDTALADKHCYGLESPEARMTCFVNKALVNVGGELSKLVPGRVSTEVDARLAYDTHAIVGKVENLLNLYNSIDVPAERLLFKIPATWQGIEAARLLESEGIQTHMTFVYSFSQAAAAAQAGASVIQIFVGRLRDWARNHSGDPEIEAALKRGEDPGLALVTKAYNYIHKYGHKSKLMVASVRNKQDVFNLLGVDYIITPLKILQALKESTTPDDDKYTFVERLSSKSAFAYNFTEEELVKWDQLKFASAMGPAALELLAAGMDGYSDQAKRVEELFGKIWPPPNV >cds-PLY99440.1 pep primary_assembly:Lsat_Salinas_v7:6:20416675:20418705:-1 gene:gene-LSAT_6X15681 transcript:rna-gnl|WGS:NBSK|LSAT_6X15681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGLVGFLLALVAITSLLPAYAVGGTTRSYEFNIKLQNVTRLCHTRSMVTVNGKFPGPRIVAREGDRLLIKVTNHVSNNITIHWHGIRQLRSGWADGPSYITQCPIQTGQSYVYNYTVVGQRGTLFWHAHISWLRASVYGPLIILPKLHVPYPFVKPYKEVPIIFGEWFNTDPEAIIAQATQTGGGPNVSDAYTFNGLPGPFYNCSAKDTFKLKVKPNKTYLLRLINAALNDELFFSIANHTLRVVEADAIYVKPFDTETLVIAPGQTTNVLLKTQSTFPGANFLISARPYVTGQGTFDNSTVAGILEYESSIPMKNLPLFKPTLPSLNDTSFVTKFSNRLRSLASAQFPANVPQKVDKHLFFTIGLGTTPCAKNKTCQGPNGTRFAASINNVSFVQPSVALLQSHFFDQSKGVYSPYFPISPLHWFNYTGTPPNNTFVSNGTKVMVLPFNTSVELVMQDTSILGVESHPLHLHGFNFFVVGQGFGNYNPKKDSKNFNLVDPVERNTVGVPSGGWVAIRFIADNPGWFNFYAW >cds-PLY79505.1 pep primary_assembly:Lsat_Salinas_v7:1:33730782:33732920:-1 gene:gene-LSAT_1X32980 transcript:rna-gnl|WGS:NBSK|LSAT_1X32980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVPASVVKPPLVDELDIVIPTIRNLDFLEQWRPFFQPYHLIIVQDGDPTKKIHVPEGFDYELYNRNDINRILGPKSSCISFKDSACRCFGFLVSKKKYIFTIDDDCFVAKDPTGQEINALAQHIHNLLTPATPFFFNTLYDPYREGADFVRGYPFSLREGATTAISHGLWLNIPDYDAPTQLVKPRERNTRYVDAVMTIPKQTLFPMCGMNLGFHRELIGPAMYFGLMGDGQPIGRYDDMWAGWCAKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEDIIPFFQSVVLPKECTTPQKCYIELSKLVKEKLGPIDPYFEKLGDAMVTWIDAWNELNPLTDGATTVKIEPSKTK >cds-PLY63448.1 pep primary_assembly:Lsat_Salinas_v7:7:149904063:149904903:1 gene:gene-LSAT_7X90081 transcript:rna-gnl|WGS:NBSK|LSAT_7X90081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCASCYSASTASNATTAKLILLDGQLEEFSSPMKVFLLTPPLENLGYDQGSFICNADEMNFDEYVTAMAGEEELRPSQLYFQLPSSWLKRRLTAEDMASMAVKAGKALMISGGKVRCWCCVKRVDPLVFSDGDKMITSSLSRVEDGGDDYEGNQRYVGGRVGDRRGGGGKGRMSTRLEKIVEE >cds-PLY91758.1 pep primary_assembly:Lsat_Salinas_v7:9:17649050:17649346:1 gene:gene-LSAT_9X16101 transcript:rna-gnl|WGS:NBSK|LSAT_9X16101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTNMAEHDGKESGRTPIWALSHRYSLSHRHYPISLLLTGKERPIKPILTRNQQQPAAHINTMLVVVRRRWLLIAVAGGDVRLNFPYRLKGCCGDPSR >cds-PLY64922.1 pep primary_assembly:Lsat_Salinas_v7:8:133786491:133788156:1 gene:gene-LSAT_8X92760 transcript:rna-gnl|WGS:NBSK|LSAT_8X92760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDDKDPHSPIETFHDSIDGEHKQKKPESSIAAELKTKKRKALIELRSRLEDSILNYTLIGEKYKASEHLRELQIWGVPLLPSRGLERTDIILKKFLKAKDYNVQNAFEMIANTIMWREDFNVDKLLEETFGPDLDNIGHIQGKDKAGRPLCYQLFETFKEKDTLRKRLGTKESCNEFLRWRIKLMEGCVLKLDFKPGGADSVIQIMDVKNIPRPFLNELFAGSKKYFSILQENYPGIIYRIVIVNVPMWFFAFYTLNMRLMTRKYKVMYVKPSAITETLLKFIDPEHLMAPYGGLGRKGSEFSPDEKATEQKLKGYATDSIEIPTADVGMTVYWDLTVTGNDVSYKEEFVPEDEGSYNVLVSKGIQIGRMTSNSFHVSEPGKILITLANPSSKNRKIFYRYKIKPFVPMHNLPITD >cds-PLY80973.1 pep primary_assembly:Lsat_Salinas_v7:9:177131842:177132102:1 gene:gene-LSAT_9X109481 transcript:rna-gnl|WGS:NBSK|LSAT_9X109481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVACHTMAYPYLVYYCHGQKGHFNRVFKIALEGENGERVDAAAVCHMDTSTWDPDHVAFRVLGGQPGSSPVCHFLPADNIVWVPSP >cds-PLY77124.1 pep primary_assembly:Lsat_Salinas_v7:7:184315327:184315845:-1 gene:gene-LSAT_7X109700 transcript:rna-gnl|WGS:NBSK|LSAT_7X109700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIVMPFNIDLFWFLILTTHDIVSGRKLVGMEKTSLGNGVTNAIGGGGHVTSSVAVAQKGDTGGGQEDYIKTSLSAAGMYVNNTGNDNGSNHGEANDNSNRGCFFFCFSIDNRINNTIIRGNPPANITRGNAHTSQIKTDSMNDNNMSMDTRYNISNENKMGLGIHANNSGR >cds-PLY68392.1 pep primary_assembly:Lsat_Salinas_v7:8:23687833:23690549:1 gene:gene-LSAT_8X20980 transcript:rna-gnl|WGS:NBSK|LSAT_8X20980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLRTISRVVQGRNRYSSTQFYIYRVSAQFHSIPKRHSFGIAFDIDGVILRGRTPIGDSPKALRRLYDDSGCLKIPYLFLTNGGGIPESRRASELSQLLGVNIDPIQVLQGHTPFKNLLKRFENELIVATGKGEPAVVMSEYGFKKAISLEEYASHFNNIDPLSPYKTWTTKQPGDEQKNSSESVQSFDVTSERVKAAFVVSDPVDWSRDIQVLCDILRSGGLPGEKCEHQPPMYFAADDLEYQAVFPCERLGMGAFRIALESIFNRIHHKPLEYTSFGKPNPEVFKNAESILKQLLNEDHKDIINNSHHFETLYMIGDNPSVDVKGARQAGHPWFSILTRTGVFKGKENHTDYPADKVVDTVEEAVEYILHKEAI >cds-PLY94280.1 pep primary_assembly:Lsat_Salinas_v7:1:91475164:91478012:-1 gene:gene-LSAT_1X73601 transcript:rna-gnl|WGS:NBSK|LSAT_1X73601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVSNDPIAMATVAPLAPVTSERPIRDDLETSIPKPYLARAFVAPDMEHPDGTPDHNPRGMSVLQQHAAFFDLDNDGIVYPWETYKGFRMLGFNVLFSLLMAILINLLGYIPSLLLPIYISNIHKCKHASDSGTYDTEGRYLPVNFENIFSKYSKTKPDKLTLKELWNLTDGNRVTFGTIGWLANKLEWGTAYLLAKDDEGYVSKEDIRGIFDGSLFEKMAKKNSVEGKKMR >cds-PLY69345.1 pep primary_assembly:Lsat_Salinas_v7:7:79853575:79858494:1 gene:gene-LSAT_7X56480 transcript:rna-gnl|WGS:NBSK|LSAT_7X56480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATESPIRIIEANRKWASHNDINMGIEDMGLGSKGQRFNERKTNIPPNRSGSAPPSIEGSFAAIENLMFRQHFVTDVTNVPESEEQLRADPSYFAYYWAHVNLNPRLPPPLVSGENRNIFRNIRNTGNNRKMTSFDDSYSGSLHLDHSNLSTHKEESDDDDERSPKQIFIQEDLPQPESPQYNQSHSFTHKSNEEEEEEEDNHNDSNPTTATISISSSETDVSTLRNRIASLNISNIPKLETARNQEHPHHQQQRNMSHVHVHGAHPQIVSLPQTYIGMNMNQFLQNPTNFVSEVQPILQSSGFTPPYAQDPAFIYPNVIPTGYFHGYGFNPSPFSPYATGYLPNSPLPAPFSGQSQTPGVNLSHFNNFYGHLGVPFQLPVRGEDPKLQSLGFDSRRVGTTGSYYFGSPGNLDFSQFTNSPFASPAIPGSPIGGASYSGRRNEGMYRGWKGNLGNQVIDDPKTYSFLEELKSGKGRRLELSDIFGHIVDVDQHGSRFIQQKLEICSNEEKESVFNEVLPHASKLMTDVFGNYVIQKFFEYGSVEQRRELGNQLEGQILPLSLQMYGCRVIQKALDAIDLEQKTKLVHELNGHVLKCVRDQNGNHVIQKCIESIPTDKIRFVISSFRGQVAALSKHPYGCRVIQRVLEHSTDELHSQFIVDEILESVYDLSQDQYGNYVTQYVLEGGKPEERSQIVHKLEGHIVQLSQHKFASNVIEKCLEYGDLDTREIMIQEIIGYGEGNDNLLVMVKDQFANYVVQKVLQTCSGPQREVLLGRIKIHLNSLKKYTYGKHIVARFEQLYGEGKCN >cds-PLY69245.1 pep primary_assembly:Lsat_Salinas_v7:2:128499775:128503585:-1 gene:gene-LSAT_2X60141 transcript:rna-gnl|WGS:NBSK|LSAT_2X60141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase eta [Source:Projected from Arabidopsis thaliana (AT5G44740) UniProtKB/Swiss-Prot;Acc:Q8H2D5] MPVARPESSDSRVIAHVDMDCFYVQVEQRKQPHLRGQPTAVVQYNSWQGGGLIAVGYEARKDGVKRSMRGDEAKKVCPQIHLITVPVARGKADLNIYRNAGSEVVSILSRKGKCERASIDEVYLDLTDAAEKLLKETPPESLESIHEEVLKSHVLGLNSNGDDNHKEIVKEWLQNKNGDPRDKLLACGALIVADLRLQVLQETQFTCSAGIAHNKMLAKLASGMNKPAQQTVVPFSSVKDLLEALPIKKMKQLGGKLGSSLQVDLGVNTVGDLLKYSEEKLQDLYGVNTGTWLWNTARGMNGEEVEERLLPKSHASGKTFRGPSALKTLSSVENWLKELCEELSERLQTDLETNKRIAHTLTLHADAYKTTDTDSHKKFPSKSCPLRYGAGKLLEDAINLFQGGLREYMNPMGNQFCGWKITNLSVTASKIIAIPSGTSSITKYFHCQDPGEKSDLLSTLNDSHESELKMEFGGEQSTITHDESETSFDRVDLSSGHESCSTSNQNELQPKLSIKETKINHLSNGKNIRSNVHKRKPTKEKGETSSILRFLQTNSSQLGQTSSAMEGGSLTKSQNRQTTNTWGYNLDEIDQSVVDELPREIQEELYALLPRKKANMVKRGSSIAHYFSPK >cds-PLY97165.1 pep primary_assembly:Lsat_Salinas_v7:2:205794229:205795895:-1 gene:gene-LSAT_2X126880 transcript:rna-gnl|WGS:NBSK|LSAT_2X126880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKNKKRLNETLIKGEDSAKKLQALLCRRAYNDGSVSVEDLVMEILGSFSRGLSMLRSCDSGEFAGAPASPDMTLATSGDQTPEVKNRKKPAPALKERRGCYKRRRTIDSRVITSVTIEDGYAWRKYGQKMILNSQSPRCYYRCTHKPDHGCKAQKQVQKLEDESNMYHITYFGHHTCPTLNNFSHSGVVLDFNGGASKNPHSLSNSPSAITNFQVQPSIKQEVESKTQSTDVSVSDNVSSANDGYSHNSSPALEWNEIWQHNQLGSSGHEGPPFMWFDNEDSCASTSSHGYLDMDFLNNDGFSSDFLFDEVLS >cds-PLY97741.1 pep primary_assembly:Lsat_Salinas_v7:1:20714923:20715225:-1 gene:gene-LSAT_1X18721 transcript:rna-gnl|WGS:NBSK|LSAT_1X18721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHQSRYGMEGEHNDNGGGSPQHQQRQHIDISRSEIPTKGISFIAGGRGLRHGGAAVGCVIGGEVVDGGGCGGVHQKWLKEMRRYLFCFWCSVLTRISLI >cds-PLY92156.1 pep primary_assembly:Lsat_Salinas_v7:8:5705712:5707079:1 gene:gene-LSAT_8X4760 transcript:rna-gnl|WGS:NBSK|LSAT_8X4760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDVIGNFLSDGLQPSETMTGQIVEMEMDYINTSHPNFVCGSKVVEVTLQQVKSSKLATTVSRQKDGVESEKAPQSERGIKSRAILSRPVNGIVIEQVVGIGPKVGC >cds-PLY77560.1 pep primary_assembly:Lsat_Salinas_v7:2:165300180:165301532:1 gene:gene-LSAT_2X89640 transcript:rna-gnl|WGS:NBSK|LSAT_2X89640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSNSNPPLKDDLDIVIPTIRSLDFLEMWRPFFEPYHLIIVQDGDPSRAIKVPNGFDYELFNRNDINKILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKDIDALSQHIRNLLHPSTPYFFNTLYDPFSEGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPRERNNRYVDAVMTIPKGTLFPMCGMNLAFDRQLIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLGLGIKTGLPYIWHSKASNPFVNLKKEYKGIFWQEEIIPFFQATSLPKECTTVQQCYVELSKHVKDKLGKIDPYFVKLADAMVTWIEAWDELNPLDSKKGNGK >cds-PLY75899.1 pep primary_assembly:Lsat_Salinas_v7:9:199932997:199938081:-1 gene:gene-LSAT_9X123601 transcript:rna-gnl|WGS:NBSK|LSAT_9X123601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLDPLLSESISKLYEIVINAAQTTANFKLELMQLAVTLERIAPIIQDTVNMNRKLDRTEVECKMFTDEIKEATKLVAKCSKVKRKIIKKLTYSLKLKDFNFKLLRFFQIEVQAFQIRDITQTLLEVNDVKLKMDCTIALDVKDMRLGRSWIDNYGDSASTSSKADQSEREKYGWQVPALSNGIVAFDERLAKLKAEVFSSSDINDGDDRSVLVVAAAAGCGKTTLVKMLCHDPEILDKFGENIFFVTVSETPNFMVIVNDLFNPNSSCPQVLFENNEDAKNKLENFLNQKVSGPMLLVLDDVWSGSFIENFPSKNKECKILVTSRTAFTNYDVFRFDPLNEKDAKTLFCQSAFTKDGKRPTPTIDENLVNQMVACCKRHPLTLIVVGRSLNGKDELIWRSMLKSLSEGRSVLDIHKDVLILLERSFEALHDESKQCFLDFGLFPEDRRIPVSALLNMWVHLYDHDDDGVDTMATIFGLSFRNLVNLMATGLILELFSFRNQSGAIVNYCDQQFVTQQQMLRELAIHLNSKLPLPQRSRLIINARGEDLPAYIQQVQEPMQARVLSISTGESFSSRWCNMEAPNLEVLILNLVSKTYTLPPFLAGNQKLKILNISNHGLYPTKFKNFHFLTSAYNLTRIRFEHVAIFPSILSLINLQKVSLIMCKIGKTFKNRMVNTPNIWPELDELEIDYCQDLVEFPGALCNSVHLKKISITNCNEMCGFNEEFGNLMTLESLFLRSCTKLKQLPESIWRSEKLSVLNISDCLSLSGLPEKIGKLAGLRRIYMKGCTGVHELPKSVEELPRVRVVCDEELASLWLEYSNVEIDLVEDQLKTLMRII >cds-PLY89430.1 pep primary_assembly:Lsat_Salinas_v7:4:63345100:63347188:-1 gene:gene-LSAT_4X42700 transcript:rna-gnl|WGS:NBSK|LSAT_4X42700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi SNAP receptor complex member 1-2 [Source:Projected from Arabidopsis thaliana (AT2G45200) UniProtKB/Swiss-Prot;Acc:O22151] MDQESGWEELRKEARKIEGDLDVKLSSYAKLGARVTQGGFADAETPTMGSNRSWKSMEMEIQSLLEKLLDVNDSMSRCAASAAPTTSVTQKLARHRDILHEFSQEFRRIKGNISAMTEHAELLSSVRDDISEYKASGSMSPRMQILRERAAIHGSISHMDDVITQAQTTRAALGSQRAMFGDVQGKVKQLSDKFPIVRGLIGSIRRKKSRDTLILSAVIAACTLFLIIYWLSK >cds-PLY99570.1 pep primary_assembly:Lsat_Salinas_v7:7:189890360:189892925:1 gene:gene-LSAT_7X112901 transcript:rna-gnl|WGS:NBSK|LSAT_7X112901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGIDQLHSGIGLQVHKPNLQNPNQFYLASQQQQQHQHQQQQQVLAHAQAQGKLGASPNYGFSRLSRGNIFMKDGQPLRNEGSNGIFKNAKNIERLKKYFDICGGPATFIQAHTMLEMNYTDKQLTAAIVSKRLRPGLAGTESGLHLMISSPNFISAWFCPVACNKPLKDSPVLMYLPGLEGIRTDLVVHEKALGK >cds-PLY92527.1 pep primary_assembly:Lsat_Salinas_v7:3:253394553:253396478:-1 gene:gene-LSAT_3X139641 transcript:rna-gnl|WGS:NBSK|LSAT_3X139641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPENTSNDRDTSKHFFDDLPLISFTENNSATYLSSENPCLDFFFHVVPDTPPEDLIRRLQVAWNHNPLTTLKLVCNLRAVRGSGKSDKESFYTAALWLHNHHPKTLASNIPLLVEFGYFKDLLEILYRLIQGPEVRRIAKSEWISKKSVKGKGESRKRYFLSKKTKKNKNKNNGDDEMKAKREKVPREQRIEANRAQMKAEQERAKASRKEKLSAMAEKAHNRYNSDPDYKLLHDQVSSFFADRLQSDIQSLNSDDCTKISLAAKWCPTVDSSYDNATLICESIARIIYPRNSDPEFDGLDDANYVFKIKNRFRKQVLVPLRNALKLPEVYMSAKQWSSITYDRVASIAMKNYTDIFLHRDNARFRDYLQNVTTGDAKIAAGALLPHEIIGSLNRGSGAAIVAELQWKRMVDDLSKKGKLTNCIAVCDVSGSMSGTPMEVSVALGLLVSEVSAEPWKGHVITFSESPELHRIEGGNLRSKTEFIRNMVAGFNTNFQKVFDRMLEVGVKGKLGEDKMVERVFVFSDMEFDQASSDPWETDYEAIERKFKSCGYEKVPEIVFWNLRDSSSTPVTGGRKGVALLSGFSKNLLTLFLEEGGVIKPEDVADKSDVKEGDGGLNPEDRMEAAISGELYQKLVVCD >cds-PLY91951.1 pep primary_assembly:Lsat_Salinas_v7:4:9616386:9619623:1 gene:gene-LSAT_4X7021 transcript:rna-gnl|WGS:NBSK|LSAT_4X7021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEQNVAAMADLPMKKPREGEENGASANAANGGGNISSVIPGWFSEISPMWPGEAHSLKIEKILFQGKSDYQDVMVFQSATYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREVARHSSVEHIDICEIDKMVVDVSKEYFPDVAVGYEDPRVTLHVGDGVAFLKAAQEGSYDAVIVDSSDPIGPAQELFEKPFFASVAKALRPGGVVCTQAESIWLHMHIIEDIVVNCRQIFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPEVDFKNPINPMDENESQNKSIGPLKFYNQEIHAAAFCLPSFAKKVIEAKAEK >cds-PLY99592.1 pep primary_assembly:Lsat_Salinas_v7:5:242574273:242574878:-1 gene:gene-LSAT_5X119541 transcript:rna-gnl|WGS:NBSK|LSAT_5X119541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVALACCYRPRGAQGLGRKRFAAQTGMLVFGLTGLCASELLDMNECCCGIVVLKGMFFTNGGVWKAIFCSLTIWFSGGVWKNVGCSPTILYSGDVYKMTGMPLMLSSIGCAILLEITVSCGVCMEAFCAIMVVKKSGPSAVGLHNLFVRAVVIVESPGCDASSVTDVRTGVIIGSNDIIGLKRHVGVPPIPPVTSDAIFEG >cds-PLY87423.1 pep primary_assembly:Lsat_Salinas_v7:5:41255847:41256900:1 gene:gene-LSAT_9X27081 transcript:rna-gnl|WGS:NBSK|LSAT_9X27081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTQSPVAVFRPCASRTRFLTGSSGKLNREVSLKPANTFSSASFKIEAKKGQWLPGLASPGYLDGSLPGDNGFDPLGLAEDPENLKWFVQAELVNGRWAMLGVAGMLLPEVFTSIGVLNVPKWYDAGKSEYFASSSTLLVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKSYSLPPNEVGYPGGIFNPLNFAPTAEAKEKEIANGRLAMLAFLGFIVQHNVTGKGPFDNLLQHLSDPWHNTIVQTLSGN >cds-PLY82601.1 pep primary_assembly:Lsat_Salinas_v7:8:175578178:175579747:1 gene:gene-LSAT_8X115061 transcript:rna-gnl|WGS:NBSK|LSAT_8X115061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTEISKPKANTSWGSGLLFFTVAIVAVALIVVQLDTYETVAYPLTELGETMYVPARKNSASLSGVEKIGSGQLIGPEDIIYDPKSGVAYTGCHDGWIKRVTLSDSLTESVVENWVNTGGRPLGLAVDESGDVFVADAYKGLIKVSVDGKVELLTDEAEGVKVGLADGVVVSKSGMVYFTDATYKYNYRSALNDLLEGRPHGRLLSYDPSTKQTKVIARDLYFANGVELSPDQDFVIFCETFMRRCSRYYIEGEKKGTIDIFAKNLPGLPDNVRYDGNGHYWMALPWDNSLMFTYTQKYPFVRKIFAFTLKHLRKMPDLMKFGGVIVLDLEGKVVGGYYDETWGMTSSGVKIGESLYLGSVTKPYITRLNLTQHPLNLIS >cds-PLY87595.1 pep primary_assembly:Lsat_Salinas_v7:8:112531169:112532244:1 gene:gene-LSAT_8X78720 transcript:rna-gnl|WGS:NBSK|LSAT_8X78720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSTFTCLSLLSLLLLSTTFSLTTAARTLTEDPETAIPVAAPDADDVTPLGAIPPNPASTTTTGTTGVVPVEGPDHTLTFFMHDILGGSNPSAKAVTGAVTNPALNGQVPFAKPNGANLPVNNGVSQDDGNSGVLNNNNLPFLTGLGGTTSNVFQNNNNNNNNNNVFAAIGGQLPQGNALQQFMFGTLTVIDDELTEGHELGSGLIGKAQGYYVSSSIDGKSQTMAFTVMFMHGSYIDSLSFMGVHRSAVAESQLAVMGGTGKYVNAKGHAVVKTFQGTNQQNNDGTETLLQFHVYLAY >cds-PLY82902.1 pep primary_assembly:Lsat_Salinas_v7:6:93833838:93835979:-1 gene:gene-LSAT_6X62401 transcript:rna-gnl|WGS:NBSK|LSAT_6X62401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSILQIMLKRSSFSSTHLLQILKSLNKTQIYPFSTSNGNGSCSESETDWGKLLKPFDIEQLRESLHRITPLQLQKLLHLPLDVSTSLEIFNSAGTQKGYAHTFDVYYALIDKIGAVGEFKTIDRLLMQMKDEGIVFQETLFVMIMRHYQRADLPGQARRLLLVKMRDVFLCQPTSKSYNAVLDILVQGGCFKDAPKLFDEMLERGIPPDVFSFAIVMKAHCSINEVDSACTLLRRMTKYGCAPNSKVYQTLIHALSKDNRVDKALTLLEEMIVMGCTPDLETFNDAIHALCRSNRIHEAAKLMDRMLLRGFTPNALTYGVLIHGLCRNKQVEEAKTLITKVHEPNSIMYNMLVNGFIAKGQFDEAKAIVSEKMSINGCHPDIHTYTSLIHGLCKTGHLVSAHDLLKEMESKGYEPNTITYTILINGFCKNQRFDEASEVIQEMSQKGLSLNTIGYNSIIHSLCKYGDVYKAVNLFEEMRMNGCKRDIFTFNSLIFGLCEIEMIQHALRMCQKDMVLEGVVADTVTYNTLIRSFLKQGLINDAFNLVDTMLFHGCSLDEITYNGLIKALCRDGAVEKAFDVFGKMREKDFNQTTVSCNLLMNGFVKTGKLQKAFDFLQDMENSGMIPDIVSYNTLISGLCKMRNVEEGMKLFEVLELKGMSPDVITYNTLISSYCKESMFDKAYLLLSRGVVKGFVPNHVTWYILVSSLLKELQ >cds-PLY83231.1 pep primary_assembly:Lsat_Salinas_v7:9:123324235:123325198:1 gene:gene-LSAT_9X82060 transcript:rna-gnl|WGS:NBSK|LSAT_9X82060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHFEILARVIGECLGHKTRSLDQLNVSEQHILYVMIINVAPDPRNPSITQWKAKCINQLYAIERRAYNPFMEQQVNEISNEELTELEGEDPDDDDVENNIKEEVHGDGNYEDPNNDIVGYATKSLPSYDSLIITKCTFQAASHVSPLRLNKYIYFKSSPTSHGAHGNLPSPGSEKFEGMNVDVGSPDQATHFHNSSPLAQPNQTSFTHSLNLNKQILTFVNTLIGLSQHFLGRLAPLEDDVTEIQQVVLPLIVMS >cds-PLY66186.1 pep primary_assembly:Lsat_Salinas_v7:1:204770412:204774168:-1 gene:gene-LSAT_1X128481 transcript:rna-gnl|WGS:NBSK|LSAT_1X128481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDSPCFAFGHFSSGDVQLSYCRDFCVPPFSVVATLKFAPPPSSASPLPPITSPFMLPHPPTSAGDSGVIRRKQQKNWSILFSKTSWIKRYTLISDPNSNGYFDLMIKTNPEILKRWNNEVQEVVQSRDALVQFHALV >cds-PLY64896.1 pep primary_assembly:Lsat_Salinas_v7:1:166075990:166076335:1 gene:gene-LSAT_1X111061 transcript:rna-gnl|WGS:NBSK|LSAT_1X111061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRGENSKLKKMKEKYTDQDEEERKFHMALLASAGKAKVNEQEAQIEGITTATRKVHRHNLPKYPYHVSDYSMGVCLNGYSRE >cds-PLY88057.1 pep primary_assembly:Lsat_Salinas_v7:6:184195384:184195545:-1 gene:gene-LSAT_6X111500 transcript:rna-gnl|WGS:NBSK|LSAT_6X111500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYARHRSVGGEPNNPLLICSPLYFLFLFFPVETYSFSSTRKPTAFFIRQSNGI >cds-PLY70012.1 pep primary_assembly:Lsat_Salinas_v7:8:147029504:147030610:1 gene:gene-LSAT_8X99561 transcript:rna-gnl|WGS:NBSK|LSAT_8X99561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVDRTMSVRSAVKFSEHVVTTSKLLHRDPPASSIKQRIVRITLTDPYATDSSSEDEERVIKRVKKHVSVIEFSTPSLKFNKRSSGSAEKKFRGVRRRPWGRWAAEIRDPNRRKRVWLGTFDSPEEAATVYDDAAVKLKGPAAVTNFPRVTVTKTVTVDKQSLTTTTTTTTSSSGSEGVLNNTAMSPTSVLRGNAEQTPFDRLDCLDMESLGFGIDMPFDLPDFVVSENYCGEEFGDFNIDDFLVDFRESY >cds-PLY69905.1 pep primary_assembly:Lsat_Salinas_v7:4:69084852:69095095:1 gene:gene-LSAT_4X47301 transcript:rna-gnl|WGS:NBSK|LSAT_4X47301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAAEPQVPCYFIFGDSMVDSGNNNGLLTAAKANYPPYGIDFPQGVTGRFTNGRTIADIIGQLLGFVNYIPPYATVTNQEISTGVNYGSGTAGIRDETGSHLGDRVSYDKQLLNHAKIISRLSLLQHNMTFTQEYLKKCVYISVIGNNDYINNYLMPNNYPTSRIYTIDQYAAVLVKQYSKQLTTLYKLGARKIAVFSLGLIGCTPSEIAQFGTDGRPCVDSINDAVSRLNNRLKPLVDDLNNDLSDARFTFINLTSISTPPEGVTLPTEPCCQLRADGQCVPNSIPCPNRNLTIFYDGFHTTEIANTAFATRSYTALSPMDASPYDISHLAQL >cds-PLY80005.1 pep primary_assembly:Lsat_Salinas_v7:9:45463415:45466006:1 gene:gene-LSAT_9X41900 transcript:rna-gnl|WGS:NBSK|LSAT_9X41900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDDPTKPCKLTAFLGYKAGMTHIVRDVEKPGSKLHKKETCEAVTIIETPPMVVVGVVAYVKTPRGLRSLNTVWAQHLSEDIKRRFYKNWCKSKKKAFAKYSKKFESDEGKKDIQSQLEKMKKYGTVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIAQKVDFAYGFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKTGLESHTALTEFDRTEKDITPMGGFPHYGVVKDDYLLIKGCCVGPKKRVVTLRQSLLAQTSRLALEEIKLKFIDTSSKFGHGRFQTTQEKLKFYNRMKA >cds-PLY89919.1 pep primary_assembly:Lsat_Salinas_v7:8:68183430:68186827:1 gene:gene-LSAT_8X48640 transcript:rna-gnl|WGS:NBSK|LSAT_8X48640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDENRGLSLSVPSTRPSITLPPRSTVETLFAGGGSGPGASPGPMTLVSNFFSENDPDSDCRSFSQLLSGAMLSPAEIPDRRPSSHLDIRHSYSNKESVNSGGGGGGGGGGGNVDFQFSNNGRPSSLVVTQPSMFTIPPGLSPATLLDSPGFFPQTQASLGMSHQQALAQVTAQASSLPQIHSFTSTAATYHRLPPAKPDHNTAKDSSDFSNSDNRYYPSSIAVDKPADDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKQVERSVEGQVTEIIYKGQHNHQPPQSKRGKDSSNGTSSVNEHKFEGQIGNFNHSLMKDQESSQATYEHSGSSDSEEVGNDVSRVDERHEDEPQQKRRNIEARGVDPVSSHRGVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTSQGCNVRKHVERAASDPKAVITTYEGKHTHDVPAAKNSSHNIAANTAAAAQLQPPNHGGHSLIRRQDYPNHHQQQPQPPPSGLLQFKEEQIT >cds-PLY77958.1 pep primary_assembly:Lsat_Salinas_v7:1:24401042:24402243:-1 gene:gene-LSAT_1X20141 transcript:rna-gnl|WGS:NBSK|LSAT_1X20141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carboxylesterase 2 [Source:Projected from Arabidopsis thaliana (AT1G47480) UniProtKB/Swiss-Prot;Acc:Q9SX78] MGSVSKEILHDVPPYIRVYKDGTIERLVGLDVVPASFDIDTGVTSKDVVISPETGVSARLYRPTLTTASEKLPLVIYFHGGAFCIASPFYPKYHQSLTNLVSEARVIAVSVDYRLAPEHPLPAAFDDSHAALWWVSSHAPGGTGTEEWIKENVDFDRIFLAGDSAGATIAHDIAMRIGSNPDPTIPKLSGIILINPYFWGKEPIGSEKADPVRKAMVDKWWEFVCPSDSHLGLDDPLINPLRDGAPDMSGLGCGRVIVTVSEKDILRDRGWAYYETLVKGKWEGKVEMMEIEGEDHVFHIFDPNGEKAVNMMKRLGSFINQQ >cds-PLY83003.1 pep primary_assembly:Lsat_Salinas_v7:1:18405327:18407577:-1 gene:gene-LSAT_1X16041 transcript:rna-gnl|WGS:NBSK|LSAT_1X16041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEANQPQSKRIKVKRSSSSSPSDIIESSDLDSPMAESLADDTIGYKGVRKVEFVRLVAESLHSLGYKKTGEYLEKESGITLSSSEVTEFTQQILDGNWDESLNSLRKISGLDESVVKSSSFVILEQKFLQLLNEHKVENALRALRNEIWPLSINVNRICELSSFLLFSNQRTIKPKPRSEVLHDLQKLFPPNVMIPELRLLHLVEQAVDLQRDSCSFHNSLNGDTSLFIDHNCGKGQIPLHTSQILCHHRDEVWFLEFSHNGKFLASSSKDRSAVIWDVDLDGKLKIKYELLGHKQSVSCVSWSPCDNQLLTCGVEEVVMRWDVFSGKVLYVYDKNDLGMISCGWSPDGQRVFTGINDKSITMWDLNGNELESWKGQKTLRISDLQITGDGKFIISICRETMILIFNRESGDERLIKEDHNIVSFSLSKDNKFLLVSLVNQEIHLWSIDGSIRILGKYKGHKCSRFVVRACFGGLGEGFVASGSEDSRVFIWHRDTGDVIERLEGHSGAVNCTSWNPVNPHMLASASDDRTVRVWGLNHVDVNMLNAHALIEVDESYVSD >cds-PLY70417.1 pep primary_assembly:Lsat_Salinas_v7:9:123940743:123942523:1 gene:gene-LSAT_9X83421 transcript:rna-gnl|WGS:NBSK|LSAT_9X83421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHQGGEMALPINSTYGGGHGHGHMLHHESAPHNHIVPSSAAAVAVAQKQHQHQLQLPITNGPTRIVPLPSCSSLEESVQVPYTNNDDNRMMVRYRECLKNHAVSIGGIATDGCGEFMPSGEEGTLEALTCSACNCHRNFHRKEIEGEIHHHHHPVSCDYNYHSAPRIEVGNGRLQGHHHHRGILGRESYGYNHNHAGVLVPSRAPLPLIMSYDMGIGSFPSESDHELEDGGGFMNRHHPPLQPHQIVKKRFRTKFTEEQKEKMLSFAEKVGWKIQKQEESVVQQFCQELGIKRRVLKVWMHNNKLNLAKKINPVPINPQNQVN >cds-PLY70182.1 pep primary_assembly:Lsat_Salinas_v7:9:1463229:1463564:-1 gene:gene-LSAT_9X4240 transcript:rna-gnl|WGS:NBSK|LSAT_9X4240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKRDFCRKIPKVYVFNGSKEIRADGFLSEENKTGYKWWPGPGTRDGHLQDNVSRFLLPASECEFDVDSNLKIGCVP >cds-PLY92519.1 pep primary_assembly:Lsat_Salinas_v7:4:322551376:322551729:-1 gene:gene-LSAT_4X160620 transcript:rna-gnl|WGS:NBSK|LSAT_4X160620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQLNDYNDTIEQVLPMSMKEEYPPQTETQDGAEVIIPETQPESEEEEEGINDTHELPVHLRIVKKRRPSKRIVKTKLKKMGCVGTSSNSALELD >cds-PLY84519.1 pep primary_assembly:Lsat_Salinas_v7:1:29578219:29581953:1 gene:gene-LSAT_1X25060 transcript:rna-gnl|WGS:NBSK|LSAT_1X25060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARIKKSRLKGRRTEKRRRPMEQEVIESEMVLPTHLSFKKIQMYEKYPKGQARGRHWKHLKQIIQAENYQNYPADEPNYVNIESPPSMHPRKQICDITGFEGPYSDPRTHLRYANTEVFKVIRSLPNEYVQRYLALRNAAVVLKKGRVMKKETS >cds-PLY81683.1 pep primary_assembly:Lsat_Salinas_v7:6:56872402:56878735:1 gene:gene-LSAT_6X42741 transcript:rna-gnl|WGS:NBSK|LSAT_6X42741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGMRGLSVFISDVRNCRNKEQERLRVDKELGNIRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINAVRNDIIGRNETFQCLALTLVGNIGGREFAESLAPDVQKLLLSSSCRPLVRKKAALCLLRLFRKNSDVVNVDGWSDRMAQLLDERDLGVLTSSMSLFVALVANNHEAYSSCLPKCVKILERLARNQDVPQEYTYYGIPSPWLQVKTMRALQYFPTVEDPTTRRALFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDSEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVHEIIKRHQAQIITSLKDPDISIRRRSLDLLYGMCDVTNAKDIVEELLQYLATADFAMREELALKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQPYAALKAREYLDKPAIHETMVKVSAYLLGEYSHLLARRPGCSPKEIFSLIHEKLPTVSTPTISILLTTYAKILMHSQPPDPELQNQIWAVYSKYESCIDAEIQQRAVEYFALSRKGEALMDILAEMPKFPERQSSLIKKAEDTDADTAELSAIKLRTQQQASTALVVTDQRPSNGAPQVSQLAMVMIPTTNDTDHTPPVDQPLTESNGALTVVDPQPSGDILGDLLSPLAIDESQSVHDVGSNDALALAPIEDQESTVKPIGDITERFHALCLKDSGVLYEDPYVQIGIKAEWRNHQGRLVLFLGNKNTGPLDSVQAVVLPPSHLRVEISLVPEIIPPRAQVQCPLEIVNLRPSRDVAVLDFSYKFGTQLVNNRLRLPAVLNKFFTPIQVTAEEFFPQWRSLSGPPLKLQEVVRGVRPMPLGEMANLFNTLRLMVCPGLDPNAFNLVASTTFYSESTRAMLCLVRIETDPADRTQLRMTVASADPTLTFELKEFIKEHLVNIPTAAKPLPPQQPQPPPIATSDPGALLAGLL >cds-PLY85355.1 pep primary_assembly:Lsat_Salinas_v7:5:243564524:243566627:-1 gene:gene-LSAT_5X119940 transcript:rna-gnl|WGS:NBSK|LSAT_5X119940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLPTPTVTAAGATTKTYSSSFTTTSPVISSWPLFSKKCALNKPLKHKISCNAGSSENSLNNLDRRNVLLGLGGLAGAVNLTSVPSVGAAPISAPDISKCGTNPLSGFRPGESTPTGGDCCPPDSPQIMDFKFPKNEAFRVRPAAHLLSPKYIAKFNEAIKRMKELPETDPRNFLQQAHIHCAYCNGAYTQSSSGFPDIEIQIHNSWLFFPFHRWYLYFYERILGSLIDDPTFALPFWNWDTPAGMTIPKYFNDPKNAVFDPKRNQGHLQGVVDLGYNGKDSDTTDIEKVKNNLAIMYRQMVTNATDPTAFFGGEYRAGIEPISGGGSVEQSPHTPVHRWVGDPRELNGENLGNFYSAGRDTLFYCHHSNVDRMWSLWKMQGGKHKDITDPDWLNTSFVFYDENKNLVRVYVKDCLYTNQLGYDYQRVDVPWLKSKPVPRAPRSGVARKSIGKVKQAKEVSFPVKLDKTVKVLVARPKKSRSKKEKEDQEELLIVQGITYDSEKYVKFDVYVNDEDDDASAPDQTEFAGSFAQLPHKHKGKTMSKTNFRAGLTELLEDLEADDDDNVLVTIVPRSGSEDITIDNIKIIYA >cds-PLY98561.1 pep primary_assembly:Lsat_Salinas_v7:1:37333531:37337395:1 gene:gene-LSAT_1X31841 transcript:rna-gnl|WGS:NBSK|LSAT_1X31841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQTMSWEDLKKENVDLETVPIEEVFETLKCSREGLSSEEGNKRLQIFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIVLANGGGKPPDWQDFVGITTLLIINSTISFIEENNAGNAAAALMAGLAPKAKVIRNGKWDEEEAAILVPGDIISVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKHPGDSVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICTIAIGLVIEIVVMYPIQKRTYRNGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLSEQGAITKRMTAIEELAGMDVLCSDKTGTLTLNKLTVDKTLIEVFAKDCDKDTVILMGARASRVENQDAIDACIVNMLGDAKEARAGITEIHFLPFNPVDKRTAITYIDQNGNWHRASKGAPEQIVELCNLKGDESKKVFNIIDKFAERGLRSLAVSQQTVPEKTKESPGGPWVFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQHKDASMATIAVEELIEKADGFAGVFPEHKYEIVKKLQEREHIVGMTGDGVNDAPALKRADIGIAVADATDAARGASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWRFDFSPFMVLIIAILNDGTIMTISKDKVKPSPLPDSWKLKEIFATGVVLGTYMAVMTVIFFWLAKESDFFTEKFGVHPIKDNEFELMSALYLQVSIISQALIFVTRSRSWSFVERPGFLLLIAFFIAQMIATLIAVYAKWDFARVSGVGWGWGGVIWLYSIVTYFPLDILKFIIRFALSGKAWNNMIQNKTAFTSKKDYGRGEREAQWATDQRTMHGLQAPDATEVLKGKSDYRELSELAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIETIQQHYTV >cds-PLY73307.1 pep primary_assembly:Lsat_Salinas_v7:7:195159861:195169460:1 gene:gene-LSAT_7X113380 transcript:rna-gnl|WGS:NBSK|LSAT_7X113380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDFLLENLLTYYYVQTKDELNSLVKELKLILYGDDDSEPCEQACAQLTEEFFREDTMRLLIIFLPKLNLEARKDATQVVASLQRQPLPSRFQASKYLESNLDLVDILISGYADPLLALHYGRMLKECLRHQVVASYILEPIQLKKLFGYIQLPSFDISADVADVFKDLLTRHKSTVSESLSKNYSWFFTEYNEKLLKSSNYITKRQAIKLLGSILLDRSNSAFMRRYVSSKDNLIILMNLLRLFVANEEKPIEIVSILITNRNKLLRLLGAFVYTDDQVFETDKDQVVNELTLLEI >cds-PLY79677.1 pep primary_assembly:Lsat_Salinas_v7:5:252302480:252304175:1 gene:gene-LSAT_5X127261 transcript:rna-gnl|WGS:NBSK|LSAT_5X127261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPGGCTGSLDSRIVNILPPDADSKTNVESFLQLLRLLCWCPAYVSTIEAMETGIFIWTWLVSDAPQHGYGQSIPSEYEGSVQKVRLGIQLLEDGIYRATLGWFAYEPEWFEHDHGNFAHIEAQSVNSFVHYLQNDPKALGGEYEGSLLDIKDHCHPVWGPMENYAACRDKRKQLLLMLCQHEADRLEGPKGVCLFSKGPTYCFTFIFTILSSSFGRPHDRVPLKDMKADWHSCLDNKVGFKGFAVPKETQDKVSKFSFHGHEAELRHGSVVIKQVTFFSSTSVDK >cds-PLY84479.1 pep primary_assembly:Lsat_Salinas_v7:7:128009518:128012747:-1 gene:gene-LSAT_7X76320 transcript:rna-gnl|WGS:NBSK|LSAT_7X76320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCPAFSTVCRQAEFNESCVDLYLSCVRDAHGVMMVKQMLIKAEEKNINDGEDAEGSVKTSISMRSFPPLHKKTVDGPSTKDWRGGRGANDAWKRQMSSGSLSEVSLDKRTNYTRSLAFMSMELGINKILIVDWDVHHGNGTQKTFYKDSQGLFFSVHRDEYGTFYPCGDDGSYDMKGEGEGEGYNINVP >cds-PLY73681.1 pep primary_assembly:Lsat_Salinas_v7:5:203827068:203829435:-1 gene:gene-LSAT_5X92080 transcript:rna-gnl|WGS:NBSK|LSAT_5X92080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICFGSHIDGFIVVVVDTHVLQQGARREANVIAAAKTAVEVALGLVTLGKKSASHCINRRILQPTKTVDDLAINA >cds-PLY76845.1 pep primary_assembly:Lsat_Salinas_v7:3:5895724:5897438:-1 gene:gene-LSAT_3X3600 transcript:rna-gnl|WGS:NBSK|LSAT_3X3600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNGLRYGSLAIRAINWYDDGDAFLTNWIATIRRLDTPYRDQWYALPDTYRNEPTPLVPDTPNLMNPVPVPCSRTGPYHELCDYGQDALAAVKRYNNVELDGKPMNIEIVGLNIVAPVAGFSFPNNSFGNMNGFPRSFVIMSKQALTDGLSKVFETDNMKAWKSVLIFATSYALGLFMIAKSPWYFLPLAWAWTETAVTGVKL >cds-PLY98772.1 pep primary_assembly:Lsat_Salinas_v7:7:22503394:22506792:-1 gene:gene-LSAT_7X17901 transcript:rna-gnl|WGS:NBSK|LSAT_7X17901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMKSVISSLFLLQIIINGDLFGIVQCADFGVYRCRNNGNFTSATDLKTIEVALNSLPGNVTNDHEFVTSTAGGNTDAQINAVALCRGYIGQELCRTCVRNSIPLLQRQCPNQKEAAAWYSDCMVRYSNRKILGVLDSWTSDNISTTVTVSDVGEFDKAFRNLTTRLQAEAAGGNSLVKLAVGKVTYGSDSLKIYGMMQCTPDLSTEQCNKCLNSTITGIRDCCSGRPTARVFFPNCFLRYADEKFYDEATSIPSPSPSDKKDKKNNNTRMIYIIVPVACISVGLIGIGVWFFCIKRQKKDGMSNKETNSFSSLLVGHRQASTHDTAGMGIVTEQSLQYDLETIEVATNYFSPKNKIGKGGFGLVYKGVLENGQEVAVKRLSETSGQGVEEFVNEAVLVAKLQHRNLVRLLGFCHDAKEKILMYEYVPNKSLDYFLFDPIKHGNLDWATRWKIIGGITRGMLYLHEDSRLRIIHRDLKASNILLDQDMNAKIADFGLARTFGVDQTLGNTKKIAGTLGYMSPEYAMHGHFSVKSDVFSFGVIVLEIVSGRRNSDFYQQDDDEDLLLSAWKQWTGGKPLKITDPRLGESYSKEEVIRCINIGLLCVQEDADARPSMASILNVLNNNSITLPEPKKPPYFLSKGLFAGILGDVDKSIPTVSSQDESSAGKMSPR >cds-PLY96376.1 pep primary_assembly:Lsat_Salinas_v7:2:53666612:53668359:-1 gene:gene-LSAT_2X22961 transcript:rna-gnl|WGS:NBSK|LSAT_2X22961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCAISQPILCYCQACGKEHKGIFFLCATCTNFTIHSDCAFLPEKLLIQEKTDGAFHHTHPLTISYSFLFIDQRAKHYPRCRVCGRDFSQNHWIYKCDKCLYYAHLDCATSRTEPFMSIFLSRGLGRTNKNYEDVDYPHLLHLPFPDETYSLPKHLFFQQNDHKVNLKHTSHQHPLVLVDQTLTSNGQTNNSSRLLLKCHDPMKKTQLLCNGCLRPIMSSMPFYICANANDDEIQIQGVCNNFALHEWCTRLPPIIESHPAHPQHTLHLIYSNIPGCFFSVFHCKVCHLPCNGFAYCCVECEYYVDVTCGIIPKQITHEAHPNHLLSLVQVKGNMFTCHICLRYTCKHEFSFRCDTCDIYIHPNCALLLAETVRHKYDKHPMQLSYLPIENHKSEYFCEICEQELNPHESFYHCKDCVQSIHTACAPLILHCETHTSGYYWNSIHYFIKVKFGGIHNTHGHPHPLSFAQGILPDGTCKMCYSTLQYNMIFKCLECKFAIHYKCCEKY >cds-PLY85123.1 pep primary_assembly:Lsat_Salinas_v7:9:145939054:145939913:1 gene:gene-LSAT_9X93420 transcript:rna-gnl|WGS:NBSK|LSAT_9X93420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVRLSFSGINLRCPSTSPARSAYIKPTIVSAGVAQNLSYWDSIHSDIDFHLKKALPIREPISVFEPMHHLVFAPPKTTASALCVAACELVGGNREDAIVAASAIHLMHAAIYTHDHLMLTDSDQKTPHRFGPNIELLTGDGIFPFGFELLAASMDPAGDNSDKILRVIIEITRAAGLQGIVNGQDSDVEFDQSGGQTRRSLHGCGAACGAILGGGSDDEIERLRRYGVYAGKIQGLLSEIGRKERGKLELVEKWRALALKELEYLDSKRIEQISIIVRV >cds-PLY83568.1 pep primary_assembly:Lsat_Salinas_v7:1:64766373:64768967:-1 gene:gene-LSAT_1X55900 transcript:rna-gnl|WGS:NBSK|LSAT_1X55900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKHEGMSEIFRKGKPFLAVIFLQFGLAGMDVISKVALNQGMSNYVFVVYRHAVATIVMAPFAIVLDRKIRPKMTRPIFIKLMLLALLEPVIDQNLYFLGMKATTATFAVSMCNVLPAITFVMACILRLEQVNLKNIRSQAKVIGTITTVAGAMLMTLVKGPILELFWTKGRSNSNVVNNGVDLHHSLKGAIMITVGCFSWSCFMVLQAITLQSYPAELSLTAWICLLGTIEGAIVALVMERGNTAVWAIKWDTTLLATLYSGIICSGLAYYIQGLIMKDRGPVFVTAFSPLSMIIVAIMGSIILAEQTYLGRVIGAIVIVAGLYLVVWGKSKDTKLSSLPIDEQIAQEKKIMGIELECEENCCHKVTIKVSNGDMCTNDKVCEKNNQTGDVS >cds-PLY70717.1 pep primary_assembly:Lsat_Salinas_v7:8:166079763:166081811:-1 gene:gene-LSAT_8X109000 transcript:rna-gnl|WGS:NBSK|LSAT_8X109000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMSMTMGFSAPMKFKANAVMNYNEQHHHALDASYVKRAAELSDLSAGFTSPHPNFGCVIAKNSKVFGEGYLYAQGTKPAEVQAVEAAGEYCRGATAYVNMEPEDCNNDNTSLSAFIKAGITRVVIGIRNPLQHLRGNAIQTLRSEGLQVDVLGEDIRGVSMEDALKSCLVVNAPLLYRSAFQLPFSVLKYAMTLDGKIATSSGHSLWISNEKSRSRVFELRGRSDVVIVGGNTLRKDNPRLTPRNGGAHLPTRIVMSQTLDLPEVANLWDVRDVPTIVVTHRGARRSFQKFLASKGVEVVEFDVFNPKKVAEYLYDRGYLSVLWECGGTLSASAILSGVIHKVHAFVAPKIIGGRNAPSPVGELGMVEMTQALELSDVSYEQIESDVLISGYVQPIPDLTQSTIDPTLSLKIIGGRNKP >cds-PLY87798.1 pep primary_assembly:Lsat_Salinas_v7:8:223049122:223056153:-1 gene:gene-LSAT_8X137421 transcript:rna-gnl|WGS:NBSK|LSAT_8X137421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVSASYEQDLMNAHKPIEREMERFKICENETKTKAFSKEGLGQKPKPDPKEKAKSETRDWLNNTVSELESQIDSFEDEMEGLSVKKGKARPPRLQQWFLTLGSLSKRWIVPGWRLGWFVTTDPNGIFKNSKNIERLKKYFDICGGPTTFIQVAIPRILDDTKEVFFTRTLSILKNTSDICFRKIQEIPCLTCPEKLQGAMDVMVKVKLNVSLLKDISDDIDFCFKLAKYESVILLPGLTVGLKNWICVTFVADPSSLEEALDRVKSFCQKHSHQQKVHIDLV >cds-PLY71766.1 pep primary_assembly:Lsat_Salinas_v7:3:46637545:46638054:1 gene:gene-LSAT_3X34421 transcript:rna-gnl|WGS:NBSK|LSAT_3X34421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQKLSHGAQMIQLGSTPGKIFRKTFGIREEEKLLQASQCFLYTTAGAIAGILFVSTERIAFCSDRSLKTYSPTGELLKFQYKVSIPLGKIKGVRESMNTKRRSYNYVEVVTVDDFSFWFLGFENYKKTLRYLHHAIGLECLSN >cds-PLY74362.1 pep primary_assembly:Lsat_Salinas_v7:5:56207985:56210856:1 gene:gene-LSAT_5X26541 transcript:rna-gnl|WGS:NBSK|LSAT_5X26541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKFRTLNSHIFNTIIKAFIFFPSPQLCYGTMSPSIIDRDMEKANRVAGENGPNPGEPPRLLSRRRWSQSTGRKSGSKIDLRKEQDRKQEANDSGLMRGGLGDSDRGNGWGS >cds-PLY65842.1 pep primary_assembly:Lsat_Salinas_v7:1:192021022:192022165:-1 gene:gene-LSAT_1X121741 transcript:rna-gnl|WGS:NBSK|LSAT_1X121741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPSLSGPLLRMHFHDCFIRCCDGSVLLDSPTNQSEKFSPPNLSLRGFNIIDRVKLALEKACPDVVSCADIVALVPRDVTVATKGPYWEVETGRRDGNVSLFIDPITPVTGLRSFTSNISVLKQSWALRGLNTKDLVVLSGGHTIGISHCSSFDSRLYNFTGKGDTDPTMDPNYIARLKLKCKPNDLTTFAELDPGSFKTFDDSYFKLVTKRRGLLQSDAALLDDPETRAYMIQATSEGSTFFKDFGVSMVNMGRIGVLTGSQGEVRKVCTKSN >cds-PLY63656.1 pep primary_assembly:Lsat_Salinas_v7:4:134252997:134262300:1 gene:gene-LSAT_4X84120 transcript:rna-gnl|WGS:NBSK|LSAT_4X84120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITIPYLTALTTYFSYGLLFAFGQLHDFFRRFIDWWKASNLQDYAPICLGLEDFYIRRLYLRIQDCFGRPISSPPDAWFDVVERVSNDNNKTLKRTTKVSRCLYLGSYNYLGFAAADEYCTPRVTETLKRYSASTCSTRVEGGTTALHIELEDVVADFVGKPVAMVTGMGYVTNSAILPVLVRHAYVYLDEAHSIGAIGKTGKGGCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKELIKYLKYTCSAHLYAMSISPPAAQQIISAIKVILGEDGSSRVVFPATPLLLARARICISAAHTREDMVKALEFNIPNLRVGTLDSLLALSDDLLKSNTFIEGCSHKIRRQIEDLEKASGILASSLTVDGVPVDSYLTKFVWDEAKYPTMSPLKEIVDGIHVQVAKIDDDLKVRIAEYNNVRSQLNAINRKQTGSLAVRDLSNLVKPEDIVTSEHLVTVIAVVSKFSQKDWLSCYETLTTYVVPRSSKNLHEDNEYALYTVTLFNRDADNFKIKARERGFQIRDFEYNSETQEGRKQELEKLMQDQESLKSSLLQWCYTSYGEVFTSWMHFCAVRLFSETILRYGLPPSFLSVVLSPSVKNEKKVRTLLETLCDSSNSTFWKTDEEGSMGGLGGEADTHPYVSFTINLI >cds-PLY74482.1 pep primary_assembly:Lsat_Salinas_v7:7:41847209:41850240:-1 gene:gene-LSAT_7X31420 transcript:rna-gnl|WGS:NBSK|LSAT_7X31420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFQPSTSSSMEESQPCRVFEFHEILSATDDFDESLVIGHGGFGKVYKGNVFKGSSHVVAAIKRLDSLSSQGEAEFWAEIEMLFKFRHCNLVSLFGYCNHEKEMILVYEYMPKGTLEDHLHKLGTPLSWLQRLKICIGAGRGLDYLHTGTGIELGVIHRDIKSSNILLHESWAAKISDFGLSRIGPTNQPSTYVNTLVKGTFGYFDPNYFITGKLTRKSDVYAFGVVLLEVLCRKRAVDKSLDEGLVTWARDSIKDGNLKTIIDPDIRGQISAKCLKEFVRIVERCLLSSPKQRPTMAEVIVSLDSVLTLQEKANGSLQAAGKTMFGRMRDMFLFPTNGDNSGISSSLYTSPLDLKLSSKGNSGNADDDIVVADNSRNTSLKVVKFADLERATRDFSFCLYMDGLGKVFLGWLDEDTFVPSTEGVGVAVAVKRYNNLQYWQTEVSILGRLSHRNIISLLGYCDDKDHKFLLVYKYMQNRNLGDFLFTDARDVAEPLSWETRLKIMIGIARALTYMHSSENQVIHRDVKTSKILLDKDFNGKLGGFDLAKFGPATREIDVTTRIVGTIGYTDPKYVSTGHVSAKSDIYSFGVVLLETLTGQRASRKNPPFDIGLVEWASIVLADRSELKKVIDPRLGPNYPLEGAFSCVALALTCIAVDLKHRPSSEEVLLNLERIYIFNR >cds-PLY86703.1 pep primary_assembly:Lsat_Salinas_v7:4:8742965:8745465:1 gene:gene-LSAT_4X5880 transcript:rna-gnl|WGS:NBSK|LSAT_4X5880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCGIFRTVLMESSCRIWMRRVKMATTTTSIVFRPTTSSSISPLFIPLRSSKSTLSLLSHIFHPRRSISITATLPLQSSSSPFSRTVHHQCSSSSDGGINPKDSQKIILKGMRYKEFENWVTSQGYRPAQALMLWKLLYGNNSKRIWAHSFEELEGLNKDLTKMISKHAKLKALQVKDIITASDGTRKILFTLDDGLIIETVIIPNGDKGRNTVCVSSQVGCAMNCQFCFTGRMGLRRNLTAEEIVEQAVFARRLFTSTVGPISNVVFMGMGEPLQNIENVMKAADIMVDEQGLHFSPNKVTISTSGLVPQIKRFLRHSKCALAVSLNATTDEVRNWIMPINRKYNLSLLLDTLREELQFKHKYKVLFEYVMLAGVNDSIDDAKRIIDLVKGIPCKINLITFNPHSGSHFQPTADEKMVEFRNILAEGGLTVFMRPSRGDDQMAACGQLGNPADLQAPLLKVPPKFQPSLELSL >cds-PLY74166.1 pep primary_assembly:Lsat_Salinas_v7:9:11260684:11262526:-1 gene:gene-LSAT_9X9120 transcript:rna-gnl|WGS:NBSK|LSAT_9X9120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSKTEINLKRLLAAAPQQQNKVKLVHYVATLREQLEQLATEQTPEGLPRHDDGFSSCAHRLSKATVNDYSEKIEAIAAKLAIPVLESVQTPELRVKTCVKENSSKKEEESINLSPGLRRRLVPSSGEHRGQDSCESSSGSSSPVKLDAAAQVHITKHRKLQEDLTDEMVDLARQLKERSLLMNKSIQNTERILDSTEKAVEHSLASTGQTNTQAMAVYTQTSNTSCFTWLLMFLMTCIFVMVVLLIKVT >cds-PLY64235.1 pep primary_assembly:Lsat_Salinas_v7:7:1680265:1681077:-1 gene:gene-LSAT_7X2780 transcript:rna-gnl|WGS:NBSK|LSAT_7X2780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNANSGAGKNKMSIILKAVFPLLEGTDLAACMVVCKQWENVAQDDYLWKCLCIKKWPSISASPLVNNYRKIFKALCICEKDHRPTSLAPGISLSDLDFYIDIWDADEGHGRLLFSEIASGPTLREGNMSPPDGIVPGLTDHLEGPEYKLTLPVKSSLSISSAQEVRVSVLIARKDSNKVACILNHLLDCNTCIDWSEGRAIAYAQIAEFPLACPFVNFATSNINCEISLLFISRGGGGQCLDVFGIEMNFLTAYTEEGVLWLLSMLQWQ >cds-PLY94031.1 pep primary_assembly:Lsat_Salinas_v7:7:99849134:99850442:-1 gene:gene-LSAT_7X66500 transcript:rna-gnl|WGS:NBSK|LSAT_7X66500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHIASRDHETSDKQSSLEYSIFKNAGFPLLGTRGLSTSMLSSDASEGLFPSELLSRKQILNPEHKLGQVQDLVIPITNFQNEDKGLMALACDVFDLPIRKDIIHHVVRWQLAKRQHLLGILSLSMKFLPTITNLHRKLAEEGQKPIATQPQVLRSFQGVVSSSGSSGVTIENGNRTKYTSPTTHVKEQ >cds-PLY65990.1 pep primary_assembly:Lsat_Salinas_v7:4:136033154:136036494:1 gene:gene-LSAT_4X84501 transcript:rna-gnl|WGS:NBSK|LSAT_4X84501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAACANGDDKSDMEEQEEALVALIEHRTKEVNHLRMRLAYYESELDESLKKLEETQRQLARLRSRSCDPSTRSVGRNVRVKKEISSSPLKICEDSFRNLSDESNGSSCDSYQEACLKTIKTKPKPLLVIPGMNPKVMTEYNKASSERKSLVKEKGYGLLPKQEAVESQARGTKRKCEENEHKDLSEVICSSSSPCIINCDTSNHIPSQHKRKLRSLVLCPTNDQLFATSALDGIVNMWEIQGRGSHANLLSSINCASMKQRRWPEDVAWHPNGSSLISENEVRFLEDKGHVKGIINNVMFMPWDNNCFITGGSDHGVVHWTQKHNDDDNNNSWKPKLLHRSIHSSAVMGVAGMHQKQTVVSVGADKRIIGFDLHTEKPDYKHQIESKCMSVVPNPCDFNLFMVQTGTPQKQLRLFDIRLKNTEIHEFGWKQESSMSQSALISQTWSPDGLYITSGSADPVIHIFDIRFNANQPSQSIKAHQKRVFKAAWHHSLPLLISISSDLNIGLHHIT >cds-PLY76601.1 pep primary_assembly:Lsat_Salinas_v7:5:223389266:223394766:-1 gene:gene-LSAT_5X104901 transcript:rna-gnl|WGS:NBSK|LSAT_5X104901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTGSSSSLELPQQFRQFTLSEIHSATQNFDESLVIGRGGFGMVYKGTITNGATHLVAAIKRLDSTSNQGEIEFRAEVEMLSKLRHCHLVSLIGYCNDGQEMILVYDYMSHGTLADHLHKFQSPLPWVRRLKICLGAARGLDHLHTGVGIKQGVIHRDVKSSNILLDDSWAAKISDFGLSKLGPVNQPSTYVNTFVKGTFGYLDPVYFATGRLTRKSDVYAFGVVLFEVLSGKQAVDSSLDEEHWGLVNWAQEALKGGRLKQIVDHTITDRILPKCLKEFGWLANRCLHNNPKQRPTMSEVVVSLESVLALQEKANYTLHPPGMKIFGNKVPMFLSSFSGDNSEQIPVLLNDIFYDIIQSSTFLLVSNRILFVVGSKRSLELYFDTIGGEHRILRRFEFQTIVVATENFSETNLISPLFSGLYIYKGRLQNGQGVSIARHSSGSRSEYYKNEVALLVKLEHENLLKLVGYSIEGTEVFLVYEFALYARLDRLIDDHECTLLDWNKRNKIILGVARALLYLHQHGSFRIIHNHVYPKNILLDESLDPKLSSFGFARCLAINGADCIEEENIHGSMVFIAPEVHQTLRLTTKADVYCFGALILETISGCTRYNYMFNHTDNDTLTHSVWTNWVKGTSSNIIDPRIHAHSSSITRVIHIGLLCVQVDPADRPTMEEVVGMLTSNSSLPLPLQKKSLSPWIREKSSDVPKILLSDDYDSGAVEDFLSELCPR >cds-PLY94086.1 pep primary_assembly:Lsat_Salinas_v7:MU039017.1:36215:38920:1 gene:gene-LSAT_0X37380 transcript:rna-gnl|WGS:NBSK|LSAT_0X37380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCVCDFCNQRSAVLYCKADSAKLCLFCDNAVHSANALSLKHFRSQICDNCGSDAVSVACSTDNLLLCTSCDHDFHGDSSVSSYHSRVPVEEFSGCPSPLQLASIWGFNLKSSGSNGNSCESKYSTTNFQDLATIHEKTPCYDEVPSVDWKSRGSGCGVHNKVLYKQLVELAKERFDGDGAELGPQTPSGCGPRDISEGFEYEEQDDKDLLHQQTPLTYLLMPPQNPANSKANNGGITEFSNMWSYSPKRQTSQIWDFNLGRSRSSEAGGDNLGFAINNCTDLVEDASFTTMEVLKEMDAINISFGTTSQNKQISGCISTMESDNRAETQMMETNRSNPTIDGQMMEHFLATNQGMEIPATTKVDPQQLAQNRCNAMLRYKEKKKTRRYDKCIRYESRKARADTRKRVKGRFVKSIE >cds-PLY70760.1 pep primary_assembly:Lsat_Salinas_v7:8:8672391:8673881:1 gene:gene-LSAT_8X6840 transcript:rna-gnl|WGS:NBSK|LSAT_8X6840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSKSRVLKRLKKMAHNPRHSPERLVIEEVYEKSPSSTKSISLSKRIRKPQITRKGVTIHEIPAPVYPASKKRRAHEMVKKVKKKHKKITTPIDEVVIETDVDDNGQSQSPVKSTFMVAGNLNGNVETFIVDTTINLVDHSQLSIPEQTSVIPPEVSQAKSNMVEVQTPGITANISDMDINVTKVDGMLTYQAQEVKEIILKLAIPLTSTVSQESISQLFSSLESQLKADLGPLINLVNLMPTAAPLISIGV >cds-PLY92072.1 pep primary_assembly:Lsat_Salinas_v7:5:323192209:323192376:-1 gene:gene-LSAT_5X177881 transcript:rna-gnl|WGS:NBSK|LSAT_5X177881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDADQQEERGEPVAVNYEDGGGEREVADSGDGSGGNGGSNNNVDSAYQQRYDLQ >cds-PLY74879.1 pep primary_assembly:Lsat_Salinas_v7:8:106236643:106237503:1 gene:gene-LSAT_8X72420 transcript:rna-gnl|WGS:NBSK|LSAT_8X72420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIIPSFFGGRRSSVFDPFSLDIWDPFKDFPFPTSSDASRETSALVNARVDWKETPEAHVFKADLPGIKKEEVKVEVEDDRILQISGERSVEKEDKNDTWHRVERSSGKFTRRFRLPENSKMDQVKASMENGVLTITIPKEEVKKPDVKPIQISG >cds-PLY62859.1 pep primary_assembly:Lsat_Salinas_v7:9:130009203:130010452:-1 gene:gene-LSAT_9X84301 transcript:rna-gnl|WGS:NBSK|LSAT_9X84301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRAYVLAISMLMPIFLASFVSADPDLLQDVCVADLASDIKLNGFPCKSNITADDFFFAGLAKAALTNNTFGATVTPAFVQQVPGLNTLGVAMARIDYAPGGLNPPHTHPRATEIVFVLTGELDVGFITTANKLFTKTIKMGEVFTFPRGLIHFQINNGKVPAAVIAGFNSQLPGTQRAADTLFGSSPPVEDVVLTKAFQIGTKEVEKIKSRFAPMNKK >cds-PLY99609.1 pep primary_assembly:Lsat_Salinas_v7:5:241921959:241923627:1 gene:gene-LSAT_5X119220 transcript:rna-gnl|WGS:NBSK|LSAT_5X119220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQSAEAKKKNSSVLIVGVTGRLGFELANASLEASHPTYCLVRPTSFSDPEKSRKLQILTDSGAIIIEGSLQDEGSLIEAIKQVKVVICAVNSKQVLDQKPLISAIKNARCIERFIPSEFGLDPDKTHISDMDQGFYSRKAEIRRLVEAQGIPYTFISCNFFMSYLLPSLVQPGLKTPPRDKITIFGNGNVKGVFMKETDVAKFTISTMDDPRTLNKVLYLRPQGNMYSMNELAEFWEGKIGKKLEKIYVKEEDLLKKIKETPYPDNMEMVFIYAAFVKGFQTCFQVEECGGVEGSVLYPNLKYTTISEYLDSLL >cds-PLY62645.1 pep primary_assembly:Lsat_Salinas_v7:3:37174821:37176815:-1 gene:gene-LSAT_3X27521 transcript:rna-gnl|WGS:NBSK|LSAT_3X27521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRALVGPSDSVVIMMFTIKDASKWWHILNDSPIWQDRIFYLLALLYGLVAVVAMMQLIRIQQRVPEYGWTTQKVFHFLCFLVNAVRCLIFTFRRDIQLLKPEIVQHILLDVPSLAFFTTFALLVLFWAEIYYQARAVSTDGLRPTFYTVNGIIYAIQISLWVVIWGVFVCCLGISAIWRKVGYVTSICFTCFLIRCVMMCFNAFNKAANLDLMEHPVLNFIYYSLVEILPSALVLFILKKLPPKRGINQYHNIR >cds-PLY65284.1 pep primary_assembly:Lsat_Salinas_v7:8:104321801:104327479:-1 gene:gene-LSAT_8X70621 transcript:rna-gnl|WGS:NBSK|LSAT_8X70621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase ERECTA [Source:Projected from Arabidopsis thaliana (AT2G26330) UniProtKB/Swiss-Prot;Acc:Q42371] MMGFLSFLLFSSSFFLVVNSDDGGTLVEIKKSFRDVNNVLYDWTDSAPSSDYCMWRGVSCDNVTFNVVALNLSGLNLDGELSPSIGDLKGLLSIDLRGNRLSGQIPDEIGDCSSLKNLDLSFNMLTGDIPFSISKLKQLELLILKNNQLIGPIPSTLSQIPNLKILDLAQNQLNGEIPRLIYWNEVLQYLGLRSNNLVGDLSPDICQLTGLWYFDVRNNSLTGTIPSNIGNCTGFQVLDLSYNQLTGEIPFNIGFLQVATLSLQGNQLSGKIPSVIGLMQALAVLDLSCNNLSGQIPPILGNLTYTEKLYLHNNKLTGSIPPELGNMSKLHYLELNDNQLTGHIPPELGKLTDLFDLNVAGNKLEGRIPDNLSSCTNLNSLNVYGNQLKGTIPAEFQRLESMTYLNLSSNGITGPIPIELSRIGNLDTLDLSNNKISGPIPSPLGDLEHLLKLNLSRNELTGYIPAEFGNLRSVMEIDISYNHLSGILPQELGQLQNLFMLKLESNNLTGGLISLVNCLSLSILNVSYNNLAGDIPTGNNFSKFSPDSFLANPGLCSSSMSSSCHASRSTQRVTISKAAILGIALGALVLLLMILVAVCRPHNSKPFIEGSYDKPVHYSSPKLVILHMNMALHVYDDIMRMTENLSEKYIIGYGASSTVYKCVLKNCRPVAIKKLYTHYPQYLKEFETELETVGSIKHRNLVSLQGYSLSPSGNLLFYDYMENGSLWDLLHGPLKKKKLDWETRIQIALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDRDFEAHLTDFGIAKSLCTSKTFTSTYIMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKAVDNESNLHHLILSKTASNAVMESVDPEITATCKDLSEAKKVFQLALLCTKRQPSERPTMHEVVRVLGSILPTPRPDPHVGPTTLLPSSKVSSYMDEYANLKTPHLVNCSSMSTSDAQLFLKFGEVISQNTD >cds-PLY71935.1 pep primary_assembly:Lsat_Salinas_v7:3:26573260:26576083:-1 gene:gene-LSAT_3X19241 transcript:rna-gnl|WGS:NBSK|LSAT_3X19241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMHAGMGFSKIIILVGAGYTGTLMMKNGKLSDVLGELQALVKGYEEKQAGGAEGDHADAIAAQVRRLAMEVRQLASSRQITVLNGGSSGNVTSLVVPVAALGAVGYGYMWWKGFSFSDLMYVTKQNMSNAVSNLTKHLEQVSDALAAAKKHLTQRIENLDGKMDQQVEISKLIKSEVTDVRGDISQIGYDLDSLNRMVSGLNGKIMTLEEKQDLTNLGVWYLCNKADGNKLSGKAQEQLRLASKSVAGYLTTGSGGLQLLNLEEIVETIDPTDKSPKNGFSEDVDNKSRTLTRKNTVKFSS >cds-PLY78294.1 pep primary_assembly:Lsat_Salinas_v7:6:152086931:152087869:1 gene:gene-LSAT_6X91761 transcript:rna-gnl|WGS:NBSK|LSAT_6X91761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTIGSIGDSFSAGSVKSYLAEFIATLLFVFAGVGSAIAYGKLTADAALDPAGLVAVAIAHAFALFVGVSIAANISGGHLNPAVTFGLAIGGNITIITGLFYWIAQLLGSIVACFLLQFVTGGLAVPTHGVASGMSSIQGVVFEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGAFSGGSMNPARSFGPAVVSGDFSQNWIYWVGPLIGGGLAGLIYGDVFIGSYEALPTSGDYA >cds-PLY83818.1 pep primary_assembly:Lsat_Salinas_v7:3:49281413:49281592:1 gene:gene-LSAT_3X38041 transcript:rna-gnl|WGS:NBSK|LSAT_3X38041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSISSKIKAKKETVKHTKEGRRYKTRGLRTSTVWDKNKYDMDLQVSKAYGELKKKGEDE >cds-PLY93173.1 pep primary_assembly:Lsat_Salinas_v7:3:257627210:257627569:-1 gene:gene-LSAT_3X140740 transcript:rna-gnl|WGS:NBSK|LSAT_3X140740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTKPVQTPVTRSPTSHMEFFPIPNVWSCDGKQRLTSNNNDDMRITSRLNVAPTVALLSATTKTTTTGLFDLHNEDNIDGVSNLVSAAANNKKKGQRRRRLATRVFAATMVSVAGRYHV >cds-PLY65674.1 pep primary_assembly:Lsat_Salinas_v7:5:272979503:272982771:1 gene:gene-LSAT_5X142961 transcript:rna-gnl|WGS:NBSK|LSAT_5X142961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory protein NPR1 [Source:Projected from Arabidopsis thaliana (AT1G64280) UniProtKB/Swiss-Prot;Acc:P93002] MMDTRTGFSDSTDMISGSSGVSSTPATNESEPPLILSDVSALDRLSETLESILLEPSSSFADAKIILSGGREIPVHRCILSGRSPFFKNKFGDSSNKDKNRNVKLEMKELASDYEIGFESLISVLKYMYSGKINGLPKDACACVDEGCSHEACRPAVDFMVEVMYAFHIFQIPEMVTLWQTRILNILDKASADDILVILSVANTCGKSCNQLLSKSIEILVKSNVDFVTLDKALPEQIVKQIIDSRFALGLDKPGSSSFPDKHIKRVHRALDSDDVELVTMLLREGHTSLDNSCALHYAVAYADAKTTTELLDLSLADVNFRNSRGLTVLHVAAMRKEPNIIVSLLTKGARPADLTPDGKKALEISKRLTRAVDYYKSTEEGKECGKGRLCIEILEQAERRNPLLGEASASLALAGDDLRVKLLYLENRVALASLLFPMEAKVAMEIAQVEGTSEFTLESVYSQNLANAQRSVDLNDAPFLIKEEHLIRLRALSKTVELGKRFFPRCSEVLNKIVDPEAHKWKNTPEERELKKQKYLNVQETLNKAFTEDKEEFDKSYTISSSSSSTSGIVNPHTTPFTFQK >cds-PLY80860.1 pep primary_assembly:Lsat_Salinas_v7:4:326096915:326097862:1 gene:gene-LSAT_4X162140 transcript:rna-gnl|WGS:NBSK|LSAT_4X162140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMKNVVVLAFICAIFAAVGGQAPAASPTIAPPTTVVTPTASPTVAPPAKSPVSAPPMVSVPTPVSSPPAAVPVSSPPAAVPVSSPPTIESPPVPEPVSSPAPEIASTPEASAPAPSKRKTKMNAPSPSPTEALSPGPSGDDSPSPAPNSADVADESGADRLKIVHMVVGSLVMGLAAFSWL >cds-PLY81257.1 pep primary_assembly:Lsat_Salinas_v7:4:306046257:306046810:1 gene:gene-LSAT_4X155781 transcript:rna-gnl|WGS:NBSK|LSAT_4X155781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGWTEMSLRDVRGFMEMLSQDYTGSSYNLITRNCNHFCNDACLQLTGNPIPNWINRLARIGFLCNYIVPASINSTKVGIEDHKVSNEAEIKTKLRRCSNRFTSSSSSPSSSSVDRPRNHGRTVLPSSSLLMLNSLSSQAITVCNGNHM >cds-PLY80287.1 pep primary_assembly:Lsat_Salinas_v7:3:207357292:207358653:1 gene:gene-LSAT_3X122200 transcript:rna-gnl|WGS:NBSK|LSAT_3X122200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVECSRQSVTIPGGAGTEENGSLLLITYLEALHIHIHFVEDLIPLLSTEKFPAGTLFFLISCASLNCSFIEYPPGVKWTWP >cds-PLY98012.1 pep primary_assembly:Lsat_Salinas_v7:8:43838025:43840960:-1 gene:gene-LSAT_8X33960 transcript:rna-gnl|WGS:NBSK|LSAT_8X33960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGRNGAVRQYIRSKVPRLRWTPDLHRSFVHAIDRLGGPEKATPKLVLHMMDVRGLTISHVKSHLQMYRSMKSDGNRQEDEDPHSIGSHNRRQSLDDHHDGCLDHDHHDHTIHPHSFIYTLPSKRKEQEESIGNLRWQQQPPISFSSFQHFILHPSFTHVNPLHPESDFLKIPKQGDGNSGSFKRRKMESSTSTEDEDGYGLLLTLSLPHPSTQRSSNASSTSETSEVYSKPDVNDGSLNKCSVNLDLSIAFCGN >cds-PLY89728.1 pep primary_assembly:Lsat_Salinas_v7:7:42788483:42789194:1 gene:gene-LSAT_0X28421 transcript:rna-gnl|WGS:NBSK|LSAT_0X28421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSANAECVWGTPWGGKGGSRTWEFLIPDGSTLTKIALSSGDALDFISFTYKDGYGRTHTSEKFGGDGGSPHMIIFDDNEYLIGISGRVGSFGDNTVITSVTFQTNIRTYGEYGTNPGTDFSFGVTRGKFSGFYGKCGSSVDSLGVILQA >cds-PLY99321.1 pep primary_assembly:Lsat_Salinas_v7:1:69523282:69525606:-1 gene:gene-LSAT_1X58760 transcript:rna-gnl|WGS:NBSK|LSAT_1X58760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSYSYSPSPPRYHSRRNRSPSPKRHARDLPTSLLVRNLRLDCRPEDLRVPFGEFGPLKDVYLPRDYYTGEPRGFGFVQFLDPEDAAEAKYQMDGQILLGRELTVVFAEENRKKPSDMRARERFRGRSYDRRVSSSSSFNRYSRSPPRGRSYHHSPPRRRHHYSRSISRDRSYRDRYRSYSRSPYGSRSPEGY >cds-PLY99613.1 pep primary_assembly:Lsat_Salinas_v7:5:241395732:241397804:1 gene:gene-LSAT_5X118940 transcript:rna-gnl|WGS:NBSK|LSAT_5X118940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNVFVVLTPLIHGIVMLAGLTPQTIEIEPGTLMNIWVPKEIVTKYDGKIVYVPPTKPTVLLLHSFAMDGIFTWFLQVLALTREYSVYVPDFLFFGGSITDRNERSASFQAEFVAKGLKKLRVENVTLVGLSYGGMIGFKIAQLYPNLVKSMVMSATVTELTESISLDSYKRLGLSSWSDLLMPSTVEGLKRMFSVGFHKLPWLPDFFYRNILETMFSNRKERNELLDCLVVPDTDVTSDPDYSHPIHMLWGDEDNIFDLNLANTMKIRLGEKTTLEWIKDAGHLVPLEKPFIYNKRLKSIIECVRKDK >cds-PLY69176.1 pep primary_assembly:Lsat_Salinas_v7:3:204748820:204749933:1 gene:gene-LSAT_3X122040 transcript:rna-gnl|WGS:NBSK|LSAT_3X122040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKDALGSWGFFQIVNHGIPVDVLEDMKKGVLGFFEQDSEVRKQWYTRDRSANRVVYNSNFDLYSAPVTNWRDSFVCRMYPNPPQPEELPPPCRDILLEYSSQVMKLGCSILELMSEALGLEPNHLWDMGCATQLQVTSHYYPPCPQPELTMGITEHTDAGFITILQQDQIGGLKVLYKDQWTHVHPIQGALVVNAGDLLQLITNDKFVSAQHKVVANKVGPRISVASFFLTDLMTQNPKVLEPIKELLSEDNPAKYRSTTGKEFLDYFYKKGLDATPALTYFKI >cds-PLY88488.1 pep primary_assembly:Lsat_Salinas_v7:4:291809510:291811986:-1 gene:gene-LSAT_4X149540 transcript:rna-gnl|WGS:NBSK|LSAT_4X149540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVTQVLHMNGGDGDYSYSNNSLLQRIVISMTKPIMEEALTNLYDAMNFPKALTMADLGCSSGSNTLLVASEFIKILDKITQKVGHVQLPEMQIYLNDLPNNDFNAIFDSLSKFHLNITTQITSTTSSPPCYFSGVAGSFYTRLFPSNSIHFFHSSYSLMWLSQVPEFHNTNKGNIYMSATSPTSVIKAYREQFHKDFLVFLSCRAKEMVSGGRMVLTILGRRSSDPRSKECCYIWELLAMALNDMVLEGLIEEGKMDSFDIPQYAPSAKEVSTEVEKEGSFIIDRLEVSEVNWDAYADNCPNPPKYAERAYNVAKYMRAVAEPMLLSHFGESIIEEVFLRYKNILADRMSKEKTTFVNVTVSMTRKC >cds-PLY96171.1 pep primary_assembly:Lsat_Salinas_v7:8:101938788:101942310:1 gene:gene-LSAT_8X69540 transcript:rna-gnl|WGS:NBSK|LSAT_8X69540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAFGKQSTNMNIEDLGLLLKAQNFQEKQKTSPPNRSGSAPPSMEGSFAAIENFMSRHKISQNMSESEEQLRADPSYIAYYSNHVNLNPRLPQPLISDENRHLFVGNNQRPTSFDDSFRPNQSNLSTHKEESDDDRSPKQEETEETNDHDTGRLLYSNPPPSSINTTSINHSQDNLPNAESQSQRDRRTMFQVYGPGPQHQTYINMNQYLQTPSNSVTSQSPYGSGSYMMTGNPVYPNMIQSGYFPPQQYVTGYAFNHQPSLSPYVTGYLPTNPVHVPMPFDITASQSFIGQNQSQTSGVNLQHFNKFYGHPGLQIQPPFLESLQIASSTHGDDHQIMPKQQSLGLMGHNLNSRRIDTHTMNPYYFGSPTNSGILQFPPSNFASPPVPGSPIGGVGFHSGRNGVRSPSGSYGGHQVFKDPKTYSFLEELKSGKGRRLDLSDIFGHIVEFCGDQHGSRFIQQKLEICSVEEKESVFKEVLPNASSLITDVFGNYVIQKFFEYGSTEQRRELVNQLEGQILPLSLQMYGCRVIQKALDVIELEQKIKLVRELDGHVLRCVRDQNGNHVIQKCIESISMEKIKFVISSFRGQVASLSTHPYGCRVIQRVLEHSTDELQSQFIVDEILESVYTLAQDQYGNYVTQHVLKRGKPEERNQIVHKLKGHVVQLSQHKFASNVIEKCLEYGDSATRGVLIEEIIGLGDNNDNLLAMVKDQFANYVIQKVLQTCTPDQHQVLLGRIKIHLNSLKKYTYGKHIVARFEQLYGEEFQVSGS >cds-PLY73429.1 pep primary_assembly:Lsat_Salinas_v7:4:179399755:179406032:-1 gene:gene-LSAT_4X106321 transcript:rna-gnl|WGS:NBSK|LSAT_4X106321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin MOS14 [Source:Projected from Arabidopsis thaliana (AT5G62600) UniProtKB/Swiss-Prot;Acc:Q8GUL2] MALENIVKEALNALYHHPDDAVRSQADQWLQEFQRTIDAWQVSDNLLHDASSNVETLIFCSQTLRSKVQRDFEELPSEAFRSLRDSLNNLLKTFHKGPPKVRTQISLAVAALAVQVPAEDWGDGGIMNWLRDEMNSNPDIVPSFLELLRVLPEEVFNYKIAARPERRRKFENELASTMDVALGILTACLNIPELKEQALEAFASWLRLRHRIPASVLATHPLVLTALSSLTSDVLSEAAVNVISELIHYTSARNIEGFSVHMPLIQVIVPQVMNLKPQLRDSSKDEEDVKAIARLFADMGDSYVELIATGSDESMMIVHALLEVAAHPEYDIASMTFNFWHNLQICLIERESYLSLGNESLIEAERNRRVQVFRSSYESLVSMVSCKVQYPQDYSQLSKEDQKDFKQTRYAVADVLIDAALVLGGEATLRILYMKLVEALSGHQNGDMMDWRPSEAALYCIRAISDLVPFIEAEVMPQIMSLLPKLPHQSLLLQTVGAYSKWIDASPNGLSFLPSVIDILVSGMSMSEESAAAAALAFRHICDDCGKKLCGSLDGLFQIYQRAMTGEGSFKVAAQDSLHLVEALSIVITELPPDQAKKALEALCIPAVASLQEVINQGPLVLGQKPAREITVHIDRLANIFRYVNNPEAVADAIQRLWPLFKAIFDIRAWDMRTMESLCRACKHAVRTSKRFMGVTIGAMLEEIQGLYKQHHQPCFLYLSSEVIKIFGSDPSCADYLKVLIESLFSNTACLLTKIQDFTSRPDIADDCFLLASRCIRYCPHLLFPSPVFPSLVECSMIGITVQHREASNSILSFLSDIFDLGKSSQGQQFVPMRDNVIVPRGASITRILVAAATGALPSSRLETVAYALLALSRAYGSKALEWAKESVCLIPVSAATDMEKSRFVQALSDAASGVDIKGLTIPIEELSEVCRRNRTVQEIVQEALRPLELNLAYVSS >cds-PLY96863.1 pep primary_assembly:Lsat_Salinas_v7:2:109840624:109841322:1 gene:gene-LSAT_2X51260 transcript:rna-gnl|WGS:NBSK|LSAT_2X51260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLLYWDDMLLMVGPYGDPVRYLDDEPIILIPECDRARILSNLNMEFLQQEEFPHPLSRVKQPAGYRFSYQIGDSLTWLGIRDMINDVWKKKLNLRPITYFSGTQGSENDIPHGYIWSPHLVPKPKDWGPKIYVVGFFFLDLTSNYKPPEELLRWLEAGPKPIYIGFGSLMSFLYPYN >cds-PLY80680.1 pep primary_assembly:Lsat_Salinas_v7:5:179751790:179753673:-1 gene:gene-LSAT_5X81461 transcript:rna-gnl|WGS:NBSK|LSAT_5X81461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSGNAYPSFLSTIDPEQLQLSIAADEQAFGGSIANPMATNIHGQPLWYGNFSNIRMDSHATMDIDSSGGQPPSIGDVRQMVVSEPSGSNQMSSDGQPPSVNDLCRREPSQSEASCNRYEGPDEHEVQQVIISELNDGVYKRGTVGRSNYIDAYAGRFGVPQMAFNSINSTINNGGLLNSGVWAPPQRPQPIQQMRTYIRVYKRGAVGRSIDITSYSGYDELKQDLARRFGIEGQLDDQQRIGWKLVYVDHENDVLLVGNDPWEEFVICVKSIKILSPQEVQEMSLDGEFGGNNVVPNQACNSPVVEQFNGRLINFF >cds-PLY63957.1 pep primary_assembly:Lsat_Salinas_v7:4:76353460:76355913:1 gene:gene-LSAT_4X51441 transcript:rna-gnl|WGS:NBSK|LSAT_4X51441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALPCSRKLLRSISSSYKLHCSNHQNIVHRSFHILSTASRDHDTGDMQSSMEYSIFKKAGFPLLGTRGLSTSMLSSDASEGSFPSELLSRKQILTPERKLGQLQDLVIPVTNFQNEDKGLMVLAGDVFDLPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWPQKGTGRARHGSLRGPQFRTGAVMHGPKPRSHAFKLNKKVRRLGLKIALSARAAEGKASNNLQLLVFEDLVLPTHKTKNIVSFANQMEESKKILVVDGGPIDENLKLATQNLHYVNVLPSIGLNVYSILLHDTLVMSRDAVNKIVERMHTPINR >cds-PLY73911.1 pep primary_assembly:Lsat_Salinas_v7:3:37932172:37935077:1 gene:gene-LSAT_3X28640 transcript:rna-gnl|WGS:NBSK|LSAT_3X28640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFSNFSFISPISNKLFFQGFTISNNTSLIAWSISFSSTSSLNSTYSSSTTAIFVDNATQIAQNPKILVTENRTESNSSNVDISLKLLGFDDKANTHLGNFTDNVKNVSFHGISAKVVEYEDTHLINGTFSSAIAQENADTSVKSDGLDLNLTSRNTSDDKGDGISKKVGFVDADTGTNSHILRSYSGSFKECDIFDGRWVKDDSKPYYPAGSCPYVDRDFNCHLNHRPDDDFVKWRWQPFGCEIPSLNATDFLERLRGKKLVFVGDSLNRNMWESLVCILRHSLKNKNRVYEISGKSEFKKKGIYAFRFEDYNCTVDFVGSPFLVRESSFKGRNGSFETLRLDLMDRTTSMYHDADVLIFNTGHWWTHDKTSRGEDYYQEGKHVYPRLKALDAYTRALSTWARWVDKNIDTQKTLVLFRGYSLTHFRGGQWNSGGQCHKETQPIFNTSHLTKYPSKMRSVENVVRMMKTPVIYLNISRLTDYRKDGHPSIYRRMYTKSLSPEQQMAAEQSQDCSHWCLPGVPDTWNELLYASLLKLGTGSWET >cds-PLY68304.1 pep primary_assembly:Lsat_Salinas_v7:1:27483777:27486218:1 gene:gene-LSAT_1X23681 transcript:rna-gnl|WGS:NBSK|LSAT_1X23681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRLCHWKPMANPISRCFHSLFFFSSIQPSTKGASSSTPDRIVASTETDDPPLATSSFPHLHPRRPWRRSPCHHDGRPQTSPTAFTSFLRLSSTLLLLILCFLQSRQVTPWRVLVMARSDDDKSSMVTWLEGVFCKSGDVKVFYFDFTPVSNSLDGTCPASSSDGCPPTAMTSVNGFIVGSASCTGTGGEEDVKIFNIFAPPQSLVSLLSGFLLHLFFQVVLLGIIDRYCITNFTILADFRNEILRLPNGCASPPTRPKPAPSTHPATASLLLHEGHWPYAYSRIDP >cds-PLY90058.1 pep primary_assembly:Lsat_Salinas_v7:6:16683109:16683510:1 gene:gene-LSAT_6X8620 transcript:rna-gnl|WGS:NBSK|LSAT_6X8620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWLFPARSRGATTSRRLQSMFNTSTTLLQSKKHNPKRFTSIVGIAATLIPFRIVVIDDEKETTMRLAMEQPRHLLQFFIASSHLSLLKLLPQLTCFPRIFLPSFSFANQCLSSPCCFRSYSSLISWIEHLFE >cds-PLY93167.1 pep primary_assembly:Lsat_Salinas_v7:6:5369987:5370448:1 gene:gene-LSAT_6X1800 transcript:rna-gnl|WGS:NBSK|LSAT_6X1800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVMKYSDQNAPDDHPKMFFRETGKKFTDKYGDGSGIIMWAYDAYKRMWVVKRKSGRIEYYEKKVDFLSWTNIDLSELIHTPFHNPTNDTMARSFKNFLETKEKNNFEGLKTASSFSKKAKGVIDPHTNKTMVNVMWPPTEQAKRIPLPKRLP >cds-PLY88153.1 pep primary_assembly:Lsat_Salinas_v7:5:221358220:221361407:-1 gene:gene-LSAT_5X101740 transcript:rna-gnl|WGS:NBSK|LSAT_5X101740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPLSSITIFLCSSSRRLFTHTTPLPFLNYEAEKLLGISHFSTSSPDSASSSYHSSSRRNPDDVRNVRVSVWWDFENCSVPCNVNVYKVTQCITAAVRSVGIKGPIQITAFGDVLQLSRSNQEALSSTGINLTHIPHGGKNSADRSLLVDLMYWVSQNPPPAHLFLISGDRDFASILHRLRMNNYNILLASTENAPAVLCSAASIMWQWPAMVKGENLSGKHFNQPPDGPYASWYGHYRVPLEDPFAVCNHVSNQTSSVQPEEEPRPVPKAVVNVIRNIMNSYPKGLSITELRAELGKSNVTIERDLYGHKKFSRFLMAMPHLLRLQFEKDGQYVIHGVTPKNRDTSVSAPDTSTAPNMTVKDSVPVTSVPHKEETLAKFSKLQVPKVSTDSLPLPEKKQETQQVKEQSPVIEKPKEGEVSLGHQLYPVKMKSQTPEVGVLKSIWRKWFGSNDGYQDKMTPSDGVNECSTSRNSTDTVNADAKDQSSPSLSTNEVIQDAENKPHGILNQFVSWCKFWKSDKKSDNLEAELSKSDKEIPSHLDMREIFTESFWNDILTFLETSKNSASVLQSKTRHEMGQKLKQFGPSQLQSLSEKDILHLVELLISEKKWVVETPSHTFPFKLVTPSKTTSPTKPSILKEFTESSDSQGQERQIKKRVSPKTRSQILSHCQKLVNEVVKEHPKGYKLSSFKKLFLEKYGYPLEVQQLGYQKLATLLQIMPGVKVESSYILPSGEHFRSENRKSEEEKDNDTKWEELGPISSTKKDDDFESVSDNDMSDSEEENSCQKVKREENSSSSLLQILDSWYSNKEENLNSDHLNNGKKGDGNDWAQKEKPVKQYSFVTDKPRNEKENKIDGILVNLKKLGNGAPESKIEG >cds-PLY75307.1 pep primary_assembly:Lsat_Salinas_v7:3:158799760:158800477:-1 gene:gene-LSAT_3X98920 transcript:rna-gnl|WGS:NBSK|LSAT_3X98920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSEQDFDQSIRDFITSFVRTAVAAAPPSQDHDGEEAEAEAIVFTVYATHAGSLVKEGPLPASKAAIEAIPTVTATEGEDCAICLTDYSGVAGEAKELPCRHRYHSDCIMKWLGIHGSCPVCRYEMPVDEEEKRRRDGGDGEWWRVMITVERRTPVSESGRNSSDSVEGSGSSTDYMDID >cds-PLY85447.1 pep primary_assembly:Lsat_Salinas_v7:3:43326782:43328605:1 gene:gene-LSAT_3X33940 transcript:rna-gnl|WGS:NBSK|LSAT_3X33940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSQLNSSVFFFVLILSFSVSWAALSSILDVTPGPEDFLSCIRSYSNNLTSISEHIFTSGNASFLPTWQVHVQNTKFLKPSTPKPSVIVTPVDETLVQTSLHCAKKHGYELRMRSGGHDYEGLSYTADVPFVMLDFTKMRSIDVDVANSTAWVQAGAALGAVYYAISQKTDTLYFPAGVCPTVGVGGYMGGGGYGNLLRKYGSAADNVIDVRFMDVNGNILNRKTMGEDFFWAIRGGGVSSFGIVLAWKLRLVPVPEKVTVFILNKTLEQGATELFYKYQTVIPAIDRNLHMRTQVFGEYIGNTTKKTVRIMFEGIYQGTINTLLPLLNKKFPELGVTREICEEVRSIQSTLVFWGLPSSAPIEILLNRSAIAKLNDKTKSDYVRTPIPINGLRKIWRKLMENDESGQLMINPFGGRMADFSETEIPYPHRAGVLLQILKTVNFQDQTSDTTPTSLKRIAWLQSLDELMTPYVSKNPREAYVNYNDLDLGVGNANYKEASVWGERYWKRDNFKKLIRIKAKVDPLNFFRRPQSVPVFSTPLSDI >cds-PLY99524.1 pep primary_assembly:Lsat_Salinas_v7:1:113774086:113776657:-1 gene:gene-LSAT_1X89060 transcript:rna-gnl|WGS:NBSK|LSAT_1X89060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRVSLESYLIPLDEIKRATENFSQERCIGHGGFGAVYKGELSARWQNRTAAVKRLGPDSYQGEREFRNELQMISRFHHENIIPFIGYCDEGVEMIIVYEFAKNGSLDYHLQNPGRMRCITWIQRLKICLGAARGLDYLHSGLGEHNRVIHRDVKSANILLDANLVAKVCDFGLSKLGPRNQPDTQLYTKVAGTQFYLDPTYHESRILRKESDVYSFGVVLFEILSGMLVYGERSFGDEQQFLMNNVRRYHQNEPDKLIDPYIRDQINCGSFDTFKEIAYQCISLNLTERPMLDTVIKKIEEALFIQMSEHSASNPADHFTIESLLHKLNSTRPYDQWIATGEISRLTKASPENRIAFAQAGAIPLLTDFLRAPDSRTQENAVTALLNLSIFEDNKGSIVTSGAVTGIVHVLKEGSMVARENSAAALFSLSVIHEKDFIIASAGAISPLVLLLSEGTERGKRMATNALFNLCINEGNKKRAVRAGVVPMLMELLMEPQGVLKDKAIAILAVLSIQIEGRLAIGIAAALPILVEIIEMGSPRNKQNAVVVLVELCLEDRNYLVEAQELGAIEKMMNLLEHGTDRGKVKAIELLEKIKKLEYLR >cds-PLY67394.1 pep primary_assembly:Lsat_Salinas_v7:4:212221931:212223267:-1 gene:gene-LSAT_4X119420 transcript:rna-gnl|WGS:NBSK|LSAT_4X119420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYKNFMSRKIEYPIHVRSEPWIFGVGRTEILIYSDVIKELMTNKQLDIDSIFCFQMMLHSMFQHDNKCAFINPQKITSLQCGTDEEFGTNSVVNELVDAMNFHQEKYIFLAPYLQGLHYILFVICSRQHACYILDSCQGMKTFEDYDIVTHIEKAIATLNKQSKSKSRAMTWTFAKCNQQTSNWECGYYISKWMHEMMLYRQRGFPKNLWNNKTPFSDAELDDFVEFWMTSFVENYLK >cds-PLY62821.1 pep primary_assembly:Lsat_Salinas_v7:4:28394536:28395957:1 gene:gene-LSAT_4X19160 transcript:rna-gnl|WGS:NBSK|LSAT_4X19160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYLFPSSNNDTVLSSSNKEANNENLDSNHDQEAALFGQFPLPFLDEISTSPIIQNHQLHHLSTDRSKTSTSSTANEPPPPPSPGKTKRVRKKRSAGKKDRHSKIHTAQGLRDRRMRLSLHIARKFFGLQDMLGFDKASKTIEWLFSKSKKAIEEVTEGFQSQNTTQSVSNENNERCQSPVSDCIVDSDIDYNNPFSNKGKQLRLQDDMDSWNSRKPTESDVLARESRDKARARARERTRERMMINNLEKSKQMFGSNCNDDFDQLQLGFSVNPNNHYIKESSNSPLEHSGTHHFYEQAQMDGITEKTEDYLRATAASSSSYFSGYGYNKSFANPPAGWLNSSNTFLGFFGGWDSENIRVESDNYGILPNTASSTGDIHEQSLSSLIYPHTNLLHFQPQNERD >cds-PLY96882.1 pep primary_assembly:Lsat_Salinas_v7:2:108502182:108503944:-1 gene:gene-LSAT_2X49461 transcript:rna-gnl|WGS:NBSK|LSAT_2X49461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGVRRIKLGSQGLEVSALGLGCMGMSAFYGDPKPEPDMIKLIHHAINAGVTFLDTSDIYGPQTNEILIGKALKGGMREKVELATKFGIKYDSAAMEVCGDPAYVKYACEASLKRLGVDCIDLYYQHRIDKRVPIEITMGAMKELVEEGKIKYVGLSEASASTIRRAHAVHPITAIQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSSGPKMLENLDASDFRKYMPRFQAENLEHNTKMFERVNEMATKKGCTPSQLSLAWVHHQGNDVVPIPGTTKIENLEQNIGALSVKLTPEDMAELEAIASADSVKGDRYGAGISTYQDSDTPPLSSWKA >cds-PLY73850.1 pep primary_assembly:Lsat_Salinas_v7:4:207086601:207088941:-1 gene:gene-LSAT_4X116580 transcript:rna-gnl|WGS:NBSK|LSAT_4X116580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYLNKIVDGCGARIAAKLETMEPCSSVKDRIALSMIKDAEEKGLITPGKTVLVEATSGNTGIGLAFIAATRGYKLIIAMPSTYSIERRIVMRAFGAELRIMDASKGIQDFFQKVEEIVLKTPNSYFLKQFENPANPKIHYETTGPEIWDGSKGKVDAFVSGVGTGGTVTGVGKFLKEKNVNIKVYGVEPAESAVLNGGKPGPHKIQGIGAGVIPDVLDVSILDEVIMISSEEAIETAKLLALKEGLLVGISSGAAAAAAIRIGKRPEYAGKLIVVIFPSFGERYLSTVLFDSVREEVENMPVE >cds-PLY64145.1 pep primary_assembly:Lsat_Salinas_v7:1:3118229:3120935:1 gene:gene-LSAT_1X2520 transcript:rna-gnl|WGS:NBSK|LSAT_1X2520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylaminoimidazole-succinocarboxamide synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21110) UniProtKB/Swiss-Prot;Acc:P38025] MACIPGLNPPKTLAIISSSSSYSINNNLPLSSSSKSHLKIAQLSKRLPKISIVMATKQQEDGLSLNALTSSDRRDEVMIAAKSSLSNCLSETNLHLTIPSLKSKTRGKVRDIYDGGDYLVLVTTDRQSAFDRVLASIPFKGQVLNDTSLWWFNQTQHIAPNAIIAVPDKNVTIAKKCSVFPVEFVVRGYVTGSTDTSLWTVYKNGVRNYCGNALPEGMVKNERLPANIITPTTKAADHDVPITPDEIVQQGLMSQADYDEASRKALSLFEYGQRVALEHGVILVDTKYEFGKGPDGSVLLIDEVHTPDSSRYWLAHSYEARFNNGLEPENVDKEFLRLWFKDHCNPYEDKVLPNAPEELVTELAWRYIFLYETITKSRFQIPETTTEPIHDRISRNVSHALSLLLPN >cds-PLY68330.1 pep primary_assembly:Lsat_Salinas_v7:4:157270822:157271292:-1 gene:gene-LSAT_3X106241 transcript:rna-gnl|WGS:NBSK|LSAT_3X106241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVNQNQNVLYSVSIANSLGAGNHAPILVPSKYSSFADRLEYYLEGHDSNICTFISTGKQTTKFMRYTKVPEADVSPETSKVIYGSVSMISQLRKRKLRNLRLRKCKSSYLEFIKTSPSNFLMKVRVHPSMSAMNLRNSSTELTRFLQIGRNPPC >cds-PLY97493.1 pep primary_assembly:Lsat_Salinas_v7:1:194316551:194318684:1 gene:gene-LSAT_1X127201 transcript:rna-gnl|WGS:NBSK|LSAT_1X127201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGGSKGESKTTGKRKASDLNQKSPLVFSESLAEFFAENTNIAGFDDPGKSLYSTVRELVENALDSAESIQELPLVESKILEYMRQMAVITPYAEFKFRFVAVTPDENGVVEKSYSRLTEEMPPVPVETKYHPSAVDSLHIIQRLIGQTKNQNLLDFLQHEFVNIPKAQAKRLIAKMAPDVTSETQVNSLTLQQIACMNQLFQHTKFDDPSGNV >cds-PLY91835.1 pep primary_assembly:Lsat_Salinas_v7:6:32747457:32753705:1 gene:gene-LSAT_6X26241 transcript:rna-gnl|WGS:NBSK|LSAT_6X26241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAligase [Source:Projected from Arabidopsis thaliana (AT1G07910) TAIR;Acc:AT1G07910] MSVFVSHSAITSTRTLCSSSRSFSFVRFLSIHFVHRPRFIIASNISDFMPRNQKRGGRPDQRWIQKPSSSKTDETFDAAEVKSAASTEAITNGVNRLAIAENSARSSVPVPQFGSITVDDVAVAPPHGQSNGQSSHVSVGCSPVINANSLHGKKYVYQPKSYGTVSGDAAKETTRQEAAAQTAALSRLFKKDLLENFNVDNSTYSSAKIRATFYPKFENEKSDQEVRIRMIEMVSKGLATVEVSLKHSGSLFMYAGHEGGAYAKNSFGNVYTAVGVFVLGRIFRKAWGTEASKKQAEFNEFLEREHMSISMELVTAVLGDHGQRPREDYVVVTAVTELGNGKPKFYSTPEIIAFCRKWRLPTNHIWLFSTRHSVTSFFASYDALCEEGTATSVCKALDEVADISVPGSIDHIKVQGEILEGLVARIVSHESTKQMELVLQDYPSPIEEVDQDLGPGLREICAANRSDEKQQIKALLENVGKSFCPNYVDWFGFEAADIPPRNADKSVVSKFLQTNPSDHTTFKLKEMVRLMKEKRFPAAFKCYYNFHKINSMSSDNLHYKMVIHVHSDSTFRRYQKEMRFKPDLWPLYRGFFVEINLFKASKEKSAEISKNTPDIGKNYKDGNETSTKNSLADEDANLMIKLKFLTYKLRTFLIRNGLSILFKEGPAAYKTYYLRQMKIWNTSPGKQRQLSKMLDEWAVYIRRKYGNKQLSSSIYLSEAEPFLEQYAKRSEENQALIGCAGDLVRTEGFLAIVDGGRDAEEGDLESEKVLEASSPKPDAVHKKEGLIIFFPGIPGCAKSALCKEILSGSESLEDDRPIHSLMGDLIKGKYWQRVADERRKKPYSILLADKNAPNEEVWRQIENMCRTTKASAVPIIPDSEGSESNPFSLDALAVFIYRVVNRVNHPGNLDKSSPNAGFVLLMFYHLYDGKSRREFESELIERFGSLVKMPLLKSERAPLPDSVKTILEEGINLYRLHTNRHGRLESTKGTYIREWVTWEKQLREVLFQNAEYLTSIQVPFEFAVNHVKEELKKITKGEYETPTSEKRKFGTIVFAAIDVPVADVHNLLSNIGEKEPKVKAFLEKKDMEEKLKKAHITLAHKRSHGVTAVANYGQFVNQKVPVDVNAVFFSDKLAALEVNPGSIDGQKINCKNEWPHLTLWTAEGIQPKEANNLPQLFLEGKATRVEINPPFSIIGVLQFH >cds-PLY92551.1 pep primary_assembly:Lsat_Salinas_v7:5:78818881:78822135:-1 gene:gene-LSAT_5X36241 transcript:rna-gnl|WGS:NBSK|LSAT_5X36241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAELLRSVISQQRIPNTNQAGALIQAINAIGEQLVSANPVELAVGNIVRRVLHIIREEDLSLTTASIGGLSLQALSDDEDNVDRDDRPVLSAAAVAAAARSTLRAPSLQTLLEDVPHSAAIHQSSSSAGDSEEKTKSTDKNSRSRKLKHNVIETVNDLIQDIATCHELIAEQAVEHIHHNEVILTLGSSGTVIEFLCAAKEKKRNFRVFVAEGAPRYQGHTLAKELVARGLQTTVITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQRHAVPFVVLAGIHKLCPLYPHNPEVLLNELKSPSELLDFGEFSDCIDYGTGTGSPLLHVVNPAFDYVPPNLVSLFITDTGGHNPSYMYRLIADYYSADDLVLQQRPISS >cds-PLY70451.1 pep primary_assembly:Lsat_Salinas_v7:1:75012583:75014355:-1 gene:gene-LSAT_1X62441 transcript:rna-gnl|WGS:NBSK|LSAT_1X62441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEIEGSPGTSMHGVTGREPVLAFSVASPMVPTDTTAKFDLPVDSEHKAKVFKLFSFANPHMRTFHLSWISFFTTFVSTFAAAPLVPIIRDNLDLTKADIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLIMMSAPTVFCMSFVADASGYIAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLLMPVLFEIIKKAGATPFTAWRIAFFVPGWLNAIMGIFVLTLGQDLPDGNLGALQKKGDVAKDKFGKVLWYAVTNYRTWIFVLLYGYSMGVELTIDNVIAEYFYDRFDLQLHLAGIIAACFGMANLLARPFGGFTSDYMAKRFGMRGRLWNLWLLQTAGGVFCVFLGLVNSLPLAITFMMLFSIGAQAACGATFGIVPFISRRSMGIISGMTGAGGNFGSGLTQLIFFASASISTAKGLSYMGIMIIVCTLPVSFVHFPQWGSMFFPASQDIVKGSEENYYVAEWTEEEKQKGMHQASLKFAENSRSERGGKVASAPTPPNATPNYV >cds-PLY71670.1 pep primary_assembly:Lsat_Salinas_v7:3:45521545:45523710:-1 gene:gene-LSAT_3X35380 transcript:rna-gnl|WGS:NBSK|LSAT_3X35380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSLITRNLSKLWTGVAKTPIMANQRSSYSLIPMVIEHSSRGERAYDIFSRLLKERIICINGPIADDTAHVVVAQLLFLESENPSKPINMYLNSPGGAVTAGLAIYDTMQYIRSPINTICLGQAASMGSLLLAAGAKGERRSLPNATIMIHQPSGGYSGQAKDMTIHTKQIVRVWDSLNALYAKHTGQSVDVIEKNMDRDYFMTPQEAKEFGIIDEVIDERPLTLVTDAIASEGKGKVSE >cds-PLY69806.1 pep primary_assembly:Lsat_Salinas_v7:4:244958577:244959207:1 gene:gene-LSAT_4X130641 transcript:rna-gnl|WGS:NBSK|LSAT_4X130641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDSGLNGIPSVDNIANNEDDSFDIFAEDGDKSTVDPSDGIGSTENDYVFDESSGCSCNQENGAYEELPSGEGNGNGIAT >cds-PLY96450.1 pep primary_assembly:Lsat_Salinas_v7:9:129538472:129538885:1 gene:gene-LSAT_9X83940 transcript:rna-gnl|WGS:NBSK|LSAT_9X83940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYAVNSPHHSWSFEDRLHIDANGSNGSQPEYIQITLLQMQLEVPLIRDEVNGDLLELRDSLRREIDELNREVDKVRVGQLDMSHMIKDLRNHFFSMQPVYVKATIELTATEKKMRVFTSVFGVLAVVGVVLIANQYL >cds-PLY91648.1 pep primary_assembly:Lsat_Salinas_v7:8:11789783:11791466:-1 gene:gene-LSAT_8X7881 transcript:rna-gnl|WGS:NBSK|LSAT_8X7881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEARWFLKSIARLLDNCKNIRDLKQIHCQIITSPYLSKSDHLFLISRLIFFCAVSSSGSLSYATRVFRVTDNPNLFIYNAMIRSYSCEFSNKDEKPRSLILYKQMLQNCIVPDCISIPFLLKECMGRLDFVAGQTIHAHSVKFGLDDDVYVRNSVIGFYSSCGVLTCARKVFDEMSMRDSVSWNSIITGCLRNGELDMAVDLFARMNKKNIITWNSVITGMVHGGRPKDAIDFFNKMLVLPDKDIVYPDKITLASVISACASLGWLDHGKCVHSYMLRNGIECDMITKTAMVDMYGKCGNVDMAIRVFKNIPKKDVLAWTSMISVYALHGYGNEAFNLFDNMVACGTRPNPVTFGALLTACAHLGLIDKGRWYFNIMKTVYLIEPTVQHYACMVDILGRGGLFEEAERLITIMPMDPDVFVWGALLGACQMHGNIELGEKVAKHVISLEPLNHAFYVTLCDMHAKSGKFDELENIRAIMDVRGLKKDIPGNSMIEVDGIVYEFSIKGSSEVMMEEIKSFLCQLSKEMKVDQIHFTI >cds-PLY74337.1 pep primary_assembly:Lsat_Salinas_v7:6:403881:408144:-1 gene:gene-LSAT_6X1580 transcript:rna-gnl|WGS:NBSK|LSAT_6X1580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATGQIFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASFRSAAASSKLALKQPTIRVVAIIAEGVPESDTKELISYARSNNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGCVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQIKMIVVLGELGGRDEYSLVEALKSGKINKPVCAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNEALKDAGAVVPTSFESFEASIKETYEKLAGEGKITPVKEITPPQIPEDLNSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSTIVEKGMGIGDVISLLWFKRSLPRYCTHFIEICVMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAVDDAARYFKDAYDRKLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQLFARTHFPSTKYMEYAVEVETYTLSKANNLVMNVDGAIGTLFLDLLAGSGMFTKQEIDEIVNIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >cds-PLY77445.1 pep primary_assembly:Lsat_Salinas_v7:4:310241290:310242144:-1 gene:gene-LSAT_4X156941 transcript:rna-gnl|WGS:NBSK|LSAT_4X156941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKSIPVDGDTIDVEKIFKKFDVNGDGKISSSELGSILSALGTVAPEEEIKVVMKEIDKDGDGFIDLNEFIEFQRGGSGVVDREAADKELREAFDLYDQNKNGKISANELHSVLKSLGEKCSLKDCRKMIASVDVDGDGSVNFEEFKKMMSK >cds-PLY67647.1 pep primary_assembly:Lsat_Salinas_v7:2:207449452:207450216:1 gene:gene-LSAT_2X128220 transcript:rna-gnl|WGS:NBSK|LSAT_2X128220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERNPTQNNRFGILGAALLCAINGATVDNTLFGHGDEANTFHAFNPTQAKETYSVLVCNGMRFQSTNDVGHGRSSKLKAK >cds-PLY62806.1 pep primary_assembly:Lsat_Salinas_v7:4:31620021:31620440:1 gene:gene-LSAT_4X20660 transcript:rna-gnl|WGS:NBSK|LSAT_4X20660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHSIAAPIVDLIHTSFSQLLISTPTTKVRPPKPISNNTTFFNAGILPRKCRRPSLNLHHTPDSKPIAPEVLSNKGYDGGASDTSSCGVI >cds-PLY67838.1 pep primary_assembly:Lsat_Salinas_v7:9:144245931:144247352:1 gene:gene-LSAT_9X92480 transcript:rna-gnl|WGS:NBSK|LSAT_9X92480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGVTNEEDKKPVGDQGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCERQSVDISSIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGGFLWV >cds-PLY72254.1 pep primary_assembly:Lsat_Salinas_v7:7:87339066:87339891:1 gene:gene-LSAT_7X61081 transcript:rna-gnl|WGS:NBSK|LSAT_7X61081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDISLMGFDSPLLHNLHHILAATDDTTTNNKSSNIGPTRAYVRDARAMAATPADVKEYPNSYVFVVDMPGLKSGDIKVQVEEDNVLVISGERKREHDQEEKEGVKYVRMERRIGKFMRKFALPENANLEKISAICQDGVLTVTVEKLPPPEPKKPKTIQVQVA >cds-PLY79239.1 pep primary_assembly:Lsat_Salinas_v7:9:182863167:182863770:1 gene:gene-LSAT_9X111881 transcript:rna-gnl|WGS:NBSK|LSAT_9X111881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLCFCGKFAVVKCSWTPKNPGRRFYACPQKDSACRFIGWVDPPMCERSKVIIPGLLRNINRMQAWCTALKIMLLASWVKERLM >cds-PLY93936.1 pep primary_assembly:Lsat_Salinas_v7:6:6815234:6816125:-1 gene:gene-LSAT_6X4280 transcript:rna-gnl|WGS:NBSK|LSAT_6X4280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPSTCFFVFFSLFLGAYARLHPVMHPIENETRTVSPDVIAKACEPSMEKDFCISVLKTQSIGDIKSLKQATFVALQTASREAVATAELIKITRQKEEEKDVVEDTIEEETLADCSQSYSSIVDMLADATSALLTGPNQLDVGVQILAAMTTAETCGKSISAGKKTRQVEEVAKKNENVRKLCSNALSIYNVYAKGN >cds-PLY84746.1 pep primary_assembly:Lsat_Salinas_v7:5:230688957:230689499:-1 gene:gene-LSAT_5X111061 transcript:rna-gnl|WGS:NBSK|LSAT_5X111061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTNRQVTFSKRRNGLLKKAKELAILCDAEIGVIIFSSTGKLHEFASSRFSQL >cds-PLY98358.1 pep primary_assembly:Lsat_Salinas_v7:5:315016087:315017673:1 gene:gene-LSAT_5X171500 transcript:rna-gnl|WGS:NBSK|LSAT_5X171500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVFNRYGKLRDVYMGLNRGKNGHFFAFIRFTDVKDVDVMERVLNGAKIRGRNLAVNLARYERKKKEVYETKQMTNRWNQPPQKTPPMYIVIIAHMHKSLTLSLMKNIGSRSSEIRVLISMRSHQSQSQRKMTLMKRKKESQIQTWKMGGXXXEREECEIWIENNSEKRTKVEETVILEDNGTTRAKDTMPVEVTSPVEPATSGTTPIDGGGILEAQKSHENCANVDTFPRKEAEVLHGNSEKITEKGESIKNLGSLNGLPLGCFGPFPSPGLVGVSNLQGSSASLSHRKRKRNIHMETEPHHKLVESMVPLDNEKEDDSSTTSMEEAKTVATGKELGFQIEIRDPVL >cds-PLY79226.1 pep primary_assembly:Lsat_Salinas_v7:5:299964152:299966011:-1 gene:gene-LSAT_5X160261 transcript:rna-gnl|WGS:NBSK|LSAT_5X160261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLCQSSTLPNSSSTVVSMEYVKYEPEYNPEAITNYYSSGVQIGRSKNPDIKAKIMKAKNHLSALGWTFFYLWKKPNRRELRYCSPKGKTYISLRAACGSLIDHQDHHDLDVSSSIIEEGTKQDTKLVNSDESVISNSRPKKRIRIEDVESSYFRQQSEESVGNSNDSGIVDDDEKKEGILRNRPGKALLEIKKLKESEKKRKEFKPKKRIRKAKPSSVSRRCLLSSLIQRKIVLNGSRVAYLNRLDDHIMASGRIYEKGIQCDCCNMFFLLSKFESHAGSTYRRPSARIFLDDGRSLLDCQTQLNLENEVDKVTKSTESSNHELLSGQDEFCSFCNDGGDLLLCDSCTSSYHSSCIGLNGVPDSEYWFCPPCCCGICLQGHDQDQITCEQCERHFHIDCLKKEGLSMSSDGNKTFCSKKCEGISSGLMGISGISIPLTTNNLSWSLLKMVSDDNNMKEEYTETYSKLNLALEVMNECFEPVQHPWSNNVVEDVIFNRCSKKSNFKGFFTAVLERDEEVISVVIVRVHGSKVAEIPFVATRFKYRRLGMCRILMDELEWKLGELGVEKLVLPAVPDMVSTWTQAFGFKMMTDSERLSLVEFKILDFPGTVKCQKILKRM >cds-PLY63735.1 pep primary_assembly:Lsat_Salinas_v7:9:85103058:85103590:1 gene:gene-LSAT_9X66580 transcript:rna-gnl|WGS:NBSK|LSAT_9X66580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDTGVGMMMVVAAAEGEVHDGDYSSSNACCGCVALERPIVDQTRTNAPPEDYDSPETRRCDQQYLYKSRLPPAEDSCNGDLIVQTHPCKCCVREREWGETSWRHLQLEQGMRSGGWGSTGCNTLYHLWSNEHPLWDCGERDAQSP >cds-PLY92557.1 pep primary_assembly:Lsat_Salinas_v7:7:160170699:160170971:-1 gene:gene-LSAT_7X94840 transcript:rna-gnl|WGS:NBSK|LSAT_7X94840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVVATLMVEGFLVGKMKMMLGTTRSRQICQQYRLPYVGVVGDILVPDVRVTRDGDMAYEQSETETLRINGFFFLISPKTLPNMMYVAGV >cds-PLY89901.1 pep primary_assembly:Lsat_Salinas_v7:8:68078821:68081816:-1 gene:gene-LSAT_8X49860 transcript:rna-gnl|WGS:NBSK|LSAT_8X49860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGNRRKDKGHSNNSFSGSARHRVGRASPNSTEEPRMADPLADLAGILRRRARETGQMPQVPHTDYRPQLPPQTDYRPQSPQMFLRTGGNDGFEDYCFEGADAEATFRSPHTPHTPMAPNTPLTPHGSASRGISGGHDSNASDFHASSLPLIRRHGEKFGDQKIHSACIHLFWENLDHPWAQFSDIPNEALLQMFSRFGTMYRWYSQENENIFDAFKCVLKDRYRDRMKGIRKQSADMARNDGKSLPPKFCSYYDGMHNYRPERVPETVWQRLCDVTDMXPQVPHTDYRPQLPPQTDYRPQSPQMFLRTGGNDGFEDYCFEGADAEATFRSPHTPHTPMAPNTPLTPHGSASRGISGGHDSNASDFHASSLPLIRRHGEKFGDQKIHSACIHLFWENLDHPWAQFSDIPNEALLQMFSRFGTMYRWYSQENENIFDAFKCVLKDRYRDRMKGIRKQSADMARNDGKSLPPKFCSYYDGMHNYRPERVPETVWQRLCDEKLMGKPPTQYDVFVKTHGTAESKKIYFEGNHENLEYCLQTAKEAQDTYLQGLVNKFGEDLVDRKDDVDVWEESQLRRKGKKKGAIYGIGASDIHFLVLGTPSSQSTQSTQSDSTQQEVDRLRAQVSVMEQQQQQMKEQMEMVMRMINMSGNQPHGPPDNPPEDN >cds-PLY83911.1 pep primary_assembly:Lsat_Salinas_v7:5:9929439:9929660:1 gene:gene-LSAT_5X5800 transcript:rna-gnl|WGS:NBSK|LSAT_5X5800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIINKPIAVSIAYGLNNGLNKKDSNVGKKNVIIFDMGGGTFDVSLLTIKEGIFKVKATSRDTYLGGWLDPDP >cds-PLY89043.1 pep primary_assembly:Lsat_Salinas_v7:9:27870138:27880544:1 gene:gene-LSAT_9X24361 transcript:rna-gnl|WGS:NBSK|LSAT_9X24361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKPNSLWFVTNCGKEILFLGELEEVLDATQPVEELEDALIALIFMVHWFQVSPNGMYQYFIKVVPTIYTNIRGYTIQSNQVVYERVQNEMRGKIDAKAAEMEAKHQQMCEEMDAKAATIDAKQQQIDAKYEAMEKMRKIFRSEYEKTHHIRDTRGGGDINTGGGGGGKVVVTGGGGGAIADDNDDIHQIGG >cds-PLY72129.1 pep primary_assembly:Lsat_Salinas_v7:7:54384887:54386754:1 gene:gene-LSAT_7X39460 transcript:rna-gnl|WGS:NBSK|LSAT_7X39460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDDHLMCDIDGSGQHLSSAAITGLDGTVWAQSAKFPQFKPEEMKAICNEFDNAGTLAPTGLFLGGAKYMVLQGEAGAVIRGKKGAGGICIKKTGQAMVFGLYDEPVNPGQCNMVVERLGDYLVDQGM >cds-PLY89042.1 pep primary_assembly:Lsat_Salinas_v7:9:28553533:28553745:-1 gene:gene-LSAT_9X25101 transcript:rna-gnl|WGS:NBSK|LSAT_9X25101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNHSATSSRSPPHSITVVVRVLDEFEETASTPVGRLMQVVDATTVEMHAGLASEMLLNFIDNLPDGFV >cds-PLY78259.1 pep primary_assembly:Lsat_Salinas_v7:1:130783698:130791282:-1 gene:gene-LSAT_1X97861 transcript:rna-gnl|WGS:NBSK|LSAT_1X97861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTDYPNTNRRSFILSSFDIQRDTRIESCSFRPLHLLRRLGLSSGDEATAPTKALLDCSFQPLHLPRRLGLSSGDEATTARLQIGYRDGLLAGKEASAQVGFNIGFKESVIDGFNWGVIRGVTGALDCLSDELKEKLIESKETRSKFHELYESVNNLSTTDAQKMFQDDGVLYSYSEKLESLIVESPTIVVQLRDKINDASSSGQKPLNQ >cds-PLY78912.1 pep primary_assembly:Lsat_Salinas_v7:8:2047966:2051153:-1 gene:gene-LSAT_8X801 transcript:rna-gnl|WGS:NBSK|LSAT_8X801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTLPSFTSVLPTIIVSFPSIVFISLFIFYDDSMCWQIVMEYCGGGSVANLMNVTDEALEEYQIAYICTETLNGLSYLHSIFKVYRDIKEGNILLTEQGGMKLGEGTGLLRLNNTYRHDLKMYSSDEGRVQMSAAAFAKGLLDLEGQLTPTLVSLVSKDSSMLDGLDNASIEMEEAKARLNELITTGVKAAQTNGLSNKKPWMVDGARLPLNVADLLAEKVEQELAWKAERSDVLVKPNPYKLLYAFEMHMIKRT >cds-PLY85765.1 pep primary_assembly:Lsat_Salinas_v7:1:48146985:48150061:-1 gene:gene-LSAT_1X45160 transcript:rna-gnl|WGS:NBSK|LSAT_1X45160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVFVSHSAINSTRTLCSSLRSFSFVCFLSIEFIHRPGFIIASNISDFMPRNQLGGITVNDVAVAAPHGQSNGQSSHVSVGCSPVINANSLHGKKCVYQPKSYGTVSGDAAKETTRQETTAQTAALSRLFNKDLLENFNVDNSTYSTAKIRATFYPKFENEKSDQEVSLKHFGSLFMYAGHEGGAYAKNSFGNVHDHRSEPQSRNLQDRLSAQSAGTVSSSREANLEYDNYATPTATATSTYLNNDPYGYGNIGYEGYSSSSYQQQQPNQSYPQQVSDSYKQALMNGRKLIEREMEWFKICEKETKTKAFSKEGLGQQPKTVHGGRQSILVGLKAECPDKN >cds-PLY84217.1 pep primary_assembly:Lsat_Salinas_v7:7:77366206:77367646:-1 gene:gene-LSAT_7X53861 transcript:rna-gnl|WGS:NBSK|LSAT_7X53861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NifU-like protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25910) UniProtKB/Swiss-Prot;Acc:Q84RQ7] MGAIATQTRCLKSTPSSSTSICCYSSDDKPSPSHVFLPRKVPISAHFAKPFPFLLEMGYEILFHFCFCFCNQGSYLSKQKSFLSGEFHINQFLRIDSTRRRLRKRSGYVCVLPLTEENVEKVLDEVRPGLMADGGNVVLHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEIMDVEQLIDTETGLDLNEENVEKILAEIRPYLVGTGGGILEFVEIKDYNVKVRLSGPAAGVMTVRVALSQKLREKIPVITSVQLID >cds-PLY87825.1 pep primary_assembly:Lsat_Salinas_v7:3:85661470:85662830:-1 gene:gene-LSAT_3X65820 transcript:rna-gnl|WGS:NBSK|LSAT_3X65820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINRLREKFELPHEDKVLMEYVDEQMRRQWKRTRNIFKDYWKKNGGITDPQFARSKMKPDSRSEEDWGYLCDYWESDKAKQYAEQMKHNRGKFVIPSTGGSRSIANHKFAMTNKETQMPPSPIELYHKLHFDPIKKWINDESRIQYENILQLKEEEYAKLVSAGTSITQEMEYDIEKKVIKTTSAKHKTLQSGWEASSGPVMRKKDIHLLSTAETSQSSSKDEEDRKSKIVALEEEVRINEQKVKQSEEKCEKCSSLLSRSSQILKTYYVHPMKMKLVRTMT >cds-PLY69584.1 pep primary_assembly:Lsat_Salinas_v7:1:13062762:13065250:-1 gene:gene-LSAT_1X10820 transcript:rna-gnl|WGS:NBSK|LSAT_1X10820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLCLVQVDQSTVAIKESFGKFDDVLEPGCHCVPWIFGKQLAGHLSLRVQQLDVKCETKTKDNVFVNVVASIQYRALADKASDAFYKLSNTKSQIQAYVFDVIRAFVPKHNLDDTFEQKNEIAKAVEQELEKAMSAYGYEIVQTLIVDIEPDEHVKKAMNEINAAARFRMAATEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKDIGASSKSSAVFIPHGPGAVREVANQIRDGLLQGSATT >cds-PLY63110.1 pep primary_assembly:Lsat_Salinas_v7:8:75650919:75664496:-1 gene:gene-LSAT_8X53420 transcript:rna-gnl|WGS:NBSK|LSAT_8X53420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSKITFIKDIDPVNSDFTIKVKVLKLWTLNSKFNENEKYSIEMILLDEQGSLIQANVFQNLFYKFEKSLREGSVYEFTTLSVAKHNPHPKSTIFSDLPNKITFIRETELKESLNFPKNVFGLSFVDFQKINSKVIPTQRSVDVIGVVVSRTTIIPSQKKDKQRIHLELKNLDGVLLKVTLWGHFANKVSDYLDTHNTDDCVVIIVQFAKINDYRGNIGVASYYDVTTVFINTDIDEIKQFREKLAKDNESSQLSGTISLIRTKHVSLNDDFLKNNEVKTIYKSKEPVQVEEFIIVGTIIGIRQDKPWCYQSCPDCHVKAVEIPHCNEDVKLYKCTNVECNKSTKVPIPRYMIPVCVQDDSASTILTMFDREAYGLLGISARDLAAEGASSSTASLLEEPINAVAKESGVCESQGQEVRSADPTKKQIDACGDVVLTNILDEKSPVMQVDGEPLVSPMSLGDSVIDSEMTETNGGSTVLNRSKKRQSKPNVGVAWGKLLSQSSKIPHIVMDRSLFTVGQGRQCDLCIGDPSISKSLCSLRHIESERGGSSITLLEITGDKGAVKVNGKIYPKKSTVPLKAGDEVIFSSSGRHAYIFQKQLNDAEPASVTPSLSILEAHNSSLKGMQLEERSRDPSAVAGASVLASLSSIQKELSLVPPLGKGLQPGMPPVPSTDGRGSEFSEKAVVDDPVDAEVGKVPEAGHELRPLLRMLAGSSGREIEISNLVDAQREIRQLFKDIDVPISLSSRRRAFKDRLKKRIIDPNTIEVSFDNFPYYLSETTKSVLIASTYIHLKCNQFVKYTSNLPTVCPRILLSGPAGSEIYQETLTKALAKHFGARLLFVDSLTLPGGLSAGKETETPLKESTRAERASFFTRRVARAGVIHSKKPTSSVEGDIAGGSTTGSLALPKQEASTATSKSYTFKEGDRVKYVGPLSSGFSPLQAPVRGPAYGYKGKVLLAFVENGHSKIGVRFDKKIPEGNDLGGICEEDHGFFCAAEFLRLDSSSSDDIEKLAISELLEVAIKESRINPLVIFVKDIEKSMLGNPDAYAAFKSKLEKLPGNVVVIASHVQMDNLKEKTHSGGLVFTKFGNNQTTLLDLAFPDSFGRLNDKSKESPKALKQVTRLFPNKVTIQTPQDETLLSDWKQQLDRDTETLKSKSNIISIQTVLNRVGLGCSDLEATCIKDQALTNENAEKVVGWALSHHFMTSSKSFAKNTDVVISSESIKYGLDILQGTLNESKSSKKTLKDVATENEFEKRLLSEVIPPNDIGVSFDDIGALETVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAIATEAGANFINISMSSISSKWFGEGEKYVKAVFSLASKIAPSIVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDRERVLVLGATNRPFDLDEAVIRRLPRRLMVNLPDATNREKILRVILAKEELGPDVDLQAVANMTDGYSGSDLKCLVTDRVVLEFQNLCVAAAHYPIREILEKEKKEKAAALAENRVLPPLHGSADVRPIILDDFKSAHQQVCASVSSESANMNELLQWNELYGEGGSRKKKLLSYFM >cds-PLY82281.1 pep primary_assembly:Lsat_Salinas_v7:1:66611184:66611986:-1 gene:gene-LSAT_1X58480 transcript:rna-gnl|WGS:NBSK|LSAT_1X58480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNTAAPVADSGCFLGSQNVGGFGYGIGISFGILLLIITITLASYYCTRSTQPSSPPPQFSSRRRRSGNGAISGQPDSDHYVVNVGLDEATLLSYPIVAYSDVKIKRKDSGSSCCSICLADYKGKDLLRQLPDCGHLFHVKCVDPWLRLNPTCPNCRTTSPIPTPLSTPLAEVVPLTGRRS >cds-PLY79418.1 pep primary_assembly:Lsat_Salinas_v7:3:80298598:80298939:-1 gene:gene-LSAT_3X58761 transcript:rna-gnl|WGS:NBSK|LSAT_3X58761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLFQRVPQEGIGRSNDRWLEVVWWFIERRTMLEWWRFCGSGWHPYCWLFLLLVVHNTKGKGKAVTGFQGCLSTCLIEKESKEESLVVYGDGAWPTKTVMATGGMWAVGSGRV >cds-PLY78555.1 pep primary_assembly:Lsat_Salinas_v7:1:107894461:107895689:1 gene:gene-LSAT_1X84440 transcript:rna-gnl|WGS:NBSK|LSAT_1X84440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSYKKFAHLQIPLEEIVSATSNFSESNIIQTTGFGNAYKGQLLRSEQLIDISAHRLDRKFGQGNTEFWKEISILSSLKHENLVSLVGFCDEVDEKIVITKLEANGSLDKFLRDPTLTWIRRLEICVGVAHALSYIYYDKGRDFSVVHRDIKSSNILLDDHWKAKLCGFNLSINQKAARRHRFCLDNVCGTMGYCDPTYIQSGSVSHKSDVYSLGVVLFEVLCGKEAVIIEENNRLLAPLVKCHYEQGKVDDLIDPDLWKQMDPQSFKIFSETAYYCLKEQRSQRPSIDQIVIKLEKALESQWKHENPVYTIGQGTTSKSLKVIDFF >cds-PLY93211.1 pep primary_assembly:Lsat_Salinas_v7:6:158485012:158487831:-1 gene:gene-LSAT_6X96840 transcript:rna-gnl|WGS:NBSK|LSAT_6X96840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVNIKSRVFGPPSSTPCTRSHQIGALALIAVTFFATRLLDQSFPSSISSSSFFDGNGYESNIIRFSEDEGSVRWPRRGYGSYISLKIYIYDENEIDGLKQLLYGRDGTISADSCLKGQWGTQVKIHKLLLKSRFRTHKKAEADLFFIPSYVKCVRMMGGLNDKEINQTYVKVLSQMPYFRLSGGRNHIFVFPSGAGAHLFKSWATYINRSIILTPEGDRTDKRDTSAFNTWKDIIIPGNVDDGMTTHGVRLVEPLPLSKRKYLANYLGRAQGKLGRLQLIDLAKQFPHKLESPELKFSGPEKLGKADYFLHLRNAKFCLAPRGESSWTLRFYESFFVECVPVLLSDHAELPFQNVVDYSQVSIKWPSSRIGVQLLEYLESIPDKRIEEMIARGRKLRCLLVYGPDSEACSAFSGILWELQRKTRQFHQSSETFWLHNGSIVNRDLVEFYKWKPPMPLP >cds-PLY79954.1 pep primary_assembly:Lsat_Salinas_v7:4:249918319:249918660:-1 gene:gene-LSAT_4X132560 transcript:rna-gnl|WGS:NBSK|LSAT_4X132560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLVHEEIVKTLLSKSKRLLEENEKLVKEHATKMEVALNEMKELQTLILNDNTVHRSINTLVNHYDSKAKMADAIGRKEMMNGKLTQELSFVQSELTKKDDEILLVKACNMNS >cds-PLY62722.1 pep primary_assembly:Lsat_Salinas_v7:6:55816546:55817760:1 gene:gene-LSAT_6X41421 transcript:rna-gnl|WGS:NBSK|LSAT_6X41421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKQKIHKHQSHLINTLNPKDILLIISSLQCYWYHNFIIVSFRFVLKLFFSLRFVWSLSWFHMSLESSPFLLRTSSISATNVEIVFLNQKKRSSVKGMTSGGFSHETNMFSVGAEVLPSRNPSREWYAGGIFVLS >cds-PLY80526.1 pep primary_assembly:Lsat_Salinas_v7:5:181232789:181233822:1 gene:gene-LSAT_5X80201 transcript:rna-gnl|WGS:NBSK|LSAT_5X80201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKAIPKKGSRGRIGSRKSTRKIPKGVIHIQASFNNTTVTVTNVRGRVVSWSSADTFGFRGTKRGTPFAAQTAAGHDIHAVVDQGIITVFLGATLALAQKDIKRGLAYSTTYQLGYMMLALGIGSYRSALFRLITHAYSKALLFSLIVQ >cds-PLY66341.1 pep primary_assembly:Lsat_Salinas_v7:5:288394284:288394925:1 gene:gene-LSAT_5X153681 transcript:rna-gnl|WGS:NBSK|LSAT_5X153681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIEKKEEQPLRSSPLPHTDESRKRKRRRCIICCASITGVMLTILLVLLILGLTVFKAKKPIMTVNSVALQDLNVSFHTFPIRVSLNLSLDLGISIENPNKVGVKYQPSSASLLYRGNEVGQVPIPAGEIGSDDTRELNLTVTVFADRLLSDSDMYRDLLSGNLPFTTYTRIKVKVRVLFIHIHVTSISTCDVNIDIAGRRIANQTCNYQNSL >cds-PLY79065.1 pep primary_assembly:Lsat_Salinas_v7:3:7418780:7420511:-1 gene:gene-LSAT_3X4460 transcript:rna-gnl|WGS:NBSK|LSAT_3X4460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLILPTKQNPPSSSFLHQNHQNNPFFTNKRRKLKRNQALVPVARLFGPSIFEASKLKVLFLGVDEKKHPGKLPRTYTLTHSDITSKLTLAISQTINNSQLQGWYNQLYRDEVVAEWRKVKGNMSLHVHCHISGGHFLLDLCARLRFFIFTKELPLVLKAFAHGDGNLLNSYPELQEASVWVYFHSNIQEFNRVECWGPLREAVGPLSTTTSSSSSSSLSESTIAEAGEGSNNWEIPKPCLEACACCFPPMSSIPWSHDLVKNQDDDDGATHQGLQQKA >cds-PLY97248.1 pep primary_assembly:Lsat_Salinas_v7:1:42902351:42904965:1 gene:gene-LSAT_1X37481 transcript:rna-gnl|WGS:NBSK|LSAT_1X37481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACTKQLNGGSLHEQEEGDSVSTPRTKQAVKTLTSQIKDIALKASGAYKNCKPCSGSSNNNPTRRGYADSEAGLSSGRFFCGYQRTGTGTGNSTPKVWGKEMEARLKGLSSGSSTPASVSGRTESVVFMEEDEVKEWVAQVEPGVLITFVSLPHGGNDLKRIRFSREMFNKWQAQRWWSENCEKIMELYNVQRFNQQGVPLPSPPRSEDESSRTESIENSPVTPPLSKEHPPRNLFHGSKTETSSVDVSAKTSSTRDVDQSEEISLSNVSDLETEWVEQDEPGVYITIRTLPSGTRELRRVRFSRERFGEVHARMWWEKNRARIQQQYL >cds-PLY95789.1 pep primary_assembly:Lsat_Salinas_v7:7:93962779:93963284:1 gene:gene-LSAT_7X63540 transcript:rna-gnl|WGS:NBSK|LSAT_7X63540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGHKSQRKSSFSFFSIFKRKKSQRGGADDTWDDSMKAYKVYPSDQDKAGRWADPRIDSKATVFINTATNHWNHVEASG >cds-PLY92931.1 pep primary_assembly:Lsat_Salinas_v7:3:112817905:112818488:1 gene:gene-LSAT_3X82660 transcript:rna-gnl|WGS:NBSK|LSAT_3X82660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSLSRPATLQILVGQQQDTLQEKLMGKPPTQYDVFVKTHGTAESKKKYFEGNHENVEYCSQTAKEALETYLQGLVNKFGEDPSNRKDDVDVWEESQLRRKGKRKGAIYGIGASDIHFLVLGTPSSQSS >cds-PLY68228.1 pep primary_assembly:Lsat_Salinas_v7:4:195637325:195638401:-1 gene:gene-LSAT_4X114281 transcript:rna-gnl|WGS:NBSK|LSAT_4X114281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTYLLPDRNTCYQPTSITGENQIPTDEEQAYKKLDEKLKGLLATLPKDKGWRSANIYLHEGFWLSSQVIKGLLMIHNYFHAQSTDMFLAAFMKCGTTWLKALMFATANRHRYSFSDHLRCNGPQSAFPFLDTHIFLDYPITKFEHLPSPRMFATHFAHSLLPISLSSPSSTCKFVYVCRDPKDALISKWHFMSKLRSKELPPLSFNETYELFCNGVSEYGPFWDHVLGYWKASQEAPEKILFLKYEDMKIEPSVELKKLAAFMGMPFSAEEEKGGVVGEIVKLCSFENLSNLEVNNDGGGAQKFTAQVVVENRDFFRKGKVGDWENYLTEEMRERIDSITETKFKNSGLTLGLTKEA >cds-PLY64927.1 pep primary_assembly:Lsat_Salinas_v7:8:132968366:132968879:1 gene:gene-LSAT_8X92100 transcript:rna-gnl|WGS:NBSK|LSAT_8X92100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGWSHPEISLEDLLKLIKGFVDILILASGYQSSGRFAHWDPLNIKKAFQWGLFFENGRVCGT >cds-PLY72124.1 pep primary_assembly:Lsat_Salinas_v7:7:56934770:56937061:1 gene:gene-LSAT_7X41160 transcript:rna-gnl|WGS:NBSK|LSAT_7X41160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NINJA [Source:Projected from Arabidopsis thaliana (AT4G28910) UniProtKB/TrEMBL;Acc:A0A178UVV4] MEDDNGLDLTLSLPCGGPSTGAKGKTTSVSEVRGEEADNSNKLIDDFKNFLDGSNHREESTMASQRTNQSKPDENLFYDLSKNTPNVDTSNSGTFWGKTDNRSSETVEERRQDANNNNNNKRKNMFDEINHQKRHEREAYLSNLHKARTQPHVSITTDEGSMAENEDVADSEADGSTSKRQSEGGSKEVRGASDSNVDLQSQRRFTISSEKDYNKVGHVSHGVSFSGQSANILNMPYQLSVKESNTIQNPVMSSTQQPVIPANLPLMFNYSSVPVPTVEAGKSEGLVSYFGRGPPNTNKQNDGLKITQGATSVNAAKQFERGKGDNKHGKEEGLSMHTEGDMKGINGIDQGRVAEGIPPEFPAIRPGISAELKFGGSGSSPNLPWVSTTAPGPNGKTISGVTYRYTGTQIRIVCACHGSHMSPDEFVQHATDQQPNGGGGGGGGGGGGGGGGLQSFPNPAASAQN >cds-PLY75912.1 pep primary_assembly:Lsat_Salinas_v7:9:198750887:198752305:-1 gene:gene-LSAT_9X122521 transcript:rna-gnl|WGS:NBSK|LSAT_9X122521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEERETPAANNAADLITFTDDFDSACSTPFVSAPSSPGRGAPSSYGGGFFYSAPASPIHFMLSSSSNMGSSNSGSSTPIEGAGGSFEFESHVVAPTGSMSSADELFLNGQIRPMKLSSHLQRPQVLAPLLDVDENEIGDGDGSDSEDKLGRGRDLKFRDRSKSLRRRARSMSPLRTNNAFQWLEEFEDGRESTEINEIKQKLEAEDKAKADEEVLDSETPPSGASSRSSSVGRSSKRWVFLKEFLYRSKSEGRNSTSSNGNHKFWRTSLSFSPSSNKDKKSADTSAMKNKATTPTTTTAGDGPPTAEAAKPTVRKAVNGVGINSKRRIARSAHELHYTTNRAQAEELRKKTYLPYKQGLLGCLGFSSKSYGAMNGFARALNPVSSR >cds-PLY94708.1 pep primary_assembly:Lsat_Salinas_v7:2:85986235:85988932:1 gene:gene-LSAT_2X38660 transcript:rna-gnl|WGS:NBSK|LSAT_2X38660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENDKSLNLGGNLLPPFGSQLVYSPPSGNNFSIKSEPLPPIGPSGHTTSGDSGRFSHDVNRMPDNPPKTTGHRRAHSEILTLPDDISFDSDLGVVGGFEGPSFSDETEEDLFSMFLDMDKFNSSSATSTFQASEAFTVPSSSERPRVRHQHSQSMDGSSTMKTEMLTSGPEETLPSDAKKAMSAAKLSELALVDPKRAKRILANRQSAARSKERKMRYIAELERKVQTLQTEATSLSAQLTLLQRDTSCLTAENSELRLRLQTMEQQVHLQDALNDALKEEIQHLKSITMMNFGSNQQFQFHPNNTMLTEQQFQQLQIHSQSHKHQHQFQHYQQQHDTEC >cds-PLY64473.1 pep primary_assembly:Lsat_Salinas_v7:3:15484286:15485603:1 gene:gene-LSAT_3X11060 transcript:rna-gnl|WGS:NBSK|LSAT_3X11060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPERDNFEVSGPLHLTTIDWTDVDHRRSVAASMVQGVYVMQRDLQENRQGSEALAPPWWNFFHFELHSHLIDDADSSIFGAIYKSKPNPSSTPSTPSHIIAFRGTVTKGDAFSRDLQLDLHFVQNVLHQSSRFQIAIQAVRNLVASGNQNFWLTGHSLGSAMAMLAGKNMVKNGIFLESHLFNPPFVSAPIENIKNKKVKHGLRIASSFVTAGLAVAVKIKNNNQQRNIPFADLAAWVPCLYVNPGDHICSEYIGYFRHRRKMEKMGVGVVERLASQHSIGGIFMDAIGKESHDPLHLLPSANLTVNLSRARDLKEAHGVHQWWRHDQQLESRTYRG >cds-PLY83251.1 pep primary_assembly:Lsat_Salinas_v7:4:146539495:146541802:-1 gene:gene-LSAT_4X89601 transcript:rna-gnl|WGS:NBSK|LSAT_4X89601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRESSDDEEDRRHLIHQNDTIPKSPRPSTFQIDEDDHHQFKSPRHSSQSNNVRNLIFNKRYIFAITLPLLIIFIYFTADFKSLFRTNPSSDSFQTGAVASNLMRESELRALYLLKQQQSGLIDLWNRTSMPNLNSTSVSTSSNSIQDLRSALIDQISLNKKIQQALLSSHQFGNWLDSNDHNVTDPTLSGLTTCRKVDQRLSQRRTIEWKPKQDKYLFAICTSGQMSNHLICLEKHMFFAAVLNRILIIPSSKVDYEFNRVLDIDHINKCLGKEVVITFEEFSDRKKNHMHIDKVLCYFSSPQPCYVDDEHVKKLKAIGVSMSELKTVWTEDVKKPTDRTKQEVIEKFSTNDDVLAIGDVFFADVEKEWVMQPGGPIAHICQTLIEPSRVILLTAQRFIQTFLGDKFIALHFRRHGFLKFCNAKNPSCFFPIPQAAECITRLVERANIPVIYLSTDAAESETGLLQSLVTLNGKTVPLITRPPRNSAEKWDALLYRKKLDGDPQVEAMLDKTISALSGVFIGAPGSTFTEDIIRLRRGWGTASVCDEYLCEGELPNFIAGDE >cds-PLY68886.1 pep primary_assembly:Lsat_Salinas_v7:2:193197152:193197705:1 gene:gene-LSAT_2X113820 transcript:rna-gnl|WGS:NBSK|LSAT_2X113820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYVHGFALIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYCWMAPEMIQHRPYTQKVDVYSFGIGLWELITGMLPFQNMTAVQAAFAVVNKGVRPTIPHDYLPVLTEIMTRCWDGNPDSRPAFTEVVRMLEHAETEIMTTVRKA >cds-PLY76137.1 pep primary_assembly:Lsat_Salinas_v7:9:30375362:30378362:1 gene:gene-LSAT_9X27941 transcript:rna-gnl|WGS:NBSK|LSAT_9X27941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDQISKGLEFEKKADKKLSGWGLFGSKYEDAGDLFEKAANCYKLAKSWDQAGAVYVKLAQCYLKANSESKHEAANAYADAGHAYKKTNIKECVACLEQALNLFMEIGRLSMSARYAKEIAESYEQEQNLEQAIAYYDKAADLFQGEEVTTSANQCKQKIAQFSAQLEQYQKAIEIYEGIARQSLNNNLLKYGVRGHLLNAGICQLCKGDVIAITNALDRYQELDPTFSSTREYRLLADLAAALDEEDVEKFTDAVKEFDSMTKLDEWKTTLLLRVKLLIKAKEDEEDDLT >cds-PLY69211.1 pep primary_assembly:Lsat_Salinas_v7:1:80318908:80320275:1 gene:gene-LSAT_1X66460 transcript:rna-gnl|WGS:NBSK|LSAT_1X66460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVLLRLVFLTIFLLRFIAAQQNGGSVSVGASLTATPNVKPWLSSSGEFAFGFQQVQGTDNFLLSIWYEKIPDKTIVWYPEEGQMVPTGSKVELLRESGLVLTDPLGTQVWRSGSISGVASGFMNDTGNFVMFGSNSRKLWGSFDYPADTLLPTMFMESGEGINSTISKTNFSGGQFQLRFQEDGNLVLNTRNILSGNAYDAYYTSDTHDATNSTNSGEQVIFDATGYMYILRRNGQRFDLTPRGSLPSGDYYQRATLDSDGVFRQYYFPKNPTSNTTWKVIWFVPDNICVDLSDRSSTGACGFNNVCSFDGNRPNCECPQGFSLVDPNNPSGDCKADFTPTCDEVDSNNGRGMFDFIELQNIDWPFSDYMHMNPSNENTCKSSCLEDCFCAVAIYRDTQCWKKKLPLSNGRKVASANVRAFVKHRIGDGPVQNPPLQSPPLQYLFRTRISIIN >cds-PLY62036.1 pep primary_assembly:Lsat_Salinas_v7:5:130670993:130672947:1 gene:gene-LSAT_5X55861 transcript:rna-gnl|WGS:NBSK|LSAT_5X55861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHLIFSSIIFIIFLILLHGLDLYRRRRLPPGPVRLPIIGNLLHIGSKPHESLAKLAHKHGPLMTIRLGSITSVVASTPDAARQILQLNDDACSGRLVPDVNNALKHPEATILWMPPDKTWRAMRKALNLYLTNRQKLDSLSYLRQNVVAGMVDFIRESAEKKATVDIGQLAFAVALNQMSNTILSQNVTNYVSENIGGFKSAVETYMEMLGKFNIADIFPVLKPLDPQHIRRQAKSAFNWLDEVIEGFVSERLKNRELKVSSSGDMLDSLLDYSQENEAIFNLQHINSLLVDIFIAGTDTTSNTITWAMTELLLNPDMLSRLRKEVRQIVGEDGKIEEAKIMELPYLDAVIKETMRLHLAAPLLAPHKTESEVQLGNYIIPTNTQILVNAWAIARDPRYWENPLVFMPERFLANQVDYKGKHFEFIPFGSGRRRCPGMPLAHRLVRLLLASFVYHFDWELPHAKEEMDMNTIFGLTLLKAIPLVAIPIPLTR >cds-PLY62087.1 pep primary_assembly:Lsat_Salinas_v7:2:56545608:56546714:1 gene:gene-LSAT_2X25421 transcript:rna-gnl|WGS:NBSK|LSAT_2X25421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVCTKAQQDKKPRPAEQALKCPRCDSINTKFCYYNNYSLTQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNKRSSSTSSSATSSTSTSSSALSKIRGAHDHLLNQHHHSSNPLLSGLTHLPYDSTCTDLSLAFARLQNQANGHLGFDRLDHFDQNEHLLGSNNPNSSGHTPTSHGDHLGFLDAIRGGFLGNAPNGYHNVLYSGGNVGNGDMGSVENGGILMGMSNASSDHDQEIMNPMFQNQQHLINNSTGVATTAVTMSAMKQETCHELGENRGSGGVLWGFPWQMGGGDQGVNVVHEVESGRSQIAGWNGLGSTAWHGLINSPLM >cds-PLY77866.1 pep primary_assembly:Lsat_Salinas_v7:1:24636980:24639171:1 gene:gene-LSAT_1X20920 transcript:rna-gnl|WGS:NBSK|LSAT_1X20920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVLVTGASGYLGGRLCHALLRHGHSVRAFVRRTSDLSSLPTLSDGVPLELAYGDVTDYSSLLAACSGCQVVFHAAALVEPWLPDPSKFVSVNVGGLKNVIRTYKETDVIEKIIYTSSFFALGSTDGYTADESQMHSAKLFCTEYEKSKAVADKIALEAANEGVPIVAVYPGVIYGPGKVTAGNVVARLIVERFNGRLPGYIGHGSDKFSFSHVDDVVDGHILALDKGKPGERYLLTGENASFMHVFDIAATITNTKRPFFNIPLFLINLYGWVSVVFCKITGKLPLISPPTVRVLSHEWAYSCEKAKRELGYKPRSLKEGLEEVLPWLKDLGDIKY >cds-PLY83722.1 pep primary_assembly:Lsat_Salinas_v7:4:41084986:41085641:-1 gene:gene-LSAT_4X28681 transcript:rna-gnl|WGS:NBSK|LSAT_4X28681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLGRLSLKRYIKSFLLLELFVLAPLASLKFATVDLELHSKYVPGGSKSIYDVERRVSEKTQVLPLLEEDRFLCGFSHIFAGGYAAGYYSYKWAEVLSADAFSVFEDAGLNDDKIKNLT >cds-PLY96425.1 pep primary_assembly:Lsat_Salinas_v7:4:342883026:342883622:-1 gene:gene-LSAT_4X169381 transcript:rna-gnl|WGS:NBSK|LSAT_4X169381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLIEKLKKISDLKQKINEKDVPENFSSSRNPSFHPDEHHNSSCTNKSHADKTCCSTKKSHVAKSIHLDKAVHTIKLVHVHKVVHTATSVHVAKTFDTAKLSQVVKTPSHPVKTPTPLPNIKRAQSSKFSYVCFCFNNSSYKTKKNNEKKAHATNKEDLGSKEFISRTKEDKASLGSQKHISDFCNKTYKWSLEEVL >cds-PLY83013.1 pep primary_assembly:Lsat_Salinas_v7:5:50682955:50684211:1 gene:gene-LSAT_5X24520 transcript:rna-gnl|WGS:NBSK|LSAT_5X24520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKTVLHELVKLCGTVINGHLSMVPIDMEPQPIILAYIDLNLQTLAAARMLTPAGPVGQTHWGDSMANYPMPATHSADAQLKDLDAFTAAIVVSIAFSFIPASLVVGIMKTRLRAMVARDEFRRRRNKATTIVQVLWMNYVCKVFKKMIRNKGEFVQIS >cds-PLY70602.1 pep primary_assembly:Lsat_Salinas_v7:1:88585001:88586324:1 gene:gene-LSAT_1X75441 transcript:rna-gnl|WGS:NBSK|LSAT_1X75441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMTERLQQWGGRAMSRFSFRNATIVVCFLNLITALFLIHCFLSSPTSNGSDSVLLKYVKESEELRRAMEPVELIKRVREIEREGHEEVETVQEKDAKQSAALDLISRLNNHRSYSDADNQKALEEWRKRKMERARQRGLGKNGTTNSQE >cds-PLY73955.1 pep primary_assembly:Lsat_Salinas_v7:1:121354129:121355190:-1 gene:gene-LSAT_1X91301 transcript:rna-gnl|WGS:NBSK|LSAT_1X91301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEKCLGDAEMKKNDIDDVVLVGGSTRIPKVQQLLKDFFQGKELSKKIHVDEAVAHGATALAGKLTVCFRGNDKNLVLRDVVPLSLGTDLYDGSLSILIKRNSPIPIRNEETYETVMDDQKIIDCNVYQGERSRAQENNWLGKFQVEVPPAPKGESKVRVVFAVDANGILNCSGVELTTGRKRELIITNDKQRLTTQEIKKMLDDAIKYKLQDEEYKKKAFIRNALEAYIYDVKRKIKKIENYTKMLHKKELEMMEIAIEKANEILNEGQLDLDGYENELDHLKMVCPPFISKHI >cds-PLY93834.1 pep primary_assembly:Lsat_Salinas_v7:6:144924220:144925365:1 gene:gene-LSAT_6X87560 transcript:rna-gnl|WGS:NBSK|LSAT_6X87560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTTVRVFGKPITHAHHGSRHQRALAALKNMHADGKSDHATQFTNELKKTTPATVSTTIGKVYNATGDEVKYVTVKNWSGSVVGTYPVSIMNGQWAVFQHVGTKGNDRQGSVAAVVYGIEDFADIMVAWNNPWKTATGGNNTAYCEMNGPGYFGDSYDWDAAYQKLLKSETETTTTMSGYGTKVSIEAGGNAPVYTAIFYVET >cds-PLY76981.1 pep primary_assembly:Lsat_Salinas_v7:6:62551043:62552322:1 gene:gene-LSAT_6X46921 transcript:rna-gnl|WGS:NBSK|LSAT_6X46921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKALILVAFLLVSIGATTVRADDYNKPEDNKTPAPVPAPKDQKPAAAPVPTEEKSKPEPPKEDEKPKPAPAPKEEKPKPSPVDDDTTNYDDETPDPKTGCERAKCKSKGACNKKTLTCPAECPERKPKKNKKNKGCFIHCGSKCEATCKWRRPQCNGYGSLCYDPRFVGGDGVMFYFHGGKGRDFALVSDTNLQINAHFIGNRPNGRKRDYTWVQSISIMFDTDTLVLSAKKVQQWDDSVDVLLVKFNGQQVNIPFTGDAEWKTNTGVRGVAVERTDDTNTVRVTVGGLVEIDMKAVPVTKEDEKAHNYQLPSNDTFAHFETQFRFSNLSDNVEGILGKTYRPGYVSPVKRGIAMPLMGGEDKYETPSLTSPRCKLCMFQSNYESAPPATGISQY >cds-PLY90494.1 pep primary_assembly:Lsat_Salinas_v7:1:205657999:205662705:1 gene:gene-LSAT_1X126181 transcript:rna-gnl|WGS:NBSK|LSAT_1X126181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIGKEKDEMFMWIFKFAARKKLGMLLLCFVSIAVVLWILYVGKGEVTQEFGSVRSLGFINISTVGFSGHSSPNDEIKITIYNDKKITSQSPPPPIYNTGYTLLPGNPCETFTLPPPPADKKRIGPRPCPVCYLPVEEAIKLMPKAPSFSPILQNLTYIHEENSTKSEFGGSVFGGYPSLKQRLESYDVKESMKIHCGFVRGDKPGHNTGFDINDSDLFEMNQCQGVLVASAIFGAYDLIQQPKNISEASKKNVCFFMFIDEQTQKFLKNSSDLNDNKKIGLWKIIVVHNLPYTDPRRNGKIPKLLLHRLFPNVRYSLWVDAKLELVVDPYQILERFLWRKNTSFAISRHYKRFDVFVEAEANKAAAKYDNASIDFQVDFYKTEGLTPYSESKLPITSDVPEGCVVIREHIPISNLFTCLWFNEVDRFTSRDQISFSTVRDKIMFNTNWTLYMFLDCERRNFVVQGYHRDILEHWAPPPHPTFQLDVSSPSPSPIPFDETPKQIVTRRRRDRKSSRINRKIHVKSQTQSQS >cds-PLY75839.1 pep primary_assembly:Lsat_Salinas_v7:9:195241410:195245049:1 gene:gene-LSAT_9X120400 transcript:rna-gnl|WGS:NBSK|LSAT_9X120400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSSVSRKRSSKKGRYIRSPALLLLCAYEKSLNDLRGYGFEGETRNPIASVVSSSTSPQLESEQMAHRILRDVEADGWERSDFPIICESCLGDNPYIRMTKANYDKECKICTRPFTVFRWRPGRDARYKKSEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDSIPKSDVNREYFAEEHDRRARAGLDYESSYGKERPSDTILKLQRTTPYYKRNRAHICSFFIRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLNKAGEMPSLEPPEDESIRTLYIGGLDARVTEQDLRDSFYTHGEIESVKIVSQRACAFITYTTRKGAENAANELSNKLVIKGLRLKLMWGRPQAPKLDSGEALPNEARHVDHAGLVPRAVVSQIQPPGQDHQMPPPPMHYFNIPLPSDHHGRAFYPSMDPQRMGAVIRSQDGSGGSGSGENINRASSGGGPQYAAYPPPPPPPQGGGGGRYYQQYYPPPPYGYGPPPPVPGPYQQYPPQSHPSAMPPPGQGGVPAAAGGPPPQQ >cds-PLY88342.1 pep primary_assembly:Lsat_Salinas_v7:2:9996073:10008277:-1 gene:gene-LSAT_2X4161 transcript:rna-gnl|WGS:NBSK|LSAT_2X4161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVVSVILKPVVETLMEPVKKHLGYLIYSTKHVRDMSSKMRELNAARHAEEDHLDRNTRTRLEISSQVRSWLEEVEKINAKVQTVPSDAVACCSLKIRHTVGREAFKLIEKIESATRQHSLITWTDQPIPLGKVDTMKASSSTPSSDHDDFQSREKTFIQALKALEPNNTSHMIALCGMGGVGKTTMMQRLKKVAKENRMFSYIVEAVIGEKTDPIAIQQAVADYLRIELKESTKPARADKLREWFKANSGEGKNKFLVILDDVWQSVDPEDIGLSPFPNQGVDFKVLLTSRDEHICTLMGVKANSVINVGLLTEAEAQSLFQQFVETSEPELCKIGEVIVRKCCGLPIAIKTLACTLRNKRKDAWKDALSRIEHYDIRSVAPKVFETSYHNLQDEETKSIFLMCGLFPEDFNIPTEELMRYGWGLKIFDRVYTIREARNRLNTCIERLVQTNLLSESDDDVHVKMHDLVRAFVLGMYSEVEHASIVNHGNMPRWTENDITDSCKTISLTCKSMSEFPRDLKFPNLTILKLMHGDKLLIFPQDFYEGMKKLRVISYDEMKYPLLSSLPQCCTNLRVLHLHRCSLMMFDYSCIGNMLNLEVLSFAKSGIKWLCSTIGNLKKLRLLDLRCCHGLRIEKGVLKNLVKLEELYIGNASGFTDDNCNEMEEISNRLSALEFEFFNNKAQVKNMSFENLERFKISVGRSLDGNISKSSHSYENTLQLVTNKAEISDFKLNKLFVKTEVLCLSVDGMDDLEDVEVNLTRPPQSTSFFNLRVLVVSRCAVLRYLFKLPVANTLSNLERLEVCECDNMEELIHNGTGGSGKEKIMFPKLKFLSLHELPKLLGLCHTVNIIELPQLIELQIKSIPGLTVIYPQNKLETSSFLKEEFVIPKLETLQIDDMENLKEIWPYELNRGKKVKLREIEVRNCDKLVNLFPYNPMSLLHHLEELEVMNCGSIESLFNIYLDCASVIGEEDNMSSLRSIKVKNSGKLKEVWRIKDAYNSHPLVRGFQAVESIKIERCESFRNVFTPTTTNFDLGALLEIFIERGENQGNDKSEESSQEKEQTEILLEEETLQEVTDTNISNDVVLFPSSLIHSFHSLRKVSLDKYEGVEVVFEIGSPTSRELVTTHRNQQQPILPNLEELDLSYMDNMSHLWKCNWNKFFTLPKQQSESPFHNLTIIHIRYCKNIKYLFSPLMAELLSNLKKVYIENCDGIEEVVSNRNDEDEGMTKSTRSSTTLFPHLDSLTLRCMNNLKCIGGGGAKDEVSNDISFNNTATTTAFLHQYELCQEGGVSWSLCQYSREITIENCPALSSVIPCYAAGQMQKLQVLKITSCDGMKEVFETQLGMNNNSNKSGCDGGISRANNIVMLPNLNILHIGYCGGLEHIFTFPAVVESLRQLQELMIYGCFSMKVIVKKEEDASPSSSKEVVVFPSLKSIELVGLPELEGFFLGMNDFRLPSLEEVTIKYCPKMMVFAPGGSTAPQLKYIHTELGKYSLGESGLNFHVAHHQTPFPSLHGAISSPATSEVMPWSFHNLIELDVKFNHDVEKIIPSSEFLQLQKLEKITVHLCSKLEEVFETALKEAGRNRNSSVSGFDESSQTTTTFVNLLNLKQVELIYLYDLRYIWKSNQWTTFEFPNLTRVAMNGCSRLEHVFTTSMVGSLLQLQEIYIYDCKLMEGVIVKEANVAVEAEEESNGKRNEIVLPCLRSITLSLLPCLKGFSLGKEDFSFPLLDTLRINFCPAITTFTKGNSSTPHLKEIETRFKEETLQEVSDINISNVAFPSFLIPSFHNLHKLHLKKYEGVEVVFEIESESPTSRELVTTHHNQQRPIILPCLQELYLTHIDNMSHVWKRSNWNKFFTLPKQQSESPFHNLTTIDISNCKSIKYLFSPLMAKILSNLKKVEIKVCGGIEEVFSNRDDEDEENTTFTSTSIHTSTILFPHLDSLTLRYLKNLKCIGGGGVKDGSNEISFNNTTMITTLPDIFEVSEAGGVSWSLCQYAREIIIEYCHALSSVISCYAAGQMQKLQVLSVESCDGMKEVFETQLGMNNDSNKSGCDEGIPRVNNNVIMLPNLKILKILGCPLLEHILTFSALESLRQLQKLRIVSCYGMKVIVKKKEEDASSSSKMVVVFPRLKSIELKDLPELEGFFLGMNEFRLPSLDKVTIKKCPQMRVFAAGGSTSPNLKYIHTELGKHTLDQESGLNFFHQTPFPSLHGVTSCPATSEGIPWSFHNLIELHVEYNDDVKKIIPSRELLQLQKLEKINVSWCKKVEEVFEIALEAAGRNGNSGCGSGFDEPSQTTTTTTTTLVNLPNLTQVDLKYLRGLRYIWKSNQWTAFEFPNLTRVHIYKCERLVHVFTSSMVGSLLQLQELYIDDCKCMEEVIVKDADVSVEEDKEKESDDKTNKEILVLPSLKSLKLEELPCLKGFSLGKEDFSFPLLDTLIINYCPAITTFTKGNSATTQLKEIETSFGSFYAGEDINSSIIKIKQQVNQIFVALIILKLHLKSFM >cds-PLY98955.1 pep primary_assembly:Lsat_Salinas_v7:7:51035970:51039181:1 gene:gene-LSAT_7X37060 transcript:rna-gnl|WGS:NBSK|LSAT_7X37060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEASSPLSQPKKRGRPKGSKKVIAEEREISSPKMRDRATAAGNKKAAVVDDKYNNWKFVVPILYDWLANHNLVWPSLSCRWGPLLEQSKNKSRQRLYLSEQTDGSVPNTLVIATCDVVKPKVAAAEHISQFNEETRSPFVKKYKTIIHPGEVNRIRELPQNKNIVATHTDCPEVLIWDIEAQPNRHALLGAAVSHADLVLTGHADNAEFALSMCPTEPYVLSGGKDKLVVLWSIHDHISTLVGESNTTNSSGSIVKTSDNASLGPRGIFEGHTDTVEDVQFCPTSTQEFCSVGDDSCLILWDARVGTNPITKVEKAHNADVHCVDWSPHDANYILTGSADHSVCMFDRRNLVSDGVGSPVHKFEEHKAPVLCVQWCPDKPSVFGSSAEDGCVNIWDYEQVGQKIERGTRSANYAQGLFFKHCGHRDKVVDFHWNAYDPWTVVSVSDDVECSSGGGTLQIWRMSDLIYRPRDEVLSELEAFKAHVASCGPRASS >cds-PLY71224.1 pep primary_assembly:Lsat_Salinas_v7:6:18991025:18991466:-1 gene:gene-LSAT_6X14541 transcript:rna-gnl|WGS:NBSK|LSAT_6X14541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVKMRTTCTWTERPYEASLFPGIGFVLFLRNLGGRRRRPPSGGASLKVTEVCKGFLGSNGDWPSSAKAEGSLTARHTLRAGTKVGLSDPTVPSGRAVAQQIKVTLGITG >cds-PLY72195.1 pep primary_assembly:Lsat_Salinas_v7:7:59385341:59386213:-1 gene:gene-LSAT_7X42600 transcript:rna-gnl|WGS:NBSK|LSAT_7X42600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSQPADGDAVYMRQSKGAPFKFLVPLIYAPVLPLIRLTLRHKPVLRDRLFTAVLVGAVAHGTYLVSDLYDSESK >cds-PLY87663.1 pep primary_assembly:Lsat_Salinas_v7:1:169295406:169295798:-1 gene:gene-LSAT_1X112940 transcript:rna-gnl|WGS:NBSK|LSAT_1X112940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESFVGCSLSYSDRFILLILRPILAVSFVISLLVFGWFLAWKLLLVHVPLVQEIFGLKKKPSLPKPSTRHRFTRFYNTLTPNHNDNSNLSVYKLYAW >cds-PLY87579.1 pep primary_assembly:Lsat_Salinas_v7:8:112489401:112490583:-1 gene:gene-LSAT_8X78661 transcript:rna-gnl|WGS:NBSK|LSAT_8X78661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPISSIAIGTPAEASSPDALKAALAEFISMLIFVFAGEGAGMAFGKLTDGGAATPAGLISASIAHAFALFVAVSVGANISGGHVNPAVTFGLFVGGHISFLRSVVYWIAQCLGSVVACLLLKFATGGLETSAFALSSGVGEWNAVVFEIVMTFGLVYTVYATAVDPKKGDLGIIAPLAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWTWNSHWVYWLGPFVGAGIAALVYEIIFIGSSTHEPLTTVDY >cds-PLY89633.1 pep primary_assembly:Lsat_Salinas_v7:8:221513139:221519500:-1 gene:gene-LSAT_8X136800 transcript:rna-gnl|WGS:NBSK|LSAT_8X136800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDRGRPVICFWDVETMRLRKEYEIRNGGIGSGELQDPYIPQDDNAENVNSVNGSVEEYLSTIESMLNKLVEDNHLLASKLVEAIERHPLVCHFYEWKAKNRIFLNEASMKYGGGSSTDAGSIGPLSQWWPDNAKQINRQHWTFIPMGDECGNLVEFGASTLNVNYSFSNFSFKDDDTSIQGKGRKRGVAPRGRGRGSTTAKRGTKSDNTSSSIQDMMMSKDDDDEYVPNKAKKSQPREQTQMMSVDRMAGANIPSLAFMEQT >cds-PLY78292.1 pep primary_assembly:Lsat_Salinas_v7:2:111139113:111140223:-1 gene:gene-LSAT_2X51481 transcript:rna-gnl|WGS:NBSK|LSAT_2X51481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANWVANPYVVESYESEEEDNENNNEGADDEESIDDEEDVDDKEDEFAADTGEASLQGITNPLARLNQHNLFSSSSNSSTAPSAKYFVKRGSTAPQMKTIDPLIQDLNKSNDQPILDVDDEQSIPKIGDQSEIDEYGEIPQGTNNDIDSYDEQLTPTKRKASFSGGENNTKAGSSSAAGGSLAPPPKK >cds-PLY96968.1 pep primary_assembly:Lsat_Salinas_v7:4:173582306:173582639:-1 gene:gene-LSAT_4X102260 transcript:rna-gnl|WGS:NBSK|LSAT_4X102260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCLRPLLFGSLSRQVKAMAVHPVATITTILHYSRLLPRDLTVDLERHRFIRHELIDDHTFLFNFIVNILSCFW >cds-PLY64289.1 pep primary_assembly:Lsat_Salinas_v7:8:222334565:222342939:-1 gene:gene-LSAT_8X137021 transcript:rna-gnl|WGS:NBSK|LSAT_8X137021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSEVSGLLFSCAELVEDVVATRATVAAGCHIAVVFAFLPPSTTACRLQVVDGWEAVKLKPTFSDAYLNLGNGYKALGMDTEAIVCYERALQSKPDYAMAFGNLASIYYEQGNLEMAINHYKQAIARDAGFLEAYNNLGNYVDAIYCYNEVIRIDPLAPDGLVNRTTFPCDCISP >cds-PLY76970.1 pep primary_assembly:Lsat_Salinas_v7:6:68121518:68122608:-1 gene:gene-LSAT_6X48620 transcript:rna-gnl|WGS:NBSK|LSAT_6X48620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPRTDSSNSEGVQVKRKTMEEARKKAVMEGVDCIIPMSSTFANEDAVHKPIGMLEPPKDGDEIYISTSINDNPDCLTLKYPIPSFGVVVDVDMTKWCPATPNPSQKNISSLPKVPPQGNIMFVIDVDFAGEISINFVEALCGNGHVLFT >cds-PLY68215.1 pep primary_assembly:Lsat_Salinas_v7:8:267700277:267705438:1 gene:gene-LSAT_8X155520 transcript:rna-gnl|WGS:NBSK|LSAT_8X155520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVILSEYNEGSSSSTNDHKYDVFLSFRGDDTRHSFTDHLHKALLGADISTFLDDKEIETGGDLKPELEGAIKESRASIIVLSKNYANSSWCLDELVLILGERKASNQIVIPIFYHVDPTHVRKQQSSFGDAIAKHKRVMRAEINPNKRSQWAQKVDRWSQALTEVADLKGMNVDGRPNHPKACYKEVLERLVEYCQGHPLALQVLGKSLYNRDVVYWEEHMELLKKDNGSPINNVLRKSFESLSSKNDKELFKYIACFFVGMDRDFTETILKACDIKIRSGIMNLTDKCLLSIGHNNTLMMHRLIQEMGRFVVHQESPDKPWKRSRLWCNEESFKVLKQKRQSIGSRAKDKKLLGSLKILNLSFCGGLRSLVGFVEFPALERLIATNCNELLEVCESVQEFVGLVYIDLRYCNKLEKLTMGMLKMVKTLLLDGCNLGRSQIEIKDKCKMVEANNIGINTKVSSSAVLEAIPSDLKFFTISLPSSLVRLSLANNNLYTESFPMDFSCLSMLEALNLDENRISSMPNCVRSLSRLQSLSMQHCDMLTLVEHPPTSLTFLSMYSEKMHVLRKVVFDPEMRPLNLSIPWRRLAPQLCEIEGMVKIQPMASVEEKVLHSLCWNKLDFLNKRGVRTYSYGRDSQEHQIQMYYEFGIFSTIYGGKDMPNWITHRSNGPSISFTILSSSNKPRGFNFCYVSTYQLPVIIIHNITKNRTWIYEHCIGNVGVGEESYTVLSHWMFRMNEMEGGDSVSITLRNFRSYNGIAMKCGVSVVYDDGNTDEEDALGYYKSWNHIIGGDLTGYQLTTGEYILSILRITTHGIKPFMRYGKFVGDRDYYKGDEMLFTALSQRKPAIVGHIPEEIGTSTCNDLILNDKDEVDGDEVPVMAEVVKSESEVEVDVEVMVEAELEQQAKRSCIWRKWFNCTRA >cds-PLY85320.1 pep primary_assembly:Lsat_Salinas_v7:5:326357844:326361070:1 gene:gene-LSAT_5X181100 transcript:rna-gnl|WGS:NBSK|LSAT_5X181100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTISRRLARESFPRPAIYSLKSLYPISDQYYGAYDRRYASTLATRGVGHLVRKGTGGRSSVSGIVATVFGATGFLGRYLVQELAKMGSQVLVPFRGSEDAPRHLKLMGDLGQIVPMKYNPRDEDSIKAVMAKANVVINLIGREYETRNYSFEVVNHHMAEQLAKISKEHGGIMRFIQLSCLGASESSPSRMLQAKAAAEESILRELPEATILRPAVMLGTEDRLLNPWAQFAKKYNFLPLIGNGSTKIQPVYVADVASAVVAALKDDGSSMGKVYELGGPDVYTLHQLAELMYEVIREWPHYVNVPFPIAKAISTPRELLLNKVPFPLPTPSIFNLDLIHALSSDKLVSQDALTFNDLGIVPHKVKGYPIEFLIQYRKGGPNYGSTVSERVTPESYP >cds-PLY99879.1 pep primary_assembly:Lsat_Salinas_v7:4:44816222:44817124:1 gene:gene-LSAT_4X30681 transcript:rna-gnl|WGS:NBSK|LSAT_4X30681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPSFINYARERGIDFIPIDVSKPLTDQGPFDCIIHKLYGDEWDLNLKDFSDNNPNATIIDHPSAIQRLHNRISMLEPVSQLNIPQLNIPNQILVRDSESLKNVETTKDLSFPVIAKSLIADGSPNAHTMSLVLNHEGLTKGLELEPPLILQQFVNHGGIIFKTYVADDYVECVKRSSLPDISEETLEKMASESNGVMSFSKISGAVMACDDGWSNNNSDEKLKMPAPEFLEEVAKGLRQALGLHLFNLDMIKDDKGDGFLVVDINYFPGYEKLPSYETVMTDFFLNIKKSQEEKKMTTN >cds-PLY69831.1 pep primary_assembly:Lsat_Salinas_v7:6:3262391:3262780:-1 gene:gene-LSAT_6X3781 transcript:rna-gnl|WGS:NBSK|LSAT_6X3781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPKKRCKQQRPSRRGVGGCLSMGGQGEARGVRPEKCFVSLGGCSDGVRCEASCSNGAIKRRIASPVRHPTTVQAKKGGAMGLFAIGGDRCVYGGCSDSLLVASSFFLCSCFYRNRCRVKRILRLVFDK >cds-PLY64072.1 pep primary_assembly:Lsat_Salinas_v7:8:93039834:93043307:1 gene:gene-LSAT_8X65781 transcript:rna-gnl|WGS:NBSK|LSAT_8X65781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKETSTKKFTKATARRSTENKQDKENPSQKQPTETKTTRKSSRKKVEKGKEKRENEEDTTERRCTPRALLSVIQGFIEVQKDCVKWMGFGGILKMKMTKVPRTLSYFVLKNFDSETKKIILQRRVIDVTKESDEVGGFGTGDFNDEFVEEELNEKVWEKKLGLLKEERNNKEKIKIREVKILKEQVSGEEDETDNNDQGLDDMNLHDEGISFFFVCY >cds-PLY70091.1 pep primary_assembly:Lsat_Salinas_v7:4:203660115:203661522:-1 gene:gene-LSAT_4X115721 transcript:rna-gnl|WGS:NBSK|LSAT_4X115721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKASRSFYTASMKYPQLIVSASFKPPSTAMHVYSSRIGRHRGTVEAPDALLPVFTTFVLLEIYLIVDCLKSNGGRIPSLKSSSNRGCTLITIDEGCCFYGFRTSKIYVQLPQIMLISPPIEPHHIHRTTDANFALALRSGKSFQLEIR >cds-PLY68752.1 pep primary_assembly:Lsat_Salinas_v7:2:211483323:211486052:1 gene:gene-LSAT_2X131421 transcript:rna-gnl|WGS:NBSK|LSAT_2X131421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAIEPAISFRIPAGRFARDCRLLSHSRSFVIPCNKITRRNNFTKNIIRASAEELSGPVKQAKPQRYHPSEDISDSEESDENGDAILGPAETSRTVIEVNSKAMLMFSGLIGDGGHENIFWPDLPYVTDEHGNIYFRVKNDEDILQTLTSEDTLVQVIIGLDTTEMVSEMELIGQLESDFDMEEIDDEDSGSDDDDDDDNDEDEDDDSGGYENEWVSLLEDEEDDEDSDGSLGDWAKLETMRSSHPMHFAKQMAEFVSDDPVDYMDQPPAGLAIQGLLRSAFVEDNSVINKQIFDDQSNNEEENQISEEEEEEDLGVVNGHRHSSQDDLNAEQELQKDQVSGNGTSYYKLEMVKIHLISAHGNQTFVEVEDFRRARPDAIAHSAAKIISRLKSGGEKTTIALKSLCWRCKGIQVEEVAVIGVDSLGFDVRVCSGRQVQTLRFAFKKKASSEYSAERQLNNLLFPRIPGKQQKQKEAHQTEL >cds-PLY73988.1 pep primary_assembly:Lsat_Salinas_v7:4:217941431:217943378:1 gene:gene-LSAT_4X120701 transcript:rna-gnl|WGS:NBSK|LSAT_4X120701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFYLLVSTIVATISVPILVHRLLLPILHRKNENTGKNLKPPQAKGAWPIIGHLHLLRGPELPHKVLGDMAEKYGPIFTVKLGVHQALVISDSKIAKDCFTTNDKAFASRPKMEASKIMAYNYAVLGRAPYGDYWRKMRKMLVLEVLSQRRVEMLGHIRASEVRASVKELYDGWVTNKLTESSESQMVKVEMSQWFGNLILNIIVRIVSGKRFSPNDEERLRFQAVAGKYFELFGAFLVADFIPYLNWLDVSGYKKLMKNTGKDLDNIVDQWLKEHNQESKSIQQHEANQDFMHVLISILRGASKQEFRGFDHDTIIKATSLQVLVAGVDTTSVTFTWALALLLNNPKALETAQDEIDEYVGRDRLVEESDLKNLVYLNAIIKETLRLYPAGPLSVPHESLEDCIVGGYSIPKGTRLLVNLWKLQRDPSIWSDPEEFKPERFLTSHKDIDVKGNHYELLPFGSGRRMCPGVLFALQVLGLTLARLLQQFVLKKPSDEPVDMTESMGATNGKAAPLDVLLGPRLPTDMYKVG >cds-PLY69212.1 pep primary_assembly:Lsat_Salinas_v7:1:80162887:80164175:-1 gene:gene-LSAT_1X68141 transcript:rna-gnl|WGS:NBSK|LSAT_1X68141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKLFSANGNRPLKIVFDINTNMPIGEVYEYFIREVGSYMWQDIGFDKDTWTDVSEPEKVGMFLDVDVNTSLQNPTFVTAIGNIIRSFKNQVNNEENNDRVDEDT >cds-PLY64529.1 pep primary_assembly:Lsat_Salinas_v7:6:38394200:38394715:-1 gene:gene-LSAT_6X29421 transcript:rna-gnl|WGS:NBSK|LSAT_6X29421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCDWEAASVILGEHHGIDLLGFAITENYDTALHIASSAKSSRSMEMFVEKLVNKMTKEQLELQNKSYNTALCLAAADSAGNENIAMTMVEKNRALLDIPAREGLMPLSIASRSGQRNMVQLFYDNSNKMTGEFWTDQNRSQVLHNCVEVDLFGKYLYISFKINFFGTTSY >cds-PLY70994.1 pep primary_assembly:Lsat_Salinas_v7:9:69901166:69903517:1 gene:gene-LSAT_9X60201 transcript:rna-gnl|WGS:NBSK|LSAT_9X60201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEETSSPNKKRLIAELIKGRDSTKKLQNLLRRKVDDDDGSVSADDLVMKILGSFSDSLSVLSSCGSAVLCPVPVSMYVGSSCSGDRTCDSGESEKKPAPAVKDRRGCYKRRKTEDSRVKIVDTIEDGYAWRKYGQKEILNAKFPRCYFRCTHKTEGCKALRQVQKLEDGSQMFHITYYGNHTCQNTNKNTHMFSDSGALSFFLHNFKDSNTNNLPSSPSTITNVHNTPSLEQEDDSNVQSDEHISSSNYGQSSIASWNDIFDHGSSMDDLKFDDAVFCKFDY >cds-PLY78676.1 pep primary_assembly:Lsat_Salinas_v7:9:48526165:48528629:-1 gene:gene-LSAT_9X43881 transcript:rna-gnl|WGS:NBSK|LSAT_9X43881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPGHLGNLMKRKPEKTQSFAKLGNVYKNSDKKWDSESFKNMGNEKYKQGQFDEAIALYTEAIGMNSTIASYYSNRSAALIGLGKLIDAILDCKIAIRIDPSYHRAHYRLASLYLRFGEVEKALCHYKCSGEKTERHDIAKVQAIKAQFVTCLEARKLDDWKRLLKESQFAISLGADSALQVYAMQAEALLNLHRHEEAYSGFQNAPAIDINISIQLFGSKVTANFLAIQSQLHLASGRFEEAVSVSLSASQLDPSEEVFKVARKARVLAKARANGNKIFRASQYSEACNVYSQGLDHEPYNSILLCNRAACRYKLGQFERAVEDCTLALNLRPSYTKARLRRAECNAKLERWEAVIQDYEVLNHETRGDKELERALLEARMQLEKRHTADAKSLKLIDRHNSEKFGGFLIAV >cds-PLY85302.1 pep primary_assembly:Lsat_Salinas_v7:5:326162049:326164881:-1 gene:gene-LSAT_5X180101 transcript:rna-gnl|WGS:NBSK|LSAT_5X180101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAVVLEISSDEDVGWNDYDGRGIADGGDDVDWLAELLDEVNKGNSGDDSDEVVVVSEVSPSEKPVKKSKLKNSLVDLDDDCVILDKDPDKPVEVRNDNPSNGEDDSDDIVVVSEKGQVACRDYPHPRHLCIKFPFSTTPNQSHCDQCYCYVCDSLAPCVYWGNGSAPMDHCHATDKDELWKHERKNAKNGSKAVQQTVPKVADMALFNGLHPPPPTPTLQMVQPPRSGSIRARHLSANLRHPNVMNQIRRPVFPSRNKLHPDLVSQYLLTRHGGSISKGQHGTQLHVPVFKRTGSVGGATPTPTPNRHHPYAPHPHRGNSGNPNRHQNYPAYSRSLQPNVVNNTPVSYPPHTSTSTSPTVNPLINHQQWPLQPQFSTRCHSNPNNVQSQVNSSLVYRPSSSRPDPTSGQPSYPAGQPVPSQTRVDNPSISVSDYTAQSLPDQGTQAMDNGLSWPATQQSAATVEPDPSSLLAGPGGGGLGDYRYDWIFDNQPVEPGFIDGAHGSYGLTDFSSDSSFIDTGPIFDF >cds-PLY76530.1 pep primary_assembly:Lsat_Salinas_v7:5:12500460:12501865:-1 gene:gene-LSAT_5X6741 transcript:rna-gnl|WGS:NBSK|LSAT_5X6741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMAATASSSSTVVRATPFLGQTKGSNANTLRDSVSMVNGKFTMGNDLWYGPDRVKYLGPFSAQTPSYLTGEFPGDYGWDTAGLSADPEAFAKNRALEVIHGRWAMLGALGSITPEVLAKWVKVDFKEPVWFKAGSQIFSEGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGFRINGLDGVGDGNNLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDDPVANNAWVYATKFAPGS >cds-PLY68805.1 pep primary_assembly:Lsat_Salinas_v7:3:62368921:62372123:-1 gene:gene-LSAT_3X48061 transcript:rna-gnl|WGS:NBSK|LSAT_3X48061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative potassium transporter 12 [Source:Projected from Arabidopsis thaliana (AT1G60160) UniProtKB/Swiss-Prot;Acc:O80739] MDDDGIEEVSSSISARLLRRRSGGGDSTSRWVDGSEVDSESPPWSLVNDDENRSEGHGSIRRRLVKKAKRVDSFDVEAMAISGSHGHHDKEVSIWQTLGLAFQTLGVVYGDMGTSPLYVFTDVFSKVKVESDIDVLGALSLVIYTIALVPLAKYVFIVLKANDNGEGGTFALYSLICRYAKINLLPNRQVADERISSFRLKLPTPELERALKIKEKLEHNSFYKTLLLLLVLMGTSMIIGDGILTPAISVMSAVSGLQGKIEGFGTNALVIVSIVILVGLFGIQRFGTSKVGLTFAPMLGLWFFSLGSIGLYNLIKHDVSVVKAINPVYIYLFFKKNSVKAWSSLGGCVLCITGAEAMFADLGHFTVPSIQIAFSFVVFPCLLLAYMGQAAYLMKHPDSAARIFYDSIPDGLFWPVFVVATIAAVIASQAMISASFSCIKQSMALGCFPRLKIVHTSRKFMGQIYIPVINWFLMIMCVLVVATFQSTTDIANAYGIAEVGVMMVSTTLVTLVMLLIWQTNVYIALCFPLVFGSIELLYMSAVLSKITEGGWLPLAFASFFLCVMYIWNYGSVLKYQSEVRGKISMEFMNDLGSTLGTVRVPGIGLVYNELVHGVPGVLGRFLLELPAIHSTLVFVCIKYVPVPVVNQDERFLFRRICPKDYHMFQCVARYGYKDVRKEDHHAFEQLLVESLEKFMRKEAQELALESEVNDAEFESVSVGVGPRSPRSYSGELSVPLMMEAEGEGEGDLVLPPSVMGDVDDDPSLEYELSALREATESGFTYLLGHGDVRARKDSFFLKKLVINYFYSFLRNNCRGGAATMKVPHMNIIQVGMTYMV >cds-PLY65521.1 pep primary_assembly:Lsat_Salinas_v7:MU045353.1:2952:3683:-1 gene:gene-LSAT_0X45160 transcript:rna-gnl|WGS:NBSK|LSAT_0X45160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWLSWENRLRVATEAATAFAYLHSQAAMPIIHRDVKSANILLDENYTTKVSDFGASRLVPLDHDQVTTLIQGTLGYLDPEYYHTSQLTDKSDVYSFGVVVAELLTGRKPICAGRTNEEKNLATYFVKSMNENRLFQIVEPRLLHEGTIEQLQAVAEIAKKCLNLLGENRPTMTEVAMELEGLRKFSTHPWVQRQETRDETKSLILEVEQSDLYAVPLIPHSTNERESYSGSTGIVDQENIPR >cds-PLY95798.1 pep primary_assembly:Lsat_Salinas_v7:7:92076041:92080742:1 gene:gene-LSAT_7X64441 transcript:rna-gnl|WGS:NBSK|LSAT_7X64441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLLQIRTSTSTSSSSRAVIAATGDGKESQPPISSSIQLSQTCRHFQFAEILLATNTFDESLVIGHGGFGKVYKGNIINGSSVIVAAVKRLDSMSSQGATEFWSEVEMLSKLRHSHLVSLFGYCNHENEMILVYEYMPNGTLEDHLHKLGTPLSWLQRLKICISAARGLDYLHTGTGIDFGVIHRDVKSSNILLHESWAAKISDFGLSKIGPTNQTSTHVNTLVRGTFGYLDPHYYATGRLTRKSDVYAFGVVLFEVLCRKRAVDKNLEVGLATWAQDSIKEGKLKDIIDSDIRGQISTKCLKGFVRIAERCLLSHPKQRPTMAEVVFSLESALTLQVKINSSFQAGGKTIFGRMFDRFPFSSSLENSANINPRSSFGEGSSGKVDKNTLISTNPDVGIRKHKEEGFQGHTDTSSLGSTEADFFSEYGEGNRYKLLEVIGKGSSGIVCSAYDTHSGEKVAIKKINDIFEHVSVAVSIIREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYMVFELMESDLHEVIKANNDFTPEHHRFFLYQLLRGLKYIHSANVFHRDLKPKNILANADCKLKICDFGLSRVAFNHPPTAIYWTDYVAARWYRAPELCGLFFSKYTPAIDIWSIGCIFAEILTGKPLFPGKNTVHQLDLMTDLLGTPSPETISKIGNEKARRYLSCMRKKNGIPFSHKFPNKDPIALRLLKECLRLSIRIGLLLRSAVKQFKKQFDYLEEHYGNSTVSDTVERPRASSLPRARILHPE >cds-PLY75613.1 pep primary_assembly:Lsat_Salinas_v7:9:32592489:32595956:1 gene:gene-LSAT_9X30580 transcript:rna-gnl|WGS:NBSK|LSAT_9X30580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2654 [Source:Projected from Arabidopsis thaliana (AT2G41720) UniProtKB/TrEMBL;Acc:A0A178VXZ9] MFTPTHPHTNSLSSPFPRKPKRKPLPLILRCCSDETNTKKLVGFVDYDRGERQVSVHVSGVRKSDLPKRYQLRVQGDRFQKDWPISELVSKILKLNQREDIDGLLNRWTGRFSRKNYPILIREITQTGSIDHCNQVFNWMKNQKNYCARNDIYNMMIRLHARHNRTDQARGLFFEMQKWRCKPDAETYNALISAHGRAGQWRWATNIMEDMLRAAVPPTRSTYNNLIHACGSSGNWKEALKISKRMTENGVGPDLVTHNTILSAFKTGSQYSKALSYFELMKGTKIRPDTTTLNIIIHCHIKLKQFEKAINIFHSMRDKRSHCGPDIVTFTSIIHLYSVSGEIENCKAVFDTMISEGFKPNIISYNTLLGAYAVRGMSEGALSVFNDIKRNGFRPDVVSYTSLLNAYGRSGKPERAMEVFNMMKRNNLKPNVVTYNALIDAFGSNGFLPEAVELLQEMERNGVQPNVVSVSTLLACCGRYGRNVKIDSILAAADSRGICLNTVAYNSAIGSYMNGGEYDEALDLYRLMREKNVKPDSVTYNVLINGCSKMSKYTEALEFLDEMIDLNVPMSNEVLSSAISVYSKQGQLLKAESLFSKMKMTHDGPDVVAYTTMLHAYSDAEKWDDAFALFQEMEMKGVEPDLIACSALMRAFNKGNQPAKVLVVAEFMQERKIPMNDAIYFEMLSACSILRDWKKTIELVGMMEASFNVMSIGLMNQLLHCIGKLGKIETMMKIFYKIVATGAEINKSTYLVLLKNLLAAGNWRKYLEVLQWMEDSGVEASGDMYQSILAFAQSSGPEYSVIIQNRVGMLLAFCYASYFYLFIYLFKLLFLG >cds-PLY88131.1 pep primary_assembly:Lsat_Salinas_v7:9:7489662:7496470:-1 gene:gene-LSAT_9X7140 transcript:rna-gnl|WGS:NBSK|LSAT_9X7140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIPKEPEQVMKQRDGSVLGKKTILKSDHFPGCQNKRLSPQIDGAPNFRQAESLRVHGVAIPTIDGIRNVLTYIGAQIDGKQANVLWINLREEPVVYINGRPFVLREVERPFSNLEYTGINRARVEQMEDRLKEDILLEAARYGNKILVTDELPDGQMVDQWEPVTPDSVKTPLQVYLELQTRKFLVDYERIPVTDEKSPKEQDFDTLVDRISRADLKTEIIFNCQMGRGRTTTGMVIATLIYFNRIGASGIPRTNSIGTISVCGSNATDNMLNTEEALLRGEYTVIRSLIRVLEGGVEGKRQVDKVIDKCASMQNLREAIATYRNSILRQPDEMKREALLSFFVEYLERYYYLICFAVYLHTERAVHIPKSPHNHNLNFYDWMKARPELYTIIRRLLRRDPMGALGYANIKPLNKISKPNNDGHPCEMGIVAVSRTGEVLGSQTVLKSDHCPGCQQPNLPERVEGAPNFREIPGFPVYGVANPTVEGIKSVMQRIGSPKGGGGRPVFWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDCERVERMEARLKEDILKESERYGGDIMVIHETEDGKIFDAWEHVSCDVVQTPLEVFRSLEADGFPVKYARVPITDGKAPKSSDFDTLALNISSASKDTVFVFNCQMGRGRTTTGTVIACLVKLRIDHGRPIRILLDDMACGDSDNCTSSGDEGKPRVHSNHSFGINDILLLWKITRFFDNGVECREALDAVIDRCSALQNIRQAVLQYRKLFNQQHVEPRERRVALNRGAEYLERYFRLIAFAAYLGSEAFDEQGQGQECKITFKSWLHQRPEVQAMKWSIRLRPGRFFSVPEELRAPQESQHGDAVMEAIVKKRNGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVFKVDGYPVYCMATPTISGAKEMLTYLGPQKVMLTDLREEAVVYINGTPFVLRELNKPVDTLKHVGITGSVVEHMEARLKEDIISEIKKCGGRMLLHREEYNPSLNQANVVGYWENIFVENVKTPTEVYSGLRDDGYDIVYTRIPLTREREALASDVDAIQYCMDNCAGCYLFVSHTGFGGVAYAMAIICLRLQSEGKLSSLPLTKSGNQDLLSSNEAREKGDYRDILSLTRVLVHGPESKSDVDIVIERCAGAGHLRDDILFYSKELEKVQGDDDDHQAYIMDMGIKALRRYFLLIMFRSYLYCTGTDTHDREMEFTSWMAGRPELGHLCNNLRIDK >cds-PLY99062.1 pep primary_assembly:Lsat_Salinas_v7:6:150040007:150040790:1 gene:gene-LSAT_6X90680 transcript:rna-gnl|WGS:NBSK|LSAT_6X90680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAILAWLILLSLAICPIKSNTIFLYKSCNKTLYPQLCYVYLSPYLSRIGTSPRLLAQTALAATLSATRSTSRNLTTYSRTHKITKRESGALKDCLEEISDSAYELHISMVEMGKVRSGSDFLFNMNSIETWVSAALTDDDTCTDGFSAKSMNGELKNVVRKQVLNIAHLASIALSFVNNYAKG >cds-PLY99344.1 pep primary_assembly:Lsat_Salinas_v7:1:81852859:81853011:-1 gene:gene-LSAT_1X68680 transcript:rna-gnl|WGS:NBSK|LSAT_1X68680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFVLISSVDHIRSRPFDSNAFNSIGRAVLFSVCICLLASYRHTTPIGNS >cds-PLY90525.1 pep primary_assembly:Lsat_Salinas_v7:2:42901439:42903656:-1 gene:gene-LSAT_2X20321 transcript:rna-gnl|WGS:NBSK|LSAT_2X20321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGLQHGRSSGGEDRFYMPAKARRIRQHQENLRRAQSNVTPTQSTTSSVREEPENQLMQPSNPEPLESSVVAVPATSSLCNLEPFLETVTPSVPVQHLSKQRTMRGWRTSDVEYQPYFVLGDLWESFKEWSAYGAGVPLILNEANCVIQYYVPYLSGIQIYVDPLKSSINSRQLTEDIDDNSFTDSSSDGSSDYEQEKGSLHYIREKSNNHHPKNDILHRMDHLSMNDENNVVQEDFSSDDSDSATTQSCLIFEYMEHSQPLGREPLCDKILDLAQRFPELKSLRSCDLLPSSWLSVAWYPIYRIPMGPTLKDLDACFLTFHSLHTPTTGNECEHPPVVRHFSGTGVVSLPAFGLSSYKSRHHCGSPMN >cds-PLY99664.1 pep primary_assembly:Lsat_Salinas_v7:9:55796546:55796719:1 gene:gene-LSAT_0X9401 transcript:rna-gnl|WGS:NBSK|LSAT_0X9401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METMVKVLQHGPLGIIGHNFYAEEITKANSIVKKAVENWKRNEIIEKNRPLLKDYLN >cds-PLY91962.1 pep primary_assembly:Lsat_Salinas_v7:7:124991498:124992100:1 gene:gene-LSAT_7X75980 transcript:rna-gnl|WGS:NBSK|LSAT_7X75980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQLCEYHMLTNGVNMFQSVAQSDRWVCNLSSDRVFHVNILRTQIDWRNMMPGEVSIKWAHEVLLKVNCFIWRAKLDRLPTARALTTRGIHLMSTLCPYCELEEEDTAHALFRCPLTSKVWEYVGQWCNIPHLQFHNAEEIVKYVPQWGTCSKKRKTLTSICYGTAWSIWKARCDWIFKKTKNIATQTSRFGEIHRVYMD >cds-PLY68379.1 pep primary_assembly:Lsat_Salinas_v7:7:1370561:1371595:-1 gene:gene-LSAT_7X521 transcript:rna-gnl|WGS:NBSK|LSAT_7X521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGKFGSMFGKNSEPPDLDNKVYEDEEDADVGAKRRGRRAVHKEISPYSGNKPLQLKEKMESKANRIQEEKKYLNSKSPIERSKVVLRAGVHDVIKSGYGKENIDLEFIKSELNAFEKAIADEKKLESQNKIKTIGTSKLRNEMVEEKGFVMLPVTSDMLEGFISSKDNIGANRSSSQSPVDESISSRGFNSSKEMATGSSSSTPGSVIETKNNKGILGKSPLSQAAPVNSGSPLISDANPIAPISCPTNYNDEDMKDLMNECENLEDDDGNNVKTEQGANFLKMDFSKPVISPAAKMVSDFNKNSYARMVEATNTVSAGPSPGREGPWPRAAISNGASIFHRV >cds-PLY71707.1 pep primary_assembly:Lsat_Salinas_v7:3:46735244:46736252:-1 gene:gene-LSAT_3X34300 transcript:rna-gnl|WGS:NBSK|LSAT_3X34300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFLQHVMGIPIRSSTKGLLSTEPYQPHCPLSTTSSKINGIERKDSFAVWIKDYVSLGPKLIEIMKDKLNHGAKIFRFGSQRKLFRKRFSIKEEEKLLQASQCCLYTTAGAIAGVLFVSTERVAFCSDRPIITYSRTGELVKFQYKVSIPLGKIKGVEESVNMKRTWNNCLELVTIDDFNFWFMGLINYKKILRYLHHATSHDCLCN >cds-PLY83867.1 pep primary_assembly:Lsat_Salinas_v7:3:52965815:52969181:-1 gene:gene-LSAT_3X41540 transcript:rna-gnl|WGS:NBSK|LSAT_3X41540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFQAFSSSSFFYLFNPQPWLHHSPLEGPTTLTFFSSSSSSASPLSDLHSLFFKRNQMIDMHYSNAFVGVLPKILLLPTTAMVGPRQWIGGIFGMKRSASNKYIDFKYTNDQEARYQKLRERTNVPFDETRIEHQKALVELWNLAYPNVTLTGLISDQWKEMGWQGANPSTDFRGCGFLSLENLLFFAKTFPAAFRRLLLKQPGKRAEWEYPFAIAGINISFMLTQMLELYSVKPRCLHCVNFVKILGEDDEAFDVLYCIAFAMMDAQWLAMHASYMEFNEVLQITRTQLERELALEDIHRIRDLPAFNLLHH >cds-PLY86345.1 pep primary_assembly:Lsat_Salinas_v7:8:28289226:28293419:1 gene:gene-LSAT_8X22880 transcript:rna-gnl|WGS:NBSK|LSAT_8X22880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPFVGITGGTSDKTHGSRWRVHDNGGGHSPTVHYLFVSFLLCSSVGVFYIFYLFNNPNLNYNNSLGCQEDNDGSWAIGAFYGDSPFSLKPIEDMNIWDNKSAAWPVANPILTCASVTNSGFPSNYVANPFLYAKDDFLYMFFENKNVITMQGDIGVARSNDNGVNWEQLGVVLDEDWHLSHPYVFNYNHQIYMMPQGSGTRDIRLYKSIEFPFKWKLEKIILERPLVNSVIIYHENMYWIFGSYQTRLTFGDLEIWYSKTPFGPWTPHMKNPFTGPRNGGRPFVYNGNLYRLGHSENIRVFKIEHLTTHHYIESEVDFKVEKSTKGINAWNGARSHHLDVHRLRTGEWVAVADGDRTHFGDVTSRHVVGCGLIITSGSIVLLTCWLLGFIKCFIPLTHIKKRNDSILNWERLKLRLRLNQTINPNTYIGKFILTVLLILSIVIACIGFGYMYGGNGSEKPYPVNNHFSQFTLLAMTYDARMWNLKMYVNHYSKCASVREILVVWNKGKPPNPSELESAVPVRIRVEEKNSLNNRFRVDPFIKTKAVLELDDDIMMNCDDLERGFKVWRENPTRLVGFYPRLVTAPPPLKYRPEKHARKHDGYNMVLTGAAFVDREFAFERYWSEEAELGRKMVDEVFNCEDVLMNFLYSNSTPGGHSVEYIKPAWAIDTSKFSGVAISGNTQAHYRVRSRCLEKFTELYGGITEWKVGFRRRKDGWDR >cds-PLY79849.1 pep primary_assembly:Lsat_Salinas_v7:8:17993462:17994077:-1 gene:gene-LSAT_8X14240 transcript:rna-gnl|WGS:NBSK|LSAT_8X14240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERVRLYTRGTVLGYKRSKSNQYPNTSLVQIEGVNTKEEVAWYQGKRLAYIYKAKVKRDGSHYRCIWGKVTRPHGNTGVVRAKFTSNLPPKSMASIYP >cds-PLY85301.1 pep primary_assembly:Lsat_Salinas_v7:3:71207176:71208643:1 gene:gene-LSAT_3X55001 transcript:rna-gnl|WGS:NBSK|LSAT_3X55001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTVLMLLLFLTLFHHLQPHSSASTLPKEALPTKSGYLSVNSTTGSAIFYAFYEAQNPSDTSLSETPLVIWLQGGPGCSSMTGNFFELGPWRVTASMKQNVEHLSLEPNPGSWNRIFGLLFLDNPIGTGFSIASTPEEIPRDQNAVARHLFIAIRKFITLDPLFKSRPIYITGESYAGKYVPSIGYYILKKNPLLPVSKRINLYGLAIGNGLTHPETQVNTYASHTYYLGLINEKQKTKMEKLQFEAIKLIKAGNWIDARNARIVVLGFLQNITGLATLYDFRRHSPYDSKVEEFLKNPEVKKALGVNESMVFEECSDVVGAALHEDVMKSVKYMVEFVVKNTKLMLYQGQCDLRDGVVSVESWVKKMKWEGIEKFLDAEKDVWRVNGVVAGYVQKWDNLSHVVVLGAGHFVPTDQNVNSQAMIEDWILHKGSFAIKNGSLNLV >cds-PLY72681.1 pep primary_assembly:Lsat_Salinas_v7:6:27749637:27752116:1 gene:gene-LSAT_6X21400 transcript:rna-gnl|WGS:NBSK|LSAT_6X21400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSWGFFCFLVIFSFFSFSPQTCISSVRQNGKVNPGFEATQMKYIDNNGLFLISNSSIFGFGFNPNSDVTSFTLVIIHMTSSTIIWSANRGSPVGNSDKFLFDDDGNAYLQNNGRVVWSTNTAKNGVSAMELRDSGNLMLVKNDGGVVWESFSHPTNTLMSNQGFVKGMKLVSNLNNNLSFSLQIENKDLILSAEFKNSQPYWSMGKDKRRIINKSGGDANSATIEANSWRIYDENGIFLSQFVFADDSDANDTWIAVLEDDGFLKFHNLQSQITANPKIPGDSCSRPLACPPYLVCHDGNTCQCPSGLGQVNCKPEIDSSCNKSRISSSLVNAGENLSYFALGFVSPDSKTDLDGCKSSCLNNCTCVALFFDNNNGNCYLFDQIGSFEDAKNGANIESYVKVSDTQSDSSQGQNSKKQSTQMVIVVVAVVLSATLVIIGLVIVGIRYNRKMNTPIEDPDEISEEDNFLENISGMPVRFTYKDLQEATSNFTTKLGHGGFGSVYQGALKDGTQLAVKRLEGLGQGKKEFRAEVSIIGSIHHHHLVKLKGFCAESKHRLLVYEYMANGSLDRWIFGEFLLDFETRYSIAIGTAKGLAYLHEDCDVKIIHCDIKPENVLLDENFQSKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIISGRKNYISPETSHFPAYASRMMEEGKVEILLDEKMKVDEKDERVVVAIRVALWCIQDDMNLRPSMTKVVRMLEGLSPVPAPPAIGQTGFFSSLSKPFSEFGTSSGPSDGNSDAYLSDLRVSGPR >cds-PLY73935.1 pep primary_assembly:Lsat_Salinas_v7:3:37928892:37929167:-1 gene:gene-LSAT_3X28621 transcript:rna-gnl|WGS:NBSK|LSAT_3X28621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGIWQEADPVAFCCSVFVYVPASYELVMRGWVGKDVSGNNGGNGWMWFALAAQGNTMVDVGGDFWWFPVAVGDQGWQFLATLGSLFGS >cds-PLY92150.1 pep primary_assembly:Lsat_Salinas_v7:8:4747824:4749543:1 gene:gene-LSAT_8X3580 transcript:rna-gnl|WGS:NBSK|LSAT_8X3580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSLVLRGTMRAHTDWVTAIATPIDNSDMIVTSSRDKSIIVWRLTKEDKTYGVAQRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLNAGTTARRFVGHTKDVLSVAFSIDNRQIVSASRDKSIKLWNTLGECKYTIQDGDAHNDWVSCVRFSPNTLQPTIVSASWDKTVKIWNLTNCKLRSTLAGHGGYVNTVAVSPDGSLCASGGKDGMILLWDLAEGKRLYSLESSSIIHALCFSPNRYWLCAATESSIKIWDLESKSIVVDLKVDLKQESEMAAEGTTTQTNAGKTKVIYCTSLSWSADGSTLFSGYTDGVVRVWGIGRY >cds-PLY83183.1 pep primary_assembly:Lsat_Salinas_v7:4:287936280:287939343:-1 gene:gene-LSAT_4X146641 transcript:rna-gnl|WGS:NBSK|LSAT_4X146641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTEIMRSDRSVTGANSPDSLSTPNPMPIQNGSLVSAIGSVLIRNLPSSSSGYVVCVFVYVYILGCGSRAYSWILPGVWCVLCKFQSIKLGCTNNNYASREKCKKCGEPKEVAALPAHAMPYFPQGVQDHRFNIGLLANGGLPLNPNWLLAGGNDKFSLQAAITWPTHLGATVNGIGNSHNTPNPLPYINQATQVMVQKGWRNGDWICACGFHNYASRAQCKKCNASMPPALGTKRLASEELVQDWDNKRLNAGQRFEMQHSYPILSQLPSSSYSSSSQMANFVTNQGDGVYPLQLSLQTPQMVSMATTLPGKGCNTQREGPSLSVGVA >cds-PLY80141.1 pep primary_assembly:Lsat_Salinas_v7:3:41225769:41227587:1 gene:gene-LSAT_0X29221 transcript:rna-gnl|WGS:NBSK|LSAT_0X29221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYQAYFNNKFTNSLVYYVSLLLLLLISPFLFIWELITTLRSCFHPIENMSGKVVLITGASSGLGELMAYEYAKRGACLAIVAIKEPDSRLEKVAERARELGSPDVLFIFADVSKVDECRMFVDDTIKRFGRLDHLVCNAGIANLYSVNIDITKFAPVMDINFWGSLYTTHFAMPHVMRSSGKIVVNASCAGILNPPKGGFYNTSKAALISFYESLRFEVSPRVTIVILTLGFIETNIITAKYLGKGVGVALRKDFNSLLPTMGAEPCAIAIVDGVCKGATSITEPRFVKVLFLVKFLFPELHQFYLSKFYRSKSKKNKKG >cds-PLY75462.1 pep primary_assembly:Lsat_Salinas_v7:7:71844920:71845458:-1 gene:gene-LSAT_7X52001 transcript:rna-gnl|WGS:NBSK|LSAT_7X52001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARAIPGTATFYQQYTPSACYGSTPEGVMIAAASDPLWDGGAICGKMFTVKCTGATNPVPHPCYDGKTVTVKIVDHCPGCGGTLDLSKEAFESIADPVAGVIKIEYW >cds-PLY94336.1 pep primary_assembly:Lsat_Salinas_v7:7:164982131:164984535:1 gene:gene-LSAT_7X97960 transcript:rna-gnl|WGS:NBSK|LSAT_7X97960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTISGDGKVIYNLDYRSKNDDAWYTSGVVLENGKRLRVKLEDFKSGDADEVFSMGNFSKHDELEEFLRRFRPVSVPVEENECSTVVEGMTVCATYKGDGSVRYFDAIVDGVCYKEHKPEKCVCTYLLCWKHGPGEGTVTAENIVDVCFIKSGAPDPRVTDFAKLVMEKLSSQSSLIPKTPFLSRKTSSNQTFNKLQEFSGDGDSCFSGFSEEKGRFKTELIDQDRDMGGVKETESHHFIILENLEKDLSPVLMKDFIYEQTSIPTHTYVFQSLSNEPYARGIIIVDSKQKLKRIHEFISNPNHFIVSTFSGRPWVIAEDMLRAETFNIMQILQPKSENKNTGNKLMVVHLGTKEYMRAKKSKDLYMEFRNHVNRLVKRLDMEEKKKWRSSSTN >cds-PLY88296.1 pep primary_assembly:Lsat_Salinas_v7:6:126839778:126844503:-1 gene:gene-LSAT_6X77601 transcript:rna-gnl|WGS:NBSK|LSAT_6X77601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSQAPINKDRSRTYWTPTMERYFVDLMLEHMNLGNRVGHTFNKQAWNDMLAVFNAKFGSQYDKDVLKSRYTNLWKQFNDVKIMLSQTGFSWDETRNMVVADDYIWDSYIKAHPDARQYRTKGVQNFSDLCLIYEHTTADGRYSRSSHDIDIDDEVNGVNLGDGIQSVIPSNTERSRTDWTSEMDNFLTELLLHQGQKGNKHDNSYTREAWTEMLTSFNSKFGPQHTKRMLRHRYKKLSKYHSDIMLLLKQDDFSWDTKEQKVVANDDVWDAYLKTHPQARTYRTKSMPNYKDLELIFGSDIVNGSQKDLDEDIGSKTGNERSRTYWTPPMDRYLVDLLVDHVNKGNKIGHTFIAQAWIEMVKSFNANFNSNHDKDVLKNRYKHLKRQYNDINTLLGETGFSWDDTREMVVAQDHIWDAYIKVHPDARSYRVKMVSSYNKLCVIYGEDNSEGRYGRLARDVSSIGEIDEIILLPNNSTPNSNTPTKIEDTNPIQINPQETETPINKKRPTKLPLTLQPSCKVQKIQKDDIKEGVVNKNTDDNKFNAIEKAVDALQAIPDLDDELLLDGCDVLENEKKAKTFLALDASLRKKWLLRKLGR >cds-PLY95917.1 pep primary_assembly:Lsat_Salinas_v7:6:106997835:107004147:-1 gene:gene-LSAT_6X67540 transcript:rna-gnl|WGS:NBSK|LSAT_6X67540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHVRRGKRSVAFWPSIVMKKWLNIHPKNNDFSEDEMDTESEDDGSSHKREHMGFNEENSPRIERNMSTCSSETSNVTPAKTLVKHKRGKSEDLHCIKTKDLRLMIGTWNVAGKLPPDGLDIDDWLSMHQPADVYILGFQEVVPLNAGNVLGAETRAPIIKWEAVIRKSLNKSQELEYIPKSYSAPTSPVTEIKSSVDFLSTEITDPERKEITSMIGLTGFNGLDWPEYTPDRKHDICFSGNNLRRVLSSSDQVRKDWFTHESNNFGPRGNVVDLGGLRMLRHSSCDVGLLWTEKQERDDLFDSLYNVSELVMEEDDDSLMDRIEVEQGNPQIKSGGKIDRYVRIVSKQMVGIYLSIWVRRRLRRNISNVKVTPIGIGLMGYMGNKGSISVSMSLYQTRICFVCSHLSSGHKDGDDGRRNSDVNEILRRTHFLSVLDHDQPKTIPSHDQIFWFGDLNYRINMADADVRKLVALKQWEKLLYSDQLCKELRSGCVFEGWKEGVINFPPTYKYEINSDHYIGEIPKEGEKRRTPAWCDRILWKGKGIEQLRYDSLDSQMSDHRPVRSVFSVVVEVFDPTKIRRALDLTIPFIQI >cds-PLY67562.1 pep primary_assembly:Lsat_Salinas_v7:3:54622468:54625518:1 gene:gene-LSAT_3X42620 transcript:rna-gnl|WGS:NBSK|LSAT_3X42620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWLYVVLIISSSVLHLSKSQNTITATNSITSNQTIISAGSTFALGFFSPGNSTGSYLGISFNTIPKQTVIWVANRASPVPKGSPSILTLSEDGNLVVLSGKELVWTSNVSDINSTDAVLLDNGNLVLRHGKDELWHSFDHPTDTFLADMKFSSNRNGQQMCLKSWVDDENPLPGIFSLGIDPVHHQVYIWKGGERYWRSNVYATNLTFDSGFIGDGSWFGNRVMDTGFPGYISYVIKDDEDYVLYDISTNSMSTRFTLVPGGQIELQVWMKTTWNVVWQSPRATCDFYGYCGPFTICCKTNESIPACKCMTGFQPKSQNEWSAGNWTGGCVRIKALSCDTGDVFSVYEGVKLPDHAVSIGKISLSDCESGCFSNCSCTAYAYENVTNELTIICLNWFRELVDTSSDDFTLHNLNKVAVTVAIVSVGMLLISIFGYFWRRRRRRLIISAERIRRELLGYDSMSTSIGDAHNSIELVSFSLRSVLEATGSFSVENKLGEGGFGSVYKGSLPGNREVAVKRLSARSSQGREEFMNELRIIAKLQHKNLVRLLGCCVEEDEKILLYEYMPNRSLDKFLFDPSESVNLDWSKRFNIIEGVAQGLLYLHKYSRLRVIHRDLKASNVLLDQMMTPKISDFGLARIFGMNQTQDKTNRVVGTYGYMAPEYALHGRFSEKSDVFSFGVLLLEIVTGKRSTSNYIEGFLTILEWAWKRWMEGRGLELIDPLIRGTCSNADQQAVKCINVGLLCIQEIMSDRPTMSEVVVMLINENATVPSPKKPAFTLNRSAPASSRFSNNEVTVTNLGPR >cds-PLY76480.1 pep primary_assembly:Lsat_Salinas_v7:5:198671066:198671678:-1 gene:gene-LSAT_5X89241 transcript:rna-gnl|WGS:NBSK|LSAT_5X89241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY81145.1 pep primary_assembly:Lsat_Salinas_v7:9:67574738:67580444:1 gene:gene-LSAT_9X57081 transcript:rna-gnl|WGS:NBSK|LSAT_9X57081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQKHILLSALSVGVGVGVGVGLGVNKWSSGGLEEGLTAAQIEQELLRLIVDDRDDKVTFKDFPYYISERTRALLTSAAYVHLKHLDVSKHTRNLSPASRAILLSGPAEFYHQYLAKALSHEFEAKLLVLDIIDLSVKMQSKYGTSKKDSSIKWCISDMAFDRMSSLLGSLSTTKENNGDSKKVVSSYYPFDERVFLQELYKILVSFSTTGIILYIKDVERFLQSKQTYNLFDKMLKRLSGSTLVLASKILDADDKKGEINEKISCLFPYNIQIKPPEDEFHLINWKAQLEEQMKDIQFQDNKNHITEVLAANDLECDDLGLICHADTMFISNYIQEIVISAISHHLMLHKDPEYRNGKLVISSTSLSHGLSIFQEGYCGGKDTLKLETNAESSKGPESVASKSDNKNDAEKALPPKPEVPDNEFEKRIRPEVIPANEIGVTFADIGALDEIKESLQELVMLPLRRPDLFNGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMSHWDGLLTKTGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSVENRERILKTLLTKEKVEELDFKELAIMTEGYSGSDLKNLCVTAAYRPVRELMQQERQKDIDKKGSGEADAKDTKEEKESVITLRPLNMEDMRQAKNQVAASFAGEGCVMGELKQWNELYGEGGSRKKEQLSYFL >cds-PLY86394.1 pep primary_assembly:Lsat_Salinas_v7:4:233707311:233710157:1 gene:gene-LSAT_4X126840 transcript:rna-gnl|WGS:NBSK|LSAT_4X126840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLNDELSRKTSIFGLKFWVVLGICFGAAIVIIVFFISLYFTSKRKKTFHKTKTVPQKPTIPTITDEFQQPRFDPTRIHTVQAHDNNKHQQQVVNTATKSDTLAIVTSHAAEDDGNFEKIQVEIGKGHRISYPERSGGGSSYGSGSGDQLSVVSVQPEVSHLGWGHWYTLRELELATNGFSDENVIGEGGYGIVYSGVLMDNTTVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAQRILVYEFVNNGNLEQWLHGDVGPISPLTWEIRMRIILGTAKGLTYLHEGLEPKVVHRDIKSSNILLDRQWQPKVSDFGLAKLLGSEKSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYSRPPEEVNLVDWLKTMVTNRNAEGVLDPKLAEKPSSRALKRALLVALRCVDPTAQKRPKMGQVIHMLEADDFPYREEHRGNRLMEKRFIESGDSSGYESSRAPGMSN >cds-PLY69303.1 pep primary_assembly:Lsat_Salinas_v7:4:104969324:104969757:1 gene:gene-LSAT_4X67341 transcript:rna-gnl|WGS:NBSK|LSAT_4X67341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMLIQRWGTTAKRLLLTAEQRYAFTSLSPSSTSTAPAPSPVLCGRGDKRTKKGKIFKGSYGNSRPKKEKKIQRIKDKLEVPSVLVDVLL >cds-PLY82909.1 pep primary_assembly:Lsat_Salinas_v7:6:94867352:94868693:1 gene:gene-LSAT_6X67840 transcript:rna-gnl|WGS:NBSK|LSAT_6X67840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGVQTKGGHHANNGCEVRILREKTKLLQQKLEEVISLRGTENEVYEQEMMVHALKESEWKQERKWLQREVKRLKKVMEEKEEKHRRRETVMMEKKSEKMALQELQTDMVLEQMKEERERRDEAVEKWKRLYLAIKIELDNLINTTHQGKTTSWREDDDYLIHELQKELRAKEETIELLQAHIASIEQEESRRERELDILRQSLRIMNHRKKPKHISKEIYNTKIKLKV >cds-PLY65618.1 pep primary_assembly:Lsat_Salinas_v7:8:39693195:39695396:-1 gene:gene-LSAT_8X31061 transcript:rna-gnl|WGS:NBSK|LSAT_8X31061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEISAVFCILGVAAIFLWTISNWLWFKPKKIEKFLRDQGLKGSSYKFLLGDLKEMVQMSTEAKSKPMNLTHDIAPRVSPFIHKSISAYGKSCFTWMGTKPLVHISEPTMIREVFANYQQFQKPIEGNPLTKLLVRGLILAEADQWTKHRKIINPAFHAEKLKHMVPAFYVSCSEMIDKWEEMITKGSSCEMDVWPHLHTFSSDVISRTAFGSNFKEGRKIFELQNEQAELFIKAAQSLYIPGSRFLPTKDNKRMKEIHREVTASIKNIIDKRFDPMKAGENSKHDLLGILLESNYKEIKQHGNSNFGLSIEEVIEECKLFYFAGQETTGNMLVWTMILLGQHTDWQIRAREEVFNVFPDKKPDIDGLSHLKVINMIFNEVLRLYPPAAAVRRVTHDETKLGKFTLPAGTLVQINALFLHHDKDMWGKDVNEFKPERFSEGVLKVTKGKGSYLPFGGGPRICIGQNFAMLEAKMALAMILQSFSFELSPSYSHAPHTIITLQPQFGAHLTLHKL >cds-PLY85577.1 pep primary_assembly:Lsat_Salinas_v7:5:44336161:44339811:-1 gene:gene-LSAT_5X21601 transcript:rna-gnl|WGS:NBSK|LSAT_5X21601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:Projected from Arabidopsis thaliana (AT3G29160) UniProtKB/TrEMBL;Acc:A0A178V9G9] MDRTNRGGGSIETLLRNYKLGKTLGHGSFGKVKIAEHVLTGYKVAVKILNRRKLKNPEMEEKVRREIKICRLFVHPHIIRLYEVIETPLDIYVVMEYVKSGELFDYIVEKGRLHENEARKIFQQIISGVEYCHRNMVVHRDLKPENILLDSRGNVKVADFGLSNIMRDGHFLKTSCGSPNYAAPEVVSGKLYAGSEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKSGIYTLPSHLSAGARDLIPRMLVVDPVKRITIAELRQHPWFKAQLPRYLAVPAPDATDHLKKLDEDIIRKVLNMGFERAHLTQSLQTRIQDDATVAYYLLFDNQSRVAGGYLGAELAENLEGDLATMHLDNIPDRATMNNRFARNNSVRPNLPGERKWQVGMQIPANPREIMTRVLEILRDLNVCWKKIGLYNIKCRWLSSITHENHYITSGITPNVVKFEIQLYKTPEDSYLVDIQKITGPQLVFLDFCAAFILQLESGIL >cds-PLY82547.1 pep primary_assembly:Lsat_Salinas_v7:2:183426333:183433346:-1 gene:gene-LSAT_2X105761 transcript:rna-gnl|WGS:NBSK|LSAT_2X105761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTESEKYFENNECSSMETVVRGVVKSERLFFEPDPTSSILETQGSGRQCTPVDIGGSDGRVLPYKESVAMEMESENPYGDFKKSMKEMVETHDLKDWDCLEELLGWYLRTNGKNNHEFIVGAFVDLLAGISGDHCVSCSDHSIVSFTSAASTFSSPISSPLYQVGREKIIEQGKMFDVPATSRLQSGFIIFSLYNDFLFLFLLHSTLAPEQLAWCGMDSVLLYWDDILLMVGPYGDPVRYLDDEPIILIPECDRARILSNLNMEFLQQEEFPHPLSRVKQPTGYRVYRVSDMELVSVLPSAEDEVNVACFHPLARAGLVYGTKEGKLRILHHDGGHVPIPDHFFEARAVEVQHLLYL >cds-PLY74790.1 pep primary_assembly:Lsat_Salinas_v7:6:116633662:116638858:1 gene:gene-LSAT_6X71621 transcript:rna-gnl|WGS:NBSK|LSAT_6X71621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSRQFMFINIIGSKSIFAKKKYSTTLAFIASQEVSKAPTLLRTSETYRDNEATEDDLFDNDDETFCVSPRKDTTVGLNFEETSIPDVNVNVSDIDTNINSGEQIITSLPKQTQLTPPEVPISDSNMEEGITSNITKNISNMNSNVNIGDGSSISTTETTPIPPPPPTSTIIPTSVLVVSPTFQSVMNETVTSLFSLKSTNPEATVNEEEDDDDEMVGFA >cds-PLY89403.1 pep primary_assembly:Lsat_Salinas_v7:4:122889088:122890958:-1 gene:gene-LSAT_4X79260 transcript:rna-gnl|WGS:NBSK|LSAT_4X79260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHPNGLAPLFSFGVITDVQYADIPDGYSFHGVPRYYRNSILVLQRAVKKWNNHQNIKFSLNLGDIVDGKCPKDQSLDVVCKVIKEFENFNGPTYHIIGNHCLYNLPRSKLLPLLSIPSHHPHAYYDFSPIPEYRFIVLDGYDISAIGWPHDHPHTIQSLNFLNEKNPNSNKDSPNGLVNLERRFVKFNGGVGKEQLKWLDNILQDAKNSNQKVVVCCHVPLDPGSTSNDSILWNYEEVMDVIHRYDCVKVCLCGHDHHGGHSVDSHGVHHRVLEAALECQPGIDAFGYIDVFEDRLSLIGADGMVSSEMVFKR >cds-PLY84109.1 pep primary_assembly:Lsat_Salinas_v7:6:188258267:188260330:-1 gene:gene-LSAT_6X115260 transcript:rna-gnl|WGS:NBSK|LSAT_6X115260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVTHADLAPSRRGTDIGSKTGVALMVVSILLGLLCFVLCLIAEATRSQVIREASGSGGGEAHKSDCRYSGNGETPLICAAGAFVALVIAMVVQHTHLLLSVSKSDPDPSLLLTWDPLSNDHLNTLTWQAAFFFVTTWICFSVGEILLLIGLSVESGHLKGWSTPRSSCFTAREGLFSSAGVFGITTVFLASGLSMTALRAQWLLQDQENVRQEVMQSSILYASPPRPSEDRIMAVGGEAPIVRHDLYQESGLIEYLRAFDKIL >cds-PLY80939.1 pep primary_assembly:Lsat_Salinas_v7:8:256812209:256815553:-1 gene:gene-LSAT_8X151880 transcript:rna-gnl|WGS:NBSK|LSAT_8X151880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFIVWIYPFWILEKPPDFLLQVLIRRFKFENLQSPHISSSSHLRLSCQVHIHRPFLPLFSAIDLVSYSKIVNTAITHASALIFTQLITRRNLLTSIGETLGTKIGIPVVYIYCSLDPLDSICIDNFISGDVLQSVNIGDNIQSKFSSLNCHLLTEFHYVITFNVNTKTGSPFGSIRQTAEQSSLMLIVTCWLPFLSLRSPNDQISLHLCVIMIHLLISRYSHQQM >cds-PLY95650.1 pep primary_assembly:Lsat_Salinas_v7:2:113396675:113399399:1 gene:gene-LSAT_2X52260 transcript:rna-gnl|WGS:NBSK|LSAT_2X52260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTKVGSINVVASPNADVGCLPSHGVVSSVQTSTVPISFSEATLGRHLARRLVQIGVSDVFSVPGDFNLTLLDHLITEPGLNLVGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVINAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQEHRCFQTITCFQAVVNDLEYAHELIDTAVSTALKESKPVYISISCNLPAIPHPTFTHDPVPFCLSPKLSNHMGLEAAIEAAAEFLNKSVKPVMVAGPKLRVAKASNAFVELADSCGYPVAVMPSAKGMIPEHHPHFIGTYWGAVSTAFCAEIVESSDAYLFAGPIFNDYSSVGYSLLLKKDKAIILQPDRVIIGNGPTFGCVLMKDFLLGLSKRLRKNTTAYENYHRIYVPEGHPLKSAPKEALRVNVLFQHIQNMLSGDTAVIAETGDSWFNCQKLKLPKGCGYEFQMQYGSIGWSVGATLGYAQAATDKRVIACIGDGSFQVTAQDISTMIRCGQNTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTSKVLCEEELVVAIEKATGEKKDCLCFIEVVVHKDDTSKELLEWGSRVSAANSRPPNPQ >cds-PLY63024.1 pep primary_assembly:Lsat_Salinas_v7:8:184031634:184031900:1 gene:gene-LSAT_8X121161 transcript:rna-gnl|WGS:NBSK|LSAT_8X121161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRNSPQIIIPNNGFHHMNPSSPSSPWSLSGSFPDHHHHRGSPHPASYAAVVNGGGSSASSFYNNFHDLTEEYSTNNGLQKTVILKLG >cds-PLY95981.1 pep primary_assembly:Lsat_Salinas_v7:9:42453529:42455113:1 gene:gene-LSAT_9X38600 transcript:rna-gnl|WGS:NBSK|LSAT_9X38600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYSSLKLLPSHHQFSQSRSHNLTITTRQPMEFMCSDSEQPPPCLRTTTTTQDVIIHIRRNHGQPFSPPDQSSKD >cds-PLY72645.1 pep primary_assembly:Lsat_Salinas_v7:3:182583858:182584073:-1 gene:gene-LSAT_3X110420 transcript:rna-gnl|WGS:NBSK|LSAT_3X110420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLKKDLRVSRVGPGGSLNAFFFLLIGVISQRLAMVRKKGGTGTLGERSTTESCMLRSGRMNRSRKGIY >cds-PLY61922.1 pep primary_assembly:Lsat_Salinas_v7:4:220822100:220826988:1 gene:gene-LSAT_4X120660 transcript:rna-gnl|WGS:NBSK|LSAT_4X120660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHQGKETEERERKMKLVDLIVATPGRLVDLLERAKVSLQMVRYLALDEADRMLDMGFEPQIRKIVEQTDMPPPPGRQTMRFSATFPREIQERELALRSFKSRKTPILVATDVAACGLEVIDVGSRAVWSLPVAKSFQQYRSFITFAAEGNAFKDNVEKLPLHRELYELNPSSFFLPSFINAFMANDDVSRNQSIRNIMSELVPGVFTFDMLHPDFCAKMLAELPILTGVAGAFSCFTLYHNGWCLSCYAIWPDLCIASYKLSWQPSTVVLDRHLLAKDIVYVFFCCYCRFGNIHAYWSNCMYLT >cds-PLY94270.1 pep primary_assembly:Lsat_Salinas_v7:1:156460626:156465576:-1 gene:gene-LSAT_1X110001 transcript:rna-gnl|WGS:NBSK|LSAT_1X110001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIPSELYPSQDDLLYEEELLRNPFSLKLWWRYLIARTESPFKKRAVIYERALKALPGSYKLWHAYLRERLELVRNLPISHAQYQTLNNTFERALVTMHKMPRIWIMYLTSLTEQKLVTRTRRTFDRALCALPVTQHERIWEPYLVFVSQRGVPIETSLRVYRRYLKYDPGHIENLIEFLLNSRLWQEAAERLAGVLNDDRFYSIKGKTKHRLWLELCDLLTQHATEISGLNVDAIIRGGIRKFTDEVGRLWTSLADYYIRRQLLEKARDIFEEGMTTVVTVRDFSVIFDAYSQFEESVLALRMETMTESEDEEEDGETENGIEDEDEEDDRLDVGKLEEKLKKFWISDYKDVNLRIARFEHLMDRRPELANSVLLRQNPHNVEQWHRRVKIFEGNPTKQILTYTEAVRTIDPMKAVGKPHTLWVAFAKLYESHKDIGNARVIFDKAVQVNYKAVDNLASVWCEWAEMELRHKNFKGALELMRRATAEPSVEVKRRVAADGNEPVQIKLHKSLRLWTFYVDLEESLGTLESTRAVYERILDLRIATPQIIINYAMLLEDNKYFEDAFKVYERGVKIFKYPHVKDIWVTYLSKFVKRYGKSKLERARELFEHAVEMAPAEVVKPLYIQYAKLEEDYGLAKRAMRVYDQGTKAVPSNEKLSMYEIYIARAAEIFGVPKTREIYEQAIVSDGLPEKDAMKMCIKYAELEKSLGEIDRARKIYVYASWLADPRSDGDFWNKWHEFEVQHGNEDTFRDMLRIKRSVSARHSQTHVMLPEYLMQKPTVDEAMDALKKAGVPEDEMAALERQLAPAAPKTTTTTTTTLDSGNRKLGFVSGGVQSSETASGIQNQEEIELPESDDDDDDDDDGKVEISQKDVPEAVFGGLVRKRDEEEEEQVKKDNENGDAGSRLGALERIKRMRQGN >cds-PLY83851.1 pep primary_assembly:Lsat_Salinas_v7:3:48325182:48326916:1 gene:gene-LSAT_3X37601 transcript:rna-gnl|WGS:NBSK|LSAT_3X37601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHLTSFRGLLMLMVSSSTDVHKYGLAPKGTSIALYKSKADCPEPEEMVTVNVDGSVLDRIGKIMSYLRLRSSRKILKKKKKDKDVKEFLPPPPPPPRSNHSDEIEKVEVEPTVARVEEDDIFVGEGIDYYVPSKDMSQSPLSEDMEESPKRKKRPSYFDEPXR >cds-PLY98229.1 pep primary_assembly:Lsat_Salinas_v7:7:171794163:171794755:-1 gene:gene-LSAT_7X102221 transcript:rna-gnl|WGS:NBSK|LSAT_7X102221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCSTSSLNKEHSRRTKKIRKPKPWKFPRPVTWSQLVQMRDEFWDTAPHHGGKKEIWDAIHAAAEADIELAQAIIDSAGVIVHKPDMTVCYDEGGLFPFHSYQPNASLGCTIWYNPPLRFIS >cds-PLY78150.1 pep primary_assembly:Lsat_Salinas_v7:4:97192408:97194863:-1 gene:gene-LSAT_4X63321 transcript:rna-gnl|WGS:NBSK|LSAT_4X63321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLSQRGVFLSFTILTTLFLSIQSQSQSQTQTCSNYAFQSNKVFTTCNDLPVLNSFLHYTYDPSTQTLQIAYRVTNIGSSKWVAWAINPTSQGMAGSQALVAFQQPDGSMKAYTSPITGYGTQLAEGELSFPVSDLSASYLDNNNEIIIFASLGLNGSGVVNQVWQEGDLSGNVPTAHATSGANVRSMGTLNLLSGQSGTQGGVLGGGSKNRKRNIHGILNAISWGIMMPIGAIIARYLRVFQSADPAWFYLHVTCQTSAYITGVAGWATGIHLGSQSPGIQFTSHRVIGIILFCVATLQVIALLVRPKKEHKHRIFWNIYHHSLGYTIIILGIINIFKGFDILNPEKKWERGYTGIIIIIAIIAAILEAYTWFVVLRRKKAANVEKTSNGNGYASNGNYGHYPYSDRTNGRV >cds-PLY83924.1 pep primary_assembly:Lsat_Salinas_v7:8:255212128:255213957:1 gene:gene-LSAT_8X150000 transcript:rna-gnl|WGS:NBSK|LSAT_8X150000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKLRNSPFSSDLRLISIPGDGRCLFRSVVHGACLRAGTPIPKENAAKELADDLRSKVVKELIKRRSETEWFLEGDFETYISHLKRSHVWGGEPELFMSSHVLRVPIRVHMIDKNSKSVKVIADYGQEYGKENPISVLYHDYGHYDLLH >cds-PLY97557.1 pep primary_assembly:Lsat_Salinas_v7:5:239566021:239566377:-1 gene:gene-LSAT_5X119160 transcript:rna-gnl|WGS:NBSK|LSAT_5X119160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRPENVIDLSSPKHKRTTRGNEVRNCALLSSGNYPSYESVNVEPSLETSATTTLHECPIITDPELKHAKLCARLPLTSEIPVIPKHVDPLPNDQTPSTLFTAIAFQQKLFGHVFELL >cds-PLY89047.1 pep primary_assembly:Lsat_Salinas_v7:9:28723671:28726661:1 gene:gene-LSAT_9X25220 transcript:rna-gnl|WGS:NBSK|LSAT_9X25220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGARCFYFSFCGWSSLKSNDTHPSDLENGGGDSDKLKLPAFREYKLDQLKAATGGFSVDNIVSEHGEKAPNVVYKGKLEDDDRLIAVKRFNKSAWPDTRQFLDEAKAVGQLRSLRLANLLGCCCEGNERLLVAEFMPHETLSKHLFHWETQPLKWAMRLRVALYLAQALDYCSTKGRSLYHDLNAYRVLFDQDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRVIAESVIYSFGTILLDLLSGKHIPPSHALDLIKGKNFQMLTDSCLEGHFTNDDGTELVRIASRCLQYEPRERPNAKSVVAALSPLQKQTDISSLVLMGINDEATQISNLSPLGDACSRLDLTAIHEILEKIGYSGDEGVTDELSFQMWTSQLQDTLNGKKRGDNAFRAKDFNTAIESYTSFIESGTMVSPTMYVRRCLCYLMNNKGQEALGDAMQAQVISPDWSIALYLQAAALFSLGMDNDARETLKDAVSLDPEMKGN >cds-PLY71205.1 pep primary_assembly:Lsat_Salinas_v7:3:120371948:120375983:1 gene:gene-LSAT_3X85401 transcript:rna-gnl|WGS:NBSK|LSAT_3X85401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFLFLLLLLQCIPNSFAAKSGRLPEYQALISLKSAISDDPQSSLSTWDLSTSHCTWSGVTCDSRRHVIDLDISGRNLTGTLSSDIGHLRSLVNFTIAANNIGGPIPPEIASISGLRLLNLSNNIINETFPPELSGLKSLQVLDLYNNNMTGDLPLAVSEMTNLRHLHLGGNYFSGVIPPEYGRLPFLEYLAVSGNELTGSIPPEIGNLTNLQQLYLGYYNGYTGGIPPEIGNLSSLIRLDAANCGLSGEVPPEIGKLQNLDTLFLQVNGLSGSLTKELGSLKSLKSMDLSNNIFSGEIPPSFADLNNLTLLNLFRNKLHGSIPDFIGELPELEVLQLWENNFTGSIPQGLGKNGKLQILDLSSNKLTGSLPPNLCNGNKLETIITLGNFLFGPIPESLGECQSLNRIRMGENFLNGSIPKGLFSLPHLSQVELQNNLLSGEFPVTDSVSANLGQVSLSNNRLTGPLPATISQFSGVQKLLLDGNKFTGNIPGEIGKLQQLSKIDFSHNSLSGEIAPEISQCKLLTYVDLSRNQLSGEIPTEITGMHILNYLNVSRNHLIGSIPTSVASMQSLTSVDFSYNNLSGLVPGTGQFSYFNYTSFLGNADLCGPYLGPCKEGIANATHQPHSKTSLSASVKLLLVLGLLFCSIAFAIAAIIKARSIKKACKARSWKLTAFQRLDFTCDDVLDSLKEDNIIGKGGAGIVYKGAMPNNELVAVKRLPAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEMLHGKKGGHLLWDTRYKIAIEAAKGLCYLHHDCSPLILHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRKMTDGSKEGVMQILDPRLSSVPIHEVMHLFYVGMLCVEEQAVERPTMREVVQMLTELPKPPNAATTTALAPASPTMEDGKEKQEPADLLSI >cds-PLY96980.1 pep primary_assembly:Lsat_Salinas_v7:2:36518936:36520744:-1 gene:gene-LSAT_2X17160 transcript:rna-gnl|WGS:NBSK|LSAT_2X17160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNILVRRFNSIPTSQQDTKLIRKIKDLILQGLHVEALHLYKNQLHLHVNTFTPVIPSVIKACSLSQTHHGFGLQLHSHSLKLGFDSESVISNSIISFYAKNHDIKSARKLFDEMPQRDSISWNSMINCYTQNGNCLESLQMFKKMYECGFVAKPELIASILSVCVQRQCTKSGKMIHALSIVDERFENSVFLSTALLDLYLRSGKSRMAFHVFDSMEDKNEVSWTSMIQGYVGIHDSNMAINSFRKMQIKGIKPNIVTLISILHISVELNLNTIKSIHGYAFRHGFHSDIRISSSLIHLYSSHTSSLPLIDLIFEKSTQRDLILWTSIISAYSHHKQTAKNSISLFNKMQKEKFHPNSITLLGILNACTNIPSITSGIGIHGYIIKTGFNSNISITNSLINMYSKCGSLKDSLKVFQETPTPDHVSWSVIINAYGIHGYGEKALEIFKEMKEKGIEHDSITLVSVLSACNHSGLVEEGDRIFSEVKNDGKLINLEHYACYIDLLGRSGKVEKASEVMRKMGMKPSVKIMSSLVSNCRIHGRLDVAENMLSWFIELESDDAANYVLLSMIYAEFGKWLNVEGVWRDIKLRGLKKSCGFSRVET >cds-PLY87648.1 pep primary_assembly:Lsat_Salinas_v7:1:123011139:123014733:1 gene:gene-LSAT_1X93160 transcript:rna-gnl|WGS:NBSK|LSAT_1X93160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METQHIIRLKYPSLFLLPESSFSTTVKSPARLGRTFRHVLLASHASAPAPKREKDAKKRVVITGMGLVSVFGNDVDTYYDRLLDGESGISLIDKFDASIFPTRFGGQIRGFKSNGYIDAKSESRLDDSQRYCIVAGKKALEDAGLGGHELFKINKERAGVLVGSAGGGVTVFSNAAKSLTERGYKKITPFFIPYFQTNMAAALLAIHLGFKGPNYNISAACATSNACFCAAADNIRLGKADLMIAGGVDAPLIPLVFGGFFACRALSRRNHDHHTASRPWDKERDGFVLSEGAGVLVMESLDHAMKRGAPILAEYLGGSVNCDAYHITNPLPDGFSVSSCIQNSLVDAGVSVEEVNYINAHATSTVVGDLAEVNALKKVFKNTEGIKINATKSIIGHSMGASGGLEAIATIKAIQTGWLHPTINQFNPEPTVEFDTVANEKQQHEINVAISNSFGFGGQNSVIAFSAFKP >cds-PLY84164.1 pep primary_assembly:Lsat_Salinas_v7:4:369812263:369813881:1 gene:gene-LSAT_4X181241 transcript:rna-gnl|WGS:NBSK|LSAT_4X181241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIPPSYVDVFGKWIRIEGAIISKIWLLAMAIEGINIDMPSIEYGSMLLLTGMRKNVMKKVTFIFSIICLVVFLPKKSALYSWLVRFGMKG >cds-PLY99401.1 pep primary_assembly:Lsat_Salinas_v7:4:101874423:101876499:-1 gene:gene-LSAT_4X66380 transcript:rna-gnl|WGS:NBSK|LSAT_4X66380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKEERKTTGWAARDPSGVLSPYTFTLRNTGAEDVLIKVICCGVCHTDLHQIKNDLGMSNYPMVPGHEVVGEVMEVGPEVTKFKVGDCVGVGCLVGSCDSCRPCKADVEQYCNKKIWSYNDVYSDGKPTQGGFAGSMVVHQKFVVKIPEGMSPEQVAPLLCAGVTVYSPLNHFGLTGSGLKGGILGLGGVGHMGVLIAKAMGHHVTVISSSDKKKEEAIDVLGADDYLISSDITRMQELADSFDYIIDTVPVHHPLEPSLTLLKLDGKLIIMGVINVPLQFISPLLMLGRKTITGTFIGSMKETQEMLEFCKEKGVRSTIEVVKMDYVNTAMDRLVKNDVRYRFVVDVAGSKLEDE >cds-PLY94693.1 pep primary_assembly:Lsat_Salinas_v7:6:19561334:19562894:1 gene:gene-LSAT_6X13701 transcript:rna-gnl|WGS:NBSK|LSAT_6X13701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNFVKRPKQSFHWFVLNNCDENEMKRYKSSSKIELESPRSDLKMGFPSWFQRKMSAHATRGHGGDGWERPPHGGARKIESNCESCQSHEILK >cds-PLY77112.1 pep primary_assembly:Lsat_Salinas_v7:7:184083861:184088293:1 gene:gene-LSAT_7X110021 transcript:rna-gnl|WGS:NBSK|LSAT_7X110021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSSKSKSGGTYPDAHRTRSVEPNAVYTKTPAPAAQTPARKQPPPPSPKRVFTADSILGKPFEDVKQHYTMGRELGRGQFGVTYLCIQKSSGQKYACKSISKKKLVTKSDKDDMRKEIQIMQHLSGQANIVEFKGAYEDKQSVHLVMEVCEGGELFDRIIAKGHYSERAAASICRSIVNVVHVCHFMGVMHRDLKPENFLLSDKSENALLKATDFGLSVFIQEGKAYRQVVGSAYYVAPEVLTRKYGKEIDIWSAGVILYILLSGVPPFWAETEKGIFDAVLEGYIDFESEPWPSISTSAKDLVRRMLTQDPKKRITSAQVLEHPWIREDGEASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENLSAEEIQGLKSMFTNIDTDNSGTITYEELKTGLARLGSKLSEAEVKQLMEAADVDGNGSIDYIEFITATMHRHKLEREEHLYKAFQHFDTDNSGFITRDELETAMRKYGMGDEATIKDIIAEVDTDNDGKINYEEFCTMMRSGTQPGKLF >cds-PLY88312.1 pep primary_assembly:Lsat_Salinas_v7:3:86136617:86139704:1 gene:gene-LSAT_3X65461 transcript:rna-gnl|WGS:NBSK|LSAT_3X65461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILSPNPPFSCGIMELIRTLNDLFISTRKDTVDVERDRLSSLPDKLIHKILSLLNIKDAISTSVLSSRWRFIWTSMPYLNFENLNNERHFSKLISNVLLHRDNQVNYSVNLVLGRTVRDDESVTRILSCKFSHNLQQLSVTRLPGENIVECPYSIIATPKWDLPALTTLHLHQVELSDYDDIGLFSKCTNLKNLSLNRCRMKETKVLNFCHPQLSDLTLVSTPPDMASEEVVNVVAPQLKNLTIKWCEGKHLISAPGLTSLVMEGFQPWQVSAPSGFHSLEKADLFMYDPHKADAHSIVCLLQQLQSVKFLTLNLGILKRLFSQRKSLSSSMKLVPHKACAFANTKILKFTIKPVVKAQEKVTMCTEIENDDDTSPIPIFPMVSCEEITAMENMASAQVFVKHLGILLEEVKQNRNSEDYQAQRDVHSKPYVEMHWAWKLQWNLGAIMGVFKHKKMKAKLDNYLMMAQITKKYINRRDCTKSMNHPILGWLHEMRGLFQHTGRLITHLSASKKAVLLPFFLSLCEDATILTINILGWINTINKYPPLSLKTYMCLSPLLIFV >cds-PLY95716.1 pep primary_assembly:Lsat_Salinas_v7:2:111378001:111380640:1 gene:gene-LSAT_2X50660 transcript:rna-gnl|WGS:NBSK|LSAT_2X50660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLCPELNTQIQTWLRDYDKIQSVAVILIYIQIGCALIGSLGALYTGVCLVDLGISLFALVAIESSSQSLGRTYAVLLFSSILLDILWFILFTHEIWYMSSEIHGKFAIISIKATLIMQAIGFSVRSLSSLLWIQMYRLGSSLVDNTYPQEGDQDLGNSFINPATPLFNRHNTSGSSDVLGGSIYDPVYYSSLFSDNQDDGSLREGENRFSSSGRSISDVPQLKPSVSGSFQAIHERKTAGRVGSF >cds-PLY82743.1 pep primary_assembly:Lsat_Salinas_v7:2:144432655:144444849:1 gene:gene-LSAT_2X71220 transcript:rna-gnl|WGS:NBSK|LSAT_2X71220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILVVFLVLFLINPGVSQTNNSQNNINTPIRSFCGRNPPTILSNFVNNRNSTLAEIRRKLSTNNVFYATAQSLSEGDSVFGAAQCRNYLSAAQCVACFDAGVSQLISCLTGNGAYVFLDNCFVRYENYNNFYTNPDVVEDVGIAPLQLCGNQSASQPTFQQDVNGLLSDIKDATPKTSNFYVASTRKITNGNGTVYAIAQCVENTSQTICQNCINAAYNDLSNCLPNTEGRSIYMGCFSRYSVTPFFNDNQTIDITNLSKGRSSNIPKIAGAIAGVGFLCILVVSWLLFRLWKKSKKTEEGEPDLDGAINYNYKQLQSATNNFSEENILGRGGFGEVFKAVLDDNNIVAVKKIEVGHTNKAKEEFENEVKLITNVHHRNLLRLLGWSSEGSNLLLVLEYMPNGSLDRFLWGAKKGTLNWKQRYDVIFGIARGLAHLHNEFHVKIIHRDIKSSNILLDHDFQPKIADFGLARFYPDDLTHISTKFAGTLGYTAPEYALRGILSEKVDTYSFGIVVLEIISGRRCTDMNNENPSMDYLLENAWKSYENKNHIKFIDETLDVNFNQEEHMMQIIEIALLCTQSPASKRPTMSEVVSMLTNRQSVGNRQLTRPTPINQDRRIQIGSPNKAINIGISGIPKELEAKESA >cds-PLY99273.1 pep primary_assembly:Lsat_Salinas_v7:5:300889612:300895764:-1 gene:gene-LSAT_5X162580 transcript:rna-gnl|WGS:NBSK|LSAT_5X162580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIEEHKSIWKSEEEESLVSAAVGRVMTTLLTARPTKLIDSISRLQSSLNPPNPLVLSLENSLRILHKYVRDGAENEGSLDEIMIPMIEHSLRSKESRNKNQELILLNWLFQDEVLFQALARSFSDIVLRKEDHYIALGWCILARRLIEYEVTMSKFSTTGIKERYTGLLETFCTCSTHLLSLINSGSTLQGGFELPTRLSMAAADCVIALSVALTRKDKPSDTLENIKKPSKHPQISVGAIGVHRTAKPISPVSEYTKDVDKSLLLWNLLDQLIPLVQRLLAWSRKSRSLHAKGLERVLKWLHETKEDYHSSQDQTGSQKVKTGILLLSSCWKHYGILLHLEDHRLSNRHKELLDQYLSGIEYYAGNYTTDHIDNKESGIATINFFINCLLLLLGHFTSKQFDTAMTEHRLDITRAVTSQLSSADDDVIDGAISILKAIIFGTNHLAFGHNLTDTKQMNSMLPLLLNLLDERDATAKAVVTLIAEYCSMSPDKYCLEEILKRVASENIAQRRNALDVLSEVIHISSHSEAILSHSVWQDIANHLLDCLKDEEDIIRAHATKLLKLIDPSLTLPALVSLVYSSDATLHSSVVTTLLDILTHNNHKPEVILMLLDCMSNLNAISDHQKGIKGDADRVFKLIPEWSRSVTNWKLLVGPLVDKMFAESSNPIIVKFLSYISDQLAGEAHLVFQQILLYTESQTEIDESFLSELNISPTENDIKLQHSLFDRLCPLLIIRLLPLKVFNNLKSSLVYGDLLSQNNIRIDISNSQCIAALLLKRAFNKLEFEDVRKLSAELCGRLHPNVLFPFVSSELESATNDHDILKIKASLFSICTSLVVRGMESVSHPCMMSQIKESIETVLLWPSTDKDEISKAQHGCIDCLAIAVCSELQDPKLPKNNDTCTFQAYVLDQLTCEDLKLISSKNMSRNQMLSFRLCMANVLISACQKISDSGKKPFAFKTLPRITRSFGNVKEPEIRSACIQVLFSIVYHLKSVVLPFSSDLLKVSLESLKNGSEMERMGGGKLLASLMASEEVIVQSISEGLLEARTILSTISQTDTSSGVRQLCTQLLTCITSP >cds-PLY76941.1 pep primary_assembly:Lsat_Salinas_v7:7:52410883:52412767:-1 gene:gene-LSAT_7X39361 transcript:rna-gnl|WGS:NBSK|LSAT_7X39361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLRSHGMFRYTDETPVSSQPSIYGKEKVGGHQWLWTKSNDTVIKGWILGSLSQEPLRYVLNSLTEKRDESNRRDQHNERDDQPADSDFSAKDVWDELQTIYGPSNKQEEELPYDQRTIFEAILSGNWEEVDGLLNNRSFKVTVVDKVANNGNTALHIAVGNVTDQEFLRKLLGVTPENTQLSNVQNSDGSTLLHVAAMVGDVIVAEMLVAREAALLFTKDKKHRLPLAIALLNLDEKMSRFLKDQMIKYREWNTDVLSGISGDELLVILISSKQFEKANEWLKPLHKTRYYSDAVLMAIAQNFPSELNALEKYIVVYVKRTDIIHRRVKGILKTASDYMVSRCVPLCGSCLQKIFNSFSTGIEWIIMFFLLIPKMLAYF >cds-PLY77339.1 pep primary_assembly:Lsat_Salinas_v7:5:145179478:145180774:-1 gene:gene-LSAT_5X65521 transcript:rna-gnl|WGS:NBSK|LSAT_5X65521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-like protein 10 [Source:Projected from Arabidopsis thaliana (AT2G41090) UniProtKB/Swiss-Prot;Acc:P30187] MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >cds-PLY95759.1 pep primary_assembly:Lsat_Salinas_v7:3:27174205:27176986:-1 gene:gene-LSAT_3X20881 transcript:rna-gnl|WGS:NBSK|LSAT_3X20881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVFRRLLFPKGMGNIHRSLQHNRFSSPTSTPFPCQFLIRSLHTFVSRNSCSRNMCSTANYEPQYDVSVAAALNLDDRVPATVITGFLGSGKTTLLNHILTAQHGKRIAVIENEFGEVDIDGSLVASHSSANEDIVMVSNGCLCCTVRGELVTMLLELVKKKRDKFDHIVIETTGLAKPGPVIETFCTDEQISRHVKLDGVVTLVDSKHAMQHLNEVKPRFVIDLVSDADLEDLTKKIQHINGMAQIKQAKFGNVDMDFVLGVGGYDLDRIDSEVPSEVSHCENHNHEHECHKGHHHHDHKHDSAVSSVSIVSEGTLDLDELDDWLERLVEEKGDDLYRMKGVLSVNDSETRYVFQGVHSTLDGCPGKAWGEDEKRINKLVFIGRNLDETALRKGFKGCLV >cds-PLY85209.1 pep primary_assembly:Lsat_Salinas_v7:8:182842560:182843344:1 gene:gene-LSAT_8X118941 transcript:rna-gnl|WGS:NBSK|LSAT_8X118941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFPYEQPPSTSSVALRIFNPDLPVQGQPMVAGEYATEAPAPTYSTGLFKSSQQQFPADGQRALPAYESVIPPPQETAVRTLEHSTNQLNTGDGNREWDDTYGGGRISGFVRQNYSSNVAVDDYYSNGEAAPGISGYAQRDHSNTGSSTVDTESDEPPNAGYSMTGNKFKNAYKLFNQFD >cds-PLY70096.1 pep primary_assembly:Lsat_Salinas_v7:4:203986437:203995020:1 gene:gene-LSAT_4X115141 transcript:rna-gnl|WGS:NBSK|LSAT_4X115141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALFFLFLLVCVPLLSLLYSLSKIFKNRSCSCPPGPHGLPFIGNFHQINYSSLHTFLWQLSKSYGPIISLQFGFIPAIVVSSVSVAKEVLKTQDIIFCNRPSFVGPKKLTYNYLDVTFSPYNDYWRDMRKIYMFHLLTPKKVQSFRYIREDEVSCTMNKIHEVALSFNTVNLSETMKHVAVTIVTRVGFGKSYQDEHERKEVLRLLNELQSIMAEFFVSDLWPGLPFVGLVDRLMGKMDRVEKCFKSLDSFYEELIGEHLDPQNRKSNDEEEDIVDILLRLKKDKEFSFTYDHIKGMLVDILAAGTDTSAATVIWAMTLLVNNPNVMKKTQEEVRNVVGKKGRVDEDDLSKLTYLKAVVKETLRLYPPAPLLVPRESQKDVSLHGYKIKKKTVVYVNAFAIGRDPDAWENPEEFLPERFLGSDIDFRGNNFEFIPFGAGRRICPGISMGVVTVELLLANLVYLFNWALPDGMRKEDLDFEAMEGITMQKRNDLCLVAHIYA >cds-PLY90486.1 pep primary_assembly:Lsat_Salinas_v7:2:7332784:7333348:-1 gene:gene-LSAT_2X3421 transcript:rna-gnl|WGS:NBSK|LSAT_2X3421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMADPSVKDHRPTKMAAQEELLRRRNEELERELKRSLEREEKMKMELQKTWERLRVAEEAEEHLCSQLGELEAEAMDQACAYRERLMTLMEQLSAAQKLIQSASVQIPSMDL >cds-PLY75072.1 pep primary_assembly:Lsat_Salinas_v7:9:21463623:21467020:1 gene:gene-LSAT_9X19721 transcript:rna-gnl|WGS:NBSK|LSAT_9X19721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSESAPLITDEDVNPRSRFETARSRSENPSSGETYLKTLRSGGAMDLSFVPQFFCSGGDVTRLGGVWRRLVCPKSFNLIMFFISSEEMAGAGSSSNRSWADLVKGNNGPPDHPTKSFNVDLGEIGGWIKLCEALAWCGNLFGDIDFIDTEKLDYTLVRAVTKEMWKNAELYDDLAGKAKAQHRMSEYNKYSELAKKLRIGAQNQQKKDSIRLFDKRQKECMTVFELNCHDQHQPDAYNMLVIHLWIASQIRSIQKLKLITGYGKTTGTCVLQPMFMKMLDDIGIHYSFEENNPGVLIIDVEDIGTNFKLG >cds-PLY85604.1 pep primary_assembly:Lsat_Salinas_v7:2:120265833:120266198:1 gene:gene-LSAT_2X54941 transcript:rna-gnl|WGS:NBSK|LSAT_2X54941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPIRQVEQVEQEYIPEPAFERRQRPRHVEHDQPEPTLRDVMRRLDVAHGSIVGSWLRLLGLCNIWGWTIHLFLRQILLHRDTDDDNVKVRRTRRVSMRAVMSRLMLCIMILIFAYFAGYI >cds-PLY82615.1 pep primary_assembly:Lsat_Salinas_v7:8:175706196:175706891:1 gene:gene-LSAT_8X115021 transcript:rna-gnl|WGS:NBSK|LSAT_8X115021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSRNQLQVTHVQSQEGVKVCDCVVPAKERTCWKITNPVRRFWNCQNSMKDEEQADGYYKNRLYSLKQKLDAKDEMSEMNNLRRRIAEVEFLLSQEQYKVAKSEKEVHDAMKAIGRYRIIVALLVGCLALCVLKLGGSM >cds-PLY77968.1 pep primary_assembly:Lsat_Salinas_v7:1:24504397:24506036:-1 gene:gene-LSAT_1X20241 transcript:rna-gnl|WGS:NBSK|LSAT_1X20241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGISRNWNSGIQFPLLRTAPPPVRSSAVFLDRSNRKKLLHYPTMQLANNPIRQTHYNILNVKEDATHEEIRSSYRSALLTSHPDKLQNTSISNHRDLGSQFLHIQTAWEILGDVKSRALYDQELRVSRHDELTADEVELEDLAVETSGDGDGDGDVVELFYQCRCGDYFSLDSLELREMGFEILIKEGEKILLRAHDGASVTSILLPCTSCSLKIRLVINKDTWP >cds-PLY85156.1 pep primary_assembly:Lsat_Salinas_v7:9:147168235:147171776:1 gene:gene-LSAT_0X6780 transcript:rna-gnl|WGS:NBSK|LSAT_0X6780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNILWKDPGLPTDSFYEVRAECTDVPKTKFKIKSGKTLSVRKWQSSFSPEGHLDIGQTLGRIYRGGIHPTIRGEVWEFLLGCFDPKSTYEERKEIRLTRREEYGRLKELCTYMFPLVGSGKFITAPVITEDGTPTQDPIVLLEANPEKLMTPTTQATINSGKEISVPKEEDNKIIQWKLTLHQIGLDVVRTDRTLVFYEKQENLSKLWDVLSVYAWFDKEVGYGQGMSDLCSPMIMLLEDEADAFWCFERLMRRLRGNFKCVGASVGVESQLCNLANVIQVVDPKLHQHLDHLGGGDYLFAFRMLMVLFRRELSFGDSLYLWEYDPDLYNLYEEPDSDRPEDAKAKPKSSRQCGKFEREILRSGAKDEETLPISVFLVASVLKEKSVKLLTEAKGLDDVVKILNDTSGNLDAKKSCSGAMKLHKKYLKKVKLEKAAS >cds-PLY71941.1 pep primary_assembly:Lsat_Salinas_v7:3:25554140:25557182:1 gene:gene-LSAT_3X19020 transcript:rna-gnl|WGS:NBSK|LSAT_3X19020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGRRGGKSHQKNVDENWTFRPLHDHNIHQEILSDPISSTEPSTSSSAKPISDFIRKTPKSPRNPRSSRWVSRNRGSGTQFENKSELGSLNFHPQQGKDDGDRDGNKGKAESLQDEKEQNKKKESSEGFGANKDDVQEDDEDDVVKRLERLRLFGEEPDLSEELLSINDQLQEDEILAMESIYGENIFILDKQSGLRSFQIHVYIETPEELTISTKLNSNNSEDFSYSFEVQYLPPIVLTCLLPKSYPTHLPPYFTISTQWLNSSKISSLCSILDSIWKEQEGQEVIYSWAEWLHSSALSHLGFNKEIILGPYGVQYNNDPRAISGCVSPDVDIPSLKSYNDNQRVEDFRKNFHECCICFSEFAGTEFIRLPCQHFFCEKCMKTYANIHIQEGTVTKLSCPTTKCGGMIPPGLLKRLLGDEQFEKWESLTLQKTLESMSDVVYCPRCETPCIEDEDQHAQCSKCFFSFCTLCREKRHVGITCLTPEMKLRILQERQSSCQMKDDQRKREQEMIQELMSVKEILRDAKQCPGCKMAISKTEGCNKMVCQNCGKGGACELFPQEEIRQWEEQMNPRQVMGQIQAELFGDRGHSCPQCGQINGKVGNNNHICCWSCQGHYCYLCRKVVRRSSQHFGPKGCKQHTVG >cds-PLY72407.1 pep primary_assembly:Lsat_Salinas_v7:3:188036074:188037745:1 gene:gene-LSAT_3X112100 transcript:rna-gnl|WGS:NBSK|LSAT_3X112100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLLIIVLLLAFISHEPVAIAQFLEPYPYTSQVAPLRKHADAATPLYSIQMEIAIWVYIPDIYKNFIIDIDAPFTWHDCTVDWNSWIYESSNCFGCTHPVSCEEYACTDVRTSYSYESPSCLPVTNTSTLPGSGDCICPVNVVNPVNKKCGQALLNFDTFTFRGSDGKNPFLDSYVSHDNAACAPSSMFESFPANVSGVMAFSSSRKALPALLFQPFNNTFALCLPSSLSARGVMFFGIGPYYLLPKSDVDLRSLLSYTPLLKNTNSFGYFIGVKSITVKGRSIDVSKTTTKLSTIDSYTILRADIYKQVVRMFSVATLGILRARPVAPFAFCLKNRIGHSLANLKMPNIVLKLQGGKKWRISSSNSMQQVRKDVACLAFVNGGATSEYGIVIGTFQMENNFLLFDLENSTFGFSSSLLSHKTSCSNFNFTTLNH >cds-PLY89425.1 pep primary_assembly:Lsat_Salinas_v7:4:63274516:63276510:1 gene:gene-LSAT_4X42761 transcript:rna-gnl|WGS:NBSK|LSAT_4X42761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKTRNQNHDDGEASSSSRKKRLKTYDNGGVGPWSHLSNDVLFLVMMQLGIFDFLSFSRVCKSWRSFALCNRKSFMASKPPMSMLLSSHGFCCLEDFEGRKLKTIIPHCVGRKCVGLTCGYLILFGRKTKDFWLVNPITRHELNFPAFCLPNLYIVKATLVFSSSLNDWVFVVSHKNSFNLWFSVSGKGAWNHIPSSYPILDLHTFKGKVYTINKNNKYRVCEMTLNPEPKLTFLEMKNISKLYVSHLVFISSGENLYVMDQSSQDSCNVEELDFGKMEWVLPEKKTIEEYAFFLSTWNGGAAIKPESWASAAQPPSKYKRYSYDYECMRYITDESQKGGFFGANMWYFPHECVNVDHIN >cds-PLY70169.1 pep primary_assembly:Lsat_Salinas_v7:9:1731925:1732383:-1 gene:gene-LSAT_9X3780 transcript:rna-gnl|WGS:NBSK|LSAT_9X3780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPDSEVESYEVDFEEGNHTYEDVDQPEVEAEEQVEVGGVEEQVEALVEVEVEEGEYQDAVLDQVEVKAEGQGDGQEGEELEVLQDPVGQDDQGQIAVEDPVEEEHMMELPTFQVLQGKRRRKPSERITKIQIRKKWDGKQGSSGENPMELE >cds-PLY85769.1 pep primary_assembly:Lsat_Salinas_v7:1:47911629:47912622:1 gene:gene-LSAT_1X41801 transcript:rna-gnl|WGS:NBSK|LSAT_1X41801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRDTRSTKKVSESSSLLQRNLSLVKTEEPQLDDPTQNSSKRVGETTSAASKRSSACLDTQPTLKTEDPYTVMQTDIPAEKKTPEGSHGAVDLWRGIAVGREVKELLEAVEHCYPHTFQRVQIRVTRFWLGNLNELHVAIKRFMESSVDVLMEEEITGFHQDFKDLESLGFDLTWAHKRLNMVARLKFGNEPLHKEFMALEQSLGPLKERVDGTRKQLLEFHNMYRKASSEYEDATKARNKKAEEVAQEFGPDFDRILKDRLGFGMLPGY >cds-PLY88882.1 pep primary_assembly:Lsat_Salinas_v7:4:253512383:253516320:-1 gene:gene-LSAT_4X133160 transcript:rna-gnl|WGS:NBSK|LSAT_4X133160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAEMVVKKSKLKLKKPHDSNTLDPPTTNYIFKTLISSLESHEGIKSQLLKSTYYLLVQLSSKQQLSVGKGGDQNELFMKTNEGEVRLSLRDLCTLSNTLFKDLEKRLKQLHDVSLQLEEVNLLIRCCVVTLTMAFHLPQKHYLESGRAFLLIFKKLSLLQVADMKNSHSSCQCMCIGENSSDSFAEVASLHLFHPCIPSITTILEVFIDELLVHGRVRKYLHLIHSLSPANQCLFKHGPNSADFGILMEMIFAHFSLSISNEGYLEEFLNKITWAQSDDSHKSLGLSITAARILLQNPVFLSSPNLLQAHIVSLVSNVINLDIITEMPSRLIHHYLPLFESSVTMYTRHMSKLKTENHSANNSGISVILHTESSRPSFESCIEPTKRANLDETITVLNYSWNLNLRRQFFKKKLDLLGSCMEYIDETAPHVLDIACRDEVISFLKCMLTRVANDDNDIQLPLNGDASLQDICLLASLLMLMSNSLIQSIWCLKNQQHPMEYDFILGIIKCFKEFSIRLPIQKFSYNIMESCNESRLMLIHFLGLLSLGFDSGLDFLVKSCISVIMALTNLFVYEEGNIDALKILADPRYLSSQTETSLTIYEKVKFLSAYASNDSTPTQGLDPDSIEETCSGEMYLKTRLLGSGNVTDFDDLADFVECKKGKDYVDWLKDRDKFRKRKISKRVKRMWDKKKQAWRSMRGKA >cds-PLY70411.1 pep primary_assembly:Lsat_Salinas_v7:9:124164754:124167077:-1 gene:gene-LSAT_9X83260 transcript:rna-gnl|WGS:NBSK|LSAT_9X83260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMRSIYGGFAAALKDLNVWVMNMVPLDSPDTLPIIYERGLFGIYHTDMPPLAGRQTMLFSATFPREIQLWDALGQETDKRSHLMDLLQARIDNGSDKFLSRQKMEPIHLTIGYVAIRFPLLQFMETEHKSINSKLILAIKKIHADETKSNHATDSNVKTVMESALSCRYEKPDQVKPFFDVKGVETVCRDTCEAGWIITKERKMAKANRSTSEKFARLVGLMKANSSGVRRGKESSS >cds-PLY65741.1 pep primary_assembly:Lsat_Salinas_v7:5:269976389:269979258:-1 gene:gene-LSAT_5X140541 transcript:rna-gnl|WGS:NBSK|LSAT_5X140541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIKKTAISFLPNCFKIQGSIRPLNKPKKKSAIASHNSFPRISFTDLSSSTISEDISNSLAGSNLHVFTLAELKLITQSFSSSNFLGEGGFGPVHKGFIDDKLRHGLKAQPVAVKLLDLEGLQGHREWLTEVTFLGELRHPHLVKLIGFCCEDEHRLLVYEYMPRGSLENQLFRRYSVSLSWSIRMKIALGAAKGLAFLHEAKKPVIYRDFKASNILLDSDYTAKLSDFGLAKDGPEGDETHVSTRVMGTHGYAAPEYLMTGHLTAASDVYSFGVVLLELLTGRKSMDKSRPNREQNLADWARPQLKCHRKLNRIIDPRLEGQYSEFGLEKAAELAYQCLSHRPKARPNMSTIVKTLEPLVDFNDVQIGPFVYTAPKEEKKESKKDVNGHHHSHNYERNRTKSPLSPHVVHSDPNVHHRSVGLWPSSPMQQQRLKRG >cds-PLY82310.1 pep primary_assembly:Lsat_Salinas_v7:1:66947296:66947838:-1 gene:gene-LSAT_1X58060 transcript:rna-gnl|WGS:NBSK|LSAT_1X58060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTYTDEHTSTISPSRIFKASIIDSHILMPKLLSDAIKSVEFIKGDGGAGSIKQINFVGGFVKHEIDEVNEKTFTYKYSLIEGMGISDKIEKVSYDIKFEGSPDNGTIAKMTTTIYTHGDFELKEEELNAGKEKVLGIYKVVEAYLLKNPDAYV >cds-PLY63043.1 pep primary_assembly:Lsat_Salinas_v7:8:76083007:76083240:-1 gene:gene-LSAT_8X54680 transcript:rna-gnl|WGS:NBSK|LSAT_8X54680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVVDLLRKEQAPPVPSLVRERDEETKEARAAEEAPVLLLSQKERRRGDGEMVRWKQDNNNYSAGGCLVVMESMKEE >cds-PLY85007.1 pep primary_assembly:Lsat_Salinas_v7:4:223247676:223248986:-1 gene:gene-LSAT_4X123600 transcript:rna-gnl|WGS:NBSK|LSAT_4X123600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREKGSSILSLRLYLIREERRTEAARGRSSVLQRFLVASFVRKETRKKRGGVRRSFFGRTSVIDNHGSRGWGVRWSRSREEGKASSVLGWTEKKRKIRMKAAVNHRFANIEIDSQTIFDMNYE >cds-PLY74087.1 pep primary_assembly:Lsat_Salinas_v7:9:10855009:10855981:1 gene:gene-LSAT_9X9761 transcript:rna-gnl|WGS:NBSK|LSAT_9X9761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASISASTLTLLPKKRSTLHVHGLQTTATCQMGKVRCSTEKVMKRESMPRLVTTGVSSLVATTVAATMSSPIAVALVDERMSTEGTGLPFGLSNNLLGWILFGVFGLIWTLYFVYTGSLDEDEDSGLSL >cds-PLY98158.1 pep primary_assembly:Lsat_Salinas_v7:1:140752585:140760066:1 gene:gene-LSAT_1X102000 transcript:rna-gnl|WGS:NBSK|LSAT_1X102000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSEFSEGSSSSSSTHDHRYDVFLSFRGVDTRKSFTNHLYNALTHANITTFLDDEEIETGEDLKPELESAIRGSRASVVVLSENYAISTWCLDELVLILEQRMKCNNVVIPIYYHVEPTHVRKQENSFGEAMAKHRQMIEAETDENKRNQWAQKIELWNKALREVADLKGKDANGRLEVEFIDEIVKDIFRRLHISSRFPLPQLIGMKDSIKFIISWLKDASSHMPDILTILGMGGIGKTSLAKYVYVLHSHEFDKSSFIADISRRCDEKYNGMIDVQKQLYGDISKPSLVQIRDVSIYTSMIESVVARKKVFLVLDDISSIDQLDALLGSKRFHPGSKILITTKDAWLTQSCSPFKTKIKPNHAEHKLEGLSTTESQKLLCFHAFMCNDPKPGYEEVSQKIVKYCEGHPMALKVLGRSLHNRDVTYWEGYIDRLKKENDSPINNILRMSFDSFPSENDKDLFKYIACTFVGMDRDDVVTILEACGIETKIGITNLIDKCLLSIGWNNELMMHQLVQEMGRFLVREESLYKPWERSRLWGHESFMVLDQEKGTKNVLGLTLDMRMLEKKKLNGSLEFKTDALSKMDRLMLLQLNYVQIMGSYKNFPKELRWLCMHGFPLKSIPSDLSMENVVALDMSYSNIESFEICYSYPQRLHKRLKQLIGSCTKDKRLLGSLKILNLSFCEQIRSVRGFDHLPKLESLILKGCIGLLEVCESIEQCFELVLIDLSYCKKLEKLPRSLGMLKKVKTLLLNGCYIGESQIKIRDMDSSEMLKSNNIRINTITSSSTVVLHAMPSYSKFSVISLPRSLVRLSLENNNLSTESFPTDFSCLYMLKKLYLDENPIVSLPSCVKTLPRLETLSMRDCKMLTTVEHLPHTLTHLNLHFDSNKPLLRKVVFDPQMSPLQFSLGRRIVAFSSFECEGMVKIQPMAGVEEKLLCSLGWTKLDFLNGKHVTTSSSYGESEESEIQMYYEFGIFSTIYEGQEMPNWITDRTTGLSMSFTIPSSPNHLTGINFCCQLASPFPDEKLVLVDYVLLDFPVIKLSNITKNLTWIYDHYIDSVYAGGDCVILLSHWMFGMNEMECGDHVIVSVRWAPDDIGDAVSKECGVSFVYDDGKKEEEKEEEEDVLGYYKSWNHIIGGDLTAFQTTTGEYILSKDRILWPSLDIALLNYYYLCVEGAHFKDKIFSFKALSQRKSGVPVDHGP >cds-PLY71761.1 pep primary_assembly:Lsat_Salinas_v7:3:45998901:46002736:-1 gene:gene-LSAT_3X35120 transcript:rna-gnl|WGS:NBSK|LSAT_3X35120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCFFACFRVRDDRRPPIHLLSQPIASNPKDRESGARNHLSTLLLFEAEDRDQSPCKDRKCGVLGSASPNFNSTELKAEAKFLKACGTLPQTPVEIRNNEKLKDLEPQNKDTKSSTCHSWLQNDSLEKLKLEKQSDLQPSAIKLFEEWDNKSDSSSHSLDSCVTGHANQAQSPTASSTPPVANTQFRNKTVRFNSEIDASSFSSSSSSSEVTSQEPNSKHSPYPTPLSLTNDMQTPGTVFHASFHNKETGKKPRIRSQYVIPVLSPVENATQLKKLVEESFSSDDSSTQFEEHYKSQVEREEKELNVDTSLSSWLPPKQNHQGSILPKIGKTPGDRPILGMVAAHWNADEAASFPPKWWDGNGIPNSTHKYNEDQKVSWHATPFEERLEKALSEDKLTAERKQLGKVPLVDMDEKDECESTGRTLQSCSRFESGYVLINSS >cds-PLY85743.1 pep primary_assembly:Lsat_Salinas_v7:1:47945024:47945737:1 gene:gene-LSAT_1X41741 transcript:rna-gnl|WGS:NBSK|LSAT_1X41741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMANKDDNRSTNDAVRSTRRVKIKLDHVKTEDPEPDPIDNSSKRRKTDSVDDPHGNEGMWRGNVVGPEAKELLQAVEKQYPNTFQGVQIRAKPIWLNILKELHLGIKSFMETSVDELVEEKITGFQEDFEEFEKFGFDLSWARKRLDMVDRLKFGKEPLQNELNALEESLEPLKERVKRHLKQIMEAHDMWKKAQLEYDNVKDARDKKAQEVELKFGAEYDRVLKGHLGFGMLPGY >cds-PLY78873.1 pep primary_assembly:Lsat_Salinas_v7:5:306450448:306455800:-1 gene:gene-LSAT_5X166341 transcript:rna-gnl|WGS:NBSK|LSAT_5X166341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILKGNARNYKKEIGDDFVSVEHLVLAFPLDKRFGKQLFSNLQLSEKSLKDVVQVVRGSQKNDEVIWQVLRHKHCSYMAKITVGIFCRNEYNLTGICNRSSCPLANSRYATICDHDGVFYSYMKTIERAHMPNKLWERVKLPRNYEKTLEIIDKNLMYWPKFLVHKEKQRLTKMTQMCIRMRKLALKTREKIMTSPRKEKKREARREEKAEKAAILDKNIEKELLERLKNGTYDSEIVNARAEAFNKFIEQFEGPEMDVNEEYEVETEFVEGEYEEEDDMEDSNGLPTDSDDEDDDEVAVGRKRGRKDSKYALKKQERDAKKKKGRVLVEELGINKILIVDWDVYHGNGTQKTFYKDSQVLFFSVHRDEYETFYPCGDDGSYDMKGDGEGEGYNINVPWENGKCGDADYIAAWDHILILLQENLNVFKGGLKTPSATQVQQITERLSSFGNIQGKNVFYWFQNRKARERQKLRKKFMNLFQQHHLYPPHHQPGLPLHHVSGLEDASSWKGDLPSAQTCNLMCDCRFVTMMMMDHGGTAPYCTRVPPKTLQLFPVTTTISADLKQDDQSSNL >cds-PLY68393.1 pep primary_assembly:Lsat_Salinas_v7:8:22719811:22721437:-1 gene:gene-LSAT_8X17140 transcript:rna-gnl|WGS:NBSK|LSAT_8X17140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASENMTLKLLVNKATQKVLYAEATKEFVDFLFHLFSLPVGTLIQLVGSKQMAGCLGKLKESLESFNQIYLQPGVNKNNIFNSSTTLNGNMFLLDDVSAKDKPATSTTKLYTCSVLYRPSKNNNCSGYCTENPSTLCPSCVRVMSYPLTRIGTPVVEPDVKVKGGFVKEVVTYMVMDDLVVKPLSTFSSIAFINSCGVNDMTQLEERTLHIGEEEALKLLKASLSTNSVLTSLLQKIKVEGGGSRKRSREVGGGGAVEQAI >cds-PLY97608.1 pep primary_assembly:Lsat_Salinas_v7:5:239115395:239117277:-1 gene:gene-LSAT_5X117400 transcript:rna-gnl|WGS:NBSK|LSAT_5X117400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKEKMRVPLLPEDNSSHRRTKLGVLFHSSEPNIIHTAGDEQQEASTSSSSHHHHSNVSFPVSPSALSPNTSPANYFMSPFHQTSPFSKSPWNLPFMNAPGDTQLTGLVGSLVREEGHVYSLASSGDLLYTGSDSKNIRVWRNLTEFSGFKSSSGLVKSIVVSRDRIFTGHQDGKIRVWKYSDSKRKAHKRVGSLPTTVDFIKSSLNPGNYVEVRRHRNVPWIKHFDAVACLSLDEEHGLLYSGSWDKTFKVWRLSDSKCLESVKAHDDAINSVVAGFDGLVFTGSADGSVKVWRRELVGKDTKHMLVYTLLDQETAVTSVAVNMVEAVVYAGTSDGLVNFWERRKHALVHGGVIRGHRQAVLCLAGAGSLLFSGSADKSICVWRREVGGFHSCLSVLTGHSGPVKCLAVQEHPENDDGGVREWMVYSGSLDKSVKLWRVAEKPWMK >cds-PLY98076.1 pep primary_assembly:Lsat_Salinas_v7:4:78111226:78112401:-1 gene:gene-LSAT_4X53741 transcript:rna-gnl|WGS:NBSK|LSAT_4X53741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAVLQCLMAIVHRAFETALSWLEAQICETGDAAEVRESTLAVHACFLIKSLSVREEHIRDVSVNLLSQLRERFPQEQLCKANTWQKAQPTTDVVSLLSEIKIGTGKTDCWKGKKTANIPAVMASAAAASGGNLKSTEAFNIEVLSTALNEHCKFWIDDEQWPLSIANSQI >cds-PLY99172.1 pep primary_assembly:Lsat_Salinas_v7:6:129032774:129034425:-1 gene:gene-LSAT_6X78141 transcript:rna-gnl|WGS:NBSK|LSAT_6X78141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G06790) UniProtKB/Swiss-Prot;Acc:Q84JZ6] MASVSTRRTLTSIFSRLRSSPSSSITYRSRFALPLLDHHYQSPQLAPRIPVRLKTSGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPTDPKPSEDEMINSYVKTLASVLGSEEEAKKKIYSVSTTTYTGFGALISEELSYKVKGLPGVLWVLPDSYLDVPNKDYGGDQFIDGQVIPRPQYRFADRQQNTRPRPRPRYDKRRETMQTIRREPVQREGWAHDRRDTIAQPPAAYPAAQNGGGGFTEN >cds-PLY70443.1 pep primary_assembly:Lsat_Salinas_v7:1:75247267:75249039:1 gene:gene-LSAT_1X63501 transcript:rna-gnl|WGS:NBSK|LSAT_1X63501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEIEGSPGTSMHGVTGREPVLAFSVASPMVPTDTTAKFDLPVDSEHKAKVFKLFSFANPHMRTFHLSWISFFTTFVSTFAAAPLVPIIRDNLDLTKADIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLIMMSAPTVFCMSFVADASGYIAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLLMPVLFEIIKKAGATPFTAWRIAFFVPGWLNAIMGIFVLTLGQDLPDGNLGALQKKGDVAKDKFGKVLWYAVTNYRTWIFVLLYGYSMGVELTIDNVIAEYFYDRFDLQLHLAGIIAACFGMANLLARPFGGFTSDYMAKRFGMRGRLWNLWLLQTAGGVFCVFLGLVNSLPLAITFMMLFSIGAQAACGATFGIVPFISRRSMGIISGMTGAGGNFGSGLTQLIFFASASISTAKGLSYMGIMIIVCTLPVSFVHFPQWGSMFFPASQDIVKGSEENYYVAEWTEEEKQKGMHQASLKFAENSRSERGGKVASAPTPPNATPNYV >cds-PLY89196.1 pep primary_assembly:Lsat_Salinas_v7:3:20536420:20538056:-1 gene:gene-LSAT_3X15221 transcript:rna-gnl|WGS:NBSK|LSAT_3X15221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVAVKPVRKFPPPCWTRDEALVLIEAYRERWYALHRAFLRNPDWDTVAEKVTTSCPDVTPPKTSAQCRHKMEKLRQRHRAEKQRASSFPGGRFFSSWFYFEAMEAMENGPSSELVNNNSTNLEINNATPVSDHQSLNPGRGIRFKPSSVQNLVTLAASSTNHTPDFDSRFSNHNSSYTNNWSNQEDDNEDGYLDDSTINETPIHPGYKNHKTSPFTGGIRLKPSNPSHHEPAHLRPARKFSKVVHEHEVDEDGEVWVKVPRNTNLFQGNHQNGNSWNPNPNQGKKRGNGGIQEVASSIKLLGDGFMKMEKMKIDMAREIERMRMETEMKRNQLILESQKQIVDAFLKGLIETRKQPRTETMAD >cds-PLY74275.1 pep primary_assembly:Lsat_Salinas_v7:1:175136505:175142167:1 gene:gene-LSAT_1X115601 transcript:rna-gnl|WGS:NBSK|LSAT_1X115601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKILVRILKSVESKVRKSQTSAKKRAISIFTTMSVAHVDDEVSDAEVYNTEKLFCNGDIYIGQWATDTPHGNGKYLWSDGCMYLGDWNNGKIHGKGKFSWPSGASYEGDFKNGFMDGEGTFTGSVNDSYKGSWVMNKKQGKGTTNYANGDHYEGEWKKGFHNGQGRYRWENGHQYIGQWKNGKMNGNGTMMWENGNRYDGSWEDGLPKGNGTFHWLDGSFYAGIWSRDQKEQSGKFYPSTSQVPHDDWDPHQLFSVEMGECLICEGENIVIFPSDKLFYWSNNEEGMPQNPPPTTTRGIDTNGNGGNGYLGGSLKIRMQPAKRQGVTICKGHKNYELMLNLQLGIRHSVGRPAPTKSLKLKPTAFDTEQKLWTRFPPEGSKHTPPHQSCEFKWKDYCPLVFKTLRKLFNVDPADYMLSICGNDALRELSSPGKSGSFFYLTHDDKYMIKTMKKSEVKVLKRMLPAYFDHVKSFENTLVTKFFGLHCVKLSGPIQKKVRFVIMGNLLCTEVPIHRRYDLKGSSHGRITDKPETEIDANTTLKDLDLKFIFRLQKDWYQEFCSQVNKDCDFLEQERIMDYSLLVGISFQEPNRQAPEVNAEADSNGTTPSLSTDMDSLINPTKCSCLRLGIKMPARVEFTVRSNDTQLVGEPTGQFGDVIIFFGIIDILQDYDISKKLEHAYKSFQCDSTSISAVDPRFYSKRFKDFIFRVFSDDS >cds-PLY65871.1 pep primary_assembly:Lsat_Salinas_v7:4:86077758:86078173:1 gene:gene-LSAT_4X56741 transcript:rna-gnl|WGS:NBSK|LSAT_4X56741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKNASLFVKMAAVLSLFLMLVVLSESRFTLIDGVGVQKAKSALVCSQVVGVEAGDDCTIISKEFGMSLASFLAINPNINCESVFVGQWVCINGSA >cds-PLY69215.1 pep primary_assembly:Lsat_Salinas_v7:1:79897827:79900461:1 gene:gene-LSAT_1X68460 transcript:rna-gnl|WGS:NBSK|LSAT_1X68460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G51110) UniProtKB/Swiss-Prot;Acc:Q8LAP6] MVCSLDVANLGIRFNPLPHIDTTFINQRRIRPRSVIAFPTSDCLKHRLLRRISCSTVDEQQIQQAFSDAENSLISSLIGIQGRGRSASPQQLKEVEQAVTVLEATKGVPDPTSSSLIEGRWQLIFTTRPGTASPIQRTFVGVDLFSVFQEIYLQTNDPRVSNIVKFSDSIGELKVEAAAAINDGKRILFRFDNAAFSFKFLPFKVPYPVPFKLLGDEAKGWLDTTYLSESGNLRISRGNKGTTFVLQKQTEPRQRLISAISTGRSVIQAIDEFMSLNQTKDEQQLIEGEWQMIWSSQMETDSWIENAANGLMGSQIVKENGRLKFLVDILFGVKFSMNGTFEKCDTNIYDVMMDDGAIVIGPYGLPVELVTKFKLEVLYSDDKIRISRGYSKILFVHIRVGS >cds-PLY95843.1 pep primary_assembly:Lsat_Salinas_v7:5:64638554:64638868:-1 gene:gene-LSAT_5X31401 transcript:rna-gnl|WGS:NBSK|LSAT_5X31401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRKQVGGQREWQHLVAVTHNNSGGSKLFGWQSDGTSEVSSLVVRYDWREVTRLERSCTVVRGGVERMRWAEQGWQSTSSHSSPSLVIVADSKGGVDGEIRERQ >cds-PLY77165.1 pep primary_assembly:Lsat_Salinas_v7:8:25909076:25910678:1 gene:gene-LSAT_8X19980 transcript:rna-gnl|WGS:NBSK|LSAT_8X19980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTLFSLRNTGITKHLLFSGESLTPIPTASSTTHTVNENLSVVLGGDVYPVEYYDGFSMNQVLDPHWGVLYEEDSTGHKSHTVNILVNNARGVLNLVTGVLNIRSS >cds-PLY65720.1 pep primary_assembly:Lsat_Salinas_v7:5:274069794:274071963:1 gene:gene-LSAT_5X142361 transcript:rna-gnl|WGS:NBSK|LSAT_5X142361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLELTGLFSRLASQIETGNGDTDNADQSDDVLVAALNQSLNLSEQSRVRVLDTALSLMCFTSPQVFDSVIEYSVNTIVSVLSSLIECEVLKSDKSEVLRIGGYISAHDCVRVMESCVDVLGKLTEHGMLSRSLLYAVVRVAVMRTQFQYTMQLTPVFNVQSTEEMSHALSKLVYYIPKTIPTNNQELQLRLLIWYLDPQTLLEDISQLLQEAIGRPFICLNDEFYEKLKWRSVIIFLALSPLFFIETRSLLHTWFLHTGLDSVLELQVGLVSMLLDLLSRPIYWGLSAEIGSKLPFSNAYFLSNHNLLRTFNEPLSYDGFLELVHKIKDSTPQTNTISMVDHKSTWSIAMNFPDWFYFASFLLSGRSFDYLQQTSSCSAAASWYISWILDPVTESVSGILAEKLSKLSKPLVINLSTIRIWLKEFQDVKAIDIQKNAMFRRITFGILIGSYSSITEDGFELLLHYVTTGIILRSTESQHTRLKEAVACACSVFNLTDIAERISDSVCDAREIAVEIICQIKLRVVKYLIKCVNSLLQFQIDQNNLLLYKDLHRRMLRWRNQGKDVFHGYKDLDDAINTISSKLLHS >cds-PLY92224.1 pep primary_assembly:Lsat_Salinas_v7:6:74123510:74124685:-1 gene:gene-LSAT_0X36321 transcript:rna-gnl|WGS:NBSK|LSAT_0X36321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCLSKSWNAILSEPSFIKSHLHRSIQNNKNDGILLFFSKLFSFDSKPFTTRPYRSPNLELANFIKLPLKPQPENSRSKVIGSVNGLICFKYGSNHKPKHMLCERDPDSYFIYGSDHGSEDIYIWNPSLSALITLPPYSMPSHSIQKFFRFGFDPKTDDYKVVKITRRLLISPNAIAYMEWLPVETYSMRKGSWKFITQSVPSHVQNIYDFDDLCVDGHAGHLHWHGGYYLGELVSKTILAFDLGAETLSEITLPDSVNGDNVNNVSTIIGVLAGKLCVISKTLPDYDCVVWVMDEYGVPKSWMKQHVFPLFRGYIYPYGFTLQNKFLFQVSFQVSGFSHALYDPVAANTKIFKLGNDDDVKVVDYVDSLVWLTPAEQRGRSCCSISQFQF >cds-PLY69379.1 pep primary_assembly:Lsat_Salinas_v7:2:3143527:3149872:-1 gene:gene-LSAT_2X720 transcript:rna-gnl|WGS:NBSK|LSAT_2X720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSDGGFQSISEERAADEGCCRFRCGNAEKQIEVKGLDWGPKWRPDFTMRGGANLQKYFDFAEHSSVLGAHRGELRQTVKGQCSLTMSSVIYSLLEMTSNNDPMYSFPSSNQYPYTNPITPPTYPSNYQYPSGAYYLPPPRTAVPFPAPYDQHHVMGMDPSARAFVGAWYPSCGYCAPIMEIDVHIKKETLKIVPDQEDPEKFLVEFTFDAFVACSITLSVFEELGEDMSPTIEDLLPSITVDFPQGFGQKFRQKSGTGIDLRLYRVNDYTEVYHLQIKAQKSQCISEDGSMVSGSIISQYTLAEFEKEKDECQVRVTSQFLKVNNVEYDIGRCGIYFWNDPGEQYRKIGKLLVSNIKIGMGSSGTIVFKGSYDDREVAVKRIVKEHYDVAMNEIANLNACDWHPNIVRYYGVEKDQDFVYVALEKCVCSLHDLILSHGNLGVQLEPTTDVFKDLKLWKPNGYPSSTLLNLMRDTVRGLAHLHELKIIHRDLKPQNVLIQKYTYICAMVSDMGISRRLAADKSSLTKSTTGSTGWKAPERLRNERQRRSGDLFSLGCLFSFCITGGKHPYGDAIERDINILNGKRYLSSVEKIPEAFDLISRLLDPDAESRPKATEVYNHPLFWDPEKRISFLRDSSDHVELEDTGSNLLKSLKDIKHYKDWNQELDKTLITDIERWRAYNYNSVRCLLRAIRNIYCHYGNLSKASQMLFKNDPTEVDGYFSHRFSKLLMDVYEVLKKNCVEGQIHDKYYKQYQF >cds-PLY76332.1 pep primary_assembly:Lsat_Salinas_v7:4:139363:144249:-1 gene:gene-LSAT_4X1741 transcript:rna-gnl|WGS:NBSK|LSAT_4X1741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVGISMAEPVNQSASGGLFWSTANGNGHLARNAETDESLNDHDELDGGFPSLDGMLQWAIGHSDPAKLEIKAHDVQQLSADELQKRQMEIKELVEKLEMPSDAKLMRIAIDDLNNSSLSLEDHLRALEELLILVEAIDNANDLHKLGGLASVIRELSNSDPGIRVACAWIVGKASQNNPVVQKQVLELGALPQLMTIVKSSVLEEEAIKALYAVSAIIRNNLNGLKMFYSQGGDQMLQGILSNATADVRLRRRSVSLVADLAEYQLEYSSKLEVPFFSNCALVRQLIDLTASGDLDLHEKVLLAVKNLLMLKSTEFLVVDGFCGLHGALEKMRQQLQESILEGNQREYATDVEDLCKEVDFIYLEKLKKISQVPT >cds-PLY85548.1 pep primary_assembly:Lsat_Salinas_v7:2:196240209:196243054:1 gene:gene-LSAT_2X117020 transcript:rna-gnl|WGS:NBSK|LSAT_2X117020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METVDSSVKKDEFDSFNSIATSSSSSNSVTSSKESCSSPAPLGWPIRQAQVISKCDVFKDKLKTKTHFEGESKTKKMSTTVSEMEMMKERFGKLLLGEDMSGSGKGVCTALAISNAITNLCATAFGQLWRLEPLPSDKKQMWRREMECLLCVSDHIVEFKPSWQTLADGSRHEIMSCRPRSDIFVNLPALRKLDNMLLEILDSFTSTEFWYVDKGITTPEPSFSKRQEDKWWLPVPRVPANGLQEATRKRLNYKRESANQILKAAMSINSVSLSEMEVPESYLESLPKNGRACLGDVMYQYITSEQFSSECLLDCLDLSSEHGVLEIANRVEAAMYIWRKKPLPAKTSWDMVKDLMVDGNKQRDLLAERAESLLISLKHRFPGLTQTSLDTTKIQCNKDVGKSILESYSRVLESLAYNIVARIDDLLYVDDLSKQSNNRASSRVSTSSHKRVSVSVSSTGSPYRPSFGTPKFSPGPPLVSPARGDRTPFLTNNGNKPPSRGCGVRRALTSYLAGGESRVRNSCRLIEGPAASLSTRNAEPPTQKENRTPARAQRGTS >cds-PLY63999.1 pep primary_assembly:Lsat_Salinas_v7:8:41145377:41149784:1 gene:gene-LSAT_8X31621 transcript:rna-gnl|WGS:NBSK|LSAT_8X31621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVRFPLVTRFLKNVGGMDSGIGRAGGLQGNMDRGRVVDGRSPNRSPVRQNRKLDEMYDQLRSEYESVKRSAIQPANNFFSRGGDSDLFSSPANMMDNRDQMFSLRGQISVKAGQQVNQIGSITECIEAVKMSKHAGWGVMASHRRGGRIHLIRAGKHQVAFLVKGPIYLVCISCTEEPYESLRSQLELLYGQMVLILTESISRYFEKNPKFDMTPLLGGTDVVFSSLFHSFRNPASFLNAYTYLPLPCAARQAVAAILQDVSDSSVIYSMLMSKYKDGKAYEAVQECEELKKKCLYL >cds-PLY92100.1 pep primary_assembly:Lsat_Salinas_v7:4:106576850:106580215:-1 gene:gene-LSAT_4X69040 transcript:rna-gnl|WGS:NBSK|LSAT_4X69040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQFFTRSISNSNDFSWSNFHNPWRLVSSSPSKLISRYYRFTSLPVLPRAIIATPSSQGNASFLVNFSQPTVISSEVHGSNRVTELKEKIRALMTDSNPIILMELVDKIQRLGLGCTFEEDINKIMKYLVQGHPNDDLYTVSLRFRLLRHNGLHVNPDVFGSFMDANGKFKESLSEDIEALLSLYEASYMGADGEDILSEAREFTTRHLKKSVFKLTPLLRNKVLQSLKLPRHLRMERLEARMYIEEYGNEQDHIPILLELAKLEYNEIQILHQMEISEITRWWKHLGLTDKLPFARDRPLECFLWTVGLLPERKYSTKRIEVAKTISILLVIDDIFDTYGSYDDLVLFTKAIQRWDMQEIEQLPEYMKICYMALCNTTNEICYEILKEHGLNVLPFLRKTWIEMVEAFMVEAEWVKRGTEPNLKDYIENGVKTAGTYMALVHLFFLIGEGVTPENMRTLTDSYPSFFSISGTILRLWDDLGTSKEEQERGDVASSIQLLMKEKNITCEEEGRKYILQFIDSSWKELNKTLVVPNTLPISIIRIALNMARASQVVYQHEESSYFSRVDNHVKSLFFAPIQI >cds-PLY78067.1 pep primary_assembly:Lsat_Salinas_v7:9:25270111:25272543:1 gene:gene-LSAT_9X22980 transcript:rna-gnl|WGS:NBSK|LSAT_9X22980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGEKKTGSGNEPQDMDQDQGHHIGSRITSTLVSDLNKVLSDVVSMSKTMERLGARVEYLEGELPKFLIKQHPPSDIPKNLIEPQLLIRPFSEHFDLRSFRCWLKGVEEAFNYCFVPEDEQVDVVSCKFLPDGEASKWWKRIQDISMQVDKKSPINWPKMKRLLMAKFLFPYC >cds-PLY77694.1 pep primary_assembly:Lsat_Salinas_v7:9:18835216:18835850:-1 gene:gene-LSAT_9X13841 transcript:rna-gnl|WGS:NBSK|LSAT_9X13841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLATVYRRLLQTDIQVPPAAANGSSIDGGYTAGDDSFDNNMMIILAVLLCALICALGLNSVVRCLLRCSQTFVFEHPGQPTARTASNGREQGWLSDIPVVVYQSEMKIPTTDCPICLGDFSEGEKVRNLPKCKHWFHVKCIDKWLLSQLSCPICRQLLFELDEV >cds-PLY92596.1 pep primary_assembly:Lsat_Salinas_v7:7:161482192:161482822:1 gene:gene-LSAT_7X93780 transcript:rna-gnl|WGS:NBSK|LSAT_7X93780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRAFLLLALAFAVVLLITSEVAAAKELAENTDSQINVDSGHSRYRGGGGHGGGGYKGGRGGHDNGGGRGGHNNGGGSKGCRHGCCGGRGYKGCKCCSTFEEAVAYKQTQN >cds-PLY65484.1 pep primary_assembly:Lsat_Salinas_v7:8:32593455:32596914:1 gene:gene-LSAT_8X25680 transcript:rna-gnl|WGS:NBSK|LSAT_8X25680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDMEDSLFEGMVLFDPSSSSSSSQLPVDDDKKQGGAVELPNHREISQQPPQSPTSAATTTAGASFEPLDENLFSDLTLIQPQSQEDAYSSLDPPLSPSSSSSRSTIDVPTTTFASPSQLSTAIDSTSVSDSRGVVSPARSLSSQISSTRKKKRAGLRIGYGRAAQSQDSTIDADDTQPQIRLPSPSISPSPSLPPSPSLPIVAAEKNLEPGEEKQQILPDSGSGSVSTVAEATTSAVEERIKINEAIPNSESVDSTLKNTPQEEEITELQSRENSVEFRYDQIKKQIADKLNGAHQAVASVSAKRKESIRKRRKAEEELNLASAKHKEMEKELEEAVESEDFETAERVSDSLASAERNKELLSVALRDAEADCDAIDSKMQEALELQIVTEEECAALLQSFVVDADHEADAVISNAETKTSAEMEKWISLSEALEVKRIEIEIESHVLSGARQVLDDSIEQVVKEDREESNLLHNKKNILAEELQELLSLVKQKESEIAENDSKIEVIEKKIADVTSSFQEAQSTIHSKSNVLQSNLSEIESDHESLSRKKKEIDDFLIQEETKGSKIKELSRISANEAEMYKEVVNLRKSLVNFISKSREEKARLATNEQKLFDDVQIFKQDISSVRASLQDLSSTKSGTQQEIESSKQRLVFIDKRIPELESEKKVAATARNFKEAARIANEVKTLNLEKETLQTKIEEAVSELKKIEDDISESVERLKEKEEKILNMEKELEMVRYQRLLLVGNGARGERLAAMEFGDVKEGEILLKEAEAADSEARKIEGNCSKEESVVISMELVSSLDRNQLSELVASIQIVES >cds-PLY68692.1 pep primary_assembly:Lsat_Salinas_v7:7:84684695:84685607:1 gene:gene-LSAT_7X58180 transcript:rna-gnl|WGS:NBSK|LSAT_7X58180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGTSVTLLFDSWKTDSLFSYSLTLIACLLFSVFYQYMEDRRLRFKLLSSSAVSNNTDGAVDATPLLKTKIFARGGRWSVGRFTGSVLFGINSALGYFLMLAIMSFNGGVFVAIVVGLAIGYLLFRSGDDEQVVVVDNPCACA >cds-PLY81869.1 pep primary_assembly:Lsat_Salinas_v7:8:119965503:119965814:-1 gene:gene-LSAT_8X84420 transcript:rna-gnl|WGS:NBSK|LSAT_8X84420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKILVRWRKRNTNENNPKVDRWSSGRIREYGQATQEVIASYRSSGREIAASRCFTHPEWRMKRGQQWLIEQLLCSFVSEEGYEGRELGVARGFEGKEARKEFI >cds-PLY82040.1 pep primary_assembly:Lsat_Salinas_v7:9:157797898:157800299:-1 gene:gene-LSAT_9X99600 transcript:rna-gnl|WGS:NBSK|LSAT_9X99600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITSRVPICQVVGYTAIAKYCSHATLQANSLSHEEITALTKRNTRWWNRSCERVLLHCQWRETREKWCGEVLVGSLSNYDKQRKALLPIITFQ >cds-PLY63430.1 pep primary_assembly:Lsat_Salinas_v7:7:148327763:148331177:1 gene:gene-LSAT_7X87581 transcript:rna-gnl|WGS:NBSK|LSAT_7X87581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRSALTVGPGMDLPIMHDSDRYELVRDIGSGNFGVARLMRDKHTNELVAVKYIERGEKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFPEDEARFFFQQLISGVSYCHNMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKRITMDEIRNHEWFLKNLPSELTKENAMDQFGGPDEPSQSVDEIMQIIAEATIPPIGANNLNQYLTGSLDIDDDMDEDLESDPDLDIDSSGEIVYAM >cds-PLY81060.1 pep primary_assembly:Lsat_Salinas_v7:6:131999616:132000953:1 gene:gene-LSAT_6X80341 transcript:rna-gnl|WGS:NBSK|LSAT_6X80341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNRDASTEATTATATPGLIISKTETLQSFLSTSINNPHLSPDLRQIASSLSLRPSSVPYKSLRSIWLASDPSTRPALSAVVTGSHFILNSPQPREKSEELKARLRKYEEAAERKAYKELVKDITPRRDESEPFSSYKDQLGFGLHVALTMFTGYLVGYYTFRALFGHSPVMHAAGGILGFVVGMLVETVLFMIRTTSQDRMKTTFTASKLKKNQ >cds-PLY84343.1 pep primary_assembly:Lsat_Salinas_v7:3:150495667:150496914:1 gene:gene-LSAT_3X97381 transcript:rna-gnl|WGS:NBSK|LSAT_3X97381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEENKESSSIKHQISNLEGGSSNIDNQNKHTEAINEAEDPLDHNKISGETQNPVIHNSISGEDEDPLDHKKFSGENDNPPIYNKISSEAELTLDHNKISETENPVVDNIISGEAENLPIHNKLSGEAEDPNDDNKISGKTENPLVHNNISGKSENPLIHSMSSKDENLPILNKLSSKAEDPLIDNKNSGDASPTSFSSSSNLLELDYDENAFDISFSISEEDEDATNTRQWSMVSASPTAVQELPLSPENASPKQSPVVQVMERTDSYDPNRIPSSTFSRKLTDTTEWSVDSSDSLFSLHLGKSGELNRADSSFRLPTVIETTSEIDQKSTIGEIQNQSDVPIVSANGNGVNPSETPCDSITTLQEQEQQPPPPPVPEGNVEENVAAETKSDDRVTTPKGRCRCFNCFSCCTFCG >cds-PLY78048.1 pep primary_assembly:Lsat_Salinas_v7:4:183832628:183834944:1 gene:gene-LSAT_4X107461 transcript:rna-gnl|WGS:NBSK|LSAT_4X107461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWLAVVLGVVPLVGWLFWWWNDIWYGFATASLRSSQGGTKLLPGYMGLPIIGEMFTFLWYFKILRRPDDYINFKRQKYGDGVGMYKTHLFGRPSIIVFSPTATKYVFRAEESFVLEWPNVEIVGRSALVAVHGKTHARLRSFVSRSINQPEALRQIAEIVQPRMISSLKSWAESGNINSYKEIKKVTFANIGMFFASFEPGPTLDALGQAFIGLISGVRSYPLNIPGFAYHHALKCRKTSVALFREELEKRRKNIEDGSAKPMNDLMDGFMKLKDEDGSQLSDIEILDNIISVLVAGYESTTLASMWAVYYLAKYPKVLQKLREENMSLKKVKNEELVTSDEILKMKYTMKVVDETIRLANIAAIVFRTTSQDVNYKGYTIPKGWNVMLWIRYLHTHPDNFNDPMCFNPDRWDALMASGTYQVFGGGSRICAGNMLARLQLAIFLHHLSTGYKWALVNPEAKVIYLSHPKPEDGVEIAIQKL >cds-PLY63780.1 pep primary_assembly:Lsat_Salinas_v7:6:23243636:23245325:1 gene:gene-LSAT_6X18160 transcript:rna-gnl|WGS:NBSK|LSAT_6X18160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTSEKFALRKVVDDNPSLAPSETRSNLIKDHQKPFLSNFENFGKLYFDFTSSPGVQNTSKAKDFQLKENEEGSAFSSLGIVKDYASRSRKMNVDTMNVPSSNNEDPKTNDQKLSTTARIRMASQQFIDSYSSKDDETSQRSHPFAVSFSGLSDDEAKDIQLLLTLLASAEKIGQKQFKCAIKLIQLCSNRSLNEGNPVERLVYYFSEAIHMKINREMGRLACNERESMQIFDLREALMNVDTCISEFHLKVPLSQVCQFSSIHTIFENLNKATKIHVIDLESCRTGIQYIVLMQAIASCSEWHIDHIKITAVGTSSESKIKDTCKRLADFAKSMSIPFSFKIIMIDDVLDFNVDLIERNEGEKVAVYAPFFLSTLIAKPNSLEYLMRVIRKIKPCVTVITEVEANHTSSAFVDRFTEALFFYGALFDSMSDCLADDDLNRKVMESVCYGNSIRNIVAADGDERTIRHICVDVWRKFFQRFGMLEIELTDATLNETNLVIGNFNCGNSCSVLVDGGCFLVEWKGVPIFSVSAWKFI >cds-PLY62869.1 pep primary_assembly:Lsat_Salinas_v7:9:130507471:130507929:1 gene:gene-LSAT_9X84601 transcript:rna-gnl|WGS:NBSK|LSAT_9X84601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVDNRQWQSALELYWRWRAVTSPVMLQLVAGETQLEMVTEYMSFGKGKLNKRGNHLAATKKLLAATFLGNSTRPFWILSIVGSGEIRRAKMSSHVSQRIDTNNDDISPLKALSLLLSAGKNPIRWIMFRTKGRVRIESGVSPTDLRGTH >cds-PLY65415.1 pep primary_assembly:Lsat_Salinas_v7:1:60570113:60572043:-1 gene:gene-LSAT_1X51401 transcript:rna-gnl|WGS:NBSK|LSAT_1X51401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSSCFFKVNHLVCENVEDEREFDYARDGHMGPEKWGEIRKEWSACSNGTMQSPIDMSSQRVEMVVTSNKLFRNYKASNATINNRGHDIMLAWEGDAGSIRINGTEYALKQAHWHSPSEHSINGRRYDMELHLVHLSADDKIAVIAVLYNIGAPDHFLSKLTVNITAMIDQKGEHGHSGVIDPKEIQMSNRRYYRYIGSLTVPPCTEGVVWTISKKIRTVSKDQVKLLREAVHDYAENNARPVQPVNHRGIRFYGPASRQ >cds-PLY76034.1 pep primary_assembly:Lsat_Salinas_v7:5:318667218:318668523:-1 gene:gene-LSAT_5X175200 transcript:rna-gnl|WGS:NBSK|LSAT_5X175200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRIILIFLSATLAGFFVLRNIKSQSDTSSDTDAATAAADDSTSFCKSSPSASVGRSSSKIYGAFVNGFWTCVDMASGRYLWRNLVSASKQSD >cds-PLY66925.1 pep primary_assembly:Lsat_Salinas_v7:7:20046130:20047386:1 gene:gene-LSAT_7X16541 transcript:rna-gnl|WGS:NBSK|LSAT_7X16541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNQLASSFLCSSSSSSSSSRRDVSRSSIHFPKIGTNNLSNLIPKIQSNMGLVEEIDFSSFSHKNTSKNPRACPDPEVTEKLYVISEAVSDRLEMHKNIGEQRNNWNSLLLTSINTITLSAATMAGIAASITTIPGAPLEALKISSTFLYLAATGMLVIMNKIQPSQLAEEQRNAARLFKQLESQIKTKIAIGNPTLSDVNESMKKVSAIDKAYPLPLLGVMLEKFPAKTEPAVWWPEKRRTTAKGRNGNNGWSVELEEEMSEIIRVLEVKDKADYLRLGDKALKLNKALAIAGPLLTSFGALGSAFLTSSPHNSWAMVLGVMGGAMASVVNTIEHGGQVGTVFEMYRSNAGFFKMMEDSIESNLKERDVESRENGEVFEMNVALQLGRSLSELREVAASSSRNGVDIEEFGSKLF >cds-PLY75972.1 pep primary_assembly:Lsat_Salinas_v7:5:248160920:248163379:-1 gene:gene-LSAT_5X124900 transcript:rna-gnl|WGS:NBSK|LSAT_5X124900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCGICGHYHKYEEGEVCGICGHRMPVGPEKTLIQVSAFPSEILPELLYLGSYDNASRSELLKTLGISRVLNTVPACQNLYKNSFTYHCLPDSPTIAFDDAVAFLDQCEKDKARVLVHCMSGKNRSPAVVMAYLMKSRRWTLDQSYQWVKERRPSVDLLPAVLQQLQEYAQKIQAVVEGGVVALPPISGGGAAPFSFGFTNPGNIPPAFPAFNTAATASIFSRADIPPPNEFTFGAAAVENTPPQNLGPTSVNPNATDVSMDGS >cds-PLY99161.1 pep primary_assembly:Lsat_Salinas_v7:8:113774230:113776917:-1 gene:gene-LSAT_8X75420 transcript:rna-gnl|WGS:NBSK|LSAT_8X75420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g12100 [Source:Projected from Arabidopsis thaliana (AT3G12100) UniProtKB/TrEMBL;Acc:Q0WSX7] MERSGVSDQHTDSRYPFDYQKLEAETPRSWNAGDFDRRFAFSRQTSFRQSGEQPPHTPVSIITNNHSSKKPILSRTSSKIDIFPTTKVFPQQREYGGDRIWMEEDFSSFSKSEKFSISAFLLSFYNAIRSGNRPMKRLVLLISLNVACSTAELLIGLLSGRAGLVSDAFHLTFGCGLLTFSLFAMAASRRKADRIYTYGYKRLEVLSAFTNSLFLLFMAFSLAVEALHAFVQDESEHKHYLIISAVTNLFVNLIGIWFFRSYARVSLVYRNAEDMNYHSVCLHVVADSIRSAGLILASWLLSLGVENAEVLCLGLVSCSIFMLAMPLFKTSSGILLQESPPSIHSSALAKCWRQVASLEDVEVSEARFWEFVPGHVVGSVSLQVKEGVDEKAIVGFVRDLYHDLGVQDFTLQLDTSA >cds-PLY62386.1 pep primary_assembly:Lsat_Salinas_v7:7:108176579:108183897:-1 gene:gene-LSAT_7X68861 transcript:rna-gnl|WGS:NBSK|LSAT_7X68861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFTTSPISVVTSPNSSILPYVSLSASLSQIPGRPSTFVPASVKRVPVKGSRTHSTSTPPPQSDMSVTVPMPAEDEPFISSRNRDGDGAVSSSQTVPKKNKNKYSIRSISCYGVDLTPDNCAVAMVYFVQGVLGLSRLAVSFYLKDDLHLDPAETAVITGFSALPWLIKPVYGFISDSVPLFGYRRRSYLVLSGLLGALSWSLMAGFVDGKYGAALCILLGSLSVAFSDVVVDSMVVERARGETQSVSGSLQSLCWGSSAFGGIVSSYFSGSLVHAYGVRFVFGLTALLPLLTSAVAVLVKEQRMGRGSSKDTTTTSTSILQLWQAVRQPNVFLPTLFIFLWQATPHSESAMFYFTTNKLGFTPEFLGRVKLVTSIASLLGVGLYNGYLKNVPLRKIFLATTLTGTALGLTQLILVTGLNRQFGISDEWFAIGDSLIITVLGQASFMPVLVLAARLCPEGMEATLFATLMSVSNGGSVLGGLFGAGLTQVLGITKDRFDSLALLIIICNFSSLLPLPLLHLLPNHNPLPNPKPKEDVPVQFKSS >cds-PLY80591.1 pep primary_assembly:Lsat_Salinas_v7:6:13152067:13154705:1 gene:gene-LSAT_6X9700 transcript:rna-gnl|WGS:NBSK|LSAT_6X9700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPYASLQDAETAIGRSLTAAETFWFNYSATKSDYFLYCHNILFLFLVFTIVPLFYVFIELLFSKNVIAYKIQPKVKFSFADNLNCYFDVMRMFLLVVGPLQLVSYPSIKMIGIRTSLPLPSLMEIISQLFVYFLVEDFTNYWIHRFLHCKWGYEKIHKVHHEYTSPIGYAAPYAHWAEVLILGIPSFLGPAMVPGHMITFWLWIALRQIEAIETHSGYDFPWTFTKFIPFYGGADYHDYHHYVGGQSQSNFASVFTYCDYIYGTDKGYRYQKKVLEQLRDGKGNDGSNASGQDIKSE >cds-PLY84713.1 pep primary_assembly:Lsat_Salinas_v7:5:228689640:228693414:-1 gene:gene-LSAT_5X108481 transcript:rna-gnl|WGS:NBSK|LSAT_5X108481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLIHNNGICPEPEPDTGGWGIQIVESEFPVMKKTQTGAYLTWEDIGVSVSSSNRSVGKEQILTGVTGYAKPGEIVAIMGPSGCGKSTLLDSLAGRLASNIRHSTGRILINGRKQRLTYGTMSYMAQEQVLTWTLTVKETVYYSAELQLPKSMPKSEKRQRADRTIREMGLQDSLNTRIGGWGIKGLSGGQRRRLSICLELLTHPKILLLDEPTSGLDSASSYYVMNQIVKLTHEYQMTVLTAIHQPSSQVFGLFNNLCLLSLGKAVYFGPTFAANQFFAVNGFPCPDLQNPADHYLMTINTDFNEDTIGGKILVEKVIEELAASYKSSNIYKKVRSDIDTLCAVEGDIIEKKGSLQANFMTQCLVLTERSFKNMYRDLGYYWLRLCIYIGLGSALGTLFYQIGLGFDSVNARISMLMFVSTFLTLLAIGGFPSFVEEMKVFQLERLNGHYGVGSFVVSHTISSTPYLLVISLIPGAIAYSLVGLQREPRLFIYFSLVVFVSMLLVEGLMMLVAAVVPNLLMGIISGAGIVGLMILGAGFFRLPNDLPYFFWKYPLYYISLHRYALQGLYKNEFKGLKFPQYVGGPPTVDGEMILNSALHIETGVSKWIDLGILFGMAVAYRIILFCTIKAIERVRPIIKAFMSKSTFGN >cds-PLY66631.1 pep primary_assembly:Lsat_Salinas_v7:3:64865991:64866380:1 gene:gene-LSAT_3X49780 transcript:rna-gnl|WGS:NBSK|LSAT_3X49780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSGEADPEEDYSFSLSEDSGVPTCITTTNKESMWRRHLDASNMILEEGRGYFLTIRGGGVIYYTSLALDTPIEQAISLLVPHTAPHSYSPGVLDYDLFLLRMTLILLTERVQYLEEERDMVEMQTLLI >cds-PLY72976.1 pep primary_assembly:Lsat_Salinas_v7:8:64804539:64806163:1 gene:gene-LSAT_8X46161 transcript:rna-gnl|WGS:NBSK|LSAT_8X46161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMCLVSRSGRELQRYDMGRRLVVGCIPYRYKNENGELEVLVISSQKGHAMMFPKGGWELDESVEEAASRECFEEAGVVGIVECELGKWMFKSKSQGIFHEGYMFPMLVAEQLELWPEKNLRQRVWMKVEEAREVCQSWWMKEALDVFVERINPPTFIEQDFLNSSVDIS >cds-PLY79662.1 pep primary_assembly:Lsat_Salinas_v7:5:254211971:254215527:-1 gene:gene-LSAT_5X127621 transcript:rna-gnl|WGS:NBSK|LSAT_5X127621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTPTNITIKGILGILMSCLDEKNKKRVISLGMGDPTAYSCFTTTHLVQDSVLQTLESHKFNGYSPTIGLPQTRKAISEYLSKDLPYKLTPDDVYITAGCTQAIEVAISILAKPNANILVPKPGFPIYELCAAFRNVEIRHFNLIPEKEWEVDLEEVNALVDHNTVAIVIINPGNPCGNVYTYQHLKKIAETAKKHKILVIADEVYGHLAFGENPFVAMGVFGSMVPILTLGSLSKRWIVPGWRLGWFVTADPNGIFKNAKNIERLKKYFDICGGPATFIQAAVPRILEDTKEVFFTRTLSILKNTSDICFRKIQEIPCLTCPQKPQGAMAVMVKLNVPLLKDISDDIDFCFKLAKEESVILLPGLTVGLKNWVRVTFAADPSSLEEALDRVKSFCLKHSHQQKVHIDLI >cds-PLY87242.1 pep primary_assembly:Lsat_Salinas_v7:1:51000884:51001573:1 gene:gene-LSAT_1X42801 transcript:rna-gnl|WGS:NBSK|LSAT_1X42801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSKINGDLKIPFEDIESATNNFSDENLIRRGGFGKAYKGQLLRSGQPIDIVARRLDPEYRQGKKEFLMEVTLLSALKHDNLVSLIGYCDEKGEKIIINKYETHGSLDRYLADPTLTWMRRLEICVGVACALKFVHYDEQRDFSVIHRNIKSSKILLDDNWKPKLSGFELSMKNTTARRHRLFLDRLSGTIGYIDPRYEKTGGVTHKSDVYSLGVVLFEVQMRHHP >cds-PLY97148.1 pep primary_assembly:Lsat_Salinas_v7:3:139202876:139205008:1 gene:gene-LSAT_3X92740 transcript:rna-gnl|WGS:NBSK|LSAT_3X92740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFMLIALGLFLTYALTRATLSIFQVGKPKNLPPGPTPLPIIGNLHLLGDQPHQSLAKLAAIHGPIMFLKLGRISVLVISSAAAAKEVLQKQDLAFSSRHIPDALTAHNHSTYSVVWLPVATQWRSLRKFLNTNIFSSSSLDANQHLRSLKVQELVAYCRKASHSGDSVNISRAAFRTSLNLLSNTFFSKDLTDPYEDSGKEFKELVGNMMEEAGKPNLVDFFPVLKKIDPQGIRRRLTLYFGKAFEIFEELIEERLGNRSKQDDVLNECLKFSEENPDQMNPTHIKSLLLDLFAAGTDTTSSSLEWAMTEILCNPYTMTKAKEELEEFIGKGKMVEESDILRLPYLGCILKETLRIHPPVPFLIPRKTQTEVKLNNYIVPKGTQVLVNAWAIGRDSTLWEDSLKFKPERFLTSSLDVRGHDFELVPFGAGRRICPGMPLASRVLPVMLGSLLNNFNWKLDSGSEHIELDMNEKFGITLQKANPLCVFPIPIN >cds-PLY93478.1 pep primary_assembly:Lsat_Salinas_v7:9:60175008:60175355:1 gene:gene-LSAT_9X52801 transcript:rna-gnl|WGS:NBSK|LSAT_9X52801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRHFPSITVCYNHPPLTTFISLPLPPYLINLNSMRPLFRSEKLKFEKPLLHHRCSYTDGYSKTEKRDDDGRRWLLFEHQTHWILILILLFFPTSCSSFSRIYHMYVCLNHLDLD >cds-PLY92481.1 pep primary_assembly:Lsat_Salinas_v7:2:152173855:152177632:-1 gene:gene-LSAT_2X76460 transcript:rna-gnl|WGS:NBSK|LSAT_2X76460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 5 [Source:Projected from Arabidopsis thaliana (AT4G36550) UniProtKB/Swiss-Prot;Acc:O23225] MGTDITETVENFPSPINIKVHSFMCTELMKLVESVLEIFPEIEAARPRCESGIHSLVHLNFAIDKAKSLIRDCSESSKLYLALTGNTVLSRCKKTKILLEQSLSQIQNMVPVMLASKISLIITELRRVKISLDPCEEEAGKTVKSLLKGYNNTGNSSEYENECIRIVALKLQITSQKALVIERRSIKKLLNKLVEGDNDQQKKQILMILLDLLKKHGHSIASVHEENDSIVQNQVYWSRRVDYSVDREEPPKGIFGRGESTPPEEFKCPISLKVMYDPVVIDTGETFERMWIQKWFEEGNDICPKTKRKLSNFSLTPNTTMKGMISQWCETHGVTILDPCVDFSTDVSTWENSSSSVTSLSSMYSLQLPVVDFSNLSLSSLENTRDMDVELSQELDDSLPWKSQFKFVEDLMARLNDDDRGCRFISSENLIESIVRFLKVARDINDVKAQRIGCLLLQILITKCRSIKILSNDAYELISEFLDSEMIEEALAIIENLSSHQNHRSEIASSRVLTQILKILDSQIIQFQTPALKILYNLTSNRNFRSLLSSDLIPKLVALSEDESLSRYGIAILTNLCGNQDNKSIIAETEGCVSFVARVLESRSCEEQEQALEILLSLCSQSIEYCRLVMDEGVIPDVVSIIMNGNDKGKAKAHEMLRLLKDVEEPVEESPPPVYDVLKDSNNFQVEKKTSSRTSSFLPKVLWLKKGKYRFCSYFAQTICAVLGGLI >cds-PLY89037.1 pep primary_assembly:Lsat_Salinas_v7:9:28225313:28227378:-1 gene:gene-LSAT_9X24801 transcript:rna-gnl|WGS:NBSK|LSAT_9X24801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSTSSVYIQVIEDVITKVREEFITNGGPGEGVLNELQGIWELKMMQAGAVFGPNDRSSSAKLPALGAPANTVRDLNVPYEGPEEFETPTADLLFPPTPLQTPIQTPLPGRIDHSYNIPTASTPITPNNHPPVEENDQSGRPSQFMQPPSSWLNQRPRLDVNVVYEEGDRETAHQNMTQDFLQLTSGKRKREEFPSQYRPSGYIPQQDGSGDVVADEFEIYNYQGVVNEDYNVANTPAPPELQGQTPSLVNQNDALDDDEDEALNENDDDLDDVDDDNDDDEEVNTQNLVLAQFDKVTRAKNRWKCTLKDGVMHINNRDILFNKVCDFVLTSMCD >cds-PLY95792.1 pep primary_assembly:Lsat_Salinas_v7:7:92158510:92162688:-1 gene:gene-LSAT_7X64420 transcript:rna-gnl|WGS:NBSK|LSAT_7X64420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLGHAIFRPPETPNEPMEFLSRSWSISALQVSKALAPPHTTGAPSDEITAADFEDIVANPFAFASSETSQLIMERILAQSQEVSPRTSGRLSHSSGPLNGSLTDSPPVSPSEMDDIKQYCRLKNPVNNQYRGGAASVATTGGGGGKTVGRWFKDRREKKKEETRAHNAQLHATVSVAGCVEAAEAMGAERDHLASVVSSAVNVRSPGDIMTLTAAAATALRGAATLKARAVKDARNIPAVPRGDKGSVHSIGTGSSSNGSTFSDDFVPEENFLGICSRELLARGCELLKRTRKGDLHWKIVSVYVNKMDQVILKMKSRHVAGTITKKKKNVVLEVLKDMPPWPGRHLVEGGHDRRYFGLKTVTRGVVEFECKNEREYDILTQGVCRLLTVAAERNNRL >cds-PLY99773.1 pep primary_assembly:Lsat_Salinas_v7:9:52958845:52959824:-1 gene:gene-LSAT_0X8080 transcript:rna-gnl|WGS:NBSK|LSAT_0X8080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAPQHHRLKNTCHRNRRVSREVEDSGCYEHRTYSVPRFDQYFIFTCTYLNQVLKDGLTAIHVPYSYGFAIMLLTVLVKVESTLAMQNLQLMLKAIQQRYEGN >cds-PLY97050.1 pep primary_assembly:Lsat_Salinas_v7:4:351556971:351558508:1 gene:gene-LSAT_4X173301 transcript:rna-gnl|WGS:NBSK|LSAT_4X173301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFIRFEGFILALLIVSFALQNLSVHADHHQVVFLVERRLMGGNSIKEAQEKDASAKKEPIHVAGISRIAGVSSKEKVGGRKMGMNLKKRDAEMDSRSSTGDAQKLNVEADKNASKEGPQDFLDNQGQKCTLETMAINPKRSLQKQVSSLNTNHIISNEDQSDEWRKLLEEADQKVMQMMRRDYSGMRRPRRKPPINNQEPRN >cds-PLY68743.1 pep primary_assembly:Lsat_Salinas_v7:4:185466174:185466513:-1 gene:gene-LSAT_4X108420 transcript:rna-gnl|WGS:NBSK|LSAT_4X108420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFDGLNLFLVVLFAFALFSAAGRTHQTTVQSLTHKEKDADTESEQVAHKNDYDACSMGGAWGCLENHNRRRSLR >cds-PLY86694.1 pep primary_assembly:Lsat_Salinas_v7:3:155728726:155730142:-1 gene:gene-LSAT_3X98441 transcript:rna-gnl|WGS:NBSK|LSAT_3X98441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETGGDSAPPPRRIPPMITLPPRSSSDTFLTGISPGPMTLVSNFFSDHYPDTDIYSLSQLLAGVLPSPAAENSPAPPLYNDYLSLLSPEESRTSQTSDPYVDNSSQIRSPETVSDSESGDSKPERVVALAKPASDGYNWRKYGQKQVKASELPRSYYRCTQVNCPVTKKIGHFLDGNTSEIIYSGRHNHEPPQLHKPAVVADEQAYELMPKRRQISIVLESFFLYMITKGHVKVESLVIDCRKTEVKCVDRSSSCRVVVTEPKIVVQTRSEIDILDDGFKWRKYGQKVVKGNIYPRSYYRCTYVGCKVRKHVERALSDLKSVVTTYEGRHKHDIPVVVKPSSNNEINFKAEIPFLLQLKEEKIMI >cds-PLY98928.1 pep primary_assembly:Lsat_Salinas_v7:7:48822684:48830089:1 gene:gene-LSAT_7X35481 transcript:rna-gnl|WGS:NBSK|LSAT_7X35481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATDNEHISPPFEEPIPCFSLMPASESESNVPLDEPSTSPVAAPLAFLQKFRLYETRSKYYMVGRDKSRRYWRVLKIDRLETSELSIREDSTTYTECECSELLRRIHEGNKSTGGLKFITTCYGIVGFIKFLGPYYMLLITQRRPIGSIGGHIVYAISKTEMIALSDSVTQINLTTWRHENRYKKLLSMVDLTKDFFFSYSYNIMRSLQKNSCNDETGNVLYETMFVWNEFLTRGARNSLQNTMWTVALVYGFFKQDKLSISGRDFRLTLISRRSRHYAGTRYLKRGVNEEGRVANDVETEQILIEDVPEGSPMKISSVVQNRGSIPLFWSQETSRLNIKPDIMLSRRDQNYEATRLHFQNLVKRYGNPIIILNLIKTNERRPRESILRTEFANAINSINKDLSEEKRLKFLHWDLHKHSRSKGTNALLILGRLTSYALTLTGFFYCQVIPEYKPDGCLRWPYFENEIGNDVKKEKEKLCVEKDNNNFVRAAMFQKGILRTNCIDCLDRTNVAQYAYGLAALGHQLHALEAITSSKLELDDPLAEELMGFYERMGDTLAHQYGGSAAHNKVFSERRGQWKAATQSQEFFRTLQRYYNNAYMDAEKQNAINVFLGHFQPQDGKPQVWEVDPEQYSTVYGNGQSIVDEDRRSLHKKSLSDGNIIRESKLPPSSSSLGKSLASSDQNKMMSESTPDVLACGSGLKYSREAFGDFKDGSFDHEKCDSFDCSNFVDLDWLSCEQQFMDRSIFKNSSSVAAENEIVAQTTPSTSESSMKGSKHADDNDTNDFEVVDDEFLNKLCGKPILNSNQEVNDDDDEVSDEDDEVVFPIFDENQEWDKMVPVLGMKFSNPLELKLCLTNYDVKNGYDLWEHVFQQIEGEPEVDANIEALLVQDNIEEEIQDEVEHEIQVNIQEEVQDNVEQEIQDNAKIQVRKRTRKTSEKITKIMLGKNIGRKEGSSNEHPLEI >cds-PLY66743.1 pep primary_assembly:Lsat_Salinas_v7:1:168228206:168229479:-1 gene:gene-LSAT_1X112881 transcript:rna-gnl|WGS:NBSK|LSAT_1X112881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNGNNNHLVTTAKANYPPYGIDFPNGSTGRFSNGRNTADVVAQLLGFENFIPPFATARREEIVRGVNYASGAAGIRDETAEHMGGRICMNRQLTNHAITILRLVNLIGNGSLAKVQQHLNKCIYTVAMGNNDYINNYFYPKYYQTSILYTPEQYAKILIKQYSKQLSKLYKYGARKFGIPGAGYIGCTPAMMKRFKTNTCVDAVNGAIIQFNAKLVTALGELESKLSGSKFIFIDPPQGYSSGKLLANSFFSSTTNFIYFVFLKEKT >cds-PLY79172.1 pep primary_assembly:Lsat_Salinas_v7:5:57749855:57751636:1 gene:gene-LSAT_5X27220 transcript:rna-gnl|WGS:NBSK|LSAT_5X27220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTLFIQHSYKYDVFLSFSGEDTRKSFVDHLYVALQRQGIHTYKDDESLEKGKKINVELLKSIQDSKFYIIIFSKNYASSSWCLDELVKIMECQKNPEHIAYPVFYDVEPSEIRKQLGGVGKAFAKHKKKGELKKWKEVLEEASNLAGWDLRNTDDGHEAKLINKIVEKISVELRFSNLNVDEKLVGMESRINDIVSSLETGAEDVRIIGIKGIAGGGKTTLARAIFDKIHFQFEGKSFVENVREVAKASLSGLQSLQEQVLSNVLNDKRITVGSVHDAKSMMKKMLSGKKVLLILDDVDDLDQMDALAGGVNWLKSGSRIIITTRDEQVLVAYRVMWIHDVSLLSHKEAICLFSRYAFGRDIPIQRYNDLSLKVVHYAAGLPLTIRVLGSFLCGKDELEWKDALNRLKTIPLKETQEKLEISYTGLEDDYKEIFLDVACLLKGWLKDDAIRALESCGFHARNGLRVLEQKSLMTISPYQRLGMHDHIAEMGRNIVRRLHPDEPLRHSRLWIRREIEDVLANDLVRMMFTYMWKNLTSFH >cds-PLY86579.1 pep primary_assembly:Lsat_Salinas_v7:1:9007373:9009996:1 gene:gene-LSAT_1X8180 transcript:rna-gnl|WGS:NBSK|LSAT_1X8180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKEQHEDIPDYNTKYELDDGNQEYDSPTENGENKDNHYNSRPDSEEEIHKTVPSKSDRKRGMTRLPKLKTEYVNSGGKKTCQNVSQRTIKARKMSKYDHQMGRGGYTTLRRKLIEENVISKEEIPPRSVMWCKGRESKGEFKDEDVKIMADKLMEHEKQIKEGQVNVEPGTDAMTLAFGKEKGGFLKGVGRGVTYNRYFNVPRSKGSSKEEIKDLKVALHNGKLELEKKDAELKALSTKVNEQDQTLKLVLAHLNAKGADFPNLCHTIGISSEKIVQTNETSPVSLKNNEPSEPVTPVIPKPNKKPVQTKSATAAPDAKLISMKSATVAKRKTTNKTVESKTTTINQNIPKVSLNNPIHQPIKCSLSYPYKRNIVARGTIHLSSERQFIHGVPLQDDCYKVSIDEVVVKTAFLPHQTGEFKLVEDAYKSFVPWPKYLVQTESEVPEIISHQKSTKRKPTYISSDALLKKTXEK >cds-PLY70158.1 pep primary_assembly:Lsat_Salinas_v7:3:12307750:12308013:1 gene:gene-LSAT_3X11580 transcript:rna-gnl|WGS:NBSK|LSAT_3X11580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQFIRHHSRAALLWSLLSFRREEKPGSKHHCPTLTLSPLPFFVRFRSDESELTAAVPPFTLLSADMHNLAAFTSSPPKAPLLRPFS >cds-PLY66762.1 pep primary_assembly:Lsat_Salinas_v7:8:71959353:71960015:1 gene:gene-LSAT_8X52681 transcript:rna-gnl|WGS:NBSK|LSAT_8X52681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQSDETGIRIYTPSPKLNQDPPPPPPSSALSPPNAGRRKKLQRTLSKGVQKTLSKTSLLVNFLPTGTLLTFEMVLPSIYGNSQCSAVATLMINLLLTICTLSCFFFHFTDSFRSPDGKIHYGFITPSGLKVFKPNLNIEVPKDDRYKIGLSDFIHAMMSSMVFMSIALSDHRVTDCLFPGHAKEMDEVMQSFPLMIGVVCSGLFLVFPNTRYGIGCLSA >cds-PLY80610.1 pep primary_assembly:Lsat_Salinas_v7:6:13977424:13978460:-1 gene:gene-LSAT_6X12261 transcript:rna-gnl|WGS:NBSK|LSAT_6X12261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQENTRNPFAVDLISDSVRSSLSNLILTGGNTSTFDSVFSHGSSSSSWVEGATIGSSMYLKQRDLILKFTDEFETKSPIGFSHQASNLYKKKLYRGVRQRQWGKWVAEIRLPRNRMRVWLGTYETAEMAAYAYDRAAYKLRGEYARLNFPNVKESTSLGLIGDERKLNALRNAVDNKLEAICRKVRREKAQKREERKKVTVVGNSGGGDESFSGSDMGSSSVSEDGFLKGENSPSGSTFSGELTMAEEVEIGGWSLARMPSYDLDSIWEILAN >cds-PLY93019.1 pep primary_assembly:Lsat_Salinas_v7:4:194256071:194258072:1 gene:gene-LSAT_4X112861 transcript:rna-gnl|WGS:NBSK|LSAT_4X112861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSVATICIDHSSSVLSFNLFVYVVVFVSVFAFLLAPGGLAWALSKPRPKTAIPGPSGVPFLGLLFAFTSSLTHRTLAKLSTAFNAKPLMAFSVGFTRFVISSHPETAKEILNSSAFADRPVKESAYELLFHRAMGFAPYGDYWRNLRRISATHLFSPKRVAGFGVFRQNIGLKMVSQVLSSMEENGVVEVKKIFHFASLNNVMMSVFGKSYDDFSENGSSGDGHELDKLVSEGYELLGIFNWSDHFPIVSWFDFQGVRKRCRNLVSKVNVFVGEIIEQHREKRSEAGGATAVSDGDFVDVLLDLESENMFTDADMIAVLWEMIFRGTDTVAILLEWILARMVLHPDIQSKAQSEIESVIGRERSVTHSDLDNLPYLHAIVKETLRVHPPGPLLSWARLATQDTFVGPHVVPAGTTAMVNMWAITHDERVWAEPDRFNPDRFLTEDVAIMGSDLRLAPFGAGRRVCPGKAMGLATVHLWLAQLLQNFKWVADGSVDLSECLKMSLEMKKPLVCKAVARV >cds-PLY70797.1 pep primary_assembly:Lsat_Salinas_v7:7:113508821:113509935:-1 gene:gene-LSAT_7X70200 transcript:rna-gnl|WGS:NBSK|LSAT_7X70200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFMMITDNHSIGNHPYNFQLHHGFAHPLSGTTTETLLPVMYEATTNLDFFKADSGLTYSLPISRKRSRDTYPFFNAPNMNQNLIQSTSTFLGQDISTQIQHQHLEIDRFLAFHTEKIRVQIEERRKKNSRTIIAALEEGMTQRLREKEEEIVKMAKLNWALEEKIKSLCMENQIWRELAQTNEATANALRSNLKQVLEQVLNDDFRNRNTAAGEDKAADDAQSCCESNNEEMRTLAEQDSSNSNMMMMMCKHCRKIESRVLLLPCRHLCLCTVCASSVNICPICKSTNNITIHVQMS >cds-PLY72570.1 pep primary_assembly:Lsat_Salinas_v7:3:199499541:199507144:1 gene:gene-LSAT_3X120661 transcript:rna-gnl|WGS:NBSK|LSAT_3X120661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALAKELQLKILGTKPRHPPRSLMFYGLPLTCWSTVWRGTLYCLLFLVEKSYRPLEHPRW >cds-PLY67411.1 pep primary_assembly:Lsat_Salinas_v7:6:107528526:107530343:-1 gene:gene-LSAT_6X67380 transcript:rna-gnl|WGS:NBSK|LSAT_6X67380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQILFIISTGQRSRLVGNATNDPPPAHPVLTLDPSYFYYSIHEDHGSTEFFDPLRGLSTETLEPVENTAVAKKLPTTQPTYHLSSKKEWTSFNKLLMKRFPVPKMISVSSSDELNDSQKLKEGGFKIVSQQEYIKRMHGLKDDIIRSWHSDDRVTTLKLSIKVARLLMDTSVAQFYPTIFVLGADIMDMLGDMVWDRIKQKAEFADDGTKICSLSDDFDANSICFEAKEEGSTKDY >cds-PLY84605.1 pep primary_assembly:Lsat_Salinas_v7:1:28996994:28999161:1 gene:gene-LSAT_1X24560 transcript:rna-gnl|WGS:NBSK|LSAT_1X24560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDTAGSGLGAMVPWFRKKIVDPFVKILRRGAEPKQLAFSTALGISLGVFPIVGVTVFLCGLAIAVLGSSVNAPTVMLANFIATPLELSLMIVFLRFGEFIMGGGHFPLTSDALKKVLTGEASMEIFRSLLHALLGWLVLAPLILGALYVILLPAFVILVHKFSNTSGPKLASPSSTELKLRVRDT >cds-PLY77818.1 pep primary_assembly:Lsat_Salinas_v7:8:13344373:13345337:-1 gene:gene-LSAT_8X10120 transcript:rna-gnl|WGS:NBSK|LSAT_8X10120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDNELEKARNNQRTRRRTSADINFGQTIPASKETYDFKLILLAYNTARSKLFLSILPLIMASRYFMCEADEILEEEVQPGSIYEIYHKNLPPRTHLQLRSVRVFMNFWLVNPNTQVGSGHAAMKIEEIGLEKELKYNAMVRWGVRRQVMFIGRHKDTSKTTQSSSSFVLGEEELKNEQDVNNGGYEEEEEEVDDEVKSDDHLNRRLKKTRNLR >cds-PLY75701.1 pep primary_assembly:Lsat_Salinas_v7:8:195335282:195336232:-1 gene:gene-LSAT_8X125721 transcript:rna-gnl|WGS:NBSK|LSAT_8X125721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVRERRRQSKLRLRLPEISERLPGFPLHLPPTTMSQQSTTTTVAEFETVQVLGQGNGGTVYKVVHKRTCNVFALKVVHADSDPMMRRQIFREMEILRRTDSPFVVHCHEIFEKPNGDIAILMEYMDAGSLDSLLKNGGSGTFTEKSLADIARKILNGLNYLHAHKIIHRDIKPANILVNKNMEVKIADFGVSKIMCRTLDSCNSFVGTYAYMSPERFDLDTRDANYNAYSGDIWSLGLTMLELYMGHFPFLPAGQKPNWVTLMCAICFGEPPSLPEGVSDEFRSFIECCLQKDSSKRWTASQLLLHPFCRQPEN >cds-PLY66820.1 pep primary_assembly:Lsat_Salinas_v7:7:17138151:17139604:1 gene:gene-LSAT_7X13601 transcript:rna-gnl|WGS:NBSK|LSAT_7X13601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGEMKNFVFVWTTVLASLLLCRKISKVIAPGTTRLLAFIPVFCIFFYLPLLLTAVHLCGTTSFFITWLANFKLLLYAFDKGPLFSNPPLPLSKFILTACVPIKISDKSQNKKISTQLNGKSDESQANGRRSEGKSDESQVNGKSEESQAGGASKKGNKSPINYSVKFMVFVLLLKIYEYGDQLHPLMKMALFCVHIYVVLDVGLAMVAYLARAIVGFELEPQFDEPYLATSLQDFWGKRWNLMVTGILHPTVYLPVRSISGRFLSRDLASLPAVTATFIVSGLMHELIFYYLGRLKPTWEVTWFFVIHGVLVSLELVVKRAVGGRFRLPALVSGPLALGVVMATSFWLFFPPFLRCETELRSCKELVAFMELVVRGRFVGPNDVSCPYY >cds-PLY78335.1 pep primary_assembly:Lsat_Salinas_v7:2:181194935:181195951:-1 gene:gene-LSAT_2X103120 transcript:rna-gnl|WGS:NBSK|LSAT_2X103120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSEKQEALVKESWEVMKEDIPALSLHLYAMILEIAPEAKGLFSFLKDTNEIPQNNPKLKSHAVKVFKMVCESAIQLREKGEVVVSGSSLKYLGSVHLQKGIVDPHFEVVKEALIRTVEKAMGEKCSEEMKSAWCEAYDHLAAAIKTEMKQEAAQIQTQL >cds-PLY99810.1 pep primary_assembly:Lsat_Salinas_v7:MU037944.1:368724:379805:1 gene:gene-LSAT_0X21180 transcript:rna-gnl|WGS:NBSK|LSAT_0X21180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIITEVFIASHVSAGSTPLITARRGLLKELGLNLPNDAFELLFVFQQECVTNGEKFINNEFNDVYLVTTLAPIPLEAFTLQESKVSAVKYISVQEYKHLLLKGDPQYVPYNFHGEYGQLFDIITKSNASLREWLNQQSQLSNFDMLKWKYYLINKCPWSSLDEDEAFLTTADSAVKLLPEATRKVAGWKVSSNPTTSDLYILPFSQEYSAFLAKAAELLHKAGDLTTSPSLKRLLHSKVEAFLSNDYYDSDIAWMELDSKLDVTIGPYETYEDVLFGYKAAFEAFIGIRDDKSTTQLKIFGDHLKDLEQNLPMDDMYKSEDVTFAPIRIIQLVYNSGDVKGPQIQAYNLPNDERIVKDRGTSMVMLKNISEAKFKLILQPIADLCIVKEQRELVDFDSFFTHTICHECCHGIGPHTITLPSGQKSTVRLELQELHTALEEAKADIVGLWALNFLISKDIFPKTLVKSIFVSFLAICFRTVRFGLTEAHG >cds-PLY62339.1 pep primary_assembly:Lsat_Salinas_v7:4:88289711:88290787:-1 gene:gene-LSAT_4X57701 transcript:rna-gnl|WGS:NBSK|LSAT_4X57701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSTPHQQIPIHYTPDDRLNRPLPNDGRQLRRHHTARYYVHRVKESLTTRVSKLICSVFLSLLFIVGLITFILWLSLRPHRPRFHIREFSIPSLAQGNGFAAAMATYNVTVRNANLNIGIYYDTMHLTLYYQNNLNIGEKPVLFPFYQSPKNTTILYGDLQGSTFQVDEARWAQFIADRNRGSVSFRLEVASSIRFKVATWESKNHKMHATCQIKVGPDGLLIIGSEKEMKCPVYFT >cds-PLY86984.1 pep primary_assembly:Lsat_Salinas_v7:5:258171188:258181420:1 gene:gene-LSAT_5X133321 transcript:rna-gnl|WGS:NBSK|LSAT_5X133321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRSDIYRANSSRRVSSSRRLGSIRGSRSVRTGIDIFSKSTREESDEEALKWAALEKLPTFDRLKKGLLFGSTGQTTEIDIDNLGVAERKQLLDRLVNAADEDNEQFLTRLRNRIDRVGIELPTIEVRYEHLTVEADINTGSRALPSFINFYLDIAEGFLSYFHVIRNTKRHITILDDVSGVIKPGRMTLLLGPPSSGKTTLLLALAGRLGKGLQSTGKVTYNGHELHEFVPERTSAYISQNDVHIGVLTVRETLAFSARCQGVGSRYEMLAELSRREKSANVKPDPDIDIYMKAAAAEGQEASVVTDYTLKILGLEECADTMVGDGMRRGISGGQRKRVTTGEIIVGPSNVLLMDEISTGLDSSTTFQIVNSFKQYVHILEATALISLLQPAPETYNLFDDIVLLSDGQIVYQGPRENVLEFFETMGFRCPERKGVADFLQEVTSKKDQEQYWTRRHDDYRFVTPKEFAQAYESFHVGQRLRNGLAIPYDKSKSHPAALTNKKFGLNKKELLKACVDREILLMKRHSFIYIFKITQLTIMAVITMTVFLRTNMHRSGLEDGELYIGALFFGVTMIMFNGLAEIAMTIAKLPVFYRQRDFQFYPTWAYAIPTWIIKIPISIVEAAIWTILTYYVIGFDPNFWRFLKHFLLLVVINQMASALFRLIAAAGRNLIVANTFGAFALLILFALGGFVLSRDQVKNWWIWGYWSSPMMYALNGIVVNEFLGHKFNKPFGSSTLGRFIVTYEGLFAETYWYWIAFGALIGFMLIFNLCYVLCLQFLDPYDKTQANATEHTESDVVPVELSSMATNGRNKNKKKGMILPFEPHCITFDNIKYSVNMPQEMKEQGVSEDRLVLLKSLSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGLIEGDIRISGYPKKQETFARISGYCEQNDIHSPHVTVYESLIYSAWLRLAADVNENTRKMFVDEVMELVELNPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGKEIYVGPVGRNSCELIKYFEDIEGVSKIKDGYNPATWMLEVSTSSQEQALGFDFSDIYKNSELYGRNKALIAELSEPRPGSSDLHFTTQFSQPFFVQCRACLWKQRCSYWRNQPYTAVRFSFTTITALMLGSMFWDLGGIVYASSVQPVVDIERTVFYRERAAGMYSALPYAFAQVVVEIPYIFSQAVVFGLIVYAMIGFDWTVVKFFWFMFIMFCCLLYMTYFGMMSVAVTPNTEIASVVAAAFYGLWNLFSGYIIPEPKIPVWWRWYYWADPMAWTQYGLVVSQLGDFDSLLNNGETVKEYLRHYYGFKHDFIGVVAGVHVGFVVIFAVIFSFCIKSFNFQKR >cds-PLY87319.1 pep primary_assembly:Lsat_Salinas_v7:8:132673556:132674798:1 gene:gene-LSAT_8X91000 transcript:rna-gnl|WGS:NBSK|LSAT_8X91000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCSLGLLCRIPGADGCGGGGGVASCGSIVAMSNDEENNSNNMVLESCSSYQDDNDDDDGGGLELGLGLSIGGGLKTKEEGSRILSDKGFSSCSSSSSSSVNIPSSSIVGTKRAAVDSISSPNATSVVGWPPIGRAHRNPILANRIKPEHDEFSSRPVNNDITNGYLSVKVNIDGTLIGRKLDLNAHTSYETLAQTLEDMFHGSLGSSRLFNGTSEFLLTYEDKDGDCMLVGDVPWQMFLCSVKRLRIRRDTKSNRPNKNS >cds-PLY65532.1 pep primary_assembly:Lsat_Salinas_v7:9:143988599:143989809:-1 gene:gene-LSAT_9X93280 transcript:rna-gnl|WGS:NBSK|LSAT_9X93280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPQRMKKGIMDNPKKLATLIDLVNLPSTLREFVGQSQSSRLGCFRRVWSYIKDNNLQDPKNKNIVHCDEKLKSILLGKTQVELEELPMLIKLHFPKNQK >cds-PLY78005.1 pep primary_assembly:Lsat_Salinas_v7:9:44423615:44425605:1 gene:gene-LSAT_9X39701 transcript:rna-gnl|WGS:NBSK|LSAT_9X39701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTSSESKDDIGEIAPFDPTKKKKKKKVVIQDIAEDDRLSEKIDNLSLADGVENAFVGMKKKKKTPVGSDLTNDGSILTGHGGEDEEDTNNSRQKYPWEGTDRDYLYEELLSRVFHILRENNPELAGDRRRTVIRPPQVLREGTKKTVFVNFMDLCRTMHRQPDHVMTFLLAEMGTSGSLDGQQRLVVKGRFAPKNFEGILRRYINEYVICNGCRSPDTILSKENRLFFLRCEQCGSGRSVAPIKTGFVARVGRRKAGS >cds-PLY90168.1 pep primary_assembly:Lsat_Salinas_v7:7:14513251:14518683:-1 gene:gene-LSAT_7X11921 transcript:rna-gnl|WGS:NBSK|LSAT_7X11921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSRLGSDRSSNPSRLNRTKRKLSSILLCGHTRNSNSPSSALELESPTSSIENLPPASIESITSAGVPSSFFDSEPVCTSSKTSESEPESCSSSAKNIAISPISSSEDKASTSYAGQPSQEPVSGNHGSESESTLPIDEGAPMNVNPITVSTEENLDSDSGRNQNDIVRNSDSNTRALLVLSDSFLSLRIFGSGITDSGSGVLPSDVEPDVISGRILLMDTGNVLSSGVSEISSREGRRNSGRLFGDTSARRGSRRDSGFPAIFFTAGLDDDRWLLDISGRENGYLTSHGRNEERRRFRSQMSERGLGGVDERENRTRFCASGLHRDGTCSCGGSSFLGEETGSFGSISRVVLLAQALSEVLDEIHRQPLSLSMPMLSLPAPESVVDSFLLKSHKKIDASETGPNYVQQCYICLVDYEEGDEIRVLPCRHEYHAPCVDKWLKEVNGVCPVCRCNVCDTPAAAAEGQVSNSELAA >cds-PLY67234.1 pep primary_assembly:Lsat_Salinas_v7:6:134218311:134223268:1 gene:gene-LSAT_6X82880 transcript:rna-gnl|WGS:NBSK|LSAT_6X82880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGVSKRFIPPKQGGEGVRVDQPKVVIRIESDQPRMDHEHGHGTIDIIADAPSIENSYVRSKVCAGSSCGFSDAKTSIKDAEERVASMWKLLGGVVVCFIFMVVEVIGGSKANSLAILTDAAHLLSDVGAFTISLFSVWASGWEATPRQSFGFFRIEILGTLVSIQMIWLLTGILVYEAIDRLLHGRVEVQGTLMFVIAFIGLLVNMFLIFILGHDHAHYHGHHGHTHGHEDDAEGLHVHRLSVATMHNHHHHHNESRHDEHEDHIQPLLNTDENKAKKRSNINVHGAYLHVLGDSIQSVGVMMGAAAIWYNPKWTLVDPICTLLFSIVVLYTTINMLRDILEVLMESTPREIDATSLERGLCEINEVVAIHELHIWAITVGKVLLACHVKIRRETNADMVLDKVVDYIKREYNISHVTIQIERE >cds-PLY71345.1 pep primary_assembly:Lsat_Salinas_v7:7:185207028:185209453:1 gene:gene-LSAT_7X110961 transcript:rna-gnl|WGS:NBSK|LSAT_7X110961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNANAPSSSSGGAGSPDSTAPRRNSKRPKYSRFTQQELPACKPILTPKWVISAFMLVTIVFIPIGLASLFASRDVVEIIDRYDNACVQGSKSQKVQYIQSNASKTCTRTLTVTKRMKQPIYVYYQLDNYYQNHRRYVKSRSDQQLRNRGDENDTSSCKPEHNANGMPIVPCGLVAWSLFNDTYAFSISNNQSLPVNKRHISWKSDREDKFGSDVFPKNFQNGSLIGGAHLNESIPLSEQEDLIVWMRTAALPTFRKLYGKIEVDIQAGETITVVLENNYNTYSFSGKKKLVLSTTSWLGGKNNFIGIAYLAVGGLCFILATTFTLIYLVKPRHLGDPNYLSWNRNPGGH >cds-PLY90405.1 pep primary_assembly:Lsat_Salinas_v7:9:112440972:112443575:-1 gene:gene-LSAT_9X78540 transcript:rna-gnl|WGS:NBSK|LSAT_9X78540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFGVLDGLVSSDNHNKNTLISCGDLDLKPKWFGSGGGFGKQESPISALATGTREDEWRDLKMAKIGEDDISRRSNGAQNMLSFSSPNTQTVTFPYYARHNTVSGYGAGAGAGGPFTPSQWMELEHQALIYKYITANAAIPSNLLIPIRKALESAAFSAYSGAHLRQNSFGWGALHLGFCNSSDPEPGRCRRTDGKKWRCAKDAVVDQKYCERHMNRGRHRSRKPVEGQTCKLTTSTAVLRHTSSQPVTPNPAATSSEDNMSVVNKASFEATVVPMSSPTSVDLKKNQFSIGGTEFGFVCSDSLLNPMHKSDLKSQNPLHQFMEDSNQGQLSISVSTNSDGFVSSTTSPTSHSLLRMGLGMGKMTTNAQHDVSWETSMGGPLGEVLNTSPVGPKLDDGGLGTTIGLGHLL >cds-PLY68012.1 pep primary_assembly:Lsat_Salinas_v7:8:249178886:249180334:1 gene:gene-LSAT_8X147540 transcript:rna-gnl|WGS:NBSK|LSAT_8X147540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHKFHQTSNEHLITEFAPRNSCASLTDLQYYPKSQYCYPKAQSNKQREKHFRKSFACIESARSDKDQNEQEPSDEVLFHGFLAIGTLAITEPETPTFATSVENITWKETEATENELNVINGELEKVLRSEGKEEGGEKKEDGAVVCPLQTYLFGSVVGLPETTTRKKEHRASLGELFQRTKMEEKETVGTKNNDGEKRKEKSAVHLMKKILKGRTLYPGSADKKPSKLQRMFHRKVHPENGAPKSDDLSLFPLVDTSKKGENFCTSDSDGNRECWIKSDTEWHLHLVIGCMSVPKT >cds-PLY81935.1 pep primary_assembly:Lsat_Salinas_v7:5:35846542:35848152:-1 gene:gene-LSAT_5X16200 transcript:rna-gnl|WGS:NBSK|LSAT_5X16200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLSWSFRMISHTGTETRPGLPRGAAVGNLGQWAKARSSNIAERLGIQASRPVVWYVVGLVRPAKTAPKQTKRCVPHSRRTASDILEEGGDDVKSAWPLWAGPHTCYNGNYNGKQGCKAERIRKDCLSSDCSLQLGNMKLESLVIADQHAAVNMYPGPVHTARHTLGIGFARSIGPMITHDFCVPLVPQRLLVVLLAHTTVGSSTGVKS >cds-PLY77152.1 pep primary_assembly:Lsat_Salinas_v7:7:183818703:183822505:-1 gene:gene-LSAT_7X108720 transcript:rna-gnl|WGS:NBSK|LSAT_7X108720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASHSDQMKPVEFTLFEKAQLKMVPNKLKILLLLMAVVVVANAVTVAADYVRPPPRKNLQFPWDPKPSSQPQQVHVSLAGDKHIRVTWITSKSSSPSLVEYGTSPGKYTSRSHGESTSYSYLFYTSGTIHHTVIGPLEHNTVYYYKCGGKGPEFSLKTPPSEFPITLAVAGDLGQTGWTKSTLDHIDLCQYDLHLLPGDLSYADYIQSKWDTFGELVEPLASARPWMVTQGNHEKENIPFIKDGFESYNARWKMPYEESGSMSNLYYSFEVAGAHVVMLGSYTDYDVNSEQYNWLKADLSTVDRKKTPWLLALFHVPWYNSNYAHQGEGDDMMEAMEPLLHAAGVDLVFSGHVHAYERTKRVYNGKSNPCGAVHVTIGDGGNKEGLAQKYKEPSPEWSVFREASFGHGELKIVNSSHAFWSWHRNDDDEPVKSDEVWITSLITSNCVATKETKQA >cds-PLY66612.1 pep primary_assembly:Lsat_Salinas_v7:4:283301294:283301650:-1 gene:gene-LSAT_4X144260 transcript:rna-gnl|WGS:NBSK|LSAT_4X144260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLFYLSKEKLFCDFQRGSFAGDLENLIDAEECDEDEEDNNNYDSNNLVAGVKGLKMRRMPSQAQVDVENEDEAAELCRMLMDGIS >cds-PLY87521.1 pep primary_assembly:Lsat_Salinas_v7:8:96631148:96634389:-1 gene:gene-LSAT_8X67801 transcript:rna-gnl|WGS:NBSK|LSAT_8X67801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFLTSTHRAKWIFTPQDLKEKYKAANHRAKQMLEKYGSTRVDVDLDGSLSYPELQTDPKDNGEKHSRSKPLKIDEEQLLRAFYEFKIQDVCDAFKFPRKIQATALIYFKRFYLQWSVMEHHPKDIMLTCIYTACKAEENHVSAEELGKGIDQDHQVILNNEMIVLQSLGFDLIVYSPYRSIDGFIDDMEDFIYSNNGQLQHLKDLHETAKMVADRMMRSEAPLLFSPGQLALAALRRSNEEHQVVDFERYLNNTLSRQHPARPVSELTVYLNAIDQLVNNLVTPTAADMKHIDRKLKYCRDPGSHEKYTLSLLLVMIFIRKRFSEKYL >cds-PLY72487.1 pep primary_assembly:Lsat_Salinas_v7:2:138844210:138845370:-1 gene:gene-LSAT_2X66240 transcript:rna-gnl|WGS:NBSK|LSAT_2X66240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSPTRGSPIKSNLEETEGPGGFVKTSNMDTTTNLGDHSKISTPEKTIVIPSEVSHTELVSEEFRTSGITVNISHMDVNVNMGEGVSTNESQDAVTYVVKWYQSFLPKVDKKVELDVQSFTKIEETLNNLKDLVSKIGSSSSSLLTPESSLNSLKDLG >cds-PLY80046.1 pep primary_assembly:Lsat_Salinas_v7:4:347472136:347472333:-1 gene:gene-LSAT_4X170981 transcript:rna-gnl|WGS:NBSK|LSAT_4X170981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPCNLVLTYGEMNQKCVTRMVFPYDDGLIYSLPLYENLLKVKINYIYERFKGIRDLVMTNEVGIL >cds-PLY90072.1 pep primary_assembly:Lsat_Salinas_v7:6:17353507:17359880:-1 gene:gene-LSAT_6X7440 transcript:rna-gnl|WGS:NBSK|LSAT_6X7440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIFFTYTTKRLSKKEVKLELFEIIKKANADKSATKNDNPASSLCRDQWLLHSGFHLQSYKITFQRSRSYIEDNDQKQKRRDKQ >cds-PLY95784.1 pep primary_assembly:Lsat_Salinas_v7:3:27590536:27592227:1 gene:gene-LSAT_3X19861 transcript:rna-gnl|WGS:NBSK|LSAT_3X19861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPARLLSTNLSRPILFRTPQLGSKVNWVYGCFRPPGVTFPVACMATSSTRDTHLPTSPSNITEVGSSGTLHASPSQGKSERWEAIIEKVIYSCRFFTLLAVSGSLIGSILCFIKGCAFVVSSFQEYFVNHGKGIFMLVDAIDVYLLGTVMLVFGMGLYELFISNLDIAQSSSEEMPTNKSNLFGLFVLKERPKWLEIKSVNALKTKLGHVIVMLLLIGLFEKSKKAAILTPTDLLCFSGCVLLSSCCLYLLSKLH >cds-PLY73918.1 pep primary_assembly:Lsat_Salinas_v7:3:39721573:39726210:-1 gene:gene-LSAT_3X29601 transcript:rna-gnl|WGS:NBSK|LSAT_3X29601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:Projected from Arabidopsis thaliana (AT1G67560) UniProtKB/TrEMBL;Acc:A0A178W1Z8] MYTSQPPTSSIRRSPAISATGETTINVPGKNRVWVHGFRPNLRSQTRRVRGSTIRAVVSKEESKSSTVTVEDTTAIDGVGGMMDLKATITIKKQMKEKVIEKIEDQWESFVIGIGRGVLIQLISEEIDPVTKSGKYAESSPRGWLPSASSHPHVLEFTSNIVVPVNFGRPGAVLVTNLLRREFYLVDIVIHGVNQDPVIFPAKTWIHSQQSNPDSRIIFKNQAYLPSQTPPGLKDLRREDLRSIRGNGKGERKPHDRIYDYATYNDLGRPDKSPDLARPVLGVPETPYPRRCRTGRPPTKSDPNSESRIEKPHPVYVPRDETFEEIKQNTFSAGRLKALLHNLLPSIAAKLSDTDISFECFSEIDKLYNDGVFLKEAEHNQFLVNFTNQVMNVGKRFLKYDTPAIMRRDRFAWLRDDEFARQTLAGVNPVNIELLKEFPILSKLDASTYGPVESALTKEVIAEELHGMSVEEAFREKRLFIIDYHDMLLPFIEKMNELPGRKAYASRTILFYNRANVLRPIAIELSLPPTPSSSSSSNKRLFRPGHDATAHWLWNLAKAHVCSNDAGIHQLVNHWLRTHACMEPYIIATHRQLSSMHPVFKLLHPHMRYTLEINALARQSLINGGGIIEACFSPGKFAMEVSSAAYKSSWRFDLEALPADLIRRGMAVEDPTTPHGVKLVIEDYPYASDGLLIWSAIKELVESIVYHYYPGSDSITSDVELQTWWSEIINMGHHDKRNEPWWPKLDTKESLIGVLTTIIWIASGQHAAINFGQYPFGGYVPNRPTLMRKLIPEEGPEYDHFLMNPQFTFLSSLPTQLQATKVMAVQDTLSTHSPDEEYLGQVHHLSNHWLHDGEVWGFFERFGAKMEEIEGIINSRNKDVRLKNRNGAGIPPYELLLPTSGPGVTGRGVPNSVSI >cds-PLY97269.1 pep primary_assembly:Lsat_Salinas_v7:1:43943746:43944772:1 gene:gene-LSAT_1X37841 transcript:rna-gnl|WGS:NBSK|LSAT_1X37841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPAKQYTSTTAATKPPMKKTSFAQTCNQLSVFLKERGSLKDLHRGINPKFDDTEKSPAAMTTVDLLSNMENQGQNTAQAQAEKSMNLLPRNDTLDYFATLEDSTNKVVSSKSESKTAQMTIFYNGKVIVFDDIPADRAREVMLAAGSFPPSNGKVDTGVELASTSNQFSDVRGSNQPIHVQFQANGLDLPIARRASLHKFLAKRKERAAVRSPYQLHNPPPAAGASKNEHKFEFDLNL >cds-PLY76893.1 pep primary_assembly:Lsat_Salinas_v7:3:4839653:4841383:-1 gene:gene-LSAT_3X2541 transcript:rna-gnl|WGS:NBSK|LSAT_3X2541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLALGIATASTAAFTRVTINRTRTSPSFRTKIRCIGWDPEGILGPPSTGHLARREFQKRLERDADAREDFQRQVQEEKERRRALRESRGVPDTPAKLIEYFLDTEAQELDFEIARMRPRLNDEFFSYLKLELGQLRFAVSKTEDMEDRVIELEALQKALLEGIEAYDKLQRNIVKAKENLTKIFSSKDMKATLLDMVEKNEINKPLLALLDENIAGARKANQKEAAEYMEKLRGAVLKFITV >cds-PLY62426.1 pep primary_assembly:Lsat_Salinas_v7:7:116103245:116104970:1 gene:gene-LSAT_7X72000 transcript:rna-gnl|WGS:NBSK|LSAT_7X72000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGESNAKSKIDSTREWIVEHKLRSVGCLWLSGIVGSIAYNWSQPGMKTSVRIIHARLHAQALTLAALAGAAVVEYYDHKSGAKSERYAKFLDSNPHKD >cds-PLY79656.1 pep primary_assembly:Lsat_Salinas_v7:5:252006621:252013883:-1 gene:gene-LSAT_5X126841 transcript:rna-gnl|WGS:NBSK|LSAT_5X126841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSGKPISSLGFDTLSDRLRKSLACDTHDAADNTNKPDFRELDLGSPVSPLRAGPGPAASTSSSSSGSVSGRTGSGPRSGSGTLPGRSDSAGTVNNHSGELSVESSPTFSAGGSRTFKPGHKRSDSRGGTVGSPTIHSGGSSATSPVGNVLPSGNIRPSGKPLTTGMMSSRSSKTEVLSLGTGNYGHGSIMRGGSATKSAVSGGENQFSAISNSSNSRRSSIDPEDLKRLGNEQYKKGNFTEALNYYDRAISVSPDNAAYHCNRSAALMCLNRLTDAVKECDLAIKLDSGYIRAHHRLGSLLISLGQIENARKHLYFPGSQPDPNELRKLQAVEKHLNKCTDSRRVRDWSSVLRETDNAIASGADSCSQLFACKSEALLKLRQLDEADLNLINAPKYVPSSSQTKFFGMLSEAYLFFVRAQVDMALGRFENSISMIEKAGQIDPRNVEVAVLLQNVKSVARARARGNDLFKSERLTEACSAYGDGLRFDPSNPVLYCNRAACWFKLGQFERSLDDCNQALLIHPNYTKALLRRAATFTKLERWAESVKDYEVLRKELPNNNDIYESLFNAQMELKKSSGEDVNNLNLGGKVVAITDLEQFKAAVASSGASVVLYKMSSDLQCKQISPFFDTLCTRYPSINFLKVDLEESREIGDAENVRVVPTIKIYKNGSRVKEMVCPSPEVLQSTLRHYSVLRLAGNAARDNKKTRIVRRHIQLAVGNEEELSKLLGDVTIANGGVMPNINNLLLLKKVCVFRVSYVRDMLFI >cds-PLY69030.1 pep primary_assembly:Lsat_Salinas_v7:9:141906306:141907776:1 gene:gene-LSAT_9X91580 transcript:rna-gnl|WGS:NBSK|LSAT_9X91580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFCKNIVLAGVGSLTLNDDNPVTAEALAANFLIPFDENMGGGGSLAELCCDSLKDFNPMVHVSVEKGDLSSFGVDFFEKLMLWLSIAAYLQPKKLSKRVAFYSVDCRVSCGEIFVDLQKYCYAKKKIDETIECPLQYQSFEEAIAIPWRSLPKRMSKLYFAMRVVERFEEVEKRKPGETSIADMANVLKLRNELCLAHSLNESEIPDTLLERLVVSKQTSDI >cds-PLY91475.1 pep primary_assembly:Lsat_Salinas_v7:7:140552833:140555650:-1 gene:gene-LSAT_0X25341 transcript:rna-gnl|WGS:NBSK|LSAT_0X25341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSENSIKHLEKTFAQKEDWSYVYYLGKLSENRKYSREKSFAYCDKAIALNPSAVDPFYRMHASRLKLHWSCSQNDKDALKFRNHAYGFQVFGSDFMNDVQLAYNYSYDVVEEYLYFVDNGKFSVDGVLSDNPVTPSTAFRKGKPLIISFEGASGEFPGCSDSAYRKVVSDGADIIDCPVQMTSDEVAFWQPFIQCS >cds-PLY93409.1 pep primary_assembly:Lsat_Salinas_v7:9:57705527:57706641:1 gene:gene-LSAT_9X50700 transcript:rna-gnl|WGS:NBSK|LSAT_9X50700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPHESSTLDLIRQHLLIDDLSFLQKYSLSHKSHIVSPTHSSSSQSSSLDSLLVNKSVSSESGVSNGNNNSLKERKPSLKKLSIPFPPPPVVAPVKVDDVDERRHYRGVRQRPWGKFAAEIRDPNKKGTRVWLGTFDSAIDAAKAYDRAAFKLRGSKAILNFPLDIGYEEEAAEAPAARSSCRKRVVRETETVDMESQKVPKVEPVTEEPVAVKTDAGVGPLTPSCWTAVWDFGEGNGKGIFEVPPLSPYPNINFSSGCIVS >cds-PLY97239.1 pep primary_assembly:Lsat_Salinas_v7:1:43871529:43874211:1 gene:gene-LSAT_1X37881 transcript:rna-gnl|WGS:NBSK|LSAT_1X37881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLLDSLTAISLLLLVRVLCIQASVHSYAGEKFSRKGNAFVVHGGSEGIYYSHPNLNESSASSNGDAYIRFEKITFQRTEEAANISTGFIYAILFEVDDRETIGGSAYGGQRAICCTSDLAKLGACKEGEVIHRLSTTNPGWPEVFYVPFDSTDKSFIMQPRSVQITRTGMYNLYFMHCEPQLSDMVVQGKTIWKNPSGYLPGRMAPLLNFYGFMSLAFLLLGIFWFSQYARFWKEVLQLQNCITLVITLGMFEMALWYFDYAEFNESGVRPTGITIWAVTFGTVKRTVSRLIILTVSMGYGVVRPTLGGLTSKVVMLGATFFVASEVLELVEHVGAVSDLSGKAMLFLSLPVAVLDAFFVLWIFTSLSSTLNKLQAKRMMGKLDIYRKFTNALAVAVIVSVGWICYELYFKSTDIYNEQWQNAWIIPAFWHVLSFSLLCVICALWAPSQNSMRYAYSDDGSEEFDKEDSLMLIKPSPVATKEVSEMRSVLQSEDGDLEEDKTE >cds-PLY81795.1 pep primary_assembly:Lsat_Salinas_v7:3:31257852:31260356:-1 gene:gene-LSAT_3X22041 transcript:rna-gnl|WGS:NBSK|LSAT_3X22041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKNDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSEGHQRQMEVFGQNPNRIVGGYTNEFESMFLEHMKRSHRFSRIAATVVYNEYIADRHHVHMNSTQWATLTEFVKHLGKTGKCKVEETPKGWFITYIDRDSETLLKEKLKNKRMKADIVDEEKQEREIKRQIERAEQLMGSDKVLENEDAKLLLRSDNGGEKKIKLSIGSSMKNVKGEGSSRFVFDEVENVEKVEKDKKQKTGGGSALDELIREEEKAKERSNRKDYWLCEGIIVKVMSKDLAEKGYYKQKGVIRKVIDKYVGEIEMLESKHKLRVDQEELETVIPQIGGIVRIVNGAYRGSNARLLSVNTEMFCAKVQIEKGVYDGRVIQAIEYEDICKVIQ >cds-PLY96664.1 pep primary_assembly:Lsat_Salinas_v7:7:47104717:47104995:-1 gene:gene-LSAT_7X36361 transcript:rna-gnl|WGS:NBSK|LSAT_7X36361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCKTSAFKGGENVAACLRCIRKMNQTFRSSEFTEDKKVNYAVQMFDKEALEWWDTIDARLTEATRRAMTWEILSKKVKDHFCSESIIQYAH >cds-PLY77972.1 pep primary_assembly:Lsat_Salinas_v7:1:26568429:26570911:1 gene:gene-LSAT_1X22340 transcript:rna-gnl|WGS:NBSK|LSAT_1X22340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPMAIFLLFALFVVSSAMDMSIIGYDATHMTASDASSSSWRTDDEVNAMYESWLVKHRKFYNALGEKEQRFQIFKDNLRYIEQHNSGDHSYKLGLNKFADLTKEEFRLGYTGAKTIGSRRKSNNVKSDRYSPRSGDALPDFVDWRTKGAVAAVKDQGSCGSCWAFSSIGAVEGINQITTGELITLSEQELVDCDTSYNQGCNGGDMDYAFKFIIKNGGIDTDTDYPYTGKDGRCDSSRKNSKVVSIDSYEDVPVNDESALLKAAANQPITVAIEASSRDFQFYTSGIFTGQCGTDLDHGVVVVGYGTEDGKDYWLVRNSWAADWGEEGYIRMERNIKEKVGKCGIAMEASYPIKNGQNPPNPGPSPPSPVKPEIVCDQYATCPQSTTCCCVYNYHGACFAWGCCPLEGASCCDDNYSCCPHDYPVCNLRRGTCSKKKNSPLEIQALKRILATPTDLKRNYA >cds-PLY81818.1 pep primary_assembly:Lsat_Salinas_v7:3:32297054:32299200:-1 gene:gene-LSAT_3X24101 transcript:rna-gnl|WGS:NBSK|LSAT_3X24101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKLLSVGTKIVAVGRNYAAHAKELGNAVPKEPVLFLKPTSSYLENGGTIEVPHPLESLDHEVELAVVISKKARDVSEASAMNYIGGYALALDMTAREIQASAKSAGLPWTVAKGQDTFTPISSILSLSRVPDPHSLELWLKVDGEIRQKGSTEDMIFKIPFLISHISSIMTLLEGDVILTGTPKGVGPVKVGQKIEAGITGILDVHFDVGRRQKTIS >cds-PLY99317.1 pep primary_assembly:Lsat_Salinas_v7:7:179824420:179826024:1 gene:gene-LSAT_7X105981 transcript:rna-gnl|WGS:NBSK|LSAT_7X105981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLFACFSKRSSKTSSSDINKSTATHDAAADDLTADELKRGGPVVVELFSSQGCATSPEAELLFSRIGRGDFNLEVPVILMAYHVDYWDYNGWKDPFGSSQWTVRQKEYVESLNLDTMFTPQVVIQGRSQCIGNDEEGLISAITSATRYPPPAFQATFERRTPESLQVTLKGALRAKLDGGGADIMVALYESGLVTDCRNGDNKDRILANDFVVRKLGKLCSGDKLPPKKPITGTLDFGVWEGFNSAKCGIVVFVQQHGSHHIFGSQRIQLPANL >cds-PLY68302.1 pep primary_assembly:Lsat_Salinas_v7:1:27116633:27121905:-1 gene:gene-LSAT_1X22720 transcript:rna-gnl|WGS:NBSK|LSAT_1X22720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAFYFYFSLTFLAISAITNYFLRKFQNLPPTPWLPPLPIIGHLYLLKRPLHKSLAKISARYGPVQLLQIGSRRVLVVSSPSAAEECLTKNDIIFANRPRQLLAGKYLGYNYDSLVYAPYGDHWRNLRRVTTLEILSSHRLREFEPIRADEVRLMIRKLYRSWSGEAVEVQVNAMLVDLTLNAVMRMVSGKRYYYGKDDILTDEEKEKAHRFQEIVEEVFCAMSVSHIGDYLPILRWLGVSKLEKQLIALQAKRDLFMKELVEEIRCSMKNSGKRNMIQVLLSLQQTEPECYTDEMIRSIMLTILAGGTHTSICTLEWAMSLLVNNPSVLKKAHNEINSHVGHDRCVEESDMVNLPYLACIIKETLRMYPAGPLLPHESSKDCMVSGYHVPRGTMLLVNAWGIQNDPNIWGDPETFRPERFEGVEVYGDGFKLLPFGFGRRSCPGENMAMRMVGLALGSLIQCFEWGRTSKAKVDMNGGTGIALAKTIHLVAMCQPRPIMLNLLSQL >cds-PLY82116.1 pep primary_assembly:Lsat_Salinas_v7:1:15786722:15788111:1 gene:gene-LSAT_1X14220 transcript:rna-gnl|WGS:NBSK|LSAT_1X14220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNLFGLVKEDDQMDLPPGFRFHPTDEELITHYLSIKVVDANFCAKAIGEVDMNRIEPWELPRLAKMGEKEWYFFCVRDKKYPTGMRTNRATAGGYWKATGKDKEIFRRKVLVGMKKTLVFYKGRAPKGEKTNWVIHEYRLEGKSCQKLRKSAKNEWVICRVFHKTSGGNKVQDTGLTKMNSGGNERLPSSLPPLMDSPVATCGDKIPKQIISQPLHVPCFSSPINIQQKDMFNGFLNNPDFNLSSNFDHQRIGNAISIGQSVHQIQYPQNSAFAVNDQAMLRGLIEKYGQNMKLERDIITGSQDTGLSTEMNTEISSHMSNLEMGKRRFEDQETPSTSIAAIDMDCFWNY >cds-PLY86797.1 pep primary_assembly:Lsat_Salinas_v7:5:15404600:15405574:1 gene:gene-LSAT_5X8881 transcript:rna-gnl|WGS:NBSK|LSAT_5X8881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRWIKNNLARLSSLSQITNSNPSASKIMEVEVKLRLPDSTAHQKLSDLLSPYHTKTHLQQNLFFDTPSLSLATTHLAALRLRFYDLDSHAILSLKAKPVIAAGISRIEEEEEFLDPSLARACAAEPWRFSTIEKSRILKRVNEEFGVDLMELVSLGGFRNVRAVHKWNGLKLELDETQFDFGVNYEIECESDDPDEAKLMLEELLNQNGICFSYSQVSKFAIFRSGKLPEFK >cds-PLY69892.1 pep primary_assembly:Lsat_Salinas_v7:4:68751863:68754397:1 gene:gene-LSAT_4X47561 transcript:rna-gnl|WGS:NBSK|LSAT_4X47561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCFHKVFMIIVFHSVILPTWVVGKQQVPCYFIFGDSLVDNGNNNHLVTFAKAIYLPHGIDFSSGPTGRFCNGRTFADFMAEHLGFNSRIPPFALSNGSDILLGLNYASAAAGIRSETGHHLGDRITFDQQLKNHEMTVSSIREILGEPGLASQYLKKCLYHVGFGSNDYLNNYFIPAVYETSSMFTVEKFTQVLIQQYRRQILTLYSYGARKVSLNGLGPVGCTPYELSRHSTNESCVEYINTAVQLFNHNLKLLVDELNNDTSLQDAKFIYLNFYDMSMEVIRQPSAFGFTVANSGCCGTGLNNGALTCLPFEVPCLNRSEYFFWDAYHTTEAANRIASKRSYLAINNVDVYPIDIYHLVQL >cds-PLY71052.1 pep primary_assembly:Lsat_Salinas_v7:3:162989766:162990056:1 gene:gene-LSAT_3X100640 transcript:rna-gnl|WGS:NBSK|LSAT_3X100640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVADWVRHVDVNQGQLKVLQGALAGMKEEVCDSKSGHQVLVEQNNIVACVKATLEDQVATLEDWSERLEDQVSSLTRKKDVLVNRLARCQRQLV >cds-PLY93119.1 pep primary_assembly:Lsat_Salinas_v7:8:253584013:253586316:1 gene:gene-LSAT_8X149221 transcript:rna-gnl|WGS:NBSK|LSAT_8X149221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP54 [Source:Projected from Arabidopsis thaliana (AT1G24310) UniProtKB/Swiss-Prot;Acc:Q8GYF7] MFGAQASSSPFGTPSAMPAFGTPSSTFGTPASTPAFGTPSSTPAFGTPSTPSFATGFGTSLFSTPFSQQQQQQTSPFQQQSSSPFGFSTPFGATTQSNVNPFGQTTPAATPFNAQLTTQMAPVAQLPFSLADRDIQAILDAYKDEITNPKYAFKHLLFSVTEPQLRTKPAGVSDIMWAEAMGKLEGMESSNRERLWPQLVKGFKDLSERLKLQDEVILSDAERLQMTQTNVKVLQRHFQADTFPRIERLRQSEKALQRRLLRLMRILEALEAKGFRLPLTKGEVELAEKLAAIIRQLKGSGAELSRRVQNLLTVARVQANGHGGSSVFLPGSTKIHEQSLSDMHEVLQQQTEAVGRLGNVLKRDIRDMEIIMAEDTQMADV >cds-PLY72427.1 pep primary_assembly:Lsat_Salinas_v7:3:191005047:191008788:-1 gene:gene-LSAT_3X113801 transcript:rna-gnl|WGS:NBSK|LSAT_3X113801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochromobilin:ferredoxin oxidoreductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G09150) UniProtKB/Swiss-Prot;Acc:Q9SR43] METLSSSSIISTAIKPYPLLSRTKNTRFSCSMKVASSFSYQKFIQYALDETKLSTSDLIPSSLQEDFSTLKSLDGKTELKMCSFKASKIRLLRSLSIETSEGMQVLDFAVFPEAEFDLPIFCANFFTSANINIVVLDLNPLHDVINETHYKEKYYKNLIPLGVKYSELLPWGGKLTSESLKFFSPIVIWTKFSSSQENHNILFSAFKDYYKAWLNLMDHAIEETDHSQISLNLEAQHRYLTWRAQKDPGFHVLKRLIGETHAKDVVKKFLFSGVKDLGNKTFLDYFPEYESKNGSIIEKRSIIGKSFEKRPWNTRGVFIGDTFG >cds-PLY88032.1 pep primary_assembly:Lsat_Salinas_v7:MU040232.1:40775:43619:1 gene:gene-LSAT_0X30760 transcript:rna-gnl|WGS:NBSK|LSAT_0X30760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPLAIAWFLLCFFQVGAITHSPFGMNSQEIQPLDYFLVTGCSSNCDIACCYCDIKKQPPICIQCCED >cds-PLY72279.1 pep primary_assembly:Lsat_Salinas_v7:5:196474768:196477080:1 gene:gene-LSAT_5X87800 transcript:rna-gnl|WGS:NBSK|LSAT_5X87800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDSSSSSSSSSAVPSPSSAIDFLSLCHRLKSTKRAGWVKRDIKGPESIADHMYRMGLMALIASDTPGVNRDKCIKMAIVHDIAEAIVGDITPSDGIPKLEKSRREKEALDQMCKLLGGGPRAEEIHELWMEYEENSTNEAKVVKDFDKIEMILQALEYEKEQDKDLEEFFQSTAGKFQTDLGKAWASEIASRRKKQD >cds-PLY87727.1 pep primary_assembly:Lsat_Salinas_v7:1:4186612:4187046:1 gene:gene-LSAT_1X3640 transcript:rna-gnl|WGS:NBSK|LSAT_1X3640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVEHDNVVHEAIEENEETDIEYMAQGPSLQYIRVTYVDDVDHIMNEVFPLIPTHVSPPRIATIKSPAEETNNLDIHVSTSNVDTNILNVETPSTSAPVSTIITPPEVVTIKSNTEEIRNLNISEHTSNVVSYVNIGETITIDP >cds-PLY98714.1 pep primary_assembly:Lsat_Salinas_v7:8:9001261:9003272:-1 gene:gene-LSAT_8X7060 transcript:rna-gnl|WGS:NBSK|LSAT_8X7060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGARGGGRSGTHIGGHDARDRNASQSHNNAEIQPSSLVRGSNILEQVPMIRDITCILKTMFNGPWTSWKKVDKEHRDVTWEHFKGLYVWPEETDVLARKQATIKRPPIGVELYARLHTKQSTQEYITPKTAKVQVVYERVRNEMRGEMDAKAAEIEAKHQKMHEKMDAKAATIDAKQQQIDAKYEVMEKMYAALQNMMGN >cds-PLY62414.1 pep primary_assembly:Lsat_Salinas_v7:5:36006141:36007763:-1 gene:gene-LSAT_5X16680 transcript:rna-gnl|WGS:NBSK|LSAT_5X16680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLSWSFRMISHTGTETRPGLPRGAAVGNLGQWAKARSSNIAXNIAERLGIQASRPVVWYVVGLVRPAKTAPKQTKRCVPHSRRTASDILEEGGDDVKSAWPLWAGPHTCYNGNYNGKQGCKAERIRKDCLSSDCSLQLGNMKLESLVIADQHAAVNMYPGPLHTVRHTLGIGFARSIGPMITHDFCVPLVPQRLLVVLLAHTTVVSSTRVKS >cds-PLY96666.1 pep primary_assembly:Lsat_Salinas_v7:7:43518060:43520927:-1 gene:gene-LSAT_7X29840 transcript:rna-gnl|WGS:NBSK|LSAT_7X29840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:La-related protein 6A [Source:Projected from Arabidopsis thaliana (AT5G46250) UniProtKB/Swiss-Prot;Acc:Q94A38] MEGGGEEVIMPIHDPDVLPVGSPEETIMDPHFDNQSPESDELPPPHPSAADTATLTDELRDKIIKQVEYYFSDENLRTDKFLLKYLAKDEEGYVPVAVIASFKKMKKLTHHKSLIVAALKESSLLTLSSNEKKVKRVHPFPLTEALDPELCTVLVENLPEDHTVENMKKIFSQAGVIKKITIHEENAAKEQRKCSIEEKLLSGKLHAVVEYNTVEAAENAVATLNNEQDWRHGMRVKLLKRKVKIAQRKKGHDSEKKINVQVDPHPPMEKENQQSSEHHDDIPNEEEKNGNRNCQNQNRRRPRKNKYQGSSGIGIGMGHGTLVHNNHGGEVSKPPPGPRMPDGTKGFTMGRGRGGGGGGSLNV >cds-PLY82247.1 pep primary_assembly:Lsat_Salinas_v7:1:67274405:67275587:-1 gene:gene-LSAT_1X57301 transcript:rna-gnl|WGS:NBSK|LSAT_1X57301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGCSSPSPPIVIRSVWAYNLEPEFRLIQSIIDDYPYVSMDTEFPGVVVRPNAGYNYICQQTPSDHYLLLKSNVDVLKLIQVGLTLTDANGNLPDLGETDSQTRFIWEFNFKDFDPSRDQYASDSIELLKRQGIDFQKNHDYGIDSVKFSELMMSSGLVCNELVSWVTFHSAYDFGYLVKILTGRGLPDEMTLFMELLKTFFGDKVYDVKHLMRYCEGLYGGLDRVAKTLEVERAVGKSHQAGSDSLLTWHTFQKIRDLCSGGHEKYAGVLYGLEVF >cds-PLY65115.1 pep primary_assembly:Lsat_Salinas_v7:4:5710318:5711472:-1 gene:gene-LSAT_4X3821 transcript:rna-gnl|WGS:NBSK|LSAT_4X3821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNMTTFFGMSLGAFVFWQSMDKVHVWIALHQDEKQERMEKEAEIRRVREQLLQENKERDPLA >cds-PLY98214.1 pep primary_assembly:Lsat_Salinas_v7:7:168805742:168808465:-1 gene:gene-LSAT_7X101440 transcript:rna-gnl|WGS:NBSK|LSAT_7X101440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIVGTEVAKEAVDMVLADDNFSTIVAAVREGRSIYNNMKAFIRIFLTAAIGIPKGLIPVQLLWVNLVTDGPPATTLGCTPLHWATLRGILEACVVLQHSGTKQELMVKDSAGFTPAQIAADRGHHHVSLILSNAHRAQNSCWKDKSWIKKIRDIFYALILLSLVFVSTLIFINSVLFASNLVKVTAVVGLWGWTAVILSIAYLLMVIRCSSKDSGYVNMLGGIKNNADAKGPLLTIDLTNTAYWNANWSQLCPTCKVLDMPAYEA >cds-PLY73280.1 pep primary_assembly:Lsat_Salinas_v7:8:284533535:284538516:-1 gene:gene-LSAT_8X160460 transcript:rna-gnl|WGS:NBSK|LSAT_8X160460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAHRSKSEKNEEEIQLRRDPYDVLGVSRSSTDQEIKTAYRKLALKYHPDKNANDPKSADMFNEITYSYNILSDPDKRQQYDSAGFEAVEAENQDLELDLSSLGAMNTMFAAIFSKLGVPIKTIVSATVLEEAINGSVPTLPLTLGKSVSRKVEKQCAHFYSIRITEKEAQAGIVCRVQSADKSKFKLLYFDQDENGGLYLALQEDSAKKGKLTSAGMYFLGFPVYKLDQPSNTMIKRDPDAAFFKKLEGFQPCEISELKAGVHTFAVYGDNFFKSANYTIEVLCAGSFVDEKENLRVVEAQISSKRTEISKFESEYKQVIAQFTEMTNKYAQEMQTIDELLKQRNEIHASYTVTSQTKRNSSSRSKKKVVLKDEKKASIKDGSKKKWYNIQVKLDKRKPG >cds-PLY85885.1 pep primary_assembly:Lsat_Salinas_v7:5:96346878:96354415:1 gene:gene-LSAT_5X48440 transcript:rna-gnl|WGS:NBSK|LSAT_5X48440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator 3 [Source:Projected from Arabidopsis thaliana (AT2G22300) UniProtKB/Swiss-Prot;Acc:Q8GSA7] MAEARRYALAAQLDIDQILLEAQHRWLRPAEICEILRNYTKFRIASEPGNRPPNGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKAGSIDVLHCYYAHGEDNENFQRRSYWLLEEELSNIVLVHYREVKGSRTNTNRVREVGEATPNSLESEENISSSEVDSSVSSKFRTFNYPVASQTTENSTQASEYEDAESAYSHQSTSRYGSILNLQPKTDDQLSMPYYPAPPSNDYDAKFQVNPDMSFVSLMQGGKIDNNPDAGFTYKPQKNLDMPAWDNDFEGYQSTHLSTQPYNPNILTHGNEMPRQIMPDGSNKMQEFRGNPGWQLDGPYNVPSRFQEELSYAEIFKAFESNDTIDHNNPLITQINMESHVTNEDGGRHLQPNLESNPNPSVDEKPGSLKSPFLEGFKKEGIKKLDSFDRWMSKELGDVKESQTQSTSGTYWEAVESENGVDDSIISPQVHLDTYVLGPSLSQDQLFSIIDFSPNWAYAGSEIKVLVTGRFLRSQQELQHCEWACMFGELEVPAEVVADGVLRCYTPGHKSGRVHFYVTCSNRVACSEVREFEFKVNENLSQDMDGVQDINADNSNGTLLHMRFVKLLSLGPETARNSARSVVDPELLNQLSRLIQEDDREWEQYPSEKAQNQLLERLLKEKLYAWLIHKVGEGGKGPSVLDEGGQGVLHFAAALGYDWAIPATVAAGVSINFRDVNGWTALHWAASCGRERTVAFLISEGALAGALTDPSPTYPSGRTPADLASANGDKGIAGYCAEAALSTHLEQLKLKDRDSKAKAVQTVSERTPTPPGYGDMPQGLSLKDSLAAVCNATQAAARIHQVFRVQSFQKKQMKGGEFEMTEERAVSMALKSSRMGGQHDEPVHAAAAAVRIQNKFRSWKGRKDFLMMRQRIVKIQAHVRGHQVRKNYKKIVWSVGILDKVILRWRRKGSGLRGFKPEPVAEGSTSTTTTVAKSGKEEDDDFFKEGRKQTEQRLQKALARVKSMVQYPEARDQYRRLLNVVNDMQESKAVYDKALESSEEAIDFDEDLIDLDALLDDDDAFMNI >cds-PLY97646.1 pep primary_assembly:Lsat_Salinas_v7:5:237739160:237739717:-1 gene:gene-LSAT_5X112581 transcript:rna-gnl|WGS:NBSK|LSAT_5X112581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDAWDFKTIDITIDKDLKKKQTKRCNDAFLNSLWAKISGEEGDDFAILEIENIDDDGDLNEDDVVENEEHFDINREVFGSNEVSGSNEVSTESDKNSELEFEYNTHDPKVEWNKMRPFLGERYESPHQLKLCLTNHAIYTGYKIKFKKCDSVRLIVVRASDPKKFQCPFNVRASWISTERSFQN >cds-PLY72417.1 pep primary_assembly:Lsat_Salinas_v7:3:190658030:190658182:-1 gene:gene-LSAT_3X113141 transcript:rna-gnl|WGS:NBSK|LSAT_3X113141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVWVKLWVSDDCHWVLLEWARARQRDDGRSALSKEKMVVKSVTGIGTPP >cds-PLY96586.1 pep primary_assembly:Lsat_Salinas_v7:4:6049515:6053810:-1 gene:gene-LSAT_4X4420 transcript:rna-gnl|WGS:NBSK|LSAT_4X4420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSEKPTPLLLRDVTSSSAADVVQGWTSDPSVGDQIRHRGTATSVGDLIGPVVTQTSAAAAAAKGTGPTSMVRMQTRHPLDPLAAAEISIAVATVRAAGATPEVRDSMRFIEVVLVEPSKSVVALADAYFFPPFQPSLLPRTKGGPIIPTKLPPRQARLIVYNKKSNETSIWIVELSEVHALTRGGHHRGKVISSKVVPDVQPPMDAVEYAECEAIVKEFPPFREAMKRRGIEDMDLVMVDPWCVGYHSEADAPNRRLAKPLIFCRTESDCPMENGYARPVEGIDVLVDMQNMVVIEFKDRKLVPLPPADPLRNYTAGETRGGVDRSDVKPLNIVQPDGPSFRVNGHFVQWQKWNFRIGFTPREGLIIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGSDCLGYVKYFDAHLTNFTGGVETIEDCVCLHEEDHGILWKHQDWRTGLAEDGKIEAEIKLTGILSLGALQPGEVRKYGTMIAPGLYAPVHQHFFVARMDMSVDCKPGESHNQVVELDVKVEGPGDANVHNNAFYAEEKLLKSELEAMRDCNPSSARHWVIRNTRTVNRTGQLTGYKLVPGSNCLPLGGAQAKFLRRAAFLMHNLWVTPYEPEEMFPGGEFPNQNPRVGEGLATWVKKNRSLEETDLVLWYVFGITHVPRLEDWPVMPVEHIGFVLMPHGFFNCSPAVDVPPSSLADLEMKENGMVVEKTSCHNHNALVSKM >cds-PLY62162.1 pep primary_assembly:Lsat_Salinas_v7:2:152901291:152904258:-1 gene:gene-LSAT_2X77720 transcript:rna-gnl|WGS:NBSK|LSAT_2X77720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIPTEALLTETMVREGHFDGSISIKFLTSIMGLRSLSSFLLYVIIEYICNNSKSILGLSYAIGCGSPCPTSSSPTGVDFKWNRQQMVSRHLRLGSLAASSTKMDVGGAVAKGVNCGCVDKIEMDVAKGTVTVTGDADPYEIILRTKKAGKFVEVVTIGPPPAPPKKPEEKKPEEKKPAEKKTEEKKPKEDVQILPYNMHIPQDCVVCRQMTMEHMNHWEGRDSNCTIM >cds-PLY97070.1 pep primary_assembly:Lsat_Salinas_v7:4:75997058:75998562:1 gene:gene-LSAT_4X51340 transcript:rna-gnl|WGS:NBSK|LSAT_4X51340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAVPNFVRYPQSLFANPKTCMLCANAILLIGFRDLCLDSVTLTRVHLSHHYRRILTLYHSSHLRIKNHVIHGIRLPYKPPDSLIMGDGSPTSSAPTSKLEAQILDAVLQRELKGTSIMSFNKIILKFPKIDESLRKCKVIFEQFDEDKSGAIDLKELKHCFSKLEVNFTNEEISDLFKACDLNDDMGIDFHEFIVLLCLVYLLKEGDTAPNAKSRMGIPDLEATFETLVESFVFLDNNKDGYVSKNEMIHAIEESGRSDGQIAMKRFEEMDWDKNGTVNFKEFLFAFTSWVGIEDDED >cds-PLY69900.1 pep primary_assembly:Lsat_Salinas_v7:4:70439614:70441298:-1 gene:gene-LSAT_4X49380 transcript:rna-gnl|WGS:NBSK|LSAT_4X49380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSSHAKGDEPIKKITKPKPWKHTEPITTEQLKQMRDEFWDTAPHYGGRKEIWEALQAAAEADLTLAQAIVDSAGVIVQKADLTVCYDERGAKYELPKYVFSAPTNLIRDN >cds-PLY65514.1 pep primary_assembly:Lsat_Salinas_v7:3:477014:477282:1 gene:gene-LSAT_3X821 transcript:rna-gnl|WGS:NBSK|LSAT_3X821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHTIGYIYTRQAARELGKDKSYMEVQFIAEWVRDKEHQMKSQVSAASGDVSLIQI >cds-PLY71329.1 pep primary_assembly:Lsat_Salinas_v7:7:185214855:185217656:1 gene:gene-LSAT_7X110921 transcript:rna-gnl|WGS:NBSK|LSAT_7X110921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g79490, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79490) UniProtKB/Swiss-Prot;Acc:Q9SAK0] MSARTRSFSRYVFNNLQLLALRSSNSGLRTYPNRRFVSIPGRIDAVFVPTNQNPHFCVRSYCSGKNSKGNNEFTEEIEYLDESGSVIYTGKGIRSVEPGVDDHVMVGGLKQPFSNASAVAKIVEIVKRWKWGPEMETQLDKLQFVPNMIHITQALKITGDGDASLSLFRWAKRQSWYSPSDECYLALIDRLNQSRDFEGIHSVFDDLICDSTKTKVPEFNAFSRIVQYLAKAEKLEVSFCCFKKIQESGCKVDTKTYNSLITLFLDKGLPFKAFEIYENMEDSNCSLDKSTYELMIPNLAKSGRIDAALKLFQQMKEKSLQPGFTIFSSLIDSLGKAGRLDMAMKVYMEMQGLGIKPSATMFVSMIESYIKAGKLDTALKIWDEMKRARFRPNYGLYTMVVESHAKSGKLETAMSIFLEMEKSGFLPTPSTYSCLLEMHAANGQLDSAMKLYNSMNNAGVKPGMTTYTSLLTLLAKKKLLDVSAKILLEMKAIGYSVDITASDVLMVFIKDSSVDLALKWLRFMGSSGIRTNNFIIRQLFESCMKNGLYESAKPLLETYVDSSAKVDLILYTSILAHLVRCQEEQKEKHLMSILSATNHKAHKFMCGLFTGPEKRKQPVLAFVREFFQGIDYENEEGQTRYFVNVLLNYLVLMGQINRARCVWKVSYENKLFPKAIVFDQHIAWSLDVRNLSVGAALVAVVHTLHRFRKRMLYYGVVPRRIKLVTGPTLKIVVAQMLSSVESPFEVSKVVLRAPGDSVSEWFKKPIVQQFLLNEIPSRGDILMHKLNILFPSSAPEIRSLTPPKPLVGGRAM >cds-PLY79428.1 pep primary_assembly:Lsat_Salinas_v7:3:80337118:80338543:-1 gene:gene-LSAT_3X58801 transcript:rna-gnl|WGS:NBSK|LSAT_3X58801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRSLSHYKRFPEALHLPPEGPNSGYLVIQDEESETYSFFGLHKNKSLKELPFPQNKRLTTRYSSGAGENASASFDEVVFIPVLNQPLSSNRYYAIKPKGSHKGEAFACSKEEDMAPCCCGGSLNDVKPRPLNPHDVYQQFEIIPYKAFLSGDGRFYAKSLMEDGDPPYFLKRKGWEIYTKTPKNYTLHEANGINDALRSRLPEFSFLPLTKTSSSVVVGKWYCPFMFIKEGRQIDQVKTSMYYEMTLEQRWERVFEQENEDNTKGDVVFVDAVFNSEAVFVGESLREAERNVVNGVVWFFCRGGDDEKEESVGLRREIVERMRWEEEKVGWVDGGENRIHMVTREEKFEGSGGWKRFGCYVLVERFVLKRMDGSLLLAYEFGHSHQIRTIFE >cds-PLY92851.1 pep primary_assembly:Lsat_Salinas_v7:5:328373565:328373771:-1 gene:gene-LSAT_5X182360 transcript:rna-gnl|WGS:NBSK|LSAT_5X182360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQEGENPAADPRIWNRNRNDMTFFGDYDEDDNEDDDDEEEDHRSMDLLIRFVENVFKKISKRARKAV >cds-PLY77412.1 pep primary_assembly:Lsat_Salinas_v7:9:200916390:200919179:-1 gene:gene-LSAT_9X124861 transcript:rna-gnl|WGS:NBSK|LSAT_9X124861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:2-C-methyl-D-erythritol 4-phosphate cytidylyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G02500) UniProtKB/Swiss-Prot;Acc:P69834] MTILQVMPSAISPSSQISLSQSQIPSNSIYLSSVHHCQHPRFVSPCSLSTRNSTFPRRRSIIRSYTINCSAHDSASITNQSSESVKEKSVSVVLLAGGKGKRMGASMPKQYLPLLGQPIALYSFYTFSRMPEVKEIIVVCDPSYQDIFEDARQKVNVDLKFALPGKERQDSVFSGLQAIDLNSELVCIHDSARPLVTSSDVQKVLNDGLHVGASVLGVPAKATIKEANSESFVVKTLDRKTLWEMQTPQVIKPELLKKGFELVNREGLEVTDDVSIVEHLRHPVYITEGSYTNIKVTTPDDLLLAERILNTASFVTA >cds-PLY74848.1 pep primary_assembly:Lsat_Salinas_v7:8:105973489:105974976:1 gene:gene-LSAT_8X72200 transcript:rna-gnl|WGS:NBSK|LSAT_8X72200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLELRLVQGSLLKKVMESIKDLVNDANFDCSATGFSLQAMDSSHVALVSLLLRSEGFEHYRCDRNLSMGMNLSNMSKMLKCAGNDDIITLKADDGSDTVTFMFESPTQDKIADFEMKLMDIDSEHLGIPEAEYHAIVRMLSAEFARICKDLSSIGDTVVISVTKEGVKFSTRGDIGTANVVCRQNTTVDKPEEATVIEMNEPVSLTFALRYMNSFTKATPLSSTVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEDDEENKS >cds-PLY73153.1 pep primary_assembly:Lsat_Salinas_v7:2:191270746:191271795:1 gene:gene-LSAT_2X112741 transcript:rna-gnl|WGS:NBSK|LSAT_2X112741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGSDSEVEKSAHKEKEKKKLQALAPIAKPLAGKKLSKRTLKLVRKAAEHKCLKRGVKEVVKSIRRGNKGVCVIAGNITPIDVITHVPILCEEAEIPYVYVTSKEDLANAGATKRPTCCVLVLPKPTKGELGEEIQQKLKTEYDEVATEITSLAASLF >cds-PLY90419.1 pep primary_assembly:Lsat_Salinas_v7:8:213745630:213746794:-1 gene:gene-LSAT_8X133561 transcript:rna-gnl|WGS:NBSK|LSAT_8X133561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATDQYFDNNERENSFNKDDKPWKSIDKLDNGVSGGFDCNICLESVQDPVVTLCGHLYCWPCIYKWIHHQNTSPENPEKKAPQCPVCKSEVSQKTIVPLYGRGAPTPVSEEKTPDLGTVIPRRPPTPRYGVIDTPATQVSRRGFQQRAPPPLAMPGRGGVDMAASICPSPTIGMLGEMVSGRILGDLDTPLFATPNSYNLAGVSTRRARRQATEADRSLSRIYTFLFCCIILCLLLFT >cds-PLY88148.1 pep primary_assembly:Lsat_Salinas_v7:5:221489652:221490092:1 gene:gene-LSAT_5X103301 transcript:rna-gnl|WGS:NBSK|LSAT_5X103301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCIISEDERRGKNQKKTSTWAQVKELCDANQAENPEKLGNRNIAQMKGCYKQLNESDGKWVGVYREAYRKRRSGMSMKDAENEAHKLYETIGSKFNDMIVFNEVMCKHRKWALQLDHDATRSCPEYEVDDEESGGSTKKIKVY >cds-PLY64820.1 pep primary_assembly:Lsat_Salinas_v7:8:265413636:265416813:1 gene:gene-LSAT_8X154780 transcript:rna-gnl|WGS:NBSK|LSAT_8X154780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSILVALMVILSLLIRSEGNARSKIINMTCDQQLENNITLFMPNFVRTMEIIGTLLRTSRNGTAISGTGPDGNYGLGQCYGDLSPQDCILCYAEARTILPSCFPHNGGRIYLDGCFMRVQNYSFFHEYTGPNDMVVCGNTTAKSGLFGAAVREAVGNAVVEGSGNWDYFARGESVVNVNESVFVMADCWRTLSPAECRRCLENASAAISKCLPSSEGRALNSGCFMRYSDTDFLNPIPVATISSNRGMVLAIVVSIVSSVTVLTVALMIILYIMKHRLIQKKRRGSYDAKKLSKMLNDSSLHFKYSTIEKATSNWNECNKLGQGGFGTVYKGVLSDGREIAVKRLFFNNKFRATDFYNEVNMISSVEHKNLVRLLGCSCSGPESILVYEYLPNLSLDRFIFDATKGKTLNWQKRFLIISGTAEGLVYLHENTKNRIIHRDIKAANILLDLRLRAKIADFGLARSFQDDQSHISTAIAGTLGYMAPEYLAHGQLTEKADVYSFGVLLLEIVTGMENNKSKTAEYTDSLVSIVWNHFQEDTVEEIFDPNLMMQHYVNTNDLKEIKKVIQVGLLCTQEAPSLRPSMSIVLKMLAKDETLPLPSNPPFIDEKTMELNDISDKLQFYNYGDNDCSIATVSHSDFYPR >cds-PLY80012.1 pep primary_assembly:Lsat_Salinas_v7:9:46511161:46512395:-1 gene:gene-LSAT_9X43021 transcript:rna-gnl|WGS:NBSK|LSAT_9X43021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFYLRSHVGREIFSIYQFRSHLFYSTATKLSPVDESTSAKRIEFVDFLINTLGFSKESAISSSSKVRRLKTTRNCDSVIRILKNCGFDNAQIKDIVAWVPKVLLYRANETLEPKIRVFLELGLTGPDLISLLKRNPCLFDLGLHSRIIPTIDYLRVLLGTNEKVVETINRSRWLFSTSIALKMFSDNVLLLQTYGFSNEQISKFVHKNAMHFTQPPDWLTSKLNWIEGKVGISRDSTEFFRCFHAIASSSLSGMDKKMEVYKSFGFSDTELSTLFKNQPYCFALSEDTIRNKLSFFMNELGYTPSYLVTCPSLFSLSLEKRVKPRNKVLEILKERMLDERKSLITLVSYPELRFQDFLRRFEDKIPSLYQTYISSMR >cds-PLY94723.1 pep primary_assembly:Lsat_Salinas_v7:5:45620599:45626130:1 gene:gene-LSAT_5X22660 transcript:rna-gnl|WGS:NBSK|LSAT_5X22660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPFSSGTRLSRDMIRAIRACKTAAEERAVVRKECASIRASVSDNDNDYRHRNLAKLMFIHMLGYPTHFVQMECLKLIATPGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKHYAVVFLHSYLSYILCSSNMVDLRIFFFIISRDLNHTNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKTALCSIRIVKKVPDLAENFVNPVVSLLKEKHHGVLLTTIQLCTDLCNLNEEALEFFRKKCTEVLVKVLKDVVNNPYGPEYDVSGIANPFLHIRLLRLLRVLGHGDADASDFMNDILAQVATKTESNKNAGNAILYECVETIMSIEDSSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAISVDDQAVQRHRATILECVKDSDASIRKRALELIYLMVNEMNVKPLTKELIDYLHVSDQDFKGDLTEKICSIVEKLSPDKIWYIDQMLMVLSKDVVNNPYGPEYDVSGIANPFLHIRLLRLLRVLGHGDADASDFMNDILAQVATKAKSNKNVGNAILYECVQTIMRIEDSSGLRVLAINILGRFLSNRDNNMRYVALNMLMKAISVDDQAVQRHRATILECVKDSDASIRKRALELIYLMVNEMNVKPLTKELIDYLHVSDQDFKGDLTEKICSIVEKLSPDKIWYIDQMLMVLSKLEHGVAVVTVYAGSFMTSLDMGALSARCSKDLELNFAKSLLSEMGQCTTAYPYNQLSEALVLKNYERQDATLVSWNSMYIVD >cds-PLY62758.1 pep primary_assembly:Lsat_Salinas_v7:9:106167876:106169012:-1 gene:gene-LSAT_9X76700 transcript:rna-gnl|WGS:NBSK|LSAT_9X76700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMSNDPFDGKKILERVPVDPPFSLSDLKKAIPAHCFKRSVIRSSYYVVHDLIVAYVFYFLANTYIPFLPAPLAYLAWPVYWFCQASILTGLWVIGHECGHHAFSEYQWIDDTVGFILHSALMTPYFSWKYSHRNHHANTNSLDNDEVYIPKRKSKVRWYSKLLNNPPGRVFTLVFRFTLGFPLYLLTNISGKKYGRFANHFDPMSPIFTERERIQVLLSDLGLLAVFYAIKIAVTTKGAAWVACIYGVPVVGVHVFFVIITYLHHTHLSLPHYDSSEWNWIRGALSTIDRDFGFLNRVFHDVTHTHVLHHLISYIPHYHAKEARDAIIPVLGEYYKIDRTPIFKAMWREAKECIYIEPDEDNKHTGVFWYHKM >cds-PLY84457.1 pep primary_assembly:Lsat_Salinas_v7:7:71285900:71287239:-1 gene:gene-LSAT_7X53440 transcript:rna-gnl|WGS:NBSK|LSAT_7X53440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKSKDCRKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >cds-PLY64952.1 pep primary_assembly:Lsat_Salinas_v7:8:160684669:160686147:-1 gene:gene-LSAT_8X106881 transcript:rna-gnl|WGS:NBSK|LSAT_8X106881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVFDHTHYVTTFPFSYPFATTTTFTTNPPWMDSRIWSRLPQSLVDRVIAFLPPPAFFRARSVCKRWYSLLFSHTFLQMYLQINPKPYFFIFFKQKTTQPKTTTTTTIFKTTNTTAADSVVPQEGYIFDPETLSWHRLVFPLIPHGFSPTCSSGGLICWVSDEAGSKGLLLSNPLFSSLVTPLPSTLRPRLFPSVGLSITNTSIDVMVAGDDMISPYAVKNLSTESFHVDLGGFYSIWGTTSSLPRLCSLESGRMVYVQQQGGSAGKFYCMNYSPFSVLGYDMGRNEWCKIQAPMRRFLRSPSLIESRGKLVLLAAVEKSKLNVPRSLRMWALQSCGTTWVEIERMPQQLYAQFAELEGGRGFTCVGNGEFVVVMIMGTAPEKALLFDFGKKRWVWIPPCPFVSGGGGGVELNGFVYEPRLVTPVTGLLDQLTTINPF >cds-PLY83625.1 pep primary_assembly:Lsat_Salinas_v7:9:101372311:101374331:1 gene:gene-LSAT_9X74661 transcript:rna-gnl|WGS:NBSK|LSAT_9X74661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIRGRENFNSSVKLSYKDFLCKFIQVREKKEASTSGKRTPPLNKSGSTFSNKFDHEDGGLGEKGEDVSWNSCTRLVIIGLSHRAISGIVQLRDGYVDEYMDALLLSKETEEAARNLGLRWLELDLKLVADIGIVGAPNA >cds-PLY77885.1 pep primary_assembly:Lsat_Salinas_v7:1:24061413:24063988:-1 gene:gene-LSAT_1X20721 transcript:rna-gnl|WGS:NBSK|LSAT_1X20721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFKEEPQSPLQPLAYVNLITILSIDGGGVRGIIPSVILEFLEIELQKLDGENARLADYFDVIVGTSTGCLVTAMLTTPDEDNRPIFAAKDVKDFYLQHCPKIFPHDSHPFAPTTKVIKALSGPKYDGENLHKVIQETLQEKRLEETLTNVVIPTFDIKYLQPVIFSSYQLKKNPSLDAKLSDICIGTSAAPTYLPSHSFQTKDTEGKLLREFNLIDSAITANNPTLVAISEVTKEITRGSANFFPIKPTEYRRFLVLSLGTGSPEFQEKYDATKSSNWGVLGWLAGGGSTPLVDVFTQASGDMVDYHISTVFQALHSEENYLRIQDDTLSGDLTSMDLATHENLENLVKVGQELLKKKVARVNLGTCISKPYHHTTNEMALIKFAKILHEEKNVRELRSPSTNRGRITREESMKEQTKLSQRTPALSNALHHSLPDLHELNPN >cds-PLY71556.1 pep primary_assembly:Lsat_Salinas_v7:7:30363141:30364008:-1 gene:gene-LSAT_7X21900 transcript:rna-gnl|WGS:NBSK|LSAT_7X21900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASYTDDDYDYLYKVVLIGDSGVGKSNLLSRFSKNEFSLDSKSTIGVEFATRSIRVEDKTIKGQIWDTAGQERYRAITSAYYRGTVGALIVYDITRKVTFDNIERWLKELREHTDQNIVIMLVGNKADLGHLRAVTTDEAKAFSERENVFFMETSALESLNVEKAFTEVLTQIYRVMSRKALGIANEPSVVLKGQTINIGDKDDVSAVKKAKCCSR >cds-PLY61992.1 pep primary_assembly:Lsat_Salinas_v7:5:89360874:89367592:-1 gene:gene-LSAT_5X42641 transcript:rna-gnl|WGS:NBSK|LSAT_5X42641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVRILGLTRGDDESPREITRNNQSIDNVGENGWLIRFLDSAFFSEWIAVSYLYKHDHSGVRDYLCNRMYTLPLSGLESYLFQICYMLVHKPSPSLDKFVIDICSKSLKIALKVHWFLIAELEDSDDNEGIGRIQEKCQIAATLMGEWAPLVRLQNDSAINLGKNKVLNRWHSSKQRLLSLTSSHQFRKSFSFSPSSGNNLQDEGSSSKASQDENNMFKKFIPSQKVRDALRFRKSVYKDNEESEKDGFFTRLLRDSKEEDVGTSMERDDEDKEKDGFFSRFLKDSKDENEVTSSSDSFLKRIFSKGDLESVEGDDDKEGYFNKFIKEKFEDKQKDIAANDDEEYKEKQPGSPKQKHDRSNPKPPLPNTNPSQFRKGTYHESLEFVQSLCDTSYCLVDVFPVEERKSALCESLSDINDHVVAAQSIGGLCFPMGKGMYRVVHIPEDEAILLNSRDKAPYLICVEVLKSETSSSNTKDHKLSKGGTPLANGDANLPKRPPWANPLPNRQDMYLSSFNKISRTTSQAIDEAMSSLWDSNAKFVHVSLLVENQISKSKFDHECGQTRDEDGDDVEWVRVELKADPGVHMDDIAVQEPPRRREHRRVPSMVAFEEVKAAALRGGVPPGLCVKRSSQESSEAESKDVLSGELWEAKKDRIRQASVYGKFPGWDLVSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLVTSSYTALIETIPDTASLHSIKSRYPDITSLRDFFIAKYQENSPSYKLSQRNFVESMAGYSLVCYLLQVKDRHNGNLLLDEEGHLIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGVSSEFFDYFKVLCIQGFLTCRKHAEQIILLVEMLQDSGFPCFKGGPRTVQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >cds-PLY71728.1 pep primary_assembly:Lsat_Salinas_v7:3:47765508:47766012:1 gene:gene-LSAT_3X38841 transcript:rna-gnl|WGS:NBSK|LSAT_3X38841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAARLFIRSTSARNAAASRFSSGAKTSPFRIQTTKPLSHRIFSCPAELSVCLETVQPFHGVTASALMTSMLTLSRRTCGWIPEGSLGYNFMNACNL >cds-PLY99345.1 pep primary_assembly:Lsat_Salinas_v7:1:81117394:81119518:-1 gene:gene-LSAT_1X67460 transcript:rna-gnl|WGS:NBSK|LSAT_1X67460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVWRRRGKLFHITHCVAPKHSKLSNAKEQAVRRTESSLSMASTASSTLGLPKLHFPQSFTHKISSSSSTLPRKTRVPSISSKPSRIISICSCLTDSSSLEAVEAIIPKVQVETCTWNWKGYSIRYQYAGNSGPALVLVHGFGANSDHWRKNIPVLAESNRVFSIDLIGYGYSDKPNPRDLGDTPFYTFETWGSQINDFCTEIVKDQAFFICNSIGGLVGLQAAVTDPSICKGIVLLNISLRMLHITKQPWFGRPLIRSFQNLLRNTPVGKFFFQSVATRESVKNILCQCYHDTSQVTEELVDAILLPGLEPGAADVFLEFICYSDGPLPEELLPLVKCPVLVAWGDKDPWEPVELGKAYGQFDIVEDFVVLPDDEAPHLVNPLVESFIARHATMKS >cds-PLY84779.1 pep primary_assembly:Lsat_Salinas_v7:8:24270429:24270922:-1 gene:gene-LSAT_8X20640 transcript:rna-gnl|WGS:NBSK|LSAT_8X20640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARLTFFMVAMLHLLLLLSPPGTIHGGGNAIFCGGAGGGVAAMRPLEEKGGEYLTYKPKTGVINQGQGVDGCLPKGIRHSSGPSRYINYQTLGLPSACSTNAPKP >cds-PLY77852.1 pep primary_assembly:Lsat_Salinas_v7:1:22316208:22316853:1 gene:gene-LSAT_1X19420 transcript:rna-gnl|WGS:NBSK|LSAT_1X19420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISNVFVFCGAVTLAILVVIQLATVSPLPHSNSSSKPLVALSLYIQQPQTAALPNPRTVAPPPDAGALIFHRVLTEGPKNTSRVVGKAQGFFIPVKQFAHSGFNIIYLTFDTHEYSGSVSIQAKNPEDDQKDELSVVGGTGSFAFVRGMAVLTRKDEHDTDLVVPYHIRLHLKYPNRSETIPAG >cds-PLY96177.1 pep primary_assembly:Lsat_Salinas_v7:8:101903910:101906295:1 gene:gene-LSAT_8X69520 transcript:rna-gnl|WGS:NBSK|LSAT_8X69520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAHALSQLSTNSRATQLKSITNSLAYNFNLSNRFLSTNKGNEGDIDWGSASTWSSGLTKEHFDGEVVGHKVGGNDGGGGGGGGGGGGGALGSSQIVPTSWNDDDEMEKLRKMAAEASRKDGEFASKWKERMRETSLLMKQVIEPGARGSYLKDSEKAEMYRLHKENPEVYTVEKLAKDYRIMRQRVHAILWLKEDEEKMEKKLGHPLDDSVEQLLDNFPEFFDWHDREFHVATLPYKPDFKVMPEGWDGSIKDPDEVLYEISMKEDEILYQEFLEKFNFNKMKIEGKVKVHKYSRRRPTEGWEITVEKMGPRGKRGDGGGWKFKSLADGSTRPLNDYEKMFVKREKPRRRRKILHPK >cds-PLY75305.1 pep primary_assembly:Lsat_Salinas_v7:3:156686902:156687396:1 gene:gene-LSAT_3X99661 transcript:rna-gnl|WGS:NBSK|LSAT_3X99661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCSNSSGLRSDAGDKVPVSSGLRSDAASSRLRSDAVSSELRSDAVSSGLRSDAVGKAQYFGRSSLGFVLTVLPQVKGRARDDGIAHTTASVFVLGIGSVYLDMF >cds-PLY84133.1 pep primary_assembly:Lsat_Salinas_v7:6:191718718:191728531:1 gene:gene-LSAT_6X117881 transcript:rna-gnl|WGS:NBSK|LSAT_6X117881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phragmoplast orienting kinesin 1 [Source:Projected from Arabidopsis thaliana (AT3G17360) UniProtKB/TrEMBL;Acc:A0A1I9LN37] MVKDSSKSSQAEGNENQFDKTLSNSNPLDIPFSRTPLNAIPDPSQFSKEFLQEVMIHNGFKDKSLEAGSTSNRRKFDSAHSTPARSVSRTTYVGQLGACTGPRALQSTGERGANSTRVSRRISNVNCEPPPTEVPHFELVEDPSFWNDHNVQVLIRMRPLSNMEKMGQGYVRCLKQESLQTLAWLGQPEVRFTFDHIVSETISQEKLFRVAGLPMVDNCMSGYNSCMFAYGQTGSGKTYTMMGEISQEDGKLVDDCGITPRLFEYLFTRIKLEEENRRDERLKYSCKCSFLEIYNEQITDLLEPSSTNLQVREDLKEGVYVENLTEYNVKNVDEVLKLLLQGAANRKVAGTDMNSESSRSHSVFTCIVESRWEKDSVTHLRFGRLNLVDLAGSERQRSSAAGERVKEASYINKSLSTLGLVIMSLVDVAHGKHRHVPYRDSRLTFLLQDSLGGNSKTTIIANVSPSMCAANETLSTLKFAQRAKLIQNNAKVNEDASGDVTALQRQIQMLKEQMSLLVKHQKISKPVFHVGVGPTFQPSLGDESFERQEEACGCGEDVCDNFPAFRTLHSQTKPINGLALPKADVQSTKMLLRFREEKIKRLELLANGIISTDHYLMDENMALMEEIHQLQARIDKNSQVTRFQDCYEHGERGALLAEVLALHDQDDKSSMGLEEYKCANSKLIRKVDELKTELSKYISYNQTAAYPVGSLLSSIGLGDEMEACDEFVKGVVPGSNCLKRDNMLQSTDNIQELMEAKALLGAMKSEQATLVAELQYIRQENDRLVETLNNTNNAQTHECHTSENQNLPPVTNNSMMDLQARLDKMAKDLDDVDLPDDLEDRLSHSSLKNEVCLVDEEVENEATNAILNLQEELASLQVKYHGRLCSMSEENKKLRRIIEAKEDEVYTLHTEWERASLELTSFLLDGSKSLKDASGQIEAIACSFPHYNVSVTEHVKKAAIVCIEKQETILRLEKNLVDAQTTILEMQEKLNSLRTATIALTEIQSTQHDERTKIENQVEDFMEGICEMRKNLLELKETNKMVEGMGCTHQMQENQLLMVQRIITELVSINNKLDNMKAYFEDLDDFSTDISTSSSNLSDDEDLQENIEHSSNQESEKQDRKTHLFLKKQFMMAYEAFIKLDVQLASVFKDKEYVHNSMKIDEKKTSKADCFFSKFEEARATMTEADNMLNVLMKANEEAKVLAGRWKQAAEDVMVDKASLVEELANSVSVLEGSFHHLKKEAENSCHLMYSDALAMFHGVRHDINESRSSLQDIYAERMENSFASFVVQQCQIGEYNKKFKLGSRLHEELIKHVETLRFRQNPSTINDSEEEVIYSALAASKESEDVGECDLIGENLKLNKELERKNTLLNGLLFDFSLLQESASTRKDIKDEAEKLFTDLRQVQHELKMKTTQLDDMLIRYEKLESCLSDTESALSASKSCLQHAEETVNALSYQNGELRSLLEDLYHKKSETEEKLEEQKEIVKSLEKEIDCGASSVQEHFLFSLEGITDDLKRVSNERDQLCEQVNSLQEKLEMAYTIADENEAIAVEARQVSEANKIYAEQKDEEIKILENSVEELDSTINVLEKRVNDMEEELQRQHKIRDSLEVELQSLSQRLLTVESFRDCMDSDNSNLDQYEYQISRKLQARIKDLEDERAEQANEIKQCKEYISELVIHAEAQALQYQQKYKSLEAMVSLMKTGPSKSSLEAQLLEKSMVRGGRGSSPFRCIGNLVQQMNTEKDQELSLAKARLHELESVASSRQKEICMLNTKLATAESMTHDVIRDLLGVKLDMTKYANLINQKQLQKLIEDAAQQTQEFIAMEQEIRKLKRQINELLEERDRCISEIDRKEAEILAGKMSVVQLQGRDQLLTAQNEMLKADKTNLQKRAAELDDMIKKLLGGGQHQKSIPIPIPKASSSSSSEFGFGKRVADSERLLLRVNNELAQYQYQYRNPERNGTEKKHGL >cds-PLY77736.1 pep primary_assembly:Lsat_Salinas_v7:9:20211311:20213143:1 gene:gene-LSAT_9X18660 transcript:rna-gnl|WGS:NBSK|LSAT_9X18660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIIEFLLFVLTATIGGILCSYLLSGYTKKDVRSNEATMKYLLMGGASSFILVHGFSWPYGSSRGEIELQEIVNGLINTQMYNSPGISIVLIFIIVGIRFKLSPAPFHQWTPNVYEGVRFVKQVRNDESLYDKQIRFASSLLRVVPTKYQTNDMLHSWFSSFRDYECNRSIRRQKDHPKMIISWLLRTNQIRWFYFLTCSYGTKIEKIEKISHSQPLMKDSSKKVRNPLFDSNSPTPVVAFLSVTSKVAASASATRIFDIPFYFSSNEWHLLLEILAILSMILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNDGYASMITYMLFYISMNLGTFACIVLFGLRTGTENIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLYLFWCGWQAGLYFLVLIGLLTSVVSIYYYLKIIKLLMTGRNQEITPHVRNYRRSPLRSNNSIELSMIVCVIASTIPGISMNPIIAIAQDTLF >cds-PLY97458.1 pep primary_assembly:Lsat_Salinas_v7:6:92206397:92206804:1 gene:gene-LSAT_6X62541 transcript:rna-gnl|WGS:NBSK|LSAT_6X62541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAAEKKPAVAAEKKPRTEKKLPKDASAAGADKKKKRNKKSVETYKIYIFKVLKQVHPNIRISSKVMGIMNSFINDIFEKLAQEASKLARYNKKNTLSSREIQTAVILVLPGVLAKHVVFEGTKAVTEFTSS >cds-PLY64421.1 pep primary_assembly:Lsat_Salinas_v7:2:52556296:52567961:1 gene:gene-LSAT_2X24180 transcript:rna-gnl|WGS:NBSK|LSAT_2X24180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYTLPGIRLNTLPSISHSGGDRRSTVAASSSTNLSLLLRKSSLTRKFIAGRSESQSFIVGATEKVLDNSSSTEQLEALDEDSEHSKINLDEEQLDEINEKDFVASPVLVEDIAAMEEVDLVSLFDIDKESEEIRKLSIPPPGKGERIYEIDPLLSNHREHLEYRYSHYKKIREAIDKYEGGLEAFSRGYEKLGFTRSKTGITYREWAPGAKSASLIGDFNNWNPNADVMTRNEFGVWEIFLPNNVDDSSPIPHGSRVKIRMDTPSGIKDSIPAWIKFSVQAPGEIPYNGIYYDPPQEEKYVFQHPRPKKPKSLRIYEAHVGMSSTEPMINTYANFRDDVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRCGTPDDLKSMIDKAHELGLVVLMDIVHSHSSNNTLDGLNMFDGTDSQYFHSGERGYHWMWDSRLFNYGHWEVLRYLLSNARWWLDEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYSEYFGLTTDVDAVVYLMLVNDLIHGLFPQAISIGEDVSGMPTFCIPVQDGGVGFDYRLHMAVADKWIELLKLRDEDWKMGDIIHTLTNRRWSEKCVCYAESHDQALVGDKTIAFWLMDKDMYEFMALDRASTPLIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRADQRLPDGRFIPGNGNSFDKCRRRFDLGDADYLRYHGLQEFDQAMQHLEEAHNFMTSGHQYISRKHEGEKVIIFEREDLVFVFNFHWYNSYSDYRVGCLHPGKYKIALDSDDSLFGGFDRLDHEAEFFTFEGNHDNRPRSFMVYAPARTAVVYKLITDVVDPTPLGQHQIS >cds-PLY89651.1 pep primary_assembly:Lsat_Salinas_v7:9:96262336:96263498:1 gene:gene-LSAT_9X72400 transcript:rna-gnl|WGS:NBSK|LSAT_9X72400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIALFFLHLLFLLSIFQPSFATRRLTELVQNSSPLLQYHNGALLTGDISVNLIWYGNFKPSQKAIITDFITSLSSASLKSQIQPSVATWWKITDKYFSKTKKPSLRLGKQVSDPDYSLGKSLSDKHLLQLAAKGEPTNAVNIVLTAKDVAVSRFCSGRCGTHGHGSSSKASHVKGKSNKFAYIWVGNSETQCPGQCAWPFHQPIYGPQGAPLIAPNNDVGVDGMVINLATLLAGTATNPFGNGYYQGDAGAPLEAASSCSGVYGKGAYPGYAGDLLVETTTGASFNAHGTNGRKYLLPALFDPSTSKCSTLV >cds-PLY98797.1 pep primary_assembly:Lsat_Salinas_v7:7:22490448:22500501:1 gene:gene-LSAT_7X17880 transcript:rna-gnl|WGS:NBSK|LSAT_7X17880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPMATEESILFHIIIIVNLFTLSVSQDFLFSRCDNLNFTTNSRYQRNLNEALSSLTSDTSIRYGFYNGSVGQIPDQVNAIALCRGDVQPEDCRTCINDAITRLRETCQNQKGGIGWYDNCMLRYSNSTILGNRDTSFAGYMWNNNNASNVDEFNQALRQLLDQLRTEASNGGSLRKYASNNITGPRFSTIYGLMQCTPDLSEDQCYDCLDTAIRQIPNCCDSKRGGRVLYPSCNIRYEDSRFLNDTVVLGPPPSPPSTPPASPPPPPPTSGKSSNTIIIVIVVVATVGGVISLVVFVCIFMRRKKKLQGRPPQNSVYEEGDIDEISTAESLQYSFGIIRVATNDFSENNKLGQGGFGSVYKGKLQNGQEIAVKRLSKDSGQGEQEFKNEVLLLARLQHRNLVRLLGFSLEGPERLLMYEFVQNASLDQFIFDPTKRATLNWERRYKIIGGIAKGLLYLHEDSRLKIIHRDMKASNVLLDAQMIPKIADFGMARLFTQEETQGNTSRIVGTYGYMAPEYAMHGQFSVKSDVFSFGVLLLEIVTGHKNHNFQNGMVTVDLLSHAWKSWRDGTASSLIDPTLRDGSDSIRDMIRCIHIGLLCVQEDIAERPTMASVVLMLSSFSLTLAVPSEPAFFMHTIKLTLESMQRIIPKSIFFLCFNLLTLISPSQSRFLFHRCDDNNGNYTSNSSYQTNLNSVLSSLVPAAATTTYGFFNRTAGQPPDTVNAIALCRGDADLDTCRSCINNANVSARDLCPNRKGATVWYDDCMLRYSGDTILRNLDSTTGGFIWTLDNIPNSNELYQAIRPLMDRLKSEAAGGDSVRKFSSGSTFGPGFTTIYGLMQCTPDLSEDQCYSCLETATNTIPNCCNASLDVQILYPSCNLRYANSRFFNATVVFPPPPVEAQPRSPPPSSPPPPSKSSKTTVIVIAVVATVSSVILVFVFVCIFIRRKRKPEVQLSENSVHEHGGINEITTAKSLRYSFGVVRAATNNFSDNNKLGHGGFGVVYKGKLPNGKEIAVKRLSSDSGQGEEEFMNEVLLLAKLQHRNLVQLLGFSLQGSERLLMYEFVQNASLDKFIFDSTKRVTLDWERRYKIIGGIARGLLYLHEDSRLKIIHRDMKASNVLLDAQMIPKIADFGMARLFTPEETQANTCRVVGTYGYMAPEYALHGQFSVKSDVFSFGVLLLEIITGHKNHSFQNGVVTEDLLSHTWKSWRDGDASNLIDPTLRDVSDSIRDMIRCIHIGLLCVQENACDRPTMGSVVLMLSSSSLSLAVPSEPAFFVNTIANLQN >cds-PLY94707.1 pep primary_assembly:Lsat_Salinas_v7:2:85598848:85602469:1 gene:gene-LSAT_2X38560 transcript:rna-gnl|WGS:NBSK|LSAT_2X38560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIDDDQSKTCVVLGGRSFIGRCLVVRLLKLGNWIVRVADSAQTLQLDPSESKHDSPLSRALSTGRASYAHVDVRHKSTIINAIEGSEVVFYMDDIDSCNNDFYIGYSIIVQGAKNVINACRRCKVHRLIYNSTTDVVIDKSHDICSGNETLLYSSKFKNLYSELKAQAEAFVLLANDIDGGLLTCSLRPSNVFGPGDKLLLPSLIEVAKSGWAKFIIGSDQTISDFTYVENVAHALICAEAALSSRMLIVSGKPAKSWQFALCMLEGLGYYRPIIKLPGVVVQMIVFLIKWMHSNMNSRNIKNVSVYNIVQLMSHTTTYNCSAAERHIDYSPIVSLDDGIALTVKSFSHLAKDLPSTRLGDLIEQSKVEELLGGGQVADILLWRNERRSFLWFVGVGFLYYWFCVCERMMISSTAELLLLMIVVVSGYAKLSPKVYGCARLSPKVSVCSIWRTLPCFEVSETCMRSCVRSMVKIWNGVGDVGRSLAQGNDWTLFFKVVLSIYFFKLLVVNSFPTSMGVGLGFLFSLLLVYEQYDVEMDGLVGVSFEMMRQCVVSVTSRIPVPTPAPLCINTTTTKSKDQRHNN >cds-PLY75245.1 pep primary_assembly:Lsat_Salinas_v7:7:66410828:66413153:1 gene:gene-LSAT_7X47701 transcript:rna-gnl|WGS:NBSK|LSAT_7X47701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFFNILKIYRVLLIICLISELGHGFYHPGSYPPTYVKGEPLSVKLSSLTSIDTVIPYSYYSLPFCKPPEGVKDIADNLGEFLIGDWIQNSPYNFHMYTNETEIFLCQTKSLSSDEYKLLTNRIEELYQVNLFLDNLPAIRYVTDYPRWTGYPIGLKFSDSYYVFNHLKFTVLVHKYEEMNVPHKYMVVGFEVDPCSVKHNPETLKNLKIYSKYPSKIDCEDSVVALKENEPIAFTYEVTFIESDIKWSSRWDSYMKMDGAKVDWFSIVNSLMIITFLAGIILVIILRTTVKRDFSNLKIVVVDVYRFPSNPMLLCVMVGNGIQILGTTVLAFIFAAMGFMSPVSRGALVTGMLIFYMVLGILAGYIAVRMWRTVFSGDHKGWVSVSWKVACFFPGITFLIFFVLNFLLWSSHSTRAIPFSLFATLILLWFCISVPLSLLGGYFGVKAPHIEYEIRNNQIPREIPAKKYPSWVVVLGAGTLPFGTLFVEINFIMSSISMDRVYYIIGFMFVALILLVMVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVALYIFLYSIYYLIFELMSLSGPISVIIYIGNSLLMVLATMLVTGTVGFLSSFWFVHYLFSSVRLD >cds-PLY99460.1 pep primary_assembly:Lsat_Salinas_v7:5:333110495:333113109:1 gene:gene-LSAT_5X186200 transcript:rna-gnl|WGS:NBSK|LSAT_5X186200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTETNGGGSLVLIKQGAEARVFESTFGGRKSIVKERFSKKYRHPTLDSKLTLKRLNAEARCMTKARRLGVSTPVLYAVDPVSHTLTFEYVEGPSIKDIFLEFGLKGVVEEKMDDIAFQIGDTIGKLHDGGVIHGDLTTSNMLWRSDTNQLVLIDFGLSFVSTLPEDKGVDLYVLERALLSMHSSCGNVMDKILSAYRKSTKQWSSTFNKLGQVRLRGRKRTMVG >cds-PLY75081.1 pep primary_assembly:Lsat_Salinas_v7:2:94033409:94034157:1 gene:gene-LSAT_2X41980 transcript:rna-gnl|WGS:NBSK|LSAT_2X41980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKADWHSCLDNKVGFKGFAVPKETQDKVAKFSFHGHEAELRHGSVVIKQNLIMQRVEHLAGDLIHLFQGLLRYDPLERVTTRATLRHPFYTRDNLSRRY >cds-PLY84725.1 pep primary_assembly:Lsat_Salinas_v7:5:229870147:229872597:1 gene:gene-LSAT_5X109940 transcript:rna-gnl|WGS:NBSK|LSAT_5X109940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLCLLCLSHQWLVDHPKFLNNPFYLGGESYMGIVAPMIVNEIYKGNEVGEGPQIKIKGYMLGNPLTDTSGDYNSRIPFLHHMGLLSNEIYKSAKENCHGKYLDVDPNNHRCINDLKVVDKDDHYIYSYVWANRRDVREALHIDEEFDEIKWVRCNESLFFDFFTEPISNTHNVLNTVAYHKHLANKNCRALVFSGDHDMVVPYLGTMHWIKSLNFLVVNEWRPWFVDKQVAGYTMKYSNHEYNLTYATLKGGGHTTPEYKPKECLSMLIRWLANDVL >cds-PLY99048.1 pep primary_assembly:Lsat_Salinas_v7:6:151562607:151563927:-1 gene:gene-LSAT_6X91100 transcript:rna-gnl|WGS:NBSK|LSAT_6X91100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKKDDEKSKIGMDMEDKENVVKRKKEVHDAHSKKSPKRKKGKVEHENDRIKTMEGKVRKLSKDHPEGYIRLATRMTPGRISSIVKVMSPAQKNGIVSMGFGSLLHIDIDTTPGLLNYYLLDNYDPDSSRLVLENMVITITKDTMHDILGLPNVGEDFLSMSSCDKDNEVLQEWKSQYDKKGFNGEEYLKRIKNTKLDNLMFRLNSLTLFINTFVESTLSGTNLINVVNKLVLLPENFEDIVDDDGMVDEDEMLDGMMRDYGAEELLNYLECGTVMLLEF >cds-PLY84762.1 pep primary_assembly:Lsat_Salinas_v7:5:230460778:230462430:1 gene:gene-LSAT_5X110800 transcript:rna-gnl|WGS:NBSK|LSAT_5X110800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSLREEFDRVAKNQELSTTKYHQLIDKIEDEINSAITDLQSAADDTSSIDHKSVINHLIDKLAAYSVKEQFKMMKKDLQVDLENYPKILGKFFETDISKAYINVEFDSQIVNQMILNLLYHERRFDVADILLNESQEPDIFRVRSKYSEMHEILDALKARNLEPALSWMCVNRQKLHQAGSKLEFDLRRLQFLEIFKANRSEANNFAQTHLSPFASIRSNEFLQITGCLLWPGDLEASPYSDLLSPEKWTEVSQELMVQFYSSMGMSLKNHLAVTVEAGAQGLPTFLEFANLAMISREEWAAMKEPPVDVELGREFQFHSVFVCPVTWEQSDKKNPAVMLPCGHVFSWRFIRNVSNQWRQVFKCPTCSVLDVSAAQCRQLFL >cds-PLY97674.1 pep primary_assembly:Lsat_Salinas_v7:8:7235897:7244809:-1 gene:gene-LSAT_8X4880 transcript:rna-gnl|WGS:NBSK|LSAT_8X4880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTPTATTCEFDEREKIGATVNRREEGRGNAASFLLYVAPIWTFARIIKKRSTEEFSSVPYIISLLNCLLYTWYGLPVVSNQWENFPIITINGLGILFELSFIIIFIWFTSPKQKLKTGIMTTIVILIFSTTALLSTYLLHDHHTRKRLVGSVGLLVAVAMYASPLVVMKKVIETKSVEYMPFSLSFFSFLASALWLAYGLLGQDLLIAAPNVVGCPLGALQLVVYCKYRNRVMEEPKEEWDVEKLDQEDIKQHLQIAVVTTDDKINEKMSQNMNS >cds-PLY90638.1 pep primary_assembly:Lsat_Salinas_v7:6:54662778:54663084:1 gene:gene-LSAT_6X40681 transcript:rna-gnl|WGS:NBSK|LSAT_6X40681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDGCVELVCAVKDRLVAEHKYGDEEEIMEFFSFRPLNKDSVLTFSFLATSNIAEIGFSSQGYGDDMTTMKVVNRNVVEAL >cds-PLY86215.1 pep primary_assembly:Lsat_Salinas_v7:8:225115800:225117112:1 gene:gene-LSAT_8X137860 transcript:rna-gnl|WGS:NBSK|LSAT_8X137860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYTTSRVCIHPKYSFLIPHKTKQIFPLNFSSVTVAALSEKGTDGSIGEDDRRNSSAASPSARTQIDLLDQLSASTSSSGYTSDGNYVELTLREKLIELVGDRDDDFTLRLGKKLKVPKLLTVSQKRNIKRQAYLNEVSRRNDTNFFAIIGAFVLLPPLIILGVAIATGYVQLFP >cds-PLY91078.1 pep primary_assembly:Lsat_Salinas_v7:9:124639752:124651587:-1 gene:gene-LSAT_9X81620 transcript:rna-gnl|WGS:NBSK|LSAT_9X81620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKQFSVKVEESGRKGAGGHDPSVGPVYRNLLAKHCFPPLDDSHLNTTWDVFRSSVDKYPDNRMLGWREVVDGKWGPYIWKTYKTVYEEVLHVSYAIRASGVQSGCKVGIYGSNCPQWIIAMEACNAQSIICVPLYDTLGAGAVNYILEHAEVDIVFVQDKKVKQLLDPECTHTRRLKVIVCFTSMEDEEKEKADSIGIKSFSWNDFLHMGSQHPSELQTPQPSNICTIMYTSGTNGDPKGVILTHENATTTIFGVDLFMKQFEEKMTVDDVYLSFLPLAHILDRMIEEYFFHMGASVGFYHGDINAIQDDMIELKPTFLAGVPRVLERIYEGVLKGLEELNPRRRKIFDILYNHKLGWLKSGYKQNYASPFADMLAFRKIRNRLGGRIRLIVSGGAPLSPEVEEFLRVTSCAFVLQGYGLTETCGLAAVAYPYEMSMVGSVGPPFVYTELRLEEVADMGYDPLAYPPRGEICVRGKSCFAGYYKNPELTNEVMVDGWFHTGDIGEILPNGAVKIIDRKKHLIKLSQGEYVALEHLEKVYGITPIVEDIWVYGDSFKSSLVAVVVPNKEHVERWGHKNGHKNCFSSLCTLTQLQDYILCELKSTAQRNKLRGFEHIKGVIVEEKTFEGEKDLLTPTLKKKRDKFLSCYKVQIDHLYKNKS >cds-PLY82452.1 pep primary_assembly:Lsat_Salinas_v7:2:183298043:183299244:1 gene:gene-LSAT_2X105640 transcript:rna-gnl|WGS:NBSK|LSAT_2X105640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKVPAISIDLGTTYSCVAVWQHNRVEIIANDQGNRTTPSFVAFDDTERLVGDAAKNQAAYNPTNTIIMKMKTVAETFLGSAVEKAVITVPAYFNDSQ >cds-PLY89493.1 pep primary_assembly:Lsat_Salinas_v7:4:147908501:147910623:-1 gene:gene-LSAT_4X91001 transcript:rna-gnl|WGS:NBSK|LSAT_4X91001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGAKNRDFYEVLGLKKECTEIELKNAYKKLALKWHPDRCSAAGNTRYVEEAKKKFQAIQEAYSVLSDVDKRFMYDVGVYDSEDDENGMADFMREMAVMMSQNKPTENGESFEELKDLFDEMFESDTESFDSTSHSSSLFSSCGESSSSSSSNKRGSSTMSNIKNEEPSFFDAHIQGFSVGTGRRYDERSSNRRKGRHD >cds-PLY79046.1 pep primary_assembly:Lsat_Salinas_v7:3:9448332:9454214:-1 gene:gene-LSAT_3X7740 transcript:rna-gnl|WGS:NBSK|LSAT_3X7740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVWGSSNLFGSSMVYGLQTQASSNDDFQINDDKGEKDDMKLTQVGADALMIAVIPNDVGKPTTRLDKAKVRDGSCYWEKPHYETVKFTQDQKTGKFNDKIYRFVVATGSSVFGVVGEVSIDFASYAEATKLSSLSLPLKNTKSTSLLHISIQRVQDQRDVDVSESTNVDIEEGIRVDPIEDHGGMSDNINRDCRASIGSDITLSCSDSSSGLDTPRDPEPKNTNNKSACESSTTTIYEEQHRKSSSQWDWLDASPHELSTDDSSVISPRETLSRETSDEDSPDAIIKKLQEEVAVFARQADMSEMELQTLRKQIVKESKKSQDLSREVAFLKEECAKMKAYQKPTEVKVNGNSGGDPWVLVDELKQELNYEKDLNSNLRLQLQKTQESNAELILAVRDLDEMLEQKSSIAPKSQEVNSKSKTDDDEDQKALEEIVREHSGLKDAYLQEQKIIDLYNEIELYKRDKEELETQMEQIALDYEILKQENHDMSCKLEQSQLQEQLKMQYECSSPYASVNELESQIDHLDNELKTKSKELLKSILTIKELETHVKNLEEDLENQALGFEADMEDLVRAKVEQEQRAIRSEENLRKVKLQNANTAGKLQEEFRRLSTEMASAFKENENAAMKAMDEAYQLQVEKRHLEEMLKEVQEELQYVGDQYEDKLVDLSNQINLKSKQLKDMEVQIGNLQDERKNLDDEVHMVKMEVESLRKELSEVRNVKKDKENEVERLQSEIERLKSRCNEMKQFVKEYELEKGNLMKKVSQLNNDLKKKDETISSIEKKLKDCNSNTKTTPRNNKPVPASRGPKEITNMKDKIKLLEGQIKLKETALELSEASFLEKEKDLQQKIQELERRLEVLDQDIISDDAIKSEINKTGNQEFFETLSNRNKLMEVELKEMQERYSEISLKFAEVEGERQQLVMTLRNLKNAKKC >cds-PLY91079.1 pep primary_assembly:Lsat_Salinas_v7:9:124923808:124931413:1 gene:gene-LSAT_9X81501 transcript:rna-gnl|WGS:NBSK|LSAT_9X81501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRIPVWMLIAFSQVIVITAVTNQNDVAVLNAIKSSWQNLPPDWSGSDPCGSNWEGIKCTNSRVISLVLAGMGVKTNTIGDIPSLSQLEYLDLSNNKGIKAPLPPTIQNLKNLTTLILVGCNFFGPIPDSIGSLEQLVFLGLNNNSFTGNIPRSIGNLANLLWLDLTDNQLRGAIPVSNETDPGLDFLVKARHFHFANNQLSGVIPFRLFSSSMSLIHVLFNNNQLSGKIPSSMGLVDTLEAIRLDSNSLDGNMHRNITNLKRVGELYLSNNNLSGPFPNLIGMESLFYVDMSNNSFDASDIPTWFSLPSLTTILMDKTQLQGEIPSDVFQPQLQRLGLSNNALNGTLDVGNSYRSDLIVDLTNNSIGDFTQKSEYNMNLILANNPLCEGGTTGRYCSARNPNLPNGFPSNNNCPPVRCPSDKILSPNCACTHPYTGTIYFLSYSFSNLENSTYYMLLHDALISAFRSSQLPVESISVSNARVNEFSYLQYTLHVFPSGQDFFTRSTISSIGTVINRQNLFSLPQFGPLFFLDDSYCCFPGNKSSNHGIIIGAAVGGCVILLLIALAITYAIYQKRNATRAKHSNPFASWALDNGSIVGGVPQLKGARWCSFEELKRCTNNFSEENLIGSGGYGQVYKGTLDSGHVVAIKRAQQGSLQGAHEFKTEIELLSRIHHKNVVALVGFCYEQGEQMLIYEYISNGTLKDNLTGKAGMRLDWVKRLRAALDSAKGLTYMHELANPPIIHRDIKSTNILLDDNLIAKVADFGLSKLLGDDSKGYVSTQVKGTLGYMDPEYYMTQQLTEKSDVYSFGVVLLELLTARSPIHKGKFIVKEVNEAIGKSGDLNGLYRILDPSLGSSQNLGGLTEFLNLAMSCVRDSGVERPKMGEVVREIERIIDLAVLSLDAESASSFLSQNKGEVGDLYHPYGDSVSDVSSLTLPFETELRR >cds-PLY74172.1 pep primary_assembly:Lsat_Salinas_v7:9:11186486:11192427:1 gene:gene-LSAT_9X9281 transcript:rna-gnl|WGS:NBSK|LSAT_9X9281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAKCHPAAVRFIPDQPESDGSIKDASSSEKEPIRANGELSLLDLIDGREMERIESIELFWVTCSRSVVKTNLIEEVSWLDYGHRGMQLDPELEFDREVYPLGLLPNVGIVVGITFSACMSFHVLSHPLKLKLYYIAYFTTFFSLKWEPCKVGWMQNSSSHMCSVKFKQWIVVLVTLLRRSEIMTRLNPVALAELEKLVDKGFQISTWTEEGIVRAGVRMVTFVATAKNLTQLDDKSLDEILRKATDDLLWYNASECTDELFAGFLDWLNKEEGKAFLHKVGRKRLFRIVLG >cds-PLY81671.1 pep primary_assembly:Lsat_Salinas_v7:2:24859839:24864477:1 gene:gene-LSAT_2X13121 transcript:rna-gnl|WGS:NBSK|LSAT_2X13121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPFKSSPSSSSSVPTGRWTHEVFLSFRGEDTRNNFVDHLYTALSQRGISVFKDDQALDKGKPISRELLKAIEESRFAVVVFSKKYADSSWCLDELVKIMECRDQMGQIVLPVFYHVDPSDVRGQKNDFDSAFQEHEDKFKGEMEKVKNWRKALAAAAGLSGWHIKETGNGGESAILKAIVAKISNSIQPRDLEKHLFGIESRIDELYPLLDMEATEKVHMVGILGMGGIGKTTLAHALFRRIKHNFEGCSFVEDVRENSSSKKDVCALQQKILSDILYQMHPSGKVSVGYPTVNPEYGANMICERFCHKKVLLVLDDVDNDKQLEFLARTHEWFGPGSRIIITTRNEHLLSDADVIYKPAFLSMNEAGELFCWHAFRKSSPPEGYEEISDRAIRYASSLPLALKVLGSFFHGRQLSVWESALNRLGKGSIDKITETLKLSFDGLDASEKQIFLDIACFYKDQNEEYVTRVLDSFGFDPVIGISVLIEKSLITVSNKRLHMHDLIQEMGWQIVYESFPDSRVWKPEQIHKIIKGKKQKLKALEAIMMTANSCHVGAYVLVGMQNLRLLDIDGKFTSTQPTFLPDELIWLRWTEYPFMTLPLAHMCKLVGLEIANGSINHLWKERKILPNLKFIHLEGMDKLTSFPDVSESPNIVRLILSCCRSLVEVHESLGSHRGLVYLDMSGCIGLKCLPSRLEMESLETLILSGCYSLERFPKVSPCMVKLSHINLSACYTIKELPSSIRYLSSLSFLNLTYCSNLENIPNSICELKYLKCLHLHNCKELRDFPKELGNMKMLEELWLGFTYDIRSPREPVGFHSLTSLSSLKSLNLSWREIEEEKFPQNLDELSSLEELYLSGNSQLVELPSSICHLSRLKRLEVNECPRLRRLCGLPSSIQVLKANNCSSLGMIGDLSKECDWLYKIWLSHNQKLLEDEENQRYLDNMLQLSFIKKCAAVDHRLSITIPGSMIPSWFEKQMDGCRIGLKLPQNWHTEIMGFVVCGVFTYQWSRYNIPPLIIFRITKDGAAIPKPEVNATETTENTNLWISYIPLGVFQQIYHDIQPEDWSHIQGNLDMTVTLGYGVESVRCGAHVIYKEDVQQITTCICDYGNDVHVADEDVSYDEIIYGNTRVYREKFDMKSLMPLRSRTSARRNTKHIFSFLPSSLDGGSRSFYSKKHNFSENQNQKSHGSSFTR >cds-PLY92284.1 pep primary_assembly:Lsat_Salinas_v7:2:208842825:208843184:1 gene:gene-LSAT_2X129661 transcript:rna-gnl|WGS:NBSK|LSAT_2X129661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPEDYTLAYLIVISFIVIYYCCACRTRDSNEASSLPPSSTPPPLDAAAMITIYHRTPTETNEESLECTICLEVIKEGEKLKVLLSCCHCYHCECIDKWLIANSSCPMCRTSVPVDSPV >cds-PLY88616.1 pep primary_assembly:Lsat_Salinas_v7:5:141623341:141627360:-1 gene:gene-LSAT_5X61420 transcript:rna-gnl|WGS:NBSK|LSAT_5X61420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAFLEEFEHLKIRLEDIKSATYNFDMSNIIGKGGFGMVYKGVLSHFKEQNIVAFKRLDRNFGQGDPEFWREILMLSHHTHKNLITLLGFCEEDGEKILVYEYASRGSLDHHLSCSTLNWRQRLKICVEAATGLCYLHDPKGTQQRVIHRDIKSSNILIDENWNAKISDMGLSKIGPANQQHSFLATNVVGTFGYVDPTYAEKSILTKESDVYSFGVVLFEVLCGRLCFVNNNGHFESLVGMWKKSYKQKNVDAIIFEDLKQHMNRDSLEIFSDIAYQCLQKSREGRPKMLHVLEQLETALCSQEKFEEVEQAMDYEEELKMFLSEGISAHGDEMLAARLDFRKMKHGCKHYRRRCKLRAPCCNKVFWCHHCHNNFSSVFNGPKERHNIVRGDVQQVVCIICNTEQPVDQICGNCGVKMGEYFCGICKLFDDNTSKQQFHCNDCGICRLNGRENFYHCDKCGCCYANHKRGSHTCVENATKIECPGCHEYLFESSKNITFMYCGHTIHVDCYSARLRKNKTSCPICKTSSLLDKKVARIKQGD >cds-PLY65884.1 pep primary_assembly:Lsat_Salinas_v7:3:108272540:108274357:1 gene:gene-LSAT_3X78681 transcript:rna-gnl|WGS:NBSK|LSAT_3X78681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKSPPAVDTGNAPLSISSPTTVAESQRRDIEVPIVTAAKLKILIVFYSMYGHVETLARRMKKGVDGVEGVEAVLFRVPETLSDDVLTKMRAPSKDDEIGEMSSVHELESADGFLFGFPTRYGSMAAQMQAFFDSTSQLWGEQKLAGKPAGFFVSTGTQGGGQETTAWTGITQLAHHGMLFVPIGYTFGAGMFKIEAIRGGSPFGAGVFAGDGTRQPTETELALAEHQGKYMAGVVKKLAQPPC >cds-PLY68356.1 pep primary_assembly:Lsat_Salinas_v7:4:190997396:190998848:-1 gene:gene-LSAT_4X109761 transcript:rna-gnl|WGS:NBSK|LSAT_4X109761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHQFESKADAGASKTYPQQAGTIRKSGYIVIKNRACKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLKLPTDDALLTQIKEGFGEGKDLVVSVMSAMGEEQICAVKDISGPK >cds-PLY75929.1 pep primary_assembly:Lsat_Salinas_v7:9:199036096:199038357:-1 gene:gene-LSAT_9X122981 transcript:rna-gnl|WGS:NBSK|LSAT_9X122981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLICPSCHRDRLCNLFMLQYTPPESRISASIAFQTVVERRFSFLQGSSAIRATSNMSTNGGDAEKRMVHFNLSPTRVLKIQKGDITRWFIDGSSDAIVNPTDEGMLGGGGADAAIHGAAGPELRTACYGAGEVRPGIRCPTGAARMTPGFKLPAYRVIHTVGPVYNEDENPAATLRNAYRNCLRYVRGNSLKHVAFPAISCGANGYPFEEAATVAISTIRDYFHSVKEIHFVLYSDDIYDVWVKKAEELLKN >cds-PLY91140.1 pep primary_assembly:Lsat_Salinas_v7:1:198636520:198637279:1 gene:gene-LSAT_1X123601 transcript:rna-gnl|WGS:NBSK|LSAT_1X123601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSEITFSKLLPTLITLSKDRVLNIKFNVAKVLQLLIPIVDHSVSRKILKVEEKLAKGWGGENSYHMKGYRYLLLDGDRSISRASPPRKVTTLTKESLLAINKVREDA >cds-PLY88292.1 pep primary_assembly:Lsat_Salinas_v7:6:127246505:127247041:1 gene:gene-LSAT_6X78001 transcript:rna-gnl|WGS:NBSK|LSAT_6X78001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPARCRLIKFCHPGYVDSSSSAQAHGDTTCPICIDHFELQESVFRLYGCHHVFHEKCIIKWLSRNNTCPLCRRVIPRRLRRINLPLPHDFRLPPQPPLTMKLQLPEQHDADDGTNLHLNFYVRPASLKHEKHLSQTEIEYLDKIFSTVVWPNDELHHDLLDRACGLFSIVHGVSIF >cds-PLY81274.1 pep primary_assembly:Lsat_Salinas_v7:2:27750505:27752574:-1 gene:gene-LSAT_2X12901 transcript:rna-gnl|WGS:NBSK|LSAT_2X12901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:THAUMATIN-LIKE PROTEIN 1 [Source:Projected from Arabidopsis thaliana (AT4G24180) TAIR;Acc:AT4G24180] MVWPGILSSAGSTPLDSTGFELSPGESRSLQASPGWSGRFWGRTGCTFDSNGQGSCATADCGSNQMSCNGAGATPPATLAEFTIGSVSGSVSGSSTLDFYDVSLVDGYNLPMAVDASGGLGACGTTGCVTDLNRMCPPELRVGNGQACKSACEAFGNEEYCCSGSFGSPDTCRPSVYSEIFKSACPRSYSYAYDDATSTFTCTGADYTITFCPTSTSRKSSTDPSTGTTTNSPPMIPRAPVLEDDPNGSSWLPSFTIGGSTRQIPDNALALILISFIVLHFFQL >cds-PLY94216.1 pep primary_assembly:Lsat_Salinas_v7:3:169163449:169163730:1 gene:gene-LSAT_3X105240 transcript:rna-gnl|WGS:NBSK|LSAT_3X105240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQLDPSGLLGAAMRSRTTKGFFRDRLSPPPLPVETIENHPLKSSICFAPSIFVFSADQTRKEQPRGRRSSLIHVSSLLHKSSSPGTSSPLYT >cds-PLY91912.1 pep primary_assembly:Lsat_Salinas_v7:8:200205752:200208206:1 gene:gene-LSAT_8X128101 transcript:rna-gnl|WGS:NBSK|LSAT_8X128101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFRVFLIPYFLCSILFFRNFFIESSGFRKIRGSVIRLPNGDSYGHPFDPTRVTQISWRPRAFLYRNFLTDVECDHLIELAKDKLELSKVVDNESGESVASEIRTSSGMFLRKSQDFCVDFLPVENGEAMQVLHYEYGQKYEPHWDYFQDKVNQVKGGHRVATVLMYLSNVRKGGETVFPRSEIKESQPKANGDWSECAKRGYAVKPEKGDALLFFSLYPNATIDVVSLHGSCPVIEGEKWSATKWIHVKNFDKSEDNASDACTDEHENCAKWAAAGECKTHREYMIGSSEGSRYCRKSCKVG >cds-PLY81153.1 pep primary_assembly:Lsat_Salinas_v7:9:66785866:66786360:1 gene:gene-LSAT_9X58261 transcript:rna-gnl|WGS:NBSK|LSAT_9X58261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVMKDQALVADAYYNLTKIVRFQKVFDKFGDLNDFMKLATKYGLSVASGGEGEGKWEWISKFKLRNELYVDEDEYLMIVSTEEQQQLEDSSNQEPSYVGNIIFDDEKAKWSTWPSTLVDSLKRIMEVAKVNNQLKFDAFQATQPNKTLIPSPQIHPVDEGSPM >cds-PLY74636.1 pep primary_assembly:Lsat_Salinas_v7:7:37032678:37035537:1 gene:gene-LSAT_7X27480 transcript:rna-gnl|WGS:NBSK|LSAT_7X27480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFKSIKYATHYQDSIAKRVCRRALVHSWPSKNPNENGYISDERSGPNSVRVSNLFGSGRDYNSCQESGEEVDSKFSRNYDANGQEIEGFRRVENDGDEIRHPLVKEVCRLIDLRSNWTPNLEGELKQLVRSLKPQQVSAVLRHQKDARIALQLFYWADRQWRYQHHPIVYNSMLEILSKTSMYQGAKRVIRLMSRRRIRLHPEAFGYLMISYSRAGHWRKALQVFSVMEKAKISPNLLVYNIAIYVLVKSNKLEMAMKILDRMKLVEIAPNEVTYNCLIKGYCDAGRIQDAMNLIQAMSLTNCYPDKVCYHTIMGFYCKDNKIEEIRSLMKKMKEGNVIPDQVTYNTLIHMLSKSGYGVSAISFLKEAEQHGFSIDKVEYSAIIHSFCESGDIEKAKELMKEMKMKGCPPDIVTYTDLINGLCRVGKVLEAKTLLQEISKLGCKPNTVSYTSFLNGLCRNGKSSEAREILNTSEDELWVPNSITYSVLMHGFIREGKLPEAHEITREMIRKGFSPTPAEINLLIQSFCQNGRPNDAKKLIQECLEKCEVNQVNFTTLINGFCKNQDLESAFSVLDDMYLKDIKPDVVTYTTIIDTLGKMGLIEKAIEMINKMLAKSLIPTLVTYRVIINRFCQHGRVDELLSLLDKMVLRQPYKNVYNLVIEKLCLFGKVDEAYKLLGKVLRTGSRTDVDSCHMLIESYLKKGDPGSAYKVACWMFERNLVLDLKLSEKLRKILCVYGMSREADEIMLRFVECGKISV >cds-PLY77911.1 pep primary_assembly:Lsat_Salinas_v7:1:25061182:25062834:-1 gene:gene-LSAT_1X21321 transcript:rna-gnl|WGS:NBSK|LSAT_1X21321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMMLDNSCDGGMLLSLDSHKAVPAPFLTKTYQLVDDPTTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEFFKKGEKHLLCEIHRRKTSQPQVTLNHHHHPHHFTGLGGGGGLQGGNGFFAYPTSTRSISPPDSDEHLYCDNSPPLSSPTTTATTTTAGMLGIFHNGNHSISSGRGGNSVTALSEDNERLRRSNNMLMSELAHMRKLYNDIIYFVQNHVKPVAPSNSYPSSLLVSSNHSNMNGQLLMQKQQQQASFMNVGNKSQPLHASTVNNVVVDDSVNVSRTKLFGVPLLSKKRLHPEYGSNNTMVETHKPRLVLENDDLGLNLMPPSPC >cds-PLY68806.1 pep primary_assembly:Lsat_Salinas_v7:3:63479314:63482654:-1 gene:gene-LSAT_3X50140 transcript:rna-gnl|WGS:NBSK|LSAT_3X50140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSTPWKSTVSVTVVHHFYLLSRRVALTLSCRHDQPLIGRRSIVSKPPFLSILSKPQRSGFGAFSSYSRRSHWSAVSSSSATGFPTTEGATMGPSKASVAMYNLKDAYSLWGVLNTSSVWQDRIFHALAALYGNGRHNALHIASSNGQIEQPEMKENTI >cds-PLY77682.1 pep primary_assembly:Lsat_Salinas_v7:9:19258964:19263931:-1 gene:gene-LSAT_9X14381 transcript:rna-gnl|WGS:NBSK|LSAT_9X14381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC110, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G06950) UniProtKB/Swiss-Prot;Acc:Q8LPR9] MNPSILLTQQSSSPHPTILFTPFLNHRPLKITTKFLTFNHRRHRYSCRAPAVRCSASSSSVDQPSTTTPVSDVFGGKKELSAFQSLVDSMSPAVRLASSVVVVAGAVAAGYGLGLRIGGTRNASLGGAVVLGAAGAGAVYALNSSVPEIAALSLHNYVAGSDPGALKKEDIESIASRYGVSKQNEAFNAELCDIYCRYVTSVLPSGGEDLKGNEADIIIKFKNSLGIDDPDAASMHMEIGRRIFRQRLETGDREADAEQRRAFQKLIYVSTLVFGEASGFLLPWKRVFKVTESQVEVAIRDNAQRLYASKLKLITQDVNAEDLISLRDAQLQCRLSDELAEDMFRERSRKLVEANITTSVNVLKSRTRTARDAKLIVDELDKILAFNNSLVSLKNHSDANRFARGVGPISLIGGEYDGDRKMDDLKLLYRAYIADSLSTGRMENTKLVALGQLRNIFGLGKKEAESIAIDVTSKVYRKRLAESVTGGALEAAESKAAFLQNLCEELHFDTEKAIEIHEQIYRQNLQQSVKDGELSDAEVKSLERLQVMLCIPKQTVEKIHEEICGTLFEKVVKEAIAAGVDGYDADVKQAVRKAAYGLRLTRDVAMSIAGKAVRKIFVSYIQRSRAAGGRTEAARELKKMIAFNNLVVTELVSDIKGESPESTTEGEEETVMAEFKPVDETEDEEWEPLQTLRKVKSNKVNMGKAGQTEITLKDDLPDRDRTDLYKTYLLYCLTGEVTRVPFGAQITTKKDDSEYVFLKQLGGILGLTDKEIVEVHRSLAEQAFGQQAEVILADGQLTKARVAQLNELQKQVGLPSEYAQKIIKNITSTKMAAALETAVGQGRLSIREIRELKGSGIELDVMVSLNLRQNLFKKTVDDIFSSGTGEFDEVEVYEKIPEDLGINVEKARGVVHELARTRLSNSLVQAVSLLRQRNSSGVVSSLNDLLACDKAVPASPLSWEVTEELADLFLIYLKSDPAPEKLSRLQYLLNIDDATAEALQGMKDRAPPSEGGGGTSEEEFVF >cds-PLY77292.1 pep primary_assembly:Lsat_Salinas_v7:3:213605563:213606120:-1 gene:gene-LSAT_3X125580 transcript:rna-gnl|WGS:NBSK|LSAT_3X125580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit 7-like protein [Source:Projected from Arabidopsis thaliana (AT4G14520) UniProtKB/Swiss-Prot;Acc:Q6NML5] MLCEIECLQHVSIPTKDLDTNGTIPASSIVTNLLKQLTIYKALEEFGYFIGVTKLKAIKNGINHESKKYIDFLVAFNCRTLLPVKGETMIGIVHSVNRFGVFLKSGPMKIVYLSTRKMPNYYYVDEGEPGFLSNDMSRIEKDVVVRFVVFATRWNQRTRDIRVLASIEGESLGPVSTAGLDGFEV >cds-PLY73499.1 pep primary_assembly:Lsat_Salinas_v7:2:98656710:98661582:1 gene:gene-LSAT_2X43281 transcript:rna-gnl|WGS:NBSK|LSAT_2X43281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSDVSRTSGEQILENITRDETDADYYIRNVSVQTGEEFSPHFLQNLSHRRRMNNGESSSQEYHRQDSITLGRTQDCSQGFHGTITRIPSGNVIDQKRVGVGVGIQFNQNERYEYQMEAEKTTYCDSTGGYYHKDYDIRGYNQHPQQQSSTYTNENRIHKEKMKFLCSFGGRILTRANDGKLRYVGGETRIISIKKNLNYQELVKKTYTICKHPHVIKYQLPGEDLDALISVCSDEDFHHMIDEYHELEKGSKRLRIFLESLNSESQYSSENSYKYVASMNDVTIPSPLKSSIDSITSLENETPSSSNVAKMLLNPTQLMKSPSFQYRDPINSQFKVNRDQIEQPLYENNPFLIQESKIQSEKSVLCHQQNHNMRNNSSSLLNKLEDFASFVQQEDMIKEKTQIYKCENQETIKWMEKNNLNLGYDIEEYHHNLSPATPLERQLSMATTVSSDGLQHNTEHPRIGHLDFNENDHFTHDFIVPNSTGFASISSDVSLGESFVDNVGNSSRSQTSEDIIDNLPLDIILSTKELLCMQDIHKENESVSQFEKKSVKDDKRMTDGSISDATVAEIQAGIHGLQTIKNGDLEELSELGRGTFGTVYHGKWRGTDVAIKRIKESCFSGKPSERDKLTKDFWREAQILSQLHHPNVVALYGVVRDGPGGTLSTVTEYMANGSLRHVLSKRNRALDYRKRLIIAQDAAIGMEYLHLKNIVHFDLKCDNLLVNLGDPDRPICKVGDFGLSRMKRNSLVSGGARGTLPWIAPELLDGSSTRVSEKVDVFSFGIAMWEILTGEEPYADMHCGAIIGGIVTNTLRPPIPRHCDHGWRALMEKCWSNNPTDRPSFTQIANHLQAMSKTQKRATRK >cds-PLY79832.1 pep primary_assembly:Lsat_Salinas_v7:8:14787530:14787748:1 gene:gene-LSAT_8X10900 transcript:rna-gnl|WGS:NBSK|LSAT_8X10900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAAKWRGKKFPRYRDLCLIFGNDRANGRDAQTVADVISEKNNEQQESDNFMQGTGDGLEDIDVGAPVNSPT >cds-PLY65455.1 pep primary_assembly:Lsat_Salinas_v7:9:185854718:185857208:1 gene:gene-LSAT_9X114240 transcript:rna-gnl|WGS:NBSK|LSAT_9X114240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLADESKFKGHVKEPICKYWRKFVKCDFGGNKISRPFILQSASSSYKLSNRHNEVAESFFFLSISSLFPFCIAYHSQLSQSKKMDEDFVRDSRKGKAKLEEEEEDPDPNPNQNPDSLLTLPIPKSYSNFEEDVVYLKIVGPQRNYEEVTIDTPEEVKKIQFRILGIDDERIINIQSVSGATIGILSDTAAKIHRHLYLELLGTADEIKIAELLITDVITEGYVKPFVPRALMPTHICHHATPILFTQVIPFLGFSDSNLLKMESKSNTWIEVDTYPPDEERIMERMVNIYGQGLDVTKAIMMIDSWVSEFETKFRVKSEVVIEEVESDEESEKMEEDEKQKDCEEDDYGMKEGDDEVGN >cds-PLY76287.1 pep primary_assembly:Lsat_Salinas_v7:7:124635356:124642372:-1 gene:gene-LSAT_7X77041 transcript:rna-gnl|WGS:NBSK|LSAT_7X77041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEELAQLADSMRQAAALLNDEDVDANSSSSSKRPSTFLNVVALGNTSAGKSAVLNSLIGHPALPTGEGGATRAPICIELKRDGNLSSKSIVLQIDSKSQPVSASALRHSLQDRLSKVSSKSRDEIFLKLKTSTAPPLKLIDLPGVDKGNLDDSLSEYAQHNDAILLVIIPAAQAPEIASAKALRIAKEYDGESTRTIGVISKIDQATSDPKVLAAVQALLLGQGPRSSADIPWVALIGQSVSIASAQSGNVGSDNSLETAWRAESESLKSILTGVPQSKLGRLALVETLAHQIRSRMKIRLPSLLSGLQGKSQIVQDELVRLGESMVTSSEGTRALALELCREFEDKFLQHIMTGEGSGWKVVASFEGNFPNRIKQLPLDRHFDIKNVKRIVLEADGYQPYLISPEKGLRSLIKGVLDLAKEPSRLCVDEVHRVLADIVSASANATPGLGRYPPFKREVVAIATTALEGFKNDAKTMVTALVDMERVFVPPQHFIRLVQRRMDRQRKEEEIKTKSSKKAVDAEQSLLNRASSPQKGGNLKSMKDTKQDKDEKEGPTLKTAGPDGEITAGFLLKKSAKTNGWSRRWFVLNEKTGKLGYTKKQEERNFRGVITLEECVVEEVEEEEPPTKSSKDKKSKVEEKPPSLVFKITSKVAYKTVLKAHSAVLLKAESGVDKAEWLNKLRAIMGIKGGEVVMKPDGPPIRHTHSDGSLDTMARKPADPEEELRWMAQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNKLYSSVSSQSTARIEELLQEDGNVKRKREKVQMQSTLLSKLTRQLSIHDNRAAAASTISNGSPAESPRSSGASSGGGGDWRSAFDSAANGGSPSGLDSRYGSSNGHSRRYSDPSQNGDDGPAPRSNSTGRRTPNRLPPAPPGASGYRY >cds-PLY73454.1 pep primary_assembly:Lsat_Salinas_v7:4:182095011:182098675:1 gene:gene-LSAT_4X107261 transcript:rna-gnl|WGS:NBSK|LSAT_4X107261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCTTCLRPDNPKPQHYQPPPTPPGKKKNFKQRPNPYAESPVPIRVLNDFGQLAHHRTRISDKYILGRELGRGEFGVTYLCTDRETKQAFACKSISKKKLRTAVDVEDVRREVAIMSTLPEHPNIVKLRATYEDHEAVHLVMELCEGGELFDRIVARGHYSERAAAGIAKTVAEVVKMCHEHGVIHRDLKPENFLFANKKENSPLKAIDFGLSVFFKPGQKFSEIVGSPYYMAPEVLRRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVALSILRGVIDFKREPWPQISENAKSLVKQMLEPDPKKRLTSQQVLEHPWIVNEKKASNVPLGDIVRTRLKQFSVMNRFKKKALRVIAEHLSIEEVEVIKDMFTLMDSDGDGKVTFDELRAGLKKVGSQLAEPEIKLLMDVADVDGNGVLEYGEFVAVTIHLQKMENDEHFRRAFMFFDKDGSGFIELNELEQVLADESGQADMDVLNEIMKEVDTDKDGQISYDEFVAMMKAGTDWRKASRQYSRERFKSISVNLMKDGSLRLEDGLTGQSVIV >cds-PLY87033.1 pep primary_assembly:Lsat_Salinas_v7:5:264456247:264461400:-1 gene:gene-LSAT_5X136600 transcript:rna-gnl|WGS:NBSK|LSAT_5X136600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFAKEYEFLTEIGIEPRNSGAFVNGVWKGSGSVVSSVNPANNKTIAEVVEASSQDYEEGIKACYEASKIWMQLPAPKRGEIVRQIGDALRTKLQYLGRLLSLEMGKILPEGIGEVQEVIDMCDFAVGLSRQLNGSVVPSERPNHMMLEMWNPLGVVGVITAFNFPCAVLGWNACIALVCGNAVVWKGAPTTPLITIAMTKLVAEVLEKNSLPTAIFTSFCGGAEIGQAISKDTRIPLVSFTGSSKVGQMVQQTVSERFGKCLLELSGNNALIVMDDADIQLAVRSVLFAAVGTAGQRCTTCRRLLVHEKIYDSVVDKLLEAYKQVKVGDPLENGTLLGPLHTKASRENFEKGIKVIKSQGGKILTGGSVIESEGNFVQPTIVEISPSADVVKEELFGPVLYVLKFKDFKEAVEINNSVPQGLSSSIFTRSHELIFKWIGPQGSDCGIVNVNIPTNGAEIGGAFGGEKGTGGGREAGSDSWKQYMRRSTCTINYGSELPLAQGISFGN >cds-PLY96056.1 pep primary_assembly:Lsat_Salinas_v7:8:21462601:21466056:1 gene:gene-LSAT_8X16561 transcript:rna-gnl|WGS:NBSK|LSAT_8X16561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRDRSRSRSPGLAKRFRSRDRSSYRDAPYKRDRPSYRQDYLCNKCKRPGHFARECPNVTVCNNCGLPGHIAAECTSTTMCWNCKKSGHLSSDCPNDPVCHMCGKIGHLARDCYNPSVSSYDARLCSNCYKPGHIAADCTNEKACNNCRKTGHLARDCPNDPVCNVCSISGHVARQCPKAGGSNMNVVRSGGSSMVDDPFRDMMCKNCGRAGHISRDCVPLVICGNCGGQGHLEFECPSARMFDPFDRAFLDPRFRRSGTAFIKIK >cds-PLY80033.1 pep primary_assembly:Lsat_Salinas_v7:9:44606591:44609207:1 gene:gene-LSAT_9X41280 transcript:rna-gnl|WGS:NBSK|LSAT_9X41280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQGPPGLIGNSGDVATPAQPPQQPVQAHGSVAVELGGGFSEEETGGRIEGERSGNGWPRKETLALIEIRSKMDFAFRDASAKGPLWDEVSRKLGELGYHRSGKKCKEKFENVYKYHKRTKEGRTSKADGKTYRFFDQLEALEANTQRPPAGMTTTTTAQHPFSQPSVVIPFNVTGSHSHQTNVSPISVAAPAMVNHGGTGASAGAFPFSSSNTTSSSTSSDEEPPELRRKRKRKWKDFFGRLMTEVIHKQEELQMKFLDQIERRERDRMAREEAWRIEEMAKMNREHELLVQERSIAAAKDAAVITFLQKITEQNSNNPVTQISQQPPPQPQKQQNLQPLQTPPPPPPQTPTPPPAQQQHQVQPPVLPAAPVVKNVENGGGGNSNIILAPSPSRWPKTEVYALIKLRTTLDMKYQDSGPKGPLWEEISAGMIKLGYNRNAKRCKEKWENINKYYKKVKESSKKRPEDSKTCPYFHQLDAIYRERANNSSHSSLRLPETTQMEPIMAEPEQQWPLPAAVVQQQQPTIHQNNVDDYDDEDEDDEDEGGDYEIAPNNNSSSVATVTMAET >cds-PLY61926.1 pep primary_assembly:Lsat_Salinas_v7:4:220776932:220778840:-1 gene:gene-LSAT_4X120601 transcript:rna-gnl|WGS:NBSK|LSAT_4X120601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFSLSFSTSIATLFFVALLTFLLKILIPKGGKKGENRGPPQAKGKWPVIGHLHLLGSSGLPHRVLAKLSETYGPIFTIKLGVHNALVVSSSEIAKECFTINDKAFSSRPKLMAVELMGYNYAIFALAPYGDYWRQVRKIIMLQVLSPKRVEMLAPIRVSELRESTAEIYAAWLKNKETTGSDMVKLDMQQWFGTLILNGLLRVVAGKRLSLNDEEGIRFQKVARKFFQLLGAFVVSDFIPSLKRFDIGGYEREMRITGKEMDEIFDGWLEEHKRKRKSKQQDEGNQVLMDVLISIVEGASEEEFPGHDHDTIVKATCLTMLIAGLDTTAVTLTWSLCLLLNNPTTIKVAQDEIDEHVGRNRPVEESDLKNLVYIDAIFKETLRLYAPGPLGLPHETMEDCVVQGYKIPSGTRLLLNLWKIHRDPKIWPNPEEFKPERFLTTHKDIDLKGNHFELLPFGTGRRVCPGILFAQHASRLALATLIQQFEMKTPGNEPVDMEEIFGATCSKATTLDVLLSPRLSHDMYPIGA >cds-PLY80087.1 pep primary_assembly:Lsat_Salinas_v7:4:347921619:347921840:-1 gene:gene-LSAT_4X171240 transcript:rna-gnl|WGS:NBSK|LSAT_4X171240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVGASTPFLWDFEERENLLEFYERVSRAKMHANLGGVAQDLPLGLCRDIDSTTQQFGSRIDKLEEMSTDNRI >cds-PLY77452.1 pep primary_assembly:Lsat_Salinas_v7:4:309814475:309817138:-1 gene:gene-LSAT_4X157700 transcript:rna-gnl|WGS:NBSK|LSAT_4X157700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECYTMSTSIQGLLSGRCRNKQISWILWPNRSIHGFQYIRNVHRYPHCRLLCFPPTLNEQSSSLQSKKNSNGDDEHVLEQPIDNDQLKALLADAERAKLLRKLSEANQHNRYLKRQLLVKEDALAEFKSELAVTELEIQGLLNMAKEIVSYGIPAGSRKINGKYIQSLLLLQLQGVQEKLKKQIKEVELAQSKEVSLHWYGMAESVQVMGSFDGWSHGEDLSAEYTGSYTSFSTSIMLRPGRYEIKFLVDGEWALSPEYPTVGEGLMENNLLIVE >cds-PLY93322.1 pep primary_assembly:Lsat_Salinas_v7:9:58735164:58736993:-1 gene:gene-LSAT_9X52021 transcript:rna-gnl|WGS:NBSK|LSAT_9X52021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADGDNPSRYVKLNKDQAPVEVNPGELNQPIEVPQLNVRKCNECGQPLPESFEPPAVEPWTTGIFACAEDPESCWTGLFCPCVLFGRNYETLREDYGSTTTPCVLHAIFIEGGLALAATTAALHGVIDPRTSFLICEGLLFSWWMCGIYTGIVRQMLQKKYHLKNSPCDPCLVHCCMHWCALCQEHREMKGRLSDNFVMPMTLVNAPPVQQMNSADDNNQESSSSSSAVNGHEHHTNLEMQPL >cds-PLY92146.1 pep primary_assembly:Lsat_Salinas_v7:8:4886386:4886980:-1 gene:gene-LSAT_8X3661 transcript:rna-gnl|WGS:NBSK|LSAT_8X3661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKSSGQYKEDACVYFLISDEKDNVTIHSTQYATERFEVDQVRLFTIYGNVLFL >cds-PLY65493.1 pep primary_assembly:Lsat_Salinas_v7:3:1432937:1440482:1 gene:gene-LSAT_3X360 transcript:rna-gnl|WGS:NBSK|LSAT_3X360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGASKEAAVVKKVEEQVGVVMTLALTLLHCCNRGEFFQLGCGPRIGDQCSNKGMTKFTQELSNLSKSQGFCIEIGDGAWDSWFMPLLEQTQVVCDKVKKMKQLSNGYNILGLSQGNLIGRGVVEFCDGGPQASQRFFFFFFSGKVKNLISLGGPHAGTASVPLCGSGIFCMIADALIKSEVYSDYIQEHLAPSGYLKLPNNMDAYLQHCRFLPKLNNEIPEERNSTYKERLMSLQNLVLIMFEQDTVVIPKETAWFGYFPDGEFSPVLAPQQTKLYIEDWIGLKALDEGGRVKFINVSGNHLGISDNDIKKHVIPYLKQQDDDDDDDSSSNIKVELELELAGYSTYTWPPSVKSFFSYLLGL >cds-PLY82060.1 pep primary_assembly:Lsat_Salinas_v7:8:52238455:52241134:-1 gene:gene-LSAT_8X38821 transcript:rna-gnl|WGS:NBSK|LSAT_8X38821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CPL4 [Source:Projected from Arabidopsis thaliana (AT5G58003) UniProtKB/TrEMBL;Acc:A0A178UQH1] MSLIDDASVNSSSSDDFASFLDAELDSVSDTSPQSEKEEEEQEDDDEDEDEDDGDNNDGDDDEDDDDDDDDDENYKYLDERTKRRKISESETTEEPNGSTSHTEQEIILESVKEGACTHPGFIGGMCIKCGEKRDSDDQSGVAFGYIHKDLRLANDEIDRLRDRDMKNLLRHKKLYLVLDLDHTLLNSTRFSDITQEEGYLLNQNDPMQDALKGTLFKLPSMHMVTKLRPYVHTFLKEASKLFEMSIYTMGERSYALEMANLLDPGRVYFDSRVIAQGDCTQRHQKGLDVVLGKESAVLILDDTEVVWKHKDNLIVMERYHFFASSCRQFGYRSKSLSELRSDESDVDGALATVLKVLKRVHTMFFDPELGENFDGRDARQMLRAVRSEILKGCKIVFSRVFPTQFQAENHQLWVVAERLGATCTAEVDSSVTHVISTDIGTEKSRWAVQEKKFLVEPRWLEAANLRWERQPEEKFPVVKEVKEKH >cds-PLY84340.1 pep primary_assembly:Lsat_Salinas_v7:5:191369813:191370385:1 gene:gene-LSAT_5X84441 transcript:rna-gnl|WGS:NBSK|LSAT_5X84441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENAGFDEGLELNKHPYFNSQTWGASTLQNENLYFLTKRVNKYGKLISYSIIKFDTKTEKFKELLTPSSQDDTTICLNSVLLHKDQLIHLCEIYSFCKVNSTEAELSAKLWSTDGDGDGDWTKVGTYAIPRNFYGYEPLHLMSDGNWATLCRSKCHVYKLDPAKDFEKISHRIDNPPKGKYVETLVSSNR >cds-PLY91187.1 pep primary_assembly:Lsat_Salinas_v7:4:124626946:124631342:-1 gene:gene-LSAT_4X78400 transcript:rna-gnl|WGS:NBSK|LSAT_4X78400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAEDEVGINAIKHAMRALRKRHLMEEGAHAPAFTAISKPIASQGMEWKDKAETLELELQQCYKTQVRLSEQLVVEVAESRASKALVQEKEAIIPSLENEISQARDECSRLTALLEEKTKALKLLIGENQDLRTQYEDMRAKANNVEAENKTLIDRWNLQKMKDSERFNEVNALYQDILNKLKASGLEQLARTQIDGVVRQSEQGAEHYVETTTIPKTCTHRITAHEGGCASLIFENSSGKLISGGQDQTVKTWDTNTGSLTRTYHGCLGSILDLTITNDNNYIIAASSSNNLYVWDTNSGRVRHTLTGHLDKVCAVDVSRCSSRHVVSSGYDRTIKVWDLNKGYCVNTIIFPSNCNTVCFNSDGGTIISGHVDGHVRLWDIKTGKVLSEVAAHSLAVTSVCVSRNGNMVLTSGKDNLHHLFDVRSLEVCGTFSGSGSKVASNWSRSCISPDDNYVAAGSVDGCVYVWSISKGDIASTLKEHTASVLCCSWSGLGKPLATSGRNGTICLWSH >cds-PLY72772.1 pep primary_assembly:Lsat_Salinas_v7:4:373256698:373257021:-1 gene:gene-LSAT_4X184281 transcript:rna-gnl|WGS:NBSK|LSAT_4X184281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPTPPPSPDTINPNNSISSRDGLYLNDLHTIFCNCGDKIAEQKGEVERMKEEMGRDYLHSRVDVLNMQQRFDKVEKQIKAIALLVVGLVMVMLLLMIFIIHLIVTK >cds-PLY82754.1 pep primary_assembly:Lsat_Salinas_v7:2:147279380:147296986:1 gene:gene-LSAT_2X74121 transcript:rna-gnl|WGS:NBSK|LSAT_2X74121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISKAEGVISDTPEIFDINSKMGKGHNHFNKIIIGVGTSEFRIEGLIYDTGQLDITVKVIGIKLLGVMIHYWDNWERQFVYNGVKRNLQGLYTLPISPTSLPYGLSFIDKFLLMFVSMHNHQDIIAKGPFQNMEKVRTIMYKLDFSSFFKMHLLIFLRLKKAMRYFFAQMLLSEPKLSASDWRIPIIFLISCLIIHLWEQVDGWLTWWKGRPTEEATREETSKVSNDLYAIISQRQSERKGRLDSMFSYLVSKYGGGQPSEEEFEAAREKLEKRKVISCLGLHWTNDIPGAMIQSRLALKPDGWFLAAVFGGETLKDEGIMSYEASTMNNIRLTYNADGTPSYTHMVQPTSSSPTPDYNNPNGINDGGGDSGENNHALAVTTGINVNGNDVVKRKRGRPRKYAPDGSTPPAAALHGPAAGQSGDFSSPAPSSGKRPRGRPPGSGNKQQPAASGLPGAGFMPHILDVKSGEDVLAKLVWFSQNSTRALCILSASGSISNITLQQTATSGGTVTYEGRFEILSLSGSFMASESEDGQRSRTGGLSVALSGPDGRVLGGNVAGLLTAATPVQVIVGSFIPASQRQVKGGKGNTNNNNEAEVVNAPANHPVSGGSLSLSESSGGGLGSPVGVGQSNNSNPQGMGNNMGWR >cds-PLY82257.1 pep primary_assembly:Lsat_Salinas_v7:1:65841795:65844173:-1 gene:gene-LSAT_1X56301 transcript:rna-gnl|WGS:NBSK|LSAT_1X56301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMYPKIEIDDTYEHVVKEELHLETENKIKGTDEGKAEKITEELDLKTKSVEKEKPKHKEVNDDNCKVEDDKKSDVDGDKKKEVKEKKKGDKKKESDEDDKNVKEKKKKKDKKEKKKDDDEVSEEGEKETEKKKKDKKKEKKKDDDGVSEEGEKETEKKKKDKKKGKKKDDDDVVSEEEEKETEKKKKDKDAKSDDNEHEAEKKKKKGKSDDEGKKKKKDKKEKKDKDKEVKEDDEDKKKDDEGDSEEGDKKKKKEKKDKKEKKSKDEGVEEDDEKKKKDKKKHKDKKGDKTCSDTEVASREIEINGNGEEVKGEKKGKEKKDKGEKYKIKDLSKLKSKLEKLNTKIEALLEKKVEIMRLIKEKEGNNGSVNAVTAEVA >cds-PLY85443.1 pep primary_assembly:Lsat_Salinas_v7:3:42036827:42037161:1 gene:gene-LSAT_3X32060 transcript:rna-gnl|WGS:NBSK|LSAT_3X32060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWTFFMCLMLPDGFPDSVTSDHLEFSLWRGINGTTSQVSGALSTQVGGLGKGAIPTAATMNWYSKMELGI >cds-PLY85666.1 pep primary_assembly:Lsat_Salinas_v7:7:158248321:158248834:-1 gene:gene-LSAT_7X93040 transcript:rna-gnl|WGS:NBSK|LSAT_7X93040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSLQPSLKEVEKIIGYEFKNKGLLKEAFTHYNYKDIDCSKSYKRLEYLGDSFLNLMIAKEHYLLYPDMTSGELTRLRAANINTEALARTAFKHVLHRFLRHQDHLYDERIQELMEGIKEYPLHSTGSFVSEL >cds-PLY98535.1 pep primary_assembly:Lsat_Salinas_v7:1:36688148:36692028:-1 gene:gene-LSAT_1X31041 transcript:rna-gnl|WGS:NBSK|LSAT_1X31041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73060) UniProtKB/Swiss-Prot;Acc:Q8H0W0] MAMASSFSPSSSRLYSRSHNHHLTLSAPFSVPPSRILFNLSQTLSSPNKLAVNSIKCSSNEASSTPTTARKLGVSVYKPKSYEVLVTDAANSLACALDDGKTRLEIDFPPLPTSISSYKGSSDEFSDANVQLALAVVRKLKERRETRACVVFPDNPEKRRASELFKSAIDLIDEITIGSLDDIPTGPVGSFFRSIRNTLDFDFDDDNQERWLSDKPPTLFVFISCSTRELATIEKYLEKFGGSTPALLFNLELETLRADLGLVGFPPKDLHYRFLSQFVPVFYIRIREYSKTVAVPPYIVNYDGALFRQYPGPWQVMLKQADNSYACVAESETRFTLNETKEELLRVLGLKEEQGSQLEFLRRGYRKATWWEEDVDLELSSAWRT >cds-PLY96602.1 pep primary_assembly:Lsat_Salinas_v7:7:44077721:44079348:1 gene:gene-LSAT_7X30160 transcript:rna-gnl|WGS:NBSK|LSAT_7X30160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEEGKTKGIAFPGDHQPSAPPQPHPGYYYGTFQGVANHQPPYPPPPPTYQPSVGFPQPSPPANIHNHIHHPYYPHGYQTVPGIVVASGTPVIIEQAALPCCGFGIGWVLFIVGFVFGAIPWYIGAFILLCVRTDRREKAGLVACTIAAVLAVLALGLGVKRVAY >cds-PLY80646.1 pep primary_assembly:Lsat_Salinas_v7:5:247160777:247162604:1 gene:gene-LSAT_5X123120 transcript:rna-gnl|WGS:NBSK|LSAT_5X123120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSFHFHPIFCILIAILTTSYAQDCNTYTFSNNNVYATCVSLPALNSQLHWNYHPTNATVDVAYRQTGVSTSQWAAWALNVDGSGMVGAQALVALISSNGSVQAYTSSVTGYATGLQPSGLSFGVPRITAEMVNGDVVIYATLVLPGGRTSFNQVWQVGPVNGGSPAPHRMGSDNANLLGRVDFSTGETSADGGNVGGSRQRRRNTHGVLNAVSWGVMMPMGAMAARYLKVFKSANPAWFYIHVTCQASAYIVGVAGWATGLKLGSDSVGIKYNTHRNIGIALFALGTLQAFALLLRPKPENKYRFYWNIYHHATGYTVIILSIVNVFKGLDILDPEKQWKKAYIGILISLGVETLIFEAYTWFVVLKRKQDDDKIGHVSNGYTQSA >cds-PLY65511.1 pep primary_assembly:Lsat_Salinas_v7:3:1537238:1538614:-1 gene:gene-LSAT_3X521 transcript:rna-gnl|WGS:NBSK|LSAT_3X521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGGLNILPQKRWNVYNFDNREKVRQDEEAAAKEEQLKREQSRKRDTDFRVEQLRQARGLASNSASSHQSTAVSAADPAPTPEAEPKSKHINLFEGIRIFDPVDVDKKRIEPFGNEKRKGGFKRMKKEPEPPKVVLPEDEKYRLGYGVAGKGVKLPWYLEKRPNGDDDVDNNYSEETVVTNSSKKKSVEELREERLKREAREKERERALMQKHKHKNGRGGYYSTR >cds-PLY84530.1 pep primary_assembly:Lsat_Salinas_v7:1:31585467:31589581:1 gene:gene-LSAT_1X28101 transcript:rna-gnl|WGS:NBSK|LSAT_1X28101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASHSNIDDDDDFGGDFPGANPTRVSGTKRGFGDLDDDEDDIFGSKKANIKVEETAPGVTTGMILSLRESLQSCNDSLATCQSELETAKSEVQKWHSAFQKESFIPSGSSVEPRIVINYLQNLKSSEESVREQLEKAKKKEAAFIVTIAKREQEIADLKSAVRDLRSQLKPLAMQARRLLLDPAIHEEFMRLKNLVEEKDKKVKELQDNVAAVNFTPQSKMGKMLMAKCRTLQEENEEIGNQAKEGKIHELSTKLALQKSQNIELRSQFEGLCKHLEGLTDDVEKSNEMVLILEEKLEDKDAEIQRLRRKLQQQKGMDMVEEKTVVAVADNKDVNDDEVTMSMEAENDKA >cds-PLY71778.1 pep primary_assembly:Lsat_Salinas_v7:3:46856344:46857382:-1 gene:gene-LSAT_3X36620 transcript:rna-gnl|WGS:NBSK|LSAT_3X36620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin X, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G50320) UniProtKB/Swiss-Prot;Acc:Q8LD49] MDTLMASSSSTAAILHRPPPLPFRSSNSLFYTGSCSTGTAAFFRKPLFSNHGAQRKLIHSFQNSSSQSSSSSVKVPIKCGVTEINESQFPEVVLKSDRPVLVEFIAGWCGPCRLIAPAIESIAKEYEDKLLVVKIDHDSNPKLIQEYKVYGLPALILFKDGQEIPQSRREGAITKAKLKEYIDALLKSVSVA >cds-PLY74583.1 pep primary_assembly:Lsat_Salinas_v7:7:36533977:36535277:-1 gene:gene-LSAT_7X26060 transcript:rna-gnl|WGS:NBSK|LSAT_7X26060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANGQMRSVASLIMVLNFCMYVILLGIGGWAMNRAIDHGFIIGPGFDLPAHFSPIYFPMGNAATGFFVTFALIAGVAGVASILAGFDNYRSWDSTSRPAAVSSAIIAWALTVLAMGFAWKEIELEGRNARLRTMEAFTIILSATQLVYVATLYG >cds-PLY80482.1 pep primary_assembly:Lsat_Salinas_v7:2:136922391:136923469:1 gene:gene-LSAT_2X67161 transcript:rna-gnl|WGS:NBSK|LSAT_2X67161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RPB15.9.9 [Source:Projected from Arabidopsis thaliana (AT5G09920) UniProtKB/TrEMBL;Acc:A0A178UIQ5] MSKIVILGTEVQIIIAVYLIFRLKLSLSMSGEEEENAAELKIGDEFLKAKCLMNCEVSLILEHKYEQLQQMSEDPLNQVSQVFEKSLQYVKRFSRYKNPDAVRQVRELLSRQQLAEFELCVLGNLCPETVEEAIAMVPSIKSRGRGHDDEAIEKMLNDMSLIKKFE >cds-PLY98914.1 pep primary_assembly:Lsat_Salinas_v7:7:48740700:48747231:-1 gene:gene-LSAT_7X35540 transcript:rna-gnl|WGS:NBSK|LSAT_7X35540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSMASTSPHGSYDVFLSFRGEDTRDSFTDHLYHALNRAGINTFRDNEEIDRGEKLNPEIGRAVKESRASIVVLSPNYATSTWCLDELLSILKQRKECNHFVLPVFYHVDPSDVRKHNKNFAIQVKANQRWTDHNVNQWKRALREVADLAGMVLSGPETEFIKEIVDTIYNKLDRKEVHLPLNLTGLATRYENINSWLNRSNAEFLAICGMGGSGKTTLAKYIYDSNWNNFESMSFVEDISRRCKESDDLLQLQEQLLNDILGGKKRKIPGVSQGTCKIEEALQTKKTLIVLDDVARRSELIALLGSGKINTQSKIIITTTTENTDNWFKFPYRRCEVYKMKLLDDDESLEVLSRHAFGSKVPMGGFEELALQAVKYCEGNPLALIMLASSLSDDNTILYWKSRLNFLDKDFDSRIQSVLITSYESLPSILEKELFLHIACFFVGKDEDYVVKILEHDYCALSGIKTLYNRCLLSVSPNKKLMMHRLLQEMGKHIVRQESSKFPTKRSRVWLSSDSYKILSKGEGSETMEGLAMDMPMLRAEKIAFKVQAYLLSLFMLNFQSSILKTDALKKMDKLKLLQLNFVQLTGSYENFSEDLRWLSWLGFHLRNIPSELFMGNLVAIDMSYSNLEVFDPPMVLQSLQILNLKDSHNLFEIRNMSMIPHLETLILWNCYSLVHVCETIGDLTSLTLLNMTGCANLCEREQTNVLVGLEASSSLQPTFSFPLSLHRLFLQDCNLECTDSFPLSFSLQINLQYLNLGNSLFEFLPCYDHLKNLRVLDLSLCSRLKWLLCLPSTLAELYIYYCNSLEKISFQSHRFTLQEFGYEGCISLSEIEGFIKLVPLAKLEENDLGHLKWLKQYQNHEVSLVGDDELTKGRSSCVQMLYEFDIMSTSLPDLKDPNMTPKYASELSSLSFDVPSCPDNRKLIGLDVTFKYAISGDDCAWFCKINTDNGVDLMYNPKVFGKPEFGKVGMWLSYWPIGNLLDTGDKVSVSIAVMTGLEVHECGVSLVYDDETLENNVEILGGDLSGFQLSTGAFYLCRRDFFELMEVGRLAPDWFRILVGDTDTIDYTEVRGWRKTGRPKQLNPSFTELKTVRCIIHGPQLEEIYKIGEMSKSSFVDETLEFTSSMLGETMESATSSKTSDKAMKAEDMSEDIDDQFLDLASSSSPPFLVPRKKLRVKEFGVIPSLRSFMSKAFVSDAVSGQIKKIVLGVNLCSESQREKVMKALSEVKGVRTIDFDTNDGRLTVIGDVDTMDVFDLVWNIEGVYMISVEPAN >cds-PLY99869.1 pep primary_assembly:Lsat_Salinas_v7:4:44780967:44782203:-1 gene:gene-LSAT_4X30740 transcript:rna-gnl|WGS:NBSK|LSAT_4X30740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEFETEGNLFHVGYALPARKINAFMVPSFIDFARERGIDFISIDVSKPLTDQAPFDCILHKVYGDEWNLNLQNFSVHNPNATIIDPLSAIQRLHNRISMLEPVSQLNIPQLKIPNQILVQDSESLKNVETTSGLNFPVIAKPLLADGTTKAHDMSLVFNHDGLMKEIKLEPPMVLQQFVNHGGTIFKVYVADDYVKCVKRSSLPDLSKDTIEKIASDSGGAISFSRISGAVIADGRSDNNSGEELKMPATEFLVEVAKGLRKALGLHLFNFDMIRDGEGDGYLVIDINYFPGYEKLPSYETVMVDFFLNIKKLQELKKTTKKENDDRIDETEV >cds-PLY67452.1 pep primary_assembly:Lsat_Salinas_v7:6:73881374:73881898:-1 gene:gene-LSAT_6X51880 transcript:rna-gnl|WGS:NBSK|LSAT_6X51880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKSNVSVVLVIAFNLLFCIMVSGCATSDIPEPNLNPNQKPNTNPSSNPNPFPNTNQNPNPNTNTNNTPNPNPNSNPNTVPNTNPNTETCPRDALKLGVCANLLGGLVKVELGSPPVKPCCSLIQGLADLEAAVCLCTAIKANVLGINLNVPVSLSLLVNVCGGEVPNGFVCS >cds-PLY78863.1 pep primary_assembly:Lsat_Salinas_v7:5:305275826:305277829:-1 gene:gene-LSAT_5X165140 transcript:rna-gnl|WGS:NBSK|LSAT_5X165140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g11460, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G11460) UniProtKB/Swiss-Prot;Acc:Q9CAY1] MTKRSFALHSTNKLLSHHLRFIVASKTLSTCTSWNTQLRELTKNGNYQECLDLYRQMLRSGASPNAFTFPVALKSCAVLSLPISGTQLHSHVIKTGCNSEPFVQTGLISLYGKCCFIEDARQLFDESPHSQKLTVCYNALVAGYTRNNQFQSGYELFRQMRFLGVRVDAVTILGLIPGCTDPGNLKFAESLHGFVAKSGLDNDFCVGNCLLTMYVRCGSNELARKFFDNIPVKELATWNAMISGYAQNGYATEALELYSKFESSGMEPNPITLVGILSSCAHLGAQRIGMNIEKKIQTSSYKHNIFLNNALINMYARCGNLVKAQELFDTLTEKNLVSWTAIIGGYGMHGQGETAVHLFNEMIRANIRPDGPVFVSVLSACSHAGLTDLGLGYFNTMKVNHKLNPGPEHYSCVVDLLGRAGRLGDAHDIIKTMPMEPDGAVWGALLGACKIHKNVDLAELAFDHVIRLEPENIGYYVLLSNLYTEVNNMEGILRVRVMMRDRRLRKGPGYSYFEHKGRAHVFVAGDMNHPESEEIYVMLDRLDDLVNLSSRGENGFVHSERLAIAFALLSTEIGEEIVVIKNLRVCGDCHLFIKLVSKVVDRRFVVRDPTRFHHFKDGVCSCKDYW >cds-PLY83436.1 pep primary_assembly:Lsat_Salinas_v7:5:330452764:330454370:1 gene:gene-LSAT_5X186940 transcript:rna-gnl|WGS:NBSK|LSAT_5X186940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLHRRSPVAVPPQSPVATTAAIVFTCDLCCPRFHQLMDAPSINIDEDDFFSNHTSEHFTQPPPSAASPSGNPNKRAKPSTPRPRAPSASPDPPSCASPKASITADDLALEM >cds-PLY73233.1 pep primary_assembly:Lsat_Salinas_v7:8:179011749:179014883:1 gene:gene-LSAT_8X116260 transcript:rna-gnl|WGS:NBSK|LSAT_8X116260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADTEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDFEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >cds-PLY91161.1 pep primary_assembly:Lsat_Salinas_v7:4:160845749:160850592:-1 gene:gene-LSAT_4X97140 transcript:rna-gnl|WGS:NBSK|LSAT_4X97140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSKSRNQPLPISGIISIDCGITKGSTYTDNSTGISYVSDAEFIDSGEIYNISVASDKQLSTLTSFPNNTRNCYTLRPKNGKGNRFLIRASFMYGNYDLKNRLPVFDVYLGPDYWDTMRFNSSSQPRTMEIIHVLLSDFMHVCLVNTNRGTPFISSIEVRPLANDMYNETDAGSLYAATRENFGSKSTTVRYKADKYDRLWGPSEPTTPDYISLNTSYNVSAGSSPNLELPFDIMGSAVTPKNLNLSFDIEWDPVNTSDTFFIYMHFAEIEQLKRNQTREFNIYLNGKLWHGPLNPLNHTTTTIPSTEPEAIASRYKLTINKTRNSTLPPIINALELYVLKKLPQKQTEDQDASAIWSIKSVYGVKRHWQGDPCAPRESVWIGLNCSYNDADLPRIIFLNLSTSGLNGEIHPGLASLTMIETLDLSNNNLTGPVPDFLSKLNSLKVLNLKGNNFSGPIPKELLEKSNKGSLLLSIDDEDYCDTKHCENKVKKILVPVIATVASIFVIMTALTTIWMIKKQKAHEIKSITDNFKVVIGKGGFGEVYHGYIGDVQVAVKMLSASSQQGDKEFQAEAYLLLSVHHRNLTSLVGYCIEGKHKGIIYEYMANGNLQTHLFGLEYLHHGCKPPIVHRDVKCNNILLNEKFQAKLADFGLCRAFPTEAATHISTEVAGTPGYLDPEYYLSYRLTEKSDLYSFGVVLLVIITGQPAITRYENDNIHISRWVKLQLAEGDVKSVVDPKLLEDFDDDSALKAVELAMACVANTPNRRPTMNDVVMGLNECLVAERARLETKVLDSSTEIVSVDLEDER >cds-PLY89772.1 pep primary_assembly:Lsat_Salinas_v7:8:147376197:147379795:-1 gene:gene-LSAT_8X99221 transcript:rna-gnl|WGS:NBSK|LSAT_8X99221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHCYQITYHESSGGRVLSVDYTDLVGELAKKHNLKLHIDEPRIFNAYVALGVSVRRLVQAADSVSVCLSKGLGAPVGSVIVGTKSFIDKVKQAIVEDVKQIKILAYITALKKLCNHPKLIYDTMKMFSRSCLLSSVIRVIQHLLHRLRLTLAFTHHLPPISPSSQKSFRIDDCLALLPLAPSVYRLRPSDSSSAPPQIMFGVTVHMLISVHSLISGSILNRHPK >cds-PLY73939.1 pep primary_assembly:Lsat_Salinas_v7:8:215294763:215295029:1 gene:gene-LSAT_8X135521 transcript:rna-gnl|WGS:NBSK|LSAT_8X135521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHWFKSDLTIFGEARSFDHLTSLPTSILVGDEEKYNAKYLGGLKIGMRFRNLTDIKKFMENTDVWKLCSNGLRKVIELKSRTTGLPG >cds-PLY63064.1 pep primary_assembly:Lsat_Salinas_v7:8:76141178:76141546:-1 gene:gene-LSAT_8X54580 transcript:rna-gnl|WGS:NBSK|LSAT_8X54580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKFGVPIIAMPMHLDQPVNARLMEEVGIGMEVVRDNDGRLRREKLAAVVRQVVVSELGKAVREKAKKISTDLRLKGEEEIDAVAVELLQLCLLSGGRWRRILKMISKVSCNWFNLCGER >cds-PLY64366.1 pep primary_assembly:Lsat_Salinas_v7:4:25034311:25034929:-1 gene:gene-LSAT_4X17861 transcript:rna-gnl|WGS:NBSK|LSAT_4X17861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSLTEESCNDFRGKKRVRLSPAVGTSHGFNDFSFSGDDSDEYEQEECNSSVGSNHRNLEVILLSGDEDDEVESSSSKVDTSIHEDITGDELQETKARITQFRSPAVSENQCGEIELPEKKRKEVEEEEEWNWWVEYGSMIIDNNGFLLGEFRRLDEELYINIA >cds-PLY78878.1 pep primary_assembly:Lsat_Salinas_v7:5:305582467:305582892:-1 gene:gene-LSAT_5X164680 transcript:rna-gnl|WGS:NBSK|LSAT_5X164680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRDAHDEWVTSYICLLYSLTDCPEDVKALHNAGVLDNPMGNESNEEVGKLLKAIGLDLVPNYLAYSQFKKQIQSHCGGWRNTHLSDLKHEYIKSPWSLLALLGAVMVLFLSAVQTYFTVWSPKSECDDLCKFLKMKDHL >cds-PLY65916.1 pep primary_assembly:Lsat_Salinas_v7:4:136459252:136461553:1 gene:gene-LSAT_4X84261 transcript:rna-gnl|WGS:NBSK|LSAT_4X84261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLGVQGFVFLLAIVLLAICAQNSQARVTHENRVKSKVYLTPKIPQHPGSVSNKTYYNIEFPKGHIAIKSFNAEFVDEEGNPISLQETYLHHWAVVRYYQRKGNKEPKYNSNLGLHQSDLVVARNAGVCNGGLSQFFGLGSETRKTDTHVPDPYGIEVGNPLEAPAGYEEKWMLNVHAIDTRDAVDAMGCAECRCSLYNVTEDKDGQPFKPNYVGGFFCCYDGTQCKVKNGVKSVKRNIYMKYTVEWVDWSDSIVPVKIYIFDVTDSWQKTRIHDCLLEYDVEQCATGVATNDCISARRSHTSIPTSGDVVYGVAHLHNGGIGSVLYGEDGRVICSSRAIYGEGNEAGDEAGYMVGMTTCYPKPGSIKIAKDEVLTLESSYSSKKSHIGVTGFFYILVAES >cds-PLY74778.1 pep primary_assembly:Lsat_Salinas_v7:6:121373498:121375451:-1 gene:gene-LSAT_6X73741 transcript:rna-gnl|WGS:NBSK|LSAT_6X73741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSITNIETGPNPNVTATLEENGNFRLIDETDKRVLWQSFDHPTNVLLPGMKLGYDRTTGKNWTLTSWVSNDIPSSGAFTMSWEPIEETSQRLMIRRRGQPYWSSGNLNNQVFQYMFALNSPSSQSRYNLSSVYTNEARYFSYEADNIAALPMWILTAKGQITDIDNSTVWTPEFCYGYDSGNGCVGSSLPQCRRESDNFSEKNGDFAQDTTRTDIDDNSSLSISDCFVKCWNDCTCVGFNSSNINGTGCVIWTGSNNFLVNPRDNSTLKYVINQNPITPNTEYERQKRDEYFLELTASESFKDIHQLENNGGKGNDLFLFSIASIMAATDDFSVENKLGQGGFGPVYKGRLSDGREIAIKRLSRTSGQGLVEFKNELVLIAKLQHTNLVRVLGCCIHGEEKMLIYEYMPNKSLDFFLFDENRKAELDWCRRFNIIEGIAQGLLYLHKYSRMRVIHRDLKASNILLDESMNPKISDFGMARIFNQNETEAITNRVVGT >cds-PLY74074.1 pep primary_assembly:Lsat_Salinas_v7:9:13691652:13693862:1 gene:gene-LSAT_9X11980 transcript:rna-gnl|WGS:NBSK|LSAT_9X11980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTMAQLAIVTAACTNLHQTSKCFSFTFHIDGHKLASVFTTTSGRDTVTIRSRKKAPLLVTCSVSKPSSSTEISSTARIRSEVLTPFRSVRMFFYIAFIAQASLGGLIATTQLIGAVANPSRADSVIDIAKGLGIDIGAASLFAFLYYRENKIKNAQMARLSREENLSNLKLRVDEKKTITVSELRGFARLVILAGPSSFITEAFKLSETFTEQLIERGVLVVPLSTDGNVPTFEFDETEEMKELTNKRRRLWQLVPLLTPEWSEWLDDQKKLANVSPESPVYLSLRMDGRVRGSGVGYPPWNAFVAQLPPVKGMWSGLLDGMDGRVL >cds-PLY80612.1 pep primary_assembly:Lsat_Salinas_v7:6:14274653:14276002:-1 gene:gene-LSAT_6X12481 transcript:rna-gnl|WGS:NBSK|LSAT_6X12481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKHILLLIFSLFLLKPIADAKDNCPPASCGPNEPEIRFPFRILGRQSSQCGFSGFDILCDEQNKTTIQLPLSLTYIVNKISYLEQVIYLDPEFCLPNRIVSVNMTNTPFRSHLWMQSYTFYNCSLQKDQSFNFSDANVPFPCLSNGNSSVIAVETDPWIRVHMPSSCKVMTTIDVPVGFNSDFGSQLEMIWFTPFCRSCESEGKACRLKSDDNSDGQTICVSRGKGISRIAMYGLSVGVCVSTLICIIVFKWYASSRAQAHNDSNNQGIGLSTIAIIRQPTSKMGLDGPTIESYPKTVFGESCRLPNDDVTCAICLSDYKPKESLRKIPECNHYFHVECIDEWLKRNATCPVCRKTQESAVLVTPCSKTSSSNSVDIS >cds-PLY70243.1 pep primary_assembly:Lsat_Salinas_v7:9:1760114:1761907:-1 gene:gene-LSAT_9X3740 transcript:rna-gnl|WGS:NBSK|LSAT_9X3740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAASMESPSPDPLFKGSTHTTGSSPIISPISDKHFWSILRNRIDTLLENQKGPSLNYEGKNRAKRLKEDSLLLLRGFDSVASSLSQLSNNLDSALQGAKDLARPPTLSDVLHSSLEQAKRAQSSSKDEEEEDDNESLDPNRRGMKRKLDSEEASDENHDDTKEFGKLNRAKNIAISMAKRAGLLAREMKSMKSDLCFMQERCSILEEENRRLRDGFVKGVPPEEDDLVRLQLEALLGEKSRLANDNANLTRENQCLRQLVEYHQLTSRQDEEEVEEEEEEDYEKVIKGVCLDFSELEEDLAGDDASESPETGRYGISDHYDEDER >cds-PLY91970.1 pep primary_assembly:Lsat_Salinas_v7:7:125215298:125217649:1 gene:gene-LSAT_7X76100 transcript:rna-gnl|WGS:NBSK|LSAT_7X76100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHRILRDVEADGWERSDFPIICESCLGDNPYIRMTKADYDKECKICTRPFTVFRWRPGRDARYKKTEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSITSHDSIPKSDVNREYFAEEHDRKARAGLDYESSYGKARPNDTILKLQRTTPYYKRNRAHVCSFFIRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLNKAGEMPSLEPPDDESIRTLYVGGLDERVSEQDLRDQFYTHGEIESVKMVVQRACAFVTYTTREGAEKAAEELSNKLVVNGLRLKLMWGRPQVQRVEGEMASDEGRQVAHGGLLPRAVVSHQNNQVSPLTSQDQPPLPPPHMQYFNIPPPGHHERTFYPSMDPQRMGAVIRSQEAGSGSGSGSGSSSGENRSGGPQYAAYPPPPPPPQGGGQFYQQYYPPPPYGYMPQPPPPHQQYPPPAYNSTMGPPPTGEQSYQQKPPQPPSEATPAAGSSQQ >cds-PLY98647.1 pep primary_assembly:Lsat_Salinas_v7:1:39671502:39675780:1 gene:gene-LSAT_1X34140 transcript:rna-gnl|WGS:NBSK|LSAT_1X34140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVMDVLYQPTKPLITSSSPSPSISDRNFNYYAHVSTRYHPLPSTSSSSFGLHRITSQLAPNSSKTDAGYGCSWMQDNSTYKSIIANHERRHGPLHSMFPSSPAQVSSVEDLFEFICSGPLIEKLGFTPKTIAESIDKWLTNGFHVCELFNLNELYLTIPQKARIYHYYIPVFLWCEQQISDHRSTFKDGDDIPPLVIGFSAPQGCGKTTLVFALDYLFSKTAGRKSATISIDDFYLTYDEQAKLRENNPGNALLEFRGNAGSHDLPLSVETLMAVSNLTKEGMKMKLPRYDKSAYNGRGDRADPSTWPEVEGPLSVVLFEGWMLGFKPLPAQVVKAVDPQLEIVNKNLEAYYDAWDKFIKSWIIIKIKDPSCVYQWRLQAEIGMREAGNPGMTDEEVMDFVSRYLPAYNAYLPTLYTEGPKGSDSNHTLIVEIDEERNPILAG >cds-PLY84381.1 pep primary_assembly:Lsat_Salinas_v7:8:79200464:79202194:-1 gene:gene-LSAT_8X56821 transcript:rna-gnl|WGS:NBSK|LSAT_8X56821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQKGTTMGLILILVFMLSGETMAQSGCTTALIGLAPCLTFVSGNASIPSASCCSQLSNVVQGQPQCLCSLLNGSGPNLGISINQTLALSLPSACNVQTPPVSKCNGVANGPTSSVADSPTSKSPSDYSSNEAPVGAPSSTSTPTIPDVGVPTTTTTPTKPDVSSGGSKSVPSTNSDGSDATIIRESTRVLVVAFLVATCASIVTKF >cds-PLY92011.1 pep primary_assembly:Lsat_Salinas_v7:8:241283846:241296313:1 gene:gene-LSAT_8X145501 transcript:rna-gnl|WGS:NBSK|LSAT_8X145501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTTRREEILLEEEEQNSPLRWRQPSDGGSTTTTTTNRFLIHPQNRWYIAWKQFILIWAIYSSFFTPLEFGFFRGLPENLFLLDIAGQFAFFIDILVHFFVAYKDAHSHRLVCNHNLIAIRYLKSRFLLDFLGCLPLDAIYKACNRKEAVRYLLWIRLSRALRVTEFFERMEKDIRINYLFTRIIKLFVVELYCTHTAACIFYYLATTLPPAKEGYTWIGSLKMGDYNYSQFREIDLLTRYITSLYFAIVTMATVGYGDIHAVNNREMIFIIIFISGDMILGAYLLGNMAALIVKGSKTERFRDKMTDLITYMNKNNLGKAISNEIKGHVRLQYESSYSDSVVLQDIPASFRAKISQKLYEPYIKEVPLFKGCSPAFIKQIAIRVHEELFLPGEVIIEEGNIADQLYIVCHGKLEDMRGNEKEEGKSVQSLDSSSSLNEISLLCNIPAPETVRVAELSKLLRVDRQSLTDILEIHFSDARIILDNLLQGKETHLRNKILESDITLHIAKHESELALTLNSAVYNGDTYRVRRLVAAGLDPNNTDYDGRSPLHIAAMKGFEDIVKFLMEKGAIINATDNHENTPLFEAIKNGQDEVVSMLAEAGASLDMNNNNNNAGNCLCMAVAKGDFEFLKRVLANGINPNSKNYDLRTPLHIAAAEGFYSIAKLILEAGGSVMSKDRWGNTPLDEARVGGSKKLIKLMEDAILDAGKTIPTRF >cds-PLY91060.1 pep primary_assembly:Lsat_Salinas_v7:5:171271181:171279119:1 gene:gene-LSAT_5X77240 transcript:rna-gnl|WGS:NBSK|LSAT_5X77240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDVSEGKDFSFPKQEEKILEWWTEVKAFETQLEKTKDLPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYQTMTGHHVTRRFGWDCHGLPVEHEIDVKLGIKTREDVIKMGIGNYNEECRSIVTRYVGEWEKVITRTGRWIDFKNDYKTMDLNFMESVWWVFSQLFKKNLVYRGFKVMPYSTGCKTPLSNFEANSNYKEVPDPEIMVTFPIIDDQEGAAFVAWTTTPWTLPSNLALCVNSNLVYVKVKSKSNGKIYVVAESRLSELPVEKAKKGTPNGAVDDKSKGKTKSSVVAYEVLDKFPGSSLVGKKYVPLFDYFKEFSDVAFRVVADDYVTSDSGTGIVHCAPAFGEDDYRVCLENQIINKGENLVMAVDDDGCFTERITDFSGRYVKEADKDIIQADKGRLVKTGSFTHSYPFCWRSDTPLIYRAVPSWFVAVEKLKDQLLENNEKTKWVPAFVKEKRFHNWLENARDWAISRSRFWGTPLPIWISEDGVDIEVIGSVEELEKRSGVKVTDLHRHKIDHITIPSPRGEKFGVLHRVEDVFDCWFESGSMPYAYIHYPFENEEFFQKNFPGHFVAEGLDQTRGWFYTLMVLSTALFEKPAFRNLICNGLVLAEDGKKMSKRLKNYPSPMEVIDDYGADALRLYIINSPVVRAEPLRFKKDGVYGVVKDVFLPWYNAYRFLVQNAKRLEVEGLPPLVPHDPAILLNSANVLDQWINSATQSLVHFVRQEMDAYRLYTVVPYLLKFIDNLTNIYVRFNRKRLKGRTGEEDCRIALSTLYHVLLTSCKAMAPFTPFFTEVLYRNLRKVSNGSEESIHFCSFPQVEGKGGSRIEQSVNRMMTIIDLARNIRERHNKPLKTPLREMIVVHPDAEFLNDIAGKLKEYVLEELNVKSVVPCNDPLLYASLRAEPDFSVLGKRLGKSMRVVAEAVKAMSQEEILAFEKSGEITIATHCLKLSDIKIVRGFKRPDGVSEDQMDASGDGDVLVILDLRPDDSLFEAGFAREVVNRIQKLRKKSALEPTDIVEVYFKSLDEDKSVTAQILKSQEAYIKEAIGSPLLDSTLTPEHAVMIAEETYHNISNCNFQITLSRPALAFNNKAILDLYSGNAKHAEALKVYLLSRDHFNLKTEFLVGINQIKVDCIENQPDVDVVLGEHVFLTVGDYYSQTTNNNS >cds-PLY62737.1 pep primary_assembly:Lsat_Salinas_v7:8:48430747:48436598:1 gene:gene-LSAT_8X36821 transcript:rna-gnl|WGS:NBSK|LSAT_8X36821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRSVCNRASVKKLKSFADKSNFRDTHLFEKPRQLELHPYRHPSYGERGFRRINVLGDSGFTNLGVSFGLLHRSYKGYASSAAAEEIVSTEEEDSDEIREMVHHLNKEIKAVETKSNSSDHKKQPRLVNGIGQGKYIALRRRQIKIETEAWENAAKEYQELLVDMCEQKLAPNLPYVKSLFLGWFEPLKNAIASEQDLCREGRNRGAYAPQFDQLPADMMTIITMHKLMGLLMTGGGQGGARVVQAALHIGEAVEHEARIHRFMEKSKRKASLNESPDDDSEAVNNEQQLQKLRKKVTNLVKKKKLQQVRHIVKQQDQLKPWGQDAQVKVGSRLIQLLMETAYIQPPVDQCEDCPPDIRPAFVHTLKTVETPRGSRRYGVIECDPLVRKGLEKSAMHMVIPYMPMLLPPVNWTGYNRGAYLFLPSYIMRTHGAKQQRDVVKKTPKKQLEPVFGALNTLGATKWRVNRKVLGVIDRIWASGGRLADLVDRDDVPLPEEPDTDDEIEIKKWKWKVKTTKKENRERHSQRCDIELKLAVARKMKDEEAFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFADGRPLGESGLRWLKIHLANVYGGGVDKLSHEGRMMFAEKHLDEIFDSSDRPLEGKRWWLGAEDPFQCLATCMNLSEALRSSSPETAISHIPIHQDGSCNGLQHYAALGRDRLGAAAVNLVEGEKPADVYSGIASRVIEIMKRDAEGDPTTDPNAKHARILVDQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERCSIEDDAELFAAACYAAKTTLTALGEMFESARSIMSWLGDCAKVIAVKNNPVQWTTPLGLPVVQPYRKLGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAIACKEAGLSFAGVHDSFWTHACDVDQMNKILREKFVELYEAPILENLLESFQKSFPNMEFPPLPERGDFDLKEVLKSPYFFN >cds-PLY95308.1 pep primary_assembly:Lsat_Salinas_v7:4:299290106:299290737:1 gene:gene-LSAT_4X151780 transcript:rna-gnl|WGS:NBSK|LSAT_4X151780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKLIVYDDVLTLNVLFGDLKLKNPENKAFAQHFLKNKAFTCQSFQPYFAILEQQALDRDNEQCPSYFSLFFFFQSRA >cds-PLY81641.1 pep primary_assembly:Lsat_Salinas_v7:1:111170951:111173063:1 gene:gene-LSAT_1X89681 transcript:rna-gnl|WGS:NBSK|LSAT_1X89681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTVVVNAERKPHVVFVPYPAQSHIKCMLKLARLLHHKGLNITFVNTEVNHKQLLNSGGANSLDDEPGFQFKTIPDGVPEGTPNFMYAVSASILINFLDPFLDLMGRLESPVTCIIGDGMMPFTVDAAEKLKVPIMHFWTFSACAFMGYYEAPTLIEKGLIPLKDESCITNGYLDTVIDCIPGLEGFRLKDIPAYIRPTRYPNDADYNYVIQSIKATRKVPNIILHTFEELESKVIKALQLTIPRVYTIGPLELLLNPIQLEEETKKLDIKGYSLWKEEDGCLKWLESKEPHSVIYVNFGSLISVSLEQLLEFGWGLANSNHYFLWIIRPDLVIGESAAIPLELKEMINERGFIASWCSQEQVLKHPSVGGFLTHCGWGSTIESLSAGVPMLCWPYLWDQPTNCRQMCKEWDVGMEIDSNVNRDEVERLTRELIGGEKGKRMRSKAIEWKKKIEIATGPKGSSSLNIEKLANDINMFTTK >cds-PLY66667.1 pep primary_assembly:Lsat_Salinas_v7:1:54372149:54376361:1 gene:gene-LSAT_1X47140 transcript:rna-gnl|WGS:NBSK|LSAT_1X47140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLYQTILKGDHVTFLNLIQENETLIKQTVVGSSNTVLHLAARFGHLELVLEILKVCPDMVVAENSDLETPLHEACREGKVEVMKVLMAADEGVVGKVNCRGESVLFVACERGKLQVVKHLIEFQWLLMHELDAFMCSIHVAAAGGHTEIVKEILKVRPEFARKYNSEGYSALHLACSRGQLDTTRELLWSDPDLLYLRDHNGWTPLHWASMKGRVGIIGEIISINLESVEMVTNHGETVLHLAVKYNQFDGLRHLMETLNITKLINVQDNDGNTVLHVATAGKLNTMVTYLLKRGVDVNAINQKGYTALDVVESDGSNSTALQIIPALMEAGAKTCEQLPPTSRDIKEVVHRNLIKHSPHTRTIDSPTQHHRCRKQSHRRSKQIELQNEGLRNARNTITIVAVLIATVTFSAGINPPGGFSQETGKAKLGSKKPFKVFLLCNILALFLSLGIVNVLVSVIPFRRKSMMNLLVATHKIMWVSTMFMASAFIAATWTILPQGQGSRWLMIELMLVGVGCTLVVLLGLGILLGKQWSRKKEWRRRKEKKMKDGTPNSSVNSRIGEMRFVKNSHESSSNSDVDSSDHGYQVY >cds-PLY85329.1 pep primary_assembly:Lsat_Salinas_v7:8:277571696:277573628:-1 gene:gene-LSAT_8X159460 transcript:rna-gnl|WGS:NBSK|LSAT_8X159460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TBL27 [Source:Projected from Arabidopsis thaliana (AT1G70230) UniProtKB/TrEMBL;Acc:A0A178WG99] MGIYTSFKDHPHSLRKKLLPYALYALLFIAFIHLFFFPTPTALPSTTTTTTTVDHTLIPKSPPPPPPPSEVFVETEDNSNGCDYTDGKWVHDNTGPLYNSTACGTIKEGQNCFSHGRPDMGYLFWRWKPNKCHLPRFDPNTFLHLTRDKHVAFVGDSIARNQLESLLCLLATVSPPNLIFSEQDNKFRKWHFASHNVSLSVYWSPFLVKGIEKSEETPYNRLYLDSINDVWAKDLGEIDMVVLSIGHWYLHPAVFHYGDSILGCHYCNVKNHTEVGFYDVFGMAFNTTLKMLVDRRIDVIVTTFSPAHFEGDWDSLDSCSKTKPFEENERVLDGMDYEMRNKEVEEVEAAKKIAKNSKDFRLEALDVSKLALMRPDGHPGPYMYPFPFGNGKRDRVPNDCVHWCLPGPIDTWNEIMLDIMKRWNF >cds-PLY73459.1 pep primary_assembly:Lsat_Salinas_v7:4:180228203:180229990:-1 gene:gene-LSAT_4X105580 transcript:rna-gnl|WGS:NBSK|LSAT_4X105580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTSMKKIQKDNKDAEPTEFEQSVAQALFDLENTHQELKSDLKDLYINSANQIDVGNKKAVVIHVPYRLRKPFRKIHTKLVRELEKKFSGKDVVVIATRRIVRPPKKGSAATRPRSRTLTAVHDAMLEDVVHPAEIVGKRVRYRLDGSKIIKIYLDPKARNDTEYKLETFSGVYRKLSGKDVVFEYPITEA >cds-PLY96649.1 pep primary_assembly:Lsat_Salinas_v7:7:44667500:44671179:1 gene:gene-LSAT_7X32741 transcript:rna-gnl|WGS:NBSK|LSAT_7X32741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFKTLVLLPFLVFCVLSADSSLKDEVDALEHFKNSIKDDPNGALLDWNADSSIHHCNWTGIQCDDISQRVVSISIQQAQLKGQLSTFLGNLSRLQVLDLSYNSFTGNIPSQLGYCTQLAGLSLYTNFLSGPIPSELGNLRNLQILDLGNNSLTGIIPESLCNVTSMLELSLDDNKLNGTIPDRIGDLINLQQLGAYNNRLQGSIPTSVGNLKKLIALDFSQNQLTGIIPTQIGNLSNLQVLQLYQNFLSGKIPSELGHCTNLSLLNLYSNKLVGSIPPEIGNLLGLQVLRLYDNQLNSTIPNSLFRLKSLLVLQLSENNLIGNLSSDISSLKSLKSLTLHQNNLSGEIPASITRLVNLTYLTLSLNFLTGSVPSSIGSLHNLRNLSLSNNLLEGSIPSSITNCTNIRWIDFARNRMTGEMPQGLGMLSNLTYLIVSDNKMSGRIPDDIFNCSSLVILDMAHNNFSGLLKPGIGRLSNLQILQIHGNSFSGPLPGELGNLTSLMLLNLGQNQFSGMIPVEFSNISSLQSLSLGNNNLQGQIPDEIFELKQLTELYLMNNKFVGSILNSVSKLELLSRLNLSGNRFNGSIPDSLRKLNKLISIDLSHNLLTGSISGSVIAGMKNTPIFLNFSNNFLTGRIPNEFGELEMVESIDISNNNLSGGIPVTLQKCRNLRSLDVSGNQLSGSVPEEIFPPLELLSSINFSRNQLNGEIPGTMANLICLTSIDLSHNKFKGLIPESFGNISVLKHLDLSFNQLEGRVPDTGIFRNITAVGLQGNPSLCVTNSSQLCASSSRSKRSLSRKAVLILSILGSLALLLVFVLAVLCCRHVRKAKVKEPENPELPKHTAGFTFKRFDRKEVEDATDNFSEGNILGTTSLSTVYKGRLEDGRMIAVKILNIHQFSAESDKSFNKEMNTLGKLRHRNLVKVLGYAWESGKLKALVLEYMENGNLDRIIHDSGIDRSRWDLSERVDVLVSIARGLVYLHSGYDFPIVHCDLKPSNILLDEKWDAHVSDFGTARILGVHQLDGSNISSASTFQGTIGYLAPEFAYMKKLTTKVDVFSFGIIMMEFITRKRPTDVLTEEEGIQITLPQLIDQTLSNGINELIEIVDPDLASNFSMKQGFIEQLLNLALSCTKMNSEDRPEMNEVLSSLTKISKNV >cds-PLY88711.1 pep primary_assembly:Lsat_Salinas_v7:5:67610919:67612685:-1 gene:gene-LSAT_5X31560 transcript:rna-gnl|WGS:NBSK|LSAT_5X31560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFSPPAPFNGTTPFAFPPNLLPSDASPDWMNKADNAWQLIAATLVGMQSVPGLIILYGGAVKKKWAVNSAFMALYAFAMVLVCWVLWGYRLSFGDQLIPIWGKVNASVEQKYLLEQAFLGLFPNATMVFFQFVFAAITLILIAGAVLGRMNFYAWMLFVPLWLTFSYTVGAFTIWSTTGWLSTMGIIDYSGGYVIHLSSGVAGFTAAYWVGPRLTKDRERFPPNNIILMLAGAGLLWMGWTGFNGGDPYAASADASLAVLNTHVCAATSLLTWLILDVIFFKKASVIGAVQGMITGLVCITPAAGVVQGYSAIIMGLLSGSIPWFTMMVVHKKSELLQKVDDTMAVLHTHAIAGTLGGLLTGLFSEPHLCELFYGYTNKYMGLFPGLHRGSSQSIRYGVRQMGVQILGILFVIVLNIVMTSLVCLFVQLIVPLRMSDEDMEIGDEAAHGEEAYAIWGQGERLENSRYSNYNDIEVPIKAGGGSVELT >cds-PLY84513.1 pep primary_assembly:Lsat_Salinas_v7:1:31169871:31172486:1 gene:gene-LSAT_1X27020 transcript:rna-gnl|WGS:NBSK|LSAT_1X27020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRFNWFKQISNNGKLERRLSLGEYKRAVSWSKYLVSTGGEIKAKGEEEEELEWSADMSQLFIGNKFAMGKHSRIYRGVYKQNDVAVKLISQPEEDRDLASMLERQFTSEVDMLFRLKHPNIITFFAACKKPPVFCIINEYLCGGSLRAYLHQQEPYSLHPNLVLKLAIDIARGMQYLHSQGIIHRDLKSENLLLGEDMCVKVADFGISCLESQCGSVKGFTGTYRWMAPEMIKEKKHTKKIDVYSFAIVMWELLTALTPFDNMTPEQAAFAVCQKNARPPLHASCPKAFCGLIRRCWSSKPDKRPGFDEIVKVLEGYAASVERDPDFLATYEPGGDRTFLICYPRRQKSTPTR >cds-PLY84636.1 pep primary_assembly:Lsat_Salinas_v7:5:11447751:11451796:1 gene:gene-LSAT_5X4220 transcript:rna-gnl|WGS:NBSK|LSAT_5X4220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALDFPFELDAFQKEGESVFVAAHTSTGKTVVAEYAFALTSKGYSEENLDGGNKSSEYIHDIVIKHADRHNLLRPGTLESLFVLYRITEDSKYREWGWSIFEAFEKYTKVESGGYTSREWEVFEFHMECSLENFVALEVTQILLNAQAIDGSVRKHAEESLKQFQEQNLPGFLLSLSGELVHDEKPIDSRKLAGLILKNALDAKEQHRISTASQVIAKVAGIELPQKQWPELIGSLLSNIHQVPVHVKQASLETLRYLCKEVSPHVVEQDHVNKILIVVVQGMNASEASNDVRLAVTRALYNALGFAQANFTNEMERDYIMRVVCEATCLGLVAKTVGDDIVPLVMPSIKEKITKPDWRQREGATYAFGSILEGPSPN >cds-PLY81474.1 pep primary_assembly:Lsat_Salinas_v7:5:333858492:333860444:1 gene:gene-LSAT_5X184280 transcript:rna-gnl|WGS:NBSK|LSAT_5X184280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADQRPISSFLPSPRFFNGFFSPKTLQDSQPSPTSILDANKNSVNNPFENNKNRIKPMKIFEEIKNPSEKFDHEGIALALIDEQPSETICKPNTISRKVLFASNLKIQIPDSPGDYGIKTRSSQFSGTPAPTPTGFGSPRGFTRQLSLREMELSEEYTRVISHGPNPKTTHIYDNCVVESCGVIGSTHLKKPGPKPPCESFLSLCHTCKKNLEEDADIYIYRGEKAFCSEECRCQEMVLDGLLMNS >cds-PLY73324.1 pep primary_assembly:Lsat_Salinas_v7:8:260256789:260260992:1 gene:gene-LSAT_8X150760 transcript:rna-gnl|WGS:NBSK|LSAT_8X150760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSLDYDQINENVKKAQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLLFPADAIAKAKLYLSYTSGGLGAYSDSRGLPGIRKEVAEFIERRDGYPSDPELIYLTDGASKGVMQILQTVIRGPGDGILVPVPQYPLYSAAISLYGGSLVPYFLEETANWGLDIHNLRQSVAEARFKGITVRAMVIINPGNPTGQCLSVDNLQQILRFCHQESLVLLGDEVYQQNVYQDARPFISSRKVLFDMGGAISKELELISFHTVSKGFLGECGQRGGYFEMTNIPPQTVDEIYKVASISLSPNVPGQIFMGTMVSPPKPGDISYEQFLRESKGILESLRKRAHMMTDGFNSCKNVVCNFTEGAMYSFPQIKLPPKAIEAAKSAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMESFKKFNDGFMEQYEDQRGYSRM >cds-PLY62680.1 pep primary_assembly:Lsat_Salinas_v7:7:100723212:100728597:-1 gene:gene-LSAT_7X66640 transcript:rna-gnl|WGS:NBSK|LSAT_7X66640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFATDGGREPEPSTSAPASASTSISTSVGWSQPCRNFEFPEILLATENFDESLVIGRGGFGKVYRGKIINGSSFVVAAIKRLDSMSNQGAAEFWAEVDMLSKLRHCHLVSLIGYCNHGKEMILVYEYMPHGTLEDHLHKLSTPLSWVQRLKICIGAARGLDYLHTGTGIGLGVIHRDVKSSNILLHETWAAKISDFGLSRIGPTNQPSTYVNTLVKGTFGYLDPNYFTTGRLTRKSDVYSFGVVLLEVLCRKRAVDKSLDEEQWGLVGWAQEYIKEGKLKHIVDSDIRDQISTKCLKEFIGITERCLLSNPKQRPMMTEVVFSLDCALTLQEKTNTSLQAAGKTIFSRMVDMLPFPSSGGENSAHSDPKLSSNNNGDMFLEVPNSSLKVFKFADLKKATSNFSDDLVLGQGAFGKVLLGWIDKKTFVPSRNGVGIPVAVKRCSASSTHGHSEWLSEVRFLGCLAHSNIISLLGYCNHEQEYLLVYEYMQNRSLDRFLYRSAHVRAQPLSWKTRLIILIGVARGLTYVHSSKDEIIHRNVESSSILLDQDFNAKLGGFGLARSGSESGKTHVSTRVVGNNAILAPEYVATGHLSVKCDIYSFGAVFLETLTGLKANAIMVRYETHDLAKWVSLILEKRRKLKLIIDPSLDHNYPQEGAFALLTLASKCFANQPKDRPSSEEVLLNLEQIYTANEY >cds-PLY67089.1 pep primary_assembly:Lsat_Salinas_v7:5:280401854:280405169:-1 gene:gene-LSAT_5X146840 transcript:rna-gnl|WGS:NBSK|LSAT_5X146840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ultraviolet-B receptor UVR8 [Source:Projected from Arabidopsis thaliana (AT5G63860) UniProtKB/Swiss-Prot;Acc:Q9FN03] MEDIVTDTESAITTPPIRQVLLISAGASHSVALLSGNVVCSWGRGEDGQLGHGDAEDRLSPTQLSALDGQEIVSLTCGADHTTAFSESSLNVYSWGWGDFGRLGHGNSTDFFIPQPIKALQGLRIRQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGTIEDSLVPQKIEAFQGITVKMVAAGAEHTVAITEDGDLYGWGWGRYGNLGLGDRKDRNIPEKASVISGEKMILVACGWRHTISVSSSGDLYTFGWSKYGQLGHGDFKDHLVPHKLDALHGQFVSQISGGWRHTMALTSEGKLYGWGWNKFGQVGVGDNQDHCFPMQVKIPNEQAHHFSCDKVVQVSCGWRHTLAVSERANVFSWGRGTNGQLGHKEAIDRNIPKIIEVLSRDGSSGQQLESSRTDPSSGKLSVLPSDRYAVVPDENAQAGTNGNDASVPENDVKRMRI >cds-PLY75811.1 pep primary_assembly:Lsat_Salinas_v7:3:67472042:67472998:-1 gene:gene-LSAT_3X51581 transcript:rna-gnl|WGS:NBSK|LSAT_3X51581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNSPLLNGCFVVFLSIIQEIGSYFTLAAIVMVVAQKNVGDASTFVESEFSLSNSSWSCQKIVPKLTVVLTDMHPQVQSAWQTTLQQEVQNLAKKVAETVVLVIDDEGTEYLISELLKLLLFPC >cds-PLY81083.1 pep primary_assembly:Lsat_Salinas_v7:6:130429393:130430024:-1 gene:gene-LSAT_6X78641 transcript:rna-gnl|WGS:NBSK|LSAT_6X78641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAPHGSSLTPSPPPAVTQHYLAGKHVNTIHQDATVIAPSERVPQPQEETFMNQEANYQLSIKANFEQLFRYMKQICKIIEKKMELIRQHRCSYKTFLSCQPPEFSGSTKRRRTKSEVCGSYAQREGVVMVELDPSVVG >cds-PLY99827.1 pep primary_assembly:Lsat_Salinas_v7:5:115197594:115198435:-1 gene:gene-LSAT_5X50820 transcript:rna-gnl|WGS:NBSK|LSAT_5X50820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSYDATSPPPATAGPPGKQSEPPPKHNGNSSPVVAVVGLRMFLFTTALVAIIVMVTSKQTKLIPVAPGVAIPLSAKLNYVPSLIYLVTALSVACLYSIVSSVISVLALMKPGGSSTKLQLHFVMIDALLLGILASATGAAIGVAYIGFKGNSHTRWNKVCNTYDSFCLRSAVSLILSLVSSITLLLLVWLSIHMLYKKTTRR >cds-PLY95658.1 pep primary_assembly:Lsat_Salinas_v7:2:112077498:112081598:-1 gene:gene-LSAT_2X50300 transcript:rna-gnl|WGS:NBSK|LSAT_2X50300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQFLPLILLLFIVSYILAILYWNRKSGKSEINLPPGSFGWPFIGESLSLLRAGWAGTPEKFVKERIEKHGSPLVFKTSLLGDRIAVVCGPAGNKFLFGNENKLVAAWWPTPVRKLFGRCLITIRGDEAKWMRKMLLSYLGPDAFATHYAATMDIVTRRHIQVHWQGKEEVNVYKTVKLYAFELACRLFLSLEDPNHIAKLGSLFNVFLKGIIELPIDIPGTRFYSSKKAAAAIRKELMAIIKERRTDLKEGKASSSQDLLSHLLTSSDENGRFLTEMEIANNILLLLFAGHDTSTVSITLVMKSLGEYPDVYEKVLKEQLEVSKGKVEGEMLKWEDVQKMRYSWNVVCEVMRINPPVLGSFREALVDFEYAGYTIPKGWKLYWSVVSTHKDEVNFENATQFDPSRFEGAGPIPYTFVPFGGGPRMCLGKEFSRLEVLVFLHNIVTNFKWDLLIPDEKIEYDPMATPVKGLPVRLHPHQV >cds-PLY76439.1 pep primary_assembly:Lsat_Salinas_v7:5:198837455:198839489:1 gene:gene-LSAT_5X89380 transcript:rna-gnl|WGS:NBSK|LSAT_5X89380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTTSCSIQPFKQPISLSAFQSKLSHLHLDLPNSSISRGCRITCRYGGGGGGGGYSRQGDSRRPKPPADEDPALDISSIRSSTVRLIDEEQNMVGVVSKTAAIQMAKDAELDLVILSPVADPPVVRMMDYNRYKYEQQRKKRDEQRKSSVHRVDQKELKMGYNIDVHDYTVRLKAAQKFLKDGDKVKLIVNLKGRENEFKNNAIELIRRFRDDVGELAIEESKNFRDRNMTLVLIPNKAILQQKETPKKKEKSTGTEISVTASVSP >cds-PLY99353.1 pep primary_assembly:Lsat_Salinas_v7:1:81317581:81317931:1 gene:gene-LSAT_1X68580 transcript:rna-gnl|WGS:NBSK|LSAT_1X68580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASCKCIMITTCADFIWYIYVYLYIVTMFTLHVCAVIISHSIQTITGINHALKLKGAINIEPMDSADMKLKRNHAEIQLTDVEDDKDDEPLSFASPVKSNDHERYSDTSDNELSDH >cds-PLY79364.1 pep primary_assembly:Lsat_Salinas_v7:9:53495336:53499029:-1 gene:gene-LSAT_0X17861 transcript:rna-gnl|WGS:NBSK|LSAT_0X17861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGSEDGTVRIWHSTTYRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTINIKSVGADHEVSDGERLPLAVKELGTCDLYPQSLKHNPNRRKYHPKNDGPKVSFFSDDEETTSTPKADALFIPRENPRALVIRPLKQWPGKSSAEKLKNASSPAQTNGDYTGFASSPPLNGRSTENGNRNHSENGTLKEQTPIKTPQKQNGGCDGGEMEDGFEFIVKNKGINTEVAYPYQATDGTCNTKEEAVHAATITGYEKVPANSESALLQAVANQPVSVAIDASGMGFQFYSGGVFTGDFGTDLDHGVTSLLNQMELYVVVYILAILGLDSLQGIMLDQGSCIDTCCTFCRKFFKLSPEESSKDPLYLLRDMDAMGYKRGHFVLIAKIIISALGYLMLGTFIYVLIVDGSTFNANVLSRYEKLQRWDDALKAYTAKSAQATSQRLILDATLGNSYCSVFIPNPKFFGWRKGSQELSEQGFNISLKEV >cds-PLY73875.1 pep primary_assembly:Lsat_Salinas_v7:3:39528726:39530033:1 gene:gene-LSAT_3X29260 transcript:rna-gnl|WGS:NBSK|LSAT_3X29260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKWKLYDHLMRLETGLGGTRSLIDVSPEWWEEKIKENKEYVKFRNKDLSIFDEKYALLFRDTVVIRDQTMAPLQFQNNSNSNEENMEGKGDSDKINLDDDGPLFPSFHESCSSKRKRSKLVSNNLSTKSKSSIHEEKVDALLDVISTKSTQTYPQNNPSPTIADCMTIVIKFPDFREGSKEFLQALLVFTKKQNREAFMFRTIDEAKMEFLKMNSDDSNSSNEEC >cds-PLY96798.1 pep primary_assembly:Lsat_Salinas_v7:2:172405957:172420400:-1 gene:gene-LSAT_2X95040 transcript:rna-gnl|WGS:NBSK|LSAT_2X95040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAKVAIAVAGGFLGWIYTRIKPPPPRVCGSPGGPPITSPRIQLNDGRHLSYREWGVSKDKSKYKIIVIHGFDSSKDMKLPISQELIEELQIYFLSFDRAGYGESDPHPKRSVKSEAFDVQELADKLQIGSKFYIIGLSMGAYAVWSCLRYIPHRHRVSLVVPFVDYWWPCLPSDLAKEVFELLLVQDRWTFRVAHYAPWLFHWWMNQKLFPSLSIMAGNMNIFCQPDLEFLKNLPPNPDDNTQEENNELEDGEEIKEQSASKYDNDGIVDTWINDVEEGEIAAVWSVSLVMAITMCIGIDDNSFNAKDDRSCRELEPKSPANPSIKLQSEALREAITQITTDAKEKKRNFTETIELQIGLKNYDPQKDKRFSGTVKLPHIPRPKLKVCMLGDAQHVEEAQKIGLEYMDVEGLKKLNKNKKLVKKLAKKHQAFLASESVIKQIPRLLGPGLNKAGKFPTLVSHQESLEAKVNETKATVKFQLKKVLCMGVAVGNCSMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKTTMGKPVRIF >cds-PLY99675.1 pep primary_assembly:Lsat_Salinas_v7:9:57289322:57291295:-1 gene:gene-LSAT_9X48761 transcript:rna-gnl|WGS:NBSK|LSAT_9X48761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALIPPLSFRPTQTITLSFPLIYRSSPKSFATKMAFHVTAAAASASTITTRKLPVLLFDIMDTIVRDPFYHDIPAFFGMSMKELLDCKHPTAWIEFEKGLIDEMELERIFFKDGRQFDLEGLKSCVRQGYSYIEGVEELLSTLKDNGYEMHAFTNYPIWYKMIEDKLKISSYLSWTFCSCIMGKRKPDPLFYSEVIKYLDTEPHNCVFIDDRMKNVEAAKEAGIIGLQFKNADLLKQDLSLLGIKFDNKNMKNKFQQTHGL >cds-PLY94690.1 pep primary_assembly:Lsat_Salinas_v7:6:19984342:19985816:1 gene:gene-LSAT_6X15060 transcript:rna-gnl|WGS:NBSK|LSAT_6X15060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANADSAPSPLSSKKENLTPVNSKISELRESRAELLDRIQNLKNDLQSWRSKLDTQVKSYRDELSDLKKTLNVEVDQLRSEFQELRTTLQQQQEDVTVSLQNLGLQDVSGDVKDTEGSKVEGDNI >cds-PLY85354.1 pep primary_assembly:Lsat_Salinas_v7:5:243328228:243328560:-1 gene:gene-LSAT_5X120200 transcript:rna-gnl|WGS:NBSK|LSAT_5X120200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLHCLILAKVKLIGLSKCHTFTATPILATLIWPFFFKLLLSLRPINNILEAMAQDSILFVFQLGQIVVSQASSRQRWQRILRLAQERMAMALVQQSYDDSLHTLSMVAL >cds-PLY96386.1 pep primary_assembly:Lsat_Salinas_v7:2:89415227:89417807:-1 gene:gene-LSAT_2X37481 transcript:rna-gnl|WGS:NBSK|LSAT_2X37481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSRSSQSSQEEQVPVEDPTVSDAVVKEELEHGNEQPQNLDENKENDEQGEEDLQEVEEVDVDEDEEEEEEEEEEEEEGEGDEQTTGNEVKEVKVETNGGGGQVIEDLSDEPVEKLLEPFAKEQLVLLLKEAVSKYPDIVESVEKIADADPAHRKIFVHGLGWDTTTETLISEYKKYGEIEDCKAVVDKVSGKSKGYGFILFKHRSGARKALKEPQKKIGNRITSCQLASAGPVPAPPPTAPPVSEYTQRKIFVSNVSAEIDPQKLLEFFSKFGEIEDGPLGLDKQTGKPRGFALFVYKSIESAKKALEEPHKNFEGHILNCQKAVDGVKMGKGFHPQQHQHHHQHHNQYGGHHHHPSKKAKFSMGGGGAGAGQGHLMAPSAPTMGFTPPVPPPALTPALGQALTALLASQGAGLGITNLLGGLGTPANPQGMPHVNNSGYVNQGAASYQQQGGYPNPQMGQGGSRPQQGGASYMGHGH >cds-PLY64387.1 pep primary_assembly:Lsat_Salinas_v7:4:25379312:25379668:1 gene:gene-LSAT_4X18080 transcript:rna-gnl|WGS:NBSK|LSAT_4X18080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQIVCLKQVVQRWHSRIPSVESLVVYVGEELSRFVIPTHFLNLLMFVSLLNKVEEEFGFQTTDGLVLPCDVVFFKELLNVLERDESGFDALDLDDFSEMLSDLASYSYSLCKKATIF >cds-PLY97785.1 pep primary_assembly:Lsat_Salinas_v7:4:376230350:376233596:1 gene:gene-LSAT_4X185861 transcript:rna-gnl|WGS:NBSK|LSAT_4X185861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSSFFFPFWSRLQMCIRHRLASLLHDMRRRSFHVNVDGVNALDAPVLSFLSAGLHYGVEEFHIHMRQNPGCMNNICTGFNISVYDLPKEISDPDAKKKIKNPSYKGKWKAPVIDNPDFKDESDLYVFPKLKLNLEHFFDNVLICDDPEYVKQVAETNMGKTKTYN >cds-PLY89474.1 pep primary_assembly:Lsat_Salinas_v7:8:91423086:91424091:1 gene:gene-LSAT_8X64140 transcript:rna-gnl|WGS:NBSK|LSAT_8X64140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWIENITGVIASLLSSQVPERSSSDKPQLLYFYKPSPSDSIAMKEVHPCKVDQLLQYGANVNVPDSRGQTPLQFKWISAVQKKVNALRELRRLLSKSEYPPVETALLEAAWCLTNIAAGKPKETRA >cds-PLY88318.1 pep primary_assembly:Lsat_Salinas_v7:3:87002343:87009358:1 gene:gene-LSAT_3X66521 transcript:rna-gnl|WGS:NBSK|LSAT_3X66521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKGWFFGWQAVAFSYLFIIFFTTLVTSQPQTNLLLRSCSLLNSRSFFTNLNETFREVRRQLSDNNTYFATAEQSRNREPVYMMAQCRLYMSTADCLDCYDVATSNARSCAAATGARAVLDGCFLRYDTRRFYDESTQPGNVGLCGNRTAIRPKRFQTALDGLLLNLTIATPRIKDLFAASSSNIARSNKSVYAIAQCAPTLAPNGCKECLQMAYSNIMNCLPFVDGRALDTGCFMRYSSTPFFSKNKTTIIEPFLVQGYLYGQSDRLQDPLKYRYRDLKKATKNFSDDYKLGEGGFGEVYKGEVKTGNTIAVKKLLVSSANAEFQSEVRVLSNVHHRNLIRLLGCCSEGPELLLVLEYMENGSLEKFLYGERKGILNWKQRYEVICGTAKGLAYLHEQYHVTIIHRDINPRNILLDKDFQPKIADFGLARLLPEEQTHISTRVAGTFGYTAPEYAIHGQVSEKSDTYSFGIVVLEIISGKKCTDVLDLSHPDQYLLEYAWSVYENQVPLNLIDEAVDRSECTERDVMKIIEIALMCTQSVVSERPKMSEVLMLLNDRSGDHKPPNRLPFNVSYLNVHVDDPSYVASSTSNAVASLTELTGR >cds-PLY72260.1 pep primary_assembly:Lsat_Salinas_v7:7:87032424:87034079:1 gene:gene-LSAT_7X60120 transcript:rna-gnl|WGS:NBSK|LSAT_7X60120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLGVAEDDSRTEIHLPADIDWEMLDKSKFFFLGAALFSGVSGTLYPTVVLKTRQQVVVKDTPCFKMAISILRHDGLRGFYRGFGTSLMGTIPARALYMGALEMTKSSVGCATVKMGFTEAKAAAIANAAAGVTAAMAAQLVWTPIDVVSQRLMVQGGKSLNTTAMTGFKYTGGIDAFRKIIHHDGVRGLYRGFGISILTYAPSNAVWWASYSMAHRSIWDGIGNHLKRDGNGGGGGGVGFTPDSKAVVAVQAASAAVASGVSALVTMPLDTIKTRLQVLDDGCNGKPGIGPTLRKLMTEGGLSACYRGLGPRWISMSMSATTMITTYEFLKKLSTKNQDSCGSLQ >cds-PLY68947.1 pep primary_assembly:Lsat_Salinas_v7:9:195018347:195022238:-1 gene:gene-LSAT_9X120900 transcript:rna-gnl|WGS:NBSK|LSAT_9X120900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G11000) UniProtKB/Swiss-Prot;Acc:O23693] MEEELIRHGRSLAETPTWAVATTITFMVFACLLVQRSIYRFGRWLQKTRRKALLASLEKIKEELMLLGLISLLLGQWARVISQICVDSSLFSSKFFLCSKEDFEMDKIVIFSRFTSFSNESDTPPEGLNTHFHQCGEGREPFVSYEGLEQLHRFLFVLGITHVLYSCVSVGLAMIRIYSWRKWEYQASLLAAENLQVRKIKVMRRQVTFIKHHATHPWSKSRILIWMLCFLRQFKSPMQKSDYAALRLGFITTHKLPLSYNFHNYMVRSMEDEFYEIVGISWPLWAYAILCIFINIHGLNIYFWLSFVPAILVLLVGTKLQHVVSLLALEIVETRGPSARVAQVKPSDELFWFGKPEILLRLIQFISFQNAFEMATFIWSVWGFKQRSCFMKNHTMIVIRLTCGVLVQFWCSYSTVPLNVIVTQMGSKCKKALIAESVRESLHSWCKRVKERSKTLHSVATRSTCSLGSTIDEGDEVITVASGTLSQSSSTGTLTQMEDNRSSGDANHPPEQELSFRMSEYLSDTIRHAPPNQGIEEHEVEVEVETLQDLLRRT >cds-PLY72241.1 pep primary_assembly:Lsat_Salinas_v7:5:13899189:13899584:1 gene:gene-LSAT_5X6281 transcript:rna-gnl|WGS:NBSK|LSAT_5X6281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNFGEEFGDRSGIKMWAFDPESNMWVVKRNSGVPEYYKSVHDFKSWTKYDLANPSEDPSATNFKRFLHRQVKENFPNMKPAIALYRKEKDIIDPKSGQPMKIILWPATKQIKEIPIPQHFHEGYLDNMEF >cds-PLY99539.1 pep primary_assembly:Lsat_Salinas_v7:1:114148877:114149458:1 gene:gene-LSAT_1X88400 transcript:rna-gnl|WGS:NBSK|LSAT_1X88400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRVYLVVLEKGACFFEWFRVKDNGSKEKLFSKDHLDLTLEEVGTCVELFYTPVRAYGVKGNPISIVSSPIAPGDPTGVKLVIPDCCEAQVVVPLKTYFGGQEGEGNYIWYRTRSKLDASTLMDISENAGDDVATCGKTP >cds-PLY71529.1 pep primary_assembly:Lsat_Salinas_v7:7:29839352:29840086:1 gene:gene-LSAT_7X22341 transcript:rna-gnl|WGS:NBSK|LSAT_7X22341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQGKTVAMTDEEIVEMVLFPVVNEACCVLEEQIVVKASDLDIAFVLGMSFPSYRGGIVFWADVVGSKHIYMSEKMSAPINTGSRARIIII >cds-PLY97720.1 pep primary_assembly:Lsat_Salinas_v7:8:6270727:6271144:-1 gene:gene-LSAT_8X5240 transcript:rna-gnl|WGS:NBSK|LSAT_8X5240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKDWQVVYDMVNGTNTSGFGYDTSTHSVTAEPAVWDSYIQDHKEAGKWRNKIFPHYEDLCIIFGNDRAQGNKAKDFAQMEEDANNEEQSEQIEMVLKNKPQKMKNLQT >cds-PLY72664.1 pep primary_assembly:Lsat_Salinas_v7:8:210245549:210245926:-1 gene:gene-LSAT_8X132361 transcript:rna-gnl|WGS:NBSK|LSAT_8X132361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKKSEAKTDPLELSVVNDSSDNDVDSDKPIPDVGDQFETNDYERFMDLGLMQQVFVSVVPLNAIYRGSCLEGDFLKMFLKEQIVTLVLMMVPNLIQQKERLPLQGAVNSEARSSTAGGDTSAPP >cds-PLY98089.1 pep primary_assembly:Lsat_Salinas_v7:4:78900157:78901824:-1 gene:gene-LSAT_4X53080 transcript:rna-gnl|WGS:NBSK|LSAT_4X53080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQILEGLQRKDVTATKGNEFEDYFLKCDLLMGIYEKGFERPSPVQEESIPISLIGSDILARAKNGTEKTATFCIPTLEKMIKIKMLFKLEVELSRECHEGDLKEKYRLAPVMEHKMELEERVVRSRKAEFDRMRDEREERIGEILKARKEERDLK >cds-PLY96608.1 pep primary_assembly:Lsat_Salinas_v7:7:44723209:44725818:1 gene:gene-LSAT_7X33320 transcript:rna-gnl|WGS:NBSK|LSAT_7X33320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFKTFVFLPFLVLGVLSADSSLKDEVDALEHFKNSITDDPIAALLDWNADSIHHCNWTGIQCDGISQRVVSISLQQTQLKGQISPFLGNLSSLQVLDLSYNSLTGNIPSHLGYCTQLITLSLYTNSLSGPIPLELGNLRNLQILDLGNNSLSGIIPESLCNITSMLELSLDDNKLNGSIPDRIGDLINLQQLGAYNNLLQGSIPISVGNLKELLALDFSQNQLTGIIPREIGNFSNLQVLQLFENSLSGKIPPEIGDCTNLSALNLYSNKLVGSIPPELGNLMGLQVLRLYKNQLNSTIPNSLFKLQSLMVLQLSGNNLTGNVSSDISSLKSLQSLTLHQNSLTGEIPASITRLVNLTYLTISLNSITGVIPSSIGSLHNLRNLSLSNNLLEGSIPSSITNCTNIRRIDIANNRMTGELPQGLQKLSNLTYLVVSNNRMLGRIPDDLFNCSGLVVLDIAFNNFSGLLKPSIGKLYNLQILQIHGNSFSGSLPGEIGSLSNLILLNLGQNQFSGAIPVEFSNISYLQSLLLGNNNLEGKIPEEIFELKQLTELYLMNNNFVGSVLDSVSKLEMLSLLDVSGNRFNGSIPESMRKLKKLILIDLSHNTLTGSISGSMIAGMKNLQIYLNFSNNFLTGNIPTELGKLEMVEAIDISNNNLSGGIPVTLQSCINMKSLDLSGNQLSGSIPQEIFPPLDQLTSINFSKNQLDGDIPESMANLVDLTSVDLSHNKMKGLIPESFGNILVLKHLDLSFNQLEGRVPDTGIFRNTSAVALQGNPSLCLTNNTKLCASSNKSNHSLSNKTLLILSILGSLVIFF >cds-PLY97596.1 pep primary_assembly:Lsat_Salinas_v7:5:235771727:235772592:-1 gene:gene-LSAT_5X114700 transcript:rna-gnl|WGS:NBSK|LSAT_5X114700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPWQLHDPPGVEDIDWAIEMHISIGLKAVNILLDCVSQDHKSIHGVSCSRQYNYFKVSNIPEGEVKKNMRVFFSTFSLLFSGNVKGASFC >cds-PLY62017.1 pep primary_assembly:Lsat_Salinas_v7:5:130151458:130152961:-1 gene:gene-LSAT_5X55381 transcript:rna-gnl|WGS:NBSK|LSAT_5X55381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYISEELKANCIAIDKKINECLYFIYMVAMKTNCSLLLVDLIVWFLLLGNVLSNDYTTFKKLVLPPSVTGPESAVFDRGGEGPYVAVADGRILKWQGPTTGFLDFAFTSPNRTKNICDGTNDLKLGPICGRPVALSFNYKTSDLYITDAFFGLLVVGFNGGLATQLSGGYKYLSGIDVESYTGNVYLTDASLTYGIRDMTKPGFKPDSTGRLLKYDPRTQRVTVLLTGLSGVGGPSVSSDRKYVLVPEYMNNQIQRHWLQGPKKDTNEVFLTDCGSPKNIKRAANDGEFWVAVEKLVQQSPVSSEPHGLRVNGSATVLQTVPLPQFLNMAHNVVQESNDALYVGSSHSDFVGVYTN >cds-PLY65326.1 pep primary_assembly:Lsat_Salinas_v7:4:251947150:251952244:1 gene:gene-LSAT_4X131720 transcript:rna-gnl|WGS:NBSK|LSAT_4X131720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNDNFEVKPKNSSEEALQRWRKLCWVVKNRKRRFRFTANLSKRFEARAIQRSNLESLRTLMLVSHAAIQFLNGITYSVPEEVKAAGFQIGPDELGSIVEGRSLEKLKAHDGVEGIVKKISTSTTTGISTSEEVLNKRKDIYGINQFTESPSKGFLVYIWEALQDTTLMILGLCAFVSLIVGITMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITIQVTRNECRQKISIYDLLVGDIVHLAVGDQVPADGLFVSGFSLLIDESSLTGESDPRTVTVENPFLLSGTKVQNGSCKMVVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFAVLVQGLFVRKMEEGSHWTWNGENALEMLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDRALVRHLAACETMGSATNICSDKTGTLTTNHMTLVKAWICGEIREVNHGVSTFCSTISDSALGLLVESIFNNTGGEVVKTENNKTEILGTPTETALLEFGLMLKEKQVELEKSKLVKVEPFNSEKKRMAVVLELPRGYFRAHCKGASEIILRACDKVLNGNGEVVPLDEELNNHLKETIEVLANEALRTLCLCYKELGNEFHSKDEIPFEGYTLIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTDDGLAIEGPDFREMGEEELLKIIPKIQVMARSSPMDKHTLVKHLRTTFQEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRSPVGRKGNFITNVMWRNIMGQSLYQFIVIWFLQSQGKTAFNLNDSDSDSNSDLLLNTLIFNTFVFCQVFNEISSREMEKIDVLKGILKNYVFLAVLTATVVFQIIIIEFLGTFANTTPLSLHQWFASVAIGFLGMPIAAVVKMIPVGSR >cds-PLY62411.1 pep primary_assembly:Lsat_Salinas_v7:5:36029945:36030433:1 gene:gene-LSAT_5X16481 transcript:rna-gnl|WGS:NBSK|LSAT_5X16481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSPSGGGIIPSNSGSSWTEDSFEIKVLMEPSPEPEMEGTSARSAIPRVDEAGPPPLTHNCSLESSMRNRIARLEGDGSSYLLDKEKGECWSDIKLALGQAPSQQEYQRLLEFENRDLQIRELKHECLRLFQKVLTQNRTLAAQAPYNPQEAFNDFLGQHRD >cds-PLY78896.1 pep primary_assembly:Lsat_Salinas_v7:5:308804882:308812078:-1 gene:gene-LSAT_5X166580 transcript:rna-gnl|WGS:NBSK|LSAT_5X166580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLSPKMPKKSYGGAGGSYHAWCPNELPMLRQGNIGAGKLLLEKGGLALPRYSDSAKVAYVLQGNGVAGIVLPEKEEKVLAIKTGDTIALPFGVITWWFNKEDTELQVLFLGDTKTAHKPGSFTDFFLTGSNGIFTGFSMEFVCRAWDVDESTAKSLVGSQTGNGIIKVDSGVKMPEPNKDHRSGMALNCLEAPLDVDIKGGGRVVVLNTKNLPLVGEVGLGADLVRLDGNAMCSPGFSCDSALQVTYIVRGSGRAQVVGVDGKRVLETTVKAGMLFIVPRFFVVSKISDNEGLEWFSIISTPNPIFTHMAGRTSAWKALSPEVLQASFNGINQLVLITETYHQPWMNGLMLLEDREQNKHYISTGSESFDVLLQGGLREGHVTELVGPSSSGKTQVCFQVASNVALKKGNVVFFDSGNSFSPTRIKQMVTHISGSEENKVNGGVEEAMRHIECHAVFDIYTLLDLLHQLKLNLKSQSQKKSTELIIIDSISSLIAPILGGSNAQGHALMVSLGYLLKDLSHQHNIAVLVTNHMVSGEGGSLKPALGESWRNIPHVQLQLSQHHTTTNIYGVYILRHPYMASGKSVNFMIP >cds-PLY66802.1 pep primary_assembly:Lsat_Salinas_v7:3:202665672:202667937:-1 gene:gene-LSAT_3X118860 transcript:rna-gnl|WGS:NBSK|LSAT_3X118860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRSRGDGNYRNPCLTMHQPWASLLIYGIKRIEGRSWPSPITGRLWIHAAGKVPDPETIKAMEDFYRQLYALDGVTDLKFPEHYPVSRLLGCVEVVGCVTSEELASWEDIPQGVRLEGLTPFCWLCEQPQKLLIPFEMRGYQGVYNLEKRILEGALRGLSPVEAQLPIKFPLPDPRNRLSLKPGSLKKTSNTSQSNQTSSPTLKTAIEGARTAATQFSKKTYVGPTPGFQGQGSNINDRTR >cds-PLY91521.1 pep primary_assembly:Lsat_Salinas_v7:7:143333343:143333618:1 gene:gene-LSAT_7X86061 transcript:rna-gnl|WGS:NBSK|LSAT_7X86061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVLGYAMGTYVSRTTKENSFRSPTYQSLYRLVSSTMCHRYECDNIPSSDLFYMWCLTQTDIRLNIPFALAFNLSGMDLGTFPSSMICSGH >cds-PLY97013.1 pep primary_assembly:Lsat_Salinas_v7:2:36784723:36786805:1 gene:gene-LSAT_2X17740 transcript:rna-gnl|WGS:NBSK|LSAT_2X17740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRIDNAFVALSCFRSPSLQPVPRNIITTKTLLQLHTLGSDSDHFDITQLILPNPTSEISLGIAHATVIKNAAISYLQVSNYLLSLYVKFTNLTLAHQLFDEIPQRDVRSWTILISGFSRTGSHNLALGLFTQMQKERITPNQFTFSSVLKCCGSAKELNMGKTILGWILRKGVFLDTTLQNSILDFYVKCQAFDYATKFFEFMTDKDTVSWNIMISALLKNKDIKKAEDLFFQLPNKDPASWNTIIDGNLQNGYEQRALHLLYQMVTNGTSFTHFTFSIALILSSSLNHINLGKQIHGKLLRVGIHDSFIKNSLLDMYSKCGEMEKAMIIFKSSDVDFVSLSSIVSGYIQNGKIEDGLKVFSFMVHEHGEIDKFTLASVLSGCSDSGLLDLGQLIHTYVLKTGHEDVYLSSSMIDMYAKCGKLQCSLLVFQESKIRNVVLWTSIISSFASHGEGKDTIRLFEMMRNEGVEPNEITFLGVLTSCSYQGLIKEGCDYFMLMKDFYGIKPQVEHFTCMVDLLGRGGRLNEVKGFIFENKISHLSVVWKVFLFYCNLYKDLEMAKWACEKLCEVEPLESGGYVLMSNKCADDCRWEEVARIKGLMQEKGIKKKPGQSWIR >cds-PLY80286.1 pep primary_assembly:Lsat_Salinas_v7:3:207779139:207780709:-1 gene:gene-LSAT_3X122361 transcript:rna-gnl|WGS:NBSK|LSAT_3X122361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTSALPNIESFDVILQHTNWQIQGYLTEIGSSFPMSAFESVLKLLQKVALELHRSKKQSKAKKALTVEEGCAGVYSIALVVPFKCWETIGIIWCNKLDKKIPLSVTTIDAAAASSWAA >cds-PLY96947.1 pep primary_assembly:Lsat_Salinas_v7:4:173862487:173863338:-1 gene:gene-LSAT_4X102060 transcript:rna-gnl|WGS:NBSK|LSAT_4X102060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLRKTIRKTKNFLNKTLQNFKSFVFGGYKKLSKAPSLKLFNNPKMQHLDNFYKEFCEKWDSDANNANPNLKGDIESNGSVIEVSNTNNKGTNMTQDQKSVVISSSLEDKKDGGCSNEGSQIHVLEQKMKELEMMDMKDEEQVLDIEEVLHYYSLLTSPIYQDLVDKFFTDMYSDFYVPQPPIRSNSINSSIRRLGPLNV >cds-PLY76031.1 pep primary_assembly:Lsat_Salinas_v7:5:320020117:320020670:-1 gene:gene-LSAT_5X177100 transcript:rna-gnl|WGS:NBSK|LSAT_5X177100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSCCLSSKDPVLMSPLPDPYNQLGRAVQLFFSSISLGEQLPCWTSCCLASRAMTNQHTTTLPINMISASSVVPTTKYVEALRQKYGFQPKDGVLIPLDED >cds-PLY78384.1 pep primary_assembly:Lsat_Salinas_v7:9:10153277:10157339:1 gene:gene-LSAT_9X7900 transcript:rna-gnl|WGS:NBSK|LSAT_9X7900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP63 [Source:Projected from Arabidopsis thaliana (AT3G63400) UniProtKB/Swiss-Prot;Acc:Q9LY75] MSKNKNPSVFLDLSIEGSPAERIVIELFADIVPRTAENFRALCTGEKGIGSVTGKPLHYKGIIFHRIIKGFMAQGGDFSKQNGTGGESIYGGKFADENFKLDHSGAGILSMANGGPNTNGSQFFILFKRQPHLDGKHVVFGKVTKGMETIKKIELLGTSDGKPSGVVKIVDCGEVIEDKKNNVVEPVKGKKKKTVKKDISSSDDSSDGRVKKRRGSSIREKLRKRRKYSPSDSDSESYSSESDSDSYSESESEADSDSSSSSAGGKRRKKRSTKKEVKQRKNKRKEKRRLPGKRSRRRSSGSSSETESGSSSSDDGKANRRVAKAKNRPSSTSVRKSSPDLSRKEPESKVEIKDQNSKKTLEEQELLKNGIVKEKETLSNKPSGKQSQDSDDSSRSRSGGSPVRRSGSPISKRVSKSPSQNEKGSRPSDNTERSRSPNGNGTPKRVRKGRGFTKEYSFARRYRTPSPDRSPRRSHQFGYPQPHHDRYPNYRRYSERSPPRRNRSPPRGRSPPRYRRRSRSQSPVERRADISEKLKSRLGPRVASPSPSHKAKRAASPSPGKHQPKKMVSVVSSRSRSRSRSRSIPPGAGGQRGLVSYGDISP >cds-PLY71077.1 pep primary_assembly:Lsat_Salinas_v7:2:162509210:162509910:-1 gene:gene-LSAT_2X85321 transcript:rna-gnl|WGS:NBSK|LSAT_2X85321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSKSYNTVSIYWIEVNPPCLCHDQPVSKLREAWKPNNPAHSFYNCAKSMISNDNCNFFQWLEPSLPKHYKDTLWDMKLRIDYLLVRNGQVVELQKKVEKHKLLRKDEKELAEARIQELLIEIESLKKMLKKVALIAQVVFGSWCIWEWSFG >cds-PLY66054.1 pep primary_assembly:Lsat_Salinas_v7:2:206992005:206992345:-1 gene:gene-LSAT_2X127940 transcript:rna-gnl|WGS:NBSK|LSAT_2X127940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMYASYGAPNHEPVTIKLIRHAINVDVTFLDTYDSYDPKTNEILLCKALKGGIKENVELAAMDNI >cds-PLY84149.1 pep primary_assembly:Lsat_Salinas_v7:6:190432465:190433993:-1 gene:gene-LSAT_6X115901 transcript:rna-gnl|WGS:NBSK|LSAT_6X115901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGKKHRILNDKNRVQREVLDHRRVPLFASTPHLPKDDSILNKRGGSGNDMKTVIRDHSYASVVKGSSSHEPEPNKVKKDDIINLYPGDFIAKKKRRACLVNRRRVKEAPGWTTSFIPDIQQQKIEDFDSNDEQDNVASNCSLGDNEANSLDQFHICDSLAKMNKDEVTNINPEDLNSQGVVREEEKCTNVIQGQNGDYVKISPVFLVVRISNVVSKSIHVPKLVASLATIPAGRNVFESDQVPASWSPTPSPIVESSAGGVVAPDLVPSASLAASTSMISAFPTRYVGFSSGFGYMEGVYL >cds-PLY92013.1 pep primary_assembly:Lsat_Salinas_v7:8:239368114:239369348:-1 gene:gene-LSAT_8X142640 transcript:rna-gnl|WGS:NBSK|LSAT_8X142640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRSNCVVLSNHPTADENSIEAFVIQYGSLSAKRYTYADINDMTNSFEVKLGQGGFGTVFKGKLSDGRLVAVKVLNSSKASGQEFINEVASIGRTSHVNIVTLLGFCFEHQKGALLYEFMPNGSLEKFIYGRVCPNTSKPIGVDKLYEIALGIARGLDYLHRGCNTRILHLDIKPHNILLDEDFCPKIADFGLAKLYSRKDSIVSMLEARGTIGYIAPEVVNRNFGGVSHKSDVYSYGMLILEIVGGRKNVDAGVGVGSGHTSEIYFPHWIYNRLKKDEILLDGLTTIEENDYARKMTIVGLWCIQTDPKQRPSINGVIEMLEGNMESLEIPPKPFFSSLPRSQATICNTSEDVSMDHCSIKEV >cds-PLY74458.1 pep primary_assembly:Lsat_Salinas_v7:7:33347686:33351483:1 gene:gene-LSAT_7X24321 transcript:rna-gnl|WGS:NBSK|LSAT_7X24321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQEMSAPVIDGNEAAAGHIISTTIGGKNGEPKQTVSYMAERVVGTGSFGTVFQAKCIESGETVAIKKVLQDKRYKNRELQLMRAMEHPNLVTLKHCFYSTTSKEELFLNLVMEYVPETISRVLKHYNDLRQNMPLVYVKLYTYQIFRGLAYMHTVAGVCHRDLKPQNVLVDPFTHQVKICDFGSAKMLVRGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCILAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYNDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCNALEAMAHPFFDEIRQPNAHLPNGRPLPPLFNFKQELSGASVELVNRLIPEHVRRQMNLQFPQPSG >cds-PLY72366.1 pep primary_assembly:Lsat_Salinas_v7:5:6110972:6114867:-1 gene:gene-LSAT_5X3561 transcript:rna-gnl|WGS:NBSK|LSAT_5X3561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASINRSPFNFISLLLWCGLVFGSGGLCESVPGVYIFGDSLVDVGNNNYLSLSLAKADFPHNGVDFPTGKATGRFSNGKNAADFLAEKVGLPFAPPYLSLVSKSKKLSSSNSTVTGVSFASGGAGIFNGTDELFKQSIPLTKQVGYYSLVHDQLVQQMGSNSAEAHLSKSLFLIVIGSNDLFGYFNKDSKVSKQYTPQQYIDLMASTLKGLIKNMYTLGARKFVVSGVGVIGCCPAQRKQNTTGDCKMEANYWSMKYNEGLKALLRGLKSESSDISYSYFDTYAAMNGLIQHPQTYGITEIKEACCGLGNLKADIPCIPISTYCSNRKNHLFWDLYHPTETASNIFANIIYSGSQQFTTPMNVEQLINM >cds-PLY75671.1 pep primary_assembly:Lsat_Salinas_v7:1:99914044:99914298:-1 gene:gene-LSAT_1X80960 transcript:rna-gnl|WGS:NBSK|LSAT_1X80960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRTEGVNGVDQPPDGSGDATIKREMKRGEFGSNPPSTAVAATLERRLLMVTVGSSVFNINVAAAMEVRTRQHPEDRPLSPVGL >cds-PLY96274.1 pep primary_assembly:Lsat_Salinas_v7:6:157164291:157165830:1 gene:gene-LSAT_6X96120 transcript:rna-gnl|WGS:NBSK|LSAT_6X96120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFFHIFSSKTKRRSRESSPEQNPLTSPLLYREKEHALRKFSFSELENATNNFNRSQWIRDGQLGRVYKGSIKPPGGQGAPLVVEITKLHNIHSMQGHEKWLAAVEFLGDVEHPNLVKLLGYCLVDNKKARQSQRLLVYEYMPNKSLEYHLFNRELPPIPWIKRLQILLGAAQGLAYLHEGLETQSSTPYDDYYAPEYMMTGHLTSKSDIWSFGNVLYEILSGRKFVDRNLPESEQKLIEWVNQFPGSKRFQMLMDPRLNNQYYYYYYYYSGTVARKLAKLAGSCLPRHPKGRPTMSHIVNVLQEVIREQEDEIKSR >cds-PLY89499.1 pep primary_assembly:Lsat_Salinas_v7:4:149648596:149650095:-1 gene:gene-LSAT_4X91921 transcript:rna-gnl|WGS:NBSK|LSAT_4X91921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKKFTKPHFTRKGVLFRDVSAPGSHASKKCQALGMARMLKKRILEVSVSFEAISFETKNKRDSERSKICIKDSFIGSTRTDTSIKSTFKETSIPDVTIDISNMDTHINSGFQSQINIPKHVVVVKYRVSNIESHREEVEIPYISVDLYNKDPNVNMDDGITHVESFVATSRHLPPPSYPITTYIPILSISLTFFGVMQEPITTLFLSQSIEKSHQDNEADDEDVMERHALFMEKVTETKMSLVEKVAEMKSLMSEKVNRMEEIYKLLHAKVDVIVGVVTRLVEFNMYTKQPEAKSENDAMVFENMEGFLPGVKELFMDDLSNKLTISPESLSFMVLTIELNIKTELAPIRNLILSLPANAPCVVHVSQGGDRGVGRARSSKDSDSEKGVVFGKVTST >cds-PLY69549.1 pep primary_assembly:Lsat_Salinas_v7:8:82914119:82914430:1 gene:gene-LSAT_8X59120 transcript:rna-gnl|WGS:NBSK|LSAT_8X59120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALTKGINFEINQRLVRIVENKDAPDVDYIPQMLHVKLAPVLSQVKHGAMSSSAPSKQGGYENEELVLNEPIVNVLKELIKIKSPYVVLKLIKGADAPKIQIG >cds-PLY71282.1 pep primary_assembly:Lsat_Salinas_v7:MU043991.1:28712:29356:1 gene:gene-LSAT_0X38180 transcript:rna-gnl|WGS:NBSK|LSAT_0X38180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWAPDIYEGSPTPATTFLSIAPKISISANISRLSIYGSYGATLQQIFFFCSIASMILGALAAMAQTKVKRLLAHSSIGHVGYIRTGFSCGTIEGIQSLLIGIFIYASMTIDAFTIVSALRQSRVKDIADLGALAKTNPISAITFSITMFSYAGIPPLAGFCSKFYLFFAALGCGAYFLAPVGVVTSVIGRWAAGRLPRVSQFGGPKAVLRGPDT >cds-PLY67774.1 pep primary_assembly:Lsat_Salinas_v7:9:167467581:167469002:-1 gene:gene-LSAT_9X103981 transcript:rna-gnl|WGS:NBSK|LSAT_9X103981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLDPSMEDLPVYITADILSRLPVKTIIHCKCVCKKWLDLVSDSYFSNLHLQRSPSSLMIHHNPEKEMAIYYRTGILKWLEVEDELHHHNLHHDPVMSLDLNLAPIFQESQIHPVGSVDGLLCLWQFGSENENTYICNPITREYMILPNQQYHREYFAIVMCCFGVGSITREYKVIRIFQGDIPTNPNSSSPPILLEGEIYTIGTGQWRNLSIIPYWLSNFNGIFLNGHAHWIVIDQDSPEKLCAFDFDKETFKLFPSPPVEIVEESRIHFYSLAVLKGCLCQSDTFDSQFTIWVMKEYGIKKSWQKEVIIKRSISPDLDWLMREPVYVIWGLNDGTILMVYDEEKLLEYSPLTRTIVDTEIFHPYFTGIAYRPSFLKLQNFRIERVRVFSGSIKLM >cds-PLY91070.1 pep primary_assembly:Lsat_Salinas_v7:MU039501.1:11318:12940:-1 gene:gene-LSAT_0X41940 transcript:rna-gnl|WGS:NBSK|LSAT_0X41940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKYSQIRSCVFLLVLCLSFSNSWANLSSLVDVTPGTENFISCIQPKSNNVTSFSQQLIITPVNASFIPIWQVAVQNTRFLKPSTPKPSIIVTPVDETLVQKALFCAKKHGYEMRIRSGGHDYEGLSYTADVPFVMLDFTNMRSIDVDVANRSAWVQPGAVLGELYYSISQKTDTLYFPAGVCPTVGVGGYMGGGGYGNLLRKYGTAADNVVDVRFMDVNGNILDRKSMGKDLFWAIRGGGASSFGIVLAWKLRLVPVPEKVTVFILNKTLEEGATKIFHKYQYVAPTIDRNLHIRTQVFAEYIGNTTKKTIRIMFEGIYQGTRDTLLPLLDEKFPELGVRREICEEIRSIQSTVVFWGLPSSTPIEILTNRSAIAKLNNKSKSDYVRTPIPIRGLRKIWRKLMQNDGSALLMINPFGGRMADYSESAIPYPHRAGVLLQILKTVNFNGQTSDTTPTSLKRIMWLRSLDELLTPYVSKNPREAYSNYNDLDLGVGSSNYEEASLWGERYWKRDNFQKLIRIKAKVDPDNFFRRPQSIPVF >cds-PLY82907.1 pep primary_assembly:Lsat_Salinas_v7:6:93831953:93833440:1 gene:gene-LSAT_6X62380 transcript:rna-gnl|WGS:NBSK|LSAT_6X62380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVIHDSKELHIIFQIHAFLLKTSLQSDNFIITKLLRNFSLNSSNNLLYARSLFDEMPCPDTFLWNTMIRAYLNSENPDECLSLFHQLRRQDHHFIDSFSLSLVVQACGRSGFLQNGQTIHTQVLKLGFGNDLFVQTGLTEMYVKFGWIEFARKVFGEMKDPDLVSYNVMLAEYVRIGEISLARQLFDKMSQRDLVSWNIMIHGYASLPHGGKYLVSWSKQSHEALNLFHDMQLANFLPDKITIVSVLSACGDLCALTTGMKVHKYIIQNRIEIDIKLATSLVNMYAKCGDINTALKVFNGIKKKDVFLWSAMIMGFSNHGYGDLALDHFNNMINEGVKPNGVTFIGVLSACSHIGLVDKGWEYFNSMSDVYGLTQEMEHYGCMVDILSRAGHLDKAKDLIMNMPFEPDVVVWRGLLGGCKIHKNVEIGEDVNRKIIALEGYDDGNYVLLSDIYCEGKRWEEAVNVRKKMEEVRIQKSPGMSSIEVDTTPNQDLD >cds-PLY90536.1 pep primary_assembly:Lsat_Salinas_v7:1:212981162:212984260:1 gene:gene-LSAT_1X130040 transcript:rna-gnl|WGS:NBSK|LSAT_1X130040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIIHSFQSYLNLRSHTHGHSTHHRLTTISRCKPKLNSISRIACSSSSSSSSHSNALLFEAAKHTVDTYVESGMVVGLGSGAASSLAIHYLGQKLSVGQLKDVVGIPTSVGSASDAAKAGVPLHQYQHSLQIDFTFNDADIMEEVSLNAVIGRQRLEGDESIIEEKKILDVTKNLVLMVTEKQYKSGVEGSIPVLVNSVGWMETAEEIDDLFVEDAEVWRRACIGRGHAGPTGGDFPVVTREGHNVLDVIFTSPIPNLAEIAKLLDNIDGVAGHGIITKTPCRAVIAAQSGLCIIDNATTTVNNY >cds-PLY90035.1 pep primary_assembly:Lsat_Salinas_v7:5:186189181:186196936:-1 gene:gene-LSAT_5X82881 transcript:rna-gnl|WGS:NBSK|LSAT_5X82881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTIIILFSLFIIGESEIYIVTIEGEPVISYTGGVSGFEATAVEADETLDVTSDLVSSYSLHLESKHDSLLETLFDEGTYKKLYSYKHLINGFAVDLSPEQAETLRGSLGVKSVDKDWKVKKLTTHTPQFLGLPTGVWPMGGGFDRAGEDIVIGFIDSGVFPHHPSFRNPDSEPYGPIPKFRGKCEVDSDTKKSFCNGKIVGAQHFAKAAIAAGAFNPEVDFASPLDGDGHGSHTAAIAAGNNGIPVRVHGYEFGKASGMAPRARIAVYKALYRLFGGFVADVVAAIDQAVYDGVDILNLSVGPNSPPATTRTTFLNPFDATLLAAVKAGVFVAQAAGNGGPYAKSLVSYSPWIMSVAAAVDDRRYKNHLTLGNGKILAGIGLSPATSPNQKYTLVAANDVVLDSSVTKFSPTDCQRPELLNKNMVKGNILLCGYSFNFVIGSSSVTKVAQTAKTLGAIGFVLAVENVSPGTKFDPMAIGIPGILIIDVDKSMELIEYYKASTLRDWSGRVKSFKAKGMIGNGLEPILHKSAPIVALFSARGPNIRDYSYRDADLLKPDILAPGSLIWASWSPNGTDDVNYIGENFAMVSGTSMAAPHIAGIAALVKQKHPHWSPAAIKSALMTSANILDRGQKPILSEQYSESETLIFVPATPFDYGSGHVNPRAALDPGLVFDAGYQDYLGFLCTTPGINYHELLNYTHHPCNYTLGHPYNLNSPSITISHLVRTQTVTRIVTNVNEKETYTITTRMGPAIAIDSSPRSMTIGPGASRRFTVTLTVRSVTGEYSFGEVMLKGNRGHKVRVPVVAMGYNR >cds-PLY62873.1 pep primary_assembly:Lsat_Salinas_v7:9:131560074:131561263:-1 gene:gene-LSAT_9X85561 transcript:rna-gnl|WGS:NBSK|LSAT_9X85561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQWWFQRCNFAADATTVLTPWANDIVKENKNYFSNWDVFMISSNICEVKTGAQNVIVDFQQKTCTCRHWQLGGIPCGHVIRALTVNNYQDCSIFALNACLTETLRKTYVESVKPLPKPSEWEIPDDLMIVKPPLMDKRQPGRPKNTDRILSQGEGSN >cds-PLY70293.1 pep primary_assembly:Lsat_Salinas_v7:2:91061259:91062796:1 gene:gene-LSAT_2X39521 transcript:rna-gnl|WGS:NBSK|LSAT_2X39521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLENSQVSAPPATVGDRILPLTFFDTIWLSRYPVHQVFFYDSIHSKQYFLETIVPNLKNSLSITLQHFFPFAGNIILFPNTVEKKPEIRHVEGDSVAFTIAECTLDFNDLIGNHTRKCDMFYPLVPILGHAKQGSDYLIIPTFSAQVTLFPNSGFSIGITNHHSLADASTRHHFVKAWSSIAKHGTDEFFLASGSLPFYERVIQYPSSLDEVSLNIPGTRAINMEYQPPQLVSPTDKVRVTFVLTQARINGLKNWLSTQLPKQEYVSSFSVACAYMWHCIAKSYVHIGERKGEDDVERILFGVNWRSRLNPPVPETYFGNCIGASFTAKIKTTVLAGDNGFLTAVELIRKTLSETLKKKNGVIEDSEKLIKLLFTPVRGFNVSGSPKIKFYDVDFGWGKPTKHETISIDYFSMISVNASKESDADIEIGVSLPAKQMDALLSIFSEELDATFH >cds-PLY82413.1 pep primary_assembly:Lsat_Salinas_v7:2:184808364:184810808:1 gene:gene-LSAT_2X108120 transcript:rna-gnl|WGS:NBSK|LSAT_2X108120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFLMVFLRLADWAVYQLLANSCYRAAMKVKNHGFFLLRNHPPQKSPHHQFPLFPSFTSCCFKKDQQQTIVCDIQNTILLNSKSFFPYFMLVAFEGGSILRAFILLLSYPLLFVLDHELGLRLMIFITFCGLKLKDMENAGRAVLPKFYLENLNLQVHEFFVSARRFESRVMIFTRVPRVMVEGFCKEYLSVDDVRGTELHSVGKYFSGFVSSSGVLVKHKAVKELFQNEKKPDIGIGSSGLHDQLFISQCKEAYVVTKEDGKKSARTIMPREKYPKPLVFHDGRLAFFPTPLATLCMFLWFPLGILLAIVRLCAGIYLPYHIARIIGGSTGVNIKIEGCDYSWEKKNKRNGVLFVCTHRTLLDPVFLTMTIGKPLTAVTYSLSKMSEMISPIKTVRLTRDRKIDGETMHKLLSEGDLVVCPEGTTCREPYLLRFSSLFAELTDEIVPVAMNTHVTMFYGTTASGLKCLDPIFFLMNPRPTYHVQVLGKLAKELTCGAGGKSSHEVANYIQKKLAGALGFECTNLTRRDKYLMLAGNQGIVEDHNSKSTKCPSS >cds-PLY65911.1 pep primary_assembly:Lsat_Salinas_v7:4:140028622:140031802:-1 gene:gene-LSAT_4X85941 transcript:rna-gnl|WGS:NBSK|LSAT_4X85941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPIWILSSLIVVLEPTEAIRTYPPLSSIADPVLPTEKKPPFSRIPTDNEAHSPGVSEIKVVHHEDLNTTILIALIIASTLLAAILLFLFCFWIFKQKNTEPLNINKPPKGQDSSKGLSLGPILDKFTPTRITTGRKGSATVIDYEWLISATNDFHEDHIVRVDSSGHIYKARFNDHFVAAVKRLHGRGPDTQRGFENAVDWLGKLKHQNIINLLGYCIHDENRFLVYEMMHQGSLESQLHGPSHGSALTWHRRMKVALDIARGLEYLHERCNPPVIHRDLKSSNILLDSNFNAKISDFGLATTEFHVKNKVKLSGASGYVAPEYLSDGKLTDKSDVYAFGVILLELLIGKRPVEKMSPSLFQSIVTWAVPQLTDRSKLPNIVDPVIRDTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSFIPLVPVELGGSLRA >cds-PLY97147.1 pep primary_assembly:Lsat_Salinas_v7:8:131366642:131374476:1 gene:gene-LSAT_8X89761 transcript:rna-gnl|WGS:NBSK|LSAT_8X89761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCIGRLQLGHRSMAQGISSDKIVESSETPVSLTTKEPSQPLTQVIANSTKKTAEIKSATATPDTQLLSLKSITPVPDMISYQDHELQNSKKRKTTYTSSDTLLKKTRSSTNKMNA >cds-PLY86662.1 pep primary_assembly:Lsat_Salinas_v7:4:278887193:278888025:-1 gene:gene-LSAT_4X142960 transcript:rna-gnl|WGS:NBSK|LSAT_4X142960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTVEEKPNVTYNDVGGSKEEIEKMRDVVELPMLHPEKFVKLGIDPPKGVLYYGPPGTGKTHLARAVANRTNTCFIRVIGSELVQKYVGEGARMVRELFQVYIYVDAIGGARFDDGTGGDNEVQRTMLEILNQLDGFDARGNIKVLMATNRHDTLDPTLLRPGRLDRKVEFGLPDMESRYTDYEL >cds-PLY98372.1 pep primary_assembly:Lsat_Salinas_v7:5:315342594:315350449:-1 gene:gene-LSAT_5X173001 transcript:rna-gnl|WGS:NBSK|LSAT_5X173001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVGYLRRWWCAVVLAVLFAACLAAGNTDFTLDMAGRNKHTWTTEEDAKLIEALLELLVSGKYGGTDNGFKPGYLKAVQQLLDIHRGAACFCDKQFPQFDNLCKIFERDKATGHGATDLGEDVTKETQRNSHVDVEGLEEIVEETQQTTCVNSKRKRPPTDDTENSYKEATKEMKETFKEVGEKLNETIYNI >cds-PLY87630.1 pep primary_assembly:Lsat_Salinas_v7:MU040392.1:615125:618776:1 gene:gene-LSAT_0X11721 transcript:rna-gnl|WGS:NBSK|LSAT_0X11721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVNIINDGDRSPRVVLSEPTGSTAEVLLYGGQVVSWKNERREELLFMSSKAVWKPPKSIRGGIPICFPQVANFGALEQHGFARNRLWSIDEDPSPLPPPNNQSMVDLVLKSTEEDLKTWPHRFELRLRVIVGVGKLTLIPRVRNVDSKAFSFSIALHNYFSLSDVSEVRVEGLETLDYFDNLLMRERYTEQADAITFDGDIDRVYLSTPNKIAIIDHERKRTIVLRKEGMVDAVVWNPWDKKSKAIQDLGDEDYKTMLCLDAAAIENPISLKLNQEWKGRQELSIVSSSYFSGQLDPRKVLGSR >cds-PLY73981.1 pep primary_assembly:Lsat_Salinas_v7:8:62522254:62526026:1 gene:gene-LSAT_8X44260 transcript:rna-gnl|WGS:NBSK|LSAT_8X44260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLHRQGYKASSSTNSGDGYVGLFIRMLGLDNDLSDREQAVDALWKYSLGGKQCVDTIMKSSGSVFLTVNLLNSESDVACEAAAGLLRMISSINIYRDFVAESGAIQEITSLLRRPSLTSEIKEQSLCVLANLSVDEKLRLQIANSDLLPLLIKFLDDEDMKVIEASGGVLANLALSVANHKVMVETGVIPPLAKFLRTDIEASKIIRKEAQSALLEIGKDDYYKILLIEEGLVLVPLVGPGAYKSFRPSLHSWPSLPDGSELNVKQTPKTPSRFGASELLLGLHVEEDEQNSKLEEAKKNAIVGRTQQQFLARIGAIETETENGNSKFTILPWVDGVARMVLILELEDESAIVKAADAIADVAISEHMRSSFMEAGAVKNLIRIIDHESESVRSSVVRALERLSISNDVCKRIEAEGVLEHLIALLKKSDANGDLTYMILNILARILDPSKQMKSKFYDAPVNGSKKGWNEAKLSTSWQTIQVEDLSDSTFLSRLIEILKSSSFVLQTKAASILEFLTTFESCRDKIVLFDIESALNSIFQHKFFNETESEIEFEEPELQTLETEEAGVAVSTASRLLTRLLDSQHFKKTANISKFTKSLRKILTSKIPLQNKDWVAACLIKLSSQTPIPIPNPINTDIIIHETIPRLIQQIKDSDSNSNSDSDSDSARIQEDAVLELNRIVSEGVVEYNRAVANKGGIFALVKAVEEGNDRVVEGGLAVLYSLGMEAENHXMVAAGVVPVLRRIVICERVEWVKALHLLRMLPT >cds-PLY88355.1 pep primary_assembly:Lsat_Salinas_v7:9:17957552:17962488:-1 gene:gene-LSAT_9X15441 transcript:rna-gnl|WGS:NBSK|LSAT_9X15441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRCIRARELILRTTTNKMMMGSSHSCSSSSISRSLLPQTFISGSQSFSNRVLVRQLAIQPPNSWGGSRNSTRFFRQLTAFVSDFSSIPPKKPGSVSNGVASLTTSSGEQGTKQKKEDDEKNLVTKGDEISNTKILSTLAKILWMKDNLEFKLRVLTALGFLVGAKVMNVQVPFLFKLAVDWLTTATGNPTSIAEFAASNPTALAVFVSPAAVLIGYGIARTGASAFNELRTAVFSKVALRTIRSVSRKVFAHLHELDLRYHLSRETGALNRIIDRGSRAINFILSAMVFNVVPTVLEIVMVGGILAYKFGSVFALITSLSVAAYVAFTLTITQWRTKFRKIMNKADNDASTRAIDSLINYETVKYFNNEQFEADEYDKYLKRYEDAALKTQRSLAILNFGQSLVFSTALSAAMVLTSNGILNGQMTVGDLVMVNGLLFQLSLPLNFLGSVYRETVQSLVDMKSMFQLLEEKAEVGNADDAKPLKLGGGSIEFEDVHFSYLPERKILDGISFVVPSGKSVAIVGTSGSGKSTVLRMIFRFFDTNSGTVRVDGQDVRKVTLESLRKYIGVVPQDTVLFNDTIFHNIHYGRLSATPEEVYDAARKAAIHDTIMNFPQKYSTLVGERGLKLSGGEKQRVALARAFLKAPPILLCDEATSALDSTTEAEILTALRSLANNRTAVFVAHRLTTAMQCDQIIVLENGRVVEQGAHEALLSNGGRYSQLWSQQNNTVDALDAAIPSN >cds-PLY92555.1 pep primary_assembly:Lsat_Salinas_v7:7:162025484:162026747:-1 gene:gene-LSAT_7X94181 transcript:rna-gnl|WGS:NBSK|LSAT_7X94181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTNSYLLFALIVIVLLSSTFADDISKQETTPQTKEAADAHKVEAKEDGEKYGVNYGGGGGNNGGGGSGWGGGCHHGCCYQGHGGSCNRCCTSPEEAKAFAENQAKSKKAVDAHKVEAKGDDGQYGINYGGGGGNYGGGGGGGGGGSGWGGGCRHGCCQGYGGRCNRCCTSPEEAKASLLNKEANGDEGKYGVNYGGGGGNYGGGGGNYGGGGSGWGGGCRHGCCQGYGGRCNRCCTSPEEAKAFYVNIEANGDEGKYGVNYGGGGGNYGGGGSGWGGGCHHGCCNQGHGGSCNRCCSSPEESKAFKESQSRP >cds-PLY83148.1 pep primary_assembly:Lsat_Salinas_v7:3:94638815:94643283:-1 gene:gene-LSAT_3X70620 transcript:rna-gnl|WGS:NBSK|LSAT_3X70620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDNESCGSRAVESPKKSRQRRQKLEVYNDVLNRLYDMNHEDTEQPDFEDQLWIHFNRLPPRYALDVNVERAEDVITHKRVLQMAENPANRPAFEVRLVQVHPASDGNTPDLDNMEPSMKEDAQSPSPYSSRNGVHPPSFGSAQNLEGLALKATRHQETYADNDVNSTSVFSRPMHEITFSTIDRPKLLSQLTSLLSEAGLNIQEAHAFSTADGFSLDVFVVDGWPHEETTQLRTAIAREIMKAKGESYPEKPSPSTISKSTPSPSNSIPSNDHVKIPTDGTDVWEIDASLLKFENKVASGTFGDLYKGTYCSQEVAIKVLKPERIDADMLREFSQEVFIMRKIRHKNVVQFIGACTEPTKLCIVTEFMARGSIYNFLHKQSGSFKLPLLLKISIDISKGMSYLHQNNIIHRDLKTANLLMDEHEVVKVADFGVARVQTQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGEVPYSYLTPLQAAVGVVQQGLRPTIPKETHPKLKELLESCWQQNPSLRPNFTQILDKLKQLAKEVGNAGEGQKGKSNSGFFSGFKKGAPLK >cds-PLY74197.1 pep primary_assembly:Lsat_Salinas_v7:9:23995009:23997699:1 gene:gene-LSAT_9X21460 transcript:rna-gnl|WGS:NBSK|LSAT_9X21460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADHLWLLILLLSLCSISVLSNVFVSIDCGASDSFTDENSIVWKGDVDLISNGVTHVVQSNYSVSHVMDTLRVFTTRKKNCYSIEAEEGGKVLVRASFNYGNYDQKSNPPIFDLHFDGNFWITVNTSQVKIYEAIYVVKRKAISVCVAQTRPNNFPFISALEVRSVDSQVNRYVDTNYALFMNARVAYATNETIRFPADSYDRIWLPSPGGNGLLNMKSDASVINVGVPNNPPQEVLKHAIMVPNTSQMITLGLPTIDYPIRYPLYINWYFSEIQEVNSTNIRSFRVLENGSPFSLAIIPPFGNVTVYFISNITVTPNTNFSLDPIGATLPPLINAAEVYSISDALTNGTNDNDVEGLVSLQKAFGVLQEWGGDPCLPVPYSWEWINCNDDATPRVTSLNLSGFNLSGPLSDFSKMDALEMIDFHNNSLTGPIPDFIGNLPNLKQLYLADNQFSGFVPRSLTINSNLNLSLTENPLLCTNDKSCPGNNKNKKKTSKLPVILGITIPVFFIVAAIMGFLVIRHNRRIPHTGGLLIHQFVYNGPFVAS >cds-PLY78266.1 pep primary_assembly:Lsat_Salinas_v7:1:129928334:129930544:1 gene:gene-LSAT_1X96821 transcript:rna-gnl|WGS:NBSK|LSAT_1X96821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANSSPMFLLRTSFFHAFTITTTKPFSNSIISPFKPHQFHIKSTKMERSEITAEIEVGKSGKKKIFVSGATGKTGKRIVEQLLAKGFAVKAGVRDAEKAKTIFPNLNQDLQIVKADVTEGSEKLAEAIGDDSDAVVCATGFQYSWDLLAPWKVDYYGTVNLVEACRKLGVTKFVLVSSILVNGAAMGQLLNPAYVFLNAFGLVLVAKLQAEQYIRKSGINYTIIRPGGLKNDPPNGNVVMEPEDTLSEGSISRDQVAEVAVEALLQPQSSYKVVEIVARTEAPKKSFEELFSSIKER >cds-PLY85818.1 pep primary_assembly:Lsat_Salinas_v7:8:173582522:173586257:-1 gene:gene-LSAT_8X114360 transcript:rna-gnl|WGS:NBSK|LSAT_8X114360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYSNIAGKEIPMLAVVDDGSGMSHDEIMRMVSFGRKQPDTDDPNYIGRYGVGFKTGTMRLGRDALVLTQTTNSRSIAFLSQSLNEGKDIIEIPIITYSRKGQYMELDTNIQTEALSKANLNSIKEFSPFNEYFIGQKAGLFKRNGTGTQIYIWNLDEWGSTYSLEWVDGMSGGSSFHQGDIFLRSRRPRSRLGQMTREVPLDYSLRSYLEVIFLDPRMKINVQGSLVKSRPLARFLHKTSIENGSVAGKPVELILGQSQLDLEQGNCGIFLYWHGRLIEAYKRVGSMIHNGEKSHGIIGVIDVTSVMDDGSGRVWVHNNKQGFVDCEPYALLEDWLSKKADDYLDNIIDKVHVIKSGPRHKPDHEWVQCNKCRKWRILDADFDSKTLPQEWFCYMKPFNGKCEMPEQKLEQGVITISSQRSGYNCSESSSQKNNKAKKSPNKGKGSVAMVSNPEDALPNWPMKKVKREW >cds-PLY63107.1 pep primary_assembly:Lsat_Salinas_v7:8:75243877:75244561:-1 gene:gene-LSAT_8X54161 transcript:rna-gnl|WGS:NBSK|LSAT_8X54161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIGELDDFLKERANKAVIEAMRSYEPDPEEVTKTFAIEVTQSLQGEKPSRKQLRDPSKEPLQPNKGPSNEPLQPNKEPLQPNKEPSIGT >cds-PLY71071.1 pep primary_assembly:Lsat_Salinas_v7:3:163765520:163767804:-1 gene:gene-LSAT_3X100200 transcript:rna-gnl|WGS:NBSK|LSAT_3X100200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKTKLFGKKSSKSNLSKDATLEKKTSITSKATSKDLDDDSMVISSPVPLVMRVSEEHTELEKTSSVNLTNSTSEVARSTTVLNTENEDESIRLEQAAIKAQAAFRGYLARRTFLSLKGIILLQAHIRGHLVRKQFQLKVRKPNLEGNLVISTETEKPKPTLRKVSTQQPESIPEQSHSELEKVKQSLRKISVSMAAASGQGPPPPPPEPESEPKPEPEPEQGPADPEPETEPKPKPEPEPEPELPVNLESNGKEHLKTKRRKSLPAKQEHVECVLQNTPVLPSYMAATESAKAKLRAQAVAEDGGENGFIRRHSLPSSTGKLSLQSPRVQKPLQANGKGWIKSNKAQICAKDDKMMQTGWKR >cds-PLY95552.1 pep primary_assembly:Lsat_Salinas_v7:6:174679798:174691805:-1 gene:gene-LSAT_6X107380 transcript:rna-gnl|WGS:NBSK|LSAT_6X107380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVRSSLPSRLRQLLSGEGSIGPNVKLDSETPPKVKAFIDKVILCPLQDIAIPLSGFSWEYNKGNFHHWRPLFLHFDTYFKTYLSARKDLLLTDNIIEDDIPFPKQSVLQILRVMRIILENCHNKGSFSGLEHFKLLLASTDPEVLIATLETLSTLVKINPSKLHGNGKLIGCGSINSCLLSLAQGWGSKEEGLGLYSCVVLNERTQDNSLSLFPSDLPSDNGNSQNHVGSTLYFELHGTDTIHIPDLHMWKEDDLSIMKLLVEKYNVPVDHRFLLLTRVRYAHAFRSSRICRMYSKICLLAFNVLVQSSDSHDELMSFFANEPEYTNELIRLVKSEETISPTIRTLAMHALGSQLAAYSSSHERARILNGSNIAGGNRVILLNVLQRAISSLNNSGDPSSITFIEALLQFYLLQVISTSSPGSVIRGSGMVPTFLPLLEDMDPLHMHLVCLSVKTLQKLMDYSNSAVTLFRDLGGVDLLTIRLQTEVDRVIHSSHSTTGDDSSMSIGECSPTSEDLVSSQKRLIRVLLKALGCATYAPANTHQSENDVSLPATLVMIFQNVDKFGGDIYSSTVTVMCELIHKDPTCYPALDEAGLPDAFLSTVTAGILPSSKSLTCVPNGLAAICLNAKGLEAVKETSALRFLVDVFTLKKYVLPMSDGIVPLANAFEELMRHVTSLKPTGVDLIIEIINKIATIEDSKSKGTGKFGEVNGSDVMDVDTEEKEKGVSDEQFIQLCIFHVMVLVHRTMENAENCRLFVELNGIEALLKLLLRPSITQSSEGMSIALHSTMVFKSFTQHHSASLARAFCSSLRDYLKTTLAGFKVVSGSFLLDPESTPDSRVFPSLFLVEFLLSLAASKDNKWVTALLQEFGNGSKDVLEDIGRVHREILWQIVLLEDAKIEIKDENIDGEESQPLETDEQRFNSFRQFLDPLMRRQMSGLSSESQFFDLINLYRDLTHSSGAGQRSHPTSQRSHPAGSSDMMRSLSSHITNLFQELGKAMLLPSRRRDDTVTVTPSSKSVASMIASISLDHLKFEGHVKSVASMSTKSRYLGKVVEFIDGVLLDKPDSCNPVLLNCLYGLGVVQSVLTTFKATTELIFRAPMETDEGVLKQTDTEETVNGLLANYGKLMDHLVTSSFILSSSTKHLLIQPLVNGDILFPKDPEVFVKVLQSMILKAVLPLWTHPQFTDCNDDFVSTVISVIRHVFSGVEVKNSASRPSVPPNETTISMIVEMGFPRSRAEEALRQVGSNSVELATEWLFSHPEIVQEDDELAQALAMSLGNSSSVAVDTNQQIEEETVHLPAVDDLLSTCKKLLETKDSLAFSVRDLLLMICSHEDGQYKSNVITFVVEQVKLCSGNDVMLSSLFHVLALLLNKDEDLREVASKSGLVKVASDLLSKWNSGVHENETLQVPKWVTAAFLSVDRLAQVDEKMNTDISELLKKDDAGNAIDIEEQKRLVEISCGYLKNQLPSETIQAVLQLCSTLTRTHSVAVSFLNAGGLPLLLSLPSGSLFVGFDNTAGSIIRHILEDPQTLQQAMESEIKQSVVTAASRQSNGRLTPRNFLMNLTSVISRDPVIFMQAAQSVCQIEMVGERPYVILLKDRDKDKSKEKEKEKEKEKTPVKIKVHRKPPQSFVNVIELLLASVITFTPPVKDEDSSSLTDMDIDVALNKGKGKAVASTSENNSQEYFASMAKAVFILKLLTEILLMYSPSVHVLLRRDNEVSSAQKGGVFHHILHQFLPYLKNNKKEKKTDVDWRHKLAVKASQFLVASCVRSVEARKRIFVEINNVFIDFYNSSEVPRPPGQNIQAFVDLLNDVLAARSPTGSSISGEASVTFIDVGLVKSLTQTLKVMDLDHVDSLKVAPLVVKVLEVVTKEHVHAAEGNSGKGDNATKPPDHTEHEQTENENIVSSGPTERIETYRGSEAVIDDMEHDQDIDGSFAPPIEDDYMHETSENNNIRALENGFDSVGIRFEIQDDNQESNEDDDEDMSGDEGDEVDDDDDEEEEDGEEDEDEDENNDLEEDEEVHHLPQLDTDQDEHEHEVDEDDFDEDMIEEEEEEDEDDDDDDEDDDDDGGVILGLGEEMNGMNVLDQIEVFGRDHGFSNDALQVMPVEVFGSRRQGRTTSIYNLLGRTRDTVGTSQHPLLLEPSSSHDGLTDRNPESTSSRLESVFRSLRNGRHGHSHRLSMWAYNQQSGGTNSSVIPSGLEDLLVSQLSPPAPEKPPEVETNEPEPTTDNNSVGDSIPPEGTGTENNESQRDICPSVEGVSQESSESGATLGESLQSLNVEIGSDDGGERQPRTNGNMTSLDSVTEVSENPSQETEQQDQDARIDPAFLDALPDGLRAEVLSGRQGPVAQPVNTEPQNFNGNDNEIDPEFLAALPPDIRAEVLAQQQAQGAQRSHGLEGQPEEMDTVSIIATFPSEIREEANMLRERFAGRFNRTLFGMYPRSRRGESSRRGEIAGSNGVVTRRSTGSKPIETDGAPLVDTGDLKAMIRLLRVVQPIYKPQLQRLLLNLCAHADTRSDVVKILMDFLVLDTRKPNSISNASEPSFRLYSCQSHLMYSRPQSFDGVPPLVSRRVLETLTYLAKNHKFVAKLLLQFRILPEASVGSQTLDKARGKAVMIVQDDETEGFLSITLLLSLLKQPLYLRSIAHLEQLLNLLDVIIDNAESKKESDPKSSKDDDDASKPSSSGANQEKESHDILLNLPQAELRLLCSLLARESLSDNVYTLVADILKKLVAIAPHHSHLFITELAGSMKNLTSLAINELHLFSEIEKALINNASSTSDGTAILRVIQALSSLVSSLNQEKAHTIVKKEQASALSLVNDINTALEPLWMELSACISKIETYTDTTPDESIPLTSKPSGTLPPGTQNILPYIESFFVTCEKLHFGQPEAGDDVSTSGKLDEKNVAFMKFSEKHRKLLNAFIRQNPGLLEKSFSVILRVPRFIDFDNKRAHFRSKIKHQNDHHHHHHSSLRISVRRAYILEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFIGRVVGKAVFDGQLLDVHFTRSFYKHMLGVKVTYHDIEAIDPGYFKNLKWMLENNINDILDLTFSIDADEEKLILCEKTEVTDYELIPGGRNIRVTDENKHEYVDLIAEHRLTTAIRPQINAFLEGFNELVPRDFISIFHDKELELLISGLPDIDLDDMRGNTEYSGYSVASPVIQWFWEVAQALSKEDKARLLQFVTGTSKVPLEGFSGLQGISGSQKFQIHKAYGSTDRLPSAHTCFNQLDLPEYPSKQHLEERLLLAIHEANEGFGFG >cds-PLY69578.1 pep primary_assembly:Lsat_Salinas_v7:1:13034985:13041631:1 gene:gene-LSAT_1X10941 transcript:rna-gnl|WGS:NBSK|LSAT_1X10941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHSWRPPPLPLVPGNNANICPICSTSHFPFCPPPPFIENPRFHYSQPQNDYYHQRPRFDHPHPFPNHQSSLSYNHDYNSINGHVGRRPTWYGSNPSLDMSPYNAVVDPPMVNGNYSNHHMGGVGSAGYGYDGYDNGGIKRMKIEPIDNNKGPRGSFMGGEIADTSKSSSDNERILKLIHDHGNAVNGGLPKVGANSTSESNGENGNIYAHEKFGETREVRDDMGFKRKREMSDFPSSREDHGVVYAKTNVHFDQRDEQTQDHHRGAAPYHSVLQRSELNQKLHDPATGTLQENHHNLQRTTQIKLPQPTYVNTPSSFGTNFGPVEVPYAYRGQPPLPVSPPPPLPIDPPRNHFFEPFTSPPVQKPSLFPIGVSSSADSLSSSSSSRSAIPEHQSSTQVYYANNAGHHPSTLSSVEHISSEKPNIIDASRIFKQPHRVSRPDHLVIILRGLPGSGKSYLAKVLRDIEVENGGEAPRIHSMDEYFMTEVEKAEDSEFSKSSGSLRGKRQVMKTVMEYCYEPEMEEAYRASMLKAFKKTLDEGVYSFIIVDDRNLRVADFAQFWATAKRSGYEVYIVEASYKDPAGCAARNVHNFSLDDIQKMATQWEEAPSLYLKMDVKCLLHGDGFDEGGIQEVDMDMEDEDDDAIDGKSPHENMKEQVDDLTPHGIDDDVKKKKQHNDTPEVKHLSRSKWSNDMDGNESHEEEATQNGGALSGLIQAYGYSKEGKSVRWGDQGGNVGFSISATRKGKATTASLLIGPGSGYNSVGYCCCSYGSCWRKSNPLSEEDCIKGGVESTSQNVFQERIRAECESFKAVFEKRRHRIGGFNFNVDEE >cds-PLY64532.1 pep primary_assembly:Lsat_Salinas_v7:6:35375900:35377774:-1 gene:gene-LSAT_6X24520 transcript:rna-gnl|WGS:NBSK|LSAT_6X24520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKATVDYEKIVRDTCREIGFTSADVGLDADNCNVLVNIEQQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNKTCPWLRPDGKTQVTVEYHNDNGAMVPTRVHTVLISTQHDETVTNDQIAADLKEHVIKPVIPSQYLDDNTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVAEPLSVFVDTYKTGNIPDKDILVLIKENFDFRPGMMAINLDLKRGGNFRYQKTAAYGHFGREDPDFTWETTKILKPKA >cds-PLY79942.1 pep primary_assembly:Lsat_Salinas_v7:3:111791811:111792989:-1 gene:gene-LSAT_3X78860 transcript:rna-gnl|WGS:NBSK|LSAT_3X78860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFVQEARENHVKKKVEEALRSKMKAKALKECDYYTAKYAECASGRTFSVVWACRKQAKELNNCLHEFTNDNVLEKMKKEYSLQEDAKRPIGV >cds-PLY94041.1 pep primary_assembly:Lsat_Salinas_v7:7:99262796:99263146:-1 gene:gene-LSAT_7X66980 transcript:rna-gnl|WGS:NBSK|LSAT_7X66980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVARPLGRELTVEEWESFQFQFRLTHEHGFQIPLPNASLCSPPKGKLSILIALFEAGLCLPTTDFFNLIIREYGFFVRGLTPIAINNVMGFELLCRALSYQPIVPVFNHFFNAST >cds-PLY96596.1 pep primary_assembly:Lsat_Salinas_v7:4:84421965:84423284:1 gene:gene-LSAT_4X54841 transcript:rna-gnl|WGS:NBSK|LSAT_4X54841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVGFTSATGVLLQTFYIVGWSFQMNGKAQEIDRSKIPPLPLKKKSTKKKKMALEIGLSLGGLLVLSSISILAIVLFQRRKRKFELEEALESWEVQYGPSRFSYKDLFIATKGFKESELLGKGGFGQVYKGTLLDLGAQVAVKKIWHTSSQGMKEFVAEIATIGRLRHPNLVRLLGYCRRKGELFLVYDYMSNTSLDKFIFNSNPKSTLTWKQRVKIIIDVAKALAYLHEWCEVIIHRDIKASNVLLDAELNGKLGDFGLARFGNNNGTDAKTTHLAGTLGYIAPELARKGKATTATDTFAFGTFCLEVVCGRRPVELQGREEAVILVDYVWDCWYKEQLSEVVDPKLRDDYETEEMELVLKVGLLCSHVVSVLRPSMSQVLKFLLGKEPLPPDFNGVLKIRDDNWSQLGHASSSSYFSQIHYSGTLEPIIHSLMFSGR >cds-PLY92325.1 pep primary_assembly:Lsat_Salinas_v7:9:178280384:178282878:-1 gene:gene-LSAT_9X110060 transcript:rna-gnl|WGS:NBSK|LSAT_9X110060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKICKDKDAKPRVWESAIPLKGFTAFSGLLPLLAVGTIPLLKVDKICLQISTTTLTIDNSIAFSTPFSTFTSTASANLEVKSPPRIQMLPLMVAAISVLGPDKVSPHLKTHFTELKGAQVKTVSFLTYLLKSFADYIRPN >cds-PLY99870.1 pep primary_assembly:Lsat_Salinas_v7:4:45078034:45078890:1 gene:gene-LSAT_4X32020 transcript:rna-gnl|WGS:NBSK|LSAT_4X32020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERKSEDNKNRKPKEDEAEHEHELPLMSLNHVSRLCTSVKDSVDFYTKALGFVLIERPQAFDFDGAWLFNYGIGIHLVQANDEDKLPNNHELDPMDNHISFQCEDMGAMEQKLKDLGIKYMKRTVGGEEDGVIDQLFFNDPDGFMIEICNCENVKLKPVGSFNRIKLPFDRHNPPVELDANAAKAS >cds-PLY86204.1 pep primary_assembly:Lsat_Salinas_v7:8:225026178:225027122:-1 gene:gene-LSAT_8X137820 transcript:rna-gnl|WGS:NBSK|LSAT_8X137820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDRHDDDDSPFWPRGNSTNVNSRILLIAIISLSFVVVVVTMLHVYARYILRRQARQRAAFRGIGIVAHIQSEEPPKRGLEPTVISSLPILMYKDIDHNPDHSSVSPECAVCLSTFEGGQMIRVLPNCNHHFHADCIDKWLSSRSSCPICRHEVEPGASINPLPREPSTRLGVASAPPLERIGSVVIPLEGTSDQMVSTSEKVNGANSRLSSFRRMLSMDRSSRRANSCTQGENEDLERQ >cds-PLY87456.1 pep primary_assembly:Lsat_Salinas_v7:2:134236586:134237584:-1 gene:gene-LSAT_2X63620 transcript:rna-gnl|WGS:NBSK|LSAT_2X63620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPQLAQVKGELIDIASPETFIQKRKQPSDERQPPPPAVMEPKIYTCEHSQCPYSDIRVGFQDRFSRNNHQMSCPYRPTPNPNHNPSRGGTLNFQINNLQKEPVFAMPSFAQPKITTAPAVNQENQFVSSQLGLPGDGQRVISDLMSFYDTNLQQPNRNLNSGNLDVVGVGDHQKQKFPIQIDDDFFVHSVESNNQSTFLPTTGFHFENKTPYNPAFDANSNLDFRFVSQSPFSLGGVDFSGDPTPAPDMSMWYL >cds-PLY78287.1 pep primary_assembly:Lsat_Salinas_v7:2:110808135:110811440:-1 gene:gene-LSAT_2X50521 transcript:rna-gnl|WGS:NBSK|LSAT_2X50521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVTTGVLFRAPLWPIVIALTVFGVANLIAVSADPYIYSSPPPPYLYKSPPPPVHSPPPPYLYKSPPPPVKSPPPPYLYKSPPPPVHSPPPPYLYKSPPPPVHSPPPPYLYKSPPPPVKSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPTKSPPHYYYSSPPPPKSYYPVPYPHHRKLIVKVVGKVYCYSCYDWKYPIQSHAKHHLKGAVVEITCKAIGQKEIFAYGKTKINGKYAITVDGLDYSKYGGAKACTAKLHMASTGTKCNIPTNLHGGLKGAVLKVKSKNAYEVVLEAEPFAYAPKTPSTLCEKPKPKPEPTPSPYYYKSPPPPPPTYLYKSPPPPVKSPPIYHYTSPPPPKKSPPPPYHYTSPPPPKKSTPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKTPPKYHYTSPPQPKKSPPSPYYYKSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKYPPPPYHYTSPPPPVKSPPPPYHYTSPPPPMKSPPPPYHYSSPPPSVKSPPPPYHYTSPPPPVKSPPPPYHYASPPPPVKSPPPPYHYTSPPPPVKYPPPPYHYTSPPPPVKSPPPPYHYTSPPPPMKSPPPPYHYSSPPPSVKSPPPPYHYTSPPPPMKSPPPPYHYSSPPPSVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPAKSSPPPYHYTSPPPPVKSPPPPYYYTSPPPPVKSPPPPYHYISPPPPVKSPLPPVYIYGSPPPPTNY >cds-PLY96823.1 pep primary_assembly:Lsat_Salinas_v7:2:171096147:171119123:-1 gene:gene-LSAT_2X93961 transcript:rna-gnl|WGS:NBSK|LSAT_2X93961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 19 [Source:Projected from Arabidopsis thaliana (AT5G09640) UniProtKB/Swiss-Prot;Acc:Q8VZU3] MMYRFLIILLCLCSANLTSTKRIEYLPGFEGPLPFHLETGYVGVDVNEDVQLFYYFIHSESNPKDDPLLLWLTGGPGCSSISGLLLQIDIPVGTGFSYAKSTRSVHSTDIQYFDHAYEFMRKWLKHNPEFTSNPFYVAGDSYSGIPVPVITQLISNGNDVGTKPYINLKGYILGNPITFPATNYQIRFANGMGLISDELYKSLLQSCHGEYRSGYIDPNNIECLRNIELYQECINGINMEDVLEPYCSATVSPIELPSQIRSKERRPLSASYCQYDPKDLVNYWVNDDDVKEALHIRKGSIGNWIRCNRDFLNFTTTLYDVRPYHLNLSSKDYRSLIYSGDHDMQVPHQSTQAWIKDLDYNVTDQWRSWKHQSQIVGYTQSYSNRMTFATVKASYQNMTNIIFLDIPVGTGFSYARTAHASCSNNILYSEHAYEFIRKWLESHMEFISNPFYIGGDSYSGNPIPIITQIISNGNEIGIKPYINIKGYVLGNPLTFPEEDNYKIRFANGMGLISDELYKSLFHSCQGEYRSDYINPDNVECLQNLALYEKCIDGIQQPQVLEPYCGDTESPIKLPRQMLSKERRLLSAFYCRFDETRLAYYWANDVGVREALRIRKESIGTWIRCNYDLNFTTIVDDVRPYHLNLSNKDYRSLVYSGDHDMVIPHQSTQAWIKDLGYIVIDQWRSWKGGGHTAPEYKPEECFVMFKRWIHGQPL >cds-PLY88989.1 pep primary_assembly:Lsat_Salinas_v7:8:242041553:242048289:1 gene:gene-LSAT_8X144420 transcript:rna-gnl|WGS:NBSK|LSAT_8X144420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAQALEYEKFDKFDRVRVLKIRFQMTTLYLLHKSIAHLANAWFIMGSALSLVVKFGHVTFFWNGNWSGYFNAELEEYFEILSDSGITFNIGEKEGDSILSGRVDQVRLNIPNGETVGHTCDVDAAIVACKATDEAVKMILDVVEQVGGIFVMTADHGNVEDMVKISKKGEPALDKEGNVQILTSHTLHPIKLQRLLRLIDMQLSMYLSTTKMLRLCFKGLPSFLT >cds-PLY88889.1 pep primary_assembly:Lsat_Salinas_v7:3:223084747:223086156:1 gene:gene-LSAT_3X129301 transcript:rna-gnl|WGS:NBSK|LSAT_3X129301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACSDDQQPDFKHFCRICKKGFLCGRALGGHMRAHGVGDEIANFDDDDIPNDCEGSKKIYDLRTNPNRFSRLCENCGKEFSSWKSFLEHGKCGSDDAESSLLWSPESEADDDEDGDGDGDGSVHHGGRSGWSKRKRSFRVYQSSDDEDDVALAKCLMALSNSMANHHPLELELNDRLFPTKNNETQTRNPKLSPEFLPNVTKPPPDKAKGVVVATTTPKGMFECKACKKIFNSHQALGGHRASHKNVKGCFAARNDQFDDNLTHKDNVINDVIKPIFPYQSDNIQDPRKSKVHKCSICNRIFASGQALGGHKRCHWLTSNYTPDTSINKINFHEHIEQLYQRALAIPKELELKLNLPTSNNTTTTTHHNLQNPKRLEVSTEINLHAWSGADQGNGDGDAKEDRNNQLNNSSGDQYHISAATMANDDNEGAGKMKLAKLSELKNMNSSNGSSSSWLQVGIGSTTDMAPDT >cds-PLY98723.1 pep primary_assembly:Lsat_Salinas_v7:6:103238760:103250090:1 gene:gene-LSAT_6X68821 transcript:rna-gnl|WGS:NBSK|LSAT_6X68821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCKRRVAVVGAGISGLVSAYVASTAGVEVVLYEKEEYLGGHAKTVTIDGVDLDLGFMVFNRVTYPNMIEFFETLGVDIEISDMSFSVSLDEGNGCEWGSRNGLSGLFAQKRNVLNPYFWKMIREITKFKDDVLRYLEELENNQDLSRNETLGDFLKSHGYSELFQNAYLVPVCSSIWSCPAEGVKSFSAFSVLSFCRNHHLLQLFGRPQWFTIRWRSQTYVKKVREELEKRGCQIRMGCIVQSITKVDNGCEVVCKDGSRETYSDCIMATHAPETLKMLGEEATHEERRILGAFNYVYSDIFLHRDKSLMPQNPSAWSSWNFLGTVDNKVCLTYWLNVLQNIDDKGPPFLVTLNPPRTPKHTMLKWTTGHPIPSVAASKASLELNQIQGKRGIWFCGAYQGYGFHEDGLKAGMLAANGMLSKSCEILKDPKHMVPSLMETGARFFVARFLKDYIAMGSLILLEEGGTMFTFEGTRKKSNFKVYLKVHNPQFYWKIATKADLGLADAYINGDFSFVDKKEGLLNMFMIFIINRDLESCAQKSSKRGWWTPMFLTAGVASAKYFYHHISRQNSLTQARRNISRHYDLSNELFALFLDDTMTYSCAIFKNEDEDLKTAQMRKISSLIEKARVDKEHKVLEIGCGWGTLAIELVKRTGCKYTGITLSEEQLKYAETKVKEAGLQDKIRFVLCDYRQLPHTYKYDRIISCEMLEAVGHEYMEEFFSCCESFISIPDRRYDEYRRSSDFIKEYIFPGGCLPSLSRVTSAMVASSGLCVEQVENIGIHYYQTLRYWRKNFMEKQSKILALGFNQKFIRTWEYYFDYCAAGFKTHTLGDHQVVFSRPGNIVALGDPYKGIASAYSLN >cds-PLY83079.1 pep primary_assembly:Lsat_Salinas_v7:8:234845494:234847043:1 gene:gene-LSAT_8X142161 transcript:rna-gnl|WGS:NBSK|LSAT_8X142161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPTKKGKAKAKSTESTPPPPSTTKFPGCMRFIPPSSVAITIHAKPGSKVATITDFDDDALGVQIDAPAKDGEANAALLDFISSVIGVKKRQVSLGAGSKSRDKVLIVEGVSLEAVYNALDTGLHNP >cds-PLY84272.1 pep primary_assembly:Lsat_Salinas_v7:8:115366263:115373868:1 gene:gene-LSAT_8X80160 transcript:rna-gnl|WGS:NBSK|LSAT_8X80160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHHRGLSYLVKYEEDEDEEGDDDDPITKKKGFGGARCWRCGEEIHMYHRYYYTCSSSCDDFSLHKFCVEIMSRYGKLEELFDKYYQNHLSIEVGKNIIYHPCHPHLLMCLIPKPILCECSACGKEHKGIFYQCTFCVGFTMHSECAFLPEKLFIQERTDGAFHHTHPLTISYSFPFIDQQTKHYPRCRVCGRDFLGYKESGLWIYKCDKCMYYAHLDCATSRTEPFMSIFLSPGAGRTNKNYKDVDHPGLLHLPFPDETYSLPKHYLFFQQSSTDQHHHHHKVDEYLKHMSHQHPLALIDVDQTQSKSNTQTSSSLLLLKCHDPMKKTQLLCNGCIKPIMSTMPFYKCPHQSCNDFALHEWCTRLPQEIQNHPAHPQHNLYLICSNILDFFFDVFNCAICNLPCNGFAYCCFKCGYYVDVTCGFIPKEITHKAHPNHLLSILQLKGDKYDTQCHMCLMGFYRGELSFHCSMCDIYIHPECALLLAETIRHKYDKHPMKLSYLPIENHKSEYFCEICEEDLNPHQSFYHCKDCAQSVHTACASSILQCETETYSLSCWRNSIHFFLNIKFGGIHKNHSHPHSLSFAQGTVLDGQCSICGHRLQYEMIFKCRECKFAIHFNCCKHLRQI >cds-PLY65366.1 pep primary_assembly:Lsat_Salinas_v7:6:41508775:41510706:-1 gene:gene-LSAT_6X29600 transcript:rna-gnl|WGS:NBSK|LSAT_6X29600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEYVAEGGNQFLKVHGGNGSGVQLEYSGVTSNSFVVNVETASNAGGDDENTYLCSNVEYAFDILKSSTLEDDENVRSGIVVTKQLFPEVGGDGDVRRHSAVDEREFIVRQHHYQQQDFLQMKKNRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDQAAIRFRGLNADINFNVNDYHEHLKQIKNLTKEEFVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDTEIEAARAYDKAAIKSNGREAVTNFEPSSYEDEDEINNGGNSEESMDLNLGIAPPSYTAAAASSGFLVQHVQECRSGKGEGTSM >cds-PLY69026.1 pep primary_assembly:Lsat_Salinas_v7:9:139991483:139998699:-1 gene:gene-LSAT_9X90560 transcript:rna-gnl|WGS:NBSK|LSAT_9X90560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLEKLFIQFFERKDWIIEQAQQQADAYTEQLASRLLIDGITPPPCLLNPRFNSGSSHPHELKKEEIISKLLLQHPRESLRYSTGGPFLYDRPVVSRGNGQLPNEVSMETHAPNKGGNVTNGQPVTLACPNVDIGCSLNRVNEQDDSVNSPQKEHEMDARISSIYAAPDTSLARIQRSKSRQKALELRTSAKTSAKSCLGDESRTRISFTGGEVSKSDFHQVTQDKRPSEPGICNDISGANSVAAEGKGRTRFSGRLAGSSTSDEKHSSMSDLSKTNDQEELDGGILAQSTGQHSGHADGVMEGVNLLDISLGSYGAKKSAIGNTNDTQRQSKPYSGRITRSKGSTQQTGGLNKSLEVGTSASYKPKEGSIGALCYSIGDLKHGVDASNKLLDAVKTSQVCSDRVRETQVTCNSKDVKQSVISSNIATSRVTNSKSESMKECPLDANHREDGETVVGNGPIDAPVAMQPVNSVKKLDPVTMCTESDGLPLKQSSECCILVKPKQLNFDEMDGCDSNKVSSLLSKKRRLDGLLGQDCNPLMDSALLKDQKSSGTFFEQQSSQSKSARVHSHEDIDVCTNMETMNVGLDMYENPVVEDCMLSKKRMSDGLLGQECNPSMDSASSIDPNFSGTFIEKQLPLENVESRKSKASMTHSHDSSIECANDETMNIDLDTNENPMVEGGMLSKKRMPDGLLGMDSASSIDHKSSGTSIEQQLPMENVNSSQSKTARTNSHDNFDECAKDEMMNIGLNMDENRIGEDVEHNPKVSLHVDDAAALCEVDVHDENASDDFIPVSEDLKQSITSCLSKQGDKDSEDCLDLDPSKSNWKLNSAKVNTWPQDLHRNADDRKKCFSDSRHVQGDAIHCDLEASENDFHISQSDGIIQSFEKRVHDETEVQATEATVAEVEDDTLKQSRPSLIKTSNDDVGVPVVDSDETMPEYEGFTIDEKELENAEGGGGIDFDRLELPSSPIKRASLLAQICKSASMNTPFSKFSSATFNQHQVQDLYGIILDEDDFKKDTDISGSDCGYSFPTQQKIFDSLPFSGTPFNWESKNYYSSPVGIGKLWDRSTSSSGSSENRLSSNPEHTCFPIEEDEDPNSNEETENDELQANEQTEMEIVTTENDDEVETGIVSKVENQLAEREPPSGPMKYRDRCSSNSVNIQMNIPRTNDKLKYKSKFHPGVSRTPSVSTRASIRRNASLQTQNSKNMRSAIKRPTQRNNIVSNVTSFVSIVQQKQAAAVCPGKRDIKVKALEAAEAAKRREQEKENERRMKKEALKIERARVGKENAREMELNKKRKLEEMKKKEADVAARKKQREEDERKQIAKKRKIAETRKEQKAQQHEKSRHVGGGSKKGSENKNAFDEKGSQRHEKSYAADVIIQQLTPFPEKDKETKSPGQVGSVMLTSQEKSYDISPYQCSDEEDEDEEELPTKKFIPSWANKRAVAMVLPLQEKVDLETIFPTGSFCSMDEDFDPASIPVVISMWIVEIAFYVSLY >cds-PLY99612.1 pep primary_assembly:Lsat_Salinas_v7:5:240950100:240951117:1 gene:gene-LSAT_5X117861 transcript:rna-gnl|WGS:NBSK|LSAT_5X117861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMSSRGSGSWTAKQNKAFEKALAVFDKDTPDRWHNVAKAVGGKTAEEVKQHYEVLVEDVKHIENGRVPFPNYRRTTGGG >cds-PLY73602.1 pep primary_assembly:Lsat_Salinas_v7:6:128153756:128155780:1 gene:gene-LSAT_6X76100 transcript:rna-gnl|WGS:NBSK|LSAT_6X76100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTFSSDFTSSIQAAWLFKALILDAHNLYPKITPLAIKSIDTVEGEGGPGSIKQINFEQDGQMKYVKHRIDALDEEKMLYAYTLVEGGEALKEKIHSISYEMKFEPNPNGGCIGNKISKYHVKEGFEIKEEEFQEGRLKDFGVFKVIEAFLLENPNAYV >cds-PLY83336.1 pep primary_assembly:Lsat_Salinas_v7:1:63101799:63102299:-1 gene:gene-LSAT_1X54400 transcript:rna-gnl|WGS:NBSK|LSAT_1X54400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDPSQVVDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIAKVMRPRSMAKELAGTVKEILGTCVSVGCTVDGKDPKDLQQEIADGDVEIPLD >cds-PLY84213.1 pep primary_assembly:Lsat_Salinas_v7:7:78315472:78317931:1 gene:gene-LSAT_7X56080 transcript:rna-gnl|WGS:NBSK|LSAT_7X56080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMENPNDDISPRNERPNAMPPLPLLTHEASTKNGSLDTFHFSIGQDPKRSRDSPQCPVTLENLPSDILLKIFIRLLAKQLAQMRCVSKSWNAILSQTSFIKSHLHHSINNNDRFLLIFYYNLLSLDPIPFTIHPCRFPHHELTNFIKLPPVIPDSEDTTSDMISVIGSVHGLICSRYSDNGIQIWNPSLSAVLTLPPHYIPSRGPYEIFFQFGFDPKTDDYKVVKVIGLTAPHIRQPDLLPYPVKEWLQVEVYSMRKGSWRFITQKFPSRVTEIFLPDNVCVDGHDGRLHWLGYIGEEGDRLMIVAFDLGSETFSEMTLPVPDAVIDCNGCYPLGVLAGKLCFITWVADDDVCEVWVMEEYGVAESWVKRHVFLQFNYGAPSFGFTSHSEFVIEDDDRCLVLYDLIADKAKILEKYCPKFGTNKIVEYVDSLVWVTPSVR >cds-PLY81964.1 pep primary_assembly:Lsat_Salinas_v7:9:152900715:152903799:1 gene:gene-LSAT_9X97121 transcript:rna-gnl|WGS:NBSK|LSAT_9X97121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKERSVNVSGKPKHSLDVNRDAGNKNKSGGTARTAATVRRLKMYKTRPKRDSKGTILKHELQSKELPSTRIQPDRRWFGNTRVVNQKELEFFREELQSRLSSNYNVIMKERKLPMSLLNDHQKQARVHLLDTEPFADAFGPKGKRKRPKLAVSDYESLAKRADGSQDAFEEKHGDDPVDPNADGFRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVIQVLDARDPQGTRCHHLEKHLKEHCKHKHMILLLNKCDLTPPWVTKGWLRVLSREYPTLAFHASINKSYGKNSLLSVLRQFSRLKSDKQAISVGFVGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKKIFLIDCPGVVYGSSDTETDIVLKGVVRVTNLHDATEHIGEVLNRVKKEHLERAYKIKEWVDEYDFLLQLCKLSGKLLRGGEPDLTTAAKMVLHDWQRGKIPFFVPPPKLDDTSAEGEKKSGLEKDDVAVADENSKELAAKKSIENIVSSQQLKDVPVQVDLFTDNELKGEDANEMEEGS >cds-PLY76553.1 pep primary_assembly:Lsat_Salinas_v7:8:91355490:91369952:1 gene:gene-LSAT_8X64120 transcript:rna-gnl|WGS:NBSK|LSAT_8X64120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQILSLSYEINICTISLIPLSSQLFPDRNGPGPNFIIVSNLSEGESYFKYMAEVARGHGGDGGDRPPHGIARGVPSRCQSSQTKNVNVRGKGRNLNLYDEYEKNKCKPLDLIIDISGRTYRFIGDNGQMYVFGITQVPRLEDWSVMPVEWIGFMLQGDYKQRKTFGNLDEDVGDSRSYYVIMLNTDAHNNMVKDKMSKADFIRNNRGIDDAMDLAKEYLGHCHLVSIIMRGANDFMLDEMDRALHDALCGCRRGAVEAAFSLYLEYLATTLGSREQLAIAEFAESLLIIPKVLVVNAAKDATDLVAKVRAYHHTAQTKADKKHLSRNFIGAETFFCVGTIARDGVAGLYRGFVQNALKTLPNSRLSDDGSCRIWDARHSQFIRRVDESE >cds-PLY99380.1 pep primary_assembly:Lsat_Salinas_v7:7:145682001:145687924:-1 gene:gene-LSAT_0X4161 transcript:rna-gnl|WGS:NBSK|LSAT_0X4161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIAPAVLAAHDGVGNAPDSSSESSASTVPVNNNNISSNRYDDDDDEEEDVCRICRNSGDADNPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHPFSFSPVYAENTPTRLPFQEFVIGMAMKACHVLQFFLRLTFVLSVWLLTIPFITFWIWRLSFVRSFGEAQRLFLNHISTTIILTDCLHGFLLSASIVFIFLGATSLRDYFRHLRELGGQDEDEGDRNGARVARRQPPQANRNLIGDGNGEDGGGQGIAGAGQIIRRNAENVAARWEMQAARLEAHVEQMFDGLDDGDGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVIILIPFHLGRLILYILSSATTPMLSTVVPLTEQALSLANITLKNALTAVTNLTSDHNVLTHVTEMLNVNNATGLQDSPNNVTTPIILKGAVSGGSRLSDVTTLAVGYLFILSLIIFYIGVIALIRYTRGEPLTMGRFYGIASIAETIPSLFRQFLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDICTIRMFGKSISQRVDFFSVSPLASSLIHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSIAVYGSLIVMLVFLPVKLAMRMAPSIFPLDISVSDPFTEIPADMLLFQICIPFAVEHFKLRATIKSILHYWFTAVGWALGLTDYLLPEPEGTEGQNGNDLVYAENRAIVGLHPQDVNRVVEEDDGDEQADSERYTFVFCIVLLLLVAWMTLLVVNSALIVVPVSLGRVLFNAVPLLPIAHGIKCNDIYAFIIGSYVIWTALAGIRYSIDQIRTRRTTVLLGQIWKWCSIVVKSSVLLSIWIFVIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHLMPLMDERWRVKFERVREDGFSRLEAFWVLKEIVIPIMMKLLTALCVPYVLARGVFPVFGYPLVVNSAVYRFAWVGCLGFSLVCFCGKRFHVWFSNLHNSIRDDRYLIGRRLHNFGEDHSKSSVDDVDVDDNDDDDDDDDGDDDDDDDESLNVLVRNDEVGLRHRRHVEVENVM >cds-PLY73889.1 pep primary_assembly:Lsat_Salinas_v7:3:38264992:38266795:1 gene:gene-LSAT_3X28940 transcript:rna-gnl|WGS:NBSK|LSAT_3X28940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRFVETTEDGWSKLRRQGKQRQKNDTSTFYVAGFPYGTCKMDLQGIFDAFGQISDIYIGGKKNRRNQNFAFIRYAGVNDTDGMEVKMNGVRFRGVTLLANLAKYQKESYNRRQTFSRKPKVSNVTTGTKFGSRDSRTFAQEKPTPHSLDHISNLPDHTFSHESIKYLGGLKLGIKFGSSKEAREFLEDRSRWHEWFKWLTMDMNKEVQYERLAWLKITGVPLRYWDTDNFSTIASKFGKVIVPFESIFDRKDLSMGKVGVITSMKNWINEEVKIGVDGVVYNVGVVEYTDDWSPFKPCQFDKVEKESESEESETDNEDDGVSETWIPEDENDLEEGEFCSDRTPESQLKKTYKHAEFGNSPVIVGNTKDASVELNGVIPQNEVNEGSVRESVGISHVKNVVSPTENDGGIIRTDPSDVGLDSALNANGLNVNFDPSMSSSPAPNNSNASISYSPPNSERCCTEPKSKRRKRRRGSRSPRNGDASSRDNCPIQKSQDPNSLNGNEVLDLNKDPMLSGSSEGSGETTSNEIIQTVAIGSEIGFQMGAGNPILTEVVGGMGVYSTK >cds-PLY99249.1 pep primary_assembly:Lsat_Salinas_v7:6:185470135:185472731:1 gene:gene-LSAT_6X113680 transcript:rna-gnl|WGS:NBSK|LSAT_6X113680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCNKSEHVPLSVLLKRESANQKADRPEIACGQANESKKGEDFALIKTECQRVLGDGITTYSVFGIFDGHNGSAAAIYTKENLLNNVLCAIPPNLNRDEWVAALPRAMVAGFVKTDKDFQEKAQMSGTTVTFVIVEGLIVTVASVGDSRCILESADGSVYFLSADHRLDTNEEERERVTASGGEVGRLNTGGGTEIGPLRCWPGGLCLSRSIGDRDVGEFIVPVPHVKQVKLSSAGGRLVISSDGVWDALSAESALECSRGLAPESAAAHIVKEAVQVKGLRDDTTCIVVDVLPPEKTNPPLPPPKMTGKRVLKAMFRKKSSEAPPHVDEEEYYEPDLVEELVEEGSAMLSQRLDTKYPLCNIFRLFICAVCQIEMKPGEGISIHHGSANMTGKSKPWDGPFLCVNCQYKKDAMEGKISSKSKILFVCLTN >cds-PLY73279.1 pep primary_assembly:Lsat_Salinas_v7:8:285103912:285105702:1 gene:gene-LSAT_8X162860 transcript:rna-gnl|WGS:NBSK|LSAT_8X162860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDSETVVAQSSAIQEHPSTTVNRGLAASTGLIPCAVLLVGLFFVPESPRWLAKIGRKKEFDAALRKLRRKDANISEEADEIQDYIETLQKLPKGKIFNLFQRRYLRSVTVSLFS >cds-PLY65863.1 pep primary_assembly:Lsat_Salinas_v7:4:85452229:85452754:1 gene:gene-LSAT_4X57161 transcript:rna-gnl|WGS:NBSK|LSAT_4X57161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLDQTLSDLSYLKESKYSEAEILMCLNINQSQLKAFDALVHQSKQAAKEDNDEDGAEESQEDNDEDGAEDDEEGVDDTQVMVRTQFRVRTRKTSKRITENMLKKIVIDKKGIGMAPEKPLTLD >cds-PLY64455.1 pep primary_assembly:Lsat_Salinas_v7:3:14874455:14876911:1 gene:gene-LSAT_3X10260 transcript:rna-gnl|WGS:NBSK|LSAT_3X10260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADVATAIPIVIPTKKRTRKPLHPKNSSQNESNIVAGAISQPPLASDHIFAGKENSDSLSQHKSPKIKKSGKGKNHPKPETASVSFEKELQEMQEKLEKMTLEKQQAEDLLKLKEQELESHNREQEKVKMELKKLKKLKEFKPTMTLPVLHSLKDKDQVKKKKKKGCTDTKKPATPYIMWCKDHWTEVKKENPEAEFSEVANILGAKWKTLTPEEKKPYEEKYQIEKAAYSKIVDNEKRESEAMKLLEEEQKQKTAMELLEQYMQFKQEAEKEGDIKKNKKEKDPLKPKRPESAYFLFMKEKRAALIAESKSMVEIAKICGEEWKNMTDKQKAGYEKVAKKKNKQYTQEMEVYKQNKEEEAENVKKEEDELLKVLKQEALQLLKKKEKTETIIKKTKEEETKKKEEKKNKKNVDPNKPKRPPSSFFLFSKEARKDLSKEKAGMSNSQLTALISVKWKELGEEDKQKWNGEAAEAMEAYKKDMEEYNKKWNAVEIPNNDN >cds-PLY83607.1 pep primary_assembly:Lsat_Salinas_v7:3:73706870:73710231:1 gene:gene-LSAT_3X62541 transcript:rna-gnl|WGS:NBSK|LSAT_3X62541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSREERHQHHDLVPLAALISREMRSEKMEKPTVRYGYAAQSRKGEDYFMMKTDCQRNSGHQSSSFSVFAIFDGHNGNAAAVYSRDNLLNHILSAIPRGLGREEWLQALPRALVAGQTSGTTATFVIVDRWTVTVASVGDSRCILDTQGGAVSVLTVDHRLEENEEERERVTASGGEVGRLSILGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPYVKQVKLSNAGGRLIIASDGIWDAVSSEMAAKSCRGLPAELASRQVVKEALRTRGLRDDTTCIVVDIIPPDTTMPPPSPPRKKHSKLRSFFFRTKSHGSTSKLSKKLSAVGIVEELFEEGSAMLAERLGNEENGGSSTTGLFMCAVCQVDLGASEGISVHAGSIFSTSSKPWQGPFLCADCRNKKDAMEGKRPSGVKVT >cds-PLY82378.1 pep primary_assembly:Lsat_Salinas_v7:7:108779988:108781017:1 gene:gene-LSAT_7X69961 transcript:rna-gnl|WGS:NBSK|LSAT_7X69961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTYAEAYVVTLLKIAIEDPKDDYIILQELKGLGSGNGRDGDSCCSLPSSSSSSRSCSSVGGGFWCSLWWWSKLVLVFIFLAVVGVCFFLWIGPFLMNKEVIPILNWETETFSKPVLAIFIFTSVAIFPTILLPSTPSMWLAGMSFGYGFGFLSIISGVIIG >cds-PLY83634.1 pep primary_assembly:Lsat_Salinas_v7:4:39311222:39313352:1 gene:gene-LSAT_4X26761 transcript:rna-gnl|WGS:NBSK|LSAT_4X26761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGSQGGSNSSPPFLTKTYEMVDDPLTDHIVSWSHTGSSFVVWNPPEFASELLPKYFKHNNFSSFVRQLNTYGFRKIDPDQWEFANDEFLRGQRHLLKKIHRRKPIHSHSTPPQGSTPATLSPSERQEYEDDIQKLKHETNSLRLVLQRHKQENQEYEQNFATLRERVQKIQLRHKKTMSFLAQILEKPGFLESNTRKRRLLITTYLHGEANADDNGNPDSIPLLNKLESSLKFWTDFVNDIVKVSGEETYDFGILAQPEKQVSGDFNEVSRVKSSGIDVNAAPAAATDGDGGAATVPAVQAGANDVFWEQFLTETPGGGDTQEVQSARRDVINKHDELGQRKSLWNTNNNLDKITEKMGNLSPSGKLDVR >cds-PLY75153.1 pep primary_assembly:Lsat_Salinas_v7:1:183508540:183509569:-1 gene:gene-LSAT_0X20921 transcript:rna-gnl|WGS:NBSK|LSAT_0X20921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPNNSINQIDNHIDDDGDQNSFPSHRHQIITFSRKRKHSQSQVITLIDSTQVNPLSESSVLGLVLLAHTFSYDIQNLTESLLLEILARLPLKSVFSAHALPPSYRSFMTTDDSRILDFYVDGMSHSKLFDR >cds-PLY84660.1 pep primary_assembly:Lsat_Salinas_v7:5:77037208:77044459:1 gene:gene-LSAT_5X35300 transcript:rna-gnl|WGS:NBSK|LSAT_5X35300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ETL1 [Source:Projected from Arabidopsis thaliana (AT2G02090) UniProtKB/TrEMBL;Acc:A0A178VWA6] MKRGFESIEISDDEWSNHDFDSSRILNKPSSRTPPPPIESFAFRGGSGQAGTPSNPKPSFDYFSDSSDCVEVIKDGAQHAPENLEDDDFGEAPSTTIENRGRRFVIDDEDDEAVEDDAVDLGEGEDGDSEDLGFGEEVDGGDDIVGKALHKCAKLSTELRRELYGSSSTDACDRYAEVESSSVRIVTNDDICEACGMDDSGFQPVLKPYQLVGVNFLMLLYRKKVAGAILADEMGLGKTIQAITYLMLLNHLEDDPGPHLIVCPASVLENWERELKKWCPSFSVLQYHGAARTTHSKQLNSLAKSGLPPPFNVILVCYSLFERHSAQQKDDRKLLKRWKWSCVLMDEAHALKDKNSYRWKNLMSVAKNANQRLMLTGTPLQNDLHMLNAEDTELISRMKSILGPFILRRLKSDVMQQLVAKVQRVEYVHMEIEQKTAYQEAIEEYRAVAHARMTKSGEEVKTPNLPKRQISNYFVQFRKIANHPLLVRRIYTDKDVIRFAKMLHPRGVFGAECTLDRVIEELKGYNDFSIHKLLLYYSDGSSKSLSDDHVMISAKCRALAGLLPTLMDGGHRVLIFSQWTSMLDILEWALDVIGVTYRRLDGSTQVTERQTIVDTFNNDTSIFACLLSTRAGGQGLNLTGADTVIIHDMDFNPQIDRQAEDRCHRIGQTKPVTIYRLVTKDTVDENVYEIAKRKLVLDAAVLESNIEVEKEGESSDKTMGEILSALLLG >cds-PLY98111.1 pep primary_assembly:Lsat_Salinas_v7:3:247358488:247361202:-1 gene:gene-LSAT_3X136501 transcript:rna-gnl|WGS:NBSK|LSAT_3X136501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDIASYVAKKVLYHVLGAIPSYQGSVGPALNELCLGLQSEEVAPALSGVYVKDLHVRLACLNAAKCIPAISSRSVPQDVEIATSIWIALHDPEKSVAEVAEDLWDRYDCEFGTDYSGLFRAVSHVNYNVRVVASDALVAVLDEYPDTLQESLATLFSLYIRDSGVGEDMIDSGWFGRQVIAMALHAAADVLRTKDLPVVMTFLISRALDDTNVDVRGRMINVGIMIIDKHGKDNVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLSKDDPKVHAVVEKLLEEDALSLVTRLLDQLMKSEKYRERRGAAFGLAGVIKGFGISSLKKYGVAIVLREGLAHRNSAKCREGSLLAFGCLCEKLGKFFEP >cds-PLY76392.1 pep primary_assembly:Lsat_Salinas_v7:8:85005395:85009924:-1 gene:gene-LSAT_8X62601 transcript:rna-gnl|WGS:NBSK|LSAT_8X62601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKCGCWSVLRRSVSSGSCKPSVETRRNSATSFPRTSLVYDAATETRYLNASNREMCAPNEPQNSSDLNLDVPQSDLSQSDKKPCQLLQFSFHELKSATGNFRPDSILGEGGFGFVFKGWIEENGTAPAKPGSGITVAVKSLKPDGLQGHREWVAEVDFLGQLHHPNLVKLIGYCIEDDQRLLVYEFMTRGSLENHLFRRTIPLPWSNRIKIATGAAKGLAFLHGGTEPIIYRDFKTSNILLDTEYNSKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEILTGRRSMDKKRPSGEQNLVMWARPYLADKRKVYQLVDPRLELNYSVKGVQKVSQIAYNCLSRDSKARPTMDEVVKTLTPLQDLNDFAILSYHARMSQQGRRKKKIPEGTQNVSRTGSGKQQCK >cds-PLY72685.1 pep primary_assembly:Lsat_Salinas_v7:6:28248906:28250595:-1 gene:gene-LSAT_6X22420 transcript:rna-gnl|WGS:NBSK|LSAT_6X22420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEQAATSSMAASLPSSSERSSSSALQIEIKEGMESDDEIRRVPDMGGEAAGASRSGRETGSNQNNPDRVQHSAEGTKKRGKTPADRESKRLKRLLRNRVSAQQARERKKAYMTELESRVKELEKKNSELEERLSTMQNENQMLRHILKNTTSGMQERK >cds-PLY96341.1 pep primary_assembly:Lsat_Salinas_v7:5:192931589:192932206:1 gene:gene-LSAT_5X86400 transcript:rna-gnl|WGS:NBSK|LSAT_5X86400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFPKLSPTIFLFFFLNLLISTSINAIKTPTPTQSQLNVIKQFLTFQNKARAALRMPPLVWDSKLARYADLYARQRRNDCLLKHSNGPYGENIFWGSGDGWTPAQASLAWVAEQRWYRYGSNSCGGGKECGHYTQIVWKTTKRIGCARVTCFGGRGVFITCNYFPPGNFIGEKPY >cds-PLY76079.1 pep primary_assembly:Lsat_Salinas_v7:9:31564780:31564977:-1 gene:gene-LSAT_9X27720 transcript:rna-gnl|WGS:NBSK|LSAT_9X27720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSSIVKKASVLAVFALSAVATVSAQSMAPAPSPDAGAAFSVPISGVVIGSSMLLSLVALFRN >cds-PLY97139.1 pep primary_assembly:Lsat_Salinas_v7:4:358193342:358194644:1 gene:gene-LSAT_4X176081 transcript:rna-gnl|WGS:NBSK|LSAT_4X176081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPSNLSLLLTLLLLISTDAISTTAAAAAPLYFKEAPKFYNSHNCLPADDICSGNAVHVAMTLDAAYLRGSMAAILSVLQHSSCPENIRFHFVASASAYTDNIQLTLATSFPYLRFNVYRFDDPAVLGLISTSIRSALDCPLNYARNYLADLLPACVNKVVYLDSDLVLVDDIAKLAATPFGDETDRKVLAAPEYCNANFTSYFTPTFWSNPSLSATFSDRKACYFNTGVMVIDLARWREGDYTTKIIEWMELQKRIRIYELGSLPPFLLVFAGKIAPVDHRWNQHGLGGDNFQGLCRDLHPGPVSLLHWSGKGKPWVRLDGNRACPLDTLWAPYDLLQTSNVLES >cds-PLY75225.1 pep primary_assembly:Lsat_Salinas_v7:MU043036.1:1658582:1658914:1 gene:gene-LSAT_0X32061 transcript:rna-gnl|WGS:NBSK|LSAT_0X32061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEKGVAHIIDKVIKSAKFSIGIHGVREACEALGFEKGKHLGGCSTIVGEPEVLDHGCVMRRDEEVDATLSSLAETDFVGLFHLGKLDYHGFFQFCRRPSLGSSSSDSEG >cds-PLY66166.1 pep primary_assembly:Lsat_Salinas_v7:2:4691684:4693727:-1 gene:gene-LSAT_2X3121 transcript:rna-gnl|WGS:NBSK|LSAT_2X3121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFPSSKIPSLFFFFLSLFALSTFAHEFSIVGYAPEDLTCIDKVINLFESWLSKHEKLYESMEEKLHRFEIFKDNLKHIDETNKKVSNYWLGLNEFADLSHEEFKNMFLGLKGEMPERREESTKEFTYKDFLHLPKSVDWRKKGAVSPVKNQGSCGSCWAFSTVAAVEGINQIVTGNLTVLSEQELIDCDTSFNNGCNGGLMDYAFSFIVKNGGLHKEEEYPYIMSEGTCDEKKDVSEKVTISGYHDVPHNNEDSFLKALANQPISVAIDASGRDFQFYSGGVFDGHCGSDLDHGVAAVGYGSNKGQDYIIVRNSWGPKWGEKGYIRMKRKTSKSEGMCGIYKMASYPTKQK >cds-PLY63054.1 pep primary_assembly:Lsat_Salinas_v7:8:73603568:73605533:1 gene:gene-LSAT_8X52761 transcript:rna-gnl|WGS:NBSK|LSAT_8X52761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAGALFRALKPSNMIFKKPIGLRCSSSSKSPTKLYTANGRTVKRAYDGLLLDAGGTLLQLAKPVEDIYASIGHKYGLNATPADIKQGFKRAFSAPWPEKLRYQGDGRPFWKLVVSEATGCANNDYFEEVYEYYANGDAWHLPTGAYETILILKEAGVKMAVVSNFDTRLRKLLQELNVIDLFDAVIISSEVGFEKPDAKIFETALDEINVEASKAVHVGDDEKADKLGAKTVGINCWLWGSEVKTFSDIQDRILVPEYK >cds-PLY71213.1 pep primary_assembly:Lsat_Salinas_v7:3:120638653:120639057:-1 gene:gene-LSAT_3X85280 transcript:rna-gnl|WGS:NBSK|LSAT_3X85280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFTFVEKLSPGPSFLSSNFLLLTNSIQELVPIIRNLKLKHGPLVTLSIGSHQLIFVGNQDLTHQLLIQRDSGISGRLSTLATLNITSASYYPSWRVLRRNLAAEILQPSCVKSYSLARKWALQTLIGRLQQQS >cds-PLY68079.1 pep primary_assembly:Lsat_Salinas_v7:5:293004841:293005579:1 gene:gene-LSAT_5X154860 transcript:rna-gnl|WGS:NBSK|LSAT_5X154860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFSLVLVFASSLFLHGTTGEILCEDLTKNNCSFAISSSGKRCVLENFEDKKGKVEYQCRTSEVVVEILSEYIETDECIRACGVDRNATGISSDTLLDKKSIVTLCSPACYQKCPNIIDLHFNLALGEGVSLPDLCEQQRSDPDCNMIDLLSSSAASGPVGSGTHRLFSAAPAPFSF >cds-PLY77061.1 pep primary_assembly:Lsat_Salinas_v7:5:181107431:181110193:-1 gene:gene-LSAT_5X82020 transcript:rna-gnl|WGS:NBSK|LSAT_5X82020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGEVTREKTRIYVGGLGGGVTEDDLRKTFSSLGEVASVDIVRTKGRSFAYLDFLPSSDKSLPKLFSTYNGCMWKGGKLRLEKAKEHYLLKLKREWQQDSENTNKSNSDASKTHDALENPKKPSAEINKQLCLFFPKLGKVKSLGQVGIGKHKYSFQRIQVPPIPTHFCDCEEHSIAFLPTKKNQISEIENVSCGINEQELNIMNSVMNKIFERANPSKPVNEGASMNSIENSEEDHLTDEDNLIINVGTGNDDVDLLAEMRLIANKDAVVAKKHGGQEKKAMPPIKKRKSPPSKEKPVILESSRSFRTRLDTRKAKKIEVESNTKQPEPNSLRSLKSTWKDLIGRKRNTPFTIPNIIPTVDQQKDESNGDDLPGIDLEMKTNETSDSDHKEGSPEKDAGDTIPAAAKGGSWRQKSSWTQLVSSANHDSFSISQIVPGSSFENHELVNDPSPTRVVTESTGRKEMSSERKRSLIIGNLESEECCPFMRTETSMNEWKRAKTSKKSNEGVI >cds-PLY62399.1 pep primary_assembly:Lsat_Salinas_v7:5:309902477:309903082:-1 gene:gene-LSAT_5X168841 transcript:rna-gnl|WGS:NBSK|LSAT_5X168841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY93375.1 pep primary_assembly:Lsat_Salinas_v7:9:64563580:64564279:-1 gene:gene-LSAT_9X55720 transcript:rna-gnl|WGS:NBSK|LSAT_9X55720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSMSIFDHKVPDDDHDYYDVTFFEDTILTLVTAKTSYVDFWINDIERIHRRRLHSLVVGLYIEWRPNRRNNVNPVATLQLCVGHRCLIFQIIHSPTTPLLRDFLLNPSYTFVGAGIEDDVKKLKDDYNLDVGRTMDLRALVTEKYNRPDLGNVGLKGLTRIVLGKELIKQKIVSKSRWDNRRLSPAQVEYACIDAFLSFEIGRILISGITN >cds-PLY89995.1 pep primary_assembly:Lsat_Salinas_v7:3:84196349:84196591:-1 gene:gene-LSAT_3X64760 transcript:rna-gnl|WGS:NBSK|LSAT_3X64760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMNKPHSSSKAKQQQPLIIDANSILTRSSPRHLTSLLRTAKISRTIFDSKKSTPNQIHQFVHQVNQSANNKRQTPLRNC >cds-PLY83178.1 pep primary_assembly:Lsat_Salinas_v7:2:73962999:73963875:1 gene:gene-LSAT_2X33340 transcript:rna-gnl|WGS:NBSK|LSAT_2X33340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLFVDNRDGTYIGFYMAMNVGTYKICASFDGMSISPCPFEVATYNREYFPIAYSLDVSVWEHESIVFNALVNDYFVGGKAKVIEYESVCYFLLDNHVVVGELLESKSSQKAPMNTPKSMVTEISGNPDS >cds-PLY97640.1 pep primary_assembly:Lsat_Salinas_v7:5:237328579:237329567:1 gene:gene-LSAT_5X112121 transcript:rna-gnl|WGS:NBSK|LSAT_5X112121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHHNPPPTSMSLQSTLTVMTFITTITIPWFVSNRCRLHSSISILRPHEVEPILFGLRATPDLAISFFESSERHLGFPHHNLESFCSLIHLLLQKRMLDDARRVFDKMTERFREFDCFHAFHMGKPITSR >cds-PLY82705.1 pep primary_assembly:Lsat_Salinas_v7:2:143865517:143868529:-1 gene:gene-LSAT_2X72561 transcript:rna-gnl|WGS:NBSK|LSAT_2X72561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMKQVEKSWFSWRLASLTVVMMFLSMAKPVTPQSTDRNNTMVRYYCSEFYGMNAKYFFQNVNTTLSNLRRQLLVNRVHYAAARTLINGEAVWGLASCRGYVSTTNCVACFDDAVVLLRACGRGSGAHAFYNDCDLRYENYNFYADANNRGGIVICGNTKSPQQTEFRKTVEGLISDLRTATPRTSDFYAASTRALPGRSRTVYGIAQCNLNLSQSVCEDCLKPRYSSLDDCLPSTNGWAINNGCFMRYSSTPFFRLNQTTNITPLLWDGNTNKKRSIIGGVFGGVCFLLLVIAFVVWRLISKKTSGLQQDNSTGSTELLQGPARYSYNDLKVATENFSDECKIGGGVFGEVYKGILKDGGFVAIKKTVMSSTRGKIHFNDQLKIISNVHHRHLIRLLGYCSKGPLLFLVHEFMDNGSLDQFLYGDKSRNLSWRQRFDIIFGTAKGLAYLHEQYHVTIIHRDIKTRNILLDDELQPKIADFGLIRLLPEDKTHLSTKLAGSLDSGYVAPEYAIHGQLSEKVDTYSFGIVVLEIVSGKRCKDVIDDKSVNQSLLDHAWNLYESGTHVNLIDNRLDASEYAAEDVMKIIEIALMCTQSQVSARPAMSEVVTLLSDKSLDEIPPVRSTFHEDDIKIPIDTSISLSSNATASTVHVSGR >cds-PLY84799.1 pep primary_assembly:Lsat_Salinas_v7:8:23871605:23872207:-1 gene:gene-LSAT_8X18621 transcript:rna-gnl|WGS:NBSK|LSAT_8X18621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METIIACANEEIARKIDEALYLKSLLTKTIKERDEFRFKCQILVSKNLVLQQEIQKLEANLSSFVRVARTSYIEDDPIAQVVSSLASNENSTKTQLTDQIPLLSLPPPPSQSLTDVIDNVVLTKRLPEKGKFLHAMMKSGPLLQNLLLVGHLPQWRNPPPKLNSIKIPQVTIPSPFMRVHGFDFPQSPTPRIKKMFTDHH >cds-PLY85235.1 pep primary_assembly:Lsat_Salinas_v7:1:148659784:148662050:-1 gene:gene-LSAT_1X105261 transcript:rna-gnl|WGS:NBSK|LSAT_1X105261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:reduced lateral root formation [Source:Projected from Arabidopsis thaliana (AT5G09680) TAIR;Acc:AT5G09680] MSIEDKNKSSGTIWGDNSNINADVAKQEKVGSLTFKVIDASSQRKSTEPSKQTAGVDVESSNNASQKPKSVGKKPVSRAKVPYQIGYSHMDWLKVTRTHPDLAGLKGQSNKRVISLSEVKQHQSEDSMWTVLKGRVYNITPYMKFHPGGVDMLMKAVGKDCTALFNKYHAWVNAEFMLEKCLVGILEESRQ >cds-PLY94691.1 pep primary_assembly:Lsat_Salinas_v7:6:19466939:19467528:-1 gene:gene-LSAT_6X13941 transcript:rna-gnl|WGS:NBSK|LSAT_6X13941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRVNIMVSERPVVIFSKSSCILSYTIKSLFNDLGVNPTVYELDEIAMSREIEQALSGLGCSMVPAVFIGGKLVGGTNEIMSLQLKRDLKPMLIRAGALWV >cds-PLY77588.1 pep primary_assembly:Lsat_Salinas_v7:2:163996594:163997631:-1 gene:gene-LSAT_2X86901 transcript:rna-gnl|WGS:NBSK|LSAT_2X86901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEELFTAPQPNVPTNSQILKPSPPAPPSSATNTPNSSENHHLRCPRCDSSNTKFCYYNNYNLTQPRYFCKTCRRYWTKGGALRNVPIGGGCRKNKGTTIAAALANHNISNTSKLKAVLSSELGKTGFMNGFQHSDPIFWASLPQTSHLLPLLRPTQNPNPNFALNPVTHTNTFSSSWRNSQTEQNQREENGGIINTGNNLNLGRMYQRLRSFPSNYSYHHDNQTPPVMNTLSSSVMESPPAVSNGEPGLWNPTLPWSDLLLTNAAYR >cds-PLY97276.1 pep primary_assembly:Lsat_Salinas_v7:1:43636940:43640481:1 gene:gene-LSAT_1X38141 transcript:rna-gnl|WGS:NBSK|LSAT_1X38141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDWLGFSLSPHLKFQHQEDGGGGDYGGDRCYSEQCDSSSMAVMTIDPFHSSPTQGWRFENGEDGGGGDGGPKLEDFLGGGCYPIPAKEDEGVGGGGINVNISPNSTYHEYHHTDGDIVESRDTGDCYFSNPPPPAPHPPSSPPCLFYYGYPFYTTNQNPQQNDLIPTTVVPYDGAITPISEFKSWLRQPSIPPPPPPQPPPLSPDCDDQPVNNDYQECLSLAVTPPNAIIPMPPNSSATDNRKRGVLQTKSSTKGDAAPRKSIDSFGQRTSRYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQGGYDKEEKAARAYDLAALKYWGTTTHINFPLATYEEDLEMMKDMSRQEFVANLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGTSAVTNFDISRYDVTRICSSSTLIAGELAKRSLRETGPTMVEGCSEPEPILAITNGETCDDFVDMVWDGNQGREGANNTDNYSPGPQNMGLGELT >cds-PLY70326.1 pep primary_assembly:Lsat_Salinas_v7:4:98315383:98318028:-1 gene:gene-LSAT_4X63581 transcript:rna-gnl|WGS:NBSK|LSAT_4X63581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g16390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G16390) UniProtKB/Swiss-Prot;Acc:Q8GWE0] MSNPQSARMVLKYFQERCNLNRETILYNVTLKVFRKSKDLVGAEKLFAEMLQRGITPDNVTFSTIIGCARLRSLPTKAVEWFERMPEFGIQPDNVTYAVMIDCYGRVGNVDMALRLYDRSRTEKWRVDTVTFTTIIKIYGSTGNFDGCLTVFEEMKALGVKPNLVCYNTLLDAMGRAKRPWLVKSIYQQILSDGLTPGWATYASLIRAYGKSRYGDDAINVYKEMKSKGFELNNVLYNTLLSMCADIGFIDEALEIFNDIKSSTNCQPDSWNFSSLITIFSCCGKVKEAEATLKEMIEAGFDPNIYVLTSLIQCYGKVNRTDDVVNTFEKIIELDITPDERSCGCLLNVMTQTPKQDLHKLTKCIQKANPKLGNLVTLLVDSNIEDESFKNEASEILGDIGDDVRKAYCNCLIDLCINLDQLEKACDLLDLGIRVGIYSDIQTKSSTNWSLNLKSLSLGAALTALHIWMNDLTKAIEEGEELPPLLGINTGHGKHKYSEKGLAGGVESHLKELSAPFHESSEKVGWFLTTKVAVKSWLEGRRVTAMAVSSRVLNIKINSTMGNCINCMQSQKKISVLVSNGGEEKVKALTKVKKLTHGPYPGYNLVHYAHPNSPMPPNAKLLPTEVYILIPQKEKESQKVKIVVTRKQLELLVRDANKDFKISKINPVFSWNGVRSQKWQPSLATIQE >cds-PLY99715.1 pep primary_assembly:Lsat_Salinas_v7:9:56354313:56356018:1 gene:gene-LSAT_9X48000 transcript:rna-gnl|WGS:NBSK|LSAT_9X48000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BOLA4, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G17560) UniProtKB/Swiss-Prot;Acc:Q9LF68] MLTRPNITALCAYGTHLFRCHSLRHYKSQALVRLIVPVEKLGRQQLIMPKLHMVGRRSISTRVTSPSNASGPIDSPLMQSMQNKIKEELNAELVTVNDAYGDGRHVSIDVVSSAFEGKSAVNRQRMVYKAIWEELQNVVHAVDQMTTKTPEEAASGK >cds-PLY77971.1 pep primary_assembly:Lsat_Salinas_v7:1:21265511:21267480:-1 gene:gene-LSAT_1X17601 transcript:rna-gnl|WGS:NBSK|LSAT_1X17601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKASFKAKYDADKTTPASAITLAFNAGDVKLRASMTDATLVNGPCLNGLALAVDKPGSFTIDYNVPKKDFRFQFMNTIKISEKPLNLVYSHSRGDQRTMLDGTLVIDSANKVSANHVLGSGNCKLKYTYVHGGLTIEPSYELAKDSWDFAVSRKVYTDHVLRAVYQTSNQNLALDWTTKSQMAGSYKISALFNLEDGVKVPRVTAESTWDFEM >cds-PLY72649.1 pep primary_assembly:Lsat_Salinas_v7:3:183059371:183066237:1 gene:gene-LSAT_3X109960 transcript:rna-gnl|WGS:NBSK|LSAT_3X109960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDHQSKTCVVLGGRSFAGRCLVVRLLKLGNWIVRVAGSAHSLQLDPSDYDYDLPLNRALSTGHASYFHVDVRSRRSVINAIEGSSVVFYMDEDDSCNLDFYSGYTVIVQGVKNVISACRERKVKRLIYQSTADVVLDGLHDIHNGNERLLYATKFKNVYSELKAQAEALVLHANDMDGLVTCALRPANVFGPGDNHLLPSLVDVAKSTWAKFIIGSDGSMSDYTYVENVAHALICAEAALCSRMVVVSGKVFFITNLEPMGSWEFSLRILEGLGYFRPMVKLPPVVVNLIVYLIKWMHSNTNSRNISKSVSVHNVVQLMSHTTTFDCSAAQQHLEYSPVVSLDEGITSTIESFSHLAKGSASTIYDVLNEQSKMEELLGGGEVAAILLWRDERKSFVCFCGVVSVFYWFFLSERTIVSSIALMLLVITIFLYGHASFSDANPQMSTQLSRYLRFEVSETGMKSCVKVIANIWNEVGRVTRSLAQGKDWNLFSKVVASLYLFKLLIVNSFPNSLGVALAFSFIMFFVYEQYEEEIDGVIGILMELVRQLMVFVMSHLPLISALHKSTTRSSMR >cds-PLY94431.1 pep primary_assembly:Lsat_Salinas_v7:6:8861510:8861792:-1 gene:gene-LSAT_6X5601 transcript:rna-gnl|WGS:NBSK|LSAT_6X5601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLRIPCFHLFSSNILNQPSRLPIISNLATNQVPICEWMESNVACVLRNHQPSVGFF >cds-PLY61914.1 pep primary_assembly:Lsat_Salinas_v7:4:221510177:221511380:1 gene:gene-LSAT_4X120240 transcript:rna-gnl|WGS:NBSK|LSAT_4X120240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCIWCMGWKNTRRLQRIPWYIQLWKETSSFGTIHPLTPYHMILLVLFRVAENNMPNCDLENYEKALLCPGTKNYITSRTSTSKLKEKEINLHII >cds-PLY72933.1 pep primary_assembly:Lsat_Salinas_v7:1:91025068:91025253:-1 gene:gene-LSAT_1X76160 transcript:rna-gnl|WGS:NBSK|LSAT_1X76160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVELFGEMEEQASTVAMDVDDVEALDIFGEGPIAALDHHRLTDSDFFNSFEDDFDDADIN >cds-PLY97404.1 pep primary_assembly:Lsat_Salinas_v7:4:15005586:15006120:-1 gene:gene-LSAT_4X11320 transcript:rna-gnl|WGS:NBSK|LSAT_4X11320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANAFHLIVTLLAFSHLLFMAEAIFISGNSHRHLLMNDKEQFMASGDTKNQMMNMEETAFLEDEFMSERMDLEKTDYPGPGPNRNHTPKPPQRD >cds-PLY93526.1 pep primary_assembly:Lsat_Salinas_v7:4:278075127:278075321:-1 gene:gene-LSAT_4X142000 transcript:rna-gnl|WGS:NBSK|LSAT_4X142000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFSLQPHHLLVSSPTSIIRTRSSLVYSPTPHFPLTLTPPLSLPYFTASTSFPHRDRPQPISYI >cds-PLY87810.1 pep primary_assembly:Lsat_Salinas_v7:4:293125275:293128756:1 gene:gene-LSAT_4X148800 transcript:rna-gnl|WGS:NBSK|LSAT_4X148800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLINRSGRSLIESYDMTEDSGIHRENELRTKRRFDRCKIKGDEVVVINSCSGKDNATVKKLDEDEDSDFEDDKPVLLYVESVRCDSVKIVRCRPAICCRNVDKLRERERVECRTIGVGMGELQDPFQVINEASGNSNVGQEKVQGNDAVGVKSNGRRCKGNQGEEIFSGSVESVETTISTIKEMYDMILQQKKVLEDKIDDVVKKYPDNQLVNEWKNKVIDLFTRC >cds-PLY62639.1 pep primary_assembly:Lsat_Salinas_v7:3:36984464:36986934:1 gene:gene-LSAT_0X37240 transcript:rna-gnl|WGS:NBSK|LSAT_0X37240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADTVVVDVHDIKSILTSTETDYLVRNNGDQVAIDTLNGKNLGLYFSASWCGPCQRFTPNLVEVYKELVPKGDLEIIFISADEDLESFNGYFSKMPWLAVPFSDSKTRESLDKSFKVNGIPHLVFLDENGKLLTDRGVEIIVEYGAGAYPFTPERLKEIKDEEEEARKNQSLRSILESPSRDYVISANGKKVNITDLEGKTVGLYFILSSFKKSADFTPTLIKVYNELKSKQENFEIVMIPLDDNEESFKKELANIPWFSLPLKDKKCEKLVRYFELSTLPTLVIISQDGQTLHPNVADAIEEHGINAYPFTPDKFSELEKIEKAKRESQTLESVLVSGDLDYVIGKDSAKVRVSDLVGKHVLLYFSAHWCPPCRAFTPKLVETYHEIKNKHDNFEVIFISSDRDQDSFDEYYGSMPWLALPFGDKRKQSLSRLFKVNGIPLLAALGPTGKTVTTEARGLIMAHGADAFPFTDERMAEIEAKFADMAKGWPDKVKNRLHEEHELVLTRSRGGYTCDGCDEEGKVWGYSCEECNFDLHPECVFKETSNGKEDVEEKGNPEGWVCDGDVCYKAS >cds-PLY81282.1 pep primary_assembly:Lsat_Salinas_v7:2:27582406:27584049:1 gene:gene-LSAT_2X12780 transcript:rna-gnl|WGS:NBSK|LSAT_2X12780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVEGIAIGIDLGTTYSCVAAWFDKHNRVDTIPNEQGNKITPSCVAWNGTELLVGEGAKNQISRNPKNTIFDVKRLMGRRFSESRLQDDIKSWPFKVVEGSADEPFIVLENETENMKFSPEEISSMILKNLKEAAKAYLGTTCTDAVITVPAYFSDKQRQATKEAGTLAGLNVMRLISEPTAAAIAYGIDKSADKNRQDKNVLVFDLGGGTFDVSLLNICKDGAISVKAVGGDTHLGGEDFDKLMVNHCVQVFKKTQKKDLSKNARSMMKLKVACEKAKRDLSSTTLTAIEIDSLFEGIDFSMKFTRAKLEELNDAFFKKCIEHVENCLRDGNMEKKDIDDVVLVGGSTRIPKVQQMLMQFFESKPLCKSINADEAVAYGAAVLAANLHGNGNESVQSLILVDVTPLSLGIWADSDDTRGLMSVVIPRNTPIPTLKDGTYCTSADNQVSMRIDIYQGESRLAKENIILGSFKLHGIPPAPAMEQEVKVFFNIDANGILNVSAEVKSTGNTNSINIDVWKHV >cds-PLY91564.1 pep primary_assembly:Lsat_Salinas_v7:1:11501758:11510478:-1 gene:gene-LSAT_1X9801 transcript:rna-gnl|WGS:NBSK|LSAT_1X9801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional corepressor SEUSS [Source:Projected from Arabidopsis thaliana (AT1G43850) UniProtKB/Swiss-Prot;Acc:Q8W234] MVPPGPPTPLAGAQSVPSSLLRSNSGLLGGQGGSMPSQSGFPSLVSPRTQFNNMNMLGNVPNVSSLLHQSYGNGGPSSGMSSSGNTLRGIIDNGADNDILSNIGNGMAFNAPSSSLGPSNMIHSNAPGQGQVPGQHFPNSSGNQILPDQQQGQQRDPSNMRQTQQSLQQYGVPNNNHQQQQYQTSQGGLGGVGQVKMEPQVANDQHGQNPQQMQALRNLGPVKMESQVQTMRNLPPVKMEPQHSDQSLFLHQQQQQQQQQQQQQQQQQQQQQLLHMSRQSPQASAAQMNMLQQQRYLQLQHQQQQQLLKSIPQQRSSIQPQFQQQNLAMRSAAKPVYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNARKKWCVSLYGNGRHTTGVFPQDVWHCEICNKKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSADLKICSWEFCARRHEELIPRRLLIPQVSQLGNAAQKYQASTQSASSSLSVPELQNNCNMFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETRTGPMESLAKFPRRTSSTSSFHNQSQQPEEQQKQQMHQQQQLQTTSNNETSAMHITSTNGMPSVNNSNSAPPTPSNSTIAGLLHQNSMNSRHQNPYAAAGGIQIPSPGSSSTVPPPPNPNPNPSPSPFQSPTASLSNSAMAVSVPDADANDSQSSVQKIIQEMMMSSQLGGGNDSGLVGNGNGNGNGNVNSIITSGGIGGMGGHQAGMMNGMRAAMGGHNSLSMNGRVAMSMAARDQMMNHQHQQHQHQHQHQHQHQQHQHQQHQQQQDLGSQLLNGLGAVNGFNNLPFDWKPSP >cds-PLY63464.1 pep primary_assembly:Lsat_Salinas_v7:7:152062704:152066697:-1 gene:gene-LSAT_7X89320 transcript:rna-gnl|WGS:NBSK|LSAT_7X89320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGNTSSTDGGVSLTSKTPIFGQKLYVIVAVTVLIVTAVLVAIFCCIRRNLNSKRRRRMRVKHSSGLLPLVSEAINIEKIEKTSKISVFQKDDREKEEIRPIINVVEGKLGNTNSGSNESGTSWSEGASTSLSADGMNNLGWGRWYSLNELEIATSNFTPENVIGEGGYGIVYRGVVRDGFVAVKNLLNNKGQAEKEFKVEVEAIGKVRHKNLVGLLGFCADGAKRLLVYEYVDNGNLEQWLHGDVGPISPLTWDIRMKIAIGTAKGLAYLHEGLEPKVVHRDVKSSNILLDRKWNAKVSDFGLAKLLGSEKSHVTTRVMGTFGYVSPDYASTGMLNECSDVYSFGVLLMEMVTGRSPVDYSRAPGEMNLVDWFKGMVASRRGEELLDPKISIQPPSRALKRVLLVCLRCIDMDANKRPKMGQIVHMLEADDFPFRTVSHLKL >cds-PLY65033.1 pep primary_assembly:Lsat_Salinas_v7:1:117975058:117977371:1 gene:gene-LSAT_1X90981 transcript:rna-gnl|WGS:NBSK|LSAT_1X90981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGLDLKKYLIPLEEIKHATDDFSPHRCIGGGGFGKVYQGQLSTQGQNCITAIKRLDQDSYQGEHEFRNELEMISRFQHKNIIRFFGYCDEGKEMIIIYEYATNGSLDHHLEDPNKRRCITWIQRLQISIGAARGLGYLHSGLGEHNRVIHRDIKSANILLDENLVAKICDFGLSKLGLRNQPETQIYTKVAGTQFYLDPTYHESGILHKESDVYSFGVVLFEMLSGMLVYSRRNIGDDKPQSLINFVRRYYHTSLDKLIDPYIKDEIDSRSFETFKEISYQCISFNLMERPTMDTVIARLEEALSYIQIPDVQNNLGQQDHMIPVNKEYVQKEMDKINLDDSSGSNDHIPINESSKIIRVDAEKIQALNQVRRKKIKDDLKNQPLIKVPKIKRPTKPFTRIQTGTNPTPIQYVIPKVPPPQQAPPTKNVLKGIVIGESSPTPPPETTAGSYKDKDKDIVIEILKKS >cds-PLY97921.1 pep primary_assembly:Lsat_Salinas_v7:4:83089544:83092180:1 gene:gene-LSAT_4X55440 transcript:rna-gnl|WGS:NBSK|LSAT_4X55440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSFVGRVLFVSVFLLSAWQEFNEFGVDGGSSAKVLTQKFKMLSKHFTTFTGFQVPNFEIKFFVAGAIAIKSLGSFLFIFDSTIGATLLILHQLIATPILYDFYNYDTGKKKFFQLTFSFTQSLSLLGGLLFYVGMKNSIPKRSSIPSHGIATTQTVENVRDEYIEHLKDELGQARVESEIMFEKLKLTQARLNETQEELSQCYQEITRLNRELKGKSNAEGFKRWFS >cds-PLY71901.1 pep primary_assembly:Lsat_Salinas_v7:MU043812.1:155233:157280:1 gene:gene-LSAT_0X23521 transcript:rna-gnl|WGS:NBSK|LSAT_0X23521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALAIGSMMYHATLQHVQQQSDETPMVWEMLLYIYILYSPDWHYRSTMPTFLFLYGVSFAVLHSIIRFDIGFKLHYILLCLLCIPRVYKYYIYTQNTSAKRLAKSYVATLFLGSLCWFVDRFFCGQVSKWPVNPQGHALWHVFMGFNAYFANTFLMFCRAQQREWSPEVVRFCGVLPYVRIEKIKKQ >cds-PLY83539.1 pep primary_assembly:Lsat_Salinas_v7:1:64858407:64860333:1 gene:gene-LSAT_1X55841 transcript:rna-gnl|WGS:NBSK|LSAT_1X55841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLASMTTPTEAPKKRESYQSSRPPLNERIISSMSRRSVAAHPWHDLEIGPDAPTIFNCVIEISKGSKVKYELDKTTGLIKVDRVLYSSVVYPHNYGFVPRTLCEDNDPLDVLVIMQEPILPGCFLRAKAIGVMPMIDQGEKDDKIIAVCADDPEYRHYTDIKELPPHRLAEIRRFFEDYKKNENKEVAVDDFLPADKAIEAVSHSMDLYADYIVEGLRR >cds-PLY63036.1 pep primary_assembly:Lsat_Salinas_v7:8:74792654:74795362:1 gene:gene-LSAT_8X53860 transcript:rna-gnl|WGS:NBSK|LSAT_8X53860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLNSSSAQVDTTLSSHASGTSKNVSKSSYSGPSSLTISSYSEMSSASSLQTPRSEGEILLSPSVKPFSFIELKNATRNFRPDSVLGEGGFGCVFKGWIDEFTLTASKPGSGMIIAVKKLKPEGYQGHKEWLTEVKYLGQLRHPNLVKLVGYCSEGDSRLLVYEFMPKGSLENHLFRRGPQPLPWATRLKVAIGAARGLAFLHDAEEQVIYRDFKASNILLDAEFNAKLSDFGLAKAGPTGDKTHVSTQVMGTQGYAAPEYIATGRLTAKSDVYSFGVVLLELLSGRRALDKQKTGIEQDLVEWAKPYLGDKRKLFRIMDTKLEGQYPQKAAYTAATLASQCLNLEPKTRPRMSQVLSSLEELQASKGASKDHHKVMSSPVGKSPMRQVPHHRQSPRNLTPLASPLPHHRQSLQVFAYSGMINEYDKKTIILRCSFFLFLFRLSDVWINGDMIKFLFSHMDKVLIDE >cds-PLY88572.1 pep primary_assembly:Lsat_Salinas_v7:7:7918392:7922097:1 gene:gene-LSAT_7X7380 transcript:rna-gnl|WGS:NBSK|LSAT_7X7380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINLASYLPLDLSVIELVLGLSKLLGFSLDSTPSSSCSSTSSLPSQFYLLISLASSFPFSTLQPRAAPPFLTPTLKPASTCFDTKCWCIRVNVMMVDGLEARIAMGMYMGIHNPTTLRSTPSFMRTKLRAKPSAALIASSRTEGRTVGGLLLQPKHDLNEVKGDDDTVNNIIIKKKKRVFFLDVNPICYDGSTPSLHSFAHWIFLFFSEVSLTDPVIVVSTTSLHYVSMPNFKPNRPIVLSQ >cds-PLY75847.1 pep primary_assembly:Lsat_Salinas_v7:9:195364846:195374057:1 gene:gene-LSAT_9X120341 transcript:rna-gnl|WGS:NBSK|LSAT_9X120341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRAAKKDKLKMPVAKKPLQNTVALVAENHVMNVESEEPEDATPLRMETVNPSTSTTIKRGTQEDSEIEKTGYGEMLSHPNALSQIIASVIKEITKDAGGLANLSRSLVGNSVSNGQMKQPHADMDHEENQSQHHQNPEYKQEELNAALRVIKRTMKLNAAEPFNKPVDPIALGIPDYFDVIDTPMDFGTICNNLENGVKYMNSGDVFKDVEYIWSNCVKYNKKGDAILELMKRVKTYFMKYWKSAKLQSKKSQPVVESSVLRHKEEEKEKPQTSVANNSARLQQKETGQPQQNSNQPQSSSSTEEDEPNPESTIVKKKRRFQGPTPSPELVKRIKIRTNKRGKPVGPGAAQLTSLLGAIACDVNFAPLTYYDWKKIPQENKDNMWQEVLSKFDIDSDCRRWVMLSIKKNWRTFKSHLKANHYDVHENDEDRLADRDERVPPDQWSALVSQWSSKKSQVAFRDMESGSSDHDEDSKQRALMIKLKAIKEKIVITYNKKGVPIGDEAAKLATFEGFIARTMVPITYASWKDISKEKKEELWQCVLMSFVLDPKSRKHTLQSLGKKWRNFKHYLYDKYIKGYKNDPEVNLLNPPDKYPFLKKEDWKLFVEQRLSDKWEEKSNDAKKVRAHHKYNHRLSRKGYARLVVEIMQQTGKTEEEIDRTMLWKKARETKEGGYDPDVKKIVDKMEELQNSESCGEITYGTNDVLTQALGTQENRGRVRGMGKYVAPHQYFLLPKTVKQYLDLENKKLDQRFSKLEGEIEKLKRGVNPVSEAASRQMWGNADLQDQPLDNSCYLALDVATNIVAKGTITKDSVSDENIEVMMETSVQGEALLPVPVEEEFIVKVKDAVGHILNWPRYLVIRCSDLEKVMAKPIGKPVKEELKSKKEEKRQRESDEETEKGKETEKGREMSQRRRTRAQKRTRIRIDNNRVLKMTARMVDAQVLKAESIKVQCEDDLFGYESYTYLSYEDFEVVFTLDELTGVVITCYMMYLFEQIKNGPKSDHGICFVSPTAISPRARKDKSKNIADASKSVADRLSTRKDNDLILLPYNPGRHWVLAVLDMKTTTCYYLDSLRPNNVNSQLKNIIEAAMVLYATQSGSNKKVKLNWINVTCPRQPGGTECGFYMLKFMKEIVEEGVKVLVNKNVIAYGRFKVDEGGVKMVILMVERVAMVGIIGRGRRQSDGGAREGN >cds-PLY83265.1 pep primary_assembly:Lsat_Salinas_v7:4:146529517:146533820:1 gene:gene-LSAT_4X89560 transcript:rna-gnl|WGS:NBSK|LSAT_4X89560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVSTPLLFIFLVLGSSSINGDTDPNDASALRVMYQSLNSPGQLTKWTANGGDPCGDHWKGVTCSGSRVTEIDLSGLGLSGGIGYQLTSLTSLNSFDISNNNLGNQIPYNLPPNLQRLNIAGCGFSGSLPYSISLMKPLKYLNAAHNQLNGELTDMFGNLPSLSTLDLSFNTLTGNLPQSFSSLSSANDMYLQNNQFTGTIDVLADLPLKNLNVANNKFSGWVPSRLKNINLQKDGNSWNSGNAPPPPPGSPASGSGNRNRQPSGNGNPSTSNSGNGGKKSGVSGGAIAGIVISILVVGAVIAFFLVKKRSRKSRTDIEKTENQPFAPLVQPQGVQELKSVQASSTANTKAFEIPSTINLKPPPMERHKSFDDDDYTATAKPVVPKKVSIAPTNATSYSIADLQIATDSFSADNLVGEGSTGRVFRAQFEDGKVVAVKKINSSALPGRLSEDFIDIVSDVSRLRHPNVTELVGYCTEHGQHLLVYEFLKNGSLYDFLHLSDEYSKPLTWNSRVKIALGAARALEYLHEVCSPSIVHKNIKSGNILLDSELNPHLSDCGLASLVPNADQELDNNGGSGYSAPEVSMSGQYTIKSDVYGFGVTMLELLTGRKPFDSSRTRSEQSLVRWATPQLHDIDALAKMVDPALKGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANMSKRTVGNDRSDNSQDAQEFEG >cds-PLY71998.1 pep primary_assembly:Lsat_Salinas_v7:8:148053217:148056065:1 gene:gene-LSAT_8X99960 transcript:rna-gnl|WGS:NBSK|LSAT_8X99960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSILTMEYYEKIPVLLDIYYRKAKEEGWRARSAFKLLQIDEEFNIFEGVKHVVDLCAAPGSWSQVLSRKLYLPAKLSSDSKDDDLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAGLTIVTHILKNGGKFIAKIFRGKDTSLLYCQLKLFFTEVTLAKPKSSRNSSIEAFAVCENYSPPEGFNEKDLHRLLEKVGTPSGADDLDCSSGWLEGPNKIYIPFLACGDLSGYDSDRSYPLPKAADGSYKSLDPVQPPIDPPYKRALQMKKASNNYN >cds-PLY78726.1 pep primary_assembly:Lsat_Salinas_v7:9:51677065:51679835:1 gene:gene-LSAT_9X46800 transcript:rna-gnl|WGS:NBSK|LSAT_9X46800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSNGNCKYSCATATETLEWIRAIIDFINPYSFFWESHVVNFLTHELWKSVDKEWIDCLKNEPVEYLVQIPSGFVQDHWPSSLKKFITTSSSLAFPREQADLEKVLPNMQVALLNAVITQGMNPKKKHEIEALAGVVSSVARDVETNTVIDVGAGQGYLAQVLSFEYQLSVIAVDSSSHHGTITDTRAQRIKKHYDARKHKSSRKMPKTVTCCVLSSDMLKGLLAEQDTHIDMCCSSVLLTGLHACGDLSVTMLRTFLDCEQVKAVVSIGCCYNLLSEEEEETVDDDDDLCGSGFGFPLSRGVKSSGLHLRRNARDLACQSADRWRGLGKDESLHNFEMHAFRAAFQMVLSKYFPETLTTSPTIGRQGKAFRRIITNNVEDRCSLFEKFSESAMHRLNLNNSKSIDFAGMWKKAEPFYEVIGAYWSLRAALGPVLETLILLDRLLFLQEQGVEAFMLPIFDPTLSPRNIALIATKSNKRL >cds-PLY81076.1 pep primary_assembly:Lsat_Salinas_v7:6:130552129:130552849:1 gene:gene-LSAT_6X78680 transcript:rna-gnl|WGS:NBSK|LSAT_6X78680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCLVLPVSILSRRCSGHLLGYRQLTDDFFVGSDDPITVVVGKEKKEFLVDPFVLDENPFRVLFDLVKNKKKHSSSSSSSSSSRLMVDQQKKKRVLYVDVDAILFEHMLWLMHNDVSSLFKLNLREIIDFYAQDY >cds-PLY95838.1 pep primary_assembly:Lsat_Salinas_v7:5:65182305:65184007:-1 gene:gene-LSAT_5X30621 transcript:rna-gnl|WGS:NBSK|LSAT_5X30621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELMFFTCKLKLISIFLCLSLGFFSSITSASFLDCISRQISPNFTLSDILLTPNDTSYSIVLDSTLQNLRFSTPTSPKPLAIITPSSYSHVQSTVICCVDYGLQIRIRSGGHDYEGLSYTSHDQTPFILLDINKLRSVTVNLDDKTAWVESGATLGELSYWVSQKSNLLGFPTGECTSVGVGGQISGGGFGTMARKYGLSADNVIDARIVDVNGRILDRYSMGEDLFWAIRGGGGGSFGVVLSWKINLVYVPPVVTVFSLSKLLDEGATRVVNKWQYIAHNITEDLFINLVVRPVPVPDQEGNTTMQVTFNSLFLGTADELMAIVNETFPELGLQETDCIEMTWIESVVYFSVYLRGESIHALIERRPWPKSYNKFKSDYVKKPIPEDALEEIWKWCLKENLILAIEPHGGRMSQIEETETPYPHRKGNLYIIQYVMQWTDAGFNESENRVASIRKVYENMTPFVSKNPREAYVNFRDLDLGSNGCGCRTSYLQASKWGRKYFKGNFRRLSMVKGEVDPTNFFCNEQSIPPLVLVHSSS >cds-PLY84245.1 pep primary_assembly:Lsat_Salinas_v7:7:79383203:79383508:-1 gene:gene-LSAT_7X55580 transcript:rna-gnl|WGS:NBSK|LSAT_7X55580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPVLPQYVDLGDSDCVCEYCGAFFWFSERSMKLSTRHHSGIVVVAGLVKLLCPIPLGFQQYVRGIKKSHGDLQETETTIQELKKLLKTLPEDDQPYID >cds-PLY92394.1 pep primary_assembly:Lsat_Salinas_v7:2:155378933:155381174:-1 gene:gene-LSAT_2X80860 transcript:rna-gnl|WGS:NBSK|LSAT_2X80860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGESGVKSALVDGNLLIAKALVRGGIDRMFGVVGIPVTSLANRAVALGIRFIAFHNEQSAGYAASAYGYLTGRPGILLTVSGPGCVHGLAGLSNAAANAWPMVMISGSCDQKDVGRGDFQELDQIAAVKPFVKFSIKAKNINEIPNSVFSVLDHAGSGRPGGCYLDIPSDVLHQTITESEANKLLDEAENSRKVDPIKIVAKEEIDKAISLIRKAERPLIVFGKGAAIARAEDELKNLVEKTGIPFLPTPMGKGLLPDSHDLAATAARSLAIGECDVAVVIGARLNWLLHFGEPPKWSKDVKFILIDIDEEEINLRKPYLGLIGDAKRILQVLNKEIKDDPFCLGRTHPWIESITNKVKENVSKMEVQLSKVVVPFDFLTPMKIIREAIASLGSPAPILVSEGANTMDVGRSVLVQMEPRTRLDAGTWGTMGVGLGYCIAAAIASPDRLVVAVEGDSGFGFSAMEVETLVRYELPVVVIVFNNGGVYGGDRRCPNEITGPYKDDPAPTSFVPGAAYHVLIEAFGGKGYLVGTPEELKSALDESFSARKPAVINVTIDPYAGSESGRMEHKN >cds-PLY73201.1 pep primary_assembly:Lsat_Salinas_v7:4:263366453:263366794:-1 gene:gene-LSAT_4X136900 transcript:rna-gnl|WGS:NBSK|LSAT_4X136900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGQLSSILEKEIFNVQRVTVVRTEEICLSDGLIRQKMKYLHYLRKHMNTKPSHGPIHFRAPSKILWRTIRGQVATVEALRHSAVMTRERWKEEGFDFVPMVAAVCSVVFRAD >cds-PLY63773.1 pep primary_assembly:Lsat_Salinas_v7:6:27108201:27111421:-1 gene:gene-LSAT_6X20300 transcript:rna-gnl|WGS:NBSK|LSAT_6X20300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSGPPFHREGLPTTISKAEKQRWRSSSSSSKSSSSRPSILLAFFSCLAWLYIAGRLWQDAENRTLLANLLIKNSSQRPKVLTVEDKLMVLGCKDLERRIVETEMEITLAKSQGFLSNQLKSSSNKKFLAVIGIYTGFGNKLRRNSFRGSWMPEGDSLKKLEERGIVIRFIIGRSPNRGDSLDRNIDEENRTTKDFLILDAHEEAEEESPKKAKFFFSTAVQNWDAEFYVKVDNNINLDLEGLIELLESRQGQDSLYIGCMKSGEVVSEEGKQWYEPDWWKFGDAKSYFRHAGGSLYILSRNFAQYININSVSLKTYAHEDTSVGSWMMGIQATYIDDTRVCCGTSRQDKVCSLA >cds-PLY85351.1 pep primary_assembly:Lsat_Salinas_v7:5:243130239:243132520:1 gene:gene-LSAT_5X120441 transcript:rna-gnl|WGS:NBSK|LSAT_5X120441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKDHEMRSVTSIQAEALYEPIVYLMKRSLVCLGIVEALAIQTVTWENDREELKKAGFKTIALTVDTSGLGRREANIKNRICKYMVVISDKVDFGVFRGSNDNHLTGSPMGGTQSNFYCYN >cds-PLY97173.1 pep primary_assembly:Lsat_Salinas_v7:2:206022392:206023722:-1 gene:gene-LSAT_2X126580 transcript:rna-gnl|WGS:NBSK|LSAT_2X126580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVESKSQSQGQDSQIMSENSKCLAENVEGFQQCVKEIHNQIEQDENFFKIIAEFYDSKKLNMAKLVSELSRIHGALADQTIDLIKEVSKNTLKITTPIKTQHVDSSTSTSTQVTQIIKTPEFMTPVGYDFILDTSGGGFHISTREGSESSFTLSSNSDQSESFMSINKNLNPPVKNDESKAKEIKIHDPEPEVLLKKISTLEKEQISLKKKIQYLRDENALLEAEKANMAELKRMVSESNRKIETTGKVLEVYRKQVLTADDETTKLKEELARKVVYQTQLVKKLESIEEVISMLTGKLDAQEARERKLHEQVKLSLAGISKRDEVINELSTQIDLLKNSHATEEDKWNTAIEMLKTELTEKCELVDDLNKKHDALKICTDELKLKLKGVELEKGEVTSKDAV >cds-PLY90433.1 pep primary_assembly:Lsat_Salinas_v7:8:213451731:213452907:-1 gene:gene-LSAT_8X133381 transcript:rna-gnl|WGS:NBSK|LSAT_8X133381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEQKVCDAIELQSSPAEINKQEAEKQYHLLTLTRSRIREDLEQRKADAYQIKRKLMWFLQHDHYIQWKTREIKNLERCLGKAEIHMPTNLVPKRTGFFSLPEKDGRTPVRPDRKRCQKRLIKKERVDSHYLQQVQEELLCSKKSGGMTSSGRQEVNDLIDSMAQRIQHGNKCRADEMRIYREMRNVKETREIYTAPDQPKHTRNWYTRERTSKRDIDSQRYIQHKINIRLDDIEDLKMDMKGRKARVTRLKADMELVRKSISCLQKELEDVNTKRIKAYQRAYELVEQKKGLVQ >cds-PLY97798.1 pep primary_assembly:Lsat_Salinas_v7:7:120264620:120264868:-1 gene:gene-LSAT_7X73781 transcript:rna-gnl|WGS:NBSK|LSAT_7X73781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNDYNLDPEINHYLGFIDVLGKYGNLNEAFEFIENIPFEPTFEIWESLMDSTRIHGDIELKDRAITFLQPSIALHKLPLLN >cds-PLY71454.1 pep primary_assembly:Lsat_Salinas_v7:7:190369415:190372156:1 gene:gene-LSAT_7X115881 transcript:rna-gnl|WGS:NBSK|LSAT_7X115881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGFDDHGGIIGDWMPPSPSPRSFFAAMLGDDPGSRSVPDPPKHDTNNDTGFTFPGPNPQIGSENGDATKSSEFGDQKTSSRAPLVERMAARAGHNAPRLNTEIIKSSDNSQTQQSPYLFSPGVSPTSFLESPVFLSNSLVQPSPTTGKFQFVPNGNGRSSMMFLDSSNRVKENFFEDTNNTSFAFKPFPDSAPVSRDHVNPPFMSTQSFQHNETLVQSERQFPPQKIEPTQNETSSLHIRSGFLNGSSERSQEHHEDDADQRINGDIGNNSNSASSEDGYNWRKYGQKQVKGSEYPRSYYKCTHLNCPVKKKVERSHEGHITEIIYKGAHNHPKLGPTKRSGLGCSNMLSDMQGDGNDQGGNGGQWGQEGNYEVTSSGMAMQGQNGHFESSDAVDGSSTFSNDEEDDRATHGSVSLGYDGEGDESESKRRKVEAYAADVSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSTGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHANNNNNNNMTPEALSMPEPSRMQTAMARFDRAQAQVNHHYGLPGGGHGQMGGPTGSGTTHGYNGYPMSQQGPGGLAHMGLSLANHHHSHGKMPVLPVHHYLGQPQPLMLPKGEPKVEPMSDPGAPIYHQMMNRLPLGPQM >cds-PLY65669.1 pep primary_assembly:Lsat_Salinas_v7:5:268808535:268808903:-1 gene:gene-LSAT_5X139721 transcript:rna-gnl|WGS:NBSK|LSAT_5X139721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRITSYLLVVGFVLLFLAPFVSEWVPFYYTSSMAIGVLVVVLILLYQERKLLPTGRRNAFYLGIMSPIVSFFSLTVHLS >cds-PLY81736.1 pep primary_assembly:Lsat_Salinas_v7:3:30433802:30434833:1 gene:gene-LSAT_3X22861 transcript:rna-gnl|WGS:NBSK|LSAT_3X22861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLMSNQPSPFANFKIVKFLKSDFGRVYLEVEANEKATTSLVVDSSDQCSIFRMVSREEIEVMSDMVLATLLIAKIRYRLKKRKALTDTKQGHDMEQMDAPRKSCKRKMHEHGKVQVKKQKVQSAFEKQWHFGKMMTQIKKGKSEGLLRKLPTSDTCDLILLLQQIDGLVTELSASKRPVLQETFSILCEEAAVVTNNMLDCMKIPCHKKPKPSNV >cds-PLY83704.1 pep primary_assembly:Lsat_Salinas_v7:4:42390128:42391995:-1 gene:gene-LSAT_4X29400 transcript:rna-gnl|WGS:NBSK|LSAT_4X29400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELLYLLCSIISTTLISFTLSLLLPFRLLLHRLFPRSTTADATTSISLYDGTVWHERRRPVHHSFKYSVRYAFIDLDHTPHAPPHHLSPQQARDIAQTTGPVFLLTIPPSVGYEQNPLSLYYCYNKEGSNTTLIKCIAEVTNTPWGERVQFLFNPSSDVVAKPLHVSPFMDMLGNWSIRTDTPGDNLVVNISVKHPKHGDYFTASLRANRVSSSSVDHAVFFWLMPHKVALWIYWHALKLWWKNVVFIMHPRYGNSSYKEDALRRDRSFACCQAFGTQRNESGKIEKSIESDFFDSDVNKKDRWFQWTDAKWPWC >cds-PLY65314.1 pep primary_assembly:Lsat_Salinas_v7:8:104701238:104710067:-1 gene:gene-LSAT_8X70821 transcript:rna-gnl|WGS:NBSK|LSAT_8X70821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKSGEVTRHIFGDLTYQRIHGYIEEFQGLGFEKGIQDTRIHLNLVNKQRSDDVKAELGDDFGFVYGFVRSIEEGFEGSWHCATVIDSKNQIRVVKYDHITHDDGSDNLVDSIPASFPVNHKLPPDRNTSKFHKNRGRIRPVPPRFKCNLNCLHYGQCVDVFHEDAWWEGVVLDHDDGSDERLVFFPDVGDELRAKIENLRFTWDWNPESDKWKLRGNWVFLEVLEELESEWPILVSVKQIWYELRMKKKFLREMKEWTCQISEVWKETVKEVIVDNLKLTMVEFFSRLQREGHGILNINKKILDFISKMKPSFFESLPAIPFEHELDNDVVDDDVVVDDDDGDDDDDDDDDDDDDMGPPGFSNVHSDQDMIMSTKPENIYEWTKVNDCCFAEPGLAVKSECCPDSIFEYNEFKRVSHKPSAALTSKVRKHLSFLGWIIEIKKDQASSTKKDSVRYRYTTPEGHRFMSLAIACDVICSCAHASENSSLQPYTPPPRPPLVVVEPEYCPQAVADYYSMTLEKSGIWRRKREQLNDMQYKAKKHLFAVGWTYSYADDMSRKVLYTSPNGKKFYSFREACKQYLLESFCSGPMVNVEKDEGEEREKGKEQVIGKLVIKKKDGVLCIEAAAPPRVPRAKASFLNLDEENQETGKSSTKNRVLRSSKRARKEISPTHKTPRTVLSWLMDNNVILSRSKVYYLNRKDGSIMKEGWLTIDGITCSCCETVFSPSKFELHSGSTLRRPSANIFLEDGRSLLDCQIQLKRDQNARLCKSEPKKLKGNRRPIINDNDYICSVCHYGGELVLCDKCPSSFHTHCLGLKEVPDGDWFCPSCRCRICDENKFSDACEENTDSNVLSCEQCERRYHIGCLKRKEGFLKLESYPQVNWFCTLRCEEIFMGINKLLGKPIPVGRDDLTWTILKHKKPDETLNMEEITESYSKLNIAISVMHECFEPVKEPRTQRDIVEDVVFGRWSELNRLNFKGFYTVLLEKDDELVSAATIRIYGEKVAEIPLVGTRFRFRRRGMCHALMNELEKKLMELGVERLVLPAVPSVLHTWTSSFGFSIMTESEKLEFLGYTFLDFQGTQMCQKRLVKTPPSAESSISRENNHETVNGSNGVDLDGVSAVSEVSQTEKVEESGMMMIDHHSLKADDGNQDNNGNGSAPPEILEKQATHVECESEISMEYSMEASNSKEDDNNDNNSHLKCYQRRKVICGS >cds-PLY66619.1 pep primary_assembly:Lsat_Salinas_v7:4:282763845:282765455:1 gene:gene-LSAT_4X143781 transcript:rna-gnl|WGS:NBSK|LSAT_4X143781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARKIHKLRKVPNSYLWNNSTCGLFGDGVLRVLTRSTFAAIRYFVSCTSKEDSYELNKMLTQCDGSIRSINKMHAKIIIGGYEQNVFVGSKLIAIYSGLGQLYMKDARKVFDRLSERDVFLWNMMIQTYANSDMSPEALDVYKKMREQDMLLDKYTFTFVLKASGITKDEKTGCVLHGHVIKCGFYFNLFVGNALVAFYAKCEMIEPCMKVFDEMPQRDLVTWNTAISSCANNDRIAKALDLFRTLLHEQSLSLPDHATLVSILPACAQAAEIQLGFWIHCYTIKTGLNKDAMVGSGMIAMYANSGHLDYARQVFDEMPERNIMVWNAMMRGYGMHGNVEETLNLFSNFLKDGFTPDGIVFLCLLSTCSHVGLIAKGREIFKQMEDYNVERGQEHYACMVDLFGRAGLVMEAVELIKSMPMGPGKSVYGALLGACRMHNYIELGEVVAEKLFLLDPMSGGRYVTLAKLYGGVGRLKEAAAVRKMMVKSNIKKPFGYSSVKVDSVVHTFGAEDENHVRRIEIFDTLVGLDKVMTEEN >cds-PLY63919.1 pep primary_assembly:Lsat_Salinas_v7:5:127717777:127718592:1 gene:gene-LSAT_5X57080 transcript:rna-gnl|WGS:NBSK|LSAT_5X57080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRNQDYNEKSRRLNVGQTAAAKNNGMRTGCSLRDHRSYANVVRPENTSSVHPPGPPPPTPIPLHQDLTTLSWLRKCSLVGEATLLDHLGHLPKLLHAKGETCIEVKYIGGLVVLLLFDHSVTAKEFMEQEYRWKEHLKWVRWGDKVETHGDRVAWIRIVGLPLRLWGQRNFQTITEGFGMIIAPFEDIPHRVDLSHVKIGLLTTRKARINDEIRVAFERKVYKLGIIEFDEDWFPFRFDDAEDYVESAGIKEKTDEVVQRAKENDEMEEG >cds-PLY92698.1 pep primary_assembly:Lsat_Salinas_v7:7:4709695:4713879:1 gene:gene-LSAT_7X4241 transcript:rna-gnl|WGS:NBSK|LSAT_7X4241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNLDLERFFIDVNMKDEKKCVGFKDVRGKNCGVGEKYGVVNELCVGITEDNKLIRKRKKESYLTLLDWVKKVAINPCDPAIGSLPERSKWKAYGNEHLWKQVLLAKEAMCLKINDDSNSKQSIWQKKQKMHPDMYEDQTKKLIPRCSQRIIIAKETQKIFLSRNPSPNVLECSGSFDSSSDVDDKDSLWAMNYRKKRIPLGRFFQAEVPQWTGETSGSETRWLGTRVWPLEKTEKRNGLIEMERMGKGRQESCGCQYMGSLECVRFHVSEKRWRVKLELGSAFLKWKFGTMGEEVSVAWSQHEEKKFADIIKSNPESSGRSFWDELSVYFKNKKKSVLVSYYFNVYLLRRRAHQNRSDPSNVDSDDDELEKVGRQLNMLM >cds-PLY74156.1 pep primary_assembly:Lsat_Salinas_v7:9:11628736:11633463:1 gene:gene-LSAT_9X8721 transcript:rna-gnl|WGS:NBSK|LSAT_9X8721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 9 [Source:Projected from Arabidopsis thaliana (AT2G31260) UniProtKB/Swiss-Prot;Acc:Q8RUS5] MMSGGQKGALQNLKQKLLGESSFTTFVNDVPPEIELSDYQRAPSPGSESPSGLLNGESLKVEPIADLDLFFERLYSYYCEKGLWCIIIKWIVELLSLAFTICFSGFFLLFVDWNGLRSAKCGMDAVESGIKPCDLAKEALHQHPLTPLTPFKVVILGYLGITFVYWIFCFLRFFVQLKDTLEIRQFYINSLGVTDNEMQTTPWASILEKVVQIQESQQLCVVKDLSAHDIVMRLMRKENYLIGMLNKGVLSFPISSWVPGAGPTVKKGPNGTRYCLMLTKTLEWTLNWCILHSMFDRNFCIRKDFVSDRNTLKKRLMVVGIAMLLLSPFLVVFMLVYLFLRHAEQFYNHPSTASSRRWSNLSKWIFREFNEVDHLFKHRINSSVTHASDYLKQFPSPILSIVAKFVSFVSGGFAAILIIIAFLEESLLEGHIFGRNLFWYGAVFGAITAISRAAVSDELLVLDPHGTMSLVVQHTHYMPKRWRGKENTEYVRVEFETLFQYTGKMLLEEMASIFLTPFLLIFVVPKRVDDILQFIADFTVDVQGVGHVCSFSTFNFQKHGNIKYGSPHNASRTYRSSQGKMEKSFLSFQSSYPSWEPSADGKQFLSTLKTFKEQKFQEQGIRPGGYMGPDHMQQWAPRGQGDPNSYFSRDTRSPNISRSAYQLDCMWLIDPVHKNHPYILDWYHTSSAHHHHHHHTSIGQQDMESGQVDVEESLANVWDPPDLGRDRVPYDENWGSFFDDRAGSNMNTSTSAPLLRGSVLQHHDSGSIGQAGRSQWWARGDGPRGVGPQTSFIEPPNFTRDDYNDYNDNISERSFEEHDHQQFDWRGENRLLSRTFYMDDIEGGKFDLPFDDIYDRHSQSPPRTIE >cds-PLY93892.1 pep primary_assembly:Lsat_Salinas_v7:4:270274141:270277516:-1 gene:gene-LSAT_4X138820 transcript:rna-gnl|WGS:NBSK|LSAT_4X138820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RBL [Source:Projected from Arabidopsis thaliana (AT3G21060) UniProtKB/Swiss-Prot;Acc:Q5E915] MKCIAFNRRGTLLAAGCSDGSCVIWDFETRGIAKELRDKDCVAAITSVCWSKSGRHILISAADKSLNLWDVMKGDKIYRTTLQQTPLQARLHPGGGSPESTLCLVCPFSSAPMIVNLQTETTTTLPISFSGGTTTGAPPRNKFSDGSAHYTPTAACFNKHGDLVYVGNSIGEILIIDHNKNQVRGVVAVSGGAVIKNIVFSRDGRHLLINSSDRTIRVYENLLPLKDSLKSLNEMIFDNILDEGEMVKSAGAKSLLLFREFQDSITRVHWKAPCFSGDGEWVVGGSASKGEHKIYIWDRAGHLVKILEGPKEALMDLAWHPVHPMVVSVSLTGLVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLMPETEKVKDLEMNEDDEVDITTVEKDSAFSDSDLSQEELRFLPADPFPDVSEQQEKHTASNSKLGDSKNSDSPLSEETGAMNHDSSQIEGMENSGGEDGGMTYLKRRRKPSEKVLELQAQKVRKPLPKAKGGLGKSSKTKSRIGNGIGIEQEQDMNNFGDEFGIDD >cds-PLY74860.1 pep primary_assembly:Lsat_Salinas_v7:8:105871445:105871799:-1 gene:gene-LSAT_8X72041 transcript:rna-gnl|WGS:NBSK|LSAT_8X72041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLRIQYFENVAMKINVKVGGRNTVLAAALSNRLHVPRLEDLPVMPIEQIDFMLQVIIYLLYRAL >cds-PLY85807.1 pep primary_assembly:Lsat_Salinas_v7:8:173027423:173031507:1 gene:gene-LSAT_8X112901 transcript:rna-gnl|WGS:NBSK|LSAT_8X112901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVLLIEFDCFFRRETEVIEEVVKEISTRLELHLQTRMPLIIGMEDSIFTISSWIKGGSSEAAEILTIWGMPGIGKTTLAKHMYMLHCHEFERSSFVEAIGRRSAQQTCSQLDLQKQLLGDILKKTKIEENNADLCTSKVEKALSRKNTLLVLDDVDNFEQLDVLIGTKGFHPGSKIIVTTKDKSLTEKCSLFRMKFPPKHTKHALRVLSDTESVRLLCWHAFGNNDPRKGYEKEAEQVAKYCGGHPLSLKVLGSSLINKDAAIWSDVLEMLEAKGYLTDVQDVLQISFGSLSGDCKELFKHIACFFVGKEREFTETILKECGFQTSYGIKKLIDRCLLTIGDYNEFTMHQLLQDMGRDLVRKESPDKPWKRSRVWKHEESLNLLKKDKGTQRTQGLILDMNLLREETLCRSISNVTQHNFQNDDLNKSFGAAQPIQIVYEFFLRILLFFARLLLMLSSSRCKKVELRADALRKMDKLKLLQLNNVKMDGSYKNFPKGLRWLSMHGFHSNVIPSDLPMENLVALDMSHSNLTQLWKKPKVLGSLKILNLSYCKLVRVEGFSGLPALQRLILKSCESLVHVCESIGGCDGLVIIDLSQCSKLNNVPISVSKLKNVRSLSLDGCLGASEFLMRMKDMESYASSSSVGEFLGKTPKSFLLPSLVTLSLVGNNLSNESFPKDFSSMSMLKELYLSKNPIDSLPDCVRSLSRLEVLNVDDCWMLKSVLCPPPTIKSLSTEKCFSLIKITFPQEMSTPPAVYYKGSESLTEIEGIIKFQAIAQIDEQILCSLGWTNLQHVKDQKMQIWDSYKLSFSNKLPVQMFYEFGIFSTCFPGKAVPDWLPHIHKSKGSSISISIAMPSSSMNKTIQGINICFVHTFTRTGKLSWLKIKVENVTTNHTWIYYGHIFALPETDEDVVWLSHWMFGENEIKNGDEICVTILEDPLRNFGAMVRECAISPLYDTDKENEEDPLSYYKSWKHILGGDLSAFQLDSGDYLLERFNIAWCR >cds-PLY84596.1 pep primary_assembly:Lsat_Salinas_v7:1:29634995:29635977:1 gene:gene-LSAT_1X25160 transcript:rna-gnl|WGS:NBSK|LSAT_1X25160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNSNRSKSNKSFFMPLFCRASINDTVKPAKFSETPATDPSSPKLSCIGQIKKRSTTTTTTNSNNNTNRFLACRFSASTNAKSSVYSSFSYTKLHRLFSGKNLISPAIDTASINNCSSKCITNRSNSCNSRGRRGVNTKKYYMTSSDDPVLMRVMVAEELDPPLPVVKCGRRDQETNVNLWKRRGIEMKTLQIQPIQLSMDHHNTNNNNVNRNFSLQTSAKTF >cds-PLY88405.1 pep primary_assembly:Lsat_Salinas_v7:4:156363321:156369038:1 gene:gene-LSAT_4X94861 transcript:rna-gnl|WGS:NBSK|LSAT_4X94861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRMRARLKRSSLYTFGCIRPPRESIDEPHQFQGPGFSRHVCCNEPGFHRKKPLKYSSNYISTTKYNVITFLPKALFEQFRRVANLYFLLAAALSISPVSPFSPYSMIAPLVFVIGLSMTKEAVENWHRFMQDMKVNMRKVSVHIGNGVFVKKPWMKVEVGDVVKVEKDQFFPADLLFLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLDDDQSFQDFKATIKCEDPNPNLYSFVGNFEYDRQIYPLDTTQILLRDSKLRNTSHVYGVVIFSGHDSKVMQNATKSPSKRSTIEKQMDKIIYVLFTLLVLISLISSIGFAIKTSKQTPFWYLPHDEKRLYDPTRPFTSGCIHLITALILYGYLIPISLYVSIELVKVLQALFINQDINMYDEDTCTPAQARTSNLNEELGMVDTILSDKTGTLTCNQMDFLKCSIGGTQYGIRSSEVELAAATQMAMEVHDMSRTGTRHASEIELEVLPGPTSGSSQNRIKGFSFEDIRLMNGNWYKEPNADVVLMFLRILALCHTAIPEVNELTGGLTYEAESPDEGAFLVAAREFGFEYCKRTQSSIFVREKHPSSHEPIEREYKLLNLLDFTSKRKRMSVIIQDETGQIFLLCKGADSIIFDRLSKNGRSFEEATKRHLNEYAEAGLRTLAFAYKILDPSEYFTWNDEFLKAKTSINGDRDAMLERLSDMMEKDLILVGATAVEDKLQQGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFACSLLRHGMKQICITTNIDVLNHNSNKGVKENILMQITNASQMVKLEKDPHAAFALIIDGKTLTYALEDDLKLQFLNLAVDCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFAIAQFQFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTGFSGQSVYDDWYMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPRNLFFDWYRIFGWMGNGLYCSLIVFFLNIIIFYDQAFRADGQTADMAVMGTVMFTCVIYSVNSQIALTMSHFTWIQHFLILFSIVTWYIFLILYGMLSPDLSGNVYKIFLEALAPSPIYWLSILLVAVACNLPYLAHISFQRSYNPMDHHVIQEIKYYKKDVEDRHMWRREKSKARQETKIGFSARVDAKIRHLRQKLHKKSSASTPRVSL >cds-PLY86331.1 pep primary_assembly:Lsat_Salinas_v7:8:28517591:28520120:1 gene:gene-LSAT_8X23180 transcript:rna-gnl|WGS:NBSK|LSAT_8X23180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHKPAIGNAGGGVDASAAIKQQYEKQTKDLFLTFHCFCTRKQIQCFDVILEDTNIAKVLAEYANYAAIEVLVLGASSRHGFIRFRSSDVPSHVMKSAPDFCTVYVISKGKISTAKKSSKSAPFPSPIREKIEELSINLEASVRRKDSISLRRPENTSEKPRPPPLPIPVPQDPDPQPIESPFNKGRGLNAKLLCGDLSDTDISFVSTGRPSAAMYYDTDLSPTPRKSTASDRAFGSPYYGNKGPDIGYMISSSSRNSKGSTSSRTVLILFLLSPLSISGDIFRCYKNMFSEQDDVDSEMRRLKMELEKTMEMYSTACKDALTAKQKAGELEQWRVEEANRLEEATTAEQEAREVAEKEKERYKALMAKARASRRIAEIESQKRALEHPEEKHQESHKYRRYTIDEIEKATDFFEKARKIGEGGYGPVFKGRLDQIQVAIKVLRPDAAQGRSQFQQEVEVLSCMRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLLRRGNTPPLSWQLRFKIAVEIATGILFLHQTKPEPIVHRDLKPGNILLDKNFVSKISDVGLARLLPASSLTEDVTQYRMTSAAGTMCYIDPEYQQTGLLGVKSDVYSLGIMLLQLVTAKPAMGLTHHVRRAINKGTFAEMLDPTVTDWPIDEALGFAKLSIQCAELRRKDRPDLGKEVLPELNRLRDLGVENTTSETSLDPVS >cds-PLY70802.1 pep primary_assembly:Lsat_Salinas_v7:4:58915052:58917952:1 gene:gene-LSAT_4X39520 transcript:rna-gnl|WGS:NBSK|LSAT_4X39520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFSEDDLVQIRGVRISEEYLAYNPCNQFSQRVLETQFQSSQPKHFQFLDSQNVESVSSSDDQVLEINEEEANKELQPISKKKSNYTNDQKSKKWVEREELDLYMAYVDVSQDKERGNQQRFDAFWDWVLEHFNV >cds-PLY85811.1 pep primary_assembly:Lsat_Salinas_v7:8:172828383:172829843:1 gene:gene-LSAT_8X113160 transcript:rna-gnl|WGS:NBSK|LSAT_8X113160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQILFLGLIMLTCSIALASDSSPLQDFCVADFNGPVLVNGFACKDPKLVQADDFFVSGLHLMGNTSNAMGSKGTLVTVAQLPGLNTLGISMARIDFAPWGVNPPHIHPRATEILTILEGTIQVGFITSNPENRLITKVLQKGDVFVFPQGLVHFQRNVGNGNAIGIAGLSSQNPGVNTVGNVVFGAKPDIPDDLLAKAFQVDVNIIDQIQSKF >cds-PLY65492.1 pep primary_assembly:Lsat_Salinas_v7:3:883997:892024:-1 gene:gene-LSAT_3X1101 transcript:rna-gnl|WGS:NBSK|LSAT_3X1101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFCGSCVNHQESVDHILVCYPFACMRWLVINTSADFVIFVNTDFLWCVVSAICVASGLLFSGGMLVTYSSPSSISCYTARERNKDRTSVPVQRETAHTYYTHTACRISSMEGRMCKICNKVFSNGRALGGHMRSHLVTLPIPWKKPIHALQLSSRTTTDSTTSASLSCDHSEDDEDQEKILMYGLRENPKRSFRVADPEFMDHGGSGFVLQEDRESETEVNRKMNPTRKRSKRARVTRSVKEPSLSTDLEPVIMSSVSDHINSAEEDVALCLMMLSRDVLSFINSGESNPSQNRSKYQCETCDKVFDSFQALGGHKTSHRKIDNDFAFSGGGNKSRKNVAQDDGKLHECPYCYRVFGSGQALGGHKRSHVLGSSTTTASDSFGGKGDASVNLVNSTKAQGNLVIIDLNLPAPTEDEENSAVSDAEFYQSPLHL >cds-PLY84701.1 pep primary_assembly:Lsat_Salinas_v7:2:151437007:151443023:-1 gene:gene-LSAT_2X78140 transcript:rna-gnl|WGS:NBSK|LSAT_2X78140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METSSISRRILLFLIAFQLSCQCIQCVVTYDGKAIVINGRRRILISGSIHYPRSTPEMWEDIIMKAKDGGLDVIETYVFWNVHEPAPGVYDFEGRYDLVRFLKTVQNAGLYAHLRIGPYVCAEWNFGGLPVWLKYIPGISFRTDNEPFKWAMKRFTEKIVKLMKSENLYESQGGPIILSQIENEYGSLDTRYGAFGHNYITWAAEMAVGLRTGVPWTMCKQDDAPDPIINTCNGFYCDAFTPNKPYKPKIWTEAWTGWFTEFGGPSYRRPVQDIAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFVTTSYDYDAPIDEYGLIRQPKYGHLAELHKAIKQCEPGLVASDPIIVSLGPRQQAHVFYSKLGHCSAFLSNYDTNNGSRVMFNQRHHNLPAWSISILPDCLNMVFNTAKIGVQSTRLTMLRANSQMFSWETFSEDLTTSDVDSAFTSFSLLDQVNVTRDSSDYLWYTTTIDVAPTESFLYNGEHPRLLVESSGHALHVFINGKLCGSIFGTREDRRISYREKIRLLSGRNKIALLSVAMGLSNIGGHYESWETGVLGPVVLYGLDHGKLDLTHTKWSYRIGLKGEAMNVVSTNRMPSSVEWVQGSLITQNNQPLTWHKAYFNEPDGEEPLALDMSSMGKGQVWVNGQSIGRYWTAYATGNCQQCHYTGSYRPFKCQVGCGRPTQRWYHVPRSWLKPTGNLLVLFEELGGDPTGILLAKRSMTSVCADVVEYHPNIKNWRNETYGQTQVFHSPRIHLQCSPGLLISSIKFASFGTPVGSCGSFQQGTCHAPTSYDVISKRCIGEDRCAVAISNTNFGQDPCPNTLKRLSVEAVCTPTSTGQG >cds-PLY68346.1 pep primary_assembly:Lsat_Salinas_v7:4:191023654:191030114:1 gene:gene-LSAT_4X109780 transcript:rna-gnl|WGS:NBSK|LSAT_4X109780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:snRNA activating complex family protein [Source:Projected from Arabidopsis thaliana (AT1G28560) TAIR;Acc:AT1G28560] MELGDSSSSCCCEDVFVSIPRGGPIYIADMVGPLTSVSQFQSCIQDQLKDLRKELCLELTEEHHHEISVDELKILSEEELVDIAFQASLKDGNLTKDNSLSSEDCSNGVLDNRKMNGKVSKKMKRVKQKETTHEEDYMEEVEKVARIKQKQEEDKSAARLHSFSGVSGPVACVTPSEKKERMSSFNSTSYLTQQVKSSSTREQIPIPIDDNEILLCIEVYNHKRSWVKNQEILVLGRQLLTELRDKIYCLTDEIMKLTKKHTPSGYFLIEDIFYNDLREINAVDYSKPILDWIRESKKIASEKWESIISGELHQKQKQILGSGSGIAPKLPRFKPLPMQATRFCDLNFRLGAGYLYCHQGDCKHVMVIRDMRVIDRKEDVHNRAAYPLIMFQSKLRFQKCSICKIYKATKVTVDDKYTPENPCFFCGICYYMLHYSNNQLIYSDFKVYDYIHE >cds-PLY88553.1 pep primary_assembly:Lsat_Salinas_v7:7:8945090:8945603:1 gene:gene-LSAT_7X6201 transcript:rna-gnl|WGS:NBSK|LSAT_7X6201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLIIGVGDQEGKKKGLTAKNLMEKMDRASKLGGVIDYLSELLQRKDCAPIDLYALMYVCTCLCVPFYSSSILTIQDDIVNLTSHVIFN >cds-PLY95444.1 pep primary_assembly:Lsat_Salinas_v7:2:545296:549395:1 gene:gene-LSAT_2X1360 transcript:rna-gnl|WGS:NBSK|LSAT_2X1360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHRFMILGGQYSPTPTPPEPTPDDHYVIYSSQFFLFVGLVIILFFLLCLIALNAAARCTCFRWFSGNTVTTTQNDHFSLPASKLLRSIPKLTYSGDSMTERFSDCAICLTEFVVGDEVRVLPLCSHCFHVACIDRWIVSHFTCPSCRQMLLKTTRCDRCNEVPVAGVTGTQSTAAEMTSVTIDSITFIGFKDLVLAMGEVAFISLFGDGGGGVCISNRW >cds-PLY85398.1 pep primary_assembly:Lsat_Salinas_v7:9:79162230:79162804:-1 gene:gene-LSAT_9X63720 transcript:rna-gnl|WGS:NBSK|LSAT_9X63720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRKNIATTSDGGNGGSNFQMPLHYPRYTKDEYKELPEWMLDQLLAQYGLSASGHRDLESKREFAMGAFLWPPQHQPPPPSNYHDQLAAHRYRFNGKICSASPTVWSFLRKKKV >cds-PLY73387.1 pep primary_assembly:Lsat_Salinas_v7:6:111697895:111700923:-1 gene:gene-LSAT_6X69140 transcript:rna-gnl|WGS:NBSK|LSAT_6X69140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIQNFHGSQTSVFLRSEALKYYAPLCISFVYLTDFVGDRRSPFSNDLRHYCNRRPKSLIKMGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVGEAKDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >cds-PLY92562.1 pep primary_assembly:Lsat_Salinas_v7:7:163055485:163056060:-1 gene:gene-LSAT_7X95941 transcript:rna-gnl|WGS:NBSK|LSAT_7X95941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKVEVLTSQQRWKNEEVTIKVVEKLYTVEVVEITYDWSPFNPASFYKAEEDSDEEDDESTDKENDEEDGVSDIWMGKDNLDMEEGEITPEEQYSLQLVEESVMSASKHGDATRNQEQDWPKLTPSVILELNPTIDETFMTFNAKKKISKNLETIDIHRTNMDDGVTHKNMIQLAIRDNNNNDNETMEKVS >cds-PLY93597.1 pep primary_assembly:Lsat_Salinas_v7:2:176034846:176035541:1 gene:gene-LSAT_2X98661 transcript:rna-gnl|WGS:NBSK|LSAT_2X98661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLFQRCAYIVAEALDKIFLVAKFFCAIHATNTYLFSTALVQGPCMLFNFNLKGEMVLLDKISTPYGKVGPDDVIILRTPENQRKFATKRIIGMEGDNITYIVNPKNSDTTQTIAVPKGHVWVEGDKVYDTNDSRNLDLFLM >cds-PLY99707.1 pep primary_assembly:Lsat_Salinas_v7:9:55939779:55940375:-1 gene:gene-LSAT_9X47281 transcript:rna-gnl|WGS:NBSK|LSAT_9X47281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRVKDLASKNAVVIFTKSSCCMCHSIKALFYDLGASPAIHEVDHDADMEWALRRLGCNPSIPAVFVGGKYMGSARDVISLHVDGSLKQKLIEARAIWF >cds-PLY80080.1 pep primary_assembly:Lsat_Salinas_v7:4:348313005:348317832:-1 gene:gene-LSAT_4X172501 transcript:rna-gnl|WGS:NBSK|LSAT_4X172501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRNSPSFCILPFLFLAFQLTTSDKNTLHNNHHLHEEEEADRIIALPGQPNVSFHQFSGYVTVNKVAGRALFYWLTEAADHPLSKPLVIWLNGGPGCSSIAYGASEEVGPFRINKGASGLHVNKFSWNNVANILFLETPAGVGFSYSNRSSDLLDTGDRRTAEDSLQFVVQWMERFPRYKHREVYITGESYAGHYVPQLAQQILRHNSKSGSSSSSSSINFKGIMVGNAVTDYYYDNLGTVTYWWSHAMISDKTYHQLINTCDFKRQKNSNECESLYSYAMDQEFGNIDQYNIYAPPCNNSDGSHSGPTRQTMRLPHRPHQTFRQMSGYDPCTERYAEVYYNRKDVQKALHANTTRIPYKWTACSETLNRNWNDTDMSILPIYRELIAAGSRIWVFSGDVDSVVPVTATRYALAQLKLKTKVPWYPWYVKKQVGGWTEVYEGVTFATVRGAGHEVPLFKPRAALQLLMSFLKGQPLPKA >cds-PLY82800.1 pep primary_assembly:Lsat_Salinas_v7:1:87231958:87235130:-1 gene:gene-LSAT_1X72301 transcript:rna-gnl|WGS:NBSK|LSAT_1X72301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNVAPYSHSPTHKAVLTKDYAGLTKIISGLPHLSDPSKIRTESASIAEEAIADAISTVIDRRDVPNRDTPLHLAVKLGDTAATETLMLAGADWSLQNEHGWSALQEAICNHQEHIAKIIIKHYQPTAWAKWCRRLPRLLQTMRRMRDFYMEITFQFESSVVPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFTIQRADQTVLFLGDGSEKVPPGSLCMISHKDKEVMNALDGAGAVASDGELQQEIQAMSQANMFRPGIDVTQAVLLPQMTWRRHEKTEFVGIWRAKVYDMQNVVVSIKSRKVPGAKSENELFGNEKESDSKEFNNILTKDEHKQLEGTLNNGIIKHRDIPIENGVDYQKSKSKSSQLSSTSQSHSRWKDGGRESEYKKGLRPILWLSPDFPLHTDELLPLLDILANKVKAIRRLRELLTTKLPAGTFPVKVAIPVIPTVRVMVTFTKFEELQPLDEFSTPPTSPTDADRNPHTTGSSSWFQWIKTPYQTASSSTSGPTPCIEHVNDPFLIPNDYTWITAEEKKKKMQEKSKLKKGRTQNQ >cds-PLY65643.1 pep primary_assembly:Lsat_Salinas_v7:7:27018252:27020092:1 gene:gene-LSAT_7X21100 transcript:rna-gnl|WGS:NBSK|LSAT_7X21100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLFHLTSRTQGVCRNALKNFFSSSSSKLPSHAAPSLITERNNKNSSSRSPSFPNKNAPMLSLYRRISPVGDPDISIVPVLDQWVREGRKVDQDSLNNIIKSLKKYNRFKHALEISEWMTDKRYIPPTKGDIMSRLHLIYKVHGLQKAEEFYNNISQIFKGFQVDIALLNIYSQEKSVDKAETIMHKLRDMGLTTPLPYNILSRIYYSVKNYQKMDALFHEMETKGIHGDKYSYALRLNFYAATRNTEGLNKTMEIMEADPRVDMDCDTYNIATNAFLKVGLVEKGFELLKKVEKLAIGNKDKYKTLNTVLKMYAELGEKDEVYRIWNILKKETIYNTGYRNMIRSLLKFDDIEGAEVISKEWETRDLSYDFRVIDDLIDCYVKKGDLGKAEDVIKCGIEKGGTPTFRTWFCLMIGYFEGNRVLEGVEAMKSATRGFRVLQYEEGMKNKLVIVLEYLERGGKLEEVEGFMNLLEAEGVFSSIVCGRLLDLIKNVTSKC >cds-PLY84424.1 pep primary_assembly:Lsat_Salinas_v7:4:289748894:289753697:-1 gene:gene-LSAT_4X150080 transcript:rna-gnl|WGS:NBSK|LSAT_4X150080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHYNVNHILFKGNKIQGYVPKAYIYKFKKLLKEGEAFIIKSPNLAKMQERSFQLTNQLQKLALNLDSIVTPCDNFSGSVNGFDFVEYRAIIDGTVPDNMSLDVIGLVVVVGEIDARNADRKRHRIRIQIQDVSGLQLDVNLWGDYGYTFLHYIQKNPNNVRIVIILQFAKISVWQDRRSVNTYYDVSKFIINSDIDDINVFKKSLDQDGPHENSKSTFTYMKSNRSSENDDFLLNNDLKTIADIFEPLEAFSDTPSNEDNVIGSFQHAKYECRNPKCTKTVTSVIPRFMIPVRVQDHTGSITLTMFEQDAKKLLKISVKDLIAKTARGCNSQSFDVGTTDYESQDNKSIKDAISQTDDNVTLTNVFKSTATSPKKKFDTSKGLKRALEDVFVLDVNDKMSSSKATKVGGEDGQVKFLKVKLEK >cds-PLY89856.1 pep primary_assembly:Lsat_Salinas_v7:4:315878588:315879181:-1 gene:gene-LSAT_4X158900 transcript:rna-gnl|WGS:NBSK|LSAT_4X158900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWFVELSFNKKTWGNIERQFVPSSASNNLDIDWLPHTCMILASSLCGLLLVESYDPNEYISKLLFVIKPTTIDAKWIPFLSSEYTAVKFALVAISLNPLHFKVIRLSYTMSSDMLKEKVDYDYYNIELFSSTTWQWREFQNIQLPSSVYHVSDEAVTSGGVVYFLLSNNTIL >cds-PLY87728.1 pep primary_assembly:Lsat_Salinas_v7:1:5581802:5583286:-1 gene:gene-LSAT_1X3980 transcript:rna-gnl|WGS:NBSK|LSAT_1X3980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLQVTRSKPHQFLASTLGNLTKHFQSTTTNTMKEIKTKKHTNPSSPLNPDTKCSSSVKSTPEIEKKYVHRVYDAIAPHFSATRFAKWPKVSAFLNNLPSGSVILDAGCGNGKYLGLNPNCFFIGCDISAPLIQICSDRGHEVFVADAVNLPYRSSLADAAISIAVLHHLSTESRRKKAIEELVRTVKKGGHVLITVWAVEQEDRSLLNKWTPLTDKYLEEWIGPGSPRIRSSSSVTLESIPETEVSVSQEEEEFKDFGNLSLGEEGMESEFKEDRERERERQQEYFVPWHLPYHRAEVSGASVGAVENGLARKDDQKGAIVYDRYYHVFGEGELERLVCGMKNAVIVDQFFDKSNWCVILQKTSC >cds-PLY79816.1 pep primary_assembly:Lsat_Salinas_v7:8:17775674:17778898:1 gene:gene-LSAT_8X12360 transcript:rna-gnl|WGS:NBSK|LSAT_8X12360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLFRPQLSLRFGITSSMEAPFLFLIFTRPWFTRSLFNSQGFGTKLQVVMKNMTRLCESKPIVTINGKFPGPTLYAREDDRVIVRVVNKVPHNITIHWHGVRQLRTGWSDGSAYIRYRQTKAISTSLMSPDKGENRLGDPWRGSVGGIVDAPIEKVWPIVSQTKRLPEWMPMVETCTHLKGVEGVPGYVRLVSGFMFPQDDGDRSWIKERLISMDPLLHSYVYRMEASNVGLDGSVNSLKLRDYGDGSTLVDWSFQIIPVEGASEESLIDYLGLLYKSCINKIVGAIEASSTKN >cds-PLY88263.1 pep primary_assembly:Lsat_Salinas_v7:8:153217323:153217955:1 gene:gene-LSAT_8X102461 transcript:rna-gnl|WGS:NBSK|LSAT_8X102461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPSSSLMSNRTMDQDNPYNLQHFHDHNNQSLSDVRPFFTSVTGNQTHDAAAPPPSFQDLVVYQENSQKHMDIATGYDLYGQPLSIGSIENRYMTSQPTADGIYDGYYLSPSKTDIRPAGLSATGTTIYEGYDLPPSKTDIRPAGSSVTRNTTADQVGASQPFGQSLTSKDMKKIAPPDYYTQQKYKS >cds-PLY71240.1 pep primary_assembly:Lsat_Salinas_v7:6:18610488:18612584:-1 gene:gene-LSAT_6X13820 transcript:rna-gnl|WGS:NBSK|LSAT_6X13820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFWCRTKQSQLRALSNQFKRCYFQIHGSSSIIRGTTVGVLKSPNSAFHRTGSEFGNCVRFFAAPVQVKPKYEDKDKGRPRMNEQISAQYVRIVTDEGHGVVSRHEALERARRLNVDLVEVQPDGNPPVCKLMDYNREMYLRQAKEKEQTKKKSDLVLRKGGLKEVRITSKIDKNDLQTKADAIKRLAERGHRIKCMAVGTEDQDLGGLLSRLSALIDDFSLVESGPRVESKQAYVVVRHVKFGPLKKGPGKKKLLATSNTETPEQNESGSETEEDTLSQDPIESVGDFENKNYDDTMKFAPETSSNRYASATRPANVNVPENRYATPGAGGVRRRLEPESGGNRRQGGSEPEAASRPVEVNRYKKGPTPQPPPPPPDYQMNRGPRGDFREKQAGFRR >cds-PLY82940.1 pep primary_assembly:Lsat_Salinas_v7:1:18445945:18447324:-1 gene:gene-LSAT_1X16061 transcript:rna-gnl|WGS:NBSK|LSAT_1X16061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHPSSNNNGCKPPKTSFFGNYYNDTNYSRALQEYYYPPSFLLPSPNYIPLEDEAVFCESFQQQQYFSNDHHNHLAHEMTTNVESIMGECGSNNGQVARNDGDDEPYDFSTHAEPESSSPRKRHSKGDRHSKIDTARGPRDRRMRLSLDVAKKLFGLQDLLGFDKASKTVDWLLTKSRTAILELLPDRSCSFMGVSNYSASSTSECEVLSGTAADQSMLKTGDDQAPTTNNNNKEKSTSGCSIKKHKEKIARGVLRRSAGLHHPIAKATRERARVRARERTIEKRSINNKIGVAQDSKCRPCLDQVLMNQHESQLGSWSIFEENQCQTTGQPDQMSSHFQFKQGFVGHNSSPMMTGNWSPSYMLNYQHNIAGLAHEHHSNDFQAIGKSWEGN >cds-PLY98344.1 pep primary_assembly:Lsat_Salinas_v7:6:16054298:16055396:1 gene:gene-LSAT_6X9501 transcript:rna-gnl|WGS:NBSK|LSAT_6X9501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSKINLEDKLSVILFDEDLYTKDSMCCVCLGDFEMNEKLHQIPSCHHMFHGDCIRNWLHSNTTCPLCRCSVVNNAAKDSHQEPPVVPEPATMTNMSSSLQRVPSEHSVIIIFDEGSSSSSSMDDKSHPNQESVVINVPYP >cds-PLY64890.1 pep primary_assembly:Lsat_Salinas_v7:1:166235495:166237435:1 gene:gene-LSAT_1X111521 transcript:rna-gnl|WGS:NBSK|LSAT_1X111521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGQVKVLKDSIERRSRVMMVVPLLRVVALFATATATIVMALNKEAHTFVVATVGNTPVKLTLTAKFQHNPANVMFVIANGVATLHSLLMLSLCFVSNKYDLKGLRSVTVATLDMMMIALVSGATTATVFMGELARHGNSHARWNKICNNFERYCNQGSGAIAASYIGILFLMIVFVVNIFRREQLNIIKNSMCA >cds-PLY87193.1 pep primary_assembly:Lsat_Salinas_v7:1:134900966:134904662:-1 gene:gene-LSAT_1X100060 transcript:rna-gnl|WGS:NBSK|LSAT_1X100060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLSFLAIQLNLCFSNTQFSLPDLRIDGHFTFTDNEFAAKDYGNQFQFLPFAVLHPESVSDISATVSHVWDLGVGSGLTVAARGHGHSIQGQAQAHRGIVVNMESLKKPKMEFEFGFDFGYVDVSGGELWINILKESLKFGFAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVQQLEVVTGKGEVVTCSEEKNADLFNGVLGGLGQFGIITRARILLEPAAEMVKWIRVLYSDFSTFTRDQERLISAKKSFDYIEGLVIINRTGLLNNWRSSFNPKDDDEASQFKSEGKTLFCLELAKYFNPSDNTGEIYEEIESMLSELRYIPSTVFMTEVTYIEFLDRVHTAEIKLQAKGLWDVPHPWLNLLVPSSKIQRFGDEVFGKILADTSNGPVLVYPVNKSKWNNRSSAVIPDEDIFYLVAFLSQANPLSTKGKDSLQHLLSQNKKILDFCKEAHLGVKQYLPHFHTQDEWRAHFGQTWETFQRRKMAYDPLAILAPGHRIFQKGIFDL >cds-PLY96352.1 pep primary_assembly:Lsat_Salinas_v7:9:160319343:160320105:1 gene:gene-LSAT_9X100781 transcript:rna-gnl|WGS:NBSK|LSAT_9X100781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAHLLLFGLLAAGCSLAFASDPSPLQDFCVADQNSRVFVNGLVCKESRLVQADDFFYRGLQLMGNTSNAVGSAVTPVTVEELPGLNTLGISMARIDFAPQGINPPHTHPRATEILTVMEGRILVGFVTSNPQNRLITKELQKGDVFVFPEGLIHFQKNVGNGYAVAIAALSSQNPGVITIANAIFGSNPDIAGDILAKAFQVDINLVYQIQSKF >cds-PLY76874.1 pep primary_assembly:Lsat_Salinas_v7:3:4151485:4154086:1 gene:gene-LSAT_3X3061 transcript:rna-gnl|WGS:NBSK|LSAT_3X3061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKASLSNLENPITSSALPYSYAPLPDGEQPAGNDPTIRSYIKTALFLLSVFLAISFLVFLFAGEEPVLNKNLNTSPVPSTAATPEKVTPLSRGVEKGVSEKSFHPLLGADNSYPWSNDMLDWQRTAFHFQPKKNWMNAPVFYNGWYHLFYQYHPEAPVWGKIVWGHAVSKDLINWRHLPIAMETDQWYDEEGVWTGSATILSDGQLVVLYTGSTNESLQVQNLAYPADPSDPLLINWVKYPGNPVLVPPPGIDNDDFRDPTTAWKTPDGKWRFTIGSKINKTGISLVYDTEDFKTFELLDELLHAVPGTGMWECVDFYPISKLGENGLDTSVDGIGVKHVVKASMDADRNDYYAIGTYDAYKGKWTPDSPTLDVGIGLRYDYGIYYASKTFFDQNKQRRVLWSWIRETDTEASDIRKGWASLMGVPRTIVFDKKTQNNIIQWPVEEINLLRTNLTAFKDIVVEAGSLVPLNLPSASQLDIVAEFEVDKKTMELLNGSDTSYDCAKSDGAAQRGTLGPFGFSVLANEGLAEHTPVYFYVVKGVDRNLKTFFCADQSRSSNANDVDKSIYGSIVPVLKGEKLSMRILVDHSIVESFAQEGRTCITSRVYPTKAINNNAQLFLFNNATATKVTVSVNVWQMNYAFV >cds-PLY88887.1 pep primary_assembly:Lsat_Salinas_v7:4:253842936:253847972:1 gene:gene-LSAT_4X135460 transcript:rna-gnl|WGS:NBSK|LSAT_4X135460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRESESLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHXISLPGVAASTKFGEMGFSKGQLFERLQAQQIKFSQYEHPVVMTVEAQAKYVGHMKGGLSKNLFLKDKKHRFYIVSALADTTVDLKVLSQRLGLGKGGVRMAPEEAVGEILQVPLGCVTPFALVNESAKNVSLLLDQGFKTQECCFFHPLSNDTTISLHIKDLDRFLKSVGRMVAYVDLEANPSVGKDQPPDLAALVPSDNTSRITDVMEKTASLKIDSNPVSATGIVTILRSKASKVSKKEKMVNTANSFADPEKFIQEIVEKASAIVLSEMKDENIKQHGNGEQLGGVVSSHLKKNLSLELKNLATMFKNTAYTEGFVAGTRCPAKSWLFVFEHETLQLLCDVRDTKNPGIGN >cds-PLY73517.1 pep primary_assembly:Lsat_Salinas_v7:4:22087453:22088643:1 gene:gene-LSAT_4X15320 transcript:rna-gnl|WGS:NBSK|LSAT_4X15320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFDQNNVRWVVEQDRLSRLPDELLHKIMSCFDMKFVVETCLLSSRWKLLWTSMPFLNFSSCNFGSLLRFAEFMTHVLFHRDHQREVSLLKLYFQGAANDFFVRKIADYVCSHNVQQLTIWLTHHLPNNPPFLFTSNSLKHFTLTSIVIGACNITPKTPWDFPALTTLHLSGIVLSGDLFSKCVNLKHLTLESFVINVDVEEFDIITPRLSDLILIGGKCSRVIINLIAPQLENLTIYHCIIKLLNAPSILSSLCYTGFPHPQLFKDCFHSLNEVTICWLKMPYKEEDALKTIHMLQKLYSARYVTLDAYIVECISSFPDLLLHNSSPFTNLICLTIDSSMRVDPYKVKMSIEARNFLIEKSPSATLIMK >cds-PLY66029.1 pep primary_assembly:Lsat_Salinas_v7:1:123993439:124001820:1 gene:gene-LSAT_1X95060 transcript:rna-gnl|WGS:NBSK|LSAT_1X95060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSELLLEESSSSSSTSGYSPSTHGHSSSTDAYRYDVFLSFRGLDTRHSFTNHLHKALIDADINTFLDDEEEIETGEDLKPELESAIKASRASIIVLSKNYASSTWCLDELVLILEQRMTSNQIVIPIFYHVEPTHVRKQQSSFGDAMAKHKQIMEEETNAYKRSQWAQKIDRWNKALIEVSNLKGKDVKGRLETKFIEEIVNDIHRRLHVPLRIAQSLLIGMEYHIHFVTSWVKDGSSHTADILTTLGMGGIGKTSLAKHVYGLYSHEFHVSSFIEDITRKCDGKFNGLLDLQEQLCNDISKTSSIRFHDVAIYTTKIENALARKRVFLVLDDITTIDQLDALLGSKGFHPGSKIIITTRDRWLTESCALFKTNNKPKHERHLLLGLDEIKSLQLLSFHAFMCNYPKEGYEEVSYKLVKYCQGNPLALVVLGKSLCNRDVAYWEGCIEGLNKETSSHINNVLRMSFDSLSSNNDKELFKHIACFFVGIDRDVSETILKACDIITRSGITNLIDRYLLSIGSNNELKMHQLVQEMGRLEVHQESLDKPWKRSRIWCHKDSFRVLKQKKGKGNVLGLSLDMRMLEKEKSEASFELKTDALSNMDSLMLLQINYVHMDGSYENFPDELRGLRMHGFRLKSIPLDLPMMNLVALDMSYSYIESFVGCYSNTQRLEKRQKLDGSYLKDKKLLRSLKILNLSFCKQLRSLGDFDQLPALERLIVRNCIGLVEVGESIEQCIELVFIDLSYCKKLEKLPRNIGMLKNVKTLLLDGCKLSDSQVEDRDMVINIRTSSSAFMGAIPSDLKLFTISLPRSLVRLSLVNNNLSTECFPMDFSCLSMLKELYLDYNPINSMPSCMRTLPRLQILSMANCNKLKSVEHPPRTLSRLLLFSRHGSFVKKVVFDPGMSPLELSSNWWIDFAPGSCEIEGMIKIQAMVRVEEKVLRSLGWINVDFLKKGCVGPNPWESKIQSMFYEFGIFSTKYEVEEMPNWFRYRSLGPSISFTIPSSSSSPNNLTGLNFCSLHTLKPADESLLFPHDQFPNTPMTTISNITKNCTWIYERHVDRVIEDGKCWVVLSHWMFRMKEMEAGDHVTITVTSPYNELIKECGVSLVYDDGEEEDVLGYYKSWNHIIGGDLSPFQTTTGQYILNNMRFFESAIMLFPYHGKLIPDGPRYQGQKEVSWFRAFSQRSHGTIGSTRVGKGESSRSYPSRESA >cds-PLY91935.1 pep primary_assembly:Lsat_Salinas_v7:8:196002593:196003312:-1 gene:gene-LSAT_8X126660 transcript:rna-gnl|WGS:NBSK|LSAT_8X126660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIASILSQQIAPCHRVHVNKREEFVDPLVEEKMGHVRGIGPKASSAAGTSASSQWQSQSQASQPTQLLDVDVNAFLQNPAFVTAIGDIIRSFKNQVNEENNDGEDGGEDEDN >cds-PLY99521.1 pep primary_assembly:Lsat_Salinas_v7:1:114077449:114081562:-1 gene:gene-LSAT_1X88341 transcript:rna-gnl|WGS:NBSK|LSAT_1X88341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFALQIVFWQPGSLDSSLWLFRFQQEMHKMGTDDGRTGLTENNKLCDHSEVDDHIESLWMVVMNRWQNTDGLLEGPEELKPLNRSKSVSSMAQASPFSRVNGPNMEISFSIHHRVALKGIKFISKKEDRVSLDRRFDDITKYTNGLLPRLRFWECIGMNKDSQDFAAVLFDALTLRRNIIGDSINKAKMREFWGQISDNSSDSSLQTFFDMIDEDADGRITQDDVRKIISLSASTNKLSNIKDQADEYAKFIMKELDPDDLGYIMIYNLEMLLWQIPQSNIRGESQYLSLKMKPIRSCNPIRRLYEDFSFPKILINGPYGGAAAQYYKKYEVVLLVGSGTPIINIVKDILNNIKAKEDQENPLENGSTGKLQKKKSGEANFKTTKTYFYWVTSEQGSFDMVKDIINEAAEMDKYGVIEMHAYCTSVFEEDDARSTIIAILQSLYHARNGIDVVSGTRVKSRFSEPNWREVYKQITLNHVGSRIGVFYCGESAPAKELKQLAHDFSQKTSTKFDFHKQNF >cds-PLY79375.1 pep primary_assembly:Lsat_Salinas_v7:9:54232185:54233097:1 gene:gene-LSAT_9X50340 transcript:rna-gnl|WGS:NBSK|LSAT_9X50340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTAAGSISAVSYSPTSSVSSSPTIRFLGQLTVPEKSPDLNNNNNGGLEFNESDVFWSSSSDVSDIQSPNVSSSPPIHRQYNSGLYAALSDDQHPLVRRKPAMSPSQSAATAARTIPPVALRRSSEHSPAYHQSAPVNVPIWPKNKPINYLGQFDEVADNAAEEEEDDGEMVPPHEIVARSYVTFSVFEGAGRTLKGRDLCRVRNAVFQKTGFLD >cds-PLY97873.1 pep primary_assembly:Lsat_Salinas_v7:2:215681277:215682701:-1 gene:gene-LSAT_2X135640 transcript:rna-gnl|WGS:NBSK|LSAT_2X135640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEQEGVKLMTAIVLQKEREKISERMKFLQYLVPGCNMVIGKALVLDERINYIQSLQHQVEYSVRI >cds-PLY68722.1 pep primary_assembly:Lsat_Salinas_v7:5:278793880:278796900:-1 gene:gene-LSAT_5X143721 transcript:rna-gnl|WGS:NBSK|LSAT_5X143721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKYRMPFHFVHQIEKRRWLLVLVLVAAIHLFCQTLMLPYGTALLSLLPGHNNTSYFISQFSTLKHELQEDNLEFEEDIELGEVFMEESSDTSAQNQLENDRFVLGDLGESRHELLSVDLITNLPDTVSVNNSISKNEVKTKIMEVKSVPGLKAQILPINEKYALFQSDDRKQMKCLMPPKSVMYNDQMNRLLLRHRTSSRAMRPRWSSPRDREILASKIQITKAPSQIGDQELYAPVFRNVSMFKRSYELMERTLKVYVYKDGEKPIFHQPILKGLYASEGWFMKLMEGSKRFVVKDPRKAHLFYMPFSARMLEHTLYVRNSHNRTNLRQFLKNYAEKIAAKYPFWNRTGGADHFLVACHDWAPYETRHHMEHCMKALCNADVTTGFKIGRDVSLPECYVRSARNPLRDLGGKPPSDRHILAFYAGNIHGYLRKILLEYWNNKDPDMKILGPMPPGVASKMTYIEYMKGSKYCICPKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLNWDGFSVIIAEKNIPDLKNILMEISDERYRELQFGVRKVQRHFLWHVKPVKYDLFHMILHSIWYNRVFQIKPR >cds-PLY92355.1 pep primary_assembly:Lsat_Salinas_v7:3:123794572:123796180:1 gene:gene-LSAT_3X85521 transcript:rna-gnl|WGS:NBSK|LSAT_3X85521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFSNAMDFSMSNFSENPNNNHKIHESWFLNHKRSDPDPHLDQRPIKTSRPDPYNTTMSMSMRPSFKNENQMSLLSSYHTPPAYIENGGPFTPSQWMELEHQALIYKYLVANVPVPSLLINHIKKSLDPFVFSGSSSTSNAPSLCFPANNDPEPGRCRRTDGKKWRCSKEAVPDQKYCEKHINRGRHRSRKLVEGNNGAPATDGGGGINNQFKNLQLQPQNGSMNRPEEHKPQWNTQLTMSMDSSSDFSSSSNSIPDSWKSSMGGPLGEALKTSNGGNSNRHSWCSYSPTGVFSRSNSSSGSSPRGNQEDGGGDEMLFLTHAVTSASFQSSK >cds-PLY92121.1 pep primary_assembly:Lsat_Salinas_v7:9:192607531:192609911:-1 gene:gene-LSAT_9X116481 transcript:rna-gnl|WGS:NBSK|LSAT_9X116481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYAKQKQLGRRLERRNAFKNVDYDASTSTSSSSSSSSPLFDIQSAHRTQSLDIRLLSDGISHRVEGNEGEVDLICRSLGLSGPEDFAIPAADWEAHKAFSPTGSNYFIGSRFGCFTPDSTNQSPRSDFSEGFESPATVNCDEVQLDIGYLRLTKAEEVRVLVDNGGRNGGDAVIGTGISEIKRVNECSEGNGKGRIKGVRPPLLARPPAMPRVIVDNPGSGGVSVRRFAPVISSLTEDTAAYVKPPAAKNAVPSGTMTLRSILPQSILDTTDCEDDVCSTATPELDFFVSPNGSVRFNIKNWQKGDFLGSGSFGTVYEGFNEYGFFFAVKEVSLLDQGTQGQQSILQLEQEIFLLSQFQHDNIVRYLGTDKDDGKLYIFLELVPKGSLANLYQKYHLRDSQVSTYTRQILSGLSYLHDRNVVHRDIKCANILVDVSGSVKLADFGLAKATKLNDIKSCKGTPYWMAPEVVNNRKNKGYGPAADIWSLGCTVLEMLTSRVPYSHLEGMQALFRIGRGELPSIPKTLSEEARDFILQCLQVNPNNRPTAFQLLNHSFVKSAVCMNLSPVSPLCGARLP >cds-PLY86761.1 pep primary_assembly:Lsat_Salinas_v7:4:296769342:296769509:-1 gene:gene-LSAT_4X147881 transcript:rna-gnl|WGS:NBSK|LSAT_4X147881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQFARLSLACPWIQQQFERLTYSGISGSMLIFNSPKHFVAYYALPRLWVPRYPP >cds-PLY84309.1 pep primary_assembly:Lsat_Salinas_v7:5:191308632:191309402:-1 gene:gene-LSAT_5X84540 transcript:rna-gnl|WGS:NBSK|LSAT_5X84540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPPGNITHQYHVQPPSGPATLQPTSASVVARDQMETFTSSNRGYHVELNVVTYFQKIHDIEEDKSKFQILLMISCLPEFWAACTRAYYI >cds-PLY65547.1 pep primary_assembly:Lsat_Salinas_v7:9:144030406:144033947:-1 gene:gene-LSAT_9X93260 transcript:rna-gnl|WGS:NBSK|LSAT_9X93260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:EHD1 [Source:Projected from Arabidopsis thaliana (AT3G20290) UniProtKB/TrEMBL;Acc:A0A178VAZ5] MEIDSASISRCSAEHQKIYKDWFSVADSDGDGRLTGGDATNFFVMSNLSRPDLKQVWAIADSRRQGFLGFKEFITAMQLISMAQAGHTLSGDLLNSDVDYENLKPPVMDGLDVLLAKKKRPKSDPETNGNPEVQTSSSSSWFTSSKSAKKVPLTAVTSIIDGLKKLYIQKLKPLEVTYQFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLRSSYPGAHIGPEPTTDRFVVVMNGPDERSIPGNTVAVQADMPYSGLTNFGTAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFASKCDLILLLFDPHKLDISDEFKRVISSLRGNDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVMRVYIGSFNDKPINRVTAGPIGTELFEKEQDDLLADLKDIPKKACDRRINEFVKRARAAKIHAFIISHLKKEMPAMMGKAKTQQKLIDNLEDVFAKVQREHHLPAGDFPYVESFRERLSGYNLDKFEKLKPKMIQTVDDMLGYDIPDLLKNFRNPYD >cds-PLY63942.1 pep primary_assembly:Lsat_Salinas_v7:4:76989439:76992750:-1 gene:gene-LSAT_4X52181 transcript:rna-gnl|WGS:NBSK|LSAT_4X52181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G28340) UniProtKB/Swiss-Prot;Acc:F4HWL3] MRPVSLLIFLLVCLAAFTPSYQAPYALRISCGARNDVHTPPTNTFWYRDFGYSGGILANATRPSYITPPLTTLRYFPLSSGPENCYHIERVPHGHYSVRVFFGLVKDPTFDNEPLFDVSVEGTLVYSLSSGWSNHDDEQAFVEALVFLEDGTASICFHSTGHGDPAVLSIEVLQVGNNAYNFGSDWGRGTLLRTHKRLSCGAKNPKFDVDYSGNHWGGDRFWNSITTFGQNSDKPLTTKNSIKLSPNLPNYYPEALYQTALVSTDNQPDLTYTMDVDPNRNYSVWLHFAEIDPSVTGEGQRVFDIIINGDNIFQEIDIVKMSGDINSAIVINTTVPVSGRSLTITLQLVKGTHTIINAIEIFEIVRAEAKTSIDEVKALQKLKSALGLPLRFSWNGDPCVPQQHPWSGVDCQFDNAKIKWFIDGLGLDNQGLRGFLPDDISKLTHLQNMNLSGNSIQGEIPSSLGKITSLEVLDLSYNLFNGSIPESLGGLTSVRILNLNSNSLSGRVPAALGGRLLHRASFNFTDNKGLCGIPGLQTCGPHLSGGAKIGIALGACAGLLLIATCLTCWWKRRQNILRTQQIAARGAPYAKARTHFSRDVQLARHNNNNGGGHEHARTAAENGPILLS >cds-PLY69520.1 pep primary_assembly:Lsat_Salinas_v7:6:44911676:44913301:-1 gene:gene-LSAT_6X31940 transcript:rna-gnl|WGS:NBSK|LSAT_6X31940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEESISQSSIEDICKQIHGNLGNITLLVRVFMSQSTQHECQKIREKYMEMYNEDLFDHLHNKIDGGASKTCTILSLLMINPHERDAIVAKNAIFKERDCVNYKALIEIYVGRKSSHFFLIQQAYQSKFRRHLDQDIMSIEPPHSYQKILMALSASQKAHSADVSVHIGKCDAQRLFQTGEATSGGFKIDEGIVLEILSKRSIPQLKLTFSIYKHIYGHSYTKHFKNKYHGEFEEALRFVVKFLRNPPKYYAKALEANIKGKTTDESSLERIITSQSETNMKNIRKLYKNIYEMDLRDAIIESIPIGDYRDLLVGLIMKSNNDL >cds-PLY69209.1 pep primary_assembly:Lsat_Salinas_v7:1:80502421:80502774:-1 gene:gene-LSAT_1X66761 transcript:rna-gnl|WGS:NBSK|LSAT_1X66761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTTMKDVGYEPDDITYSQLIFGLCKARRLEDATKVLDEMQANGCNPDIKTWTILFKGHCSTNEVDKTLIIFANMIEKGCEVDADLLDVLVNGFLSQNKAIEAHQVLVEMTETRQVG >cds-PLY76420.1 pep primary_assembly:Lsat_Salinas_v7:8:154119775:154121302:1 gene:gene-LSAT_8X103260 transcript:rna-gnl|WGS:NBSK|LSAT_8X103260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLIVICDVKHTFQSSLIVFIVVGAVAATAFYYVNMEEQGTVPTVVLSPNVYDSLDGCDFFSGKWVHDNDSYPLYEELECPYITGDFACQQHGRMDSRYQQWRWQPHGCNLPRFDAKEVLERLRGKRVIFVGDSVNRNQWVSMVCMLQKVIPPELKEMRKIRHVSLRTFKAILPDFYRDKFSDF >cds-PLY91412.1 pep primary_assembly:Lsat_Salinas_v7:3:56230508:56231657:-1 gene:gene-LSAT_3X43920 transcript:rna-gnl|WGS:NBSK|LSAT_3X43920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSLVQKRFKFPENSVELYAERVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMENGAKGCEVIVSGKLRAQRAKSMKFKDGYMVSSGQPVKEYIDSAVRHVLLRQGVLGIKVKIMLDWDPTGKLGPKTPLPDNVIIHMPKDDVIVHPPKEVEEYRPPLVVADEPLPMPIPVPV >cds-PLY94341.1 pep primary_assembly:Lsat_Salinas_v7:7:165623241:165624635:1 gene:gene-LSAT_7X97801 transcript:rna-gnl|WGS:NBSK|LSAT_7X97801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINLSNNYITEFHQQPQFLPWKRLEEFSIDNNLLQGQLLIPPQTTVVYIALSNNLTGEIPPLICEVKSLRVLALAFNNMSGTLPPFVGVRTEYEKILTIFTAIELSCNHFEGEIPPSLQDLRGHESLNLSNYHFSGCVLPSLGFLKNLEFGSFPKRAIWRNSSAIGKLNFLSIFNMSFNHLDGCIPKGKQFDTFENDSYMGNPRLCGEPLSKECQGSKATTVPPVSSMYESDSLLPNKTIDWIFVFCGVGSGLVVGVVIGNILYERYNDRFTKRRDIWVRSLSYTRKYQGTIP >cds-PLY90391.1 pep primary_assembly:Lsat_Salinas_v7:9:173762513:173768181:1 gene:gene-LSAT_9X107121 transcript:rna-gnl|WGS:NBSK|LSAT_9X107121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHDHPLRSSINITTNNMLWKILSNTNKHSSRQSTTTATYMTTHDKHLPSPSPPPPPPPQIELVIDSTILKPDDHDGILIVIKGLVFPVLTRCHAGYFRITLSLCCQALLWKTLKDPPENAHAYRRMLGVFPSTAFLLLWSLSLLILASLSILYILRCALFSDMVKSEYLNHIGVNYLFAPSISWLLLLQSAPFFTPKTIYYLLLWWVFVVPIFVLDVKIYGQWFTKGKRILSTVANPASQLSVVGNFVGARAAAQMGWKESAMVMFALGMIHYLVVFVTLYQRMSENNCLPAMLRPVMFLFIAAPSMASLAWDSISGTFDFSSKMLFYLSLFLFLSLITRPNLFKKSMKKFNVVWWAYSYPLTVLALASTEYAQETKSSIAHLLMLLLSLLSVMVSIVLMVYTALNTNILLPPDDLYGPSVATMGPITISSVSSTVSSNSCLESSTS >cds-PLY71244.1 pep primary_assembly:Lsat_Salinas_v7:MU044000.1:9864:11643:-1 gene:gene-LSAT_0X29680 transcript:rna-gnl|WGS:NBSK|LSAT_0X29680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPRALEEFTRIAYQCLRDFKQRPTMKEVLAKLESALEYQIIETTSFPKEFEDLKIQLEAILLATNHFSNENCIGEGGFGKVYKGKLVYSKGQITVALKRLDYTFQQRDLEFWKEMIMLSLYRHENIVPLLGFCDDHGEKIIVYEYVSRSGLDVYLDSHDLSWVQRLKICIGAARGLAYLHSDDGTNRTVLHRDIKSSNIVLDESWNAKIADVGLSKFGRSNQQYKYLVDNVVGTVGYCDPVYFETGSLTKESDIYSFGVVLFEVLCGR >cds-PLY88861.1 pep primary_assembly:Lsat_Salinas_v7:5:120089296:120089914:1 gene:gene-LSAT_5X52601 transcript:rna-gnl|WGS:NBSK|LSAT_5X52601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVENPGAASLQGASRGKFLVHLPTNEIVTSYEVLERMLTSIGWERYYDDPSLLQFHKRSTVHLISLPKDFKKLKSMHMYDIVVKNRNVFEVRNS >cds-PLY81141.1 pep primary_assembly:Lsat_Salinas_v7:9:68485554:68487516:-1 gene:gene-LSAT_9X57521 transcript:rna-gnl|WGS:NBSK|LSAT_9X57521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKHSEVVVPEAKKDETAPERPKRTLLGWKDKPENPQDSTTNPVFRNREKVLVTCSRRINYRYRHLMLNLVSILPHCKKDNKVESKATKGATLNELVELKSCSSCLFFECRKHKDLYLWMSKCPNGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSSNFDKDPHWKLLKEIITQIFGTPKEHRKSKPYHDHVFVFSIVDDHIWFRNYQISCPHTGADKIDRGGLEKMTLIEVGPRFCLNPIKIFGGSFGGPTLYENPFYVSPNQIRSLEKRQKAGKYAMKVKAKTRRKMHEMSNPLETDEFADMWKE >cds-PLY77123.1 pep primary_assembly:Lsat_Salinas_v7:7:183657400:183659218:1 gene:gene-LSAT_7X108981 transcript:rna-gnl|WGS:NBSK|LSAT_7X108981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDSSRNPEEYPILSKRPHSENENLEDRISNLPDALLCYILSLVPTICAVRTTILSKRWNTLWTYVDNYDFENPNEKHQHFIEFGETVLRNSNVSSIQRFRLSCSWGDNVHGISKLYVWICTAVVRNVKEFDLSIRTKTPIELPKILFTCETLVSLKLSSTNFITFPEIIRFSSLKILQIRHWKCMVDDPMKNILDCSPVLEELYLQFVVFQNLQKLNLRCFTLKRLTISTLYPKPLKENLLHDVVIDTPRLEYLNFEDSHSNGVELNQLPVLASANLHIFGLNLGVFEFLSRVSNVKHLILFLKAGMDVLPVPNLLLINLTNLEIQVTDNWEFVCSILKCSPNLQVLTCEKAVRCSINRHHASICDWRAPVDVPKCLLCLTRIEIRINGCDNEMELVKYLLHNAMALKIMVIGRSVNFVKKWESRILKELLRFRRGSIMCEVVFGS >cds-PLY70563.1 pep primary_assembly:Lsat_Salinas_v7:1:89396560:89397881:1 gene:gene-LSAT_1X74920 transcript:rna-gnl|WGS:NBSK|LSAT_1X74920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKLIYAVILLFVCSRAEKLSSKECEDLGFTGLALCSDCNALAEYVKDQELLSDCKKCCAEDSDDSISKIIYSGAVLEVCMRKLVFYPEVVSFIEDEKEKFPSVKIQYLFNAPPKLIMLDDAGQHKEIIRIDNWKREHMLEFLRAKLKPIAAI >cds-PLY63734.1 pep primary_assembly:Lsat_Salinas_v7:9:86792242:86793588:-1 gene:gene-LSAT_9X67100 transcript:rna-gnl|WGS:NBSK|LSAT_9X67100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHVDDLKNRKGKMKGKLGQLVCSPYVDLITNVDEAVKDDENVVAQSIIAWGKDKGEFIWETVDGHGMHLEVAHTLAMRKKVHTNVIDAWAAFINKTEELKLEASYSRKYFTCDTITDYMVDEAVDEELRYNKFRIMFVDVINDIADKPYLKTVDLKSFFCRYLNNVEHKKANVLLKKEVVVIQMKCQRNIVGVDCGIFLMRHMETYMGEAAHKWDCGLCVDNKIQEKMLGRLRYKYLDKLMMSDFNVMKKTFLKHYAAVKKMDRFERMKVIEEKKKEITGVLQ >cds-PLY86540.1 pep primary_assembly:Lsat_Salinas_v7:2:208390563:208395190:1 gene:gene-LSAT_2X128981 transcript:rna-gnl|WGS:NBSK|LSAT_2X128981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAADLEHATSTSYSLLPDSDHHHYPPPISQRRRPLTLLSSIFLSMLIFSSLIALILNRHSQPHTSVDDETGDRAPANEAFKPISRGVSQGVSEKSNFQLSSPGADDIYPWTNAMLSWQRTGFHFQPPKNWMNGPLYHMGWYHLFYQYNPDAAVWGNITWGHAISKDLINWQHLPFAMVPDHWYDINGVWTGSATLLHDGKIVMLYTGDTDQEVQVQNLAYPANLSDPLLLDWVKYPGNPVLLPPPGIGAKDFRDPTTAWFNPNGKWRVGLGSKVNKTGITLVYETTDFTSFELLDDVMHAVPGTGMWECVDFYPVSTTQSNGLDTSVNGKGIKHVLKSSLDDNKHDYYALGTYDSISDKWTPDNYDLDVGIGLRLDYGKYYASKTFYDQSTQRRILWGWTGETDSEAADILKGWASVQTIPREVVFDKKTGTNILQWPVKEVEKLRAKNTKFQKVLLKPGSLVPLEVGLATQLDILATFDIDKTTVEAAIEADVGYNCTTSGGSAARGVFGPFGLIVVADESLTEQTPVYFYIAKGADGVATTYFCADESKSSTAADVTKIIYGSSVPVLHGENFSMRLLVDHSIVESFAQGGRTVITSRVYPTMAIYSAAKVFLFNNATGISVNANVNVWKMNSAQIDYFPLGRQH >cds-PLY95633.1 pep primary_assembly:Lsat_Salinas_v7:7:101397591:101398384:-1 gene:gene-LSAT_7X66560 transcript:rna-gnl|WGS:NBSK|LSAT_7X66560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLITNLHVASRSMCFHESHLCWLQLHHSSLYPTCAPKTTRSDSSYAKPALKPINLDGLTLPRLQILATISNMSHETIRRLHLHS >cds-PLY73206.1 pep primary_assembly:Lsat_Salinas_v7:5:102014008:102017316:-1 gene:gene-LSAT_5X46841 transcript:rna-gnl|WGS:NBSK|LSAT_5X46841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPKSKQEDEDLKVKRYLIKETSRTNVTPAKARRIRQHQENLRRAQSNVTPTQSTTSSVREEPENQLMQPSNPEPLESSVVAVPATSSLCNLERFLETVTPSVPVQHLSKQRTMRGWRTSDVEYQPYFVLGDLWESFKEWSAYSAGVPLILNEANCVIQYYVPYLSGIQIYVDPLKSLINSRQLTEDIDDNLFTDSSSDGSSDYEQEKGSLHYLREKSNNHHPKNDILHRMDHLSMNDENNVVQEDFSPIADRNALRHKGRILEPVEKLKGGLRSRSPRPRSRSPPRRSRSPSRSRSPKGGGNEKASTSSPYSHGRADSRSPLQRSDSNGLPGPMGLMSTSHLEQEAAVLALSTLMTIAPAEVYAKFEKVAPTKNVSAFLASVGK >cds-PLY95383.1 pep primary_assembly:Lsat_Salinas_v7:9:190056432:190058883:-1 gene:gene-LSAT_9X117121 transcript:rna-gnl|WGS:NBSK|LSAT_9X117121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEENEEVEKTPLTDTVVYICIIAGSAGLMYGYDTAVSGGVMMMKPFLKKFFPAILLKMIDNDMKQDQYCRFNSHRLTAFISSMFIAGLVSSLLAGRVTSLIGRKLSLITSGILFLTGNGLEVFAQNLAMLIAGRLFVGFGVGFANQAAPVYITEMAPSKWRGTLNTAFQFFVCCGSVLASIINFGASHSNTNLGWRLALGYASLPATLLIIGAIFIPDTPSSLIQRDKLDEALTTLSRVRSTKAEAEAELKDLVSSTEAIKLNTQNPYLKILELRYRPQLILTVAIAGFQQLTGVGMVALYAPVVMRTIGMGTEESLLAAVVIGFVNLVSVLMSTCMVDKIGRRFLFIGGGIQIIFSQVFIACTLAIQSQIVIEGFPKNYGVVVLVLMCLISSAFGWSWGPLTWLVPSEILPIEVRAAGTGISVATNLLITFILAQLSMAMLCYMKFGLFLFYGATTLLMTMVIGVFLPETKGVPLESMESVWNEHWFWKWVLSS >cds-PLY95561.1 pep primary_assembly:Lsat_Salinas_v7:6:172931882:172932634:1 gene:gene-LSAT_6X105160 transcript:rna-gnl|WGS:NBSK|LSAT_6X105160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFDEHEEQEEEVGIQLPPGNYDGVGNSGRGREVRKSGGGGGGFRYRECLKNHAVGIGGHAVDGCGEFMAAGDEGTLDALKCAACNCHRNFHRKEIEGQQRQHQQLQQQHQAALQHQYMTPPPYYHPHHRPTGYLHMTPAPSSHHQRPLALPSTSREDLEEISNPSSSGGGGGGGLGGGVGGGSGSRKRFRTKFTQDQKDRMLAFSETLGWRIQKQDEAAVQQFCAETGVKRHVLKVWMHNNKHTIGKKP >cds-PLY84220.1 pep primary_assembly:Lsat_Salinas_v7:7:78670969:78674835:1 gene:gene-LSAT_7X56240 transcript:rna-gnl|WGS:NBSK|LSAT_7X56240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLSGGTGRAYGFDIENIVKCPSSTSSRTSNSSSPSSTLSESSNSPIAISNRKPRTPRKRPNQTYNEAAVLLSIACPKVFSTKNLTKKNSNLSKFSRQNSISMHEPPELLLPFPMIKNSGFLLHQPILEKPSSLFDSKLASSCLSPRETEYRNCNSGSNSMELCDGFEDDFDTESMLDEEIEEGIDSIMGSSNSTIQNYKSSDNFSPNSKTCYGYPMGLGFGGNLEFNFGFGMRNGVRALKNGDDGNWWNFPTVNVVNISPPVAAAIVAPGKVKKAPVEKKKKKIEELMKRSESDLEQGNSNPGEENSSPEIGSRLLLKLNYDDVLNAWSDKGSPLPEEISGSESPGGDIHARLAQIDLFSENGGLREASVMRYKEKKRTRLFSKKIRYQVRKVNADRRPRSKVYIIG >cds-PLY80254.1 pep primary_assembly:Lsat_Salinas_v7:6:124779799:124781594:-1 gene:gene-LSAT_6X74200 transcript:rna-gnl|WGS:NBSK|LSAT_6X74200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSFDITPGTFGTIELVTRIQKHAAGTFIKRSLTLIFEYSLVYKLTNEFDRCINPQLKKYLQVIYKIIMTWDMTQLGSMMASAMFVWAIFQQWFPEELSKHIEKYIRRLVSFMNPYITISFHEYQGDEYERSKAYKAIERYLSSNSSNGVKRLKANVIKNSKFRSVFLSMEDYEEVIDEFQGMTIWWSSNKIIPQQRALITYNDGDEKRYYQLTCHRKHRDVITKAYVPHVLDEGEAIAKKTRQRKLHTNGIGSSWSHIIFDHPSTFDTLAMHPEKKKDILNDLMSFIKSKDYYKKVGKSWKRGYLLYGPPGTGKSSMIAAMANLLDYDIYDLELTSVNDNTKLKKLLIHTSSRSIIVIEDIDCSLDLTGERKGKNTGEEEKNLVHKKEKVKKKKGSEVTLSGLLNFIDGLWSACGSERLIVFTTNHIEKLDPALIRRGRMDKHIELSFCCFETFKVLAKNYLDIESHDLFPSINRLLEETNMSPADVAENLMPKSADENAESCLKVLIKALENAKEEARLKAAEEESSDQVLSDSGDEESKDTDTESSDSGDEDASEGSEA >cds-PLY76173.1 pep primary_assembly:Lsat_Salinas_v7:4:55087438:55091843:1 gene:gene-LSAT_4X37340 transcript:rna-gnl|WGS:NBSK|LSAT_4X37340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLCEFASSSMDDTIERYRNHVKEVQTENSSSVEDAQWQHLKNETEIMAKKIELLEITKRKLLGEGLGSSTIDELQKLEQQLERSVSIIRARKMQVYNEQIQELQAKEQLLASQNAMLNSKCLVQTQERIDEQRAMLQMIECGESSDVETELFIGLPEKRMKLDRQK >cds-PLY87525.1 pep primary_assembly:Lsat_Salinas_v7:8:97037613:97040591:-1 gene:gene-LSAT_8X68061 transcript:rna-gnl|WGS:NBSK|LSAT_8X68061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSSSSDLSGDEEEGFLLNDGGPLPYPVDSLLQPAPCGFVVTDALEPDQPIIYVNSVFEMVTGYRAEEVLGHNCRFLQCRGPYAKRRHPLVDSSVVSEIRRCINNGIEFQGELLNFRKDGTPIMNRLRMTSIYGDDDEITHIIGIQFFTETNIDLGPLPGSTTKSTLQPYNLFRSALLSNSASTTRNSTPGILQLTDEVISLKILSLLTPRDIASFGSVCSRFYEITKNEDLWRMVCQNAWGSETTRVLETVPGAKRLGWGRLARELTTLEAAAWRKLTVGGAVEPSRCNFSSCAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSKPEWQHIKVGSPPPGRWGHTLSCVNGSNLVLFGGCGRQGLLNDVFLLDLDAKHPTWREISGLAPPIPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSLEKPIWREIPVSWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEDEPCWRCVTGSGMPGAGNPGGVAPPPRLDHVAVSLPGGRILVFGGSVAGLHSASRLYILDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSELQELSLASYVI >cds-PLY97615.1 pep primary_assembly:Lsat_Salinas_v7:5:238569222:238572443:1 gene:gene-LSAT_5X113220 transcript:rna-gnl|WGS:NBSK|LSAT_5X113220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKHNVFTTKLKLLLLILVTTTTCKCHTHGSRANQAETLMSFRRSRTRTHTQLNTINEKQVMETSFSEVIKTDDNGRTMEDDYIKAGLPGQPLSKGLSFKQFAGYINVDSFNGRNLFYYFAEAHHQPSTKPLVLWLNGGPGCSSLGVGAMLEIGPFGVNADGKTLYSRRFAWNRVANVLFLESPAGVGFSYSNTTSDYGLSGDKRTAEDSYVFLVNWFKRFPHYKNHDFYIIGESYAGFYIPELADIITKKNVKSHSTSNINLKGIMIGNGIMNSDTDDKGFNDYLWSHALISDETYQKLTRDCGYNNNSNYCHSLEEELGEEIGNIDFYNIYGPTCTPLPDGIMVRKKHHRRSGGVDPCEEEYVEHYLNLASVQKAFHANLTKLSHRWETCSNLIGEWKDSPSTMFPIYKRLISLGLRILLYSGDVDAVVPVSGTRYSIDAMNLTVIKPWRFWTDATKQVAGYKVVYNGLTFATVRGAGHEVPRFQPHQAFGLLKMFLEDRN >cds-PLY87527.1 pep primary_assembly:Lsat_Salinas_v7:8:95654351:95655607:1 gene:gene-LSAT_8X67581 transcript:rna-gnl|WGS:NBSK|LSAT_8X67581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSSSSSSGLPGFYLVLLFLVGTSIVSADFYNEFDITWGNGRGKILNGDLLTLSLDNSSGSGFESRNEYMFGKIDMQLKLVAGNSAGTVTAYYLSSKGSNWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPKSIVFSVDGTPIREFKNAESIGVPFPKDQPMRIHSSLWNADDWATRGGLVKTDWSKAPFTASYRNFKADACVVSSGKSSCGGSDNPAWLSEELDNTKQERLRWAQKNYMIYNYCSDSKRFPQGFPPECKSA >cds-PLY74307.1 pep primary_assembly:Lsat_Salinas_v7:9:186692631:186695103:-1 gene:gene-LSAT_9X114521 transcript:rna-gnl|WGS:NBSK|LSAT_9X114521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEANQRIARIAAHLHPSNSQMEGGSVLERANCRAKGGAPGFKVAILGAAGGIGQPLAMLMKMNPLVSVLHLYDVVNAPGVTADISHMDTGAVVRGFLGQPQLDAALTGMDLVIIPAGVPRKPGMTRDDLFKINAGIVKTLCEGIARCCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTMLDVVRANTFVAEVLGLDPREVSVPVVGGHAGVTILPLLSQVKPPCSFTKEETEYLTKRIQDGGTEVVQAKAGAGSATLSMAYAAVKFADCCLRGLRGDAGIVECAFVDSQVTELPFFATQVKLGRGGAEEIYQLGPLNEYERAGLEEAKKELATSIEKGVSFIRK >cds-PLY80118.1 pep primary_assembly:Lsat_Salinas_v7:5:147537075:147537900:-1 gene:gene-LSAT_5X64400 transcript:rna-gnl|WGS:NBSK|LSAT_5X64400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVLGVDQPIQSLPTFGEAYGNDGGGGSYDDERRAGVVVQKKKGWSDATKQAISMGGFLGLFDGVSQDEIEIWALRDLKMMKWGEVAIDN >cds-PLY79951.1 pep primary_assembly:Lsat_Salinas_v7:3:110873376:110874121:-1 gene:gene-LSAT_3X79821 transcript:rna-gnl|WGS:NBSK|LSAT_3X79821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGREPQLSGISFSVSHALRGIFHNGKDWSDCVLAAARMSVAWRSQGTMPNFLWTMMGDVPPVEAGVKDASSVRGSGVASGQVVSQCWTDVVDSALEEDISGNTNGRHLRRKHIIDPPLSKSHIVIEIADGDDPRERETMGLCRLGEPGVVGTRSVPPSVSGDAPSAAGPSS >cds-PLY97498.1 pep primary_assembly:Lsat_Salinas_v7:1:194561241:194561905:-1 gene:gene-LSAT_1X126920 transcript:rna-gnl|WGS:NBSK|LSAT_1X126920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKFRKETFFYGHDNQDQLVKIARLELEPQLEAIVRRHCRKPWSKFMNADNQHLVSPKAHPYFMQVRAA >cds-PLY98105.1 pep primary_assembly:Lsat_Salinas_v7:3:247600099:247600581:-1 gene:gene-LSAT_3X136761 transcript:rna-gnl|WGS:NBSK|LSAT_3X136761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKIFDTPHDAYAFYNRYAFLHGFCIRIHWGYKNKTTNEVYRKMYVCNKEGFKRLKANSSHGDAKKRRRNLRTGCKAMVHISKRKDGKWFVDVFNDKHNHALSITPTKVMKHRSHGKLHRLLACKSLMVELGQSGLKSSQIKKVVNAMKAPSDITNDVVF >cds-PLY87411.1 pep primary_assembly:Lsat_Salinas_v7:3:6451895:6453515:-1 gene:gene-LSAT_3X3161 transcript:rna-gnl|WGS:NBSK|LSAT_3X3161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease ATP-binding subunit CLPT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G12060) UniProtKB/Swiss-Prot;Acc:Q8GW78] MASHTLFSSATTSTRCSHHDSIKKSDPFSPNLQITTHKLQSQWLGITKFPLHSSNLRPYLPKTRTIAATVTFSLPTANPERVNPTDKAPKWSLKGIKSFAMGELEARKLKFSTTGTEAILMGILVEGTNRASKLLRANGFTLVKVREEAIKLIGKPDYFFFSPEHPPLTEAAQKALDWAVDQKLKSGDDGEITTSHLLLGVWSEKEAAGYKIMATLGFNDQLAQQLQSLISKPGFVED >cds-PLY93839.1 pep primary_assembly:Lsat_Salinas_v7:6:145791209:145791582:-1 gene:gene-LSAT_6X88181 transcript:rna-gnl|WGS:NBSK|LSAT_6X88181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSAKSSFQGGPTLRRKRIVRCGCGDVCKVSVARTPENYGKKFYGCPNYKVEEEDCGFFKWYNEEYGHIVNPNHTKQK >cds-PLY63551.1 pep primary_assembly:Lsat_Salinas_v7:9:151217628:151218843:1 gene:gene-LSAT_9X95500 transcript:rna-gnl|WGS:NBSK|LSAT_9X95500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTNKVKNEMYYLRKAEQIKKQLKDKAFMVMKTPSVEIWETDDEVDQAEETEAYKNYFCFMDNDDKEPSPLHQQVVEKDLGCKYSKKQQTGKPQIQVSKGENLKTMSNEKTVVEIIKRPFVPIKITSPNPK >cds-PLY72695.1 pep primary_assembly:Lsat_Salinas_v7:6:27794201:27794590:-1 gene:gene-LSAT_6X21461 transcript:rna-gnl|WGS:NBSK|LSAT_6X21461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLTKGKRLTMATLILPPLVALLSLSIPLLITADYADKASYIALNHPIHGKSPPPPKSSPPYIHGGSPPPPKAPKAPKAPKTPKAPKAPKAPKSPKSPKSPPPPPPPPPDSPGMSPPPPPTSPPPPTMH >cds-PLY84996.1 pep primary_assembly:Lsat_Salinas_v7:3:121058279:121060409:1 gene:gene-LSAT_3X84760 transcript:rna-gnl|WGS:NBSK|LSAT_3X84760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIEPTVLATHEGVANAPDYSSDSSASTVPINSNNVSSNRYDDDSDEEEDVCQICQNSRDVANPLRYPYAFSVPTDNSVDVLTNDIGVVVVTDDNGEPHGFNLYGDGRLLCGLHVDSGRVKGIMKKTLREIIEKCNLLVRIPPNQNIVLCDIRPSWKRPITVALAQGGLLVGLLYNESIVVRVTSCPNVCARPYMAGLGLVGDGF >cds-PLY64070.1 pep primary_assembly:Lsat_Salinas_v7:8:94902747:94903102:1 gene:gene-LSAT_8X66021 transcript:rna-gnl|WGS:NBSK|LSAT_8X66021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEYKETSTVRIGDRSGYTEVYNEQRVRNVSFNNNNGIIVKNVIAYDNGHGDKNGGYWYVTMDMIMIKIMIMIICCVFIVWFIGVMDILVII >cds-PLY75182.1 pep primary_assembly:Lsat_Salinas_v7:2:203932397:203934852:-1 gene:gene-LSAT_2X125641 transcript:rna-gnl|WGS:NBSK|LSAT_2X125641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPCSFPDIWSWIQNLPPLAQWQTNTKSICIISSHPSLELSISKTLLYSPSFSISIRLNYKSPISLWTSKPLKSAINKTLLNEVTISKLLMNIIKDIINYTPAKPTKSFLKLPEPNSIHDLKHIFNFVFLSLAFIVSIYEAPRDIRGDCINTLKDPFTSSWARESSKRLMRLMGSNTEEEWMRSMNLAITNWMTELREKHHVWKAPSPMYSSATSGLGLWKLQLYCPVIAMEIEECNSPGPPGVEDQKLGFSLNYHQVEGVIQLNHQVVVREKWIDVSVSIDNVRCDVIRLVNDILLKECGAGIEEKHFPSRISLQLTPTIQTNVLSVSVSRSSENPAREIGIERSIEGTFEPPNPVIGISVSAGETVITNLKPWKFEQSVYGYSGSFNWFLHDSVNGREVFSSKPSKVALIHPKSWFRNRYSSVYRPFTRQGGVIFAGDEYGESVLWRVGRDAIGQTMEWEVKGSILLTYWPNKYKTFYTETRRLEFSEILHLKLA >cds-PLY65010.1 pep primary_assembly:Lsat_Salinas_v7:8:89450610:89452314:-1 gene:gene-LSAT_8X61881 transcript:rna-gnl|WGS:NBSK|LSAT_8X61881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLFRNKKLPDGIVDVFERIYALLFKLVSDYELVIRSWFLFDLMTRAPIWCIVFDSCFRTETWEQEEYKRYMRRIITQLKEINPQFSPMIMNLREGNTINKIHQVLADDYLTIMDYPRDYEGCPVLTIEVIRHFLKSSESWLSFRQHNIVLMHSELGGWPVLSFMLAALLLDRKHFTSESRALEMVLKQAPSQKLPLMTGLDPTASQLRYLQYVSKRNADEQWPPPDKALKLACVIIRMIPDFDGKGGCCPVFRIYGRDPLLQIDKISKLLFSTPRRSKNVRSYNQAENELVKIDINCNIQGDVVLECINLTDDLLKETVMYRTIFNTAFIKSNTLIMSREEVDISWDKKHQFPRDFKAEGNKPSEIFSSAKQQK >cds-PLY79771.1 pep primary_assembly:Lsat_Salinas_v7:1:181999711:182000773:-1 gene:gene-LSAT_1X118440 transcript:rna-gnl|WGS:NBSK|LSAT_1X118440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVSTCTDDNNWVLISMKYLIFHSFLDFFGTHQIEAQGRLHHLSLRRLYYPRLPSHSFPAISSTSGDVGANGVSYLTEDIEEGEVTGGDSRCD >cds-PLY75173.1 pep primary_assembly:Lsat_Salinas_v7:2:45646491:45647525:1 gene:gene-LSAT_2X19341 transcript:rna-gnl|WGS:NBSK|LSAT_2X19341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIHHLFFLFLSISLIYGVHSTVFTIKNNCPYTIAPGTLTSTGTPVTTGFELPPQASNSINMTPSWSGRLWARFGCSNNGGRYSCSSGDCGSGQVACNGAGAAPPATLVEFTLADASSTDFYDVSLVDGFNLPVSVVPQGGGCPTTDCPVDINASCPSELVVKDGSGGTIGCKSACLAFNEPQYCCTGAYNTPETCPPTNYSQFFENLCPKAYSYAYDDKSSTFTCPTGPDYLITFCP >cds-PLY96967.1 pep primary_assembly:Lsat_Salinas_v7:4:174236188:174236604:1 gene:gene-LSAT_4X102761 transcript:rna-gnl|WGS:NBSK|LSAT_4X102761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRGVLLFRSTAAISDGGSTTATSVVAGDTPTTFAASFLAVLTSSTKGRAARRWLELELIPIQQKKKRLEMVVSCGGQGRTPTATVTVDFGWCLFDETEGGGWLEWWFSVVTVVVSGGLFSEGKNTAEEGRGRLHR >cds-PLY97208.1 pep primary_assembly:Lsat_Salinas_v7:4:94915531:94915728:-1 gene:gene-LSAT_4X62960 transcript:rna-gnl|WGS:NBSK|LSAT_4X62960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQTLERFGRIGAAAAIVPPLQQAVVGGSSSSMVADNVSGRDEMQRGAQTTQWFTDWMTNPSEHN >cds-PLY75194.1 pep primary_assembly:Lsat_Salinas_v7:2:202965273:202966166:-1 gene:gene-LSAT_2X124020 transcript:rna-gnl|WGS:NBSK|LSAT_2X124020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQDSQSFHWRYDELDDKNFQIRGRTLFFIIVLFSVIFLITLLFLYARWICRSSSSSAAVSDTTTLSPHVRPCSRPQGLDSALIDSLPITLHHRPSTSSVSSGESECCICIGEFEEGEKVKVLPNCCHTYHCECVDKWLTTHSSCPICRASLVVDSPV >cds-PLY62037.1 pep primary_assembly:Lsat_Salinas_v7:5:130251690:130252094:1 gene:gene-LSAT_5X55420 transcript:rna-gnl|WGS:NBSK|LSAT_5X55420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSGRAEHSWSPNYGRHMDENHSNGVTNEDMAISLLQTQTELSLIREDFQDQLRELRQAVNRHLDALNLKVDDVRAGQMDISHMVADLKNHFVSLQGAYVKMVFKDNKRKKLMSCVGIFGVVCAFVVTYLVFK >cds-PLY84034.1 pep primary_assembly:Lsat_Salinas_v7:6:186474690:186477759:1 gene:gene-LSAT_6X115841 transcript:rna-gnl|WGS:NBSK|LSAT_6X115841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MAALQFFKCYKPLFRIQSRKIFITSGSITNKNFQKTSRNIVRLLCSSSTSLGQSTSTKTNAYGEDEKKSKEQQLWLYNTMTKEKELFKPKVDGKVGMYVCGVTAYDLSHIGHARVYVSFDILFRYLRFMGYEVNYVRNFTDVDDKIIARAGELGEDPLSLSRRFCEEFHRDMEYLHCLPPTVEPRVSDHMPQIIDMIKQILDNGRAYRVEGDVYFSVDEFPEYGRLSGRKLEDNRAGERVAVDSRKRNPADFALWKSAKEGEPFWESPWGAGRPGWHIECSAMSATYLGYSFDIHGGGMDLIFPHHENEIAQSCSACHKSNISYWIHNGFVTIDSQKMSKSLGNFFTIRQVIELYHPLALRLFLIGTHYRSPINYSDVQLESASDRAYYIYQTLKDCEEVVGKEEVEEENIRLGADIVECIKSFNDVFVTSMSEDLHSPVVLSAMSDPLKTANDLLHTRKGKKQGTRKQSLAAIEKSIRNVLNILGLMPPTYHQVLQELREKALKRAKITEEEVVEKIHERNAARKNKEYERSDGIRKDLAAIGIALMDSPEGTTWRPAIPLAMQEQYVPES >cds-PLY74858.1 pep primary_assembly:Lsat_Salinas_v7:8:107144610:107146829:1 gene:gene-LSAT_8X73240 transcript:rna-gnl|WGS:NBSK|LSAT_8X73240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVPFHPSSFLSFLLFIICILYMYPGVIAAKTGGNSITRHYTFNIQMRNITRLCETKSVITVNGKFPGPRIIAREGDRVVIKVVNHVPNNITIHWHGVRQLQSGWADGPAYITQCPIQTGRSYVYNFTITGQIGTLWYHAHVSWIRATLYGPIIILPKHNESYPFVKPYMEVPIIFGEWWKVDPEAVVSQAIQTGAGPNSSDAYTINGFPGPTINCSSKEMYRLKVKPNKTYLLRLINAALNDELFFKIANHTFTVVVTDASYVKPFKTDTIFIAPGQTTDVLLKTKSHNSNDKFLMAARPYSTAASGTFDNTTVIGVLEYGGSQITHGDSSIQSLPLPQFPPINATAYVANWTNSLRSLGSSRFPVTVPQTIQRRFFFALGLGTNPCPANQTCQGPNGTKFAASINNISFVMPTTAFLQAHFFRQSKGVYTTDFPTTPLSQFNYTGTPPNNTMVVNGTKVVVLPFNTTVELVMQGTSTLGGENHPLHLHGFNFFVVGQGSGNFNSTTDPSTFNLVDPVERNTVGVPSGGWVAVRFRADNPGVWFMHCHIEIHLTWGLRMAWVVNDGKHPNQKLPPPPADLPKC >cds-PLY71916.1 pep primary_assembly:Lsat_Salinas_v7:3:26612165:26620153:-1 gene:gene-LSAT_3X19261 transcript:rna-gnl|WGS:NBSK|LSAT_3X19261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHGEKRAMLVAYGGDNSATILVLTVDDGDDCDTAYEISGFGVMVMVVKYRKMFGDCQVMSSMGGGSGISSESIFPNFNFMPFTDFSFVIPKEEMESMVRSGKEEMESGSGSEQIEGGVSGNEQDTDQQPPAKKKRYHRHTAHQIQEMEALFKECPHPDDKRRMRLSQELGLKPRQVKFWFQNRRTQMKAQQDRADNAILRNDNDNLRNENSRLQSALRALVCSNCGGSTISFDEQQLRMENARLKEELDRICLIATQFCGSDPMQGQGHGPLSSLMTSNLDLDMNMYPRAYDQEGLPNCSEMLQMNPLMASEGPNFAAANGLIIMDDEKPLAIQFALSFMDEVVKMCRLGESLWTKVNDSGKEVLNLDQHAKMFPCFISQKGDPNEFRYEATRASSVVIINSVTLVDAFLDADKWMELFPSIISRAKTLQVVTSGVNGNANGSLQLMYAELQMLSPLVPTREIHFLRYCAQNSDDGSWAIVDFPLDSFHETYQASLTRYKRRPSGCIIQDMPNGYSSVTWIEHAEAEDEPVHGIFTDYVSSGMAFGARRWLAVLQRQCERLASLMARNISDIGAISSPEARKNLMNLAQRMVRMFCLNITGSCGQSWTALSDSVEDTVRITTRKVTEPGQPNGLILTAVSTTWLPHPHYQVFDLLRDERRRSQLDVLSNGIPLQEVAHIANGSHPGNSISLLRLNVASNSSQNVELVLQESCTDDSGSLVVYSVVDVDAIQHTMSGEDPSCIPLLPLGFVIVPAGQNPNDNITSTTTTTGNSTESGGGCLLTVGLQVLANAIPTAKLSLSSANTVNNHIQSTVQQIIAALGGVAAGNGNSTGGGNSGSSDGDNVGGFDEPATRPIKKVDLSPTSKVN >cds-PLY78382.1 pep primary_assembly:Lsat_Salinas_v7:9:9624124:9626583:-1 gene:gene-LSAT_9X7541 transcript:rna-gnl|WGS:NBSK|LSAT_9X7541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLDKAVERGKDTSLPPMSTALVAETNGNGNGTGAAPSNASYRLRLNPNQDHKAENYDDLGLEFTPLLFSSLERYLPPNLLNVSRDTKYKYMRDILRRYSTEGERTRDQKHREYRQKIISNYQPLYRELYTLNPSTFFVQSFSKAFSANDKNRDESIRSIMSEPAPGIYTFDMLQPRFCDMLLNEVANFEKWVHETKFRIMRPNTMNKYGAVLDDFGMESMLEKLMEDFIRHISKIFFLDVGGYSLDSHHGFVVEYGMDRDVELGFHVDDSEVTLNVCLGKHFTGGELFFRGVRCEKHVNTETHPEEIYDHAHLPGRAIIHRGRHRHGARATTSGHRLNLLLWCRSSVFRELKKHQKEFVNWCGECKREKQARLQQSVTAKKMELLVGQPDGAAFNLR >cds-PLY72017.1 pep primary_assembly:Lsat_Salinas_v7:3:187674387:187675041:1 gene:gene-LSAT_3X111840 transcript:rna-gnl|WGS:NBSK|LSAT_3X111840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYLGGLMVGIRFRSPSDVSEFLSKKNIWNTWFKDFKHGNTINGKFDRLAWLKIVELPVSLWNEENFFQNCRINEEVPMEINRNIFNVGVIERDFDWSPFPSGPCETIEINESVHEGEADESVSTEKNMDEVLLEEGEIQDEAIGDAVIPTTMDMVPEPERLEAGVPMEDQQDSMRQSIAAAKRI >cds-PLY79934.1 pep primary_assembly:Lsat_Salinas_v7:3:109829916:109830119:1 gene:gene-LSAT_3X79180 transcript:rna-gnl|WGS:NBSK|LSAT_3X79180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLPRVCCSLKNRTVMNAAGIPRSRWFSGAHDISNSVAAVAAFYRQGSFLAAWSDWNNERKMAAKEG >cds-PLY79236.1 pep primary_assembly:Lsat_Salinas_v7:9:182679029:182681090:-1 gene:gene-LSAT_9X112340 transcript:rna-gnl|WGS:NBSK|LSAT_9X112340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSEEPKLVNLCINSIASKASVDLSKVDWSYTYNRKKLPEENANEFGVRTRMVPKDWWVEDLAELEIGLYKRVLVSIKNKGLICNEVIGEGLKAFALRRLPGFNHGVVHENDVIKARSVIEIISWLLPSEKGSVSCGFLVKLLKMAIVVDSGEMAKRELVKRIGQQLEEASVEDLLIKMKEGESESMIYDVNIVQEIVKEFIVQDHNGEFEDEGQEMGGILSEASKLMVVKLVDSYLTEISKDPNLPLGMFVDLAEMVNGFSRPSHDGLYRAIDMYLKTHQGITKSERKRICKLMDCKKLSVDACMHAVQNERLPLRVTVQVLFFEQARAATSSGSSTPDLPKSIKALNVSSHGRPATNQEDDWDGVATAEELKALKEELAALRMSHHGHKNTVSDKASVPRVKGLLSTKKLLSKIWSSKGGIKENSGSDSSESLGSANPDDSKSTPSRKGRYLVS >cds-PLY70328.1 pep primary_assembly:Lsat_Salinas_v7:4:101722989:101726225:-1 gene:gene-LSAT_4X66301 transcript:rna-gnl|WGS:NBSK|LSAT_4X66301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKRVYVCPEKSCVHNHPSRALGDLTGIKKHFCRKHGEKKWKCAKCSKCYAVQSDWKAHSKTCGTREYKCDCGTLFSRRDSFITHRAFCDALAEETARVTAASHFNNATPAGSLGNINYHFVGPPVLAGPTMAQHLSSIFKPISSNPQNHLDPTQQGELSLWASGSDNINNENNKHNNLQDMHQIDSVLYADPHQNHNPQSEYHSDWGVFGMKTTSDHEVNVSAPSLFSSQSISHQTHPTASMSATALLQRAAQMGSTSSATANQSAFLGSFGLKSSNNCTTMVSATAPTQVQEENRFCGLYGTSTMMKNCNGSDLENEFSNLEQMYPPSKRRHIQIEEHHGGQTRDFLGVGIQPMCHPRMRFDHV >cds-PLY96485.1 pep primary_assembly:Lsat_Salinas_v7:5:337694594:337699245:1 gene:gene-LSAT_5X189301 transcript:rna-gnl|WGS:NBSK|LSAT_5X189301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFERVSAPSGGGFLENREGVNDIVQMDKDMEDVDKRYEWLHSEHSSSSAAGEIFGEPQRTARIGDEYQAQIPFLMTEKEQLTTCQHAQNKFRFGLSIPVIWIHVQHAKGGGKGKSGTNCLVPGSSSESWSMMEHDSFLLGLYIFGKNLGLVNKFMGNKGMRNVVSYYYGKFYRSGEHQKWSKFRKKRSRKSVPGKKIFKGWRRHELLSRLLPNVTDECKTSLTQVTRMYEEEKISFDKYVLTVRDAVGINLLVEAIAIGKGKRDLSGRAKKSMKNKKLNSSSAIACSSLEPQEIVNLLKDGIGLSKERLNEIFWEVVWPRLLARGWHSEQHRNYAFQNSHNHNNQNHSLVFLAPGVTKFSRRSLEKGSQYFDSFNELLNKVASEPWILEQVPDNDQEHSDEDKQKDLMKCTIVDTSLAGVVKVSEVTSLHVPQQSSSGESEQETTEESQEENANHGVVDSQDCETSGVDHLEEGKPVRKLKLICKVPKPRKHNTSNNDKLLSCEDEAMEEDPGCKKKGGGIVIDLNRPRVGPDSDGDNSVLHECETATNQAKLNGGQRQSRRNRPLSMKALEALANGFLNPKKKRRGTGTEETRGRCVRAKSKTALVSSCGARYIENSSRVEGVFSVVSESPK >cds-PLY71623.1 pep primary_assembly:Lsat_Salinas_v7:9:136302107:136305657:-1 gene:gene-LSAT_9X87881 transcript:rna-gnl|WGS:NBSK|LSAT_9X87881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGPECSANPPEVNSVFGKGSVEEIGGLKAYITGNRSSDRAILLASDAFGYEGVMLRKLADKMGDTGYLIVVPDFFFGDCYYSTMPPQLRENWLPNHPPEKGCENALKIIAELKTRVSAVGAAGFCWGGMMVIKLAKYEDIKAAVILHPGRLSYNDVNEVRVPVAILGGELDQLCPQEEVEHYRKILAAKPQVESFVKIFRGVGHGWASRYKDDDESAIRSAEEAHTDMLNWFSKHLI >cds-PLY64075.1 pep primary_assembly:Lsat_Salinas_v7:8:93882292:93885033:1 gene:gene-LSAT_8X65441 transcript:rna-gnl|WGS:NBSK|LSAT_8X65441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSMASSTETSKWLTLSVTLALATVSTSAAVSVYYWSKRRTQDSVDKLIKELQKSLKESLEKCAAERQGRIRAQQDLRKAMLQAKTENLETIYPMATIAIIRSCFSTRNGTPRQPLIVPLARALLTFDTSRVPPSSLEGLEEYSHCWIIYVFHLNTDLEKLWKHPSRSKLKAKVRVPRLKGEKKGLFATRSPHRPCPIGLTVAKVESIQGNSILLSGVDLVNGTPVLDVKPYLPYCDSIKEAIVPNWVKMDDMLAVGSVDFSDDFLATLTRYWPAMVKKSLYKSPEEFKSLIKQVLSWDIRSVSQRIQDEEEEEESSTNESHDTIYHVNLEGFDVTYRITLNGNVLVEDITLVLLT >cds-PLY98697.1 pep primary_assembly:Lsat_Salinas_v7:1:45088827:45091413:-1 gene:gene-LSAT_1X39321 transcript:rna-gnl|WGS:NBSK|LSAT_1X39321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMSNSGKALLFLVSSLLLTSLVHGTRDLSDSNLIKSSCSTTLYPDICYSTLSTTKTLATKKDIIEHTINQTKEIIHANFHAINKLTTAANVTKRGKIAIHDCLQLATGTLEALDKVIGDLREYPTKKSLRRHADDLKTLMSTTITNKETCLDGFSHDAECKLLRNSIVGGQEHGGKMCSNALAMIVDMTNTDMLNSKEAKLDVLLQEVSMWPEWLSAGDRKLMQSGDVTPDVTVAADGSRDYTSVAAAVAAAPSKSTSRYVIKIAAGVYRENVEVPSSKTNLMFIGDGRSNTIITASLSVAGGSTTFNSATVVAVGDGFLARDITFQNAAGPSGNQAVALRVGADLSAFYRCGILAYQDTLYVHSNRQFFVDCMVVGTVDFIFGNSAVVLQNCNIQPRRPNPKQKNMITAQGRTDPNQNTGIVIQKCTIVANSDLQPVQASFPTYLGRPWKEYSRTVVMQSSISDVIDPAGWYPWDGDFALDTLYYREYQNTGPGADTSNRVTWKGWGVITDVAEAESFTAGSFIAGGSWLPSTGFPYSLGL >cds-PLY96181.1 pep primary_assembly:Lsat_Salinas_v7:8:101589129:101590845:1 gene:gene-LSAT_8X69300 transcript:rna-gnl|WGS:NBSK|LSAT_8X69300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARFLSQTLIRTLSPSSNSLQTFKLGISQRSRFSSRSGKAQMIEVDLESEGDAEVLGLRKLDDVIHNLIVRQSAPDWLPFIPGSSYWVPPRRHRPESHDLFEVLNQITNPLTEDESMSLSTSRGWPSSAYFIEGTSPTHPVEVKIQNNQENSMPVSGPTEEEG >cds-PLY77000.1 pep primary_assembly:Lsat_Salinas_v7:6:67945357:67945698:1 gene:gene-LSAT_6X48320 transcript:rna-gnl|WGS:NBSK|LSAT_6X48320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHFTSPPYLRRDQRIYLVDSYRFSEDEIKVLIDGDNSYTQLTGRIIRQLLDELVQSSEPGDFLVVHYNGHDTRLPAETSDDDDTGYEECIVPNDFNLINSMILASINVLVVD >cds-PLY78235.1 pep primary_assembly:Lsat_Salinas_v7:6:7617239:7618493:-1 gene:gene-LSAT_6X5201 transcript:rna-gnl|WGS:NBSK|LSAT_6X5201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEKDGADDWKVYFYVSPYQRTIETLRGLGTAFQRSRIAGVREEPRLREQDFGNFQDQEQMKIQKAVRVQYGRFFYRFPNGESAADVYDRITGFRETLRTDIDIGRFQPPGEQSPNMNLVIVSHGLTLRVFLMRWYKWTVEQFEGLNNMQNGNMIVMQTGQGGRYSLLVHHTKQQMMDFGLTQDMLVDQEWQKTAKPGELNYEIPTGGPSFFTHFDDENNGKFRS >cds-PLY95372.1 pep primary_assembly:Lsat_Salinas_v7:9:190093898:190099671:-1 gene:gene-LSAT_9X117201 transcript:rna-gnl|WGS:NBSK|LSAT_9X117201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGSDRGSTSKGNGGLSSMDSMESHRWVFQDEENSEIDYEDNGDDDGDDFTLQNDMDSEDEDSLYQKLIRTGPRIDSFGVEALEVPGAHRSEYEDASLGKSIFLVFQTLGVVFGDVGTSPLYTFSVMFSRAPIETNADIIGALSLVLYTLILIPLIKYILIVLLANDDGEGGTFALYSLICRHAKISLLPNQLTSDTRISSFRLKVPSAELERSLKIKEHLENSLTMKKLVLVLVLAGTSMVIADGVVTPAMSVISAVRGLKVAFPAVEQDHVVMISVAYLIILFSVQKYGTSKVGLVIGPALFIWFCSLGGIGIYNLVKHDSSVVKAFNPIHIYYYFKRNPTKAWYSLGGCLLCATGSEAMFADLCYFPVRTIQITFVFLVLPCLMLGYMGQAAYLMDNYGDPQQAFFSSIPDGGFWWVFLIANFAALIASRTMTTATFSCIKQSSAIGCFPRLKIVHTSRKFMGQIYIPVINWFLMASAVLLVCCIASTNEIGNAYGIAEIGVMMMTTFLVTLVMLLIWQINIITVIIFSFFFLGMELLFLSSVLSGIGDGSWIILLFSIVVFLIMCIWNYGSKLKYETEVKKKMSMDVLRQLGCNLGTVRTPGIGLLYNELVKGVPAIFGHFLTTLPAVHSMIIFVCIKYVPVPVVPQNERFLFRRVCSKGYHIFRCIARYGYKDVRKENHQIFEQLLIESLEKFIRREAQERQLESDGDDDDSDFENDPSRVLVGPNGSVYFGVPEGGNVINQYHLPQQPPPMEATTSEASGHTDPELSLENELAFLHKAKESGVVYLMGHGDIRAKKESWFIKKLVINYFYAFMRKNCRRGIATLSVPHTHLIQVAMTYMV >cds-PLY72122.1 pep primary_assembly:Lsat_Salinas_v7:7:58936347:58936934:-1 gene:gene-LSAT_7X42920 transcript:rna-gnl|WGS:NBSK|LSAT_7X42920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAILVFSTSMNGWVFVMLNRFKYKIWFSVGEGAWNHVFSTFPLLDLHPFKGKIYTLSTDYLRSGRHVYEMRLNPVPKLTLFETRFLESVFLALDFISSDQNLYLMEYWPNGLHKVHKLDFDQLNWLPCENKMEEYALFYSCLKQGAVVKPETWAAPSSQYRRFFGNYKPGKGRCLTSKFWYFPHDCLNVNLIDH >cds-PLY96050.1 pep primary_assembly:Lsat_Salinas_v7:8:22520782:22526774:-1 gene:gene-LSAT_8X16161 transcript:rna-gnl|WGS:NBSK|LSAT_8X16161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVSRGLFGWSPPRQQPLTPVSEVSECPSPYVDTSNDAVPTEIDDVMEETEEIEQPPETVPFSRLFACADRFDWVLMVVGSVAAAAHGTALVVYLHYFAKIIQLLSHGDESSDLLFHRFKELALTLLYIAGGVFAAGWIEVSCWILTGERQTAVIRSRYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLAIGFLNCWQIAGLTLLTGPFIVAAGGISNIFLHRLAENIQDAYAEAASVAEQAFSYMRTLYAFTNETLAKYSYAASLQATLRYGILISLVQGLGLGFTYGLAICSCALQLYVGRFLVIHKYAHGGEIVTALFAVILSGLGLNQAATNFYSFEQGRIAAYRLFEMIARSSSSVDNEGNILDSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRDNIAYGRDATSLQIEDAAKTAHAHTFISSLEKGYDTQVGRAGLSLTEEQKIRLSVARAVLSNPCILLLDEVTGGLDFEAERSVQEALDLLMLGRSTIIIARRLSLIKNADFIAVMEEGQLMEIGTHDELIASDGLYAELLRCEEAAKLPKRMPARTPNETSTFQIEKDSTLQEPSSPKFTKSPSLQRASNLHTARSPDSNYNSHGSPKKTVENGTENQPPIKRQDSFEKRLPNLPKIDVHSIPRQQSLNSDPESPISPLLTSDPDNERSHSQTFSRLNSRSDHHPVKVKVKVKSVKEKKERKDPPSMWRLVELSFAEWLYAVLGSIGAAIFGAFNPLLAYVIALVVTEYYKNDTHRHMRHEVDKWCLIIACMGVVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEIGWFDEEENSADTLSMRLANDATFVRAAFSNRLSIFIQDVTAVLVALLIGMLLEWRLALVALATVPVLTVSAIAQKLWLAGFSKGIQEMHRKASLVLEDSVRNIYTVVSFCAGNKVMELYRFQLHKIFTKSFLHGLTIGFAFGFSQFLLFACNAFLLYYTALSIKNHNVNLPTAIKSYIVFSFSTFALVEPFGLAPYILKRRKSLTSVFEIIDRIPKIDPDDPTALKPPNVYGSIELKTIDFSYPTRPDILVLNNFSLKITGGTTVAVVGVSGSGKSTIISLIERFYDPVSGSITLDGRDLKQFNLRWLRNHLGVIQQEPAIFLTTIKENIIYARHNASEAEIKEAARIANAHHFISNLPHGYDTHVGMRGVELTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLVMGNKTTILIAHRAAMMRHVDNIVVINGGRIVEEGGHDSLMEKNGLYVRLMQPHFGKGVRQHRLL >cds-PLY81017.1 pep primary_assembly:Lsat_Salinas_v7:9:177085522:177088305:-1 gene:gene-LSAT_9X109560 transcript:rna-gnl|WGS:NBSK|LSAT_9X109560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSDYDYSDNNNDEDNNNNDNNNNNSNDDNNNNDNNNNNDNNNNNNNNNSNDDNNDNKNGNNNINDYNDNNNKNNDNNNNNRNRKSPPPPPPPPPPPPPPPPWTPPPPLPPSHPKFAPPHHHSNSDVNDSGNDILIVVGAVLAAGLLFFLMILCVMACLKKRKRSRRDEMYYYKDNGQQTDNNNYHSSQKNTLNQSEPPKDIHLKMPPPPDSGPVSSEYDWIAVPPPPPPPMSGNTEFSSATFSGPYQAPLPPPHPGVVLGFNKSTFTYDELAEATRGFNRSLLLGEGGFGYVHKGVLPSGKEIAVKSLKSTSGQGEREFQAEVEIISRVHHRYLVSLVGYCIAGPRRLLVYEYIPNNSLDYHLYGQGAPIMNSSTRLKIALGAAKGFAYLHEDCQPRIIHRDIKAANILLDEHYEAKVADFGLAKLSSDTNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVVLLELITGRRPSEANVDDDGLIDWCRPILTQATEGGSYEEIVDPRLENKFDRDEMYRMVVCASACLRYSARRRPKMSQVVRALEGDASLDDLNEGGKSGMSSAGGSEVDLYKKYSTTGQES >cds-PLY88491.1 pep primary_assembly:Lsat_Salinas_v7:3:174509697:174509990:1 gene:gene-LSAT_3X104200 transcript:rna-gnl|WGS:NBSK|LSAT_3X104200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWDF >cds-PLY87157.1 pep primary_assembly:Lsat_Salinas_v7:5:264487550:264488820:1 gene:gene-LSAT_5X136541 transcript:rna-gnl|WGS:NBSK|LSAT_5X136541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLASSSPFVGYVDLYGKRRQAARVQVIEREIGLLQDEIKSLGSIGLASSSCKELDDFIDATPDPLIAINPKNGGSKNFLKILGRKFSCLSWMCCFCGSCCGSHISCCCDVKKSCSWRLCKCCKISCRCSFDLSCPKYSVCCCNLCPCF >cds-PLY72110.1 pep primary_assembly:Lsat_Salinas_v7:7:56902820:56905227:1 gene:gene-LSAT_7X41120 transcript:rna-gnl|WGS:NBSK|LSAT_7X41120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS2-associated factor 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G20020) UniProtKB/Swiss-Prot;Acc:Q9SL79] MALTVKTIIQFPIFAPPPSNHHHNHRPATEIRFSRWNNANAQKFIRHDLTQKETEDQLRFHKRFESAGRIANLQPTNTTPPPFKSTGTPSAPSRPSIPGKKSKYSKPPQNPKPSSHPAFQRIVRLRKIPNQIEDETGIKVGDNGLSYIIPEAPFEFQYSYTETPKVKPIKLREPPIAPFGPGTMPRPWTGKKPLPPGKKKIEFDSFTLPPPHKKGVKPVQAPGPFLPGSGPKYVQSREEVLGEPLSKEEIDALIKGCLKSDRQLNMGRDGLTHNMLDNIHAHWKRKRVCKIKCKGVCTVDMDNVRQQLEEKTGGKIIYSKGGVIYLFRGRNYNYKTRPIFPLMLWKPITPVYPRLIQRVPEGLTLEEANEMRKKGRELTPICKLGKNGVYCDLVRNVREAFEACDIVRINCEGMNGSDYRRIGAKLKDLVPCVLISFEHEHILMWRGRSWKSMFTQENEPHEVNNSNSNAHSNSDSDSDLKDSIVPSLESSPESVTKEDCDKTEIIISTPENIEDETKSSSTISENNNQECLEGVVSLLKQAVQEGIATVLDDSCLDADAVYAKAVAFAESAIPGPAFRHHRVKKTGIETTESNGEEVGIVVSEVKEGEKGKKTNSRTRKKDLKENYLDVVPQATLRVDELAKLLG >cds-PLY95714.1 pep primary_assembly:Lsat_Salinas_v7:2:113412135:113414739:1 gene:gene-LSAT_2X52280 transcript:rna-gnl|WGS:NBSK|LSAT_2X52280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTKVGSLDVVAIPNGDIGCPPHGGVSSVQTSTVPISFSEATLGRHLARRLVQIGVSDVFSVPGDFNLTLLDHLIAEPGLNLVGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVINAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQEIRCFQTVTCFQAVVNNLEDAHELIDTAVSTALKESKPVYISISCNLPAIPHPTFTRDPVPFSLSPKLSNHMGLEAAIEAAAEFLNKAVKPVMVAGPKLRVAKASNAFVELADSCGYPVAVMPSAKGMIPEHHPHFIGTYWGAVSTAFCAEIVESSDAYLFAGPIFNDYSSVGYSLLLKKDKAIILQPDRVIIGNGPTFGCVLMKDFLLGLSKRLKKNTTAYENYHRIYVPEGHPLKSAPKEALRVNVLFQHIQNMLSGDTAVIAETGDSWFNCQKLKLPKGCGYEFQMQYGSIGWSVGATLGYAQAATDKRVIACIGDGSFQVTAQDISTMIRCGQNTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTSKVLCEEELVVAIEKAMGEKKDCLCFIEVVVHKDDTSKELLEWGSRVSAANSRLPNPQ >cds-PLY99696.1 pep primary_assembly:Lsat_Salinas_v7:9:55980480:55985439:-1 gene:gene-LSAT_9X47361 transcript:rna-gnl|WGS:NBSK|LSAT_9X47361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g18110, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G18110) UniProtKB/Swiss-Prot;Acc:Q5G1S8] MAFTSGILAFAAPSPTLNAINDSSKVRKTITSSHISCSTSSSSSSVTSDITTIEQHEPSKKFSYSRASPSVRWPNRKEPTDNYNTDKTQFPISPTTPKIDVFEDESLTGNEIEGKVEPLEMNNETLEYPGRNSRGVAKKMTKLALKRAKDWRQRVQFLTDRILGLKSHEFVADVLDDRQVQMTPTDFCFLVKGIGKLNWQRALEVYEWLNLRNWYSPNARMLATILAVLGKANQEALAMEIFNRSEEGIDGSVQVYNAMMGVYARNGRFTKVQEILNLMRERGYEPDLVSFNTLINARFKSTPMEPNMGIDLLTEVRRSGLQPDIITYNTLLSACSHDSNLEEAVKVYKDMESNKCQPDLWTYNAMLSVYARCGLVHEAESLFKDLDSKGFVPDAVTYNSLLYAFAKEGNVDKVIKLREEMVKLGFGEDEMTYNTVIHMYGKLGQHESALKLYNDMKSRGCEPDVVTYTVLIDSLGKGNKISEAANVMSEMMDSGIKPSLRTFSALICGYAKAGKRLEAEKTFDSMVKSGIKPDLLAYSVMLDVFLRFDGGKAMMLYNNMVRDGFTPDLSLYELLIQSLNENKDCVEKIIKDMQKLCNLNPQVISSTLVKGGSYDYAANMLKNAILEGNDLDHESLLSILSSYSSSGRHVEALNLLDFLKEHSPGSDHIVTEAMIMLLCNSNQLDAAIDEYRKSRNSNSNLFTGSSSMYESLIEACKEAELFSEASQVLSDMMFIGIQPSNVIYTNVALMYCKLGFPETAHDLINRAESIDEISVYVDLIDAYGKSSLLEKAETVVKSLRERFPVVDRKVWNALIQAYASKGEYEKARAAFNTMMKDGPGPTVESVNGLMQALIVDGRLDELYVVVEELQDLGFKISKNSIVLMLEAFAEAGNVFEVKKIYHGMKAAGYFPTMNLYRVMIGLLCKVKHVRDVEAMVDEMVEIGFKPDLFIFNSLLKLYTNIEDYRKTIEVYHKIQENGLKPDSDTYNTLIVMYCRDHKPEIGLSLLNEMVKQGLDAKLTTYKSLIAAFGKLQMVGHVEELFEKVKSEGYNLDRSFYHLMMKTYRSAGHHSKSEEIFKLMKEEGIEPTIATMHLLMISYGSSGNPMEAEKVLDNLKSSGESLTTLTYSSVIDSYFKNSDYVTGVQKLTEMMTEGVKPDHRIWTCFIRAASFCKTKSEAITILKAIKDAGFDLPIKLLENSDSMVMEVDQVFEELKPMEDNAALNFVNAIEDLLWAFELRATASWVFQLAVKKDIYRNNVFRVAEKDWGADFRKLSGGAALVGLTLWLDQMQDASLEGVPMSPKSVVLITGVSEYNNVSLNTTLKAYLWEMGSPFLPCKTRSGLLVAKAHSLRMWLKDSPFCLDLELKNSVSVPEMNSMQVVEGCYIRCGLVPAFQEINERLGHVRPKKFARLALMSAEKRDKVIQADIDGRKEKLEKLNRFGGMKKTRFPKRKYVRQEAFK >cds-PLY71066.1 pep primary_assembly:Lsat_Salinas_v7:3:162402244:162411756:1 gene:gene-LSAT_3X100941 transcript:rna-gnl|WGS:NBSK|LSAT_3X100941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYSPCLLRLSSSQAFEKFTRPMLGRKEMLKNTLKKAANAWKLIVNLRLSACEGAKLVFHMAAPDSSINNYQLHHSINVEGTKNIIDACTKLNLLVPSLAAARAGKSKFIIGDGTNMYDFTYVENVAHAHVCAERALASDGSASKRAAGEAYFITNMQPIKFWEFMSLILVGLGFEWPRIKIPASIAKMVERTYSRKPSSIKPSPLNQFTDLIRMEIALSGYSEVLTWILCSYKENFAMLNRKVDKSTAVIFGNPHSADFEFLAETLDVVQDIDWVISLGNAFAKQYELYTYDDEHSALLHSFLNAGLFWCWIKWSSGLVCASGKEPTQCPRSSGTFHLYFLYHHHCLPFGFLTSSLDSLSLAIIAMTAMKQSSTVTGDRTTPTTLKVFAEFETDRESYGSSSEDTETYSPKSVVTKARKSPATVKDGSVDVFGRRVRNQIERIRAEDSHLGEDIGECLIARVTVPSHDLVDVLIFSRPASPLSGKAPARIDSFTISCVNSSF >cds-PLY64045.1 pep primary_assembly:Lsat_Salinas_v7:8:94841675:94844369:1 gene:gene-LSAT_8X66101 transcript:rna-gnl|WGS:NBSK|LSAT_8X66101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGLASPLLSNHVNQEPQKRARSYSYTKDEILTEFKKQLYLAGPLMTINLLICGLSMISVMFVGHLGELALSGASMATSFASVTGTSLMIGMGSALDTFCGQSYGAKQYHMLGIHMQRAMIVLLSVSIPLAFIWANAGSLLVFLGQDPEISAEAGLYARFMIPSLFANALLQCHVRFLQSQNNVVPMMLSTGITTLVHVLVCWIMVFKSGLGSRGAALANAVSLWINVLLLAIYVRVSPSCKKTWTGFSKEAFCNIPTFLKLAVPSAVMVCLEIWSFEMMVLLSGLLPNPQLETSVLSISLNTCSMIYMIPLGLSSATSVRVSNELGAGKAHAARLAIRVAMVAVVTEGVLGSMVLIFGRKLWGYCYSNEEEVVTYIAQMMLLIAGSHFIDGVQSVLSGAVRGSGRQKVGAIVNLGAYYLIGIPLAILFAFVLHMGGKGLWYGIIAALFAQAFFLYVLTLCTNWEKEVSRYSCLIFFCLINDV >cds-PLY96132.1 pep primary_assembly:Lsat_Salinas_v7:3:100699619:100706652:1 gene:gene-LSAT_3X73601 transcript:rna-gnl|WGS:NBSK|LSAT_3X73601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLMQTKKRAAGALFALALNHVQIHQTRPLANAIAADSFSNERRLWVHESSGLLRPIFRFLEIESAAWPDLETTAISSNVRRNVGSFLRVLAEENDGSASKEVSEQELALSKAVDAMVSNIETSPEHFEHKKEKHRLYAHEWREKFSVNESMAETKEHLQKKEEKDCKSPRPEQVPAASNSEVQEKRFEEVGLIGNQRKVAVLYELLSACLADTPEDDKTTERPPKGYDARHRAALRLLTTWFELKWIKMEAIEVVISCSAMAVLKQDDGVENVQTSDDSWEKWKRGGLIGAAAVTGGTLMAITGGLAAPAIASGVGALAPTLGTIVPVIGAGGFAAVASAAGSVAGSVAVAASFGAAGAGLSGTKMARRTGSVDEFEFKGIGENHNQGRLAVGIMVSGFVFEEQDFLRPWEGQNDNLERYVLQWESEHLIAVSTAIQDWLTSRIAMELMKQGAMMTVLSSLLAALALPATLLTLTDIIDSKWSIAVDRSDKAGKLLAEVLVNGLQGNRPVTLVGFSLGARAIFKCLQSLAETGHVGLVERVVLLGAPVAIKDENWEAIRKVVSGRLVNAYSTTDWMLGVAFRASLLSQGLAGIQPVDVPGIENVDVTEVIEGHSSYLWGTREILDRLDLDGYYPVFKVAHKHQEAN >cds-PLY62209.1 pep primary_assembly:Lsat_Salinas_v7:4:274990911:274993821:-1 gene:gene-LSAT_4X141101 transcript:rna-gnl|WGS:NBSK|LSAT_4X141101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITSLNLRRISCPAIKLDYGQQFTGNAVTLHPSNFRRRQPHNWRLASLSTNSTTSSSFEPSVASSTTFISSVGSPPSSLPSLSQWNLTQRHILVLNFIACATAISATWLFCSAIPTLLAFKRAAESLEKLLDVTREELPDTMAAVRLSGMEISDLTMELSDLGQEITQGVKSSTRAVRLAEERLRRLTNMNPSGPVVAKTARGIRENIVKSRAFMQMFFTITQFSKVAIKYLISRAKSKA >cds-PLY94659.1 pep primary_assembly:Lsat_Salinas_v7:1:40718323:40721379:1 gene:gene-LSAT_1X36021 transcript:rna-gnl|WGS:NBSK|LSAT_1X36021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRYDSRSGDPASYRDRRSDSGFSGGSGLGGYNSSSSKRDHESSDTQRKVDLEGLTPFEKNFYVESPAVAKMSESEVEEYRTRREITVEGRDVPKPVKTFSDARFPDYVMQEIVKAGFTEPTAIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILSPGDGPIVLVLAPTRELAVQIQQEATKFGASSKIKNTCIYGGVPKGPQVRDLQKGVEIIIATPGRLIDMLESHHTNLRRVTYLVLDEADRMLDMGFEPQMKKIVSQIRPDRQTLYWSATWPKEVEQLARQFLYNPYKVVIGSQDLKANHSIQQHVDIVTENQKYNKLVKLLDDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKAGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKELIAILQEAGQKVNPDLAAMGRGAPPPPSGHGGFRDRDRGRGYGGGRSSWN >cds-PLY85665.1 pep primary_assembly:Lsat_Salinas_v7:7:158720957:158723960:-1 gene:gene-LSAT_7X92820 transcript:rna-gnl|WGS:NBSK|LSAT_7X92820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFNWGAKHSYNFSNGDLNLVLVRVSGPTFAENSGNFEQKVGGSGSMKHLPIELESGEKSQKCCGENEICSHVLLMCIVSSKVVRGAVKVGNKFL >cds-PLY75742.1 pep primary_assembly:Lsat_Salinas_v7:4:333133861:333134052:-1 gene:gene-LSAT_4X165180 transcript:rna-gnl|WGS:NBSK|LSAT_4X165180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISSKPGGFVVGSDDVIAGGDDVATGCDVAGGVRGRGGGRMVADGLAGLQIGDAMGMERRVL >cds-PLY71078.1 pep primary_assembly:Lsat_Salinas_v7:2:162339503:162342073:-1 gene:gene-LSAT_2X85241 transcript:rna-gnl|WGS:NBSK|LSAT_2X85241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPADRVNVGSEDETKLNYTVEPQTNLKLQDENLSAVKGWEKAESEVLALKQELEKATQQREALNECMLQLRFVREEQEKKIHDDLMKTSNEHEKKIAELNKKVSNLELENSQLIYSLSSKEKLINELHSVRAQLDYDLSAVVGQLESTQRENASLSYEVRVLEKELEIRNEEREFNRRTSDVAHKQYLGSVKKIAQLETEAQRLRSLLQKRLPGPADVAKMQNEVDLTRRKSNPFPIASKITFLTEQLCSFEEENRLLKEFLNQKSKSQTVIPATSSDMGSDEKVSMVGSWAPSCNTVNASDIGLMDDFVEMEKLALEDMKKNETLEFNNSGVNKSIQRLIEIIEGVKLCKKDDILSSSSSSSSSSYTVHIFQWKLSEIRDILEGFLKNCNELLNGKVGMEEFCKELTFALEWIVNHCFSLQDVSSMKDEIKKHFESEVEGGPTTGQTDKFDFSKDQLPGWPMAASWNVMVGGVDKHPIKTIEECSENHQENNTIPNEERITGNDIEKRDLEKEKDEKALPSEKEIMEASEKLAECQETILNLGKQLKALSASPEEETCEITVSPLPSPKRNSNQRISLLDKMITEDATGALRSQKSKGLDSNLSVNNKSVMSPRMFVSVDGVKDEKDEEALVNFLSIVTNKKKSGGGILRRLFGRKKSFSSK >cds-PLY80040.1 pep primary_assembly:Lsat_Salinas_v7:9:46270189:46271886:1 gene:gene-LSAT_9X42760 transcript:rna-gnl|WGS:NBSK|LSAT_9X42760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESDPRRIHARVIKTYNAGTSEFRFQLNNLITLYINSHLLPNAVRLFQQIKSPNVVSWTTFISGHSNTPAALSHFVSMLRHPTLPNQRTLAIVLKTCASLHALSFGLQLHALSIKLSLAIEPFTASALIHFYSKTHLPNNAQKVFDEIPHRDAVCFSSVIVGLAQNSKPIDALSYFAEMRASGFDSTDYSVSAALRASAELAALEQSKMIHAHSVVTGLDSNVIVGTALVDGYGKCGMVNDARLVFNELVSILNLIGWNAMMSSYAQQGDKDSVMELFSSMEHHQGLVPDQYTFLSIMTAFCNAGLVDETERWFRKMESEYKLEPWIEHYTCLVGAMGRSGRLQEAKKIALTMPNHKPDAAMWRALLSTSAHHGDIEMVKEMSQRLQELDPQDDSAYVIAANAFSSVGKLEEVKTVRKLMRERRVKKEGGLSWIEVCGQVHVFLAGDRRHEKTNEIYRKLWELMEKIVKLGYVPVWDDMLHEVGKEEKIEALWNHSEKLALAFGLVADVAPRGKALRIVKNLRICRDCHEAFKYISRVVEREIIVRDVNRYHRFFDGGCNCGDHW >cds-PLY80907.1 pep primary_assembly:Lsat_Salinas_v7:8:258518915:258519919:1 gene:gene-LSAT_8X151020 transcript:rna-gnl|WGS:NBSK|LSAT_8X151020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLSIFRFHISSLVSKCLLLLLMALIIRTLLLPNSFSLVSKNYEFGLLKSDVRNVKLKFLEVPQIVWGFNNQKIAFARACLTARTLNRSLLMPSLSASLFYKEIDQLQPISFHKIFQFEKFNSLCHGFVQLSRYSDLTNQSSIINLHKGSGRKWTLERDLDQLKEFSKQDYDVYETIRILGKNPFLWHDHWPVSDYAKVFECLVLVDEISKEADEVVSKIKHFGSVVSKIKHFGSDKNGVSLDQTPYVAIHMRIEKDWMIHCKKLEQRSNVSDICSSREEIMTRVANLRTLKSSTILYLAVVNKLLEDNLILIGWKEGMHPVDKKRLGVVGYRL >cds-PLY72870.1 pep primary_assembly:Lsat_Salinas_v7:5:168753258:168758236:-1 gene:gene-LSAT_5X74281 transcript:rna-gnl|WGS:NBSK|LSAT_5X74281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQDFIGSVRRSLVFKPSAGGGGNENGVGDSFGGFVEKIGSSIRKSKIGLFSKSSIQALPPSRPVAKTKNEEAGSQIRWRKGELIGCGAFGRVYMGMNLDSGELLAVKQVSVVVNTASKDKTQAHIRELEEEVKLLKNLSHPNIVRYLGTAREEESLNIFLEFVPGGSISSLLGKFGSFPESVIRMYTKQLLLGLEYLHKNGIMHRDIKGANILVDNKGRIKLADFGASKKVVELATMTGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFHIGTTKAHPPIPDHLSAEAKDFLLKCLQKEPNLRPDASELLQHPFVTGEYEESHPVFRTSVIGIPNNQIPTSIKQPRSICMNMKTSGSGGMVDADDKDSVRCSTIYPEKFSGWGSSRYDDDMCQMDDDDLMVGNTSMKFEFNDANKSFNPMMEPDDDVACQFDASPYLGKNVAANLFPDEVCEMTADGDNGFTFPSGVEEEEEVTETKIIAFINEKALDLKKMQTPLYEEFYNSMNTTITPVGNENKENLSNNLHLPPKSSRSPIRRLRKRLSSAVDISSPGNNNNYNSESLSRVGGVNDQNPHEIRSPQNDSQVEAISPRASFSERQRRWKEELAEELERKREMMRQAGVAKTLSPKDRIINKQKERLRFVFPGN >cds-PLY66660.1 pep primary_assembly:Lsat_Salinas_v7:1:52843166:52845331:1 gene:gene-LSAT_1X46020 transcript:rna-gnl|WGS:NBSK|LSAT_1X46020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METPEFLHGSFTPEKRYTDVKTEQFIIDDLLNFPTDDTVTGEEAYDDAGDVPNGGTSTDSSIVSTAIDSCHSSRELVAHRSFPDTQFSHDLCVPYDDVAELEWLSNFVEDSFSTEDMAKLQLISGVKARPADDSSETHQFQQESYNRANNPIFNTDMTVPGKARTKRSRAAPCNWTSRLLVLSPTSTGQTSAISSESESDIATSSIWKNNMKAPLKKKEVYENTTNNVEGRKCLHCATDKTPQWRSGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFILTKHSNSHRKVLELRRQKEVQTTHLQHQQPFYHHQNMMFDVSKGEDYLIHQHIGPDYRQLI >cds-PLY97726.1 pep primary_assembly:Lsat_Salinas_v7:8:8517935:8519918:-1 gene:gene-LSAT_8X6601 transcript:rna-gnl|WGS:NBSK|LSAT_8X6601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSQQSAVTINLVATLFLLGLIKFSIIECRRLESTGSDVTYTAINCRKHTAFLTDFGGKGDGKTSNTLVFRSAVQNLSQFANDGGAQLVVPPGKWLTGSFNLTSHFTLYLQFGAVILASQEEGEYPLIEPLPSYGRGRDGPGGRFSSLIGGSHLTDVVVTGSGNGTIDGQGSLWWKKFHKKQLKNTRPYLIELMYSKQIQISNLTMIDSPSWFVHPVYSSDIIMQDLTILAPVDSPNTDGINPDSCKNVKIQDVFIVSGDDCVAVKSGWDEYGIKFGMPTEETIIRRLTCISPDSAVIALGSEMSGGIKNLRAEDIRAINSESAVRIKTAPGRGAYVKNIFVDGMNLKTMKYVFWTTGSYGQHPDPGYDPKAFPRVDRINYRNVVAEDVKMAGNMGGIEGDPFTGFCLSNVTIGLAEKPKKLQWNCTDISGVSSGVTPAPCELLTDKESMECEYPSDKLPIDTVELMTCSFKEF >cds-PLY78545.1 pep primary_assembly:Lsat_Salinas_v7:1:103933212:103937558:-1 gene:gene-LSAT_1X83660 transcript:rna-gnl|WGS:NBSK|LSAT_1X83660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNLVSCSNCHTPLQPPPGATSIRCAICQAITHLNDPRVGAPRPHTGGYPGPSPSSYAPHQPSPYNHAPPGPPPSAHGRKKAVIVGISYKFSRHELKGCINDANCMKYLLINKFKFPEASIIMLTEEQTDPYKIPTKQNMRMALFWLVQGCQPGDSLVFHYSGHGSRQRNYNGDEVDGYDETLCPLDFETQGMIVDDEINASIVKPLPFGVKLHAIIDACHSGTVLDLPFLCRMNRSGQYVWEDHRPRSGIYKGTNGGEVISISGCDDDQTSADTSALSKITSTGAMTFCFIQAIEHGNAQTYGHLLASMRNAIRSARTDMGGGGGGGGAVTSLLSMLLTGGSLAGGLSGGGLSQEPQLTACEPFDVYAKPFSM >cds-PLY95724.1 pep primary_assembly:Lsat_Salinas_v7:2:112062619:112066519:-1 gene:gene-LSAT_2X50320 transcript:rna-gnl|WGS:NBSK|LSAT_2X50320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDQFLALLLLLVLSYVLSFVYKNLKSERSKINLPPGSFGWPFIGESLSFLRASWDGTPERFVRERIKKHGNPLVFKTCVFGERMAVLYGPAGNKFLFGNENKLVAAWWPSSVKKLFGRCLITIRGDEAKWTRKMMLSYLGPEAFASHYVATMDIITRRHIEIHWRGKEEVNVYPTVKLYAFELACRLFMSLEEPNHIAKLGSLFNIFLKGIIGLPLNFPGTRFYRSKKAAAAIRKDLMMIIKERKAALEEGKASSSQDLLSHFLTSSDDNGKFLTEMEIANNYLLLLFAGHDTSTGSISLLMKNLGEHPDVYDKVLREQLEISKSKAAGELLKWEDVQKMRYSWNVVCEVMRINSPSIGTYREALIDFEYEGYNIPKGWKLFWSAVSTHKDEANFEDATRFDPSRFEGAGPTPFTYVPFGGGPRMCLGKEFSRVEVLVFLHNIVTNFKWDLLVPDEKIEYDPLAAPVNGLPIRLNPH >cds-PLY75363.1 pep primary_assembly:Lsat_Salinas_v7:6:177376408:177377497:1 gene:gene-LSAT_6X107880 transcript:rna-gnl|WGS:NBSK|LSAT_6X107880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNQRSVRESFAEYFEGWVVQHRSYLDKLVAIATQNETEEDSVRHLVDQVLCHYQEYYDEKSKAADSDVFLMFSPPWFSSFERTLLWATGFRPSMAFRLIRESVGGELSEEQNERIAVVREKTRRLEREISEALASVQESVAAQPFSDLVKREARLVDGEASEMEDAFGDLKAAMLAVMRDADCLRQHTAGEVLEVLTPAQKVRFLAGTSQFWLQSRRLGMERDKQNELSRKAIT >cds-PLY87890.1 pep primary_assembly:Lsat_Salinas_v7:5:3828993:3832258:1 gene:gene-LSAT_5X1860 transcript:rna-gnl|WGS:NBSK|LSAT_5X1860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MSLGYAEKLSYIEDVGNVGMTEIFDPPRILQEKIERLTMMIRKSKHLVVFTGAGISTSCGIPDFRGPTGIWTLQRGGNALPEASLPFHRAMPSMTHMALVELERAGILKFVISQNIDGLHLRSGIPREKLSELHGNSFMERCSCCGMEYFRDFEVESVGLKETSRRCSNADCDVKLKDTVLDWEDALPFEEMNPAEAHCEKADVVLCLGTSLQITPACNLPLKCLRGGGKVVIVNLQKTPKDKKASLVIHGFVDKVIGGIMELLNLRIRPFVRVDLLQTIFTQALSSDERYVNWTLRLASVHDKRAVLPFIKSVEVSFLGNHTMKEAVLDKHPFNLKRRTVLTAEPFDVILKVNLSEGCGCAYTRIKIPIDFHASADSMKRDKDHVLRKLKSKAVKGSYCGQNSVIERSVIMMGPKTEMTVRAIVTNIRWYDEKMVKTAGAGSLTTNAYAPPLKRRIQGKNENGTPRKHLKGLIRHQTVKLDME >cds-PLY71545.1 pep primary_assembly:Lsat_Salinas_v7:7:28571414:28572060:-1 gene:gene-LSAT_7X20780 transcript:rna-gnl|WGS:NBSK|LSAT_7X20780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFTTDKLRSLVRKWQSLIEAHVNVKTTNSYTLRMLCIWKIRGIMITQAQFYNLKELVLKFIPESIGNEIEKATSSIYPLQNVFIRRVKILKAPKFDLGKLMEVHRDYSEDVSKVERWADEPIVEATKVIGA >cds-PLY66451.1 pep primary_assembly:Lsat_Salinas_v7:5:321047682:321050558:-1 gene:gene-LSAT_5X176360 transcript:rna-gnl|WGS:NBSK|LSAT_5X176360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPESKNFGRGPRELTGAVDLISYYKLLPHYEFFCKKSLPLSLSDTHYLHDVIGDTEIRKGEGMQLDQLLQYNNSFSRDTNTRIQPFDLDLLREAFQLKETAPVDLPSSEKGMPTIPGKSKSEVKDKEKKHKKHKDKDKEHKKHKHRHKDRSKDKDKDKKKDKSSHHDSGAEHSKKHHEKKRKHDGNEDVNDIHRQKKSKHKSSKIDEIGGIKIAA >cds-PLY69106.1 pep primary_assembly:Lsat_Salinas_v7:8:119468696:119473404:1 gene:gene-LSAT_8X83300 transcript:rna-gnl|WGS:NBSK|LSAT_8X83300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVEDFLSPSSSSTQRSYFQNPNPSAQGHFTDSGIFNNSFNLMNSSKLDFDCSNDAAAAEATTVRSSRRKHVKVKKQSSCNGPVSKKSDNSTRDTTGFVFNATTSGSVLSSSLGKTGCDGNDERQRDGEVLDFNLMRFTMGVTNNNQLGNKMGAEKVQKSGNNFQSGDLNFVFGADDEMGDNRKASERGSNKFGKLNGVDILFGANMNNGVLNSNSSNIGHEHGREGVGLHLDDSESSGTVRSSGIDGFMKVNNFKTMPDFHLVNSMSFLNLGSKGSGEAAPSMNSNLKTEENNTSDSKKFENLGFVFSANHRDFQTDSSIGKQKSKEKVDKLAPESANRNVDSGVIFGNNKVNGIFHIGTHNEKKSSFKGNMKVDDETNIMKSQGAGSSDHLKKQGDNVDSVLDGNSTFVFGSNLGNAFGDNPQCKVPHDTKTSNIHDPTKVSTTKNKINEAGTTNNFSFSSNASFTGFNTPDINIPVSFTSDMFPGLGKKLEFSKNNSVSQRKLKKTKAKVRQQSSNRPQVILTHLSEGVTQSCEESPGSCSPMDVSPYWGAADCAPTSTNPATSQVQNEDAVDTTEKLGAQNFSPSVTSFASADMAVRQRPHLKKYKLRTGRVNVSHSHKPEHTKATDQETCDSWRKRGNEAYKSGDLSEAEVCYSKGISSIQHTETPGVCIQPLLLCYSNRAATRMALGRMREGLNDCRMAAALDPNFMKVNLRSANCHLLLGEVDDASYYYNKCLESEEIVCLDRRITIEAAEGLQKAQKVSDYLKLAAEILEQKTYESATNALGTITDALSISSYSEKLLNMKGEALLMLGKHKEVVQLCEQTLDTAEKNFSSVCKISLRLWRWNLMSKSYFHLGRLEIALDLMEKHEQLRSKIVGPDESLAHLAVTIRELLHCKNAGNEAFQNGKHTEAVEHYSAAISKSIESHSFAAVCFCNRAAANQSLGEIIDAIGDCSIAIALDTSYPKALSRRATLLEMIRDYKHASDDLQRLISILETQSQNSQNSHKSASNGSVKDLRRARRRLSILEEKAKKERSLDLYLILGLKPTDGAADVKKAYRKAALRHHPDKVNQQWKAIAESIQMDADRLFKMIGEAYAVLSDPAKRSKYDLEEEMWDDMEINVGSSNRRGSDFFGSQESRKSYGYSNGNSHYYYWQQEPRKSYHTSYPRW >cds-PLY91573.1 pep primary_assembly:Lsat_Salinas_v7:1:11716210:11717994:1 gene:gene-LSAT_5X101400 transcript:rna-gnl|WGS:NBSK|LSAT_5X101400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET1 [Source:Projected from Arabidopsis thaliana (AT1G21460) UniProtKB/Swiss-Prot;Acc:Q8L9J7] MGAVSILHTVFGIFGDATGLFLFLAPTITFKRILKNKSTEQFSGIPYPMTLLNCLLSAWYGLPFISKNNTLVTVINGTGAVIESIYVLIFILHAPKKEKAKILGLVTFVLAAFSSVALVSVFALHGKTRRYFCGFAAAIFSVIMYGSPLSIMMTVIRTKSVEYMPFFLSLFVFLCGTSWFVFGLLGNDPFVYVCNGFGSVLGALQLILYAIYRKNKGGQKDDKPAANEGGSSAMEMGFVNGKEKTTAEQPQQDNNGV >cds-PLY63196.1 pep primary_assembly:Lsat_Salinas_v7:6:86743070:86743734:-1 gene:gene-LSAT_6X60240 transcript:rna-gnl|WGS:NBSK|LSAT_6X60240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVDKEQHEDIPDYNTEYELDDGNQEYDSPTENGENKDIHYNSQSNSEEEIHKTVPSKSDRKRGMTQLPKLKTKYVNSGGKNNVSGLMNLRYFEVHESGKQFVMNRLGILLRNFRRNLYADYIKPHLGDTDMLEKNPSSLLCTNH >cds-PLY69646.1 pep primary_assembly:Lsat_Salinas_v7:5:112470903:112475300:1 gene:gene-LSAT_5X49780 transcript:rna-gnl|WGS:NBSK|LSAT_5X49780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGREIASSGPPSSEIVVEKRREKDKDSNGQSGRKERASAANPDTSNNGGGGGGGEPQNGVSQKVEKDANARPRGERRRSKPNPRLSNPPKNIHGEQVAAGWPAWLSAVAGEAINGWIPRRADTFEKIDKIGQGTYSNVYKAKDTMTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPTIKFTEPQVKCYMHQLLSGLEHCHNRHVLHRDIKGSNLLLDNGGSLKIADFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSDEYWKRSKLPHATIFKPQQSYKRCIAETFKNFPPSSLPLIDTLLAIDPAERQNATAALRSEFFTTKPYACDPSSLPKYPPSKEMDAKLRDEEARRLRAVGKTNADGAKKSRTRERSARAMAAPEANAELQRRRLITHANAKSKSEKFPPPHQDGTLGYPLGSSHHMDPSFDPPDVPFSSNFSYGKPPIQTWSGPLAEAGPTGGRTTGTRRKKHNHNHNHNQNQNDSSSKNNN >cds-PLY88158.1 pep primary_assembly:Lsat_Salinas_v7:5:221108617:221108982:-1 gene:gene-LSAT_5X102160 transcript:rna-gnl|WGS:NBSK|LSAT_5X102160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFGADARNDRRTTEEKAIDDWLPVTSSRNAKWWYSAFHNVTAMVGVGVLSLPYALSQLGWGFGITVLVLSWVITLHT >cds-PLY73496.1 pep primary_assembly:Lsat_Salinas_v7:2:100884248:100888225:-1 gene:gene-LSAT_2X44480 transcript:rna-gnl|WGS:NBSK|LSAT_2X44480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAPVLEVRTEGEPAVAEELGQNVDQIIVKVDEVAALIPQCEITGVISDNIIETQSRIIT >cds-PLY88155.1 pep primary_assembly:Lsat_Salinas_v7:5:220856805:220859898:-1 gene:gene-LSAT_5X102820 transcript:rna-gnl|WGS:NBSK|LSAT_5X102820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQPNNTAGVDNTFRRKFDKEEYLQRAREREEKELEGRSKSKGPPVQRKPLKHRDYEVDLNSRLGKTQVVTPIAPLSQQAGYFCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQQRFENLKKRKDPGTFTEQDLDDRILKQQQEEEEKKRQRRERKKEKKKEKAVEEEIEVDPDVAAMMGFGGFGSSKK >cds-PLY95344.1 pep primary_assembly:Lsat_Salinas_v7:5:267637228:267641365:-1 gene:gene-LSAT_5X138920 transcript:rna-gnl|WGS:NBSK|LSAT_5X138920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVFTRSHSFRERLDSTLASRRNETLKVLARIESHGKGILKPHQLMDAFNAVCTEDSEFYDSSFREVLKSTQEAIVLPPWVAFSIRLRPGVWEYIKVDVNALVIEELSVPEYLHLKEELVDGSSHDNFVLELDFKPFTASFPRPTLTKSIGNGVEFLNRHLSAKMFHDKNTMNPLLDFLRTHAHKGKTMMLNDRIQNLKALQSVLRKSSEYLSTLDATTPYSEFEHKFQEIGLERGWGDKAEGVVEMIHMLLDLLEAPDASTLQKFLGRIPMVFNVVILSPHGYFAQENVLGYPDTGGQIVYILDQVPALEREMLKRIKEQGLDIVPRILIVTRLLPDAVGTTCGQRLEKVFGAEHSHILRVPFRNEKGILRKWISRFEVWPYIENFTEDVAKEVTAELQAKPDLIIGNYSDGNLVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKNFDEKYHFSSQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTMPGLYRVVHGIDVFDPKFNIVSPGADMGIYYSYTEKEKRLTSLHPEIDDLLFSSVENEEHLCVLKDKNKPILFTMARLDNVKNLTGLVEWYAKNDRLRELVNLVVVGGDRRKESKDLEEQAQMKKMYDLIEEYKLNGQFRWISSQMNRVRNGELYRVIADTRGAFIQPAFYEAFGLTVVEAMACGLPTFATLHGGPAEIIVHGKSGFHIDPYHGDQVTELLVKFFEKTKADPSHWDAISKGSEQRIQEKYTWQIYSDRLLTLAGVYGFWKHVSKLDRLEIRRYLEMFFALKYRNLAESVPLAVDE >cds-PLY71923.1 pep primary_assembly:Lsat_Salinas_v7:3:26718165:26718368:-1 gene:gene-LSAT_3X19520 transcript:rna-gnl|WGS:NBSK|LSAT_3X19520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCLVFVCDEDERIVGQKQAPGSCPYCGGLIQAMDIESQWRLCFLPFYYKTKRKYYCTLCSRRLILQY >cds-PLY98486.1 pep primary_assembly:Lsat_Salinas_v7:5:209511033:209513496:1 gene:gene-LSAT_5X95060 transcript:rna-gnl|WGS:NBSK|LSAT_5X95060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTGPNDDVVSMELPAPSGWKKTFSLTKGGTPNKNAVVFTSPTGEEIKNTKQLKQYLKSHPGGPKISEFDWRSGETPRRSSRISEKEKSTPPPDPEPVNKRPRKSTSSKKGKKEEGEQDVEMKDSEKVEKDDGKIEIPEMPMSDEVAEPVKDVDMKGEEDEKPKEEGDGKCEIPEMPLSEEVAVETVKAVDEEMCEIPKLPLEEVTKTEEQEESKAAETKNLEGESVKEVNEEVCEIPKLPSEEVIKPDESVQEVNEEVCEIPKLPSEEVIKPHESVKEVNEEISEIPNLPSEEVIKPDELKAAETKNGETEPVKEVVEEVSEIPKLPSEEVTKQEDVNESKNPEIPLVDEPAKEVNEEALENPKTPPPENATKPANEEPEPVVKDAVPATKVEAGGGGGAAAENGCPVAEEKPSWEEIKIE >cds-PLY65166.1 pep primary_assembly:Lsat_Salinas_v7:5:249537349:249537594:1 gene:gene-LSAT_5X124101 transcript:rna-gnl|WGS:NBSK|LSAT_5X124101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFASLHLYLNIKQKFSHTIWLHYNKHTLIEKYVGLNSDISSNNLKYHFATIHHLGLTVMEKEAVFFQCHYHLHKQWQSENL >cds-PLY80047.1 pep primary_assembly:Lsat_Salinas_v7:4:347495320:347502864:-1 gene:gene-LSAT_4X171021 transcript:rna-gnl|WGS:NBSK|LSAT_4X171021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRSWAAEPEMEERKVVVVAVTDTIMDLACSQQGIFLNKHENANYKSNCALYTKRVSIGFPVSDGDIFIRIRRAFGGRGRGWYGQWKSSTGMGFAILANFRPRLHPQPEDV >cds-PLY72134.1 pep primary_assembly:Lsat_Salinas_v7:7:53501387:53507994:1 gene:gene-LSAT_7X38701 transcript:rna-gnl|WGS:NBSK|LSAT_7X38701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFFLHFFIFCITQGFVLVHAQVQTGYISIDCGSPDNFNYVDLDTGISYTSDGSFISTGVNKNISLLYAYPNNPMLPQPLSDLRTFPQGDKNCYTLRPNGGKRSLNLIRATFMYGNWDGENKPPEFDLFLDVNLWLSVKFKNNSHVVTTEIISVALENTFSVCLVNIGKGTPFISGLELRPVDRSIYEIDPGISGSLVLFQRLDIGFLNGTGRYVDDVYDRIWSPYVSPLWDSLSTSIEIDISGNYYRAPSEVMQTAATPKNGSESLEFSWNVSDADTDTNTDTGTNTGAKFYVYMYFADVVVSGRNQTREFNVSWNEKPLFGNVKPRAYYASTFYNLKPLVGNEHKISIRRSVGENLPPILNAIEIFRVQEFKELATFSKDVNAIESIKTTYKVNKNWVGDPCGPKNYSWEGVRCNYTNSNPPRIISINLSTSDLTGQIAPSIANLSSLETLDLSNNSLTGQIPEFLEDLLFLKFMDLKGNQLSGYVPESLLERSRNGLLTLSVDNRNICDSCENKKRNIIVPIVASILSFLVLLLVFIIAWRIRSKQKTHIGNKANTNEEGRALESNNKQFTYAEVANMTNNFQTPIGKGGFGTVYLGQLKNGNQVAVKLLSASSSQGYKEFQNEAEVLMRVHHRNLASFVGYSHDDKKMALVYEYMANGNLKNYILDRSDHPLSWETRLKIAIDAAQGLEYLHHGCRPAIIHRDVKSANILLSANLDAKIADFGLSLGLPNDQTTFIFTNVTGTTGYLDPEYRKSHNLNEKSDVYSFGIVLFELITGRPAIIKTMDHIHILQYVGPYLAKGDTTSIIDEQMEGDFNLDSVWKAIEVAAVCTRNVSSKRVTMNEVLIGLKECLDMELTCGPRNGSTCGNMKIGGLRIDYSPEIYSMDFEVMTGPSGR >cds-PLY90780.1 pep primary_assembly:Lsat_Salinas_v7:5:8673088:8673848:-1 gene:gene-LSAT_5X4540 transcript:rna-gnl|WGS:NBSK|LSAT_5X4540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKTLASSGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKAPGSSKPTGDDD >cds-PLY74734.1 pep primary_assembly:Lsat_Salinas_v7:6:118580424:118580732:-1 gene:gene-LSAT_6X70921 transcript:rna-gnl|WGS:NBSK|LSAT_6X70921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPAPFQPPEWPFYVGKRARQSEVAVVNCRCCSPSGYVTTTPSSSFSFARCRHLEVSDAVTGATPTARRRLHLPFHSGTNPTSSVVFVAPAEGQGCQKTPWM >cds-PLY98298.1 pep primary_assembly:Lsat_Salinas_v7:7:170739562:170740262:-1 gene:gene-LSAT_7X100500 transcript:rna-gnl|WGS:NBSK|LSAT_7X100500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQSASAFIFLLFFLSVGSTLISVHAQKTWCVAKPSSSLATLLKNINFACSQVDCGCLQQGGACATPANVFNHASVAMNLYYQSRGRNAWNCEFENSGLVTVSDPTENGDICTDFAGYGGCSYV >cds-PLY65320.1 pep primary_assembly:Lsat_Salinas_v7:8:104997402:104998917:-1 gene:gene-LSAT_8X71001 transcript:rna-gnl|WGS:NBSK|LSAT_8X71001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITFLFFSTCTFFKPSSSSSMQLWRSPSYYSLHKHVEYMAREIQRGANSIEGIEATLWQVPETLPSLVLEKMKAPPKADDVPVIKPEQLTEADGFLFGFPSRFGVMAAQCKAFFDSTNDLFETQALAGKPAGIFWSTGFHGGGQELTALTAITQLAHHGMLYVPLGYTFGSGMFEIDEVKGGSSYGAGTYAGDGSRKPSELELQQAFYQGKYVSEITKKLSRN >cds-PLY93276.1 pep primary_assembly:Lsat_Salinas_v7:4:301999142:302000843:1 gene:gene-LSAT_4X153500 transcript:rna-gnl|WGS:NBSK|LSAT_4X153500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFIRCRWFSFRSKTKKSLPPSPWKLPIIGNFHQLGSSPHRSLQSLSLKHGPLMLIHLGNVPVLVVSSAKAAMEIMKFHDLSVSGRPRLMMTNILLYGCKDIAFSPYGEYWRQLKSIVICHLLSNKQVKSFRRVREEEIGVTISMLAESCGSTIDLGGLIVSLANKITCRVAFGRTYDHHGSKFTSLLIRFMNMLGVFCVGDYIPWLSWVDRLKNLEGNAKKIATEFDDFLDCVLKEHRSKKKGEDAKSDKDQDLVDILLDVQRNETTGFTLGDDTLKGVILDMFSAGTDTTFTSLEWAVSELIKHPLVMKKLQQEATIIAQGRSTILEEDLEMMQYLKAVIKESLRLHATVPFLPRETTEDMKLMGYDIPVGT >cds-PLY73080.1 pep primary_assembly:Lsat_Salinas_v7:6:92883612:92886916:1 gene:gene-LSAT_6X62041 transcript:rna-gnl|WGS:NBSK|LSAT_6X62041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEKFSATLRIGDLNDFIAPSQGCVVSMKSGSARLVDKPKKLVKTTPVKETLETDPVKISLKDCLACSGCITSVETVMLEKQSLDEFLSNINNGKNFIVSLSPQSRASIAVHYGLSPLQVFKKLTTLFKSLGVKAVFDTSCSRDLSLIESCNEFISRYKQSNSDDKESSRSFLPMISSACPGWICYAEKTLGSYVLPYISSAKSPQQSIGAIIKHHLCHKLGTKAVVDFVNLDESPVDKLLSNVSEEENIFGVRGSSGGYADTIFRYAAKTLFDQDLKGPLDFKTIRNSDFQEVNLQVEGKTVLKFALCYGFRNLQNVVRKLKMGKCDYHYLEIMACPSGCLNGGGQLKPKSGQSGKDLIQALETTYMQNVLVVDPFENMMVKRLYESWLEHPGSEKAKQHIHTQYHPIVKSITSQLNNW >cds-PLY64465.1 pep primary_assembly:Lsat_Salinas_v7:3:14998981:15002514:-1 gene:gene-LSAT_3X10441 transcript:rna-gnl|WGS:NBSK|LSAT_3X10441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPSFTARTESNAYVDPESVQKWIVAFCIIRFDLEQGQLIEECYPPGCLTPEEELDIAFSSFPDSVSQHHNRSSIHDSMFFFRTQRRGNLPGEKTHKKPNESRSKYLYGFVFNRQRHDERLKRGGEQKSVVILSRSPYSSLFKPLLQIMGPLYFDVGRKAIDYIAGSMSTWSSPLPGQLMELPIGNATLKVNLPPVHSLSFDGEVAFEESASSMAPLLPTNQSIPHGLFHDSDVFGIFRGLLLQLWLLWELLLIGEPILIIAPTPPQCCEAVASLISLAAPLFISVDFRPYFTIHDPYFARLNSLQEGETFPPILLGVTNLFFLKSLRNVPHIVSVGSPAPNSGRVGFSSRASTGRLSGRPEGFNFPNLKKFSPSNLLSAVKLRRDGPLCLMTEHKEAIWSSYMPITKPDTSILNRLVDAGLSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRVTTPSVGSSPFLDPPPLTAFDGDEFLASLSTRGPGKFLSKRMRSNWLDLYRRFMKGHNFKPWFQRKRAVAEQEQHRLWRQARMHADIREFISRSSELEIVEKFHAIERHLLFEMQACILSYLCLQSEKLVDNSEATWHKLKGDLQVVFNVLPKDMQHLLLMNPERATLLQ >cds-PLY81574.1 pep primary_assembly:Lsat_Salinas_v7:1:133730622:133732557:-1 gene:gene-LSAT_1X100581 transcript:rna-gnl|WGS:NBSK|LSAT_1X100581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLFILASGANVMRGPNATVAATVVQVNNVSFLDIIPNQHQNSGIEDFVNYVKICPLCHTFCDVPDPSYPQQMVESFTRKKNSAYVTYPHWLALLFAHTDPSTEPITHIEPTFPQDETNDPLTHAANGSNPTSFPPKAATTFLLSSPLQDFATLPGESGSDFQTTVLSQLTLLVQLNQSLREILTKVERDVAKIKPTFSLIDDDEILEDTPSDSSHNDNSPPPSSNNPPPRPPSNSTLYTTSPPHNTPHSKTNEEYDGFLDMDFMPKTVFPLNVINHDAFFEGEIPQSSHSDVEYDDDQVIPRKRKAPFSEGAKDVEAGSSSALDNFLVAAPSKKSKPIVI >cds-PLY62201.1 pep primary_assembly:Lsat_Salinas_v7:6:32104127:32111348:-1 gene:gene-LSAT_6X24060 transcript:rna-gnl|WGS:NBSK|LSAT_6X24060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELFKNLPEMDLMRSEKMTFVQLIIPVESAHRAISYLGELGLLQFRDLNEDKSPFQRTFVNQVKRCAEMSRKLRFFKDQIHKAGLLSSALPTMQPDVDLEALEIQLAEHEHELIEMNANSEKLQQTYNELLEFKMVLQKAGDFLLSGKSYETADGRELEENVYASDYPDSVSLLEQATQSGPSNLSGLRFISGIIPKSKVLLFERMLFRATRGNLLFNQATADDLIMDPVTSEMVEKTVFVVFFSGEQAKTKILKICEAFGASCYPVPEDITKQTQITQEVLSRLSELETTLDVGIRHRNAALHSIGFRLIVWMNMVKREKAVFDTLNMLNFDVTKKCLVGEGWCPIFAKPQIQEALQRATFDSSSQVGIIFHVMDAVESPPTYFRTNSFTNAYQEIVDAYGVAKYQEANPAVYTVITFPFLFAVMFGDWGHGICLLIGALFLIAREGKLSTQKLGSFMEMLFGGRYVLLLMSIFSIYCGLIYNEFFSVPYHIFGASAYRCRDPSCSDAYTVGLIKYRDAYPFGVDPSWRGSRSELPFLNSLKMKMSILLGIAQMNLGIMLSYFNSIFFNNSLDIRYQFIPQMIFLNSLFGYLSLLIIIKWCTGSQADLYHVMIYMFLSPFDDLGENELFWGQRPLQILLLFSALVAVPWMLFPKPFILKRLHAERFQGRAYGILRSSEGDTDSEPGSARQRHEEEFNFSEVFVHQMIHSIEFVLGSVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNFLIRMVGLAVFAFATAFILLMMETLSAFLHALRLHWVEFQNKFYQGDGYKFKPFSFAAVLADDED >cds-PLY91289.1 pep primary_assembly:Lsat_Salinas_v7:6:112808599:112810212:1 gene:gene-LSAT_6X70301 transcript:rna-gnl|WGS:NBSK|LSAT_6X70301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSNNCVEMGGSEEDDQISMMEDLRRGPWTVEEDFTLINYIAHHGEGRWNSLARCAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDTMRYLWMPRLVERIQAAATTPATTGGSSSSTTTTTSTTTTTNSYPLNQTNMDCIVTSQLVVPHGNNNTGNTCSNYGNTQMITPSYTPENSSTTAVSPVSDLTDCYYPANQSQNHEMFQHNNPINGGFTDALISPAGYFNQGMDFQAMVEQNNQWTDGGNGGDDFSDNLWNVEDIWFLKQQFNM >cds-PLY89705.1 pep primary_assembly:Lsat_Salinas_v7:7:42332870:42335925:-1 gene:gene-LSAT_7X31120 transcript:rna-gnl|WGS:NBSK|LSAT_7X31120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNFTKSRTRSNRPSSLGGMDFVDPVKKSGLVRKIIFATSLVALCITIIKFSPSLSSPSPFSSHEAGITHVLVTGGAGYIGSHAALRLLKDSHRVTIVDNLSRGNLGAIKVLQNLFPEPGRLQFIYADLGDPKAVNKIFSENAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLLVLEAMAAHNVNTLIYSSTCATYGEPDKMPITEETPQHPINPYGTAKKMAEDIILDFHKNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISAACFDAARGITDGLKVRGTDYKTADGTCIRDYIDVTDLVDAHVKALAKAQPGEVGIYNVGTGHGRSVKEFVEACKRATGVSVKVEYLPRRPGDYAEVFSDPSKILRELNWTAKYTDLEKSLRVAWRWQKLHHNGYGNH >cds-PLY66103.1 pep primary_assembly:Lsat_Salinas_v7:1:109545656:109546986:1 gene:gene-LSAT_1X85641 transcript:rna-gnl|WGS:NBSK|LSAT_1X85641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWMDFLMIMTLTNRIQKLLKKMNHGMIVLIPNLLSLKKVKSPTKGSKTPPTPALIRSGEFQSSLGTEFPSCVKMTVKSQVTYGFWMESVVPIEDHEFEEEHDSDSTIFHNKDMTGLVVDMLKIFDKGYSRSRTRQ >cds-PLY86256.1 pep primary_assembly:Lsat_Salinas_v7:8:58495126:58499382:1 gene:gene-LSAT_8X42700 transcript:rna-gnl|WGS:NBSK|LSAT_8X42700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRFSGGGMHGMRGERTPLLNRNGLMRNDPLANGEQNDLELGDAVPAANVSFFRVLSLAKPEAGRLIIGTIALLIASTSSILIPRFGGTIIDIVSGDLQTPEQKSEAVSLVNRTILGILLIVIIGSVCTACRAWLFSSASERIVAALRKNLFTHLINQEIAFFDVTRTGELLSRLSEDTQIIKNAATSNVSEILRNLSTAFIGIGFMFTTSWKLTLLALVVVPLISIAVRQVGRYLREVSHKTQAAAAVASSIAEESFGAVRTVRSFAQESFEISRYSEKVDETLKLGLQYAKVVGLFFGGLNAASTLSVIIVVIYGAHLTIAGSMTPGALTSFILYSLTVGSSVSGLSSIYTVAMKAAGASRRVFQLLDRVSSMPESGKKCPMGDGDAEVELDDVWFSYPSRPRHMVLEGINLKLHPGSKVALVGPSGGGKTTIANLIERFYDPVKGRVLINGVPLVDISHEHLHKRISIVSQEPTLFNCSIEENIAYGLNGKASEEEVEKAAKMANAHDFISKFPEKYKTFVGERGVRLSGGQKQRVAIARALLMNPKILLLDEATSALDAESEYLVQDAMESLMKGRTVLVIAHRLSTVQSADTVAVVSDGKIVEQGTHDDLLSKDGIYTALVKRQLQGAKAEVKL >cds-PLY68993.1 pep primary_assembly:Lsat_Salinas_v7:9:140224138:140224683:-1 gene:gene-LSAT_9X89881 transcript:rna-gnl|WGS:NBSK|LSAT_9X89881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLKIISKVEARSPIRHDIGLDFETPQRHPPVKSTFEETGGLGGTVHVSNTDTTTNLGEYPITTIPKKAMVIPPEVSITESVSEEVRTSGIIVDISNMDKNNNTGEGMKQSEAHGTSSVEPSSISITFVLSSTIKTTLIDTFTSLPSFSSPIPSSLPTPTIPPTFSNILHQDDEVSSRR >cds-PLY91711.1 pep primary_assembly:Lsat_Salinas_v7:7:26710298:26713024:1 gene:gene-LSAT_7X19001 transcript:rna-gnl|WGS:NBSK|LSAT_7X19001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQSLHSLAFRVMRLCRPTFHVETPLRFDPCDLVVGEDLFDSPSADTRLLRVNSASFDSSPADLTYRNRFLLRDDSDAMGVPGLLILPQSFGAIYLGETFCSYISINNSSNFEVRDIIIKSEIQTERQRILLLDTTKAPVESIRAGGRYDFIVEHDVKELGAHTLVCTALYYDGDAERKYLPQYFKFMVSNPLSVRTKVRVVKDTTFLETCLENNTKSNLFMDQVEFEPAPRWSATILKADAHHSEKGGFTSEIFKPPVLIRSGGGIYNYLYALKMSSTPSKGEGNNVLGKLQITWRTNLGEPGRLQTQQIIGNATMRKEIDLRAVQVPPVIMLEKPFTNLPEVEAFESLEFQLNLICAKHGVQKISGITVFDTIEKKTHEPMADVEVSLIVNVLSIKYLCTLSI >cds-PLY88284.1 pep primary_assembly:Lsat_Salinas_v7:6:126374313:126375419:-1 gene:gene-LSAT_6X77221 transcript:rna-gnl|WGS:NBSK|LSAT_6X77221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPTNNFSADQKLGEGGFGFVYKGYLSRERMQVAVKKISQGSKQGKKEYLAEVKIISRLRHRNLVQLIGWCHDQTQFSLVYEFLSNGSLDSHLFYKKSTLEWGVRYKIATGIASALFYLHEECEHCVVHRDVKTSNIMLDSEFNVKLGDFGLARLMDPEHGIKTTALAGTLGYMCPEYLTTGKASKESDIYSFGVVALEIVCGRKATDRVDPDSDLGLVKWVWGLPENGTLLSGVDQILNNEFDATQVERLMRVGLWCAHPEQNMRPSIRQAIHVLMFDGAIPQLPLRMPIPVYNTATYPLEVSSCGAKMAKNTS >cds-PLY69805.1 pep primary_assembly:Lsat_Salinas_v7:4:245474097:245476005:1 gene:gene-LSAT_4X130821 transcript:rna-gnl|WGS:NBSK|LSAT_4X130821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSGLWILFLVFGTALLFVFLFEIPGGDLPKHKKIMDLTKPGRKLKEDNIHDESGDGNVDIYDYVPNDPVPSSKAAALRPGPIEHGTPLMPYIPKPSPPGPNDPKYVGYP >cds-PLY74758.1 pep primary_assembly:Lsat_Salinas_v7:6:116878442:116880708:1 gene:gene-LSAT_6X71441 transcript:rna-gnl|WGS:NBSK|LSAT_6X71441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSDRAMSTVSPASTTNDDIANGFQNLGISISNVNDLQITCFSDVFNDTTLHFQIIRLPKQIYAWIGCDSAKFGHLYAAAPTRPNNTVSVSSLLGGNSDNTGSGIARRIVIKTGVPLTLACNIPKDSPMLEAAAEKKLVQKLNALGYTKSKHQELYS >cds-PLY78045.1 pep primary_assembly:Lsat_Salinas_v7:4:183802710:183803223:1 gene:gene-LSAT_4X107481 transcript:rna-gnl|WGS:NBSK|LSAT_4X107481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMLFCVYRPSFYPNADHRTTNLAAIAPPLISYHLLRFFDVGYNQSPQPKGFFKSTAGHRLIRQVPNFYPSDQKNVLLRFNRTVLT >cds-PLY96684.1 pep primary_assembly:Lsat_Salinas_v7:7:45685532:45689071:1 gene:gene-LSAT_7X34001 transcript:rna-gnl|WGS:NBSK|LSAT_7X34001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVNFAVVLGLLVTARLCYATGNGFQVMKHLNRFNKPSMKSIKSPDGDIIDCVHISNQAAFDHPSLKNHQIQMKPNFHPEGMNYESMKTSSEKQETIPQLWRLNGNCPKGTIPIRRTKKEDILRASSINKYGKKTSQSTVAHPTSVDLDLINQSGHQHAIAYVEGEFYGAKATMNVWDPQIQQSNEFSLSQIWLLGGSFASDLNSIEVGWQVSPDLYGDNHTRFFTYWTSDAYQATGCYNLLCSGFIQINNEIALGASISPISKYHGSQYDISILVWKDPEQGNWWMQFGNGKVLGYWPASLFSYLTDSASMIQWGGEVVNSASDGQHTTTQMGSGHFPEEGFGKSSYFRNVQIVDGSNSLRVPKDIQTFTEEPNCYDVQTGKNGAWGSYIFYGGPGRNQNCP >cds-PLY75997.1 pep primary_assembly:Lsat_Salinas_v7:5:318662899:318664873:-1 gene:gene-LSAT_5X175220 transcript:rna-gnl|WGS:NBSK|LSAT_5X175220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKGRQSENTETLNTSSKGGDDESRNGRSDDLEEGEKSGFFACYLLTSLCPRYKGHTYIGFTVNPRRRIRQHNGELCSGAFRTKKKRPWEMVLCIYGFPTNVAALQFEWAWQHPVESLAVRKAAVGFKSLGGLANKIKLAYTMLTLPTWNNLNLNVNFFSTKYTKHYAGCPTLPSHMRVHVRSMDELPCYTEEYKESEEDDMFGFGNGFRDDDEEPVFVSSNHHNNKEEEEEEEEEEEEATSVSDTKIHEQDVEPVFVSSHHEIIEEEEEATSVSDTQNLDDSTVWVISDTPEKSFVCPVIEDGNVKVKGDMGEDGIGIGIEIPVSGGGVEVIDVFSPSPEYRASSRRKKRAVFVDCPEIIDLTQSPICV >cds-PLY71115.1 pep primary_assembly:Lsat_Salinas_v7:9:83221521:83223502:1 gene:gene-LSAT_9X65361 transcript:rna-gnl|WGS:NBSK|LSAT_9X65361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPSQASLLLQKQLKDLCKNPVDGFSAGLVDESNLFEWSVTIIGPPDTLYDGGFFNAIMTFPQNYPNSPPTVRFTSEVWHPNVYPDGKVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRDRRDDFRKKVGRCVRKSQELM >cds-PLY75545.1 pep primary_assembly:Lsat_Salinas_v7:9:34103388:34104925:1 gene:gene-LSAT_9X30280 transcript:rna-gnl|WGS:NBSK|LSAT_9X30280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKLQKGALSTDDLKTLIHDHALFFDKLVELIPAKFYLPVEEDSKPWFQGLSKGKKASLKQQTRENIKKSRRDRLDPEKSQTTTLDLLKKSISKNENSNKDSDDSDDDDDDEEEEIEIKVKPITNFDGETSNKSVTYEELRQRLHSKLEMLKANRGEGKRTMMMNERRERGDFTDKKRKREDHDNGGRGSGSGDKEKDKDKFEGDFEFGKVKLGDEDGSKKKKVKKGSKVQELEKAKKLKEAKKEDVVVATKHSWKAATEKAMGVKVHDDPKLLKKSLQKDKKRREKSAGKWKERVETQEKLTKEKQSKRRGNIEERANQKKARKIAKREKKLMRPGFEGRKEGYIGDKRD >cds-PLY63577.1 pep primary_assembly:Lsat_Salinas_v7:9:152022233:152024368:-1 gene:gene-LSAT_9X96101 transcript:rna-gnl|WGS:NBSK|LSAT_9X96101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRDEFWSELKAIRWYPVSVDQPLTGLPWLVPDNTCSLELHGFARNRLWSVDNDSPPFPTNPTNKVFIDLIFKSTEDDWKTWPHRSVSHLLGMLERCEQKRSCFPNVGSNHMYEEGRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIKDSATIVGEAAARNMALLLSLFPNMDKYIKVSISLTKYDSRGYHGETNLSDLTEFPFPTMKKLIGEAKATFIPRVPEVLKTSLIKHIRAHVEGTSFQGTTSRTGMDACDA >cds-PLY70142.1 pep primary_assembly:Lsat_Salinas_v7:3:11623018:11624319:-1 gene:gene-LSAT_3X8940 transcript:rna-gnl|WGS:NBSK|LSAT_3X8940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPTDNQGSFLNRISIRRNQVAYEHEVEDLEQFQKHVADRLTELFHLPTEDAAPGDPPETLLSIAWFRKLLDAFLCCEAEFKAVVIMGRDPATFAKSPLDRLIPEHLDRSVKALDICNAITHGIDLLLHWQKLAQIAVDSLQQRPIGEGNVKRAKKALDTLLSSIAIDDKENHHHSGKYAASKDQETIGNLRSLSYSFAKSWSASKQIQAMSSNLAAPRGGEPTGLVVPVYLMSSVLVFVMWAMVAAIPCQERTGLGAHSQIPKQFPWAHPMNGLQEKIAEDWKKKEKKGRSGLLEETQRLEKLGQNLVEFADGFTFPVEEEKAEEVAAQVVEMGEICRRMEDGLMPLQMQVRELFLRMVRSRGEALYVLDQVNRITTPVPYNF >cds-PLY95691.1 pep primary_assembly:Lsat_Salinas_v7:2:115859203:115860870:1 gene:gene-LSAT_2X52841 transcript:rna-gnl|WGS:NBSK|LSAT_2X52841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYQTLFFVLSSLFPLIIYALTSWRRRNSRLPPGPKGFPIIGNLLEFGDKPHQSLAILSKRYGPLMSLKLGSNTSIVISSPDITKEFFNTHDVAFLNRSAPKAIQLGDFHKYSIVWMEAGDQWRKLRRMTKEYMFSVQQLDASEVLRREKVQELVNHVNQCCIEEKPLNVGACAFTTSLNILSNFMFSIDLAEYGPKSTQQFQDLVLQAMQAGAKPGLPDLFPILHSLDPLELIWSENVYAKKMLAIFDKIINDRLRTRSDGVSTKSNDVLDLLLDQHSSFTQNDMRHLFLTLFMAGTDTTSSTLEWAMSELIRNPEKMKIARLEVDKLMQNNNNGNIQESDISQLTYLQAVIKETMRLHPPAPFLIPRQALHDVAIHGFVVPKNAQILCNVWAMGRDPNIWSDPEMFMPERFLDVKIDYKGQDFELIPFGAGRRMCPGLNLANRMLHIILASLIHKFDWKVVGNTRPQDIDMGEKYGITLQKAEPLMVIPIKL >cds-PLY92984.1 pep primary_assembly:Lsat_Salinas_v7:3:89411180:89414344:-1 gene:gene-LSAT_3X67800 transcript:rna-gnl|WGS:NBSK|LSAT_3X67800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKCNLSPLYLIRRDTDLSSIDWCDYIVDCLVRTKKVCNPEKESSFFYGPAAYLMTLEKIRFLEDIFQESGGFGCGHVNEAYVKEEFQESKYNEEESVGDEVESDGEKDLCDEDEEDFDVNKGDESDPHEGNIGENYIEGKGDDDEDDEQGNGSGCDKEEATNLNYVVENATKSVGLTDSQEGVSFSKFICDPVVESFLKILDQGTDGCLNQKLVEDYVNLNLTGTLNLGEDNHKNKDGVSFAPPLGTLEGPSKPLSGKPKDINEEATSVVDIKGKRQMKFSYVYKSPFKERLIDFKPSLTRVENVVCEWLFNLQGNPGLCHLNETAKYKVFNDNFSRSVFGDRDLKVLKDVDMKKSFLKYLKEIDRVKANEMASKNVTQVRLTMPWRTVYNKVDCGIFSMRHMESYFGEKCSKWKCGLPKEGGSQEKFWKS >cds-PLY94602.1 pep primary_assembly:Lsat_Salinas_v7:8:181834427:181834672:1 gene:gene-LSAT_8X117901 transcript:rna-gnl|WGS:NBSK|LSAT_8X117901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIILFSEDPFSHPSYHTSATIYLASPLIITSLPHDILPSMWPMHDDQTMEQPKLSLNFCNLIFLSATPLLTIEKAPPPPL >cds-PLY86496.1 pep primary_assembly:Lsat_Salinas_v7:8:134621950:134624243:-1 gene:gene-LSAT_8X91301 transcript:rna-gnl|WGS:NBSK|LSAT_8X91301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNGNLIGKDDYWYLGVEWTVEGTAGGGDGELSIEPNVYTQEQLAEKYSNITFLFYCLLLVVVVLMHHYVYRRGELLLAIPGKDLMRYWKLLLPFSFVVVSGAIGSCSVLFAKSLSNLLRLSCQVHIGWIAGSRIRFFYCFYVQLDFG >cds-PLY90040.1 pep primary_assembly:Lsat_Salinas_v7:MU039662.1:55794:56128:1 gene:gene-LSAT_0X35040 transcript:rna-gnl|WGS:NBSK|LSAT_0X35040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase subunit 4L [Source:Projected from Arabidopsis thaliana (ATMG00650) UniProtKB/TrEMBL;Acc:G1C2W6] MSMPIESMLLAVNSNFLVFSVSSDDMMGQSFASLVPTVAAAESAIGLAIFVITFRVRGTIAVESINSIQGSGPFSLGERIRF >cds-PLY98208.1 pep primary_assembly:Lsat_Salinas_v7:2:83247546:83251941:-1 gene:gene-LSAT_2X36161 transcript:rna-gnl|WGS:NBSK|LSAT_2X36161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DGS1 [Source:Projected from Arabidopsis thaliana (AT5G12290) UniProtKB/TrEMBL;Acc:A0A178UP20] MDDWNPEQLSSSAQAAAKAPEPSSIFASSYQYCSRILRQRFIGCIPSLSNLNLPEKITFLEKISTLYKRRIIPSTSRRKRGTCLPLPLPSASLEPFTQTSETSRVYDVLGDIVEHTFFNLHSVQKNLHFWEARAEGSTSNKVYFMVFERGPLAFLDGSAQLLHDYVFHGTGMQQVSSSASVHISERISVLTSLRYSLATFLAQVYVEVEKSREQILKEPGKSMAALLGTINGLFLSLEAAIGHFSATRQIGSSVDGSYSSPLMFETLPDVNQESSQWTDCEIRDAINLIYLNLNKLDVYLSLLVAKHQKPRTMTRHWMRYTVGAVGISLFSVWLVGHSRLAGSPDIDNWIRDAKASVTDFLNHHVEQPLIAIRDELFETFRKRHKGVMELEEVQLTSNSLHRMLLAFSENTKGQKFPENASDQEMLEIVMGRYELELMHPIQNLLGGELARAMLIQIQKLKLDIETAMLELNQILRANEINFAILAALPAFFLSLVVLMLLRAWVKQDTRAQGKGKIARVQRRLLIVEVEKKIVQFQSCIDQGLEKDGQCMYGLILYTLDRLYRAVERHAKATGEWQSLREDINNLAKPNLVTIEKLRVTSRMAHMYDCLLPSMRH >cds-PLY85930.1 pep primary_assembly:Lsat_Salinas_v7:2:189917358:189924092:-1 gene:gene-LSAT_2X111580 transcript:rna-gnl|WGS:NBSK|LSAT_2X111580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSLISGWPGRSGFGSASTAEQVSDSIDASDLTVIITGGASGIGLETTRVLAMRGAHVIIAARNMKAANEAKQLVVKHNEKAKIDVLELDLSSLKSIKTFSHSFKALNLPLNILINNAGIMFCPYQLSQDGIEMQFATNHLGHFYLTNLLLDKMKETAAATGIEGRIVNLSSVAHVYTYEKGIRFDKISDKDSYSDKRAYGQSKLANILHANELSRRLKEEGANITVNSVHPGIIMTDLMRHSFWQMRILKLFTCLFWKNVPQGAATSCYVAVHPGLKGVSGKYFLDCNEWPASKFATDPELAKNLWDYSNNLVNSLQH >cds-PLY90757.1 pep primary_assembly:Lsat_Salinas_v7:3:36458797:36460629:-1 gene:gene-LSAT_3X28221 transcript:rna-gnl|WGS:NBSK|LSAT_3X28221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQPCIQVQPKNNLDHWITPPSNLVHTMSDKELFWRASFVPQLKKYPFARVPKIAFMFLTKGPLPLAPLWERFFKGHNSLYSIYIHSLPSFQARFPSTSVFYQRQIPSQIAEWGRMSMCDAERRLLANALLDISNEYFILVSESCIPLYNFTITYRYITRSKYSFMGAFDDPGPFGRGRYNPNMLPEVNISQWRKGSQWFEVNRKLASIIISDVTFYPKFLQFCRPACYVDEHYFPTLLTIQAPKLLANRSLTWVDWSRGGPHPATFGAMDINEEFMKKLHEGRECVYNDKPSLMCYMFARKFAPSTLERLLRFASEFLGY >cds-PLY71068.1 pep primary_assembly:Lsat_Salinas_v7:3:162860083:162860780:-1 gene:gene-LSAT_3X100601 transcript:rna-gnl|WGS:NBSK|LSAT_3X100601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRQSSSGASRITDDQIIQLISKLQQLLPGTRIQRSNKASASKVLQETCNYVRSLHREVDDLSDRLSQLLSTIDADSPEASIIRSLIM >cds-PLY66261.1 pep primary_assembly:Lsat_Salinas_v7:3:48151548:48151930:1 gene:gene-LSAT_3X37980 transcript:rna-gnl|WGS:NBSK|LSAT_3X37980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHKGIKVGCYLTPSASCKAWSPNSHGGTLLDGKNKVRCYIGVFSLMMLTDAMSFI >cds-PLY82190.1 pep primary_assembly:Lsat_Salinas_v7:1:15753270:15753845:1 gene:gene-LSAT_1X14200 transcript:rna-gnl|WGS:NBSK|LSAT_1X14200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFILIGIASITDTGLNGAILQIISHGFIGAALFFLAGTSYDRIRLVYLDQMGGVAIPMPKIFTMFSSFSMASLALPGMSGFVAEFS >cds-PLY97542.1 pep primary_assembly:Lsat_Salinas_v7:5:233851628:233852513:-1 gene:gene-LSAT_5X116521 transcript:rna-gnl|WGS:NBSK|LSAT_5X116521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQLALLLSTNLNFSANTTYDSDLHTKMEWEADEKEEKAAGAELLPDGRRGLRIIANTTYDSDLHTKMEWEADEKEEKAAGAELLPDGRRGLRIHSWEIESRNRSILTS >cds-PLY81516.1 pep primary_assembly:Lsat_Salinas_v7:5:81053727:81054817:-1 gene:gene-LSAT_0X35341 transcript:rna-gnl|WGS:NBSK|LSAT_0X35341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSTKHAWLQMYQRCSKHERIVSILDNYLRKNPKDADLSVVHVLASTHMLGNAHDKALHHIEYAQHNYSAAKDLPVELLVQAGIYHVHLGNMEKAQSFFSVFTHEPVNDYSHLNIEAADSLMTVKHHAYLMLEGNAGVNKNLFSSESHRAKINGKSTGGSINVIY >cds-PLY91786.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:605218:608227:-1 gene:gene-LSAT_0X1200 transcript:rna-gnl|WGS:NBSK|LSAT_0X1200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPMDDVWNEISGLPSPTPNHFQDFFSPVLRQPGTTTPFLPPPPSTTTMLTLLTTTNPAHEDPNKRHKPNPPPPPPHNSKPATSTSPPEYTEKFRRLMKNRESAARSRARKQEVVRLAKENAKLKRMQKEVSAPPKVSRLQRTKSAPF >cds-PLY94846.1 pep primary_assembly:Lsat_Salinas_v7:2:176445551:176448727:1 gene:gene-LSAT_2X100181 transcript:rna-gnl|WGS:NBSK|LSAT_2X100181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G28350) UniProtKB/TrEMBL;Acc:C0SV66] MNEVEKGVNPQLWHACAGGMIQMPLPNSHVYYFPQGHAEHTLTTVDFGGIPRVPPFILCRVIDVKFLADMETDEVYAKIRLIPVGNNDIGYNVGIDDGVLVETHKRNTPDSSEKPSSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVIAKDVHGEIWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFVRAENGDLCVGIRRAKRGGIGGPDSPSGWNSYSGNSSSSSTSFYGGFSSFLRDNENKLMRNGNGSKRNITGRGKVRPEDVIEAASLAANGQPFEVSYYPRASTPDFCVKASSLTASLTSQWCVGMRFKMPFETEDSARISWFMGTVSSVDVADPFHWPNSPWRLLQVNWDEPDLLQNVKRVSPWLVELVSSMPVIHLSPFSPQRKKLRLPQPPDLPPEGDFVISSFSGNPLGPSSIPASIQGARHARFGIPLMDLNLNTHKLQLGLFPSSHDINEPSMDINKDDVSCLLTIGTSSVKMEKSDEKVKAPLFLLFGQPIHIEQEVMDSKLPNLSNKNGNDFFKNQGSDSGHCKVFLESENVERTLDLSVLESYQELETTLANLFGIGTFDNSYAHVLYKDSKGDVKRIGDEPFSEFVRKARKLTIPLDACNHNDKRKWNIISVQSGEHGLESSNHTGAAMSIFA >cds-PLY70897.1 pep primary_assembly:Lsat_Salinas_v7:9:15624968:15625318:1 gene:gene-LSAT_9X15961 transcript:rna-gnl|WGS:NBSK|LSAT_9X15961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVFYAMIKKTIWILDVGLGLVYLKKKFKFVKQKAHIFTHFEHDLLWEEDELASLFDRVLIGLRKEYVDWMIRVSTHDECVVMTTILAFNYFNRFLLSDSF >cds-PLY68003.1 pep primary_assembly:Lsat_Salinas_v7:4:257879861:257880285:1 gene:gene-LSAT_4X135900 transcript:rna-gnl|WGS:NBSK|LSAT_4X135900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSKMSSSSNIKSIRSRRIVRNGEVELCKCNEEVLMFTSWTAKNPGRRFYGCPNYKDESMNCKYFMWIDDELTIQRYKDLLFNMHHDMKGMEDEIKELKLKLKKKSVFGM >cds-PLY99495.1 pep primary_assembly:Lsat_Salinas_v7:4:57373264:57377718:-1 gene:gene-LSAT_4X38401 transcript:rna-gnl|WGS:NBSK|LSAT_4X38401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 [Source:Projected from Arabidopsis thaliana (AT1G22860) TAIR;Acc:AT1G22860] MAKPLLKSRTILEPFAQFNASSSSIRSLALFSTSPSETLIYVGTVSGKLLLLSLHHPSSNSSNSHNQESSSNNENRDNDDQIKFVRHMSISDCAVESIHVFGEIEKILVVSDGFIHFVDLELVKPVKKIGALKDVSFVARRLRSKGNEGFTKLTVGGGADASGGSSFLQRLGGGGGGRLNGGVVNDLPIDDNCVFAAAVGKKLILVGLVGRSNESYDSVAGTLVTMKEIQCVDLVKEMVWIDDSIVVGSSSGYYLCSCVTGQCGLIFSLPDMSTPCLKVLKKEYKVLMLVDNVGIIIDSQGQPVGGSLVFHGSPDGIGEMGTSVISLHNGKMELYLKKTGKCVQKIVFSVEGTGQRVIADDEDETGKVVVVATSSKVICYLKVPSEEQIKVFLRKKDFKEAISLVEELHDDGEITKEAMSFVHAQVGFLLMFDLHFEEAVDHFLLSETMQPSEIFPFIMPDPNRWSLLVPRNRYWGLHPPPAPLETVIDNGLIAIQRAIFLKKAGLETAVDDDFLLNPPNRDDLMDSAIKNLIRYLKASREKELTSSVKEGVDTLLMYLYRALNLVDEMESLASSENWCIVEELETLLNESGHLRTLAFLCESKGMSSKALAIWRILARNYSSGFWKDQTRINETSGEGVNIISGKETAATEASRILEELSDKDLILQHLGWIADINQVLAVRVLTSEKRSHQLPPSDVIAAIDTKKTEILQRYLQWLIEEQDSDDPQFHTSYALLLTKSALESYETEISSEAGTSKQINGLEPEKQSIFQNPVRERLQFFLQSSDLYDPEEVLDLIQESELWLEKAILYRKLGQETLVLQILAVKLEDNDAAEHYCAEIGRPDAYMQLLDIYLNPTDGKKPMFKAAVRLLHNHGESLDPLQVLERLSPNMPLQLASDTILRMLRARLHHHYQGQILHNMSRAVGLDANLARLEERSRHVQINDESLCDSCHARLGTKLFAMYPDDTIVCYKCFRRQGESTSVTGRDFTNDPVFKPGWLVID >cds-PLY92147.1 pep primary_assembly:Lsat_Salinas_v7:8:5354996:5355262:1 gene:gene-LSAT_8X4800 transcript:rna-gnl|WGS:NBSK|LSAT_8X4800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTLEFLVEEAKGLRKDLGLYLFNFDMIRYGEGDGYLVIDMNYFPGYEKFPSYETVMIDFFLNVMKLQELENMKKKEIDDRWKFGNQK >cds-PLY62575.1 pep primary_assembly:Lsat_Salinas_v7:9:72775587:72776924:-1 gene:gene-LSAT_9X61340 transcript:rna-gnl|WGS:NBSK|LSAT_9X61340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRRFSESRLQDDIKSWPFKVVEGSADEPFIVLENETENMKFSPEEISSMILKNLKEAAKAYLGTTCTDAVITVPAYFSDKQRQATKEAGTLAGLNVMRLISEPTAAAIAYGIDKSADKNRQDKNVLVFDLGGGTFDVSLLNICKDGAISVKAVGGDTHLGGEDFDKLMVNHCVQVFKKTQKKDLSKNARSMMKLKVACEKAKRDLSSTTLTAIEIDSLFEGIDFSMKFTRAKLEELNDAFFKKCIEHVENCLRDGNMEKKDIDDVVLVGGSTRIPKVQQMLMQFFESKPLCKSINADEAVAYGAAVLAANLHGNGNESVQSLILVDVTPLSLGIWADSDDTRGLMSVVIPRNTPIPTLKDGTYCTSADNQVSMRIDIYQGESRLAKENIILGSFKLHGIPPAPAMEQEVKVFFNIDANGILNVSAEVKSTGNTNSINIDVWKHV >cds-PLY99548.1 pep primary_assembly:Lsat_Salinas_v7:4:70715496:70716465:1 gene:gene-LSAT_4X49101 transcript:rna-gnl|WGS:NBSK|LSAT_4X49101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METTEVKDPVTLGVVIGFVLLLCTGNMEALIDLLKKGEGVMITENFPIGHIIKIDDKDELVGQITHKDIYFTTILGPELRPIKIPNKFLEEKKVENLTMAIGHRIKYDQDFKLADSKKLKAVQDELCKMMRDNHKHVLLDKRSPFCDMEVKGIKLQVSFGCYVKKMEEDELKAMKNQILLNAMDITKKHGLENCAE >cds-PLY78633.1 pep primary_assembly:Lsat_Salinas_v7:4:150630595:150632884:1 gene:gene-LSAT_4X92560 transcript:rna-gnl|WGS:NBSK|LSAT_4X92560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQPENDSVSYETAEQIILRWDATVSEDARVRMIFEGDRQEIDRYLQAVDRIQVSMESTTLSDDDGAAGVQEQSKKINGAIQIAMARLEDEFRNILISHASPVETETLTESMSSAHLTPRTSTSISEFPGNDDYSSRGEDDSISRDGSSSLERGESSNTISSYRSMSSIREIDLIPSDIVCDLRSIAERMIAAGYFRECVQVYGSVRKSAVDACFKKLGVEKLSIGDIQRMEWEALNAKIGKWMRAAKMCVRVLFASEKRLCEQIFEGLGTAADDACFMETVKGPAVQLFNFAEAISISRRAPEKLFKILDLHDTLFDLLPDIDAVFDGKSAESIRVQATEILSRLAEAARGMLNEFENAVLREPSKVPVPGGTIHPLTRYVMNYISLISDYKQTLGELIVSRPATGSRYSDDQTTPDMDFSDHEEQSPLALHLIWIIVILQFNLEGKSKHYKDNSLAHLFIMNNVHYIVQKIKGSPELREMIGDHYLRKLTAKFRQAATSYQRATWVGVLYCLRDEGLHVSGSFSSGVSKTALRERFKSFNAMFEDVHKTQALWLIPDTQLREELRISISDLLIPAYRSFLGRFRSHIESGRHPENYIKYSVEDLETAVLDFFEGCAVSQHSRRRSQ >cds-PLY88576.1 pep primary_assembly:Lsat_Salinas_v7:7:8677363:8679860:1 gene:gene-LSAT_7X6720 transcript:rna-gnl|WGS:NBSK|LSAT_7X6720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTLPPTLPDFPSALPPPAPPYNHSHRHGLTVLHSVLAGVLVFAIFIVLAITYRKLSRKRTVPADLKSPPPQPQPQQLQQFSYNVLRRATSSFAAANRLGQGGFGSVYKGVLPSGKEIAVKLMNGSGSLQGEREFHNELSLSTRISSASGAHSRHVISVLGFSSDQNRRRRRKMLIVYEYMQNGSLQDALLYRKCPELMDWKIRFMILLDVAKGVDFLHFSCDPPIVHCDIKPSNVLLDCNFNAKIADFGLARVLGVDENEIIETFYECCEEGDQENETADDNNTDVEKKRENIGDYREENRSAAEETESVVTGEVVVNVDPVSPESCRVTIVDAEASPSEYLERASVSDQLSVDSSNRRFLGRKKSGGAGGGSGRDWWWKQESCGDDSGRVKDYVMEWIGSEIKKERPKKDWLTTTDPISSELEASSDNAPQKKQKKKSETDNKKNRKPREWWKEEFCDELSKKKKKRRGSNTGEMWWQRDEEFVPKKKKSKTSSKGSIDWWLDGLSGEFRHRRRNSQECPSGEIPKSGGISSTPSMRGTVCYIAPEKCDGGQLSEKSDVYSYGVLLLVLVSGRRPLQVTASPMSEFERANLISWARQLARNGKLLDLVDPNIHSLDQEQALLCITTALLCLQRSPVKRPTMREIVGMLSGEAEPPHLPFEFSPSPPTNISFKSRRKPR >cds-PLY98818.1 pep primary_assembly:Lsat_Salinas_v7:7:22887333:22887939:1 gene:gene-LSAT_7X18280 transcript:rna-gnl|WGS:NBSK|LSAT_7X18280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAMALVGLEVGSELSGSTSLALMTGTTRGIVTRSKLVIKTQSIHKVDHKEMSRRVVLRLITSGLASASFVQIADVKVKPIKVGPPPGLSTELCESLATSSYSYSLFPICFIGKSS >cds-PLY87186.1 pep primary_assembly:Lsat_Salinas_v7:5:154061505:154063564:1 gene:gene-LSAT_5X67920 transcript:rna-gnl|WGS:NBSK|LSAT_5X67920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRILGKAERWEELEILLNEMEKNRIEPINSTYGTLMDVYSKGGFREKAMQFLDIMNKKEIESDEVTMGIVLQMYKTSGQFEKAIEFFKKWSTGKSVSLSSYTYNTLIDIYGKAGRLEDASQTFDEMLKRGIVQNTITFNSMIHMFGDHGQLDKVESLIQKMEQFQCLPDTRTYNILISMHTKHDNIVVATKYFKKMKDSSLMPDIVSYRTLLYAYSIRQMVDEAEKLMREMDDRGLEIDEYTQSSITRMYIEAGMLKRAWLWFKRFHISGKMTPDCYSAIIDAFGSRGHVPEAEEVFKCCQEQRNPKVLEYNVMIKTYGVNKRYDDVYRLIDRMEDHGVTPDICSYNSVIQMLAADDRPKTAAFCSRKMRDSGFVTDCVPYCAVISGFVKLGEVGPAIEVYNEMIRSGIEPDVVVYGVLINTYADIGNVDEALRYVNAMEKRGLQMNSVICNSLIKLYTKVGCLREAEEAYFTLLLLSSDLDVYTSNCMIDLYTERSMVKPAEEIFEKLRKNGNANEFSYAMMLCMYKKIGNFEEAFEIAKKMRELGFLNDLLSYNHVLGLYALDGRFKEAVMIFKEMIESDVEPNDSSFKYLGVVLMKRGVPKNAILKLESMRKNDYQSGLEAWRVTIDLVFGMVYRDISD >cds-PLY93069.1 pep primary_assembly:Lsat_Salinas_v7:9:27312321:27316324:1 gene:gene-LSAT_9X23940 transcript:rna-gnl|WGS:NBSK|LSAT_9X23940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMFSRLGRSISRSSRSRNVLSGGNGGRSQILTDSSAYQSRDIRQADDNLGVLKRYLGSLAANNERYGSGLSSKVYLSDIKYVLANPRFQRFFSSEAPKKKNYENFFPKEKKETPKANNQKTESKEESSTEDDGGFFPKQFNFQIPIPLLVIGLLLFSSLSSFGPREQKQISFQEFKNKLLEPGLVDHIVISNKSVAKVYVRNSPRNQSTDGSIEGTSIDSPAKVNTSQYKYYFNIGSVESFEEKLEEAQEALGIDSHDYVPVTYASEMVWYQEIMRFAPTLLLLGSLWYMSRRMQGGLGVGGGGGKGARGIFNIGKAHINKVDKNAKNKVFFNDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFGGSNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDIKGREQIFQIYLKKIKLDNEPSYFSQRLAALTPGFAGADIANVCNEAALIAARGEQTKVTLDHFEGAIDRIIGGLEKKNKVISKSERRTVAYHEAGHAVVGWFLEHAEPLLKVTIVPRGTAALGFAQYVPSENLLMTKEQLFDMTCMTLGGRAAEQVILGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQREDGMEMSKPYSSKTGAIIDTEVREWVNKAYERTVSLVEEHKEKLSQIAELLLKKEVLHQDDLLKVLGERPFKATEMTNYDIFKLGFEKEDVKTGEVEEGSSSTAEDSGAPPPLEPEVVPA >cds-PLY81894.1 pep primary_assembly:Lsat_Salinas_v7:8:120993895:120998081:1 gene:gene-LSAT_8X84961 transcript:rna-gnl|WGS:NBSK|LSAT_8X84961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAQVGMSSHGGVVDGSTAVKFLQKQQAVAPSPSPRQHQHQHQQSAEIGTVPQLLAGGIAGAFSKTCTAPLARLTILFQVQGMHSEVALLSRPCIWNEALRIANEEGFRAFWKGNLVTIAHRLPYTAVNFYAYEQFKKLLKSIPSIRNNSESATADACVHFVGGGMSGITAAMATYPLDLVRTRLAAQRSTVYYHGIGHALRTIIRDEGFVGLYKGLGATLLGVGPSIAISFSVYEMLRSNWKSQRPDDSTVMVSLACGSLSGIASSTATFPLDLVRRRKQLEGVGGRARVYNTGLLGTFGHIMRTEGFRGLYRGILPEYYKVVPGVGIVFMTYETLKKLFSDRPF >cds-PLY77426.1 pep primary_assembly:Lsat_Salinas_v7:3:147376648:147380433:-1 gene:gene-LSAT_3X96860 transcript:rna-gnl|WGS:NBSK|LSAT_3X96860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSTSSVAQPDAILDWLQKEMGYRPLGPYIASSKASMPSSDAIRRVCRGNMIPVWSFLLNRVKSEKTVDNIRRNILVHGGKENANAASGADGGVETAKSGESGRRSRGGRRKEKVAGSSIVGESNSRETALQERESAEKEVERLRHMVRRQRKELKARMLEVSREEAERKRMLDERSNYRHKQVMLDAYDQQCDEAAMIFSEYHKRLRLYVNQVKNAQRLDTDSSMEVVTSFQMNNEKEDVYSTVKGSKPADDVILIETNRERNIRKACESLAVQMIDKIRSSFPAYEGNGIHQNPQMEAAKLGVDVDGDIPNEVLDVIINCLKSPQHLLLAITTYTHRLKSLITKEIEKIDIRADADMLRYKYENNRVMHDSSPDVNSPLPFQVYGNGKLGVDMPSKGTQNQLLERQKAHVQQFVATEDELNKAAEARSMCQKLLKRLYGSVDFDPSHSLNVGGTSQTMSSLRQLELEVWEKEREASGLKASLTTLMSEVQRLNMLCEERKEAEDSLKKKWKKIEEFDARRLELKSIYSALLKANNDAAAFWSKQPLAARDYASSTIIPACKVVMEISNAAKDLIDQEVSAFYRNPDNTLYMLPSTPQALLESMGGSTGPDALIAAEKNAALLTARAGARDPSAIPSICRVSAALQYPAGLEGSDAGLASVLESMEFCLKLRGSEACVLEDLAKAINLVHIRRDLVESGHALLNHAYHNQQEYERTTSYCLDLASEQEKTIMEKWLPELRNGVVNAQKSLEDCKYVSGLLEEWWEQPGSTVVDWVTVDGQNVAAWNNHVKQLLAFYDKELL >cds-PLY98312.1 pep primary_assembly:Lsat_Salinas_v7:7:171787536:171790116:1 gene:gene-LSAT_7X102180 transcript:rna-gnl|WGS:NBSK|LSAT_7X102180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPPQPPPLPPPCSVTVVTEHSSSSSSSPSISPATTSDMWNYIWIPFLLSLMKEVSSANAASESKIFLPSASVAGLTSSCPAPDPKLNYRPIIGILSHPGDGASGRLNNATNASYIAASYVKFVESAGARVIPLIYNEPSEVLQSKLNLVNGVIFTGGWAKTGLYLDVVDRIFKQVLKKNDAGDHFPLLAICLGFELLTMIVSKNNDILEGFSASDQASTLQFIKNINVEGTLFQRFPPELLAKMSTECLVMQNHKFGISPETFQGNMELCSFFKILTTSVDENNEVYVSTVQSKSYPVTAVQWHPEKNAFEWGLSMIPHSDDAVQVTQHAANFFVSEARKSYNRPPTKEVLDNLIYNFSPTYCGYAGKGYDEVYIFTDKIARM >cds-PLY93945.1 pep primary_assembly:Lsat_Salinas_v7:MU039064.1:409:1206:-1 gene:gene-LSAT_0X45281 transcript:rna-gnl|WGS:NBSK|LSAT_0X45281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHEYNSLDLNWYLKFGITAFAVLFGLIYLVKNTAAKYFVVDGDDDARFDSHSQSSSPTASSDSIVSPSSSSSMPGIVAISDSCVVCGSLTKKYCSRCKGVRYWYIRTVDIAQNHVKDLIGSLGTKKNVKKFVCLANQVCKEGETLLGLH >cds-PLY94996.1 pep primary_assembly:Lsat_Salinas_v7:5:5346082:5348903:-1 gene:gene-LSAT_5X3200 transcript:rna-gnl|WGS:NBSK|LSAT_5X3200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAFSTCTLHETLRDHELMCNKPSCFPKHSVHFKTNHIYVDPYKSMTWRQPGRLKCRALDIERNRSFLKGDVFQLDDVIEAQQFDRDILSAIFEVAREMEAIEKKSRGNQILKGYLMATLFYEPSTRTRLSFESAMKRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVMRHFESGAAKRAAMTANIPVINAGDGPGQHPTQALLDVYTIEREIGKLDGIKVGLVGDLANGRTVRSLAYLLAKYNDVKIYFVSPDVVKMKDDIKEYLTSKGVEWEESVNLKEVASECDVVYQTRIQKERFGERIDLYEEARGKYIVDSHILSAMQNHAVVMHPLPRLDEIRVEVDGDPRAAYFRQAKNGLFIRMALLKLLLLGW >cds-PLY88437.1 pep primary_assembly:Lsat_Salinas_v7:8:86524451:86524764:1 gene:gene-LSAT_8X59320 transcript:rna-gnl|WGS:NBSK|LSAT_8X59320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVYYLQPSHKSFMIKKKLAKKMRQNRPIPHWIRMRTDNTIRYNAKRRHWRRTKLGF >cds-PLY66274.1 pep primary_assembly:Lsat_Salinas_v7:4:63628967:63632120:1 gene:gene-LSAT_4X44721 transcript:rna-gnl|WGS:NBSK|LSAT_4X44721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNGPRISWRNVDSINHEALCQHLKNAYKDVMVARYGDDGDSHPPYDHELWVEASGGIKKGIVLGLSSVSDPERFLMPSFSSPKYIFRQLRGLYSLFC >cds-PLY91917.1 pep primary_assembly:Lsat_Salinas_v7:8:198196891:198197181:1 gene:gene-LSAT_8X127361 transcript:rna-gnl|WGS:NBSK|LSAT_8X127361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILFPRIIQAKQILRRSLSNGNKSMEIPKGYLAIYVGEEEKKRFVVPVWLLSQPAFQELLDQAEQEFGYVHQMGGLTIPCSEYTFSDIASQLGAL >cds-PLY74149.1 pep primary_assembly:Lsat_Salinas_v7:9:10753776:10756725:1 gene:gene-LSAT_9X9921 transcript:rna-gnl|WGS:NBSK|LSAT_9X9921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLISQNAVSKDRGEKIKRKNPDLDETLTLDVLQETKNSENMTTVKPKKKKKERKEDKQAETEQAIEMKKLENVLFGSLYPVEFGKNIEEEEEEEEESALFFTDRSANSVLSVYEEDAKTGEIEIVATEDHAPRKPVWVDEEEEKTNINISNVNRLRKIRKEEDKKLISGSEYVSRLRAHHMKLNPSTDWARPDSRERIYNSDDSDQENGDFDGILQTMEDLVVSGSSKLLPGLLEYSRLVDANAQDPSSGPINSVQFHRNGQLLLTGGLDKKLRFFQIDGKRNTKIQSIFVDDCPIRKAAFTPDGSQVILSGRRKFFYSFDLVKAKMDKIGPLVGREEKSLETFEISPDSKTIAFIGNEGYILLVSSKTKELIGTLKMNGTARALTFGNHGQELVATGGDGQIYHFDMRSMSCFHKGVDEGCLTGTALGMSPNGNIFAAGSDSGIVNVYNKEEFLGGNRKPMKRIENLTTKVDFIKFNSDGQILAICSSMKKNSMKLVHVPSFTVFSNWPPANKALQFPRCLDFSPGGGMMAMGNAAGHVLLYKLNHYQHA >cds-PLY77890.1 pep primary_assembly:Lsat_Salinas_v7:1:24476452:24478082:-1 gene:gene-LSAT_1X20201 transcript:rna-gnl|WGS:NBSK|LSAT_1X20201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDISNSHNNHILWFFKHKGFDDKGINEILRKCKRLESVQKQNLSENWDYLKSIGIQERKLPSIITKCPKIMTLDLNQKLIPMIQCLTTLSTKPEEVPSAITKFPHILTHSIEEKLCPLLGFFESLGVSGTQLGKLILHNPRIISYSIDSKLSGVVDFLVNIGLTKDGMIGKILVKNPSIMGYNVEKRLRPTTEFLLSLGLTKSDLQKVAINFPEVMCRDVDKILKPNLDYLKSRGFDSRQIAGLVGRYPPILIKSVKNSLEPRIRFLVEVMDRGIEEAVDYPEFFQHGLKKRLERREKLLKQKNVSCSLSEMLDCNHKKFLSRFDLVAKIV >cds-PLY89622.1 pep primary_assembly:Lsat_Salinas_v7:1:211829618:211832545:1 gene:gene-LSAT_1X128940 transcript:rna-gnl|WGS:NBSK|LSAT_1X128940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHLAWVAVNLVIVILLFEVVVTCNARVVHSARKLRVLAAKYNVTCILVFGDSSVDPGNNNNLPNTWHKGNFLPYGKDFGHSKPTGRFTNGRLSTDMIAEALGYTNTIKAYLDQNLMDEDLLHGVSFASGGSGYDDFTADNITNVMSLRKQLDYFKEYKTRLGKLVGEESSHRIVENAVFILSMGTNDFLQNYYIDPTRSQMFTIAQYQGFLINCMENYITEMHSLGVTRLMVVGMEPFGCMPMIKTLTNNVDCNKEMNQVALSFNILLKAKLLTLEKTLHMSTIFVDIYGVIQNTLQNPTKYGKYLHLLQILIF >cds-PLY97872.1 pep primary_assembly:Lsat_Salinas_v7:2:216992289:216993249:1 gene:gene-LSAT_2X136841 transcript:rna-gnl|WGS:NBSK|LSAT_2X136841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYNFNTDRSKERLLSRTALFEEFDHNKDGDDDASILDRITKSWEDLQEFLVKVYEMGRSDPRQIIFAAKSGFALAFVSVLIFFKEPLDYISQYCIWAILTVIVVFEFSIGATLSKGFNRTLGTFSAAVLALGFAQVSVWAGEWHEIVVITSIFIAVWSGEELHKLVVKNFRGVATSLEGNKCMY >cds-PLY95120.1 pep primary_assembly:Lsat_Salinas_v7:1:96073776:96077474:-1 gene:gene-LSAT_1X80120 transcript:rna-gnl|WGS:NBSK|LSAT_1X80120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNDPKACYEEVLAKLVNYCEGHPLALKLLGESLHNRDVAYWEGLIETLKKENGTPVNNVLRISFDSLPSENDKDLFKHIACFFVGTDRDGTENVLGFTLDMRMLEKDKLCGSIEFKTDALSNMDNLMLLQLNYMKIKGTYKKFPEKLRWLCMHGFPLESIPSNLLMDNLVSLDMSYSKIKSFDVCYRFSQRPHNRLKKLFGSLSKDKSLLGSLKFLNLSFCDRLTKLGGFDRLPALESLIVRSCIGLLEVSESIGQCVELVLVDLSYCNKLKKLPGIIGMLKKTKTLLLDGCDLGEPRMLKANKKASSSTGLEAIPNDLKFFDISLPSSLVSVSLANNNLSTKSFPMDFSELSMLKELCLDDNPIKSLPNCVRSLPRLEILTMRNCKMLTSVEHPPHTLTQLFLSDGSSLQKVLFDPGIGPLSELVVPWEPVVSSFEIQGMIKIQPMEDVEENVLHRLGWNKMFILKKKGMGIEEFQIQHNLFGKKMPHRIMRRSKGPSISFTIPSSLNNLRGLNVCCVRMCELLNSRSHSIHIPETVVSNITKNRTWMYRHWDNKVIVREECVIFLSHWMFGMNEMEAGDQVTITILDWPNHVTKECGVSFVYDDGEEEDVLCYYKSWNHIIGGDLTGFQTTGEYTLEKWRILLPCHASFTRQFGSNICYTVVWTADEHNQQSI >cds-PLY70877.1 pep primary_assembly:Lsat_Salinas_v7:9:15002789:15005168:1 gene:gene-LSAT_9X12500 transcript:rna-gnl|WGS:NBSK|LSAT_9X12500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSIRQSIVHFSSKGVKLAWSYHTSHCIGGCLEANSTHVGAHLAMLASIRDASKLMYFLPSAKDGINRQTEEAILKADRIGVKVLSLAAFNKLCSLTFFTSPWNTPVASKHQSDWSPLMFDESRDDVDFKLGKDDWFDLFADDEGDNDIFDFDNMRYSSSQEGYMSFKGHVRNSQKDTLLCDFMNGIDWASPESFMSSLSCGERVKKTKVTQSSFQDKKQPRRSHSSPPSYRGNRKFVALNSQMSVKSGNSQFETPHNAS >cds-PLY71342.1 pep primary_assembly:Lsat_Salinas_v7:7:185088580:185095060:-1 gene:gene-LSAT_7X111080 transcript:rna-gnl|WGS:NBSK|LSAT_7X111080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFKTRDYRAEDKVYSLTRISVSSHPLCSSASSSLLHTQDHGSTEFFDPLRGLSTQTLEPVENTAVAEKLPATQPTSHLSSKKEWTSFNKLLMQRFPVPKMISVSSFSSKTIKGSKASGELSSNIQSDELNDSQKLKEGGFKIVSQQEYIKRLHELKDEIMRSWHSDDRVTTLKLSIKVARLLMDTSVAQFYPTLFVLAADIMDMLGDMVWDRIKQKAEFADDGTKICSLSDDFDANRICFEAKETCNNWFRKIASIHELLPRIYLELAILPCWRFLHDNVMDDLMRLVTMIRGVADPLASAYCRFFLLHCAQKLPQLDTGHLIACISDTKIVLTRIVSMKETKYGNFLGDRRLVISLMEPTIEYTMRCIFKDPNQVADMILRLELYGEVPWISVILHYLLKELPVSVVCSNAVEILHLVDCSSDYSFDQCLNYKVLGLKLCEGISQVNTVDVLIDEVIQVAIKRKSLDEYLKILDAYMDIILQYKIDHYLSSILSEIFERLCSEVVTEDELANLQSIIVKLVTHFDDMKQVFDLNYFVDILDVMHGSSRSIVSMHILNNATRNDDINDPTTIKLLFDVAQSLHDSVDFSSTKQDDNQQAERLIVRFIDKVDHGIDLDRHLTFLIECRGAFSSMNDLKEILVHSSNLLATRALRLREKKDTLNFIKSCITFNEVTIPCIPSYSRQLILYLETAEVSLLGGLISHSDGLLDSAISCLQDVNLVDGMRKNEDADGIVSLMRKLCSFMLMVPGNLDQGVTYIPKNILSLLDSQSWITPKLRIRVLCSLLSLSATFSQNELPYHPIHEEVFGNDSLFFGDARYSQELVSLSTLILHNMVDTIPQESSQATRGKLALEACNCIAMSFNVNQEISSVCSKLVEIARSCLGPADKYLQSTINFVHKQSPVSVGGK >cds-PLY86172.1 pep primary_assembly:Lsat_Salinas_v7:3:242266704:242267168:-1 gene:gene-LSAT_3X135420 transcript:rna-gnl|WGS:NBSK|LSAT_3X135420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMYMGFKETGLSKYILFACFVLCSIQNMVLFLFRIFNLAQPQHHHDHQKLSGSSPVSAMVTREFLVVRSFKDIDERKDLEESCAVCLNEFEGDDKIRCLINCTHTFHQNCLDRWMDHVQGTCPICRTPILPYACQDEYNKRLDVVNNLHMKSY >cds-PLY77571.1 pep primary_assembly:Lsat_Salinas_v7:2:165470068:165471462:1 gene:gene-LSAT_2X89900 transcript:rna-gnl|WGS:NBSK|LSAT_2X89900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFRLTKAPKGSLXHARIGRWGSLESPFYKISERSKGARMLYLSPGRDEYYMVAAGNSLALNQGIQEEQVVPARYRQEFLTIAWEQVHLRSIFSFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSQSEKCIVGTGLEGQAALDSGALAIAEHEGEIIYTDTDKILLSGNGDTLRIPLVMYQRSNKNTCMHQKPQVQRGKCIKKGQILAYGAATVGGELALGKNVLVAYMPWEGYNFEDAVLISERLVYEDIYTSFHIRKYEIQINQGSERVTNEIPHLEVHLLRNLDKNGIVMLGSWVETGDILVGKLTPQMVKESSYAPEDRLLRTILGMRVYTSKETCLKLPIGGRGRVIDVRWVQSSKTDETEKTESIRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGRPVDMVFNPLGVPSRMNVGQIFESSLGLAGGLLDRHYRIAPFDERYE >cds-PLY66199.1 pep primary_assembly:Lsat_Salinas_v7:2:168196525:168199231:1 gene:gene-LSAT_2X90600 transcript:rna-gnl|WGS:NBSK|LSAT_2X90600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNHYKPKIQKFGRWFSKKHKSRRSRKQLSDESGDEYEEEDNSLIMSSDTDPCSLTNQLRIFVATWNVAGRSPAGSLAVDLDEWLNVKESADIYVLGFQEIVPLKAKNVIGGEDLTEATSWNLLIGQILNDKCACRWITPMINPVTSVVEEDEGEVNNCGRYKLMASKKMVGVFISVWINTSLFNRYSISSVKVCAVACGIMGYLGNKGSVSVSMLIEGTSFCFIVAHLASGEKKGDEGRRNHQVSDIFKRTYFTRDDHCTPRPLTILGHDQIFWFGDLNYRLFLENDLARELIKKQDWIALQEFDQLRQELGDGGVFQGWREGNIKFAPTYKYSAFNSNWYSGTFPSLVGEKQRTPAWCDRILWYGKGVKQHSYFRSESKFSDHKPVSALFSTQIEVVRSNDIGSSKRINGKASSTLLSLITRDSDASPSNRKCHRRKGRL >cds-PLY79354.1 pep primary_assembly:Lsat_Salinas_v7:9:54435266:54436807:1 gene:gene-LSAT_9X49441 transcript:rna-gnl|WGS:NBSK|LSAT_9X49441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVIIGFWLIHRILSRTSVGHFLQNKWRGLEEFFHVHQFYKIPRFNQHMQENQVYRKVFMYLNSLPSAEDSDFVNLFSGDNKPNEINLVINAAHQMFSDTYLGSRIFWKFEKDSLVLKMRTKEKRRILSSYLQHIHNVTDEIQQKTKQIRLHINAEKEPERNGRWISVPFTHPATIDTAVLDSDLKNKMKSDLESFLKSEQYYHRLGRVWKRSYLLYGPSGTGKSSFVAGMAKFLCYDIYDVDLSKVANDSDLKLLLLQTTRRSMIVVEDLDRYLVDKSTTVNLSGILNFMDGIISSCGEERVMVFTVSNKEQIDPTVLRPGRIDVHVQFPLCDFPAFKTLANSHLGIKEHKLFPQVEEIFQTGASLSPAEIGEIMIFNRGSPTRALKTVISALKSNNDTVIASKTISGAKNEEPLRLTHSVSVGGALGVPSGLIGHGGPVGLPPPRLMHSGSARTVEESRETRLFQRERIPTIKEFKKFYGLLKTKNSKKEFMNFDGSEKENSRHDIML >cds-PLY83366.1 pep primary_assembly:Lsat_Salinas_v7:5:94282952:94285848:1 gene:gene-LSAT_5X43401 transcript:rna-gnl|WGS:NBSK|LSAT_5X43401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLKVPSTVPSPSEDCEQLRKAFAGWGTNEALIIEILAHRNGAQRKKIQETYFETYGEHLLKDLDSELSSDFQRVVLLWTLDPAQRDAYLANEATKKLTASNWVLMEIACTRSSHHLLAVRNAYHAKYKKSIEEDVAHHTSGDFRKDLKADPEDEYLKFLRSTIKCLTVPEKYYEKVLRLAINKLGTDELALTRVVVTRAEVDLQRIAEEYQRRNSVPLDRAIAGDTSGDYKKMLLALMGHGDA >cds-PLY78527.1 pep primary_assembly:Lsat_Salinas_v7:1:105971915:105975918:1 gene:gene-LSAT_1X83120 transcript:rna-gnl|WGS:NBSK|LSAT_1X83120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNEIQRKLLRHGEEETEGDLKKRVWIESKKIWRVALPSMISRVCAFGTLVITQSFIGHISNVDLAGYALVQTLSVRFINGILLGMSSATETLCGQAFGAGQHHMMAIYLQRSWIIDLITLTILLPVFIFGTQLYRLLGEDEAIAVSGGYISLWFIPFIYNFVFTLTIQMFLQAQLKNIVIAWLSIFRLAIHVPLSWLLVFKLSFGVPGAMVALLVSSWFLVAAEFIYIFGGWCPYSWKGFTVAAFNDLYPVVKLSLSSGVMVLELWYNAVLVLLAGYMPNAEVAISAFSICLNINAWDVRIANELGRGNAKAAKFSIKVLLGTSIAIGTFFFVLFLVFRKKLAYFFTDDERVADTVEDLSLLLSLSVLVNSIYPVLSGMAIGAGMQGIVAIVNLVCFYVIGIPMGALLGYLTNLQVKGIWIGMIGGIVTQTLVLLYLAWRIDWDDQVKKAGERLNRFYVRSSDNTEQLPLVSELSKLYE >cds-PLY88090.1 pep primary_assembly:Lsat_Salinas_v7:6:181349607:181350083:-1 gene:gene-LSAT_6X109661 transcript:rna-gnl|WGS:NBSK|LSAT_6X109661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTDVQRHSRRSKSFTFSDSISDDSSVTTPIPSTPIRYLGIPFSWEQFPGIPKKNTYKKSNLTQHLLPLPPSSHAPHQSPTMKKSSSSQNFRKDPFFAAFIECSKDKEFEVNGLRKSSKLPSVDRSGFVVSMYSSCKRTCAVSESIVFRRRSSTCYL >cds-PLY93664.1 pep primary_assembly:Lsat_Salinas_v7:2:201610606:201612076:-1 gene:gene-LSAT_2X122741 transcript:rna-gnl|WGS:NBSK|LSAT_2X122741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFDDSVADPVYLSDDGFIIEDSPVLVTEEEQVSNYPSPTSIFVSGGGLSPDQPEISSVNESGTPFEGEYMASDGSILPPLSEMQPEEGFALREWRRENALRLEEKEKIEKELLNQIIDEADDYKINFHSKRKITCDNNIATNRESEKVYLAAREKFHEEADKSYWKAIADLVPKEVAVIETRGSKKELDKKPSVAVVQGPKPGKPTDLSRLRQILIKLKHSTPLHLKHSPPPPSASTTTTTSSTSPPAAEAVAVA >cds-PLY63317.1 pep primary_assembly:Lsat_Salinas_v7:9:132607809:132610245:1 gene:gene-LSAT_9X84800 transcript:rna-gnl|WGS:NBSK|LSAT_9X84800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTEVTVADHPALHEEVSKAIDGDHVKAVEQEVETHNTEEVKDSPNVVTSLAEKEEPKIEDTPSPPTVEVPVTVTEETCKETITEPITVEDVKKVEEEETPKTEVIVEDIKTEVPAVEAEEKVTEPIAAEENVTEPVASEEKVTEPIEAEEKITITPVEAEEKAIETVEAEEKVTEPAEVEEAKIEVMATDNETKVPSVEVEEKITEPVNIEEKPTTEVAAVEETPVTKVESEEKKVEAISEEIKTEETKDGVEEVVPETAEKEKEATADISEKTEEKTEVAEAVAEIAEKNVDEQVSVKEAVPEVEDKKEDDPVVPKVDSVPADEIAMKKQEDSDIVEKNVDEQEVVAKDLPEIPETKEEPKVVECAKETETVETKEVPEDKTTVVEPINKELESATTDAPKENGVANETKVEEAVQTNETASKEEKPVDETKSTESAPKEEEKTTQKPSISIMGKVKKSFMKAKKAITGKNTTSPKTPVQETKEEEKA >cds-PLY96382.1 pep primary_assembly:Lsat_Salinas_v7:2:89190319:89190669:-1 gene:gene-LSAT_2X37321 transcript:rna-gnl|WGS:NBSK|LSAT_2X37321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKPYAENKMIEVEVEVPDVVVNETVDEVNETKGEVKELVAEVNELEDKGNELEPEGNETEVNDLQAEGNKAEVNEFEGMIRDMVECGYPQAEIELTMKKICNLRVPFYMFFSFIS >cds-PLY63886.1 pep primary_assembly:Lsat_Salinas_v7:1:127126310:127130381:-1 gene:gene-LSAT_1X95580 transcript:rna-gnl|WGS:NBSK|LSAT_1X95580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 21 [Source:Projected from Arabidopsis thaliana (AT3G49260) UniProtKB/TrEMBL;Acc:F4IWT1] MGKKGSGWFSSVKKVFKPSNKDLNEKNGFRKENVVDKHQEDKPEVVSFEHFPAESSPDVTNEESDGDRLSQAGDDQDHAIAARRALRALKGLVRLQALVRGHNVRKQAQMTMRCMQALVRVQARVRARRLELTHEKLQKKVGKDEKLIVSPKTRENINESIEKIKETALRKHDAEMKRERALAYAFAYQQEKQQHFIPSESDNTISYPNDHEKQQWGWNWLESWMASQPYQGHPIGPHDGSHLTQPTSDNISVKTVEMDLVTPVSSEYITTGRLSGETVDSAQHSQSRRQSGSDMIPSYMAPTQSAKAKVRAQNSGKNKGQATKKWLAFDTSSSGGIFQAPRSPSPNLKGYGNGANGVRAQSKWSTGYSPDSSGGDDGSMGRYGWRHHFG >cds-PLY79688.1 pep primary_assembly:Lsat_Salinas_v7:5:253197626:253201856:-1 gene:gene-LSAT_5X129180 transcript:rna-gnl|WGS:NBSK|LSAT_5X129180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANYNSSLTLIAIFSLFLATTAAGRFITTTSPSISDGVNNDDRSILRLNPLFTSSPEECEQTYGFMPCTTTAAGNLFLIIVYGYLMFLAATYLSAGSELLLAILGPGLVGGLCLPALGALPDAMLILVSGLSGSTETAQDQVSVGMGLLAGSTVMLLTSIWGTCIVVGKCDLQNSIAQDNKDTKGFNLIGSGVSTDIWTSYSAMIMAVSVLPFIVVQFPQILHSTSGRHTAVLVALVLSVSLLIAYCLYQVYQPTLQKRHLDFAKHKHVRSRILKYLKKRALGRLVDSHGEPNREVLIRLFNSIDANQDGSLSIPELRALIVGMQLYEINLNEDDAVTKVMKDFDTSENNEVDFDEFIDGIGRWLEEAKGFKRTTSVVGPDSLKYVHDYYEETKKEHDLLGDQTHEEDEEEEEGVDDPRRTTIKAVLLLLLGTIIAAAFADPLVDAVGNFSAATSIPSFFISFIVLPFATNSSEAVSAIIFATRKKQRSASLTFSELYGAVTMNNVLCLSVFLALVYARGLTWDFSSEVLVILIVCIVMGIFGSCRTTFPLWTSFLAFLLYPFSLILVYVLDYGYGWS >cds-PLY74184.1 pep primary_assembly:Lsat_Salinas_v7:9:23782195:23784013:1 gene:gene-LSAT_9X21300 transcript:rna-gnl|WGS:NBSK|LSAT_9X21300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGHSDQNNDSYTFPPEFLAGLGPVAPIPQPYATADCFLPPPPLAIPPYELDSVYATSSGGYDSPSYTASPSIAIQRSGSSHSITSHFHNNRFFNQLVSSPTELMDSEGSSSTTMRRVFSAEDLQGTNMVQNHYHRSESPLSSESNSIIESMNKACRYSPDEKKERIERYRNKKTQRNFTKKIKYVCRKTLADSRPRIRGRFARNDEIEKAIEHQCTSQGGTEEGFYDEDDDNWMNNFIDSFPPNLIP >cds-PLY65654.1 pep primary_assembly:Lsat_Salinas_v7:7:27097363:27104172:-1 gene:gene-LSAT_7X21201 transcript:rna-gnl|WGS:NBSK|LSAT_7X21201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEDQGYIDDEEDITQEDAWTVISSYFEEKGLVRQQLDSFDEFIQNTMQEIVDEFADIEIRRESQHNPGHQPDCAETMYKIRFGQIYLSKPMMTESDGESATLFPKAARLRNLTYSAPLYVDVSKKAIMKGHDGEEITETENFDKVFIGKVPIMLRSSYCTLYQNSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNTYTYVAEVCSMTESHNRAPSTMFVKMLSRTSAKGGSSGQYIRATLPYTRVDIPIIIVFRALGFVADKDILEHICYDFADTQMMELLRPSLEEAFVIQSQEVALDFIGKRSAPAGSTQKKRIKRARVILQKEMLPHVGVGEYCETKKAYYFGYIINRLLLCTLGRRAEDDRDHYGNKRLDLAGPLLGSLFRMHFRQLTRDVRAYVQKCVNKGKDINLQFAIKANTITNGLKYSIATGNWGKANAAGTKAGVSQVLNRLTYASTLSHLRRVNSSIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSAASPILEFLEEWGTENLEEISPAVIPEATKIFVNSVWLGIHRNPHMLVKTLKRLRRRDDMNTEIGVVRDTRLKEVRIYTDYGRCSRPLFVVEKQRLLIKKKDIRNLQQRETPEDGGWHDLVSNGFIEYIDTEEEETTMISMTINDLVSARENPGESYSDTYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNFQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRELPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFCCPDRSNTMGMRHGSYDKLDNDGLAPPGTRVGGDDVIIGKTTPIAQDDAQGQSSRYTRRDLSTSLRHNENGMVDQVLLTTNADGLKFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTVEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISRALHRCGYQMRGFETMYNSHTGRRLTAMIFMGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDTYRVHVCERCGLIAIANLKKNSFECRSCKNRTDIVQVHIPYACKLLFQELMAMAIAPRMLTKDVKQVKDSITKKKGA >cds-PLY70552.1 pep primary_assembly:Lsat_Salinas_v7:1:88581147:88583829:-1 gene:gene-LSAT_1X75460 transcript:rna-gnl|WGS:NBSK|LSAT_1X75460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit B, Primary root developmen [Source: Projected from Oryza sativa (Os11g0544800)] MTKAFCSCAYSYGSQPNSCICPVCMGLPGALPVLNSKVIEYAVMLGVALNCKLSLNSKFDRKQYFYPDLPKGYQISQFDIPIATNGFIDLDLPLEFGGGHRRFGITRVHMEEDAGKLLHTGSGSYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYAAELQRVVRYLGVSNGNMQEGSLRCDVNVSIRPIGQLEFGTKVEIKNLNSFSAMSRAIDFEIARQAALYNQGQAEEIVQETRLWEEGAQKTVTMRKKEGLADYRYFPEPDLPEVIFTEEYVNGIRDSLPELPELKRRRYEEMGLSMQDVLFLANDVNVADFFDATIAKVPDVKLAANWIMGDIAAYMKNEKLSINEIKLSPQELSELIASIKSATISGKIGKEILLELMEKGGTVKGLIKEKDLIVDPVEIEKIVDKVITNNPKQLEQYRGGKTKLQGFFAGQVMKESKGKANPGLVNKILLEKLNAKNG >cds-PLY75649.1 pep primary_assembly:Lsat_Salinas_v7:1:99718498:99719178:1 gene:gene-LSAT_1X81101 transcript:rna-gnl|WGS:NBSK|LSAT_1X81101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVLTFDKDFNEKIAKNGAIDYNEYINTINQVLLLHKGPISKFVIHIPPMFLDSFEEIDEWMMLLSRNNVTKLVLTNSNQRYELPSHVFSCLGLTELILENCFFNPPLQFEGFLNLVELFLQHIDFGKNLSGNQMNLPQLKNLNFLECTNVHNFNIKATKMKSLILVTCPDANLLQLLENPSIVVFGVSFRIFEDFDRVEMINSLSFFSSLTRIEDFLIEGNFLKV >cds-PLY76899.1 pep primary_assembly:Lsat_Salinas_v7:6:29975474:29982425:-1 gene:gene-LSAT_6X22720 transcript:rna-gnl|WGS:NBSK|LSAT_6X22720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSACKGDPMGMDNGKYVRYTPEQVEALERLYHDCPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLSAMNKLLMEENDRLQKQVSNLVYENGYFRQQTQNTALATTDTSCESVVTSGQHHLTPQNPPRDASPAGLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCTGVASRACGLVGLEPTRVVEILKDRPSWCRDCRAVDVLNVLTTGSNGTIELLYMQLYAPTTLAPARDFWLLRYTSALEDGSLVVCERSLNNTQNGPSMPPVPHFVRAKMLPSGYLIRPCDGGGSIIHIVDHVDLESGNVPEVLRPLYDSSTLLAQRTTLAALRQLRQISQEISQPMVTSWGRRPSALRSLGQRMSRGFNEAINGFSDEGWSMMESDGVDDVTVLVNSSPDKVMGAAPIYADGFPSISNAILCAKASMLLQNVPPAILTRFLREHRSEWADSSIDGYSAASVKSGPCGLPMARNGNFGGQVILPLAHTIEHEEFMEVIKLENMSHYRAEDMLMPADIFFLQLCSGVDENSIGTSAELIFAPIDASFTDDAPLLPSGFRIIPINNVTNHPSQNPTRDLASALEVGPPGSRTPADYLGQSGPTKSVMTIAFQFAFEIHLQENIAAMARQYVRSIIASVQRVALALSPSPFGPRSLQIPNGTPEAHMLTRWICQSFRCFLGEDLFKTVDERSDSMLKTLWHHSDAIMCCSLKAMPDFTFANQAGLDMLETTLVSLQDITLDKIFDGGGRTNVCSELPQVLQQGFVCLPGGICLTSMGRPVSYERAVAWKVLNDEESPHCIAFVFVNWSFV >cds-PLY98260.1 pep primary_assembly:Lsat_Salinas_v7:7:172498734:172500291:1 gene:gene-LSAT_7X102760 transcript:rna-gnl|WGS:NBSK|LSAT_7X102760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSPNSILVTALLLLLSFQLLYTISEAIVPSADTFSYVNEGDFGEYIVEYDADYRTLPPFSNPFQLCFYNTTPNTFTLALRMGTVRSESLMRWVWEANRGNPVGENATLTFGTDGNLVLADSDGRIAWQTNTANKGVVGLQVLPTGNMVLHDGTGTFIWQSFDSPTDTLLVGQSLRAGGASNLVSRASAENNIDGPYSLVMEPKRLALYYKSANSPYPMLYWTSVEWFTVDVGSVTNGSLINLTLTSVPDTDEGFLYYLTFDYYITNPLSGWNRNMAFSRYNNTLSYLRLGIDGNLKFYTYNPNVQGVSWELVYTFLDRNSIEGECQLPERCEKFGLCEDNQCVACPTPFGLSGWSKDCEASKVTSCQASDFGYFKLDGVDHFMTKYTTGDWVSNQWDCESKCTKDCNCMGYFYHTADSRCWIAYDLKTLTRVGNSTHFAYIKAPYNLSLNGIRL >cds-PLY79566.1 pep primary_assembly:Lsat_Salinas_v7:8:118600876:118601644:-1 gene:gene-LSAT_8X81700 transcript:rna-gnl|WGS:NBSK|LSAT_8X81700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADAVKLYGTVLSAYVSRAKIALNIKGIKYENLEEDLSNKSADLLKYNPVFKKVPVLLHNGKPISESLVIVEYIDDVWKGVPILPQDPYERAIARFLAKFIDEKCIPVIKAVGSNGDEKAIAEACEQLQILENQLKIKGTKFFGGDSIGLVDIAADFIAYWHAIREEAAGIKFFTEDKFPKLTKWADDFVNSEAVKNTLPPREQMLAFYLKFFGKANGM >cds-PLY95741.1 pep primary_assembly:Lsat_Salinas_v7:5:248778069:248778456:1 gene:gene-LSAT_5X123820 transcript:rna-gnl|WGS:NBSK|LSAT_5X123820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAYKACAPIAWSPNLYITLVRGIPGTRKLHRRTLEALRLGKCNRTVMRWNTPTLRGMLQQVKRLVVIETEEMFKARKQEQEKHCALRPPLIVTHLPTPASA >cds-PLY90559.1 pep primary_assembly:Lsat_Salinas_v7:6:54456010:54456825:-1 gene:gene-LSAT_6X40880 transcript:rna-gnl|WGS:NBSK|LSAT_6X40880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRNGNNVVSLIRINSYYRRNVFMRLCSYLLSGYTKKYAWSNEATMKYLLMGGASSSILVQFFSWLYGSSREEIELQEIVNGIEFKLSHPLLINRLLTYTKECDSFCKLIITMLHSWFSSFRYYECNRRIRQQKDHPKMIISWLLRTNQIRWF >cds-PLY87153.1 pep primary_assembly:Lsat_Salinas_v7:5:260159904:260161321:-1 gene:gene-LSAT_5X130241 transcript:rna-gnl|WGS:NBSK|LSAT_5X130241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGKPEPPPPTDYRYDALPSTPQQNQYFVVLPFYSPSGHLRWRRLCRRYLSCASTILLLSVALYFLWPSDPYLKVVNLRLDRLKIHAVPKISLDIVLGVKIKVRNPDVYSLNYESLNVSVGYRGEQLGFVTSDDGKVKAFGTSYIDATLVLNGSEVISEAFSLIQDLVKGSIPFTTTPEIRGSLGIFFFQLPISAKLSCEVVMNINNQTIERQDCYPAVN >cds-PLY66974.1 pep primary_assembly:Lsat_Salinas_v7:7:122480818:122482824:-1 gene:gene-LSAT_7X74660 transcript:rna-gnl|WGS:NBSK|LSAT_7X74660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLANVPFLVLGNKIDIPYASSEDELRYFLGLTGLTTGKGKVNLENSGVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >cds-PLY98844.1 pep primary_assembly:Lsat_Salinas_v7:7:24288257:24289045:-1 gene:gene-LSAT_7X20401 transcript:rna-gnl|WGS:NBSK|LSAT_7X20401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSKVSLLVNLTESLERRLSNVEDDVADIKCILAMVDNTKEFVTEDDDGQPETEDDKDDEGFLDMNFMLQVVPLNIIYPEALPEGEIPQVAHSDVESDDDHLITRKRKASSLGGVDDAEGEVFSVPPIKKRKLMVNLESFARDSRFPIEEVIQIEHNASVQKENVECRSSKLAQKYSSTEGGIDE >cds-PLY67494.1 pep primary_assembly:Lsat_Salinas_v7:6:70564976:70567759:-1 gene:gene-LSAT_6X49060 transcript:rna-gnl|WGS:NBSK|LSAT_6X49060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYHCVFIIFSLLLPSLETTIANQLVVVGGGDDNVVINKCLDKEIHALLHFKALLKDPNGILSTWKDDKHNCCKWLGVTCNNQTGHVTGLDIGLCSLEGEISHSLANLTYLNHLDLSGNSFHGTIPRSIGSLTELRFLSLSNNSLYGTIPPEFGNLTNLQWLYLGFVGRCRVENPEWLSGLSYLEELVMNGISLAKATHWVDVILSLRKLNFLSLQSCELSQVMYPYSSSFLNSSSSIEVLGLVNNNLTSSMYHWLFPLTSNKLHELYLSRNMLDGIPNYLGNLCSLKTFFFMNNSVVVKFPDFLKNLSGCTSLALQSLDASYSQFTGSLSDDIQKFSSLNILSLSHNHLNGTISGKLWELPKLKVLDVSFNYLRGAISENIGKSKAIIINLSKNSIEGVASTDHMSNLSHIEYAGMSSCKLGPNFPKWIQTFKNLTRLDISNNGISDTIPLGFWDMWPSKLTHLNLSSNNISGQVPDLSSNFDYRSVIDLSSNRFYGPIPNVSSTLVSLNLSRNKFSGEISLLCQIVGGLLQFLDLSDNFLTGQLPDCVWHFKDLKVLNLGNNYLSGMLPTSLGYLVQLEALYLFNNNFSGELSLSLKNCTKLNFLDLGANKFFGNIPVWIGESLSGLYALILRSNHFFGTIPLQLCQLQKLQILDLSMNHLHGTIPSCLNNLTSMVQYGFSQVDNVHHHFSWSIDIFSFDAAYVDHAMIMWQGAEREFIRNLGLLKSIDLSSNNLTGKIPYELTDLYELFALNLSKNNILGEIPSQIGLMKKLLALDLSRNNLSGGIPSSMTQMTFLGYLDVSCNNLSGKIPSSTQLQSFEPSRYNGNTGLCGPPLNKKCPGDEKPEVPPVIGRSEGDGEGVDEVERWFYIGGGTGFVTGFWIACGALLLNRQGRHAFFQFYDSFKGWVYAKVVVLIANLQKVVHK >cds-PLY95629.1 pep primary_assembly:Lsat_Salinas_v7:4:196250914:196252257:-1 gene:gene-LSAT_4X113980 transcript:rna-gnl|WGS:NBSK|LSAT_4X113980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLNIPNQNTCSHPTAINGENPISNEQEHVHNKLNGKVNDIVATLQKGKGWRGPEIFLHKGFWLPQMLIKSLLTIHEYFHPKPNDIFLAAFMKCGTTWLRTLMFATANRHLYKISDHPLHHTGPHSVFPSLDVQIFLDQYSVSKFDNLPSPRLFATHFAHDLLPTSMTSSSSTCKFVYVCRDPKDALISKWHFMSKIRSKELTPISFNEAYELFCNGVSEYGPFWEHVLGYWKASQESPEKILFLKYEDMKKEPSVELKKLAEFMGVPFTTEEEEGGVVEEIVKLCSFENLSNFEVNKDGVQMFGEHVAVENRNFFRKGKVGDWENYLTEEMRDRIDSITETRLKDSGLALGLTQRA >cds-PLY83180.1 pep primary_assembly:Lsat_Salinas_v7:2:74306280:74306850:1 gene:gene-LSAT_2X33520 transcript:rna-gnl|WGS:NBSK|LSAT_2X33520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNPVDNYYLLKLLEKAFETYEKNTSIPIVWKLTECNQVGVLECELSGHYVMNWIFDFVLNRQHGFQSRFGTLWNDKTAFEEKALVTTVATLAREFLKNFMNDVVVV >cds-PLY90604.1 pep primary_assembly:Lsat_Salinas_v7:6:53105031:53108737:1 gene:gene-LSAT_6X38881 transcript:rna-gnl|WGS:NBSK|LSAT_6X38881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVRATVIRFPSDPDAQDSSGVPWGVTVTPFASKDENGNSPVYGSGGDLIPRCENCWAYYNTYCDQDQWAWTCSLCGTLNGLSSETISRYSRPESAPENMSSFIDLEMPLEASEEEDMQARPVYVAAIDLASSDEFLELTKSALLAALEALAPGSLFGLATFSHKLGLYDVQGPIPVVKNVFLPADSDGTLPIELEDAMPLFSFLAPVETCKDRIASALETLRPTSSWDSTGGGQGLDRILLGGRGFGLAMETLISYLGSEHGNTFALARIFAFLSGPPDYGPGQLDTRRYGEQYASKGEDAELALLPEQTPFYKDLAAVAVQAGVCIDILAVTNEYTDLASLKFLSIDSGGSLFLYPNTDDSTLPQDMYRMLTRPYAFNCIMRLRTSTEFKHGNSYGHFFPDPQYENVQHVICCDSYATYAYDFDFANNYGFSRHTAELPMLQLAFQYTVIVPPTETNSKYTLKRRLRIRTMQFGVAHNFNELYDSVDPEVVLSILVHKVILASASEGVREGRMLLHDWLVILTAQYNEVIKSGVTEYGSSSGSLIDVTFSQCPQLQALPRLVFALLRNPLLRFHEEGIHPDYRIYLQCLFSGLEASSLHRAIYPLLTSYATPDKLAYPRHSLSRAALLTSESPIFFLDAFITLIVFYSSTADPTLPYPPPHDCLLRREINKVKQERSVTPRLVFIRGGQEDASVFENYLIEEQDVDGSGFSSVMGFVSFLEEISQSVLEYIK >cds-PLY91652.1 pep primary_assembly:Lsat_Salinas_v7:8:11198081:11199184:1 gene:gene-LSAT_8X7620 transcript:rna-gnl|WGS:NBSK|LSAT_8X7620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLKMADATLSTFNTVFEKFKSEAPNNKSNLILFLADIEPSTNLSWCPDCVRAEPVIYKKLESSSDDVALLRAYVGDRPTWRNPQHPWRVDSKFKLKGVPTLILWENGEVKGRLEDHEAHIERKIDALIATK >cds-PLY66142.1 pep primary_assembly:Lsat_Salinas_v7:7:32162887:32167310:-1 gene:gene-LSAT_7X24140 transcript:rna-gnl|WGS:NBSK|LSAT_7X24140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEETQTDTVQNESRNKSVSSSSSDGALKFKRMHSDRHLADSGGAQRVSQALWSTGNLYEPIPSGFYSILPEKRLKEKYDMIPSLEELHVLESEGFRLNVIVVDMHKDKKVSMLQQLALTLAKGLTSNPAAVIKKIGGLVCDFYKLPNVELNYAKTAWEEVYNVHNQGIQMLGQIKHGFCHPRAILFKVLADTVGLDCRVMVGLPKEAESERTDSHRHVSVIVELNSTELLVDIVRYPGHLVPFSTKAVYMSHVYVIGQGDSGENDSCDSPIEPNSPVHGAAENTDEGDPNAANATWGRNKNALAEQSTPSSSPEHYLFRGHGRSMLGGHKDSPKGYGHGMTVSRSAGASPTGSRRRRRRSSATMIPEIGDDIVRVVRAMNDTLKRNHPPRELVDEHGTSPDHQENASGSHNHRRQTSCPKAISLPSSPHKYRTRVLGSDKNGTEKLEGNFDMITAWNKLLESSSIGNEPWFPYHEWNIDFSELTVGSRVGIGFFGEVFRGTWNGIEVAIKVLLEQEVSAENIEDFCNEISILSRLRHPNVILFLGACTTPPHFSLITEYMDMGSLYYLIHVSGLKKRISWRRRLKMLCDICRGLMCMHRMKIVHRDLKSANCLVNKHWIVKICDFGLSRVLTTANMRDCSSAGTPEWMAPELIRNQPFTEKCDIFSLGVIIWELCTLQRPWEGVPSAQVVRAVGNDGTRLEIPEGPLGNLISDCWAEPDQRPNCEEILSRLMSCEMLI >cds-PLY83492.1 pep primary_assembly:Lsat_Salinas_v7:3:176941251:176941773:1 gene:gene-LSAT_3X104840 transcript:rna-gnl|WGS:NBSK|LSAT_3X104840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIRIQVRSEHTDTNKNPGSLRAQGPSVSCESKLNGYRIGAKPSQQVVKPQPFACETVRTKGFTNSILTFSTDYQEHLLKSSRLRSNSDFNPSQHHSSTSQ >cds-PLY90267.1 pep primary_assembly:Lsat_Salinas_v7:4:183914527:183918979:1 gene:gene-LSAT_4X107620 transcript:rna-gnl|WGS:NBSK|LSAT_4X107620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMLRFCNQIRNNAPHSLRFSRFINSLQFATVKAEEISGSQPAEVQSLVQGKWIQDGNWNTILDPLNGESFIKVSEVDESGIKPFVESLTKCPKHGLHNPFKSPERYLLYGDVSAKAGHLLSTPEVSDFFTKLVQRVSPKSYQQAYAEVYVTAKFLENFSSDQVRFLARSFGVPGNHLGQQSHGFRWPYGPVALITPFNFPLEIPVLQLMGALYMGNKPVLKVDSKVCIVMEQMLRLLHACGMPMEDVDFINSDGGTMNKLLLEANPRMTLFTGSSRVANKLAYDLNGRVKLEDAGFDWKILGPDVHEVDYVSWVCDQDAYACSGQKCSAQSLLFVHENWGKTSFMHQLSTLAGRRKLDDLTIGPVLTFTTKAMLDHKNNLLRIPGAKLLFGGEELENHSIPSIYGAIKPTAIFVPIEQILKKEHYELVTKEIFGPFQIITEYKDTQIPMVLEALEKMHAHLTAAVVSNDPLFIQDILGHTVNGTTYVGLRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREVIYDHGPVPNNWQIPPST >cds-PLY83329.1 pep primary_assembly:Lsat_Salinas_v7:1:63935334:63938354:-1 gene:gene-LSAT_1X53521 transcript:rna-gnl|WGS:NBSK|LSAT_1X53521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane protein TERC, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12130) UniProtKB/Swiss-Prot;Acc:F4JZG9] MKLASIIQNGMHHPLKFDLGVGLLRFTPVSTSTRYPKWVHLQITHFPNRSFSSGVCRNRWTRKLSIVRSKGVEQEEGSVSSERETSSSNPFDDTTKSKGHLIIDDVLEEKTKDVENYKTSVKTVALCVFSAVAFGVGLGLKDGVGKASEFFAGYLLEQSLSVDNLFVFVLIFKYFKVPLPYQNRVLSYGISGAVIFRLSLILLGTATLQRFEAVNLLLASILLYSSFKLFFTGEDDDEDLSENFIVKTCQKIIPVTSQYDGNRFFTVVNGAWKATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFSSNLFAILGLRSLYTLISESMAELEYLQPSIGIVLGFIGCKMILDFFGYHVSTEVSLGCVATTLSAGVLLSLLKKSA >cds-PLY75540.1 pep primary_assembly:Lsat_Salinas_v7:9:33144078:33144467:-1 gene:gene-LSAT_9X31321 transcript:rna-gnl|WGS:NBSK|LSAT_9X31321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDLDLHFYRDIISFVLGIVNPNVHIDALQHQTRSHGGEVKRLVEKKEKKGRVRAYQKQHIRWGFMGLLINRGEVERLTTGFSGGLSSSTVSLSH >cds-PLY73069.1 pep primary_assembly:Lsat_Salinas_v7:2:29392871:29399177:1 gene:gene-LSAT_2X12381 transcript:rna-gnl|WGS:NBSK|LSAT_2X12381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEEKLNSSGVNSGSHSLLEEMKLLKEMQDHSAIKKPINSELWHACAGPLVTLPQVGSLVYYFPQGHSEQVAVSTNRTATSQVPNYPNLPSQLLCQVLNATLHADKDTDEIYAQMSLRPVNSEKDVLPIPDFGIKPSRHPSEFFCKTLTPSDTSTHGGFSVPRRAAEKLFPQLDFSMQPPTQELIVRDLHDNTWTFRHIYRGQPKRHLLTTGWSMFVGAKRLKAGDAVLFIRDEKSQLLLGVRRANRQQTSLPSSVLSADSMHIGVLAAAAHAAANRSPFTIFYNPRACPSEFVIPLVRYRKSVFGTQLSVGMRFGMMFETEESGKRRYMGTIVGISDVDPLRWPGSKWHNLQVEWDEPGCGDKQSRVSPWDIEAPESLFIFPSLTSSLKRPFNSAFLGAQTEWDNMVTRPFMRAPETINGNFSNPSMSSLWSEQLVKMLMKPQTNHNTPPVIQDTFTTNRPQFHLIQPNTTTTITAASPPQSTQNLSGDHQSDQKPPITVTSDTIKPESKPMSPFLNQLSPFDSSVLHGQQFDSPQIDSSSLNGLFPYPDTNVLNPYQSLGSETWDPQPNNTSRSFFQQNTGPTNYGFKDLSDENQTHNNVYNCINFEGSNGGSTVVDPSVSSTVLDEFCNLKDIEFQNPSNYLVSNNNFSSSQDVQSQITSASLVDSQAYSMQELPDNSGGASSSNGEFDDSGGLLQNNSWQQVAVPTRVRTYTKIQKAGSVGRSIDVSSFKNYDELCCEIEKMFGLEGLLNDSRGSGWKLVYVDFENDVLLVGDDPWEEFVGCVRCIRILSPSEVQQMGEEGMQLLNSNAALQAGINGGSGSDNGARTWVGPT >cds-PLY78692.1 pep primary_assembly:Lsat_Salinas_v7:9:52054829:52056861:1 gene:gene-LSAT_0X34641 transcript:rna-gnl|WGS:NBSK|LSAT_0X34641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQFVRPLIVSPASKLPFRRCINSLFDSKVLTSSTGGLIHRRFLTGTSPSILIHRKNLHLSRSRGLRPADAPLPPELSQSDNESNSSDAERKSRNEKKRQARRSFRWGMELADLNDSQIKRILRVVSLEKEVFDALMLAKRLGRDVREGKRRQFSYIGRLLRDVEPELMEGLIKAMKDSDVQKFQELSGSDKLGIGDANEEEEEITDEEEEEESCDYHDIATRWFDGLVNKDIDITNEIYSLNSVDFDRQELRKLVRSFCSMQDRVNATSSSEDNKEGEKDVGLMKAKRHLTRFLVSLAKQLPTEENYML >cds-PLY67613.1 pep primary_assembly:Lsat_Salinas_v7:5:117790651:117791175:-1 gene:gene-LSAT_5X51720 transcript:rna-gnl|WGS:NBSK|LSAT_5X51720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTSDWRFKLQAASRRRMVNRIMVTFKRHIPDSGQEGLDELNKIAVRFEEKMYNAATSPVDYLQKISLKMESMERPRIPMPAAQAQGHWN >cds-PLY84418.1 pep primary_assembly:Lsat_Salinas_v7:4:289933807:289934139:-1 gene:gene-LSAT_4X149880 transcript:rna-gnl|WGS:NBSK|LSAT_4X149880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRFNQESVNGGGGGSSRGVVGPILEQERGSSATAGADGGVNTREVPVVVFGNNDSDGGDAVVERLKQGGATVAVPVVAVIVMILLMVMIVIVMVVLVLVFVMVKTEGKS >cds-PLY80787.1 pep primary_assembly:Lsat_Salinas_v7:1:70812456:70814797:1 gene:gene-LSAT_1X59360 transcript:rna-gnl|WGS:NBSK|LSAT_1X59360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGSHAVTPEVYWKSVLPNSPMPKAIKDLLYTAEEKNTNAGVGKRYVGVTHKTVILIYSVPMDELKVDPNEASFFLENDLHEGTEMKLHFVKDEQKSAFLPRHVADSIPFSSNKLPQIYDKFSIKSNSVEAKSMKQTLSVCESKGIEGEEKYCATSLESMVDFSTNKLGKKVKAMSTEVNAEGSTPLQKYTIEGSMKLASDKAVVCHKQNYMYAVFYCHKTVSVKAYAVSLVGVDGTKVKGVAVCHTDTAKWNPKHLAFQVLKVKPGSVPVCHFLPEDNVVWVPY >cds-PLY96827.1 pep primary_assembly:Lsat_Salinas_v7:2:171163944:171165383:-1 gene:gene-LSAT_2X94041 transcript:rna-gnl|WGS:NBSK|LSAT_2X94041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWEPQVYIPVIVVAIFTVCVAIAAFTIYMILRRKKTKLHHGSLCNKSVDLELQQLSLSIRTVSENKVSFESSSQKVVVVMESFTVEELTAATAGFCSSNLIKGSVYHGRLNGKNLAIKRTDHFTISKINFELLHDATHFHPNMIRLLGSCTGDEESSSVVAGGGFLVFEYAKNGSLKDWIHGGLAIKSHFIASCDCFLTWNQRLRICLDIATALQYMHQIMNPSYVHKDIKSRNIFLDEDFNAKIGNFGMEECVKNDHFPKEVESSSSSSWDRGYIAPELLGSSAIDPTPSTDIYAFGVVLLEILSGKPPVSSNKSESEEEGGVTVLLSEKIKFILRSSTIGSSEELNEFMDNMLGEKYSFDAAITLVNLATACVEDDPMLRPNAGEIVQKLSKLAAEFLPELGEEEEQIAISESSCKPLVIKNISD >cds-PLY76808.1 pep primary_assembly:Lsat_Salinas_v7:MU042712.1:615366:619718:1 gene:gene-LSAT_0X24940 transcript:rna-gnl|WGS:NBSK|LSAT_0X24940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLERIGFMLQVSIILRGANDFMLDEMDRALHDALCIVKRTLESNTVLAVNVAKDATDLVANLCAYHHNAQTKADKKYLDCQGVGIITRDGVAGLYRGFVPNALKALPKSRLSDDGSCRIWDAWHSQFIRSIRWLNKENKHKVDEYIYTRMMQETGGQQSMLMKGNCSSVRRGKESSS >cds-PLY92498.1 pep primary_assembly:Lsat_Salinas_v7:2:152620116:152620556:-1 gene:gene-LSAT_2X76781 transcript:rna-gnl|WGS:NBSK|LSAT_2X76781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSPTSLQIEYVVFPPSVKPPGATTTLFLVGASVRGMEIEGNFVKFMGIGVYLEDKAISSLAVKWNGKTAAELTDYVEFFRNIITGKLQLILCL >cds-PLY68343.1 pep primary_assembly:Lsat_Salinas_v7:8:298317633:298318494:1 gene:gene-LSAT_8X164520 transcript:rna-gnl|WGS:NBSK|LSAT_8X164520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIADLIPRPRRLNPTNVWPNSTVYPKLNPFQSEFNHFVEETSPEVIFCGVHCFLMEIFSRTDDGHESSTRKRQRKNLYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDTEARKIRGRKAKVNFPNEGDSYNCASLRNGSSVSFSNEAIGSGGKGFVPAMVKEIVTEKKAEVVQEVNEVEKLSEELMAYESYMKFYEMPYLEGEPAEMPANPEGGVGGGSLELWSFDDDVL >cds-PLY88909.1 pep primary_assembly:Lsat_Salinas_v7:4:64194505:64194879:1 gene:gene-LSAT_4X45000 transcript:rna-gnl|WGS:NBSK|LSAT_4X45000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLNPEPKLKLLQTKNFPKVVMLCPEFVSLDENLCVMYRELGGSYKVYKLDFEEMKWMSSENTLGECAFFINELKYSTVIKPELWVDHELQYMRYAYFHDTIDKSLKVGCQLWYFPCDCKNVNL >cds-PLY86606.1 pep primary_assembly:Lsat_Salinas_v7:1:132926928:132930750:1 gene:gene-LSAT_1X98241 transcript:rna-gnl|WGS:NBSK|LSAT_1X98241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAVTAVITVLCEKLISGDLMKVARSEEIDSQLNKWKKTLPLIQAVLGDASQKHITDRAVRLWVNDLQELAYDIDDVLDDLATEALRRKLNQEAHASTSTSTGKVLKFFPNCCTNFTPRNFMYGRKMSSKLDEITAKLRHLVDQKNDLGLNVNVERSNIREKLLEQTSLVDESKIMGREGDKEALMGKLLGNEGCDQNVSIVSIVGMGGIGKTTLAKLLYNEEKVKDHFELMAWVCVSEELDVFNISKAIFQAVTGKNEDFANLNLLHVAFKEKLSKKRFLLVLDDVWNEDHSKWELLQSPLLVGAPGSKVIVTTRSTKVALVMDSELSYGLDVLSSEDALSLFAQQALGEKNFDKHPTLKLLGEGIVKKCGRLPLALKALGRVLKTDRNSDEWEKLLKSEVWNIKDARGILPALKLSYYHLPPHLKLLFAYSSLFPKDYVFVKNKLVLLWMGEGFLSQSKSMESLGHQYFEELQSRSFFQHSTNDKLTYTMHDLINDLATSVAGEFFCRLDGEMNVSDRNENFEKFRHFSLVGPRFGSYGNFNELQRAKRLRTFLPLPGGWLDSGHVELLHKLQFLRVLRLTRITEVPQSIGSLKHLRYLNFSYSGIRCLPEQVGDLYNLQSLLLQNCFMLSSLPVSFAKLINLRHLDTSDTPKLNKMPLGIGGLTSLQTLPKVTVKEANGIKISDLKELSDLQGRLSIIGLEKVIDPIEAKDANLHQKKGLEVLEMEWSDNVFDDSRDETIEYEVLEELRPPPKLKILKIFNNKGTRFPSWVGDPTFDHLTELTLSGCRSTHIRVGHLKSLKKLVVESMNEVKTVGFELLAPTNSNLGIAFPSLEVLKFYDMQGWQRWSINSGNEHGTPSSFPRLHEISLISCPQLSQVSIGLIPSLRFLRIEECSEAVLRNMVGLSSSLVELKMVNVIGFTQLHGEELMHLGEVKHLFIYRCDELRYLWERESEACKSLVSLQILEVRDCKKLVSSAENNVNFGVKLGSLKQVLFINCGKLENYNCPNSVENLVIRDCDSLTSLTFSAVHEHLFGLTSLDIRNCKNLKSFPHEYFQSLTSLEELEIHDCPSIDYSFPCGLWPPNLRKLTIGCLKKPMSEWGLQNFPATLLELYLHGKNSEVVSFAVAEDVKNTITTPSSSSSFLLPPSLVSLTLWKFTDVESFSEVLQHLPCLKRLDILSCPKITDLNTTFDQSNLTIRVV >cds-PLY79834.1 pep primary_assembly:Lsat_Salinas_v7:8:15646960:15649246:1 gene:gene-LSAT_8X13381 transcript:rna-gnl|WGS:NBSK|LSAT_8X13381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTENSEVQDDEESNGSKLKTHVPLDIKTFNIPHTNEDPQLNDIHTSPSNKRDNSSPLNLQQIVSERDRLSHIIRRPNKISHGFQQILSRKLDREYLQNMAKEWIKSPINIVLLIWIICVTISGAILFLVMTGMLNHLLPKKHQRETWFEVNNQILNALFTLICLYHHPRRIHHFILLLRWKTQDVSKLRKLYSKNGTYKPHERAHMAIVIFLLNLNCFAQYALCGINVGYTRSERPAIGVAITITVAIAAPAFAGVYTIVSPLGKDYDTCPDEESQIETRFSFSETNPKWSGGVLDIWNDISVSHLSLFCGFCVFGWNMERLGFGNMYVHTATFLLFCLAPFLIFNMAAINVKSEVVREVLGGTGVFLCVFGLLYGGFWRIQMRKRYNLPASKCKLWCCGKGDDVSDCVSWLFCCWCSLAQEVRTANLYEIVEDNGKSSNRRVAAVEDAILEPPLRCFVNREEGD >cds-PLY88601.1 pep primary_assembly:Lsat_Salinas_v7:5:126926177:126926990:-1 gene:gene-LSAT_5X53800 transcript:rna-gnl|WGS:NBSK|LSAT_5X53800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTILNGFSSLTQITNSNASENYQDQLYLTCERWFMCSKIIRPLIVSGFPSDEKSLQEVQPVKEVCPLLLKAIQSLLPYYSSFGEHHLKLFEFIKRAWKKVMKILTAIQTRHPYSFGDQNVLPLVMDFCLNKITDSKPEIMSFDQFLIQFMSMAKIVLECKEYKPIMTGCVVNEKVITLERRKKNISGAVAGVLLSLLPNERVVLLCNVLIRR >cds-PLY74936.1 pep primary_assembly:Lsat_Salinas_v7:9:14386045:14388029:1 gene:gene-LSAT_9X12941 transcript:rna-gnl|WGS:NBSK|LSAT_9X12941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGFEGMRREVEDVVELMQHIQSRLPITEAARTSVLSKSWLHAWHTIPILRFCVRSEQNGNGMKLVDVDHTLIRYLRDNIPIERFELLIDIENQESASHAEKWIGPVVTTKASCLKELSLSLFLYGAPFTLPDEILSSGENLSKIDVSSPLRHHSVIWMTTTTPTPVINCVSLRELQLDGVCIGEEALHDILSSCSRLEKIVLIHSCKGFKTIKVKNLPCLYELTISSSSQDVHNTALEISHVPNLGVFTCDLPFLFKAPAPHSISLGSSVTELTLGGYGMVTGNACLKMIESGFPFLESLTLDDMRSWKSESFHFTCASIKNLTLRDCQSMLTDIQVHAPKLNFFWFGGAALPTLLFPVSSTLFKQIFSLSLSLPVDVYFFLKMREALALSCKCDIYIITYNYTTTMLPFDDIDMDDLRTRLLLFPPAMNVQHLWFGTVDDECLWERSLFFDAFFEICHPKYVYAKPDSYFRQNNHFCRLMLREVLEKNTTPYWPHYLEHVRIRRDRYQKWETLTNSHTSLLASSVYMIFNLKWR >cds-PLY78919.1 pep primary_assembly:Lsat_Salinas_v7:8:2725474:2725827:1 gene:gene-LSAT_8X600 transcript:rna-gnl|WGS:NBSK|LSAT_8X600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVPGTTVVPPLTDELDIVIPTIRNLDFLEQRRSFFQPYDLIIIQDGDTTKKFHVPEGFDYELYNRNDINRILGPKASCSSFKDSAFCYFGFLVYKKKYIFTIDDDCFVRSDSLLSI >cds-PLY68978.1 pep primary_assembly:Lsat_Salinas_v7:9:138610814:138611068:1 gene:gene-LSAT_9X89681 transcript:rna-gnl|WGS:NBSK|LSAT_9X89681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGLDASEWGSGVANVSQRLDELSKGDRAWPVCPNGFGYGGTSLSNVSQGLDVLSKGDQAYPMSPSRNMSVTDSFFDMYVWLCV >cds-PLY90897.1 pep primary_assembly:Lsat_Salinas_v7:1:57478343:57478897:1 gene:gene-LSAT_1X48021 transcript:rna-gnl|WGS:NBSK|LSAT_1X48021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHQDSSFLKACMVVGFQLGYTVIFGLYASFLFVRTGHIAAPLVSHVFCNFMGLPAFFSPRTRMVSVGFVAGVVGFVYLLFPLTSPELYNHKIV >cds-PLY90565.1 pep primary_assembly:Lsat_Salinas_v7:6:49922988:49923689:1 gene:gene-LSAT_6X36240 transcript:rna-gnl|WGS:NBSK|LSAT_6X36240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSVSKSVTVIFSVLLLSFFLTVESQKFSRNLSQRSLGLRKQKLSHFRFYFHDIVSGPNATAIRIVEATRTNRTAATGFGDISMIDNPLTIGPERNSRLVGRAQGMYTSASINEMGLLMVMNYVFVEGKYNGSTLSIVGRNPVMSPVREMPVIGGSGLFRFARGYAEASTHTFNMSNGDAVVEFNVYVFHY >cds-PLY83162.1 pep primary_assembly:Lsat_Salinas_v7:3:95251670:95252708:1 gene:gene-LSAT_3X71181 transcript:rna-gnl|WGS:NBSK|LSAT_3X71181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNTLTLEKNTIKKGAWSKEEDDKLRAYIQRYGHWNWRLLPKFAGLSRSGKSCRLRWMNYLRPNIKHGNFTKEDDEIIVRLHKNIGNKWTVIAAHMPGRSDNDVKNRWNSHLKKRVQDYQTHVLKNINRHETTKPDEAASCSSGTNSSSDDHIPSDVTPQNYDYELSGDFWTDPFLLDIYTSSVENTTPWSLVHNFGSQSSWDDMTISEDLSWSALSSYFEYNNY >cds-PLY92385.1 pep primary_assembly:Lsat_Salinas_v7:3:125516823:125521295:-1 gene:gene-LSAT_3X86200 transcript:rna-gnl|WGS:NBSK|LSAT_3X86200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP65 [Source:Projected from Arabidopsis thaliana (AT5G67530) UniProtKB/Swiss-Prot;Acc:Q9FJX0] MGKKQHSKDRMYLTKTEWATEWGGAKSKELRTPFKRLPFYCCALTFTPFEDAVCTEDGSVFDIMHIVPYIRKYGKHPVSGIPLKQEDLIPLTFHKNSEGEYHCPVLNKVFTEFTHIVAIKTTGNVFSYEAIKELNLKTKNWKELLTDEPFTRQDIITIQNPNTLDNKALVDFDHVKKSLKLDDEEIKKMESDPSYNINVRGDIKQMLKELKTEKGRELALHGGGGDKAQNERAAALTAILAARERIKNNEVKKSQPGFSVVDAASASVHGRSAAAAKDSSSDKTAARIAMHMSAPRACENFITLCERGYYNGVAFHRNIRNFMIQGGDPTGSGKGGESIWGKAFNDEVNSKLLHSGRGVVSMANSGAHTNGSQFFILYKSANHLNFKHTVFGGVVGGLTTLSVMEKVPVDDDDRPLEDIKIISVSVFVNPYSEPDEEEEEEKKKEEEKTVDDEENEKIGSWYSNPGTGVEVEGAGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWCGKEEEIGCVKARF >cds-PLY82333.1 pep primary_assembly:Lsat_Salinas_v7:1:66275490:66278426:1 gene:gene-LSAT_1X56760 transcript:rna-gnl|WGS:NBSK|LSAT_1X56760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CPK20 [Source:Projected from Arabidopsis thaliana (AT2G38910) UniProtKB/TrEMBL;Acc:A0A178VWI7] MGNNCVGQQRTGFLSSVTAAVWKNRPPENTLPPPNANDSSTQNKNNTNNNNANDKKPDDPSHKESEKSRDVQSTPPATVKISEQDAAKSAEKAKVTEEKAKPKQPASNFKRVQSMGLKDSVLQRRTGNMKEIYSMGKKLGQGQFGTTFLCTEKETGKEFACKSIAKRKLTTKEDVEDVRREIQIMHHLAGHPNVISIVGAYEDAVAVHVVMELCAGGELFDRIIQRGHYTEKKAAELARIIVGVVESCHSLGVMHRDLKPENFLFQNEQEEAPLKTIDFGLSMFFKPGEMFTDMVGSPYYVAPEVLRKFYSQECDIWSAGVIIYILLSGVPPFWDETEQGIFEQVLKGDLDFASEPWPSISESAKDLVRRMLVRDPKRRLTAPEVLRHPWVQANGVAPDKPLDSAVISRLKQFSAMNKIKKIAIRVIAENLSEEEIAGLKEMFKMIDTDGSGQITMEELKKGLEKVGADLKDSEIVHLMEAADIDNSGTIDYGEFVAAMLHINKVHKEDHMYAAFSYFDKDGSGYITADELHEACEKFGLGDIHLDEVMRDIDKDNDGRIDYSEFVAMMKEGEFGKHVKSIRLSELSHGFLCR >cds-PLY90457.1 pep primary_assembly:Lsat_Salinas_v7:9:91866137:91867856:-1 gene:gene-LSAT_9X70380 transcript:rna-gnl|WGS:NBSK|LSAT_9X70380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFGTIPEVVRNLHRIHDLLAIYLNRSGGSFMLKGPWFGNMDMLFTTDPLNIHHVLSKNFPNYPKGQRFHEIFDILGDGIFNVEGHLWEIQRKTILSHFMKPNFQSVFETIVWNKVETGLLPVLDAVSKNGNDMDLQEIFQRFTFDTICKLLLDYDPQSLSHDFPCLPCQKGFIDTEESLLYRHFTPTILWKLQQLLNMGNEKKLSKACNDVDRFVCKCFARIQNESNKMETDQHIKGNFGLVTSMIRDLKGQGGYTGDPNKFLKDTIVSLIGAGKDTTSATLSWFFYLVGKNPIVEDKIREEIRTFLEVKVGGNKRWDSKVIGKLVYLHGALCEALRLYPPVPFNHKSALQPDILPSGHQVNQNTKIILYYYGMGRMNKIWGGDCMEFKPERWILKEGGIKHEPSHKLPAFYGGPRTCLGKDMSLTQIKMVASSIIYYYHIELMESHPVLPSSSIILQMKHGLQVKLTKRSEVNV >cds-PLY62929.1 pep primary_assembly:Lsat_Salinas_v7:8:304999237:305000696:-1 gene:gene-LSAT_8X165560 transcript:rna-gnl|WGS:NBSK|LSAT_8X165560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDILKKYGEVVKIELARNLASARRKHYGFITFGTYDSTLTCAKSINNEELGDNENKASTPRTWDTNCLLKGCA >cds-PLY90308.1 pep primary_assembly:Lsat_Salinas_v7:2:199558009:199561202:-1 gene:gene-LSAT_2X120901 transcript:rna-gnl|WGS:NBSK|LSAT_2X120901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFSCLVISLITSTISFSSNETDYQALLKFKSMIRNEEALSSWNASSHFCDWSGVSCGKRHRRVIAVVLKSWGLQGVLSPYVGNLSFLRLFSLWNNSFQGTIPHQLGHLSRLRFLQLSYNNFTGVIPTNLSGCSKLEKLGLKNNKLVGSIPEEISFLSKLNFISVSYNQLTGGIPPALGNITSMEVFDVTNNPLGGSIPDTLGNWKFLTEFYSGACNLSGTIPPSIFNLSLLTNFSLAENQLTGSLPSALGEMLPNLVRLQLRNNQLTGPLPTSISNCSKLVDIQLSYNNFSGKLNINFEKLKNIYRIHLGDNTFGVGSESDDMQFINTLKNCSRLVSLDLHNCKFQGALPTSIGNLSDQFRRLVLRGNHLYGNLPSSIGNLVGLTQLDLADNHFNGEIPSTIGKLYKLQEAYLFENQFSGPIPDAIGNLSLLNRLALDSNRLEWHIPSNLGNCHNLLELWLNDNTLSGTIPKELLQISSLTVMLNLSQNSLSGSLPTEVGELKMLTSLDLSNNNFSGNLPSSLGSCTSLSFLSLKGNFFMGMVPSSLSSMKGVKTLDLSHNKLSGSVPRFLEGFSFEYVNLSFNDFEGEVPVRGVFADASTFSVLGNSRLCGGLAELGLPMCKETWKNKKRLPLFVIVIIIASTLLSILFFTYAWCKKRKGQPSQSSRDERFMRISYGQLLKATDGFSEANLIGEGGFGFVYKGILDHDHNRIVAVKVLRLQNRGAHKSFIAECDAWRSIRHRNLLKIITSCSSVDFQGNDFKALVYEFMPNASLNDWLHSRESTSKLNLLQRTNILIDVASALDYLHNQCLPPIVHCDLKPSNILLDDDMVAHVGDFGLVRFLGTNSNQNNTSGIRGTIGYAPPEYGVASEMTSSGDVYSFGILLLEVMTGKRPTDNIFREGLSLHKFAQMALPNHVTDVIDDNLLNFLQEDAIATQHTIAKAKQIEECLALTVKIGVSCSVDSSRHRMNIQNAVRELQHILDTLRKIFEVSY >cds-PLY81796.1 pep primary_assembly:Lsat_Salinas_v7:3:33620545:33624680:1 gene:gene-LSAT_3X24941 transcript:rna-gnl|WGS:NBSK|LSAT_3X24941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:KU70 [Source:Projected from Arabidopsis thaliana (AT1G16970) UniProtKB/TrEMBL;Acc:A0A178WAS1] MDVDPDDVFRDEEDDPENDLYQERQSSKELVVFLVDASPKMFSITCPGEDEENTSHFHVAVSSVAQSIKVQIINRPYDEIAICFFNTKEKKNLQDLNGVYVFNVPEREQLDNLTARFIKEFDRVEESFSKVIGSEFGIVPGSRDNSLYNALWVAQALLRKGSAKTADKRILLLTNEDDPFGNIKGVTKLDMARTTLQRAKDAQDLGISIELLPLSSPNEEFNVSTFYADLIGLEGDELVQFMPLAGERFEDLNKQLRKRMFKKRRVRRIIFTVAGGLSIELNTYALIRPTVPGTITWLDSVTNLPLKAERSFICADTGSIIQEPPKRFQTYKNEDIKFTIEELSEIKKVSTGSLRLLGFKPLSCLKDYHNLKPSTFVFPSDEEVIGSTCIFIALHRSMIRLKRFAVAFFGSSSRPQLVALVAQLHSDTSGMMPLANDEQIEKATALVKRVDLRDFSVCQFSNPALQSHYAVVQALALDEDEMPEIHDETLPDEEGMSRPGIAKAMEEFKICVYGENYDEEEEKAGNAKGAKKRKTEEAAVSKAAYYDWSKLADDGKLKDLTVQELKSYLTANKLTVAGKKEVLITRILTHLGK >cds-PLY97330.1 pep primary_assembly:Lsat_Salinas_v7:4:286705673:286706266:-1 gene:gene-LSAT_4X146101 transcript:rna-gnl|WGS:NBSK|LSAT_4X146101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKKIKKKQKNLEESLDKVMVETDFEDEGTLSPIQTEYMGFASPHRNSPVKSNIEVTGSPGVNVKTSNVDTTTNLGDPSNSSIPEHTHIIPPEVSIDKSVFEEVRTSGIPVNIYHMDINFNMSDAMSTHATQGISSVSTSLIPVSLITSSTFKTTIIDTSTLLPPFITPIPTSLPASTISPTFSNIMDQPITSLFPS >cds-PLY88156.1 pep primary_assembly:Lsat_Salinas_v7:5:221385905:221387116:-1 gene:gene-LSAT_5X101720 transcript:rna-gnl|WGS:NBSK|LSAT_5X101720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKESHISDDKHVIIPNHLHVPEAEKLDFCFGSFDAIFGFNKTPSNSNGPVAVTMSEKTSEASEEADETIEDQMQSRNENADGEEDHLEQPTTSSSNVPKNLPTEGDMSLNAGPECRESKQETSSSSSSSSSSSLPTPSHQYPAVHTSPNPNFSFGFMPPMIGSQVTSFENTKSQARDASHVPSFVQAMDRMLQQPLLVITLLRLLPIPWNLLGHNYIGSEHLLPCLLREGEGVAARVLENLGADPNNIRTQAKDVGAGVGGGSSGNQMPTLALETRRLISTTTSFRFHQRMLPVLLPHPIRHQPP >cds-PLY79851.1 pep primary_assembly:Lsat_Salinas_v7:8:15058327:15060157:1 gene:gene-LSAT_8X11160 transcript:rna-gnl|WGS:NBSK|LSAT_8X11160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALLCRKLGDPTTQPDSSENTALTVSTSHPIPKLTSPTSIRVRIKSTSLNYANYLQVLGKYQEKFALPFVPGSDYSGVVESIGPKVTKFKVGDPVCSFAGVGSFAQFIVAEEKDLFGVPDGCDLVAAGALPVAYGTSHVALVHRANLKSGQVLLVLGAAGGVGLAAVQIGKVCGAIVIAVARGTEKVEFLKSMGVDHVVDLSKGGVIESVKSFLKTKKLKGVDVVYDPVGGKLMKESMKLLNWGAQILVIGFASGEVPVIPANIALVKNWTIHGLYWGSYKVHHPSVLEDSLKELLSWLARGLITIYISNSYKLQEANLAFSDIKDRKVIGKVMITFDDPKSITSKL >cds-PLY67817.1 pep primary_assembly:Lsat_Salinas_v7:9:7368636:7369145:-1 gene:gene-LSAT_9X280 transcript:rna-gnl|WGS:NBSK|LSAT_9X280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFLDCALIPPIASSYSFSSSLNRSSKKSTAHDTKTCDYGFPARILTSKTPKNPRRHFMVCNEGKCKYWKWLDVEPVEMPLMEVVKGMKVELVALKTEVEKVKEDMEQMKKEKYSDAIPMKEKIYKFTIGFLFLIIVYMMK >cds-PLY72473.1 pep primary_assembly:Lsat_Salinas_v7:2:142678565:142681440:1 gene:gene-LSAT_2X70360 transcript:rna-gnl|WGS:NBSK|LSAT_2X70360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEKVYEELDKAKAEIEKLRSEYHVKSNLCNSLKRSHDDQIKRIQELNLKLEQQSQEVEAKTDEIHAANQSLKELQSKLKDKENIIKSFTSTNEKLRIDFNSKLQETEEEKINLLSSLDEANMKISDLEQKNRDFMDKIEVLKEGIVSVSQKKCASESKVAKASKQMRERGDMFEKLEEEKVKLEEQIKWKNEQFKHLEEAHEKLRDKLRIKEKEWDMEKSSFFDEISTLETKLDSQITLSEDLKRRLEASNQDLAHEKNQRKNQIQEASNSSSIAKLQKKLKTLEQIHSKCSGQIEVKEAEWRSQMEKVVSDLNSCRKEIEKKDSHLMKITKELDDYNSLMFKSTMEKEESEVMIVALKSTLLEAKSKIHDLVKFQESMKEQDAEMESLKKEMKKVCDLLDMANEEVAEKCCEVNEVEFELQIWKSIAENLKVNLEVNHQMRREVEASLLSQVAIEVNLKEENEEKGKRINDLEQQLEESKKKMEMDTLLRFSKEKQSLDKIVEEKDERIKNLQKIMESLEEEFNDSSVCFSSQLSKMQSEVNVFHEAWEKIRTSVVMKEIEVELRDLMIVEMEKDLEKIFLEKEKLMEIIGGVSERINKLSREDGQMMGTLRSIVRSFDDEKDRFDPVKENTNVFQSPKRRTMVESGHDLRSPLRALNS >cds-PLY77153.1 pep primary_assembly:Lsat_Salinas_v7:7:183462312:183464473:-1 gene:gene-LSAT_7X109300 transcript:rna-gnl|WGS:NBSK|LSAT_7X109300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRFGFRSSFAFSCVLSKQSMGQVVSALQQPDSFACFGNYGGKHVPQTKADLGPWNPKKKELNGILRSYVWSERPLLFPKRLTEYYQGPNGEGPEIYLKREDINPGNLHKINNVVAQALLANRLGKKRIIAEMGDGDGEHGVATTTVCAQYGMQCVIYIAAQDMERQAIDLRRMKSNGAEVLC >cds-PLY86402.1 pep primary_assembly:Lsat_Salinas_v7:2:148160202:148165902:-1 gene:gene-LSAT_2X73381 transcript:rna-gnl|WGS:NBSK|LSAT_2X73381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDYQSDQKFSVSTTSVTGVDIVASTKTTASFKLNNFKSSKAIASLELLDFRSGKLDVHYFHHHAIAFNHSPTINISATIGTPIFAMGAKVGYENETSSSKFTNFTVGISVNLPDSTASIVLGDKGDTIRASCIHHFDQFKKTAVAREFSRRAMYGSGGLSDAYEIGSKRPRIMESNPYFAVSSSTAYNHHHHHPYNYTTTTAFHPSSFPVVRLRGLPFNCTDTDIFKFFSGLEILDVLLVNKSGRFSGEAFVVFSRPMQAEMALQKDRQNMGRRYVEVFKCKKQDYYNAVASEVRYEGGGGGGGGGGGGGYGYDDYYGHGSSPPPPARSSKRFLQDKMKDEMEYTEILKLRGLPFSVKKSEIFEFFKDFKVVEGKVFIACRPDGKATGEAYVEFETIEEAKEAVMVKDKKMIGSRYVELFPSTHDEARRAESRSRQ >cds-PLY95213.1 pep primary_assembly:Lsat_Salinas_v7:1:212375216:212375527:-1 gene:gene-LSAT_1X129441 transcript:rna-gnl|WGS:NBSK|LSAT_1X129441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGFGKGGAKRHRKLLGDNIEGITKPAIRRLARRGRVKRISGLIYEETRGVLKIFLENVIRNAVTYTEHARQKTVTAMDVVYALKRQGRTLYRFGG >cds-PLY62169.1 pep primary_assembly:Lsat_Salinas_v7:2:152941118:152942224:-1 gene:gene-LSAT_2X77660 transcript:rna-gnl|WGS:NBSK|LSAT_2X77660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQRTVLKVLLSCEKCKKKLLKSVSSLQGVDKIEIDGAKGTLTVTGDADPYEIILQARKAIKWVEVVTIGPPPPKQDDEKKPPEKNPDQNFHICSFNNTCVVCQPWAVVHMPPEPCTTCTIM >cds-PLY73590.1 pep primary_assembly:Lsat_Salinas_v7:6:128228847:128231081:1 gene:gene-LSAT_6X76180 transcript:rna-gnl|WGS:NBSK|LSAT_6X76180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAISFGTPFTPLTYPPWRSTTSDRNFHLPSLTLPVPFRSQFRFLHKQRNHRSLFLGQSTMSGDILQPMELTTESDFEQIVSPDGTLSICGFGSLLSERSARSTFPDLINFRVAKLNGFRRVFAHVAPIFFERGIAKPETKEISSLSVEPCEGESLIVTVFEIKKSEIPSFIEREHEFRFLAVLPVTLEGSQYTTPAVLCARYSDEEYLQNRCKGSQEVYHERYGRFGIEKIWRDDVLPCRVYCRHCVLAAKNLGNEAYENFLDHTYLADRITTLGKYLVTTGCGIMEEEPPEVLKVRYGG >cds-PLY89018.1 pep primary_assembly:Lsat_Salinas_v7:3:72976003:72976401:1 gene:gene-LSAT_3X61961 transcript:rna-gnl|WGS:NBSK|LSAT_3X61961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPTKTCSISSTATVSITDISVYVFYYPFRHNYSNNLLPTRWILYLWSTFISCASDKSRDRVGVTRSGSKLVVSVCKQNKSFSCVGMQTKL >cds-PLY75335.1 pep primary_assembly:Lsat_Salinas_v7:5:52943472:52949176:1 gene:gene-LSAT_5X25781 transcript:rna-gnl|WGS:NBSK|LSAT_5X25781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGCSWCDDDAKKGDIGPPIHPSDFLHLGLWRDVSKYSEVPVSDDDGTHACYWVSSSQSTTREPTIPNEVSSEETNGENNNSVSCGPLTSAQRIIASSGFNEVSPDPFRREAKHFTEIHVLNRDLPALEESPQYKQSPSNIEKAHTQISLQQTDQKSNLEKLRIIIKPKPCVGVGPLCNAQHVSVMA >cds-PLY63192.1 pep primary_assembly:Lsat_Salinas_v7:6:86235500:86236832:1 gene:gene-LSAT_6X59760 transcript:rna-gnl|WGS:NBSK|LSAT_6X59760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSVPFSSLSSHRSIHCHLTPTSGLNPRIKSATSDSSSTIIIDDPTSASASEEESLAKIGAGVRVKVSLMSLFRFRCNLKLDVSNKDNRKASNKTVALILGKLHSKLILVMVSLLLVTQAREPFDSNRRMRLRPIQENGGHNDEFCNSFY >cds-PLY66002.1 pep primary_assembly:Lsat_Salinas_v7:4:135761844:135762074:1 gene:gene-LSAT_4X84741 transcript:rna-gnl|WGS:NBSK|LSAT_4X84741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNRQLPRFLLHRRLLPRCRGHKPPPPLYGGGCRRKALLLPFPTDDGAAGLPPFSFRMSSLHWCPTAPSAANYCCP >cds-PLY93626.1 pep primary_assembly:Lsat_Salinas_v7:8:114510507:114510899:1 gene:gene-LSAT_8X74080 transcript:rna-gnl|WGS:NBSK|LSAT_8X74080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSRSSFNDGTRMRNKKVIRCDCGDICGESISRTPDNLERKFWGCPNYQVEGGNCGFFKWADEELDQNMEMCHTEEIKPLLELIIGLLVVI >cds-PLY91020.1 pep primary_assembly:Lsat_Salinas_v7:7:179048896:179054839:1 gene:gene-LSAT_7X105721 transcript:rna-gnl|WGS:NBSK|LSAT_7X105721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANFLSQFQSIKNSCDRLIIAIDDVSDLWPLVKEGFEKRLPFKRATLNNKTRNPVTVDNLPAEFILASDQRVRSRFLPEQPLFWFKEPYATVVLVTCEDLDEFKTILKPRLKLTLQNDEKQWFIVFVSKAAPNNDQAYKMAQKVYGRLEVDFNSRKRERCCKLDLHGPETTTFWEDLQLKIMECIRNSLDRRIQFYEDEIRKLSEQRFMPIWSFCNFFILKESLAFMFQMAHLNEESLREYDELELCYLETVNNAGKQREFGGIDHGDDQAAILNPNNKQLSQIVEDDSFREFEFRQYLFSCQAKLLFKLKRPFEVASRGFSFIVSFSEALALRESGLPFCMREVWVLTACLGLVNATADHYKDGIVAPEIEKEFYRVKGELYTLCRVKFMRLAYLLGYGSDIERSPVNSASLSMLPWPKPAVWPSVPSDAASEVLVKEKMMQEATPRIKHFGIQKKPLPLEPSFLLHEANRQKSSRSTGNMDDDSNITFSFIVIRFVNILHDASSDSEAQSSQQRKLAEVFVASQHALRKTISDPGLWKSLSSLQEFEKKYLELSKGAADNYHHSWWKRHGVVLDGEIASVCFKNGNHDLAAKSYEKVCALYSGEGWHDLLADVLPNLAECQKILNDRAGYLSSCVRLLSLDKGLFLTNERQAFQSEVVRLAHSEMEDPVPLDVSSLITFSGNSGPPMELCDGDPGSLSVTLWCDFPDDITLESLSLTLTATNNADECVKAIRSGDVTILKPGRNTITLSLPPQKPGSYVLGVLTGQIGHLRFRSHGFSRGGPADSDDLMSYDKPTRPILKVFKPRSLVDLSAKVSSALLMNEAQWVGIIVKPFKYSLKGAVLHINTGPGLKIEESHDIEMETHFTSDDDNNNNNNINNNNNDDDSVVPKEFSKLTLKNGRIELPDWASNIVGVLWIPVRAINEGLPKGTSAGVVLSQRANVVDGLRTLALKLEFGVSRNQIFEKTVAVHFTDPFHVSTRVADKGNDGALLLQVILQSQVKASLTIHDAWLDLQDGSVHDGKPTSALFPLIVPPASRAGILFDEKKALHPDTILNIKYKISGDRNHGSHTPVSLETKAHDNDVLTFRSSLELQRPVLEPSLAVGFLPLPSNGLRVGQLFTMKWRIERLKFFKDETASGNNDEVVYEVKANLKNWMIAGRKRGHAPLSTKQGSRIEISILCVPLGAGYVRPPQLELPNVNRANIICNPAGPHLVCVLPPPLSSSFCIPA >cds-PLY98554.1 pep primary_assembly:Lsat_Salinas_v7:1:39190238:39193402:-1 gene:gene-LSAT_1X33661 transcript:rna-gnl|WGS:NBSK|LSAT_1X33661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKVAVPLVCHGHSRPVVDLFYSPITPDGFFLISASKDSTPMLRNGETGDWIGTFEGHKGAVWSCCLDTNALRAASASADFTAKIWDALTGDELHSFDHKHIVRACSFSEDTHLLLTGGFEKILRIFDLIRPDAPPREVEKSSPGSVRTVAWLHSDQTILSSCSDSGGVRLWDVRSGKIVHTLETKSSVTSAEVSKDGRYITTADGSSVKFWDANHFGLVKSYDMPCTVESASLEPKFGNKFIAGGEDMWIRLFDFNTGEEIAICKGHHGPVHSVRFSPGGESYASGSEDGTVRIWQTQPPTPTAALNGPNKHSSPSPLEVVASKLEDLHVANKD >cds-PLY90492.1 pep primary_assembly:Lsat_Salinas_v7:1:205308274:205310698:-1 gene:gene-LSAT_1X126340 transcript:rna-gnl|WGS:NBSK|LSAT_1X126340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGFEIGDVPFNPDGWGPMESTDSSVPILPNHSVNVPFAPFSRSDKLGRIADWTRSNYNNPNRQNNRNNNPADSAFDFTADDSFGGGLNADDDSTFRLVDGKPPPRPKFGPKWRFQNNRNQLPQRRDEEVEARKREAEKQRARRDRLYHANRSGGNNPRREAAVFKSSVDIQPEWNMLDQIPFSTFTKLSYNVPEPEDLLVCGSVESYDKTYDRTTPKNERRLERFKNRNFFKVTTTDDPIIRRLANEDKATVFATDSILSTLMCAPRSVYSWDIVVQRVGNKLFFDKRDGSQLDLLSVNETSQEPLPEAKDDINSAHSLSVEAAYINQNFSQQVLVRDGNKLTFEEPNPFASEGEEVASVGYRYRRWKLDNDTNLVVRCEVQSYIDVNNQKSFLTLNALNEFDPKYSGVDWRRKLDTQRGAVLATELKNNANKIAKWTAQAILASADMMKLGYVTRVHPRDHFNHVILAVVGYKPKEFAGQINLNTSNMWGIVKSIVDLCMKLGEGKYVLVKDPQKPQVRIYEVPADAFENDYVEEPLPEDEQVQPPVENGDSVVENGVGDEVESKEVKAEA >cds-PLY85824.1 pep primary_assembly:Lsat_Salinas_v7:8:173892477:173894705:1 gene:gene-LSAT_8X114261 transcript:rna-gnl|WGS:NBSK|LSAT_8X114261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVPQEVPSPTQDSETLRKAFKGWGTDEKAVIQVLGHRNATQRKIIRDTYQKLYNQSLIDSLDSELSGDFGRAVILWTYDPAERDARLVNKALKSKNKSLDKLKVVIEISCASSPHHLLAVRKCYCSLFECSIEEDIIVNAPPSVRKILVGLVSSFRFDGAVVDLDVADDEASKLQEAVKLKQLDQDIVMWILSTRNVFQLKATFESYHKKYGILLHEDIKDYSDDLLVSLVTIVIKCIISPERHFVEVIKAATDGWGTDEDALTRVIISRAEIDLIKVKKAYFDIHKTSLDKLVKDETSGDYGAFLIALLGQ >cds-PLY74550.1 pep primary_assembly:Lsat_Salinas_v7:7:35632063:35632236:1 gene:gene-LSAT_7X26220 transcript:rna-gnl|WGS:NBSK|LSAT_7X26220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGPLKHPSLVTGEMTPTCHCSFMRERDTTPSGLMRTNKRKFLMFSLVAQYTCFC >cds-PLY96593.1 pep primary_assembly:Lsat_Salinas_v7:4:6335241:6336115:1 gene:gene-LSAT_4X4161 transcript:rna-gnl|WGS:NBSK|LSAT_4X4161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANEDLKVEVEQVQVTSPSSGGLARQGSMTKNNCLCSPTTHAGSFRCRLHRTPSGIQRTKSINYDAKAIGHNENGDMKTVIAP >cds-PLY76763.1 pep primary_assembly:Lsat_Salinas_v7:7:99128685:99134081:1 gene:gene-LSAT_7X67261 transcript:rna-gnl|WGS:NBSK|LSAT_7X67261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNPVKGSSNKPSASSSASHHRKSNRESGPNNTASAPSKPSPSKPPSNSKPSPSSRHKPSPKLNPNPIPSPHPRPENFAPPPLPPPPPAYGFHMLDRRTIIIADGSARSYFALPPDYQDFPPPLPRPPMRPHGPEPWLGFDRQFPPGRPIPGGDDQYRQQNQDYWNSLGPEGSRKRKFGDERDGREVGEVNDEFARQRQQLLQYGNMISSSNGSHMAGPSNRVQLDETRAPKYMRPEGEYRNLQGRHNEIDPAKFKSAFMNFIRLINENSNQKKKYLADGKQGSVQCLACGRSSKEFPDMHSLIMHTYNQEKEVAEHLGLHKALCILMSWNHMMPPDNSRSYQRLSGQQAGADRDDLIMWPPHVIIQNTITGKGRDGRMEGLGNKAMDMKLRDLGFTSGKSKAMFGREGHLGITVVKFGGDHSGLKDAMRLADFFERQKHGRGSWASLRSGSGSGRDEDKDPNFVKFDNKTGEKERVLYGYLGTVFDLDSLDFDTKKKVTIESKREKAQQPHPNPNPTT >cds-PLY98905.1 pep primary_assembly:Lsat_Salinas_v7:7:52026684:52029159:1 gene:gene-LSAT_7X37880 transcript:rna-gnl|WGS:NBSK|LSAT_7X37880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKLKQLQSKACQASQFLSKHGTTYYKQMLEQNKQYIQEPATVEKCNELSKQLLYTRLASLPTRNEAFWKELEHVKSLWKNKQELHVEQAGIAALFGLECFAWFCAGEIVGRGFTFTGYYV >cds-PLY85732.1 pep primary_assembly:Lsat_Salinas_v7:1:48528980:48531588:1 gene:gene-LSAT_1X44721 transcript:rna-gnl|WGS:NBSK|LSAT_1X44721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D alpha 3 [Source:Projected from Arabidopsis thaliana (AT5G25370) UniProtKB/Swiss-Prot;Acc:P58766] MVLCSPEIVGSKLYATVDLEKARAARTRIIENEASNPRWFESFHIYCAHNVSNIIFTVKDENPVGAILIGRAYLPVEEVVNEFEVDRWLEILDEDHNPIQGPSRIHVKVKYVSVARDSHWSQGIKSSTFGGIPYTFFRQREGCDVTLYPDAHILDDNITSYLESEGYYEPQRCWEDIFDAISNAKHLIYITGWSVYTKILLVRDPSRPKPGGDITLGELLKRKAEDGVNVLMLVWDDRTSVEALKKDGLMATHDQETGDFFRDTKVHCVLCPREPDDKNSYVQGIQVATMFTHHQKTIIVDSDIPGEASGMRRIVGFVGGIDLCDGRYDSRNHSLFRTLKDIHHDDFHQPNFSGASIAKGGPREPWHDIHCKLEGPIAWDVLYNFEQRWIKQVGSQFLFTLPELDEFITHPAPVRPSEDQYTWNVQLFRSIDDGAVSGFPQKPEEASMAGLITGKDNVIDRSIQDAYINAIRRAKNFIYIENQYFLGSSYGWKPSNEFKIEDIGALHLIPKELSLKIISKIRANERFAVYIVIPMWPEGIPESGSVQAILDWQRRTIEMMYKDIASELLARNIQTDPRDYLTFFCLGNREMKTSGEYEPVEKPEPDSDYSRAQESRRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDTEIAIGGYQPNQIGTQWPARGAIYGFRMALWLEHLYYIDDSFRHPESLECIRKVNGIADENWNLYSSPEIVQDLPGHLLRYPLAISEAGDVAALPEFEFFPDTKARVLGTRSVGLPPILTT >cds-PLY93523.1 pep primary_assembly:Lsat_Salinas_v7:1:110222083:110224616:1 gene:gene-LSAT_1X85880 transcript:rna-gnl|WGS:NBSK|LSAT_1X85880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFGSLLELNIKRISLKLAHFVGQHYDHQNNSIVLDKKIKITKEKIHKVFGRPNGGRSLFDFHFVDSENETYVTWKSQFTKKIMNATGFKEAILKSKRDDDIIKLNFLSLFVNMFAESHSYGTCNIDPIRRIIGVEDISCIDWCAYLNYCLKNKRSLWHQDKKKCYYNGPMLLLMLPYCDGLECQHLILKSKIPTITMWSIEDLNNRQSSEIEDGGFGCGKVVITQMMRDSEGQSNVKDNLYDTVEVLIRCAQDKSRTRYFLKKNTGEIMYKQDFESMRPEHVILHRVIDSWAAVLNYEEQNSKSKPYRLFFNTKIMVVFPIHNADQMYVVVFNLTYPQVHIIDGIQTKSLEETYRMTPTSLIATHEINNHEERVIKEAIEFGKFDHATRQKILKEDINRMNELEMGSK >cds-PLY97718.1 pep primary_assembly:Lsat_Salinas_v7:8:6518627:6519130:-1 gene:gene-LSAT_8X5120 transcript:rna-gnl|WGS:NBSK|LSAT_8X5120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELFLDEILISILHLGFHVSNSGNNSKDFGYHESHLQSRRLEFIVTVANIVAVAHLHRTTRNQYWIATKSLCTSSHPILESTSLKHRFKNWQEERKYKLTASTFAQAIGFWPNRRVQLWLENIGVVEPFTGNLSTCWKNIKEILEAQGRTTKGKATKFHQNNIICAS >cds-PLY65725.1 pep primary_assembly:Lsat_Salinas_v7:5:272518974:272520449:1 gene:gene-LSAT_5X143341 transcript:rna-gnl|WGS:NBSK|LSAT_5X143341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPPYVVLDLAKLRGIDVDIEDGSVWVEAGATVGELYYRVAEKSKVHGVPSGLCTSLGVGGHIVGGAYGSMMRKYGLGADNALDAKIIDANGNILDRKAMGEDVFWALRGGGGGSFGIILSWKLKLVPVPETVTVFNVGRTLEQGATKILYKWQQVADKLDDDLFIRVIISTGNIPNTTQRTVSTTYNALFLGDVGRLQGIMKESFPELGLKKEDCFQMSWLESVLFIAGYPRTVPTSVLLAGKPAFLNYFKAKSDFVKDPIPEAGLEGIWERFLQEDSPLMIWNPYGGMMGRISESSIPFPHRNVIFKIQYLSTWMNPEKEVMNKHVDWIRKLYNYMAQYVTMFPRQAYVNYRDFDLGMNDKNGDDTSFVKASYWGTKYFKDNFNRLVKIKTEFDPDNFFKHEQSIPVLPLKSRKGQNVLKRFKHKKGKKVIHH >cds-PLY74137.1 pep primary_assembly:Lsat_Salinas_v7:9:10914551:10916944:1 gene:gene-LSAT_9X9681 transcript:rna-gnl|WGS:NBSK|LSAT_9X9681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEVEAANKAAVESCHRILNLLAQPQDHVQSKNLMLQTGEAVVRFKKVVSLLDDGLGHCRVRKLKKTQNLIPQNILLDSTPVQEPLKPHQFLLTPPNQTHPIQEIGSNGVKNTLSLVNHKPSLELSTTGKNPIQISQQNPNPNSNYQFLQHHHHHQQQAQLQLKQQAEMMYRRSNSGINLNFDNAPTISSNKSFISSLSMEGSVTTLDGSSFHLIGSSRSTDQATYQHKPRCSARGDDGSTKCGSSGRCHCSKKRKHRVKRSIKVPAVSNKLADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLDDSTMLIVTYEGEHNHTRLPSQSANT >cds-PLY89542.1 pep primary_assembly:Lsat_Salinas_v7:4:158453915:158454160:-1 gene:gene-LSAT_4X95421 transcript:rna-gnl|WGS:NBSK|LSAT_4X95421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMQIPSFLMIAILLVTSMQKSSCRNIVDHSIDEEKIRVNFIVTSKRYFSVNPNLASGENQLPYVVSRRLVPTGPNPLHHQ >cds-PLY70254.1 pep primary_assembly:Lsat_Salinas_v7:4:205388797:205396757:-1 gene:gene-LSAT_4X116381 transcript:rna-gnl|WGS:NBSK|LSAT_4X116381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIILTQTLLLWLSIIFMYLINTITLAQHSRIYHYCENATNDTRNSTYQRNLDNTLSGLPNTNSGFGFYNFSTGEGNDRVYSIALCRGDVHFDLCQSCLNVSIVSLRKNCPNQRGAMIFYEYCLLQYSDETILGNPRTNMTRFMWNPGNETDQSRFSGSPGPLLKRLTADAAAGGSLQKLAAGNTTIPPFSTIYGLVQCTPDLSEAQCSSCLDKSINTFASEVYTGSSGGRSLLPACNFRYETYKFFNMSTMVMPSPSPPIWLQPPPDETMDISTSECLQYNFSTIKAATYDFSEDNRLGKGGFGAVYKGKLGDGQEIAVKRLARDSGQGDIEFKNEVLLVAKLQHRNLVRLLGFSIEGSERLLIYELLSNASLDQFIFDPTKHTILDWEKRYKIIKGVAKGLLYLHEDSRLMIIHRDLKTSNILLDIEMNPKIADFGMARLFNPEETQGDTNRIVGTYGYMAPEYAMHGQFSVKSDVYSFGVLVLEMVTGQKNQYIRNGDSMEHFLSYAWKSWQDGNVSSIIDLTLTTGSGSLCDITRIIHIALLCIQENSSDRPTMAEVVHMLNSFSLVLAIPSEPAFFMRGTIHPQVPLVNFSDNVVSVSEIAPR >cds-PLY77916.1 pep primary_assembly:Lsat_Salinas_v7:1:23540101:23540562:1 gene:gene-LSAT_1X20041 transcript:rna-gnl|WGS:NBSK|LSAT_1X20041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDKSVRVQTELVVNQAKKLTVSYCRIHLGDPDMFADSQRDKLNASPFLPLIFSEVSSSIDTFGGGNDLEAVVDPMSSASSGMFVNLGAVMLRL >cds-PLY67624.1 pep primary_assembly:Lsat_Salinas_v7:5:27291363:27292481:-1 gene:gene-LSAT_5X12821 transcript:rna-gnl|WGS:NBSK|LSAT_5X12821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENNFDQDLIYAIFKMVWSKKAIEREKNVDGETSKGEEGAGSSKKNRPTSANSNAVKLSCEKLRLFVSEAVHRAATIAEAEGRNKIEATHLERILPQLLLDF >cds-PLY81489.1 pep primary_assembly:Lsat_Salinas_v7:8:156999462:157001748:-1 gene:gene-LSAT_8X103521 transcript:rna-gnl|WGS:NBSK|LSAT_8X103521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESWEGGEPAIGIDLGTTYSCVAVWKHDRIEIITNDQGNRTTPSCVAFTDVERLIGDGAKNQIARNPANTVFNAKRLIGRRFSEVMVKEDIKLMPFRVIEGPSDMPKVVVTHKGHEQQFSIEEISSMVLAKMKEIAEAYIGGTVKNAVITVPAYFNDCQRQATKDAATIAGLNVVRMINEPTAAAIAYGVDNRFGGKKNVLIFDLGGGTFDVSILTIDGVGKFEVKAVAGDTHLGGEDFDNRIVNYCVEDFKKKWNKDLTGNERALGRLKVACEKAKRILSYDTQTSVELEVLLDGIDFSMKITRAKFEELNMSFFTKCIKQLESCLADANMKKGSVDEVILVGGSTRIQKIQRMLHEFFDGKELCKSINPDEAVAYGAAVMAAKLCGQTTKMIKELVLLDVTPLSLGKEIIGERMHVVIPRNTPIPTKKTMNFVTTADNQSSTDIMVYQGERTRSTDNFLLGSFEISGIPPAPKGVAVLEDCFEIDDNGILTVTSKVVSTGKTKSLTVTNLSGRLSKRQIKKMVKAAEKFKLEDQEFKRKAEACNALEDYIYDLKNKIKRNDIPPKDLKNVQHAIDDTEEWLSTGNVASVDEIERKKEILEFISRLAIF >cds-PLY96864.1 pep primary_assembly:Lsat_Salinas_v7:2:108492611:108492958:-1 gene:gene-LSAT_2X49441 transcript:rna-gnl|WGS:NBSK|LSAT_2X49441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDIIDLPPRFEIGESSRAPFRVNPENDLIPLLMSRADETEDRIGYLEHFVRDSNYTSLGHRFGTLEEGKKEDSDVIHTLYPCSGVDRNTVNALSAQVRAQEYMIQILESELAAE >cds-PLY80990.1 pep primary_assembly:Lsat_Salinas_v7:9:175118249:175118527:-1 gene:gene-LSAT_9X107661 transcript:rna-gnl|WGS:NBSK|LSAT_9X107661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVIFVVFVSFGCVFFLALPLFALCYILKKSKCNKMAEKSEMVHIDEHLKVNENILQGPNGTKLVAITIDDDLHVDEEEECRKNEKLGKELH >cds-PLY77103.1 pep primary_assembly:Lsat_Salinas_v7:7:183926794:183929747:1 gene:gene-LSAT_7X108561 transcript:rna-gnl|WGS:NBSK|LSAT_7X108561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDFEAPSFSLGLDYDLLDSEPQITSVSEDDDYETLIVDDSEPEYSDPPPKSKRLQRVLTDDVTTSSTVSANPEVELRSSAVVDDEDDNVFCSKEDMRTDEHQHTQNHALSTSSKLPNTPGVLTSQPGNKSQTFRRRRLLLTVSDSDSDDPSVSDNVNNNKCNISESIDLSTTERESPCEDFKSEKSSLNFDDSLPPAVHYFFHDDLRIQELVQSRLPNFFPLCKISNRELEQPSTSEIDYMGQFKCGASSKQGVRNIKDKKSSKSRSKNSRKENVEETSQGWVNPKLCVDNKIITKDTPKRKTHAAQQSAGYWVTGQDGKRVYVGKRGQELTGRVAYMQYKKESGSWFKKAKRKSAKKNK >cds-PLY99031.1 pep primary_assembly:Lsat_Salinas_v7:6:149002951:149004726:-1 gene:gene-LSAT_6X89800 transcript:rna-gnl|WGS:NBSK|LSAT_6X89800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPFPPGPYGLPVVGYLPFLGSNLHEIFTEMADRYGPIFSLQLGRKLHVVVNSMDQVKAVTRDLDQTMANRCPPLAALTMSYGGNDIIWSNSNTHWRNMRKILATQVMSDKNLKACESFRTYEVRRLVKEVYSKFGTKININEIAFKTEVNVVTSMLWGCSKLSGDGNDSSSIGDGFREVEFKIVELMIASNISDFLPILSRFDLQGRQREMQKQLVYVDRIFENIIQGRIEANSRKNEGEAEEDRRKDFVQVLLELKEEKDAAISLDTTKIKALLMDIVLAATDTTSTMVEWVMSEILNNPGVMRKAQDELTDVIGMNVVQESHLPKLTYLDAVIKETMRVHTPAPLLVQRCPDESCTVGGYTIPKGTIIYINVWAIHHDPKNWTDPLEFKPERFLIDKWDYHGNNFKFLPFGSGRRICPGIPLGEKMLMYILASLLHSFDWSLPEDEEFELSDEFGSVTKKRKPLIAIPSQRLSDATLYFC >cds-PLY71779.1 pep primary_assembly:Lsat_Salinas_v7:3:45377546:45380503:-1 gene:gene-LSAT_3X35540 transcript:rna-gnl|WGS:NBSK|LSAT_3X35540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMVGGGNRRTVLILSSALLLMLILLVEASAGEGQGQGRIYKNISPEAERRNLLANGLADTPPMGWNSWNHFGCNISESMIRETADAIVSTGLAKLGYTYVNIDDCWAEYTRDQQGNFVARKSTFPSGIKALADYVHNKGLKLGIYSDSGSMTCSKTMPGSLGYEEQDAKMFASWGIDYLKYDNCNNEGIKPTIRYPIMTRALMNAGRPIFFSLCEWGDMHPALWGSKIGNSWRTTNDITDTWLSMMYIADMNEYYADYARPGGWNDPDMLEVGNGGMTKDEYIVHFSIWAISKAPLLIGCDVRNVTKETLDILGNMEVIGVNQDRLGVQAKKVRMEGDLEVWAGPLSKYRVVVLMVNRGPATSLMTAHWDDIGLPPNTTVIARDLWLHKALRQTPVGNLSVTVASHACKMFILKPVS >cds-PLY69420.1 pep primary_assembly:Lsat_Salinas_v7:5:301615937:301616537:-1 gene:gene-LSAT_5X161800 transcript:rna-gnl|WGS:NBSK|LSAT_5X161800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSGKLIGYVEISKKGDVFHDLFRHIPHEIVAIAPNKVHDCELHDGERGAVGSIISWHYTHAVNEENHMAVFKVIGGDLVEELYKSFTIILHVEQKGDGQVATWTFEFEKPNVSVPYPTSMMDYLCDLVKDLDAHGSTN >cds-PLY96555.1 pep primary_assembly:Lsat_Salinas_v7:4:369006951:369009370:-1 gene:gene-LSAT_4X181880 transcript:rna-gnl|WGS:NBSK|LSAT_4X181880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat domain-containing protein EMB506, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40160) UniProtKB/Swiss-Prot;Acc:Q9SQK3] MVALSCSSSITHSSIKPPFHSSVVTITPIPCNCTSINFTQMITHPIIIEKTSRFPAIRGKFYPKFCAINPHQTSSTTHLGNWEDPVSSDSETDEEDDIEENNLDFESDWEEEKDASETQINIESLSTSQIEEDLKKEVEQLLSPEERAILQQNESPNLDKISTEKWNPLHTLALSGQIKFMDNLLENGFEIDAADKEGQTALHKAVLGKKEAVISHLLRKGASPHAQDLSGATPLHYAVQVSAMQTVKLLIKCKADVNVADNEGWTPLHVAMQSRNRDIAKVLLVNGADKTRRTENGKTPLDLGLCYGKEFKAYDLAKLLKLVPANNYH >cds-PLY74575.1 pep primary_assembly:Lsat_Salinas_v7:7:33091897:33095604:1 gene:gene-LSAT_7X24541 transcript:rna-gnl|WGS:NBSK|LSAT_7X24541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFINSSFTELRLAGCTFNPCGAISWNNLRSLCIINEKLDEDLIENILYGSPLLETLALNDFYGYSRLDITSKSVKKLVFSGYYNPIDVVGNYIMEINAPNVSSLTIKRKLWLPKLLLVNVCSLVEDSLDYEMIVCRETMTTRKEAQEEMLKGLIPNLRHVKELKIGSFSFKIRRYKITHDEEKDEMLKGFIVKLRHVKELKIGVLCSEVLSCLEAKGFIFPSNMKFPVVIHDDWLESDDSVESGNRDLLLHDHCPKDDEVNLLMML >cds-PLY73351.1 pep primary_assembly:Lsat_Salinas_v7:7:64407380:64407913:-1 gene:gene-LSAT_7X46120 transcript:rna-gnl|WGS:NBSK|LSAT_7X46120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METDHGSDSKSSDIHIKNSCFGSPQRDSPVKSIFKQTGSPGANVIVSNIDRITDSSNAPSKSILEMTEVIPPVVSLNDSYKEEVRTLDINVNVFNMDINVNKGEGVLNNEAFATSNLETSTIPTSLILPSTIETSILDTSTSLPPLSSPIPSSLPVSTISPTYSTIMQEPITTHFSS >cds-PLY88744.1 pep primary_assembly:Lsat_Salinas_v7:4:169060159:169060765:-1 gene:gene-LSAT_4X100420 transcript:rna-gnl|WGS:NBSK|LSAT_4X100420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQNLPVLAKKVWNLVRVVYFMLRKGISKRKLLLDLNMMIRRGKIAGKALQNLMFHHYHINREAFTAKHRSYHXXXXXSACKPSKDHLDMMAVNAVLKAMEMIHSDNSSPALPGFGSTPMVRQLRVTDSPFPLSSVDQDNKVDEAAEEFISRFYNDLRLQKAKASSFGSS >cds-PLY71234.1 pep primary_assembly:Lsat_Salinas_v7:6:18992717:18992911:-1 gene:gene-LSAT_6X14561 transcript:rna-gnl|WGS:NBSK|LSAT_6X14561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVKMRTTCTWTKRPYEASLFSGIGFGLFLRSLGGRKRRPPSEVVRAISEIPLWKSLNQRMSCG >cds-PLY78595.1 pep primary_assembly:Lsat_Salinas_v7:1:102320222:102322040:1 gene:gene-LSAT_1X84401 transcript:rna-gnl|WGS:NBSK|LSAT_1X84401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAELECPSVMSKLIAYLSSLLQQVAESNDLNPRFHQQKISAFHGLSRPSISIQSYLERIFKYANCSPSCYVVAYVYLDRFTQQQPSLSINSFNVHRLLITSVMIAAKFMDDMYYNNAYYAKVGGITTTEINFLEVDFLFGLGFQLNVNPTTFHTYCSYLQKEMFLLQPPLNTQDPSLSSSSSSSSSQTYKSRSTKLLHYEEDQQQEVVAV >cds-PLY63016.1 pep primary_assembly:Lsat_Salinas_v7:8:183947909:183950856:-1 gene:gene-LSAT_8X119380 transcript:rna-gnl|WGS:NBSK|LSAT_8X119380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYADVQMFMKKLKQLIYSNDIPIIKIPSIVREVPQFQMLYEELGSMIQTLFIHQDHGLENFEKVTSLRKRFKAVAEEAEDIVDLFLSTVHFGNYGYFPRSDVFHPSLNLEAVMRSIDSIKMEFMTMSIDNMKMEASQRPGTLQMQSAGTSRTKNSLRSKKVLEEIVVGIDRDAEIIRDKLAEDGKHLDVVSIVGMGGIGKSTLANKVFTDPFVVYHFHILGWVTVSQTYNKRDLLIQVLSSIDGQSELEKATDSQLHEKLHRSLYCKRYLIVIDDIWSTEAWDKLKLFFPDHNTGSRILLTSRLTEVAAHAKSHGLIHHLQHLTEEESWELLCVKVFQGDECPKWLIDPGKKIAKNCHGLPLSVVVMAGVLAKEPRSRDSWVEISCSVNSYIASDEKGCLETIALSYHHLPLHLRDCFLYLGGFLEDFRIYSPWSIWVWIAEGFIQEDGSRSLEKIANGYLVDLVDRNLLIVEKWDMMGDVLCCKVHDLVRQVCVEKGKKERFFLNIDSPPSNHLYEVMTTHKQRRVIINPEIDIMSLPSPPTPSIRSLLCNHVDTTLTDNISKFFYSFALLRVLDLKKCETIDFSPYLALLVHLRHLDIWLSSLPPSICNLWNLQTLIVRTSSSSMVLPSDISNLVNLRHLYCEADLYLPSIEKPMKLEYISNVVLGDGVDNFQKCFPRIKRLASTLYSDEENDFEVLYHLQFLALTGSGYSRRRSVERELLRGEQNLGKNHIIRFPAMLKQLTLERCGLPWSHMSIIQSLPNLEVLAIKGNGFDGTLWETGDEQFQRLKFLKLKKLNIKQWKASSINFPCLERLEVLNCIDLEEIPLELGDISTLERIHIENCGASLLVSLQKIRQEQDDVGNYELKIKVDGRYIPSYVPQHDD >cds-PLY90821.1 pep primary_assembly:Lsat_Salinas_v7:6:155685935:155686361:-1 gene:gene-LSAT_6X94101 transcript:rna-gnl|WGS:NBSK|LSAT_6X94101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNFTLSSFDNKALLIFNAIGGESHRLGEIDQSPDCVFLLLQWRLWHRRCRRKLNRSLVDGYLHRRSIPIVTENEI >cds-PLY69282.1 pep primary_assembly:Lsat_Salinas_v7:7:131038526:131041812:1 gene:gene-LSAT_7X77900 transcript:rna-gnl|WGS:NBSK|LSAT_7X77900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKEGPCYHCGIEETPLWRNGPPEKPVLCNACGSRFRTRKTLNDYIPKHAMKELQGTETDEDSDYDPGSGSSSTKPTTHGPSRLSFESAPKRRRTNYDQHALTPVEQFSKQLLDIAHEQPEVYLKKPADGDVLIDRPDVAKSTTLGTDLGAVLLGPQLETPSNFEVVETTETKISSDVAGSSGDQGKPQ >cds-PLY87944.1 pep primary_assembly:Lsat_Salinas_v7:MU040263.1:192:4232:-1 gene:gene-LSAT_0X44440 transcript:rna-gnl|WGS:NBSK|LSAT_0X44440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMERTSSNISREDDQQNGNGEKRNTDAAELESVERIFESKKVPSWQNQLTLRAFVVSFILGILFTFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKFLDKSGLLKQPFTRQENTVIQTCVVATSGIAFSGGFGSYLFGMSEIIAKQSHEANAEQNTKNPSLVWMIAFLFVVSFLGLFSVVPLRKIMIIDFKLIYPSGTATAHLINSFHTPQGAKLAK >cds-PLY79922.1 pep primary_assembly:Lsat_Salinas_v7:8:18046037:18051202:-1 gene:gene-LSAT_8X14200 transcript:rna-gnl|WGS:NBSK|LSAT_8X14200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-81 [Source:Projected from Arabidopsis thaliana (AT1G51740) UniProtKB/Swiss-Prot;Acc:P59277] MAKIRDRTEDFKDAVNRGALSLGFNEAKRAALMASFIMHKPRERSPFTKAALKTLESIGTLEQFLIKHRKDYVDPHRTTEEERDSIEHEVSVFVKACKEQIDILKSSITDEEANAKGWLGIRGDNANADTVAHKHGVVLILSESLHSVTSQFDQLRAIRFQEAINRAMPRRKPKPKRAPLEKTETTSSSETRDQSEITTEPMKVQQQVLDDETRALQVELSSLLDAARDTETKMVEMSALNHLMSTHVLQQAQQIEYLYDQAVEATKNVEMGNKELSQAIERNSSSRVFLLLFIAVFTFTILFLDWRAKRDSVVTCEPNLTLNSTSIYTPTMGSYVNEPMVGSSNVSKHWVDTFKWFEPIVKPHVEPNVYPPVEPNVEPPVEPNVQPHMEPNFETHVEDVSGGEVIDTIDSEDIEDNTNSDFIGDEDNMLDDPNVDMKDFHLNIDKEVEWVRNVQHQLLSHSSIM >cds-PLY75516.1 pep primary_assembly:Lsat_Salinas_v7:9:34342184:34343021:1 gene:gene-LSAT_9X31720 transcript:rna-gnl|WGS:NBSK|LSAT_9X31720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRQDMVKDFQQRLICKESVEEKILQRASQKSTVQQLVMTGGHVQGNLLAPKDVVSLLIDDCNYDDAQAQLKQIPTQARKDRQKKKGIRINEESDACFEDIATPRTPFHEKTTFIN >cds-PLY98678.1 pep primary_assembly:Lsat_Salinas_v7:5:303793784:303795144:1 gene:gene-LSAT_5X163680 transcript:rna-gnl|WGS:NBSK|LSAT_5X163680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVIDKYFGFDIVVEEAQREINVAHVEVESVENGVAIVKLMGFIAMFATLASRESPFYLEGQGGLFEFIQQRLKENGYVVIVLAEGTCQEYVSDSVNAVEEREREREREREMHLEINYLLILIVPLDIQHTCYGLFQAMHMITSPVHFLLKVQFMGPWQGFLRVTEATNVVKLTDRMWARLLASTNQPTNVVKLTFPHSWS >cds-PLY78147.1 pep primary_assembly:Lsat_Salinas_v7:4:97142651:97146612:1 gene:gene-LSAT_4X63280 transcript:rna-gnl|WGS:NBSK|LSAT_4X63280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACSHYTSFFLIVIPLLLTFCIGICFAENGQKTVGSWLEIASPDDPAVIEVGQFAVDQHNKDTNSTLKFQSVVKGDTQIVGGMNWRLTIEVKDDNSTKNCEAYVYEQPLQKGIKFILSFIKDLRLLLTGYYAESDAMYIKFKAPLPSMPNYHLTVPIPEKQVLLYL >cds-PLY78588.1 pep primary_assembly:Lsat_Salinas_v7:1:102241483:102243103:1 gene:gene-LSAT_1X82281 transcript:rna-gnl|WGS:NBSK|LSAT_1X82281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTYEQNQLPQVHNYPVEGGTGKGYVAAPPLPPPPVVGPTLKDGYESGGHNPPHGTQPRGDGFWRGCCAGLCCCCLLDACF >cds-PLY63534.1 pep primary_assembly:Lsat_Salinas_v7:9:25009880:25010323:1 gene:gene-LSAT_0X37721 transcript:rna-gnl|WGS:NBSK|LSAT_0X37721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEEKKAVAEKAPAEKKPKAGKKLPKEAGAAAGDKKKKRSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIVNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >cds-PLY64647.1 pep primary_assembly:Lsat_Salinas_v7:3:175562176:175573026:1 gene:gene-LSAT_3X105740 transcript:rna-gnl|WGS:NBSK|LSAT_3X105740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGNLTQQLSALTIGSSVNGYTNTNGMNKSDGLFQVMKAVEAAEATIKQQVEENHRLRSELQKKIQELENYKSSDTKAEDDWSDHRYPPPTKNRAMSPTDGSTLVLKKDYMGNAVDPMIQVSGESQLETQNINGGFSPLRYHLEGEYDPQFNVSGLVPISELKNAGSSINQAVVDSRDQEQEILLLRKHLVDYSIKEAQIHNEKFALEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQDAQEERTTFISSLMPLLAEYSLQPPVADAQSIVSNVKVLFRHLQEKLIGIETKLKESQYQLAAWRSDSSFAQSPLYSFGGNKNGLELVTQQAYSDGQIPISSDHPTPRGWDGVGVGVAVGVPETSEPDSGRYSPLLNRNNNNNNGVGRLGGDSYPTTTSSKNEETSNKQVTFSDPVSSNNDVDDLEGGGEAFVNWNSKTPLEDPQPHSYSPYLTPVPEKPDSSYSEDDDPLPAVESLQISGEAFPGRELQASGYSVNGTTSCNFEWVRHMEDGSVSYIEGAKQPNYLVTADDVDTYLAIEVQPMDNRKRKGELVKVFANEHRKIVCDPDMHDNIRKALQTGHAEHRLSLWTGFLEIWEPVTLVIRKDGINIKGGTTPVNDKFSPNTRVYIPCGNPVEFSIIGLGGIDHRLRVEQESDTISSRDVIVLTLRYFINRAAEKKKVKKKGLFF >cds-PLY85690.1 pep primary_assembly:Lsat_Salinas_v7:7:158059620:158060471:1 gene:gene-LSAT_7X93341 transcript:rna-gnl|WGS:NBSK|LSAT_7X93341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIALALAFTALVAFASAHSTIITTTIEEESTFSKQQCSRQLQGQRLNQCQRYLAQGQSLYEEDDRSQKQGGLQLCCQELQFVDEQCQCEAVKEAFREAQKMQQQQQGQQGGSFGSKQIRQMMQKAQNLPNQCKLQTRQCQVGKISITTFTTITEDTTYSRRGSQQQCEHIRSRQFNQCQNFIQRQMGSYATLLMSVSRQGQQPQGLEQCCNELQNVEEECQCEAMQEVYRQAQRQQQQGSQQRSRRGGQPQTQDLQQIVQSLPNQCKLEVQQCRIPSTMF >cds-PLY91296.1 pep primary_assembly:Lsat_Salinas_v7:5:251505187:251506942:-1 gene:gene-LSAT_5X126180 transcript:rna-gnl|WGS:NBSK|LSAT_5X126180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCPKLASFTLRELLEEEKEERIKEKRCRARIQAGEIPEQQQIASRAIHTATSSRGTSASKGKSSG >cds-PLY99278.1 pep primary_assembly:Lsat_Salinas_v7:5:300820892:300823393:-1 gene:gene-LSAT_5X162700 transcript:rna-gnl|WGS:NBSK|LSAT_5X162700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERNVELEACMPKVPVDESIRGTKWPKTWPQRLESPPYWLKAIESGVYGKSAPEDFTADYEHWKRVVSKSYLNGLGIDWQRTLEAAISLVNTHDKWKAKVIYGNIDRGYGWMKKEASSMEILMIIKEEGWIITKERKMAKANRSTSEKFARLVGLMKANRSGVRRGKESSS >cds-PLY79023.1 pep primary_assembly:Lsat_Salinas_v7:3:9990399:9994427:1 gene:gene-LSAT_3X6640 transcript:rna-gnl|WGS:NBSK|LSAT_3X6640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase-like 2 [Source:Projected from Arabidopsis thaliana (AT1G63690) UniProtKB/Swiss-Prot;Acc:Q8W469] MGFLRFCWFISTIIVFFSNPTPIAAGDIVHDDKFAPKKPGCENDFVLVKVQTWVDGIEGAEFVGVGARFGTTIVSKEKNANRTNLTQSVPHDCCTPPKNKLTGDVIMVTRGHCKFTTKANIAQAAGASAVLIINNQRELYKMVCEPDETDLDIHIPTVMLPQDAGVELERLLSNRSSISVQLYSPRRPVVDIAEVFLWLMAVGTILCASYWSAWSANEAAIEHDKLLEDFSEELSNTKLVGTSGIVEVNTKSAVLFVIVASCFLVLLYKLMSAWFIELLVVIFCIGGVEGLQTCIVALLSRWFKHAAQPSIKVPFFGAVSYLTLAVLPFCIVFAVLWAVYRDYKFAWIGQDILGIALIVTVLQIVHVPNLKVGTVLLGCAFLYDIFWVFISKKLFHESVMIVVARGDKSGEDGIPMLLKIPRMFDPWGGFSIIGFGDILLPGLLIAFSLRYDWLAKKHIRAGYFLWAMIAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTFLSLGKKRGDLGILWSSGEPERECPHVRLGHSHAADE >cds-PLY63233.1 pep primary_assembly:Lsat_Salinas_v7:8:270851067:270853295:-1 gene:gene-LSAT_8X156701 transcript:rna-gnl|WGS:NBSK|LSAT_8X156701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTDKTSDDGENEKLRYGVSSMQGWRTSMEDVHAALLDLDNSTSFFGVYDGHGGQAVSKFCAKYLHQQVLKQEAYAAGDIGTAAQKSFLSKSASAFCLDC >cds-PLY91714.1 pep primary_assembly:Lsat_Salinas_v7:7:26393635:26407281:1 gene:gene-LSAT_7X19361 transcript:rna-gnl|WGS:NBSK|LSAT_7X19361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEKLLKDAKKLPWEDRLVHKNWKVRNDANIDLAALCDSITDPKDPRIRELGHFFKKTVADSNAPVQDKALDALIAYLKAADADAGRFAKEVCDAIVAKCLTGRPKTVEKAQMVFMLWVELEAMDAFLDAMEKAIKNKVAKAVVPAIDVMFQALSEFGSKVVPPKRILKMLPELFDHQDQNVRASSKGLTLELCRWIGRDPVKSILFEKMRDTMKKELEAELANVTGTARPTRKIRSEQDKEPEQEVAAEAAGSGPSEESAAEIPQEIDEYELVDPVDILTPLEKSGFWNGVKATKWSERKDAVAELTKLASTRRIAPGDFSEICRTLKKLITDVNIAVAVEAVQALGNLASGLRTNFSASSRFVLPVLLEKLKEKKPTMTEALSNTLQAMHKAGCLTLADIVEDVKAAVKNKVPLVRSLTLNWVTHCVETSNKAIILKVHKDYVPICMECLNDGTPDVRDAAFSVLAAIAKMVGMRPLEKSLEKLDDVRRKKLSEMIGISGGGASTTGGSAIPSSGGTASSVEASDGGFVRRSAASMLSGKKPVAAAPAAKKVASAKTGGGKKADGPAQTKKAAEPEDVEPSEMTLEEIESRIGSLIQVDTAKLKSTAWKERLEEITKLKEDIQALQELDQSVEILTRLVCTVPGWNEKNVQVQQQVIEIVTHIASTASKFPKKCVVLCISGICERVADIKTRVQAMKCLTTFSEAVGPGFIFERMFKIMKEHKNPKVLSEGLLWMVSAVEDFGVTHLKLKDLIDFCKDTGLQSSAAATRNATIKLIGALHKFVGPDIKAFLSDVKPALLSAVEAECEKNPFEGAAAAKKTVKASDCAPSGSGGGLDGLPREDISAKITPALLKGLESSDWKMRLESIEAVNKIVEEANKRIQPTGTVELFGALRARLYDSNKNLIITTLTCISGLASAMGAAVEKSSKGILSDVLKCLGDNKKHMRESALAALDSWVAATHLDKMVPYITTSLTDAKLGAEGRKDLFDWLSRQLTGVAEFPDAIQLLRPVAAAMTDKSVDVRKAAETFFGEIVRVCGPEMVMKNVRDIQGPALAIVLERMKSHGAVADVHESVKTTAPSWQAAKTKVGKSNGYGSKQGGRAVSSRGVPAKGSKPEPIMSVQDINIQSQALLNVKDSNKDERERIVVRRFKFEELRLEQIQDLENDLMKYFREDLHRRLLSTDFKKQVDGIEMLQKALPSIAKEIIEVLDILLKWFVLRFCESNTSCLLKVLEFLPELFETLKNENYSMNEAEASIFLPCLVEKTGHNIEKLREKLRELMKQIIQSYSAAKTFPYILEGLRSRNNRTKIECTDLVGFLLDNHYTEISGQLKNLQIVASLTAERDGELRKAALNCFASGYKILGDDIWKYVGKVNEAQRSMLDEKFKWKFREMEKRKEGKPGETRAALRRSVRENGSDVAEQSGEVTRSMSGPVFSREMYAPQEPQMERHPLARPSGGVIGPTDWNEALDIITYGSPEQSVEGMKVVCHELAAASNDPEGGLMDDLVKDADKLVSCLAAKVAKTFDFSLMGASSRSCKYVLNTLMQTFQNKRLAHAVNVRTLDNLITELLLWLLDERVPRMDDGSQLLKALNVLMLKILDNAERTSSFVVLISLLKPLDPSRWPAPPSNESFATRNSKFSELVVKCLIKLTKVLQNTIYEVDLDRILQSIHVYLQDLGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDMEPQPIILAYIDLNLQTLAAARMLTPAGPVGQTHWGDSMANNPMPATHSADAQLKQELSAIFKKIGDKQTCSIGLYELYRITQLYPQVDIFSQLQNASEAFRTYIREGLAQMEKNAAAAGGRTPASVPMMPTPPPSALNLSSPKLGHLSPVNTNHNNTLNEGRASVVVNTNFTLPPTSYAEDDQRNIDRFQSGVGVSSGIDAIRERMKNMQLTTTEAHIQQTNGSLNSEHQSSNPAVDEVDISSTTANNPPPPPPPPVPPVHSGVLPMDEKALSGLQARMERLKSGSIDPPPI >cds-PLY97159.1 pep primary_assembly:Lsat_Salinas_v7:MU038292.1:408:2948:-1 gene:gene-LSAT_0X45521 transcript:rna-gnl|WGS:NBSK|LSAT_0X45521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLQQPQLDLESGGGDGGGDDTRRQSGSSDGSEESYRTSIGPAGMVPEEVVETGISSSVSETSAVDLETGGGGGGGGGNKVHLLKIEKDCRICQLSLDVNNQESGNGIPIELGCSCKDDLAAAHKQCAETWFKIKGNRTCEICGSTAKNVAGVDEAEPIDQWSETNGSTPSRATAPMATSETRNFWQGHRFLNFLLASMVFAFVISWLFHFNVPS >cds-PLY91075.1 pep primary_assembly:Lsat_Salinas_v7:9:124298468:124301401:1 gene:gene-LSAT_9X81860 transcript:rna-gnl|WGS:NBSK|LSAT_9X81860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKSTVDQRLTNSPLSKMLCNVYPGLHYFFITEFRVAENRSFLGYLQSLLKLSSTILPSQAAKTVFHVFEQWNDGMESGILSTLKNVSVSSTVPDATFIEILLDKLKLCRSISYAAVATHADQTSRRKLAAMLVEHEPLSSKQVPLLLGIGEEDTALTKATESGDTYLVYLVLFHIWQKHVAAEGTALVKQAEDAASNKRLQVDPATWPIMIFKVC >cds-PLY86825.1 pep primary_assembly:Lsat_Salinas_v7:1:16451187:16455378:1 gene:gene-LSAT_1X13501 transcript:rna-gnl|WGS:NBSK|LSAT_1X13501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSSIDYIKANPCDICGDKGVIEAIITCCECKTSRQHLYCMKVVTTEAPQFWLCEECERKKLFSPTKTTDKEQIPEVLIQKNTRVLKSPNKSSFASRFNFKEKRVNTGRTKYISCDEAVKLSSGVNRSEQQPLSSRNAFSCKHGSSRSMPPPREKSLVKIYGATNNSKFEPQLQQPVAQKSTAVKDSIKSTKCSSVRVERTNTKSFSPRSEVLPPTKEEADIRKQIEVPKSSNKIEKNITQETIKMAKSEVLSPTKEEEAEIEVPKSSKKVEKTITQEITKMAKSEVLSPTQEEEAEIKVPKSSKKVDETNMKRGGNKNDADVDARNNSRGLYLTMGGPGAGGGPVSEEMHDTSIPALHSYWKGSFNLPNGHEIYNGAFRAHPPSNVHSKVYEALHKMPENICFELLPIPDLFQGDSPYKDDIGLYFFPRYKKRYEKCTLTDFLWNNNLVMKSYVDEVELFVLSSKFLQLNSQEFEGNYFLWGVFRANMVKKDTSSKSIFVHENANTESKFYDFPPGFEKMHNPTPQG >cds-PLY99598.1 pep primary_assembly:Lsat_Salinas_v7:5:242075396:242076150:-1 gene:gene-LSAT_5X119361 transcript:rna-gnl|WGS:NBSK|LSAT_5X119361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKPGVVLLSSTDEESDPRYERVVKAFTVLSNCTDAKGRKFEIIKLHIPGPLYTTNEESSGFIQVGEAKRGLPGTRLAASYVNIYIVNCGIIAPQFGDPKWHDEVVRVLS >cds-PLY79848.1 pep primary_assembly:Lsat_Salinas_v7:8:17227762:17228395:-1 gene:gene-LSAT_8X12001 transcript:rna-gnl|WGS:NBSK|LSAT_8X12001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSSFTVLQEERGRRRGSLTRKKLENKSRLKDSEIVFLKEQLEEAERDNKLIERRLNSSGPLSRHENLYFSKLTLTDFVLALKHTMKAIRCLVKFMITEMEYLNWDLDATTELFMALNIVQEQFLSEIFGLE >cds-PLY78315.1 pep primary_assembly:Lsat_Salinas_v7:2:181557271:181559103:1 gene:gene-LSAT_2X102621 transcript:rna-gnl|WGS:NBSK|LSAT_2X102621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDPIFKTLTPSQFITFTVPNPVNHPCYLNTPRLRVAVLDSPVAVAADYLPKVAAMIVPDQRETDWNFCTESGHLQLLFNIPGLSRLILIGNDLPPNPEPPVYIRPPVTDTVDREKLEDEIQPLVMALHPKVCFQKGLPNLLFLTYEDDVLYRVIIARFVGPFVGEFVVEDVEMESNNDSDKKLRRRLRFKRMPNLIQSQVPLIPILDDGQSNTKLDLESLRKMKNAKFDVDTTVLVHPYLTPMVSGLFLIASHLNERIQQGFTSRALCLGVGGGALLSFLNTQMEFEVVGVEADEAVLSAAKQYFGLNNGKSIQLIVGDAIEVIQNFATKGDTDDSQVSFEGLDDKFDVVMADLDSSEARNGISAPPPEFIKKSVFQAVRLLLHDHGVLIINVVPQNEVIYMKLVQELKDAFHKVYGIDVGNQDNFVLVATLSPSSSNDHENAFLKRLRSVIQGAYMDSIVEL >cds-PLY83525.1 pep primary_assembly:Lsat_Salinas_v7:8:188466927:188467796:-1 gene:gene-LSAT_8X122100 transcript:rna-gnl|WGS:NBSK|LSAT_8X122100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPSSYCNQNTSFSSSNYPKTSFSNFNLQNHSKLPSQDYYHPASSSLQNLNGPHYIPLEDEPEIFQQQRPFSTDHNYHNTVIVAHEHSTQKTSNLQYTMEKPTNNNGKYATAVGNDHLNTHVILQNNSSMGKKASKKDRQSKINTAQGPKDRRMRLSLDVAKRFFRLQDMLGFDKSPFFHNLALTYRSHSQISLSVANINPIAKSATSSVNTSGVLVTLIPFCLQCPKSTLSNPTLKLDTISKAGNESINSRSAPALAFPTTARIEPEFSLKKSFFWGRFHKRKRLKRL >cds-PLY84377.1 pep primary_assembly:Lsat_Salinas_v7:8:79000131:79005899:1 gene:gene-LSAT_8X56580 transcript:rna-gnl|WGS:NBSK|LSAT_8X56580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFRSTEISNSEKAESDFSLENRDAVDENMGSLNCGFEPLLDGVVKKRAKETEHMVCVDKPAYDLEVVMCKVGKKSITMEDKNDSRDVDDTLLHLGSKVLASTGNIIKSRAEREIDECVKVKCVKVPFKEGKYLMPVNSYELDSSLKEEIKSSRVVSTYTCQPKVLALTSPHKKAMEYKKWSANVESGRNVDAVASTIDEDDKASIGIKKGKRGRKRKPLKSSECNGDIRGKKEKAEKGGPHLSGRVLRSRPMTKRDRVKVDESGLCESLVGFKRKMEAECLDQTELKEVNVNSQLTGQPRKKQKRRGRPPKAQGESTSLHVTDDVALFKKLERRGRPPKVQAGELTNSKKSKLVVGKKDNNQLKGKNVKKGPKIDNEHKEDEVLNTTGDNKQKSKNSKKDNDHTGGEKRREIQQSVRDQIVSILMKAGWTVEHRPRKERTYMDAVYVDLKGGTHWSVTKAYYSLKKRIENGKADDKEVSAFTPIPDEKISVLLRVVTKVRSDKDKQKKNKKKKKKNNSDKGTSEAEIVMSGGSGSEAPVKKKLKDGKKKKQTHKSDVKSGNKSLKRQPKVSQKSQQSRKPQLVARKSSKGNDEDDDGCLIYSGKRNLLSWMLDLGVVMPGGKLQYGEGRKRNGLLEGKVTHDGIHCSCCNETVDISSFISHGGGKGKLNHTLKDLYFQSGSSFLKCLLESWRKEEESNTIRFNLVDVEGDDPNDDTCNICGDGGDLICCDGCPSTFHQSCLDIQNFPSGVWHCLYCCCKFCGLVSQMDDSQMSSCCLCEEKFHGLCVQEEDALNLDSSGLSFCGSKCQELFEQLKTYLGVKFELEDGFSWTLLQRADVSQDSILDAPEKIECNSKLAVAFSVMDECFVPIMDERSGVNMIHDVVYNCGSNFRRLDYSGFFTAILERGDEMISAASIRIHGKRLAEMPFIGTRHMYRRQGMCRRLLDAIESALSSLGVGELVIPAIPELYKTWTTVFGFKPLTKPKRQTMKSMSMIVFPGTDILYKPLLNNHFADKNLGPAGDGKGVGCITVANDDNALHSETKPSNTIIDYVVKEATPLRYAQRSESETPLSEATTPSSSATKSEHVSSDSDVQSSQHKVAVIKNTFDLNLQPSSNDSDIQSNDQDSFELSNSRPQFDNNGSWFDPT >cds-PLY73367.1 pep primary_assembly:Lsat_Salinas_v7:7:64303201:64306164:1 gene:gene-LSAT_7X46141 transcript:rna-gnl|WGS:NBSK|LSAT_7X46141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIKPFSSLSSPLNQTISSLNPSFSFRPISEFPSPSQFSRERGQVSVSVAFNPSGNFDLSLYDEQENVEQAPPPMPPKEGRCEVVIDNDTIRRLDLFPFSNATGITTTSPVEPREFLERTIGFTINYNRDDEHDPRELSEFPDIRLWFVRLDATYPWLPVLLDWRAGELARYAAMLVPHQMSMRMGVVFNPEALELFIMKKVFITYSWLKENDIPKPRLKVKDMARMLGFGIGDELFDMIDRHPLSPS >cds-PLY97703.1 pep primary_assembly:Lsat_Salinas_v7:8:6168284:6169562:1 gene:gene-LSAT_8X5381 transcript:rna-gnl|WGS:NBSK|LSAT_8X5381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEGKPRWVHYANSSIVQEDYKGTSPSSSKLPSEESPGDGVKLYDLAGDTKWWLHHQPKENPTSFWEPSWETMEMEEKYAKIKEFEAEKKSQDELSKAELLEALCHSQTRAREAEKAAQKANNEKEDMISQFMKQASQLFAYKQWFRILQLEATRNSKYHQQRVWKRKKKEKADSGSSRYKNGKSFGSFVLGLSLGGAGFLLGWTLGWLFR >cds-PLY80795.1 pep primary_assembly:Lsat_Salinas_v7:1:69970942:69971366:1 gene:gene-LSAT_1X58640 transcript:rna-gnl|WGS:NBSK|LSAT_1X58640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATGVGMMMVDTAWEGQVLGEYRSTSDECFCYVAPERPTADLARPITTLAGANALLEGYNSLEILKLRSGKGMGRDELEAPVVVVGARGLGMVVGGLRVAVTPKLDWRC >cds-PLY85328.1 pep primary_assembly:Lsat_Salinas_v7:8:277674784:277679103:1 gene:gene-LSAT_8X159361 transcript:rna-gnl|WGS:NBSK|LSAT_8X159361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNPDEEVVLTENKGNVRLITLNQPKKLNVISPKVVYLLAENLEKWEKDDDVKLVIIKGAGRAFSAGGDLKVFYTGKTTKDYCLEVVYRFYWLCYHIHTYKKPQVALVHGISMGGGASLMVPMKFSVVTEKTVFAMPEASIGFHTDCGFSYILSHLPGHLGEYMALTGTRLSGKELVTAGLATHFVPLDKLSMLESNLVNLNSGDENAVKSAIEEFSISVDISEESVLNKQSIINECFSKDSVEEILESFEAESTKEGNEWITPVLKGLKQSSPIGLKINLRSIREGRKQTLLECLKKEFRLTINILRATISGDIYEGIRALTIDKDNSPKWDPPTLKEVDSEKLDLLFKAFGEGLELNVPENEEQRWSGKYEDSLYA >cds-PLY80189.1 pep primary_assembly:Lsat_Salinas_v7:8:191081057:191083862:-1 gene:gene-LSAT_8X124601 transcript:rna-gnl|WGS:NBSK|LSAT_8X124601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANTGLELLMESLKQLIYCDHNPSINENPFVQDKRSQIQSLYEELESLRNFVIDMEVTKEPLEIEKARNLKRRLKDVVDDAEDIVDLFLTSAIIRNNASMTICVADNCDLSPNLDSAIQEIKSIKREIMDTKMQSHPTQGLHPASARTSPTRNSSSEVLKEEIIVGLEDDSMVLLDRLTGNRKQLDVLSIVGMGGLGKTTLATKVFNHRYIVYHFHVRAWVTVSQAYDKKDLLISLLTSIGKLEPEDLDKLKDHKISELLYKSLKGKRYLIVIDDVWSAKAWDDLKLYFPNDNTGSRILLTTRLSEIAFYAKPDGFTHHLQFLTEEESWELLCKKVFLEEGCPERLIKSGIKIAKKCQGLPLAVVVIAGLLVKGEKSVDLWEKVAESVTSYIVGDPNGYLDTLALSYDHLPRHLRDCFLYVGGFPEDYKIPVRRLIWLWVAEGFIHEDSPKLMEEVAEDYLMDLIDRSLLIVAKRRSNGGVKACRIHDLLRELCLKKATEENFFSRSGYLSSSKFISCKDKQRRLFADSKFLSKISSDNSAPHVRSFLCFNKEWYFSLGDERCFHPFLLIRILDLQTIHTSTVPLALEFLVHLRHLALWSEVTKLPSAVCDLWKLQTLILKENYSGFMNLPENIAKMISLRHLWIEMIISIPDIHNPTKSDVFSNLQTLSMVRLDGRAESLLKRIPNIRKLGCAVYGDQKDDSFPNFALLDHLETLKVIQPEVLQVESLLSNKYFSFPVTLKKLTLSGCRLPWFCMSNIQLLPNLEVLKLLNYAFEGPSWDTGEGQFRQLKFLKFQNLDVHQWDAYSCNFPCLKRLVLLECYYLKGIPENIGDIPTLEMIDIDKRNHTVVKSANRILEKQHEMGNYELKINVIGFLTSIR >cds-PLY68433.1 pep primary_assembly:Lsat_Salinas_v7:2:60424496:60424900:-1 gene:gene-LSAT_2X27801 transcript:rna-gnl|WGS:NBSK|LSAT_2X27801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIGMPLETKQVVFKNNHDADIIHFNDVGLVVHSSEEEFGSIEKDEYYDFKPVAESVSPSVVEYNQTKEGNTDSIDQKSSQTTMIPDENAVIGAKLLSDGIEKNDDESLSLVGSTVKSPEDEFAGVEKVAYVYY >cds-PLY70081.1 pep primary_assembly:Lsat_Salinas_v7:4:204573457:204574670:1 gene:gene-LSAT_4X114681 transcript:rna-gnl|WGS:NBSK|LSAT_4X114681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3.3 [Source:Projected from Arabidopsis thaliana (AT4G40040) UniProtKB/Swiss-Prot;Acc:P59169] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds-PLY89382.1 pep primary_assembly:Lsat_Salinas_v7:4:122613565:122615462:-1 gene:gene-LSAT_4X77441 transcript:rna-gnl|WGS:NBSK|LSAT_4X77441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSFCGRITSLTKNVIKCSRSFDSPLSRVCLSQPNDLLLVSRLLPSHFSTKNYGRDLLASCKSTNIPSIESRLVHHFTNRGIATLNDSQKSDPQDEAATATEVAPRVKFKRLDKTARHIMQILDKEAVKEVNTQREIPDIRPGYIIQLKLEVPENKRRVSTVKGIVIARRNAGLNSTIRLRRLVAGVGVESLLPLYSPNVKEIKVLDKKKVRRAKLYYLRDKMNALRK >cds-PLY64664.1 pep primary_assembly:Lsat_Salinas_v7:7:138985620:138985961:1 gene:gene-LSAT_7X83940 transcript:rna-gnl|WGS:NBSK|LSAT_7X83940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTYFPLRWESTGDQWWYTSPIDWAAANGHYDLVRELLCLDSNQLIKVTSLRHIRRLEVVWDEDEQFDDIAKNRCLVARKLLHKGESKRGKTSLIRAGYGGWLLYTAASLHD >cds-PLY62681.1 pep primary_assembly:Lsat_Salinas_v7:4:335959983:335961429:-1 gene:gene-LSAT_4X166281 transcript:rna-gnl|WGS:NBSK|LSAT_4X166281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRMTGLNPAHLAGLRRLSARAAASSPSTPLPARNSLISFTSLADKVLTHLKSSGVIVQHGLSDKEFARAEAEFGFAFPPDLKAVLSAGLPVGPGFPDWRSTGSARQQLRATLDLPIAAISFHIARNALWSKSWGVRPSEPEKAMKIARNALRRAPLLVPVFNHCYIPCNPSLAGNPIFYVDENQIFCCGFDLSDFFDREKSTLFTKSDLHKVMKQRSVNEKSTGMLSNISRRSLDAVAGGKTPRWIEFWSDAAVDRRKRNSNSYSNSSSSSSSSPDRYFEIQRSGIPKWVDTYVQEIGSVLKDGGWADSDVSEIVDVSSSGFFDGEMVLLDNQAVLDALLLKANRLSESLQKAGWSSEEVSDAFGFDFRKVKERKPAKKISPELVEKIGKLADSVSKF >cds-PLY82568.1 pep primary_assembly:Lsat_Salinas_v7:2:184958189:184962692:-1 gene:gene-LSAT_2X108341 transcript:rna-gnl|WGS:NBSK|LSAT_2X108341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMDNNGEAGCLDPELLQLNEVSPLAIKSNPYVAEKLFEQWLSLPETTPLVKSLVNNAKAGVPLNVPGSTNSPKATSGSSIPSMFPAGSTPPLSPRSSSGSGSPRITKHRAGPSVLGSPLRLLSEPAKELIPQFYFQNGRPPPNELKERCLFQCNQFFYGHMEGLQLHDFKPITKEICKLPSFFSTALYKKIDVNGIGVTRDAFVEYWVHSNMLTKDIATQIFTILKQPDLRYLTHEDFKPILRELLASHPGLEFLQNTPEFQERYAETVIYRIFYYVNRGGNGRLTLRELKRGNLIAAMLHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGAVTRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIVDMVGPKDEGYFTLGDLKGSKLSGSVFNILFNLNKFMAYESRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDAEDASNGSADVWDESLEAPF >cds-PLY83465.1 pep primary_assembly:Lsat_Salinas_v7:3:205856117:205858729:-1 gene:gene-LSAT_3X120961 transcript:rna-gnl|WGS:NBSK|LSAT_3X120961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPAASHHYWRFKPHILMVLCQLGYTFLYFITEASFKHGMNPHVYVTYRHIVAGFVMFPFAYFLERDKRPKMTLVIFLEIFLLSFLGVTLTLNMYFTSLRCTSPTLVASMINTIACLTFIIAVILRLEVVDLQSPRGIAKVVGTLVSLAGVMTMTLYKGPIMPSLGHSAIHFKQTAVIQENWLKGSVLTVASCLTWSIWYIMQAYTLKRYPAQLSLTTWMSFLGGAQSAVFTSMIEHKGAAWRIGFDIDLWSTIYGGIVVSGLIVYIQLWCTKEKGPVFVTMFNPLSTLLVAVLAYFVVDEKLYTGSIVGGTVVISGLYMLLWGKESDKEEQVTTIEHQYITHEVDQEDPKK >cds-PLY90387.1 pep primary_assembly:Lsat_Salinas_v7:9:173098504:173099512:1 gene:gene-LSAT_9X107401 transcript:rna-gnl|WGS:NBSK|LSAT_9X107401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAFFFFILIATFNLSLLRVSSFEPAPLQDFCVADPTNLVAVRVNGMACKNPMFVQADDFYYGGLHIAGDTRNSYGYKVTPVTVYQIPGLNTLGLSLIRIDYALWGANPPHTHPRATEIIMVLEGTLQVGFITSDPQNRLITKVLQKGDVFVFPVGLVHFQRNLGHTNASAIAALSSQNPGLISLTNTIFGSTPPISNEILAQAFRVDNKTVNHLRRKSMHV >cds-PLY96260.1 pep primary_assembly:Lsat_Salinas_v7:6:158050021:158050650:1 gene:gene-LSAT_6X95920 transcript:rna-gnl|WGS:NBSK|LSAT_6X95920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPYTNHFNLLLTSSLVYLLVTITCMTRSSSLSHEEECSALFQFKQSIIHQGDVACAASWFQTFNSWKTTSNASDARFDCCSWHGVECSNNHVYGHVVSLDLSESFLCGHINSTNTLFSLVHLQSLNLAMNYFDESQIPYEIARLKQLKSLNLSHSGFSGQIPNEIAKLTQLSSLDLSGNPLKLHNPSLKNLIQNLTELEEGRTPSLRG >cds-PLY62246.1 pep primary_assembly:Lsat_Salinas_v7:5:166718599:166721041:-1 gene:gene-LSAT_5X75420 transcript:rna-gnl|WGS:NBSK|LSAT_5X75420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDGKSGDAVYIAAWDHIPIPVAREFKPDIILVSAGFDAAIGDPLGGCCITPIPIVFLNSYGRAVEDFDDWTKWLMYKGGVGVKGDNSWESWRDEEQAHIQKIRGRVLETILCLRSQELSEQGFIGLKEL >cds-PLY88095.1 pep primary_assembly:Lsat_Salinas_v7:6:182353222:182354125:-1 gene:gene-LSAT_6X111140 transcript:rna-gnl|WGS:NBSK|LSAT_6X111140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIGGGGEGWWLEEAMNKMNEEQYEGGLEKVGMELGKRKVKGNLQNFVDPEIRVK >cds-PLY71912.1 pep primary_assembly:Lsat_Salinas_v7:3:23849575:23849736:-1 gene:gene-LSAT_3X17741 transcript:rna-gnl|WGS:NBSK|LSAT_3X17741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRWTISDRTMLPWGRLYRVKRSIDITNIKRQHQLLWGCHDINWLVVMLQKSDC >cds-PLY83271.1 pep primary_assembly:Lsat_Salinas_v7:8:191614070:191621517:-1 gene:gene-LSAT_8X124281 transcript:rna-gnl|WGS:NBSK|LSAT_8X124281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTNINEPSGSGLARGRRSGKNINNPNAGLDKDNLNKGKEKENEIRVRDRDRDNNRDNILGFNKDRGGVDDEDDDDDDNDSDGGGVGILHQNLTSASSALQGLLRKLGAGLDDLLPSSAMASASSSHQSGRLKKILSGLRADGEEGKQVEALTQLCDMLSIGTEDSLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCPAVVHYGAVSCFVARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANICKKLPSDAADFVMEAVPLLTNLLQYHDAKVLEHASVCLTRIVEAFAASPDKLDELCNHGLVTQAASLISTSSAGGGQASLSPSTYTGLIRLLSACASGSPLGSKTLLLLGISGILKDILSGSGHAASMYVSPALSRPPEQIFEIVNLTNELLPPLPQGTISLPSSSTLFVKGSLIRKSPGKQEETSGGAPEKEKLLTDQPGLLLQFGMDLLPVLIQIYGSSVNTPVRHKCLSVIGKLMYFSTSDMIQSLLGVTNISSFLAGVLAWKDPQVLLPSLQIAEILMEKLPETFSKLFVREGVVHAIDTLILSGPSTNPLSQSSSNNYTDSTPGSSRSRRYRRRLGPPNVDPEDPKTEGSPPSSLEAPTLNSNLRVSVSTSAKAFKDKFFPSIPGAPEAGITDDLLHLKNLCSKLVALSDDHKSKSKGKSKASTSPRFLDFSPTKEDNLLRVVTFMLSELTKRDSVSTFEFIGSGVVDALLNYLTCGSFSKERVSVSEANLPKLRQLAIKRYKSFISIAFSSVYNESNLVPMSVLVQKLQNALTSLERFPVVLTHNSRSSTSARLSSGLSASSRPFKLRLVRSHGEKSLRDYSSNVLLIDPLASLVAVEDFLWPRVQRSESSHNKPCTSVGNSESGTMAAGGGSGGGGGGGSSPSSSTPASGTRRQSTRSRSAISIGNSGEKDTPQEKNASSSKGKGKAVLKPSQDEGRGGPHTRNASRRRAASDKDTSMKSVDDESSSEDEDVDISPVDIDDALVIEDDEISEDDDDDDHDDVLRDDSLPVYMPYVHDVKLTDSTDNTTLAGPTTSDSHTKSRSTPARGSDSTDYRGPTSFSSRGSMSFAAAAMAGLASANARAVRGGSGGGRDRHNHSTPRLSFSSNGKQLNRHLTIYQAIQQQLVLDEGDNDQYNTDGSKLWNDIYTITYQKADINSQKTTNLTKPGSTCQMSLLDSILQGELPCDMEKDNPTYNILSLLRVLEGLNQLAPRLRIETVIDQFSEGKISSVNDIFTPGVRVLSDEFVNSKLTSKLSRQIQDVLALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALHRLQQQGADGHGSTTERELRVRRLSRQKVRVSRNRILESAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQRTGLKMWRCNNSGDGSVAMEVEVEEKKDDLGDIVISPLGLFPRPWVESSCDGKVIEHFWLFGCVMAKALQDGRLLDMPLSTAFYKLVLGQELDLHDVSSFDAELGKTLQELQAIVCRKKYLESTPRHDCNAILDLNFRGAPVEDLCLDFTLPGFPDYILKPGNEDVSIDNLEEYISLVVAATVKTGITRQMEAFRAGFNQVFDISALQIFTPNELDHLLCGQRELWEADKLVDHIKFDHGYTSKSPAIVNLLEIMGEFTPEQQRAFCQFVTGAPRLPPGGLAILNPKLTIVRKHSSTSSSVTSSAGGASESADDDLPSVMTCANYLKLPAYSTKAPSRTLNLKLYVDVVIRSDGLVSTDFVL >cds-PLY71579.1 pep primary_assembly:Lsat_Salinas_v7:1:115942515:115942733:-1 gene:gene-LSAT_1X87841 transcript:rna-gnl|WGS:NBSK|LSAT_1X87841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSSSSHSRHMAENVSNVVTNEDMAINLLRAQLEVSLVREEIANDIRELQRSITRDLDVLYHEVDVVRAG >cds-PLY65288.1 pep primary_assembly:Lsat_Salinas_v7:8:104823446:104827399:1 gene:gene-LSAT_8X70940 transcript:rna-gnl|WGS:NBSK|LSAT_8X70940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICYSLYFRLPIMSGILPSNWHKYRRAKKLYLDLSVERLLGTKYDLEYKSPNRSGQNFKSGEDMVEMLKKVSTMF >cds-PLY96280.1 pep primary_assembly:Lsat_Salinas_v7:6:158232472:158237852:1 gene:gene-LSAT_6X97621 transcript:rna-gnl|WGS:NBSK|LSAT_6X97621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3C [Source:Projected from Arabidopsis thaliana (AT1G21700) UniProtKB/Swiss-Prot;Acc:Q9XI07] MPASSSETRNRWRKRKRGEQSRKPRPQPQHQHDDDDEDYEEEDDVDLDQQNQHPEFDDDHSNSPDPTAATGAVTEVISDGAVRISTFPSVIKHTVNQHHYSVIQSVARERACRAGDSSNGGMNCVFLENISHGQLQALSAMPKDAPALTGGDSEGSFVITPPPIMEGRGVVKRYGSDRVHVVPMHADWFSPTTVNRLERQAVPHFFSGKSPDHTPEKYMDCRNRIVAKYMENPAMRLSASECHSFLAGVDADDVTRVVRFLENWGVINYCAAPPNHHEPRNEGSYLTEDSKGELKVPAAALRSIDSLIQFDKPRCRIKATDIYPELAVDSDETSDLDTRIRELLSENKCNHCSKSLGIVYYQSLKEIESLLCLNCYNEGEFVAGHSSLDFIKVDSTKDYGDLEADSWTNQETLLLLEAMELFNENWVEIAEHVGTKSKAQCILHFVRLSIDETPLENLEVPTASNLPNGNSCAKPQSYANGKASIIEDSEFEERLPFEKSGNPVMSQVAFLASAVGPRVAAACAHASLAALSEDDHLGDLENDESGSENRVNSENMNGRADPRNPIPIQQKEGEGGSSNITPEKMRDAVRAGLAAAATKAKLFADHEEREIQRLSANIINHQLKRLELKLKQFAEVESLLIKECEQLERARQRVSAERALTISSQFGPPAAGGTTVNRPTGMPGVGPSLLNNTAGPSRQPVSGSPHQPFISGFPSNHHPQMSHSQQQQQQPMFGLGPHLPLSAINPSPPSAAHPMLRPVSGSRSGFE >cds-PLY91958.1 pep primary_assembly:Lsat_Salinas_v7:2:20292205:20292906:1 gene:gene-LSAT_2X11240 transcript:rna-gnl|WGS:NBSK|LSAT_2X11240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNKFNKDHETDLGFGVCPFTIDPKIVEITQFHKTSYHFEAKVYTVNSGKWRSLSSNVPSKQFRVFWAQVVVDRFIYWCAFDPMTIDNELPNHNFIMSFDITNENFGVVELPDCLRRHSPSQLCISKVRESLVILEYDSFVKCACGVWMMENGVEKSFTKRFTVEAPPYWSKSITTLGFRKSGQPIMEVEIAHEQSELVVYEPYTERFDDIGIYAITESFVVNSYIETLVLLG >cds-PLY79388.1 pep primary_assembly:Lsat_Salinas_v7:2:46635179:46635721:1 gene:gene-LSAT_2X20801 transcript:rna-gnl|WGS:NBSK|LSAT_2X20801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKSNLIPLTGSSKKHPTYRGVRRRGNNGKWVSEIREPKSPNRIWLGTFATAEMAAVAYDVAALALKGRDAKLNFPDAASSLPVPASSASRDIQAAATSAAAAAGAAMDALVSCQSGDVTAEPPVIWIADEFIDEDLIFDMPNMINSMAEGMLLSPPRFDFISEESATGTAFDHSLWNYT >cds-PLY74420.1 pep primary_assembly:Lsat_Salinas_v7:6:11429975:11432971:1 gene:gene-LSAT_6X9080 transcript:rna-gnl|WGS:NBSK|LSAT_6X9080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGVSFLVPENDLLSKLKLNAKPELEDADVLERCPNNRYIRYNEMLGRGAFKTVYKGFDEIEGIEIAWNQVRLDDAMQSPEHLERVYSEVHLLRTLKHENIIKSYVSWVDDEKKTINMITELFTSGSLRQYRKKHKSVDLKAIKNWARQILKGLVYLHSHEPPIIHRDLKCDNIFVNGNHGEVKIGDLGLATLMLRPTAKSLIGTPEFMAPELYEEEYNELVDIYSFGMCILELITCEYPYSECRNQAQIYKKVSSGIKPLGLSKVKDPEVKEFIEKCLVPVSERLPAKELLKDPFLNPDSNMKERVCQPVKIKSTNLPKVNLSPMEIDNKSNNSSSSSPRLHSLELQCLNERNEIRLRGEKNENNSIYLNLRIDDFTSTARNIQFMVYIDVDTAHSIALEMVEQLDLIHEEVAVIAELIDELMMKFEPTWKPSVPKSNSSGIISSSEGSIIMSNDDMSHEDCEVEEDACEDLKQELYDINAQYDQFCSELLKCKESAIADAKKRHVLKKKVAVF >cds-PLY62451.1 pep primary_assembly:Lsat_Salinas_v7:1:84186535:84187760:-1 gene:gene-LSAT_1X70121 transcript:rna-gnl|WGS:NBSK|LSAT_1X70121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVGMLLPSISVNDKTFLYKALVFPKPYIRTLTSTKPQFQRPTKPPPFSVHFSGGKTKFYKENSVQFDDLPRNWNLKRSLFEKLKARDSDPVQILEEDGDWSKELFWAAVGFLNQSSRSSQVLQVFDKWKSKDDSRVSQFNYERIISLLVEEGLVEVAVLTLKDMKNFHGLQASSEIYDSIIHGFLKKERFEDALFYLKEMEDTKVIPQPHTYNKLINAYADNGLYDDMAKCVKRMESNGCFPNQSTYNLLIREFSIAGLIKRMERTYQIVISKKMDLEASTMVAMLDAYANFGILEKMEKTIWETSFIIPKQRIVTWCGVYGCLVMLVF >cds-PLY73784.1 pep primary_assembly:Lsat_Salinas_v7:8:292192652:292194268:1 gene:gene-LSAT_8X162660 transcript:rna-gnl|WGS:NBSK|LSAT_8X162660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARNALFSRRWRSSSETTTTEFDDVHVLAVDDSLVDRKVIERLLKITSCKVTAVESGWRALQYLGLDQEKSSSDFVNELKVDLIITDYCMPGMTGYELLKKIKGSATFREVPVVIMSSENVVARIDRCLEEGAEDFIVKPVKLSDVKRLKDYMFGENRGTIPTNDINNKEEKGSLNKRKLQQMSETSPSPSPSPSPSPSPSPSPSPSPPTVSPSASPSPATVSPVTSPSPPMVSPSTSPSPPQSPSTSSSSSTPPRSPPFSPSQLESPTMRLKVTNSDHYDHSDQA >cds-PLY82000.1 pep primary_assembly:Lsat_Salinas_v7:8:263547323:263549632:-1 gene:gene-LSAT_8X152601 transcript:rna-gnl|WGS:NBSK|LSAT_8X152601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELRLRRNLPAEAFMLFLVVLLRNSVVGAITSKHPCDFPAIFNFGDSNSDTGGLSAAFGQAGPPHGESFFHGPAGRYCDGRLIIDFIAESFELPYLSAYLDALGSNFTQGANFATAGSTIRPQNQTLHQSGFSPFSLNVQWYQFNDFHRRVQNFRTKKVDEVFKRLMPKTEDFSRALYTFDIGQNDLTAGLFQNLSLRQVRESVPDILGQFKTVIKDIYNQGGRAFWIHNTGPFGCLPYVLDRQPVTTGQVDKYGCVGPFNELARYFNLRLKQTVDQLREHLPKAAITYVDIYSVKYALITQSINHLRFKHALRACCGHGGKYNYNVHIGCGGKIKINGTEILVGKACADPTTAINWDGVHYTQAANKWVFDQIVNGSFSDPPIPLGLACRRQH >cds-PLY76102.1 pep primary_assembly:Lsat_Salinas_v7:9:30140566:30141457:1 gene:gene-LSAT_9X26700 transcript:rna-gnl|WGS:NBSK|LSAT_9X26700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGKSTGRPSLTGFSEVSDVVAICKWTSQNLSSNRILLVGSSAGAPIAGSAVNVVDEVVGYVSLGYPFGLTASILFGRHHKAILQSPKPKLFVMGTKDGFTSVKQLANKIKTAAGRAETHLIEGVSHFQMEGPAYDTEMANLIVTFISSL >cds-PLY72581.1 pep primary_assembly:Lsat_Salinas_v7:3:198820327:198825061:1 gene:gene-LSAT_3X118161 transcript:rna-gnl|WGS:NBSK|LSAT_3X118161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVLSALFTLVFEKLTSEALKKIARSKGIDSELKKLKKTLDQIQDLLNDASQKEVTDEAVKRWLIDLQQLAYDTDDLLDDIATEAIHRELTEEGGASSSMVRKLIPSCCTSFSQSNRMHAKLDDIATRLQELVEAKNNLGLSVITYEKPKIERDEASLVDASGVFGREDDKKKLLQKLLGDKDESSSQNFSIVPIVGMGGVGKTTLARLLYDEKEVKDHFELRAWVCVSDEFNVLNISKVIYQSVTGENKEFAGLNLLQEALREKFQNKLFLIVLDDVWSESYGDWEKLVVPFLVGASGSRIIMTTRKEQLLKQLSFSHQDPLQRLSQDDSLSLFAQHALGVNKFDSHPTLRPYGEQFVKKCGGLPLALRILGRLLRTKTDEEEWKYLLDSEIWNLGNGDKIVPVLRLSYNDLSATLKLLFGYCSLFPKDYEFDKEELVLLWMAEGFLHHSDVRKSMEQWGHKCFEELLSRSFFQHAPNNKSLFVMHDLLNDLAALVAGDFFSRLDIEMNQEFRKEVLQKHRHMSLVCEDYMVYKRLSPYRGAKNLRTFIALSVRVVEDRVKFNLSNKVLSDLLQELPLLRVLSLSNLSISEVPEVIGSMKHLRYLNLSRTLITRLPENVCNLYNLQSLIVSGCNSFEKLPNSFSKLKNLQHFDMRDTPNLKKMPLGIGELKSLQTFSNIIIGGESGFAIKELKKLQNLHGKVFIAGLGNVQNAMDAREANLSQKRFTELELNWGSEFNVIRTELLEKEILNELMPHNGTLEKLGIMSYRGTEFPNWVGDPSFLRLTKVSIDGCEECTSLPRLGQLPSLKELFIGKMSKVKVVGLELLGTGLAFPSLEILRFDSMSGWEEWSTNSGAFHCLQELRILDCPNLVRLSLKALPSLRVLKLRKCGHGVLKNLVDVASTITKLEIDDISGLTDELWRGVTEYLGAVEEISIRSCNEIRYLWESEAEASKVLMNLKNLDLCECENLMSLGEKEEDNINSGSSLTSFRRLEVWKCNNLEHCSCPDSMEELTICHCDSITSVSFPTGGGQKLKELLITGCKKLLEKELGGREKTGMLIDSKMRMLRSVHIYKWPTLKSITALGYFINLVRLIIIECPSMESFPDHELPNLTSLTNICIERCTSMDASFPRGLWPPKLCYLRIGRLKKPISEWGPQNFPTSLVNLILQAGPYDDMKKFDQLSHLLPSSLTIFGIEGFEKLESVSTGLQHLTSLQHLFIEYCPKMKDLPQNLLPLLLVLWIYECPNLKEKSSKGGYYWPQISLIPCFNRLH >cds-PLY68814.1 pep primary_assembly:Lsat_Salinas_v7:3:61430821:61431036:-1 gene:gene-LSAT_3X48660 transcript:rna-gnl|WGS:NBSK|LSAT_3X48660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMISEQIVSCRATTTSSQSSDWFIPLHLDDSGDTNHQQLQRIQQWRNYGARLRLRETIFQLLTLLPVPCS >cds-PLY88542.1 pep primary_assembly:Lsat_Salinas_v7:7:8809456:8810457:1 gene:gene-LSAT_7X6341 transcript:rna-gnl|WGS:NBSK|LSAT_7X6341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKFKSDPYNFLKITQNPDGSLTRLAPLPSSPATPQLTADSQFVLSKDIPLNTTNTTFIRLFRPVSPPITTTGKLPIIIYFHGGGFILFSATSFPFHNSCAAISAQSPAIVISVEYRLAPEHRLPAAYDDAMEAVLWVRDQALQINGCDEWLTELADFSKAYLMGDSSGGNIAYNAGLRALDLDLNPIKIVGLIMNQPFFGGVKRTESELRLVNDRIVPLMANDLMWSLALPKASDRDHEYCNPLRDLNRSPSEKIIHLPKCLILGNNGDPLIDRQKEFANMLEACGVHVTRKFDDQGYHGVQIFDSQKAQIFYDDVKCFVWGLDMKRSTL >cds-PLY82799.1 pep primary_assembly:Lsat_Salinas_v7:1:86979598:86982453:-1 gene:gene-LSAT_1X71901 transcript:rna-gnl|WGS:NBSK|LSAT_1X71901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLLIALTNSHLQRCVYIAYIVDVVYLWVRRTSGEDDDNQWQIVGDDFIVRKGLATSSIVQAGTGIAELIALEISNQHFKKPWTHDHEPVNDFLDAVKITNTNFFQTTEDLEFNWVIEGDGCKLDSGTLSLPTLEFNWVIEGDFGSSNETQDIIFWHG >cds-PLY85018.1 pep primary_assembly:Lsat_Salinas_v7:4:223579322:223579756:-1 gene:gene-LSAT_4X123500 transcript:rna-gnl|WGS:NBSK|LSAT_4X123500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGHDLWEVVKGTQTNQPEAEDNNGNLRKWKIKSCKAMFVLKTTVEENILEHIKDMSTPKSNRSHKRITFRCTNCGRRGHMTHDCRSENIEDGNDETSKVEEILDAKAFYAQDKEDVAFNATIESHGKKFKEWIVTPGCSNQIT >cds-PLY98018.1 pep primary_assembly:Lsat_Salinas_v7:8:42928745:42931156:-1 gene:gene-LSAT_8X32960 transcript:rna-gnl|WGS:NBSK|LSAT_8X32960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLGASSAQEEPYCESSCGDVNITFPFGSREGCYHSPDFLVTCNRSSHTPIAFFSTSNIVISNMWTGESELEIMAFVAHDCYNSSGRVRRNKPKLRLRDMRISTKNKFVAIGCDTYAYFNGTRGNESVVTGCLSRCGSNSNITNGSCSGVGCCEAGPGYRCSCREGYEGNPYRGCNNIDECNKGNHVCEHECIDTVGNYTCKCPKGHSGDGRKDGTGCTADQSMVIKIALGSSCGAIFLIVFVNWLYFGLKKRKLMILREKFFRQNGGIMLQQRISRDKGSHDQAKVFTIEELKRATNNYDESRIIGKGGNGTVYKGVLSDNQTVAIKKSRLADQTQTQIEQFINEVVILSQINHRNVVKLIGCCLETEVPLLVYEFIPNGTLSDHIHNKHKSLSITWDIRLKIATETAEALSYLHSAASVPVIHRDVKPMNILLDDNYVAKVADFGASKLIPMDQIELATIVQGTLGYLDPEYLQTNQLTDKSDVYSFGVVLVELFTGKKVISFDRPEEERNLAMLFLSSLKEGRLFQVLDEQLQQNKDHNEIIIVSRLAERCLHVKGDERPTMKEVVMELEGILSSKIQKHPWVQHTLNEDEAEYLLKEPTINVYEFDDGANATSSTFDSMSKHTVIPIAGGR >cds-PLY63693.1 pep primary_assembly:Lsat_Salinas_v7:9:85933115:85935778:1 gene:gene-LSAT_9X67980 transcript:rna-gnl|WGS:NBSK|LSAT_9X67980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLITPAVGTGDEGVLTRVSPVLPQPTTESAVYSLIPNNNLLQIKLMENTEPPVSSTTTRTWHDFLERMRQPSATEFVKTIKNFITTFSKTIPNPEKDSESIQEFFATMDAAFRAHPLWAGRTEDELENTSEGLEKYIMTKLFPRVFASHPEDIKIDKDLFNKSLLIQQFIEPHHLDIQQKYQNETSWLIAKKELQKINSYKAPRDKLSCILSCCKVISTSLFNASENPPGADDFLPVLIYVTIKANVAQLHSNLVYIQRFRNRLRLGGETEYLFTNMLSVESFILNIDAKALSMDESEFENNMESARVIVSGEYNGENVETRRVESENDMEAKLKEVPSVSDLENKGGTMIVMEEKVSEKFWNFPFLYSKVDDLSVGDVDELLNGYKQLVFKYVCLAKGLGVPVRPPLETGDGGGEAVGGVPVAATESEQVEDGRVEGGGHDGVRDSTTETLVAGEVVSQLDVNVEK >cds-PLY96505.1 pep primary_assembly:Lsat_Salinas_v7:5:336100038:336103135:-1 gene:gene-LSAT_5X190040 transcript:rna-gnl|WGS:NBSK|LSAT_5X190040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTIDISNENETSQNYKKQKKSSFLVKLSSLIEKHDEQHMQKVIHSIKVGIALVLVSLLYLLDPLFNQVGENAMWAIMTVVVVFEFYAGATLSKGLLRGVGTILGGGLGCLAAIMADDLGKIGNAVVVGASVFIFGAVATYCRLIPSIKRRYDYGVMIFILTFNLVAVSGLRADKILELARERLSTIGMGFAVCIFISLLIFPMWASDELHRVTSSNFDKLACCIEDCMKAYFSVVSEKESMPSINVSGCKSVLHSKSSEESLANFARWEPWHGKFGFYYPWEKYLQIGELIRELASIILSMKECLGSPLQPSTPLQHALKEPCKSVGLSLGLTMRELGESIRNMKRCQAKVLKLESIKLELNLLSTSHKLRGIANVESLAIANFLFLLMEIVDKVEVLAKEVEELGEIAGFQSK >cds-PLY99809.1 pep primary_assembly:Lsat_Salinas_v7:MU037944.1:286588:292220:1 gene:gene-LSAT_0X21100 transcript:rna-gnl|WGS:NBSK|LSAT_0X21100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSISENVDRLTCLPEEITSHILSLIPIRLAVQTSILSKRWRYTWMLLKKLDFNDYPLVFDRDSLSKFVDNVFELCKTSHIEVFRLHFTYIWVRKSSVSKWINEAIRLNIRELDIQVLLLELPLRLFTCKTLTKLRLVESCFETGVLNFPSPVILPSVKTLDISVRSKPCVNAFKLIHGCPILESLSLQVAWRNDEEEYNFNIPSLKRLELRTLKCISVINKVVLNVPNLEYFLVGGVLCSLFVMKDLSNLVEASVSFFDVRFNHLEAELLNGMSGAKSLSWSTSTVDVPLNSHLPKFPNLKHMELKGSCSRWLSMFHVLENSSELEHLCIDEVIALFPTLSCGLKKMSSISENVDRLTCLPEEIISHILSLIPIRLAVQTSILSKRWRYTWMLLKKLAFNDYPYILDRDPLSKFVDSVLELYKTSHIEVFRLHFSDNWVRKSNVSKWINEAVRLNVREIDIQVKLLELPLKLFTCKTLTKLRLIVNCNDPDVFNVSSPVILPCLKTLEISVNGKPCTNAFILINGSPILESLFLEVAWRDDEEEYNFNIPTLKRLELRTRKCLSVINKVVLNVPNLEYFLVGGVLCSLFVMKDLSNLVEATFSFYEIRFSHLMSELLKGISEAKSLSWSTSNIDLPIPLNSPLPKFLNLKRLELKGSCSRWLSMFHVLENSCELEHLCIDEPEEFCWIEPQQIPTCMLSNLTTLNFKRCNGRKWDLQFLEYMLGNSEVLKTLTIITSETLSPKKEMWLCAQLLKFPRASRSCEIHFVGNSAYN >cds-PLY88535.1 pep primary_assembly:Lsat_Salinas_v7:7:134953357:134958231:1 gene:gene-LSAT_7X81360 transcript:rna-gnl|WGS:NBSK|LSAT_7X81360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSARVGVDVEWKDSDTNSLPSFHQTDDLPYVHKVGKPPKQDLLKEIKTGLKETFLSDDPLKPFKGQSKKRKLVLGFQTLFPILEWGRDYSFSKFKGDLIAGCTIASLCIPQDIGYAKLANLDAQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTMLREELDPIKQKHDYERLAFTATFFAGVTQFILGFFRLGFLIDFLSHAAIVGFMAGAAVTIALQQLKGLFGIKDFTKKTDIISVMRSVVQSAHHGWNWQTILIGVSFLIFLLVAKFIGKKNKKLFWVPAIAPLISVIISTFSVFITRADKEGVQIVRHIEQGINPSSAHEIFFNGPFLAKGFKIGVIAGLIALTEAVAIGRTFASMKDYQIDGNKEMVALGTMNIVGSLTSCYVATGSFSRSAVNYMAGCNTAVSNIVMSIIVLLTLYIITPLFKYTPNAILASIIISAVVGLIDLDAAILLWKIDKFDFVACMGAFFGVIFASVEIGLLIAVAISFGKILLQVTRPRTAVLGKIPRTSVYRNIEQYLGATRIPGVLIIRVDSAIYFSNSNYIKERILRWLRDEEESFKDQPKVQYLIVDMSPVTDIDTSGIHALEELYSGLQKKDVQLILTNPGQTVLDKLHAANFVDLIGDDKIFLSVADAVLTFAPKMEQA >cds-PLY90294.1 pep primary_assembly:Lsat_Salinas_v7:2:198399932:198403293:1 gene:gene-LSAT_2X119420 transcript:rna-gnl|WGS:NBSK|LSAT_2X119420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLIQELDHLKIPVEDIKLATNNFSDGNFIGQGGFGSVYKGQLPVSATATRSTRPSTTVAVKRLDVKGGQGQNEFLMEIFMLSSYKHENLVSLVGFCEEGDEKIIVYEHEGRGSLDKYLATDHLTWVQRLLICLGAARGLNYLHTGVGEGHRVLHRDIKSSNVLLDENWEAKISDFGLSKIGPTNQEFTFLVTNAAGTFGYVDPLYVSTGVLTKESDVYSFGVILFEILCGRLAMIGKYDDERRFLSHLAQLRYEEGKLDEIIFPGIRKQIKPDSLRVFSRIANQCLKIDRKKRPTMAMVIEQLQISLEFQIVNFDVDEEITEILGTVGKTTGRYANYIVISSLCFKTTKKTCGPFGKETGTCFSVPWDEGTFAGFYGRAGFYLDGLGCYLKATI >cds-PLY71600.1 pep primary_assembly:Lsat_Salinas_v7:2:104296051:104297554:-1 gene:gene-LSAT_2X45661 transcript:rna-gnl|WGS:NBSK|LSAT_2X45661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRKKWTEAEERTLIDKYGEMVSDGSLSKMKTREKKYKPIAVHVNSLHHLSDPIAYPWEWSWKDVSNKVQNMRHQYSLVKQKIKRPDSGDLVVSGGEGFDWVEGLTHWSNFLRYKEIFGDISLPFDGNNGFDESNQEMEIVEFGNIGIEPNGVLALEYYEEENYNDNNNNGNGNGNGNGNGNHDYGEIERNGNKKRKVKNPEKKAWGFVANQLAQLREMEARFEQREEERERERNRKELFRRKQYEERRKQRNQEWEAMEKEREERRRRRDEEVTREREWEERGIRRRSEWNRRIDEMLSQHRVEMAQIHGRILEEQQNVTSQLLGIVSQWNGSDNTSASNHYLSQMMQNLQHVGGMVDGDDARVEGHNQDDQFIVDG >cds-PLY72579.1 pep primary_assembly:Lsat_Salinas_v7:3:198791576:198796597:1 gene:gene-LSAT_3X118201 transcript:rna-gnl|WGS:NBSK|LSAT_3X118201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVLSAFLTVVFEKLASEALKKIVRSKGIESELKKLKKTLDQIQDLLNDASQKEVTNEAVKRWLNDLQHLAYDIDDLLDDLATEAIHRELTEEGGASTSVVRKLIPSCCTSFSQSNRMHAKLDDIATRLQELVEAKNNLGLSVITYEKPKIERYEASLVDESGIVGREDDKKKLLEKLLGDKDESGSQNFSIVPIVGMGGVGKTTLARLLYDEKKVKDHFELRAWVCVSDEFSVPNISRVIYQSVTGENKEFADLNLLQEALKKKLQNKLFLIVLDDIWSESYGDWEKLVGPFHAGTSGSRIIMTTRKEQLLKQLGFSHEDPLHSIDSLQRLSQEDALSLFSQHAFGVPNFDSHPTLRPYGEQFVKKCGGLPLALRILGRLLRTKTDEEEWKSLLDSEIWSLGNEDKIVPVLRLSYNDLSATLKLLFAYCSLFPKDYVFDKEELVLLWMAEGFLQHSAARNSMQQWGQKCFEELLSRSFFQHAPHDKSLFVMHDLLNDMATYVAGDFFSRLDIEIKQEFGKEPLKKQRHMSFVCEDYVVYKRFKPLKGAKSLRTFLALSVGVVGSWITFYLSNKVLNDLLQELPLLRVLSLTHLTISEVPEVVGSMKHLRYLNLSWTSITHLPENVCNLYNLQTLILSSCYKLIKLPESFSKLKDLQHFDMMGSFMLKTMPLGIGELKSLHTLSSDIGLKLTELKNLQNLHGKVCIDGLGNVENSADAREANFSRKRFSELVLDWGDEFNVLRTKSLEKEILNELMPYNGTLEKLRISLYRGVEFPNWVGDPSYRRLTIVSIESCEESTSLPMLGQLPSLKELFIGGMSKGKVVGREFLGTDLAFPSLEILEFDSMSGWEEWSTKSGAFPCLQELCIEDCPNLVRVSLEALPSLRVLKLRKCGHGVLKSLVDIALSITKLEIDDISGLTDEVWRGMIGCLGAVEEIKISECNEIRYLWESEAEASKLLMNLKMLELRKCENLVSLGEKDKEDNCGSSLTSFSWLGVWNCNSLEHCSCPDSMETLDIWDCDSITSVSFPTGGGQKLKSLVIWDCKKLSEKELGGKEKTRFLIKSKMQMLEFVFIANWPNLKSISELSCFIHLNRLCISECPGMESFPDHELPNLTSLTELTIKKCTSMDASFPRGLWPPKLCRLEIGELKKPISEWGPQNFPTSLSHLTLYGGPYDDVKNFAQLSHLLPSSLTSLGIDRFEKLDSVSTGLQHLTSLQHLFICNCPKTVDLPEKLLSSLLVLRIVKCPNLKEKSCKGGSYWPLISLIPYLDIDE >cds-PLY74822.1 pep primary_assembly:Lsat_Salinas_v7:5:113720471:113720926:-1 gene:gene-LSAT_5X51041 transcript:rna-gnl|WGS:NBSK|LSAT_5X51041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCVDEHEFGMGYLETMRVVKNFGSYWGIIPSDDEGNEGDEQPDTQPEQQPQPRPTSKNVQGRGEGGQPMHPPAPMVGAPFRGDMAGYFDQLSLSVNWIGGTMENVVRHLGVEQPPHLGYHYPICLRWTEYRGHGGDGAGTSGANEEDEDD >cds-PLY80913.1 pep primary_assembly:Lsat_Salinas_v7:8:134209170:134210307:1 gene:gene-LSAT_8X92921 transcript:rna-gnl|WGS:NBSK|LSAT_8X92921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDSAFRLPIPFEITVGIAISICVIFMVFDEMSAGCLVLIFVSDSKVLTYTVFDSQSNASSGMGVAEHCKGTFLELQRKKAHRYVIFKIDEKKNQVVVEKTGSPAESYEDFTSALPENDCRYAVYDFDFVTSDNCQKSKIFFIAWSPESSRIRAKMLYATSKGRLRHDLDGVHYEIQATDSTEMELDVLRERVY >cds-PLY68197.1 pep primary_assembly:Lsat_Salinas_v7:8:119256578:119259171:1 gene:gene-LSAT_8X83140 transcript:rna-gnl|WGS:NBSK|LSAT_8X83140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTAAASHFHRYCGWSRRRYTTGINIRRCLLTPATASLSSSSSQPMDIHTSKSYKQLGMFSLRKKIEDSVNRAELLGLTALEFEEARQIKQEEMIREYDLWDDLAKTSDILIKLADSAKVVDALKDLTYKVEEAKLITELAEMDIINYALLKQAYTTSVDVSKFLDKYEMSKLLKGQYEFEGACIIIEAGSEGIRSEIWAEQLVGMYMKWAKKQGLKGRIIEKKCMSKGGGIKSAIIEFEYKYAYGYFLGEKGTHRMITSHPESLSEVSSAAIDVVPLFLEETPELIVDEKDLKISYLSLCEDDDEGRKRRMVQIQHSPTGLTVHSSGERNDFSNKMKALNRLKAKLLVILKDEEVKSINEIKKDGVMDMWDQETRRYVFRPYKLVQDVKTGIQLADPNFVLNGNLDAFISAHINNRFVCHMG >cds-PLY69188.1 pep primary_assembly:Lsat_Salinas_v7:7:103523345:103525727:-1 gene:gene-LSAT_7X68060 transcript:rna-gnl|WGS:NBSK|LSAT_7X68060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLASGEIAKHVANTETEHVAREDSEYERLVVSSEENVEGDDIHPQQIERERCGRSWKWWIKVISLSIVTIVVSIVSVKWGMPIVFEKLLIPMMEWEATEFGRPTLALILVASLALFPIVFLPSGPSMWLAGMIFGYGLGFVIIMVGTTIGMVLPYLIGLCFRDLIHQWLKKWPRTAAMIRMAGEGDSFQQFRVVALFRISPFPYTIFNYAIVVTSIMFWPYLFGSIAGMIPEAFIYIYSGKLLRTFANVQYGNHEMSPLEIIYNVISFIVAAVMTVCFTVYGKKGLTTLEHEEKCGVTVVHLGHIQLDRLSLERPNQHGLHHSLRSP >cds-PLY70026.1 pep primary_assembly:Lsat_Salinas_v7:4:265557874:265561116:-1 gene:gene-LSAT_4X137421 transcript:rna-gnl|WGS:NBSK|LSAT_4X137421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGDGGNCGSDGDGGGDGGGGGGDGVVMKNAPWMSVPQFGDWDQKGPLPDYSMDFSKIREMRKQNKRDISRASIGNEEEFVSSNTAKLNTANNSAPHHSYDEDHHSPTVSTPSLSLSVLQYHNNPF >cds-PLY96422.1 pep primary_assembly:Lsat_Salinas_v7:4:344510684:344512363:-1 gene:gene-LSAT_4X170061 transcript:rna-gnl|WGS:NBSK|LSAT_4X170061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSSNGRWATAVALAFCATLLVMLPEVSAKRFIVGGNMGWTSNVNYTLWAGNQTFYLGDWLYFVYDRNQNDILEVNKTNYETCNAEHPVHNYTTGAGRDVVALNVTHDRYFMSSKGSCYGGMKLHVHLTALPPPPRAAAAKSDSSRFTALRSQLVIPAAFAIAAIWDSLVF >cds-PLY97180.1 pep primary_assembly:Lsat_Salinas_v7:2:205913598:205915621:1 gene:gene-LSAT_2X126741 transcript:rna-gnl|WGS:NBSK|LSAT_2X126741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGGRKNLKRAVNDETLSLQPGQNIMQVVSLRGSNLIEIIDAKGDKALAIFPAKFQKSMWIKRGSFVVVDDSGREEAVESGRKVACVVLQVLFHKQVRVLQKSAEWPEIFKIVIMDTSNGNSEKSTQENEELESSEDDGMPPLEANTNRRPAELRSDTESDSEIEDS >cds-PLY68605.1 pep primary_assembly:Lsat_Salinas_v7:5:441784:442459:1 gene:gene-LSAT_5X381 transcript:rna-gnl|WGS:NBSK|LSAT_5X381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAFLSAPTFQGLRPLNKAADSPSLFLTKPTVSIAPKKKFNCGVKAELLNPSLVISLSTGLSLFLGRFVFFNFQRENVAKQGLPEQNGITHFEAGDSRAKEYVSLLKSNDPVGFNIVDVLAWGSIGHIVAYYILATSSNGYDPNFF >cds-PLY74434.1 pep primary_assembly:Lsat_Salinas_v7:6:11852360:11853542:1 gene:gene-LSAT_6X10120 transcript:rna-gnl|WGS:NBSK|LSAT_6X10120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSHLLNHDEDFSQIGSSALGHHIVSLTSTTYGLLTLDPSSTKPTVSPTPPPRVTLLSIFPTAVSESRSEAPPEVINSWELMAGLDSTIESFRLYSQPSKRHTLVDKENSNPNKTPSSQENCNQDHQHPIFIKPIVSKTSFLDEFEKLCPPRGENMVVVYTTTLRGVRKTFEECNAVRAVIEGHGVFVCERDISMDQGFREELRELMKGKDRSELVPPRVFVKGRYVGGANEVLKTMEEGSLGLLLEGLPKSKAGYVCEACGGVRFLPCFSCNGSCKMTMDWRKEGGKRAVVRCGECNENGLVHCPICS >cds-PLY77510.1 pep primary_assembly:Lsat_Salinas_v7:4:49595403:49597119:-1 gene:gene-LSAT_4X33541 transcript:rna-gnl|WGS:NBSK|LSAT_4X33541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAAMASTAVFLPRLPTGIATARCTALPNLPPRPFPTSIKLVSESKRSSHFHVKASEDASSSSSIDTDELFTSLKEKWDAVENKPTVIIYGGGAFVALWLSSVIIGAINKLPLLPNVMELVGVGYSGWFVYKYLLFESSRKELAAKIESIKKEISET >cds-PLY95410.1 pep primary_assembly:Lsat_Salinas_v7:9:190083596:190089738:1 gene:gene-LSAT_9X117160 transcript:rna-gnl|WGS:NBSK|LSAT_9X117160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTLSATTATAVFGLSKTLNHSVTGAQTLRSQLALFHIRRTTIATKAEFRRSSSKFASAYPLFGLRNVGGGVPLLRYRVECVSSSASAGSFASLSGGGGIGGGAHSEGGGGGGGGGDGGEAKVNPIVTDASGVSSDVIILDVFGMTCGGCAASVKRILESQPLVSSASVNLTTETAIVWPVSEAKDSPNWQKVLGEELAKHLTTCGFKSNLRDSKKESAFQVFQKKVEEKRKQLKESGRGLAVSWALCAVCLFGHVSHFIGAKAWWIHAMHSTGFHLSLSLFTLLVPGRQLIIDGMKSLMRGTPNMNTLVGLGAISSFTVSTFAALIPKLGWKVFFEEPIMLIAFVLLGRNLEQRAKIKATSDMTGLLSVLPPKARLLVDGDDVEKSTSTVDVPCDSLSVGDKIVVLPGDRVPADGIVTAGRSTVDESSFTGEPLPVTKLPGAEVSAGSINLNGALTVEVKRPGGETFMGDIVRLVEEAQSREAPVQRLADKVAGHFTYGVMAISAATFMFWSTFGARILPATLHHGSAMSLALQLSCSVLVIACPCALGLATPTAVLVGTSLGATRGLLLRGGSILEKFSQVNTIVFDKTGTLTIGKPVVTKILTKTPQEYSELQLSSTETWSENEVLKLAAAVESNTIHPIGKAIREAAKVAKCPNVKADDGTYMEEPGSGAVASIGKKIVSVGTLEWVRRHGVDENPFVETEEFKNQSVVYVGIDGVLAGLIYVEDQIREDAAHVIQSLTSQGINVYLLSGDKKSSAQYVASVVGIPKQQVLYGVKPDEKSKFISRLQKDNRNVVSMVGDGINDAAALAESHVGVAIGGGVGAASEVASIVLMGNKISQLIDALELSRQTMKTVKQNLWWAFGYNIVGIPIAAGTLLPLTGVMLTPSIAGALMGLSSIGVMTNSLLLRLRFTSQSQSQQNKIIGASPYVVQHDIEAPKPKTLT >cds-PLY96568.1 pep primary_assembly:Lsat_Salinas_v7:4:368174623:368175237:1 gene:gene-LSAT_4X180841 transcript:rna-gnl|WGS:NBSK|LSAT_4X180841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRIEKRKSEDFGDMYSEVYSFFEKVKDGLRNHDDYQAFLKCLHLYTTDIITRNELQILVSDLLGKHPDLMKGFRTMEQNLFKHIASNL >cds-PLY90388.1 pep primary_assembly:Lsat_Salinas_v7:9:174365645:174369522:-1 gene:gene-LSAT_9X106720 transcript:rna-gnl|WGS:NBSK|LSAT_9X106720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLELNMGFRLVAVLLLSSIYFCSFVQSRCSGGCDLALGSYFVLPGNELIPISQYMDTDINSILQYNRGTIPNQDSVQSFIRINVPFSCDCINGDFLGHIFSYTVRSQDTYRVIASERYANLTTAEWIQRFNSYPANRIPDVNVTLNVTVNCSCGDSSISKDYGLFVTYPLRSGETLDSVSSAANLSSDLIRSYNPDANFSAVNSLIYIPGRDENGNYPPIRTSSGLSGGAIAGIAVAVVAGVLLLAGCLYYGFYRKKNSEKNPALLKNAQAQLMQSPRGPGGTSIGGSNSSGHPVGASPGLTGITVDKSVEFSYEELSKATDEFSLANKIGQGGFGAVYYAELRGEKAAIKKMDMQASREFLAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEFIENGNLSQHLHGTARDPLSWSTRVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNYHGKVADFGLTKLTEVGSNSLPTRLVGTFGYMPPEYAQYGDVSPKVDVYAFGVVLYELISAKEAIVKANGSNADSKGLVALFDEVLSQPDPKDDLVKMIDPRLGDNYPLDSVRKMAQLAKACTHENPQLRPSMRSIVVALMTLSSSTEDWDVGSFYENQTLVNLMSGR >cds-PLY79946.1 pep primary_assembly:Lsat_Salinas_v7:3:111742231:111742407:1 gene:gene-LSAT_3X78961 transcript:rna-gnl|WGS:NBSK|LSAT_3X78961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECRLKKNLFNAYGWRQMTTPISFSRKPKIRTRRRMWKYVSPGSSTDSREKRQSRDTA >cds-PLY71349.1 pep primary_assembly:Lsat_Salinas_v7:7:185289935:185307894:-1 gene:gene-LSAT_7X110780 transcript:rna-gnl|WGS:NBSK|LSAT_7X110780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSLLRSTNPPPVLEASRQSLSAPSFSSRSQVSSVKLQSTLFGASVSGESSSLQISHARCINPIKATATETPPTVPKSSTSGKTKVGINGFGRIGRLVLRIATFRDDIEVVAVNDPFIDAKYMAYMLKYDSTHGLFKGTIKVIDESTLEINGKQIKVTSQRDPATIPWGDFGADYVVESSGVFTTLDKASAHKKGGAKKVVISAPSADAPMFVVGVNETTYKPNMDIVSNASCTTNCLAPLAKVVHEEFGIIEGLMTTVHATTATQKTVDGPSMKDWRGGRGAAQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTANVSVVDLTCRLEKKASYEDVKAAIKYASEGPMQGILGYTDEDVVSNDFVGDSRSSIFDAKAGIGLSTSFVKLVSWYDNEWGYSNRVLDLIEHMALVAAVSK >cds-PLY92784.1 pep primary_assembly:Lsat_Salinas_v7:2:148995384:148998596:-1 gene:gene-LSAT_2X74881 transcript:rna-gnl|WGS:NBSK|LSAT_2X74881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFYLVTFCSLLFQAYIEAAEVMLEDDVASNKAICLATAGFGTALGVIRALHTYGVLERAYCIETCPFNQGSRLTAYKLVHGKILVTLIADSTAAALMKTRSIHDVIVGADRVTANGDNAKKIGTYSLALSAKHHGIQFYVAAPLTSVDLSLSSGNEIVIEERPPKELLNTRGGMGEQVTASEICVWNPAFDVTPANLISGVQEKLKKQIKEVELAQSKEVSLHWNFSVLVPYVLYS >cds-PLY89694.1 pep primary_assembly:Lsat_Salinas_v7:8:42281177:42296673:1 gene:gene-LSAT_8X31660 transcript:rna-gnl|WGS:NBSK|LSAT_8X31660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEESSSSRSLLLEDFGQKVDLTRRIREVLLNYPEGTTVLKELIQNADDAGATKVCLCLDRRSHPTTSLLSPKLAQWQGPALLAYNNAVFTEDDFASISRIGGSGKQSQAWKTGRFGVGFNSVYHLTDLPSFVSDKYVVLFDPQGDFLPNISTLNPGKRIDYVTSSAISRYQDQLSPFCAFGCNMKTSFPGTIFRFPLRNESQAASSKLSKQAYLPDDISSMFEQLYEEAVFTLLFLKSVVSIEMYVWDTGMSEPKKTYSCSIRLKDKDTVWHRQTLLRLSKSMNSSDDKTDGFSLDFLSEDINGDKRVDTFYIVQTMASSSSRIGSFAKSMSKDYDIHLLPWAAVAACISDNSSRGDVLKDGRAFCFLPLPLKTGMTVQINGYFEVSSNRRGIWYGADMDRSGRIRSLWNRLLLEDVVASSFVKLLLQVQHILGPTNSYYSLWPIGSFEEPWSIMVEHIYKNIGDSRVLHSDLNGGKWVSVIEAFLHDNEFCKSNELGKALVQLGLPIVHLPIALRDMLLKFASSLKVVTPDSVRNFLRKSKGVNKISRDLKLVLLEYCLEDLIDDDVVTHAYDLPLVPLASGEFGSFSEKGVSYFVCNELEYKLLQKIPDRVIDQNIPENILTRLTSIAKIPSANILLFDVNSFLQLFPKIIPPDWKYKTPVSWDPESNPNHPSSTWFHLFWKYLHGNCESLLTFGDWPILPSVSGHLYRPSRQVKLLNVDKLSDKMKGILGKVGCRILNSSHGVDHPDLVNYVREADGDGVLKSIFDVVSSNDDIKHLFLQTLEAVDRDELRHFFLDPKWYIGNNMSDTERRACMRLPIFMVYSEEENYSYSGLEEQKFLPPFDCHKSLLCNDFVKSSSGIEDEILNKYYGIERMGKAIFYKQYVFNKVKELAPEFRDIIMMSVLQELPHLSTEDPTIKGHLANLEFVPTASGSLKCPTVLYDPRNEELYALLEDSDCFPHGVFEESGILDKLQGLGLKTSVSPEAVIQSARQVELLMQSDQQRAHSRGKVLLSYLEVNALKWSPDVSDQGTMNRMFSRAASAFRSRNLKSDLEKFWIDLRLISWCPVLVSSPFESLPWPIVSSMVAPPKLVRLFSDLWLVSASMRILDGECSSTSLSHYLGWSSPPSGSVIAAQLLELGKNNETVTDPVLRQELALAMPRIYAILMNMLNTDEMDIVKAVLEGSRWIWVGDGFATPEEVVISGSLHLAPYLRVIPVDLAVFKALFLELGIREFLKPKDYAQILGRMARIKGSTPLNSQELRAALLISQHLAEVQLYEEQIKIFLPDVSCFLVDATDLVYNDAPWLIGSENEASFGNPSMALNMKRTVHKFVHGNISNDVAEKLGVHSLRRMLLAESADSMNLSLSGAAEAFGQHEALTTRLKHILEMYADGPGTLFELVQNAEDAKASEVAFLLDNTHYGTSSVLSPEMSDWQGPALYCFNDSVFSPQDLYAISRIGQESKLEKPFAIGRFGLGFNCVYHFTDIPTFVSGENIVLFDPHACHLPGISPSHPGLRIKFSGRKILEQFPDQFSPFLHFGCDMQNSFPGTLFRFPLRNAKAASKSQIKKEAYSPQDVTSLLTSFSEVVSKTLLFLRNVKTISIYMKEGVGSEMQLVHRVHKDSIGEPEREAESNTFQLMVNFMHEHKEGSEKNHFFDKLKRPNDTGLPWKSQKLLLTEKSQSEEKSNVWLTSECFDGRQSKRNNKSHKSIPWACVASCLNNMEVEKNFEGQAFCFLPLPINTGLPVHVNAYFELSSNRRDIWFGNDMAGGGKKRSDWNLYLLEEVAAPAYGHLLEKLTSEINNSDSFYSFWPTTATSGPWVSMVEKLYKFVSDSGLRVLYTKARGGQWIPTKQAIFPDFTFDKSNFLVDALSDAGLPMTTIPKPHVEKFMEFCPTLHFLTPQLLRTLLVRRKREFRDRNGMILALEYCLLDLTTPIQSDNFYGLPLLPLSNGLFTVFEKRGSSDRVYVTRGDAYNLLKDSVPNHLVDSEIPDAVYEKLCDMARSESFNVSFLSCHLLEKLFMRLLPTEWMHMKEVMWVPGHQGQPTLEWMRLLWNYLNSNCDDLSVFCKWPILPVGNNHLLQLVENSYVIEDDGWSENMSSLLLKIGCLLLRHDIQLEHPQLNKYVQSPSASGVLNALSAICEPGKIGDLFDNASEGELHEFRSFILQSKWFSGGLLNASHINIIKQIPMFESFKSRKLVSLTKPTKWLKPDGILEGLLDDDFIRIDSEKERKLLKKYLDIREPSRVQFYKGYVFNRIPELVSEPEILLAIFDEIRVLIKEDSSFKKELMMTPFVLTNTGSLQEPQRLYDPRIPELEKFLHKDLFFPSDKFSDPETLEMLVTLGLKQTLGIQGLLDSAKSVSMLHDKMEPEAVVNGKRLLGCLDALALKFSTEEVEFSFNEFGPDDEADNYSRNTPEAFHEDYVGKLFDEMPGDEFWSELKAIRWCPVSVDPPLTGLPWLMPAQQIAAPDTVRPKSQMWLASSMMHVLDGECNSMFLQRKLGWTDRLHIKTLTSQLIGLSKSYAEVKSDPEFEASLQEHMPVLYSNLQEYVGTDEFDLLKASLNNVPWVWIGDDFVTVEALAFDSPVKYSPYLYVVPSELSVFRDLLLALGVRLSFDLFDYAHVLLRLQKDVKGSPLSEDQLSFVLCVLEAVSDCQSERSMFESSNTPLLVPDSRGLLMAARDVMYNDAPWLDNNTPLGKHIIHSSISHDLANRLGIQSLRSISLVSEEMTKDLPCMDYAKIHDLLELYGGKDFLLYDLIELADCCKAKKLHIIFDKRQHPSQSLLQQNLGDFQGPAIVAVLEGASLSREEISSLQFLPPWGLRGDMLNYGLGLMSCYSITDLPSVVSGGFLYMFDPCGKAFTLPSSSHSPAAKMFTLTGTNLTERFRDQFSPMFIGQKVPWSSDSTVIRMPISSKFIEDGSESEWTEIKLIFENFIKHASRTLVFLKSVSEVSLSTWEEKEPQSSQDFLIYVDSSHAALRNPFSEKKWKKFQLSNLFGTSSSAVKLHVVDINLHQNGTMVVDRWLVVLSLGSGQTRNMALDRRYLAYNLTPVAGVAIHILRNGHLSEAQSFYSIMSPLPLSDSITMPVTVLGCFLVRHNRGRYLFMYQDSESQPDAGNQLIEAWNRELMTCVRDSYVRLVLEMFKIRRDPSTSTLESRATHAIHMALNACGTQIYSFWPTSIDTEDANGTKSKTLKADWTCVVDQVIRPFYSRLVDLPVWQLYSGNLVKAEEGMFLSQPGSRMGGNILPATVCSFVKEHHPVFSVPWKLVSEIQAIGFNIREIKPKMVRDLLRMSSTPIAIPSIDTYVDVLEYCLSDIQLLDPSEDPDHNSGPGLDLGRAPGPSGSTSPNPGDPIETLASLGKALFDFGRGVVEDMGRGTERYNTGLGLGGPIRGLDRRFVKVASELKGLPCPTAISHLSKLGVTELWVGNKQQQSLMARLGSKFVHPNILERPILAQIFTNDNLLSLLKLKIFSPRLLADNMRSLFHENWVNHVINSSVAPWFSWENTREGGPSPDWIKLFWRSFSNSEDLPLFSQWPLVPVFLGRPVLCRVKHCNLVFIPPQLPDSELENPGLEDDDVACLDSLPEEIRPYMLAYKVTDKKHPWLYSLLNQCNIPIVDTAFMEFAPPRNYFPVAGISLGQAIAAKLVAGKAAGYLPELTSFPPSDCNSLFSLLASDFSSNGSEYTQQDLEVLRDLPIYKTVIGTYRKLNIQETCMIGSNTFLKPYNEHCMWYNSEAFESGLLRALGVSELQDKQILVRFGLPGFEEKPHSEQEDILIYLYMNWQELQQDSLVIEALKETKFVRSADEQSGDLHKPKDLFDPGDSLLKSVFSSEVQKFPGERFVSDGWLNVLRKTGLQNTSDPDIVLECARRVEFLGAESMKPSGFVDDFEEDFSDTRMEVSLEIWSLAETLVSAIFANFAVLYGNNFCNTLGKIACIPAEKGFPSISGKKGGKRVLCSYSEALVLKDWPLGWSVAPILSKQSVVPPEYAWGSLQLKTPPPFTTVLKHLQAIGRNFGEDTLAHWPNESGLITVEEASFEVFKYLDKIWGTLSSSDLSELKRVAFIPAANGTRLVTSSSLFARLTINLSPFAFELPSRYLPFVKILKELGLQDTLSISCAMDLLSDLQKSCGYQRLNPNELRAVMEILHFLCNETLELQKSDRSKWESELIVPDDGCRLVHANSCVYIDPYGSRYVKYIDSSRLRFVHHDVSEKLCLAFSIRKLSDVVVEELDQVENLHTLEEIGSISLASIRIKLLSTSFQVAILSVLSSVPLTTSKTPDLQTLQQSLESIAKKLQFVQSIYTRFWLLTKSQDITRSSKDSIIPEWESGSRSSHRSLYYVDRSNTRLLIADPPSYISVLDLVSIVVSHVLRSPVPLPIASLFLSPEGSETALVNILKLSSDVRVTGGVGGFLGREILPQDAMQVQLHPLRPFYKGEIVAWRSQNGEKLKYGKIPEDVRPSAGQALYRFNLEMSPGKLEAVLSSHVFSFRSLSIGNNNNNMIHEDNSNNVVDTTHKHVEKPESSSSRNQKQKQPIKELEHGRVSPEELVQAVQEMLSAAGIRMDTEKQSLLQTTLSLQERLKESQATLLLEQEKSDMAIKEADTAKAAWLCRICLSNEIDITLVPCGHVLCRRCSSAVSRCPFCRLQVSKTIKIYRP >cds-PLY85619.1 pep primary_assembly:Lsat_Salinas_v7:8:162789052:162789886:1 gene:gene-LSAT_8X107821 transcript:rna-gnl|WGS:NBSK|LSAT_8X107821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGMMAEQQLWRINLQAKAKNFHFKLKASKYLPTCYNFFRFSLFFKISHLLIRLSSDNNPTTKRKSLKSRISEPIQKFRRRITKRTAFPAQKPSKIKWLKLGSCESIYQKDRKGIIIHGLSVFKYLLTQIKIFMETKANKLLLLSILAMMGYLLWLRMKNHLSYHERTFASRYFRKVWNWAITQVIYFYLGL >cds-PLY80547.1 pep primary_assembly:Lsat_Salinas_v7:6:13762638:13763495:1 gene:gene-LSAT_6X12000 transcript:rna-gnl|WGS:NBSK|LSAT_6X12000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTEFVDTEAIISNPPSSSGPLEGLKQLRVLHEQTKKEAKELKEEVAGLSKKNQSLVADLSRFIAQQEELKKLNQDLQMRIDDALIQCTSTVKELECVSQQYHSLKSQYTEKVYQLETAFLSKDVSIKLQEEELAIMRLPMLEKDAQIATLNDVQLLTKYKVDTCLARVD >cds-PLY99377.1 pep primary_assembly:Lsat_Salinas_v7:7:144836120:144838293:1 gene:gene-LSAT_7X86221 transcript:rna-gnl|WGS:NBSK|LSAT_7X86221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQSMVCHVMLVVIFILVALAEAQTPPGINNPSHATCKDPSYKECHNLVHVCPKFCPDSCTVNCQSCKPVCGGDIAPSPPVYTPPTPTLKPPTPSSPPPTTPSPPTASTPPTSPSPPIEPTPPTPTPSSSPPPPTPSPPTPSSPPPTTPSPPTEYTPTTPTPSSPPHTTTPSSPTESTPPTPTTSIPPPTTPSPPIESTPPTSTPSTPPPTTPSPPISTTPTPTPSSPTPTSLSPPPESTPTTPTSSTPSPTTPSPPTSTTPTPTPSSPAPTYPSPPIESTPTTPSPSTPPPTTPSPTTGSTPPAQTPSTPPPTTPSPTTGSTPPTPTPSSHVPPTWSAPPSKTKKVKCKNQNYPNCYASEHVCPASCPGQCEVDCVTCKPVCNCDMPGAVCQDPRFIGGDGITFYFHGKKDQDFCLVADNNLHINGHFIGKRNRNMGRDFTWVQSIGILFDNHKVQLSAQKTSSWDDTIDRISVTFDGENIFLPKTEGAKWQSSTTSITRIDDNNHIIVEVENLFRITAKVVPITKEESRIHKYDITSDDCFAHLDLKFKFFSLSNEVDGVLGQTYRNDYVSKVKMGVLMSVMGGDSKFVSTNSFATDCSVAKFKGSQEDSSSLNLQLPSLSCQSGIEGRGVVCKR >cds-PLY81304.1 pep primary_assembly:Lsat_Salinas_v7:1:185405467:185405910:-1 gene:gene-LSAT_1X119501 transcript:rna-gnl|WGS:NBSK|LSAT_1X119501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFFSYYHVVFSNVVEKCLTYGSPDERQLLVNEMLGSTDENEPLQAMMKDPFGNYVVQKVLETCDDQTRDIILYILDIFPLFKSFIKFSLLDTELDERMRDLNLLSNSHFWRWP >cds-PLY91218.1 pep primary_assembly:Lsat_Salinas_v7:3:77151256:77154215:-1 gene:gene-LSAT_3X59901 transcript:rna-gnl|WGS:NBSK|LSAT_3X59901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSFRTTPPTHPPSVAVTPHPLSSSDLYCHSPFYIRGDENGRTDTRFTDLGELQHSSAFHQEDAVDLSRSSIYNEIRAGNGNGVVVSNGLQFRAHHMNFGSTTEMSSIGTGVDTGQFMMQNHHKAMVVSGGGSGGGGGGIGKGHFENWGESGIADNSQQTDTSTDIDTDDKNQFNSLQHGAQDSMEGSMGKIGDQKILRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEHELKQARQQGMLIANGDQSHLLGSNGALAFDMDYARWLEDHQRLINDVRSAFNTHANDNELRVLVDAIMSHYDEIFRLKSIAAKSDVFHLLSGMWKSPAERCFMWIGGFRSSELLKILGSHLEPLTDQQLMGICNLQQSSQQAEDALSQGMEAWQQSLVDTLSSTSGGSVDYMGQMAMAMGKLGTLENFLHQADLLRQQTLQQLHRILTTRQAARALLVINDYMSRLRALSSLWLARPKD >cds-PLY90221.1 pep primary_assembly:Lsat_Salinas_v7:8:274950716:274952046:1 gene:gene-LSAT_8X157101 transcript:rna-gnl|WGS:NBSK|LSAT_8X157101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSSSVPRKPAASERQRRDRMKHLYSTLASFLHLQPYERMPLPEFLDRAANALIQRKEKVERLKARKEELENELRCASNNGQSLQLVRVTEIDSKLEVNLIISANNKKAEAFRVLSVIEQGGAEITNSSFCTVGQLIYCTIHAQAFQARVGFDVALIESRLLELVYLS >cds-PLY76701.1 pep primary_assembly:Lsat_Salinas_v7:3:140622173:140622556:1 gene:gene-LSAT_3X94161 transcript:rna-gnl|WGS:NBSK|LSAT_3X94161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHKLLLMVQEVTPMAPLLSNTEETPPPNNIMFVQNLAHDTTQDMLQLLFKQFLGFKEIRMIDAKLGIALVEFDDNNQSSTTMQSLQGFKITP >cds-PLY83064.1 pep primary_assembly:Lsat_Salinas_v7:3:81086757:81086978:1 gene:gene-LSAT_3X65381 transcript:rna-gnl|WGS:NBSK|LSAT_3X65381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLWKNDGPSSTENGGGSTTASAGPKVAMCCRSSTGDGRGTPVDDDKRQRKKMTEECWATLWYRPMVRLSMVE >cds-PLY91335.1 pep primary_assembly:Lsat_Salinas_v7:4:246191375:246191964:1 gene:gene-LSAT_4X130381 transcript:rna-gnl|WGS:NBSK|LSAT_4X130381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHWVTECCVIILNYYLYNYFKDWCPVVYSKYAGSEVEFNGSHHLILKEDDIVGILETEDVTDLKPIDDKVPIKGEEAEETTAGGLLLTQASK >cds-PLY94459.1 pep primary_assembly:Lsat_Salinas_v7:3:251253960:251260615:1 gene:gene-LSAT_3X138461 transcript:rna-gnl|WGS:NBSK|LSAT_3X138461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSPVLPLKRDSLLKISPNNSSHLQRPRSRFARLIGFKKIDYLQWVSALAVFIFFMFLVQMFLPLSMVDKADGDFLKREADSDFINLLKQIGDLDFGEDVKFMPTKLMMKFRREEMNNASFGGSRTLARFPNRKPQLALVFADLLVDPQQILMVTVAAALRAIGYEIEVYSLENGPVHSIWKSIGVPVNIMDANNKTDITIDWLNYDGVVVNSLEAKDVVSCLLHEPFKSVPLIWSIHEKSLATRVASYVSSGKVEIIDDWKAIFNRATVVVFPNYALPMFYAAFDDGNYFVIPGSPSKACKIEDSTIIHEGNHLRVNMMNIGVDDFVVAIVGSEFLYKGIWLEHALVLRALFPLLAEFQISDNFSPRLKILIFTHDLTGNYSSAIEEIALNLNYPRGSVSHVAIDEDMGVLGITDVVIYGSFFEEQSFPDILTRAMCLEKPIIAPDLPIIKKYVNHGVNGLLFPKENIKALTQITLQVVSKGKLSSLATNIASTGEDTAKNMMALDSIEGYASLIENILHLPSEVASPREISEIPSDIKTKWQWHLFEAIEDREYVNRTLRIHHLLDKVEGQRNRAPRAISEIPTNDSFIYDLWEEEKRDQIMKARRAREDDEVRDRSEQPRGTWEEVYKNAKKADRNKNDLHERDDGELERTGQPLCIYEPYFGQGSWSFLHTNSLYQGIRLSTKSGRPRRDDIDAASRLPLLNTAYYRDTFGDFGAFLAIANRIDHIHKNAWIGFSSWRSTPKKESLSKNAEIALLEDIEAQKHGDALYFWVRMDKDPRNPIQQDFWSFCDAINAGNCKFAYSEAFKKMYGIKSNLTILPPMPADGDTWSVMNSWAMPTKSFLEFVMFSRMFVDAIDEQMYDEHHGSGLCYLSLNKDKHCYTRVLEVLVNIWAYHSARRMVYIDPNTGKTQEQHHFQKRAGKMWVKWFDYSTLKAMDEDLAEEADSDHPTRRWLWPSTGEVFWQGVYEKERMQWRKEKETKKQKTRAKIQRIKNRTHQKVIGKYVKPPPENSTVAAVVRGFR >cds-PLY64930.1 pep primary_assembly:Lsat_Salinas_v7:8:132877701:132879470:-1 gene:gene-LSAT_8X92061 transcript:rna-gnl|WGS:NBSK|LSAT_8X92061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPASHKFPSMASPASHFLLHILFLFLHFPSPFAAAGLTNLVYKGCANQNFQDPIASENLKTLFTALVAQSSTTNFYKATSGGNEQPTTSITGLYQCRGDLSNTDCNSCVIKLPNTIQKVCDRDTIAARVQLVGCYMRYEVIGFQQVPATELLYKQCGSRRAGGSGFSERLEAALSLIPKGVSNGKGYYAGGYQSVYVLGQCEGDLGGGDCVNCVKKAAEIGRTECQSSISGRIFLQQCYISYTYYPDGVPGAVDGGVGVGGVETGATETGGGGGGGRNNTEKTVAIVFGGLAGLGLVVAFLLVLKSAFKKKKEHYSYGGDH >cds-PLY74741.1 pep primary_assembly:Lsat_Salinas_v7:6:120310352:120311258:1 gene:gene-LSAT_6X72241 transcript:rna-gnl|WGS:NBSK|LSAT_6X72241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYQFLLHLCNLLFLDSYHIKLLGDVGFQVQFMPMGSFFIFAVLPDTYKLIEEWISTHKFSRYPIKDEYAQWIVSSQRSLLEVMEAFPSAKPPLGVFFASVAPRLQPRYYSISSSPKMAPERIHVTCSLVYEKTLSGRVHKGVCSTRMKVSIINYQLLFIFFL >cds-PLY74662.1 pep primary_assembly:Lsat_Salinas_v7:5:177749682:177750262:1 gene:gene-LSAT_5X79160 transcript:rna-gnl|WGS:NBSK|LSAT_5X79160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHIFSTRESGLLLDFSTRKGLSIAENPYGSRKFSRTWTTLQGICFWTGSRLLATFGLRLSRYLTKNVYHGKIYYTLITTKLVNLILRILMGEY >cds-PLY92155.1 pep primary_assembly:Lsat_Salinas_v7:8:5602903:5604527:-1 gene:gene-LSAT_8X4561 transcript:rna-gnl|WGS:NBSK|LSAT_8X4561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRVSVSSLVVIAAVFLLFVLTSAEIRFTEIRSDSNFNIPIDEFGFTHFGRLHLNLSQISFNPPEPDLSRIGFFLCTRDSWIHVVEQLQSEKIRCPLDSPAVKPVFTFNHLKPTTTTPSYDAVFNVTDTNQFTLVFANCAGGIKVSVNVLSVMYNLNPQNNRRDYLSAGKSNLPSIYFSFFLIYASLSCIWIYTLHHRKSSAYRIHYFMLAVLLLKALNLLCETEDKSYIKRSGTPHGWDVLFYIFSFLKGITLFTLIVLIGTGWSFLKPYLQDKEKNVLMIVIPLQVVSNLAQVVIDETGPFGQDLSTWRQIFLLVDIICCCAVLFPIIWSIKNLREAAMTDGKAAVNLMKLTLFRQYYVVVICYIYFTRVAVYFLEMITSYRYAWTSVFLAELATLAFYVFTGYNFRPKTHNPYFAIDDEEEEAAVQALKLEDEFEL >cds-PLY92654.1 pep primary_assembly:Lsat_Salinas_v7:2:160538656:160541666:-1 gene:gene-LSAT_2X84661 transcript:rna-gnl|WGS:NBSK|LSAT_2X84661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSDQHQPLLASDEQETAYDPTEKVHVVGVVDDQDHFDEYGDKSPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLLWATAIGLLIQLLSARLGVVTGRHLAELCREEYPNWAGKLLWIMTELALIGADIQEVIGSAIALKILTIGFLPLWAGVLITAFDCFIFLFLENYGVRKLEALFAVLIAVMAISFAWMFGETKPNMKELLVGIVVPKLNSKTIQQAVGVVGCIIMPHNVFLHSALVQSREIDPRKTGRVREAIKYYSIESTIALAISFIINLCVTTVFAKAFFGTAIADTIGLGNAGQFLEDKFGGGVVPILYIWAVGLLAAGQSSTITGTYAGQFIMGGFLDLRLKKWARALITRSCAIVPTLIVALIFDSSEDTLDSLNEWLNVLQSVQIPFALIPLLCLVAKDDLMGVFKIGPFLKTISWLVAALVIAINGYLLQQFIVEEVSGVVFTSIVVIFTAAYVAFVVYLIWRSITVSTFGFLKPNSQVI >cds-PLY94591.1 pep primary_assembly:Lsat_Salinas_v7:8:180788457:180792646:-1 gene:gene-LSAT_8X117320 transcript:rna-gnl|WGS:NBSK|LSAT_8X117320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKEIERKKVEIEHVKDIFMLSHTDSTATEGLSSSPQSNSTCGSLRGQGWKYGSGFVDGIFPVLSPDAQQILNFMKKETDVNRVCDALNTLPPTHTTWDDIISVAVQFRLNKRWDPIILMCEWILYRSSFQPDVICYNLLIDAFGQKSSPEKAESTYFNLLEARCIPTEDTYALLLRAYCTSGLLDKAEAVFMEMRNYSLPPSAMVYNAYIDGLIKGRNSQKAVEIFQRMKRNCCQPSTDTYTMLINLYGKANRSYMALKVFNEMKSQKCKPNICTYTALINAFAREGLCEKAEDVFEQLQEAGIEPDVYAYNALMEAYSRAGFPYGAAEIFSLMQHMGCEPDRASFNIMVDAYGRSGLHEDARLVFEEMKRLGIEPTMKSHMVLLSAYSKVGNIIKCEEIVTRMHKSGLDPDTFVLNTMLNVYGRFGHFKKMEQVLSVMENNKPYIPDITTYNILINIYGRAGYFEQMEEIFRLLPSKKLQRDVVTWTSRLGAYSRKKQYSKCLEIFEEMITDGCYPDGGTARVLLSACSTKEQVEQVSLVLRTMHKDMKLDV >cds-PLY64789.1 pep primary_assembly:Lsat_Salinas_v7:2:101211064:101211456:-1 gene:gene-LSAT_2X44141 transcript:rna-gnl|WGS:NBSK|LSAT_2X44141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRLELEKRRIPLAEIIRATKHFSSEKLIGDGGFDMVYSGQLSEQWKNRLVAIKRLNPNGCQGDVEFNNEVKMVSNFNHPNIIPFVGYYDDANEKIVVYKYAIKAISNGSLMRGLMGSLISLNSKSSL >cds-PLY93142.1 pep primary_assembly:Lsat_Salinas_v7:9:3189375:3191723:1 gene:gene-LSAT_9X2781 transcript:rna-gnl|WGS:NBSK|LSAT_9X2781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKQRSFSFKRTRFFVLSLTISFSIIFLTFFSIWVFKSDPFLINRETRFQFSEIQPPSQDHSQPFISNFPIRLVGSLAHLNQTHFGKPLNTSESANVSFSFHEFQRKSSESDLEPHKIDAVNATKLSDFHIHTSIATNHTIPQNKSEDLVSEGLFLKSISERVHTSDFQEEKGEKVCDVTKGKWVYDESYPLYSTFTCPFIDEGFNCEANGRLDKDYMKWRWQPQDCYIPRFNATNMLELIRGKRLVFVGDSINRNQWESMLCLLMSVVKDPKKVYETHGRRITKEKGNYCFRFVDYKCTVEYYVSHFLVHEGKARVGRKRLQTLRIDTVDRSSSRWKGADFLIFNTAHWWSHYKTKSGVNYYQEGDNVVPHLEVSTAFRKSMVTWGSWLDKYINPRKTQVFFRTSAPSHFRGGNWNAGGHCKEASQPLNHQTATINYPEKNVIVEEVIQQMKTPVNFLNITRLSDYRTDGHPSIYGRRKGSSSGLKGEDCSHWCLPGVPDIWNQLLYLRLQSNKVRNSSFVQ >cds-PLY75029.1 pep primary_assembly:Lsat_Salinas_v7:9:193990914:193991974:-1 gene:gene-LSAT_9X119180 transcript:rna-gnl|WGS:NBSK|LSAT_9X119180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQIVHSLYSHKEIFLRELVSNASDALDKLIFLSVTDPSLLGDAGELEIRIKPDPEKRTITISDIGIGMTKEDLIDCLGTISQSGDCFQQESKDDDKYEFTEPSRVQGLVKNYSQFFSFPIFTWQEKSRTVEGQFRV >cds-PLY85925.1 pep primary_assembly:Lsat_Salinas_v7:2:189090996:189092143:1 gene:gene-LSAT_2X111101 transcript:rna-gnl|WGS:NBSK|LSAT_2X111101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNWIYPVKVMHFEMEMTLKEDNPDSPMSGIYEIPGEPALVINGVPPVCTSVNGSLVPYKVCCDIDSKTNESLGDWLEGRKVQKLFGEKFFNGEVTKFDKESNWYRVVYDDGDFEDLEWHELQEVLLPLDITIPLKTLASKVNKRRQKHDKKSGRSVSKPKIHQHKGLESEVEKMEV >cds-PLY95634.1 pep primary_assembly:Lsat_Salinas_v7:7:101780759:101783170:-1 gene:gene-LSAT_7X67880 transcript:rna-gnl|WGS:NBSK|LSAT_7X67880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRSRVGSTSGGPDDVDDGMVDGSFHSPEWHAARLASLKTSHTVTWEEYKKKQKEEEIKKGELEADKDRMMREYRAQLDAERASKLAHGKNHSKIKSTQRKKDNKKDKDLKKRSNKKRKHLRKSCGSSSSSSSSSESSSSDDEDDSRESKRRSRSRSRSRKRKDKRQSSKSKHSSGDEQEADGPLPLSRFFGNTKN >cds-PLY88929.1 pep primary_assembly:Lsat_Salinas_v7:8:129412950:129413582:1 gene:gene-LSAT_8X89780 transcript:rna-gnl|WGS:NBSK|LSAT_8X89780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRGNQRDRDRERAQARAGGKPKNPKTDGLTPEQRRERDAKALQEKLAKKACKDSSGAFNGSNGIKTKK >cds-PLY64720.1 pep primary_assembly:Lsat_Salinas_v7:5:21398411:21400984:-1 gene:gene-LSAT_5X11300 transcript:rna-gnl|WGS:NBSK|LSAT_5X11300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATIASVGSSYDRQSDLKAFDQTKTDVKGLVDAGIQKIPPIFFHPRDTTPKISTTIVKIPVIDLQSTHRASMVEMIREASANLGIFQVVNHGIPVSVMDEAVQRVCRFNEQDDEIVVSLHATRSKYVLEYSKEINSLLGNRKSSPSSLFEDPKTVLKIDEEPSSLKAGWELLEIFYANKQSQSWIPKQLVDWLTTQRYTLHGHWLQTSTATGRLSMEDPNLQWVKHMVEFKIDSNEKEGDDSDMEPYKVNPRDFFIPTQENWLLVTADYSQIELRLMAHFSKDQSLIDLLTKPLGDVFNMITTKWSGKEESLVGPKERNQTKRLIYGILYGMGAN >cds-PLY98544.1 pep primary_assembly:Lsat_Salinas_v7:1:36943028:36945306:-1 gene:gene-LSAT_1X32040 transcript:rna-gnl|WGS:NBSK|LSAT_1X32040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSGEDWIASDKSSGSSNYVWLACSDDFESQGEIGDYLRKKHELRTISDLVQEAVQTRNKTVVELASEIDRRNENLDDLQIKYIQKRVSLSRMLEEKYSLHQAFYENEMLVVKLEKGKKKLNSLMKKLKTRREKGWVLKKVLGFGRQLDVEQKLEMRIKELKRKVQMMKHLGDEDDAAVQEKITKMNNELEINMEEMENMENLNQTHLVKECQSNDELKEVRKELIKGLKGTLSGRTNIGVKRMGEIDMKAFHDACKEKFGNEEAQIKASELCSMWQEKLKNPEWHPDGDNLKEVINEEDELLKNLKAEWGGWGV >cds-PLY99297.1 pep primary_assembly:Lsat_Salinas_v7:7:179587459:179587884:-1 gene:gene-LSAT_7X106180 transcript:rna-gnl|WGS:NBSK|LSAT_7X106180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPFRVNAALRSDPPATPMFPSPRSFYDSRLYRLASSSSCLVTQGLKLAINLLNSKDVDEAFRAHINVKMLRFGLLGSSIGSVMGCLFLMPSMVNMIEIRLGMLSCGSQSTINTVASMIILVTSILLVYISTDVYAFLH >cds-PLY69798.1 pep primary_assembly:Lsat_Salinas_v7:4:243936546:243938493:-1 gene:gene-LSAT_4X129221 transcript:rna-gnl|WGS:NBSK|LSAT_4X129221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIASDAESSTAHYLSYITSLNCESSEVPSSIHYNFRKDKKKKKKKVVASYIVDVENHIPGPPIAKPSRPVRLLKPSQYFSSPYVSVQNAPRYCTGGVIRNKPPPPVSVNDPQTLLLEPYVMSGCNAPSLYMGNKPAGFIKHRLYNEKIEAKFWDLLFYAYDLRFLDEAHVDIWGRLLNERRLNDARWTVMSSSFFGAFEMFQWA >cds-PLY83565.1 pep primary_assembly:Lsat_Salinas_v7:1:65635008:65635178:1 gene:gene-LSAT_1X55981 transcript:rna-gnl|WGS:NBSK|LSAT_1X55981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEWGVDGYGLMKRLIKLRSPGNNEDDEEGGSSEGDVEEHLEVERILDHDLTII >cds-PLY82618.1 pep primary_assembly:Lsat_Salinas_v7:8:175192288:175192593:-1 gene:gene-LSAT_8X113721 transcript:rna-gnl|WGS:NBSK|LSAT_8X113721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQTHLHNQIAPAESSIPQISYTITPPIAIIPQIPVFPLLPPSIPRPLSLLPPMRQPNGDIAKTTNENVDSDKDGDESGPKYETSEDSKLFTEKQETKAVR >cds-PLY99795.1 pep primary_assembly:Lsat_Salinas_v7:9:52833069:52834295:1 gene:gene-LSAT_0X8241 transcript:rna-gnl|WGS:NBSK|LSAT_0X8241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYHQDTDWAIDAAAAVAAESTWTRYEDKLFEDALVMFPDDVIGRWQKIADAVPGKTAEQVRAHYEVLVHDLLQIDSGQVELPSYADDDGDESFLSWDPELRASQISFGMTKGSKHGDGERKKGTPWTEEEHRLFLIGLQRYGKGDWRSISRNVVVSRTPTQVASHAQKYFLRQNSMKKERKRSSIHDITTTTDTIAVPPPPPPSTNFQGGATPQLGYERQQNFGYPM >cds-PLY97888.1 pep primary_assembly:Lsat_Salinas_v7:4:90594463:90594651:-1 gene:gene-LSAT_4X60000 transcript:rna-gnl|WGS:NBSK|LSAT_4X60000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPFFPDSSLFSLQHHRYFHFTNNNPKAQHEGQLLTFSKCESKDDDIVIVFFIERSKPASLF >cds-PLY84040.1 pep primary_assembly:Lsat_Salinas_v7:6:186286592:186288444:-1 gene:gene-LSAT_6X113241 transcript:rna-gnl|WGS:NBSK|LSAT_6X113241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLSFITFTATISCFLFFGHLAWIRSGANYTSSKGLAKTAPEAPGSWPIIGHLYLLSGSQVPHKLLGSMAHKFGPIFTIKLGVNRVLVVSNAEMAKECLTTNDRVFATRPKSMATELMGYNYANFALSPQVSYWREIRKIVVLELASHHRLQMLSQIRASELKSSITDLYKDWKTNKGSSETIKVDMKQWFRNLILNMTVRVIFGNRFSPGEQKEVEFKKSIRWFSELLGAFVPSDAIPWLRWMDIGGYEKKMKKTAKELDVVIEGWLEEHRKKMNSTQHVDEREEEEEAFMSALLSRVKELKKDLFGFSTDAIVKATCLALLAAASDTTTATLTWGLALLVSNPVVLKKAQEEIEKHVGRDRMVEESDLKNLVYLQAIIKETMRLYPAGPLSVPHESTDDCIIGGYTVPKGTRLFVNIWKIQHDPEIWEDPFEFIPERFFTSKKEIDVKGQHFELIPFGSGRRICLGMSIGLKSLQLILANIIHGFEFKNPSSEAVDMTESPGLTNLKATPLELLIAPRILPDFNLVPP >cds-PLY97055.1 pep primary_assembly:Lsat_Salinas_v7:4:350964621:350965664:1 gene:gene-LSAT_4X173000 transcript:rna-gnl|WGS:NBSK|LSAT_4X173000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGMMGWRMAEEGWRKGPWTPAEDKLLMEYVALHGEGRWSSVAGYSGLKRNGKSCRLRWVNYLRPGLKKGHLSPQEEGIIIELHALWGNKWSTIARYLPGRTDNEIKNYWRTHFKTKGKPLQNEKKRKYEAEFSSSTQEQGVGGSMHSETLHESEDNDSGMIIEPSQATLQDTRAISCPVITSEQQQQNPCVLAQDVARWWDTVSDDGLWSSGFLWNQLDHDHPSQAVIEQSFSSCF >cds-PLY96188.1 pep primary_assembly:Lsat_Salinas_v7:8:101816775:101817639:-1 gene:gene-LSAT_8X69441 transcript:rna-gnl|WGS:NBSK|LSAT_8X69441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGACFSCKRSNQKVTTKTIRVVHLDGSLEDYEDPATVEQVISNFPKHFLCTPIQILQDGLVPLKLDHQLETGQIYFMLPISTINFNASPMDLTSLTRKLTNIAKTSRCPTKSISTSPSTSSVCASKPNSPNRFLDRRHGETQEECLLNSPKSPLWKPILATITEG >cds-PLY79602.1 pep primary_assembly:Lsat_Salinas_v7:2:166026675:166028573:-1 gene:gene-LSAT_2X90061 transcript:rna-gnl|WGS:NBSK|LSAT_2X90061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYYPKGHHYESGDDVDDFDDYDSTPYGGGYDIHLTYGRPLPPSEETCYAPTSTSSGGFDYERSNYSSYAEPSAYGEEALDNEYKNYARRKPRPGHTPAVTPQYGGGHGGGSGYGRPEEPTSAYGSGYGRKPEEPSSEYGSGYGRRPEEPTSEYGSGYGRRQEEPTPEYGSGYGRRQEEPTPEYESGYGRRQEEPTPEYGSGYGRRQEEPTSEYGSGYGRRQEEPSSEYGSGYGRKQEEPTSEYGSGYGRRQEEPTPEYESGYGRRQEEPVSEYGSGYGRKTEEEYPKPSYGRRSDDEDEGHGHGGRNKYGDNDSDDDDEKKKHRHHHRKHYDE >cds-PLY62261.1 pep primary_assembly:Lsat_Salinas_v7:5:163859585:163860007:-1 gene:gene-LSAT_5X71841 transcript:rna-gnl|WGS:NBSK|LSAT_5X71841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTLNFHYEDVSRQDPLLKLNHANVMEVPGSCKIRVVPKAAPSDFIIKNGKLAMEILCGQKLIQTQRASTGKEFRSNPFLGKKSRQKGYVSDLARQSTLRGHGMSHFLVRISAVMSLLDFLVEIWEKSIQFLMTERSIKK >cds-PLY82147.1 pep primary_assembly:Lsat_Salinas_v7:1:14666962:14669890:1 gene:gene-LSAT_1X13160 transcript:rna-gnl|WGS:NBSK|LSAT_1X13160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERRSWPKFGDAVQEDVGAKLTMVSTEEIIFERPRAPALLKQQGAFAKGQTKTAPVEEEVPPLLGENGKIEVWRIDGEEKTELPKEDIGKFYSGDCYICLYSYHSDEKKEDHYLCCWIGKDSIQEDQKTAVQQTTSMFNSMKCKPVQSGVPVKFSREGKESLAFWLALGGKQSYSSSKVTQEIIREPHLFEVISNKGKLEIEEVHSFEQDVLFPEDVLILDTHAEVIVWVGHLAQSTEKQNAFETGESQDVKPPENKLSGATQRASAMAALTSAFSKSITAPKTPQHGPPPRMLALILIGSPDCSILAIDIETGSPARDEDSKPKTKSRIDNKTEELKDLEDDLDDNCFLEEYKYLQLP >cds-PLY70393.1 pep primary_assembly:Lsat_Salinas_v7:3:180859405:180860802:-1 gene:gene-LSAT_3X108880 transcript:rna-gnl|WGS:NBSK|LSAT_3X108880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGTFIYVLIVDGSTFNANVLSRYHLKFPFYLIYVKKCNSKCSYIVVNAHRCMIATTTDFYFCIVIVAVWIAYKESRWISAFFWILSLLSFWRESWYEKLQRWDDALKAYTAKSAQATSQRLILDATLGNSYCSVFIPNPKFFGWRKGSQELSEQGFNISLKEV >cds-PLY96582.1 pep primary_assembly:Lsat_Salinas_v7:4:368694072:368696038:-1 gene:gene-LSAT_4X180961 transcript:rna-gnl|WGS:NBSK|LSAT_4X180961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQKMFSSEVEGGKFLVSIDVIQDAFGATAKTSSTYQLHTNPSGIKVLAFNCLSDYKIRFRNGEDLVSSDKHKVVDFISTKLNPKLSINKAAVELFELHFKELSELSNQLINEPLVVTGCGLGGYLSILYTLLHQHYADVEESKGSKTTKRPICITFGSPLLGNQHLQGAISERPQWKSSFLNVVAKTDFLASFFSSNSQYKPFGTFLFCTESGGHTAFEDQDAILAVLDAMVSPNAGNDQTHDYSKELVSIRKKILYRGPSDFSESHLSPLRAGILFQFQEIGMLHNISNDFIDKIEKKQVKMIKMKNVYEPARKLNDTKINLTLMEWYMKTRRSKGGYYDAYKNADITDKFESKDVIIKLQRFQEQYWKETVKNSDLMSRMRWLYNGTNYRRIMEPLDIADYYKSGRKNYIANRPEHFELLQKLSEDEKKVRTKAASLTVDSCFWAHVEEALISLTDLKNGDLSSTTTDTDQFDFEVYLLGAINEKSLSPDVFLEGSSLMKWWSEYDAYKGSSGNAELARYMKNERYKSYQ >cds-PLY93447.1 pep primary_assembly:Lsat_Salinas_v7:9:63227309:63228031:-1 gene:gene-LSAT_9X54680 transcript:rna-gnl|WGS:NBSK|LSAT_9X54680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHMDAFMESYSSVPALSSESVPLVCILTSNCSTTSVLNAAAEAEVMLASLNPKKKAGRKKFRETRHPVYRGVRMRDNGKWVCELREPNNKMRVWLGTHPTAVMAARAHDVAALAFRGRSACLNFADSVWRLPVPKSNKIEDIQKAAAEAAEAFRYTVDVVEILETEELPEILFYVDEDDVFEMPEFFDSMAEGLMVAPPQMVGYGDYGDDVEFCADESLWS >cds-PLY93965.1 pep primary_assembly:Lsat_Salinas_v7:8:110523002:110523190:1 gene:gene-LSAT_8X74401 transcript:rna-gnl|WGS:NBSK|LSAT_8X74401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSHQMSALPGHGTGQPAGDVVEGVVGSHPIGRNTGTGQTVAGNNPRTGGGADGYGTGGAYR >cds-PLY75093.1 pep primary_assembly:Lsat_Salinas_v7:2:94005880:94006449:-1 gene:gene-LSAT_2X41941 transcript:rna-gnl|WGS:NBSK|LSAT_2X41941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIASDAESSTAHYLSYITSLNCESSEIPSSMYYNFRKDGNKNLDGNYQMPSPVTQ >cds-PLY97709.1 pep primary_assembly:Lsat_Salinas_v7:8:5913560:5918742:-1 gene:gene-LSAT_8X5540 transcript:rna-gnl|WGS:NBSK|LSAT_8X5540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDSYWKYAAAEGRQQQPPLHPLIGKRPRSDYDVPGGRELPKYFDPEGERGPGPQLVVKDSDSIGASYDRYLRGTQLPPYSGIESGRPMGMGVGGGGFHEDPRMMGMGMGMGMGMGMGMGMGPPASAKGRDAAGLLGGGRHEIPLPPDASNTLFVEGLPSNCSRREVAHIFRPFVGYKEARLVTKESRHSSGDPLVLCFVDFKSPAEAATAKDALQGYKFDEHDRESVSLRLQFARYPGVRAGGGGGGKPGGGANRGRR >cds-PLY67144.1 pep primary_assembly:Lsat_Salinas_v7:5:292134543:292142327:1 gene:gene-LSAT_5X155061 transcript:rna-gnl|WGS:NBSK|LSAT_5X155061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCISTRLRHGVEVKSPCVLFYADNIHSEALTVTHKRRTICYWCLEKIRYRETFEQEEGRFGLEELNEEFVNEQDEGDIDLEGSVLIKMKIIMLRSKTNTLISQVIQEKGKSQGVHKQGKEVEKTKGDDTGKENSEHENKEGTEAKNTKDGGADKQT >cds-PLY91720.1 pep primary_assembly:Lsat_Salinas_v7:7:26386473:26390548:1 gene:gene-LSAT_7X19381 transcript:rna-gnl|WGS:NBSK|LSAT_7X19381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQKDSSKSCGCFGSLFGKKPSGSGKGKNPSGRSRIIRAASNHLLSSSKKKSGSPKKAQPSTNEVVQKSDGVADKLITTSELQNKIISFRELLNLPPCISSLTITELVKDTVKELHNLHPDVVQCGSVSMLDSGAMPKAINELCESIKSLGKHWMQSDEWMIRSKNDDEANGDLERHALDLLDDIINVARVRRFNKKDTDDDDDDKEIKEDVDSPSSSYGKSFRRSNVDKERCSSSPTTPTSVLLEFPNNKSAKACSKMDPHVVHVNMVSEVDEDDGDCDKDEGKNKDGNGNGNSDGLVRAHMEISVPPSVLKSIVDAENILIPAATSPSSPSERPSISAAIISPPQQLLVSEESQPPPQPSGKLEISPPPPPPPPPPPPPPLDLSVGENDTTTPKLPPPPPSMATSNTTSQSDPPPPPHSPAPPPPPPPPLEKPYMASSDDSTPPQQAPLTTPSPRSPALSSPPHMTPGPPPPPPAPVASGSNPPPATSALPPLPPSAPGNAMPASLGSHPPLPPPTASGNIPPPPPSSSKGSVPAPPPAASRSLPPPPPPSSGGSVPAPLPPGRQGKGGGGGGAPPPPPAVGGSGKLLRKSVSKLKRSSQMGCLYRQLKAKVEGSNSKATGKSPQKKGSKVGASGGNGKQGLGMADALAEMTKRSSYFQQIEEDVTKYSDAIKEVKVALASFQTSDMNELIKFHKYVESHLEKLTDETQVLARFEDFPSKKLEGLRMAGALYSKLDTIYTDLQNWKIEPPVNDLLDRVENYFNKIKEEIDALDRTKDDELKKFKSQKIHFDFGILIRIKESMVDVSSGCMELALKEKRETSSSKKNEKKETSSSKKNSKKTDSGKILWKAFQLAFRVYSFAGGQDERAENLTKEIAQQIQAETLH >cds-PLY79631.1 pep primary_assembly:Lsat_Salinas_v7:2:166461704:166463279:1 gene:gene-LSAT_2X87721 transcript:rna-gnl|WGS:NBSK|LSAT_2X87721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSGNYTSINNQNTSGSVPSVPDPPGQVSIKFNESTLQTFPPSSTPQGGKISASSRSSSGIPRDSDVPFSKPISGSSDQQKQQQQQQAAAPPGWLKIFTVAAYQPYFDVDTSDILARIKDSFFPFKGTFSQKTATTPDLYGPFWICTTLIFASASIGTCVTYLARKLHHLEWDYDIHLLTWSASVLYGYVVVVPIALYIILKYFSAPLGLAQLLCLYGYSLFIFIPAVFLSIIPVEAIRWVVTGVAGFMSAMFVASNLKTHIASAGEKWFWIVAGIFLLQLALSIVLKLYLFSVST >cds-PLY79136.1 pep primary_assembly:Lsat_Salinas_v7:9:90541739:90543098:-1 gene:gene-LSAT_9X70200 transcript:rna-gnl|WGS:NBSK|LSAT_9X70200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIIGASGMFYLAAAVSDFYVPWETMVVHKIQSASGPLDMRLAQVPKMLSVLRNEWAPQAFCVSFKLETDKEILLEKADTALKRYKMHAVVANELSTRKEVVILVTDNGKAYVYRENDHSDIESPLIKLLVDKHSTFINA >cds-PLY90647.1 pep primary_assembly:Lsat_Salinas_v7:6:51776549:51779369:-1 gene:gene-LSAT_6X37921 transcript:rna-gnl|WGS:NBSK|LSAT_6X37921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 65 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G09230) UniProtKB/Swiss-Prot;Acc:Q8RWV8] MANVPPFQPLPNNPQGIYNYAFQNMGEVSTKPPEKETSTTLLIRHLPEAIPHDTLTRLFSHYGASSVRPCTTGRMRNCAFVDFNNEGLATQAQRQLNGLRFLGKVLSVERATTSPHDTKTQQNKPKLGNNAMSAKDEADVAKDLKQESVTKSTSAFEPIAEKLGVEYPFPPHLEYAYPPPDGNILTNILNALIAVPRFYTQVLHLMNKMNIPAPFRAALPPTPTPPSPDDTNSSVAHLSSGESELESSDEENVGGRRKRIKRESIVGPAVDKDVAHEAVGLRAATLVPKEIPLIKKKNPVLQIKITPKQTQTEQIDDGITEDVEEVENENLSSNSFASVEDLEHGKLPPEEILSLPMFKNYNAGNPAPVLYIKNLSKDVVVDDFYFVFGSFFGSIETAKTNLSVKLMQEGRMRGQAFVTFPSIDIAHRALNLVNGFVFKSKPMIIQFGRNTSASKT >cds-PLY91375.1 pep primary_assembly:Lsat_Salinas_v7:8:46807855:46808735:1 gene:gene-LSAT_8X36201 transcript:rna-gnl|WGS:NBSK|LSAT_8X36201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNKATSDVADGPVLTHINKRIRNLRKKLKRIAHLEDSIAQGKSIIKNKDQEKLLKSKPMIIAVVDELEKSRQPLSVAVDEEITLALQRHHNDNVENCNKSQTLDGDEEQQAALPVDDLLSLIYFGSMFDAKQVDVDSRSEERGWCLLHDYILRSELCCGLELEERHLEAIARLSSLMISRPVDSSLTSHQDALQRCIEHANNWICKSEKKIDPNSEFTYADVREMVTKIMGLGYFKNTPFPGTSKYQLVPDHLMYYSGHREKV >cds-PLY90095.1 pep primary_assembly:Lsat_Salinas_v7:6:16150472:16156758:1 gene:gene-LSAT_6X9400 transcript:rna-gnl|WGS:NBSK|LSAT_6X9400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGDGGGDGNCVSGGDGGGSGGGGASDGLVVVVVVMVGRAYSKMMKLQTYAGLSVIATLAVIYHAFSSRGQFYPATVYLSTSKVCLVLLLNMGLVIMCIMWQLTKRIFLGSLREAEIERLNEQSWREVMEILFAITIFRQDFSVMFLAMVTALLLIKSLHWLAQKRVEYIETTPTVPMLSHIRIVSFMGFLLLVDSLFLYNSVKYLIQTRQASVSLFFSFEYMILATTTISTFVKYVFYVSDMLMEGQWEKKAVYTFYLELIRDLLHLSLYLGFFLVIFVNYGVPLHLIRELYETFRNFKLRIADYIRYRKITSNMNDRFPDATPEELNASDATCIICREEMTTAKRLVCGHLFHVHCLRSWLERQHTCPICRALVIPNESGTTTTRSRPGAHRQGANSATTSSQERTGDGAESGNISRHQKRVQAAAAAASIYQKSFVYPSPSSLPWSSGYGVLPNNSTPGNNTGENDNSVPGNLPSFQFPHSGFMPPGGSPNHELQMSVSQLEAQKKIIQHQIEVWESNIS >cds-PLY80279.1 pep primary_assembly:Lsat_Salinas_v7:3:208534585:208536633:1 gene:gene-LSAT_3X122601 transcript:rna-gnl|WGS:NBSK|LSAT_3X122601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFFGNLEVEFRLYYRRVEEGKQYPVLCRRFASLNEEFISHKSPTGGFDFISGKRIEQKLIDYNQEAERFWGYAYEEVSEVSPHRRFIAYTMYDKDNDFFKLCVRDLNSGSLCSKPQADWVCNVAWAKGGQALLYVVTDQKKKPYRIYCSMLGSKDEDVILLEEPEENVHVNIKHTKDFKFVTLYVFSTTYSKDVFHLKELGLHFLPLPESVSQISHGPNYDFYSPIMRFTISSFVMPDAVVDYNLSNGKFEIIQQQNLLQERTCVLYVTTSVGPTIDNKSNSNNYGESKSWNDLSEFYGCENHQVVSSDGVKVGLTIVYSHKRKKEGENPGLLHGHGACGELLLKKWCNESKSLLDRGWILAYADVRGGVYNKREK >cds-PLY87015.1 pep primary_assembly:Lsat_Salinas_v7:5:261138378:261140044:1 gene:gene-LSAT_5X134601 transcript:rna-gnl|WGS:NBSK|LSAT_5X134601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGIAFGRFDDSFSFGSIKAYIAEFISTLLFVFAGVGSAIAYGKLTADAALDPAGLVAVAVCHGFALFVAVAIAANISGGHVNPAVTFGLAVGGQITILTGIIYWIAQLVGSVAACYLLSFVTGGLAVPIHGVAAGVGAIQGVVMEIVITFALVYTVYATACDPKKGSLGTIAPMAIGFIVGANILAAGAFSGGSMNPARSFGPAVASGDFSGHWIYWVGPLIGGGLAGLIYPNVFMNHDHAPLSSEF >cds-PLY67447.1 pep primary_assembly:Lsat_Salinas_v7:6:70570686:70572156:-1 gene:gene-LSAT_6X49040 transcript:rna-gnl|WGS:NBSK|LSAT_6X49040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCTYITCEGTGTGPEKSKGPEKAIDFGMSIFFKPEIFNEPSNKQDVKYTSEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGHPSDQFQVQGRKRESFNPKRNSPSPYIQGQQCSYTDPFELCEAV >cds-PLY65531.1 pep primary_assembly:Lsat_Salinas_v7:9:143149518:143150365:1 gene:gene-LSAT_9X90821 transcript:rna-gnl|WGS:NBSK|LSAT_9X90821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFILTTLSFLAIGIIACLCARICCNKGPSANLFHLTLVITATVCCWMMWAIVYLAQMNPLIVPILSEGE >cds-PLY79683.1 pep primary_assembly:Lsat_Salinas_v7:5:254554952:254556154:1 gene:gene-LSAT_5X128280 transcript:rna-gnl|WGS:NBSK|LSAT_5X128280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor 4 [Source:Projected from Arabidopsis thaliana (AT3G15210) UniProtKB/Swiss-Prot;Acc:O80340] MGMAPRDKPDANNAAGNGGKETRYRGVRKRPWGRYAAEIRDPGKKTRVWLGTFDTAEEAARAYDSAARQFRGAKAKTNFPFPTDLLLPTAVVSGGGMKFLTKTPTESSMVEASSNSMAPSPGPISTALLPPEALDLSLSHYPLGFPMPHSMFLYHSQMNLHINNNNNHNRLNVFRSDRSVVRDPHLTVGTGGLAQQSDSDSSPVVNFKQEKSLTLDLDLNFPPPEVA >cds-PLY62979.1 pep primary_assembly:Lsat_Salinas_v7:3:119308749:119310833:-1 gene:gene-LSAT_3X84220 transcript:rna-gnl|WGS:NBSK|LSAT_3X84220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALRVLDIILRQHATKQGCLLVRQSFFHNDVKNFADVGGGVLRCRGFHSSFRTSQGGLSLNIDVSTTMIIQPGPVVDFLIANQNVKDPYSVDWAKTPLMLAAKHGKTANIEKLIEVAITVSMKLFIVIIIFFMRKVYGF >cds-PLY83359.1 pep primary_assembly:Lsat_Salinas_v7:1:63424130:63429985:-1 gene:gene-LSAT_1X53840 transcript:rna-gnl|WGS:NBSK|LSAT_1X53840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL4 [Source:Projected from Arabidopsis thaliana (AT5G02880) UniProtKB/Swiss-Prot;Acc:Q9LYZ7] MGNRGHKRSETVDELPADKRTCSSLEFRPSSSMSPVETPSTSTNQTHDHDHEMETSSSASGSGRTDEDKDSAYGSCDSEETGDADQRRQRHAILDYQRQRLSADQAKFKRVLSNLSEETEESAQQEALRELCEILSFCTDSSLSSLIADSLSPILIKLASHESNPEIMLLSIRALTYLCDIHPQSSALIIRHDGVTALCKRLLAFEYEDVAEQCLQALEKISREQPLACLQSGAIMAVLTFIDFFSTSLQRVALSTVVNICVKLPSEGSSPFMDAVPVLCKLLQYEDNQLVEHVATCLIKIAERVQESSEMLDELSKHGLIHQVAHLIDLNSHTTLSHSVHTGLIGLLVKLASGSMLLPVVSRNQEVPLAAEKEAFLVTHPDLVEKFGNDLLPVLIQVVDSGVDLYICYGCLSVVDKLLYYSNSDMLLDLLKSTNISRFLAGVFTRKDIHVLMLALNISDTILQKNTDVFMGPFVKEGVLFAIDALIDPEKCSQFMFSMFNDIQLSNTSSKKYAGKDVIRCLCFSFDVNKTSSSSESRKCKLELECVRTLAKHIRTKYFDTNSSTSETGMTPILEKLKSLSSELSSMMTQEGYDHVLHQIMSILNGRDVISTFEFVESGITDSLVSYLSNGDCSRVEAFGRLFLSCTNQPLDYFSLSGFISKLQSALSSVEDFPVVLNNSFKHRNSYATVPRRHSTTYPCLRVLFVKEEGESTLTEYTGDVQTVDPFSDFEAIETFLWPKVCLNNAQSPSDKSQHDVGQSQTSPQKPTEDASSSQKLSFYLEGKEIDRGLTIYQAVLSQHISEFDTVNGLLWNQLHKITFKKSLTLEKSSSPSEIGSSVEKSTPCHNLLFLLRILESVNECRFHLMTRERIEAFSEGKIGNLDDVKATNEGILVNEFVNTRLTEKLEQQMRDPLAICTGGMPSWCTQLMTSCPFLFSFEARWKYFKLVALGKHQGQNGQNDSPMPRKKFLVYRNQILESASKMMDLHANQKVVLEVKYDEEVGTGLGPTLEFFTLVSNEFQKPGMGMWRGDNSGIGIVNSVPFGLFPSPLRNSITDVNKKFVLLGQVVAKALHDGRVLDIPFSKAFYKLILGKELTVYDIQSFDAGLGKTLIEFQALVERKKNLEKFGKNSEFEFRGSKIQDLHLDFTLPGYPDYILASGPDKEMVNMMNLENYIELVADATINSGIMKQMEAFKSGFNQVFPIKNLKIFTDEELERLLCGESETWNSNQLSDLIKFDHGYTASSPPIIHFLEVIQEFDYEQQKAFVKFVTGAPRLPIGGLASLNPKLTIVRKLCDKVVDADLPSVMTCANYLKLPPYSSKEMMKEKLLYAITEGQGSFHLS >cds-PLY73534.1 pep primary_assembly:Lsat_Salinas_v7:9:77205007:77206868:-1 gene:gene-LSAT_9X63320 transcript:rna-gnl|WGS:NBSK|LSAT_9X63320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSKADKKIAYDQKLCQLLDDYTQVLVSAADNVGSKQLQNIRHGLRGDSVILMGKNTMMKRSIRMHSEKTGNKAYLNLIPLLIGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLAKLGIRPFSYGLVVLTVYDNGSVFSPEVLDLTEEDLIEKFALGVSMVTSLALAIHYPTIAAAPHMLINGYKNALSIAVATDYTFPLADKVKEYLADPSKFAVAAPAAAAASGGAPAAAAAAPVEEKKEEEAEASDDDMGFGLFD >cds-PLY91055.1 pep primary_assembly:Lsat_Salinas_v7:2:54628299:54629994:1 gene:gene-LSAT_2X24941 transcript:rna-gnl|WGS:NBSK|LSAT_2X24941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISFTMSSWMEVISMKIELTFTVITLLAISFAVLLHKSRKTTSRLPPGPCGLPLLGYLPFLGPNLHLEFTKMAKRFGPIFKLQLGSKTYIIVNSSDLAKVVVNENDDIFANRDPPVAALILSYGGKDITWSDNNPYWRNMRKVFVHEVLSNKNLEASSSFRRAGVRKTIKHVYERMGTDLDFGVIAFSTSLTVISSMVWGKSVDEEEESSNNLWGGFREVMSGVMDIVGEANVSDFFPVLTRFDLQGVQRKMKQQFEKFDAILQRIIDERMSIKHKESTEQHGRKDFVQILLELKQQNTESSFSLTQIKAFLVDFFIAGTDTTTVMAEWTMTEVLKHADVMKKIQEELEQVVGEHNIVEESHLAKLRYLDAVIKETFRLHPALPLLVVRSPSRSCMVDGYTVPKGSNVYLNVWAIHRDPQYWENPLEFDPNRFLNLDGTTKFNYNGLNTNFLAFGSGRRRCPGLPLGEKMLMYLLASLLHSFNWTLPDEKEHELPDKFGVVLKKGNPLIAIPSQRFAHKNLYME >cds-PLY88443.1 pep primary_assembly:Lsat_Salinas_v7:8:86237484:86237741:-1 gene:gene-LSAT_8X61280 transcript:rna-gnl|WGS:NBSK|LSAT_8X61280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQGSDLLQSREIESSKSAMVAITDSQLQVTFIEIVSRKQAHAIWITQPRYRSDKQSLRSKFIVIASENQTMTLALLIILITPKR >cds-PLY73638.1 pep primary_assembly:Lsat_Salinas_v7:5:206703847:206704750:-1 gene:gene-LSAT_5X91881 transcript:rna-gnl|WGS:NBSK|LSAT_5X91881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVFLHSKLSKLPKSLFIIPIAITIDIPMITGVALWKSPYMLIIGWKRLLEDIIGREGLFLETEDSIKFAFAYIVVVVSLFDEYTNDLLYLREGSCFPRLIYRENVKAYDRLERRNSVDIKNKKESSSGSRLVS >cds-PLY99399.1 pep primary_assembly:Lsat_Salinas_v7:4:102227316:102231883:1 gene:gene-LSAT_4X66841 transcript:rna-gnl|WGS:NBSK|LSAT_4X66841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSRAPANGAVYREDPLLPHLWSIKAALDKLDLSQNDGADVYELVSHCIKTFKHGSEYRNDIRFLKIWLIYMDFSPNYEAVFREIEQLKICLDKALLYESYALFLEAKGMMNEAHLVYQSGISRNAEPIEKLKKAHTYFLERMQAIIDACSHKKVDGGSPLNSGSNCMNPWSISTLNNLLQKMNAQISKYTGYHASNKCYSGKVALSSLLKSARNKILVFVIDGDNPLTGGKKYQIKGCAGKGGFAQVYKASINNNADDIVALKIQKPPFPWEFHMYRQLDKRIPENERLSFGYAHKLHLYSDYSILVSDFLSHGTLQDAINTNVCTGASMDEVLCIHYTIEMLSMLQSLHANGIIHGDFKPDNLLMRNARDNLTEDGFRTRSGPWREQGLCLVDWGRGIDMHLFPNKTKFNGDCRTSGFRCIQMQENKPWTYQVDTYGLCVIVHMMLHNSYMEIEKKASQDGGFSYQPKLPFKRYQKVELWKKLFEDLLNHDPEEEHLKILGNLKTSFQDYMCSNPQLIKQLKQSLAKQRISMCSS >cds-PLY83916.1 pep primary_assembly:Lsat_Salinas_v7:5:9859869:9864391:-1 gene:gene-LSAT_5X5721 transcript:rna-gnl|WGS:NBSK|LSAT_5X5721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAKTRTLTKLLSSGTTHSHRKSKNITRSAVTNTSSIASAAKDTLKNYIRVASAADSSSSSYLKPPRSNKSPSSKPLSELAVESNRVGTSSSTSDSKRDLSRQLHSIIFGTYLIVSLLNFTFVRLNMLSFIYVRIPLHGSSFFFVTYHNRNVGDTDESPDSKETCDYDVQPSAGLLDIPWAPTLINSTTSLRRKDVTRERKQKWVFKSTQGTRFSRLTRLCTRRLGADNAIKVFGKLGRQTGVKEFNAMIEVCIEKARNTDDEDTALEEFHRAYMVFESMRESGFEIGEETYGPFLLFIIDMGMVEEFHFFCENIKKENLNSLSRLAYYEMLLWIKLNDGDKIQMLITNADGSDESNFNESYLVALCEGDRQEEVSFLLETIDIKKVSSKESMERIFKSLGGLSLETHAKKFLLELKTDGETGQDLSNLIYCYAMSIPNIQIENIVTKFKSLQAELKVSSSSVPYEKLIKTCCSSGEVHLAIDLVESMFEEGLNVRINTINSILTTCDLSCEYNLVHRINSMINDHNIHPNAETFRIMISLCVKMKDFDKAYSIIGDLERLNLIPTANMYNAIMGGYFRQKNFHKGLMVLKQMDKSKVKPDSLTFSYILGNCNSEDDIIKYIKELEESGVQPTKHIFMALINAYAACGLFDKAKQVLSDKRIAFQVVNEMKSVLVSALATNGQMADALEVYDQLKQVEAILEPKSAICLIXGELDRLLQLLKQLEDSELWHDGCARIILPAVDLLKQRMEKSSTNEMASEVLFDEVFYEIAVMKPSDVQFGLDLLKGIKEEIGIRASRKSLDFLLSACVNAKDLNRSFSVWKEYQTAGLPYNVLTYLSMSSDIWHIILLLLSPCGEEKEKEKQKEIKVECEKALHYIQDGGGYWVGVDLILSLDLRCTCIALILILQVTVYFSRKEIDSYTMTDEEGK >cds-PLY75653.1 pep primary_assembly:Lsat_Salinas_v7:1:100935804:100937867:-1 gene:gene-LSAT_1X82081 transcript:rna-gnl|WGS:NBSK|LSAT_1X82081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 5 [Source:Projected from Arabidopsis thaliana (AT2G13610) UniProtKB/Swiss-Prot;Acc:Q9SIT6] MNRKGCDIEATGINYTIYTQKPQPPFKIFNKDQQVTQHQQPFPDPDTNPRARRVLREVSCHAKPWEVLAIVGPSGAGKSSLLEILAGKLTPQTATIYVNQKPVDKSRFKKTSGYVTQKDTLFPLLTVEETLVFTAKLRLNLPNVELRSRVKSLIQELGLTHVANARVGDDRVRGISGGERRRVSIGVAVVHDPEVVILDEPTSGLDSNCAFQIIDMVKTMAETRGKTVILSIHQPGFRIIKLFNSILLLANGTVLHQGTVDELNLSLRLIGLEPPLHVNIVEFAIDSIDSIQQQKNQKLSNLEQDIITASTNRITQGKFTLQQLFQQSKVIDLDHDHEDNKDQEQGQDQMITDGFANSRFFEAVILTHRFWKNISRTKELFAYRTLQMLVSGLILGSIFYNLDMDLIGAQERVGLFAFILTFLLSTTVEALPVFLQEREILMKETSCGSYRVSSYAIANGLVYLPFLLILAVLFATPLYWLVGLNPSFFAFSRFLLLIWLILYTANSVVVCFSALVPNFIVGNSVISGVIGSFFLFSGYFVSKQGTPKYWIFMHYISLFKYPFEGLLMNEFSGDAEGKCLEYLFGKCLVSGEDVLREQGGYEDDGKWKNFVIMVCFILVYRFISYVILRVRCSQRGLKGALL >cds-PLY98454.1 pep primary_assembly:Lsat_Salinas_v7:1:10019366:10025851:-1 gene:gene-LSAT_1X6720 transcript:rna-gnl|WGS:NBSK|LSAT_1X6720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 1 [Source:Projected from Arabidopsis thaliana (AT5G08470) UniProtKB/Swiss-Prot;Acc:Q9FNP1] MEMEVRAVAGLEGCYVSLPLHLIQTLQSTSSSGSLFSFLALELRSIANNNDVWYVAWSGSASSSSSAIEIAQQFAECLHLPDHTTVRVRAIPSLPKARSVTIEPDTEDDWEVLELNAEHAEAAILKQAGIVHEGMRFPLWLHGHTTITFSVVSTDPEEPMVQLVPGTEVCVAPKRRRKSENSVVKALLRIQDGDSRFFHKLRVKDTEMGVVLTSAVFIHPETAKVSSLDSLQTIVLEQRLVKKEKKLNSTAKEVDNVIPTDKLDARQAVVRLIISDSVAKGHVMLSQSLRFYLRAGLHSWVHVRSCNIVLQKDPLSFVISPCQFKMFGKKVSESNGFGNLHGHRNHHTEKMFLKTNPDTQHDLSDWSTHEKVIAALSDDTSGHQNEGTSVSPTSNKGLLVLLHAWILSQLDAIMSFSKTELNSMVLGNKMLLHFQVKGNDLQKLHESHNGFFEVKKKTGESSVDIMYVLSVSEDSIQGGTAYELEFSEGNKKTMNKKSLDFFLQKLEKGDGLPLHTVKERISNKRSTLDISSLSWMGTTAADVTNRLMVLLSPSSGIFLSTYNLPFPGHVLIYGPPGSGKTLLATTVAKTIEEHEDVLAHIVYVGCSKLASSKSQAIHQALSSYISEALDHAPSLVVLDDLDSIIASPNASEDNHSSPSSTLLMEFLIGILDEYEVKRKHSCGIGPIAFIACVQTLTSIPQTLSSSGRFDFHVQLAAPAAAERGALLKYEIQKRCLSCSDDVLLDIASSCDGYDAYDLEILVDRSVHAAIGRFVSRGLTFGSEKNPNLTKDDFLQAMKGFLPIAMRDITKSASEEGHGGWQDVGGLIEIRNSIKEMIELPSKFPMIFSQAPLRLRSNVLLYGPPGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSPQERLDILKVLSKKLPMANDVKLEGIARKTQGFSGADLQALLSDAQLAAVHDLLNSEDAHKPGNKPVITNALLNSIASSARPSVSETEKHRLYDIYGQFLDAKRSASAQSRDAKGKRATLA >cds-PLY87262.1 pep primary_assembly:Lsat_Salinas_v7:1:50943107:50951112:1 gene:gene-LSAT_1X42841 transcript:rna-gnl|WGS:NBSK|LSAT_1X42841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFSKHFARLQIPLKDIASATNNFSDTNLIGENALRKTYKGKLSRSKQQIDIVAKRLLGKDEYKKAILQAEISTLSSLKHENLVSVVGFCDEKDEKIIIYKHEANGSLEKHLKDPCLTWIQRLEICVGVARALSYIHYDKERNFSLIHCNITSSKVLLDVNWNTKLSDFEFAKIVTAARRNRLKFANFNGTKGYIDPSYIKTGFVSHKTDVYSFGVVLFEVLCGRRAYVPWQQDWQEDINVGKLEEIVEEDRGSSQCTAESPSLAKHHSIVTKQDAVEAGSVQDTSDNFPMQMLSLEDLDWRRGRSQMGISFGEMVHFRPDSSDFVGISLVGHKFKKFYPFESQEKELLAPLAISHYENGKLEDMINPDLWRQIEKDSLEIFSETAYSCLKQERAERPHIDQIIIRLEKALDLQRKHENSLRVIKAKSEVDADVEGPSTTKFKWKGMEHLMIGYNDIEVATQKFTENRIGYGAFGDVYKAELEHFDSEKYSSAVEEKDLSSLPKICSTVAVKRLFKRTGTQADTSFAAEIKTLTSCKHPNIVSLLGFCEEDSNKMLLVYEYVPKGSLDDNLRSKDNMINLKWAMRLQICLDIAEGVNYLHTQKRIIHRDIKSANILLDHKWRAKIADFGLSKIIPESQKASYLHTKVSGTPTYLDPEYKNTKILKAASDIYSLGVVLFEIVSGTLAYDTRYTKENPMGIAHFARQHFNKGTLTSMLDPILKEEIDENNFTLSKGPNQGSLNTFLNIAHKCLAETQVDRPKIGYVISKIKDAMILQENHRDNLKISLEDIKLATNNFSDNNLIGRGGSARVYRGEVTRANRCHTVAAKRLNSDGGQHKNEFMTELEILQDYKHESVISLVGFCYEIVENIIVYEFASRGSLDMHLKDYALTWMKRLQISIDIASGLDFLHGSGGDRQEVVIHRDIKSSSILLTDDWKAKICDFGLSLISPINSEMDFVTDNACGAINYRDPVYLKLGYLTAHSDIYSFGVVLIEILCGRLVHEDNSIQIADLTDLYKNHYENGTLDEILFGGIKEQAVPESLITFQNLAYECLYHEREKRPTTHDVLLRLKKALEFQVRLRFI >cds-PLY78069.1 pep primary_assembly:Lsat_Salinas_v7:3:255844865:255846700:-1 gene:gene-LSAT_3X140260 transcript:rna-gnl|WGS:NBSK|LSAT_3X140260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQWCSNREPISDRLGCNYLQNGGLGMALLSVTSTAKVRISPFVATLAANPTFVSAFFAWLIAQSLKVLLHFCFEKKLDLRIMCSSGGMPSSHSALCTALTTSVAICHGVADSLFPVCLGFSLIVMYDAIGVRRHAGMQAEVLNLIVEDLFQGHPVSKRKLKELLGHTPSQVVAGAVLGILVASICCQGCFHVI >cds-PLY71719.1 pep primary_assembly:Lsat_Salinas_v7:3:47048988:47051561:-1 gene:gene-LSAT_3X36500 transcript:rna-gnl|WGS:NBSK|LSAT_3X36500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVSLNMKAVLCGVGVMMLVYAWRIFNWIWLKPKKMEKFLREQGLNGNPYKFLFGDVKEMVQMTANAKLKPINLTDNIVPRVMPFMHNSLKTHGKGKAFFTWIGPRAVVHVTEPALIRDILGNYNKFQKQKGGNPLARLLARGIASVDADQWVKHRKIINPAFHVEKLKHMIPAFYISCDEMINKWEELMKEGSCEVDVYPHLQTFTSDVISRTAFGSSYQEGRKIFELQKEQARLLMKIVQSLYIPGSQFMPTKSNRRMKEIGREVKASITKIIINKRVTTMKEGESSSDDLLGILLDSNYKEIKQQGDKNFGLSIDEVIEECKLFYFAGQETTANLLVWTMILLGQHTNWQDRARDEVLKVFGERKPDIDGLSHLKVINMILHEVLRLYPPGTILGRMIHEETTLGNITLPAGSLLQLHMMLLHHDSDVWGDDVTEFKPERFAEGVLKATKGQASYFPFGGGPRICVGQNFAMLEAKLALVMILRYFSFELSPSYAHAPHTVISLQPQFGAQLILHKF >cds-PLY75000.1 pep primary_assembly:Lsat_Salinas_v7:1:112467659:112468462:1 gene:gene-LSAT_1X90101 transcript:rna-gnl|WGS:NBSK|LSAT_1X90101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINDVRKKKLNMRPVTYFSGSQGSETDIPHGYIWSPHLVPKPKDWGPKIYVVGFCFLDLASNYKPPEELVRWLEAGPKPIYIGFGSLLVQEPKKMTQSIVKALEMTGQRGIIKKGWDGIVTKPKDFVYSLDNIPHDLIFLQCASVVSHCI >cds-PLY83909.1 pep primary_assembly:Lsat_Salinas_v7:5:186844463:186845226:-1 gene:gene-LSAT_5X83441 transcript:rna-gnl|WGS:NBSK|LSAT_5X83441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIYYLNLGLERISRLKSWFLLLKTQRIGPKFFSTISESERSFKLIQSNFQESSFTTASMLKGSKSTRYGAHFTRDGLDTTMSNGHLTMKKEKTLPHIMRSHTILAVKTPGSKKRKNMRSIELSLENLGVEDALQKLASFSQAFSKRVSAELRARAERAEQVLKEQDKISRAIKKDLLKRVELLSK >cds-PLY75400.1 pep primary_assembly:Lsat_Salinas_v7:6:179412743:179414234:1 gene:gene-LSAT_6X109121 transcript:rna-gnl|WGS:NBSK|LSAT_6X109121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVNTRLVALSRNSSKCFTFTALYAAAIVNSFGPEDTRTADCILGVPLAKSRSNSRSKKNTIFAILGALIGTLLFLGAVFAYRRFKNKRKENQFHTDYVRTVKARVLPNTGAKWFRIAELDVATDGFSEQNFIGQGGFGIVYKGTLSDGTEVAVKKMTSFDTEADDDFVNEADIISKIRHRNLLPLRGFCATSDAIKGNERYLVYDFMPNGNLHDHIFNKRTPEKNLTWPQRKSIILDVAKGLSYLHNGIKPPIFHRDIKATNVLLDSNMKALVADFGLAKQSRDGQSQMTTRVAGTYGYVAPEYALYGQLTEKSDVYSFGIIVLEIMSGRKVLEEVKGAAYSGMVLIADWAWEKVKSDRIDEVFDESMREEGTSPMGVMGRFVRVGLLCAHAMVVLRPTISEALKMLEGDIDIPRLPDRPPPLGQESFGLSFRHGTSLWSSSDGSITSPILNT >cds-PLY73148.1 pep primary_assembly:Lsat_Salinas_v7:2:191294059:191296940:-1 gene:gene-LSAT_2X112680 transcript:rna-gnl|WGS:NBSK|LSAT_2X112680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEYEGILLGMGNPLLDISAVVDEDFLKKYDVQLNNAILAEDKHLPMYDEMSSKFTVEYIAGGATQNSIRVAQWMLQIPGATSYMGCIGKDKYGEEMTKNSQSAGVNVHYYVDEAAPTGTCAVCVVGGERSLIANLSAANCYKADHLKKPENWALVEKAKYIYIAGFFLTVSPESIQLVAEHAAAANKVFTMNLSAPFICEFFKDAQEKALPYVDYVFGNETEARTFSKVHGWETDNVEEIAIKISQWPKASGTYKRITVITQGADPVVVAQDGKVSKYPVILLPKEKLVDTNGAGDAFVGGFLSQLVKEKPIEECVRAGCYASNVIIQRSGCTYPEKPDFS >cds-PLY63955.1 pep primary_assembly:Lsat_Salinas_v7:4:76321999:76322861:-1 gene:gene-LSAT_4X51520 transcript:rna-gnl|WGS:NBSK|LSAT_4X51520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAYNDHDCFFKLVLIGDSGVGKSNLLSQFSRNEFILKSSATIGVEFANSSIEVGGKIIKAQIWDTAGQEKYRAITSAYYRGAVGALIVYDISRKITFENVEKWLKEVRDHKDQNMVIVLLGNKADQTNLREVQREDAKAFAERENINLFMETSALDKSCVDNAFTKVLTQIYHMDNRRKVEPKGQTKNLGGHEDVGIKRASVGSLFPKIPQTLTC >cds-PLY92700.1 pep primary_assembly:Lsat_Salinas_v7:7:4814232:4815627:1 gene:gene-LSAT_7X4161 transcript:rna-gnl|WGS:NBSK|LSAT_7X4161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFHSRSATSVLFSLANFRLPFFLILLIVVGNNGVGGTKKRVHISDDLDDVEDNEEDEAWIEWGQKKKMTVEEFDPPPENFSDMDFSQMQNEVLRRQVGQAYGFVKLRLIDLRTPDMVSDIAVKWTQLARTGAIGVIFMGFDLSTVMFTLQNAQNTLEFKDFLLDQPEAYEIKMGDQFFRRPGDPPFDNLLKKLHEEDKKKIATSSNDTVTKDEL >cds-PLY98798.1 pep primary_assembly:Lsat_Salinas_v7:7:26194949:26195104:1 gene:gene-LSAT_7X20040 transcript:rna-gnl|WGS:NBSK|LSAT_7X20040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSFAINVDITLLETSSSPRGFIILFLYRMSILIVVGILYSDISSRRSIDG >cds-PLY78997.1 pep primary_assembly:Lsat_Salinas_v7:3:8856870:8857865:-1 gene:gene-LSAT_3X8360 transcript:rna-gnl|WGS:NBSK|LSAT_3X8360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTEQKVSWSDSFSEAQTAIQTLSTILPSIPPTLSSSDTPSLALLTDPELATQVSDHLRQPDSGAGDNQLCRWLYDTFNTSKVDLQLVVLRFLPIIAGIYLSRIPLRKPLAGFEAVLLAIYAHETTARNGQAITISLPDLSHPSIYHESKPQPHKSSSTDLNLVVISPGLDPQGTIRSTKRARIIGVGLELYNSKIVDMPIQSKLDFCEFCIIWAGQDGEFYKNFPNDNREEKGKEDETKNEKQEGRVLLPWEMLQPMLRILSHCLMGTYKNKNHELHEAACRACRSLYARALHDINPKAILAIGSLLKLINFRKKGVDHTELPVTNVINL >cds-PLY65355.1 pep primary_assembly:Lsat_Salinas_v7:6:41589450:41591428:1 gene:gene-LSAT_6X29461 transcript:rna-gnl|WGS:NBSK|LSAT_6X29461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQLAAEKHTKYILQIEKKKDDIESALTEHLRINGAYWGLTTLDILGNLKAVEQEAVISWVMSCQHESGGFGGNIGHDPHLLYTLSAIQILSLFNKTQILNIPKVSTYISTLQNKDGSFSGDKWGEIDTRFSYLAICSLSLLNSLDKINVEKAVEYIISCKNLDGGFGCTPGAESHSGQIFCCVGALAITGCLHYVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVDWIDKEKLVKFILECQDIENGGISDRPDDAVDVFHTYFGVAGLSLLEYPGLKAIDPAYALPVDVINRLFLK >cds-PLY68523.1 pep primary_assembly:Lsat_Salinas_v7:2:213387854:213391022:1 gene:gene-LSAT_2X133660 transcript:rna-gnl|WGS:NBSK|LSAT_2X133660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQVVATKLLHNSFFSNGSLNNQSEKIKPAGFASSGRIIPSHHRILTVTARRTADLQVVPVTPEDIPKIGEQSYQVLGKGDSSVAMWSKPIIKRKTKIVCTIGPSTDTKEMIWKLAEAGMNVARLNMSHGDHSSHQKVIDLVKEYNAQHKDNGPEVRSGDLPQPVNLASGQEFTFTIKRGVGTADCVSVNYDDFVNDVEAGDMLLVDGGMMSLLVKSKTEDSVKCEVIDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNQVDFYAVSFVKDAEVIHELKNYLKSCGADIQVIPKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRTCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVNVMHTVSLRTESSLMSGGTLSSLNQAFKNHISEMFAYHATSMSNTLGTSIVVFTRTSSMAVLLSHYRPNGTIFAFTDNKRVQQKLALYQGVCPIYMEFSDDSETTFADALSLLKNQGMMKEGEHVALLQSGRNPIWRFQSNHNIQVRKVV >cds-PLY89813.1 pep primary_assembly:Lsat_Salinas_v7:8:215935268:215935832:1 gene:gene-LSAT_8X136161 transcript:rna-gnl|WGS:NBSK|LSAT_8X136161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCSFKNKDHNQLRGEFLHGGVVLDCCFHDDTSGFSASADNTVTRLVFDHEREDLLGRHDAPVHCIEYSYATGKVITGSWDKTLKCWDPRGGGAQDRAMEFFDAMAPIIFCLQNFS >cds-PLY93571.1 pep primary_assembly:Lsat_Salinas_v7:2:175040400:175044134:1 gene:gene-LSAT_2X99200 transcript:rna-gnl|WGS:NBSK|LSAT_2X99200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-sugar pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT5G52560) UniProtKB/Swiss-Prot;Acc:Q9C5I1] MASAVDSAIQKLATVQLNELSTSSSPNLQKNLSILSPEQIELGKMLLETGQVHLFENWPDPGVDDDDKKALLAQVDLLNSSYPGGLASYIKTARELLADSKAGRNPFDGFTPSVPSGEVLTCGDDNFIQYEESGVKQIQNAAFVLVAGGLGERLGYKGIKVALPMETTTGTCFLQHYIESILSLSKASYKHAQGECQRDVPLVIMTSDDTHGHTLQLLESNSYFGMKPTQVKLLKQEKVACLADNDARLALDPNNKYKIQTKPHGHGDVHALLYSSGLLKEWKDAGLRWVLFFQDTNGLLFKAIPAALGVSVTKEYHVNSLAVPRKAKEAIGGITKLTHTDGREMVINVEYNQLDPLLRATGHPDGDVNCETGFSPYPGNINQLILELGPYIDELSKTGGAIKEFINPKYKDSTKTEFKSSTRLECMMQDYPKTLPPSARVGFTVTEIWLAYAPVKNNPEDAAKVPKGNPYHSATSGETAIYRANSMILRKAGVKVDDPVTQVFNGQEVEVWSRVVWKPKWAVTFSDVKSKVKGNNSVSQKSTLVIKGHNVVIEDLKLDGALIVDSVDEAEVKVGGGSVCNNGWTIESVDKDCVELPEEVRIRGFKINKKEQLEEFYGESGNFCLKP >cds-PLY67131.1 pep primary_assembly:Lsat_Salinas_v7:5:291549539:291551110:-1 gene:gene-LSAT_5X155220 transcript:rna-gnl|WGS:NBSK|LSAT_5X155220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKTSSLKRAEQRRLSLSRCAGFHVLSAISIAAIFFTYILITTHTLPSNYHPIHTLPLTISPIHPPLLNNPTFIVRNVKLTSYSIHKPIHMFKEDAQKTVLEDDHKPSVSLFNHTRKEKIFKEKLPEFDILKSTPLTRRFKNRAQEFFKDGCKVRFFMTWISPSLRVFGDREVLAIDALLKSNPKSCLMILSNTMDSVYGIGILKPFIDRGFRVQAITPDLEFLFKNTPAQSWLDHIKNGKTETGTIPLAQNLSNLIRLAILYKYGGVYVDTDFIILKDFSSVHNSIGAQSTATSGNWTRLNNAVLIFDKNHPLLYKFMEEFALTFNGNKWGYNGPYLVSRVIQREATTLKLNFTVLPPKAFYPVDWTRIGGYFTPPVNRKHLRWIEAKLGQLCSSSYGVHLWNKQSRGLRIEDGSIIARLILDHCVICNTK >cds-PLY73728.1 pep primary_assembly:Lsat_Salinas_v7:8:170901594:170905869:1 gene:gene-LSAT_8X112041 transcript:rna-gnl|WGS:NBSK|LSAT_8X112041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTSDASNHKYKNGDVVPLYANKVGPFQNPSETYRYFDLPFCLPGDLKEKKEALGEVLNGDRLVSAPYKLDFLVDKDSEFICTKKLTKQEVNKFRKAISKDYYFQMYFDDLPLWGFIGKYEKTELNELKYYLFKHLQFEVLYNKDHVIEVNVRTDPSALVDVTEDKEVNVDFMYTVRWKETNIPFDKRMEKYSQNSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEETAEDQEETGWKYIHGDVFRYPKFKSLFAAALGSGTQLFALTIFIFILALVGVFYPYNRGALFTALVVIYALTSGIAGYTASSFYCQLEGTNWVRNLLLTGSLFCGPLFLTFCFLNTVAIAYSATAALPFGTIVVIALIWALVTSPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPPLPWYRGSLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILVIVTAFITIALTYFQLAAEDHEWWWRESFVCGGSTGLFIYGYCLYYYYGRSDMTGFMQTSFFFGYMACICYGFFLMLGMIGFRASLFFVRHIYRSIKCE >cds-PLY62337.1 pep primary_assembly:Lsat_Salinas_v7:4:86600356:86603378:-1 gene:gene-LSAT_4X58560 transcript:rna-gnl|WGS:NBSK|LSAT_4X58560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVMNVAAPNETPVKLEGKFLAILVCWILGFGSLVAWNSMLTIGDYYYELFPDYHPSRVLTLVYQPFAIGTIAILAYNESKIDTRKRNIAGYILFFLCTLALIVIDLATSGKGGIGNYIGICVFVAGFGVADAHVQGGMVGDLAFMKPEFMQSFFAGLGASGIVTSGLRLITKAAFDKSPHGLRKGAILFLGISTFSEFLCILLYAFVFGKLPIVKYYRTKAAKEGSKTVSSDLAAAGIQTESNGTTDIDAKVPERLSTKQLLVKNIDYALDLFLIYVLTLSIFPGFLYENTGHHQLGSWYPLVLIAMYNAWDLISRYIPLIGFLKIESRKWLMIATLARFLFVPAFYFTAKYGDQGWMIMLISLLGLTNGYLTVCVMTVAPKGCTGPEANALGNILVMFLLGGIFAGVALDWLWIIGNGKF >cds-PLY74504.1 pep primary_assembly:Lsat_Salinas_v7:7:33362267:33363714:1 gene:gene-LSAT_7X24281 transcript:rna-gnl|WGS:NBSK|LSAT_7X24281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASERKLANPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQQIGA >cds-PLY94743.1 pep primary_assembly:Lsat_Salinas_v7:2:179426713:179436405:1 gene:gene-LSAT_2X101300 transcript:rna-gnl|WGS:NBSK|LSAT_2X101300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSRGGGSGPSDQPPPPPRRIMRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVEPSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLIGRMKKSDAREMQSFYRHYYSKYIQALQSAADKADRAQLTKAYQTANVLFEVLKAVNQTQSVEVDREILETHDKVAQKTEIYLPYNILPLDPDSANQAIMIFPEIQAAVVALRNTRGLPWPREYKKKNEEDILDWLQAMFGFQKDNVANQREHLILLLANVHIRQIPKPDQQPKLDERALNEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLTKVVSPIYDVIAQEAAWSKVGKSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADSDFFCGPMKANESDKNGDGKSSGGRRAAKVNFVEIRSYWHVFRSFDRMWSFFILCLQAMIIVAWNGDGNPTKIFDSDVFKKVLSVFITASILKLGQAVLDVVLNWKARQAMPFYVKLRYVLKVVTAAAWVIVLPVTYAYTSKDPEGLAQTIKGWFGNSSGSPSLFILAVVAYLSPNMLAAVLFLFPFIRRYLESSDYRIVMLMMWWSQPRLYVGRGMHESTFSLFKYTTFWILLIITKLAFSYYLEIQPLVSPTKAIMSVHINTYAWHEFFPQARNNIGVVVALWAPIILVYFMDTQIWYAIFSTLFGGVYGAFRRLGEIRTLGMLRSRFESLPGAFNGCLIPPEKSDTVKKKGLKATLSRNYEAISSHKGKEAARFAQLWNKIITSFREEDLINDREMNLLLVPYWADRELDLIQWPPFLLASMIPIALDMAKDSNGKDRELKKRIENDNYMSCAVRECYASFRNIIKFLVRGRREQKVINDIFEEVDKHIDEGDIVREFKMSALPILYDHVVKLINYLLTNKQEDRDQVVILFQDMHEVVTRDIMEDQFPNLDGPGYDADEQYQLFAPAGAIMFPAPESEAWKEKINRLYLLLTVKESAMDVPSNLEARRRISFFSNSLFMDMPSAPKVRNMLSFSVLTPYYTEEVLFSLHDLEVQNEDGVSILFYLQKIFPDEWNNFLERMGCENEHDLKGNNELEDQLRLWASYRGQTLTKTVRGMMYYRKALELQAFLDMAKDDDLMEGYKAIELNEDQMKGERSLWAQCQAVADMKFTYVVSCQQYGIQKRSGDARAQNVLRLMTEYPSLRVAYIDEVEEPSKDTTKKINNKVYYSALVKAMPNSNASETGQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKHDDVRYPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDLYRLGHRFDFFRMLSCYFTTIGFYFSTLITVLTVYVFLYGRLYMVLSGLEKGLASQPALRHNKPLQVALASQSFVQIGFLMALPMMMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLLVYQIFGESYRGAVAYLLITISIWFMVGTWLFAPFLFNPSGFEWQKIVDDWSDWNKWISNQGGIGVPPEKSWESWWEEEQEHLRYSGKRGVIVEILLALRFFIYQYGLVYHLSMTKHQKSVLVYGISWVVIFAILLVVKAISFGRMKFSAKFQLVFRLIKGAIFIMFVSILVILIALPHMTLQDIVVCILAFMPTGWGLLLIAQACKPVVKTAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRSARNKE >cds-PLY74125.1 pep primary_assembly:Lsat_Salinas_v7:9:13251936:13253538:-1 gene:gene-LSAT_9X11361 transcript:rna-gnl|WGS:NBSK|LSAT_9X11361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >cds-PLY80584.1 pep primary_assembly:Lsat_Salinas_v7:6:13040946:13043667:1 gene:gene-LSAT_6X9620 transcript:rna-gnl|WGS:NBSK|LSAT_6X9620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEGSEMNNSGVTVVGSDAPSDYHISPRTETPTKLPTTTPKPQSITAQMAAMAATSPSYPAAPPPAGVTPLTEKKKRGRPRKYAEDGSVRKALSPKPISSAAPPPPPVIDFSTVKRGKIRPDSAAKHEQRRPKEMEQPLGDSVSSAVGASFSPHIINVNTGEDVTMKVISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLSGSFIPSENGGIRNRSGGMSVSLSSPDGRVVGGSVAGLLVAASPVQIVVGSFLTGVQDEQKSTKKQKPENITITIPTTAAVPVPIPVSSPVPVSGPIPISVPVPAAENKESYSVTTTKQNLSSPSFRGDSWSPYNAPESRNKPTDINVSLQ >cds-PLY94220.1 pep primary_assembly:Lsat_Salinas_v7:3:169306998:169307192:-1 gene:gene-LSAT_3X105380 transcript:rna-gnl|WGS:NBSK|LSAT_3X105380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSYGKEYITASLIREFLMIAVFRMLDPLLFYVLPESVLIPMLCGAEHLIFAGIKLFLCRGLVQ >cds-PLY91269.1 pep primary_assembly:Lsat_Salinas_v7:3:76270278:76270481:1 gene:gene-LSAT_3X59320 transcript:rna-gnl|WGS:NBSK|LSAT_3X59320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFQSIPYKKKFQSLGSNVPIVPSLNSAPQCCKLGKNCINPCLKGLAAATPSSIGLIIPFETLTAHF >cds-PLY91848.1 pep primary_assembly:Lsat_Salinas_v7:6:32756604:32758837:-1 gene:gene-LSAT_6X26200 transcript:rna-gnl|WGS:NBSK|LSAT_6X26200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKAGAEKKRARRSSAASRDPATDTPPRKQANKKDVFQLFAEKVRDHKDLVSRWAVLQETRVEYFRGKDFVTFLRNHPELKDILESDRHLEVEDIVNVLLSKNLLVRCDRVVKTVRPGKRKLSTWPAHLEIYPDQEFSDNDAFFAWTFVNKRPLWQTLLSLSWPVLTLAICLFPVYPHQAKLLILYSCAGVLLLILCLLLVRGLIFGASWILLGRRIWIFPNILAEEATLRELFRFWPKKDEEEKPKLTARFFFAIVAVLAILLLRHHAPDEAARARYQRRVSNIIDDVLEWDPRLALSGMMDSMQSEEVNGTETNGNGNFTDGERVDDVNQSTDEKDHLFDDDDKDNI >cds-PLY87359.1 pep primary_assembly:Lsat_Salinas_v7:1:98793890:98794828:1 gene:gene-LSAT_1X80501 transcript:rna-gnl|WGS:NBSK|LSAT_1X80501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSEETTHRQPFHKFFDCWLRELNTNLEQLVSAANLHHDDNHHHIEDDSGSFSLIDKTIGHYVEYYKAKSNGAKEDVLSMFMAPWLTTLEDSFLWIGGWRPTTAVHLLYSKSGIQLEARLAELVPELNCMDLGDLNSNQMKGIDELQKKIIREERVISEKMASVQESAADTPMVDLSNMESEMIRNNEDDGRKDSDEKVESELERKNDKLVEMLRMADGLRMETLKSVVEILTPLQAVYFLIAAAELHLRLHEWGQKKDAT >cds-PLY84882.1 pep primary_assembly:Lsat_Salinas_v7:MU041095.1:224973:228543:1 gene:gene-LSAT_0X47621 transcript:rna-gnl|WGS:NBSK|LSAT_0X47621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METDCLLRQKPQSFNLDKLLANSPPWPPIINRVAASISSNPNLLWQFNQSKLLTLQQKTNKQPTSQVNDSETWAISITKIDRPGLGSGFAARYFAWLEIEDGVMLNTVVAAILVVIGPQHLKGNHLIQHVDIVNQNQKFNRVWRAGELPPGEMNEEDEFNGCNFRKDGFRFNPSSITLFRVDLPVEMSEYILLLGSKYWCFS >cds-PLY62235.1 pep primary_assembly:Lsat_Salinas_v7:5:163072947:163073672:-1 gene:gene-LSAT_5X72260 transcript:rna-gnl|WGS:NBSK|LSAT_5X72260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSSVSNTNTLEDHDNGGRSEKHVIMNESNTTTDNYSWGNVTEQDGVGEGGDLLGGFSWPPRSYTCTFCKREFRSAQALGGHMNVHRRDRARLRQIPSSRDLHLHSHNCSSNYNPHPNPNSKPNPNPNFSPYCFSNMSSAPTMLPPLISSSPSSPPPNFPCVSHSTTHPSYRLRHRACQNLSMIKPQILPYGVCKYDSFPNENEGEIVKKSDIIRLNLEIGFGESKSDDLDLELRLGCS >cds-PLY78857.1 pep primary_assembly:Lsat_Salinas_v7:5:305433287:305433902:1 gene:gene-LSAT_5X164861 transcript:rna-gnl|WGS:NBSK|LSAT_5X164861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLIRQNKMIIKVIKTNGEVLEYKPPIKVHQVLAQHADHAISDVFPVVRHLGHQDEMVAGHIYYLLPLPHVALPESIKNPRTTVRIKLVITKQELEMMLKKGGVSVAVGDLVSQIGKNGSLMEIADGRWKPGLESIPESC >cds-PLY74400.1 pep primary_assembly:Lsat_Salinas_v7:6:48843660:48843854:1 gene:gene-LSAT_6X35861 transcript:rna-gnl|WGS:NBSK|LSAT_6X35861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGETGSPQSGKFSIFNCLDGGSGTLACIVKESVTLYTYNIRTLHVEEARNKAIDASLADAISL >cds-PLY97560.1 pep primary_assembly:Lsat_Salinas_v7:5:237585300:237587627:1 gene:gene-LSAT_5X112240 transcript:rna-gnl|WGS:NBSK|LSAT_5X112240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRQRSIFDTFPETVDLNQGPNHGMNESDEHNIRLSPTERRLLSNDLSGELNFNCENTNDHHNSRSFSSWDVGESSSRPNEQDSRFKERQLDPTNTQFHSTPNHIPMDVNLNLEYGGNSNSSGNDGGQGFRFMNLYKSGTPEMESDEHEHEHEHEHEPDCSFGNWGLSCKRKALEGTSSSSASQPSPVVNSPSAFTPPIATESSRRYNGIRDSGSGPFNISSTGTPGNSLPFRRLPHSEYLGQPSRGPILTPPNSSQPLNVQPPLVQVPGMPRNLIPFSRNGNSSRNNEQNSYFGPSNETRNMVQDPTNWSLATGRSSNNGGNNYRNDPVWIPHHVSSSGTHGQQRLTELPPWTLFPSSEVESVGHRGHFPILPSGSSSSSEENVLPSRGRHRQHFLRSGPLMEVPGDEWQAFASDIEGRHRLVSEMRQILNALRRGESLRAEDYMLFDPFINGVSELHDRHRDMRLDVDNMSYEELLALEERIGDVKTGLSEEVIMKSMKQRKHISFMAISTQNLEPCCICREEYINGDNIGSLECGHDFHTSCIKQWLSQKNLCPICKMTGLST >cds-PLY61932.1 pep primary_assembly:Lsat_Salinas_v7:5:160702603:160704672:1 gene:gene-LSAT_5X71520 transcript:rna-gnl|WGS:NBSK|LSAT_5X71520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLHFQFFIGTLVMFLIIQYLKSALNLYLQPSDMRLLPQQDYLQEDHVHLFLDSVLPNEETDKSISPFSSKVHPVDAPPVTQSALLGTYEDNIFKSESKKPSLKSIDFLNLGGGPELEKKLKYIEHVCSGVILGKELVNAPPNDVVASSSPLLEVSLQKAAICCTTMHRGAALAAMSYMSCFLECGVSSLLESVTSNSASMEVQVISHSREGIVSNVVWRPYAVLVKKQNGILFSLGILFLVVGCLQTYKSTTIRELYEKSSKIEHDLHGVEAMRVGLIQLHADIKELTSTRQLTSQVQGMTQDLARATVDLQQVPRLKSEIHGLRQELQHARATIEHEKKGHAENYEHGQVMEKNLLSMTRELEKLHAKMANAEK >cds-PLY96073.1 pep primary_assembly:Lsat_Salinas_v7:3:96736517:96737924:1 gene:gene-LSAT_3X72320 transcript:rna-gnl|WGS:NBSK|LSAT_3X72320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKASRSFYTASLKYPQLIVSASFKPPSTAMHVYSSRIGRHRGTVEAPDALLPVFTTFVLLEIYLIVDCLKSNGGRIPSLKSSSNRGCTLITIDEGCCFYGFRTSKIYVQLPQIMLISPPIEPHHIHRTTDANFALALRSGKSFQLEIR >cds-PLY69825.1 pep primary_assembly:Lsat_Salinas_v7:6:3160666:3164762:-1 gene:gene-LSAT_6X4100 transcript:rna-gnl|WGS:NBSK|LSAT_6X4100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAKSFNPNKHLKEQFVSDLTGSSMVEVFVLSAIFSMLILLRHTIGIYNKIVASLDEKTSSKKKTVGSRAYIIRMIVDFLSIVLPITLVSTVLSEWSYTIGVVFVLVLFIYFAYKRDGCWYQEEGVHSLRECVSSYRVSLMLITCVCILAVDFNIFPRRYAKTETYGTSLMDVGVGAFVFANSLVSRQARGISTMGLNLKSALSSTSPLLVLGFARLVFTSGVDYQVHVGEYGVYWNFFFTLAGVAVLTSLVNVSPNYCGLLGSLVLIGYQICLISGLNVYLLSSERGADIISQNKEGIYSIFGYWGLHLIGVWLGNNLLFGKNMKTNDSARKRVWILFLFFWCLTLILDSYVERPSRRMCNLTYVTFVLATNLQTLGIIMLSGGKKVSLLEQAINRNLLAVFIVGNLLTGLVNLWMNTLFVSPVTALFILVAYGFIICCVAGFADYNGIKLKFW >cds-PLY71311.1 pep primary_assembly:Lsat_Salinas_v7:8:102922982:102925312:-1 gene:gene-LSAT_8X71640 transcript:rna-gnl|WGS:NBSK|LSAT_8X71640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIHMFCSRKLGLFFSVTKNPTNISSNIQQAVISCFNSFAVDVFKPEVGFPIKETVSTNVLQDALTGNVTIKPLPLGSPVSGKVYLYGAHVTSWKNEHGEELLFVSSKEIFKLLKPICGSIPICFPHFPNLGPLEAHGFARNRLWRFDDDPPPFRTDATNKVFTVLILRPTKEDLKIWPHSFEYQLRIALGPGTNLMLTLRIRNTNTNGKQFTFTFAYHTYFSVSDISEVRVEGLETLDYLDNCQIRERFTEQGDSITFE >cds-PLY65084.1 pep primary_assembly:Lsat_Salinas_v7:4:203546266:203548324:1 gene:gene-LSAT_4X115641 transcript:rna-gnl|WGS:NBSK|LSAT_4X115641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATEIKNLDTDLKMLVYENYNKFITAIAGDKVLLKVGQGVRRSGRDRGRGSNNKSNYGSCYFPVHSRWLKEKACPTHILCLNHLFVSDGALAFTTLPLEHALSTVPLLPWQEVTPQLFARLSSHPEEDVAK >cds-PLY74655.1 pep primary_assembly:Lsat_Salinas_v7:5:176894128:176895860:-1 gene:gene-LSAT_5X78781 transcript:rna-gnl|WGS:NBSK|LSAT_5X78781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLGTAEDDSGTEIHLPADIDWEMLDKSKFFFLGAALFSGVSGMLYPIVVLKTRKQVLVKDMPCLKLAVSILRHEGCRGFYRGFGTSLMGTIPARALYMGALEMTKSNVGCVTVKMGFSEAKAAAIANAAAGLSAAMAAQMVWTPIDVVSQRLMVQGGHGAQIRYNGGIDAFRKIIQTDGVRGLYRGFGISILTYAPSNAVWWVAYSMAHRAVWGGVGCYYLKKEGNGNGNGGGFTPDSKAVVAVQAASAAMASGFSAVVTMPLDTIKTRLQVLDGEGSNGKPSVVQTIRNLVKEGGLSACYRGLGPRWASMSMSATTMITTYEFLKRLSTKNQDCHV >cds-PLY66302.1 pep primary_assembly:Lsat_Salinas_v7:8:218389254:218390307:1 gene:gene-LSAT_8X135921 transcript:rna-gnl|WGS:NBSK|LSAT_8X135921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVALGPGKFYGSGLPRPRIYTDIKYNSYRVDPPVSVTDPLMSWAEEAHWSMGGLNFKRHRLQGRIEGNVEKLRSQIEESIKKKESISPSSSKKKPISKSFEKSAKKKQSADFDRSPSPPPAPLANKRKRRFLGLVDEDEDEGEENMSARKFPVRKLSDEFDLVANTKKSPARSSKGVESVTATISSKTRGQKTATEEPIKTFSKGKKRLRKVGEEKIVASSPSRISPRLVKRV >cds-PLY72858.1 pep primary_assembly:Lsat_Salinas_v7:5:168608629:168611788:-1 gene:gene-LSAT_5X74201 transcript:rna-gnl|WGS:NBSK|LSAT_5X74201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFFSVNKLCPEQSDLIQEIDSKLPVVQLALELTHKIFGSCPPMQSFDPAKMLTLTEAFGKFYGNSKQGTNNDKSLGGVHENCINLCLAAWTNFVAPDRPCHSW >cds-PLY72194.1 pep primary_assembly:Lsat_Salinas_v7:7:57072416:57075098:1 gene:gene-LSAT_7X41340 transcript:rna-gnl|WGS:NBSK|LSAT_7X41340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTIRPSLSSLATTRFGGGISMVNSSRRFNSLHFGSTVGSQSQHFGLKGCKFFRQEGNNKQATTIVSMTQGSTATTQENALEWVKTDQRRMLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVIELTYNYGVDKYDIGSAFGHFGIAVDDVAKTVDLIKAKGGTVTREPGPVKGGKSIIAFVEDPDGYKFELIERGPTPEPLCQVMLRVGDLDRSITFYEKAFGMELLRRRDNPEYKYTIAMMGYGPEDKSAVLELTYNYGVTEYDKGNAYAQIAIGTDDVYKTAEAVKLFGGKITREPGPLPGISTKITACLDPDGWKTVFVDNIDFVKELE >cds-PLY65280.1 pep primary_assembly:Lsat_Salinas_v7:8:105093778:105096200:1 gene:gene-LSAT_8X71080 transcript:rna-gnl|WGS:NBSK|LSAT_8X71080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTDMVNRCLSLSQHSVPQSPSSSSSPSSSLQTLASAISSPSAKRRCLTHRALAYRYVHRSAIFGTKLKRSDPSREPQLIQRAVSASLDAEFSDEEFSKKIRELALQFQVSDEFGNKEKYDGLALELELASESQSPFAGLKMEVPDWPGDMIPASIERKANSVELPFSLRIIKRKKQWQEGIRDAGESAYCSVKKAFSSMVFIIRELQSYTLQMRELLYYEDLQGILVRVQQEMNASFVWLFQQVFSQTPTLMVYVMILLANYSVYSMSNNIALAAPPPPATVESITEHQSETKFDSSSVKTFSVNSGGKTTSIGGNNGGGGKFRAVASGTDGDGRFDGSITSSSSIVNPTRTSEESVSGQASKDNEWESWNSIVDEADRMQGVIGDNGDLDHETMKRFVSPVTVKIEEEDTEDHSKTELLYQTGLSQEPDNPLLLANYAQFLYLVTRDYDRAEDYFKRASMVEPKDAEALNKYASFLWQVRKDLWAAEETYLEAISADPTNSFYAANYAHFLWSTGGEDTCFPLDSPGNMFSDEV >cds-PLY90189.1 pep primary_assembly:Lsat_Salinas_v7:7:15034160:15037121:-1 gene:gene-LSAT_7X12161 transcript:rna-gnl|WGS:NBSK|LSAT_7X12161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATANPFDLLGDDDNDDPSLLVQKIVSAPVKKAQTAPLAGKTAAQPAKPSAKLPSKPLPPAQAVKEAKNEGLRGGRGGGRRGGRGGYGRGRGGGGGFNRDSGSNENSFGNRGFSGDQGAVEESDGGKGYERSGGYGAPRGGFRGGRRGGFSNGDSEDGDRPRRPYERRSGTGRGNEFKREGAGRGNWGTQADEITQETEEVVAEGEKTVGSDKPLAEEEATNEKKENAANEPEDKEPEDKEMTLEEYQKVLEEKRKALEALKTEERKVEVDKELAAMQQLSNKKASDDIFVKLGTDKDKRKEIADKEEKAKKSLSINEFLKPASGEKYYTPGGRGRGRGGPRGGGGGRYNQGGGGSMSYAPEAPKIEDPSHFPTLGGK >cds-PLY95869.1 pep primary_assembly:Lsat_Salinas_v7:5:317720259:317722489:1 gene:gene-LSAT_5X174161 transcript:rna-gnl|WGS:NBSK|LSAT_5X174161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETGEEKLIAVARHIAKTLGNTDNAMADDILQIFSNFDGRLREKLHESLSGNDGGAALDQTLRTIDRRISRYLTVDQPIWADPADASSFLDDVDELVAVIREWSAMADDKAVTSCLDRAEDLLQQCMFRLEEEFKLLIGRGGAESSDNAVGGGVGGYLDSDDDEDDGFDDVEIPVAHPVSDYNITIEALPSSTINDLHEIAKRMVAAGYGKECSLAYSTCRREFLEESLSRLGFLGLQNSSKPLEDADNDVEIEKWVKAINMAVRVFYPSERRLCDRVFGYSSATAAAADLSFMDVCRVSTMELLNFANGIAMGSRAPERLFKILDVYEAVKDLLPEFEVLFSDQYCLFLRNEATGVWKRLGESIRGIFLELENLIRRDPAKAAVPGGGLHPITRYVMNYLRAACSRPTLEQVFDDNLDGSSPSPSSSLPVQISWIMEVLENNLESKSKTYRDPALSSVFMMNNGRYIVKKVKGDELGSLLGDDWIRKQTSKVRQHHVNYQRSSWHKILNTLKLDNSNLSSNVASKALKDKLKLFNSQFDDIFRTQSTWAIFDEQLREELKISVAGTLLPAYRNFLGRFYNLQDIGKYADKHVKFSIEDVEARIDDLFQATAVAGGGRK >cds-PLY91581.1 pep primary_assembly:Lsat_Salinas_v7:1:11217743:11221016:-1 gene:gene-LSAT_1X9421 transcript:rna-gnl|WGS:NBSK|LSAT_1X9421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVKDSTTATATAAPPQYRRPPRLTDDSDDVKPGNPPPITAQKPEPLSEPKHEKEQQHQSAIAVPSKDPDALDSGGGISFVSGSKTARFKYGYASFKGKRASMEDYFETTISEVDGQTVAFFGVFDGHGGSRTAEYLKNNLFKNLSGHPGFMKDTKTAIVEAFRRTDADYLSGENPQQRDAGSTASTAVMLGDRILVANVGDSRVVASRAGSAIPLSIDHKPDRSDERERIEQAGGFIIWAGTWRVGGVLAVSRAFGDKLLKPYVVAEPEIQEELIEGVEFIIIASDGLWNVLSNKDAVGIVQEISDAEAACRKLVQESYARGSSDNITCIVVRFEDHXXXXXXXXXXXXXXIYISSNANVVSKYIYTSCLLLI >cds-PLY69448.1 pep primary_assembly:Lsat_Salinas_v7:6:122516939:122518446:1 gene:gene-LSAT_6X72881 transcript:rna-gnl|WGS:NBSK|LSAT_6X72881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDVTQLGSVMAGLMFVWAIFQQWFPEELGNHIQNYFRRLGSYFYPYITITFPEYQSGEFKRSKAYIAIERYLAINSSDRAKKLKANVIKDGKSVVLSMDDYEEVTDEFEGMKIWWSSSITISQQRSLFTNDDGEKRSYKLTCHRKHREIITKVYLHHVLDEGKAIVMKSRQRKLYTNGNMTSWNHIIFEHPSTFDTLAMHPEKKKDILDDLMTFIKSKDYYKKVGRSWKRGYLLYGPPGTGKSSMIAAMANLLDYDIYDLELTSVSNNTDLKKLLIGTTSKSIIVIEDIDCSLDLTGQRKKKKEKKQKEKEKEKEKNPAQKKEKDKITGSDVTLSGLLNFIDGLWSACGSERLIVFTTNHLEKLDPALIRRGRMDKHIELSYCCFETFKVLAKNYLDLESHDLFSPISRLLEETNISPADVAENLMPKSSQENAESCLKHLIKVLNNSKEEARLKAMEDSRVKASQSESSIVESSDEESSDGEG >cds-PLY69266.1 pep primary_assembly:Lsat_Salinas_v7:MU044437.1:3314:5287:1 gene:gene-LSAT_0X43360 transcript:rna-gnl|WGS:NBSK|LSAT_0X43360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPRAAELTTLLESRISNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPTGKAMLGRVVDALEVPIDGRGALSDHERRRVEVKAPRIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRSTSESETLYCVYVAIGQKRSTVAQLVQILSEANAMEYSILVAATASDPAPLQFLAPYSGYAMVEYFRNNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSSLLERATKRSDQTGAGSLTALPVIETQAGNVSAYIPTNVIPITDGCSETELFYRGIRPAINVGLSVSRVGSAAQLKTMKQVCGSSKLELAQYREVAALAQFGSDLDAATQALLNRGARLTEVPKQPQYAPLPIEKQILVMEPDTSPRPRKRRFLSWVLSSFGLLAFAFIGIGLGIDIENLGLEVALCDHRNAFSVPQSPTTPPSQELLESPPPPPMPPAVPIPEPLLPDQVRSNSLYQRYLILDFGVGDPGNLERMVSIITNQVFIERSVEQALLQDGWGTGSILAQYTSICGIVHTQQGRLLSPRTYESYMSQINEQGTRQSVPYRRIYRALNYFDLVLERAGGRETRGA >cds-PLY76058.1 pep primary_assembly:Lsat_Salinas_v7:5:320455533:320467287:1 gene:gene-LSAT_5X175841 transcript:rna-gnl|WGS:NBSK|LSAT_5X175841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSSPTKEKEIDFTVSPRLLHCRCFKQPATTANHHLHRLVINAVTSSGGRRERVFNNVKRQELLKEYFSPEKAKRFTKFGERKGLIKKDVGSNNHHHFRPPKPDNVATICYTTGTRKGDVAVSHENLIASVAVGSLEINFYPFDVFGNFYWDLIHTVQHMQRGGILSQLKHQST >cds-PLY91356.1 pep primary_assembly:Lsat_Salinas_v7:8:47707920:47708438:-1 gene:gene-LSAT_8X35521 transcript:rna-gnl|WGS:NBSK|LSAT_8X35521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRQNMENKGKRERDRVFKKNGILLFPLSTTEYNKGIKAVADIVINHRTGEKQDGSGKYYIFEGGTPDKRLDWGSSLIFKDDDYCVGNGNIDTGDPITGSPVIDHVNPIVQKELSDWMNWLKIEIGFDGWQFDYVKGYSSSFTKIYMTNTSPDFAVGELWSSLAHGQDEKPY >cds-PLY98234.1 pep primary_assembly:Lsat_Salinas_v7:7:171444972:171446465:-1 gene:gene-LSAT_7X101801 transcript:rna-gnl|WGS:NBSK|LSAT_7X101801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase CCNB1IP1 homolog [Source:Projected from Arabidopsis thaliana (AT1G53490) UniProtKB/Swiss-Prot;Acc:F4HRI2] MRFKGHEDASKILSNDAACPICDQVLSKSLMKPVDINPNEEWVNYSYILTSFTCFSADGYGWHISTNMYPINLNYLVICVMFYIGQKELEMQFKMNRIVAQCKQKCETMQEKFTEKMEQLHTAYQKMGKRCQMMEQEIQNLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSEYESVKRSAIQPANNFFSRGGGDSDLFSSPANMMDNRDPMRKDWSVYTPDTPGPREDIWPATTRQNSSNSGGPFDISNSPPVKHSVNPVENRRSIGRQMFGGGGGGGGGGGGGVGGAGNPSMTLRNLILSPMKRPQLSRTRPQLFT >cds-PLY64730.1 pep primary_assembly:Lsat_Salinas_v7:9:191572183:191572398:-1 gene:gene-LSAT_9X116560 transcript:rna-gnl|WGS:NBSK|LSAT_9X116560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMQNCKCFSALNASVVLPRLSSLDRICTLMESLILLRINTFHGPPRKHFDLDVGLGNRDAVVDAYVVIIR >cds-PLY76348.1 pep primary_assembly:Lsat_Salinas_v7:5:224843494:224844315:1 gene:gene-LSAT_5X109420 transcript:rna-gnl|WGS:NBSK|LSAT_5X109420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDLTSKLHYWLVDHPTITNFQWKPNQTWGASPLFLSITLLTYLLLTFTLQHAHLPTLNSTFLRLISALHNLILLILSLIMAVGCSLSTYSQMPNHRWIFCFPPNSTPPQGPVFFWAQIFYFSKLLEFIDTLLIILSGSNRRLSFLHVYHHTVVVVMCYVWLSTSQSLLPVALVTNASVHVLMYAYYLLCALGWRPWWKVLVTNCQIVQFVFSFMVSGLMLYYHYTGSGCSGFYGWCFNAVFNASLLALFINFHFKNYAKRKKPHDDTKTKSL >cds-PLY87790.1 pep primary_assembly:Lsat_Salinas_v7:8:223148157:223162094:1 gene:gene-LSAT_8X137440 transcript:rna-gnl|WGS:NBSK|LSAT_8X137440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SYD [Source:Projected from Arabidopsis thaliana (AT2G28290) UniProtKB/TrEMBL;Acc:A0A384L3H2] MAATPQNVEMEAAKFLHKLIQESTDEPTKLATKLHVILQHMRSSGKENSMPYQVISRAMETVINRHGLDIEALMSQRQPLTSGSQPGDSSLSQIAGPSQQTAVENDSKKPLTTEDTAKIATFSSKPLHGPSSAGHDIYQGSANQLNSMKSHGSNAGLSGSYESSEPGNPLSMQFSNSYDNQTAAMLMHKTPAGKALDHEGGFSNVMANASKTLPGGISNNVAEMGLLRNEASRDTGKLPVVQASPAGPSMPFKEHHLKQLRAQCLVFLAFRNNLMPKKLHLEIALGNFFSKEDTSSKEHIDQKGKDQSIGGQSSSGFISEMKEGEKLDKMIDKNEPPYGLPEHVEGMRNTMIPRKIEPEMPVFETKELQTSAIKVAQSDPINIPLHADTQGNRLGHNSHLPSWKPHSGMEGERHMVVVKNANVLEKDVILAGNNREGEDTSELSTSPASPKYTTSEKWIADCKKRKVAADNNWKAKKMKTEQRISVCVEKLKETVSSSEDISAKTKSVIELKKLELLNLQRRLRNDILNDFFRPISNEMDRLKSIKKHRIGRRSKQLERYEQKMKEERQKRIRERQKDFFGELESHKERLEDLHKYRRERAKGFNKYVREFHKRKERLYREKIDRIQREKINLLKINDVEGYLRMVKDAKSDRVKQLLKETEKYLQKLGSKLKEAKVISRAFEADNGLNDNSEFTIENEDETDQAKHYMESNEKYYLMAHSVKELVAEQPATLIGGKLREYQMNGLRWLLSLYNNHLNGILADEMGLGKTVQVISLLCYLMENKNDRGPFLVVVPSSVLPGWESEINFWAPTINKIVYSGHPEERRKLFKEKIVQQKFNVLLTTYEYLMNKHDRPKLSKIHWHYIIIDEGHRIKNASCKLNAELKHYNSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSAEDFSQWFNKPFESNADNSLEEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLVRCESSAYQKILMQRVEDNMGAFGATKSRSVHNSVVELRNICNHPYLSQLHTEQVHDFIPKHFLPNVIRFCGKLEMLDRLLPKLKATDHRVLLFSTMTRLLDVMEDYLYYKQYKYLRLDGHTSGGDRGSLIDQFNKPGSPFFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKEVLVLRLETVKSVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLEALLRECKKEEAAPVLNDDGLNDLIARSESEIDVFEELDKKRQEEEMALWKQVVSEQGGTSDEVTPPLPSRLVTEDEMKSFCEAMKAIEVPKPVVIPGYGGKRKSELGNLDTHNYGRGKRAREVRSYEEQWTEDEFEKMCQVEAPESPNTTKEEGDLAVGVTASESGMVIGAEGTGLPNIQHPMQPPPPAAFSVQQIGASPPIKRGRGRPPKKKLPGIGVPPPMAALQVSPLPVPPPLHPIGLQIAAPPPPAPSVATPSVPKSTPSDGGQPTVTLPSATLSVPPGFQPPASHPPGFQPTVAPPPGYKPLTSPPPGYQPITTTPPGYQPIATPTATPPPPGFQPKISPSPQATTITPLSTSTLPPTSEPTTTMTPPNQNIPPSNPTPSTLSTPTLPVSIPVFSPSSHDSESAVSPSVTPGSGRGRGRGRGRGRGRPRGRGRGRGQIIGSSGVDEAEAEPQPQPQPQPQPQHTVTKQHHVVLAMPGLRTSSLINMSETLPSTTNEPLVSTSTAVSNTPAAAPGTVSIPAVTVTPPPSENLKSDIPIPEPSVPVESVPTSSTLPEVSQAVPDTDVGATPPPPPVSVDSQVTELQTTSAQAAAPVTTEPETATPVATGVEELSSPASTTTPPPPPVSVVAQASELGTLSSPAATLVSTPEPTALVTAPVGTPAATPLVTTPVSTPAAAPLVGTPAATPLVTTSVGTLAATPVGIPSATTVSTPAATPLVDTPVAAPASTPEATSLVTTSVGTPAATPVGIPSATPVSTPAATPLVATPVATPASTPVATPLVDTPVATPTESPSESAPKQGRGRGRKVQSRPEAPRRRERRKLDTVEAQEQKPNEPPQKRTRASSSRKTVATRSMLRNEAQKMMDMDDDDQSTQAASKLAETPLKDSPDVKQQSSNVADTINLHGEKIEKQKDISEATESQSMTDDAVENTKSKEDSDSKKLMEVESESKSETQSKPSEEVEINQPQKDEGLKAETECSASQDGGKNEEKDPVIKDGDTDSAAVEESVTDSVQKSPSLDLQIETEATASKDVEDTNKTSPQGKGDDGIEIERNNNDTDVKPTEKVDDASQKESESSVIKEDVSEAEALPVITEGDKEEEQEKSCEKMEDEQHPPMVVETEAKPCEDDDNDNKKQEGDDAQIETPSESEKEKEKQEEGSTESGLNKLGTTQEADEQNDPTIKTQQEQDQNDD >cds-PLY70935.1 pep primary_assembly:Lsat_Salinas_v7:8:227740036:227741183:-1 gene:gene-LSAT_8X138540 transcript:rna-gnl|WGS:NBSK|LSAT_8X138540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYDFTYVENVAHAHVCAERALASDGSASKRAAGEAYFITNMEPIKFWEFMSLILVRLGFEWPRIKIPASIAKLVERTYSVLWEPFLEPWKFQVSLRRKQGKSALQNSPVMTDVHLESTMNLNINVTESLIEVAFRTLVMVYFFRKLIT >cds-PLY92908.1 pep primary_assembly:Lsat_Salinas_v7:3:181369242:181370732:-1 gene:gene-LSAT_3X108581 transcript:rna-gnl|WGS:NBSK|LSAT_3X108581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat extensin-like protein 7 [Source:Projected from Arabidopsis thaliana (AT5G25550) UniProtKB/Swiss-Prot;Acc:Q4PSE6] MSVHGANHHHRRQLLEDGEGDSSLVLDPSLNFENVRMKNAYIALQAWKAVIVSDPHGVTDTWVGSDVCNYEGVFCYQSPDNPQERTVAGIDLNHQDIAGKLPELLGLLFDLGLFHINSNRFCGTLPRSFVNFKILSELDLSNNRFAGNFPDFLLELPNLKYLDIRFNEFEGKLPKKLFNKNLDAILVNNNRFSSVIPEEIGNSPASVIVLANNKFSGCIPESITKMTERLEELILTNNGFESCMPESIGDMKNLTVLDVSGNRIKGELPESMGEMESLEVWNVAHNMLSGKIPARLCMLPNLESIDYEYNFFVNQTENCLNFVDFDDRRNCFKDRPEQRTKSQCKNFLARSLDCSAFGCGQPPELPPPALPVAPPLSPPPSTPAPSPSSSSPPPSSPPPPLPAPSPSSLPPPPPPSPSSPPPLLAPSPSPIPPPTPSPSPIEPPLPQPPSSSCPSQSPTPFTCPPCPVCDQKPPSSSSASPSNQQEAPESTPPYTS >cds-PLY87745.1 pep primary_assembly:Lsat_Salinas_v7:2:78937306:78939983:1 gene:gene-LSAT_2X35541 transcript:rna-gnl|WGS:NBSK|LSAT_2X35541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRNLSSDVLQFFNYQGNELLLLFPQPLSVSWVAKYQEANPTVFTLEETPCLLAPGNDDSAKVIDVGLRAVLSLP >cds-PLY98036.1 pep primary_assembly:Lsat_Salinas_v7:1:113102046:113106959:1 gene:gene-LSAT_1X88300 transcript:rna-gnl|WGS:NBSK|LSAT_1X88300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVSAFITLLCGKLNSADLMKLAQSNGIDTQLKKLKKTLPLIQAVLADARNKQITYIAAQRWLCDLCELTYDIDDLLDDLTTEDMRGKLNQESRASINNTILKLLSSFSNFGPHSIMYGRQMSCKLDEITYQLDTFLERKNDLGLNVIVEVESYRRARRLEEIPVESQIIGRERDKEALLMKLLGSDENVGVVSIVGMAGVGKTALAEVLYNEEKLKDHFELRAWVCVTEAYSIFHIGKSIWEAVSGEAKRFPDLNLLHVALKEKLSKKRFLLVLDDVWNIDYLLWKLLGSPPLVGAPGSKVIVTTGRTRVASVMGSIETYHLDLSNEDALSLFAQHALGEKTFNKHPTLRLHGEAIVKKCGGLPLALIMLGRVLKTSKNDDEWKKLLTNDVWDIKDARGILWTLKLSYYHLPPHLKLLFAYCSFFPRGYVFDKKTLVLMWMAEGFLSQSIGDKSMESLGYESFEELKSRSFFQYSTNDEVGHTMHYLLGDLATSVAGEFFFRSDEEMDVSNMNETFEKLRHFSLKALQGVSYKKLIKELQRSQRLRTFLLMSSGWKSNDLLDNFLVELLPDLQFLRGLSLSGWNITKIPQSIGDLKHLRYLNVSNTRITCLPEQVSDLCNLQSLLVSGCYELSALPESFVNLINLRHLDISRTPKLNKMPLGIGGLTSLQTLPKVIIERDNGFKISDLKDLSNLQGRLSIMGLDKVISPLQAKDANLHEKEGLDVLDMEWSDVFDDYRNELIEYEVLEELRPHHKLRNLKILFYKGMTFPDWVSDPSFDQLTELTLCGCRNDCLPMLGCLPSLGKLFVKRMNEVKTVDFELLSSSNSFLGVAFPSLEVLKFDDMQEWMGWFISGGNYYGTKKPFPRLRELSIKHCPRLVQVSIGFIPSLRVLHVEGCLEQVLRSIIGATSSLIALKMGNVKGLVQLDEEHLMCLGAVEDLYIDRCDELRYLGEPETEDYEFLSSLQKLEVRNCDALESLICPNRVERLVISCCRKMTSLTFSPLLQLPSSIMFSLRSLDIHGCNNLKSFPHEHLESLKSLEELCICDCPSMDYSFPCGLWPPNLRSLGIGYLNKPMSEWGPQKFPTSLVELLLYGKHSGVVSFAVGEHASNTTTSTSCFLLPPSLASLGLNGFMELESLSEVLQHLPCLKTLHIWSCPKLRDLPETTSSLTVKLWL >cds-PLY71690.1 pep primary_assembly:Lsat_Salinas_v7:3:47113894:47116265:-1 gene:gene-LSAT_3X36440 transcript:rna-gnl|WGS:NBSK|LSAT_3X36440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELSFSMMNTVVCVVGVIVMIYGWRFFNWVWLKPKKMDKFLREQGLNGNPYKFLYGDMKEMVQMTADAKLKPINLTDDIVPRVMPYLYNSAKTYGKGKNFYTWMGPRPMMHITEPALIRQILANYSRFQKLNGGNPLAKLVARGLADVEADQWAKHRKIINPVFHVEKLKHMVPAFYISCSDMINKWEVLTKERSCEVDVYPHLQTFTSDVISRTAFGSSYEEGRKIFELQKEQTELVMKALQSLYIPGSRFLPTKSNRRTKEIDRDVRATVNKIINKRVTTMKAGENSSDDLLGILLDSNYKEIKQQGNTNFGLTTEEVIQECKLFYFAGQETTANLLVWTMILLGQHTNWQDRARDEVLKVFGDRKPDIDGLSRVKVINMILHEVLRLYPAVIGMGRMIHKETTLGNITLPAGSFLQLHMMLLHYDNEMWGDDVKEFKPERFAEGVSNATKEQASYFPFGGGPRICIGQNFAMLEAKLALVMILRGFSFEISPLYAHAPQMILTLQPQYGAQLILRKL >cds-PLY91466.1 pep primary_assembly:Lsat_Salinas_v7:MU039433.1:396897:398599:-1 gene:gene-LSAT_0X26281 transcript:rna-gnl|WGS:NBSK|LSAT_0X26281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKVRSSISSGCLGSYVTPRGEEDGVNDSPLAGLDGSQYKIEKGVKLEPIEEVTIEVNEEHVVMVMEALSHKRAEGTNMSPVAGNFGRTRITLTCPSRGLVGYRSVFSSDTRGTGFIHRAFMGFC >cds-PLY97035.1 pep primary_assembly:Lsat_Salinas_v7:2:69474378:69478340:-1 gene:gene-LSAT_2X30981 transcript:rna-gnl|WGS:NBSK|LSAT_2X30981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYILIQDQGENEMIRLAFGPENLLASVIKQAKAFLDISSNTSSTPSSPSPFSNQRSPRIIIPNNGFHHMNPSPLSPHSPWSSSGSFHDRRSSPRAASYASVVNGGGGGSSASFYNSYNDGTEEYNNNNNSGLQVVTDQLSFLDNAKNGDFMDPIVSPGGRSDSILFPYPNSTTDWSADGGSCGDAQHHFHRRSCSVNDMFFGGGGGNDDLGNGLGGWRPCMYYARGFCKNGTSCKFIHGNGGLGDEMCLGSSSPTAIVGSPTGNIDGIEDFLRIKAIQQQHRAAAMAAGGPHPFPFNRCMNFLNDSPRSPSSAAAAALMMGEDFHKFGRFRPHSHDFTAMGLGNSSSSSRQIYLTFPADSTFKEEDVSNYFSIFGPVQDVRIPYQQKRMFGFVTFVLPETVKAILAKGNPHFVCDSRVLVKPYKEKGKIPDKKHQQHERGDFSNCLSPTALEAAEPFDHIPFGARMFNHEMMLRRKLENRAELQQAIDLQDRKLMNMHLNDLNNHHHLHHNVSHSQSLLSSNGNDDNKVSKGVNGSGIQGTDGSEMKVLSEVNDENGDNNDNSNEKQDKSNLTETYSNESFDHILPDNLFASPTKTAATNHHSVFSTNLPESDAPPSAASSAALNMASLKSCYFQMPRSFCGQEAIEM >cds-PLY83467.1 pep primary_assembly:Lsat_Salinas_v7:3:206006194:206007453:1 gene:gene-LSAT_3X121781 transcript:rna-gnl|WGS:NBSK|LSAT_3X121781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARNEEKAQSMLNRFITMKAEEKKKPKERRPFLASECRDLSDADKWRQQIMREIGRKVAEIQNEGLGEHRLRDLNDEINKLIREKMHWERRIVELGGHNYSRYSAKMTDLEGNIVDVPNPSGRGPGYRYFGAAKKLPGVKELFEKPPELRKRRSRYDIYKRIDASYYGYRDDEDGILEKVEAEAEENMRAEAVAEWMRMEEIKKEARKAVKSGEVAEVGGAAKTILYEEEEDVVEEERMKERELEEKEKEKEFVVHVPLPDEKEIERMVLEKKKKDLLSKYASENLLEEQIEAKAMLNIHR >cds-PLY94075.1 pep primary_assembly:Lsat_Salinas_v7:4:172012240:172012578:-1 gene:gene-LSAT_4X101541 transcript:rna-gnl|WGS:NBSK|LSAT_4X101541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYVKTKLTIDAFRATQLIPPPIPALRIVQIDEGSPTRKPDELPKEPLVPPPSMQTSHQSISFISIQEDQTKDKGKKIATESDSPVKSNKKSEGWSTEQMNNLLRKAFKSKP >cds-PLY62302.1 pep primary_assembly:Lsat_Salinas_v7:5:161975713:161977051:-1 gene:gene-LSAT_5X70781 transcript:rna-gnl|WGS:NBSK|LSAT_5X70781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVAGVEPNHITFVTLLSSCADFPLHALSFGSSIHALVCKFGYDKDNVKVGTAIIDMYCKCNRVDIACLCFEKMDFKNKVTWNTLVGGLMRNGEITRAVQVFDEMPERDVISYTALIDGFVKKGHYEHALEWFQEMLASGIQPDHVTIVSALSASANLGALGLGLWLHRLVLKGKLNNNIRINNSLIDMYSRCGCTEFALQVFHTMSKHNLVSWNSLIVGFALNGNPENTLKYFYQMQKDGFKPDEVTFTGALTACSHGGLIDESLKLFDMMTQDYKITPRIEHYGCLVDLYSRARMLKQALNVIQNMPMKPNEVILGSVLAACRVAEDVELAEKLMRFISEVNPGGDSNYVLLSNIYAAGKDWHKASSVRKRMKNKGIEKNPGVSSIEIDGKVVKFVAGDKSHDKSECVYKMLQNLSCELMISGYVPEVNMREH >cds-PLY61691.1 pep primary_assembly:Lsat_Salinas_v7:5:214289706:214291276:1 gene:gene-LSAT_5X98420 transcript:rna-gnl|WGS:NBSK|LSAT_5X98420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESRRNSTTVVGFSLLPSELIHYIILRLALPDIFHLKSVNKFITSVIADQDFARDYNLRSSSSTWLFVYKKRWHRDPVVVHGFTQSSERWFKVMIGDILKPVTPPGEDLYFLTAAGNFFLFALNCSREVISVDPITKTVKRIPQSPLGPRGTSSWRRSGIKLLAGPSGSGQFRFLFAEMVDNNPTLFEYDSTTNKWKSTIARENNVNLTHNNVKDKDRIFLSASNGPNTSIVISVGCDINDPLVVRPRFTAVPEDGELAVGFSWGSVINRLHIYGDGRMLIVRSGSVGLNDSSRRIRCLKHIELWGLNPNGSQWAFVSSIPNGLIDEIKKPYGVMMGCLEEREETIRVILMSNFEGAWDIIWLGFDLVKSRWFRVPLPVFNMEGSNMAGVTFSSGLTIA >cds-PLY71963.1 pep primary_assembly:Lsat_Salinas_v7:3:25730782:25734786:-1 gene:gene-LSAT_3X19161 transcript:rna-gnl|WGS:NBSK|LSAT_3X19161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSPKALELQQSRGPIPPQVTFARRTSSGRYVNYSRDDLDSEIGSTEFMNYTVHIPATPDNQPMDPSISQRVEEQYVSNSLFTGGFNSVTRAHLMDKVIDSETTHPQMAGAKGSSCKIPGCDGIVMSDERGVDILPCECDFKICRDCYIDAVKTGDGICPGCKEPYKQTDLDQHSVDHRQPLSLPSNIPNSKMERRGSSKMERRLSLMKSTKSALVRSQTGEFDHNRWLFETSGTYGYGNAFWPKDEGLSENKNEPTGAEQLELLNKPWRPLTRKLKIPAAIISPYRLLVLVRMVVLGLFLHWRITHPNEDAIWLWLMSIICELWFAFSWLLDQLPKISPVNRATDLNVLKEKFETPCPQNPSGKSDLPGVDVFVSTADPDKEPPLVTANTILSILAANYPVDKLSCYVSDDGGALLTFEAMAEAASFANLWVPFCRKHDIEPRNPESYFNLKRDPYKNKVRQDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAYNAREEIKAMKLQKETAGDELLQPIKIPKATWMADATHWPGTWMMPSPEHSKGDHAGIIQVMLKPPSDDPLNGTDNEVNPLDFSEVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFMLNLDCDHYIYNSQAIREGMCFMMDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGIQGPVYVGTGTLFRRTALYGFDPPRSKDYHSGFSCFGRKKKSKSSVSSAPDEHKGLRMGDYDPDSDSDMNLSLFPKKFGNSSLLIDTIPIAEFQGRPLADHPAVQNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGNRVGWIYGSVTEDVVTGYRMHNRGWRSIYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNALLASSKMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQSLDVTFLVYLLAITLTLCMLAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKSGGDEDDEFADLYLIKWTSLMIPPIVIMMTNLIAIAVGFSRTIYSAIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPAGANEIGGSFQFP >cds-PLY97201.1 pep primary_assembly:Lsat_Salinas_v7:5:98936460:98943040:1 gene:gene-LSAT_5X45900 transcript:rna-gnl|WGS:NBSK|LSAT_5X45900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERARRLANKAILKRLVSQTKQNSPSPALYSSSRYVSSLSPYLSRDRNVRSERNFHGFVSQTRSISVEALKPSDTFARRHNSATPAEQTKMAEFVGFSSLDSLIDATVPKSIRLNSMKFPKFDEGLTESQMIAHMQELASKNSVYKSFIGMGYYNTSVPPVILRNIMENPGWYTQYTPYQAEIAQGRLESLLNFQTMVTDLTGLPMSNASLLDEGTAAAEAMAMCNNIQKNKKKTFIIASNCHPQTIDICKTRADGFDLKVVTSDLKDFDYSSGDVCGVLVQYPGTEGELLDYSEFVKNAHANGVKVVMASDLLALTILKPPGEFGVDIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSVDSTGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYSIYHGPEGLKTIAQRAHGLASTFAAGLKKLGTVEVQGLPFFDTVKIKCADSNAIAQEAYKNKMNLRIVDKNTITVSFDETTTIEDVDTLFKVFASGKPVTFTAASLAPEVEDVIPSGLVRETPFMTHPIFNSFHTEHELLRYISKLQSKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPAFADMHPFAPTEQAQGYQEMFKNLGDMLCTVTGFDSFSLQPNAGAAGEYAGLMVIRAYHMARGDHHRNVCIIPVSAHGTNPASAAMCGMKIITVGTDAKGNINIEEVRKAAEANKDKLSALMVTYPSTHGVYEEGIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGWIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPYLPSHPVVATGGLPAPEKAEPLGTISAAPWGSALILPISYTYIAMMGSQGLTDASKIAILNANYMAKRLESHYPILFRGVNGTVAHEFIVDLRPLKTTAGIEPEDVAKRLIDYGFHGPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIEKGKVDINNNVIKGAPHPLQVLMADKWTKPYSREYAAFPAPWLRAAKFWPTTCRVDNVYGDRNLICTLQPPHEEEEKAAATA >cds-PLY70927.1 pep primary_assembly:Lsat_Salinas_v7:9:14874183:14879939:1 gene:gene-LSAT_9X12340 transcript:rna-gnl|WGS:NBSK|LSAT_9X12340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAVRYDLGKFEEEKRRIRVRCLKKKALVASSRLSNSLRKRRVSNCQFAHISIDDVRDEEEEKAVNAFRQVLIEKDLLPFCHDDYHTLLRFLKARKFDLHKAVNMWADMLNWRKEYGADSITQDFIYEEYEEVQGYYPHGYHGVDKEGRPVYIERLGKVEPSKLMSVTTVERFLRYHVQGFEKAFAEKFPACSVSARRHIDSFTTILDVHGMNWMSFGKVANDLVMRMQKIDGDNYPETLHQMFIVNAGSGFKLLWNTAKGFLDPRTTAKINNKLLEVIDSSQLPDFLGGTCSCPNEGGCLRSDKGPWHDLELMKVVNNGDLRRSCSYYEDVDLEVKSIVSETPSSKFSDDLVSNSGFDRSTSLSHKDYINNLVSNSPRFERIVSSTQIDIVPSTDDLIQRRSIKNVVDFTYKVVGCIFFLVEFGKFLVGFIIKKWFNWQDKHKPRYVDDLSPQKEDFLQPFEEKLKQLEELVLVLSSKPSKIPQEKDEILVESLNRIRSMEYDLQKTKKALFATASKQMELEESLETLREGAITDVLLMVF >cds-PLY69820.1 pep primary_assembly:Lsat_Salinas_v7:6:2639551:2647747:-1 gene:gene-LSAT_6X261 transcript:rna-gnl|WGS:NBSK|LSAT_6X261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering time control protein FCA [Source:Projected from Arabidopsis thaliana (AT4G16280) UniProtKB/Swiss-Prot;Acc:O04425] MDRHRGGGGGDRYGNSPNDSHPYRHPRGGGGGGPPPSRSSDDIPMNRHHGGFSGNRRPFDNSPPRYSLSGGGGGGGGGFHPMDGDGGFRPLGVRGARSYNNPSSEFEVPLSGQKRFNPDYEVPMSGQKRQFPFPGRGGPSPDRFDGGNFEKRNFDGDNFDKRNVDGGNFDKKPVEGGSFAKLFVGSVPKTATEEDIRPVFEEHGNVVEVALIKDKRTGQQQGQTVFASAGCCFIKYATSEDADRAIRALHNQYTLPGGMGPIQVRYADGERERLGAVEFKLFVGSLNKQATEKEVEQIFLPYGRVEDVYLMRDEMKQSRGCGFVKYSNRDVAMAAINALNGIYTMRGCEQPLIVRFADPKRPRPGETRGTPSFGGPGFGPRMQPPGIRPPNPGEAGMHGNAWHPMGPGDVGGLNNQMAAARSGDAKVLQLSSSPLKKASNQSPQPPSSNTIRPIGQQQVVAPPNSSGQTHSHGHGFNTMLPQNQYQVPPVHQSASPLAQMLTQQKQTLQASYQSSQQAFSQLQQQLQLITPQQNLQPPPPPAARLQQPNSAPLMPSLKCNWTEHTSPDGYKYYYNSTTGESKWEKPEELALFEQQQKPQEQKQQQQQQQQQQQQTQSLSHSQGGVPHQQTPSLSHNQGHQQMQTLTQGVPHQQTQIPQFQNQMQLQAQGRHPQHLQNPTHSTPYQNAGVAGHQNIQGFGYGQMPVGGGSMNDSAQRFQQGMQGGGGQDWMWKNKTPGEPELGTRFGKCREALVKISG >cds-PLY63546.1 pep primary_assembly:Lsat_Salinas_v7:9:149328252:149329574:1 gene:gene-LSAT_9X95260 transcript:rna-gnl|WGS:NBSK|LSAT_9X95260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIPSIEDLEHGKLPPEEILSLPMFKRIVSGVQPTGSIHLRNYLGAIKNWVSLQDKYETLFFIVDLHARDKNVWVGHLTYLVLMAFDILLYQSGLVLVGVDQKQHLELT >cds-PLY72236.1 pep primary_assembly:Lsat_Salinas_v7:7:56400792:56404538:1 gene:gene-LSAT_7X39920 transcript:rna-gnl|WGS:NBSK|LSAT_7X39920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVFDHEREDLLGRHDAPVRCVEYSYATGKVITGSWHKTLKCWDPHGGGAQERAMEFFDAMAPIIFCLQTCPIGCFWMCEDSDDEKPLSSKYNSKSKVGSSNNHKPVVPKVHQNGSASKDNTQLKNIGLNKRPPDEERSASVKKPKLSDTTRLQAQGAGVAYSHPMTNLTSRMAVFGPNMMFTDLRCSPSCTRAGVHGTVSICPPDCFEYKGTLDVFYKIIRQEGFGRLWRGTNADLALAIPTVGIYLPCYDIFRNWFEEFAAENAPSMTPYAPLLLLSSVPTRGRHSCGLVACSRSPFKIVDGPASSAVGNPDEIAKLFPSLFGQPSAILVPGESNESGSALKIGVVLSGGQAPGGHNIEGLTSKHQQYWCQVNLISKES >cds-PLY81490.1 pep primary_assembly:Lsat_Salinas_v7:8:158653616:158655295:1 gene:gene-LSAT_8X105980 transcript:rna-gnl|WGS:NBSK|LSAT_8X105980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDAQYVEPFTVDLAVQRKSVANSNGNFTVVDVNGNVLFNIKDTLFSFHDRHILYDANDKPVLTFKKKLRSIHGRWQAFKGERATHKDLIFSVKKSAAPKHETELDVFLVENKEETACDCKVTGDWETKSCNVYAQDGSTILAEVHDKQNITNVVVGKETFGITVYPDVDYVFIVALVVILTEIKSYDSSKKKKKKKKKKAKKSSDSSEDDKKDKEKKVEEEEEEKEKLQVKEEIKDEEEDHVEPEEQEEQKEEEEEEVVVEEEEDDDDDSESESDSDDYDDEEDDDDYVVETNKEEPNHDEYNNDEDDEESSSTSEESPKK >cds-PLY82680.1 pep primary_assembly:Lsat_Salinas_v7:4:62772465:62774412:1 gene:gene-LSAT_4X43401 transcript:rna-gnl|WGS:NBSK|LSAT_4X43401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMKLSSSHMDNIPSTPGKFKMDKSPYIHRFRHYSSLAKLTFWSFVFLGLIVVFFFKSPSTSPPNSMPSDLSRRSLKTSSWGGPAWEKRVRSSARIRSQNGLSVLVTGAAGFVGTHVSAALKRRGDGVLGLDNFNNYYDPTLKRARQALLERSGIYIVEGDINDIALLQKLFEVNPFTHVMHLAAQAGVRYAMENPNSYVHSNIAGLVNLLEVCKNANPQPAIVWASSSSVYGLNSKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKSIPIFEASNHGTVARDFTYIDDIVKGCLGALDTAEKSTGSGGKKKGPAQLRVFNLGNTSPVPVSDLVSILEKLLKVKAKRMVMKLPRNGDVQFTHANISFAEREFGYKPTTDLQTGLKKFVRWYVSYYGSGKKNSH >cds-PLY97077.1 pep primary_assembly:Lsat_Salinas_v7:4:72552487:72556072:1 gene:gene-LSAT_4X48940 transcript:rna-gnl|WGS:NBSK|LSAT_4X48940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGDFDTQESNEEPSFDQYGGNTSSNSSMNKQVTSFDLNEEASSQEDNIDLCVEDDQKFEEGSSSKNRKGRVRQYVRSKMPRLRWTPELHHAFVNAIERLGGQEKATPKSVLHLMNVRGLSIAHVKSHLQMYRSKKLDDSGQVLSQRATQTMQGRPHIYSNLYSSRGTPFQHLKLANGGIVLPSNLQEGDDHCRSHLHDSGFRPTRGIHHFLSRHQIWLSNQIQGSSPMRRDFGDGNKMMKDILNHMPEKPFDANKFHGTMRSNQLLEEKKWPHDQRKDKWLPIITSSSTSDPFGLSQCQQYYRERARMLHSQSPFNDSTPLLNSQSKAAFLLELKQDKGWKDKERKADLKLSLSQNEDVDEENNHQRSTPEINTMLSLSLKETETNLE >cds-PLY72894.1 pep primary_assembly:Lsat_Salinas_v7:4:272786278:272786957:1 gene:gene-LSAT_4X140180 transcript:rna-gnl|WGS:NBSK|LSAT_4X140180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSTDDQRTTIEATSIVAALVTMPPLIGSGGSMVLDADEAGLEASFLSSHSKAEIVDMLRKHTYNDELEQNRVTTRSTRVNNFLRRQSPQRHWKTEPVSDTTAACREALPVANRKHFQRESYILTKGWSRFVKEKNSKACDIVSLQRSTSSDKQLYIDWKTKNGSGSSNIQEQATLQHVQER >cds-PLY67412.1 pep primary_assembly:Lsat_Salinas_v7:4:240740779:240742610:-1 gene:gene-LSAT_0X37660 transcript:rna-gnl|WGS:NBSK|LSAT_0X37660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFADQLPSYYRFRPTDSELIVDYLNAKIESREPAKCRLHEVNIYNHMPEELAETYQSHEKKWYFLTSRDRKYPRGNRPNRGVLGKHGYWKTTQVQKPVYDATSGEMVGYKGSLAFFDQNDDKTMWLMHEYTTNEPNLPFENGDELNEWVLCKIYQNPKEVMEEPNIPLPKRRRVLKKNEMSFSNQQLEQVDVQETNIYSDSCVDQMVAPSHDQSAHIWVNNGDTVGQIRMNSSPYPIPMQPMTTFQGWSCLIQAPSPCYLNQFTSIASNSCQIFDHSASRSVSNIGPPAASSQPLDDGAYKTPTSEHGLNSIQPVRIRESYYLNNMLSSTNACDDFLFSNGASSSSSMEPLDCSGYQPVLVQSSYDNAPTILDVQNVWDQSAQADAAAPVEVELSSESVDRFIEQSMGVPHDTAAAEDFHFRDSCKATISSPFDVEWSSESMDRYIKQYCMVSQDDDAEDPKTQLNIQTGSNHYMVDVCHDHTADHPIPQPLDDADKWILNTVSFDF >cds-PLY69456.1 pep primary_assembly:Lsat_Salinas_v7:6:121891725:121893317:1 gene:gene-LSAT_6X73221 transcript:rna-gnl|WGS:NBSK|LSAT_6X73221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYACSIFHQIDFPNSFQFNTMIRGHIKDTNLDEAIIFYNRMLEMGIHPNNFTYPSLLKGCSYLQRLQEGMQLHGHVFKIGLQDDVFVQNSLINMYGKCREITKSCTLFNQMDDLDKSSATWSAIIGAHVSKEMWGECLDMFRELSSRKDWRGEESVLVSVLSSCINLNALDLGRSTHGFLIRNLSGLNVIIETTLLDLYLKCGSLETGLSIFERMKMKNEWSYSVMISGLAFHGYGIEALRVFSEMREKGFEPDGVVYISVLTACSHVGLLKDGFTLFEKMKEDKIEPTIEHYGCLVDAMGKMGKVTEAFEFIKKMPMEANEVVWYSLLGACWVHKDLELGEKVAKKLLELNPQQTNGVYAMLSNIYTKSQRWELVSLTRRALVNPLVKTHGFSFVEVKRKVYKFVSNDTLWAKCEGVSDMLYQMQWQLRFEGYLPDLSEVFLDVDEDEKRERLSRHSQKLAIAFGLIHTSKSSSIRIVRNMRMCKDCHVYTKMISLIYERRIVVRDRNRFHCFENGVCSCKDYW >cds-PLY92242.1 pep primary_assembly:Lsat_Salinas_v7:2:210931419:210932139:1 gene:gene-LSAT_2X133241 transcript:rna-gnl|WGS:NBSK|LSAT_2X133241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKIRRFKGGYMGFWQKLRNSLPVEVQCNTEVLAIRCTSSSVSVDTMNSSSKVVKTMEFDKIIISESFPFTNGKIYRPPTYVPQDTVIGLMDLSDLEKELLMINDVCGAHDDQQELPHNLKKLEKDVYTPNVMATSDCILGP >cds-PLY90789.1 pep primary_assembly:Lsat_Salinas_v7:9:114045015:114046152:1 gene:gene-LSAT_9X79900 transcript:rna-gnl|WGS:NBSK|LSAT_9X79900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSKATSQRKPITIVWIIKTPIRALCKAKDIYIKGITNFSNTYSRPVMILEEANRTTMQLPRSFSTTMLPDDHSRHQQPEELVRANSTSNTHISMADLERYMIHKQNHRLQPCASRKGVPRSCSVGMGRIDEDRASSFRDDCVLLKNKVVVKVQPKPSRW >cds-PLY81911.1 pep primary_assembly:Lsat_Salinas_v7:8:121429770:121430362:-1 gene:gene-LSAT_8X84520 transcript:rna-gnl|WGS:NBSK|LSAT_8X84520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSTLWESGSLASLQKDLVLFVLTFTLDAYIVTKEWHVVVRVDYIPSRVKLPTLGHGKYVDLFNPFQWKLSWQHSTVVLDWHLLVEDIVYVFLCCYSGFGNILAYWSNCMYLT >cds-PLY92280.1 pep primary_assembly:Lsat_Salinas_v7:2:210014826:210015365:-1 gene:gene-LSAT_2X130361 transcript:rna-gnl|WGS:NBSK|LSAT_2X130361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDDLTSHATTVSTCWNKYVPIKINVFMWRVLLNRITTRINLSNRGIDIPCLFCPSCDNAVEDSNHVFLLCDIAVQVWNAITRWVDLTLRPLINIVELMSWVDTVPLLSKKRNVLEVIILSTIWILWRHRNNVLFQASNFPKCLIMDLIVLNSFEWFSFRNKKILIQLSFWLQNPLMHI >cds-PLY99270.1 pep primary_assembly:Lsat_Salinas_v7:5:300814239:300817287:-1 gene:gene-LSAT_5X162720 transcript:rna-gnl|WGS:NBSK|LSAT_5X162720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAVTIVPIGLLFFASGLIVNLIQAIIYVTIRPFSKSIFRRINRMVAELLWLELVWIVDWWAGVKVCLYTDPETLEMMGKEHALVIANHKSDIDWLIGWVFAQRSGCLGSTLAVMKKSSKYLPVIGWSMWFSEYLFLERSWAKDETTLKLGLERLKDYPQPFWLALFVEGTRFTQAKLLAAQEYASSLGLPVPRNVLIPRTKGFVTSVSQMRSFVPAIIDMTVALPKDTTPPTMLRLFKGQSSVIHVKVKRHSMKDLPESDEAVAQWCKDIFVVKDDVLDKHRELNTFPDSELVDIGRPLKSLVVVVSWACLIVFGTFKFLQWSNLLSSWKGLTFAGVGLAVVTVLMQILIQFSQADRSTRAKVAPARSNGSTSVQDKQQ >cds-PLY80619.1 pep primary_assembly:Lsat_Salinas_v7:4:11460859:11461041:-1 gene:gene-LSAT_4X7280 transcript:rna-gnl|WGS:NBSK|LSAT_4X7280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVFEVSKYFGNAERLEKELHVLLPPSISNGIRVIPPPHGADSTWHGEKLLNNVPHRSIG >cds-PLY96178.1 pep primary_assembly:Lsat_Salinas_v7:8:101684205:101684537:-1 gene:gene-LSAT_8X69401 transcript:rna-gnl|WGS:NBSK|LSAT_8X69401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKREEAKLVEVTSPNRCGHPKKYQKLGKSEACLLRNLRKHKINLGSTIRHISSPESEPIINNFKILLQQTLELSIALTNTNTSEFKITYDIIKVILKTHGLLLPLIDVPR >cds-PLY95217.1 pep primary_assembly:Lsat_Salinas_v7:1:211951273:211951601:-1 gene:gene-LSAT_1X129161 transcript:rna-gnl|WGS:NBSK|LSAT_1X129161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQCLRIASIKAADITNKIKNSVESYNTERSLRKVKRHNPSIVVIEFGQQGCGKVAKHHMERLNLGSDESSVISLRDDVEIR >cds-PLY87799.1 pep primary_assembly:Lsat_Salinas_v7:8:31261250:31262112:1 gene:gene-LSAT_8X24740 transcript:rna-gnl|WGS:NBSK|LSAT_8X24740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKRKMMKRGNKEEATSTFMDMKSQRMDINSILKDIEDIVPSHMTWKEKKALENKKVVSLGGKPPKKQRLPLSVARVQMKKQKERDQKMLQQNMILGQVGGKRGSRSKRESESRKPEDRVLMSTAGRFRNGILDVKDLLKTGAPSRDRGFSGSRGTGSLGLGDGGGNKKKGGGGGGKKKHGKKGGRRKGHH >cds-PLY88987.1 pep primary_assembly:Lsat_Salinas_v7:8:242115348:242118171:-1 gene:gene-LSAT_8X144501 transcript:rna-gnl|WGS:NBSK|LSAT_8X144501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRMRRIPAGILVIRSIRGKDWSLKTYRYLTLFVTFFAYSSYHASRKPSSIVKSVLDPPRHDNHSNSNTNIPPWPWPMGDFFIPKMNDTYSDDGWAPFNGKDGTSKLGEIDVAFLAVYSLGMYVAGHLGDTLDLRLFLTTGMIGSGIFVALFGMGYFWDVHHFWYFLGMQMVAGLFQATGWPSVVAVIGNWFGKRRRGLIMGIWNAHTSVGNISGSLLAASVLDYGWGWCFILPAAFIVAAGVMVFLFLAAYPEDVGFLDPSDSSPNARGSIHDDNMMWEEGTSNDEEAPIPHIHSVNRRGVGFVGACFIPGVIPFALCLFFSKLVAYTFLFWLPFYLSQTEIGGERLSVKSAGNLSTLFDVGGIFGGILAGYISDRLKARATTAATFMYVAIPSMLLYRTYGNISKTMNIILMIIAGLFINGPYALITTAVSADLGTHSSLKGDSRALATVTAIIDGTGSIGAALGPLLTGFLSTKGWDAVFAMLMIGACIAGLLLTSLVCTELTERTALTSPRPRNNVEGMSYAFLHFELCHCLVCKIQLWFE >cds-PLY77984.1 pep primary_assembly:Lsat_Salinas_v7:MU042443.1:680872:682237:1 gene:gene-LSAT_0X13900 transcript:rna-gnl|WGS:NBSK|LSAT_0X13900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIEEVYECFIREVGSYMWRDIGFDKDTWTEVSKAERVGMLQYLSDVDVNAFLQNPTFGTAIGDIIRSFKNQVNDENNDREDDGEDEDN >cds-PLY97762.1 pep primary_assembly:Lsat_Salinas_v7:4:376373146:376377885:-1 gene:gene-LSAT_4X185820 transcript:rna-gnl|WGS:NBSK|LSAT_4X185820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVSLRKKGKRKIKRKRKNMKDHIEVEADHKDMGVKGTGKRAVIESLKKKKKKKKKKGKLENLIVIVKAASFVVWEGNLYFLVRAFVGCLMLAKFGGKDFEGMSEIHKVSKATIGILADAESNLHSHSYLDLVGTVEEVNIAEGLILDNTLKGLLKENANGSKYWAYGNDFGDTPNDLNFYLNGLIWPDRTPHPALNDTMYKNYFIELIMMRSYVYLLSEVKYCYHLTFEFIGINNHCETSSV >cds-PLY67072.1 pep primary_assembly:Lsat_Salinas_v7:5:283205768:283208419:-1 gene:gene-LSAT_5X149480 transcript:rna-gnl|WGS:NBSK|LSAT_5X149480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METRKGSLSHFRKHLDYINELKSVCFNRNSANISALFLLTLLILSSHLVSGKSSDEVVAVTLSDYSALQSIKQDLVDFRGVLRTWKDRNGVCSGGWVGIKCDQGQVIAIQLPWKGLGGTISSKLGNLQSLRRISLHDNLLTGPIPQSLWLLPNLRGVYLFNNRLSGSIPQSIARSPSLQNLDLSNNKLNGSIPHIFSNSSKIYRFNLSYNAFSGSIPSSFTLLPSLTFLALEHNNLSGSIPEAWSSGQLTSLTLDHNFLTGKIPTSLSKMGDLEKINLSHNHIDGIIPNALANLSKLQILDLSNNAINGSFPSFPSSNLSVLILDNNRLNGPIPDTIGNLSFLTKLSLSHNNFNGEIPKSVDNLKKLDSLNLSYNSLSGAVPSSLSKKFNSSSFVGNLQLCGYSISTQCPSSTISPSPSSPLVLPSNKNKKGRKLSTKDIILIAAGALLLVLLLLCCSLLCCLIRKKAARSKSKKGKTDISMPVTVPSGGEVVETGETGGKLVHFSGAFVFTADDLLCATAEIMGKSTYGTSYKATLEDGNMVAVKRLREKVTKAQKEFESEVSELGKIRHANVLALRAYYMGPKGEKLLVFDYMPRGSLASFLHARGPESVINWSTRLNIIVGITKGLVFLHSQENIIHGNLTSSNVLLDEQTNPAIADVGLSRLMTNAANTNVIATAGTLGYRAPELSKLKKSNTKTDVYSLGVIMLEILTGKSPSEATDGLDLPQWVASIVKEEWTNEVFDLELMGDPANVGGDELLSTLKLAMHCVDPSPEERPEAKQVLEKLEEIKPEVGQTSDDAAVEVAGVASKSE >cds-PLY69867.1 pep primary_assembly:Lsat_Salinas_v7:6:4102261:4104821:1 gene:gene-LSAT_6X3201 transcript:rna-gnl|WGS:NBSK|LSAT_6X3201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNSGCTAEGSLNSSKFNDPMPWIGIYVAAASLICAVAMAMDALHGFRYKKFWFPCKFFTLNATTLTVIAIAIKFSVDLNAAMPRRQDQLAKVSSSAFICMVMGNLLPSLGIMEDTELMMNIIALGILVITAITNICIQMGTGVIFEFWIEHAVIMLLMLILLAILCSLSIAIPTKKYYLDITYEKKAKKAKKVCFTRRNLSIAERLPQDLAKYWMMAYTSSPQFVIGRSAPCSASGAFCLFNMVILVESILRTRLMPWSFTFCNGDSDYKWSTTLVLISQTVAVAVGTISPAFRWFMTINFRCPKKAEKACVSEFVVERYWIKRLVMWQVQPLNFRICNRRLRKQLHGAKFQIFGLFIRGQKALVFSCKMIRFISIFFVGRFLRLYKFITRDNSVSCSDSEIEFRHNDNMDLSHYVIYLDGEEGLVDLMTENNSDATAHWIRMGEKQQPRNLIKLLEQSKCSSHVFKGVQEFDSDKVPSLGCGEPPNCWALPIVTLTSIAIAIPNIDQQQIEQLVCGVDEGLKYVCKIENYMDNKTELKHVRKTAEIVWAEVELYNRWLDVDLCKLGNQGETDVIKLLADIGKGKFMEFADKGMMFMNECLKEVPSRWPMKVLAANSMYRICETLLLEKHETSERLFEKISLTICNILTAALTNLQHVIISKCHQSPIEEREKSIRSAVLLFGKTKRILQVIDGNRLEDSDHGKLIHIDDWHLASKQMDTLYSMSSSSTDQDTAVSSPSDHMYILVE >cds-PLY80467.1 pep primary_assembly:Lsat_Salinas_v7:2:137956402:137958656:1 gene:gene-LSAT_2X67960 transcript:rna-gnl|WGS:NBSK|LSAT_2X67960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISSNVCDAWRHKSNQIEEAGGLFAEMKSKVSVMKILKQRSCFMQLVLVSPHLGRMSASVMALPSNNDVVDTSNTKNKSSCSMIWKSFLHNDGHNDILRAQKIWDQRILTKSNSRIVDVVVV >cds-PLY99407.1 pep primary_assembly:Lsat_Salinas_v7:4:103667735:103668052:-1 gene:gene-LSAT_4X67740 transcript:rna-gnl|WGS:NBSK|LSAT_4X67740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGERKPNKVTQAALLKQIIKRCSSIGKKHWYDVVDGLPLDVPKGHFPVYVGVNRSRYIVPISFLSYPEFQRLLRWSEEEYGFNHDMGLAIPCEEDVFQSLTSMLR >cds-PLY69446.1 pep primary_assembly:Lsat_Salinas_v7:6:122789770:122790483:1 gene:gene-LSAT_6X72801 transcript:rna-gnl|WGS:NBSK|LSAT_6X72801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGDMTNLGSLMAAVMFVWAIFGQLFPQKFQTDVMKYVNKVVSYVYPYVEITFHEYQADSWFERSKAFVSIERYLSTNSSNRAKRLKANVVKDCESVVLSMDDYEEVTDEFQGIKIWWTSSKSIPKQQALFSYRGEEEKRYYRLTCKREHRDIITKVYLQHVLDEGKAIAVKTRQRKLKASRSGGRRVKASQNSRLSFRIEARRRSGITGSHVKESTEISSLKFTCSMCSMKERRLQ >cds-PLY86601.1 pep primary_assembly:Lsat_Salinas_v7:1:133367296:133372446:-1 gene:gene-LSAT_0X20061 transcript:rna-gnl|WGS:NBSK|LSAT_0X20061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRKLNQAARTSTNTGKVLTFFPNCCTNFSPRSIMYVQKMKSKLDEITTKLRDLVDQRNDLGLNVNVERSFISERRLEQTSLVDESKIMGREGDKEALMMKLLGNEESDENVSIVSIVGMGGIGKTTLAKVLYNEDKVKDHFELSVWVCVSEELDVFNISKAIFQAVTGKNESFANLDLLHVALKEKLSKKRFMLVLDDVWNEDHKKWELLQSPLLVGAPGSRVIVTTRSTRVASVMDSEETYPLDVLSNEDALSLFALHAVGEKNFDKHPTLKLLGEGIVKKCGKLPLALKTLGRVVKGNRDGDEWEKLLKSKIWDIEDGSEILPALRLSYYHLPPHLKLLFAYCSLIPKDYVLDKNELVLLWIAEGFLSQSKSMENLGHRYFEELLSRSFFQHSTNDELLYTMHDLINDLATSVAGEFFCKLDGEMNMSDMNEKFEKFRHFSLTGLGCESYGKLKELQRAKRLRTFLPLSDSCIDSVLVGSLHELQFLRVLRISGLEFTEVPQSIGSLKHLRYLNYSNTGITCLPEQVSDLCNLQSLLVHNCHRLSSLPESFAKLRNLRHLDISDTPKVNKMPLGIGGLTSLQTLSKVIVERTNRFKISELKGLSDLQGQLCIMGLDKVINPMQAKDANLHQKNGINILEMKWSHVFNDSRNEMIEFEVLEELRPPPKLKNLKILNYKGTRFPSWVGDPSFDRLTELKLCGCRSTHLPTLGHLPSLKKLVVEGMKEVKTVGFEFVAPTNVFRGIAFPSLEVLKFDDMQGWQRWSIDSGNNHGSARPFPCLHKISIKCCPELDEVSIGSIPSLRVLHIRKCSKEVFKSIVGLSSSLVKLKMLDVKGLTQLHGEDLVHLGELEHLFIKNCDELRYLWERELEACKSLVSLQKLEVWNCEKLVSSAEKEVNFGISMESLKQVMFSYCGTLESYNCPNSVVRLEISFCDSFKSLTFSMVQDHPSSHSEKVSGFHPMSHLTSLQIRFCKNLKSFSHEHFQNLTFLEEMWIYHCPSMDYSFPCGVWPPNLTKLRIGCLNKPMSEWGPQNFTTSLIQLHLYGENSGVVSFAVADDVGNTTTPSSSSSFLLPPSLVSLELNDFMDVGSFSDVLQHLSCLKSLDIVSCPKIRDLKTTSEPSRLTIKVWG >cds-PLY69933.1 pep primary_assembly:Lsat_Salinas_v7:4:69146627:69149467:1 gene:gene-LSAT_4X47241 transcript:rna-gnl|WGS:NBSK|LSAT_4X47241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEPCVLFWILVLHVQIFVVIGNPRVPCLFIFGDSLVDNGNNNDLETQAKANYKPYGIDFPEGVSGRFTNGRTIADMIGHLLGFKNFVPPHATVKDEEISTGVNYGSGSAGIRDESGRHLGDRLSLATQIRNHKAIITRITNLQNNKTLTDGHLKRCIYLSNIGSNDYINNFLIPELYPECHKYSSDEYAAILVRQYSQHLTTLYNMGARKVAVFGLGQIGCALEVIARFGTPGSSSCVDLINDSVNIFNGRLKPAVDKLNNDFPDSRFTFINVTSILAPQGGVQFPNIPCCKVRPDGQCIPDTDPCPNRGLSVYYDGFHPTEVANTVLATRSYTALSALDASPYDIAHLSQVADD >cds-PLY75297.1 pep primary_assembly:Lsat_Salinas_v7:3:158304259:158304456:-1 gene:gene-LSAT_3X99000 transcript:rna-gnl|WGS:NBSK|LSAT_3X99000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPMTPFLEGWKGKAGVYAVGFTGRGLLGASLDAIRVAQDIGKTWNQETKHPNHYVTVFCERRCNK >cds-PLY96302.1 pep primary_assembly:Lsat_Salinas_v7:8:156334861:156335172:1 gene:gene-LSAT_8X104501 transcript:rna-gnl|WGS:NBSK|LSAT_8X104501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQAYGPIYSLSADVASLVALRNNRQFIHIFTYHIHICSIRYLCVTVLLNILSISFRMFSNEDVTIGAWMLAMNVNHEENHQLCQTECTPTSIAVWDLPKCLG >cds-PLY76334.1 pep primary_assembly:Lsat_Salinas_v7:4:75736:77197:1 gene:gene-LSAT_4X1720 transcript:rna-gnl|WGS:NBSK|LSAT_4X1720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFMSSFQCLMGGNAVQFQASSSVSPTNQSSLPLLDNDNRRNSNMTKKKKKKKKDRKVIKVFKPDGEINLYHKPIRVSEVMTEEYMVCRSDSFYIGQKIPPLSQHERLQPGHTYFLLPAHLFHSVLSFVTIASFTASSKQDREDSNGNMKMKAAFLKKAAVSSCSPFDIQKTSSGTLRIRVSELFISQLMMDQAATAATGNHHHGKELIDLKEAADLLCTTPQLHREYKQLVVGSRRGWKPKLEMIKETPSKGKRKVKMLFSASISRMKKKIKKNKNVGFPQISSSSASSQSQSSLKKKKKKTKNIVQPPVSLPPCPAYASTILRTK >cds-PLY65634.1 pep primary_assembly:Lsat_Salinas_v7:7:27126515:27129451:-1 gene:gene-LSAT_7X21261 transcript:rna-gnl|WGS:NBSK|LSAT_7X21261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPEPAAAFAGDTTYSWPVKGVAVYGAAITAAMAVGYAALYVRPSAKFRSKVVGIIPARYASSRFQGKPLVPILGKPMIQRTWERAKLATTLSRLVVATDDERIADCCHGFGADVIMTSQSCRNGTERCNEALLKLKKKYDVVVNIQGDEPLIEPDIIDGVVKVLQATPDAVFSTAVTSLKAEDACDPNRVKCVVDNRGYAIYFSRGLIPFNKSGKVNPQFPYLLHLGIQSYDAKFLKIYPELPPTPLQVEEDLEQLKVLENGYKIKVIKVDHDAHGVDTPEDVIKIESLMRERNL >cds-PLY75416.1 pep primary_assembly:Lsat_Salinas_v7:7:73658515:73664425:1 gene:gene-LSAT_7X51280 transcript:rna-gnl|WGS:NBSK|LSAT_7X51280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGPEKMVNRVAVKSSMVDSIKRWSLSGIRIPKEELRQKITMPEYLRLAIRDSIASKDIDSAKRHFDATTATAGGGDGDKPPLAAAECPLVVFINSKSGGRHGPHLKARLQELMGEEQVFDLSNVRPHEFVEYGLGCLEKFASLGDNCAKETREKLRIVVAGGDGTVGWVLGCLGELHKQGRSPIPPTAVIPLGTGNDLSRSFGWGGSFPFSWRAAIKKTLDRAIHAPTSRLDSWKLLISMPAGIDLDTPHSLKQTEEVVLDDGLEIEGKLPEKISCYQGVFYNYFSIGMDAQVAYGFHHLRNDKPYLAQGPISNKLIYSGYSCKQGWFFTPCMADPGLRGLKNILRLHVKRLNSSDWEVVPIPSTVRSIVALNLHSYASGRNPWGKLKPEYMEKKGFVEANADDGLLEVFGFKQGWHASFVMVELISAKHIAQAAAIRFELRGGAWKRGFMQMDGEPWKQPLQNEFSTFVDIERVPFRSIMINGG >cds-PLY77442.1 pep primary_assembly:Lsat_Salinas_v7:4:309855936:309856931:1 gene:gene-LSAT_4X157641 transcript:rna-gnl|WGS:NBSK|LSAT_4X157641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTQQPLQITNGYMANHQSIIGTTMESPQFNEEKEYEEYLALLTKFNPNCKKFVNKPTVNFRAQSYKQPQHYHQNSRNFQGVSNSLQSFNSWNSNSKSYNSQRMNSRFQDYKLKSHEENEVIRCHNCQGENLFAKDCEMKIEKEIDDEVDQAEEREAYKNYFFFMADDDKEPSPFHQQVVEKVCFMLRDNHLTIEPFLDDINYISKIIKEYVTNAEYRVNYYKDELTDTQFRLEELRCRMAKLENHLAIKTEAHHMSAEQYEIVLNQCDIIAKNNHEMYAQINTKINSYKASKTLVE >cds-PLY87532.1 pep primary_assembly:Lsat_Salinas_v7:8:96623609:96625513:1 gene:gene-LSAT_8X67780 transcript:rna-gnl|WGS:NBSK|LSAT_8X67780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 32 kDa subunit B [Source:Projected from Arabidopsis thaliana (AT3G02920) UniProtKB/Swiss-Prot;Acc:Q8LFJ8] MYANASQFDGAAAFSGGGFMPSQATQNTDPAPSSITKNRDTHTLIPLTVKQINKALLSNDDKVNFLIDGVDVNNVKLVGMIMNKAERVTDVSFLLDDSTGRIDCNRWVHEPVDTKEMEAIKEGMYVRVHGQLKGFQGKKQLVVFGIKPVIDFDEITHHFVECIYVHSYNTKLLKQQPGSSGTSNQSHMPSSGINTQSYQTAPSNQFTGQYVVNGLNGVDKMVLDYLLLPANLSRDSGVHVEEIAMQLGLSLDKIREAIDGMVIEGLIYSSIDDNHYKSTGNA >cds-PLY90346.1 pep primary_assembly:Lsat_Salinas_v7:2:198795535:198796242:1 gene:gene-LSAT_2X120060 transcript:rna-gnl|WGS:NBSK|LSAT_2X120060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIIPSFFTGRRSNVFDPFSLDIWDPFQGLSSALSNLPESSRETAAIANTRIDWKETPEAHIFKADLPGLKKEEVKVEVEEGRVLQISGERSREHEEKNDKWHRVERSSGKFLRRFRLPESAKLEEVKASMENGVLTVTVPKAEEKKPEVKSIDISG >cds-PLY77631.1 pep primary_assembly:Lsat_Salinas_v7:4:275720004:275724282:1 gene:gene-LSAT_4X141661 transcript:rna-gnl|WGS:NBSK|LSAT_4X141661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSAISNSNHTNVNEYVDDDQSTTRRIRLLSLHLNPPILHHDHQLQLEACSGRTKMNVDAEKLTSYLRGKHRDVQEKIFEYFNSRPDLQTPIEILKDDYRELCMRQLVGLVREAGIRPFRYVVEDPLIYFAMIEAIGSVDMSLGIKLGVQYSLWGGSVLNLGTKKHRDKYFEGIDNLEYLGCFAMTELHHGSNVQGIQTIATFDPITDEFIITTPNDGAIKWWIGNAAVHGKFATVFAKLMLPTNGVVTDMGVHAFIVPIRDLKTHKTLPGVEINDCGHKVGLNGVDNGALRFRDVRIPRDNLLNRFGDVSRDGTYTSPLPTVNKRFAATLGELVGGRVGLAYSSVGVLKIAATIAIRYSLLRQQFGPPKQPEISILDYQSQQHKLMPMLASTYAFHFATVNLVEKYAQMKKTHDEQLIADVHALSAGLKSYITSYTAKSLSICREACGGHGYAAVNRFGSLRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQQKFSGGTLAVTWNYLRESMNTYLSQPNPVTARWEGEDHLRDPKFQLDAFRYRTSRLLQSAAVRLRKHSKTLGSFGAWNRCLNHLLTLAESHIETVILENFIEAIKRCPDANSRAALKLVCDLYALNRIWNDIGTYRNVDYVAPNKAKAIHKLADYLSFQVKNVAKELVDAFDLPDHVTRAPIGKQTPGEAYTEYTHYVGF >cds-PLY76493.1 pep primary_assembly:Lsat_Salinas_v7:9:194667297:194669616:-1 gene:gene-LSAT_9X121120 transcript:rna-gnl|WGS:NBSK|LSAT_9X121120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRREVEDVVELMQNIQSRLTVKEAAQTSVLSKSWLHAWSTFPNLRFDVGRGKSMKLVDVERTLVRYHRDNTPIKKFDLKIDIENQESASQAEKWIGHVATKTCLKEISLSLLLWGASFRLPDEILASENLNKIRVSAPRIHPNSVWMTMTNPVIKCVSSLRELHLEGVSISEEALHHILLSCRLLEKIELLHSCEGFKTIKIKNLPRLYELNISFVSLYENSTALEISDVPKLGVFSYYRLDVFQSHFPLVIEPLNSHSISMRKVRRLMLGDVITDNTCLDMIKSGFPFLVSLTLGLTYWKLGTFHFTSSSIKRLVLHSCPHTLIDLQVHAPKLLIFDLSGETLPSLSFPVSSLKQLRVSLGIERLVDASFFLNMREALSLSHKCDLDIQFNSPLDVNIDIDDLRTRLMFPPATNVQELRFQTTEDECMWEQSPFFDAFFEICHPKCVYAKPDDHFNHNNHFCRLMLREVLEKKTTTTGIVYWPHYLKHVQIKQPLDQKWETLTNSHRSFLDGSTPDVYLYFNLNWC >cds-PLY79561.1 pep primary_assembly:Lsat_Salinas_v7:8:118621045:118622260:-1 gene:gene-LSAT_8X81680 transcript:rna-gnl|WGS:NBSK|LSAT_8X81680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPAQAQQHLSLFFALCTKKPSRLQLVFDKYHHARKAVKQALGPSYSDLLSIISDPPQGSENLLTQVLNILCEGTTPSADLISTVKRLYETILKDVAIPIPILSSFTKNEVLPIFPSLVFSFHWTSFRQHWPTDCRLLHVTSFLVDNIVLDQELGLILKLRDMMIGWIYEGFQSFLGRLNDALLKQEGAPL >cds-PLY72400.1 pep primary_assembly:Lsat_Salinas_v7:3:188549983:188551325:-1 gene:gene-LSAT_3X112541 transcript:rna-gnl|WGS:NBSK|LSAT_3X112541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSIFCPKKLKNLEKSGEGHANDSSPISRLPDEIILLILNRLIDLKTLCFCYLVSKRFSSIVLQVDAISFASLVLNPKISDKNTIPSRSFLRMISSLYGESFLSAYRFLIKFKGVKSLCIELLAPGHRAVDSRLFKWKVNFTKKIESFIFLWPNSIWDKDGLCVNGNGDEEESLELIGDLFKKKRVISFQCLQDIMALHVMLLYLVNDLPMLEEVSISELERRGRLSLSGKKLSEVKEWVHSASETVLNRINVPTILRNCYIPFLKLPVSGYVMKGIYFCVMEMKDMEGGNEFLMSSENGGFEDKEESAYTEAMMEILKKHKGMMLTRNLWWNLNEEAGQHARLQFRILEP >cds-PLY89907.1 pep primary_assembly:Lsat_Salinas_v7:8:68393155:68394407:-1 gene:gene-LSAT_8X49260 transcript:rna-gnl|WGS:NBSK|LSAT_8X49260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEITPVHTLNLSGVLSTSKRIIRANYSHFLALSLFFLPLSISLVITPTFHHFAVDLFHNFPQNHKAVSSHLLYILIIYLSTLCAIAMISYSTYHGFVGKQVNFFTSLKSLTSSILPVIITAIVAHIYLFLISLTFLLFVGVIFTSAQSLGFVIDYNSIHFTIFSVVVVATLIAIIIYFHLNWSLAFVIVVAESKWGLAPLIRSSYLVKGMRSVSFLLLLYFGIFIGIFAWLFSDTMYPPTSSSQSFLGFPMLGSFFLMLMFLRSSASNTVLYMYCKALHGELALEIDEGFDHHYINLPSDEEKVPQIVSVVAA >cds-PLY88772.1 pep primary_assembly:Lsat_Salinas_v7:4:166187051:166191230:-1 gene:gene-LSAT_4X98440 transcript:rna-gnl|WGS:NBSK|LSAT_4X98440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGSEALPSPRQHGVTKPLSLAGPSDADLLRTKKLNKFLVDAGLYESKEEAAKRVEVLGRIKQIVVDWVKQLTRLRGYTDQMVEDANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNRDDFFFVLHDMLSGMEEVTELQPVPDAHVPVMKFKFDGICIDLLYASISVLVVPDDLDISDDSVLYDVDEPTVRSLNGCRVADQILKHVPNVEHFRTTLRCLKFWAKKRGVYSNVTGFLGGVNWALLVARVCQFYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIQQQELGFAVWDPRKNPKDRTHHMPIITPAYPCMNSSYNVSTSTLRVMTEQFLFANTICQEIEVNKAQWHALFEPYMFFESYRNYLQVDIVAGDCDDLRAWKGWVESRLRQLTLMIERDTMGKLQCHPYPHEYSDPSKQCSHSAFFMGLQRKQGEVVLEGQQFDIRKTVDEFRHSVNMYVFWRPGMEIYVSHVRRKQIPLYVFPEGCRIPRSNIIHPSSEEEASRKRKLEAIGNGMKQDGLPKRQSVSPRNRDSDSDSVSPVIVNHSCAEEGKRNVFEVQPKGLELVVSSRPVVELEQGTVIRQVF >cds-PLY89998.1 pep primary_assembly:Lsat_Salinas_v7:3:84231046:84233910:1 gene:gene-LSAT_3X64701 transcript:rna-gnl|WGS:NBSK|LSAT_3X64701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSPIPNLASTSLEEANGLLKWKANLEIPNNSLLSSWIPLPLNSDASIPCTSWFGVVCNADGSIQRLNLGSCGLKGTLHQFSFSLLHNLTHLDLSVNNFTGPIPLEIQLLSKLVYLNISLNNFCGVIPREIANIRQLTDLDLSKNNLTGSIPQEIGTMVSLKGISLALNHLSGLIPSSLGDLTSLNILYLFYNKLSGHIPNELGNLKSLTDLELSLNQLSGSIPSSLANLINLQRLFLGANSFSGSIPQGLGRLDLIKLELDDNQLSGNLPDDLCHGGMLQKLTVSGNQLTGPIPRGLLNCPSLIRVRFDHNQLSGNISNSFGFYPSLAYLDISHNRFHGELSQNWSKCKNLTVLNMGYNNISGYIPREFGSSTQLQKLNLSSNHFIGEIPKELGKMTSMLYLSLADNHLSGIIPPELGSLHDLLAIDLSSNRLNGSIPRSVGNWTHIYNLNLRNNKLIHKIPSEIGKLVQLTELDLSQNLLKEEIPSEVQSLQSLQKLNLSHNRLSGSIPDSIKSLPRGTDIDLSYNELIGPVPTNTNFVNVSIEGNLHLCGNFTGLKFCASQISKKKNDPFHHQLILVIMLPLIGAILLGFFMCGLIAYRKRKRHSPQKPLDKEGGDYFSITSFDGGVAYDDILKATNDFDEAYSIGTGGYGTVYKAELQPNNVLAVKKLHSSSENVDHNGFLNEIRALTNIRHRNIVKLYGYCSHVRYSFLIYEYLENGSLGSILRSDVLAKELDWLKRVNIVKGVANGLAYMHHDCSPPIIHRDISISNVLLDSDYEAHISDFGTSKLLKLDSSNWTAIAGTYGYIAPELAYTMVATEKCDVFSFGIVALEVIMGKHPGELPTLSSDYLVLENVGDSRIPLPLPQAEKQVKLVLSLSRACLNSNPNGRPTMRQVSNLLMKDLL >cds-PLY99670.1 pep primary_assembly:Lsat_Salinas_v7:9:57503526:57504782:1 gene:gene-LSAT_9X49060 transcript:rna-gnl|WGS:NBSK|LSAT_9X49060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Seipin-1 [Source:Projected from Arabidopsis thaliana (AT5G16460) UniProtKB/Swiss-Prot;Acc:Q9FFD9] MEPPEQHRHHHHTNFWFIKLLSLQSDFLSDCLLSLLSPFLSIFSLASTFRRIDSTKEPIDAPSSPVQGSIILLKKALLGFLGAAYVCWVLITVMVVSVVVGVALVNLWVEEPVYIKENLYFDYTDVHPYAILDLGFDAPGKTVKNIPVGHFCNVRLTFVMPESDYNREIGNFQVAAEALTMTGEVITTASRPCMLRFRSRPLRLMQTFLMGVPLLLGITHEVQTVNLQLLRYKEHYYPRTRSMRLSLIPRAGTPFLPQLYEAKVIVTSELPWKKELVRKWKWTFYVWTSLYVYLMLLVVLVCGFRSIMFPAMAMTVVSGEERLAVSDVPAEEMVGGKDGPTSETLRRWRQSRSKRKAMLLGESDATSMSVTRDEDTSVTTEEVGDSESVCH >cds-PLY90493.1 pep primary_assembly:Lsat_Salinas_v7:1:206144717:206146363:1 gene:gene-LSAT_1X125781 transcript:rna-gnl|WGS:NBSK|LSAT_1X125781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLANFKKGLVSAPKELNSPSHDPQHKYHQQNQHPLNHFLNSNPNSLSFSFGNDASLAFDPSSHTSNSSQRRLFSSMDDIYCIFLGGLDNLCTLNKQYGLTKQVNEPMFVIQAYKTLRDRGPYPAHSVLREMEGSFGFILYDLKAKTVFVSLGADGGVKLFWGIAADGSVVISDNLMVIKSSCSKSFAPFPTGCMYHTEGGLMSFEHPKNKMKAISRVDSEGVMCGATFKVDVYSKTTQAMPRVGSEANWATWG >cds-PLY71006.1 pep primary_assembly:Lsat_Salinas_v7:9:69520742:69524014:1 gene:gene-LSAT_9X60521 transcript:rna-gnl|WGS:NBSK|LSAT_9X60521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33400) TAIR;Acc:AT1G33400] MEKLKSAISQTLILSISQSNSRTLPNTCSSLHDFLNQLPQFHQMVEDLAYKDTSLFLKNKESALEFKLKGNNCFSKGDNSNALKFYTQALRFAPRDVNDMGKNLVATLYVNRAAVLQKMGLVMESLQDCNLALAICRSYSKAWYRRGKANTSMGNYDNAVRDLKVSLCMEQSISGKKQIEDEINLILDQHNVKDSSPNVAKVKDSNINDQSQQIQLQCVTTETKGRGMVCLTEIPPSTLLHTEEPYAAIISKEFRETHCHFCFNELPENVVPCPSCSMALYCSQVCQENASWQDSQCDMNKDYDIDFDLEKYVESVTKSNVNINNQNDEHKHECGANWSAALPSETVLAGRVIVKYIKQQGHFGNSCIVNLDLCHNYARLDPETKLEFHISSIILLKCLHYFYSSEFQLKGETISQCVLLLSQIQMNSMAIVRIKSSDSSENHTTSTIEQVRVGQAIYLSGSMFNHSCQPNIHTYFLSRTLNTRAIKFIPSGQPLELSYGPQVGQWSCNERQSSLRNQYAFICSCASCTQTNLSDLVIEAFRCSNPSCSGVVLDCDEVGYENRKVTLFGSAEYNKVKKVARLLCDLNKNHWVEPGFCLNCGGMRGCGSLHGTLRKGEICKRLIESGDEVASGLTCLDMLKKIFHKYNKRAAEVEDHMAKVFCGIGELQRARHHCKASIKVLEKLYGEEHIVIGNELVKLASIQLSLGEKAEFEETRNRIKLVFSLHYGSHVDVMFPHLHFLK >cds-PLY88493.1 pep primary_assembly:Lsat_Salinas_v7:3:174540504:174541240:1 gene:gene-LSAT_3X104220 transcript:rna-gnl|WGS:NBSK|LSAT_3X104220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRQNPHPFTIGVIIFSNIYHLHLLQSHIMHHQLLDFLIDVENTQIDGLLGVCIFTFLIRDFGLKFMSCALHLLHHHICNRKEKQYLHAHIGPDEPLPPASLPHVLRSSRPKQFVEQIVSKDLQTKVWTE >cds-PLY70178.1 pep primary_assembly:Lsat_Salinas_v7:9:1089739:1097313:1 gene:gene-LSAT_9X4461 transcript:rna-gnl|WGS:NBSK|LSAT_9X4461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVPASTSLWDGVLEMTKSAQSKGADPLMWAVELSSSLLSAGVSMPSTKVAELLVSHICWSNNVPIAWKFLEKALSIRIVPPMLVLALLSNRVIPSRRSHPAAYRLYLELLKRHIFPLASEINGPNYHKIMESINDALHLYQKFGLESSEPGIILVEFIFSVVWQLLDASLDDENLLELVPDKKSIWPIKSLEMEIDDFGEKKTDSHSHQGLYKMNTTMAIEIIVQLFRNKATSRILNLARQNMSAHWMSFIHHLRLLVANSTAVRNSKDIIPEALLQLTSDNPVQLSRECKGKTISLQQFHAIAACGSLISSAGQSHGASRSAIWLPIDLFLEDTMDGYVVAATSASETLTDLLKAHQAITQASWQDTFLGLWIAALRLVQREGEAVEGPVPRLDTCLCLLLCTTTLAVVNIIEEEENALLQEDDDKHPHQGSETQILGNCRKSLVSSLQQLGDLEGLLTPPPSVTSIANQAAAKAIMFFSGIPVGSGYLDGVSFNDMPVNCAGNLRHLIVEACIARSLLDTSAYLWPGYVKGHSNQIPRAVSGQMPGWSSLMKGSPLTTQMMHTLVSIPASSLAEIEKIYGIAINGSDEEKISAATVLCGASLTRGWNIQEHIGSFIIKLLAPPVPVDYSGSESHLIVYAPMLNVLLVGISSIDCVQIISLHGLAPHLAGVLMPICEVFGSCSPTVSWTLPTGEHLCPLAVFSNAFTLLLKLWRFHQPPLEHVMGDVTPVGSQLTPEFLLLARNSQLASCVNSLDPKNRRGIFGRSNLSSLEPIFLDSFPKLAFWYKQHQACIASTLSDLKPGTSVYQIFDALLNMMFRKMNRGGQCTSTSGSSSSSGSIPEDCTLRLKLPAWDILEAVPFVLDAALTACAHGRLSPRELTTGLKDLADFLPASMATIVSYLSAETTRGLWKPAAMNGTDWPSPATSLAMVEKNMNKILSATGVDVPSLSAGGTTPTLPLPMAALVSLTITYKVDKVSEPVLNLAAPALNTLGASCPWPCMAIISALWVSKAKRWTDYLVFSASRTVFHHSSDAVVQLLRVCFSSAIGLPISCGGVASAGGAGSLLGHGFGSHCSGGISAVAPGILYLRVHRSVRDIMFMTEEIVSLLMHTVRDIVSLEVPVEKLRKPKNGMVMRYGEVSLYSAMTRIKLAASLGASLVWITGRLNLVQSLIKETLPSWFISGHKSDPSKGDSGGMTGMLMGYALAYFAVLSGAFAWGVDSTCSASKKRAVILGGHLEFMASALDGKISLGCNKATWRAYVSGFVSLMVLCAPKWVGEVDVEVLKRLSKGLRKWDEDELALALLGISGVNAMGAAAEMIVKSSV >cds-PLY63583.1 pep primary_assembly:Lsat_Salinas_v7:MU045890.1:118509:119201:1 gene:gene-LSAT_0X12900 transcript:rna-gnl|WGS:NBSK|LSAT_0X12900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDIILEKQNNINSSKLELTKMKRDFEKNDEQLLLVKGRNLEIDQRKYDAPTISIGERYLKRCLVEIMPEIPEVNVGTSSLNIVSQPEVDPNDKEAVKEAQVKKNEEIERMKQFQIGFNRQEVEKREKEATEATLKALWPQWIVERIQDKAIKNVEK >cds-PLY85365.1 pep primary_assembly:Lsat_Salinas_v7:5:243155329:243176455:1 gene:gene-LSAT_5X120361 transcript:rna-gnl|WGS:NBSK|LSAT_5X120361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKQEEVILLDFWASMYGMRVRIALAEKGVSYEYREENLRNKSQLLEEMNPIHKKIPVLIHNGKPICESSIIVEYIDEEWKDTVPLFPSDTYGKARARFWVDFIDKKVYHNGRILVYKAKGEEHETARKEFIDTLKLIEGELGDKPYFGGESFGYVDASLIPFYAWLQAYETFGELNVEQDCPKLIGWAKRCFQNKESVSNVLPESLKIVAFVQQVRNIFGVGE >cds-PLY61843.1 pep primary_assembly:Lsat_Salinas_v7:6:58051743:58052944:-1 gene:gene-LSAT_6X43360 transcript:rna-gnl|WGS:NBSK|LSAT_6X43360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMEFMAEFTEGMSRDRARQIIRGKRTKRNRPCSPFGISTTSGNSDCLINNFTPDHSPVMSSEMSTEEDEDMANCLIMLAQSVSPVKEEKSDQIRQKTEKLSSRRFTEMAVSSAGGKAGFYVYECKTCNRTFPSFQALGGHRASHKKPKVNVEEKKSGLINIQPPLMEYSDEDQHKVVVEDEENKNSLIISNNVQKSPSSSPGLIQTGIINNNTRGKVHECSICGSEFLSGQALGGHMRKHRPTPPAINRSTGISTNTDDSSTDNHIIENSPVGNSMLSLDLNLPPPEVADDVQSQFQFTASSSQQHLVFSAPALVDCHY >cds-PLY72373.1 pep primary_assembly:Lsat_Salinas_v7:5:6126192:6126542:-1 gene:gene-LSAT_5X3581 transcript:rna-gnl|WGS:NBSK|LSAT_5X3581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMVNPTATIATSPPTTTTSIFFFFFSHHGNGDIGWQRMKQWDGGGQLSALTLASRLFTASDSEVGPEMVFWWLGLRPIRKTRGCWGGNGGWTREKKMAAGELGLGLKGCMQS >cds-PLY65995.1 pep primary_assembly:Lsat_Salinas_v7:4:138006297:138006596:1 gene:gene-LSAT_4X86620 transcript:rna-gnl|WGS:NBSK|LSAT_4X86620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQWTALWNTCKEEFQNEKNMLCGSLGDKDAEDLQERIIEHNIWVISKYYVRITVK >cds-PLY92255.1 pep primary_assembly:Lsat_Salinas_v7:2:209493585:209493794:-1 gene:gene-LSAT_2X129941 transcript:rna-gnl|WGS:NBSK|LSAT_2X129941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVPERILNATLRRSVSRLDGPMSPVHAASMFTPGATRSGFKTSNDRKLGPLDEKAATTGDGFIPILVP >cds-PLY63861.1 pep primary_assembly:Lsat_Salinas_v7:1:124940102:124940940:1 gene:gene-LSAT_1X93440 transcript:rna-gnl|WGS:NBSK|LSAT_1X93440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQVDENLIHPNTLNVHNVRSTPSSRNRVATVVGTTIGSFLLLIGAIGFTMFTIQKEKMNSEMKKSLDQWKIILDMPKRSKPSDNYNERNPGYLAPEWLGSIINEKDDMV >cds-PLY85855.1 pep primary_assembly:Lsat_Salinas_v7:9:128606580:128611695:1 gene:gene-LSAT_9X81361 transcript:rna-gnl|WGS:NBSK|LSAT_9X81361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSQVDITKHMATNGNLSMEDSEHVRLVISNERTTEAEILQLQTENRDTRIKWWIKAITLSIIAIILSIVFLKWGVPFLFEKVLLPMLQWEATAFGRPVLALILVASLAFFPALLIPSGPSMWLAGMIFGYGIGFVIIMVGTTIGMILPYLIGLLFRERIHKWLKKWPQTAAMIRLAGEGNGFQQFRVVALFRISPFPYTIFNYAIVVTNMRFWPYLSGSIAGMIPEAFIYIYSGRLIRTFADVQYRNHTLTPLEIIYNAISLIIAVAMTIGFTIYAKKALKELEIEEENKETDGNNIELEKLPLERQKQFGFGLHLGSS >cds-PLY72073.1 pep primary_assembly:Lsat_Salinas_v7:9:197085811:197089342:1 gene:gene-LSAT_9X121880 transcript:rna-gnl|WGS:NBSK|LSAT_9X121880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSGGFSFNDMNQTMRKKRSDRRPRNDIQPENNNSPLSSSPPSNNGSKRPSDDSGGHDTSYHRKILNLNQCNSRASYTNSELETQTSSDDKDTGVDTSEVFASNYKDTKFDDGHNSGSLDVDSDAKGVDNVVKKVKLKVGGVIRTIHAKPSSLKPPHRPKQKQNSQENPNPQDTGSGLRGIPWKDFSRSGFSVGKTSSSKRPSTEESISKNHNSVNNTKRVSKKRVLDDTNDEDDDDAELRYLAKMKLKSTKVNEEDEGGSKKHRKISSVLKMESLGSSKVEKEKKKLKSEDTDYTGEERASAGEDSGREMTVTTRRKALQSGRDVSGDFAASVVEFPNGLPPAPPRKQKEKLSEVEHQLKKAEAAQRRKIQAAKAARESEAEAIRKILGQDSSRKKREMKQKQRQEELAQERTSNSATLPSNTVRLMIRPTGTVVTFSDDIGLPNIFESRPCSYPPPREKCAGPSCSKPFKYRDSRSKLPLCSLQCYKAVQGAVY >cds-PLY65950.1 pep primary_assembly:Lsat_Salinas_v7:4:135842854:135847229:-1 gene:gene-LSAT_4X84700 transcript:rna-gnl|WGS:NBSK|LSAT_4X84700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase PHS1 [Source:Projected from Arabidopsis thaliana (AT5G23720) UniProtKB/Swiss-Prot;Acc:Q75QN6] MMAANETKDDLYALSLQKEEEEKYKEYDLGSDDTDAPLPLTVTSRVLYMLGDIAAGPAFRFTQWLELVRKRSGKYKSSGFPHRPHRPQKLDNMLLSAGDLSLESKNSPLFEQVAEISLWERLGKAAMLNIDPSSFSWDMLSSLHHTEHSSSNEHSEDEMSKALEVTVNSGGVVFFALFNNNESREFLPKEAAAVIKIASSRMATQSERLGYEFARWLGVRTPQARVIHNCSTEWLKIKEAAEKAKEAAVSDGDEVGEVTCSELLEALELSRCLYLMNYVHGCPLLESSSAFKTQEAAEKTAAALGRILMLDLVIRNEDRLPCRYLRWRGNSANLLLADKMATANRDALEEAFDSAIKRYRPRVIRALQKERRSTSVDSRMSVDGSELSSSKSSDLSDAIGSPISSEKTLKRQITIDPIIGDFDIVAIDSGVPRRPPAGKRAGDQENYPKLVELLINSPEYASHLLYEITSGKLGVPQEAMETPPDNSPFKDMISVVHEFRSGFRAALMNLQSFHIFLLTLHQKLDSLLRSFMNIIDKSSGGDFDKEDLMILESPSHCVSPQGKGQGDTQEGCNDTEIQKTASSKGASSSGCKENFDSISPISHGKSSNKGSGSEPLRSMRITSKLRDFHKYAKVDAELNKELEQWNELLKNEAVKLCQENNFTSGFFEGSESNSVVDAYELKLRLEHILERISLISDAGNTEKPSLITNSLFIGGALAARSVYTLQHLGITHILCLCSNEIGQAESQRADLFEYQNFSIGDEEEADISQIFEEAHEFIEAVEEKGGKVLVHCFEGRSRSATVVLAYLMMRKKLTLSRAWNKLRRVHRRAQPNDGFARVLVEVERKVHGKVSMEWQQKKPSMKVCPICGKNAGLSSSSLKLHLQKSHKKLSSGSVDSAMSMEIQKVLEALNISTPRQSHSSD >cds-PLY96067.1 pep primary_assembly:Lsat_Salinas_v7:8:21565471:21568413:1 gene:gene-LSAT_8X16461 transcript:rna-gnl|WGS:NBSK|LSAT_8X16461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVHDDCKLKFMDLKAKRTFRFIIYKIEEKQKQVMVEKLGEPAETYDDFAACLPADECRYAVFDFDFMTAENVPKSRIFFIAWSPDTARVRSKMIYASSKDRFKRELDGIQIELQATDPTEVGLDVIRSRAN >cds-PLY89785.1 pep primary_assembly:Lsat_Salinas_v7:1:7445575:7447676:1 gene:gene-LSAT_1X6181 transcript:rna-gnl|WGS:NBSK|LSAT_1X6181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAGIEILSHSTHMTSLFHTYPYFHSPTKIAKSRPAFKASVLGNNEEVHVIRITPKTHNQQEISPIVESKRSLQVIWARVDSRKPSSELSLLEKIFKKINSYIIKFLDSPLHLSVDPSYTLSDNFAPVDELSPTKCEVIHGFIPPCLDGAYIRNGPNPQFISGGPHHYLDGDGMMHCIRISDGQPTFCSRYVKTNKYIFEHQARSNLVPNVIGGMESLAPFVARVALFLARVVSGDYDISKGFGVANTSLAFFGGNLYALCESDLPYAIKVEEDGDITTLGRHDFHGKLSMNMTAHPKTDPETKETFAFRYWATRPYLTYFRIDAYGNKQPEVPIFSMKHPSLTHDLAITQKYAVIFDIQLGADPMNLVRGRRLLSVDPKKVSRIGILQRYAKDESDMMWFEVPGLNIFHVVNAWDERDKDGQDVVVLVAPNMLSVEHFFDRMDLMRTSMEKVTINLGTGVVSRHTMATDYNLECPVINPAYIAKRNKYVYAAIFEKTPISSRMIRTIGVAKLDIFASEDNTDQHNKHTVASRIYGNDCFGGEPFFIARDSENPNSEEDDGYVVSYVHDENSGESRFLVMDARSPTLEVVAAVKLPRQVPYGLHGIFIKENDLINET >cds-PLY86697.1 pep primary_assembly:Lsat_Salinas_v7:4:8739801:8742083:1 gene:gene-LSAT_4X5860 transcript:rna-gnl|WGS:NBSK|LSAT_4X5860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLIPGVLLKLLDGMDSGVKPTSEHRSSLLQVTDIMPADLDEKDLWPKHGFYIKLSDSSHSIYARLPLEKDDLVLSNKMQLGQFIHVEKLESGSPVPIAKGVKPLPGRHPFVGMPEPLIGLKGKQETNQLKGNLHLNSKSSASRRGSWGTKGEHGVCASPIALKPCPLKFDQCTPVKVRSSMISSRSAEKRSSNGRVSMSKLTESPVTSVKKSCVTSSMVKIPRSSKSGTCDKGAKMSTSPYNSAEKKSATSPRSLQSKQMVSSPISGVNTKECLNTNITSQVKSPNPHSSSETSLFNLPRKLSLLGKEAIQQREKAQKIALQALRNASATETIVWSLKSLSTLSKLANPEDPTDCFDQFLEFHNQIVQAIADMVSIKSATEVNNIMNNSNQKSTVLGKHTRSSNVNQKGKMGTCKQNGNKHSCLNDTIKLGKQIEREAGNWFMEFLEKALEKGMGESKFIKVHKSLVMKVMKWVEVEQCDSSKRLVNPKAIEIARKLRIKVKSP >cds-PLY87668.1 pep primary_assembly:Lsat_Salinas_v7:6:45533580:45536919:1 gene:gene-LSAT_6X34701 transcript:rna-gnl|WGS:NBSK|LSAT_6X34701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKENITEYRDKLDKTLKSDDLCNVEFLKKLVENQLSKSSQHEDQEYSDNLVQKRTKEVANFIGMLRSTSGSVDEVSHNGWKIKHDNQDCRVMYREGPTGTPFHSLLAEGYVDGPLDVCMCISCEASLYPKWWPQFNIPTFKVTSSEIVKKIRMGEQISLVRMKLSWPLSTREALVHYVTIDYFQDGLIIVLLNSISETEIIDIDTHGFTKDGIPDVENVTRIDIVGGVAIQKVSTNRSYFRTIANMDIKLDFVPPTIINFVSRQLIGSGFKLYKKEVASVCKGDEDFSMALKDPCYHRIREALYLENNEQNEVSKQEDIKIVHEILEQQRDEDIKELKTQNPVCEIEEIEESEKPHEDSNNNGKEVNEDSNKKIIVGRKQVIISPEVNQALGTLEKVISIFREIGFNPRSMSLSRFANNLFADLEDKKSNDSKRFVTQVNESTLKSSQESRNGFDDDEDTPSSFSFVINEAITSTLAEKDAINGEVKNIDESGISQKKMKKQRFCCISFTSGGVG >cds-PLY82884.1 pep primary_assembly:Lsat_Salinas_v7:4:18393628:18394169:1 gene:gene-LSAT_4X12780 transcript:rna-gnl|WGS:NBSK|LSAT_4X12780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYATGAEAIMVLLLTMPGLGPLRKGLVHVIHSLLKPFFSVVPFSVFLFMDIYYKYENYRQLCESPDSSCTPTEQLHQQKSFMKSQRNVLLIISALVFYWLLYSVTHMIVTVEQLNARIEKLKNKD >cds-PLY78524.1 pep primary_assembly:Lsat_Salinas_v7:1:103206906:103207913:1 gene:gene-LSAT_1X83981 transcript:rna-gnl|WGS:NBSK|LSAT_1X83981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKDQLPPYPNAARISDSQCYSQYTASLKCLEEFSSDKSKCQEHFDVYKECKKKEREARLERNRSRSLFS >cds-PLY77317.1 pep primary_assembly:Lsat_Salinas_v7:5:143377029:143380725:-1 gene:gene-LSAT_5X63500 transcript:rna-gnl|WGS:NBSK|LSAT_5X63500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWGRTGCNFNSSGKWSCATGDCGTNGTNVMECNPYQQITPATVAELSINQTQTHSQDLYDVSIAEGYNLPILVEPTSGSGSGSNLSSCAKTGCVEDLNKRCPKELSFAGGEACMSACRAFASPEYCCSDSFSSPSSCKPTSYDQLFRSACPRSDIYSTRSDQKSTIYTCNGADYSIRFCAAADSFSTIKLGGQLKSTDQLVSMHGNFTLGFFGEDYSYLGIWYTSDVQSTKVWVANPNTPIISSSGAHTLSISTKTGDLIITAGGTTLMRITDVKIGPNANVTATLEDNGNFRLINQVEKRVLWQSFDHPTNVLLPGMKLGYDMATGQNWTLTSWLSNENPKSGPFTLSWEPTQKGSQRLMIRRRGRPYWTSGNLNNQIFQYMFSLNGPSSQSTYNLTSVYNNKARYFSYDGNIAALPMWILTPKGQIRDINNATAWSPEFCYGYDSGNGCMESSLSQCRTENDNFSKKNGDFALDMTKGATDGNSSLSINDCFVKCWNDCNCVGFSSSTINGSGCVIWTGINSFLVNPHDNSTSKYVISQNLGNRNTGNKTQKSKNWIWISISVSITLVFLCFGALWYIKKRKRRQKGIKGKLSDGREIAIKRLSRTSSQGFVEFKNELVLIAKLQHTNLVRVLGCCIHGEEKMLIYEYMPNKSLDFFVFDENRKAELDWPKRFIIIEGIAQGLLYLHKYSRMKVIHRDLKANNILLDESMNPKISDFGMARICEQNQTESMTNRVVGTYGYMSPEYAMRGVFSIKSDIFSFGVLILEIISGRRNSSLFHLDETFSLIGYAWVLWQQGDSLELKDPSLGNTCDEQQFLRSVHVALLCVQENAIDRPTTSEMISMLLNDSISLPSPNRPTFLIGGGDSKSTSYETKAEDFSVNNVTISVVGGR >cds-PLY91608.1 pep primary_assembly:Lsat_Salinas_v7:5:297451221:297455047:-1 gene:gene-LSAT_5X159221 transcript:rna-gnl|WGS:NBSK|LSAT_5X159221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:GLDH [Source:Projected from Arabidopsis thaliana (AT3G47930) UniProtKB/TrEMBL;Acc:A0A178VN92] MLRSLRIKRSLQSSVIHRKNPHFNNTLETLSSSPTTKTPLINLIRQFSSSSPPPPTPPPLSATPSTSSELRKYLGYSALLLSCAVATYYSFPFPENAKHKKAQLFRYAPLPDDLHTVVNWSGTHEVQTRVFLQPESLEELEKIVKDADEKKQKIRPVGSGLSPNGIGLARGGMVNLALMDKVLEVDKEKKTVRVQAGIRVQQLVDVIKDHGITLQNFASIREQQIGGIVQVGAHGTGAKLPPIDEQVISMKLVTPGKGTIEISKDKNPELFYLARCGLGAFGVVAEVTLQCVERQELVEHTFVSNLTEIKKKHKKLLNDNKHVKYLYIPYTDTVVVVTCNPVSKWKGPPKFKPKYSLDEALQPVRDLYKESLQKYKRQPNENDSKVSDLTFTELRDKLLSIDPLNKDHVKKINEAESEFWKRSEGFRVGWSDEILGFDCGGQQWVSETCFPAGTLSKPNMKDLKFIEEVMELIEKEEIPAPSPIEQRWSASSKSLMSPASSESNDDIFSWVGIIMYLPTSDARQRKQITEEFFHYRHLTQTRFWNQYSAFEHWAKIEVPKDKNELAALQERLRARFPVDAFNKARKELDPNRILSNAMVEKMFPIEDNAT >cds-PLY82931.1 pep primary_assembly:Lsat_Salinas_v7:1:18684481:18687741:1 gene:gene-LSAT_1X16260 transcript:rna-gnl|WGS:NBSK|LSAT_1X16260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42480) UniProtKB/Swiss-Prot;Acc:Q9FIG9] MEALGHLRIGVCVPLSTPFPTSNTKKHLKPHAISGGPSSSNTVSSSFSASKWAHRLFSDFQFLPTTNNADPNDLTATTLTPPYPPTLSTAPDRHVSIPIDFYRVLGAEAHFLGDGIRRCYEARALKPPQYGYSDDALISRRQILQAACETLANPSSKREYNKGLADEEFDTILTDVPWDNVPGALCVLQEAGEDELVLEIGESLLKERLPKSFKQDVVLAMALSYVEISRDTMALSPPDYIKGCELLERALKLLQEEGASSLAPDLQAQIDETLEEINPRYVLELLALPLDDEYRTRRMEGLQGVRNILWAVGGGGAAAVAGGFTREDFMNEAYLRMTAAEQVELFVATPGNIPAESFEVYGVALALVAQAFMDKKPHLIQDADNLFQQLQQIKVTPLGNSSLYNIKESREIDFALERGLCSLLVGEVDECRSWLGLNNENSHYRDPSITTFVMENSQDDPENDLLPGLCKLLETWLMEVVFPRFRETTDVQFRLVDYYDDPVVLRYLERLAGVGGSPLAAAAAIARIGAGATAVLDSVKIGAIKALQKVFPVGETESVRREFDDSFVVESELDRRQDGGFPVDVITVDEIKEQEMITYRIKDAAVKIMCGGVVVGLLTLAGLKLMPSKRGPSSTTNKEVGSAMASDVINVEYDIITKLTHPSFILPIIAAGESVSEDAVEIPRMDARLAESLVRKWQTIKSQALGPDHCHEKLSEILDGQMLKIWQERAIEIGEHSWFWDYSLLNITIDSVTISLDGGLAVVEATLEESAQLTDLTNPENNDSYNSTYTTRYEMSHDAKAGWKITKGAVLKS >cds-PLY75955.1 pep primary_assembly:Lsat_Salinas_v7:5:248301454:248303919:1 gene:gene-LSAT_5X124741 transcript:rna-gnl|WGS:NBSK|LSAT_5X124741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDDIDLGPEDFLASVPLKKVPYGDVFEASRAGDVNRLKYLLESGVNVNARDQWDSVALYYACLAGHLDAARMLLENGAICSEHTFDGDRCHYASLNLKVRKLLKAFEARPPPLGPLQGALRETFLGCLANRGNLEQLDGQSQLTGDLSNGGSSPSYFPPDVVFYLHGRPIEAHRVILSARSPYLKNKFQNDWRCRKEIRFSREKLSYPAFYSLIHFFYSDRLEIAVDDMEDLVRICKVLKCESLQKVIEKEVRHQKYADYKALQDIDNSQRRYILQGTSLPEDDRLPAALSRLLQISLANSTKEHDDLVSRMKLTSLEDDLADVCIKVDDKIFRCHQIILASRSEYFKTRLSRMDDFLEGKEGLPNYPLPLLEERDLSMEAFEKMIEYMYTDGLKDVDPDQAEEMFDAASRYLLFPLKRAVADAVLPHLEMIPPAELCQWLILSDMYGVLKIREYCLDVMACNFETFADTREFRAMVLSLPPPSGDSSLRTTVPNAPGAEMKMTEGNVLDDLREKWLEIEAGELDERDESALLFDKRLEMLMMVAEREQAQAQAQPHSSSSSF >cds-PLY87935.1 pep primary_assembly:Lsat_Salinas_v7:4:32635026:32636593:-1 gene:gene-LSAT_4X21140 transcript:rna-gnl|WGS:NBSK|LSAT_4X21140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQGIGRQLIFGKEHQKLDVDTEERKKKIRKFKESAWKCAYYLSAEILALIVTHNEPWFTNTINFWIGPNNQRWPDQKAKLKLKGLYMYTGGFYVYSIFALVFWETKRSDFGVSMAHHVATVILIVMSYICRFVRAGSVILALHDASDVFLEVGKMSKYSGAEGLASVSFILFVISWIILRLICYPFWILRSTSYEVVMLLDKDKHDTLIPIYYYIFNTLLFCLLVLHIYWWVLIYRMLAKQIQNRGKLSDDVRSDSDSDHEHED >cds-PLY99852.1 pep primary_assembly:Lsat_Salinas_v7:4:46379964:46380702:1 gene:gene-LSAT_4X31680 transcript:rna-gnl|WGS:NBSK|LSAT_4X31680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHLFFAVAFSAVPLTLYVPPVRSLNLFVQTMEDLWRESSIYTHRVYPRICIECGLAVIGR >cds-PLY65163.1 pep primary_assembly:Lsat_Salinas_v7:5:249807540:249811913:-1 gene:gene-LSAT_5X125260 transcript:rna-gnl|WGS:NBSK|LSAT_5X125260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIFLVFGMWVMVVVVKGKPGNTTSSSSRMHSVVNVGALFTVNSVIGRSVKPAIAAAIDDVNSNPTILVGTRLNLILHDTNCSGFLGTIGALQLMENDVVAAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSSLEYPYFIRTTQNDYHQMSAITDFVLHFDWKEVIAIFVDDDYGRNGISALSDALSKKHAKISYKASFTSGASEREITELLIGVNLRESRVYVVHVNPDSGLEIFAVAKKLGMMTSGYIWITTDWLPAVLDLSELPDPDTMNLIQGVVSLRQHTSSSDIKKSFGKKWKNIKEKETSSFNSYALYAYDSVWLLAHALDKFLKSGNSITFSYDPKLRNTNGSELRLSELRIFNEGEKLLETILTTTFVGLTGEVKFNEDKNLIHPAYDIVNTVGTGVRIIGYWSNHSGLSVAVPESLYAKPSNHSPADERLYSVIWPGETTKPPRGWVFPNNGTPLRVAVPYRYSYKEVVTKDKSPEGVRGYCIDVFEAAVNLLPYPVPRKYFLYGDGRRNPSYTNLVNSVAQNTYDAAVGDVTITTNRTRIVDFTQPYMESGLVIVVAVKEAKPQPWAFLKPFTIEMWLVTGGFFLFVGCVVWTLEHRLNHEFRGPPRQQIITIFWFSFSTMFFSHRENTVSTMGRFVLILWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLISSNDPIGVQDGTFAYNYLVRDLNILESRIKPLRDEVEYVNALRLGPKAGGVAAIVDELPYIELFMRYTKCEFRIVGEEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQRIHDKWLSLASCSSQTTQVEETSSLSLKNFWGLFLICGVACFISLSIFFCSILCQYLRFIPHDEEAHDHETVEPPSQTPRTGRRSLRGISFKDLVDFYDRKEAEIKEMVRRNRRHASRDSDEHNSSSS >cds-PLY61665.1 pep primary_assembly:Lsat_Salinas_v7:5:89855676:89858785:-1 gene:gene-LSAT_5X40881 transcript:rna-gnl|WGS:NBSK|LSAT_5X40881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNILLPNFFQTSLISMILLHLHMLLNRLLIQVDDYVFMWSQNLLAFHTHNLTGIYLKACAFRDSYEKFKKAGAQVIEISGDDAESHKASVRLHDKMTSFVSKNKAAQMHGSSSVYMSSYMAIPRLSAAEVLYGSSDGFIGITSL >cds-PLY82858.1 pep primary_assembly:Lsat_Salinas_v7:1:87210734:87212501:1 gene:gene-LSAT_1X72260 transcript:rna-gnl|WGS:NBSK|LSAT_1X72260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQKSLIYSFVARGTVILAEYTEFKGNFSTVAQQCLQKLPANQPRFTYNCDGHTFNYYIDTEFTYCVVAVEAAGRTLPMGFLERVKDEFNKKYGGGKASTAAAKSLSKSFGPKMKEEMAYCVAHPEEIDKIAKVKAQVDEVKGVMMGNIEKVLDRGEKIELLVDKTDNLRNQANDFKKQGTKMKRKMWIQNMKIKLIVVGIVIVLILVVIMSICNQLRCF >cds-PLY63747.1 pep primary_assembly:Lsat_Salinas_v7:9:84887111:84892344:1 gene:gene-LSAT_9X66221 transcript:rna-gnl|WGS:NBSK|LSAT_9X66221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSPTSLQIESVVFPPSVKPPGATNALFLAGAGVRGMEIDGNFVKFTGIGVYLEDKAIPSLAVKWKGKTAAELTDSVEFFRDIVTGPFEKLTQVTMILPLTGKQYSEKVSEMCVGVWKSNGTYTDADAITIDKFLEVFKDQNFPPDSSILFTTSSIGSLSISFSKDGSIPEAPNVVLENEKLGQAVIESVIGRYGVSPATKQSLASRLSDFMEQIEGKATETESVELGKNSL >cds-PLY75697.1 pep primary_assembly:Lsat_Salinas_v7:8:195619816:195622507:1 gene:gene-LSAT_8X125920 transcript:rna-gnl|WGS:NBSK|LSAT_8X125920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKIRVSFVLALLLLSSSFFLQVARCQSDSDSEDAELVAETVEEGGDLGIVDDDVQDFGIGNYSPAPGVETVCVFPKNPTKLVVAGQETELLIGMKNEGDQHIKVLAVHASVHLPFDHNMLVQNLSTQAFNNASVPSSVQATFPYIFAVSKFLQAGTFDLVGRIVYEIDQIPYENTFYNGTIEVVEAGGLVSIETVFLVSLGISLLVFLGLWVRGQIQNLSKKTKKGPKVETGTRSVDASMDEWLEGTAYTQSKASKLKKKK >cds-PLY80373.1 pep primary_assembly:Lsat_Salinas_v7:MU041980.1:41723:42653:1 gene:gene-LSAT_0X21880 transcript:rna-gnl|WGS:NBSK|LSAT_0X21880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRSKTNTLISQVIQEKGKSEGVHKQGKEVEKTKGDDTDTHPSFSLGLSQDSDQTLSKKSNESSPKKPLTKKQIKDDHQKVVSD >cds-PLY95962.1 pep primary_assembly:Lsat_Salinas_v7:9:41525152:41525830:1 gene:gene-LSAT_9X38300 transcript:rna-gnl|WGS:NBSK|LSAT_9X38300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEINGIEEEIQNQATVKALYKAMASGDTKLVSGILATDLEWWFHGPQNCHHMMRMLTGEAPHTEFNFEPRSVTTIDDHTVIVEGYEGANVYWVHVWVLKDGLITRFREYFNTWLTVKELRVRPVGWPLGWAGKENRSTVWRSQPRDLFRRSLPGLMLAI >cds-PLY64494.1 pep primary_assembly:Lsat_Salinas_v7:3:15710374:15712429:-1 gene:gene-LSAT_3X11361 transcript:rna-gnl|WGS:NBSK|LSAT_3X11361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENNNRSSFWQFSDQLRVQNNNLSNLSLNDSIWSSSYVSKRPEKDRRNFDIRVGGDVINPSTVATGNNSGPSNSDFNGFNFNWKIGSSGSIGSTNQNPVGDFGINGGFNKGIYSKPSLNLNQNFNQNSSSSKVVNGKSEGGDDKQGYGSKHWKNKKNHGNNKSSVNNNSEKDGAKNNVDKRFKTLPPSESLSRNETVGGYIFVCNNDTMQENLKRQLFGLPPRYRDSVRQITPGLPLFLYNYSTHQLHGVFEAASFGGTNIDPSAWEDKKNEGESRFPAQVRVMTRNVYEPLEEDSFRPILHHYDGPKFRLELNIPEAISLLDIFEDKKN >cds-PLY91954.1 pep primary_assembly:Lsat_Salinas_v7:2:20210166:20212722:-1 gene:gene-LSAT_2X11161 transcript:rna-gnl|WGS:NBSK|LSAT_2X11161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFTVMHPPYWTKSIKTLGFRKSGQALLEVENAVVYIGQSALEVYEPCTQHYKDLEIDATTESFVVHSLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKVLLSRLPISDLATQTETSSVLKSC >cds-PLY86512.1 pep primary_assembly:Lsat_Salinas_v7:8:134700591:134701079:1 gene:gene-LSAT_8X91460 transcript:rna-gnl|WGS:NBSK|LSAT_8X91460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPETENDYDPVMPLPPTPVSADEDPFEDEEEPNEEEQPGDEIGGVPVDSSPYPDSFSHQNTHEEDPNESDSSLGTVAPPSPSPLSIHTPGCMIITTPRKSIHIPSHKRATSPPSSPNPSKKPRLTHKWTPHVDEWIHEDNIGFYEVGESSQAPTGFHPRGSH >cds-PLY68007.1 pep primary_assembly:Lsat_Salinas_v7:5:182487541:182490331:1 gene:gene-LSAT_5X80001 transcript:rna-gnl|WGS:NBSK|LSAT_5X80001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADKLALPLLLPSPPISKPFSQDFHPPKLHHHNPPPQPLTPLLKDILQPNSATTKPPSPIIPRTTRRIGKHNDPNKGKPWTSHLSPRGQQIFQTLIDSGSNSFEFNQNLLNLVDFHEQNESEHASKSLSLDVLGLIQGLIHYKKLDLALNVFDWVKTHYKDTGKPLRGSVVAVIISMLGKDNRVSVASSLIRSLQKDNFTIDVYAYTSLITAYTNNGRFREAISVFKEMEEEGIQPTKITYNVILNVYGKMGMPWNKIESIFNDMKNSGVSPDSYTYNTLISCCKRGSLHEEAEKIFKEMKSAGFSPDYVTYNTLLDVYAKSRKPNEAMNVLHEMEFHGFSPSIVTYNSLISCYAKDGLFKEAMELKDQMSQNGIKPDVFTYTTLFSGFEKAGKDEFARGVFDEMISSGCKPNICTFNALIKMHGNRGRFSDMMKVVEDIKHCGCVPDIVTWNTLLAVFGQNGMDLEVSGVFKEMKRAGFIPERDTFNTLISAYSRCGSLDQSINVYKSMLEAKITPDLSTYNAVLAALARGGLWRQSEKILEEMESGRCKPNECSYSSLLHAYANGKEIEKMRDLAGKVYSGEIEPHAVLLKTLVLVNSKSDLLPETARAFEEMKNRGFSYDINTLNAMVSIYGRRQMAVEASGIMAVMEESGFSPNLTTYNSLMYMYSRSSDFTKSEEILRDILRKGVKPDVISYNTVIYGYCRNGKMKDASRVLSEMTKSGVVPDVITYNTFVASYASNERFLEAIDVIKYMIKNGCKPNESTYNSVIDWYCKFHRRDDAVLFINNLREVDPRVSKDEISRLAARVAEMG >cds-PLY80423.1 pep primary_assembly:Lsat_Salinas_v7:4:361309912:361312967:1 gene:gene-LSAT_4X177500 transcript:rna-gnl|WGS:NBSK|LSAT_4X177500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHISLWKPIISHCSFLISDKKNRKKDGSHEEINRNPSVLRKLQENKLREALEQASEDGCLIRSQSMDSSDSIDIEDKSLGRSRSLARLQAQKEFLKATSLAAERTFEIHDSIPDFNEAFSKFLTMYPKYKSSEKIDQLRADEYSHLAETVSKVCLDYCGFGLFSFLQTVNYWESSTIGLSEITAHLSNHALYGGGEIGTVEHDIKTRIMDYLNIPENEYGLVFTVSRGSAFKLLAESYPFHTNKKLLTMFDHESQSVNWMAQSAKEKGAKVHSAWFKWPTLKPCSTHLTKMILNKKKRKKDSATGLFVFPVQSRVTGAKYSYQWMSMAQQNNWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGFDPTGFGCLLIKKSVIGSLHNQTTQTSSGIVKISPVFPQYNSGSVDGIPGLAGIEDVGVAGKREGMPETRQLPAFSGAFTPSQVMDVFETEMESSIFEDSNSVSVGEVMKSPNFSEEDESSDNSIWINLGPSPYESQAHSTKILKKVESPEIQQESEANGPGPAVIQRETEGEFRLLGRRVSFGLEENDDFTLCDDYEGLESERQEPGISCRHLDHINMSGLNKTTLRLRFLINWLVTSLLQLRLPGSNGSVPLVHIYGPKIKYERGASVAFNVRNRNLGLFSPEIVQKLAESNGISLGVGILSHVRIVENTKNRMNLADTTLCRPMDGGLVRAEVVTASLGFLTNFEDVYRLWDFVAKFLNPSFIREYGLSTVVEDEDDER >cds-PLY99871.1 pep primary_assembly:Lsat_Salinas_v7:4:46311245:46312158:-1 gene:gene-LSAT_4X31621 transcript:rna-gnl|WGS:NBSK|LSAT_4X31621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKHKNAKKIRKTIVNELGGQYEEVFNDVKLELRNCFTAKAVRTVLYQLYEMNPPQYIWLHKQVFIAENDPADGKRFLRILAKEYQDLAERVMITRLHLYGKWIKQCDHGEIYKEISDENLELMRERLLETIVWPAADEKTTD >cds-PLY80004.1 pep primary_assembly:Lsat_Salinas_v7:9:46477651:46479046:-1 gene:gene-LSAT_9X42961 transcript:rna-gnl|WGS:NBSK|LSAT_9X42961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRFSAFFRSHAVRYSSLSPIHETPADRKPLLMVDYLVDSLHFSKQDAVAVSSKGKLTNLRSPINSDSVLNLLKSYGLDISQIRQIISSAPKILSCKASKTLEPKLKVFQELGLSGSDLVSLIKKNPEIFGYGLHTRIMPGLDLLKKLLGSDEKVIEVINKSRWLYVTTSSMKRLSTNISLLQTFGLSNERIMKFMLGNPEKLMADPKLLQSRLSYVEEKLGISRALPSFIHAASVVLWSSDSEVEKRMKIFKSFGWSDSEISLLFRNQPYVLNKSEGNIREKLEFFMKELGYTPAYLLSCNTFFTLSLNKRVIPRNTMLKILKEKKLVKDKLSLITIATYSEVRFLEFLKGFENDIPGICETYMDNVERVS >cds-PLY80015.1 pep primary_assembly:Lsat_Salinas_v7:9:46717594:46719014:-1 gene:gene-LSAT_9X43381 transcript:rna-gnl|WGS:NBSK|LSAT_9X43381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAEELIEVKFRLPDGSDIPPSKYNSTTTVAYLKEKIISQWPKEIGNGPKTVNDMKLINAGKILENNKTLAESRSPVGEVPGGVITMHVVVRPPLSDKNSEKSQNAPEKSSCSCTIL >cds-PLY67681.1 pep primary_assembly:Lsat_Salinas_v7:4:2208966:2211776:1 gene:gene-LSAT_4X2160 transcript:rna-gnl|WGS:NBSK|LSAT_4X2160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFDSREEEKLNPQKVRADRHEVHPSAPTNISRLSSGVDRLKSRNNNVSLRRESSGPKDSPDAQIAAQTFTFRELAAATNNFRPDCFLGEGGFGCVYRGHLQGSGQVVAVKQLDRNGVQGNREFLVEVLMLSLLHHPNLVNLIGYCADGEQRLLVYEFMALGSLEDHLHDVPADREALDWNTRMKIAAGAAKGLEFLHDKANPPVIYRDFKSSNILLDQGFQPKLSDFGLAKLGPTGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSTQPHGQQNLVTWARPLFNDRRKFTSLVDPRLEGRYPMRGLYQALAVASMCIQEQAAARPLIGDVVTALSYLANQHQGYDQPRPKPETNARILSTNTEEQQAGASGRSRWDLALEVGSEKEESPKESAKMLNRERAVAEAKMWVEKRRQTAQAAFDANN >cds-PLY98440.1 pep primary_assembly:Lsat_Salinas_v7:1:9201133:9202173:1 gene:gene-LSAT_1X7101 transcript:rna-gnl|WGS:NBSK|LSAT_1X7101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCDGWSRVFRIQEPVYLELCLEFFSTVSFTGGVDAYHPSSFSFCLGGEFHQCSMVDLACRLGVYDQPLVSTPIFRAFLAQTHMVFPEGVTSTGWWNTIGNKVYIPKSAQEGSIRSPTHRLIHRLISSTINQRKDDDKVSNLDVFYLWSIITPGVFCNIPWCLASYLSEGAVKDRKTSRINGGMFVTRLANSFGLMNRGAWNFMTMIPTPPFNPILFRRARIIEDYGGGHYAIPNDDPVVGPEAPGRRVRSRRERDIEEEPPVIPVENEEVPMDWYNVEMRRLQDQMARGLNFSNQSHIRLFEHFNIPHIDGGNFPYIPSWEEVISARRSGAGGSGVGLDDDDEED >cds-PLY72639.1 pep primary_assembly:Lsat_Salinas_v7:3:183761930:183773216:-1 gene:gene-LSAT_3X110380 transcript:rna-gnl|WGS:NBSK|LSAT_3X110380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPDGFPDSVTSDHLEFSLWRGVHCITSQVSGVLSKQTPVENLELLLREDIQKRFLHSISPGGLAVVASSRNTRLPSDSGAFADWVANPSSSSALRPSDQDLSLGFNACSAAPGGGSTGIWSSASARQINYGVPAEMGMFVVAPSSHHLSDHAHAHSLNAPFSNASTATATALGVGVIPLITATPCLDEGMVGNRGIRGNNANTTSNSIQLWQSHPQQSYFKKSLVSDHGFCGGGSGVGSASTSGSTLTCQDCGNQAKKDCNHRRCRTCCKSRGFDCATHVKSTWVPAARRRERQLMPSTSGAAAGSSASTSVTKKPRLTSRTTTPSHTSTSNTTPPRSFDTSSSHHHQDPSFMQSLPVQVRAPAVFKCVRVTAVEDGDDEYAYQACVTIGGHLFKGFLYDQGAGTRDNSNIPNLSELHLGGGVGRNVGGSLSSQPLEPPPVYGSSGGGLLG >cds-PLY92975.1 pep primary_assembly:Lsat_Salinas_v7:8:98531103:98532498:1 gene:gene-LSAT_8X68401 transcript:rna-gnl|WGS:NBSK|LSAT_8X68401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSDLNDDIANASSLMSSSASTKSPPYPGGMGPYTGRDPNVKKPSWLRQKAPQGEKYLEVKDSLERLKLNTVCEEAQCPNIGECWNGGEDGIATATIMLLGDTCTRGCRFCAVKTSKNPAPPDPMEPQNTAEAIVSWGVDYIVLTSVDRDDLPDGGSGHFAQTVKAMKKRKPEIMVECLTSDFRGDLEAVSMLANSGLDVFAHNIETVKRLQRIVRDPRAG >cds-PLY76537.1 pep primary_assembly:Lsat_Salinas_v7:8:90868278:90869213:-1 gene:gene-LSAT_8X63421 transcript:rna-gnl|WGS:NBSK|LSAT_8X63421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGETDSVKTCQDIGDMWLRLVQSLRKVCLDQREEVRNHALTALEMCLTGVDRVNIQPGVWLQCFDMVIFTVLDDLLEISQGHSQKDFRNMEGTLVLGLKLLSKVFLQMLPELSQVTTFCKLWLGVLSRMEKYLKVKVRGKKSEKLQELVPELLKNTLIVMKNKGVLAQRSALGGDSLWELTWLHVNNIAPLIQSQVFPDENGNGTGTEESG >cds-PLY64365.1 pep primary_assembly:Lsat_Salinas_v7:4:26130536:26131011:1 gene:gene-LSAT_4X18760 transcript:rna-gnl|WGS:NBSK|LSAT_4X18760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIINSAQEVGNDAFYAELERRILTLIDDHDHTEFLSDTQKYSSCSSTTKRRYDTVKQTGNYFYWNDDNGGDSVPASILNLWRSNNKGTGVFIPRIVSSRSKNKPRRKGNNKGIVYKDVGS >cds-PLY94433.1 pep primary_assembly:Lsat_Salinas_v7:6:9222800:9223222:1 gene:gene-LSAT_6X6301 transcript:rna-gnl|WGS:NBSK|LSAT_6X6301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQHRRVSRPSKKKGKYSNGYGGQLVLDAFHFKDSPCREDNVLGVLNVHGGPEVVKRYGAFSASCNSNHINKAFFFSNSLVHSIESVEDKSEYEKDKVEIAIIQGVVVACHGVEGIVESSSLFHQRVDDIDEDSRIAHVI >cds-PLY79201.1 pep primary_assembly:Lsat_Salinas_v7:5:126210336:126213743:1 gene:gene-LSAT_5X54460 transcript:rna-gnl|WGS:NBSK|LSAT_5X54460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSTMANISQGSNLSCGHEDNMLNRQVVKSQTATIKIPQLEFEIPPEAQRGSLSTVEGILLRASKELQALQEERKVTXFKKEKGTVEPHGSVGAVAGRQAIAQGSTVEFVEALFRYTAPEEFVVAAKEHVVGRYNTGPKLGGNDLDVHGLFNENVQICWAFSIYLEAVAILPQLVLLQRRGNVDNLTGQYVFFRGLYEEGHLRLYSSPGWQWDKICNFPD >cds-PLY85376.1 pep primary_assembly:Lsat_Salinas_v7:5:244389627:244391348:1 gene:gene-LSAT_5X121040 transcript:rna-gnl|WGS:NBSK|LSAT_5X121040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METIGMQWVLSSKEINFPYPLGLTLLHMVFSSVICFVLTKVTKIMEVQKGMTLEIYTTSVFPIGAMFAVTLWLGNTAYLYISVSFAQMLKAIMPVVVFALGVAVGLEAMSCSMLLIMSVISFGVLVASYGEININWVGVVYQMGGVVGEALRLIFMEILVKRKGVRLNPISMMFYVSPCRQNFGSLCSAVCLFVPWIFLEMPKMESWSFRPLVLAFNSLSTFALNLSVFLVIQHTSALTIRVAGVVKDWVVVLLSAILFADTKLSMINLFGYAIAIGGVAAYNNHKLKKEACDETSAGSKLSTTRTISPPTSASV >cds-PLY61938.1 pep primary_assembly:Lsat_Salinas_v7:5:159426238:159427386:-1 gene:gene-LSAT_5X69340 transcript:rna-gnl|WGS:NBSK|LSAT_5X69340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGWVEWFRRIDSGDIAEFHFDRIDWIKISGLPPELWSEENFIAIDMTYGQVVVPFVVDKIESNLSFGKVGILTSSLKNIYCESLVEVNGKIIKIRISEVDLDWVPFKSHKYQLDENSSSDDDRNDEEGGDDKDGISETTISKNNNELEEGEIGTMDVHMVMESNREYSTSPADKARSPVAASFSADTSTDKDDRRIERDILGEHQGLDGGTNVQLEVETLGCKENINATNHADLDNNTYAADVVNKSVGPGGSPNGLLNKLAKSDYFGPFPNIVDFNDMPTCGPDVNFDSAQDKRRRLIRKDTDTPIDSSDSTSPHSPLDLKRLSIPTQVPLPEIEVDSPSSSSKIRNAVDVGNILGLEVDVDNPILKEILGEDGENQITR >cds-PLY62581.1 pep primary_assembly:Lsat_Salinas_v7:9:75741545:75744475:-1 gene:gene-LSAT_9X61180 transcript:rna-gnl|WGS:NBSK|LSAT_9X61180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPASFLQFVTEAITGPFLDPPDVKCLKDGLKVKHLMVSVLVIVIGGRELWEGHQCTNGLFRKRLWGGMFGEVYKREMSEENSRAEVEEIFKEACWGLVLSVYRF >cds-PLY91098.1 pep primary_assembly:Lsat_Salinas_v7:MU039484.1:453697:454008:-1 gene:gene-LSAT_0X6120 transcript:rna-gnl|WGS:NBSK|LSAT_0X6120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALHGHYQDKICHREIDLIEVLPPPMSRRCMWELARLLLVKGGNVGSSRPVSPSQPTVVVVSLVRSPVAIPYGLLAGVQAGRINAPTQKRQTWCMVPSSNEVT >cds-PLY96987.1 pep primary_assembly:Lsat_Salinas_v7:2:35492585:35493477:1 gene:gene-LSAT_2X16481 transcript:rna-gnl|WGS:NBSK|LSAT_2X16481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENKNNSGKYHECESLAKTTWSRYEDKLFETALVDVPENIEERWQKIADAVPGKTVEEAKVRYAELLHDLDEIESGRFELPKYPEDYDVIKESFVSSDSEFRSRKVEQRKKGTSWTEEEHRKFLEGLKEYGKGDWRSISRNCVITRTPTQVASHAQKYFLRQSNSQTKERKRASIHDITTTDTTTMVVQPPQPTNMYGGQGGAPPQIGYEYQNNFGFPN >cds-PLY86258.1 pep primary_assembly:Lsat_Salinas_v7:8:55419119:55422031:1 gene:gene-LSAT_8X41281 transcript:rna-gnl|WGS:NBSK|LSAT_8X41281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSRETPLDLNTLPDDFIWDHSKQLLGDTSSAHVSGSTVSRRSRNRRKDERTKVYECRFCSLKFGKSQALGGHMNRHRQERETETLNQARQLVFSTDNLLPQPLHEVGGQSGVNGGYHYPSGFTIGSIVYPTRLFSGTSTTMLHQLPQSYQHVYSSLPSRLNITYSSEHYNSQLINDYFADQYVSTNRHSASKF >cds-PLY88434.1 pep primary_assembly:Lsat_Salinas_v7:8:88240924:88242911:1 gene:gene-LSAT_8X61340 transcript:rna-gnl|WGS:NBSK|LSAT_8X61340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYHSTFIDEEGIVKACGCPLLPLKSHIRGPAPVSDQATPDIIDEAITFFRANVFFKNFDIQSPADKLLVYLTFYINVALKRLEGCRTLAEGTKAVINLGLEDVPVPGEPGFPFPGLFPLPPSQDEAELLRNYLKQIREETSGRLLSVAYRPNGTPNKWWLAFAKRKFINTIAL >cds-PLY86098.1 pep primary_assembly:Lsat_Salinas_v7:7:139545740:139546267:-1 gene:gene-LSAT_7X83180 transcript:rna-gnl|WGS:NBSK|LSAT_7X83180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELAMDLSTEIERMKIQMIQTQEAMKTSRKLLYAELCLYLGLGKEELRRKWERMEEDDKWVLAEEFVSDWSSNFHPLSAKSVKQLVDQHLFQDGNDHDNGNNKDNNSSQDSSSSSLLFSGLKKLMGFPDDK >cds-PLY70239.1 pep primary_assembly:Lsat_Salinas_v7:9:1619113:1621807:1 gene:gene-LSAT_9X4061 transcript:rna-gnl|WGS:NBSK|LSAT_9X4061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVAQIENMGTLSSDLFYDILRRLDGATLASASCACAAFSSMSKEERLWEDVCSSMWPSTKRDDVKNLILSIGGFKKFYGDCFPLIVNKEVPEFRWSDYPEYTEELTEAEYYGDFDEFENVSPSDFVSMVDIKYKDKIICSKVIWGIPNANGFDGWFSNCPFRIDLFDFTGQGDDHTGGVTLSVTDGLPVVTSMERERKDGKLWKQLCDGIRLSWIVVNTKVKQAANLSSWSPLTGQRHWPTDKDFMLRFGSILPAKDILPCQMVECILAMKFQVTGGAHTTLKLTELCMQLEDMEGAHVNGRNSLLVLKQGLRCDRSKNYSLALESCYLYSKAQSEIKEEKLRYESWLDRLWIFGGITTFFAFLCCYFM >cds-PLY96902.1 pep primary_assembly:Lsat_Salinas_v7:4:285351792:285354169:-1 gene:gene-LSAT_4X145080 transcript:rna-gnl|WGS:NBSK|LSAT_4X145080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSDHSGCGGDDVNNLNTNHHNLNRASRRSTTLSSSSSSTTSSNHSPFSAPLSVNQIRSPNATRTMEEVWKDINLSTTNHPADGNKGYRGFILQDFLAKPFSNNDTPTTLSSPGYGSPSPPPPPPPPVSQPSMLLNLNSGPDQLNFLVDGPTRTVCPLDVPFDHVMGSSNSSSGLLGQSIGGMRMLPETDRIGGGERRHKRMIKNRESAARSRARKQAYMNELENEVERLKEENAKLKRQQQQLQVATAAQMTKKGGLQRTSTAPF >cds-PLY72055.1 pep primary_assembly:Lsat_Salinas_v7:2:204883373:204885436:1 gene:gene-LSAT_2X125021 transcript:rna-gnl|WGS:NBSK|LSAT_2X125021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSLCPTTLSSPFHSKTLKLNSYPQNANHRPFSLLPLSAVASRSGYETERGFDFEIGDTFFRHESATGRDLGVLAAALYKKKNDNLRVLDAMCGCGIRSLRYLSEAQADFVLANDANEDCNGVIVSNLSTVSSEEEGRWKVIHSVANRVLAERYVERDYFDFIDVDSFGSDSSFFRCAFDVVKLGGLLYVTSTDGFSSGGHRPNHSLAAYGAYIRPLPYSNEIGLRMLIGGAVREASVLGYHVSPLFSYYSYHGPVFRVLLRVNRGKPPHGMHYGFICYCDCCGNSQAISWDKLGQIKCPCGSDVPDSLVVCGPLWTGPLHCAEFLAEMLSLAGEWGWVGNDTINDLDKLLKRMIDESNPDLSFGFIKLDEIASRAKVNSPPIVTVMNAIHQEGYGVSRSHISNNAIKTNCPMSECIRIVKGLQQQQL >cds-PLY97170.1 pep primary_assembly:Lsat_Salinas_v7:2:205925097:205925351:1 gene:gene-LSAT_2X126701 transcript:rna-gnl|WGS:NBSK|LSAT_2X126701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKPGTMNNTWTENRIFSTNVDAGRAYDTAVFNLRGSSTRLNIPDSIGDDGDLQDLSSDSIRKKATEAGGTVEDVCVGGGEDI >cds-PLY76686.1 pep primary_assembly:Lsat_Salinas_v7:3:141008079:141009272:1 gene:gene-LSAT_3X93761 transcript:rna-gnl|WGS:NBSK|LSAT_3X93761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEEFPGSIGTSASLALRLGQTLFSVASLLFMCVGVQFYAYTSFCFLVTIKGLMIPWSLTLAMVDAFSVFVKRPSRQVQMVAVIVIGDWILSFLSLAAACSTASVSDFMVTEAGDSFCGGKLCNRYQLSAAMACLSWSLSLASALFNLWLLPSLCSNF >cds-PLY64108.1 pep primary_assembly:Lsat_Salinas_v7:1:2178439:2180148:1 gene:gene-LSAT_1X1841 transcript:rna-gnl|WGS:NBSK|LSAT_1X1841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPTASQLAKQNQERLMDHSRVQKSGNNSINVEGQAAKRQKLKGGLLCKVTDTKQQSKFIHKAPKKEGGMDSKLHITVPRPPDLATAQRAQRSQRIRAILEMRMWLQELMGLEPFHSIEKYHKSLDFPLRQKVNKKIVTGQWMLMKDTKL >cds-PLY75964.1 pep primary_assembly:Lsat_Salinas_v7:5:247297899:247300153:1 gene:gene-LSAT_5X124360 transcript:rna-gnl|WGS:NBSK|LSAT_5X124360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSIQGSDSSWVGRKPLRRLGGMSDALSIAADLGFSVAPPPSQEDLQNLSAGGEKGDDLIRVLRELTSVQRKIADLQVELQGRKEDKNVAHLTHVSEMEKKIETLSRITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTASVADFQWTQNFKEPPSVWGEMLRPIPVALASCTRFFEAMTAMRESFATLQKLRLGPSESGMTIMPLGNNDVSQRTTSLDSNFMTPPPWEKESSFDDLGLGSSKRQEQEEIGNNSDLDGMSNRRLSWPLGKATGL >cds-PLY90626.1 pep primary_assembly:Lsat_Salinas_v7:6:51222720:51226267:-1 gene:gene-LSAT_6X36881 transcript:rna-gnl|WGS:NBSK|LSAT_6X36881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSQPSKKGIKNTGGGGGGSSGSGQNLKFARRTSSGRYVTSSKDEIDSSGDSSNYTVHIPASSDDDEASVATKAEQQYVSNSLFTGGFNSVTRAHLLDKVIESAATHPQMASSKGSSCSMPACDGKVMKDDKGVDIIPCECRFRICKDCFLDAQKDNGLCPGCKEPYRSEDDDEPPYGGALTLPGSMSVIKRNNNVDHNKGLFNETSGTYGVGNAYWPPEEGGGMGGGIGDPADKPWRPLTRRIPIPTSIISPYRALIVVRFVVLCLFLTWRVRHPNPDAMWLWLMSIICELWFGFSWILDQIPKLCPVNRSVDIPLLRDKFELPDKVKNPNGRSDLPGIDFFVSTADPEKEPPLTSANTILSILAIEYPVEKVACYISDDGGSLLTFEAMAEACSFADLWVPFCRKHDIEPRNPDTYFSLKGDPTKNKKRNDFVKDRRRVKREYDEFKVRINNLPDSIRRRSDAFNAREEMKILKSVRDSGVDPTEPIKIKRATWMADGTHWPGTWANPSKDHAKGDHPGILQVMLKPPSPDPILGSGDESLVDYSNVDIRLPMFVYMSREKRSGYDHNKKAGAMNALVRSSAVLSNGPFILNLDCDHYVNNCMAVREGICFMMDRGGEDICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGVQGPFYVGTGCMFRRFALYGFDPPKVNILPTNKGTSGNESPSERQALKASDFDPDLDVNELPRRFGNSTLLAASIPVAEFQGRPIADHPAVEYGRPPGVLRDDREPLDATIVAESVSVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSIYWLTKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASKRLKFLQRLAYLNVGIYPFTSIFLLVYCFLPALSLLSGNFIVKNLNATFLIYLLLITLCLIGLAVLEVRWSGVSLEDWWRNEQFWLISGTSSHLAAVVQGLLKVIAGIEISFTLTAKAVEDADDIYAELYLVKWTSLMIPPIVIAMINVLAIVIAFSRTIYSLNPQWGKFIGGAFFSFWVLAHLYPFFKGLMGRRRKTPTIVFVWSGLIAITLSLLWVAINPSAGPADASAGGSGFKFP >cds-PLY63634.1 pep primary_assembly:Lsat_Salinas_v7:4:128414757:128414996:-1 gene:gene-LSAT_4X81000 transcript:rna-gnl|WGS:NBSK|LSAT_4X81000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIRSGIVLTPEEFKSIQFQFGFMLKHGVMFPPEGSIIYQPSAGKITISVVIFEVGYVFLFPTSSIMTCRSMVFLFTI >cds-PLY80950.1 pep primary_assembly:Lsat_Salinas_v7:8:145272180:145275405:-1 gene:gene-LSAT_8X98501 transcript:rna-gnl|WGS:NBSK|LSAT_8X98501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR repeat-containing protein ZIP4 [Source:Projected from Arabidopsis thaliana (AT5G48390) UniProtKB/Swiss-Prot;Acc:B0M1H3] MRITEISSPDLRQTQSQSQDPISDLLCKLEALTTEIERHSPESPLPESVSAALRHGLTQLTSLAPFQNSVKLQIWKLSYRLWNACVDLFNFVRSSSSKITEEHAKLRQVSVDLLFLVIDVSGVPSPYFKCASFFYKTGIIWHELHKYDLASNCFEKATDLTSNVEITNVSDRDERRLILDLNIARSKTAWEVSDRNLAINLLNRSKRALFGTAENYMALANQYTNFGKLLLSKNEVSAVNEALKLMSEALELCEKGLRNVKKPDETLALKELRLKTLRFMAASHLQRDEFENVLKCVRVLRDGEKGGDHPSSSVLAMKAWLGLGRYGEAEKELKGMVVNKGIPEGVWVSAMESYLQAIGTSGAETAMGVFLGFLGRSHVSAAAAIRVVQRVVGDCVNGEGARVRTKVVAEFVSNDKLVALFAGDLAANQRSAMHALLWNCAADYFRSKDYEVSAEMFEKSLLYVPHDIENRSLRAKGYRVLCLCHLGLSHLDRAQEYIDEAAKLEPNVASAFLKFKIYLQNNDHNGAITQIQTMPTCIDFTPEFLSLSAHEAIACRALPVAVNSLSHLLTFFPNGKPMPTTEVIAFRTLITILIQEPGNEPEILKYMKKAHTRFSELGPECFFGTGEVGKREQNWFALNLWNLGLKSGQEKKYELCGELFTMCAEYYGVKIDGQMEGNNSMVCKSMILAVSAMLAGEKESKNTLSDNEVKHAIELLERAGKILMSGSTKTHLDDDQNTTIEPHFYFIYTLSAYDLYTRLNNTESKQLVCIKNYTNSKHFNPNYLLQIALNASEGPRSNPKIATFCLKTCISSLLSSLSPDYQTISLIIRKLITIISVHKGNVDYDDDDGDDDDDGVYGMYKKALRIMVGLKAGEYPVEEGKWLAMTAWNRAALPVRLGQAVEAKKWMEMGLELAGRVAGMDTYKACMEDFVSGVEKKVQSQGHL >cds-PLY75384.1 pep primary_assembly:Lsat_Salinas_v7:6:179422063:179423737:1 gene:gene-LSAT_6X109101 transcript:rna-gnl|WGS:NBSK|LSAT_6X109101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRVFTSPMKSSFRNLFHPRRRTYCSIETKKDILFDPTKQICKIMMSCPKLGVATALDQSGIRPSPEVVEQVLKRFTNAGMLVYQFFDWAGKQRNYEHSIKAYHAMIESLAKIRQYEIMWDLINTMRTKNLLNIETFCIIMRKYARAQKVEEAIYTFNIMEKYNTPPNLSAFNGLLSALCKSRNVRKAQEIFDTMKERFKPDQKTYSILIEGWGKDPNLPKAREIYKEMENDGCTPDIVTYGIMVDILCKTGRVDEAIDIIKDMEYNGCGPTSFIYSILIHTYGIENRIEDAVDTFLEMERNGVHADVAVYNALISAFCKVNKVKNAYRVLNEMECKKVNPNSRTCNILLNHLIDRKESKEAFKVFKRMIKICEPDADTYTMMIKMFCETGDIEMGQKVWKYMKGKQFVPSLHTFSVMINGLCENEDASEACVLMEEMIEKGIRPPRLTFGRLRKLLLDQKRDDVFEFLQQKLDLLVKEPLCD >cds-PLY76665.1 pep primary_assembly:Lsat_Salinas_v7:4:116396187:116399004:1 gene:gene-LSAT_4X74740 transcript:rna-gnl|WGS:NBSK|LSAT_4X74740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATVTSAWAKPGAWALDSEEHEDELKQEQTHANTNDRAAAAAMSDFPDLMTAAATKTKKKKGQALSLGEFVTGSTNKPSGQTYQAAKGLTAEDMMMLPTGPRQRTAEELDRTRLGGGFRSYGGDRNSDSSNSRWGSGRVNGGGGDEGRKSTREPLGPSRADEIDDWGAAKKSTIGGGGGFERRERGGGGGGGFFEGSHSRADESDSWASNKSYTPSEGRRNGGGFDRERRMGFESSGDADTSDNWGRKKEVETRRFGGGGGGGNAFDSLRDRRGGIDSSDSDTWGKKREEVSGGGGGGSMSRPKLNLQPRKLPVGDVVDTVGAVKPKGSNPFGDARPREQVLKEKGEDWKEMDEKLEAMKIKELGSGDRMKRGIGRARSNEDSTERSWRKDQTNDAPPPSGETVENGHTEEPAEEEVGGEEEEDAQK >cds-PLY67919.1 pep primary_assembly:Lsat_Salinas_v7:5:299740728:299741813:1 gene:gene-LSAT_5X160900 transcript:rna-gnl|WGS:NBSK|LSAT_5X160900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALTFDKYKGRLQMLPKERGWITGNLYMYQGFWHQSMRIISIETVMALQDTFKAHPTDIYLATLPKSGTTWLKALVFAIVNRNRYKNNSLSTHPLLISNPHNCLPFIETEIYRHTPTYAKAHSPRLFATHIPYISLPQSILQSNCRLIYLCRNPKDVLVSMFHFANKLRDKSSSLLTFEEAFDSFSNGVMPIGPYWDHVKGYHKVSSEHPGKVLFLTYEDMKTDTLNHVKRVAKFLGYPFTEKEETEGAVQEIIRLCSFENLSEVNKHGNLREGIPNDAFFRKGEVGDWTNHLTNEMSQTLDRITREKFHGLDISFN >cds-PLY92710.1 pep primary_assembly:Lsat_Salinas_v7:7:5182139:5183933:-1 gene:gene-LSAT_7X4660 transcript:rna-gnl|WGS:NBSK|LSAT_7X4660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMKFLSSNEGLCHLNNQQKKDEAYFQTLLRTVEETRGLYYSYETYITLNLHRRYKMADGLMSKPIWKQPMVVKCHFHDLMQRYGDTIVVDLTDQVDQGDEIDLEYSGTNALKADIGRYGKQTIRLNQRWDECPYKIFFK >cds-PLY93197.1 pep primary_assembly:Lsat_Salinas_v7:8:109416655:109417077:-1 gene:gene-LSAT_8X75080 transcript:rna-gnl|WGS:NBSK|LSAT_8X75080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLRVVIFVYGVWSSKFELTSCFYETKASTSGTKKPKRPKLPKKTYLPDTDSDDESFEFNFLDFSEETFKAPSKLCDDPFLNLLCDENILMRSIYGMVDDGDIPSGQQNEHAHLNEDDEDIGVEYRLHDPNVEWKEMSPD >cds-PLY98823.1 pep primary_assembly:Lsat_Salinas_v7:7:25786604:25787335:1 gene:gene-LSAT_7X19840 transcript:rna-gnl|WGS:NBSK|LSAT_7X19840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNLIIPFLFIFFLFTITIATRLDPQSDKHNSNNKKGGRDDSSGGFFGPGTGPGFNIPGMGNNWPGNGVGGGYGSGYGGPKGGHSKSGVVSPTVVCKDKGPCYKKKLTCPAKCFTAYSRSGKGYGGGGGGGGCTMDCKKCVAYC >cds-PLY93405.1 pep primary_assembly:Lsat_Salinas_v7:9:63158349:63159059:-1 gene:gene-LSAT_9X54780 transcript:rna-gnl|WGS:NBSK|LSAT_9X54780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDALLSESIPLVRILTSNCSTTAVLNAAAESEVMLASLNPKKKAGRKKFRETRHPVYRGVRMRDNGKWVCELREPNNKLRVWLGTHPTAVMAARAHDVAAFAFRGRRACLNFADPVWRLPVPKSSSIVDIQKAAAEAAEAFRYTEDAVEILETVELPEILFYVDEDDVFEMPEFFASMAEGLMVAPPQTVGYGDYGEDVEFCADESLWSF >cds-PLY69000.1 pep primary_assembly:Lsat_Salinas_v7:9:139513625:139514572:1 gene:gene-LSAT_9X88941 transcript:rna-gnl|WGS:NBSK|LSAT_9X88941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFLGRCNQLLQQLTVAATIGFRFYSSDLEFEIKKITKIINDHPFPDQPIHPKLSQIIPSTTISTSFVENVLGHLFASHSNGLKAFEFFKFSLQFSQFCPTSDAFEKTLHILTRMRNFNKAWELIEEIHKTHPSLLTLKSMSIMLSIIAKFQSFEETLEAFQKMEDKFSDHKQFGTEEFNLLLRAFCTQRQMKEAKSVFNKLYSRFSPTTKTMNILLLGFKESGDVTSVELFYHEMIQRGFKPNIVTYNIIIDSYCKRGRFLDGLKLLEEIEQANYLPTLKTLTTLIHGAGIAHNTTYAQQLLDEMSKRNFDKPN >cds-PLY97972.1 pep primary_assembly:Lsat_Salinas_v7:3:19130196:19135261:1 gene:gene-LSAT_3X14860 transcript:rna-gnl|WGS:NBSK|LSAT_3X14860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIADSGALMDNESLCLPCTPEEERRIVKELTDKAELCLKEGNLYYVVSNRWFTKWQKYVGEETGAYQLEELSNDKQASASSKVGERPGPIDNTDIIANEGGHDGNDLQLPRTLVEREDYVLVPQGVWDKLHGWYKGGPALPRKMISVGTRETYAVEVFPLALTLIDSRDKSEIIIHITKKASLRELHKQVSALKGVESEKIHMWDYFNNVKQAALVYSNQTLEEANLQMDQSILLDVQVDGFIPSGCGMDSTGNELALVPMEPQRSTRTIAGGPSLSNGYATDYGSSLYQGVTLSSIDMEDVHDSVKTGPKRDRGLAGLQNLGNTCFMNSALQCLVHTPPLVDYFLQDYTEEINKQNPLGMHGELAVAFGELLRKLWSSGRTAVPPRAFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYFETKDSDGRADEEVANEFWSYHKARNDSIVVDVCQGQYKSTLVCPVCDKISITFDPFMYLSLPLPSTATRSMTVTVFYGDGSSLPMPFTVTVSKHGCCKDLFQALCTACCLESDETLILAEVYDHGIYKLLENGDSLHTVKDEEFIVAYRLSKKQSEFPKLEICHRYLENSKAGERKKFLTPLITYLEGAKTGADIEVAVNRVLAPLKRKAFSSTSSSSSNGQKVNGSASEPMEESTSDSLMEDNKDFDEKCNGELSYLLCISDERGMSGRPLLKDTVIKPNKMVKVLLDWTEKEHDLYDANYLKDLPTVNKPGVSVKKTKQESISLFSCLDAFLKEEPLGPDDMWYCPGCKEHRQATKKLDLWRLPDIIVFHLKRFSYSRFLKNKLDTFVNFPIHNLDLSKYVKSEETSASGGSNIYELYAISNHYGGLGGGHYSAYAKLVEEDRWYHFDDAHVTAVSEGDIRTSAAYLLFYQRVKTTAKTKTKPNNGNGNGSVSVSVGESSSHSHSLEEDF >cds-PLY89632.1 pep primary_assembly:Lsat_Salinas_v7:8:220453400:220455535:1 gene:gene-LSAT_8X133941 transcript:rna-gnl|WGS:NBSK|LSAT_8X133941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKQEWGFKENHELHAAASFTIRNILEAIMGNIDETATGKPMIHLGHGDPSVYPCFKPSPVLEEALVQSIQSTQFNGYPAGVGIIPARRAIAEYLTRDLPYELTADDVFLTAGANHAIEVVLTFLSRPGANILFPRPNYPIYEARARFSPLEVRHFDLLPEKGWEVDLDGVKALADENTVAIVLINPGNPCGNVFTIDHMRKIAELARELRILVIADEVYAHQVFGQKPFIPMGVFGDIAPVVTLGSLSKRWIIPGWRFGWIAITDPNGILRKTGLAETIKSTLVIAADPPTVIQGAIPYIMKNTPDSFFLNINKILKGASDMFYERLKEIPFVACPHKPEGSMFAMVKLKLEAFADVADDTDFCMKLAKEESMILFPGYAVGMKNWVRVSFAAEPNVLQDAIVRLKAFCLRHAKQQ >cds-PLY64270.1 pep primary_assembly:Lsat_Salinas_v7:7:4165793:4169900:1 gene:gene-LSAT_7X3841 transcript:rna-gnl|WGS:NBSK|LSAT_7X3841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLNDVDEAFFRPLRREAFSKLDSASQSLIPDSDRLSIVSAICHYGKVFEQASRDPGVAFHKQGDISIEKIKQSKYFKALLQHSKGNTLNESPVDGRTLMDYMHTRILLMISLG >cds-PLY77498.1 pep primary_assembly:Lsat_Salinas_v7:4:49258581:49263738:1 gene:gene-LSAT_4X33260 transcript:rna-gnl|WGS:NBSK|LSAT_4X33260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVKWSQPRGPNGLLPNAGPLLESLDSVRWLKAEERTAELISCIQPNQPSEERRNAVADYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSNSANLKDSWASEVRDMLEIEEKNENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDNLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSNFDWENFCVSLWGPVPISSLPDVTAEPPRKDSGELLLNKVFLDACSSVYAVFPGGQDNQGQTFVSKHFNVIDPLRVSNNLGRSVSKGNFFRIRSAFAFGAKRLARLLDCPQENLVAEVNQFFTNTWERHGSGIRPDAPGCLTSVSESQPLTDISKINSTGKKFKNSVGRESEGEDTRSRNSSRQSESGKPQTTPDVTAAPRAQKGHGNLNRGQKNLISGQLVNDIQRSPFARTRSSPELTDTYNDVSSQGRVNRAPESANPQTAPDTQGVSISNSSYRNRRKNIDASVDSNNGSSLYQHDSSLGLPSMSEQMHQEEQDLVNMMASSGLHGFNGQFPHGLVSPQLNHFFSGIGMTNSEDPMEPVNDNFNSLEINSIDHEHDSWQGQDGNSEIVPIDDKRQSTSSGLSYVPPPRRVGGSGGLTKSQQKYNKEKRGPMRDNNDHSHSHLHSDHLENRVVNDDERTTSSRFSSAAHSNSLKSKTSSESSWDESSTVSKKEKRGKKVIVSAESSSGYVKGKTMFESTSASAHQSEDDDMDSEATDRPQSVSSSMHAPRYELAQTSGNDSIVPIAPMILGHNRQRMMDNSGAMPLTFYPTGPPVPFLTMLPFYNVPSQPSPSDPSTSHFSDDVSIENGDIIHNQSEEFNPDALRGAAPGETPSDILNSDFASHWQNLQFGRYCQSPRQHGPTVYPSPVMVPPVYLQGRVPWDGPGRPLPNMNIVTQLMNYGPRIVPVAAPPPVQSVSRPPNVYQQREDLPRYRSGTGTYLPNPVSVRERHNSGSRRGNYNHDRSENHNEGSWNGNSKSRGSNRNHNRNQMDKSNSFRAERTVNSSYRHDSFATYQTQNGPLHGNSSHGIGMYQNPSANGSSVPSMVMVYSYDNNNTGYGSHSEQLEFGSIGPVGFSGVNEQQSQAQINEGGRERRGAFEENRLYGASLQQQQRPSPDRPSSPHHQRLIHDPGIRCVAGVLTDDDDDDDIG >cds-PLY80911.1 pep primary_assembly:Lsat_Salinas_v7:8:134184829:134185080:-1 gene:gene-LSAT_8X93000 transcript:rna-gnl|WGS:NBSK|LSAT_8X93000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKREGIVPDEEAGDRRWRLAMEEIVGGGKMKNRREGGIVQWRMMEALKENVGQGYSGSFLSQLSSRIYPIQIPSYRVRCPQFD >cds-PLY77791.1 pep primary_assembly:Lsat_Salinas_v7:2:168882756:168883968:1 gene:gene-LSAT_2X92781 transcript:rna-gnl|WGS:NBSK|LSAT_2X92781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVNPLSLILCISLFIASSHSQQLTTTFYQKSCPRFEQIMQDTTTNKQIASPTTAGAALRLFFHDCLVEGCDASVLISSTPFNKAERDADINLSLPGDGFDVVVRAKTALELSCPGVVSCADILAVATRNLVTMMGGPFYNVKLGRRDGVVSRASRAELILPKPTMSMNRIIKIFTSRGFSIQEMVALTGAHTIGFSHCSEFSSDIYNYSRTAQSDPSYNPRYAAGLRNACADYKKNPSLSVFNDIMTPRDFDNSYYKNLPRGLGVLRSDRALTMDARTRPFVELYARDQKKFFEAFGRAIEKLSLYGVKTGRSGQIRRRCDSFN >cds-PLY66051.1 pep primary_assembly:Lsat_Salinas_v7:MU045213.1:464168:464362:-1 gene:gene-LSAT_0X14180 transcript:rna-gnl|WGS:NBSK|LSAT_0X14180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVDVGAALVNDASNDDDGDLQRNSLAVGSLTGRGGDGQSRVATDAGVANGWSQKIVRWGRLVS >cds-PLY76743.1 pep primary_assembly:Lsat_Salinas_v7:7:61560066:61561534:-1 gene:gene-LSAT_7X43741 transcript:rna-gnl|WGS:NBSK|LSAT_7X43741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTETLDEMVSSGILGPELAIQVLVQFDKSMAEALDNQVKTKVSIKGHLHTYRFCDNVWTFILQDALFKYDECQENVGRVKIVACDSKLLVQ >cds-PLY74164.1 pep primary_assembly:Lsat_Salinas_v7:9:11407076:11408028:-1 gene:gene-LSAT_9X8900 transcript:rna-gnl|WGS:NBSK|LSAT_9X8900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGSPCGACKFLRRKCVRGCVFAPYFCHEQGAAHFAAIHRVFGASNVSKLLAHLPVSGRCEAAITIAYEAQARVQDPIYGCVSHIFALQQQVVSLQSQLAFLKEQAAQSLVNGSSCSNYPTNYNRPNGGHPHPSYTTTQDIQTNWLQQSEQSSCINISQFDKNSSTNNLNETMNFNFMGNQHNQNSLLKEEDGSFSSFHEGSSYSIDSLDMQISHNKEWFFQEKTEDLHSIAFGCIQH >cds-PLY96491.1 pep primary_assembly:Lsat_Salinas_v7:5:336046403:336048582:-1 gene:gene-LSAT_5X190100 transcript:rna-gnl|WGS:NBSK|LSAT_5X190100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPDVFLQGEHDIFSSASRFDHTKGDAKSRGFALEKKIEYLESLNDRVSNRRARRWINDRILLELVPRLTGDEIRGLFAPPPWGDEAQPSPFCFTNVGEWDKFRNIDMDKEAGAIEALKGSSSSKKKSRVDADKIAALTAWHRVDCKTRDAFRRSFLPELVNGYEESIRAFVSEAGNEEVLVIYVQDPFHRLLLHGVCEFYNLVSTTETETKGTKVLKMTKIKKKKAGNNELPIISLCQFMKMAKGGFW >cds-PLY83803.1 pep primary_assembly:Lsat_Salinas_v7:3:49785722:49785931:1 gene:gene-LSAT_3X38601 transcript:rna-gnl|WGS:NBSK|LSAT_3X38601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSETSSENMAQSSILNLKANRNMILDLDPAKYDGFLQPIFKCLKYSLPIIALTKTEIVPLVHLSRA >cds-PLY89534.1 pep primary_assembly:Lsat_Salinas_v7:4:158157438:158160521:-1 gene:gene-LSAT_4X95161 transcript:rna-gnl|WGS:NBSK|LSAT_4X95161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKTKTEEASGSKVVIFFFMYVPSISFSYIQFLKIILFPKSGTKTVLFFSVCFCFYLLNQLTVMWKLVEKLMMPKKSKAHVQEKKPTEEVKWSFATGTNLLPNFGAKIKRESKHRLKDFSKELRSFNIVDMSGRNFGDEGLFFLLKSLAYNQVAEEVNFAANGITVEGIKAFDGILQSNISLKVLNLSRNNIGDEGAKVLCDILVDNSGIQKLQLNSTNIGDEGAKAIAELLKKNSTLRTIELNNNLIDYSHCTNMEEEEGGEVQGWIQMKNCVSYAGSLSSVSSGCIYGGPGSIYFNIDCVKVIYKSKVGVRVDDENIVPRPSVSYDDVP >cds-PLY62731.1 pep primary_assembly:Lsat_Salinas_v7:8:48111639:48114388:1 gene:gene-LSAT_8X37061 transcript:rna-gnl|WGS:NBSK|LSAT_8X37061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFASQLLRILQTFPTNVNNEICNFDTDEVKEKNTMKESPKRYTNPFLSDEENEKVNNNNHQEVYTDKNVMELELECELPELLVCYNDGGFHVKDICVDDGIPHDKHDDIINQSLIFSPMEDYYMEESNHVVDIGDNLDISVEDLQNSTPDKDCEDDDDDDDDDAKECGTKEEEDIESIDPNEIKNISKDDNHVISECAPEELKCAESDTVPKGIDNYVPENQQMDLNSVFLDDKDKDKDKDKDEDRPLPSLKSLLESINGVDDKDQHPSQSCVEGNEGEEEVSTSIEGNNTLNLTNGKTVISNGLHDVHERGEGESSFSVAGPVSGRINYSGQIAFSGSISLRSDSSTTSTRSFAFPILQTEWNSSPVRMAKADRRRLQKHRGWRNGLLCCRF >cds-PLY75932.1 pep primary_assembly:Lsat_Salinas_v7:9:198813698:198818065:-1 gene:gene-LSAT_9X122541 transcript:rna-gnl|WGS:NBSK|LSAT_9X122541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKRSSKGGFLQLFDWNAKSRKKLFSNKPDLPESSKQGKENLDNLATSRLQQMKLHESMHGPSLIGNDWDSSMNNDEGCGTKAPGVVARLMGLDSLPTLDASDPGFTPFIDSQSFRHSYNPRLTTEFETEHNFVNYGMRNKLDGFSRNPVEDRLQKLQNRPIERFKTEALPPKSAKSVPISHHRMLSPIKSPGFILSKNAAYIMETASKMIEQSPQSTLGQRSPSFRSSSIPLRIRDLKEKMEAAQRTPKSHTPVSVNSSKSQRKCRTEIVVLKQSVPGSLKNKNKSVIPPPTPLKTDKLEGTTSRNSRIPMKQKEDLEKKQRNTPKKVHIHNNRSTTTGKSKTNEVLTQNNQKQNCASNKDRSSLKPKVPYQNKPKKGVEASRKESLPKTKGISGKKRPTDGDTTNSVMIKEKERSVKCNISIDGSSNWESVDMKNGMDVVSFTFTSPIKKPESESCGQSGMKKRGLSLKFDDQIDLGTSKLPTFGTPMIDSDALSVLLEQKLKELSCLVETSQSDIVNEGSSPNSDRNDNNMQKDKGIIQDDCDKPEWQVHLLKRLKYPFNLIKLEMLHVRSIKNSNLCISEPDRHEIDESGTTTLTSNCITSNSTTTLTSNGNKEYPYTRNMEHLAEEIELQDSATSLPNSNTIFQFTSMTKWSSQWELEYIKKVLTHAELLLDQKGINVNLYDHLETHNNKNMDPYLKVQRKAIFDCVSLCVDGRRERAFNGSYEEWAKWSLQVKKKELLADEIWKEICGWTNMEEMEVDEVVEKDMSSGEGKWLDFEIEALEEGMLLENHLLTALIDEIIVDFLHS >cds-PLY66099.1 pep primary_assembly:Lsat_Salinas_v7:1:109766410:109771785:-1 gene:gene-LSAT_1X89980 transcript:rna-gnl|WGS:NBSK|LSAT_1X89980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 7 [Source:Projected from Arabidopsis thaliana (AT5G17620) UniProtKB/Swiss-Prot;Acc:Q0WTP1] MAAKQMEEIQRKLTLLAYPRANAPSQSLLFAGMERYSLLEWLFFKLLGDKSPFSQQNLHGDAMDRDEETSRIQYLAEIAKFLGITTSVDTEAIQGRGSYEERTEMLRLIVDLVEASIYADNPEWSVDEQVAKDIQLIDSIAEKQAQIFSEECKLFPADVQIQSIYPLPEISDLEKQLATQSSRLSSLQEMVDDLASKHSYNPDEDYAEVDMKLRAHLESFLETARSFNTIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKLLLKFLGNLRNLRDSHAAVAVGSSETISGQPSSVARIISECESALTFLNRDLGILSASIARDEAKKSGSTID >cds-PLY64501.1 pep primary_assembly:Lsat_Salinas_v7:8:124270131:124273445:-1 gene:gene-LSAT_8X85840 transcript:rna-gnl|WGS:NBSK|LSAT_8X85840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQQHQRIRQQQALMQQSLYHPGLLAHPQIEPILSGNLPPGFDSATCRSVYVGNIHPQVTEPLLQEIFSSTGALEGCKLIRKENSSYGFVDYFDRRFAALAIVTLNGRHLFGQPIKVNWAYASSQREDTSGHFNIFVGDLSPEVTDATLFSCFSVYSTCSDARVMWDQKTGRSRGFGFVSFRNQQDAQTAINDLNGKWLGSRQIRCNWAAKGGGSDDKQRSDTKNVVELTNGTSDDVQEKTNEDAPENNPQYTTVYVGNLAPEVTSGDLHCHFHALGAGVIEDVRIQRDKGFGFIRYTSHAEAARAIQLGNARYLYGKPLKCSWGSKPTPPGSSSTPLPPPMVAGNMMDVTAYERQLALSKMAGQMQMGGGASNGAVYDGGYPGIGATQTPMYYQ >cds-PLY62723.1 pep primary_assembly:Lsat_Salinas_v7:6:55711193:55711747:1 gene:gene-LSAT_6X41501 transcript:rna-gnl|WGS:NBSK|LSAT_6X41501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGLQQIGLPVLGIVAAAAVTFYVVSFSVLREKSLNRDWDDDGSENRGFKTSLSSRERRTRRKAQKQSNKP >cds-PLY74182.1 pep primary_assembly:Lsat_Salinas_v7:1:46823676:46827650:1 gene:gene-LSAT_1X40861 transcript:rna-gnl|WGS:NBSK|LSAT_1X40861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHHYPLEEVRVDLESVNLVINPNEDKGLTPVSESVFTKLGVDPLTVNVGSPENSFVVLMDGKLAGGKAAGDFTGKEKRKKSPSAKKPPKPPRQHSSFSLDSADQKLIKELTELAMIKRARIERMKALMQKKASKASSSSNPSLFAMLFTIIFFLVLLFQGMSCQNSHGTLKGSPQMSQSLISIKLQLNPSAYAHDSI >cds-PLY93811.1 pep primary_assembly:Lsat_Salinas_v7:6:143466602:143468703:-1 gene:gene-LSAT_6X86481 transcript:rna-gnl|WGS:NBSK|LSAT_6X86481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELSTGLLILSCIVIYMIWFKTIVRPLKGPRVWPILGSLPGLIQNANRMHDWIADNLRTCGGTYQTCISPVPFLARRQGLVTVTCDPRNLEHVLKVKFDNYPKGPTWQAVFHDLLGDGIFNSDGDTWLFQRKTAALEFTTRTLRQAMARWVSRAIKLRFVPILETAQKEGTPVDLQDLLLRLTFDNICGLAFGKDPQTLSPGLPENSFAAAFDRATEATLQRFILPETIWKLKKWVGLGMEVDLTRSMKHVDEYMTNVINTRKLELLSHTESGTPHDDLLSRFMKKKESYTDAFLQDVALNFILAGRDTSSVALSWFFWLVIKNPRVEQEILNELCSVLMATRGHDTCKWVEEPLVFEEVDQLTYLKAALSETLRLYPSVPEDSKHVIADDVLPDGTVVPAGSSITYSIYSTGRMKFIWGEDVLEFKPERWLSKDGKKFEIKDQYRFVSFNAGPRICLGKDLAYLQMKSIAAAVLLRHQLSVVTGHRVEQKMSLTLFMKYGLKVDLRPRDLTPIVEQIAKLQARG >cds-PLY89951.1 pep primary_assembly:Lsat_Salinas_v7:2:192667328:192668974:1 gene:gene-LSAT_2X114700 transcript:rna-gnl|WGS:NBSK|LSAT_2X114700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNVSYMKLKGSQNLRQQLLLSPLASTSILIDDIHADATWLGLLRHEVSFLRLLETISDDCHVEINETGNPRSEERRIWSTSTDLVVHRSSGMAGAILATSSPLRFLVPVTVDERVRKQKENDNRGYLGCLVCHKTVGENEGVCCPWLAGNHQQTPLVGVFLLHLEDRRKRRGVRGNGRMKICIYL >cds-PLY69504.1 pep primary_assembly:Lsat_Salinas_v7:6:42788867:42790880:-1 gene:gene-LSAT_6X32980 transcript:rna-gnl|WGS:NBSK|LSAT_6X32980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTPMYGSGSSKSLAYSSSTNTWGFSSSGKSLSGKSLTSQSGKKKYVKQVTGKHNDTELHLAAKRGDVMAVKEILDEINEQMLRTMTGAEFDAEVAEIRASVVNEVNELGETALFTAAERGFLEVVKELLPYTTKEGLSLKNRSGFDPLHIASREGHQEIVRILLDHDPDLSKTVGHSNSTPLTSAAVRGHLSIVNELLSRDCSLVNVTRSNGKNALHLAARQGHVEIVSALLAKDPHLARRTDKKGQTALHMAVKGVSCEVVKLLLHADPTIVMLPDKFSNTALHVATRKKRIEIVNELLLLRDTNVNALTRDHKTALDIVSDLHFSEETSEIIETLSRYGAVKANDLNQPRDELRQTVTEIRNNVHNQLEQARKTNRNMSGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNANDGTAVMVHSSSFKVFFIFNAIALFTSLAVVVVQITVVRGEIKSERRVVEVINKMMWLASVCTTVAFISSSYIVVGRHHRWAAAFVTVVGTVTMAVVLGGMTYYVLKSKKQRRHRRKSKASSSCGSCRNWDLSDAEVHPIYAI >cds-PLY79022.1 pep primary_assembly:Lsat_Salinas_v7:3:7263190:7264798:-1 gene:gene-LSAT_3X4640 transcript:rna-gnl|WGS:NBSK|LSAT_3X4640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGMLDFLWEAGRKKAAVDIGKLAFAVALNQMSNTCLSRNLTSYESDDIGGFNTAVKTLMEVDGRFNIADIFPVLKPLDPQDIRRQAKAAYDWFDQVTEGFIRERLKHRQSNKLSRFGDTLDSLLDYSQDHEADFNLTHIKILLVDIFIAGTETNSSTTAWAMTELLLNPHMFSRLREEVSTIVGEDGKIQEAKILDLPYLQAVIKETLRLHLPVPLLVPHKTETEVKLGKYIIPKNTRILVNAWSMARDPMYWENPLTFNPERFFENEQIEYKGQHFKFIPFGSGRRMCPGISFAHRVVSLTVASFVYHFDWKLPHAREEMDMNTVFGLTLLRATPLVAIPLPIKLGT >cds-PLY74993.1 pep primary_assembly:Lsat_Salinas_v7:5:87891680:87896617:1 gene:gene-LSAT_5X41960 transcript:rna-gnl|WGS:NBSK|LSAT_5X41960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAAFKSNSRRSNAYRGVDSPKTEPPNPNPLPQKQRLRRSLSVNAVSRSTQIDISEFLNKRDNPLYWATGGSPADNTQTPTPIDVAGGGAIEIESSGVSKSSNAVVGERGRSVKRNPDLRNGGQKEEIGGRRRSLSRVNDNGSGNGRRGRSVSRAKLPFRASESEVEQESRIPITYGGRSSSIRTSSSNGKLPVNGVKAFEKRSSHPSRHPSFDSQVPNWEDGISTSSLSEAEEKTIKAVYEQMKSFEGDNSRKDTTSTSSEIYETVRSEVRRAIADIQDDLQHAIRRNTTGALVSTDVMDISPKLVKTGAVDLVLDIRREYAKELEESQERARKLRSDLAIEEHRKQELSRILKETLPEPKTSVSHRSRVGRKSSNERKKMSKRLNDEAMSYFDECVSISTFDSSDFSAAEDPSVNSTTTTMGPTTNAIDPKMIHKDPGSGSMADSSCSSQVLETTDAREWDGKFRFSFGQKSTESIEMKHDIKNYIKTFEKDTDLNLKSSRTNCIVDAYEYGQLEVNERLLFDKVLFKTRHASGSLHLCGGVGVPFISFSHLF >cds-PLY98843.1 pep primary_assembly:Lsat_Salinas_v7:7:25813683:25813949:1 gene:gene-LSAT_7X19860 transcript:rna-gnl|WGS:NBSK|LSAT_7X19860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRRNLEMKQIEDKNSIQVTFSKQRSGLNNKSQQLSVLYDVIVIVIVFSSNGKIYEYGSGSTNRSAIVLYYIQLSYSLPFVLLSCMKQ >cds-PLY84000.1 pep primary_assembly:Lsat_Salinas_v7:8:36362975:36364295:1 gene:gene-LSAT_8X28681 transcript:rna-gnl|WGS:NBSK|LSAT_8X28681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHLDYNPHPRILGGRVEELSDLAGEEEQPPTLNPQRAWIHDYSAILYLMYTATLVTIYLPIISLLQLKFQNQQKSPFETSGFFMNLSVVALCIATATSGVLFYINHRLQNSTMEDFSLVHYMILKGVFSFFGILTPVSLVLVLIIPNKLDWIRYVIICVLLGVVAISNFRAFQKLNDMEDEINMEAHQMV >cds-PLY91256.1 pep primary_assembly:Lsat_Salinas_v7:3:74882783:74882944:-1 gene:gene-LSAT_3X57881 transcript:rna-gnl|WGS:NBSK|LSAT_3X57881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQQSFSRNSGLCESNQDISPTEFEILAMEIQVELEQMRQQMQAEMAAMRTEL >cds-PLY99259.1 pep primary_assembly:Lsat_Salinas_v7:6:185334389:185334744:-1 gene:gene-LSAT_6X113541 transcript:rna-gnl|WGS:NBSK|LSAT_6X113541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQEPKPKKQSAPTAKIGSNKKTVSKPVSSSDESEFESESSDDSDSSDEEVSQSEAPKKPAAVAKNGAAAATKKAK >cds-PLY85307.1 pep primary_assembly:Lsat_Salinas_v7:5:326067736:326077303:-1 gene:gene-LSAT_5X180001 transcript:rna-gnl|WGS:NBSK|LSAT_5X180001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSVGVGSLVWVEDPDEAWIDGEVIEVTGENIKIASTSGKTVVAKSSHVYPKDAEAPPCGVDDMTKLAYLHEPGVLANLRSRYDINEIYTYTGSILIAVNPFTRLPHLYDSHMMAQYKGAAFGELSPHPFAVADAAYRVMINEGISQSILVSGESGAGKTESTKQLMRYLAYMGGRASTDGRSVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDEKGRISGAAIRTYLLERSRVCQLSDPERNYHCFYMLCAAPPEDLKRYKVGDPKKFHYLNQSNCYQIDGLDERKEYIATKNAMDVVGIHSEEQDGIFRVVAAILHLGNIEFTKGKEMDSSTPKDEKSWFHLKTAAELFMCDVKSLEDSLCKRVIVTRDETITKWLDPEAAAISRDALAKVVYSRLFDWLVDRINNSIGQDPDSKYIIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFIDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHQRFTKPKLARSDFTICHYAGDVTYQTELFLDKNKDYVIAEHQALLSASSCSFVASLFPTSSDESSKSSKFSSIGTRFKQQLQQLLETLSSTEPHYIRCVKPNNLLKPAIFENHNVLQQLRCGGVLEAIRISCAGYPTRKPFVEFVDRFGILAPEVLDGNNDEVLACKRLLEKVGLEGYQIGKTKVFLRAGQMAELDARRTEVLGRSASIIQRKVRSYIARKSYILLRRSILQIQSICRGQLTRQVYESMRREAASIRIQRNLRMYLARKAYKELHFSAVSIQTGLRGMSARNELRFRRQTKAAIFIQSHCRKFLARLHFVKAKKAAVTIQCSWRGKVARKELKKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKTQENAKLQSALQDMQSQFKETKELLMKERETTKKVVEAVPVIQEVQVVDHELTNKLTSENEKLKDLVSSLEKKIDDTEKKYEETNKLSEERLKQALDAETKIIQLKTAMQSLQEKVSDMASENQILRQKAFSTTSARMADYPQTPEAKSMVNGHFANEETQTPAARNLNNEFDSKAKRPPVDRQHENVDALIECVKKDIGFSQGKPVAAFTIYKCLIQWKSFEAERTSVFDRLIQMIGSAIEDQDNNEHMAYWLSNSSTLLFLLQRSIKSDGANSVRKPPPPTSLFGRMTMGFRSSPSTVNLAAANAALEVVRQVEAKYPALLFKQQLTAYVEKMYGIIRDNLKKELGSFLTLCIQAPRASKGVLRSGRSFSKDSQSNHWQGIIDCLNTLLTTLKENFVPPIIVQKIFTQIFSYINVQLFNRQVYSKFDFLLLRRECCTFSNGEYVKAGLAELELWCAQAKEEYAGSAWDELKHIRQAVGFLVIHQKYRISYDEIIHDLCPILSVQQLYRICTLYWDDNYNTRSVSPDVISSMRVLMTEDSNSAASSSFLLDDNSSIPFSVDDLSSSLQVKEFADVKPALELIENPAFLFLHE >cds-PLY62257.1 pep primary_assembly:Lsat_Salinas_v7:5:164571044:164574514:-1 gene:gene-LSAT_5X72460 transcript:rna-gnl|WGS:NBSK|LSAT_5X72460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVRWCPVIVSVLGLLVFESALFVDGGTTSSFVRKYEKTIDMPVDSDVFTKSPGYNAPQQVHITQGDHVGKAMIVSWVTMEEPGSDTVVYWSEDNSSQNTTKGIITTYTYYDYTFGFIHHCNLTDLEWWLWMRRGLQVAVVDFGTNCLT >cds-PLY66874.1 pep primary_assembly:Lsat_Salinas_v7:7:20645058:20645291:1 gene:gene-LSAT_7X15920 transcript:rna-gnl|WGS:NBSK|LSAT_7X15920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAALRWLINREDRPPSLGFDEAEEAKQPSCWGLLLFDSVTAAVHEKGKYEVSVVLMWKHEVGEGEGRGLGLWPVAK >cds-PLY72843.1 pep primary_assembly:Lsat_Salinas_v7:6:22581304:22583300:1 gene:gene-LSAT_6X17740 transcript:rna-gnl|WGS:NBSK|LSAT_6X17740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTVVYTVQSLNSTCSSISTPTTKTHLGIQQRQVLFYGKKTHRGRMRSGEIRCSGDTIVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKVEGVTALDPRANNFDLMYEQVKALKDGIAVDKPIYNHVSGLLDPPELIKPPKILVIEGLHPMYDQRVRDLLDFSIYLDISDDVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVKLIMKEGVKYFNPVYLFDEGSTISWIPCGRKLTCSYPGIKFAYGPDSYFDNEVSVLEMDGQFDRLDELIYVESHLSNISTKFYGEITQQMLKHSDFPGSNNGTGLFQTIVGLKIRDLFEQLTAAKASAPLEATKA >cds-PLY80019.1 pep primary_assembly:Lsat_Salinas_v7:9:45526946:45528240:1 gene:gene-LSAT_9X41960 transcript:rna-gnl|WGS:NBSK|LSAT_9X41960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVIKVANPRDSGDFFSSHPEKKNDELEGGGGAPPPPSPRLQLLPGMFTSEFSHSQEMSAMVAALTHVVSGQTSGSAGVGVGAGVSPTSFYGGAAALAAGVFSTDSPSSAYSSSSSGSFAGNKRVREQDETTVNQISEQHHRRFNEGREEEIPSAIITTTTTTTTAVNTGIQHNPTTEANQNEVAGERRRKYRGVRQRPWGKWAAEIRDPQKAARVWLGTFDTAEAAARAYDEAALRFRGNKAKLNFPENVTQLPPQQFQPTTTTTTIRQQPPSPPPLQPLYFRPQLQQYQLPDADYWQYSQLLQSPMNSHLQQPYETNLLQQIMFNASTMSSLNSQPFISNSSSSADIDPQFFPNQRLHYDYQQNMDGSTDFQAAPPSSWPCSGQFPPPNP >cds-PLY92613.1 pep primary_assembly:Lsat_Salinas_v7:7:162744929:162746440:-1 gene:gene-LSAT_7X95741 transcript:rna-gnl|WGS:NBSK|LSAT_7X95741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNHFWTRRAVCFLFIMPLIAGINAVVVNVKTKGAKADGKTDDGQVILNAWKEACSGGSPPSSVLIPPGTYLAFPILMGGPCKGPIEIKATGATLKAPPELKIFKTVNTWITFLNIDRLTINGGTFDGQGHDSWRNKECPRCQRPVNIGFSSVRNSLIKNITSVSSKYFHLAIGDSRNIRIENITIHAPENSRNTDGIHIGRINDVNITNSIIKTGDDCISFGDGSKNIRVENVTCGPGHGISVGSLGKYPNERPVQGIWIKNCTLTGTQNGVRIKSWPDSTSTTVSDIHFEDIVMDRVGNPIIIDQEYCPGHTCKKGGPSSRVKISNVSFRRIRGTSTTKVALKLACSAGLPCENIELADINLTYQGPGGGPATSKCDNVKPNVVGQVVPAACSGGSVHPRI >cds-PLY94248.1 pep primary_assembly:Lsat_Salinas_v7:8:70016754:70016993:1 gene:gene-LSAT_8X50161 transcript:rna-gnl|WGS:NBSK|LSAT_8X50161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRGERPSSTTIREGKEVEETSIVCGGEGSCYGGFPWLFSNPTKITNENGRRSGDSQVVLGLFRMTRRGRSRVLVIGNK >cds-PLY62511.1 pep primary_assembly:Lsat_Salinas_v7:1:84302628:84308755:-1 gene:gene-LSAT_1X70301 transcript:rna-gnl|WGS:NBSK|LSAT_1X70301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVNGGLLNPLFQVPSMSDSMSIAIASTNYIVSPSYHRRRPPFCYQFQYQYVNAGNVLFHSKKGTRDRVSLLNRIRAYSESKTEEKEVRKYSPILESQLLSEKNVSTDELKAIPDIWRSSAEKFGDRVALVDPHHDPPTNMTYNQLEEDILNFCEGLRVIGIKPCEKVALFADNSCRWLVADQGVMATGAINVVRGSRSSVEELLHIYTHSESVALVVDNPELYHRIATGFNSKASVRFVILLWGDKSSLNSHSHIMEGIPAYTYKEIIDIGHEHRMLLVDSHDAREKYVYEPIKSDDVAALIYTSGTTGNPKGVMLTHTNLLHQVNNLWDILPAGPGDRFVSMLPPWHAYERAVEYLIFALGIEQVYTNVKYLKDDLRHYQPQYMISVPLVFETLYNGIQKQISTSSAIRKVIALSLIKISLAYMEFKNIYQGECLSRSQKEPSYISATLDWIYARIVAAFLLPLHLLAKKLVYTKIHSSIGISKAGICGGGSLPLHVDKFFEAIGTKVQVGYGLTESSPVIAARQPYLNVLRSVGRPIRDTEIKIVDDETGQDLPHGSKGIVKARGPQIMQGYYKNPEATKQAIDEDGWLNTGDIGWICPSHSLGRSRNSGGIIVLEGRSKDTIVLSTGENVEPEQIEEAAMRSNLIQQIVVIGQDQRRLGAIIVPNKEEILLASKNSSDNGNAQLTKHQMAAILSQDLRKWTSDCSFQVGPILVIEDPFTIDSGLMTATMKIRRNKVVELYKDQIDDLYK >cds-PLY69547.1 pep primary_assembly:Lsat_Salinas_v7:8:82400482:82402478:1 gene:gene-LSAT_8X58860 transcript:rna-gnl|WGS:NBSK|LSAT_8X58860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQHPVNQGATNIFYKTRICDKFLEGNCGNGDSCTFAHGPTDLREPPPNWLELVKDKRGRDLNDEQRIIYQMKICHKFAKTGECSYGEKCNFLHESPAKFKDQITERTTGDSVIKIRTMVECGQPKGSHIIKVSTASSDPNAKFLKNRICSKWETTGMCALGDKCHFAHGIKELNTPVAPMQVHGSIATGSLRLPVTELPPSNSVTVVPLKQGEGSGFAKLRLSNKKINGIYGDWIDDDEEDEQDS >cds-PLY77643.1 pep primary_assembly:Lsat_Salinas_v7:5:175413315:175414286:-1 gene:gene-LSAT_5X78141 transcript:rna-gnl|WGS:NBSK|LSAT_5X78141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANTSFMTRNENHDDASSSNMIKTCDNSNAAPWSDLNHDALFIVMMQLGVVDFLAFSRVCKSWRSVSLSNKKRFMASKPPMLMRISTRGNEKEYCLEDFGGRKFKSIIPHSKRRIYVGLICGYLILYGWATRDFWLVNPITGHELHFPRVPAYIYSGESRVSAILVFSSSTSKCVFFISKRCTCQIWFSLAREGTWNHVSSISNIFDIHAFKGKIYTLHSIDHYSWEVGHLCEMRLNPEPKLTLLEAKDFLKQHFLKPKFVSLGENLTLMETYFRDSYNVHEVDLGKMKWVPFEETRDEYEFFNIDTGPIPAIIRESLVDPQS >cds-PLY73274.1 pep primary_assembly:Lsat_Salinas_v7:8:206107436:206107919:-1 gene:gene-LSAT_0X44301 transcript:rna-gnl|WGS:NBSK|LSAT_0X44301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTSRHKITESVVRNFTHHILSGLAYLHSKKTVHRDIKGANLLDDASGVVKVADFGLAKHLSAHSNVLSLKGSPHWKAPEVFL >cds-PLY86371.1 pep primary_assembly:Lsat_Salinas_v7:8:27288429:27288856:-1 gene:gene-LSAT_8X17581 transcript:rna-gnl|WGS:NBSK|LSAT_8X17581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLREEYKIRNGGIGSGELQDPYIPQDDNAENVNSVNGSVEEYLSTIECMFNKLVEDYHLLDSKLVEAIKRHPLICDFYEWKAKIGIFFNEASIKYGGGSSTNAGSIGPLSQWWSDNAE >cds-PLY88702.1 pep primary_assembly:Lsat_Salinas_v7:5:66730968:66732471:1 gene:gene-LSAT_5X30500 transcript:rna-gnl|WGS:NBSK|LSAT_5X30500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLANLPIYAARVYFFLIILQIPFFRIPCRSGMCTSPIQITSSQLIASEIFPSAAVKGLLYPGAIFNELIYNMSFPSWNNVLHIYNLTDIKVVSAIPDLQRLEVLAGSYFSVAGAFIGLLKPQRMSMFGTLLIVWGLVKEGLLGKQPVNTDPTRSVYVYPTMLIAVLCAFSSVKYNFQKAIAKPAPRPLAKPLKSSKKSKLR >cds-PLY93591.1 pep primary_assembly:Lsat_Salinas_v7:2:174106407:174107105:-1 gene:gene-LSAT_2X96461 transcript:rna-gnl|WGS:NBSK|LSAT_2X96461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMAWNSCALVGEVLSLHHLTELPKLLEAECKYSKNLFYVGGMRVVIWFDLPKDAENFLREEHNWNRWLKWLCMGVFDKPNIKGLTWIQITGVPISLRAEENFTLDANLFGEALQVEGKNWHNLDLSYGTTYILTSSLTCINSMAICTFNNKSYKVGIVEYDYNWHSFFHNIASPQAEEEQEEGEESDDSDSADDLDDDGISDTWVNPNNDDLEDGEINQNMNSKFMNIDGGQ >cds-PLY71002.1 pep primary_assembly:Lsat_Salinas_v7:9:71748079:71748654:-1 gene:gene-LSAT_9X59120 transcript:rna-gnl|WGS:NBSK|LSAT_9X59120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFVEAFYIILQYLMEAGWADNGRIIACTQPRRLVVQIGRRNGSQTWGRSLLYYFNSNVNFYIEEPISDYLQAIVSTVMSIHDKEPTGDILVFLTGQDDIETAVQIWNI >cds-PLY87739.1 pep primary_assembly:Lsat_Salinas_v7:1:5330886:5336432:1 gene:gene-LSAT_1X4321 transcript:rna-gnl|WGS:NBSK|LSAT_1X4321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLGNIQLLLLCLIFTTVLVLTESTQPPFACDSSKPATKSFPFCKTTLPISARVKDLVSRLTLDEKILQLVNTAPAIPRLGIPAYEWWSEALHGVSNSGYGIRFNGTIKSATSFPQVILTAASFDARLWYRIGQAIGLEARAVYNQGQANGMTFWAPNINVFRDPRWGRGQETPGEDPLVSGKYAISYVRGVQGDNFEGGGLKDGHLQASACCKHFTAYDLDHWNGVSRFGYDAKVTKQDLADTYQPPFQSCIQRAGASGIMCAYNRVNGVPNCADENLLSNIARKQWGFKGYITSDCDAVSIIYDVHKYAKTPEDAVADVLKAGMDVDCGFYLKNHTKSAVQKKKVLESDIDRALTNLFTMRMRLGLFNGNPATLPYGSIGPNQVCTKAHQDLALDAARNGIVLLKNSAKLLPLKKTISSLAVIGPNANSAYTLVGNYAGPPCKSIEPLKALQSYVKNTQYHKGCNFVNCTSASINEAVEVAKKADYVVLFMGLDQGEEREDFDRVDLVLPGKQRDLISSVAKAAKKPVILVMICGGPVDISFAKRDPKIGGILWAGYPGESGGIALAEIIFGDHNPGGKLPMTWYPKEFVKIPMTDMRMRPQPSSGYPGRTYRFYTGRKVFRFGYGLSYSKYTYEFVSVTQNKLSLTQISNTGFTLQNSDSVHYTPISDTETESCEKSKFSATIGIQNHGEMEGKHAVLLFVKQDGIENGKARKQLAAFESVKTKGGERVEVEFVINPCEHFRTANEDGLMVIEEGSRYLAVGDQQYEISVSA >cds-PLY74920.1 pep primary_assembly:Lsat_Salinas_v7:3:130772597:130774052:-1 gene:gene-LSAT_3X89061 transcript:rna-gnl|WGS:NBSK|LSAT_3X89061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFVEAFYIILQYLKEARWADNGQIIACTQPRRLAVQELTRIKFLTDGVLLREMMDDPLLSKYSVIMVDEAQERSLSTDILLGPLKKIQRRRPELRLIITSATIEAKSMADFFHNRKRRPQLEGDDNGLQTEPAILSVKGGGFNVQIFYIEEPVSDYLQATVSIVMSIHDKEPMGDILVFLTGQDDIDTAV >cds-PLY80422.1 pep primary_assembly:Lsat_Salinas_v7:4:361426259:361427675:-1 gene:gene-LSAT_4X177741 transcript:rna-gnl|WGS:NBSK|LSAT_4X177741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGREDSDSDAPEEFTAEQAIQKDEEIRSIQIENKARILREVKERRRKWAEKLTPRVKNKNSIQEDTEEIETQEKKGMLPDDIVKLLSANEKKVFSDSEEEKSEKKPRKKKSKHSGMEPIILKEIPPPPCLQKSLEFLKKRKMQVSRSSAVLDNSHRALRLISASGVLNKK >cds-PLY94057.1 pep primary_assembly:Lsat_Salinas_v7:4:170895530:170902492:-1 gene:gene-LSAT_4X100581 transcript:rna-gnl|WGS:NBSK|LSAT_4X100581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLIDAVGEPILTSSVLRLRRSTKRLGAKVKILPSSSVRHTIPIQIYASIKADKYEKGAYMFGRVDLYDFILDHPTISQFHGVSHTILIGLCYKDWCQNPNFDNGCQGHSRNTEYFTAHTTGKDIETPEGFSEKTRWHFARGRDDGLKFPTCNEFVLNCPNITTLALKGFKLHDYKAHMLVKGLQKLKHIDLSTSYSFTGSFLKNRSVNGGGDNLEVMILRDCMHLKEIEVERFMEAVLAGEFKHLKHLVHTAGIMGILKKIGRDGTAGITSRRVICARLVSKDFAPKWDIPNLDIPTSEPELNLPTALREPFM >cds-PLY90426.1 pep primary_assembly:Lsat_Salinas_v7:8:213613990:213614667:1 gene:gene-LSAT_8X133460 transcript:rna-gnl|WGS:NBSK|LSAT_8X133460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVWRILGGIATSLLFSAFESWLVAEHNKRGFEQQWLSITFSKAIFLGNGLVAILAGLFGNLLVGSLAMGPVAPFDAASIFLAIGMAIIISSWTKNYGDSSESKDLMTQFRGAAVAIASDEKIALLGAIQSLIRGFNVHFCVSMDTCFKPKW >cds-PLY63309.1 pep primary_assembly:Lsat_Salinas_v7:3:89803310:89803627:-1 gene:gene-LSAT_3X69241 transcript:rna-gnl|WGS:NBSK|LSAT_3X69241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQKQKQGFKEYQRNMSDEGREVRGCGRCDDGGFQSQDRLRQRIQTTASIINNRLND >cds-PLY97152.1 pep primary_assembly:Lsat_Salinas_v7:3:138370609:138373085:-1 gene:gene-LSAT_3X92820 transcript:rna-gnl|WGS:NBSK|LSAT_3X92820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSELVNRLREFLSTSDLNTTTTASVRRQLEQDFGIDLRDKKAFIREQVDLYLESQEQNEEDKEGNDEEEQVEVEETEDEEPSTGKNGGSRKKGSKKENTEVKKKGGGFTKLCTLSPQLQKFIGVPELARTEVVKQMWGYIREHDLQDPANRKNIRCDEALRELFEVDTIDMFQMNKALAKHIWPLNSDGASVSSTPKEKPKKKEREEEALVKFLGTGENALSRSDVVKRIWDYIKKNNLQDPSDKRRILCDENLKELFDVDTFIGFGVSKLLATHFIKGER >cds-PLY94717.1 pep primary_assembly:Lsat_Salinas_v7:1:200808385:200810417:-1 gene:gene-LSAT_1X125141 transcript:rna-gnl|WGS:NBSK|LSAT_1X125141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLHVSFIKHDGTPKMNPDLHTCVPCGLSDESHGLMSGVAVSDALTGTELLDSCAAIKDLHSSGFEIEVAEKQEIAKLGYDIAVIYEIVNLEVKIYASELYTEASRTPASCWSFTTARSAGCSALPVAAVPPSGPNSNPLALFPQFQALRAMVQANPQILQVFHKYKS >cds-PLY70132.1 pep primary_assembly:Lsat_Salinas_v7:3:12270623:12272178:-1 gene:gene-LSAT_3X11541 transcript:rna-gnl|WGS:NBSK|LSAT_3X11541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVLDKFIKKLLAAKVGSVPKQFPFSESEIRKLCLASREVFLSQPNLLELKAPIKICGDIHGQYADLLQLFDHGGHPPNSNYLFLGDYVDRGKHSLETICLLLAYKIKYKDNVFLLRGNHECASINRIYGFYDECKRRINVRVWKMFSECFKCLPVAAVVEEKILCMHGGLSPELKKLDQIRGIPRPIDVPEQGLLCDLLWADPDKDVKGWGPNERGVSYTFGADKLTEFLHKHDLDLVCRAHQVVEDGYEFFADRQLVTLFSAPNYCNEFDNDGAMMNVDEKLTCSFQIIKASLKKGRVGFGKFKLPPATPPHKVKTAP >cds-PLY71217.1 pep primary_assembly:Lsat_Salinas_v7:6:18689482:18689700:1 gene:gene-LSAT_6X14340 transcript:rna-gnl|WGS:NBSK|LSAT_6X14340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSHPPADELMYPVLCGALYFPESPAMCNPIPTAENASLQPENKEPKEDPEEEIDEDNYEEEMEEDSKDYTD >cds-PLY78954.1 pep primary_assembly:Lsat_Salinas_v7:3:70666517:70667014:1 gene:gene-LSAT_3X55241 transcript:rna-gnl|WGS:NBSK|LSAT_3X55241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVCVQENGVCANDKEKKETQGKKKRKSKKRGKHGKKNKDTPLQDSSEANLKQNNEEIECELNEKNPQNDGKQVWIKAQIKKMLNMPKGIEYLSEEKQQKTKLSSSAVSKLMNGQRSKLRSFKKKKGVSVDKDQKKQKTRGRHDKMQRGASRKGKLQIDWIRRKL >cds-PLY77051.1 pep primary_assembly:Lsat_Salinas_v7:MU042657.1:72644:73340:1 gene:gene-LSAT_0X31960 transcript:rna-gnl|WGS:NBSK|LSAT_0X31960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAADMIPMIARGSALVLQVRFFVLISFFMYLYAVGFVTVVIALGQKPYSTTAVSSAYGYGNPSKKIDIPNRRVGVIIGKGAETIKYLQMQSGAKIQVTRDIDSDPHLLT >cds-PLY76525.1 pep primary_assembly:Lsat_Salinas_v7:5:12700431:12701097:-1 gene:gene-LSAT_5X7041 transcript:rna-gnl|WGS:NBSK|LSAT_5X7041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEDIAQVKVGVSIKELWKAMSSDIRHIVPKVIPDIVEEAELLEGDGGHGSIFIFKFRPDVKVRYQKEKIVEFDESLHHIALEIVEGGPLDHGFSSYMYGFKFTEVGEGETLMEVKLVYEKPDHIHVPGETLKPTLHYIKCLEKYLLNGP >cds-PLY77819.1 pep primary_assembly:Lsat_Salinas_v7:8:13527644:13529472:-1 gene:gene-LSAT_8X10000 transcript:rna-gnl|WGS:NBSK|LSAT_8X10000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATISSHFRLFLCLLTTFFTFSFAIRPKHFNISTVATHWSTASATWYGSPDGAGSDGGSCGYGPAVSQAPFSSMVTGIGPSLYNAGKECGACYMVKCTKHPACSHKPARVVITDFCPGGKCAAGHAHFDLSGTAFGAMAKPGQEKHLRDAGDLQIRFARVECDYSRTNIVFHVDQGSNPNYFAMVVEFEEGDGDLGGVSLKEENSTKWLKMVQSWGAVWKIDPGRELHSPFSIKLVSQYSERILVAKNVIPSGWKPGSMYRSVVNYL >cds-PLY72438.1 pep primary_assembly:Lsat_Salinas_v7:2:142671112:142674021:-1 gene:gene-LSAT_2X70341 transcript:rna-gnl|WGS:NBSK|LSAT_2X70341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQKATGGGHSWWWASHIRTKQSKWLELNLQDMDMKVDHVLNLIQNDGDSFARRAEMYYRHRPDVISFIEETLRAYRALAERYDKLSGDLQKANTTIASICPEKVDLSLDEDDDDFNYDSPKTPKLNRQNRIKIPKSPKPVNKDVNCVLDNASKTIDATKTSEEKENQSPPKSGLTKDDALEEIDNLQKQILEMETLKDSMKNYYENSLAEYWDAETQIIENQQRICRLEDEYEICKKIEEDNKGTLMAEEALKSCQETLAMLQDHQKKLRYDVKLEHQRFDQAKQKLKSIKQKYNSESDLEQEQEHEQEIRNVSNQSLEGVSKNPEKITELADTIDTLVNMVITLESSISSQTVLADMLRKEADDLQSQIRKMEDDMQTQAQKFENDLQTQTQKMEDELQTQIQKMEDEFQTQIQKIEDELPKESDHTCVCNETVVESKSEEKNIDISWQSMLLNGIEDKDKIILEEYITILRHYKDTKKKLSEEQKINQGLKQKLRLVQENIPHEDESKTTIDEEAQAFSAMDMILDENVNFWLKFSTAFHEVHKFKTQIEDLNDEIKKVKSRSDIKLIYKNLKDIKSKLTIWLEESTLLKDELRMRFTYLSNIEEGIKFSSHEEAAKFQGEIMKKKQEHNEISKELEASLDHVVAIKLELEKNLERVEKEFGLLKNPNQQQKGKLSSSKSIIPLKSFLFGVKSKTQKSSFFSCMSSPKKSRSKKGGCMSI >cds-PLY67041.1 pep primary_assembly:Lsat_Salinas_v7:5:283719288:283722096:-1 gene:gene-LSAT_5X150700 transcript:rna-gnl|WGS:NBSK|LSAT_5X150700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKITIFIVPSFKAASTAAISPPPHRRPFSDLRLQTSASAPATVFAFSDLLIGKMSLRSMLADAAIRGVNEARAKIFGHVLNTTGQRSAHKILRKKFIGEKVASWYPNDIQKEDPMVVARKEQERLSKLEMLKRRGKGPPKKGQGKRAAKRSK >cds-PLY72447.1 pep primary_assembly:Lsat_Salinas_v7:2:142794263:142796914:1 gene:gene-LSAT_2X70580 transcript:rna-gnl|WGS:NBSK|LSAT_2X70580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:Projected from Arabidopsis thaliana (AT5G10480) UniProtKB/TrEMBL;Acc:F4KGW0] MAGVLSLLRRFYLIAYNWIVFAGWQFQVLFLALKTLKESGHEQVYSNIEKPLLLAQSAAFLEILHGVVGLVRSPISATLPQISSRLYVVWGILYSFPEVQTHPIVSSLVISWSITEIIRYSFFGTKEAFGSTPYWLLWLRYSTFLVLYPTGISSEIAMIYNALPYMKESEKYSIRMPNKWNFSFDYFYFAFVVFAIYLPGIPHLYGYMLAQRKKTLSKSKKA >cds-PLY72677.1 pep primary_assembly:Lsat_Salinas_v7:6:28454379:28454712:1 gene:gene-LSAT_6X22281 transcript:rna-gnl|WGS:NBSK|LSAT_6X22281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKINKDFRKGCKCKKSKCVKLYCDCFAGEFYCGEDCSCTECFNRVEYEDTVEVAREKIKVRDPLAFDPKIHPVVQSTRSHNV >cds-PLY71473.1 pep primary_assembly:Lsat_Salinas_v7:7:190180304:190182485:-1 gene:gene-LSAT_7X116000 transcript:rna-gnl|WGS:NBSK|LSAT_7X116000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein At-B [Source:Projected from Arabidopsis thaliana (AT1G55590) UniProtKB/Swiss-Prot;Acc:Q9ZWC6] MAHKRSTSTAVAGSASATVGGDSLLERIPENLLNEIFLKLEPETLSSLSSLACVSRTLQSSVNNVLSSFSSVDLSAFSLDPQTFDGIRRNLGNIQKITLDCLRLSDSSIRNLLGPDVEELILLKCSSLSCRFLSSIGRTCPNLRVLTLEFSGFIDKSSVFDLNFRGSLENCRSLESLKIKIRGGEINDYSFMLLGIYHLLPKTIKILKLQPSISLDTLVFFRTIPIPHTTFSQTLTQISLVLDTITDLLLHTITHSLPLLTDLDLKDQPSSDTSDDLSDLGIQSLIHCKNLTHLSLIRIRHHSSTSFKRTTDMGFFLLSEGCKQLESVRLSGFSKVSDAGFTSILNSCSNLKKFEIQNTHLLTDLTFQDVSKVPRVLEEVKLVSCNSITSEGVHEIASCSGLKILDLFGCKSVADSCLGNVSKLRLLTSLNLSGADVTDSGMVILGKIHAPITFLSLRGCKRVTDKGIGHLLSNGKIGNNLTSLDLGHMPGITDYSVGIVVDSCIGLVELCIRNCFHVTNVSMKVLGLKGGIRRVDVYNCSGLTVGCFELLKKPLFRGLQWIGIGKTRVICVGDDGFDEIHRERPWLTICADGCEVGCHDGWQFHKF >cds-PLY87506.1 pep primary_assembly:Lsat_Salinas_v7:8:96251761:96260870:1 gene:gene-LSAT_8X66901 transcript:rna-gnl|WGS:NBSK|LSAT_8X66901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLWQACPPCPVITTSGSNGASEQKLKRSILILKPLLSHYRRYWSAAPRRSWAILKSTSTYPFSKVAIMGVLDLDEAISPKCGLLFPCWTVIVQHVAVEGTTLVK >cds-PLY92497.1 pep primary_assembly:Lsat_Salinas_v7:2:152038104:152039682:-1 gene:gene-LSAT_2X76540 transcript:rna-gnl|WGS:NBSK|LSAT_2X76540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEILQIFQHSPPPHVEFHGHEFNCSMDSGLPSPVITDHFQCSEFAGSPFTNFLSSSVDELSKVTTIIGHNQNLNKRKLEFDDDVEVDSQKQRKPNTKTGKSKPKTDYIHVRARRGEATDNHSLAERARREKIKKRMQFLQDMVPGCNKLTNKAAILDEIISYVQCLQMEVELLTMQLAVSTTTPTLQDDNSLI >cds-PLY78639.1 pep primary_assembly:Lsat_Salinas_v7:9:48090652:48091446:-1 gene:gene-LSAT_9X43641 transcript:rna-gnl|WGS:NBSK|LSAT_9X43641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVDSTGSDSCQGSGRRKVLVHVATNEVVTSYEVLERILLSFGWERYYDDPDLFQFHKRSNVHLISLPKDFNKLKSMHMYDIVVKNRNVFEVRDI >cds-PLY88208.1 pep primary_assembly:Lsat_Salinas_v7:5:122188890:122190751:-1 gene:gene-LSAT_5X53121 transcript:rna-gnl|WGS:NBSK|LSAT_5X53121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDRIFGTRRTRQFQRIFRNGKITLFCLVLTIVVLRGNLGAGKFGTPEQDFKEIRETFYHVRGKRAEPRRVLEELQTTTDQTTTASSTNNYAEFDINKLFVDEEDDVKKNPNEPYSIGPKISDWDEQRGEWLKQNPNFPNFLNANKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRVHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEVEFLWWMDSDAMFTDMAFEVPWERYKDKNLVLHGWNEMVYDQKNWIGLNTGSFLLRNCQWSLDLIDVWAPMGPKGKIREEAGKILTRELKDRPVFEADDQSAMVWLLASQKEKWANKVYLENHYYLHGYWGILVDRYEEMIASYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQMYGFTHKSLASRRVKRTRNDTSNPLEVKDELGLLHPAYKAIKVSSSS >cds-PLY97195.1 pep primary_assembly:Lsat_Salinas_v7:2:154289281:154289655:-1 gene:gene-LSAT_2X76941 transcript:rna-gnl|WGS:NBSK|LSAT_2X76941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSTTIDQFNITEDEVFVPNMKALTASFPIPLKWFPIVGSTAMYNKTTEIITFQMESKKSERLNKKQFAQILKLQSKGLFEEPMNKQVL >cds-PLY66082.1 pep primary_assembly:Lsat_Salinas_v7:2:206762138:206762332:-1 gene:gene-LSAT_2X127020 transcript:rna-gnl|WGS:NBSK|LSAT_2X127020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGIVQWHKKVENERVVLSLKGGRISGEVAPDEGDRRRMLEVGDAGAQVVGGSIEKKYYWRCDD >cds-PLY82874.1 pep primary_assembly:Lsat_Salinas_v7:4:18004165:18004718:-1 gene:gene-LSAT_4X12541 transcript:rna-gnl|WGS:NBSK|LSAT_4X12541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEINTNNHREAAEESVPSMVAIHSQVKKIRQELEKTNHPTVIEQSEMRSVLREFSKSQKHCRSPLGISDRPMSIGRS >cds-PLY73407.1 pep primary_assembly:Lsat_Salinas_v7:8:114647242:114647732:1 gene:gene-LSAT_8X78180 transcript:rna-gnl|WGS:NBSK|LSAT_8X78180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGKKIGSVKNLAKMAKVWSGTESSQSEWLLRDDEKQTDVSSDHCSSPSTTPQTGFFTLYIGEERRRFVVPTSYLTHPLFKMMLEKSSEEFGFSQKNGLVVPCSVNAFQEVVSVVESCNGKCDLSHLVEEFV >cds-PLY92719.1 pep primary_assembly:Lsat_Salinas_v7:7:4962293:4962967:1 gene:gene-LSAT_7X4941 transcript:rna-gnl|WGS:NBSK|LSAT_7X4941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLNPRHSPPGSDEGSTPGFGSGYRSISASGGSTRESTPSVEVNPLPSALLPQSVGGSNSERETATAGAGGEPKKEKNVTSHSKATKRRRAGLSMGKEKVDDGIAATRTPTPAKKQEINLLMDIIEFYNKNRTYPFDDSNDMKMFYKQWIKRRAVYAEEDVMSNKMVELHERFLMNLQKTLSGDDIEDWMDHTDVKIYRLSYRIWGEKDDTQDSSERTVSDN >cds-PLY75968.1 pep primary_assembly:Lsat_Salinas_v7:5:248145161:248146562:-1 gene:gene-LSAT_5X124940 transcript:rna-gnl|WGS:NBSK|LSAT_5X124940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKIPMRFKRITAAFDDDARARLCQSSGSEHSDQNSVTDLSDLVDSFFEAETDDRGKSCCEIKVRDRLEEMEYQEVESFYGTDSETKEMLIDLIGDDEDDRAKRTIRSEVEIVCRNLKITSSEGFKRHLMTLLRQRGFDTGLCKSRWEKTGRHPAGEYEYIDVLFSGNRYIVELSLGSEFTIARPTKTYQSLLEIIPKITVIKPNELKKMIRLMCAAMRASLKTRDMMVSPWRKNGYMQSKWFGSYKRTTNAISSRSTTVAAYGDGEFNGQSFLGFEYSPAAAIVGSGYCRKEVEKMHVVGNLKTLMLNGMS >cds-PLY70286.1 pep primary_assembly:Lsat_Salinas_v7:2:92492810:92496510:1 gene:gene-LSAT_2X39940 transcript:rna-gnl|WGS:NBSK|LSAT_2X39940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYDGSASECHPLLQGGRDEEYKNGSFSSSEMETISSICEVIVQPLPLSSLDVHENKVKESIQSFSTASGSQYPIPNKVAHILVTTAFLEEMMVVKLVTTLLSTRLGTLLLSGFLCISHKWPYIHKFSEISLHKREKILQKWFKNGYISPIRLGLLLIKSICLLVFFSQVGGKTNNPTWEAIGYHVDLNEEQPKSNPKERPLQKGMVELMNEKGHTLVQSLRKKGMDVREDLKEKICRIKCDVVIVGSGCGGGVAAAILAKSGKKVVVLEKGNYFYKTDYSKLEGPSLDQLYESKGVFPTLQGSVMIQAGTGVGGGSAINWSGCIKTPQSVRKEWAEDYNIKLYESNEYTSAMNKVCERIGVTERCAKEGFQNQVLRKGCENLGLKIDFIPQNASENHYCGSCCYGCRSGDKKGTDSTWLVDAVDHGAVIITGCKAKKFILARNPDGTKRRHKCLGVIAEALHQETYKTLIIEAKVTISACGSLLTPPLMKSSGLKNPNIGKNLHLHPVAMAWGYFPENETDLTGTNYEGGILTSVHKPGSDENYILEVTALGPGSFAGLCPWLSGQDIKERMLRYSRTAHVFSLIRDSGPGKVKSAGRISFNFSKYDKENMKKGLRQALRVLIAAGAVEVGTQRSDGQKLKCKGTGKEEIEEFLETVDALPGPMSMVERWSLYCSAHQMGSCRMGKSEKEGAVDENGESWEAEGLFVCDASILPTAVGVNPMITIQSTAYCLAERIANGFR >cds-PLY69248.1 pep primary_assembly:Lsat_Salinas_v7:2:128656488:128658069:1 gene:gene-LSAT_2X60380 transcript:rna-gnl|WGS:NBSK|LSAT_2X60380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTFSILIVVGVLLAGILHISAQNCGCSPDLCCSQFGFCGSDEAYCGAGCQEGPCFGPPPTNDVSVANVVTDSFFNGIVDQSDASCEGRGFYTRAAFLEAIGNYPQFGRVGTEEDSRREIAAFFAHVTHETGHFCYIEEINGPSRDYCDENNTQYPCNPSKGYYGRGPIQLSWNFNYGPAGRSIGFDGLNNPEIVATDPIISFRTALWFWMNNVQSVLLSGQGFGATIRVINGQLECDGANPDTVSSRVRYYTDYCNQLGVTPGDNLRC >cds-PLY78444.1 pep primary_assembly:Lsat_Salinas_v7:2:167782345:167784521:-1 gene:gene-LSAT_2X88660 transcript:rna-gnl|WGS:NBSK|LSAT_2X88660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVENENDVPALEPRRVKRRRRDLTLDCKTQQLQTTNLTQQTDQAAASPSPTTVKRSSKFRGVSKHRWTGRYEAHLWDKLSWNVTQKKKGKQGAYDEEESAARAYDLAALKYWGPSTFTNFPVSDYEKEIEIMLTVTKEEYLASLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGVNAVTNFDLSTYIRWLKPVAQAPVTPQDPKPHKEITVMPFNNFGLVQEESEPLFINYKNSIQKEISLPQKQEPFETKFPISPTQKSSPTALGLLFQSSIFKELVQKNLNACSDEEDDAEVNKRGSQMLNDEEYDGIFYEADESFPFINSLNPNAIKFQGNFNVNYDFQEHSFGSTI >cds-PLY77287.1 pep primary_assembly:Lsat_Salinas_v7:3:2239273:2239958:1 gene:gene-LSAT_3X320 transcript:rna-gnl|WGS:NBSK|LSAT_3X320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRGLATTVFLLITLNLLFFTLVTSTSCPPPPKAPKPHKHHHYKATCPKDTLKLGVCANVLNDLVHLVVGTPATTPCCSLLGGLVDLEAAVCLCTAIKANVLGINLNVPVSLSLLLNVCGKKVPKGFQCA >cds-PLY74304.1 pep primary_assembly:Lsat_Salinas_v7:9:187031103:187032008:1 gene:gene-LSAT_9X114720 transcript:rna-gnl|WGS:NBSK|LSAT_9X114720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDALIEPYSPFIAVVSSKNALISNCTTVVNTAVEAEVKLASRNPKKRAGRKKFRETRHPVYRGVRMRDNDKWVCEVRWPNKKLRVWLGTHPTAEMAARAHDVAAYAFRGRSACLNFADSVWRLPIPKSNNLQDIQEAAAEAAEAFRETEDVVEIVETKELPETKFKVDEEDVIEMQRYYCGMAEGLMVAPPPTEGYGSYGDSVEFCADDFLWSF >cds-PLY90439.1 pep primary_assembly:Lsat_Salinas_v7:8:35308796:35310059:1 gene:gene-LSAT_8X28241 transcript:rna-gnl|WGS:NBSK|LSAT_8X28241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGDGGDEPSHPFGGGFGDHQNDVVPQKQRGMTNALVAEVALQTHHIADSGGDPDTIDWIAIFEKALGTRRGHVRGIRPKASSFAGTSAPSQRQSQSQTPQPT >cds-PLY88637.1 pep primary_assembly:Lsat_Salinas_v7:5:140931665:140931817:-1 gene:gene-LSAT_5X61660 transcript:rna-gnl|WGS:NBSK|LSAT_5X61660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGFTADGDDVVARCDVAGGVGGRGDSRLVADGLAGLHIRDAMGMERSVL >cds-PLY72034.1 pep primary_assembly:Lsat_Salinas_v7:2:204340710:204343729:-1 gene:gene-LSAT_2X126021 transcript:rna-gnl|WGS:NBSK|LSAT_2X126021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCSVCSTMPYNLRPPRNTICVACYEGAKTIIAITNKIDDHKLSGKSSGDASGSSKGFSSSLKWLKEMRKMEEEMNQKLGFLSGFIDAFRDQIHTDILIRPGNDAPSIPAHRALLVDSDGCKAAPNDTITLPELNHEEIESLLEFLYSGDLAKEKLEKHLYSLSIAADKYEIPFLQKFCENRMLEILNTNNALDILEISDTTCNRNLKETALSFVVKNMEDIVFAARFDVFALKNPHLTVQITRASFLDIKNRRSDI >cds-PLY76224.1 pep primary_assembly:Lsat_Salinas_v7:4:55552387:55554952:-1 gene:gene-LSAT_4X37641 transcript:rna-gnl|WGS:NBSK|LSAT_4X37641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDETRVHLRVLVGFCEEDSRLGLYIWHQLVRFYREFGFSPTVFDIILKLYAEKGSTKNALYVFDEMCRLGRVPSLHSCNGLLSGLVRKEEFHSVFVVYDQMNKIGLVPDVCTCSIMVNAYCKDGKVGRAVEFMREIEEDIGVEPNIVTYNSLINGYVSMGDLRSAKGVLRLMNDRHVFKNVVTYTLIIKGYCKQGKMEEAEMLLEEMKEENPSFILDEQAYGVLIDGFCQIGKMNHAVRIQSEMLKAGLNLNLFICNSMINGYCKLGQVNEAAKVVKSMSKLKLKPDSYCYNTLIDGYCREGFISKAFDLCEKMVHDGIDVNIVTYNTLLKSFCQDNNINKALQLWHLMIKRGLTPNEVGYGTLLHCLFKVGDFKGAFMLWKQFLAKGFIKPVIGFNIMLNGLCKMGKMDEAEKVFDKMKELGCPPDKITYNTLVDGYCKVGNMEMALEIKDTMDTKSIPLSIEMYNSLITGYFRCGKMKMKRVTDLLGEMHNRGIIPNIVTYGALISGWCKEGKLDKAFTTYSEMKEKGFTPNVIICSTMVSSLYRNGMIDEANMLLHKIMDFDLLPKDKSLEKFFEWDTKKVNLQTVFNIVNGVVESTFLPNNVVYNVAIAGLCKVGKVDDAKKFISVLLEKGFVPDNFTYCTLIHALSSYGEVNEAFSLRDEMLTKGLVPDIATYNALINGLCKSGNVDRALRLFYKLRSKGVDPNVITYNILIDGCDKNGKSCEVVKLKEKMVKEGIATSVVTYLSEVREAAS >cds-PLY92803.1 pep primary_assembly:Lsat_Salinas_v7:2:149245572:149246843:-1 gene:gene-LSAT_2X75201 transcript:rna-gnl|WGS:NBSK|LSAT_2X75201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEELAPEQIKLIHKLNVFKIKGRDKRGRKILRIIGKNFPAKSLNVDLLKKYLEVKVFPKLERPFVVVYIHTDVDKSENFPGISALRSAYEAIPINVKQYLEAVYFVHPDLQSRLFLATFGRFIFTGGLYAKLKYVSRLGYLWEHVRRNDIEIPEFVYDHDEDLEFRPMMDYGLESDHPRVFGAPAVDSSVASYSMRCIS >cds-PLY68614.1 pep primary_assembly:Lsat_Salinas_v7:2:72548883:72549305:-1 gene:gene-LSAT_2X32760 transcript:rna-gnl|WGS:NBSK|LSAT_2X32760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWNEELKAFTYPCPCGDLFQITKEDLKIGEEIARCPSCSLYITVIYNIEDLDFTNQKPKTNIEPTKQQPTTGLLMATSFDEAE >cds-PLY97610.1 pep primary_assembly:Lsat_Salinas_v7:5:237814190:237814498:-1 gene:gene-LSAT_5X112641 transcript:rna-gnl|WGS:NBSK|LSAT_5X112641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGSGAKGVRQSWLSATSSLAVKRLEKGTRMAKHRRPVAWDATREWSGEERRSVVGSRGGRTKRRWQWLPALSLTAVERIPEKRRQSNEEVDTGGPWHRR >cds-PLY86856.1 pep primary_assembly:Lsat_Salinas_v7:8:49641793:49642056:-1 gene:gene-LSAT_8X37801 transcript:rna-gnl|WGS:NBSK|LSAT_8X37801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METKGGKKPSSSSSSKSFYEAPLGYSIEDVRPNGGIKKFRSAAYSNVSTIPNSIPSITIPFSLSMNSILIIFFFSFYSALANHPDIP >cds-PLY81109.1 pep primary_assembly:Lsat_Salinas_v7:9:69071858:69072037:1 gene:gene-LSAT_9X55121 transcript:rna-gnl|WGS:NBSK|LSAT_9X55121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNGERSGVKKKTVSACDVEALKKCLEEHKGDYIKCQSQIEAFKSSCSIKKPSDSPSAD >cds-PLY78374.1 pep primary_assembly:Lsat_Salinas_v7:9:9792390:9792713:-1 gene:gene-LSAT_9X7661 transcript:rna-gnl|WGS:NBSK|LSAT_9X7661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHPEYDAKITKQRSAYSQARAPARPTTNDLDVPIQRAIRNSKYILANAEYEPKPRGMKELGTRSTEARGRVPILPPRKLSYGRKLTDAPDALNDIPSNGVRRKFDK >cds-PLY87936.1 pep primary_assembly:Lsat_Salinas_v7:4:33769272:33769733:-1 gene:gene-LSAT_4X22141 transcript:rna-gnl|WGS:NBSK|LSAT_4X22141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVKHVNEFVVENHLERENEGDFIASQNLHVHQEVPAHQQILGEDLNSIAWEHDQSDSNSHRNDSIYSKPLGFRGNKFQDRCDSFQYSGNCYKRSWSIDSVKILKKLTPSGVSASCDEVSTFIEWGKVLGYDVENAKVDLKKRLADMGVIMST >cds-PLY78911.1 pep primary_assembly:Lsat_Salinas_v7:8:1851188:1852072:1 gene:gene-LSAT_8X1621 transcript:rna-gnl|WGS:NBSK|LSAT_8X1621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKNTRTTKYGPAVQLLIIAGAIVAPFLAFNLMDLELFSVFKTMFTTLFSSIKPWLCPPYVYLLINFIIFFIAASSSIRSYNPIVFNPPTTETDDHQYQSLIADESFIQTSLVCQSIAVSLDDDHVNFDTLKDPVHVHADEGRSKEGEVASESTVFDKRELRKSKTFHEGVSRPRGRRERYVRILSQEELDRQVEAFIYRCKQDTNTSNVNVHK >cds-PLY77624.1 pep primary_assembly:Lsat_Salinas_v7:4:275625278:275626717:-1 gene:gene-LSAT_4X141700 transcript:rna-gnl|WGS:NBSK|LSAT_4X141700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEEIPSDATYKPRSALGDVTNQVGKRGFSFVSTPGVQSVDGCKKDEGFQFAKKECLRVDNSQKENFHKEISAIASISKISCEIKEPCSQDGRKSITSSGLKAGDVVSPSDRNGSHVTVEAEEDERTDSVFAPQNPSKEKLENSLVMDDGDDDACLDNLYSSKDEYLDCSKFPESQESRCGLEIKGDGFSSMCMESIKTCPCSFCMKAAYLWSDLHYQDIKGRIAAIKKSQKEASILVNQNSKDGVIGRCGEGNSEKFSNLESDLTGRWMSLFVHMEEIFVREGSQLVR >cds-PLY63795.1 pep primary_assembly:Lsat_Salinas_v7:6:26068669:26069163:1 gene:gene-LSAT_6X18661 transcript:rna-gnl|WGS:NBSK|LSAT_6X18661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTSMRSHRTIEFKTNFMNPRSQTPNFTVPDSRRRTQIRKKNDRKTEAPSKNLVMGQVTILKRGEVLNDSSRILKDVNSREDFTGVASVAGGKDLVADREASKVVMSGRRKQENNRIAPSIMGPDVSKQMKKMSECFAGFIFSDSPPPSSVPLPGFLKRNLLNP >cds-PLY80644.1 pep primary_assembly:Lsat_Salinas_v7:5:245100228:245103807:1 gene:gene-LSAT_5X122581 transcript:rna-gnl|WGS:NBSK|LSAT_5X122581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFMIILVGFVFGLIFNHFLPLLFLKNKKLGALPRGSFGYLPLLGETLSFLNPHPSTTIGSFLQEHCSRYGKVFKSHLFFSPTIVSCDQELNYFILQNEDKLFECSYPKPIHGVLGKISMLVVVGDTHKRLRNVALSLVSTTKSKPDFLTHIEKTTLQILDSWKDKKQIIFCQEARKFTFNVIVKQVLGLTPEEPQTARILEDFLTFMRGLISFPLYIPGTPYANAVKARIRISASVKQIIKERRRNNNIISNNNDDNICGNMNINSQKRGSDFLEILLGVDTLSEDEKVSFVLDALLGGYETTSILMAMVVHFVAKSPSALEQLKVEHECIRGKKKKDESLNWEDYKMMGFTQNVINEALRYGNIVKFVHRKALKDIKFKDYLIPAGWKVLPILSTVHLDPSIHSSPLEFHPWRWEQDQTGKKFTPFGGGSRCCPGSELARVEVAFFLHHLVQNFRWSVEDDDQPIAYPYVEFQRGLVLNLDHFAL >cds-PLY70536.1 pep primary_assembly:Lsat_Salinas_v7:1:71100506:71102466:-1 gene:gene-LSAT_1X61641 transcript:rna-gnl|WGS:NBSK|LSAT_1X61641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDSPTTASPPPEMASEQPILSHPPPSPPPPGSVTEKETPFSPPSTTTIGQVIEQPEKSISNQPTKTPEPMPSFKEESNQIKDLSAPEKKSLDDFKHILQEAITKKEFTFLNKPEEPISEITSPPKEISIWGIPLLKDERTDVILLKFLRARDFKVKDSFTMLKDTLQWRQTFNIDSLLDENLGDDLEKVVFMHGFDKEGHPVCYNVYGEFQNKELYRKTFSDGETRLKFLRWRIQFLERSIRKLDFTPGGVNTIFQINDLKNSPGPAKTELRLATTQALHLLQDNYPEFVAKQVFINAPWWYLAFYTMISPFMTQRTKSKFVFASSAKTPETLFKYVTPEHVPIQYGGLSLDYCDCNPEFTIDDPASVVTVKPATKQTVEIIVNEKCVFVWELRVVGWEVSYGAEFVPNNEDLYTIIIQKAKKMTQSDEPVISHSFKISEIGRILLTIDNSTSKKKTLLYRFKVTPFSE >cds-PLY73493.1 pep primary_assembly:Lsat_Salinas_v7:2:99368264:99369653:-1 gene:gene-LSAT_2X43681 transcript:rna-gnl|WGS:NBSK|LSAT_2X43681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSMEEIRKAQRAQGPATIMAIGTATPPTCVLQSTYPDFYFRVTKSEHMKELKEKFRRMCDKSTIKTRYMYLTEEILKDKPNLCAHMAPSLDERQDIAIVEVPKLGAEAATHAIKEWGQPKSKITHLVVSSINGVDMPGADYHITKLLGLSPSVKRVMMYLQEAGGTALRLAKDLAENNKGARVLVVCSEIATGTFRGPGEMHPDSLIAQALFGDGAAAVIVGSDPLFDVEKPLFEIISSSQTILPNSEGAIEAHLREAGLIVRLRKDVPELIAKHIEESLVEAFKPLGIVDWNSLFWIAHPGGPAFLDQIEEKLSLTPDKLRATRHVLSKYGNLSSACVLFILNEMRHASANITGDDLEWGVLFGFGPGLTIDTVVLHNVSN >cds-PLY76951.1 pep primary_assembly:Lsat_Salinas_v7:7:52317477:52317815:-1 gene:gene-LSAT_7X39301 transcript:rna-gnl|WGS:NBSK|LSAT_7X39301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTIPKVGLHPHPLSLWRNVREKIYIYSGLGCKNLIYLSSKKLIRDCVGCFDLANGNENQRFAKSRVKNDFLIDDVLALGSGGIRIIFDIGDGSSAPTSRMAEKNVTATLNC >cds-PLY77360.1 pep primary_assembly:Lsat_Salinas_v7:2:64904352:64908518:-1 gene:gene-LSAT_2X28360 transcript:rna-gnl|WGS:NBSK|LSAT_2X28360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN9 [Source:Projected from Arabidopsis thaliana (AT3G20070) UniProtKB/TrEMBL;Acc:A0A384LCV3] MEALYSKLYEKYTRVKTHKASEIEHYNFDQEQKFKTYVSAADELIDHLQNEKDTLDAQVNELRNELASIRSAKDEEQKKYEHMLLKENLKIKELSEELERMNRREFHSNTNNEIVPIKQMSTSPLSSIKTNKSSMRKRLRSSIDEHENTSQEESQNDLVKENMFLGAIDDVNQPKCCRRRLGNSVNGSDPSMCMFQELVESLLDLKFSVSTQNDDTLMTAVHDSSGYTFNLGYVKNALGEEELMYKVSSLGTFERVAPEWMREVMLFSKSMSRIFFKKVSAIMKLQE >cds-PLY88599.1 pep primary_assembly:Lsat_Salinas_v7:5:127004536:127007301:-1 gene:gene-LSAT_5X53700 transcript:rna-gnl|WGS:NBSK|LSAT_5X53700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKYQAPQVQVNNANGGYGYQATRMQLYSPVNTLEEQTRQLEKKLHLLDNGASVEKISSNLEHEKPSVEESNTLPTKNSNQAHVNELDSLICFYEKPETPPPPIQMEIIRQPSPPPVLVEVQDLIPTASPPVTDAGPASLKLNSTDSVVVSESPLELHIIRDEGTKWSFPVQIEKEDTIFLVLKEEDGTPEI >cds-PLY81520.1 pep primary_assembly:Lsat_Salinas_v7:5:80582102:80592568:1 gene:gene-LSAT_5X37681 transcript:rna-gnl|WGS:NBSK|LSAT_5X37681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNLLVPLSNSHGGNQSDHQKIPFSNVMVTGTRNYFAGRKWQTIDIQAASWFTFFHLLALFAPFSFTWDAFWVAFVGYLLTGMLGITLSYHRLLSHHSLKLPKWLEYTFAYFGVLACQGDPIFWVSIHRYHHKYVDSDNDTHSPINGFWFSYAGWFFDNGYLVEKYPERKNVEDLKKQTFYRFLQKTYMCHSLGCGVLLYAWGGFAYLVWGMGVRIIWVYHITSLVNSVGHIWGNQAWNTGDLSKNNWWLGVLLFGEGWHNNHHAFEYSARYGLEWWQIDVTWYVIRCLEAFGLATNVKVPTDAEKVKKSFVISNNTL >cds-PLY82529.1 pep primary_assembly:Lsat_Salinas_v7:2:183759581:183760215:1 gene:gene-LSAT_2X106180 transcript:rna-gnl|WGS:NBSK|LSAT_2X106180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVDDVAPPHTGKPPGGDAYIFVVAFFCIILLLITITYASNICKRPRSPPPPIISFGATSTTFDVADSHHLISFSRGLDDDVLVTFPTFLYSEVTIPHKGATDSCDTATDASTSGCSICLADYKSKDVVRLLPECGHLFHVKCIDTWLKAHPTCPMCRKELTD >cds-PLY68835.1 pep primary_assembly:Lsat_Salinas_v7:3:64187871:64188654:-1 gene:gene-LSAT_3X50340 transcript:rna-gnl|WGS:NBSK|LSAT_3X50340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHHSEMSEIKLLGTAASPFVNRVQFVLNLKSIEYEFIDENLTCKSELLLTSNPVLKKVPVLLHANKPPICESLIIIEYLDEIEPNIHKILPTDPSDRAHNRFWAHYIDNKFTPLYLELRQAQGKDVKEAVKHKIIKGSQLLEEAFVKFSKGKGYFGGQDIGYLDVVLGSFLGLTNIIEKDNEFKVFDEVRTPKLAEWEKRIWLHEAIKGDIPGEETLMNLMLLKCKSS >cds-PLY94535.1 pep primary_assembly:Lsat_Salinas_v7:2:156524406:156526640:-1 gene:gene-LSAT_2X82060 transcript:rna-gnl|WGS:NBSK|LSAT_2X82060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELNPIKDAFDSVIKKQTLSSSKIEDTIEQITQEIQQTLSGIQSAINVPSSQPKLILGELKTKLKEIAPLNHLEATQKELNIAMSKYTKLLEKQFYPDISKSYRNVDFDTHIINQIIASHLYRESMFEIGDCFINEAHEEETIVDKKTQFLEMYEILESMKSHDLTPALKWATTNHDKLQKTGSDIELQLHRLQFLEILQNGSRDEALKYGRTHFAPFATQHFHEIQKLMACLLWVGKIDSSPYSDLVSPTHWAKLADELAQQFCNLLGESYESPLKVTVAAGVQGLPTLLKLMNVMTGKKQEWQSMKQLPVPVDLGTEFQFHSIFVCPVSREQAGEDNPPMMMSCGHVLCRQSITKLSKNNSTRPFKCPYCPAEVEVAQCKQLYF >cds-PLY86818.1 pep primary_assembly:Lsat_Salinas_v7:1:16803811:16807573:1 gene:gene-LSAT_1X14501 transcript:rna-gnl|WGS:NBSK|LSAT_1X14501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMVKTLEKYHSCSYGSLKATQPENESQYNYHEYLRLKARVEVLQRSQRNLLGEDLTPLNTKELEQLEHQLEMSLRKIRSTKTQCMLDQLAELQRKEQVLAETNKALRKKLEENAQEFPVRQMWEGGAQTIPYNPLPTHSDDFFQPLGLNSTMHTSFSGLRYNPIGSDEMNVAGVNGNNPNGLFPGWML >cds-PLY69299.1 pep primary_assembly:Lsat_Salinas_v7:4:105310450:105314606:-1 gene:gene-LSAT_4X66980 transcript:rna-gnl|WGS:NBSK|LSAT_4X66980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRETRSMQKRKNAKDKKETKVPKKMKHQSRKSPSKRKTPEKQQLQDPDSDFESSHPSKKAKKKESPIKKEKKKPMVKEFYSMKNRCSPEALLSIILGMSKEQKESVRSMGFGALLKMKITDIPLKLGFYVLQKFDSERMLFYADNIHSEALTVTRKRPTICYWSSEKIRYRETFEQEKGRFGVGELNEEFVNEQNEGDTDLEDSDSDKDEDHSVEAYESKISKMINSFERMKEKLNSKLNDAMTKFPEKESFRIFKEKMKNMIVEEKTESTTLFNFPINEIGVEGINLTPIMGQKTNDQTENEDKEGNGEEDSDNGASQPEVDYLLDSNKANNEGIRNDADNNQKEGEIGVKEKDGKRNENQNDEEEKDDHAEETNNHEETIQQTKNQNLLDKVVDNIVDNVLGIGVSSLNSQEDEIWNHPEMKTIFDNIDIGSPMSTGKTNTLAEKEKSEGVHEQGTKVEKTKGDDTGKENSEDRNEGGTEAKNTKDGGEEKQTEIEKGNAEDRALTKKQIKDDHQKVVIRLAKKNVLNPNPISVSIPTEARPSKHDLDQPREKKLADAFKSPFKCRITDTKPKLTHQESITKYGQIVERGVMESPYANTEIFGEVLNTWSDLLNHQELERDFGNSPYRLFLKVGVSTAYLTSTLSDERKYEKFKENFHDSTNGYKKILNIKNIDMVFFPVVRSAHIFVIVFNLKKPSIEILDNSAVEGDYEGKYGVIMKRLECTQFTTT >cds-PLY78597.1 pep primary_assembly:Lsat_Salinas_v7:1:105478732:105484359:1 gene:gene-LSAT_0X4961 transcript:rna-gnl|WGS:NBSK|LSAT_0X4961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATMESLIGLVNRIQRACTALGDYGGGDSALASLWDALPSVVVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLQKTEGGQEYAEFGHMPQRKFTDFSLVRQEIQDETDRITGKSKQISPIPIHLSIFSPTVVNLTLIDLPGLTKVAVEGQPESIVEDIEKMVRTYVDKPNSIILAISPANQDIATSDAIKLAKEVDPLGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQHPWVGIVNRSQADIIKNTDMIYARRKEQEYFYTSTDYGHLASKMGSEYLAKLLSQHLESVIKAKIPGIISLINKGIEEMEAELDRLGRPIAVDDGAKLYTILELCRAFDKIFKEHLDGGRAGGDRIYGVFDNQLPAALKKLPFDKHLSLQNVKKIVSEADGYQPHLIAPEQGYRRLIERSLNYFRGPAEASVDAVHFVLKELVRKSIGETEELRRFPSLQSTLATAATQSLEKFREESKKTVVRLVDMESSYLTVDFFRKLPQEGGPTPTPAPGDRSADRRAVSADRRGVSVSTDRGEEKGKYPSDPLGDRYGDAHFRRIGSNVLSYIGMVSETLRVTIPKAVVYCQVKEARQNLLHYFYIQIGRREGKELGELLDEDPTLMSKRQEIAKRLELYKAARDEIDAVAWVR >cds-PLY85731.1 pep primary_assembly:Lsat_Salinas_v7:1:47493536:47498403:1 gene:gene-LSAT_1X41201 transcript:rna-gnl|WGS:NBSK|LSAT_1X41201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEENTKTPSAEELLRKIQELEKLQSHLKQKISKLMLSGNQKKPEHQWSNSSYGELKLRFMEPLDMKLTESQFLNIIQSMDQAVHIYGLNLRIIFWNRAAEKLYGYTSAEAYGKTPTELVVESKDGSLSDYLLERTVNGETWSGEFPIKSKKGERFVIIATNSPFRNENRGLIGGMCVSSASSPYHVRSHIDSQQPLQTSIASKISNLVSISKVKMKMNMGDNYTDHEASTPRGHIQSPFVDLFSKSTVEHFTRKLKIDSGYESENKSGIYKIFSSKADAWMGKKGSSWSQKGNERVESFDPIFGRFGWQRLDINQEHEPCPKISSCVSSKQDFQLLENTNKSNNKIEASGLWFSSLHVSRSTTSSSSSSMSIKSNAIIKEERETDSEILWEDLIMGEQIGQGSCGTVYRALWYGSDVAIKLFEYQEYPDDLMVSFKQEVSLMKKLRHPNILLFMGCVTSPPHLSIVTEFLPRGSLFRILQRNTTRLDWKRRLHMAMDIARGMNYLHHCKPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRVKHHTYLKTKSGRGTPQWMAPEILCNEQADEKSDVYSYGVVLWEITTEKIPWNDLNPMQVIGAVGFMNRRLEIPKDVDPLWVSLMESCWCSEPQSRPTFQEILNKLKDLQKKYVVEHRRKEP >cds-PLY89063.1 pep primary_assembly:Lsat_Salinas_v7:9:28730756:28735042:-1 gene:gene-LSAT_9X25241 transcript:rna-gnl|WGS:NBSK|LSAT_9X25241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tim10/DDP family zinc finger protein [Source:Projected from Arabidopsis thaliana (AT2G29530) UniProtKB/TrEMBL;Acc:F4IKQ3] MNREHWVFWEVIDGGFGWVSRRSEKRVGEREGHGYGYQWWRPDRSLPHCIVARAVVIVSFFISTQPTMAANNEMPVALDKEQIFGMAEKEMEYRVELFNKLTHTCFAKCVEKRYKESELNMGENSCIDRCVSKYWQVTNLVGQLLGSGRPPM >cds-PLY71109.1 pep primary_assembly:Lsat_Salinas_v7:5:195249850:195250602:-1 gene:gene-LSAT_5X86841 transcript:rna-gnl|WGS:NBSK|LSAT_5X86841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRRSQSDTFLPPPPNIGHGRQCFEGSGGGDDMETEDVSMESQTSIVYTPGKKKSSDAMMNKSKADAGYKKVVLAGIVGEDVEDGGGLCDG >cds-PLY97892.1 pep primary_assembly:Lsat_Salinas_v7:4:90558327:90558950:-1 gene:gene-LSAT_4X60080 transcript:rna-gnl|WGS:NBSK|LSAT_4X60080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPANLSDLFSSLTNDVTCMATFGRMYHEGGIGKKFKKIMQEFSEVLGSFYFEESIPQIVVVDHLRGLSDKFDRVVADFDEFLQDVIKALPSDAYVAGTDTSSSVLEWAMSELLLHLDRLKKVQDEVRSILNGKEEITDEDLDNMTYLKVVIMETTRLHPLF >cds-PLY65989.1 pep primary_assembly:Lsat_Salinas_v7:4:140177323:140179480:1 gene:gene-LSAT_4X86000 transcript:rna-gnl|WGS:NBSK|LSAT_4X86000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQSYEELPEEIWELILDGLGDDRHSELESLSLVCKRLLALTNRLRLRFTIVDQTYFIHGTISPLIHRFRHLKTLDLSKLKHGYLETALHEVARSSVALNLEILDISNHDSIPIEGLKELGLSNRKIKVLRCANVIKLRDEDLISIAKFHPDLEELDVSFPRHKFTISAFRNHSISELMITDEGIQGLSSGLKNLTKINISMNHLLTDNSLSYLSLNCLRLQEVSFIDCTMITMEGVRFMLHNSPNITSISMRIISNLHRYSSLFINPTTSGKTLSSLHFKDSDISYEFLEAITKSQIQLKSISLSNCKGYTIDGIWNLLYTYQSLEFLDLSKNDSFTDTSITGLSHYLHHLITIKLNFCKLTSKSLFTLIKNCPSLEEIEMKNTDLGKEEEEDTVTGIAIHPNLSIKFLNLSGNANLTDECLIKIASVCPNLRFLDVSSCSSITSSIDEVLKVCPEIIHLGIEDCLGVKNIGLNNEPLRLKKVYMGKSGVNDEGLVGIGVRCNELVKIDMRGCFHVTTSAVKYVVKKCEKLKEINMMGCPNLHVYMVDWMVFSRPSLRKLVPPSYAVTSEHQRKLLLRHGCQICDK >cds-PLY77855.1 pep primary_assembly:Lsat_Salinas_v7:1:21046844:21049506:1 gene:gene-LSAT_1X18701 transcript:rna-gnl|WGS:NBSK|LSAT_1X18701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATLKENNTYIFPDDISSSDFKSDFVWGSATSAYQIEGAAFEGGRQPSIWDAFCLKNPGAIDNGDNGNKAIKAYYKTKEDVQMMKKMGLKAYRFSISWSRLLPGGKASMGINQEGVDYYNNLINELIENGITPCATLFHWDLPNALEEDYMGFLSELVVLDFVDYAEFCFWEFGDRVKHWITLNEPYTFAAMGYAYGTMAPGRGGGDTETQQPVLASGNSLGTRNRARAFNNKEAGNPATGNPVTLILSDYTEIDSRFMNSIFNGKYPQSMIDNVTDGRLPEFTDKQIELLTGSFNFLGLNYYTAQYATTAAPTDVVSYLTDSKVHQQPGWPDANNNDLKLEEARVDEKRVNYYNTHLQSLRDAIR >cds-PLY86312.1 pep primary_assembly:Lsat_Salinas_v7:8:55932575:55937891:-1 gene:gene-LSAT_8X40760 transcript:rna-gnl|WGS:NBSK|LSAT_8X40760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEALDLFLKIGLDKRTAENTIANNKVTTNLVAVINEAAVTDGCERSTGNLLYTVATKFPANALVHRPKLLEYIVSSKIKTPAQVEAAFAFLTVTGSEDLDINKFEEACGVGVEVSLEDIENTVNEIFEEKKSAILEQRYRTNVGDLFAHVRKKQPWADPKIVKSLIDSKLYALLGEKTAADNEKPVKKKKEKPVKVEVKNTPEEAPLQTPSEEELNPYLIFPSPEENYKVHTEVFFSDNRPVLRACNSKALLEKHLKATGGKVLTRFPPEPNGYLHIGHAKAMFVDFGLAKERDGGCYLRYDDTNPEAEKKEYIDHIEEIVGWMGWKPFKITYTSDYFQELYDLAVELIRRGHAYVDHQSGDEIKEYREKKMNSPWRDRPISESLKLFNDMKCGLIEEGKATLRMKQDMQSDNFNMYDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCIVDSLENITHSLCTLEFETRRASYYWLLDALSLYQPYVWEYSRLNITNTVMSKRKLNRLVTEKYVDGWDDPRLMTLAGLRRRGVTSTAINGFVRGIGITRSDGSMIRLERLEYHVREELNKTASRTMVVLHPLKVVITNLEGSLVTDLDAKKWPDAPGDDPSSYYKVPFSKIVYIEQSDFRMKDSKDYYGLAPGKTVLLRYAFPIKCTEVILSQDKKTVVEVHVEYDPDKKTKPKGVLHWVAEPSPGVDPLKVEVRLFDKLFLSENPGELDKWLDDLNPDSKVVIPCAYAVPSLKHAEVEDKFQFERLGYFVADKDSTPEKLIFNRTVTLRDSYGKAWK >cds-PLY62830.1 pep primary_assembly:Lsat_Salinas_v7:4:29596892:29597991:1 gene:gene-LSAT_4X20381 transcript:rna-gnl|WGS:NBSK|LSAT_4X20381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGSMASSLFSLPSLLTTLKAQRQRPAILPCSNFIQPNLTLKFPRLGISYSKRKESRQVSSVVISCLVEDNPEACQGSEGSSGSHESNPGATIDLKLPRRSLLLHFTCNSCGVRSQKLINRFAYEKGTVFVQCTGCLQYHKLVDNLNLVVEYDLREEIDTSSDTITDKV >cds-PLY71264.1 pep primary_assembly:Lsat_Salinas_v7:5:182221640:182224565:-1 gene:gene-LSAT_5X82100 transcript:rna-gnl|WGS:NBSK|LSAT_5X82100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase-like PAD4 [Source:Projected from Arabidopsis thaliana (AT3G52430) UniProtKB/Swiss-Prot;Acc:Q9S745] METEASPFETSEMLARFLGSTPLLPESWKLCGRSNVMAPQGFLTDEVGGVTYVAFSGVQSIDGLDPFFGSLVPLSAVSGVSPFSGGGDGGMFSAFQKQGDENSAMVDAGLLHLFLAIYHTPVFQNQMFEIMKKRKPVVFTGHSLGGAIAALSSLWLLSYLQSISSPPSVICFTFGSPLIGNQSLSKAIQQQRLSGNFCHLVSKFDLLPRLLFAPLSPIITHLHTLLKSWHLTMNSPFFIRDLGTQLTEHEKFELFHFILGCVDSIARSPEVMTNRSSFVPFGNFMFCSDDGAICIDDISAIVRMLHLTFASGSPSLCIDDHLEYESYVEKINLQFLNRSEEELCESNTYEAGVTLASQSISSGHEHMVRPVKDCLKTARRIGRTPNLQSARLAICLSKFAPLRAQIEWYKASCDKSDDQLGYYDSFKLRGPSKRDFKVVMNTIKLGEFWDSVIGMLENNQLPHDFHKRAKWVYASQSYKLLVEPLDIAEYYKNGDHRKNGHYLKGGRHTRYTVFDKWWQERERDRFGDTDGYSRRSKFASLTQDSCFWAKVEEAREWLENVRSERDPRRLAGLWAGIEKFENYAKGLVERKEVSIDVLARNSSYMVWVEELRVLKLHFQQFSTQIPGGLDRKVVP >cds-PLY90713.1 pep primary_assembly:Lsat_Salinas_v7:8:143321687:143324256:-1 gene:gene-LSAT_8X96921 transcript:rna-gnl|WGS:NBSK|LSAT_8X96921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSKKVSKLNSIDLSSPHIPTSVSLLKQACVDSGFFYVTNHGISEEFMDEVFAESKKLFDLPLEEKMKLLRNEKHRGYTPVLDELLDPANQRHGDHKEGFYIGIELPKDNPEAQRTFYGPNLWPDSDILLGWRQTMEKYHQQALKVVRKIARFIALSLDLDANFFERPEMLGNPIAILRLLHYEGQVSDPLKGIYGAGAHSDYGFITLLATDNVSGLQICKDKDAKPQVWESVEPLKGAFVVNLGDMLERWSNCIFRSTLHRVLGSGQERYSIPYFVLPSHDCMVECLPTCHSKKNPPKFPPIKCETYLLQRYQDTHAQLSTYKKP >cds-PLY65523.1 pep primary_assembly:Lsat_Salinas_v7:9:203063296:203067675:-1 gene:gene-LSAT_9X124320 transcript:rna-gnl|WGS:NBSK|LSAT_9X124320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSNSENLDSCGGAVASIESLKFDEEDEQVFFGSPIGEEIIQVESHSSDDESFHSFCNSHRISDASVGSDTLEPPISSPPPPTPPPPPPPPPPPPPPRSSSSSSTNNTLTTFTPPKKTVSFSESSQTPPPPPPPPLPPPAPLPPPFLKGNPPPLPPPPLPSQSTPMGKDGTPLPKLKPLHWDKVRAAPDRSMVWDKLRSSSFEFDEEMIESLFSYNLHNPNEESKSKSPSPSKHVLEPKRLQNLTILLKALNATSEQICRTLIQGNGLNLQQLEVLTKMEPTKEEEAKLIGYKGDLGSAETFVASILTIPYAFPRIEALLYREMFEDEVTHLRKTFSMLEEACKELRSSRLFSKLLEAVLKTGNRMNVGTIRGGAKAFKLDALLKLADVKGTDGKTTLLHFVVQEIIRSEGIRVSESIIGKINQKTNKRKNIQFQDREEDYRNMGLELVSGLSTELCNVKKTATIDFDVIASSVSNLSQGMGRLRRLVNEDLSVDGKTSCSSGSFVECMRSFLNYAEKRLEELEGDERRSLQLVKEITEYFHGHGNMSKDEVNPLRIFVIVRDFLAMLDLVCRELRRSKVFVAPFQ >cds-PLY64239.1 pep primary_assembly:Lsat_Salinas_v7:7:3892766:3894601:-1 gene:gene-LSAT_7X2701 transcript:rna-gnl|WGS:NBSK|LSAT_7X2701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g14620/T5E21_15 [Source:Projected from Arabidopsis thaliana (AT1G14620) UniProtKB/TrEMBL;Acc:Q8L7U3] MQRSSRILSRFLPSNRGYCTSSKGSENDKIVASVLFERLPVVIPKIDPVVYGFQEFSFRWRQQFRRAYPEEFLKKSDARGKGEYHIDFVPAPRITEADKTNDKRSLQRALDRRLYLLLYGDAYGSPKGQPVWHFPEKVYKSEETLRKCAESALESVIGDLSHTYFVGNAPMGHIATPPSEKNKDNSSFKRFFFKSQVVATNKLDIKSKDFVWVTKDELLEYFPEHSEYLTRMIIS >cds-PLY96784.1 pep primary_assembly:Lsat_Salinas_v7:2:172199961:172203854:1 gene:gene-LSAT_2X94981 transcript:rna-gnl|WGS:NBSK|LSAT_2X94981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAATHLCGIKTPYCFSKKALHSQSLCSQKRLNFDLLTESCGTLRVSYPNKGRGGLRVVCDARKNDVLVQSEAKCQGLEESINQLSCVMKFGGSSVASADRMKEIAELILSFPEENPVIVLSAMGKTTNKLIVAGEKAASCISDVSEIDELSFVKELHYRTVDELGLDKSLITDHLEKLERLLNGIAVLKDMTPRARDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFDIGFITTDDFTNADILEATYPAVANKLHSDWNNDPAIPIVTGFLGKGCRTCAITTLGRGGSDLTATTIGKGLGLREIQVWKDVDGVLTCDPNIYPGAEPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTLITKSRDMSKAILTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSTFEDLGISVDVVATSEELDNVVEELEKIAKVNLLQHRSIISLIGNVQRSSLILEKVFHVLRTNGVNVQMISQGASKVNISLIVNDSESEKCVRALHSAFFETDLAVADLVNHNGNGNGNGI >cds-PLY84712.1 pep primary_assembly:Lsat_Salinas_v7:5:230543960:230546352:-1 gene:gene-LSAT_5X110941 transcript:rna-gnl|WGS:NBSK|LSAT_5X110941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKGELGIDTEIDEIEGESSSRVRIDEVRPFRTRYPGLVRLKAYIFDGLGDYYNKEWDLLEGNDKEFCWYHVEIPKGNQKLSQSAQYLIDVLCPPLKLQDILSLISNGPYCGHVDGALVFRVNSPGPPSSKFTFRLAARVTENSVITVSLGRVPRLGFSPVGQSLLSEIPSVESPSAKQSGSSENDGFVIKEHVLEFLLTMNHSEEADNPVPKSVSNLVVHIVDTHVDHLEDVVTKLEIELDSVEVDLDKGGFYLKKQLLDDRRFPKMHLDLQRLLQVITHGEQVFPRVKEKCSAKDWFANEDINSLEELIRRLKRLKDNVGFISNRVTAVQAGLDSWQAEQINRKLYYLSFLSIIFLPLSIITGVFGMNVGGVPWTGQRDPKIADGFRNVMLVCVATLALVLLSFLFPFLYSRITAWRKQRALKRSWSLNKRSFLRRTGGERGGYLRL >cds-PLY80316.1 pep primary_assembly:Lsat_Salinas_v7:7:156654870:156656413:1 gene:gene-LSAT_7X98541 transcript:rna-gnl|WGS:NBSK|LSAT_7X98541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYLFFAVLFIEMLTILLLLFKTPLRKLLIIGLDRAKRGRAPLVVKSVAATFFIMMMYNVYTVMEIQRRPADVNNPTDQIILAYHMLEAALMGFSLFLSLMIDRLHHYIRELRILRKTMETTKKQNRAAEEFKNKGADEVRHLNEEMIRMRGEMKKLETKYSAMEKEVKSAEANSLALKHQSEGFLLEYDRLLAENQNLRDQLRAIDDSLSHANGKKDT >cds-PLY61834.1 pep primary_assembly:Lsat_Salinas_v7:6:60523699:60525049:-1 gene:gene-LSAT_6X43780 transcript:rna-gnl|WGS:NBSK|LSAT_6X43780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKNQSNDNEKQVNGGRKNKDDGGCVTVVLKIDLHCKGCADKIVKAVRSLDGVESAKTGNIELKKITVIGKVDPVELRQKVEGMIKKKVDLVSPVNDGEKNNQLSGGGGGEQKKQRKQFPVTTTALKVPLHCQGCIDKIQKIVSKTEGFIDMSIDKSNDLVMVKGGIDVDALTEELKGKLKKKVDIVPAKKDGGGGGEKKEKGGGGDGERKENGGGGRGVDVHKMEHFFGQGQYTYPQYVNGPEYVFNYMHATQMFNDENPNACVVM >cds-PLY91411.1 pep primary_assembly:Lsat_Salinas_v7:3:56391700:56394210:1 gene:gene-LSAT_3X43721 transcript:rna-gnl|WGS:NBSK|LSAT_3X43721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADYSKQNLLQLIKRIGVYLSTKVSKILNIQDVRSFWAIAGLAVAVIFTWRALRSPNGSQRRQPKRRSPSHNNENSLPSGVSSSSQDSRTQNATNEFFQPANATLGQIVRQRLSDGRKVTCRLLGVILEENTPEELQNQATVRLSVLEVLSEITKYSDLYLMERVVDDESEERVLTALENAGVFTTGGLVKEKVLFCSTENGRMSFVRQLEPDWHIDSNPEITSQLARFIRQQVHISTKSERTASSNVFNSTSLEQFFGGV >cds-PLY99387.1 pep primary_assembly:Lsat_Salinas_v7:7:145830376:145831085:-1 gene:gene-LSAT_0X4221 transcript:rna-gnl|WGS:NBSK|LSAT_0X4221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPNSVQCFGRKKTAVAVTHCKAGRGLIKINGVPIELVQPEILRYKAFEPILLLGRHKFAGVDMRIRVKGGGHTSQIYAIRQSISKALVAYYQKFVDEEQKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >cds-PLY91599.1 pep primary_assembly:Lsat_Salinas_v7:7:12095285:12103604:-1 gene:gene-LSAT_7X10120 transcript:rna-gnl|WGS:NBSK|LSAT_7X10120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTSVASTAVPSVQSMSRNHCANWMSLLNSELDDDSELVIGLIEFLSTFEGLRLKF >cds-PLY74516.1 pep primary_assembly:Lsat_Salinas_v7:7:40912318:40913515:1 gene:gene-LSAT_7X32121 transcript:rna-gnl|WGS:NBSK|LSAT_7X32121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGRPNWLKLPEELMANILQRLSYLEILNSASKVCTTWKKICKDPAMWKVIDMRKQVYRWSMNFDIETLITKLVDLSCGELIDFSVKGGFEIIPILDYAVQRSSKMKRLYIQSCDHDLVSGGFIWAIKKLPQLEEFHLSCTYPSAKEIELIGQNCPLLKSFAMNQYCQRPQNDDTALAIANNMPGLRHLQVIGNSMTKIGLQAILNGCPHLESLDLRMCYYLRLGGKFGKVCMERIRDLKRPHDSMENHELHQEYDECDIYDHMYSSGYSDVDDSSDNDFYQVKEVSEVNNASKERDYEYDYDYEYYCGYE >cds-PLY97101.1 pep primary_assembly:Lsat_Salinas_v7:4:72270267:72270674:1 gene:gene-LSAT_4X48840 transcript:rna-gnl|WGS:NBSK|LSAT_4X48840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYEFGRDNNQKEIVDAEKERNNQPIPDIDATNGDQPILHVGDQSETDEYEGFLYLGFMAQAVVPLSVVYPDTYFEGEILQGTNSDIESNDEQINPRKRNASFLGGKMILKLEVRMPLLVLQPLVVLQPLLPRDVG >cds-PLY87472.1 pep primary_assembly:Lsat_Salinas_v7:2:134455742:134457454:-1 gene:gene-LSAT_2X63440 transcript:rna-gnl|WGS:NBSK|LSAT_2X63440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQPAKRSWGKRQSAGASTSTSTSTPRKSPRKDPGSSGTGQRQKQKPHRFKPGTQALREIRRLQKTVNLLIPAAPFIRTVKEISNYIAPEVTRWQAEALQALQEAAEDYIVQLFEDSMLCSIHAKRVTLMKKDMELARRLTKKGQPW >cds-PLY78840.1 pep primary_assembly:Lsat_Salinas_v7:5:306530013:306532900:1 gene:gene-LSAT_5X166380 transcript:rna-gnl|WGS:NBSK|LSAT_5X166380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKMPLLTYMDINPLVVSEVDAFGVDPYEHLERMENEYQAMAAKKRKANLSYNHEGAFSSVLVFVSTFLFNNFKKTHKKQSIFPITFKIDLLAEISQSPHILLEVDAALKTKQMKYYVDKYLKTRPQGTSILS >cds-PLY68766.1 pep primary_assembly:Lsat_Salinas_v7:2:211412952:211413683:-1 gene:gene-LSAT_2X131741 transcript:rna-gnl|WGS:NBSK|LSAT_2X131741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATVDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLFSKEATGGEKQFSSQGHQGNFSKNEGSGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIQPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNNSMSRPDQEAKKSEAGVIWNIELEGLGQNSYHTSYQFEYKTDLEHRIGRIGTKLAINTN >cds-PLY79784.1 pep primary_assembly:Lsat_Salinas_v7:1:180181575:180184667:-1 gene:gene-LSAT_1X117260 transcript:rna-gnl|WGS:NBSK|LSAT_1X117260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRGGVARIELRNLGFSSFAEPVLDTKEDEEDDEEEKHDDDGDEIRFYDELFVRNEVDDDEIEEGDLKTKKKNKSSSFRYQSCSTDETHDVMLRQLVDCSRWRHQSQCFSCEVVKFGHDMTFFWNGNRYRYFNVELEEYVEIPDQVSGIFVVTVDHDNAEDMVKMNKKGEHALDKEGNVQILVSHTLQPVT >cds-PLY65186.1 pep primary_assembly:Lsat_Salinas_v7:7:59986405:59987805:-1 gene:gene-LSAT_7X45460 transcript:rna-gnl|WGS:NBSK|LSAT_7X45460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGALSEGVLRKIILSYTYVAIWIFLSFTVIVYNKYILDRKMYNWPYPISLTMIHMGFCSSLAYVLVNVLKVVEPVQMSRDVYLKSVVPIGLLYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVLLKKESFKGQTMSNMLSISFGVAIAAYGEAQFNTWGVTLQLGAVCFEATRLVLIQILLTSKGITFNPITSLYYVAPCCLAFLSIPWMIVEFPKLRDTSSFHFDYLIFGTNSVCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYVIAFMGVAYYNHAKLQALKAKESEKKASQPDDESGKLLEDRETEKSTRKNESDN >cds-PLY76081.1 pep primary_assembly:Lsat_Salinas_v7:9:31587235:31587692:1 gene:gene-LSAT_9X27681 transcript:rna-gnl|WGS:NBSK|LSAT_9X27681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSIVKKASVLVVVALSAAATVSAQAMAPAPSPDAGAAFSLPTSSFMIGTSLVLSFVALLRN >cds-PLY91237.1 pep primary_assembly:Lsat_Salinas_v7:3:77641839:77643675:1 gene:gene-LSAT_3X58120 transcript:rna-gnl|WGS:NBSK|LSAT_3X58120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRRATEIELDTMEGFASREKLHHHLNDQEPTLMMFKLDEKLKLIESRLESKVPMAPKQPNTGLFVGLNKGHVVTKKELAPRPSDRKGVSNKS >cds-PLY78125.1 pep primary_assembly:Lsat_Salinas_v7:2:61714332:61715855:-1 gene:gene-LSAT_2X27681 transcript:rna-gnl|WGS:NBSK|LSAT_2X27681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDLLHDLSLSLFYKQEGLCVGCAVNDDISRVDKVKQLKHLAVYNKHNEVIEFEAKVSMFIEWDMLARTLHTLFVKELNMMEFSFQRLKCIRILKLQGNTIWKLDDSIGGLVHLRYLDLSKTMIHVLPESIGKLYHLQTLRLRSFVFKQFPEAMRNLISLRYFVCDVDIPANILGQLISLRKLPTFIVLKRKGHGIEVLRYLNNLSGKLRIFDLENVGSKEDSVKAELSGKRKLYDIEFNWSSNGGANRNDKEVLEGLQPPRDVKMLKIYKFSSDHFPNWVTKMAINIDGKWTPLDKLVSITLYGCSSCLSLPTLEHLPHLRELLLMEMDNLTFLKSSDVTGSMKPLSPLLRSLKQIRMERLEKWIDGETNSSKMISPILESLEIESCPKIILLDECHPHPLVSLRICDCTGLEYIKSIQGLTSLVSLEISYCQSLTEITNLPNQCHSLKTLQIKHCYELQTLPCKMFNCYAFLNELILGPFSKELDSFPSLQCIEKLRNQFHSLEL >cds-PLY82669.1 pep primary_assembly:Lsat_Salinas_v7:4:62862506:62866521:-1 gene:gene-LSAT_4X43240 transcript:rna-gnl|WGS:NBSK|LSAT_4X43240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase 2 subunit KU80 [Source:Projected from Arabidopsis thaliana (AT1G48050) UniProtKB/Swiss-Prot;Acc:Q9FQ09] MARNKEALILLIDVGPSMHSVLPEIQKVCSLLIQKKLVFNKYDEVGVVVFGTKDTDNDLMKEVGGYEHVTVLQPIKVVDGDLVDVTQQLPQGTLPGDFMDAIVVGMDMLIKKYQDTIKGKKRICLITNAMYPIKDPYEGTKEDQVYTIAEQMAAHGMKIDCIIYRGDQNRVPHNTMIEENDMLLSIFSKKTKAKAVHVESSTSLLGALRTRNLAPVTTFRGDLELSPTCKIKVWVYKKTSEEKFPTLKKYSDKAPSTDKFATHEIKLDYEYKSVQDPSKVVPPEQRIKGYRYGPQVIPISSAEFEAAKFKPEKGVKLLGFTNASNVMRHYYMKDVNIIIADPGNKKAILAVSALARAMKEMNKVGILRCVWRQGQANVVIGVLTPNVSDKDNIPDSFYFNVLPFAEDVREFEFPSFSNLPISLQPNKEQQEAADKLVMMMDLAPAGKEEALRPEFTPNPVLERFYHHLELKSKNPDAAVPPLDSTLKRITEPDHEVVSQNKLVIDEFCRNFEIKENPKLKKSARRLLRDQKSGSFEGQVGRIEDKSMDIVGSTSAVKVETIGELTAVQDFEAMISRRDSPEWVSKAIFGMKNKVLDLVENSYEGDNYPKALECLVALRKGCVIEQEPKQFNNFLQHLYRFCEEKDLKSFCEFLASKDVTFITKTEAEDSDIAEKDARSLFVKAET >cds-PLY87444.1 pep primary_assembly:Lsat_Salinas_v7:2:134485210:134489756:-1 gene:gene-LSAT_2X63400 transcript:rna-gnl|WGS:NBSK|LSAT_2X63400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHELPPPPPIPPNFVPAKVNESNRRPMARRELGTKGQRIPLLTNHFNVKLSRTNDHFYQYSVALFYEDGNPVEAKGIGRKILDMVHKTYESEMGGKGFAYDGEKTLFTVGSLPRTKLEFNVVLENAVSNRTIRGGSPSDGETKRSRRVPQSKQFKVTISYATKIPIQAIVNALQGHDSEQFHEAVRVLDVLLRQHAAKQGCLLVKQCYFQNDSRNFIGIGGGVVGCRGFHSSFRATQSGLSLNMDVSTTMIVKPGKVVDFLCENQNVRNIREIDWVKAKRMLKNLRVKTFPSNREYKIIGLSEKTCREQMFSMKQKNQRDGNSPSETIDITVLQYYADHHGRRLEYSADYPCLDVGKTKRPVYIPLELCDLISLQRYTKALSNLQKASLVEKSRQKPRDRMQALTGALNQSNYGADPLINATGITISTTFTQVEGRVLEPPKLKFGRGGDMVPRGGRWNFNNKTLIEPKRITNWVVVNFSARCDMDALKNNLRICSQAKGIELDPPHGVLDENPQFRRSPAPVRVDKMFEAIKERLRGPPSFILCILPERKNSDIYGPWKRKCLVDHGIVTQCIAPTRINDQYVTNVLLKVNAKMGGINSLLSMEFANAIPLVSRTPTMIFGMDVSHGSPGRADVPSIAAVVSSRKWPQISQYRASVRAQSARVEMIDALFKPVSKVDNEGRTKLEDEGMIRELLVDFYLSTPQLKPQNIIIFRDGVSESQFNQVLNIELNQIIEACKFMDEQWDPKFLFIVAQKTHHTKFFQANSEANVPPGTVVDNKVCHPKSNDFYLCAQNGPIGTTRPTHYHVLLDEIGFSADELQELVHSLSYVYQRSTTAISVVAPICYAHLAAGQVAQFVKFDDMSDTTSSHSGGGSGGSGAGGFTQLPKLHKNVWSSMFFC >cds-PLY87189.1 pep primary_assembly:Lsat_Salinas_v7:1:162394366:162395335:-1 gene:gene-LSAT_1X108561 transcript:rna-gnl|WGS:NBSK|LSAT_1X108561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPEDVINLFDSCWFNYEILKKDQSSKANQSLSSSEPKFSSLRSILVRSKSDDIGSFNYGSFSPNSVLFTSHLDSIPSGNLDSTVQKQEQVQEDETKTITVRETVNTDQKEQSVQEMKKRKKKRHGFSKSLSDLEFEELKGFMDLGFIFSEEDKDSKLVEIIPGLQRLGVERGNDDKGSCSSSSARRPYLSEAWENMDRRLQTPLLNWEIPVVRDEIDMKDNLKLWAHTVASYVR >cds-PLY81504.1 pep primary_assembly:Lsat_Salinas_v7:8:158873595:158875282:1 gene:gene-LSAT_8X106060 transcript:rna-gnl|WGS:NBSK|LSAT_8X106060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESVVVKIRSADIIGQTVKLLLDCKKNDQNQLLPSIYMVPSLFRDLSPSSFCPRVVSIGPLHHEDQNLKGFEVQKETFLHDLLDRLDSKPEKTLKTCVKKVTGSLDRIRSCYVGMQTYEDVDLARMMVLDGCFILNFIYHLRKKDRPLLKNMLITQSIIYDLLLIENQIPFFVLEDIFECTIMKIKPPSSLTKHIEVLLIYYNIFEAELVLDNEEEEHTHDHLLSLVHKCYQPRKDIPSEFESIPKGHSAVELDRAGVNFRPHEDNNWPMAMKLKLPRFSWFPLFWFKPTLKMPVVCIDDFTELVLRNLIIYEHSSEVPNYVTAYACAMDMLIDTPEDVAMLVNSEVLVNDLGSNEKAADMINNICKEVPIVDFFYSQQWKHMDSYYNGYWPNIIAVLRRKYFSSPWNIIALFAGTILFVLTVVQTIYGIKAA >cds-PLY79788.1 pep primary_assembly:Lsat_Salinas_v7:8:275654494:275657627:1 gene:gene-LSAT_8X157881 transcript:rna-gnl|WGS:NBSK|LSAT_8X157881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNLRGLGLHLIFVCALLFAGATYTSTCLGDGNTSLAVCSEQERLALLKFKDSVEGPSGMLSSWVGNDCCMWEGIHCDGITGKVESLHLRGDYYDWVEGYNNYLASNEVDSCLEDLRHLKYLDLSGNDFQGSRIPKFIRSFKQLSYLNLSHAGFEGIIPPHIGNLSNLKVLDLSWNEKLMADDMAWTFGLSLLKHLDLSLVDLGRAQNRGMLFYMIPSLKELSLSHCGLSNADLCPSLNSSRIRSNIKHLDLGFNSFGGPLPGFFQNMSSLTFLDLSSFNVSLAWNFANLLSMIPSLAELHLSDCGLHKTHLSSPHLNVSTLSNIQRLDLSKNSIEGTFPSVLKNMSSLRILHFSHNMLSSPVPIMPNLLGLYISHNWLTAPIPTFLGNLSKLDLSFNQLNGSIPTFFGNLAALTHLDLSVNRLTGPIPTSLGKLVSLQSVRLNSNLLNGTIPVSIGQIAKLQTLDLSNNSLEGVVSEAHFANLSMLMFLDASYNTKLTFNVSRDWIPPFQLVSLDLRSCNIANGFPQWLRNQRKLYELVLSNASILGPLPRWLRRIPIILHLDLSHNQLIGPLTNLPSGETYGGYVDAPSLFLENNFFNESIPSSLCRRTDLVFLDLSENRLTGKIPKCLENLHGLFTMILSSNRLSGVIPSSVALNLLRRLKLNDNNFVGELPRELRNLRYLCILDVGNNRLSGNLPEWVRKELTYLAVLRLHKNNFTARIPQSFCKASNLRILDVAHNNLKGTIPPCLGELIAMVSGRRYRPFEHPSFNNDENVEQVMKGVDIEYTRTWYMVYNMDLSSNRLVGEIPVKLTALSMLVGLNLSNNHLSGRIPDTIANMSELNSLDLSGNELTGVIPPSMADLTFLSHLNLSHNNLSGRIPTGSQLQTLTDPSIYEGNKDLCGPPLPKNCINPGEDPTTITTTSKMKDEAADEKTKVWLFYVDIICGFATGFWGVIGVLLLKRQWRHKVFMFAEESMDKIYVAVVVKVNKIKRGRETA >cds-PLY95756.1 pep primary_assembly:Lsat_Salinas_v7:3:27388552:27389819:-1 gene:gene-LSAT_3X20541 transcript:rna-gnl|WGS:NBSK|LSAT_3X20541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNFRNQRTFRPKKSAPSGSKGAQLRQHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLTEFCTPEICPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEFLMDWIESQLDDESIFPQRLGAPFPANFRDVVKTIFKRLFRVYAHIYHTHFQKIVSLKEEAHLNTCFKHFILFTCEFSLIDKKELAPLHELIESIVTY >cds-PLY82403.1 pep primary_assembly:Lsat_Salinas_v7:2:187010210:187020837:-1 gene:gene-LSAT_2X109020 transcript:rna-gnl|WGS:NBSK|LSAT_2X109020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSFFVLIQHFLLPSKSSRSPKTTPTPPIDFAPSTTVPSMAAMDLMAQVRPSGSQQPCVAVSVLFSPNSFNSFVLLDKLLTGRLEVLGMFTLECVAYRQIEHLKKKAISINEMVVIDIKTKNLPLLTAPTLCQEGRLKGKNGRSYACFQEGWERSMYCVVVVVVAYKYSDDHGTVGIH >cds-PLY71845.1 pep primary_assembly:Lsat_Salinas_v7:3:58729426:58730606:1 gene:gene-LSAT_3X46100 transcript:rna-gnl|WGS:NBSK|LSAT_3X46100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAQWSKQVERRKLIADEKKTLKDLEESSGCVFPGCDHRPADRKNWISGLNPEKVHINKIVWPGTHDSGTHKIGIKMVSRPFAQCQSLSIYQQLVLGTRLLDIRVNENRKVCHGILATFAIDVVINDVKKFLSETESEIIILEIRTEFGHNDPPEFEKHLEEQLGEYLIHQDDHVFQKTVAEILPKRIICVWKPQKSDPPKAGSPFWSSGYLKDNWIDTDLPATKFESNLKYLGQQQPVTSRKYFYRVENTVTPQADNPVLCVKPVTKRIHGYARLFITQCFSRNIADKLQVFSTDFIDEDFVDACVGLTWARVEGKA >cds-PLY91809.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:985506:986987:-1 gene:gene-LSAT_0X940 transcript:rna-gnl|WGS:NBSK|LSAT_0X940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSTTFFSFLFSLILLGVANLNVILALSSNYYDQTCPNVESTITSVVKKAMLNDRTVPAALLRMHFHDCFIRGCDGSVLLNSTGKNKAEKDGPPNISLHAFYVIDNAKKAIEALCPKTVSCADILALAARDAVTLSGGPTWDVPKGRKDGRVSKSTETRQLPAPTFNISQLQQNFAQRGLSMDDLVALSGGHTLGFAHCSSFQNRIHNFASKQSVDPTLQSSFAASLKSVCPAQNTPKNAGANLDSTPTTFDNRYYKLLLQGKSIFSSDQSLVTTANTKTLVSKFANSKQEFEKAFVKSMIKMSSISGGQEVRLDCRVVN >cds-PLY86950.1 pep primary_assembly:Lsat_Salinas_v7:5:259439428:259440844:-1 gene:gene-LSAT_5X129541 transcript:rna-gnl|WGS:NBSK|LSAT_5X129541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSELKLPFVDFSNIDQNTNWDLTKSQVHRALEEFGCFETTYASIPPELQTSMFDSLKLLFDLPLQTKIKNKSSKPFHGYVGQYPMVPLYESMGIDDAPILEKVESFANMLWPKGNTEFCKTIQEFSEKLSKLDQMVRMMVLESLGLENYVKEHMDSTNYLLRVMKYKGPETNESKLGLNSHTDKNIVTILHQNQIDGLEVQAKSGDWIKIQPSPNSFIVMIGDSLYAWTNGRLHSPYHRVMMSGDKARYSLGLFSIPKAGYVVKSPKEVVDDEHPLLFKPFDHVEFLQFYYTEAGQRAQSALKTYCGV >cds-PLY85918.1 pep primary_assembly:Lsat_Salinas_v7:2:189895825:189896242:-1 gene:gene-LSAT_2X111640 transcript:rna-gnl|WGS:NBSK|LSAT_2X111640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGFAPNLDPNVSTSLEGQLDTTKLGSKLVTVFTSNLPSCTYQAIKVSICVKKFNPDEKLAKPRVTSLENSDLLKKLSSNPPIQLHYRFNGYALLPYLQPRSLPLLIISMVV >cds-PLY61858.1 pep primary_assembly:Lsat_Salinas_v7:6:58353491:58356094:-1 gene:gene-LSAT_6X43160 transcript:rna-gnl|WGS:NBSK|LSAT_6X43160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIKCLDKERLVLLLFKAPLQDPYGHLSTWIADKHDCCEWKGVMCDEQTGHVTELDMSFYSLGGEISHSLLNLTYLNLLDLSYNSFNGTIPNFIGSLTELRKLDLSHNSFFGTIPPEFGNLTNLQVLRLGNVERCRVEKVEWLSHLSHLEELDMDGISLAKADHWVDVISSLQKLSVLSLEGCELSQVMNPYSSFLNSSSSSIVKLYLNDNNLNSSMYRWLFPLTSNSIEILDLTSNMLDGIPKYLGNLCNLKTFYFDNNSAVVKFPDFLHNLSGCTSLSIQRFYATRSQFTGPFSDEIQNFSSLIWLYLSDNHLNGSISEKLWELPSLETVIVSFNNVTAPSSSHLSSLSSIQSIDLRSSNIGPLFPKWIQTLKNLTRLDLSNSGISDTIPLEFWDMWPSRLGYLNLSSNNISTKVPDLLSNFANTSVIDLSFNNFYGSIPNVPSILSTLDLSRNKFSGGISFICQIVGGPLSFLDLSHNSLTGQLPDCLWHFEHLEVLNLGHNNLFGRLPPSIGSLVELKMLYLYKNNFSGELPLSLKNCTSLISLNLGANKFSGNVPVWIGENLSGLYFLILRSNNFFGTIPLQSCQLANLQILDLSMNNLQGTIPSCLSNLTSMVQQVFSEDVTYTRILGTEAVVADTYIVHAMIEWQGDEREFSTTLKLVKSIDLSSNNLTGQIPYQITNLHDLVSLSLSNNNLFGEIPQKIDKMEKLLTLDLSRNNFSGGIPPDMSQMSLLNYLDLSYNNLSGRIPTSTQLQSFPPSRYSGNAHLCGPPLTKKCPGDEESEVPPLIGKGEGDGEDTDDEVERWFYIGGGMGFATGFWIACGSLLLNRRWRHAFFHFFDSFKDWVYVKVVVLVSSLRRGGQM >cds-PLY84987.1 pep primary_assembly:Lsat_Salinas_v7:3:121699708:121701227:-1 gene:gene-LSAT_3X84721 transcript:rna-gnl|WGS:NBSK|LSAT_3X84721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWCKGRENKAGEIEDANVKLMAEKLVEHEKQIKDGDVKLDPGMDAMSLVFGKDNGGFLKGVGTSVTASRYFNIPRTKGSSKEQIADLKFELQNERLELQKKDEELKALSTKVREQDKTLKLVLAHLESQGTMIPNLTSHPNQSPTQVFSVDKNVESHGTPVTNTIIEKAPITDKSLTNEPVTRIPRMRSTQDDECKSSKKQKKSFITRESIGKHTRSTFNKTKQI >cds-PLY67633.1 pep primary_assembly:Lsat_Salinas_v7:2:207598245:207600256:1 gene:gene-LSAT_2X128141 transcript:rna-gnl|WGS:NBSK|LSAT_2X128141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSGVSVGSEVGKYNGQITPFVVLSCMVAATGGIIFGYDIGISGGVTSMEPFLKKFFRKVYTKMEEDTKISNYCKFDSQLLTSFTSSLYVAGLIATFFASPITRAFGRKPSILIGGVAFLAGAAFGGAAYNIYMLIIGRVLLGVGVGFANQSVPLYLSEMAPSRYRGAFNMGFQFCVGIGVLAANLLNYGIQKIEGGWGWRISLAMAAVPASILTIGALFLPETPNSLIQHNKDDPDKAKKMLQKVRGTDDVKAEFDDLVTANEISKTIKHPFKNILRPKYRPQLVMAIAVPFFQQVTGINVISFYAPILFRTIGFGESASLMSAVVTGLVGLSMTFLSLLIVDRVGRRTIFTIGGVQMFVSQMLVGAIMAAKLGDHGGLSKGYGFSVLILICTYVAGFGLSWGPLGWLIPSEIFPLEIRSAGQSITVAVGFLFTFIVAQTFLAMLCHMKSGTFFFFGGWVAVMTVFVYFFLPETKNVPIEKMDRIWKKHWFWKKYVGKEDDTNYDPVTAEIKTDI >cds-PLY99604.1 pep primary_assembly:Lsat_Salinas_v7:5:242666647:242668876:-1 gene:gene-LSAT_5X119601 transcript:rna-gnl|WGS:NBSK|LSAT_5X119601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWADMLKLRTEFGADTIMEDFEFEEKENILEYYPQGHHGVDKDGRPIYIERVGQVDATKLLQGLKNMSKAARELIQSLQSIDGSNYPDMGSNGEHKCSKDAVVEEKIISEDQSIHIKPSQLPPVHEEVNDDNKKPKISRTECQDLMKRLADLEEKVVAHNGKTAELSPEKEEMLNTALSRLDALETELAAANKYIPLSLRFWFSNMMMK >cds-PLY74968.1 pep primary_assembly:Lsat_Salinas_v7:3:155372938:155375012:1 gene:gene-LSAT_3X98721 transcript:rna-gnl|WGS:NBSK|LSAT_3X98721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLVGGDRCELDISYITDRILALSFPSELMRSMYQNPMTQVKEILENKHPLHYKEFCESVHSWLSSDPKNIVLVHYMDGKGRIGLMVSSYLVYAGILAEEAHQVYADKGTTKYLQVMIPSQRRYVNYWHKSLTFFDGCLPEVNLPKPCTKEIRQIRLFDTKTIESVFFVVSEMQEVTGQRYRSPAVAYRNFCRKSRNSGFGNSEIEEEEPRNCLDHYFNEKPVQVTGDVCVIFYEKNIGGRLFYACFNTAFIENDSMKFSIKELDKVGNKGKSIAGSEFHVELLFSPANPNSNDS >cds-PLY84168.1 pep primary_assembly:Lsat_Salinas_v7:4:369493699:369500125:-1 gene:gene-LSAT_4X181520 transcript:rna-gnl|WGS:NBSK|LSAT_4X181520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISKNWVGCLPIFFLLFSGSLFSLASATPPAKIVSGIASNIASALFKWLWSLKPATKIGMAVSSRSMVKYEGGYTVETVFDGSKLGIEPYSVEVTPSGELLILDSENSNIHKISTPLSTYSRPKVLAGSVEGYFGHIDGKPRESLMNHPKGLTVDDRGNVYVADTMNMAIRKISDSGVVTIAGGNWARGTGHIDGPSENAKFSDDFDVKYVASSCSILVIDRGNQAIREIQLHEDDCSSYQYDGEHHLGIAVLGAAVFFGFMLALLQRRICAMFSSESDPRPNMSNIPPTYQRSVKVKSVRPPLIPPEENELENEEEEEEGLFNSLGKLVIKTGTTLFSNTSKKKKLHPQLQMNYQQPPQLSNTWPMQESFMIPHQDAPPPLETPKPYEPTERPRPSKQTRYLYNGQNGQYFNVQQPMHPVQHQHRQQHHQKHYSTGPETYIEEKSETNEVVFGAVQEKEGKREAVVIKAVDYNNHHPNYGNQNVRSRYNYMGYAYGEYS >cds-PLY73814.1 pep primary_assembly:Lsat_Salinas_v7:7:67711417:67712651:-1 gene:gene-LSAT_7X48900 transcript:rna-gnl|WGS:NBSK|LSAT_7X48900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKASKKRLPVEFNFVARRVICNNESAFTYECGYILRKNCRLQHKEWRLVPKEEKFPLRHKLTTLFDIDVENENVCKVIDSYMARSWRNFRAELHKYFKEIGGPEDPIKAKTKPPSDIHSKEDWEYLCDMWCEPKYMEIAKKKVVARGKRKIETRNGSKSTIRYHVELGHDVDSSSGHIETWRLTHWDEEKGWKSTDMAAKYEEMKKMRNEHSLESMSDKLILEKVLGRSSVRLFGWGRDPVVAGNIVGSTEKSKCPSYDELVDELETIKREHEAMKQILIEKNIMPPPLSTSSGRSHGDTSECGTSNHTHSGQSHDENMYIYDDMQ >cds-PLY63667.1 pep primary_assembly:Lsat_Salinas_v7:4:131921514:131921855:1 gene:gene-LSAT_4X82140 transcript:rna-gnl|WGS:NBSK|LSAT_4X82140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMYSWDLRVLPKSTVRLDGEMIFMWVTFRSTSSGGMENSSSIQSGIAPPHGLAPEGLRSNRYVSTPPEANASAAEDPAGPPPMTAAISLLPEMGLFEVEEAVMMSLWVGVNL >cds-PLY96839.1 pep primary_assembly:Lsat_Salinas_v7:2:109400362:109403210:-1 gene:gene-LSAT_2X48260 transcript:rna-gnl|WGS:NBSK|LSAT_2X48260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEELVIMPRRKLGSQGLEVSAIGLGCMGMSPLHGSPKPEKEMIQVIQIAIESGITFLDTSDLYGPHTNTIIISQALKGPHTRQKVQLATKFGIKSLSGDKKMVVSGDPVYVKEACDANLKQLGIDCIDLYYVHRIDTTIPIEITMGALKELVEEGKIKYIGLSEASPETIRRAHKVHPITAVQLEWSLWTRDAEEEVIPTCRELGIGIVPFSPLGKGFFSKGPKVVENFASDDFRRHLPKFQGENLENNKIVYERVNDMARKKGCTPSQLALTWLLHQGDDVCPIPGTTKIENLKQNIGSVCVKLTNEDMCELESIAADVKGDRYSPAVMQDTWKYANTPPLSSWRSG >cds-PLY84759.1 pep primary_assembly:Lsat_Salinas_v7:5:230827674:230828555:1 gene:gene-LSAT_5X111160 transcript:rna-gnl|WGS:NBSK|LSAT_5X111160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNMVNAGVFKDDNGFKSGYLQHLGQALKDSLPNAGILAKPHIESRIRTMKKEWQVVYDLITDNNTSRFGSDSVNLCERVESPQRNKSLPQYDDLCIIFGKDRAQGNRAEDCEDMTHNENVEEELLQMEDDFNEQSEEISPTKNGQSEETSSASTKKRKCKFDPFIEGISKATVLLGKDLREASTTMSQSLNAEVELQKKTSMVTYEILKIPSMDQKDKFKASRKIMREPEAVLALWNLEGEERETFVKLMFEE >cds-PLY69252.1 pep primary_assembly:Lsat_Salinas_v7:2:129795413:129796018:1 gene:gene-LSAT_2X60901 transcript:rna-gnl|WGS:NBSK|LSAT_2X60901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCYSSTEEATSTLTYEDMETSIARLNRLISRMQDQMNSLRAKLEELQAELVAINKTMGFVYLVIWSSFVCFMGIAGMFLIYL >cds-PLY71203.1 pep primary_assembly:Lsat_Salinas_v7:2:132222640:132223488:-1 gene:gene-LSAT_2X62481 transcript:rna-gnl|WGS:NBSK|LSAT_2X62481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDESQLQQFINEVVILSQVNHRNVVKLLGCCLETEVPLLVSEFISNGTLYDLLHDETDEFSFSLNMRIQIATDVAGALAYLHSATSFPIYHRDIKSTNILLDEKYRAKISDFGTSRFVSIDQTHLTTLVKGTFGYLDPEYFQSSQFTEKSDVYSFGVVLLELLTREKPIFFTSSGENKSLATHFLLAMEEGRVMSIFDAIVVKEGSRIELLTIANLAMRCLNINGKNRPTMKEVFVELEGIRLSHVPSKVQTNFGHAKYYEEFPLAYGESTSTTTTFNESL >cds-PLY67881.1 pep primary_assembly:Lsat_Salinas_v7:5:5833848:5835988:-1 gene:gene-LSAT_5X2800 transcript:rna-gnl|WGS:NBSK|LSAT_5X2800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSTDPWVREYNEASKLADDITSMISASSSLGSGPEAQRHSSATRRKITILGTRLDSLQSLLTKLPAKQPLTEKEMNKRRDMLTSLRTKVAQMASSLNMSNFGTRDSLLGPDTKPPDAMSRTTGLDNSGIVGLQRQIMREQDEGLGKLEETVISTKHIALAVNEELNLHTRLIDDLDEHVDVTDSRLKRVQRHLAILNKRTKGGCSCLGMLLSVIGIVVLVVAIWMVLKYL >cds-PLY69227.1 pep primary_assembly:Lsat_Salinas_v7:1:79796574:79796789:-1 gene:gene-LSAT_1X68261 transcript:rna-gnl|WGS:NBSK|LSAT_1X68261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDVAMLMAEEYEKMMMMKKMSSDHEDLELLPLSTVGSGVWWMKKKPRMNKLVHEPKSKIGIATINGFFSA >cds-PLY95559.1 pep primary_assembly:Lsat_Salinas_v7:6:173687387:173690038:-1 gene:gene-LSAT_6X104761 transcript:rna-gnl|WGS:NBSK|LSAT_6X104761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADCLLSSLLCAEDNDSLCYEYDDNHKNVDDKFWGHGNHLNINQNQPSIKNHDAEDSVLDFPLQSDECLSVLYKKESEQFVGLDYLMKLRNGNLDFVARQQAVDWIKKVHAHFNFGPLCAYLSINYLDRFLAVYEFPKDKAWMMQLLSVACLSLASKMEETEVPLILDLQVCESRFVFEAKTIQKMELLVLTTLKWRMQTVTPFSFVDAFIGKLDCDQPISRSLISRSTQLILCFTNGIDFLEFKPSEIAAGVAVAVVGQTQISALFQHVQKERILKCVELVNELSGGCTKSIKSGTVPRSPIGVLEASSCLSYNKSDDSGIESTTSKRRKLNDRTPSHLEL >cds-PLY75724.1 pep primary_assembly:Lsat_Salinas_v7:5:137407038:137410829:1 gene:gene-LSAT_5X59900 transcript:rna-gnl|WGS:NBSK|LSAT_5X59900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCNHKCGSYKKRLKKNFLVNENERNPLESYSYLEKTALQKFKERISSTEFQDISEKARMSSMCNTNPARVGPHGYRGNKAKWEQEKASGQLPSQLYEIKSERSLDYVLGRRSKNESRSKIIPPNMEPIVKKLIDVQKEIFEGDLLLGPGEDLLTKAIGPEHPGRTRAVGHDIGLRKGMQGLDKKKRKVVDKDVVSKMQAQLDETKTQLAELRAMLAKQECRNQVPNNVCFAVQNNSSCSTSTLDALDTIKTITCCDLVLPYGDMNQKCARGMVFPYNDGLIHSLPLRENHLKVMIDNIDERYKGIPVPVMTNEVGTLEDAVGTVIQWPRIAIVLSKTNLVVHVVADAGHLEAGAFDFSVTYEDYYRLLKKQTADLSIITTWQILLQLMLQKRMGKCAFLNPYKILGKACQETPIDVVNYLVDAMQLHHGKSFLIAPYLQKAFERYEKNTSIPIVWKLTECNQAGVLERELSGHYVMNWIFDFVLNRQHGFPSRFGTLWNDKIAFEEKALVTTVATWAREFLKNFMNDVVV >cds-PLY69195.1 pep primary_assembly:Lsat_Salinas_v7:1:79830982:79833455:-1 gene:gene-LSAT_1X68321 transcript:rna-gnl|WGS:NBSK|LSAT_1X68321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPGGNIFQDVSESRADFGVKSANIEGRSCLRLCGSAGGRHGLASNSAVHDLLECPVCLGIMHPPIHQCPNGHTICVVCKSKVQNSCPICRQELGNIRCLALEKVAESIELPCKYHYLGCHDIFPYHSRIRHEENCKYRGYNCPYAGTECYVTGDIPFLVAHLKTDHNVDMHDGSTFNHRYVKPNPHEIENATWMLTIFNCFGYQFCLHFEAFHLGMAPVYMAFLRFMGDENDASKFSYSLEVGGNGRKLKWQGVPRSIRDSHKTVRDSLDGLIITRNIGLFFSGGDRKELKLKVAGRIWREQS >cds-PLY71287.1 pep primary_assembly:Lsat_Salinas_v7:3:192340721:192342414:1 gene:gene-LSAT_3X114961 transcript:rna-gnl|WGS:NBSK|LSAT_3X114961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPLTIVSLIVASLLLFACWALSPKTLKNFPPGPPKLPIIGNIHQLKSSTPHRVLRSLAKKYGPIMYLQLGQVSTVVVSTPRLAREILKINDICFDDRPTSTASQIFFYNAQDIGWAPCGEYWRQMKKICRLELLSAKKIRSFSSIREEEVSNIIKVFESKAGTPVNFTEMTVEMVNNVICKATLGYSYKDQATLIELLLDVLKTLSAFNLASYYPRLQFLNVILGKKAKWLKMHKKLDGILEDVLKEHRARRRNNNHQEDLVDVLLRVKETGDMDFKLTDEHVKAVVLDMLAAGTDASSTTLEWAMAELMRNPRIMTRAQAEVRSVVKGDTITETDVQSLHYLKLIVKETLRLHAPTPLLVPRECRHDINVGGYDIPAKTKIIVSAWACGTDPDSWEDAESFIPERFENCPINYMGADFEFIPFGAGRRICPGITFGLSMVEYPLANFLYHFDWMLPNGLQPHELDITEITGISTSLKHHLKIVPIPKVLSKVR >cds-PLY83612.1 pep primary_assembly:Lsat_Salinas_v7:6:165084944:165090079:1 gene:gene-LSAT_6X100980 transcript:rna-gnl|WGS:NBSK|LSAT_6X100980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFHFMMISVLARHGVKQPRNPWSDGPEYITQCPIQPGDSFNYKIIFSSEIGTLWWHAHSDWSRATVHGAIIVYPKHGTTYPFPEPYQEVPIIFGEWWKEDIMEVLEEFVASGGAPRDSDAYTINGQPGDLYPCSNQDIFKLNVKYGKRYLLRMVNAAMNEILFFAIANHSLTIVGADGGYTKPVTKEYAVIAPGQTLDCLLEATKAAGGSYYMAARAYSTAFGIPFDNTTTTAILEYDNGTNLPTTTPFPSLPFYNDSSAAFDFLIGLKSPGPLLFPLNKYDMQIYSTVSVNAVPCQNNSCAGPNDTRLAASMNNISFVSRFIDILEAYYYNINGVFGTRFPSVPPLFFNFTSTNLPSILLTPKRATEVRVIEYNSIIEVVFQGTNLVAGIDHPMHLHGFDFYILGWGFGNFDKNNDPLKYNLVDPPHRNTVIVPINGWVAIRFKAHNPGVWFMHCHLERHLTWGMETVFIVKNGKEAQERILPPPLHMPRC >cds-PLY74274.1 pep primary_assembly:Lsat_Salinas_v7:1:175264397:175270340:-1 gene:gene-LSAT_1X115560 transcript:rna-gnl|WGS:NBSK|LSAT_1X115560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQNESNTTLKENNQTNTDPVRPFANFPPPIWDDHLLSLTVDYLELEAYAKAIDERKEELRRLIINPNIDSNAKLCLINSVYRLGLRYMFAEEIEYQLDKLFKELNMEDYDQADLYTTSINFQVFRQHGYKLSCDVFKKFKDYNSGKFKEYITDDVRGMLSFYESTQLRIRGESILDEAFVFIEAQLVDLVDTLEGNLARQVRQALRSPSHRGMHIVEARLYFSNYEEECSTYESLSNLANTHFNYLQQLHREELCIFTKWIKDMKFQTITPFVRDRTPELYLWAIGIIPEPHYSHSRIILAKMAQLVLVLDDIYDAYGTIEELRLLTGAINSWEINAMEQLPEYIKPFYNILLNELTEVEKQLSREGRANRVYATKQAFQKLAGGYLQEAEWRCQRHVPSFEEYLKNGLITSAYDVFIYSPLMFMGDIFSKEALAWYESRPNIGEATMSLGRLYNDVTSFQFEGERAQQVESVHTYMKTFGVPENVAVQELKKMIENDWKDINEGCLKPTEVSMELLAPILNFARMNDMVYRYSDTFTFPETTIVEYVNLLFIDFVPKY >cds-PLY67794.1 pep primary_assembly:Lsat_Salinas_v7:9:164132428:164133171:1 gene:gene-LSAT_0X6520 transcript:rna-gnl|WGS:NBSK|LSAT_0X6520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNFYSAGYDPLFYGHHANVDRLWSIWKGMDRKGHKDPTSIDWLDASYVFYDENEELVRVYNRDCVDTRRMGYKYERSAIPWIESRPTPHAKGANVAVNAVASGIVPKVENLTFPLTINKTFEVLVPRPAKNRTNADKEKANELLMINGIKFDCERFFKFDVIVDDLDDGVEVTAADSEFAGSFAQLPHGDSDEKMLMTSGASFGITELLEDIEAEGDDSILVKIVPKEGCDDVTISNIKVVLVPSE >cds-PLY64991.1 pep primary_assembly:Lsat_Salinas_v7:4:208105074:208108237:-1 gene:gene-LSAT_4X117181 transcript:rna-gnl|WGS:NBSK|LSAT_4X117181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPVDPPNGIKSEGKHYFSMWKALFEIDTKYVPIKPIGRGAYGIVCSSVNRETNEKVAIKKIHNAFDNRIDALRTLRELKLLRHLRHENVIRLVDVMVPIQRRSFKDVYLVYELMDTDLHQIIKSSQALSNDHCQYFLFQLLRGLKYLHSVNILHRDLKPGNLLINANCDLKICDFGLARTNTGKDQFMTEYVVTRWYRAPELLLCCDNYDTSIDVWSVGCIFAELLGRKPLFPGTECLNQLKLIVNILGSQREDNIEFIDNPKARNYIKSLPFSPGTSFSRLYPHAHPLAIDLLQKMLVFDPSKRISVVDALRHPYMSQLYDPNTDPQAQVPVDLDIDEDWGEEMIREMMWKEMIHYHPEAVAAANSEVML >cds-PLY83596.1 pep primary_assembly:Lsat_Salinas_v7:5:18153871:18155305:-1 gene:gene-LSAT_5X9061 transcript:rna-gnl|WGS:NBSK|LSAT_5X9061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSGQIVKYHLHLRLEVYALYTINFIFYCDPSWSAAPFSDSDYVALNSGFHKSMALDGVITSPHRRTQTAFSSTSSRKNYTSGNELGSFSTVVRRHRFLLTALALLAFLCTIYLYFAITLGAADVCMGLTGSQKALCQVQLAKDSIAKGKLKFM >cds-PLY82295.1 pep primary_assembly:Lsat_Salinas_v7:1:65873108:65874054:1 gene:gene-LSAT_1X56320 transcript:rna-gnl|WGS:NBSK|LSAT_1X56320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase sulfur carrier subunit [Source:Projected from Arabidopsis thaliana (AT4G10100) UniProtKB/Swiss-Prot;Acc:Q9S7A3] MFFAHRFEFIQLSLTFITKTILLNCLVPHNRHRHSIMGSSQMEKEGKKETQQTRIEIEDESFEIKVLFFARARDLTGMTDMKMEVTSGTSAGDCLDKLIAKFPGLKELRGCMVLALNEEYTTESALVKNKDELAIIPPISGG >cds-PLY77233.1 pep primary_assembly:Lsat_Salinas_v7:4:177006724:177007452:-1 gene:gene-LSAT_4X104120 transcript:rna-gnl|WGS:NBSK|LSAT_4X104120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRSSISSSRNPPIQIGNFRESDELKTCGCEKSAKERTSWKYHNPGRWFWNCSNSLTALKSCDYFFWKDNKLSDGYYKNLIRTLKQQVDSKENSIELINLRKKVVELEFLLSKEKSVVDKLEKKVTNEKEVVMMLNNKLEASMQQNSMLKVLVVMILLVVALS >cds-PLY79681.1 pep primary_assembly:Lsat_Salinas_v7:5:252211622:252213967:1 gene:gene-LSAT_5X127301 transcript:rna-gnl|WGS:NBSK|LSAT_5X127301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTAMLRSIRASLQKSSSSALLHRSYSSESAPARKVAVLGAAGGIGQPLALLMKLNPLVSSLSLYDIAGTPGVAADVSHINTRSEVVGYMGDENLGKALEGADVVIIPAGVPRKPGMTRDDLFNINAGIVKALCEGIAKYCPHALVNIISNPVNSTVPIASEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKAKVPVAGVNVPVVGGHAGVTILPLFSQATPQANSLSHEEIVALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAIFADACLKGLNGVPDVIECSFVQSSVTELPFFASKVRLGKNGVEEVFGLGSLSDFEKQGLEALLPELKSSIEKGIKFANQS >cds-PLY90924.1 pep primary_assembly:Lsat_Salinas_v7:6:123061945:123062340:-1 gene:gene-LSAT_6X75480 transcript:rna-gnl|WGS:NBSK|LSAT_6X75480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIIDRFFYSTSILLPSTLDFTTPFVFVSLFWLPIKRLHFDSSEVTPPFIISTALINCHIAKTLSNRWLEPAPSTRLPTKSLLQHSSLASLRYGRGSFFILYFLSIWFCCLSPNLYLNKNPSLFLKFHHRC >cds-PLY87239.1 pep primary_assembly:Lsat_Salinas_v7:1:50864392:50868769:-1 gene:gene-LSAT_1X43861 transcript:rna-gnl|WGS:NBSK|LSAT_1X43861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPDVSIETSSMIRIAVIPIGTIHSHQFRDYVAMLGRHHNIELSAISSFYTVHEKSPFSQQPWYSGNLRFKYVIGGSPPSPWEDFQPYRKIHGVIGICHCPSSPDLGSVLAQFLAACKGYSSSLIQRCFAFSPCDSQLEDESKKSNKLVLFPPADQRTQEFHLQTMMQDMAASLLMEFEKWVVAAKSSGTLLKTPLDSQASLSAEEAMKARKRRDARAHKTIGDYCLLAGSPVDANAHYSDALELARTIGDYFWYAGALEGGVCAFLMSKTGEKDAALVEEVKFRYNGVITHYRKSFISENAQRVSPLSFELEATLKLARYLCRPELVKEVVELLTTATDGAKSLIDTSDRLILYIEIARLFGTLGYHRKAAFFSRQVAQLYMQQESNLAASSALQVLALTTKAYRVQSRASISNETGQYLVDGGKMNHHLVVSLFESQWSTLQMVVLKEILLSAVRAGDPLAAWSAAARLIRSYYPLITPPGQNRLANALINSADRLPSGTHCSDPALPFIRLHSFPLHFSQMDIIKRNPKREDWWAGSAPSGPFIYTPFSKRDAVSNSKHDLIWVIGEPVQVLVELANPCGFDLIVNSIYLSVHSANFDAFPVSVTLPSSSSKVLSLSGVPTKEGIVSIPGCIVHCFGVLTEHFFKDVDNLLLGASQGLVFSDPFRCCGSAKQRNITVPNITVVPRLPLLVSHVVGGDSAMILYEGEIRELWMSLANAGTVPVEQANISLSGKNQDSVVSIGYEILKSALPLKPGAEVIIPVTLKAWQLGLDPDTANKRQVDGSSPVLLIHYAGPTGDPMPPGRRLVAPLNICVLQGLSFMKARLLSMEIPAHVGGVSENICSTDSIVKIDPFRGSWGLRFLEFELYNPTDVVFEVIVSVVDGINTNNSNNNSNNNKDNNNEFSYPKTRIDRDYTSRVLIPLEHFKLPVLDGSVLINNGGGGVKTKAELNASIKDLISKIKVRWQSGRNSAGELHIKDATQAALQTSVMDVLLPDPLTFGFRVDERKEKQDCVVANEMSRMAVIVRNNTKDCIKMSLSITCRDVAGTLIGVKVEIPPLKEIKHTFSLYFMVPGEYTLLAAAVIDEPNEILRARARSTSFDEPIVCRGPPYHVRVHGIV >cds-PLY94125.1 pep primary_assembly:Lsat_Salinas_v7:8:29679195:29682500:1 gene:gene-LSAT_8X23960 transcript:rna-gnl|WGS:NBSK|LSAT_8X23960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGAYQALLNLTSPVAGAPPFRSRKHTFTTPHLSFSGNRSFGATRSNSLLPRELRSVTRTLVIRNSANPAQEFQGNDGPNQPPQVFASTFLASAKPLLNFVGSNFLPLALISGVTLGLINPSLGCLAHKYHVAKFSTCGIFLISGLTLRSEEVGAAVAAWPVGLYGLASILLLTPLFSKIILLIHLQPQEFVTGLALFCCMPTTLSSGVALTRLAGGNSALALAMTVLSSLFGILIVPFSISKLIAGGVGASLPADQLFRSLILTLLTPLIFGKVLRESFKGVADFCDSNRKLLSCVNAILLSLVPWIQVSRSRSLLLMVKLEVFLVAVVMGAVLHLTLLGFNALSIQILCGISGGNKSIFANKENSSALLLVASQKTLPVLVAVVDQLGGSFGESGLLVLPCVAAHLNQIIMDSFFVSFWNKKKQSLGDNKSA >cds-PLY68097.1 pep primary_assembly:Lsat_Salinas_v7:8:33821388:33822312:1 gene:gene-LSAT_8X25841 transcript:rna-gnl|WGS:NBSK|LSAT_8X25841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGCSLEDDYEYISPDLTLVLVVTGNGKTATGNSIVGMDVFESKPSSFGVTADTSLLRKTMLTDGRMLNVIDTHGLFDSSVGSDELIEKEIVSCMNMGTNGVHAVLVVFSVSCGFSEEEEAVIGRLLSLFGGRIYDYMIIVFTGGDELASHCRTFDDFLYDCPETLKKILCFCGNRCVLFDNMTKNQTKKGDQVQELLSLVNMVLEKNGGRPCKEMYTEFKVKLFSLYIYPCCF >cds-PLY70363.1 pep primary_assembly:Lsat_Salinas_v7:4:99276425:99283835:-1 gene:gene-LSAT_4X64301 transcript:rna-gnl|WGS:NBSK|LSAT_4X64301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMFFHLVLVVYADFIGQVVSTELMRVIKDNARETRLMSMVAQDMSGRKMQVALWDGFALKLSSYISEHQNENAPVIILLRMAKLKTWGGSRTPKDPR >cds-PLY87957.1 pep primary_assembly:Lsat_Salinas_v7:3:177748350:177749670:-1 gene:gene-LSAT_3X107041 transcript:rna-gnl|WGS:NBSK|LSAT_3X107041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVLCRTKEIAYTTCTYEYLRGKILDVLPEYSKKAEDHLSKAVCLGNSIWKKGDLPATRNCFTLSLSKVDYHFTCADNQEEIVSESIKHAKEAIPLDVKDESSWYNLGNACLTCFFVMGAWDHNKLHQSLKAYQKWALSKFEAAASKDPCLNATEEVQKMVNLFDKLDTLLKASFYLCYHPFLFKIQENCILNIKINKSRNQDWFSWMRARVFDENS >cds-PLY92859.1 pep primary_assembly:Lsat_Salinas_v7:5:328973602:328975045:-1 gene:gene-LSAT_5X181960 transcript:rna-gnl|WGS:NBSK|LSAT_5X181960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATGSPCGACKFLRRKCTSECIFAPYFCSEQGPARFAAIHKVFGASNVSKMLHHVAAADRCEAVVTIAYEAQARIKDPVYGCVAHIFALQQQVAYLQAQIMQVKAQMAQGYLDSRNLETPSMAGAMPYQNYINAANFTNFNTSPQSSMESIDHNYEGVGIQEIQSRNGFNNDHGLYNKRLSESDLGELQELAVRMMRN >cds-PLY63660.1 pep primary_assembly:Lsat_Salinas_v7:4:133395771:133396582:-1 gene:gene-LSAT_4X83361 transcript:rna-gnl|WGS:NBSK|LSAT_4X83361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM8 [Source:Projected from Arabidopsis thaliana (AT5G50810) UniProtKB/Swiss-Prot;Acc:Q9XGY4] MDASALSNPQLQHMINQEKERAMANEMIAKLTSACWDKCITGTPGSKFSSSESSCLSNCAQRYMDMSIMIVKRFQSMH >cds-PLY88165.1 pep primary_assembly:Lsat_Salinas_v7:5:221314032:221316824:-1 gene:gene-LSAT_5X101760 transcript:rna-gnl|WGS:NBSK|LSAT_5X101760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFKLIIVSLLLVTPIVTSQKTRIPKSRPIKTIRVKNGDIIDCVDIYKQPAFEHPALKNHIIQMKSNHLHPTTDQTRTSPKAPSQIWQQYGSCPNGTIPIRRTTINHPTNIHPSKVIVTPHHSFSVVLTEGFSYSGAKANIRVWKPYVESGNDYSSSKVMLRNGPLQTFDTAEAGWAVNPKVYNDNNTHLFAYWTVDGMKNTGCFDLTCPGFVQTSSEVVLGGDISDLYGSDITIQISKDPYTSNWFLRYNDNEVGYWPGEIFPILRHQANLVQWGGEVSSPNVGTHPHTATAMGSGKFADFIFGSSGTIKGMLVEENSNPLKPPENLYPSSDEWDCYDAYLLKEYVKEPSFFYGGPGSRNNPRCP >cds-PLY74481.1 pep primary_assembly:Lsat_Salinas_v7:7:40296438:40297713:-1 gene:gene-LSAT_7X29301 transcript:rna-gnl|WGS:NBSK|LSAT_7X29301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTVNPLNAMEESPNWLEMPHELMANILQRLDDVEILNSALKVCTTWWRICKDPAMWKVIDMHRPIDARDVDYDLEALTKQAVHLSCGELIDFSISGFGTDDLLDYILLSSSKLNSLCLTDCYNITSSGLSRGLERVPQLEKLHISYTSWRVNYSEVIVPNCPQLKSFKLDKECIEIGLTNDDDALAIAENMPELRHLQVFGNKMTNTGLQAILDGCPHLESLDVRTCYNINIFGDEVKLCKERIKNFKCPGDSIENCTFLPPFHVYVDPNNQWGDYEHYDDGFYYDDYDDPSDYI >cds-PLY98201.1 pep primary_assembly:Lsat_Salinas_v7:2:83267411:83270858:-1 gene:gene-LSAT_2X36221 transcript:rna-gnl|WGS:NBSK|LSAT_2X36221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYSSDSISKFSNPLGDGSLRPYFSSHKSKGLSSFQTKCRNSRTHIVKAISDSSKHERKQVELVYNLDEKLNRLADEVDMNAGLSRLSLFSPCKINVFLRITSKRPDGFHDLASLFHVISLGDKIKFSLSPSKSKDRLSTNVPGIPLDERNLIIKALNLYRKKTGSDKFFWVHVDKRVPTGAGLGGGSSNAATALWAANRFSGGVATEKELQEWSGEIGSDVPFFFSKGAAYCTGRGEIVQDIPSPVPFDLPMVLIKPPEACSTAEVYKRFRLDVSSTIEPSTLLEKISKNGISQDVCVNDLEAPAFEVLPSLKRLKQRILAAGRGKYDAVFMSGSGSTIVGVGSPDPPQFIYDEEDYKDVFLSEATFITRGDQQWYTEPSSSNATSHMDKSNCVG >cds-PLY90187.1 pep primary_assembly:Lsat_Salinas_v7:7:13260901:13261852:-1 gene:gene-LSAT_7X10461 transcript:rna-gnl|WGS:NBSK|LSAT_7X10461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSVLSNLLGCSLFTNNLMEIANENAGTSSKSNEESEDVISFLEVDIITPTHNLLARKLTCEIVPGKSLLLTGPNWSSKSLVFRALRCLWPIVDGRLVKPSHDVNDVVEAESGCGIGILYIPQKPYTCLGTLCDQIIYPLSHEQAEKRALSLYQQGQIDIGVADANILDMHLKRILENVKLLYLFEREGRWDASQNWEDILSLGEQQRLGMARLFFHKPQFGVLDECTK >cds-PLY83512.1 pep primary_assembly:Lsat_Salinas_v7:8:188444499:188446222:-1 gene:gene-LSAT_8X122140 transcript:rna-gnl|WGS:NBSK|LSAT_8X122140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKVDSKEEEAAAREIWKYVFGFTPMAVAKCAIELGIPDILEKQETPMPLAELASELGCSSSSLYRIMRFLIQYKIFQEKPISETSLGYAQTSLSRLLTRRGKHSMADFVLLESSPVMLAPWQKLSARVLSNKNLPFGAAHGDDVWKFAAANPGHSKLIDDAMACDARVAVGAVIEGCPEVFEGLKTVVDVGGGDGTALRLIVEACPWIKGINFDLPHVVSVAPVCRGVEHVGGNMFDHIPKTDATYLMKVLHDWGDHECIDILRKCREAIPQDTGKVIIVESIVGLEENHDFEDVVLMLDMVMMAHTSTGKERTLKEWSYVFTEAGFTRYTIKHIRTYQSVIEVYP >cds-PLY85542.1 pep primary_assembly:Lsat_Salinas_v7:2:196786665:196789386:-1 gene:gene-LSAT_2X117981 transcript:rna-gnl|WGS:NBSK|LSAT_2X117981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLKNPKNAKRKSKGSKKEDGSSSSNSVPSMPAKVWQPGVDALEEGEELQCDPSAYNSLHAFHIGWPCLSFDVVRDSLGLVRTEFPHTIYCVTGTQANNAPNSIGIFKISNISGKRRELVPTKTSNADTDMESDSSDSDEDELDNEGPKAPVFQVRKVFHEGCVNRIRAMTQKPHICATWGDTGHVQIWDFASHLNALAEAESNISKDASTVSNQTPLVKFTGHKDEGYAIDWSALVPGRLVSGDCKNCIHLWEPSSDSTWNVDSKPFVGHTGSVEDLQWSPTEPYVFASCSVDKTIAIWDTRLGKSPAASIKAHSTDVNVISWNRLASCMLASGSDDGTFSIRDLRLLKEGDSVVAHFEYHKHPITSIEWSPHEASTLAVSSSDNQLTIWDLSLERDEEEEAEFKAKTQEEVHAPTDLPPQLLFVHQGQKDLKELHWHTQIPGMLISTAGDGFNILMPSNIETNLPPANATA >cds-PLY79539.1 pep primary_assembly:Lsat_Salinas_v7:1:33358397:33359076:-1 gene:gene-LSAT_1X29320 transcript:rna-gnl|WGS:NBSK|LSAT_1X29320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAFRAFLNSPVGPKTTHFWGPVANWGFVAAGLVDMQKPPEMISGNMTAAMCVYSALFMRFAWMVQPRNYLLLACHASNESVQLYQFSRWAKSQGYLQKKEDEASST >cds-PLY68174.1 pep primary_assembly:Lsat_Salinas_v7:8:119150927:119151205:-1 gene:gene-LSAT_8X82981 transcript:rna-gnl|WGS:NBSK|LSAT_8X82981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKQNRTPTIVLIWYILLASIFSLLWVRIDPFVLKTKGPDVCTQNKEDESRPDVCIQNKEDERGERPIIDSDGDIRWVSVDCEENMEIVDRF >cds-PLY93365.1 pep primary_assembly:Lsat_Salinas_v7:9:60431544:60433001:1 gene:gene-LSAT_9X53521 transcript:rna-gnl|WGS:NBSK|LSAT_9X53521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKNCFCCTSHEDQEPTISAQKKTEYPWNMYTLKELVHATNNFHNDNKIGEGGFGSVYWGRTTVKRLKSINAKAEMEFAVEVEILGRVRHKNLLGLKGFYAGGDERLIVYDYMPNHSLLTHLHGQLAVDCLLDWPRRMSIAIGSAEGLAYLHHEVTPHIIHRDIKASNVLLDSDFQAKVADFGFAKLIPDGVTHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSYGILLLEILSGKKPLEKLPGGIKRDIIQWATPFVQKDSYELIADPRLKGRFDYAQLKVVVKIALACTDSNPENRPSMLEVVEWLKSGIGSRRTEIRIVKDRVDETEDEDDFCDDDTDYYETFDMKKHSGRVPKMPSRTR >cds-PLY97810.1 pep primary_assembly:Lsat_Salinas_v7:6:18469919:18470590:-1 gene:gene-LSAT_6X13921 transcript:rna-gnl|WGS:NBSK|LSAT_6X13921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRNFPTRESSPHNPLQSFTFIFAFVSTVITIVSFLCGAPKKKRRTSIPLTNREALADEEIQTFEVRVDDKTDNHRSFDLPPPRETNIKPLPPPPETINKPLPLPPSMVKLRAASFHVRSSSNASQKGKLSSSMSMRSIGGYKKASKKETKRGKNKLSHEDSIWKKTIILGEKCRVPDDEDEAILYDEKGMRIPTFRRKQTSGLQISRQNSTFESNDIEKEDV >cds-PLY75301.1 pep primary_assembly:Lsat_Salinas_v7:3:158183674:158184684:-1 gene:gene-LSAT_3X99221 transcript:rna-gnl|WGS:NBSK|LSAT_3X99221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAIIDAITKLRKKISREIYPDRTMSQRENRCFTTNHKFQVGHSIHTGNYDQGFLYQPTSTSEIPPETFFKYKSSVSSPELIKKMQGHLSAWLVKHGLIHRSLGFDYQGIETLQIKPGDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHLTRIEYGADQPEEVCIKVFAPRRDPRIPSVFWVWKSVDFQERESYDMLGISYDNHPRLKRILMPESWIGWPLRKDYIAPNFYEIQDAH >cds-PLY64572.1 pep primary_assembly:Lsat_Salinas_v7:6:35426927:35427979:1 gene:gene-LSAT_6X24461 transcript:rna-gnl|WGS:NBSK|LSAT_6X24461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase Cdc25 [Source:Projected from Arabidopsis thaliana (AT5G03455) UniProtKB/Swiss-Prot;Acc:Q8GY31] MAKNVSFITGSELLSLKERSNVAIVDVRDDERSHDGHIAGSLHFASDTFQDRIPNLVQAAKGKDTLVFHCALSQVRGPKCARRFADYLAEGKVDAGIKNIMVLERGYNGWEASGKPVCRCRGSTCKGGC >cds-PLY69677.1 pep primary_assembly:Lsat_Salinas_v7:5:213049717:213057885:-1 gene:gene-LSAT_5X97860 transcript:rna-gnl|WGS:NBSK|LSAT_5X97860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNAWHKLGVMPTENQYTSILRQLHSFILLGMMVSVLMGRLLEGPTFLIWFGPMVRLTVADPDLIREVFCSKSELYEKNEAHPLIKQLEGDGLLSLKGEKWALHRKIITPTFHMDNLKLLVPVATCSVVKMLDKWLDMSDSGEVEIEVSECYQNLTKETMTRIAFGNINYEYGKHIFQLQTRQMVLTSEAFQKISIPGYRFFPTKRNRECWKLQKEIKKRLMRVIERRREKWEDDELENGPKDLLGVMIEASRKESLNFLPAITASDIAEECKSFFFAGEQTTSNLLTWTTVLLAMHPQWQVIARDELTMILNESLRLYPPIVASIRRAKADVELGGYKIPRGTELLIPILAIHHDQTIWGDDVNEFNPSRFSDGIARAAKHPVAFIPFGLGVRTCIGQNLAILQAKLTISIILQRFSFKLSPKYQHAPTVLMLLHPQYGAPIVFKHLRTDRRSKGNQGS >cds-PLY72505.1 pep primary_assembly:Lsat_Salinas_v7:2:142651287:142653923:1 gene:gene-LSAT_2X70300 transcript:rna-gnl|WGS:NBSK|LSAT_2X70300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSESDPSYIFSQKNSRLPEDTVFFTIFPVSSLSPDPKSQNSKTTPLLSPHLQSLHLQILQYLSQYTTNYIWQHEPFTLSPSTQSPCSFCPSNHIPHLHGKLRYGDNLEDEWFIVFLLFQTSQKFPNLSIHVWDTDGEFLLIESAFHLPKWVNPETTTNRIFIRNGNLHIIPKDHFPSNPTLDNALSYLIKQENQTKAPNSVQLSIKNRIGDYPERAIKNMHKVRVRVPILIAQILKHEPCLISLAVEGFYDRDIDSMKYAAKMERFLPNKSSDEIVEVSVIMSRAMYAQLMQQTFQAPKCYPMPARSESGYTSAELGMKIACGFEMIYQIKKQEKLQGKGSTWEVYKESLEKNGYFEGLISGSKEYKRLMENAESYYKKSSLHSRESEIMSAPVKRIDDIIADCNVSLDEFKNQEIPPSDDDSWLYNGEDELNAALFERQQEMEFYDMKKNKEDDVGPSCSSDLNDYDLGDIAKSMQEFVQKMSSFEGAEVPGNRNSEDVDLDVERFMKEIDSVMNPSNGDEELDSDMDLDDDDDEDIEDDDDDDDEEMDFMNSYSDVLSKELKRTTLDKSFVRANANEKELKKDEGTSKVEEEEEEEEFSRVDVDVNLVKNFLGSFSSQEGLPGPASNLLGLMGLQLPQDHKDK >cds-PLY81740.1 pep primary_assembly:Lsat_Salinas_v7:3:34546630:34549285:1 gene:gene-LSAT_3X25780 transcript:rna-gnl|WGS:NBSK|LSAT_3X25780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR22 [Source:Projected from Arabidopsis thaliana (AT1G11290) UniProtKB/TrEMBL;Acc:A0A178WFR8] MSSQLLPVASLSTTPRSSLSPSSSRIQHTLAQRTHIPAHVYKHPAAILLELCTSMEELHQIIPLIIKNGLYEEHLFQTKLVSLFCKYGSLTEATRVFEPIENKNDALYHTMLKGYAQNSSIFDGLSFYCRMIEDGVQPVVYNFTYLLKGCGENCLVKNGKQVHAQLILNGHEGDVYAMTCVVNMYAKCRLIDDAHKVFVRLPERDLVSWNTIIAGYAQNGFAGRAIELVSQMQDEQLKPDPITIISVLPAVGNLGYSTIGKSIHGYIFRSGYERLSNVLTALLDMYLKCGSLSIARVIFNKMSVRNVVSWNIMIDGYAESGDSMESLILFQKMLDDGIKPTGVTIMAALHACADSGDLSRGQFLHRLANELGLQNDIPLTNSLISMYSKCKQIEIAGKLFKNMKEKTRVSWNTMILGYAQNGRVIEALNHFRDMKLENIEPDSFTMVSIISAISESSILLQAKWIHGIVTRSFLDRNVFVKTALVDMYAKCGAITIARKLFDLMDEKHITTWNAMIDGYGTHGCGKEAIELFNKMENENIKPNNITFLCIISACSHSGFIKEGVRYFSIMKEKYAIDPTMDHYGAMVDLLGRSGRLQEAWDFILKMPVEPGVNVFGAMLGACRIHKNVKFGEMAAERLFKLNPNDGGYYVLLANLYASVSMWDKVNEIRTKMERKGIVKTPGFSSVDLGNEVHSFYSGSSWHSDSKKIYDFLETLIEKIKGAGYVADVDLMRDVEDDVEEHMVSVHSEKLAIAYGLLNTRPGKTIHIRKNLRVCGDCHNATKFISLVEKREIIVRDLHRFHHFKDGICSCGDYW >cds-PLY70810.1 pep primary_assembly:Lsat_Salinas_v7:4:58921352:58923991:-1 gene:gene-LSAT_4X39541 transcript:rna-gnl|WGS:NBSK|LSAT_4X39541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCVNSKWKVDELKTKLSKYITYNQTTAYPVGSLLSSIDLGDEMEAYDQVKDLYLIGSSSMENSFEVLDLVFHGHKSFGIVIGREKDGRIKENYGYFCAKAQICEKMASSMDSYKGKGGKSDGSGFDEIPSSPKSPLSPKKPFEVKAEHLAEVKGKSFGVSIGYSGLNYVLYISSATPINFILTFCLILQSHLIAR >cds-PLY66359.1 pep primary_assembly:Lsat_Salinas_v7:5:289870962:289873047:1 gene:gene-LSAT_5X156100 transcript:rna-gnl|WGS:NBSK|LSAT_5X156100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKLGCFARFFLTAKPYIAMICLQFGYAGMNIITKVSLNRGMSHYVLVVYRHAFATAAIAPFAIIFERNMRPKITFSIFMQMFALGLLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVMAVLCRMEKLDMKKVRCQAKVIGTIVTVAGAMLMTLYKGNVVELIWTKHVHPHYAETTSSSSDSSDKDWVKGSILLIIATFAWASFFILQNVTMRVYKAPFSLTCLVCFIGTLQSIAVTFVMEHKPNVWSIGWDMNLLAAAYAGIVSSSIAYYVQGLVMEKRGPVFVTAFSPLMMIIVAIMGSFILAEKIYMGGVMGAILIVMGLYSVLWGKYKEFKDKELSDEILDPVKVNSGNNNNNNNMMMIDGVEANDIEMQKNECKSAVPAIAISAPMPTPPMIAVEAPKITN >cds-PLY79049.1 pep primary_assembly:Lsat_Salinas_v7:3:8525534:8527067:1 gene:gene-LSAT_3X5621 transcript:rna-gnl|WGS:NBSK|LSAT_3X5621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIIVKKRNVDSSRKRWSSSKREKVNVVSQTRSKTSKNTVIEQPKVKLRVKVKTVSKKRELSDEDDADFQSRPGSSKKPKRETKVLKKDKKAAVIKEFPSLKNRCSPGSLLDVIQGLSREQKDFVRAIGFGSLLGMKLIDVPLKIVYYVLDHFNFESLKVEFDNCEVSVDSKSVQEMLGLPSGGSLLSNMDYISENNEESCMFEWKKQYENIDKLRLKQLKNELVQTSVADDNFIINFLVLFINTLYESTSMGKCNLNPLYLIRRDTDLSSIDWCDYIVDCLVRTKKVYNPEKESSFFYGPAAYLMLLYVDSFKFGHLQVTRKHPTIFYWTSENIRFLDDILQESGGFGCGHVNEAYVEEKFQECEYNEEESGGDEVESNGGMKKILMLIKLVMWRCMKVKFHVCIRRWRI >cds-PLY69281.1 pep primary_assembly:Lsat_Salinas_v7:7:131315188:131318760:1 gene:gene-LSAT_7X78100 transcript:rna-gnl|WGS:NBSK|LSAT_7X78100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERTEPTFVPEWLKSSGGLSTSHQSSSLHPDEQGVSKSSKSLRNKSLDNELQRVSVSDRTTSSYFRRSSISNGSALSRSYSSFNRNNRDRDRDRDRDWEKDNNNNNRQKDYSDPLANILPTRFEKEGLRRSFSGVSGKRADSWPRKVASDLSIANKSSNVKTSFERDFPSLGTDEKQVDNDIGRVPSPGLSSAIQSLPIGNPAVIGGDGWTSALAEVPVIVGSNGNTTAVQPSSVSATTSMTGGRNMAETLAHGPPRIQTAPQLNAGTQRLEELAVKQSRQLIPMTPSLPKALADKPKLKVNQSQIVNHPHSPRHVVSSMKPEVSKTSTVGKLLVLKPSRERNGISPTTKESLSPTASSSSSAKLPNSPLAIPSVPVVEKRPSSQAQSRSNFFNLMRKKSMSNNSSSGEKSGEQVADPPPPPPPPPPEGGSESTQVKVNGDAIANVNDNGKHHDVILYSEEEEARFLRSLGWDETAEDEEEEGLTEEEISSFYRNYLSLKPASKYLKGTEAKVLMRVGEMEMEISSDSKVDA >cds-PLY84403.1 pep primary_assembly:Lsat_Salinas_v7:8:79130641:79131049:1 gene:gene-LSAT_8X56740 transcript:rna-gnl|WGS:NBSK|LSAT_8X56740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMSRLITGNERVFWGGLLVIFGSTFFGGFLYTAVISKLLPHSDNAIIFAIQNDRYYCFLVPLTLPVLVFAVYLYWLSMKMFKHA >cds-PLY72551.1 pep primary_assembly:Lsat_Salinas_v7:2:139724649:139724843:1 gene:gene-LSAT_2X65541 transcript:rna-gnl|WGS:NBSK|LSAT_2X65541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSTSILSCFLDVFFLLFSSVDDEDDHHLIVPGVTNIGEKSAVSSNIMAQKHFQQFHHIKGQ >cds-PLY85189.1 pep primary_assembly:Lsat_Salinas_v7:9:146413574:146413900:-1 gene:gene-LSAT_9X93941 transcript:rna-gnl|WGS:NBSK|LSAT_9X93941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAQTNFGKSEPAPVSDCHADEPSGATIDPTVKVDGSPKASESVEIPEFIAPASQSTTAPIVSFMEPNLSFGPEKNPFFGSIENLVPLGCFGPFPTNNDTPTYSFTSQ >cds-PLY61694.1 pep primary_assembly:Lsat_Salinas_v7:5:218012952:218013182:1 gene:gene-LSAT_5X101161 transcript:rna-gnl|WGS:NBSK|LSAT_5X101161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWNKARSVRSPSSSGKYPAMSPWLRSIPATVLSDRLSGAGAQNTPVYPPHTSDPTQSDVRFCGSESIACFHACKAM >cds-PLY75090.1 pep primary_assembly:Lsat_Salinas_v7:2:94703481:94704952:-1 gene:gene-LSAT_2X42120 transcript:rna-gnl|WGS:NBSK|LSAT_2X42120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALDPTSCLMIDDDILNFSLDGEDEDLDDKHNTTKPPSSSMDFSKTNSQEPDDCCGSFPEFAEEELEWLSNKDAFPALETCFDIITNPNPNPIVMVVDHLSPVSVLENSASSSHSNTNSNSNSTITNCCGSLHVPISYPVKKRSKRRRKRRKGFPELPSEQCWWWNQENMKKQDLLPPPPQPPTAATGIGRRCQHCLAEKTPQWRAGPMGPKTLCNACGVRYKSGRLVPEYRPASSPTFSSVKHSNSHRKIMEMRKHSNDGGKKGFGYGVG >cds-PLY84358.1 pep primary_assembly:Lsat_Salinas_v7:4:216134764:216135129:1 gene:gene-LSAT_4X122321 transcript:rna-gnl|WGS:NBSK|LSAT_4X122321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQKKGGCCLRSGPLHLLLQLSSITPNTLCFCFARSMPPILLAFYCLTKKKEPMRELSEQPLARTIVDPAASFSPSLVVAGDKKRTTKGRRRWVAPPRDLLDRHSFPIITFHLFFFFCFSD >cds-PLY64299.1 pep primary_assembly:Lsat_Salinas_v7:5:83919985:83923970:1 gene:gene-LSAT_5X39260 transcript:rna-gnl|WGS:NBSK|LSAT_5X39260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSDGDDPSTSESLLLITTEDRQQRRSNTSSSPATAVVVFSTVVAVSGSYVFGSAVGFSSPAQTGIMEDLSMSLEEYSVFGSILTIGAMVGAVMSGKIADQLGRRRVSWLLDVGRLLIGYGIGVLSYVVPVYIAEITPQNLRGAFTTVNQLMICIGVSVMWLIGTFIHWRSLALIGIIPCMLQILGLLFIPESPRWLAKIGLWKECEYALQQLRGENADISEEAAEIRDYTETLDQISESGVFDLFQPEYAKSLIIGVGLMVLQQFGGVNAIAFYANSIFISAGFSSSIGSIAMVIVQVPFTLLGVLLMDVSGRRPLLMVSAAGTCLGCFLTGISFLLQDLQENKGVSPILALVGVLVFTGSFSLGMGGIPWVIMSEIFPINIKGSAGSLVTVVNWFGSWVVSYAFNFLMKFSTEGTFFMFSSICCVTVLFVAKLVPETKGRTLEEIQASMNPFIVN >cds-PLY68588.1 pep primary_assembly:Lsat_Salinas_v7:2:20918024:20919487:-1 gene:gene-LSAT_2X10641 transcript:rna-gnl|WGS:NBSK|LSAT_2X10641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYELPFHIQGEIMMRLPVKSLIQFRSVSKAWKSLIDSSEFVAAQSVLDTQPHHLFVWYKDPRGEIKYVSFLDDDSFPQQRFVPTLPLSVKLPRIVGSSHGLLCLDGYHWDPETSEINFKKRLAVVCNPSIRKSIAFVVPDILYARQEIVLAFGVCPVTIDPKIIQITQLPSWMDKKTEISNLWKVEVYSFRSGKWKSLSTNLPSNSIRIRQPQVVIDKFIYWCSSCIDSGLRTHNLIMSFDMTDESFKVVDLPECLASHPFARLSISKLGESLVMLQYNINTEEQVWCDVWIMVNGAQVSFTKLYTIKGQRGSIKAVGFRKSGGPIMEVDDYLSEPTQLVVYEPNSGHCDDPIRGYSFNVNSYMETLLMFGRSDCSSY >cds-PLY79903.1 pep primary_assembly:Lsat_Salinas_v7:8:15870532:15873976:1 gene:gene-LSAT_8X13201 transcript:rna-gnl|WGS:NBSK|LSAT_8X13201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESNFIFHKYPSQKGHHLSTKTFHTSNTKEECKQNTHYFTLLLHLLFSGYFHRYPSQKSPPLHEYKTPIKKKKENRNKNTHYFTFLLHLLIICSSPFVVSTQSWDGIIVSESNLQALQAFKQELIDPNGFLKSWNDSGYGACSGGWEGIKCAQGQVIIIQLPWRGLGGHITSKIGQFQALRKLSLHNNAIGGSIPKELGFLPDLRGIQLFNNKFTGSIPPTLGSCTLLQNLDFSNNSLVGGIPDSFGNCTKLYNVNLSLNSLSDSIPVSLTQSDSLMFLSLQFNNFSGVLPDSWGGDKPVVRSLTFDHNFFTGKIPVSLSKLTELEEISFSHNKFSDEIPSEFGRLVKLKSLDLSYNSINGGIPVTFSDLSSLTSLNLAHNNFTGQIPVFLGDRQNLAFFNVSYNNLSGPVPVQISSKFDSSAFVGNLDLCGYSPSTTCPTSPAKSRHRKTRAKNVLLIVGGALIAGLLFLCCILLCCLLKKKDTVKQKDVEGGGRGIPASKGEGEAAGEAGGKLVHFEGGMEFTADDLLCATAEIMGKSTYGTVYRATLVEGNQVAVKRLRERITKNQKEFENEVNSLGKIRHQNLLALRAYYLGPKGEKLIVFDYMPKGSLATFLHARGPNTPVNWPTRMRIMKGMARGLHNLHTNQNIIHGNLTSNNVLLDDDFNPQIADFGLSRLMTAAANTNVIATAGALGYRAPELSKLKKANTKTDVYSLGVIMLELLTGKSPGEAEDGVGLPQWVASIVKEEWTNEVFDLELMKDASAIGDELLNTLKLALHCVDPSPSARPEAQLLLQQLEEIRPETAASSGDDGGGNLME >cds-PLY62141.1 pep primary_assembly:Lsat_Salinas_v7:1:211548293:211550124:-1 gene:gene-LSAT_1X129560 transcript:rna-gnl|WGS:NBSK|LSAT_1X129560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSTILPLSHFSFLSQKPHGTSIGSFPLNQWNPTSQKTRLNCQKMYVPGFGEASPEAKAAKNLHNLFTYVAVRVVNAQLESYNTEAHKELTEFMEKHSLNDGDKFCAALMRESSRHKTLAMRILEVRSAYCKKDFEWENLERLAKKMADESNTRLMRDYVLETSHVETEK >cds-PLY88666.1 pep primary_assembly:Lsat_Salinas_v7:5:69320413:69323745:1 gene:gene-LSAT_5X32800 transcript:rna-gnl|WGS:NBSK|LSAT_5X32800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGSQPLHQLQMLTPQHQQQLLLAQQNMTSQSANDESRRLRMLLGNRSMSMGGKDGISNSVGDVVPNLGSPMPVLPRGDQDMLLKLKMAQLQQQQQQQQQQQQQNGNPQQQQQQHLQHSLSAPLIQNSNLNLQQEKIMGTSSVTGDGSMSNSFRGNDQTGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPNLPHNTTSSKPMMMFGTDGPTTLASPSNQLADMDRFVEDGSLDDNVESFLSHDDTDPRDPVGRGMDVSKEFTFTEVSSVLASASKVVCCHFSSDGKLLASGGHDKKAVLWYTDSLKPKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPGFSLRTFIGHSASVMSLDFHPNKDDLICSCDGDGEIRYWSINNGSCSRVFKGGTAQVRFQPRHGRYLAAAAENIVSILDVETQACRHSLQGHTKPIHSVCWDPKGEYLASVSEDSVRVWSLMAGNEGECIHDLSCNGNKFHSCVFHPSYASLLVIGCYQSLELWNMSENKTMTLSAHEGLIAGLALSTVTGLVASASHDKIVKLWK >cds-PLY84838.1 pep primary_assembly:Lsat_Salinas_v7:1:59963044:59966355:-1 gene:gene-LSAT_1X52580 transcript:rna-gnl|WGS:NBSK|LSAT_1X52580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKDLHFVLIPLMQQGHMIPMVDIARILAHRHATVTIITTPVNANRFRSAIARAIEANLKIQVLELQLSLSEVGLPEGCENFDMIPSFDLFVKMYAAMDMLEQPTENLLRRLTPAPSCIISDNQFPWTTDLAQRLGIPRLVFHGPGCFTFLCLHIVMNTNILNEVESDSDYFIIPGITDRIQVTKAQASSWGKRETKEMVDFFQRMEVAEEAADGIVVNSFEELEPNYVEELSKAKKKKVWCIGPVSLHNRSFLDLAERGNKAGIDEHDCLKWLDTKEQGSVIFVCLGSMSSITTEQMFEIGLGLELSKVSFIWCIRNTTEESERWLSEGYEERVKGRGLIIRGWAPQVLILSHIAVGGFVTHCGWNSTLEGVSAGIPMITWPQFADQFLNERFIVDVLKVGVSVNIEVGEKDKLVKKENIKLCVEMVMEKEREGEVRRKRAREFGEMAKRAMEEGGSSHVNMTLMIQDVIHQLLAKNTKVI >cds-PLY73483.1 pep primary_assembly:Lsat_Salinas_v7:2:99728689:99729217:-1 gene:gene-LSAT_2X43861 transcript:rna-gnl|WGS:NBSK|LSAT_2X43861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVVKPFVHVFCLLLICTTENVCGKRLVAEEKIPPLVPNLFKAIQQVLSLLDTVTTILDNVEDTGNVGEMAITGFLNKILQRLQGILQQPNVRVIGTPIGEFMGDTRERPDFELNNGGAGGGVGGDVGSTPGGGI >cds-PLY98366.1 pep primary_assembly:Lsat_Salinas_v7:5:316917442:316917639:1 gene:gene-LSAT_5X174520 transcript:rna-gnl|WGS:NBSK|LSAT_5X174520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHGPAIMRPPPAATQQQPFVALDQQPPAVYVATAFGSPSTFGTSLHGSSQLLPKPVHNFSQLPQ >cds-PLY90534.1 pep primary_assembly:Lsat_Salinas_v7:1:212924865:212925101:1 gene:gene-LSAT_1X129860 transcript:rna-gnl|WGS:NBSK|LSAT_1X129860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQLEKISRLELHKNDNKKLRNRIFGMYIFLVNRRLLEAAPASTALMHVLRTIRNGVEKRFNCLMTRFAYYNKKLHKP >cds-PLY62588.1 pep primary_assembly:Lsat_Salinas_v7:9:73362781:73366482:-1 gene:gene-LSAT_9X61481 transcript:rna-gnl|WGS:NBSK|LSAT_9X61481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVANSSPPLEILVREPEGFVIWNGPPFSSNQPSIKLDRVPCTSAKFSEDGSKLMVIKSESSISIYDSKTSKEIRSFESPNILAASLSPCGTYLQTFQKLSSPQEKNVTLWKTETGESVYTTSQKNMTKATWPSIRFSSDETVASRMATNEIQFFDAGDFSKGMVHKIRIPGIAAAELSKQPGSHVAVFVPESKGTPSSVQIYACSQASQSEPISRRTFFRCSTVQQHWNHGSTGLLVVVQSDVDKTNQSYYGESKLYYLTLDGTHNGLVPLRKEGPVHDVQWSYSGKEFAVVYGFMPAMVTIFDKKCNPLMELGTGPYNTIRWNPKGKCYGNLPGDMAFWDYVEKKQLGATKAEWSVTSEWSPDGLYFMTATTAPRRQIDNGIKIFHYNGSLCFKKKFEKLYQIDWKADSPHKFGEIEELVKSITSLKVDNKTQGSKPVKPISTNPPVLKKPSAYQPPHAKVAAAKQAQLFGESPSPSTEMSKNALKNKKKREKQREKKAAEAGSGVDGA >cds-PLY77198.1 pep primary_assembly:Lsat_Salinas_v7:8:25900871:25902493:1 gene:gene-LSAT_8X19940 transcript:rna-gnl|WGS:NBSK|LSAT_8X19940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAGPNVFAGFTKLCKGLVFVLVCVHVSVQIFPTSLTYVALIPARTIPFGWNLLTAGYIEQSIHGILISTIGLLFIGKLLEPIWGSREFLIFIFVVNLLTSLCLFIMAISLYYITMEENYLYMPISGFGGALSGLLVGVKQIVPDQEFSPLRIKAKWLPSLVLFVSIVMSFITEEPAETCFPILIFGTYIGWIYLRYFQRKQETKIKGDPSDEFAFSTFFPEFLRPLIDPIASAFHHMMCGRSESSIETRGYTVGSAALPGSDSIEASRRRERGARALEERLASDRFAAKGRTIEPKRDGSEKV >cds-PLY77542.1 pep primary_assembly:Lsat_Salinas_v7:2:165065902:165066273:1 gene:gene-LSAT_2X86081 transcript:rna-gnl|WGS:NBSK|LSAT_2X86081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQQLENTTPMESLRSSTSWRLDTPLVYLFCGIGAMLALILMALIMLACSQWRTQSTPTGAGDDIEGGDEHPQKVARYVSNESKVSPEIVVVMAGDQLPSYLAAPARVPGCSGTITHLSDRSR >cds-PLY92573.1 pep primary_assembly:Lsat_Salinas_v7:7:161470234:161470659:1 gene:gene-LSAT_7X93760 transcript:rna-gnl|WGS:NBSK|LSAT_7X93760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRAFLLLALAFAVVLLITSEMAAAKELAENTDSQINVDSGHSRYSGGGGHGGGGYKGGRGGHDNGGGRGGHNNGGGSKGCRHGCCGGRGYKGCKCCSTFEEAVAYKQTQN >cds-PLY86740.1 pep primary_assembly:Lsat_Salinas_v7:2:197081532:197083408:-1 gene:gene-LSAT_2X118860 transcript:rna-gnl|WGS:NBSK|LSAT_2X118860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESVVSGLEGSLLKNNDPFSYFMLLAFEASGLTRFTFLLLIWPVIRLLDICGKGDTGVKLAIFFATAGVSMSEIESVARAVLPKFYLDDLDKDAWRVFSSGERRVVVTKMPRVMVERFAREHLGADDVVGSELGVSRFGLATGLVQVGDFGGSICDRIAAMFEDKQPSLGIGRRHSDSSFLSLCKEQVNAPYLITNNQKHIADQEIQPVPVPVIFHDGRLVKRPTPSTAFLILLWIPFGIILSITRIIVAHILPMCMVPYVMAFFGGRVIVRGIPPSPPSRRSNSGVLFVCTHRSLMDPLALSAVLQRKIPAVTYSLSRLSELLSPIRTVRLTRNRHVDAERIKKGLSEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAMNYRLEFFHATTARGWKGLDPIFFLMNPTPMYEVIFLNQLPAEATCSSGKSPQDVANYVQRILAASLGFECTNFTRKDKYRVLAGNDGTMDQPKKNGRTVNKVVM >cds-PLY77046.1 pep primary_assembly:Lsat_Salinas_v7:8:151447174:151450321:1 gene:gene-LSAT_8X102180 transcript:rna-gnl|WGS:NBSK|LSAT_8X102180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTEPWLLENGTVKRLTKETRHGHGHSRTAHNMSSTSLRRKSDMSLVSKVPCVTLRKLLANLQEVILGTKLSILFIAIPFAIAAKYLGFARPWVFSLSLLGLMPLAERVSFLTEQLAFYTGPTVGGLLNATCGNATELIIAIFALMENKVDVVKYSLLGSILSNLLLVLGTSLLCGGIANISIEQKFDRKQADVNIALLLLGLLCHMLPLLYRYAAVASTTADALSTAKATLDLSRASCVVMLIAYFAYLIFQLWTHRHIFETQEEENDDDMDVSDDETAVIGFWSGLIWLIGMTAVISLLSEYLVDTIEEASTSWGISVSFISIIVLPIVGNAAEHAGAIIFAFKNKLDITLGVALGSATQISVFVVPMSVIVSWIIGIKMDLDFNLLETGSLALTIIATAFTLQDGTSHYLKGVVLLLCYFVIGASFFVSVSPTDSNGVKMQLESSNHGIFRV >cds-PLY95899.1 pep primary_assembly:Lsat_Salinas_v7:3:185566572:185568781:-1 gene:gene-LSAT_3X111261 transcript:rna-gnl|WGS:NBSK|LSAT_3X111261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNPSSRSSHLKIVLVLPAKPKHVVSSVVSHPLNKFKDTSIAHSTLISSFSQIGTGIFTPKTISARFLSTSSPNRQTPVNHSRSISGKITNLDDALQLFDEMTKREPLPSVFKFTQLLQAVTKMKQYSCSVKLFQRMNALGVPVNVHTISIVIKCCCQMHRTNEGFAVLGYGFKHNILPNVCTFNTLLNGLVLEDRVLKAERLFKKLIKEELCEPNAITYSTMIKGLCRFGNNDTAIALLKLMDRRGCKPDVITYSIIIDSLCKDKMVDDALGIFKEMVFKKGILPNVVTYNSLIHGLCNLCRWDDVSKLLKEMEDDRISLDVRTYSILVDTLCKEGKVEDANCIINLMIERGKVPDVVTYNSLIDGYCLRGEMRKAKEVFDLIGVRGLVPNIVSYNSLLNGYCKKLKIEEAMHLFHEITKKGMKPNVITYSIMIQGLFRARRCKDAHGVYNDMRAHNLIPDECTYRIILEGLCNNNQVDEALSLFHLMGGNKLNSNIKVYNILIDGASKSGKFDIARNLFNDLTFKGLQPNVWSYTVMISSFCREGVFGEAKEMFIEMEKRGCSPDDVTYRILLQGFLKNQQHDMVEMLLQEMEGRGFSLDASTVEMLLHYIKTSCLDASLLKLIGKLVPKEAVDAPCFTV >cds-PLY94852.1 pep primary_assembly:Lsat_Salinas_v7:2:180503528:180503851:1 gene:gene-LSAT_2X104000 transcript:rna-gnl|WGS:NBSK|LSAT_2X104000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEARMWIKTNKDQASMVPNWRQFLNKAQDKVVAGIESRISAWTFLPIENGEAMQIFHYENGQTYEPHWDYLC >cds-PLY87588.1 pep primary_assembly:Lsat_Salinas_v7:8:112509023:112513931:-1 gene:gene-LSAT_8X78681 transcript:rna-gnl|WGS:NBSK|LSAT_8X78681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFWTPPEGVEPQPLTPVLEKPEVPDSPLPYLDTTTSRRKFNGGNDHIEEEEEEEVIPPSAVPFLALFACADGLDFLLIMLGSLGAVVHGASLVVFLHLFGKIIHLLSLRNNADQLFDHFSQYALYIVYIGLTVFTAGWIEVWCWILTAERQTAVIRTKYVQVILNQNMTFFDTYGNNGDIVNEILTDVQVIQSVIGEKVGNYIQHIATCLGGLIIAFLNSWQIAFVALATGPIIIAAGRISNVFFYRFQENLQDANDHAASVAKEALSNIRTLYAFTNEALAKHSYASSLQDTLKYGIIISLVQGLGFGFTYGFGMCSCALQLWVGRFLVTTGKCTGAEIVTSIFAIVLSGLGLNQASRNLWSFEEGRIAAYRLYEVIRHAGSTCTDDGDSDGNSLVSVQGKIEFRNVYFSYPSCPGISILNGIYLTIPAKKTVALVGRSGSGKSSLIPLLERHYDPTLGSVLLDGVNTKTLKLEWLRRQIGLVTKEPALVSLSIAENIAYGRPDITSEQIKKAAKLAHVHTFITSLQNGYQTHVDKLGLEFTDEHKIKISIARAVLSNPPILLLDEVTSNLDLEAENGVHEVLRMITLGRSTVMIARRLSLVKDADFIAVVESGQCVEMGTHDELINTRGVYYELLKCEQVVKLPERLPSKNHNERIDDNVPQLKEKDNSKYQHSPSLTRVMKLSLPEWMYAVLGSVGASIYGSFRPILAYIVGLIVTAYYKADKSHNIQIEVNKWCLIIACMAIVILVATILQHFYFGIVGEKITERIRRMMFSAMVESEVGWFDKEENNSDKLLTHLANDATYVRAAFTDQLPILAQDFFAAFTAVIIGLTLEWRLTMVALTTIPFLTLSASAQKTWIFGFSRSIEKLHKKASMVLQDVVGNISTFMAYSAGNEASRLYSLYLKKVYRKTFYHGMSVGFMFGFSRFILFACNSVLLWYTCVSVKNGNIDLPTALREYIVLSFATFALVEPFGLAFNIHKTRKTLTRVFKIIDHVSEIDKASALKPVSAYGTIEFKNVDFCYPNSPEIMVLRDFTVKIDGGHTVGVVGVSGSGKSTILSLILRFYNPISGEILLDGEDLRKFNLRWLRNQLGFVQQEPVIFATTIKQNITYARRNASETEIKEAARIANAHHFISSLPNGYDTPVGPGGVELTPGQKLRIAIARVVLKNAPILLLDEADGTIEHESRRVVQEALDTLLIGSKTTILVARRAAMMKRVDKILVVNGGQIVEQGTHDSLAAMKDGVYAKLTQPCFVTGLRPRFIGSTR >cds-PLY63086.1 pep primary_assembly:Lsat_Salinas_v7:8:76389577:76390175:1 gene:gene-LSAT_8X54241 transcript:rna-gnl|WGS:NBSK|LSAT_8X54241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRVKDLASKKAAVIFTKSSCCMCHSIKALFYDLGASPAIHEVDHDADMEWALRRLGCNPAVPAVFVGGKYIGSAKDVISLHVDGSLKQKLIEARAIWF >cds-PLY62229.1 pep primary_assembly:Lsat_Salinas_v7:5:161645882:161647641:1 gene:gene-LSAT_5X70580 transcript:rna-gnl|WGS:NBSK|LSAT_5X70580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIELPAKWTDLWELPLRYNNKGITIGSPPRPPPQVHENPMISKSKDQSSTDMRTGIKKRNRRNKQQNIAELEPSCWNRICPQDVVDAGIHLNPAKKKHYVWFSLTPSRDQSRKNTLQLLVEPYIQIIMEENCNPDVSILMKYIVLQLKHVCQQEVGIFLNGKLLAPEMKLLDVVK >cds-PLY80430.1 pep primary_assembly:Lsat_Salinas_v7:6:158327820:158328203:1 gene:gene-LSAT_6X96461 transcript:rna-gnl|WGS:NBSK|LSAT_6X96461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTNQVIRCKAAVAWEAGKPLVIEEVEVAPPQKMEVRIKILFTSLCHTDVYFWEAKGQNPVFPRILGHEAGGYV >cds-PLY87220.1 pep primary_assembly:Lsat_Salinas_v7:4:308317689:308318292:-1 gene:gene-LSAT_4X155320 transcript:rna-gnl|WGS:NBSK|LSAT_4X155320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPSFTCVTVLKKHKPCSRLEIRAQSLRDEGRSSNLVDSNMKILKDRIEAMRMKERLEMNCRPNGWDYTSSYIRKPKKQQEYLQTVALICGTTSLPVLIGTGLLCIFSVIARVNL >cds-PLY97570.1 pep primary_assembly:Lsat_Salinas_v7:5:239664338:239667098:-1 gene:gene-LSAT_5X118181 transcript:rna-gnl|WGS:NBSK|LSAT_5X118181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQEKHRKEHGEIQFQNTKDSLNKEILQLQKELKDQFIIRKELEKATVNQPHLHDPINQDLLPKPVKDLIKEISILEFEVKHLEEHLLSMYRKAFQEYINPSPKKSIEDSHVLRSHSSLSLRAKALEKTVHEALESYHSLPLAMLERSTDDLSSVSLAENRDICMSANRLSEEMVKCISTIYCQIADPPLLSHGFLSSPTGSSPQDQFVLWSPQCEGETTWVHKDFEASIEFSESWSSVVEVQGICRNDQRSSNVEHQERIFRSLVSQLEQVDPRKLKPEEKLAFWINVHNALVMHAFLIYGTPRGALKRISLVLKAAYNVGGHVISVGDIQRMILGCRLPHPGQWLQSLLFSKPKCKSNGAQKDYAIDHSQPLLYFALCSGSHSDPMVRIYTPKSVFQELEVAKEEYIYTNIRIKKGQKLFLPKLVEMYAKESSLCMNGLMDMIEHYVPEFYLRSFKLIRTGKSSKKIEWVPHNFSFRYLIFSQTV >cds-PLY67900.1 pep primary_assembly:Lsat_Salinas_v7:1:54830907:54832703:1 gene:gene-LSAT_1X49321 transcript:rna-gnl|WGS:NBSK|LSAT_1X49321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTMLTVLLGLLLSRLVSIDAQVGVCYGRDGDGLPSQQDVVNLYRRNGITRMRIYDPDQATLRALKGTNIELIIGVPNDALQSLNDQGAANTWVRNNIQNYPDVRFRYIAVGNEVDPNNGNSRYVNFVLPAMRNVQNAINAAGLRNQIKVSTATYTGLLGVSYPPSNGAFRNNVRGFIEPIIRFLAGNNSPMLANIYPYFANPNSNLPYALFSAAGTVVTDPNNGLQYSNIFDAMLDAHYAAQARLGGGNVQIVVSESGWPSAGGNAATVGNAGTYYRNLIRHVRGTTGTPAKPGRSIETYLFAMFDENRKPGEESEKHFGIFSPDQRPKYQLSFS >cds-PLY94258.1 pep primary_assembly:Lsat_Salinas_v7:8:69920625:69921086:1 gene:gene-LSAT_8X50261 transcript:rna-gnl|WGS:NBSK|LSAT_8X50261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEEDETILRAHASSSRSDVSDSYIHVYRPMVRLVAPVNDPPTSLSLSLPGAESFEASSVPVAVTHPPPVPKPASMAISVAM >cds-PLY91347.1 pep primary_assembly:Lsat_Salinas_v7:8:47058509:47061088:-1 gene:gene-LSAT_8X35920 transcript:rna-gnl|WGS:NBSK|LSAT_8X35920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAPWISCFFFAFFLVFSSNIVFASWPKPGSNYTHRFIPTPSANGNDTNIHYPPDTQQPFPTADLSTTWTNDESSMPSINFTDDGSKIRVILDQDRFACGFFCNGNCTSYLFVVVIKLFYTPDYIFGTKGINPTVIWSANRDYPVSQGATVNLTAAGELVLQDVDGSIVWTTNTTRKSVSSMNLTDNGNLMLLDANNSISWQSFDHPTDCLVAGQKLFQGHKLIPSVSSTNWTAQKGSYYLQLTDRGLFAYVESKPPQVYYQHLINGKNTINKESIYVELLNGSVCFFNSSTKLDAIDIPQEFPLEYIKLMPDGHLKAFGGKRVADLLIDYDFGECSYPLVCGRNSICSANIQCSCPKSSSPSTEYFRAVDDSQPNKGCSQVTPLTCNSTKDQHFIEVKNIKYFTYTGDMVNVDMETCKQACMNNCSCKAAIFVYQSSNSSSGYCDLPSDLFTMTKFDVDVNNQLHASAFIKVQNRRHKSPNRLNQVEKVIGFTVGSLLLLLVVVGFTMSLVKKKKMDSEIEEEHLDQVTGMPTRFSYKELKTATENFSKKLGEGGFGSVFEGTLEDGSRVAVKCLHNVGLMQVKKSFLAEVESIGSIHHVNLVRLRGFCAWKSERLLVYDFMSNGSLDQWIYYGDRKHVLKWECRKKIILDIAKGLAYLHEECRQKIIHLDIKPQNILLDKDFNAKVSDFGLSKLIDRNQSQVITTMRGTPGYLAPEWLSSIITEKVDVYSFGIVLLEILCGRKNFDRSQPEECWHLLDVFQRCWDQGALLDIVDSCSDDMHVHGNEVMEMMKVASWCLQTDFTKRPSMSTVIKVFEGVMNVESDLDYNFLYPRQQKATDEYEKYSMPLLPSILSGPR >cds-PLY62152.1 pep primary_assembly:Lsat_Salinas_v7:5:6966043:6969501:-1 gene:gene-LSAT_5X6101 transcript:rna-gnl|WGS:NBSK|LSAT_5X6101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSPSSLLPSKPQYAITIVTFLILTCSHVPVVEPLKAPIFPHDLLPLLPRQVSWSILTSFRAATDLLPTFVGAASISNNSYINWKGSCFYENSAWLELHNKTGSEFGGGTLHIKVSNAHSWTCMDLYIFATPYRVTWDYYFLSREHKIEFREWEGKAEYEYVKNNGVSIFLLEAGMLGTLEALWEVFPLFTNTGWGESANLAFLKKNMGAKFEERPEPWVTDINIDDIQSGDFLAISKIRGLWGGFETLEKWASGAYAGHSAVCLRDSEGKLWVGESGHEDEEGENLIAMMPWEEWWEFELTKDNTNPHIALLPLHPDLRAKFNETAAWEYAKSMIGKPYGYHNLIFSWIDTIDGNYPPPLDAHLVASVMTIWNQVRPEYAANIWNEALNKRLGTQDLELPEILVEVERRGSSFAQLLTIPEQDDWTYVDGKSASCVAFILEMYKAAGLFGDLANYIQVTEFTIKDAYTLNFFEKNSSRLPKWCNDEKLGYCQIKGKYRMELPGYNSIEPYPHMAESCPSMPPDYSRPKYC >cds-PLY62184.1 pep primary_assembly:Lsat_Salinas_v7:6:32077095:32080015:-1 gene:gene-LSAT_6X24100 transcript:rna-gnl|WGS:NBSK|LSAT_6X24100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVIKENARETRLMSIVAQDLRVRVVIRVQDETGSASFVLFDRHVKDLIHRGNHWLMEKISKDQGHQKIPDEFNTMLNMKFVFKVQISKFNLQNNYHAYTVHKMTDDGLVVGAVFKHSHAYKESSIHSDGTPINKSIKEKSVSVEGDNINVVNLVAVTPTTTSMKCPIEIYHH >cds-PLY86202.1 pep primary_assembly:Lsat_Salinas_v7:4:7240861:7242049:1 gene:gene-LSAT_4X4660 transcript:rna-gnl|WGS:NBSK|LSAT_4X4660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNISPCPFEVATYNREYFPIAYGLDVSVWEDEPIAFNALVNDYFVGGKAKVVEYESLHYVKGQLQSYQLPKHKHQNGSLLAGTLLAVSDVSPMMASMGAAQNAAKELLDSILDAIVRIFDNHVVVGELLELKSSQKAPMNKLKSMVTEISGNPDSESSKDRGGYTIGFSMTVAARLANKAPLKEKGDKTEDGLTFTFRFIDVSVAN >cds-PLY88948.1 pep primary_assembly:Lsat_Salinas_v7:8:128581861:128582245:-1 gene:gene-LSAT_8X89181 transcript:rna-gnl|WGS:NBSK|LSAT_8X89181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTRKLENKVKSPNLNNRKFTLEVDQEVFDMLNPFKVKQKVVMMVQSATAFMLKGCEVPKKEIEATSKQFKLYMKRKDKELTAKVKKLTVKREEVERMMEEMYDLKN >cds-PLY81307.1 pep primary_assembly:Lsat_Salinas_v7:4:37581718:37584019:1 gene:gene-LSAT_4X25040 transcript:rna-gnl|WGS:NBSK|LSAT_4X25040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKSQGIKGLPFTFPHGNTKVITAMRNQSMSQSMDTSHDIFPRIQPHVYSWIKTYGMNFINWHGSQAQLFVNEPELIKEILNNREGAYPKMDMEGYAKKLLGEALITNEGEKWAKVRKLANHTFHAESLKNMIPEMSRSIGEMVEKWKDYEGKEIDVHKEFGLVTTEVISRTAFGSSYVEGKHIFEMVAKLTEITVRNIYKLRFPGISWIMKTNDEIEAEKLEKAIKRSILDIVEKRKADADEDAGNFGSDYLGQLVKIANDFNEKKKITIEQMIDEIKAIYGAGHLTTTNLLSWTVFLLATNQEWQEKVREEVLELFGRETPTSDGIARLKTMNMVINESLRLYPPVITMTRKVEREIKLGNLTLPAKINIFVSILALHHNEEIWGKDVHCFLPDRFANGVAKATKNNVAAFLPFGMGPRTCVGLNFTTNEAKIALSMILQRYRLSLSSNYVHFPADVFILTPKKGVQVIPHKV >cds-PLY98061.1 pep primary_assembly:Lsat_Salinas_v7:4:79051135:79053932:1 gene:gene-LSAT_4X52961 transcript:rna-gnl|WGS:NBSK|LSAT_4X52961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAERCKKALADIHFDQCFSSPISRAKSTAEIMWAGRKEPVIFLDTLKEAHLFYLEGMKNDDAKRIYPKEYTTWRQDPSNFNVDGVYPIRQLWDTAKIAWKEILFSPGDNFLVVTHKSILRALICTALGLTPKRFRAVDVNNGGITVFKFNVEGEPMLQSLNMTAHLYTDHTYVY >cds-PLY93024.1 pep primary_assembly:Lsat_Salinas_v7:4:192976813:192978910:1 gene:gene-LSAT_4X110501 transcript:rna-gnl|WGS:NBSK|LSAT_4X110501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLLLLLFSSMVAHSSASWCVCKKGGNDKVLQMAIDYACGNGADCTQTHQGGKCYNPDTVIDHCNYAVNSYFQNKRQAPEACVFNGAAMVVNVDPSANGCTYPTSASGSGTTMPGGNTKTPGSTTVTASGGSTTTPYGNNPGNTGVLGGGVGTGLGPTGGGMNDVSGGGRRRSFSVLVFVVGIIMVMILWG >cds-PLY98091.1 pep primary_assembly:Lsat_Salinas_v7:4:77910369:77912320:-1 gene:gene-LSAT_4X52301 transcript:rna-gnl|WGS:NBSK|LSAT_4X52301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFNPTSSSSRVDRRTVEKNRRIHMKALYSKLHSLVPHNSSSREVISLPDQLQEAANYIKKLQIKLEKMNEEKDNLMGIQKLEINHEDKIKNSNLMVGQQRIPRIDVRGTGSSLEAILITGVDFQFLFSETIRVIHEEGFDVINATFSIVNDTVFHTIHAQIGENYAQENGVSRITERLNSIAYGI >cds-PLY91167.1 pep primary_assembly:Lsat_Salinas_v7:4:161750639:161751028:1 gene:gene-LSAT_4X96941 transcript:rna-gnl|WGS:NBSK|LSAT_4X96941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPFYFYIPNDDAIKEDNTLNTPFLIFATNVEFSNDIPVNYLNRGSEAFVQFLKSHPLRYARANSVEFFLPQHVCEFSYTYTYDDQTDIIQGTICNGDHVIHLYVSILRDALCLPNLMNFLDSSSEKQ >cds-PLY99488.1 pep primary_assembly:Lsat_Salinas_v7:4:57516880:57517566:1 gene:gene-LSAT_4X38540 transcript:rna-gnl|WGS:NBSK|LSAT_4X38540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISPSSLLSFFIFLPLFLSINAKTLIHDTCEICSQQDPFVNYRFCTTSLQAASGSRHADIIELGKISIKLAYDNMTDTQSYIKKLLKNDIEKISSYMKLRLDDCHDLYKDSISDIKDATKYYNNKRYYEASLHMSSVMDATTTCEDGFKEKRMGLSPLTKRNNATFELAAIGLSIVRILQSDAN >cds-PLY65732.1 pep primary_assembly:Lsat_Salinas_v7:5:270336419:270340136:1 gene:gene-LSAT_5X140780 transcript:rna-gnl|WGS:NBSK|LSAT_5X140780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 35 [Source:Projected from Arabidopsis thaliana (AT5G35570) UniProtKB/Swiss-Prot;Acc:Q94BY4] MANNNHNHNHYQASTSTTAGADGLAHRVNSPRFSGPMTRRAQSFKRNTNTTNANNTHHEIDVPLNSPRSELAEGFDSVPEKKQTRLTQRIQTRRSGSSGGSGNVDFVEALGLGGVEKKKLLGHWMFFLFCGFCLFLGILKVSVNGWFGSAIERIGFDQDYWDSSISYKNLRDHISHDNRYQENKNHVEVEENDVHKTLKMVASGIVADQYHKDDFTGVWSKPSSGNYSQCINRPKSQKRLDDKTNGYLLINANGGLNQMRFGICDMVAVAKIMKATLVLPSLDHTSYWADESGFKDLFDWQHFIETLKEDVHIVEELPPVYAGIEPFAKTPISWSKVSYYKMEIVPLLKHHKVVYFTHTDSRIANNGIPNSIQKLRCRVNYNALKYSAPIEELGKTLVARMRQNGSPYLALHLRYEKDMLAFTGCSHNLTSEEDDELRRMRYEVSHWKEKEIDASEKRQLGGCPLTPRETSLLLKGLGFPSTTRIYLVAGEAYGKGTMDSLNQDFPNIFSHFTLSTERELKPFKNHQNMLAGLDYVIALQSDVFVYTYDGNMAKAVQGHRRFEDFKKTINPDRMNFVKLVDELDEGKKSWEEFSLEVKKLHEKRDGGPYMREQGEFPKLEESFYANPLPGCICDTTHEK >cds-PLY77273.1 pep primary_assembly:Lsat_Salinas_v7:4:164617325:164619969:-1 gene:gene-LSAT_4X98920 transcript:rna-gnl|WGS:NBSK|LSAT_4X98920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPHTGEIVPGLHMMLASLMMAGLLRYSALSQGTLQPLGKSLMRRIQSHFCPLKSYQISHTKLHFVFCYNYLRVCSSATTTVLTVKEAIECCQE >cds-PLY89268.1 pep primary_assembly:Lsat_Salinas_v7:3:250432393:250437591:-1 gene:gene-LSAT_3X138360 transcript:rna-gnl|WGS:NBSK|LSAT_3X138360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingoid long-chain bases kinase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G46090) UniProtKB/Swiss-Prot;Acc:O82359] MVCLGMAVVSMAKPSFLRAEQPSASDISAATSPGSSSSRRRDIVFIVNPQGANGRTGREWKKLLPYLRSRLGSDCNICESLTSGPSHAIDITREAIREGADAVVAVGGDGTLHEVVNGFFWGGKPVTKHDSKAPRTTSLGLIPLGTGSDFARTFGWTNDPVDAIERIVKGSRSRIDVGVISKEGGDLHYFINVADIHLSAKAGFHASKYKRFGSLCYVIGALQAFFGHQNQDLKIKVNDGEWEVYPKVTALCIGNAQFFGGGMRITPNAHPSNGKFEVVILQDFKWYDFLMKLHKLYNGTHLSVNNVLSRSAFSIEVEEVVGTNSIYVQSDGEHLGFLPHNFSILPGVINMIC >cds-PLY93144.1 pep primary_assembly:Lsat_Salinas_v7:9:3374699:3377824:1 gene:gene-LSAT_9X4701 transcript:rna-gnl|WGS:NBSK|LSAT_9X4701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g31400, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31400) UniProtKB/Swiss-Prot;Acc:Q9SIC9] MASSTPPTLTTTKPYQNHHIQGLQNHHRRTHNGHNPHHPSPKVSLHPPTPTNKPPVPVPATVTAGGSSSLQHRNSTFAPLSSSKSELAADFSGRRSTRFVSKMHFGRPKAGGSSRHSVLAEDALQQLIRCSGDDRLVESVLLNFQSKLCGSDDYNFLLRELGNRNEWSMAIQCFEFAVSRERRRTEQGKLASSVISVLGRLGKIDLAKKVFETAVSQGYGNTVYAYSALISAYAKSGLCDDAINVFDTMKLSGLKPNLVTYNALIDACGKGGADFKRASEIFDDMLNNGFQPDRITYNSLLAVCSGGGLWETAMNLFNEMSYRGIEPDIYTYNTLLDVASSGGHMDSAFQIMTEMATKNIMPNEVTYSTVIRGCAKSGRLDQALGLVGEMKYAGIRLDRVSYNNLLAIYASLGRFEEALNVVKEMENTGFRKDVVTYNALLDGFGKQGRYDKVKELFKRMKTERVPANLLTYSTLISVYLKGGMYKDATEMYKEFKHEGLKADVVFYSEIIDSLCKKGLVESSALLLDEMTRKGIQPNVVTYNSIINAFGQSPNTGFSNDPKQDADAVADEDRIIKVFEQLASGNLSVVEKGRKEIICVLGVFRKMHELEIKPNVVTFSAILNACSRCSTFEEASLLLDELRLFDNQVYGVAHGLLMGYRESVWVHALSLFDEVKRMDASTASAFYNALTDMLWHFGQKRGAQLVVLEGKRRQVWENTWSDSCLDLHLMSSGAARACVHAWLLNICSIVYQGHELPALLSILTGWGKHSKVVGDCALKRAIEALLGGMGSPFRVATSNIGRFISPGPVVAAWIRESNTPNLLLLQDDRRASASPQTSTFKLHPLPLPF >cds-PLY64800.1 pep primary_assembly:Lsat_Salinas_v7:2:101842569:101842739:1 gene:gene-LSAT_2X46461 transcript:rna-gnl|WGS:NBSK|LSAT_2X46461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRATTESTAIHEHTTHHLPYFPSKLTIKDLLDKPLNTCISTTYQQQRYPSLKKMKS >cds-PLY86440.1 pep primary_assembly:Lsat_Salinas_v7:8:3628771:3630786:1 gene:gene-LSAT_8X2721 transcript:rna-gnl|WGS:NBSK|LSAT_8X2721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNLFQGLPPPSARPPPPPPPPEQAAPPPLDPATTKVSPTPPARALKSALKRSNPPDSGPQAPAPKKSLRFKTIADTSETQVIDAMKKICSHINNASKFNKASKLAIQLIQAGSVKPSNSDYFFSILEAAMSSPTTCNDPRVRADCHALFLAAEDLKEIFTQKQQKHLSLWTIRAVMANDLFTDDSFVFSKACGRIKEAISNLPVATKDDDIEEAAALKDDSEMVESDSQTEQHSSSNPMPESSIKEESDPFGLDALIPNASKKDEKVKAKLDAESTASKIRKEEDDEAKRFLKLERAALILCLEIAAKRYKIPWCQTVIDITVKHAFDNVSHFTSKQRNAIEKLWASIREQQTRRKQGKSVSGKLDVNGFEWLQQKYSTEKISIRHSVGGNTRRAEQWLG >cds-PLY82685.1 pep primary_assembly:Lsat_Salinas_v7:2:18777904:18778209:-1 gene:gene-LSAT_2X8040 transcript:rna-gnl|WGS:NBSK|LSAT_2X8040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFKKSSGKLSQAALLKQIVKRCSSLGRKQQQHYDDVPKGHFVVYVGENRSRYIIPISFLSSPEFQTLLHQAEEEFGFDNDMGLTIPCQEHVFESLTSMLR >cds-PLY76983.1 pep primary_assembly:Lsat_Salinas_v7:6:66476364:66476885:-1 gene:gene-LSAT_6X47180 transcript:rna-gnl|WGS:NBSK|LSAT_6X47180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWNLHRVNIGEKVITSYYVSNLPEGVSKTQIWKSFQKYGRVADVYIGGKKDHSGSTFAFVRFENIRDEKVLEHKISRVRYGHCILRVNIARYQKRQGPRGFKINTSHVVPPEKSYQVAWKATKATRDSRTFTEVTRGTSSRPLASGATPIDIKPATFSVGMEDCVMVGETISI >cds-PLY97809.1 pep primary_assembly:Lsat_Salinas_v7:6:18353948:18356551:-1 gene:gene-LSAT_6X14020 transcript:rna-gnl|WGS:NBSK|LSAT_6X14020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKASVFCVLYMCCILLCNGKEVSDTSHIMPLYRDPSPKNQPGRPYFHLRPPKNWLNGPMYYKGVYHLFYQYNPYGAIFNKTILWGHSVSHDLVNWIHLKNAIVPTKPFDINSCWSGSTTILPGNKPVVLYTGLDSKNQQVQNLAMPKNLSDPFLKEWKKYSRNPIMTPPHGVKPDNFRDPSTAWRGKDGNWRVVVGGLRNNLGVAILYRSKDFVHWSLHDEPLYFGKNTNIWECPDFYPVSINSRDGIENSAIGMNLKYVLKASFNSHDYYTIGSYDADKEKFLPDDHKGLTGSSSDLRYDYGKFYASKTFFDSVKNRRILWGWINESDRSTDDIKKQWAGLQSIPRQVYLDSTRRQLIQWPVKETEKLREKHVSYFGKKLKRESLFEVSGITASQVDIEVSFMLPKLEEVEALDPKWNDPQLLCSTNTSSVIGGAGPFGLLLMASQDLTEQTAVFFRVFKDNHNHQFVVLMCSDQSRSSLRQGIDKTTYGAFIYINHKDKMISLRSLVDNSVIESFGAEGRACITARVYPKFSVYNEAHVYAFNNGSLDVVIPRLDAWSMKKAKGN >cds-PLY65934.1 pep primary_assembly:Lsat_Salinas_v7:4:137801358:137802587:1 gene:gene-LSAT_4X86440 transcript:rna-gnl|WGS:NBSK|LSAT_4X86440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLVLKKGNEKKRSLISSESWVVNDERKRRKQEHEVLEQSKYGDGMAWFRGCLIGKGCFGSVFLANLKKPKSRYSSYPPIMAVKSAEVSVSSSIQNEREVLNNLRGCRNVIRCFGEEITTGENGQMVYNLLLEYGSGGTLADLIKKSGQNGLPESDVKRHTRSILHGLRHIHHNGYVHCDLKPENILLVGSSSNSGFMAKIGDLGLAKKAKQSKKSKVVRYQRGTPIYFSPELQTDGVQEAPSDIWAFGCVVLEMFTGKPPWNSKMENNNDESPSIPSSISREGRSFLKSCFSRKACFRWTAEMLLAHPFLEGVGDDNEEDDDTVEELGEVLDINAICSSVISENDDDDDDDEMSMLSFSDGLSYFSEDELHCWSEEDVSCFSVEENGTTVPLNEVHQYPFTFSISSGV >cds-PLY97155.1 pep primary_assembly:Lsat_Salinas_v7:3:139192551:139193380:1 gene:gene-LSAT_3X92700 transcript:rna-gnl|WGS:NBSK|LSAT_3X92700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLFAAGTDTTSSSLEWAMTEILRNPHTMTKAKEELEEFIGKGKMVEEPDILRLPYLGCILKETLRIHPPVPFLIPRKTQTEVKLNNYIVPKGTQVLVNTWAIGRDSTLWEDSLKFKPERFLTSSLDVRGHDFELVPFGAGRRICPGMPLASRVLPVMLGSLLNNFNWKLDSGSEHNELDMNEKFGITLQKANPLCVFPIPMN >cds-PLY70886.1 pep primary_assembly:Lsat_Salinas_v7:9:16624420:16626356:1 gene:gene-LSAT_9X17980 transcript:rna-gnl|WGS:NBSK|LSAT_9X17980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDDQNQIPVLDLRQLKVLSALGRGARGVVFLVQDESSNGDLFALKTILRASIKKNVKKTDIDGNESKQIFFEQEVLRLSQHPLLPKLRGVVSTENIVGYAIDYCPGRDLNCLRKRQTERMFSDDIIRFYAAELVIALEYLHGLGIVYRDLKPENVMIQENGHLMLVDFDLSTKLSPKPPCEARSPKTTPSPSPSPTTSEPSTKNKNRFSFFSNCCRPAIPVEESVHPTGESVDNSVSEPNCEPRHSFSKSNSFVGTEEYVAPEMLQGNGHDFSVDWWCLGVVLHEMLYGKTPFKGINRKETFYQILSKTADVVGEPTPLRDLIRKLLVKDPKQRISTTKIKSHDFFRGVDWEGLLQISRPPFVPGTSDEDVDVDGMDVNKIDIEAFVQGVFQVDDSDVQIREKEHDNAFLPF >cds-PLY71956.1 pep primary_assembly:Lsat_Salinas_v7:3:25338042:25339461:1 gene:gene-LSAT_3X18800 transcript:rna-gnl|WGS:NBSK|LSAT_3X18800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGVLFRTGSGPIHSSLLPGSARIAVPLRHDSFSGGEKMDFSGLCLEVNNNNSRRRITRAISEPKEINRLRSISTGSQTFPEMLPEVDEESLSDEDVVGSTGTLKFAEKCFDRMSWIPGSGISVEETQFSGVGSGSGRDRDGFGSGGSSSDRERKKIGEYYLQMLKSNPNDPLILRNYGKYLHEVEGDSVKAEEYYGRAILASPGDGELLSLYGKLIWDTEKDGERAKSYFDQAVSASPDDCMVMGSYAQFMWEAEEDEDDEEESGSKVTLLPASQPMVSAF >cds-PLY94757.1 pep primary_assembly:Lsat_Salinas_v7:2:179479607:179482126:1 gene:gene-LSAT_2X101440 transcript:rna-gnl|WGS:NBSK|LSAT_2X101440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGNVYQDVTESRAAIADYSVKSANLEGRNGLRICNSIGGRPGMASNSAVHDLLECPVCLNIMCPPIHQCPNGHTICVICKTKVQNSCPICRQELGNIRCLALEKVAESIELPCKYHVYGCQDILAYHSRMRHEEDCKHRGYNCPYAGAECPVTGDIPFIVAHLKTDHNVDMHDGSSFNHRYVKPNPHEIENATWMLTIFNCFGYQFCLHFEAFHLGAAPVYMAFLRFMGDENDANKFSYSLEVGGNGRKLKWQGIPRSIRDSHKTVRDSLDGLIITRNIALFFSGGDRQELKLKVSGRIWKEQS >cds-PLY99093.1 pep primary_assembly:Lsat_Salinas_v7:8:109231075:109232302:1 gene:gene-LSAT_8X153721 transcript:rna-gnl|WGS:NBSK|LSAT_8X153721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNGFDPMEQLEDGIHVVNSSNEIQKLVLEEADKKYQLLTLALSRIKKDITRRNADEYQINLKLMWFSDHEYYIRWRTQELMDLERCLVKPEIHLPKNVGPSRTGFSRLPEKDDPVAPFRPDRKRSQKMLIKKGRVDTHYLQQVHDELLSSKKTHGITPSGEQEVNDLMESMAQRIQHGNKNRADEMRIYNEMRKVNETREIYTTPEPNNHNRNSKPDIDSQRFIQCRINILLDEIEEMKMKLKERQSRFIRLKAELKLVRKSISCLKKELKHVNTKRSKAYKHAYELGVQFSNTTEELAQIGDVN >cds-PLY75578.1 pep primary_assembly:Lsat_Salinas_v7:9:33976668:33980004:-1 gene:gene-LSAT_9X30101 transcript:rna-gnl|WGS:NBSK|LSAT_9X30101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQAFGADSDSVPVPADKTKILIVKPLRCLVPIFPSPQDPSAPPSSQYASVPPTGPFPPGAAPFFPFFASNVSQKQGSEPQPPHPRPYTIPSPVPLNSFRTPGSDVKNGDIGTSKKSIRSRMYVDEDGYSQSDGYDNSYGKDMETGSEVDDKRRATRKKVKSTIAVSNSDIDIDSFVTHLLESFNLLDVDSFRQCDSDKELADRVLMAYNLLRRKITQLDDTKEGMTGSAKRPDLRAGTICMSKGARANIKKRVGPVPGVEIGDIFFFRFEMCLVGLHAPSMAGIDYMSVKFSGSEEPVAVSIVSAGGYEDEGDDGDVLIYSGQGGVSSRGKPQSDQLLIRGNLALEKSLHRGNEVRVIRGLKDYQHTTGKVYVYDGLYRIHESWIEKGKSGCNVFKYKLVRIAGQPSAFTLWKSIQQWKDVGNGGGLTRAGVILPDLTSGAEKVPVSLVNDVDNEKGPAYFTYSRSLKYRNPFPSTQSLSCSCANGCQPGYNCPCIEKNGGYIPYTPLGVLLSHNTVIHECGSSCRCPPNCRNRISQAGLKLRLEVFKTRDRGWGLRSWDPIRSGAFICEYAGVVIEENGIDYDDNYIFDATRSFDAVETSPRDEAAKFPYPLVISAKNEGNVGRFMNHSCSPNVYWQPVIRENQYESYFHVGLYAIKHIPPMQELTFNYGIVQADKGGPHRKNCLCGSARCKGTFC >cds-PLY70192.1 pep primary_assembly:Lsat_Salinas_v7:9:1194052:1202926:-1 gene:gene-LSAT_9X4320 transcript:rna-gnl|WGS:NBSK|LSAT_9X4320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRKGASKAAAAAAACRQWKVGDLVLAKVKGFPAWPATVSEPEKWGYSTDWKKVLVFFFGTQQIAFCNPADVEAFTEEKKDYLLSKRHGKGADFVRAVREIIDSYEELKKQVQVDDVNTTVPTNGVKSEECVANSKNEALTPTIDSNTRVADSSKPNGTTCEGDAATVHHTEVKVSEAIGNSEATKAPVPTTYSRKKYSGTQTSGIHGRVPSARRSARTDACRFPNLTEDVVMSNGNLSSIPQRRTKRVRTSPGSPDKDLPLVSNASPEENGSEIVTADSDTKSFNEGNCVQSGYKLTEQEDHVMEDVQLSQTLEFQTNSVIVKKKRKPSRKRVITVTTEFPDRFDKQSGSAIEALNIDSEKSAVKYSKEDGDEHLPLVKRARVRMGRTLSNTEEVETAIKIDKLSEPANNCVVSSDAEDMSAEGNSSGGREEVEQSFALNNCSVSNSNSNSKPPLWEANKNKHFGCLADGEAALPPSKRLHRALEAMSANVAEDEQVSNGGPSTMKTIINGSLSPRDCSKETDECEVEKEKPLSNGDSQACTNLAPEVEQNKSIDEVNNTCSQPSSPANGILKDEKPVEIADCKDSVVLTSCTETVESTDVVKSPEPLSDAIEKRESVSECNDTLVESKNECEMVTLEMTEPSKKDPSDVSGVSSDPLLSSNLENGMVSHVNLVLPVSPQKSCNMVEQEHPFEEDDNAILEDGEGVKESNMEVDESPSLTTEKEALKTVDQTGPALELSHSNSVNNEDSLSDKNVSGTLTSSPPHPNDDAFDSTARASPPNTTTTTSICNNISTSDNSNFLENSGCSSPAAVHLYNDKQQKQTGKWSTMSEANAALTSFEASIGALTRTKKSIDRATRIAIDCAKFGIAVKVVETIARSLEAEPSLHKRVDLFFLVDSIAQCSRGLRGDVGGLYPSAIQAVLPRLLLAAAPPGNSALENRRQCLKVLKLWQERKILPEPMIRHHIRELDSLNNMSSRISNSSRRPFRNERAFDDPIREVEGMLVDEYGSNSSIQLSGFDIPTMLKDEKEGSDSDGEGFEAVTPEHNHETSEEHDGVGVTDKHTHVLEDVDGELEMEDVAPSRESDITAPTANNITFQEPSLPHHQLTPHLPLFAPPLPRDLPPSSPPLPNSPPPPPPASLPPPPPPPPPTLPLPPPPPPPPPLATLPPPPPPPATLPPPPPPPLPDVYVANQNGNDDPQQSAQPVHYHPPEGRMDMQPPESSNSSFSNLPVQATNNNVHLRPPHPAPSSQFSYFQSDQSIPPPSYPGRFHFVNGTDTGNFHSDHDRMQHVHDDSWRFPPPPFSGPCHPDGPRGVHYPPNMYAGPPCDPPMSNTWHYPVRPANHRPYPEAPVPMATRGPNFWRPR >cds-PLY99674.1 pep primary_assembly:Lsat_Salinas_v7:9:56220013:56222286:-1 gene:gene-LSAT_9X47781 transcript:rna-gnl|WGS:NBSK|LSAT_9X47781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSSIVAPASINVRGNLKGHKNWSGNSSFYGNTITLTHQRKSNQQKIRRNLAISAEYNDRSGGGGGDFVAGFLLGGALCGTLAYIFAPQIRRSLLNEDEYGFRRAKRPIYYDEGLEKTRQTLNAKISQLNSAIDNVSSRLRGGNNMPQVPIETDPEEATM >cds-PLY66876.1 pep primary_assembly:Lsat_Salinas_v7:7:18850681:18855460:1 gene:gene-LSAT_7X15020 transcript:rna-gnl|WGS:NBSK|LSAT_7X15020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIAVRAAVSAFRREEESQVHHSSKRRRERSDQDRVNYGDSMFMETPRGKGLRLYIGNLPSHMDEVLVQTTKSRRIRMVERKTRKRSVDKPKTKHLEARHTMDSKEKKKRSVTLIRDHSKEYLSNLTVEFDQFGRAIGPNRFKFTSYRGVTTRKMISILIDSWDLVDQCDKDQLWLNIKIGAPPEVVERLEDACRASVVAAMSSRSGSAGCEAGTSDGGGGGGMNMMIIGQDPALDQFMEAYCEMLIKYEQELAKPFKEAMLFLSRVESQFKAISISTSDSAGGEVGMDKNGSSEEEVDVNNNLIDPQAEDQELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLDWWTRHYKWPYPSEAQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDAAHPHHYFMENILGNPYPMDVSLL >cds-PLY70347.1 pep primary_assembly:Lsat_Salinas_v7:4:99418939:99421927:1 gene:gene-LSAT_4X65361 transcript:rna-gnl|WGS:NBSK|LSAT_4X65361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIQSGVGGGGGVTTNKTAATRLASFSASQIFGGCHERDILIKKTNSSVPFAHRKMSHSNITDGGRRRSSSSSSPHVFCPKAVSDSSYSQTCLDPDASNSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYATNMGGYKNEGFVEVLAAQQSPENPDWFQGTADAVRQYLWLLEEQNVLEYLVLAGDHLYRMDYEKFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFSEKPKGDKLQAMKVDTTILGLDDKRAKEMPFIASMGIYVFSKNVMLDLLREKFPGANDFGSEVIPGATSIGLRVQAYLYDGYWEDIGTIEAFYHANLGITKKPVPDFSFYDRTAPIYTQPRYLPPSKMLDADVTNSVIGEGCVIKKCKIHHSVVGLRSCISEGVIIEDTLLMGADYYETDADKRVLEAKGGVPIGIGKNTHIKKAIIDKNARIGDNVQIINKNNVEEAARETNGYFIKSGIVTVMKDAVIPSGTVI >cds-PLY66933.1 pep primary_assembly:Lsat_Salinas_v7:7:17055897:17059061:1 gene:gene-LSAT_7X13661 transcript:rna-gnl|WGS:NBSK|LSAT_7X13661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTKRQDLENTTDSEEQHLKKQKLTEVSSPSPPQLGFDNALLPLATYEDEDDEDDERDDKKVEENGHNNHEEEEEDDEEEENNNGFGIGRRNRAIEIRRDCPYLDTVNRQVLDFDFEKFCSVSLSNLNVYACLVCGKYFQGRGQKSHAYTHSLEAGHHVYINLRTEKVYCLPDGYEINDPSLDDIRHVLNPRFSRDQVLQLDRNRQWSRALDGSDYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYINSRSVLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGAQSDPVEFMSWLLNTLHTDLKSPKTKKSIIHQCFQGELEVVKEIHVKDESNNMNIVSEKSRMPFLMLGLDLPPPPLFKDVMEKNIIPQVPLFNILKKFDGESVTEVVRPRIARMKYRVTRLPQYLILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPAVKEEESQRLRSKYDLIANIVHDGKPGEGAYRVFVQRKSEELWYEMQDLHVAETLPQMVALSEAYMQIYEQQQLPHQQMQ >cds-PLY93617.1 pep primary_assembly:Lsat_Salinas_v7:2:173308212:173308409:-1 gene:gene-LSAT_2X95920 transcript:rna-gnl|WGS:NBSK|LSAT_2X95920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQVNQISHRLVLLPCSTPIPEAITDISFSADYPIALLSASQAINGSFTSIPLIDIPLIHFCFHL >cds-PLY88796.1 pep primary_assembly:Lsat_Salinas_v7:4:94556562:94561530:-1 gene:gene-LSAT_4X61781 transcript:rna-gnl|WGS:NBSK|LSAT_4X61781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMFIQYPPYNPSIAMADDARKLNKELYDALMKGDTDKAIRLCEGIPKGPLHALTIHHDTVLHMATYSKQSYLVMELLNMVREVYYHKLTCQNDVGNTVLHEAATSDRIVPAAMEMLRRAPTLLSMPNRRGETPIFRAARYGKNRMYDFLDAEMHKTIQSDADLRSFHFRDDKTSILHISILTEHYDLALKIAAKYRYLIDERDGDGMTALQLLACNPSAFHGGEEVGGSLIKKFIYARIKTGGTVTEKVVTGWTVPLWEQLRDQKHRYDSVIELARFLIERDTTWEATESALDKSKPKTHRYGRTTSMGPQDQGQISTTGQETTTVDIAETPLFLATKSGCTEIVRGILEMYPQAVEHVDDEGRNILHVAIKYRQIQIFDIVEKMETPMTRLIRKIDNNGNSILHMVGIKATEAGHEDMRSPALILQEDLLLFERVKKISATHFTKHYNAQGVTAEKLFAINNAQHRMDAKEWMKGTAENCSIVAVLIATVAFAAAYTVPGGPNQETGYPILENQPFFFLFTMTDVLSLAFALTSVILFLNILTSSFRLKDFRQSLPQKLMMGVTLLILSVSMMMVAFAATVILLIRNKEKWTRVALYSVAFFPVLIFAFSYLPLYVSLVKTFSYTLKKIRHVVPRCDSSDPSKTDGAVIPNSVSNSTYPIQSITPDTNRFVV >cds-PLY67643.1 pep primary_assembly:Lsat_Salinas_v7:2:207407693:207408953:-1 gene:gene-LSAT_2X128581 transcript:rna-gnl|WGS:NBSK|LSAT_2X128581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKAIRIHEHGGPEVLTWEDVQVPDPKEGEIKLKQKAVGLNFLDVYMRRGEQNLAPPLPYIPGMEGAGVVTAVGPGVTSCKVGDVVAYASAEVGSYAQERILPADLAVPVPSSVDPVDAAAAIFKGLTAHVLIHKGFKVEPGHTILVHAAAGGVGSLVCQWANAIGATVIGTVSTKEKAEQAKEDGCHHVILYKEENFVDRVMEITSGKGLEVVYDSVGKDTFNGSLACLKNRGYMVLFGTASGVPEPLRVEQIAPKSLYYTFSSITEYTVENRKELLVAAQDLFSNIAKGVLRIRLNHKYPLSQATQAHIDLESRKTSGSVVLIPDEE >cds-PLY67549.1 pep primary_assembly:Lsat_Salinas_v7:3:54527449:54530484:1 gene:gene-LSAT_3X42141 transcript:rna-gnl|WGS:NBSK|LSAT_3X42141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWLYLVLILSFSVLPLSKSQNTITATNSITSNQTIISAGGTFALGFFSPGNSTGSYLGIWYNTIPKLTVIWVANRATPIPKGSASILRLSKDGNLVVLSGKEQIWTSNVSVINGTDAVLLDNGNLVLRHGKDELWHSFDHPTDTFLADMKLSSNRNGQQMRLTSWADDENPRPGIFSLGIDPDRHQVYIWKGDEPYWRSNVYATNLTFGSGFIGDGSWFGQRVMDGGFPAYISYVIEDDEDYILYDISTNSMSIRFTLVPRGQIELQLWMQTKWKAVWQSSRGPCDFYGYCGPFTTCNKTNESIPACKCMTGFQPKSHNEWIAGNWTGGCVRIKTLSCDRGDMFSVYEGVKLPDHAVSIGKISFNDCESGCIRNCSCNAYAYENVTNELKIVCLNWFRELVDITISNYTTAYKLYVRIRSSQLVDKIHANNFTHKRKFRNEAAVTVAIVSVGMLLISIFGYFLRRRRRRLIREERIRRELLGYDSLSTSIGDAHNSIELVSFSLRSILEATGSFSVENKVGEGGFGSVYKGSLPGNREVAVKRLSARSSQGREEFMNELRIIAKLQHKNLVRLLGCCVEEDEKILLYEYMPNRSLDKFLFDPSESVNLDWSKRFNIIEGVAQGLLYLHKYSRLRVIHRDLKASNVLLDQMMTPKISDFALARIFGMNQTQDKTNRVVGTYGYMAPEYALHGTFSERSDVFSFGVLLLEIVTGKRSTSTYTEGFLTILEWAWKRWMEGRGLELIDPLIRGTSSNADLQAVKCINIGLLCVEEIMSERPTMSQVVAMLINETTTVPSPKKTAFTIHRSAQVSNRFSNNQVTVTNLEPR >cds-PLY74004.1 pep primary_assembly:Lsat_Salinas_v7:1:33066234:33067865:1 gene:gene-LSAT_1X28741 transcript:rna-gnl|WGS:NBSK|LSAT_1X28741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCRSPVSPPHHESDHVSGGSVETIVYILTSGGRRIPALAKILASASPVLESIIDRPRKHRSSEKTIPILGVPCEAVEVFVRFIYSNRCSEEEMEKFGIHLLALSHVYLIPQLKARCTKALIERLTIENVVDTLQLARLCDAPDLYLKCMKLISNRFKVVEESEGWRFLQDNDPYLELEILKFIDESESRRKRTRKHLQEQSLYFQLSEAMDCLQHICTEGCTSVGPYDKEPSKNRAPCSKFSTCEGLQHSIKHFAICKKRVNGGCVRCKRMWQLFRLHSSICESPDSTCRVPLCRQFKIKEQQVKNKKEEARWELLVRKVVAAKAISSLSLPMRKREQQEQPRSLRDAMNNHFSGNGKDGVVCTCGMFGVGLEWYFVKKGTLCN >cds-PLY93809.1 pep primary_assembly:Lsat_Salinas_v7:6:145737489:145739493:1 gene:gene-LSAT_6X88041 transcript:rna-gnl|WGS:NBSK|LSAT_6X88041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKEHRLAAKTAVNRRVKLDLIAEPSENPLLLLGQYSDEELEEESGKEISHDTRENSPAELDEHVKTDSYEGTKVDKDENLTCEKPDQEKKEHSSSLDVEEIGVMVLPSNKDMDEVEETTVSWTSDTQTNNMDSRWKMVLHEESNSYYYWNIVTGQTFWEVPVDLVQRNETTYDPQSVTEVEEMHLAVGEWFTACVSVCGLFHIAYPSAPEALKAELRYVYSHLCQDDMPMVRRTAVTNLKKFAATMEPSHLKTKIMQIFEDLT >cds-PLY73844.1 pep primary_assembly:Lsat_Salinas_v7:7:69687975:69688868:1 gene:gene-LSAT_7X50180 transcript:rna-gnl|WGS:NBSK|LSAT_7X50180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKDSLNNEENDNSDDQNSMAVPKLDKKIVKKRVKKFKRPHSDWKICVKENWRRPKGIDSRVRRKFKGVTLMPNIGYGSDKKTRHYLPNGFKKFVVHNAKELEVLMMHNRTYCAEIAHNISTRKRKDIVEKAAQLDIVVTNKLARLRSQEDE >cds-PLY85157.1 pep primary_assembly:Lsat_Salinas_v7:9:146687338:146689025:-1 gene:gene-LSAT_9X94261 transcript:rna-gnl|WGS:NBSK|LSAT_9X94261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEESQISDAIDKATVEIAGDNKGISNVPLTLVVKKNGVPNLTMIDLPGIARVAVGDQPENIYEQISDMIMEHIKPEESIILNVLAANVDFATCESICMSRRVDSTGQRTLAVVTKSDQSPEGLLEKVTSNDVNIGLGYICVRNRIKDETYEEARMQEATLFQTHPLLSKMDKSIIGIPVLARRLMEIQSMIISKCLPDIVKKINERLNASVLELNKLPRILTSIPDAMAAFMQIVGSLKETFQKILIRGELEYDDKEMHCNARLAEMLDEFTKELHKSDKISENFLVEEMLVLEEANGIRLPFFLSNSAFQYLLKKKVNDVSDLPISFLNKVWGYLEIVCARVLMDHCGNHPQLLPSIKKASLNVMLRMKEKLVERVFEMIEMEKIDDFLRAITNRYSFSMEGCGSIGITHLVNVPATKRDQAFDLKMRMMAYWKIVLGRMVDWIALELRFVIQKMVNSELEKEIVNEVMVRGGGMEKMLDEPTSVASERERLQKSIGLLQESKQIIQQVMDGI >cds-PLY72846.1 pep primary_assembly:Lsat_Salinas_v7:6:22440200:22442635:1 gene:gene-LSAT_6X17460 transcript:rna-gnl|WGS:NBSK|LSAT_6X17460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKSVAVSGGGGDWYRAPFTAVQWQELEHQALIYKYLVAGVPVPSDLVLPIRRSFEALSAKLLHHPALGYTNLSLYYGKKFDPEPGRCRRTDGKKWRCSKEAFHESKYCERHMNRGRNRSRKPVESQSTAKGGSYQNVISTATAKSLNPITTNSGTGSYNFGNNGSKLQLDTIPYGINTKDLRYSQEQTVTVNVDNQNYALKLCGDSNDNDLDNSWSSQVVTDSSHNDSYSNTFEPIPMPMIDDDANNSISMSSSSSSSKQQSQQHFCFFGGEIDQVNHDEQDNSMLPIPWSNLNA >cds-PLY87893.1 pep primary_assembly:Lsat_Salinas_v7:5:3597984:3602173:1 gene:gene-LSAT_5X1700 transcript:rna-gnl|WGS:NBSK|LSAT_5X1700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPLCLLAFPIVTRGQARRLKIVVFFSTCHLHMLSYIHRYLDVSLNPGNPPSVDVADWDIEFAANATLDFPSVWREE >cds-PLY74781.1 pep primary_assembly:Lsat_Salinas_v7:6:116871562:116874034:1 gene:gene-LSAT_6X71461 transcript:rna-gnl|WGS:NBSK|LSAT_6X71461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPSRSFLQVAATEEAVAPPLRVVQIEGLVVLKIIKHCTEFSPALVTGQLLGLDVGSVLEVTNCFPFPMREEDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTLLGSFQTVELIETFMNYQENIRRCVCIIYDPSKSNQGVLALKALKLSDSFMELYRNNDFNGEKLREKNLTWVDIFEEIPIKVSNSALVSAFMTELEPDSPVTQSDYDRLQLSTNPFMERNMEFLIECMDDLSMEQQKFQFYYRNLSRQQAQQQTWLQKRRSENMARKAAGEEPLPEEDPSNPIFKPLPEPSRLDSFLITNQVSNYCNQINSVAGQSFSRLYLMKALHE >cds-PLY83674.1 pep primary_assembly:Lsat_Salinas_v7:4:40175559:40177646:1 gene:gene-LSAT_4X26101 transcript:rna-gnl|WGS:NBSK|LSAT_4X26101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISTSSEWSLAYIHCIKRTHKNLTFNPSKNSPILLFISPPFSSSSRILILNSSTSCPPPILDDEESTDSSLIELDLEVENLGDSSVPHQENLNQLICKLLKDPKTEEIGFESYQKAKRTPDFTPTRSTLHNLIRYSLRLKNWNSIWSISDDFGKFKVYPSASSCCRLISSCIRAKRFKLANHLLHILKFEKEVAILSFNAAIRSYNKLHMYSSSVNVYDIMKSFGMQLDGECYGRTMEAYLKMGKNDKVISLFKEFESSNLEWTPFCSQIYRILIESLAKSGKPFQALDYFRDMIKKGFPEDPSFYSTLISSFVAIQEVKMVEDLTKEAEAKNMLRDPAVFLKLVLMYIELGSLEKTLDVVSSMKKANIKVSDCIFCAIVNGFSKKRGLTSAVKVYEELTAEGCHAGQVTYASILNVYCRVGLYEKAEEVFWEMDNKGFDKCVVAYSSMIAMYGKQNRIRDAMRLLARMKARGVELNVWIYNSLLDMHGKVLNLRQVEKIWKEMKRRRLVADKVSYTSVISAYSKAREFDTCMKYYNEYRLNGGGIDRAMGGIMVGVFSKMSRVDELVKLLQDMKAEGTSLDSRLYRSSLHALRDAGVRIQVKWLEESFDPN >cds-PLY69362.1 pep primary_assembly:Lsat_Salinas_v7:7:81095321:81099790:1 gene:gene-LSAT_7X57060 transcript:rna-gnl|WGS:NBSK|LSAT_7X57060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKCYHLLTLGRSKAQSISTNRWVQGSGFIRSHHLRHHPQSPPPSPSQDGTLLSSTFNISRNRYYVGTTTSHNYPRATFSKLNLNHHHAQLSTLNTLELANHVADNPLDYKVTVKDSEFISAAHAPTHEFWIPLSNLDLLLPPLTAGVFFCYKRNDDRSAMSTETVVKTLKKSLASVLSTFYPLAGEIVLNRLGEPEVLCNNNGVEFIHAHADVDLQNLDLHHPDETVKGKLVPKLNRGVISVQVTELNCGAIILSVAFDHRLTDAQSCNIFLAAWADIAQFNKISTIPSFRSSILSPRRPPCHDTTFDDMYIPISSIPPPPSSYEDILFSRIYYISVESINSLQLQASTKETRRSKLQSFTAYIWKLLAHEGDDDVSKTSRVGVTVSGRNLLTGNSEEEASLLKNHYGNILSIPYGKEKNHHLHEMTLHEVANKVHEFVNKTANEEHFRGLVDWVELHRPEPGVARVYFKLQENDGDAIVVSSGQGLPIKNMQFGWGEPKFGSYHFPWGGVTGYITTMPSAKNNGDWIVYVHLKQEHLDLINSKASHIFKPLANSYLDIR >cds-PLY96787.1 pep primary_assembly:Lsat_Salinas_v7:2:170569734:170571150:-1 gene:gene-LSAT_2X93361 transcript:rna-gnl|WGS:NBSK|LSAT_2X93361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTFLPLILLLSSLSLLTIASSSSSQQTPESVVEEVSRSINGSRRNLGYLSCGTGNPIDDCWRCDPNWATNRQRLADCAIGFGKDAIGGKNGRIYVVTDPRNDNPVNPVPGTLRYGVIQDEPLWIIFQRGMVIQLRQDLVMNSFKTIDGRGVNVHIGNGPCITIRGATNIIIHGIHIHDCEQAGNGYIRYSPHQSGWTQSDGDGITVKSSKHIWIDHCSLSNCDDGLIDVTHGSTAITISNNYMTHHDKVMLLGHSDSFTQDKQMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTIYSQGNRFAAPDTIYRKQVTKHENAKESEWKNWNWRSEGDLMLNGAYFLESGKGAASSYARASSLSGRPSSLVGAMTRTAGALACRKSSQC >cds-PLY90845.1 pep primary_assembly:Lsat_Salinas_v7:6:100839331:100843396:1 gene:gene-LSAT_6X63860 transcript:rna-gnl|WGS:NBSK|LSAT_6X63860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEVFYFNFDKQYVVATSVKCMANRLENIKEEGVENWLPAACPLPHTPTESMEFLGRSWSVSSVEVSKALSHTFVENPNLFCNVEGNQESSSTMSEEHQPKPLAKPDSPPVSPRKSDEMKELFLIHQALNQDFLSNHQLLKNGLYRNIMRGRTMGRWIKDQKERRKHEIRTQNAQLHAAVSVAGVAAAVAALTASSATLSKNSGNKERSKTSNAIAAAAALVASHCIEIAEDMGAEHEHILSAVNSATIARSNGDIMTLTAGAATALRGAATLRSRLQKGPTTMALAEDHVEEGKELNVLAALNFVFKGGELLKLTRKGDLHWKQVSFKVNTKWQVVVKMKSKHMAGTFTKKKKSTPTVVVSGVYVDVPAWPGRETDDSNEERQYFGIETSERVIEFECNTKDEKQKWIEGLQHLLNCRANMPRFT >cds-PLY97043.1 pep primary_assembly:Lsat_Salinas_v7:4:350695823:350696644:1 gene:gene-LSAT_4X173280 transcript:rna-gnl|WGS:NBSK|LSAT_4X173280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRWVRPEVYPLMAAMTFVTGMCVFQLTRNVVMNPDVRVNKAHRTTAVLENQDEGKKYAEHGLRRFLRTRPPEVMPSVNSFFSDTK >cds-PLY99886.1 pep primary_assembly:Lsat_Salinas_v7:4:47387378:47388542:1 gene:gene-LSAT_4X32600 transcript:rna-gnl|WGS:NBSK|LSAT_4X32600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFSTYLPLVILCVITIFIPSFSLIPSTISFTESFSPLFGYQNVKPSDDGKSVQISMNQWSTAGSGFVSRYAYNHGLFTASIKLPDNNYTAGVVVTFYAQNSDYYRDEIDFEFLGHIAGEEWVLQTNLYGNGSIHRGREERYTLPFDPSTDFHDYGILWNTDWVIFFVDDLAIREVPNVEGMGGDFPSKPMYMYGTIWDGSDWATHKGEYRVDFQQGPFVTGYTKFIIDGCQIDPSESLQTECRRYDISSDGIPANERRRMHEYRAQYMTYSYCYDTERYPTSLPECEVIDQDMQPIAPPIMASGASRRFR >cds-PLY81224.1 pep primary_assembly:Lsat_Salinas_v7:4:306794352:306796254:1 gene:gene-LSAT_4X155561 transcript:rna-gnl|WGS:NBSK|LSAT_4X155561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSQPFPTENDLSDARKTVRNLAIKIEKSNSRTQKQHKQEEKEEDSEYTKVMKEIEHIKQELAKLKLDMKRVLKEKKYAENVFKASSSKSYTLSSAVERIKKEIDELDEEQVLVEIARIEAIKEREAIDAQTKEEDTRYQTKLKEIKRKTEEIVQMNNTPDLLQMMNVELEYAKAELEEIKREGFGFMTSMDVIRNELKIIREELSRLEKEEEKRDLTIETLNSKILKGKAKLELVNATTEKANSIASNLAITVDHLRAESETVKKEKEIIIEEIEKIKLEIPKTESGIELSGKQLESVMEELKNEKLSEFKALEDLKNLIDSTVQARDPSSLNSPTITITSFEYEYLTGKAGGAKAVSDKKVAAARAWVEALKTNEKEILMKVEMVKREISEIEAREEDLSIKRRSSIDGGVKVLASPRRSMYKIGNMTPGRRRSLKLLSPATRHAIRSTSFSKKREKATSNLAKLLDDEDDETGE >cds-PLY81285.1 pep primary_assembly:Lsat_Salinas_v7:2:27566285:27566623:-1 gene:gene-LSAT_2X12721 transcript:rna-gnl|WGS:NBSK|LSAT_2X12721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIGGRQVVRGYGGSAVVEQRHRAPRRMVTLEGLICYLLLSKNTDCSGESVTYGNIGLVLFIGGTHELLLLRGGGAVVFGARVIVVGLTGGASIDRQLQLLMRSFVNCPETC >cds-PLY92642.1 pep primary_assembly:Lsat_Salinas_v7:2:160244772:160247206:-1 gene:gene-LSAT_2X83520 transcript:rna-gnl|WGS:NBSK|LSAT_2X83520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 58 [Source:Projected from Arabidopsis thaliana (AT3G18400) UniProtKB/TrEMBL;Acc:Q9LS50] MEESLPPGFRFHPTDEELITFYLSQKVTDSSFTSKAVAEVDLNKCEPWDLPAKASMGEKEWYFFSMRDRKYPTGLRTNRATVAGYWKTTGKDKEIFRGGILVGMKKTLVFYMGRAPKGEKTNWVMHEYRLETTHAYKPNKEEWVVCRIFQKSTTAKKPMGTTSSPQSMDSPCDTNTMANDYGDIELPNLNGIANLSGNSSLHNYGMENMNLDISLAHSLPLLAWPSSILSSNLSMNALLIKALQLRNYQQREAANNFDYQFMPQANSNFLDGASPSLQASSTRNCESLQQQEQQEQPFNLDSMW >cds-PLY66745.1 pep primary_assembly:Lsat_Salinas_v7:1:167962902:167970520:-1 gene:gene-LSAT_1X112681 transcript:rna-gnl|WGS:NBSK|LSAT_1X112681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNGNNNHLVTTAKANYAPYGIDFPDGPTGRFSNGRNIVDVVAQLLGFENFIPPFATARSDEIVQGVNYASGSAGILDETAEHMGGRICMNQQLTNHAITILQLVDLIGNGSSARVRQHLNKCIYTVAMGNNDYINNYFYPEYYQTSTLYTPEQYAEILVKQYSKQLSTLYQYGARKFGIHGAGYIGCTPAMMKRFNTNICVDAVNGAIIQFNTKLVTALGDLESKLSGSKFIFIDPPLGYSSDFNVTDKPCCNISTIIGEGLCAPNEIPCDARENYIFWDEFHPTESASLVDGARTYEALSSFYASEPKGSFPISAV >cds-PLY74157.1 pep primary_assembly:Lsat_Salinas_v7:9:13665192:13666896:1 gene:gene-LSAT_9X11960 transcript:rna-gnl|WGS:NBSK|LSAT_9X11960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATSQLTRSFTSVSLSPTALYHFPNSPSCLSFVSNKLYSHKISSRRVLTIRKHGALKIHAMTASFGSRLEETVKKTITDNPVVVYSKTWCSYSSEVKSLFNRLGVQPLVVELDQMGAQGPQLQKVLERLTGQHTVPNVFIGGKHIGGCSETVKLHRKGELEALLEEAKSA >cds-PLY87756.1 pep primary_assembly:Lsat_Salinas_v7:5:200528008:200528540:1 gene:gene-LSAT_5X91061 transcript:rna-gnl|WGS:NBSK|LSAT_5X91061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDDEVNNKDSFEYRGGRSANFSKDAKDHREGHFRNEHDPRPNDESYVTSDSIIVVAKSINGTVLVGFSGGFGRVSGIVDLEDNLSHPPGFSNFNGNDGFSEKSYNNCSFF >cds-PLY64817.1 pep primary_assembly:Lsat_Salinas_v7:2:101604164:101605774:-1 gene:gene-LSAT_2X46760 transcript:rna-gnl|WGS:NBSK|LSAT_2X46760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQVPKFGNWENEEDVPYTAYFEKAKKARKTKAQFPDPSSNNELPFQAPEEADIKHGDSTKSEPERRNTSRTEEESRVSQEEVNMKMSSDSLPNPRRTSRQSGGGSDRSFDNSPMHSHTPARSGNKGNTGSSPMSERKVSSEAIHGPPSSTPGRSKLKQVPRGDETDDDGPAIPKFGGWDEKDPASAEAYTHIFNKAREDRHNGGGKSPMVSTDNVDFYGQNQRSENSKGCGCFPWSKK >cds-PLY93801.1 pep primary_assembly:Lsat_Salinas_v7:6:146001482:146006880:-1 gene:gene-LSAT_6X89400 transcript:rna-gnl|WGS:NBSK|LSAT_6X89400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVELPSRIGILPFRNKVLLPGAIIRIQCTSPSSVKLVEEELWQREEKGLIGILPVRDVAEGSMVTQGADLGDRSSKNQQGLSDSHKLDGKSQQEPNWHTRGVAARALHLSRGVEKPSGRVTYIVVLEGLSRFSVEELNTRGTYTTARISPIDMTKAEMEQVEQDTDFIVLSRQFKQIAMELISVLEQKQKTGGRTKVLLETVPVHKLADIFVASFEISFEEQLAMLDSVDVKVRLSKATELVDRHLQSIRVAEKITQKVEGQLSKTQKEYLLRQQMRAIKEELGDNDDEDDDVAALERKMQDARMPPNIWKHAQRELRRLKKMQPQQPGYNSSRVYLELLADLPWQTTSEEGELDLKAAKERLDSDHYGLVKVKQRIIEYLAVRKLKPDAKSPILCFVGPPGVGKTSLASSIAAALGRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKKVSVCNPVMLLDEIDKTGSDIRGDPASALLEVLDPEQNKSFNDHYLNVPFDLSKVIFVATANRAQPIPPPLLDRMEVIELPGYTSDEKLRIAMRHLIPRVLNQHGLTSDFLKFPEEMVKLVIERYTREAGVRNLERNLAAIARSAAVTVAEQQQNNNNNVSLNQISSPLLETRLEGGGGAEVEMEVIAMGGGVNNHEISNVFTIMSPLIVDEEMLEKILGPPKYDDKEAAERVVTPGVSVGLVWTAFGGEVQFVEATATAGKGDLHLTGQLGDVIKESAQIALTWVRARANELDLVSAEEKNLLEGRDVHIHFPAGAVPKDGPSAGVTLVTSLVSLFSRRRVRPDTAMTGEMTLRGLVLPVGGIKDKVLAAHRYGIKRVIMPERNLKDLVEVPAAVLGSLEILLAKRMEDVLQHAFEGGCPWKHHSKL >cds-PLY68989.1 pep primary_assembly:Lsat_Salinas_v7:9:141788848:141793533:-1 gene:gene-LSAT_9X91441 transcript:rna-gnl|WGS:NBSK|LSAT_9X91441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSVHRRSSKYDVFLSFRGKDTRKNFVDHLYHALQRQGICTYKDDKRITKGKRICDELISSIKDSKFYIIVFSRNYASSSWCLDELVKIMECHNDKTTENTALPVFYDVAPKEVRHQRGAVRKAFAKHKNKEAAGKWTEALKEAADLAGWELKNTADGHEAKFIQEIVERVSLKLCSINFNFDEKLVGMETRVNDLVSSLEIGIDDVRMIGIKGMGGGGKTTLARSVFDQISFKFEGVSFVENVREVSSTSLSGLKSLQRQILSDVLDDKDISIKDVKNMMKSRMRGIKVLIVLDDVNHLNQLEALAGEPIWFKPGSRIIITTRDEKVLLAHKVTLIRDVNLLSDKEAICLFSRYAFGREIPIEDYEELSEQVLCYASGLPLTIKVLGSNLCGEDKPIWNDTLQRLKTIPLTETMKILELSYTILEDDHKEIFLDIACIMKGWLKENAIQALESCGFHALSGLRVLQQKSLITIDAHWDQEYVGMHDHIEEMGINIVRRSHPNMREKHSRLWKNDVIEHILANDLGTEEIRCIELSSTKLDPYTLIKGLGKMKALRYLSVVTTNHSRDAELDTFIPSFPDALGYLKWDSYPFRSLPETFQANNLVTLELPTSEIVQLWEGGEKKVLDKLRFLDLSDSKLKTIDLDLTPNLETLNLKDCHDLVELSDDIWKLEHLKSLDLWACLSLKNLPEVFQRVGCLENLNLSPDSISIPKNLKYLRLAHCDEFEKLPEDLGCLESLEVLDLTNTKLKHLPDSITLLKHLTILILYHSRYFNKLPEDLSGLESLKDLDLSCTDIEHLPDSICELKHLESLNINVV >cds-PLY62656.1 pep primary_assembly:Lsat_Salinas_v7:1:35295042:35296387:1 gene:gene-LSAT_1X30140 transcript:rna-gnl|WGS:NBSK|LSAT_1X30140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANIRRSVLFGISRFLNSTAAPARVLGGASSNATIPYQTLYRPYVNSFSRFYSSNGGVESLDFDLSNEESRRILTNRLLYRSKQRGFLELDLVLGSWVENHIGSLDEKGIKSLITVLDLENPDLWKWLTGQEQPPEAIETNPVFNEVRSKVMNNLESYASKQTRATPGQPWVRGWDDFKKGRDSPDVGNQ >cds-PLY74235.1 pep primary_assembly:Lsat_Salinas_v7:1:79023372:79023861:1 gene:gene-LSAT_1X67161 transcript:rna-gnl|WGS:NBSK|LSAT_1X67161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCPLTTESLSSKRVLEMEDIIRHKNMIITKLMKDMVVLEQKVIHLIRLRRSSTSKSNSSLKKLSAMTHNLVYDMDSTTSPSSSDSDCSTKKIKPFFC >cds-PLY80943.1 pep primary_assembly:Lsat_Salinas_v7:8:255665392:255667651:1 gene:gene-LSAT_8X149620 transcript:rna-gnl|WGS:NBSK|LSAT_8X149620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSLEDVPSMDMMTELLRRFKCSSKPDKRLILIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKETMEKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVAQAEKLDEMLQKQGTKIDKVLDFAIDDSILEERITGRWIHASSGRTYHTKFAPPKVHGVDDVTGEPLMQRKDDTAEVLKSRLQAFHKQTKPVIDYYSKKGVVAMLPAEKPPKEVTVEVQKVLSS >cds-PLY63597.1 pep primary_assembly:Lsat_Salinas_v7:4:135263256:135265147:1 gene:gene-LSAT_4X84060 transcript:rna-gnl|WGS:NBSK|LSAT_4X84060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEVQGTIGSIRMRKTKTEEASGRNFGDEGLFFLAESLVYNQVAEEVNFVSNGITTEGIKAFDGILQSNISLKVLNLSGNNIGDEGAKAIAELLKKNSTLRTIELNNNLIDCSGFSGLAEALLENKSLNSLYLKGPQPDEATSGPFCPPD >cds-PLY75731.1 pep primary_assembly:Lsat_Salinas_v7:4:334134744:334135592:-1 gene:gene-LSAT_4X165780 transcript:rna-gnl|WGS:NBSK|LSAT_4X165780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKYVGADITSMVTLPVLIFEPMTMLQKMAELMEYAHLLEQADNCEDPYGRLVSHHPPISVAHAENEHFVYDITSKVKTKFLGNSIDVYPLGSVG >cds-PLY96448.1 pep primary_assembly:Lsat_Salinas_v7:9:129536848:129537421:-1 gene:gene-LSAT_9X83921 transcript:rna-gnl|WGS:NBSK|LSAT_9X83921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRGSRKLWWFIPCTHRKRLRTESRLDVEVIIHTELVTIEEEPDTDDHDNGGEKPGKRVKTSKKKGEEIGRVFTAQYSLKNSYALFLNRMTSSRSFGGLQAY >cds-PLY95431.1 pep primary_assembly:Lsat_Salinas_v7:9:191110431:191110754:-1 gene:gene-LSAT_9X118100 transcript:rna-gnl|WGS:NBSK|LSAT_9X118100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLDLTSSNSFCSIHILRSSFNSSSSLICTLRGFAVKHTSSRSLASSFPPSVLYPLIWDTSDTIRHLPPASPIFATYAFP >cds-PLY61702.1 pep primary_assembly:Lsat_Salinas_v7:5:216646258:216647192:1 gene:gene-LSAT_5X99920 transcript:rna-gnl|WGS:NBSK|LSAT_5X99920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLEEGALISCHSVELWQQLYEKHKQIKKLLVVDFTASWCGPCRMMAPIFAELAKKFPDVIFVKIDVDELKSIAEDFSVEAMPTFMFLRDGEVVDRIVGASKDELPKKIETLRGPAA >cds-PLY92820.1 pep primary_assembly:Lsat_Salinas_v7:2:148764626:148765585:1 gene:gene-LSAT_2X74680 transcript:rna-gnl|WGS:NBSK|LSAT_2X74680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSKHSTRCQVKSISLPCRSHPTTIRIEQQLNAAKLDAATATPPSAKTVCNGLSHLTELYKSMVDLLNSSTTRVSISRQQNKKWVEDLVDESVKFLDVCGNTRDMVAQIKEHITDLHCALRRRRNTENNADYSSFRRKMKKDVKRLVGSLKEVDNTIGGGSMVVDSDHHQLAAVMKAVVGVSEMTVAVLESLLLFLAMPVSKPNRLSFVVSKLIHKGMVACDDQQELGILNELDAIDAGLQAPPCKDGSSEKIQTAKCRLERVESQLECIESRLESIFRRLIGTRTSLLNIMSQ >cds-PLY68528.1 pep primary_assembly:Lsat_Salinas_v7:4:21737783:21743182:-1 gene:gene-LSAT_4X14040 transcript:rna-gnl|WGS:NBSK|LSAT_4X14040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSRYHKSRPQAEERPTQDTAKLDRVDEEGKDVSVNDMTELEKELNAALMHTRSRKTQLMMERVSSLREQEKKLSDENKELNRQRTTSPLHPNRNLDRFSLRHPQTIFYHHPILVEDMKSHMCNGRESSRRRSHLSDQCFPAKPEGDERGTRVVIASSSELLISSVDSITNSSSGHEGAVGVVVAGAPRCRRW >cds-PLY72711.1 pep primary_assembly:Lsat_Salinas_v7:6:29182539:29183288:1 gene:gene-LSAT_6X21621 transcript:rna-gnl|WGS:NBSK|LSAT_6X21621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKAVADSTEVCKTTNEKVDKLIANTTTFMENFQTTFNSNTMSANEALKSLGSIFKAEKTKLQEIHIGLKTEHETFQATISSQISQLKDELEKESTIKDSLALNCIVDVIGLLLDILETKDSMLTIIVRKHLLEKVKHVFAMLHILQGVPESGFIMKQWGEGASMKIDPKAFVEQVSPGPPVIKKEPKLKEKLFNDDPIIDEEEEEEVDEAKLKKTKGS >cds-PLY64427.1 pep primary_assembly:Lsat_Salinas_v7:5:37602336:37607639:-1 gene:gene-LSAT_5X18581 transcript:rna-gnl|WGS:NBSK|LSAT_5X18581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRTKLDGFMSFRQKRWIQFLIGVGILYLLLMVNFEVPFLFKSVTQEKDAEQSSRNTLFFTDGLSKESLVLDSEEESPIRPLKVPHYAPSNPRRVGKIREFKALSNLKFDVDFVNTKPGFGGIQTSAKEAFLVGKSFWEEVESPSKNNITEGIKNQTIESCPGSITLSGSGFQKKGNIIVLPCGMTLGSHITLVGRPRKAHFEQDPKISLLKQGEVSQFMMELQGLKTVDGEDPPRILHFNPRLKGDWSGKPVIEQNTCYRMQWGIAHRCEGWKSRVEEETVDGKVKCEKWVRDDDGHLEDSKSSWWLKRVIGRTKVPFDWPFPFVEGKLFVLTLSVGLEGYHVYVDGRHITSFPYRTGFALEDATGLALKGDIDVHTILAASLPSAHPSFTPQKHLEMSQKWKAPPHPSGPIDLFIGILSSGNHFAERMAVRKSWMQHDLIKSSHVVARFFVALHARKEVNVELKKEADFFGDIVIVPYMDHYDLVVLKTVAICEYGVHTASAKYIMKCDDDTFVRVDIVLNEANKVSDGKSIYVGNINYYHQPLRYGKWAVTYEEWPGEDYPPYANGPGYVISSDIAEFIASEFEKHKLKLFKMEDVSMGMWVEQFNKKKPVDYVHSLKYCQFGCIEDYYTAHYQSPRQMVCLWNKLQLLGRPECCNMR >cds-PLY82130.1 pep primary_assembly:Lsat_Salinas_v7:1:15950941:15952535:-1 gene:gene-LSAT_1X13880 transcript:rna-gnl|WGS:NBSK|LSAT_1X13880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLVGSVGRRQTSFFPQHSSYRHITLIAHPFTRRSSIENNYVDYVPQQSRRNVSVMRKSAFEDRIRRLIRNDIQYELDRSPRTKLIPNFKSFAVDERPGEQWIRLNKQFGEDEEIKVEVTMFRVSTPAEKEGSVTTENDLELYISMVIDIFKDEENGILEFVCNVWPDSIEIEKVFMRDQDGMTGKPYLGPPFNDLDDELQTSLYDFLEIRGINDELALFLHKCMQHKSKNEYIRWMESLESFVARNK >cds-PLY93769.1 pep primary_assembly:Lsat_Salinas_v7:6:143350152:143356827:1 gene:gene-LSAT_6X86420 transcript:rna-gnl|WGS:NBSK|LSAT_6X86420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARLIIPCKGSSLPRTCLLGLISSAHVITEYHLLIFMRLVCSVATESVSKSFEESKMEGPKEIFLKDYKLPDYYFDTVDLKFTLGEEKTIVFAKINVVPRVNGVTPPLALDGIDLKLISVKINGNELKEGDYDVDARHLILKAPPSGSFTLETVTEISPQHNTSLEGLYKSSGNFCTQCEAEGFRKITYFQDRPDIMAKYTVRIEADKSLYPVLLSNGNLIDQGDLEGGKHFAVWEDPFKKPCYLFALVAGELESRDDTFITCSGRKVDLRIWTPPQDLPKTKHAMYSLKAAMKWDEDVFGLEYDLDLFNIVAVPDFNMGAMENKSLNIFNSKLVLASPETASDADYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDLGSRTVKRIGDVSKLRIYQFSQDAGPMAHPVRPHSYIKMDNFYTVTVYEKGAEVVRMYKTLLGSEGFRKGTDLYFKRHDGQAVTCEDFFAAMRDANNVDFANFLLWYSQAGTPIVKVTSSYNAEARTFSLKFSQTVPPTPGQPTKEPMFIPVAMGLLDSSGKDMPLSSFYQDGKLVSITSGAQPVYTTVLRVTKAEEEFVFHDLDEKPIPSLLRGYSAPIRLHSDLTENDLFFLLAHDSDGFNRWEAGQILARKLMLNQVVNFQKDEKLVLDPQFVHGIKCILLDSSLDKEFIAKAITLPGEGEIMDMMEVADPDAVHAVRSFIRKQLALELKQEFINKVKENRSSESYEFDHVNMARRALKNTALGYLASLEDEEISELVLNEYKSATNMTDQFSALAAIAQKPGNAREEALADFYEKWQHDYLVVNKWFSLQSASDIPGNVENVKKLLNHPAFDLRNPNKVYSLIGGFCASPVNFHAKDGSGYKFLGDLVVQLDKLNPQVASRMVSAFSRWKRYDDTRQNLAKAQLEMIVCCNGLSENVFEIASKSLAV >cds-PLY86698.1 pep primary_assembly:Lsat_Salinas_v7:4:7589704:7590184:1 gene:gene-LSAT_4X4941 transcript:rna-gnl|WGS:NBSK|LSAT_4X4941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIEWQEIEVMLRVQVELDDYPPFELDVNDFIDDHQSDDDVGLDGGAKGAEDEVVGDGDGEVHGAGDEYVGDGDGKGHGAGDEVVGDGDGEGHGVEMLMMVMVMDLKVVLMNWVMMREIRVMMKDIRLSQWLGE >cds-PLY85693.1 pep primary_assembly:Lsat_Salinas_v7:7:158176351:158177933:1 gene:gene-LSAT_7X93181 transcript:rna-gnl|WGS:NBSK|LSAT_7X93181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLVCREDEQKRIMEFCKQCIEQEKAGSLYVCGCPGTGKSLSMENVKKTLAVWAKEALLYTIFQPQALELCARKVADSSGDMRKALGIRRGAIERLETELRESTSTSNLSSMVNISSIFEH >cds-PLY66649.1 pep primary_assembly:Lsat_Salinas_v7:1:53570256:53571271:1 gene:gene-LSAT_1X46680 transcript:rna-gnl|WGS:NBSK|LSAT_1X46680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFSNFILISSLVSLFTDLPIIKDVLEIANVLNSKWKRQVELMYIITYDILFGQDSSLTGDPEKYLILRKSQLQSALAKILLKKGAKRIEDLMSQYKIPADVKKPRYVRVNTLKLDVETAVSELSKDNMVEKDDMIPDLLVLPPATDLHNHPLVTNGSVFMQGKASSMVAVALGPKPGWETL >cds-PLY98912.1 pep primary_assembly:Lsat_Salinas_v7:7:49438636:49439456:1 gene:gene-LSAT_7X34921 transcript:rna-gnl|WGS:NBSK|LSAT_7X34921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDYLNLPKSPTQSSSPPPPPPPPPSTRSNMPMLYYGLVVVATAAIVLAIYNLIIVRWCAIQYRRSRDTNHHFGPRRNAATRNNNISLPPSSLSRGGSTVGLTASFRYKKEIEGTNIKTQLDYDTECSVCLSVFEDGEEVRKLPRCNHSFHASCIDMWLYSHSDCPLCRNSVVEPPLHAPSHLPLTPQHRHTDSALSEHSRDGLLDRASSSVSV >cds-PLY67704.1 pep primary_assembly:Lsat_Salinas_v7:4:3177260:3191155:1 gene:gene-LSAT_4X1060 transcript:rna-gnl|WGS:NBSK|LSAT_4X1060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKLEIKRIEDKSSRLVTFSKRRTGLIKKAQHLSVLCDVDVAVIVFSAAGKLYEFCSSGTNSNSTVEYILARYEAEGRTMKGDNQDLELPLQCTKFRTCKELLQTVDRLVEENNRDELSVIEMTQLEEELAAALMQTRSRKTQLMMEYLSTLQQAEMNLIKEKEEVIEKIASAEHVFGAGDDGGEGLNDLLVNQMDLPQHQHVTLPLFVT >cds-PLY80204.1 pep primary_assembly:Lsat_Salinas_v7:MU042018.1:536376:536645:1 gene:gene-LSAT_3X106001 transcript:rna-gnl|WGS:NBSK|LSAT_3X106001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDKPIRRVGGGGSPCRCYPHGYKVFVAVGKGGSGDDYLTVGVGVETSTRWHRVVAVGGDGGSDGLLLFRFGLLVDETVSGDVSSICYI >cds-PLY79601.1 pep primary_assembly:Lsat_Salinas_v7:2:166074855:166079469:-1 gene:gene-LSAT_2X89281 transcript:rna-gnl|WGS:NBSK|LSAT_2X89281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCPSQESEAITLAQEPMDTSCTPLRSPSAFIINTEIGAVLSVMRRNVRWGIQYIEDEDQIDRSLIKSFKEIRREVFSWQTQWHTINPILYLQPFLDVIQSDETSAPITGVALSSIYKFITLEVLHLNTVNVADALHKIIDAVTSCRFEVTDPASEEVVLVKILQVLLACMKNKASVKLSNQHVCDMVNTCFQIVHQASSKGELLQRIARQTLHELVRCIFSHLPNVATPHVNEKENGYISSKTEGATNLDMLAVKVDERIGHTKEFLTTEPYGVPCMVEIFQFLCSLLTAVENSRVGPGLRSNSLSYDEDVPLFSLGLINSVVELGGASLGQHPELLTLVENDLFHSLIQFGLSPSPLILSTVCSIVLNLYHLLRTKLKLQLEAFFSSVLMRIAQNKHGAKYQQQEVAMETIVDLCRQPMFIYEMYTNYDCDISCSNIFEDLVNLLSKSAFPVNTPLTAIHVLALEGLIAMTNCISEKITGNCEVQVSEHAVPDPENEPFWKVKCENYENPGCWVPYIHKTKHMKKKLSVGSDHFNTDPKKGLEFLQGMRMLPDTLDPVSVACFLRYTTGLDKILIGDYLGNHDRFNVDVLLEFAKTFDFHDMNLDIALRVFLETFRLPGEAQKIQRFVEAFADQFYEQSPDIFANKDAALLLSYSLILLNTDRHSPQVKKKMTEEDFIRNNRRINGGNDLPREYLSELYSSICENEIRMTPAQGAGVMTHDNWVGLLHKSRQTVPYIVCDSGEQINNEMFAILSGPTLAALSVVLDLVEQDDVLQTCIDGFLKVAKIAGCYQLDDVIDGLLVALSKFTNLLTPVSMEEPVFAFGNDTKAMKATIGVFTIANTYGDYIRSGWRNTVDCILTLHKLGLLPTRLATDTANDSDQIEDPGKSVLLSPPPPAVLASHSRKSSGLMGRFSDFLYYDMEKPAPQPSQEHLEAHKRAVETVKSCHVNNIFTESKFLQSDSLLNLTRALILSVGQNKNEEASVFCLELLITVTLNNRDRIMLLWENVFGYISNIVQSAVMPSTLVEKAVFGLIRICQRLLPYKENLTDDLIKSLQLILKLDARVADAYCEHITQEIMRLVKANARQIKSHLGWRTITSLVSITACHPEASDPGFQTLEFIMFEGTHLLPANFVLCVNAARQFAESRVGETSRSLKSLDLMSGSAICLVKWSRATSEGEEGEAADKVYQDIGEMWLRLLQSLKKVCLDPREEIRNHAVFTLQKCLTGLDGIHLEDEMWAQCFDLVVFTLASELLEIVQEKSTKEYRNMEGTAVLCVKLLLNVFLYSLPSLCRLVSFCKVWIGVLSCLERFMKVKIRGKTSDKIHEIVMELLKESLVVMKGNGILVESDSVGSDSFWQLTWLHVKNIAPNLQAEIFPVS >cds-PLY73179.1 pep primary_assembly:Lsat_Salinas_v7:4:268571628:268572459:1 gene:gene-LSAT_4X138660 transcript:rna-gnl|WGS:NBSK|LSAT_4X138660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSTELFENEMKHFISRKLLLDTYSYQQPAAAVTAPSPSTPHTEHSNFDANVVMVLSVLICALICSLALNSIIRCVLRCTGSSESSDSQESTLVKANTGIKKKALKSFPTVSYWEGLKLPGLDKECVICLGDFSTGELVKILPKCNHGFHVRCIDKWLSSHSSCPTCRNSLLETCQKIVTGGNCNIIISSQPQEESPRNITTLTILPLPHEGLVRNYET >cds-PLY68254.1 pep primary_assembly:Lsat_Salinas_v7:3:189533698:189537197:1 gene:gene-LSAT_3X112920 transcript:rna-gnl|WGS:NBSK|LSAT_3X112920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPITLIKLSYSSLWLTIIFFSLIFFGDHGGARMTLPENVIVPAVIAFGDSIVDQGANNNLTTLFKANFSPYGKSTGRFSNNKTPADMIAEELGVKEILPAYDDLSLNDKELLSGLKGIVGEERTQIILANSLITVVAGSNDIANTYFTLRIRKLHYDIASYTDLMVSSASNFIQDIYNLGSRRIAIFGAPPLGCLPAQRTLNGGGLRDCVEEQNNAALLYNNKLQSEVEYLNRSHAESKIVYIDIYNSLLDIMQNPNQYGLEVVDKGYCGTGNLEAAILCNRFLPTCVDPSKYLFWDSYHPTHKGYAILINQVIGKYVNDLF >cds-PLY98774.1 pep primary_assembly:Lsat_Salinas_v7:7:23980982:23984016:-1 gene:gene-LSAT_7X20181 transcript:rna-gnl|WGS:NBSK|LSAT_7X20181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIRRDIAANRLCMYILLISLQHCKSTDTLTKDNPILLQNTLVSPNRIFELGFFTPGNSTNRYLGIWFKNILPRKFIWVANRQNPISVSNTNSTLTIGNNGNLRILDDDQKTVWSTSIKVQSNETIAKLTDAGDFTLNDTISGLTLWESFDYPCNTILPGMKLGTNGKTQGKYLLTSWKSDNDPTLGDFFLGLSGEQPPQAFTWRGVKPYWRSGPWDGGKFIGIPEQEAGYSNLMTLMPENSQGGAYLTINIYSSSDIRWLYLRHDGVLELNYLDDVRNIWEYSWEAPANPCDVYGVCGAFSICTNKSRICECLKGFVPKSNDEWSKSNWTRGCVRGNELLCEKNESSLASGKGKPDKFQVISGIKLPDYYQYFPYMDTDDCKSLCLGNCSYKAYAFVEGINCMIWEQDLIDIEQFSFGGENLFLRLAFEESSEETNRAAVAVAISLTVIGGVVTLGGFVFCLYRWRTYKKGKKTKLHHLSSEDGIVLKDTFKEDDFSNESFELPIYKFEQIITATDNFSYRNKLGEGGFGAVYKGMLDDGQKIAVKRLSGHSGQGIEEFKNEIMLISKLQHRNLVKLLGCCFEGKERLLIYEYMINKSLDTFLFDPKKRMQLDWATRFNIIQGIGRGLIYLHRDSSLRIIHRDLKCSNILLDDKMNPKISDFGLARTFQMTQELANTRRIVGTYGYMSPEYAMRGVFSEKSDVFSYGVMLLEIISGKRNTEFIHHEQIYIYPLGHAWKSWNEGRGIELMDEALVESTEGLRCIHVGLLCVQDLAEDRPTMTEAVSMLCSETHLPEPKVPLFTLQRLSSINGIGEELKNMCSRNAVTLSIMEGR >cds-PLY88594.1 pep primary_assembly:Lsat_Salinas_v7:5:132100723:132101132:-1 gene:gene-LSAT_5X56060 transcript:rna-gnl|WGS:NBSK|LSAT_5X56060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTIALTGLTTSMVEEGKIDCACLFERIQEVQGINQTHREVNTWIGSMRFLVFLCGWGLVVWITWFEIGVSDDKELGFSWTTWDGYVSNEDANTTVHS >cds-PLY65807.1 pep primary_assembly:Lsat_Salinas_v7:5:274883661:274887525:-1 gene:gene-LSAT_5X145821 transcript:rna-gnl|WGS:NBSK|LSAT_5X145821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSSDSVSVDMETIYLGGKEHIIRTGRGSVSVIVYGDQEKPPLITYPDLALNHMLCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAAAICSDDPMLSVEDLCDQILEVLNYFRLGAVMCMGATAGAYILTLFAIKYRDRVTGLILVSPLCKAPSWTEWIYNKLMSNLLYYYGMCGLLKECLLQRYFSKEVRGNPEIPESDIVQACRKLLDERQSTNVWRYLQAIDRRPDITEGLKKLKCRTLIFVGDSSPFHSEALHMTAKLDRRYSALVEVQVCGSMVTEEQPHAMLIPMEYFLMGYGLYRPSQFTGSPRSPLSPSCIAPELLSPESMGLKLKPIKTRVSQTAER >cds-PLY64940.1 pep primary_assembly:Lsat_Salinas_v7:8:133474461:133474875:-1 gene:gene-LSAT_8X92601 transcript:rna-gnl|WGS:NBSK|LSAT_8X92601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKTCDYGFPTRILTSTTPKNLGRNFMVVNEGKCKYWKWLDIEPVLMPLMEVVEGMKAELVALKTELEKVKEAMKQLKKEKYSDVIEMKEKIYKFTIGFLFLIIVYMMK >cds-PLY99739.1 pep primary_assembly:Lsat_Salinas_v7:2:131223533:131226296:-1 gene:gene-LSAT_2X62280 transcript:rna-gnl|WGS:NBSK|LSAT_2X62280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVATALVPSYVKPGCTEMCGNVRIPYPFGIGPNCFLNKWYAVDCNSSTPYLSALKNLPLLVVDLDNQTVLVNVTMTSGCQNPVSNSSQLLNIDLGDTPFLFSKSHNKFIVEGCGAAVILSNGNALTGCTPTCFQKPVSYRRRDCFGINCCQTNVPFYMKTFAVNITTSSRQMTGYKSRSCVSAFFEAADSYVRQFFPGQSGVGSNSLVPLVLRWTLTENDISQRSCGVIKTHKLETSNDTLVSTLTCSCQSEIEEGNPYLGCQVTEECTKCMDTWGYCRYNESNDGVRNFYCDHRFHNGGNNSSSSRAIFLGVGISIGVLILTITSIATYKIIRRTKDKRRRKRFFERNGGLLLKQQEAGKEGLANKTNLFTSYELEKATDHFNENRILGRGGQGTVYKGMLTDGRLVAVKKSKAVDESQLEQFINEVVILSQVNHRNVVKLLGCCLETEVPLLVSEFVPNGTLYKHIHDETGEVFVPLNMRLRIATEVAGALSYLHSATSIPIYHRDIKSTNILLDEKYRAKISDFGTSRFISISRTHLTTLVQGTIGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTGEKPISLTRFGETRSLVTHFMMAFEEDRVMSILDAKIVREDVMDELMEVINLAFRCLNLYGKNRPTMKEVASVLEAIRTSSMSSMVLPDFGKVKCNDGEEEFLILSYRESTSTSFM >cds-PLY99786.1 pep primary_assembly:Lsat_Salinas_v7:9:52927982:52928462:-1 gene:gene-LSAT_0X8100 transcript:rna-gnl|WGS:NBSK|LSAT_0X8100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDHKPIDKNDSIIGGGGGSRMVNESKYTTAVRAARGGGRAGAGGSGGALIPVYAGGTAGQNRIHKGAAPCREGGFPVLIATSAYLLLHICKN >cds-PLY94921.1 pep primary_assembly:Lsat_Salinas_v7:4:109102115:109103565:1 gene:gene-LSAT_4X71260 transcript:rna-gnl|WGS:NBSK|LSAT_4X71260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKTVVDKFVEELKQALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKMERENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK >cds-PLY64656.1 pep primary_assembly:Lsat_Salinas_v7:7:137332261:137335349:-1 gene:gene-LSAT_7X81821 transcript:rna-gnl|WGS:NBSK|LSAT_7X81821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGCGKSTLLDALAGRLDSKTRHNGDILVNGFKKTLAYGTSAYVTQDESLIQTLTVRESVYYSAQLQLPDCMSKAEKKERAEITIREMGLQDSMDTRIGGWGAKGLSGGQKRRVSICIEILTRPKLLFLDEPTSGLDSAASYYVMSRIAKLDQHEERTIIASIHQPCSEVFGLFHNLCLLSSGRTVYFGNANYAQEFFALNGFPCPSFQNPSDHFLRTINKDFDEDIEQGLSGRKPAEEVINTLIDSYKSSSTYQEVSNGVTEICKKGDGAFDKKKNHASFSTQCFVLTRRSFMNMHRDLGYYWLRLAIYIALSLGLGTLFYDVGSNYNSIQARSSMLMFVATFLTFMAIGGFPSFVEDMKVFERERLNGHYSTSTFVIANTLSLTPYLLLVSVIPGALAYYLTGLREGIHHFAYYTSVLFSCMLLVESLMMIVASIVPNYLMGIIVGAGIQAFMVLGGGFFRLPNDLPDPFWKYPLYYVGFHKYAYQGLFKNEFEGVQFVYSEEGVEKVVDGGYILRDTWQVEMAYSKWVDLGIILAMVVISRFLFFVIIKIVENVKHILSGLMFVTPKKQITQVMVNPLTTPSH >cds-PLY83815.1 pep primary_assembly:Lsat_Salinas_v7:3:53077554:53084910:-1 gene:gene-LSAT_3X41420 transcript:rna-gnl|WGS:NBSK|LSAT_3X41420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDAPRMVEAPTRLLGGTEQNWCKAVAGGTGITALALQISKEPNTSVFKKALQKLQNNHPILNYMLQKTSSTGSASFIVNPSTPHLRLNIMNLSKTSELLRTLMSHGSNYSSLSPLHVILEHELNINEWSEDTSRLTCMKGLQLWFANVYTLADHKWVLVLRFHAGICDRTTAVSFLKELKDAMGDQKEGGGCKDEGNMGIEELIPIGKAKKTLWAHGKDMVAYSVNSFRLSNLKFKDVKSPRRSEVLRLKMNAYETQMLLAGCKLRGIKLCGALAASSLLSAYSVKRHENNQRKKYGVIFLNDCRSYLQPSLSIHEFGFYHSAISTSHEVKGEESLWELATKIYKAFENSKKNNKHFSDMTDLNFLMAKAIDNPSLTPSSALRTSLVTVFEDPVIEISTDYEREFGLDDYIGCASAHGIGPSIAIFDTVRDGQLDCACVYPAPLHSREQMQELLANMKIKLLEGFKVGEKVET >cds-PLY78643.1 pep primary_assembly:Lsat_Salinas_v7:9:47657927:47658316:1 gene:gene-LSAT_9X44281 transcript:rna-gnl|WGS:NBSK|LSAT_9X44281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCYCGDKAGMWTSWTRKNPSQRFFGFPNYMDEEKDCGYFRKIDPPLLNKWYKERMYELGAVANGGVAVPFHNLVNEVEIPVDGPISPVISLEPDNHIAML >cds-PLY81260.1 pep primary_assembly:Lsat_Salinas_v7:4:305533383:305533844:-1 gene:gene-LSAT_4X154360 transcript:rna-gnl|WGS:NBSK|LSAT_4X154360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMLFVMLCRKKRLWKNLVAHRPGTTTNHDIEIFIRNFGPIAPKRFKYSEIKKMTNAFDEKLGQGGYGSVYKGQMPDGQLVAVKLLSKAIGNGQDFINEVASIGRTSHVNVVTLIGFCFDGKKEP >cds-PLY95092.1 pep primary_assembly:Lsat_Salinas_v7:1:93322416:93325048:-1 gene:gene-LSAT_1X77081 transcript:rna-gnl|WGS:NBSK|LSAT_1X77081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKHIPDCPYPGCFFCVMKEGNPNKRRASIMKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMSALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEDFAETAVNAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYASTFPAVSSHGEILELCIQLAMSSLEIVYSHFYQYADRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLSTICKPEFLVKLPGMWGGLVNENSPAGIGLLRTICHHKFGRGPVSSCPGVIESLCNIARSSDDWQYMAIDCLLWLLQDPNTFHKVIEKAAPAVLDLAEIQTLGDHKKLGDSILNVLQESINSTGNRNSISNRTQEDIESLLDSRERFKWEKSMPKEDLHIKQAAALVVKLEGNSLFSSGNIQAAALKYSEALSLCPVRSKKERVVLYSNRAQCYLLLQQPLTAISDATRALCLHNPVNRHAKSLWRRAQAYDMIGLAKESLLDAILFINECSNSMDPDLNCRQNKVPDYAERLVKKQMKAAXXXXXDGDDDNDGGGEGGGGGGGGGDGYGSDDSEWETASESDVGDGGRKEIGSNE >cds-PLY74017.1 pep primary_assembly:Lsat_Salinas_v7:1:33215261:33217055:1 gene:gene-LSAT_1X28521 transcript:rna-gnl|WGS:NBSK|LSAT_1X28521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 2 (XRCC2) [Source:Projected from Arabidopsis thaliana (AT5G64520) TAIR;Acc:AT5G64520] MAKAWINTDETAKQLLARVLKERPLLLLPQPLHRVPLSVNNIIEIIGPSPSAKTEILLQATVTCILPKNWNGIQYGGLEYSVLFLDLDCRLDIFRLSQSLKLRISETNRNSNHQFQHDDDMELLFKECMKRISYSRCYDSFQFLSALKGLHSKLDKERKEDRNGVDVLMIDGIGAFYWIDRGFSSLPQGNPNRKNFCFQTVFETVVQELRKLLMMHPVLVLATKTVTSQVKGWSKNEYREYMPLIWQSFVTHRILVRPSDDKRKIHDRVCYLAEWLLPAQNLSDEFFVGDAGILTLL >cds-PLY94908.1 pep primary_assembly:Lsat_Salinas_v7:4:112450998:112453385:1 gene:gene-LSAT_4X72141 transcript:rna-gnl|WGS:NBSK|LSAT_4X72141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTILSLNSSFKFAVNENKARRGRKRASEFINQYDPIKQKISNSVRSKSSITKTSSSRQMEHLVSYVEDGSVENALQLFDEMNKRSTFVWNIVIRALTNNGYFKEALKLYLQMCIEGVQPDKFTFPFVIKACGGCLDLVTGKKVHSNLFKFGLISDFHVSNSLILMYAKVGHIGSAEKVFDEMPVRDLVSWNSMINAYLFVNNGLTSLTCFSTMQQSGIKPDRFTIVSALHASSLIHSLPNGKQIHAIIIKTKSESNEMIQTSLIDMYVKCNAINYAERFFNLISPRHVAPWNAMIRGYNLNNQPLESFSCLKKMQENRINPDVISLINFLPSCSQLQSMISGKTIHGYAIRLGFLPHIFLETALIDMYGKCGNPRLSETIFDQMDQRNLISRNTMIDALVKNGRYQDALKIFRDIWDHDLKPDPTTITMILDIISDIAGLREGKQIHGYVIKSGFYSNTFVLNSLVYMYAKCGDLDSGRVIFDRILTKDIVSWNTIILANGFHGFGEISVNLFLEMIRKGIKPNSSTFFSVLSACSFSGMVEEGWKFFTSMKPDYGIDPGIEHYGCILDLLARIGDLDRAKRVITEMPLEPTSRIWGSLLGASRKHRDLELAEFVANRVLSCEHDNTDHTGLYVLLSNLYAEMGRWEDVRRVKSLMESQRLRKTDGLTLVEVKGETFRFMNGDRSHKDSNLIYKVLDVTLENCYGDLCKFKPVDFLQRRSKSTDWHSVRLAVCFGLISTTIGKPVIVRKNVRICEDCHCVMKKISLTCQREIVVGDSKIFHHFRHGRCSCHDYW >cds-PLY81553.1 pep primary_assembly:Lsat_Salinas_v7:2:126871038:126872559:-1 gene:gene-LSAT_2X59321 transcript:rna-gnl|WGS:NBSK|LSAT_2X59321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILYALVARGTTVLAEFSAVSGNTGAVARRILEKLPAEVESRLCFSQDRYIFHILRSDSFIFLCMANDTFGRRIPFSYLEDIQMRFLKNYGKVAPYAPAYAMNDEFSRVLHQQMEFFSSNPSADTLTRVRSEVRTIMVDNIEKILERGDRIELLVDKTATMQDSAFHFKKQSKRLRRALWMKNFKLLALLTGLIFLLLYIIVAACCGGLTLPSCRS >cds-PLY74869.1 pep primary_assembly:Lsat_Salinas_v7:8:106047075:106050772:1 gene:gene-LSAT_8X72380 transcript:rna-gnl|WGS:NBSK|LSAT_8X72380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMALESKRSWLFPSHALYFFPAMIAKVAITVVGGFLGWIYTRIKPPPPRVCGSPGGPPITSPRIQLNDGRNLPYREWGVSKDKANYKIIVISYCPPTEMDLSSGKKLVVVVYNSRGWKRSDVIRLPVVSENIAVHDSNGKEVEYQLLPIVNDAIALRNYYTTAYTGKSPSSISKYSLAFTTFVPPLGFTTYVISSTKKPAWNSVNEAFYKHTKTGKDGIEVGTGNLKLIYSGSEGKVSQYVNSRSSITAYVKQSYNFYAGFDGTTGEQASGAYIFRPNGTYSIDTQEQISRVFKNKEHAEVEFTVGPIPVDDGVGKEIVTQITTTMKSNKTFYIDSNGRDFLQRIRDYREDWDLEVNQPIAGNYYPVNLGIYLKDETSELSLLVDRSVGGSSIVDGQLELMLHRRLLYDDGKGVAEALNETVCVGNDCRGLTVQGKYYLRIDPIGEGAKWRRSYGQEIYSPLLLAFTEQIKAQLHSLMKNRSFNFV >cds-PLY77071.1 pep primary_assembly:Lsat_Salinas_v7:3:220632920:220642851:-1 gene:gene-LSAT_3X131781 transcript:rna-gnl|WGS:NBSK|LSAT_3X131781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRRVRRKCAKGAQKLDLSTNPETEDADYLKLNEDGFVDWTRLPDDTVLQLFTHLNYRDRANLSSTCKSWRSLGSSSCLWQSLDLRAHKCDWGIMNSLASRCANLEKLRFRGADNADSLINLRAKNLIELSGDYCRKLTDSTLAVIVARHKLLESLQLGPDFCERVSSDAIIAIAFCCPKLRKLRLSGIRDVNGEAINALAAHCPNLTDIGFIDCLKIDEVALGKVNSVRFLSVAGTTNIKWDLVGENWSKLPNLKGLDVSRTDVSRNIIVRFFLPLKGLKVLCAFNCPSLEEDTTVFTKTNCEGKMLLTFFNDTFKELSLMFPNAKDREREIFMDWRSESKKKDENLDEIMSWVEWILSHSLLRIAESNPHGLDQFWLTQGADLLLNLMQSFQEDVQERAATGLATFVVIDDENANVDVGRAEAVMKGGGIQLLLGLARSWKEGLQSEATKAIANLSVNPAFAKAVAAGGGITILAGLARSMNRLVAEEAAGGLWNLSVGEEHKGAIAEAGGIKALVDLIFKWPRGGDGVLERAAGALANLAADDKCSMEVATVGGINALVTLARKCKHEGVQEQAARALANLAAHGDSNTNNAAVGQEAGALEALVLLIRSQHDTVRQEAAGALWNLSFDDRNREGIALAGGVEALVALAHSCSNASPSLQERAAGALWGLSVSEANSIAIGREGGVAPLIALARSQTEDVHETAAGALWNLAFNPGNALRIVEDGGVPALIHLCSSSLSKMARFMAALALAYMFDGRMDEYALVGSSAEGGSKSVGLERARRMALKHIETFVLTFADPQAFSAAALSSAPAPLAQVIESARILEAGHLRCSGAEIGRFFTVPGGRHAPHHVNLLQVSGAPRVLRAAAAAASAPLEAKIFARIVLRNLEHHQIDSAA >cds-PLY68026.1 pep primary_assembly:Lsat_Salinas_v7:5:294594287:294594646:1 gene:gene-LSAT_5X157400 transcript:rna-gnl|WGS:NBSK|LSAT_5X157400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRNANTPADSETQEDTYISETQADHTIDVDDEVQQTQQRSTAPEGKDASRPLLEEVTFSGAGNSKNAGGSKQWVCNHCKGNLLARTPESMSIFFGPAVGKTADIRRCPVMVRDQQK >cds-PLY63538.1 pep primary_assembly:Lsat_Salinas_v7:9:25208132:25208927:1 gene:gene-LSAT_9X22581 transcript:rna-gnl|WGS:NBSK|LSAT_9X22581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYAYTVVYVKDVAKSVEFYGKAFGQLVRRLDDSHRWGELESGQTTIAFTPVHQHETDDLTGEVQEQKSKTRRNQLEVCFAYADVDAAYKRAVENGAEAVCLPEDKEWGQRVGYVRDIDGIVVRMGSFVKQC >cds-PLY68714.1 pep primary_assembly:Lsat_Salinas_v7:5:279171713:279174891:1 gene:gene-LSAT_5X144380 transcript:rna-gnl|WGS:NBSK|LSAT_5X144380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSTIRPFSSMASSPFAATFSAFNSSRRFNSFDFTRDEMQSKTFDYNKSCKLIRREGNVISTITVSNMSQGSTISSKESALEWVKRDNRRMLHVVYHVGDIDRTIKFYRECLGMKLLRKRDNTEERYTNAFLGYGPEDSHFVIELTYNYGVDKYDIGSGFGHFGIAVDDLGRIVDLIKARGGTVTREPGPVKGGKTNIAFIDDPDGYKFELIERGPTPEPLCRVMLRVGDLGRSIAFYEKAFGMELVRKRDSPEYKYTIAMMGYGPESKNCLLELTYNYGVLEYDKGNAYAQIAIGTDDVYKSADAVKVFGGKITREPGPLPDIDTKITACLDPDGWKTVLVDNIDFLKELQ >cds-PLY83854.1 pep primary_assembly:Lsat_Salinas_v7:3:50192418:50193310:1 gene:gene-LSAT_3X38341 transcript:rna-gnl|WGS:NBSK|LSAT_3X38341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGKISREVEVKCNCHLVFELYKQRPNDSSAVDPAKVEACHLVSGEWGVPGSVVEWHYYHDGKKETGKEIIEEVDDELPKISFKLIEGDILKVYNSFINTLTTKYVGDKKFVIWTIEFEKADASIPDPTSYLDLLCGIAGNMDAHFLKQP >cds-PLY80020.1 pep primary_assembly:Lsat_Salinas_v7:9:46215622:46217200:-1 gene:gene-LSAT_9X42701 transcript:rna-gnl|WGS:NBSK|LSAT_9X42701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFWEGYVSDEVMGTFAPIVVYWLYAGFFQLLPPLDNYRLHTRKEEDAKNSVPLSSVIKGVLLQQLVQAIVAHILFLVTSETGLVGTEVQPSLFIQLIQIMIAMFVMDTWQYFVHRYMHINKFLYRHIHSQHHKLVVPYAIGALYNHPLEGLLLDTVGGALSFLVSGMTARTAVIFFCFAVVKTVDDHCGLWLPGNLFHYFFQNNTAYHDIHHQLHGLKYNFSQPFFPIWDKILGTYMPYDLVKRPEGGFEAKLSKD >cds-PLY73908.1 pep primary_assembly:Lsat_Salinas_v7:3:37736988:37738062:1 gene:gene-LSAT_3X28480 transcript:rna-gnl|WGS:NBSK|LSAT_3X28480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKRAADGSVVKKENLTWTDNMDNALVEALVKEDDIGNRVNGTFTSQAYANMIAGLSKEFNKSITKDQLKNIMKTLKGNFSKWFDMYRGTSLNGFSWNSQTKHIEAEDEVWEQLIKVNPEAAAFRTKKISNYNQLEMLFSDDRASGSKAETTKEKNVRLSKSKEIKIEKIADVEKLMANKEVSLENVHKDDDEDIQIVSATDVSPDGSSKAKKLKSKKRKLESKLQDEDEVVAETEPEPQPQPESFEHNIVQTFKEIVDVMREGNKSRDYTGEEIEKELELMGLDDDEFADAFIYLSRNQVDARTIFSSSMRMRKIFLRKMMSEAKN >cds-PLY77301.1 pep primary_assembly:Lsat_Salinas_v7:3:212647100:212647402:1 gene:gene-LSAT_3X125061 transcript:rna-gnl|WGS:NBSK|LSAT_3X125061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDNMKDDMVIDLDLNQEPLVDPPPPPPFGYGPLLNELETTHDRIEDRIRKLEAVTARARQRQRWRQARNNQELSYMTVIELDVGAGNQNQDDNNVRDVD >cds-PLY72324.1 pep primary_assembly:Lsat_Salinas_v7:4:60374719:60381754:1 gene:gene-LSAT_4X40280 transcript:rna-gnl|WGS:NBSK|LSAT_4X40280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVRRSVTTNTTLMTHQALSTGWYKGGDVGLFTGVLVSLQKRKATGDRIGVSQCHKYITINGNGRSVKAMVVDECDSIMGCDGDHDYQPPCPNNIVDVSKAVWKALGVSESEDNWGEMDITWRK >cds-PLY64653.1 pep primary_assembly:Lsat_Salinas_v7:7:138025919:138026830:1 gene:gene-LSAT_7X82160 transcript:rna-gnl|WGS:NBSK|LSAT_7X82160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSNRHRRLMVDSTISFCNLQCDLERNPSGICFPFCAATCPSFCRIADYSPPPSSSPSPANSPYSQPAPPAPNHLKISFPLKLSLLFLIGTFIYTLYKFYTVSYRSKSHRTPPPVSPENQETLDQDALDHHIWYIRTTGLQLSVINAITIVKFKKGDHGVVVGTECSVCLTEFEADERLRVLPYCKHGFHLSCIDTWLRSHTNCPLCRAGIVDDTVEEPLPEQNADDLLFPEERDSRISYMEDDDREEGRVTSGIIIGSVSMEDFATSDHRGIQFQSVDGGSGIELVQMEQRTYNNSLKIGG >cds-PLY64753.1 pep primary_assembly:Lsat_Salinas_v7:3:187241087:187244619:-1 gene:gene-LSAT_3X111740 transcript:rna-gnl|WGS:NBSK|LSAT_3X111740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMAISIFALAGCGGNVLGSGGDIGFSVRADGFRCLFAGVGALLPKGAEGSSKVVKASNKKKQNVQQKPIQDNVEEEVSKEVIPSKTRILKRTKKPSKRPHHSPVRPSVP >cds-PLY86704.1 pep primary_assembly:Lsat_Salinas_v7:4:8724050:8725595:1 gene:gene-LSAT_4X5800 transcript:rna-gnl|WGS:NBSK|LSAT_4X5800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTKQQPAFTKIDQLRPGAFGLNLTVKVVSSKMIMTRGGRNGTQGRNNMRLAECLVGDETGIIVFTARNDQVDAMKEGSSVILRNAKIDMYKGSMRLAVDKWGRVEITDAAAFSVKEDSNLSLIEFELITVEE >cds-PLY63022.1 pep primary_assembly:Lsat_Salinas_v7:8:183735038:183735280:-1 gene:gene-LSAT_8X119700 transcript:rna-gnl|WGS:NBSK|LSAT_8X119700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLFEGEDVYGWVYKAEHFFDMQGLATSGERLRAAVLCLEGPALAWYRWSDTRMTFRCWEDLKNRLLERFHASQEGSLQE >cds-PLY76244.1 pep primary_assembly:Lsat_Salinas_v7:4:55868287:55872158:-1 gene:gene-LSAT_4X37841 transcript:rna-gnl|WGS:NBSK|LSAT_4X37841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSNQKSFKYHVFLSFRGEDTRKTFVDHLYVSLKQLGIHTFRDNEELEKGKRIDELFKAIEESRFFIIVFSQNYASSSWCLKELAKIMECQDENEQIAYPLFYDVEPSDIRKRSGPVEEAIAKHKTNEQITKWEKALESAGNLVGWNLKNIADGHEAEAIKKIVKEISLKLRSIHLSNDENVIGMDHRMQDLESSLGIGLNDKARLIGIKGMGGIGKTTLARAIFDNISSHFEGSFFADDVREISKKEGLRSLQERILSGVLKDENMRVGSVHDGKEFMRMRLPYKKVLLVLDDVDDEEQLEALAGDWFKDGSRIIITTRDEKVLLAHGVDTNWIHDVGFLSDEEAMSLFSRYAFKRYIPDEGYEKLSSEVVRYAAGLPLMIKVLGSHLRGENELVWRGALKRLETIPSRKIIEVLEISYNSLEDDHKEMFLDVACFLRGFPKEYVVRVLDSCGFSAAYGLRILEQKCLITILNDDSSRLGMHDRLVELGQNIVRRSHPDDPNKHSRLWIREEIEKLFTDDSGTEASTCIGLELVWKELSPEIIIKSLGNLKKLRYLCLGGKHDDCFPRDWKFDNTKQYLPNSLQFLYWNVLKKLRFLDLRDSKLRTLDLEMTPNLERLILIGCHDLTEIHAPIGCLERLVLVNLIGCSWSVSFSLLKKLESLVLLSIPELSVAAKCLEEFPRDTTNNLPMLRFAFHYCKEQTLSTGIDSKAVVLDLQPCTKLESVSGSICGLQHLRRLRFYGCIPELPNDLDLLKCLEQLNLVSTHIKFLPDSVCMLKHLKSLTLKDCWYLEELPENLGWLKNLEELSLSSASLRRLPNSICMLIHLQSLILESCVLLEKLPEDIGQLECLEMLNLTKCLSLGDIPNSICNLKCLRFLFLGFCSKLEKLPEDFGNLELLEGLDISLTRISRLPHSMSSMTGVVIFGSTSLLEQSRAFATEIFQNSHFRYIPAPTD >cds-PLY74902.1 pep primary_assembly:Lsat_Salinas_v7:3:130028740:130029747:1 gene:gene-LSAT_3X89021 transcript:rna-gnl|WGS:NBSK|LSAT_3X89021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRKIAVVLALIAVVIASVGGQAPANSPTTSPLPTVTTPAASPSNATVTKTPTSAPTTAPATSPTTAPPTAAVPSPALSSPPAAEPVSSPPTVVQSPPVPVPVSSPISSPPAPVSAPTAAVPAPAPSKKKTKTKKHSAPAPAPVMAVSPSPTEGPGSSIDSPSPSPSSADVADESGAEKLKSLQMVIGSLAFGLTVFGLF >cds-PLY79390.1 pep primary_assembly:Lsat_Salinas_v7:5:125103219:125105124:-1 gene:gene-LSAT_5X54640 transcript:rna-gnl|WGS:NBSK|LSAT_5X54640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWLSFAFVQSGLPYMNPWNNKTISITKAGITTILNSRTSVLAATNPPSGRYDDLKTAQDNIDLQTTILSRFNLIFIFKDINMFSQDKMVENLYIFFFLLELKLLLKTLNMETSSGSIPSTSLVTILSATTLYSGVISSITALQLVLLVKLAVLE >cds-PLY99181.1 pep primary_assembly:Lsat_Salinas_v7:6:129251270:129252326:1 gene:gene-LSAT_6X78300 transcript:rna-gnl|WGS:NBSK|LSAT_6X78300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFGGRLVEYPPIEVMTTCHLLLKVADTELKVAYEIYENLLVHVVTRMDEVEFVKHLLHTIVQWPRYALKFEENHFPYHHQMDANEPFQGGLVDMILSMNSPQIDLNVLGLGPRLECEREPEPELDHETVPEPEPESEQEPEPESESEPEPEPEPKPFTFVDPDLYISLSKVQQKVLKFKLLLFK >cds-PLY91047.1 pep primary_assembly:Lsat_Salinas_v7:2:55014299:55015002:1 gene:gene-LSAT_2X24741 transcript:rna-gnl|WGS:NBSK|LSAT_2X24741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIFTKVQHTQVTQLSPKILKSEGVPVYRASQCCGEFIVTFPRAYHAGFSCGFKCVEAVNVAPVDWLEHGQGVVEVYSQQRRKASISHKSLLARERIRALWEA >cds-PLY97559.1 pep primary_assembly:Lsat_Salinas_v7:5:238609828:238624891:1 gene:gene-LSAT_5X113280 transcript:rna-gnl|WGS:NBSK|LSAT_5X113280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHAIIIDCWTSLLNKIEELMDVGSVARVFFDTNFLADEILDVSVAYTITQSLFDSMLKLHIISLPIKQSLKDVGLVFFPLVEGRNQFQFHPYVKFLKIHNSKKSYASQDLKVEDLIVYKGSQHGKKEADKILRLPGQPKGPKFNQYSGYVTVDPKHGKALFYYFVESTHASSRKPLVLWLNGGPGCSSVGGGLMMELGPFRVNKDGKTLSNNMYAWNKVANILFLESPAGVGFSYSNTTSDYKTTGDKITAKDSYTFLINWLERFPEYKTRNFYLTGESYSGHYIPQLAEFILKSNKNANRTLINLKGIVIGNAFMDDETQQTGTHDFFWSRSITSDEIHKGIVTNCDFSWNATLSKLCKTYINQEYDLVGNIDPYDIYAPLCLNRTSSEKSEFDPCSLEYIESYLNLPEVQKSLHANLTGLPGRWQDCNGELFANWKDQPFTVLPTIRRLMASGIRVWLYSGDTDSSVPVTTTMYAIKKLNTTVKTPWHPWYLKGEVGGYVVGYENLTFVTVRGAGHFVPSYQPARAIALFSSFLRGKFPGSK >cds-PLY75362.1 pep primary_assembly:Lsat_Salinas_v7:6:180287988:180288401:-1 gene:gene-LSAT_6X110521 transcript:rna-gnl|WGS:NBSK|LSAT_6X110521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKGHRQTGAWTEVRRKKPPVNTSMETTFYVSNIPNGSRLAEIRAPFTKFGQVVDVFIPPKKNRNGKHFAFIRFKKVKDELTLENTLQGIKCSGYVLEVNLAEHPRNSAKASTNATRKVPMQPQFQTHANTPGGFRD >cds-PLY86114.1 pep primary_assembly:Lsat_Salinas_v7:5:39364112:39368077:-1 gene:gene-LSAT_5X19381 transcript:rna-gnl|WGS:NBSK|LSAT_5X19381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKSPTCLHTTKKNPGKVPKRIHKAEREKMKREHLNDLFLELAGALELTEQNSGKACILSETTRVVKDMIDQIKSLKKENATLLSESQYVMVERNELEDEACGLQKQISELKNIIKERSQTVNVQTNLDLNAPAIEIESHEPQQYLNPVFVIPACHPQLDPGLVIDNNAPVSNVSKPYPRPVVSLAYPLYASIRAIETKNVVGDDRQWLTYWVLYSMITLFELTFDKLIEWIPFWSYVKLIVTCWLVIPQFSGAAYVYEHYVRPFYTGNQTVNVWYVPRKKDAFTPHQDETLVSANKYIHEYTTPDAFQFQEYIQPAFDDHEEIKYYGGGGFFPEYDYVYD >cds-PLY67788.1 pep primary_assembly:Lsat_Salinas_v7:9:165888687:165892994:1 gene:gene-LSAT_9X102901 transcript:rna-gnl|WGS:NBSK|LSAT_9X102901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPVTAEEHSNTKSEEGTTAFHKKRSRRVSFAENTSVHIFDRDEETPPDANAPSSPYEDLGFSDRDAKLKQFFGNEEDDNEDDDTDELGPRSPFFRVVRSPSSGGSAIGSATSNDGKDLSDSATSNGNHDQTMDSTSFSMHFNSIARSDSEADLKTSTGGDVDHLSFDEKTPTSSMLLTLNKKPNFQPDVSTSKFSIDSQSNDMSLVGEYHNKYDYGKLSISPASDAHIDLHVVSHISVLKSPLKDGKQFLANKENKSDIMDFSYVEDKTKNAFGINSSMESLIETQSSPNFKNMVFQADDIMEKENKSPLTGSITHFIDMQDHMLLNDDNLYKSPGTLTPFNQPSFLLTQKHGGSISSLEKSISKLRILEAFPFSAALNAKLENSNSKSLLGISKMNPLITLNKNNKSPQPNFNNIIGSKTVDTYSVLQNDSIAPLPVKNVESRKRKPEDMMTEKIARIKWSSRFLTETKLLSPSVDKMNLHAIDCLFDILEKLQMSKTYELLSNEIKSQTILHPSSNIQHKRAMETRLLLCKILHEKAKLQLMHVKQEKLLKNMQSLAFGIQESEALKLKYSPQNLLNHQVIHHQEGQINNEKVTTLNIAIKDTDKRIQDLIQAFHILKQETNPTDAVAFVNNHLMKKTQCQIIRKDLQLWVIEDLKSSKDYHYLVLNYLDLMTQRFTVISGVVTSVSVSYILNETNIVKNFKDMDALIAFRFVFNVGLSTQKHIDHTSLAYETQMNSSLLGNLVDVMEEIQLARIELKNLIHARFHVSLDKHLYLELYFFNSITRKKATVTLNTSCLKRGIYPSEILSCQMETQSQNSSSSSLSDEITAEIKHLKAGFLRILHLCKCISHLISLSR >cds-PLY80418.1 pep primary_assembly:Lsat_Salinas_v7:4:361341626:361351545:1 gene:gene-LSAT_4X177560 transcript:rna-gnl|WGS:NBSK|LSAT_4X177560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKITDIPLKLGFYVLQKFDFERMVIDIEGKELKVTAESVHDMLGIPIGGTKLTQLDQWPKDDTSYDEWKQQFKKDSIIRLSAIKNVIVSTIQAEFNFKLNILVLFVNTFCESTSMGRCNLFPLSYISRRTDINNIDWCNYVFDCLVRTKNSYIPYSDISFFVGPSAFLVLFYVDNIHSEALTVTCKRPTICYWSSEKIRYREAFEQEKCKFGLGEINKEFVDEKDEGDTDLEDNDYDKDKDHSVNETNNHEETIQQTENENLLDKVVDNIVDNVLGIGISSLNSQEDEIWNHPEMKTIFDNIDIGSPMSICKTNTFVEKEKSEGVHEQGTKVEKTKGDDTGKENSEDRNEGGTEAKNTKDGCEEKQTEIEKGNAEDRVLYLSGKKKSENQNKKGEKADKTKGNKGDTHPSFSLGLSQDSDQTSSKKSNESSPKKALTKKQIKDDHQKVVIRLAKKNVLNPNPISVSIPTEVGPSKHDLDQPREKKLADAFKSPFKCRITDTKPKLTHQESIVCEWLFNLQGNTSDVVVQTKYGQIAERAVMESLYANTEIFGEVLDTWSDLLNHQELERDFGNSPYRLFLKVGVSTAYLTSTLSDERKYEKFKENFHDSTNGYKKILNIKDIDMKNFFVRYFKEINHPRANAISKESIKPQRLKMSWRTVKNKVDCGVFTMRHMETYTGKPLSKWKPGLHKESAVQQTTLEKLRFALDIYLSSTT >cds-PLY63602.1 pep primary_assembly:Lsat_Salinas_v7:8:189517844:189533638:-1 gene:gene-LSAT_8X123101 transcript:rna-gnl|WGS:NBSK|LSAT_8X123101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLKSQRFFEECRPSRKHFHSFQQPDGFNPQSPLLHGGLQYVAQFWELLADFTMDFDEYDYLEKMVENPEPPKSDQRANGGEESGKNEDKDRSRSSRHRSEKSSDDRRSKRAKSGEDSREREKERGSSHHRSSPKDGDRSDRDKQRGTRETRDRDRDKDRSREDRNGKGRDKDRERERERDRGGDRDRERDRVRVKREHGREPEKEREERDQEKEKEHERPHRSGSRSERHGSERDREKSRDREVKDKEREKEKEKEREIREPDRDRESRRYKDKKEGAVEPEVDPERDQRTVFAYQISLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTATAAGVAGGAAYAGGARKLYVGNLHYNMKEDQLRQVFESFGVVELVQLPTDETGNCKGFGFIQFARLEDARAAQSLNGQLEIAGRMMKVSAITDQSGMQEMGVNPGDFDDDEGGGLSLNARSRALLMQKLDRSGNTTSALGIPANNSTGLAPAGVPFSGLASMPGAVPLQLPLPPVPVPPIESIGIPSECLLLKNMFDPELEDEPDFDLDIKDDVQSECAKYGKLRHIYVEKESAGFVYLRFENSQSAVAAQQALHGRWFQIKHCNRFSSSGKMTQDVEMKEQQVPAAPSNSVSSTAPSVLQHLKEIAALIETGAYSREVRRILRAIRLTIALRRKLNASVISSFLSFTLASGSEAHSRLVSYLPKEDEHDMEVDTASSGTQATVKHSHEIEIYCYLLVLIFLIDQKKYNEAKSCSSASIARLKNLNRRTVDVLASRLYFYYSLSYELTESLSEIRGNLLALHRVATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAALGFRVQCNKWAIIVRLLLGEIPERTVFMQKGMEKALRPYFDLTNAVRIGDLDLFKTVAEKFSTTFTSDRTNNLIVRLRHNVIRTGLRNISISYSRISLADVATKLRLDSPNPIADAESIVSKAIRDGAIDATLDHANGWMVSKETGDIYSTNEPQMAFNSRIAFCLNMHNEAVRALRFPPNSHKEKESAEKRRERQQQEQELAQCIAEEDDDEF >cds-PLY80231.1 pep primary_assembly:Lsat_Salinas_v7:6:124028051:124028338:1 gene:gene-LSAT_6X74620 transcript:rna-gnl|WGS:NBSK|LSAT_6X74620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQSLDGSGGRPLVATGEELRRTASWNSNTESHCFLHYSYRSQPTQVGQSSKSDLGGPYPSRCCRQQMATCLDRKRTRRREVASGESQGGGACFI >cds-PLY95282.1 pep primary_assembly:Lsat_Salinas_v7:9:110940338:110940610:-1 gene:gene-LSAT_9X80221 transcript:rna-gnl|WGS:NBSK|LSAT_9X80221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLASHGVNLLERLHMKRLVGSSVVTEEATIPNPPSQSESSMDFAVNPSGMGTTMPVVPYRTKTIVTAESDSGNPPIFFSQSCVKGLFL >cds-PLY98442.1 pep primary_assembly:Lsat_Salinas_v7:1:10098497:10100554:1 gene:gene-LSAT_1X6641 transcript:rna-gnl|WGS:NBSK|LSAT_1X6641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSTSVIMAYSSDYAEGPDIFRFTKLDNDGNLRIYSSSLGNAGNQFMRWAAVSDQCQVFGYCGNLGICSYNGSNPVCGCPSQNFDPVDPTDGRKGCRRKVEIANCPGSATMLELDNAKFLTYPPELSSQVFFIGISACRLNCLVSGSCIASTSLSDGTGLCYLKVPSFVSAYQSPALPSTSYLKVCGPVTPNPSPTAENGKRWKLRPWIVIVVVIGTLLGLILAELGLWFWCCKNSPKLGVLSAQYALLEYASGAPVQFSYKDLQRATKGFKEKLGSGGFGAVYRGVLANRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDNFLFVPEQQLNWESRYNIALGTARGITYLHEECRDCIIHCDIKPENILLDESYNSKVSDFGLAKLVNPKDHRYRTLTSVRGTRGYLAPEWLANLPITSKSDVYSYGMVLLEIVSGRRNFEVSDRTNRKKFSVWAYEEFEKGNMDSVIDPKILTHEFDMDQIRRVIEVSFWCIQEQPSQRPMMGKVVQMLEGVTEIEKPPAPKVGSAIDGSVAGTSTYMSSSVSTLAPSVQPPSSSSSLQTPKGSSSFASGMNIERASSSLLQSVTL >cds-PLY86199.1 pep primary_assembly:Lsat_Salinas_v7:4:7080856:7081185:1 gene:gene-LSAT_4X4540 transcript:rna-gnl|WGS:NBSK|LSAT_4X4540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSTCTEGRTPISEDENCVTAVVHRLMKVSPAVTDHGIGVIWSSCYMSRDRMAQESAMRNNGFTKVLLGMQSNCSDTARQIGVSFIIATKNCKNQKFKFIKLGIVIELT >cds-PLY78184.1 pep primary_assembly:Lsat_Salinas_v7:8:177637016:177645814:1 gene:gene-LSAT_8X115421 transcript:rna-gnl|WGS:NBSK|LSAT_8X115421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIQLSVILNLCFLLLLLPTTIHTESDHNRKVFVVYMGHNTLDDAASFSLHLSMLQQVIGRDARKHMLQRYTKSFHGFSARLTEEEAKKLSGMEGVVSVFPSKLSQLETTSSWDFLGFPLTVKRSTTESDIIIGVFDSGIWPESASFTDLGYGPPPAKWKGICQGNFTCNNKIIGARYFKADGIFDSTELQSPRDSDGHGTHTASTAAGNVVTNANHLGLNTGTARGGVPRARIAVYKMCWIQGCSDEDILSAFDAAIADGVDIITVSVGLRVAEEHFINPFAIGSFHAMKNGILTVQSGNNQGPMPQTTGSIAPWILSVAAGTKDIDLMTPVRLGNGVVLDGVSINPFTLDGMYPLIYAGDVPNITAGFNSSISRFCSRNSLDKNLVKGKIILCDGISNGETEMLAGAVGSIMTDPYSDTVMSYPLPVSVVNLEQAATISRYIQSTRNATALILKSEVVKNASAPYVASFSGRGPNAIHQNILKPDLTAPGVRILAAWPPVAPITEVEGDRRAVPFNMISGTSMACPHVSGIAAYIKTFNPTWSPSAIKSALMTTASAMSDKINTDAEFAYGAGNLNPLAAIKPGLVYEAVEVDYLSFLCLEGYTSQHIRSLTGDNSSSCSQLMEQTKDLNYPTFVIPTLRNRLIDSSFNRTVTNVGSAKSTYRAFITRPLASGLRIQVEPNVLRFERLGQKLSFKVSVQGIIRRLDDPIVSSALTWDDGVHQGVSINPFTLDGMYPLIYAGDVPNITAGFNSSISRFCSRNSLDKNLVKGKIILCDGISNGETEMLAGAVGSIMTDPYSDTVMSYPLPVSVVNLEQAATISRYIQSTRNATALILKSEVVKNASAPYVASFSGRGPNAIHQNILKPDLTAPGVRILAAWPPVAPITEVEGDRRAVPFNMISGTSMACPHVSGIAAYIKTFNPTWSPSAIKSALMTTASAMSDKINTDAEFAYGAGNLNPLAAIKPGLVYEAVEVDYLSFLCLEGYTSQHIRSLTGDNSSSCSQLMEQTKDLNYPTFVIPTLRNRLIDSSFNRTVTNVGSAKSTYRAFITRPLASGLRIQVEPNVLRFERLGQKLSFKVSVQGIIRRLDDPIVSSALTWDDGVHQVRSPIVVHVP >cds-PLY67230.1 pep primary_assembly:Lsat_Salinas_v7:6:135425068:135425413:-1 gene:gene-LSAT_6X81721 transcript:rna-gnl|WGS:NBSK|LSAT_6X81721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYGKNNPVLERVVTLTNYDNEEGILGNAETVEVPQNVQASKDDKPMFQEVDIVGVSGSKNSGGSKKWGKHQELKGVLLYLRIGLNIKA >cds-PLY78649.1 pep primary_assembly:Lsat_Salinas_v7:9:49541046:49543859:1 gene:gene-LSAT_0X40540 transcript:rna-gnl|WGS:NBSK|LSAT_0X40540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase GAPB, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G42970) UniProtKB/Swiss-Prot;Acc:P25857] MASNAALASTRIPTITRLPSKPSLSTSFPSQCSTKRSEVAEFSGLRSSGSVTYAKYVKDSSFFDVVSAQFTPKAAGSAIAKVETVAKLKVAINGFGRIGRNFLRCWHGRKDSPLEVVVVNDSGGVRNASHLLKYDSMLGTFKADVKVVDNETISVDGKQIKVVSSRDPLKLPWAEMGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEQDYGHDVANIVSNASCTTNCLAPFVKVLDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVINVAKKGLTAEDVNGAFRKAADGPLKGILAVCDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVSVDFRCSDVSSTIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGEEATGSGDPLEDFCKTNPAEEECKVYEF >cds-PLY62019.1 pep primary_assembly:Lsat_Salinas_v7:5:130789923:130792881:1 gene:gene-LSAT_5X55761 transcript:rna-gnl|WGS:NBSK|LSAT_5X55761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLLRIGTPHNYKLFTRIIILKIMLFPILERISVNQKYMLMHRRSYKVTNYKVGPPFCGYRDQRLNLACTCYSGKLGAGILAEAGPYNAAFTISGVSSRLLCATVTSFR >cds-PLY85364.1 pep primary_assembly:Lsat_Salinas_v7:5:244335084:244338213:-1 gene:gene-LSAT_5X120941 transcript:rna-gnl|WGS:NBSK|LSAT_5X120941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVTNVEEYQAIAKEKLPKMIYDYYASGAEDQWTLGENRNAFSRILFRPRILIDVSKIDMTTTILGFKLSMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAEKAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDEANDSGLASYVAGQIDRTLSWKDVKWLQTITSMPILVKGVITAEDTRLAIQAGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGELGVRKVLQMLREEFELTMALSGCTSLKQITRDHIVTEWDAPRARPSPRL >cds-PLY68747.1 pep primary_assembly:Lsat_Salinas_v7:6:1202036:1202511:-1 gene:gene-LSAT_6X1380 transcript:rna-gnl|WGS:NBSK|LSAT_6X1380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSNKELKGLMKNVKIQDIGNLQDEMMDMMDISSEIQESLELDALEADMGQETEGEGVPSYTQPDNEPDLNEELNTPLALSRHAVPVKPSIR >cds-PLY91693.1 pep primary_assembly:Lsat_Salinas_v7:8:12532113:12534245:-1 gene:gene-LSAT_8X8860 transcript:rna-gnl|WGS:NBSK|LSAT_8X8860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIKSNHNAPTLTDPTPINKPRLGLYDALLPYSSGAVFSPGLFLKVQWKKPGLHNWLDAMKSSSPTHQKLTKASVSGIDTSSNDVATVAYCNWLVKYPSALTSFEHIMKYANSKRIALFLDYDGTLSPIVDNPDLAFMSDAMRAVVKNVAKYIPTAIISGRSRDKVHEFVGLQELYYAGSHGMDIMSPGKEGEDGNLFQPASEFLAMINEVYKSLVETTKEIKGAKVENNKFCVSVHYRNVDEKSWQTVAQYVQNILKDYPRLRLTHGRKVLEVRPVLKWDKGKAVEFLLESLGLNNCDDVLPIYIGDDRTDEDAFKFLREGGGGFGILVSCAPKESSAFYSLRDPSEVMEFLKLLVMNKKSSPL >cds-PLY93265.1 pep primary_assembly:Lsat_Salinas_v7:6:158380178:158383157:1 gene:gene-LSAT_6X97101 transcript:rna-gnl|WGS:NBSK|LSAT_6X97101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVMLHEPPVNHISAIDRSGIAPPVINSKDYNLQEINAKKDAAGWRTFLSFVGPGFLVSLGYLDPGNFETDLQAGADHRYELLWVILIGLIFALVIQSLAVNLGVCTGKHLSEICKAEYPIFMKYCLWLLAEIAVIAADIPEVIGTAFALNILFKLPLWVGVLLTGFSTLLFLGIQRYGVRKLEGIAVLVLVMAGCFFGEMSYVKPPAGDLLKGMFIPKLGGRGATGDTIALLGALVMPHNLFLHSALVLSRRIPNSVHGVNDACRYFLIESGFALFVAFLINVSIVAVSGTVCSGKNISSDSLDRCNDLSVHSASFLLRNVLGNSSSTLYAMALLASGQSSTITGTYAGQFIMQGFLELKMRKWLRNLITRCIAIMPSLIVSIIGGSSGAGRLIIIASMILSFELPFALIPLLKFSSTTTKMGPHKNSTIIIVFSWVVGVGIIGINIHYLSTTFVNWLIHSTLPKVAIVFIGMLVFPVMAIYVLSVLYLMFRKDVITLEPRKLDRNADREDLADIPYSATEMSFVNSSVI >cds-PLY65224.1 pep primary_assembly:Lsat_Salinas_v7:8:19882071:19883135:1 gene:gene-LSAT_8X15201 transcript:rna-gnl|WGS:NBSK|LSAT_8X15201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIWGQILSSTINHENQVLDPKKSQNIAISLRALSVTTEEVCDALLEGNADTLGTVLLQSLMKMAPTKDEERKLQEHQDDSPLKIGPAEKFVKAVLDVPFAFRRVGAMLFVSNFDSEVEYLKQLFQTLEVACEELKNNMMFLKLLEVVLKSANHDGNQCQKLGLQIVSSLSSGLSNVKKAAGMDSTRGGHQDSCPRRGVTFCSQRNH >cds-PLY85435.1 pep primary_assembly:Lsat_Salinas_v7:3:42953545:42954941:1 gene:gene-LSAT_3X33220 transcript:rna-gnl|WGS:NBSK|LSAT_3X33220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRQWIEVWQKKKCTGARTVNETTYFVSNVPSDVNREEIRKEFLRYGNVSDMYYPGKKGKNGQFYLFIRFLDVEDKLALEKKIDGVTHKGRKLAINIEKHPRGTMASKTSNNRREHGVSNGNQTQNFRDARTFAEVARHRLLHHHHDQGKNFSAIVAQYGVIIAPYDDLPNYVDMSHVKIEILTRIKRRINEEITISLMGVLNKIGSIEFDEDWFPFKFDSISNPYVEDSNTEEDMDSDDGISDTWIPGNNNDLEEGEIEMENADDQTDAGDADGDTNHGVEDGRSEATQVRDIPREEQPVHAEIPPSEEYEQTHGELTPIKCNSKYATVAVNNNSQREELGQMDEREEN >cds-PLY76110.1 pep primary_assembly:Lsat_Salinas_v7:9:29545302:29548071:1 gene:gene-LSAT_9X26060 transcript:rna-gnl|WGS:NBSK|LSAT_9X26060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESTKYMPVNGGAVVSDFKFTKIFSFKTKRTRAFAYVFMAVFVALTVFLAFNPSSNPSSPWFSNIFSGSSFGISSSRSSGSTNLQPNGTASSSSDGGIRSQFSSIYSFLFNSNSTQSNQNSTVSTSSSSGTVAGSRSANSEAPTVNNHPPIAPNQTRTGGVRDKVESMKTNQTTIRTPPSQPVSNQTTQTKPKQSLETLKNATQNGAKSSSGILKSMPENSNGSANSTSSVVNKGGNNGTNTGRLAKPGVEDLVKNLSNCNLFDGNWVRDESYPLYKPGSCSLIDEQFNCFVNGRPDKGFQMLKWKPKACTLPRLDGSRMLQLLRGKRLVFVGDSLNRNMWESLICILRNSIKDQTKVYEASGRHHFRSEPSYSFVFKDYDCTVEFFVAPFIVRESETKDKNGAKKETLRLDLIGTSADQYKNADIVVFNTGHWWTHEKTAKGKDYYQEGSHVYGELNVLEAFRKAMTTWGRWVDANINPSKTLVFFRGYSASHFRGGQWNSGGQCDNEVEPIKNTTYLTPYPDKMKVLEKVLRGMKTRVSYLNITRLTDFRKDGHPSIYRKKHYSDEERRSPLHFQDCSHWCLPGVPDSWNEILYAQLLLNEYQNQQLKH >cds-PLY75919.1 pep primary_assembly:Lsat_Salinas_v7:9:197580769:197582327:-1 gene:gene-LSAT_9X122141 transcript:rna-gnl|WGS:NBSK|LSAT_9X122141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLETLKPSKDVDSLFSQLVLTCIPPSSINIATLPENIQETRSKLIRLCGEAEGHLEAHFSTLLATFPNPLHHLNAFPYYSNYIKLSRLEFDILSRHYSTEPGVVPKSVAFVGSGPLPLTSIVLASNHLTNTCFHNYDIDHAANSMASSLVSPDPDLSQRMFFHSTNIMEVKEELKDYDVVFLAALVGMDINEKVKVIQHLAKYMAPGTILMLRSAHGARAFLYPVVEPEDLQGFEVLSIFHPHDEVINSVVIAHQHAYICIACVALGLSQFSVNSNIGEIKNLKIVLLMSMFWVRGLVSSVLITVYTFRFCTFITNYSTRFLSKLAKGLDTP >cds-PLY87227.1 pep primary_assembly:Lsat_Salinas_v7:4:308975498:308976247:-1 gene:gene-LSAT_4X154940 transcript:rna-gnl|WGS:NBSK|LSAT_4X154940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAHRRSSPHVRVVQVMATRLVNHPYPQVLCSQRTKRNEHEVSGHGVHCSRAHNALSMYKYMLQNYFFFDFGGLTVPSFLFPDSSLRHIDLSLDLNLPIDANFFLKMREALTLSRKCHLHIRNSCRLPLDIDIHDLRRRLLFPPATNVQDLEFETSWDECLWERSPFFDAFFEICHPKYVYAKPDVMRPDNNHFCRLMMREVLDTTTGIAFWPHHLKDVQIRRHKKWKTLTDCERSFLHGNIHFKLNWR >cds-PLY68871.1 pep primary_assembly:Lsat_Salinas_v7:3:62572333:62573244:1 gene:gene-LSAT_3X49060 transcript:rna-gnl|WGS:NBSK|LSAT_3X49060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVDAAALVIQHPSGKIERMYSSASASEIMKMNPGHYVSLIIPLPGAETLDNSGNKTVKFTRVKLLRPTDTLVLGRAYRLVTSHEVMKVLRAKRQAKMKKELPESMAEKEKDNEETSSEMENSSEVTHERRYRQRSGSFSRSKSWRPSLKSISEAAS >cds-PLY86482.1 pep primary_assembly:Lsat_Salinas_v7:9:183849000:183860189:1 gene:gene-LSAT_9X112861 transcript:rna-gnl|WGS:NBSK|LSAT_9X112861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-like protein [Source:Projected from Arabidopsis thaliana (AT2G14680) UniProtKB/TrEMBL;Acc:F4IGF6] MESATMDERLEDRAALLSRIEVLERERNELRSDIEQMCMQHAGPSYAAVATRMHFQRTAGLEQEIDDLKKKLTSCLRENVNLQEELSQSYLIKNQLADLHASELKKSLEAEKQIKFFQGCVGAAFSERDNAIMEAEIAKEKEELATQELGIIQQKIEELTSIVLEEKKFSATLQTDLENQKKENEIFKQVITKLYDIRQHSSNEYNDVNLEEKCITLLNDSEDMWSFNRQDEETSTSKYITALEEEVEALRKSVINLRSKLQMGLEIEKHLKKVVRDLKNKKIFLEEKMKRDISVLRGLYSQHRVDIVNLLQEEFSQFKSVIDFIKENMGQININEELKHLSPQENDCRDVHISPDIGSDTITKENVSELQESCSSELGDASEPLAQALQEKVSALLLLSQQEERYLLESNVKAALESKMLELQRNLNQVTNEKVVALMELAQLKQEYHLLQEKLNDDKLVIDKEEKSMIQDKDGRLKSLLKKTYFSQWINPQQDISKKSCNATMDFARMKVEYISLKESLESMEHLTNSIRRLRLSLIKVKESDTDTDTVPDIIENVETETKLLKTALGSCVPVTNEKVVALMELAQLKQEYNLLQEKLNEDKLVIDKEEKNMIHDKDGRLKSLLKKTYFSECFYPQQDYAQNISKNSSSATTDFAKMKVEYISHMETLKSMEHLASTVRRLRLSLIKVKESVSISNTVSDIIENVETEAKLLKTVCVPVSWSDELDTSEGKVDAVSATGFEMVELLILATQLLKSSMS >cds-PLY78090.1 pep primary_assembly:Lsat_Salinas_v7:1:5916181:5917965:-1 gene:gene-LSAT_1X5340 transcript:rna-gnl|WGS:NBSK|LSAT_1X5340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCFTKVANSRESGDYANFDRDTDDGDGGSAPQPPQSPPPDMFSSEYSMSGELSAMVTALTHVVSGHGSGGIGGASSSLSFPGGAGGHYSMDSPSSAYSSSSSGSFAGQKRVRDQEESVNQFPEQFVQRFYGGYTDVRGGESSSSKVKEEVTTPTTTAVVALTTTAATSSQPPAMEINQYEQTGERRRKYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEAAARAYDEAALRFRGNRAKLNFPENVRLLPPPQILPATQLAISTSPAAQFTIRQPPPLLQPQQFQQPGTTVARDYWQYSQLLQSTSNFGLQQPRSNLLPQMFSASTMASLHSQTSNLSSSSSTENYPLFFPNQPSGYLDFRQPGGQNQEDQSDFPETPPSWPGHDQFPPPPN >cds-PLY89753.1 pep primary_assembly:Lsat_Salinas_v7:1:58931388:58932961:1 gene:gene-LSAT_1X50761 transcript:rna-gnl|WGS:NBSK|LSAT_1X50761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METNGMFSNTSGGSGMLGLEMSLNHQQNPNFLHQQPPFAAAAAAKQGYPYAAPKQRASPISDEEEPHSNNLNNPSTTEDSGGDGKLRKGSPWQRMKWTDNMVRLLIMVVFYIGDEGGSEQPSGSDTAAKKKGGGGGVLQKKGKWKSVSRAMMERGFYVSPQQCEDKFNDLNKRYKRVNDILGKGTACKVVENQSLLETMDHLSAKLKEEAKKLLNSKHLFFREMCAYHNSCGGGSGGSGAAHHSSPPEVTTTEQPPHHRQNCVHSNNEDEEDDDEGEDDEDDGDGHPDEEEDDGLSSRKRGRTAENDGHDGIIQQFNGEISCVMQDLAKSVWEKRQWMKVKMMQLEEQRVGFQGQSYELEKQRLKWVKFSCKKEREMEVEKLRNERMKLENERMVLLLRQKEMELVDLQHQYSSNLHKRTTD >cds-PLY98109.1 pep primary_assembly:Lsat_Salinas_v7:3:247348167:247352672:-1 gene:gene-LSAT_3X136481 transcript:rna-gnl|WGS:NBSK|LSAT_3X136481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKELVAVQEQIADESFLQLSGVGVKLVGNTVWTTLFHQFLIVISRKVTSTLVAPSPRSPRSQTKILQLGNLKPYSFNILKLVMFYGLGVVTFGTAVLVGQFVPGIMIGSAYGCLVGMFVVRLYEKLNIEEGT >cds-PLY67515.1 pep primary_assembly:Lsat_Salinas_v7:6:73478437:73481710:1 gene:gene-LSAT_6X52221 transcript:rna-gnl|WGS:NBSK|LSAT_6X52221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFDPVMQRVNRNVDEHISQLMQCKPLSEQEVRSLCDKAKEILMKESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNASVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMEGFNWGHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >cds-PLY72959.1 pep primary_assembly:Lsat_Salinas_v7:8:135417766:135421454:1 gene:gene-LSAT_8X93980 transcript:rna-gnl|WGS:NBSK|LSAT_8X93980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEENSVRITITILDAIPSAEEFTSLIEPKNVPAVFHGCVNDWKASSKWNPSTGGLDYLQGLAGASTVEVMLSKTAPVFYGDIRNHERVQLPLSTFIEYCKDVSNLATIETEQDQIYLAQVPIMNTEKEERVQIGNLIEDIQTPRFLKTKTLASINLWMNGAQTRSSTHYDPHHNLLCVVSGTKQVDLWPPSSTPFLYPMPLYGEASNHSGVPMDEPDLSLHPRAEHLKKYSQRVILNSGDALFIPEGWFHQVDSESLTIAVNFWWRSEMMSGMSEHMDSYYLRRILKRLTDKEMNRMLCKTIPCVSDKPSYEESDKKMKVFGGNMLHDLEPCVLKSLHELVSLVHDHVSAADLSNAVDSSSTSDIEKTTEPKKIEISELYYLEEDPVANILWALEPLNLQKMFLAMLHHFPRTLEGLVLHLLSPVGAEVLTRKFDEMDKLTNEQDRNEFYRAFYGVFDDQFAVMDKLLNRKESFSCQAFKNVMDRFLGIKVQ >cds-PLY83654.1 pep primary_assembly:Lsat_Salinas_v7:4:38808592:38810133:1 gene:gene-LSAT_4X27181 transcript:rna-gnl|WGS:NBSK|LSAT_4X27181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDTGAASALLKLGAVVGLGLYAAGNSLYNVEGGHRAIVFNRLVGVKDKVYPEGTHIMIPWFERPIIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPVADQLPEVYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKVLTERASHFNMALDDVSITSLTFGREFTAAIEAKQVAAQEAERAKFVVEKAEQDKRSAIIRAQGEAKSAQLIGQAIANNPAFITLRKIEAAREIAATMAASSNKVMLNADNLLLNLQEMSLEKK >cds-PLY73964.1 pep primary_assembly:Lsat_Salinas_v7:5:104922340:104925690:1 gene:gene-LSAT_5X46240 transcript:rna-gnl|WGS:NBSK|LSAT_5X46240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMYLITSIAIATVVFFHFKFIATRKTSKRNLPPEPWGLPIIGHMHHLIGTLPHRGITNLARKYGTFLHLRFGEVSTIVVSSPKLAKEVLTAYDLTFADRPHNLTAEIVVYHSTDIIFSPYGEYWRQLRRLCTTELLSVKKVKSFRSLREEESWYLVQDIRSSGSERPINLSYIIFSRMAVIISRAAFGKGLKDPTEFIDLIKRIVTEMGGFDVADIFPSKAFIHHLSGKRSRLAKIHNRVENVVNKILAESLSNRSNTSDESLLDILLRLKDGIEFPLTIDNVKAVILDVFGVGADTSAATIEWALSEVIRSPRVLEKLQTELRQVLNGKEKIQEEDIQDLSYLNQVIKETLRLHPPIPLVMPREARETCVLAGYDIPKKTKLIINVFAINRDPEYWNDPESFIPERFENNPTNILDEDYEYLPFGARRRMCPGIGLGLANIRLPLANILYNFNWKLPDGEKNEDLDMSECFGAAVHRKYGLILVPSF >cds-PLY67882.1 pep primary_assembly:Lsat_Salinas_v7:5:5691652:5692914:-1 gene:gene-LSAT_5X2880 transcript:rna-gnl|WGS:NBSK|LSAT_5X2880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATGTTTINNNTGSAIKNPKKANLLDHHCIKHLLDESVTKIVTSRGYNEDVRMSNVRLLIGAIIIIIALFAQFYNKKFPDNRNFLIGCIILYPFLSPKLNFHLFNGILQLIIYTKEKNTFLFTYPPTIWSESNIAFAKMNE >cds-PLY96782.1 pep primary_assembly:Lsat_Salinas_v7:2:170943491:170946335:1 gene:gene-LSAT_2X93800 transcript:rna-gnl|WGS:NBSK|LSAT_2X93800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRFTYDGGIRHGIATRTNEKDNAGNIRVTAEIIISLVEEVVGGALQYDCFNPVFKVKRLKNMHACHFTLSKFLNFGAVTVQRSLNLRFSLKCSSQKVDMESTGQSDARGALIVLEGLDRSGKTSQSARLLSHLNSLGYPVESWRFPDRDTAVGKMISSYLTNQSQLDDHTIHLLFSANRWEKRSLMEAKLKSGTSLIVDRYSYSGVAFSSAKGLDIEWCKAPEVGLLAPDLVMYLNIPPEKAAERGGYGGERYEQLEFQKNVGKYYEMLSDPSWKIIDACLPLEDVEKQMTEIVLDCVVSCQKGKPLTHLWLS >cds-PLY94479.1 pep primary_assembly:Lsat_Salinas_v7:2:156139000:156145877:1 gene:gene-LSAT_2X80001 transcript:rna-gnl|WGS:NBSK|LSAT_2X80001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEALDLFLKIGLDKRTAENTIANNKVTTNLVAVINEAAVTDGCERSTGNLLYTVATKFPANALVHRPKLLEYIVSSKIKTPAQVEAAFAFLTVTGSEDLEISKFEEACGVGVEVSLEDIEKTVDEIFEEKKSAILEQRYRTNVGDLFAHVRKKQPWADPKIVKNLIDSKLYALLGEKTAADNEKPVKKKKEKPVKVEVKSTPEEAPQLTPSEEEVNPYLIFPSPEENYKVHTEVFFSDRPVLRACNSKALLEKHLKTTGGKVLTRFPPEPNGYLHIGHAKAMFVDFGLAKERDGGCYLRYDDTNPEAEKKEYIDHIEEIVGWMGWKPFKITYTSDYFQELYDLAVELIHRGHAYVDHQSGDEIKEYREKKMNSPWRDRPISESLKLFNDMKCGLIEEGKATLRMKQDMQSDNFNMYDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCIVDSLENITHSLCTLEFETRRASYYWLLDALSLYQPYVWEYSRLNITNTVMSKRKLNRLVTENYVDGWDDPRLMTLAGLRRRGVTSTAINGFVRGIGITRSDGSMIRLERLEYHVREELNKTASRTMVVLHPLKVVITNLEGSLVTELDAKKWPDAPGDNPSSYYKVPFSKVVYIEQSDFRMKDSKDYYGLAPGKTVLLRYAYPIKCTEVVLSEDKKTVVEVHVEYDPDKKTKPKAGVLHWVAEPSPGVDPLKVEVRLFDKLFLSENPGELDKWLDDLNPDSKVVIPCAYAVPSLKHAEVDDKFQFERLGYFVADKDSTPEKLIFNRTVTLRDSYGKAWK >cds-PLY91798.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:343433:348407:-1 gene:gene-LSAT_0X1260 transcript:rna-gnl|WGS:NBSK|LSAT_0X1260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 35 [Source:Projected from Arabidopsis thaliana (AT1G75850) UniProtKB/TrEMBL;Acc:A0A178WDK6] MMLSDAMEDEDKWLAEGVAGIQHNAYYMHRALDSNNLREALKYSAQLLSELRTSRLSPHKYYELYMRAFDELRKLEVFFKEEERHGCSVVDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGVQHPIRGLFLRSYLAQISRDKLPDIGSEYEGDGDTVMDAVEFVLQNFSEMNKLWVRMEVQGPTRVRDKMEKERNELRDLVGKNLHVLSQIEGIDLDLYKETVLPRVLEQVVNCKDELAQYYLMDCLIQVFPDEYHLQTLETLLGACPQLQPTVDIKTVLSQLMERLSSYAASSPEVLPEFLQVEAFSKLSSAIGKVIETQGDMPIVGAITLYVSLLTFTLRVHPDRLDYVDQVLGSCVKKLSNKPKLEDSRATKQVVALLSAPLEKYSDIVTSLTLSNYPRVMDHLDNETNKIMAMVIIQSIMTNHAYVSSADKVDVLFELIKGLIKDLEGDPEDELDEEDFNEEQNSVSRVIHVLYNDDPEEMLKIILTVRKHIMAGGPKRLPFTIPPLVFSALRLVHRLHNQDGDVAGEEDPATPKKIFQLLNQTIEALSYVPAPELALRLYLQCAEAANDCDLEPVAYEFFTQAFVLYEEEIADSKAQVTAIHLIIGTLQRTHVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDQDGIKDGERVLLCLKRALRIANAAQQMASVARGSSGPVTLFVEILNKYLYYFEKGNPQITSAAIQGLIELIKTEMQSDTATPDQNSDAFFTCTLRYIQFQKQKGGAMGEKFETIKL >cds-PLY81617.1 pep primary_assembly:Lsat_Salinas_v7:1:50485661:50488583:1 gene:gene-LSAT_1X43641 transcript:rna-gnl|WGS:NBSK|LSAT_1X43641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGWSGSISPEVGNMSFLRELILYNNSFTGVIPQEIGRLSKLRRLGLSNNSLSGEIPSNISRCRNLVALQLNGNNFIGAIPNEFESLTKLLFINLHSNDLTGEIPKFIGNFTSLMFISGLGNNFHGSIPDTLGQLSNLWFFGFAENNLSGVLPPFFNLSSLTDIDLPNNQISGNLPSDFAQSFPRLESLSLRFNKFSGSIPVSLSNATNLERLALNANAFTGNVPTFDRLQRLRGFAININKLGNGKLDDLNFVSSLANCTKLIRLGFGANSLGGVLPKSMFNFTQLIDLTVGGNLISGNIPSEIGQLVNIRRLFLFSNQFTGRIPDSIGNLKNVGVISLRRNLLSGYMPSTFGNLTQLSRLYLDHNKLEGPLSSSLSKCRGLQLLDLSKNNFSGYIPKGIFSLSSLSIYLDLSDNHFVGSLPPEAGSLKNLGSFDVSNNMMSGVIPASLGACTSLVVLSVSGNTIQGEIPESFRSLRGLEILDLSNNNLIGKIPEFLGDFLFFKDLNLSFNGFVGKVPELGAFKNLSIVSIDGNTKLCGGFQGFQLPKCSIEESRKNRVLLFLIIMVPILTVIFGTLVVIFCLLYKSRYNNKIPEETNSDNENFPQVSYRRLHEATDGFSLANLIGSGTFSEVYKAILYEKNTPQAVAVKVLKLAVHGADKSFLAECEALRSIRHRNLVKIVTSCSGIDFQGNDFKALIYSYMVNGSLEEWLHQNPIVGPVNEAPYRCLNFLQRLNIMIDVAMALDYIHCQCGSPMVHCDLKPSNILLDDDLVAHLGDFGLSTFIQHDSSSSHTISLGINGTIGYVPPEHGMGSKISTCGDIYSFGILMLESFTGKRPTDSMFSSSLNLHDFVKIAMPYKVMEITDPVLFETRKEENMTENAQHHGPIEEFLTSIYLIGTACSMELPRDRLHIKNVIEQLQIVKKTFLETIG >cds-PLY78034.1 pep primary_assembly:Lsat_Salinas_v7:9:44014863:44018129:1 gene:gene-LSAT_9X40221 transcript:rna-gnl|WGS:NBSK|LSAT_9X40221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTGLENSKRFLATWLIGGLVAGLSVMGLCAAWPELSPLSIVGRKKKRPIRVYMDGCFDMMHYGHCNALRQARALGDQLIVGVVSDAEIIANKGPPVTPLHERMIMVSAVKWVDEVIPDAPYAITEEFMRKLFDEYNIDYIIHGDDPCILPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCVRERTSGDSPSHASLQRQFSHGHGQKKFDDAGSGSGTRVSHFLPTSRRIVQFSNEKAAGPDARIVYIDGAFDLFHAGHVEILRLARGLGDFLLVGIHTDQTVSVNRGAHRPIMNLHERSLSVLACRYVDEVIIGAPWEVSKDMITTFNISLVVHGTVAEDDDFEKDNRNPYDVPKSMDIFKVLESPLDITTSTIIKRIVSNHEAYQKRNDRKGESERRYYESKGYVSGD >cds-PLY81486.1 pep primary_assembly:Lsat_Salinas_v7:8:157088535:157091088:-1 gene:gene-LSAT_8X103681 transcript:rna-gnl|WGS:NBSK|LSAT_8X103681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY33 [Source:Projected from Arabidopsis thaliana (AT2G38470) UniProtKB/TrEMBL;Acc:A0A384L4W4] MASSGGSLNTSTTTSQYPPFSFSTSFSDLIASNTGEDPNWGFFSGGGGGGGGGGESPKPTPTPFSPSAFLSTPTGLNQNQFLDSPFFPFNSNIVPSPTTGSFSGLDFKDEERTYSNFSFQSQARPLASMASIKMEEQVKTQPQQWGKQTDFTSEINKNKSESTQSVQTQYVSDPSQAVKDQTRLEDGYNWRKYGQKQVKGSENPRSYYKCTFPNCPTKKKVERNLEGHITEIVYKGNHSHAKPQNAKKSSSNSYSEAPIESNHFDSSASFGEDEFEQGSSISKSGDDHENEPEAKRWKGETESEAISGPGSRTVREPRIVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTFNGCPVRKHVERASHDLRAVITTYEGKHNHDVPAPRGSGSYAINRPPTTNNGNTNNNYGLMAIRPSANYSSSLQSTTGLXXXXXGGQPPYTLQMLQNSGSNGLPESSYVNQNRGKNGSLSSAKEEPEDELFYNSFLG >cds-PLY80672.1 pep primary_assembly:Lsat_Salinas_v7:5:246064839:246065814:-1 gene:gene-LSAT_5X122040 transcript:rna-gnl|WGS:NBSK|LSAT_5X122040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSDNESGGHNAGGDLSAREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKVYLAKYRELEGEKTTMGRPGEKDGSSGGGVDAAVGGGGSGGGSGGGVNSGGYNGMYGGTGYHQMYNSGQYQQTGMGMGMGMGVGVGSPTGSLGRSGSIGRGGGASSLKPGR >cds-PLY89862.1 pep primary_assembly:Lsat_Salinas_v7:4:316581455:316584385:-1 gene:gene-LSAT_4X159080 transcript:rna-gnl|WGS:NBSK|LSAT_4X159080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKVRKVSKDHPEGYKRLATRMTPGRVSAAVKVMSPTQKNGIMSMGFGSLLNIDMDTTSGLLNYYLLDHYDPESNHLVLENMVIKITKDTMHDMLGLPNVGEGFLNMSSCEKDNKVLQEWKNQYEKKGLMLQENFEDIDVNDEMIDEDEMLDGLMRDYGDEEAYMAVIEHNYGIILSEKKSIEKALKGGIEKFPDSLLLKEWYEKNKELFNEVKNAETGGMKYNEHGFDGDSNKGEADGGNEHGFSPVRGLVVHGDKKDDGGGFSTPNVEKKILLGNYLKTENYQKSTAFNKIKARVMKFTWKVEKEGSDCGVYLMRHMESYMGESEGRWDCGFTGKKQSDVLALNNLRIKYMAKLMKLEYNKYKSMMKRDAKAYERLDPMEKMALMNEVKEIREKQRRGRRRF >cds-PLY71672.1 pep primary_assembly:Lsat_Salinas_v7:3:46618631:46619461:1 gene:gene-LSAT_3X34521 transcript:rna-gnl|WGS:NBSK|LSAT_3X34521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDEIARDSTWTREQDRAFENALVEYPDEDSDDRWEKIAADVPGKTVEEIKHHYELLVDDLDRIESGIVPLPCYSSSSEDSATHGGDDGNSKKGGNSESVHGVKSSKSDQERRKGVAWSEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNRERRRTSIHDITSVNNGDGAAITGQTNGSPAGKSSNHSPLVTGDPSSSMVSGLPLVLAVGTPVNLSPMSHMTYPMLNKSSRR >cds-PLY70476.1 pep primary_assembly:Lsat_Salinas_v7:1:72605928:72606740:-1 gene:gene-LSAT_1X65060 transcript:rna-gnl|WGS:NBSK|LSAT_1X65060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANARGPSHEPVLGSRSSMMFKTIITGLLALIVMIGVTILIIWLTIKPRTPAYFINNGSIHDYNLSKDYHLNASYNFVLKTFNPSKRMSVYYDKMELTLLFKHETIGSGVMPSWHQHKRNRTTSELDLESHNVKLSEAMSRDIEMVNSTNQVVVDLKMKGRIRSKLGIWKSRYYHMTVSCVNVVAEFSKSLNGSRGTICDVDL >cds-PLY88071.1 pep primary_assembly:Lsat_Salinas_v7:6:181309916:181312220:1 gene:gene-LSAT_6X109600 transcript:rna-gnl|WGS:NBSK|LSAT_6X109600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLLRRSGLQGVGTWLNKKVVEPFVEILSRGAEPKQLAFSTALGITCGVFPIVGVTMFLCVLAIAVLGTSINAPTVMLANFVATPIELSLMIVFLRFGEYLTGGDHFSLTSDALKKVLTGKASKEILLSIAHALLGWFVMAPFILGILYVVLVPCFTMLVRKFSTGGLSPKKDDAPSYTEVMLKVRGV >cds-PLY79384.1 pep primary_assembly:Lsat_Salinas_v7:2:45971287:45972124:1 gene:gene-LSAT_2X20961 transcript:rna-gnl|WGS:NBSK|LSAT_2X20961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIQLLFLLTLAISFIYDYAYQFNDFAGVHSTVFTIKNNCPYTIAPATLTGSGTSVSTGFELQPQASNSINTPAPWSGRVWARFGCSNDGGSYSCSSGDCGSGQVECNGAGASPPATLVEFTLSDASSTDFYDVSLVDGFNLPVSVVPQGGGCPTTDCPVDINASCPSELAVKDGSGGTVGCKSACVAFNKPEYCCSGDHNTPETCPPTNYSQFFKNLCPKAYSYAYDDKTSTFTCHTGNDYLITFCP >cds-PLY61870.1 pep primary_assembly:Lsat_Salinas_v7:6:59506082:59509792:-1 gene:gene-LSAT_6X44300 transcript:rna-gnl|WGS:NBSK|LSAT_6X44300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDPFDFESDALLASSPVVAPKKRKKVIGLDDLLVDHYKEKNRVIERESKIAKTKKTYNSDDDEDGRVAKLSKYVDECHEKMTKLSDEDDVSIWGLQVFGNQKSPPSFEFTNLQSCSIFHSFLNHEVNSLVELSTESGETFFEGLLTNGWLLKLVQKCGKVEKSIATWTFHLMLYSSKEALRSAAVNFWCAILLKNEGESLSLKIDWLPTYLELNVALETYGYLLNSPKKDSCDTEMDLGDSEHVGPPQNIRAWIKYISTCSQTRNFHFVFSTSEVEELVVVIIRLMLDRQLLGLSMDLYECMISLINFFKDEEWSASCTKISKSIASRIPLDINCLRAVECIPSVCLHSKQLRSEIAFRFLLGYFDKVEDEEEVIRQLTLINLKDKTCDLFRIYNYLVLTENWFLYNPMMKEKTLLNEMWGLYLRNCCCQINITDTRSYASKVRSKASYLVQVTSDTMIV >cds-PLY69249.1 pep primary_assembly:Lsat_Salinas_v7:2:129972787:129976014:1 gene:gene-LSAT_2X61160 transcript:rna-gnl|WGS:NBSK|LSAT_2X61160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPAWLEGLMEESFFGICGVHENKRKNEKNIFCLDCCQSFCPHCLPTHHSHPLLQVRRYVYQDVVRLDDLEKLIDCSFVQPYTINSAKVIFLNQRAQTRSCKGPANSCFTCDRILQEPFHFCSLSCKVDHMVYQGEDLSTILCRFDVTDFAFSQFEGLRMDSSDVDDLSQITPNSILEDSYHHQFKDSSGSDSRTSSYKPDHERKKKKNNDFLPKFFSFGSRRKGAPQRSPLS >cds-PLY76558.1 pep primary_assembly:Lsat_Salinas_v7:8:91315509:91317592:1 gene:gene-LSAT_8X64080 transcript:rna-gnl|WGS:NBSK|LSAT_8X64080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNTSSMLTQYDIEEVQEHCNNTFSQQEIVSLYQRFCQLDRNSGGFISADEFLSVPEFAVNPLSQRLFRMIDGLNFKEFVLFLSAFSSRATLQHKVEFIFKVYDSDGNGKVAFSDLLDVLRDLTGQFISEQQREIVLTHVLEEAGYKKDSLLVQSDFMKILGNSGLKMEVEVPVD >cds-PLY86385.1 pep primary_assembly:Lsat_Salinas_v7:8:282875001:282880074:1 gene:gene-LSAT_8X159900 transcript:rna-gnl|WGS:NBSK|LSAT_8X159900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGQAPPPKQDELQPHPAKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTTLVPQMGGGHEEKAKMIQTLLFVAGINTLTQTLFGTRLPAVIGGSFTFIPTTISIILAARYTDILDPQEKFERIMRGTQGALIVASTLQIVLGFSGLWRNITRFMSPLSAVPLVALTGYGLYEFGFPLVAKCIEIGLPQIVFLLIFSQYIPHLMSGKSHIFDRFAVLFSVVIVWIYAHLLTVGGAYKNKSDTTQYSCRTDRAGIIGAAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVSLVESTGAFIAVARYASATPMPPSVLSRGIGWQGVGILFSGIFGTGNGSAVSVENAGLLGLTRVGSRRVVQISAGFMIFFSVLGKFGAVFASIPAPIVAALYCLFFAYVGSAGLGFLQFCNLNSFRVKFILGFSIFMGLSIPQYFNEYTAIKGYGPVHTKARWWNDMINVPFSSEAFVGGLLAMLLDVTLRQKDAATRKDRGMHWWDKYRSFKTDTRSEEFYSLPFNLNKFFPSV >cds-PLY91745.1 pep primary_assembly:Lsat_Salinas_v7:9:16941007:16943187:1 gene:gene-LSAT_9X16901 transcript:rna-gnl|WGS:NBSK|LSAT_9X16901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDQATLDGSLSSTDFQNSANAFAQKWNEFNSGFPEWSWIDCSSRLGFHRVTEGYLSLQHVFFPRSIEEEHDEGNSNDHQEPFDTATLSNNDSNDGDWYDFHVVYSSSYRVPVLYFHAQTSDGQPLNVGEIEKNLPTKSSDVLMDSKWTFITQQEHPYLNRPWYMLHPCGTSEWMKLLLADHNSTDRYLVSWFTVVGQVFGLKLPLEMLKS >cds-PLY70111.1 pep primary_assembly:Lsat_Salinas_v7:3:12314091:12317665:1 gene:gene-LSAT_3X11600 transcript:rna-gnl|WGS:NBSK|LSAT_3X11600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAVELPLPAAIVTKIMRSSGCGGGGGVTRVELLGNQSERETCSSSFLPEKDNNCNANGSRPVFWNKMSEEDLYRSTGQLSSHRSEETFVDHDMTSVPEAKQSHRKSGKSSRSNGSSSKRSRAAHMEASMNLTGVIDANDLQKEHGSSYPGKYNVSAKQKTTVSGKRSDKRNGKIPKSKCDSFSVKAGLSSFSSSAGGNNILGVYGSKHDICDFGKHVDEVSLNELLDGSYKCPDSMKDKEKKTEAVNGRILLSVREACSILQLKKPGQTSQTPNVTPVDGNHNASSSLPNAAISGANMNDDNKGDAGDPSSCKKVDVTDLTNILQFPLFPPKDVLDRLSLLPPKDLDLMLLDSMKPTSTSKVQNGGSLPTFPWSHISGGHFKANPDVVKSTPSKSTCQGRWAKMGKTNTSLGDTTTTKTYLADFESLSYNENLVPLGRKWTGPTENEKSQPPSTSNATASKNPGGNSAGVLSAAQTLCDIAARYRKQDQIGTVRWQKKSSSQKSIRQTTTTTTKLMSDEKLEKALFAIPSSRPVVGPTNDIKTHTQKKLRVSTNDPTNKVHYHWPTTTPQSSRSSPNKSFKNVSMEQSNSNNSPMKRSITTTPPGKLLNKPPKLRKLVPMEWKTSRGDQKGNGNGKY >cds-PLY93487.1 pep primary_assembly:Lsat_Salinas_v7:9:64505838:64506059:-1 gene:gene-LSAT_9X55800 transcript:rna-gnl|WGS:NBSK|LSAT_9X55800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMSIIHYEHIIPNDTHEYDHYEITFFEDNILTLLTETPHSVDMWISENKRKHRRRCHSLIVGLDVEWRPNRN >cds-PLY71299.1 pep primary_assembly:Lsat_Salinas_v7:8:102872006:102874369:-1 gene:gene-LSAT_8X71660 transcript:rna-gnl|WGS:NBSK|LSAT_8X71660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASISIYCSIFILISLLPRSTESLCSTKPLIFSFGDSNSDTGGLVAGLGYSISPPYGRTFFRRSTGRLTDGRLLIDFLCESVKTSFLRPYMESLGSSFSNGANFAVVGSSTLPKSIPFALNVQIMQFLHFKSKSSELLASGSQKMINDDGFQRALYMIDIGQNDIADAFAKKYSYAQVVKRIPLVLAEIKNAIKSIHDNGGRKFWVHNTGPLGCLPQKLWLVKPSSKELDPHGCISSYNSAANLFNEGLRHLCAQLRSEMKDATIIYVDIYAIKYDLIANASKYGFSSPLMACCGFGGPPYNYNINVTCSHGDAQACNEGSKFISWDGIHLTEAANSILASKILSQQYSTPRVPFDFFCL >cds-PLY91992.1 pep primary_assembly:Lsat_Salinas_v7:7:91533175:91536429:-1 gene:gene-LSAT_7X63100 transcript:rna-gnl|WGS:NBSK|LSAT_7X63100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKQGAHEFEAEVKVLSKLRHANLVSLVGYCIEGKEMALVYEFMPNGTLKDHLCKADCALSWSQRLKICIGAARGLHYLHTGCRNRSEYQTRTYVITNIKGTFGYFDPCYYETGKLNRKSDVYAFGVVLFEVLSGKQAVDSTLDEEQWGLAPWAQSQIKEGKLDQIIDPKLMGQISRKCLKKFVKIACHCLRDKQKERPTMAEVIFRLECILSKERENKDSIVNEERFLYKLRACFTGKVDIMLDREVGNKSVIPGVAVGSNSIMSGVAPGNESVMSGVVVGRNSVMLGGAVRSKSMMSGVVVGSKSVMPGVAVGSNSVMSGVSVGSESVMSGVAVGRKCKALGIAVRRSKSVMPDVAVGSNSVMSGVAIGSESVMSGVAVGSKSVMPGVAIGSNSVMSGVAVGRKSTMLGAAIRRSKFVKQDGKVVSKSEFSAHHIQNFTTGTIRTFTYNELVSATNDFKDMERSPTSYKSVYKGWIDENSYAPTECGVGLAIYVRKEEILTWKLDLNLLEFSHPNITRLLGYCLSNVTMFWVYELFPGISLDDLLFKEPDTTILSWAARLKIAQRAAQGMSFFHQRNRPTYNSFDGKHILVDRDFNARLSDYEIDNLLAPPGRSTFERNKSNGIFGPVPGDETGVHSEIYDFGVVLLKMLTGMKKYDERIPLDHKILMEWATPLLTNKVNLGMIMDPQLQHNNHLPEGAFELAQLVTKCLQPTRYKNLSIEEISQVLYQCYQNAITSGGL >cds-PLY70683.1 pep primary_assembly:Lsat_Salinas_v7:3:105471078:105473216:-1 gene:gene-LSAT_3X76320 transcript:rna-gnl|WGS:NBSK|LSAT_3X76320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQKWRGQNQHVAQPLDHEKMIKELRVAIGPLTGHASKFCTDACLRRYLEARSWNLEKAKKMLEDTLEWRSNYKPHEIRWEQVEEEGKTGKVSRANFVDRFGRPVLIMRPGKQNTKTGEGNVRHLVYLIENAILNLPEGQEQMSWLIDFTGYSMNATNIQPKTARDIINVTQSHYPERLAIIVLYNPPKIFQALFKVISYFIDPKTYLKIKFVYPNDKESIEIMKSYFDTDNLPREFGGKANFNLNYDHEEFSKLMVEDDIKKAKFWESDNNDTNGHSETTS >cds-PLY63078.1 pep primary_assembly:Lsat_Salinas_v7:8:72711704:72714792:-1 gene:gene-LSAT_0X39201 transcript:rna-gnl|WGS:NBSK|LSAT_0X39201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAFKKDSAINWTVGKACFPVCRILFRSXLVCTFMQQNLPNLKILELVRLNNLIMTPDFGEIPNLERLILRGCRRLKKIQPSIGQLERLVFLSVEFCSALKISPPIKRLKKLETLSLSDCPKLFKLLGIQHKRSSLLHLHSNISGKEVASYKKYSSNFVVTCWTCGGDTEIKNPAEDLVDVEECCLEEPCLPRNNNTVLRFFPRGLRKLNLRYCSLGDEDIDSAVWEFPNLEELNLKGNKFSRLSFSRWRLPRLKWLDVSWCTGLVELSDLPSSIAVVIADGCSSLESFGDTSNCIWLWKVSLWGPNNLGALVCNILLHSMLQGHALEDHFISVTLDHQMIPRGFVGRLFRGTTFTRRLPYDWYKDFCGFLICIVTKVRHQRINIIIRQEVDEDTLCAPWQGSREALADPEYVGRVSIVGYVSFSSLRHTTLLNSSYNSISFSIDGEQHRSGLAAKSYVGGQLVRRVSKGDEVKTTDCSELFWDKENEDGSNTFTIRPHDSKSSVEILWRPYYYLQKVVVKVDVHDHKEKLKVMKAVSILSGIESIAFDMNEKKMTVTGDVDPFVMIGNIKKYCHADIVTIGPAKGW >cds-PLY99283.1 pep primary_assembly:Lsat_Salinas_v7:3:93310592:93310873:1 gene:gene-LSAT_3X70100 transcript:rna-gnl|WGS:NBSK|LSAT_3X70100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEKRVVCVIDKVIESAEFASEIRGVCRACEALGFGNGKRLDGRSATSGEPEVPDPGCVARKAEEVDVTLSSFAEMDFTRLFRLGELDYDGFL >cds-PLY98004.1 pep primary_assembly:Lsat_Salinas_v7:8:43975453:43986752:-1 gene:gene-LSAT_8X33880 transcript:rna-gnl|WGS:NBSK|LSAT_8X33880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNRSTIGYLKILLLLILMITQIYGACIEEERAALLEIKASVKSHCADADDLLPTWTDHGGRECCHWERVTCNSTTGRITDLTLDHLFEIVYEDFSQKYWELNISLFLHFQELTNLNLAYDLLDNGIEKTGLGKLSSLKKLEMLNLAGNNIENITIFRPLGTITSLRFIDLSDNSMEGSNFPVHGLASFSRLEVLDLSNNKFVGSIPSSIEALSSVKVLSFADNDLNGSLPLGFCELKNLHELDLSQNKFEGELPLCFSSLSSLKLFDLSLNQFSGNIPSSMIANLTSLEYVDLSHNNFEGLFSMSSFFNHTKLEVVEFISDNDKFEVETQEVKDWIPTFQLKVLVLSNCNLNRCSRSNLSFLLHQHKLQVIDMSHNSLDGTFPNWLIANNTRLEYINLRNNSFGGIGVMPPYRNVNTWWLDMSDNRLIGTIPLDIGTILPYITNLNLSRNSLEGNLPLSLGNLSEIEVLDLSDNNFSGEVSKRLLTDCPRLTVLVLSNNKLDGEVLSRNFSVTALWILLLDNNRFTGVLTNESAGNTELNTLDISGNLFSGVIPEWISQLPSMNAFLIRNNMFEGQFPCGTTPFTFLDISHNSFSGPIPSCKNFRGIEHLHLNSNRFTGPVPKSFRNLTSLLTLDLSDNKLSGKIPNLVGELSILRILLLRRNNFSGSIPRQLCQLSNVRLIDLSSNSLSGSIPSCLQNITTQGNLVFLERPNSFRGRNSFYHYASVLQRRESPLHGEDDTYEKQDEVQFVMKNRYDTYKGGILDYLSGLDFSSNNLTGSIPKELGLLSHIHAINLSHNQLTGPIPSLFSNLANIESLDISSNHLSGRVPSELIVLHFLAVFIVANNNLSGRLPERKGQFGTFTTDSYKGNPFLCGLPLEKTCRPAKPNSSLKKPPLSADENEEKWYYVDIVFFGASLAWTWFALLMGFLGILYVNPYWRMRWFAFVEECMYVSYYFLRKLRF >cds-PLY75438.1 pep primary_assembly:Lsat_Salinas_v7:7:71979148:71979950:1 gene:gene-LSAT_7X52180 transcript:rna-gnl|WGS:NBSK|LSAT_7X52180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLITRTLFLIAMVACFASVAHAIAGQATFYTPPYVPSSCFGFEDRGVMILAANSGLFANRAACGTRYRVTCTGRTNDGVLNPCTGRSVDVTVVDLCPGCAANQVDLSREAFAVIANTDAGRINIEYNRI >cds-PLY75273.1 pep primary_assembly:Lsat_Salinas_v7:7:66060050:66061510:-1 gene:gene-LSAT_7X47900 transcript:rna-gnl|WGS:NBSK|LSAT_7X47900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCSTTTTTTSATLPPYPPPSAPLSKLHLHRRSLLFLSSTTTALSLPSLSIASPPQKQPDTTITDRVFMDFSICPSYFQTRTLGSDLALCPDTEPVGRIVLGLYGNLVPITVSNFKSMCTGVSGYYKGTLIQKIFPGRFFMAGHQGRRDKGEVKPPVGLVRNTESIDPKAFQLGHTKGGVLSLCLSENDDDDDIKLDPNYHNVEFMITTGPGPCPDLDGRNIVFGSVLEGMDVVASISSIPTYKPGERIRQYNDLAEFLGDGRAKNARAIWDRPQKTLYISNCGELKVTKPTLSPSLP >cds-PLY88782.1 pep primary_assembly:Lsat_Salinas_v7:4:93406088:93411367:-1 gene:gene-LSAT_4X61441 transcript:rna-gnl|WGS:NBSK|LSAT_4X61441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGTQDPDIVSLKNYMDAQYFGEIGIGTPPQKFTVIFDTGSANLWVPSSKCLFSVSCFVHAKYRSSRSQTYKVNGKPAAIQYGTGSISGYFSEDNVQIGDLVVEDQMFIEATREPGVTFLAGRFDGILGLGFKEISVGNAVPVWYNMIDQHLVKERVFSFWLNRKSKEGEGGEIVFGGVDPKHYKGPHTYVPVTQKGYWQFDMGDVLIGGKPTEFCKSGCSAIADSGTSLIAGPTSVIDQINLAINAAGILNEECKSVVKHFGGQLFDMLTASVAQPRSVCARHGICPPRRRVNIGIKSVVDMSDGVSSGLQENRTCMACEIIVQWWHNQLADNLTRNNVFKLGSDLCNQVPRAKAMEESTVDCGKLAFLPTISFTIGSKEFQLSPDDYILKVGEGAAAECISGFIPMDIPPPRGPLWILGDVFMRRYHTIFDYGNARVGFAEAA >cds-PLY82434.1 pep primary_assembly:Lsat_Salinas_v7:2:187955556:187957134:-1 gene:gene-LSAT_2X109641 transcript:rna-gnl|WGS:NBSK|LSAT_2X109641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSSEQHENGVVSFGSVGDARVTGYGKGIVMASDERISRDVENGGNDHMRLNGEVSRSRLADDPNLGTNLVSEVGELHAINLVVDLRQYSTKEANDIEFTKKYSTVASFKPAKIIQCVEDLAKDPFGITRSEISTMEAQLSSFSSWKGNPDGGLSPSKKMKCLSDLMTKSTHSFSEVEYTPKKRGRKRKNLELNRDEFLSIVRYSARNPFEKHHNLESLMKFSSDFRNYCMETKSQLREETEIQHGIRDSYWTDKIIELKPKKENSTSAIATALILKFTDLESVPSVSKMNEIFGRYGTLLEEETQVLKKKKCVKMVFERRCDAETAFSSSGKFSIFGPALVSYCLNFSPTPRKRGTAGRNQNKKGVKSVKEMLFED >cds-PLY84672.1 pep primary_assembly:Lsat_Salinas_v7:2:150046995:150049129:1 gene:gene-LSAT_2X76041 transcript:rna-gnl|WGS:NBSK|LSAT_2X76041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENGRKASSLRWGILRRALIPSSSSTASDEQSEKGIKRISRKANQGFSLIPFRLVDNAKLEHKEVISPSLPKATEACVCYTLPNHNATELFLYQRVDNHANLEDFKICNTFDIDNTGLVCSWPSEEVLAYHCLSQLDLFRCKRVIELGSGYGLAGLLVAAVTEALEVVISDGNPQVVDYIQRNINANSSVFGCTKVKSMMLHWNHEELSDISNSFDIIIASDCTFFKEFHKGLVQTIKCLLKKEGHSEAIFLGPKRGNSLDEFLLEVKESGLQFTVNEIYNSEVWRRHESFVNGDTSWPNYEKDHCYPLLVRITL >cds-PLY62985.1 pep primary_assembly:Lsat_Salinas_v7:3:119319299:119320139:-1 gene:gene-LSAT_3X84200 transcript:rna-gnl|WGS:NBSK|LSAT_3X84200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVISRFPKKKEWKLYKESQKFFWVADIIEIGDVASDFASATNEHRNFFLISGAIDCVHFRSISVDLMKDFKDLEAIYCLGSKGGYYSIKLSNFRPPEPKPPGRLSFQPLRIIGYTCTKGIFGATMRVAALWYKMNMTSGHKCFSVLTDLISKELKLQFQFAFHMYTITMQKPGRRAIRSVVNKAIEIEQKFCVESKLCAVTSIKLSDMMSFIKYCGDSVYDVLQMEEPDALPPVLRWAESVVVSKREIQDPME >cds-PLY66758.1 pep primary_assembly:Lsat_Salinas_v7:8:72007380:72007971:-1 gene:gene-LSAT_8X52600 transcript:rna-gnl|WGS:NBSK|LSAT_8X52600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSEWPTLSCPSNDGLTFWSHEWDKHGTCSESVLDQHEYFATTLSLKNQINLLHALESAGIQPNGEKYSLSSIKSAIIGASGLTPWIQCNNDSSGNSQLYQIYLCVDSSASGFIECPVFPYGHCDSSIEFPSF >cds-PLY64981.1 pep primary_assembly:Lsat_Salinas_v7:8:159604534:159610404:1 gene:gene-LSAT_8X105921 transcript:rna-gnl|WGS:NBSK|LSAT_8X105921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLLTTKIPPPSIFTLTDALRSQHLRSSTIMAINDPKHREEEEAAADNEDIGYQVAPIVRIEAIEVINGERLLVQEAESQPPHKLDENMWKKREHIEEIIFLLQSSHWPKSLQKQSTPEEIELGQVLRQLNDKFEVALELVESFQTKKIVIMCSIQEVDPCEDLTDDDIRTAIQNATGPRSALFVPEMGHRCMVNELQRFPVLRKRMDDVIGNFLSDGLQPSETMIGHIVEMEMDYINTSHPNFVCGSKVVEVTLQQVKSSKFATTVSRQKDGVESEKAPQSERGIKSRAILSRPVNGIVIEQVLFFAFNF >cds-PLY75426.1 pep primary_assembly:Lsat_Salinas_v7:7:73084295:73084998:1 gene:gene-LSAT_7X53341 transcript:rna-gnl|WGS:NBSK|LSAT_7X53341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQFDALFRRAEKRLRIQETIKQSCSSSSIIWSTPIGSLQRTINYFQRKVHGCVLIAGLRSVGFGLLED >cds-PLY94247.1 pep primary_assembly:Lsat_Salinas_v7:8:69170372:69171124:1 gene:gene-LSAT_8X49441 transcript:rna-gnl|WGS:NBSK|LSAT_8X49441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLKEEVTDVPIKVSLDLKESLDEFGDAIDQILGSGNPSDASNVPLVNEGGIEPKFTEGHASDVLPNKINISVEGIANLLEAGYSMAKIESMGMLKIELDGISPFEMDLNEDEPDVDEGEVDFVNDVLNDEGEGVENQDDGDVIEGEGEGVNDGNEAVDDVLNNKVADDGNKVADYGNEADDEGHLIVPKTRKRKPSETITKLKLKKAVFDKDGGGSTCSNLVYLE >cds-PLY89147.1 pep primary_assembly:Lsat_Salinas_v7:3:23027930:23028154:1 gene:gene-LSAT_3X17381 transcript:rna-gnl|WGS:NBSK|LSAT_3X17381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPLISVASNIAVGLVVGLASIGPGFGQGSATSQAVEGIGRQPEAEGKIRGTLLLSLAFMEALALALLFANPFV >cds-PLY89745.1 pep primary_assembly:Lsat_Salinas_v7:1:58430230:58431036:-1 gene:gene-LSAT_1X51040 transcript:rna-gnl|WGS:NBSK|LSAT_1X51040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g43050 [Source:Projected from Arabidopsis thaliana (AT5G43050) UniProtKB/TrEMBL;Acc:Q9FMH9] MAICPSLTSIDFIKFNTNPRTKFSGISSRSSSLKLSKIKAVQDTEGGRRRLIDIIRIIPDISRNYFKSPSRRTLFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLTEYVTRFYYSRPKVTFPIALLNNFKMGFTYGLFIDAFKLAS >cds-PLY76737.1 pep primary_assembly:Lsat_Salinas_v7:7:61022537:61024218:1 gene:gene-LSAT_7X44620 transcript:rna-gnl|WGS:NBSK|LSAT_7X44620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope pore protein 37, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G43950) UniProtKB/Swiss-Prot;Acc:O80565] MVESVSPPSATDSLQPQTSASHRFLQRPPIRFTTEFDSDTSLFFNKLSCKLFDNLAKFKLCFQNNNKGHVSNPQLTFTTKHLTCQYDLEEHNALLNSSLEIAPGLQLTAVHDVKAQVGQMTMVADLAPSYTLQLTSPLPSAGLPKATLRFPYGEVSLEENEDHEEEDAAPKLSVSGIFKGQVLNGICNAQYGDDNLNLRYSYKDEETTFIPSISLPSNALSFSFKRRFTPSDKLSYLYNFDTNYWSAVYKHTVGKEYKVKAGYDSEVRLGWASLWVGDEDGKAKTAPMKMKVQMMLQVPQDDVKSSALMFRVKKRWDI >cds-PLY97130.1 pep primary_assembly:Lsat_Salinas_v7:4:75073296:75118766:-1 gene:gene-LSAT_4X50501 transcript:rna-gnl|WGS:NBSK|LSAT_4X50501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESNNFTRSPKLLDLSKRFRQSKSVSPLPDDPSIGQSNESERSTRPNRAAVLICLFEEGDDICVILTQRSSKLSSHSGEVSLPGGRTDEEDTDDIRTALREAEEEIGLDPTLVDVIAVLEPFVTKKNITVVPVMGILWDKQAFNPLPNVGEVESIFYAPLEMFLKDENRRHEEKEHRGDKYLLHYFSHKTNNRVYVIWALTAGILIAAASLVFRRPPEFQERASKFWHKNHSSSNNVPGIIEQKFIET >cds-PLY63688.1 pep primary_assembly:Lsat_Salinas_v7:9:84316336:84316677:1 gene:gene-LSAT_9X66361 transcript:rna-gnl|WGS:NBSK|LSAT_9X66361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDIEFPDGLRIIAIEKDYQELIEVGHACSCVISMYMDHLGVNVHQWILDEKAEVCTPEDKFSGIGEVIEDLHAETEIGIELDEVQVQGNMDNNIANVDCIPINKTLNGAFLN >cds-PLY81383.1 pep primary_assembly:Lsat_Salinas_v7:4:34893920:34894162:-1 gene:gene-LSAT_4X23780 transcript:rna-gnl|WGS:NBSK|LSAT_4X23780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGASKEVIAVTKVEEQVRIMHIQLHSHGGDIQEIKQRMDFIINGQDEMRQNQEQINKTLAKLLEKNDGTSGTQRSPLSL >cds-PLY70371.1 pep primary_assembly:Lsat_Salinas_v7:4:99547507:99547743:-1 gene:gene-LSAT_4X65320 transcript:rna-gnl|WGS:NBSK|LSAT_4X65320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVSPCYTHGKDKGEENFTYRDLPVSRDSRIDAYNAFHGPCRFSWLLTTVTASSLTSPTTGATMVVFGDREGSGGSGG >cds-PLY71918.1 pep primary_assembly:Lsat_Salinas_v7:3:26856627:26858781:1 gene:gene-LSAT_3X19380 transcript:rna-gnl|WGS:NBSK|LSAT_3X19380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPVSKRDDIKAIDKIGHDGIISIESSSSSETSVIVEEGMKIDKGYMSPHFVTNQNNLSAKVLITDQKISSVKEIVPLLEKCTQLSVPLLIFAEDISISVLETLIVNKNQGLLRVAIVKCPGVGERKKALLQDIALMTGADFLSRDLGLSLEYATSDQLGIAQKLTITSNYTTIVADPSMKAEIKARISQIKKDLSETDSSYLSKKLSERIAKLSGGVAIIKLEDGENMAIMEGVIFT >cds-PLY76433.1 pep primary_assembly:Lsat_Salinas_v7:8:154459930:154463046:-1 gene:gene-LSAT_8X103501 transcript:rna-gnl|WGS:NBSK|LSAT_8X103501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPCVQISTNVCLDGVDTDPIFSEATKAVAEIIGRPEKFVMVILKGSVDISFERNKEPAAFAEITAMGGITSEVKKKLIFQLGTILLEKLAIPRTRLFVKVFDTTMATKYARL >cds-PLY96030.1 pep primary_assembly:Lsat_Salinas_v7:3:194706005:194716698:-1 gene:gene-LSAT_3X115820 transcript:rna-gnl|WGS:NBSK|LSAT_3X115820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFVVTKTLSVFIFQSAAPSIFLSSFGDPRHFPTFHFIGQLKGKVLVLDFFLISKSLRFYSDFGGFVSGFGALKYCRRPDKNGEHRHRKRFRDIPIFTTDFKVNPSDVIILEGILIFHDPRVCDLMNMKIFVHTDADVCLARRIRRDTSEKGRDTGMVLDLYSKFVKPAFDDFIHPTKKYVDIIIPHGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIHSTFQIHGMHTLIRDVETTKHIFLFYADRLICLVSCIFQG >cds-PLY94310.1 pep primary_assembly:Lsat_Salinas_v7:7:164902050:164904397:-1 gene:gene-LSAT_7X96500 transcript:rna-gnl|WGS:NBSK|LSAT_7X96500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRFTFRKLYTGSNPNIIGARRKISESSIHTANSNSIFRKLISGLRDKSISTFQTRSATSMVVNFEDSSKVQKNEVDHIHMLNANYDNYDGVIVDIKENMDEDIFTTLLQTSISQWRQQGKKGVWLKLSLELVSLVKPAVKEGFWYHHAEPTYLMLVYWIPKTNHTLPSNASHRVGVAAFVVNSKGEILVVQEKSGVFKGTGVWKLPTGSVEEGEDICTAASREVKEEAGIDTEFVEVLAFRQSHMSFFSKSDLMFVCMLKPTSFEIEKQDSEIEAAKWMPIEEYANQPFVKNRKSFEYIAKICIEKKDNKYVGFNAISTATATSLKNSYLYSRHQEE >cds-PLY85000.1 pep primary_assembly:Lsat_Salinas_v7:4:223542076:223543106:1 gene:gene-LSAT_4X123541 transcript:rna-gnl|WGS:NBSK|LSAT_4X123541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECLQICSQQGRRAEALILQFSRNNLIRCSKNGRMNSTSLHLLLIYNRFVILVFKVRTQITYFIESMVTKLVLCLTEVRMLKSEVHRVSAQVLLIIVSLVQLGQFSFLPHPIDSDSYDMIVVCVRLLCNRNEEMKKIWLQSCCESFFQMLVEKQIRETEETKAKALVSHGQPDDLIDFYHLKSRKDLDKVEF >cds-PLY95924.1 pep primary_assembly:Lsat_Salinas_v7:9:41828309:41838121:-1 gene:gene-LSAT_9X38100 transcript:rna-gnl|WGS:NBSK|LSAT_9X38100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAMVSNHLDGYSNLQHSNLNPTVLLQDGVVAGNRDRDSSSDSVVAVQRRENHINRENSDREREDSETVASTTSCGFGNGNAVATGITATSMAYLPQNIVLSELRHDAFEACTPSGPAESGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPQKALETIGKTLSFQYERWQPKAKYKIQLDPTVEEVKKLCTTCRKYAKSERVLFHYNGHGVPKPTANGEIWFFNRSYTQYIPLPISDLDSWLKTPSIYVFDCSAAGMIVNAFIELQDWTPSSSSSSGTSPRDCILLAACEAHETLPQSHEFPADVFTSCLTTPIKIALRWFCTRSLLHESLDYSLIDRIPGRQTDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPVSYPMLPPTHQHHMWDAWDMAAEICLSQLPTLLEDPNAEFQPSPFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHTYFIRFLDSVEAYPEQRAMAAFVLTVIVDGHRKGQEACIEANLVNVCLKHLQGGSSPSDTQTEPLFLQWLCLCLGKLWEDFTEAQIIGLQANAPTVFSLLLSEPQPEVRASAVFALGTLLDVGFDSSRDGGDDERDDDEKVGAEISIVKSLLNVVSDGSPLVRAELAVGMHLKSIAAAYWKPQSNAVLSSLPSFTIRGSVSGYNTPNQYSQIGPLSRVGGGDNQSNSRDGRVSSGSPLATSGIMHGSPLSDDSSQHSDPGLLNDIITNGVTNHTTNTKPRQLDNALYSQCVSAMCTLAKDPSPRIASLGKRVLSIIGIEQVPTKSVKPSGSVRSGEQSSSTANSLAGLARSSSWFDMNGGHLPLTFRTPPVSPPRPSYLTGIGMRRVCSLEFRPHLMDSGLADPLLGSPGVPGASERSFLPQSTIYNWSCSHFSKPLLTATDGSEEIMVRREEREKFALDHITKCQHSSGSNLHNPIARWDTKFETGAKTALLQPFSPIVVAADEGECIRIWNYEEATLVNSFSNHECPDKGISKLCLVNELDESLLLVASSDGNVRIWKDYTLRGKQKLVTAFSSIHGHRPGVRSVGAVVDWQQQSGFMFASGEISSTMVWDLDKEQLVSSIPLASDCSISALAASQVHGGQYAAGFVDGSVRLFDIRTPDGLVCVTRPHTRRVERVVGGIERVVGIGFQPGLDPAKIVSASQAGDIQFLDIRNQSDAYLTIDAHRGSLTALAIHRHAPLIASGSAKQLIKVFNLEGEQLGTIRYSPTFMAQKIGSVSCLAFHPYQILLAAGAADACVSIYADDISPPR >cds-PLY72899.1 pep primary_assembly:Lsat_Salinas_v7:6:165328839:165330545:-1 gene:gene-LSAT_6X100660 transcript:rna-gnl|WGS:NBSK|LSAT_6X100660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDDPTKPCKLTAFLGYKAGMTHIVRDVEKPGSKLHKKETCEAVTIIETPPMVVVGVVAYVKTPRGLRSLNTVWAQHLSEDIKRRFYKNWCKSKKKAFAKYSKKFESDEGKKDIQSQLEKMKKYGTVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIAQKVDFAYGFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKTGLESHTALTEFDRTEKDITPMGGFPHYGVVKDDYLLIKGCCVGPKKRVVTLRQSLLAQTSRLALEEIKLKFIDTSSKFGHGRFQTTQEKLKFYNRMKA >cds-PLY96966.1 pep primary_assembly:Lsat_Salinas_v7:4:174542624:174548080:1 gene:gene-LSAT_4X102640 transcript:rna-gnl|WGS:NBSK|LSAT_4X102640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastidic glucose transporter 3 [Source:Projected from Arabidopsis thaliana (AT1G79820) UniProtKB/Swiss-Prot;Acc:Q2V4B9] MRGRQRDHIHSTYKRANSKERLNAQDIEDGLEETPHDFAKGSGNPPWLRSLPHVIVAALSSFLFGYHLGVVNDTLESISLDLNFHGDTMAEGLVVSTCLGGAFVGSTVSGWIADGIGRRRSFQMCAIPMIIGASVSATADGLGGMLFGRFLVGTAMGVCPPVAALYITEVSPAFVRGTYGSFTQIATCLGLIASFFIGIPAKDVPRWWRVCFWVSTVPAALLALLMEFCAESPHWLMKRGRSAEAEAEFGRLLGGLHVKSSMAELSKTDRGDEVESVKLSELFYGRHFRVVFIGSALLALQQLSGINAVFYFSSTVFKSAGVPSDVANMSVGVVNLSGSIIAMILMDKLGRKGLLLGSFMGMAMSMGMQAVAGSSLVSGSSVVLLSVGGVLLFVLSFAMGVGPVPGLLLSEIFPSRIRAKAMAICMAVHWVINFFVGLLFLRMLEKLGPLILYTAFASFCLVGFLFVRKNVVETKGKTLQEIEMALLPSAELIY >cds-PLY71806.1 pep primary_assembly:Lsat_Salinas_v7:3:58591279:58592064:1 gene:gene-LSAT_3X45880 transcript:rna-gnl|WGS:NBSK|LSAT_3X45880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKPWFTLILSSMLLIAMSLVHGIDQDPLAVEEWFKNLPTMTQKQTHLHFYLHDLVSGTSPTAMRVAQSTITSTSPTAFGFLAMADDKLTTGPEPNSTVVGRAQGIYGSACLEESGLLMTMNLVFTGGDYNGSTLSLLGRNAVFHPYREMPIVGGSGVFRMARGVATAKTHDFNLTSGDAVVEYNVMVVHY >cds-PLY94422.1 pep primary_assembly:Lsat_Salinas_v7:6:9302833:9304232:1 gene:gene-LSAT_6X6081 transcript:rna-gnl|WGS:NBSK|LSAT_6X6081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKVYVHRISEPSRAVLIFCNPMRQIPAIVDGHLKLFESHAILIHLSSSFPGVASHWYPVDPSKRAKIHSILDWHHSYLRRGAAGLVSNSILLPLNGIPSNPNIVIQAEEILLRSLSKLENVWLKDERFMGGSTQPSIADLSLACQVMQLELLSEEDYHRILSPYKKVKKWIEDVRSTTKPYFDEIHEYLFESQNGIRELMATQSGKKKVRAKI >cds-PLY72925.1 pep primary_assembly:Lsat_Salinas_v7:9:194458889:194459432:-1 gene:gene-LSAT_9X118500 transcript:rna-gnl|WGS:NBSK|LSAT_9X118500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAGNGVQVGPWGGKGGVNPWTFIPEGRICEIRISASGCVDSIRFTYKDRDNVKHHSETYGGDGGSPHTFTFADDENLIGISGTVGVYAGYTVITSLSFLTNKKKYGPYGTTQGTSFSLPVAKGSFGGFSGNYGDYLDSFSVILHPY >cds-PLY68022.1 pep primary_assembly:Lsat_Salinas_v7:6:99210390:99211924:1 gene:gene-LSAT_6X64241 transcript:rna-gnl|WGS:NBSK|LSAT_6X64241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTASRYIHHQLHRADLQLPTSQPQQHHHHQLFSENFQHHEEDDGGDNQGLDLISPNSGGGAGGGPGDIVGRRPRGRPPGSKNKPKPPVIITRESANTLRAHILEISNGYDVFESIATYARRRQRGICILSGSGTVTNVSLRQPSAPGSVIALQGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGNVMGELTAAGPVIVIASSFTNVAYERLPLEDEESTAVDGLQIQPPSSQADGNGGGGINSNHPFPDPSSGLPFFNLPLSMPPNGQLPVDGWGEGSSSGGRSNNNNPF >cds-PLY77981.1 pep primary_assembly:Lsat_Salinas_v7:1:26385504:26387479:-1 gene:gene-LSAT_1X22221 transcript:rna-gnl|WGS:NBSK|LSAT_1X22221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVELMNSIRINSFNGKMEEIAVREAGAAGLKSLENLIGLLSSQSHHEPSYSAGTSDASSTTDYVAVADVAVTRFKKFISLLDRTSTGHARFRRGPVSNPPVVLEKKSAFTPTAGNSSQQYNQTVVAAAEKVNSSTAPIQQRFPQIHLAKSGSFDRKDVPTNTINFAAAAASPANSFMSSLTGDTDGLQPSMSSGFQITNLSQVSSAGQPNMSTSSFKRKCNSVDDSHTKCTNSSGRCHCSKKRKSRMKRVVRVPAISMKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSLRGCPARKHVERALDDPTMLIVTYESEHNHSLNVKDSSATIIFESS >cds-PLY99508.1 pep primary_assembly:Lsat_Salinas_v7:1:114987532:114988650:-1 gene:gene-LSAT_1X88981 transcript:rna-gnl|WGS:NBSK|LSAT_1X88981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGVNFESYLIPLEEINRATENFSQQRCIGAGGFGVVYKGELSKRWQNLTVAIKRLDQYNYQGKVEFHNQLEMISKFHHKNIISFIGYCDEHNQQIIVYEYASNGSLDHHLQDPDKRCCITWIQRLKICLGAARGLHYLHSGLGKRVIHGDVKSANILLDGNLVAKIGDFGLSKVGSRIQRDTQLYTRVAGTQYYLDPTYYESGILRKESDVYSFGVVLFEILSGMLVYLQRSFRDAKQPSLMNYTRKYSQELEKLIDPHIRDQIYSRSFHIFSQIAYQCISLDLKERPTMEMVIQRIEEALHIQVSLFSF >cds-PLY69550.1 pep primary_assembly:Lsat_Salinas_v7:8:82851246:82854377:-1 gene:gene-LSAT_8X59061 transcript:rna-gnl|WGS:NBSK|LSAT_8X59061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVSGEARNNSNLQIPYSSLTVDHTLPVPDMKPSLVKLCKDLFKKWSNLDESHFSAQRVAGGITNLLLKVSVEEQDGNIVHVTVRLYGPNTEYVIDRERELKAIHYLSAAGFGAKLLGVFGNGMVQSFIHARTLEPLDLRKPKLAAEIAKQLKRFHQVDIPGSKEPQLWIDISKFFERASSLTFDDHEKQKRYSTISFKEVHTEIIKLKELTGHLNAPVVFSHNDLLSGNLMLNEDEEKLYIIDFEYGSYSYRGFDIGNHFNEYAGYDCDYTLYPNKDEQYHFFRHYLQSERADKVSEKDLEALYVETSCYMLASHLYWALWALIQAKMSPIDFDYLGYFFLRYNEYKKQKDTCLSLGRSYLSESKTR >cds-PLY78635.1 pep primary_assembly:Lsat_Salinas_v7:4:152015816:152020258:1 gene:gene-LSAT_4X93500 transcript:rna-gnl|WGS:NBSK|LSAT_4X93500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-T [Source:Projected from Arabidopsis thaliana (AT1G72560) UniProtKB/Swiss-Prot;Acc:Q7PC79] MDDLEKAVLIIFDESGTVTSVLKSQAVAFCQQIKDNPSICRICIERLCFSKLVQVQFWCLQCLHEVLRSRYSGMSTEEKSFVRTSVFSMACYETLDNSNSVKVLDGPSYVKNKLAQVLVTLIYYEYPSIWSSIFVDFLPNLSKGGLVIDMFCRVLNALDDELISQDYPRTPEEGVVSGKIKDAMRQQCVNQIVHAWYDIVSLYKNTDSELCSFVLDTMRRYISWIEIGLVANNTFLPLLFELMLVEGLPDQLRSAASGCVLAVVSKRMDSQAKLTLLQSLQMNRVFGLVAGDVDSEFVSGLASLLIGYASVLLDCLKNLNNGDHKRASTDLLNEVLPSVFYVMQNCELDTTFSIVQFLSGYVATMKGVSPLTETQLFHVGQILEVIRMQIRFDPMYRDNLDVLDKVGREEEDRMIEHRKDLLVLLRTVGRVAPDVTQIFIRNSLSSAVGSSHDINVEEVEAALSLFYAFGESLSDEAMRTGSGILRELVPMLLSTKFPCHSNRLVALVYLDTITRYMKFVLENNQYIPLVLAAFLDDRGIHHSKVKVSRRASYLFMRVVKLLKAKLVPFIETILQSLNDTVSQFTSMDYASKELSGSEDGSHIFEAIGLLIGMEDVPLEKQTEYLSSLLTPLCQQVEILIVNSRVQNSEESQSKVANIQQLIMAINALSKGFSERLVTASRPAIGLMFKQTLDVLLQILVIFPKVETLRSKVTSFIHRMVETLGSSVFPYLPKALEQLLSESEPKELVGFLVLINQLICKFNTSVQDILENVYPVIATRVFSIIPRDTIPSGPGSNTEEIRELQELQRTFYTFLHVVATHGLSSVFLSAKSRVYLDPMMQLLLFTCCNHKDLTVRKACVQIFIRLIKDWCNRTYGEEKVPGFQTFVIEAFATNCCLYSVLDKSFEFRDANTFVLFGEIVMAQKVMYEKFGNDFLINFVSKGFLAAHCPQDLAEEYCQKVQGNDMKSLKSFYQSLIENLRV >cds-PLY75045.1 pep primary_assembly:Lsat_Salinas_v7:2:68355103:68358320:-1 gene:gene-LSAT_2X30460 transcript:rna-gnl|WGS:NBSK|LSAT_2X30460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVANNLIGKAVDLLFGVVKQEIDYVRNCTENIDKLKNETQKLNDMKGMVQQRINVAKDKGDRLLDGVQNWIEKAEAEVFKSGEFLEGEAIAKKTCFNSRLCVNLGTLRHYSKMATSKTSTILQIHEDGKTYESCVSIAAPTPSILDLYERKNLDDIDTHKFTLGEIIKALSDESIQIVGIFGSGGVGKTTLAKEVVAEVKNLFADIVFITVSKTVDATKIQEKVNIAAKRIINGDKVLIVLDDIWEELLLSDVGIPCGNNHMNCKILLTSRSRNVCEAMNVQRNICVNTLTEDESWILFKRVVGEKIETDTSLKKIAKAVVQECGGLPLIIQAVGRALRSKSSPIWEATLDRLQKHAPLDIAPEIRKAFTHLKLSYEYLESEEAKSCFLLSSLYREDGSIPITDLVSYGVGLGIFSNLDSIQDARNRVQIAVDTLKSSFLLLPTEYKDLVEMHDVVRDVALLIASKGKDNFLVNSGKRLREWKPTKNTSESYTKISLMYNKISELPDQDLQFPFLDTFIIRGNEVSFVPDEFFRGMKEVKVLDLSYNNLSFLPQSMKLLKKLRMLDLTANDGINEISLLGELKDLEILMLGFTRIEQIPEEIGQLTSLRMLNLEWCDSLSCITPGVISRLTCLEELYILHCRGDIAELSLVELSKLKSFRTLHLFVYNPGFFSKGTAELETLSGFYIQIGDRSDYVFSIQKSHIRRLLYIARVGNVFTDSVKKLIQVSESIVLESIEDMDSILPDMYEENFNDLKSITLRGCHNVSCLVKTMEQDTMQIFGESETKEKFFAQMEEIILVDLQCLELLWDCPHQYISFGNLQIIKIKGCPSLVSLIPVAVAQGLVNLSEIEIQDCDNLVVVISASDEHKNDGEIEQIEGTEIDVEIVFSCLTSISLSGLSGLESFYSGHSTIKYPSLKFIKVEGCVSMTRWGHGVHVIPNIKFHDQGRDCSINDIIANEASGEIEFGSLK >cds-PLY65660.1 pep primary_assembly:Lsat_Salinas_v7:5:270114148:270117296:1 gene:gene-LSAT_5X140620 transcript:rna-gnl|WGS:NBSK|LSAT_5X140620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVQDQNATSQNTMSSSSPQTAVNCGETNATGSGTKSKPKLAVTPNLNHPSPVFEVMRKQEKARLAELVVEKAHQEAIQAQLAIKLVLLYMNVVSSLKTEFSAQMWTQSFSSDSGDLVDVVVPFVGESISDGTLAAFVTIDVGSPEAGVIKEFVAKEGDTVEPGTKVVMSKRLKKSIYLMKSEYKEAFLEKHGVKFGLMSGFVKGAVSGLQNQPIINVVIDGDDIIYRDYIDISIAVGTPTE >cds-PLY68906.1 pep primary_assembly:Lsat_Salinas_v7:2:194050827:194053033:1 gene:gene-LSAT_2X115240 transcript:rna-gnl|WGS:NBSK|LSAT_2X115240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFYSLIKIQGNMPRYDDRHGSTRLYVGHLASRTRSRDLEDIFSRYGRIRDVDMKRDFAFVEFSDARDADDARYSLNGRDVDGSRIVVEFAKGAPRGGGGGGRDGGGRDGGGRDGGSREFLGRGPPPGSGRCFNCGLDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKVNADSRRGRSYSRTPSPPRRGRSRSRSFSRSRSYSQSRSPVKRERERERSIERAERRSRSPRRHRGASPPPSKGRKRSSSPSPDDRTAALQPSRSPSPSPRRPKSRSPMEGDDGVPVEENGHSRSPSPAPRGNGGTGTPVEEDDDVNNASPEGSESG >cds-PLY96359.1 pep primary_assembly:Lsat_Salinas_v7:4:356269413:356271477:-1 gene:gene-LSAT_4X175801 transcript:rna-gnl|WGS:NBSK|LSAT_4X175801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLAWAADVVRAGGGATSEEDESDSIPLIFNPEQEKYVRELNVKAAALSRSVQDLRQRIPPSDISQRLPDLHAHSLASNAALALQLNAHSSTKEQAQLREATLLEENAAFEKAISDCESKIQDKLREADELRAKLEELDATLTTELQVEQSAQDDNKSGDSSFKSKAEAEARTTASISSLKENIENKKKELASMEEIVYNLERQWSKVQEESLKQPSPAQREKMLDKQLHSLLEQLASKQGQAEGLVGEIHLKEMELERLNGLWRKIEISNNSEANATRNRFGRSNSDSEKEKEKEKEKGYFFSSSSDYIVDPRYKATTGRNTTTEAYLHRQMLLRSAFVLYILILHILVFIKISF >cds-PLY96221.1 pep primary_assembly:Lsat_Salinas_v7:3:197500445:197506300:1 gene:gene-LSAT_3X117520 transcript:rna-gnl|WGS:NBSK|LSAT_3X117520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGRTDGSKKRLLTTFFFVAVFLLFLYLYFGSQSQGESALEYGSRSLRKLGSSYLGGDEESDLGIKQDDFKFGLDDEDGIVPKSFPVCDDRYSELIPCLDRNLIYQMRLKLDLSLMEHYERHCPLPERRFNCLIPPPTGYKVPIKWPKSRDEVWKANIPHTHLAKEKSDQNWMVVKGEKIIFPGGGTHFHYGADKYIKSLANMLNFPKDNMNNEGNLRTVLDVGCGVASFGGYLLASDIMTMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWKEMSALVERMCWKIAAKRNQTVIWVKPLTNDCYMERAPGTQPPLCRSNDDPDAVYGVNMEACITPYSDHDHSSKGSGLAPWPARLTSPPPRLSDFGYSSDMFEKDTETWRKRVENYWDLLSPKITANTIRNVMDMKANLGSFGAALKTKDLWVMNVVPEDGPNTLKLIYDRGLLGSIHNWCEAYSTYPRTYDLLHASDVFSDIIEKKGCSGEDLLIEMDRILRPTGFLIIRDKKPVIDFVKKYLTAIHWEQVAMADSSSDETDTDHVVLIVQKKLWLTSESLRETD >cds-PLY99359.1 pep primary_assembly:Lsat_Salinas_v7:9:203729409:203730456:-1 gene:gene-LSAT_9X123781 transcript:rna-gnl|WGS:NBSK|LSAT_9X123781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQDHHSTPDDQLNQHINHTISITSPEFSHGGMPDSKTPSELLPLNIMQGGRGSLFGSSPRNGSPSSLQLGGSTLSSPLTSATALLQKAAQMGATSSNGSNNNNNNNNGSMNNLVTTMAPPSFGGGGAYNGTETLIDQYHPHQSHLAGIIGGGFSSQFQETSMSRFFNPSINNGGGHSNDVGLYSGYMNPRKELIMNNNNNNVGHNANPGLNDSNNPFLRFKRDGNGENLTVDFLGVGGMRLRSFNEQHHQGMEI >cds-PLY89602.1 pep primary_assembly:Lsat_Salinas_v7:9:39871080:39873362:1 gene:gene-LSAT_9X37301 transcript:rna-gnl|WGS:NBSK|LSAT_9X37301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 56, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G01590) UniProtKB/Swiss-Prot;Acc:Q7Y1W1] MASINFNPFGENWFKKPPNPLQSINIHAFADSINPFKHQPQSDPSSSPFAALSISNPFKKKTEPDSQRPKPGYYKRLLEQFYWESENRPDYRHTPEVERILNEDPIWEKKENLTQEEIEENERWLKEFRESPVVRFLARAEEVMDEINEMELKENSKPYRREDKKYWQSIPHVIGPDGRPMPRKAIKTRKESDDKFWDFAKQFFFGLWGFRQRPYPPGRPIDVAQAIGYKRLEKRYYDFIMRSGGFFYKDRIGRTRGPCELIQLKTAWGAGIIDKYTFIWGDDMDEWAPIGMIYGMERAIATWEVRLGAAATAFIHKLQKGIPPWVPLKGFEKKSRKQLQDEAYESKKRDLAVLEANNGIWPGVRIPSHALFLWASGSELTSILEQDHMPNKYIPKDMRKQLQKAIPGLRPWEVLSVEQAMDQITYGGQWYREPLGIFRTWPPYIEEWNEDVEELVDSYQQFTELICEFLEEAIPGFDKVMKKVESEAEGKFRRRVQSGKKKIEAMKRAQQPKSMVPDNEEEEEDDDQ >cds-PLY62425.1 pep primary_assembly:Lsat_Salinas_v7:7:116208161:116210862:-1 gene:gene-LSAT_7X72081 transcript:rna-gnl|WGS:NBSK|LSAT_7X72081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPMAEDTSFEDDQLASMSTEDIQRASRLLDNEIRILKEELQRTNLELDSFKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKERFQKLGVRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDDRIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKASLNYYA >cds-PLY94922.1 pep primary_assembly:Lsat_Salinas_v7:4:111264924:111267276:-1 gene:gene-LSAT_4X70620 transcript:rna-gnl|WGS:NBSK|LSAT_4X70620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADGFNQEEGDSENRIHIMNDEGQIVSKRMVENHFTIPEPDSEDGRSGINKQPEEWLPITQSRKGNSWTATFHLLCSGIGTQTLSLPLAFVYLGWFWGILCLCVAFVWQLYTIGLLVSLHESVPGTRYSRYLQLSIAAFGVKLGKVMAIFPVLYLAGGTCVMFIIAGGGTMKLFYQLMCDDCSSKHPLTTTEWFLVFICLTILLSLFCPNLHSVSLVSLFGAIMAVGYCTTLWVMMVAKGKVDGAVYDPSKVVASDAGRARSTLNALAIIALAFRGHNVVLEIQGTLPSTPNRSSAKSMLKGVRASYLIIAMCFFPVAIVGYWAFGNKIPVNGGMLTAISTNIHHNASKPVLGMIYVQVVLSCVSAFQIYSMVIYDNLEHAYVSRTSHECPKLVRMGIRIFFGGMTFFISVVFPFLPSLALLVGGFALHLTFGYPCLMWIVMKRQPTSIRWWFTLGLGCLGLGLSVLVIVSGVWNLVCRGLDANFFHPR >cds-PLY78727.1 pep primary_assembly:Lsat_Salinas_v7:9:47398653:47409691:-1 gene:gene-LSAT_9X44420 transcript:rna-gnl|WGS:NBSK|LSAT_9X44420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEAQRLTVNGSFNNGNGYKNLAISLRSPATRSAIDEFCNALNGKNPIHSILIANNGMAAVKFIRSVRTWSYETFGSEKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVETAEITHVDAVWPGWGHASEIPELPDALEAKGIIFLGPPASSMAALGDKIGSSLIAQAADVPTLPWSGSHVKIPGDSCLVTIPDDVYRKACVYTTEEAIASCQVVGYPAMIKASWGGGGKGIRKVHNDEEVKALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQHGNVAALHSRDCSVQRRHQKIIEEGPITIAPNETIKKLEQAARRLAKSVNYVGAATVEYLYSMETGDYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLWQIPEIRRFYGKDNSGGYDAWRQTYVHATPFDFDKAESTKPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRTLAIANMVLGLKEIQIRGEIRTNVDYTIDLLHAPDYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKAAARSAAMVSDYVGYLEKGQIPPKHISLVNSQVSLNIEGSRYTIDMVKRGPGGYRLRMNQSEIEAEIHTLRDGGLLMQLDGNSHIIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLMAETPCKLLRYLVSDGSHVDADSPYAEVEVMKMCMPLLSPASGVIQFKMSEGQAMQAGELIANLDLDDPSAVRKAEPFHGSFPVLGPPTAISDKVHQKCAATLSAARMILAGYDHNIDEVMKNLLHCLDSPELPFLQWQECFAVLANRLHKDLKNKLESKLKEYEGISTQQTIDFPAKVLRGILETHLGSCSEKEIGGQERLIEPLMSLVKSYEGGRESHARGIVHALFEEYLLVEELFNDNIQADVIERLRLQYKKDRLRVVDIVLSHQGVKSKNKLILRLMENLVYPNPAAYRDKLIRFSTLNHTNYSELALKASQLLEQTKLSELRSSIARSLSELEMFTEEGENMDTPKRKSAINERMEDIVSAPLAVEDALVGLFDHSDHTLQRRVVETYVRRLYQPYLAKGSVRMQWHKSGLIASWQFMEGHIEEVNVSDYEMTEKPLVEKKWGAMVIIKSLLVLPDVIKAALKETTNKSQGTSQNGFTDPNNHGNMMHIALAGISNQMSSLQDSGDEDQAQERVNKLAKILKDKEVSSSLKNAGYGVISCIIQRDEGRGPMRHSFHWSEENLYYIEEPLLRHLEPPLSIYLELDKLKGYEKIKYTPSRDRQWHMYTVEAKPLPIQRMFLRTLVRQPTKEWFSGYQGSDIAGPQSQWTLSYTSRSILRSLVTAMEELELHVHNATVKSDHAHMYLYILKEQQIDDLVPYSRRVDVDPGTEEGAVESLLVKLAREIHTLVGVKMHRLGVFEWEVKLCMASSGQANGAWRVVVTNVTGHTCIVHVYREFEDDKHKVVYHATSTSGPLHGVPLNTPFQPLKLLDQKRLLARKSNTTYCYDFALAFEAALEEIWSLRLSTDTRPKGKLVNVTELAFSDPKGSWGTPLVSIEREPGQNNVGMVAWIMELCTPEFPKGRSILVVANDVTFKNGSFGPIEDAFFEAVTDLACAKKLPLIYLAANSGARIGAAEEVRSCFKIGWSDESNPDSGFQYLYLTPEDYTRIKSSVIAHELNLTNGETRWVIDTIVGKEDGLGVENLSGSGAIAGAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSQMQLGGPKIMATNGVVHLTVSDDLKGVSAILNWLSFVPAYVGGPLPVLAPTDPPERPVEYLPENSCDPRAAICGTVDGNGNWAGGIFDKNSFVETLEGWAQTVVTGRAKLGGIPVGIVAVETQTVMQIIPADPGQLDSHERVVPQAGQVWFPDSASKTAQALMDFNREELPLFIMANWRGFSAGQRDLFEGILQAGSTIVENLRTYKQPVFVYIPKTGELRGGAWVVVDSRINSDYIEMYAETTAKGNVLEPEGMIEIKFRNRELLECMGRVDPRIRELKLKLQESKYDNSIVEQIKAREKQLLPIYTQIATKFAELHDTSFRMAAKGVVKNVVDWNVSRFFFYKRLRRRLAEVALITTAREAAGDTLSYKSGHEMIKKWFLEMKSDEFWGDDDVFFTWKDNPENYDEKLAELRREKVSNQLLKISSSQLDLEALPLGIAKLLKEVDPATKSKLIEELRRVIE >cds-PLY94102.1 pep primary_assembly:Lsat_Salinas_v7:8:9905346:9907628:-1 gene:gene-LSAT_8X8541 transcript:rna-gnl|WGS:NBSK|LSAT_8X8541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQSIAENPSRSSVQTTKTVHIEPTITQVIPSKPPKIIEIPLKCSSGNLTRTCPADYYPKTFNIQDQEYVSDPPQECPEYFRWIHEDLKPWKETGITEDMVERAKKTANFRLVISNGRAYVETYQKSFQSRDVFTLWGILQLLRKYPGKVPDLDLMFDCVDWPVILKKYYQGPDAVAPPPLFRYCSDDSTLDIVFPDWSFWGWPEINIKPWGSLLKELNEGNTRSKWVDREPYAYWKGNPVVADTRMDLLKCNVSEKQDWNARVYAQDWFKESQQGFKQSDLASQYKIYIEGSAWSVSDKYILACDSVTFIVKPRYYDFFTRGLMPVHHYWPIKENDKCRSIKFAVDWGNTHKKKAQEIGKTASSFIQEELKMEKVYDYMFHLLTEYSKLLKYKPTIPEKAVELCSEAMVCGSKGFEKEFMMESMVKGPSSRATPCTMPPPYEPQALKSIIRRKTNSILQVEKWEKGYFENQHNKT >cds-PLY98227.1 pep primary_assembly:Lsat_Salinas_v7:7:168965647:168969635:1 gene:gene-LSAT_7X101281 transcript:rna-gnl|WGS:NBSK|LSAT_7X101281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDLDFSRDKDLAKDFLQNFADAEGEAKYISILQDVANRKLKAIEIDLEDLFDYKDLDEEFVGRVTENTRRYVGIFADAIDELIPEPTEAIQEDDSDVFHTQRAEEGNENPDGTDPRQKMPPEIRRFYEVYIKASSKVQPFTIREVRASHIGQLVKISGIVTRCSDVKPLMKVAVYTCEECGFEIYQEVTARVFMPLFECPSKRCQVNRAKGHLILQLRASKFLKFQEAKIQELTEHVPKGHIPRAMTVHFRGELTRKVSPGDVVELSGIFLPIPYTGFRAMRAGLVADTYLETMSISHFKKKYEDYELKGVEEEEIARLAEDGDIYNKLSRSLAPEIFGHEDIKKALLLLLVGAPHRKLKDGMKIRGDLHICLMGDPGVAKSQLLKHIITVAPRGVYTTGKGSSGVGLTAAVQKDPVTNEFVLEGGALVLADMGICAIDEFDKMDESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDLRRTPAENINLPPALLSRFDLLWLILDKADMDNDLEMARHVVYVHQNRESPALGFAPLEASVLRAYISAARKLSPSIPRELEEYIATAYSSIRQEEAKSNSPHSYTTIRTLLSILRISTALARLRFSDVVAQSDVDEALRLMQMSKFSLYSEDRQKSGLDAISDIYSILRDEAARSNKMDVTYGQALNRISRMGYTEAQLKECLEEYAALNVWQIHPNTFDIRFIDA >cds-PLY94671.1 pep primary_assembly:Lsat_Salinas_v7:1:41373139:41377402:-1 gene:gene-LSAT_1X36821 transcript:rna-gnl|WGS:NBSK|LSAT_1X36821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKVTMGVAVVGSAAVCAAAALIMRHRMRISNRCVTAADILKELEEKCATPASKLKQISDAMTVEMHAGLASEGGSKLKMLISYVDNLPTGEEQGVFYALDLGGTNFRVLRVQLTGKSSIECQEFAEVSIPPQLMVGDAETLFDYIAAELAKFVADESEKFQLLAGRQRELGFTFSFPVMQLSIDSGTLIRWTKGFSIEKMIGQDVVAHLAEAMKRQGVNMRVSALVNDTVGTLAGGRYGNKDVVAAVILGTGSNAAYVERAQAIPKWHGPPPKTGEMVINMEWGNFRSSHLPLTEYDNKLDSESLNPGEQIFEKMISGMYLGEILRRVLLRMAEDAALFGDTVPPKLKTQFILRTPEMSAMHHDTSPDLNVVGSKLKDVFDISDTPLPTRKIVVEICNIMATRGARLAAAGILGILKKTGRDTVRDGDIPDTVVAMDGGLYEHYTEYRHCLENTLHELLGDEVGKHVKLVLSTDGSGIGAALLAASHSQYV >cds-PLY74249.1 pep primary_assembly:Lsat_Salinas_v7:1:77373504:77376046:-1 gene:gene-LSAT_1X65461 transcript:rna-gnl|WGS:NBSK|LSAT_1X65461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDTEKGDHEELRNPAKTSQEILIYRTENGLICREFPVKETHKVIRSEDENGNKMVNEYVRECKIGAGSYGKVVLYRSQIDGKHYAIKAFHKSHLLKLRVAPSETAMTDVLREVLIMKMLNHPNIVNLVEVIDDPNTDHFYMVLEYVEGKWVFEGAGPPGGLGENLARRYLRDIVSGLIYLHSHNVVHGDIKPDNLLVTGNGNVKIADFSVSQVFEDENDKLRRSPGTPVFTAPECCLGKNYHGKTADTWAVGVTLYCMILGQYPFLGDTLQDTYDKILNNTIFLPDDMNPMLKNLIEGLLCKDPMERMSLETVAEHSWVMGDEGRVPEYVCWCKRNKLQREEEREIISNTN >cds-PLY68088.1 pep primary_assembly:Lsat_Salinas_v7:5:292877864:292880494:1 gene:gene-LSAT_5X154000 transcript:rna-gnl|WGS:NBSK|LSAT_5X154000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g02130 [Source:Projected from Arabidopsis thaliana (AT5G02130) UniProtKB/TrEMBL;Acc:Q9LZL9] MFNLATKLSRLARGRYHSPTVVRLFSTKLHHHFKPNPVALQIIDYAFSLSRSQKSDESYGQGLLVLEQCESNQHDGNSKGLVLLAMSTLLSERGNFLEAIEKLNTIKDLKVSSFPLRVATMEALAGLHLELGEDDTSSVIADVCLNDLDANKPELDDGFGSLNARARALKGLTELVQGNTDSWNDALSYAEFLHTTRDFETAKKLYENVIKGIPENKDYSDPYNLAAGNMVWEDILLAATCGLGQLESHMGNFGDAEEILTRALTMTEERFGSHHPKIGIILTCIALMFRHKATMEHSSSLMVQEGLYRRALELLKAPQLETEAVEQSKVYRKDIIALARGGYAETLCVQQNRKAVGEKMKRWAEGAWNNRRLSLSEALDLSKISIIDARISRAL >cds-PLY65293.1 pep primary_assembly:Lsat_Salinas_v7:8:103854180:103856306:-1 gene:gene-LSAT_8X70361 transcript:rna-gnl|WGS:NBSK|LSAT_8X70361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGEQHLLSTEIVNRGIESSGPDAGSMSFSVRVRRRLPDFLHSVKLKYVKLGYHYLINHGIYLATVPVLALVFGAEVGRFIREEMWRKLWDTTAGYDLVSLLLFVAVFTFTLSYYFLFSPRSIYLVDFACFKPDDDLKVTKDEFIELARKSGKFDEESLEFQKKILESSGIGDETYVPKAICSPEKISTMKDGRAEASLVIFGALDELFEKTGIQPKDVGVLVVNCSIFNPTPSLSAMIINHYKMRGNTLSFNLGGMGCSAGIIAVDLATDMLQANTNNVAVVVSTEIVSYNWYPGRDRSMLIPNCFFRMGCSALMLSNRRGDYRRAKYRLEHIVRTHKGGNDSSFRSVYQEEDEQRFKGLKISKDIVEIGGDAIKTNITTLGPLVLPVSEQLLFFSTLIKRYLSGTTKDKEGVTTASNSSQLFPPPSSSSSATTTKPYIPDYKLAFEHFCIHVTSKTVLNELQRNLGLSDNNMEPSRATLHRFGNTSSSSIWYELAYLEAKGRVKRGDRVWQLAFGSGFKCNSAVWKSVRDMKKPSANNPWLDCIDRYPGEVLSQSNQVHPLNFS >cds-PLY67542.1 pep primary_assembly:Lsat_Salinas_v7:3:54635191:54635912:-1 gene:gene-LSAT_3X42661 transcript:rna-gnl|WGS:NBSK|LSAT_3X42661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNKSLDRYILDRTLCMTLDWDLRFDIIMGIARGLLYLHQDSRLRIIHRDLKASKMLLDEDMDPKISDFGLAKIVKGQETEANTTRVVGTYGYMSPEYVLDGLFSVKSDVFSFRVVVLKIISGKRNNGYYHKQEAFSLISHAWGLWKANTPLDLVDPALA >cds-PLY86962.1 pep primary_assembly:Lsat_Salinas_v7:5:264239620:264242860:-1 gene:gene-LSAT_5X135000 transcript:rna-gnl|WGS:NBSK|LSAT_5X135000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIFQFSSSSSKLTVNLIFLEGGGVGAEXTLNRQVVKSRTATIKIPQLEFEIPPEAQRGSLSTVEGILLRASEELQALQDERKKVDPETTEAIDKFTLRLXTGNLSFTFIYRNICMNSWNSVNPKVVFENLKFVVAAKEHVVGRYNTGPKLGGNDLDVHGLFNENVQICWAFSIYLEAVAILPQLVLLQRRGNVDNLTGQYVFFRGLYEEGHLRLYSSPGWQWDKNCNFPD >cds-PLY82623.1 pep primary_assembly:Lsat_Salinas_v7:8:308734607:308736516:-1 gene:gene-LSAT_8X167100 transcript:rna-gnl|WGS:NBSK|LSAT_8X167100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIKVRVPKGFVLEKAVCNHGFFMMAPNRWMPSTKTLVRPLRLPDHRSVRVSISQPCTTFLSISAPDEPSLYSNSRVIKDQVKRMLRLSNADEEQLKKFHGLHKDAAKKGFGRLFRNPSLFEDLVKSLLLCRASFKESLELAEGLCVLQKRLNIEKGVVDPAKRGRKKQSFCVEESWGNFPSPQEVAIFKNPILLNKKCNLGYRAQYVHELAKNITDGKIRLMDLEKLCDGNELYQKLMPLSGFGDFVSSNALMCMGFYDAIPCDSETVRLIKQVHQREDCDKKNMNVMAMEIYDRYAPFQTLAYWSELVDYYENKVGKLNELDRCLYKNVGGSAIEKTYITNDTHLD >cds-PLY71246.1 pep primary_assembly:Lsat_Salinas_v7:MU044000.1:14332:14676:-1 gene:gene-LSAT_0X29660 transcript:rna-gnl|WGS:NBSK|LSAT_0X29660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKVVSHNKDHPKPIKALLLLFSACILSCMCYLLDRWTYDSSCKRNREFTRKGKTLEN >cds-PLY83794.1 pep primary_assembly:Lsat_Salinas_v7:3:52404457:52407065:-1 gene:gene-LSAT_3X39241 transcript:rna-gnl|WGS:NBSK|LSAT_3X39241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G20810) UniProtKB/TrEMBL;Acc:W8PV18] MRRQRPEFRRQVRRRFSGMFWLTLCGGLAVLMLIVLLSRESTKPRSRSVLSKSSYGHDKVIEGLNITAEMLNPDSITRQLNDQISLAKAFVVIAKESNNLQFAWELSAQIRNSQILLSNAALRRAPLTLQESETAVKDMALLLFQAQQLHYDSATMIMRLKARIQDLEEQTSSVMEKSNKYGQIAAEEVPKSLYCLGVRLTTEWFKHSELQIKSQSQSQTQIETKLKDNNLHHFCVFSDNILATSVVINSTASNSLNPAKLVFHLVTDEVNFHAMKAWFSMNSFRGVTVDVQKFEDFTWLNSSYVPVLKQLQDSDTQNYYFSGSNDGGKTPIKFRNPKYLSMLNHLRFYIPEVFPSLKKLVFLDDDVVVQKDISGLFNVDLNGNVNGAVETCTETFHRYHKYLNYSHPLIRAHFDPDACGWAFGMNVFDLVEWRKRNVTGIYHYWQEKNVDRSLWKLGTLPPGLLTFYGLTEALDPRWHVLGLGYTNVDAQVIENGAVLHFNGNLKPWLKIGMEKYKPLWEKYVDYSHPMLERCNFH >cds-PLY69214.1 pep primary_assembly:Lsat_Salinas_v7:1:79788960:79794168:1 gene:gene-LSAT_1X68240 transcript:rna-gnl|WGS:NBSK|LSAT_1X68240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEVRNGVRRARAPVVEKNKREGRPNKRSEHLVGNHVKTRAAAAREAAAVGVEPKQQKKPRLPTKKKKEGLAKKPVVVISEAEEDKKRTEETGEETFKVAMADGSGGLSANKVTGQEEEGNTAPFPERVQVGGSPQYKVERKLGKGGFGQVFVGRRVSGGTDRISGPGATEVALKFEHRNSKGCSYGPPYEWQVYNTLGGSHGVPKVHYKGKQGDYYVMVMDMLGPSLWDVWNSSGQTMSSEMVACIAVESLSILEKMHSRGYVHGDVKPENFLLGQPSTSQEKKLFLVDLGLATKWRESTNGQHVDYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLVFLHRGRLPWQGYQGDNKSFLVCKKKMATSPEMLCVFCPAPLRQFLEIVVNMKFDEEPNYSKLISLFEGLLGPNPAIRPINTDGAQKIIIQVGQKRGRLNLDEEDDGQPKKKVRMGVPATQWISVYNARQPMKQRYHYNVADARLAQHVERGNADGLLISSVASCSNLWALIMDAGTGFSSQVYELSPFFLHKEWIMEQWEKNYYISSIAGANNGSSLVVMSKGTQYSQQSYKVSDSFPFKWINKKWREGFHVTSMATAGTRWGVVMSRNAGFSDQEKWAKNLYLACVCYGRTVS >cds-PLY99669.1 pep primary_assembly:Lsat_Salinas_v7:9:56039881:56041914:-1 gene:gene-LSAT_9X47461 transcript:rna-gnl|WGS:NBSK|LSAT_9X47461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGGGSGSSNANASSSASSHQAPKILLAKPALVTAAKYNRGPGGGGGGGGPDDASSSLRSRLPSVGFLNLLSDSWDFHTDRFLPFLTDNTDFTVIGVIGPAGVGKSTIMNEIYGFDATSPGMLPPFPIESMETKAMARHCTVGIEPRITSERIILLDTQPVYSPSILAEMIRPDGSSTISVLGGEPLPAELAHELMSIQLGVLLTSICHIILVVSEGVHDNNMWRLMATVDLLKHSIPDPSSLSLSHPQSSNLGSDKEVKDKIQEVGEEYMASPVFVHTKLHEQDVAPHYLVQLKKGLNQYFSSTSFNKQKHQNVDDGDSASASAAAPIKSFFIASKTNTDSLGTQHESYHSSLWNLRDQVLSMSSPSFSRTVSERDWLKNSGKIWELVKNSSTISDYCKTLQNSGLFRR >cds-PLY99426.1 pep primary_assembly:Lsat_Salinas_v7:4:102898199:102898704:1 gene:gene-LSAT_4X66421 transcript:rna-gnl|WGS:NBSK|LSAT_4X66421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAASATTAISGSSFKNQTSHEDHRGSSKHSEDSSEKTDCCVEKFAPRFDGLRFIETLVTAHR >cds-PLY93721.1 pep primary_assembly:Lsat_Salinas_v7:2:201451805:201452203:-1 gene:gene-LSAT_2X121941 transcript:rna-gnl|WGS:NBSK|LSAT_2X121941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNGEEGISMLKQQLLENVSRKIIKVEEKHAKGWGGENAYHVKGYRYLLVEGDMNISRASPPGKVTTLMEEPLLAINKFSI >cds-PLY97348.1 pep primary_assembly:Lsat_Salinas_v7:4:286433419:286435332:-1 gene:gene-LSAT_4X145861 transcript:rna-gnl|WGS:NBSK|LSAT_4X145861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASENPNSTPKSPRTLMCPKIDPSAPPLPSSSNPNQPSSSSSSSSLHPNADTNDASDNLFPDSGPNSPHDPSESLEEVIVSVPGAILHLIDKNHSVQLAMGDFSIVKLRQSDSLIAILARVGEEIQWPLARHEACVKLDQSHYFFSLRAPKEKEHDAMMLNYGLTFASKGQEKLLKEMDELLEHCSSFSVQKVEEKKGALDLTMAERMSPSDLKMDANKEEMEQICRAYWTTLAPNVEDYSTKAAKLIAAGSGQLVKGILWCGDVTVDRLIKGNEILKLKIGPASNTAVNPELLKAIHRVNKVTKMTETVVGGLLSGVLKITGFFTSSVASSKLGKKFFKFLPGEIALATLDGFSKICDAFEVSGKNVMSTSSTVTTELVNHRYGEEAAKATNEGLDAAGHAVGAAWTVFKIRTAMNPKGVVAPSVLTKTGLKDATEEMRNKALKDIKAKDSQKTSK >cds-PLY86523.1 pep primary_assembly:Lsat_Salinas_v7:4:198483173:198483478:-1 gene:gene-LSAT_4X112501 transcript:rna-gnl|WGS:NBSK|LSAT_4X112501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNESRLQEKNECRHHEQIRTLAVRSMSSQGRRRLSWREVDDSLDEATTDRIRARRLWQYRNRRTVADSSVSSVVEADSPASDVTGIGLVGVAMAGIEETIG >cds-PLY86719.1 pep primary_assembly:Lsat_Salinas_v7:4:8647176:8656323:1 gene:gene-LSAT_4X5700 transcript:rna-gnl|WGS:NBSK|LSAT_4X5700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSENGVLPSRFRDGKFQASRHEYSGARRRGRIEVVEDMATNGYTCEDAIDGLKKLLSEKGELQAVAAAKIKELTAELEAAGGDEFNPVERIKDGFARFKKEKYETNPALYGELAKGQSPKFLVFACSDSRVCPSHILDFQPGEAFVVRNIANMVPPYDTVKHSGVGAAVEYAVVHLKVENIVVIGHSCCGGIKGLMSLPEDGTTATDFIEQWVKIGLSAKSKVQTDCTDLDFLDQCTNCEKEAVNVSLANLLTYPFVKEAVVKKELSLRGGHYDFVRGGFSLWNLDFGITSALF >cds-PLY74964.1 pep primary_assembly:Lsat_Salinas_v7:3:155298722:155302204:-1 gene:gene-LSAT_3X98820 transcript:rna-gnl|WGS:NBSK|LSAT_3X98820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSITISSSNGFIKLMKIKVAARHISYRTLFHTILILAFLLPFVFILTAIVTLEGVNKCSSIDCLGRRLGPKLLRRGDDSGKLVSELYNLLNQVNSVEVQDDVKLPDNFTHLVTEMKSNKYDAKEFAVILKQMMERSEKEIKESKFSEVMNKHIAASSIPKSLHCLSLRLTDEYSSNAHARRQLPSPDLLPLLSSTSHHHFILSTDNILAASVVVNSAIQSSSSPEKIVFHVITDKKTYAGMHSWFALNSPSPAIVEVKGVHQFDFLTRDNVPVIEAVESDDGVRGYYHNGNHVSREEFRGNLGISPRVFASKLQARSPKYISVLNHLRIYLPELFPTLEKVVFLDDDIVIQRDLSPLWDVDLGGKVNGAVETCKGEDTWVMSKRFKNYFNFSHPLISKHLDPQECAWAYGMNIFDLKTWRTTNIKQTYHTWLKQNLKSNLTLWRLGTLPPALIAFRGHVHGIDQSWHMLGLGYQNKTNIENVKKAAVIHYNGQSKPWLEIGFGHLRPFWSKYVNYSNDFVKSCHILE >cds-PLY91169.1 pep primary_assembly:Lsat_Salinas_v7:4:162809664:162810496:-1 gene:gene-LSAT_4X97940 transcript:rna-gnl|WGS:NBSK|LSAT_4X97940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCEENEELKKKVEEQTMNLRDAERMKRELQSVERDIGEAEIERNKWEEKCWDLNDVIGTNLKEIEALQIECNQTMRSNYLKKVTPRFGNGFQATPKTSTTNGIPSSLTPPSWDGFASLDSYWFSWHEYFDSESKHGKKLTEQDYSDMVALHCSPVSNISAYVSPEASAQLAATTTWGSRVTVVAFDPTNVALSLLL >cds-PLY99921.1 pep primary_assembly:Lsat_Salinas_v7:7:15899165:15899870:-1 gene:gene-LSAT_7X13001 transcript:rna-gnl|WGS:NBSK|LSAT_7X13001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFMPIANVIRIMRKSLPPHAKISDDAKETIQECVSEFISFVTSEANDRCQREQRKTITAEDILWAMNKLGFDDYIEPLTIYLHRYRELDGGERGCGSQSFTKTDDVNVDHTANSMSFGNIDAFAPVFRMRDQLFGPATIAGFLNDPTANGGPSRQAASVAGFQPYAQYKE >cds-PLY74489.1 pep primary_assembly:Lsat_Salinas_v7:7:34646089:34646274:1 gene:gene-LSAT_7X26580 transcript:rna-gnl|WGS:NBSK|LSAT_7X26580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGKKTRGKGVCGEVSRAAAVKKTRMFGGFVSTEGETKADNERLRGCWVVGKKGNTLGV >cds-PLY77808.1 pep primary_assembly:Lsat_Salinas_v7:3:34974646:34975040:1 gene:gene-LSAT_3X26201 transcript:rna-gnl|WGS:NBSK|LSAT_3X26201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIISRTCSSHGTSATHICWDILMDLPSLVDVKVPDGKHFTVCGDVHGQFDGDFVDQGSFSIEVILTLFAFKCMSPSGN >cds-PLY78970.1 pep primary_assembly:Lsat_Salinas_v7:3:70515630:70526417:1 gene:gene-LSAT_3X55481 transcript:rna-gnl|WGS:NBSK|LSAT_3X55481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDSGRLYQVKVVMGVSKSFRTKELHFFFNIGVWWRYILSHRQLVKSKIRAQAIQTKFDIGLNCRRWVLLSIRNKWRTFKSRLADLDHRVPPDQWSALVSQWSFEKSQVGDPKSDTSNGVILATTNVVLGQFKTCVILLGGFIMFGSNPSWQAAKTKVGKSNGYGSIPGSRAVSSDERERIVVRMFKFEELRLEQIQDLECFREDLHRRLLSTNFKKQVDGIEMLQKALPAIANEIIEVLDILLKGEMYVPQEPQMERHPLARSSCGVVGPTDWNEALDIIRYGSPEQTKPHGHGDVHSLLYSVGLLEEWKDASLRWVLMAVTIIMPLFFIRLQFKVKLMEERNMKPLDLNLAALSARCSKELELNLAKSLLSEMGQCTTAYPYNHLFGALVLKNYERQDATLLGWNLMYIVD >cds-PLY92314.1 pep primary_assembly:Lsat_Salinas_v7:9:180063366:180063587:-1 gene:gene-LSAT_9X110700 transcript:rna-gnl|WGS:NBSK|LSAT_9X110700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHQTSLSGTSFHLVAPEYMTLIRGVLTSHQVTLSDTLSLSEPHVHGTSSMCRDNTSSAIELHLVTWWHLLCM >cds-PLY67167.1 pep primary_assembly:Lsat_Salinas_v7:6:162584351:162584659:1 gene:gene-LSAT_6X99720 transcript:rna-gnl|WGS:NBSK|LSAT_6X99720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGRVEAETDPQKQIITDIPDADATTDLAIPDIGDQSQNDDFEGFLNLGFMHQAAIPAVPLNVVYHRSYLEGEISQEVPQGTNSAIDSDNDQLNPQKRKASL >cds-PLY85054.1 pep primary_assembly:Lsat_Salinas_v7:7:5883512:5884374:-1 gene:gene-LSAT_7X5041 transcript:rna-gnl|WGS:NBSK|LSAT_7X5041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVANRFNWDPHTFKVFIEECVNKLKNENRPSTYFNKTACQNICKRLLERIGKDLDINKMKNKWDIMQKEYKYYDRLTILETRISIDSVRNIISASNEWWDEKTKEDKEFAKFKDKNLEVYETYYEALFRDIVADRDKAKVPCEFRDSSTPNDVQFVDITDRKEASDEVLLFDDVDPFLTYDSSSKKRRGKKLNPRRETKRNFEGKSMVSSSYEEKMNIVFDVLLTRCTQTSSQTTHSPTTEDCMAIVSIFPGFKEGSRGY >cds-PLY89562.1 pep primary_assembly:Lsat_Salinas_v7:4:366684331:366686080:-1 gene:gene-LSAT_4X177020 transcript:rna-gnl|WGS:NBSK|LSAT_4X177020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSRKRLMRDFKRLQQDPPAGISGAPVDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFSEDYPNKPPTVRFISRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRKVREIVEQSWTAD >cds-PLY70301.1 pep primary_assembly:Lsat_Salinas_v7:2:90397357:90399556:-1 gene:gene-LSAT_2X39021 transcript:rna-gnl|WGS:NBSK|LSAT_2X39021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQMTAAASSSVFLPSFEGLKPSTIKVSSASFRMGGGLTLRSTRGLVVKAATTVAPKYTTLKPLGDRVLIKIKAAEEKSAGGILLPSTAQTKPQGGEVVAVGEGRTVGQNKVDISVKTGTPVVYSKYAGTEVEFNGTNHLLLKEDDIVGILETDDVKDLKPLNDRVLIKVTEAELTTAGGLLLTQASKEKPSIGTVIAVGPGPLDEEGKRKELTVSPGNTVLYSKYAGNDFKGSDGSEYIALRASDVMAVLS >cds-PLY86282.1 pep primary_assembly:Lsat_Salinas_v7:8:55607487:55608552:-1 gene:gene-LSAT_8X41020 transcript:rna-gnl|WGS:NBSK|LSAT_8X41020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLPGEVLSDIFIRLLAKQLAQMRCVCKDWNALLSESSFVKSHLHRSMHINQKILTFFGVRADCTSFTASPFSSPAIELDNFFKFPVNLESQPARCFGNVVGCVKGLICFKYESGDDYIVCIQNHSLSAFLTLPPCSMGSSSESRNINFLFGYDPKTDDYKVVKLTELFDPRRIAPVEVYSLRKGKGSWELVSQRIPSHLQCIRDLDLVCVDGHEGHLHWFCYTYIGGKLTQLILAFDLCSERFKEIPFPDSLRTCCFGDRLNVVGVLGGKVCVMSRVRDTDCEVWVMDEYSWVKLHVFSGFSGGDKIFPCGKLLSMLTVLFG >cds-PLY77099.1 pep primary_assembly:Lsat_Salinas_v7:MU042616.1:263283:265352:-1 gene:gene-LSAT_4X76541 transcript:rna-gnl|WGS:NBSK|LSAT_4X76541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAANLEDVASVDLMTELLRRMKCSTKPDKRLILVGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKSPLGVKAKEAMEKGQLVSDDLVVGIINEALEKPSCQKGFILDGFPRTVVQAEKLDEMLKRRGVKVDKVLNFAIDDAILEERITGRWIHPASGRSYHTKFAPPKVPGIDDVTGEALVQRKDDTAAVLKSRLEAFHKQTEPVIDYYSKKRIVANLHAEKPPKEVTAEVQKVLS >cds-PLY61979.1 pep primary_assembly:Lsat_Salinas_v7:5:161287584:161288310:-1 gene:gene-LSAT_5X70501 transcript:rna-gnl|WGS:NBSK|LSAT_5X70501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGKGPTCESQRRASSEGLGLLARLGNDMFTARLTRSLLNDVTGAPEHYVGSIALALGCIQRIAGGMALLSLVPSTVHSISSLAKSSIANLQVWALHGLLLRIEATGLSYVSQVQATLGLAMDILLSGENG >cds-PLY90459.1 pep primary_assembly:Lsat_Salinas_v7:9:93737829:93740507:-1 gene:gene-LSAT_9X70680 transcript:rna-gnl|WGS:NBSK|LSAT_9X70680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKNLVLMRMKKILMLIKLVSWSTESFDFHYISQQSKEPILTPSFVQVNDEDYGTDFLNDDENVEDDDQGKCSGGKGDGSGPHEGNVGKNHVEGKGYVNDHVYEDDIGKNNDLLNEKDDEQGNGSGFNKEEAMNLNLVVENVIKSVDLIDSQEGVSFSQFICDPVVESFLKTLDQCTENLVYGYINQKRVEDDVNENLTSFEKNEFDDRIVNLGDDDKVIVAKKDGEGEDVEVDLDLGKAIEDCSNKNKDGETVEDGLEIIQLKDSKETQSLKNDKVEGKVEKFFVPSFRLGFSQDSEGSKKSSHNQSSYERMTKKKIKDRVNLKKPSVGPECVIPNIDVIDASPVSFAPLLGTLEGPSKPISMKPKDINEEGTSIVDKRTFEVIDNGADDADFNDKYVAVFKPVYLKEINHVKANEMADKNLTPIRLIMPWKTLYNKV >cds-PLY90914.1 pep primary_assembly:Lsat_Salinas_v7:1:57791224:57794499:-1 gene:gene-LSAT_1X49360 transcript:rna-gnl|WGS:NBSK|LSAT_1X49360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLPKEELEDEASPITASIKCRGSRYWVTKMHVDGFRFDPASIMTRGSRNHRDHIYNKAQLVEASDNPETQAEYEKEISPDWWGNKFGFVSGGLLGAQSKRKKSNSTSEITQNSNKRTAFYEEDQENLYKLVQDKATSGKQGLGIKDRPRKIAGVRFQGTKTSFSDSEREESESEDDHSLKKQKIDDVSESKVKLKKLCRKLLSQVPEKSLKLKKLKALIDENSSIFSNFSSKKDSLEFLRQKLERSEKFIVEGKRKLSGNRNFEGRVHPLTRANYLASPPLVVAYALVGTLSSVGGQLVISSDGVADWLRNQQQHKQKFMQRRKKIEVFEDVADEKDRSSLGCC >cds-PLY70627.1 pep primary_assembly:Lsat_Salinas_v7:4:228330559:228331026:-1 gene:gene-LSAT_4X125420 transcript:rna-gnl|WGS:NBSK|LSAT_4X125420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKGWSSTRLEGPLSEKQMKSIFRSFDKNGDGNLSRKELKVGLKSCGIRFAGFKAWRAVRHADANGDGLVCDDEIDELTKYATKWGFSITV >cds-PLY83297.1 pep primary_assembly:Lsat_Salinas_v7:4:126934954:126938335:1 gene:gene-LSAT_4X80060 transcript:rna-gnl|WGS:NBSK|LSAT_4X80060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIFYRIAVFSLSIFVVLASANFVKGNIEITEQPLSKIAIHSAVFALRDDASIKAYPTVLGSKGEDVDWVTIELQHPNPSNDDWIGVFSPAKFNGSTCYFENDSKLQAPYICSAPIKYTFANYSNWEYAKTGKSTLKLRLINQRADFSFALFSGGLSNPKLVAHSNFISFANPKAPVYPRLALGKSWNEMTVTWTSGYNINEATPFVEWGVKGQGRIRTPAGTLTFHQNSMCGSPARKVGWRDPGFIHTSFLENLWPNTKYTYKMGHILPDGTHIWSKKIYTFTSPPFPGQESLQRVIIFGDMGKAERDGSNEYSNYQPGSLNTTDQLINDIDNIDVVFHIGDIVYSNGYISQWDQFTSQIEPISSVVPYMIASGNHERDWPGSGSFYDGKDSGGECGVPAETSFFVPAQNRAKFWYSTDYGMFRFCIADSEHDWREGTEQYKFIERCLASVDRKKQPWLIFAAHRVLGYSSDKYYAADGSFEEPMGRESLQKLWQKYKVDIAFYGHVHNYERSCPIYQNVCVNPEKTHYSGTVNGTIHVVVGGAGAHLSEFGEINTTWSVFKDYDFGFVKMTAFNQSSLLFEYKKSKDGKVYDSFTISRDYRDVLACVHDGCEATTLA >cds-PLY61668.1 pep primary_assembly:Lsat_Salinas_v7:5:90212897:90215321:-1 gene:gene-LSAT_5X40941 transcript:rna-gnl|WGS:NBSK|LSAT_5X40941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNKKRIKTLKGKPRFSDFNLVPNDWGADVHPWLSVLAKAYPFLEELRLKRMTVWYSLQIFELSSTEGPEVGLYLFRRVPHSRILVCGGDGIAGWVLDAIEKQSYVSPPPIAFLPAGTGNDVARVLNWGGGLGSVEKQGGLCMMLQHMEHASVTVIVKTVPVKRGHKLRITWPMTPGIDHYMEGPSRYLGHLIGHEGEGSLFYVLKKLGWATSLSAGESDWIVEFSFFKVVIELIDVGHVCPSGSRNIWESTNFDGHTELTEPWYKVVKARSGVGHTVVLIEDGLSFSFGWNKHGQLGTASTKNECELSPVRCLITDEKDVSCGATKGVSVKLVEERNMKPLDSNLAALSARCSKDLELNLAKSFLSEMGQCTTSYPYNSCLEH >cds-PLY79446.1 pep primary_assembly:Lsat_Salinas_v7:9:100382080:100383375:1 gene:gene-LSAT_9X73540 transcript:rna-gnl|WGS:NBSK|LSAT_9X73540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIYHRKLLSEPDSNQPIDCSACGLTCPYDCDYVTIYWPPQPPPSSTTTDDSSTTHISPYVIVIVAVIASAILFISYYLIVKRYCVRFRQPYPTQVNQESRDFMNGDHQPEIDHPIWYINTIGLQPAVIRSIAVVKFKKGESLIDVTDCSVCLSEFEDDESLRLLPKCNHAFHIPCIDTWLRSHTNCPLCRAAVLANNSSNNSQSNDQNGNLIHGFGLNRNTQMENGESDGELGSIGVEEIGIRENMRETGNNRVEVDDGNKEIQDSKIEIETMSTLRRAFSMDSTAEIVHMELNSSNSSNEMQSSNHIRDSRTRNMMTMRSFSYGGRSIM >cds-PLY67098.1 pep primary_assembly:Lsat_Salinas_v7:5:283374250:283374662:1 gene:gene-LSAT_5X149360 transcript:rna-gnl|WGS:NBSK|LSAT_5X149360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIINTRETERPYQLIEYGKTNGRSEEDPGDRLILIRTIQADSTQPWPYLNEALTKFQDPAEADRLLLKV >cds-PLY79162.1 pep primary_assembly:Lsat_Salinas_v7:4:218059474:218071344:1 gene:gene-LSAT_4X121681 transcript:rna-gnl|WGS:NBSK|LSAT_4X121681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKLHNFFILLITILPSIKSQNPTNCDRSCPNSDLNLLPYPFGFSSGCHIQLNCTSGSVFIGEFPVQQINPDGILVSLPAICGRTFNSLSHLYGEHYAPTSANAILMENCTQQMKNCMIPMTTLQTHLETVNCSGGVGGYGNISCYSGDVSKMFLDYENMTGMGCRYLFSAVATEMIGASTAVLSLNMQVVNLGWWVKGTCDCSSDADCTEVVSPIDGSDGHRCACRAGFDGDGFKASSGCRKLKDTSHCNPSKYFAGNCGGTGRVGVLVGAALVGASLIICIGLIICFIRRRTISRSRSRINRQFYEAKGITIPIYTYKEIEKATNNFSDKQRLGTGAYGTVYSGKLNNGEWVAIKRIKHRSDCDNIDQVMNEIKLLSSVSHPNLVRLLGCSIDKDEQILVYEFMPNGTLSQHLQKERGNGLPWVVRLTIVTETAQAIAYLHSVINPPIYHRDVKSSNILLDYSFKTKVADFGLSRLGIVESSHISTAPQGTPGYLDPEYHQNFHLSDKSDVYSFGVVLIEIITAFKALDFSRRHNEVNLASLAIDRIKKGCLDEIIDPSLDHNKDTWTCSSIHKVAEVAFRCLAFHSEMRPSMTEVAAELEKIRPPKEENVVVSREGSNPSSVSIVDEKEMNMEVKNIESDKKGLLDSMDLTNAKSCSQNSAEDQWLSGSSSPSSNGLLGLSSRM >cds-PLY78432.1 pep primary_assembly:Lsat_Salinas_v7:2:167230753:167232854:-1 gene:gene-LSAT_2X89180 transcript:rna-gnl|WGS:NBSK|LSAT_2X89180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDLMLPGFRFHPTDEELVNFYLKKKIQQRVLPIELIKQVDIYKYDPWDLPNLAPTGEKEWYFYCPRDRKYRNSVRPNRVTGAGFWKATGTDRPIYSSDGTNCIGLKKSLVFYRGRAAKGIKTDWMMHEFRLPSLSPSQSSPPKKILDKSLPPNDAWAICRIFKKTSSMAQRALSHSWVSSLPEHKSSDLYTESNQLSNNPDSRLSSPNSFTALDNPSYTPVNMTTSIVSPFSIQQTDFPRGFMFSTVDISGPITTTTSDVAPSLYNFDPDANKISESMGLGGPQQLFDTFSMNSPQVMQGSIDTTEWVKVRTGAFPLSLPSTVPDDWNLNMPWDSPTCPSQMSTTYSTNECHT >cds-PLY67533.1 pep primary_assembly:Lsat_Salinas_v7:6:70156464:70157261:1 gene:gene-LSAT_6X49441 transcript:rna-gnl|WGS:NBSK|LSAT_6X49441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILREQLNSSYERLAWLKINGVPLKYWDEDNFSRIASRFGKVICPFDNIHNRRDLSMGKFRIITTRKKWINEEVEVMVNGVLHSVGAVEYTDNWSSFNPCPFDKVAKDGDSESTDGEDDDEGISETWLQEDDNDMEEGEFRLDASPEVQSEKSKSHDRSVKPPRNIGNVNVVRFESSKSGSQHEEPGMEGISDGSTNVNMAFGIPFRMVYEEANGDLMNTTNAGISNLEARPTQNVIGPNNNLLPQDYDGLPHIVTTPTQNIFTA >cds-PLY70677.1 pep primary_assembly:Lsat_Salinas_v7:3:105177844:105180682:-1 gene:gene-LSAT_3X76560 transcript:rna-gnl|WGS:NBSK|LSAT_3X76560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRRATEIELDTMEGFASREKLHHHLNDQELDFNGHAVMSALIAPERSVKFHGSLVAQLLLKDENLPMNDFVSDWSSTLLTTVSQASKADDISLTRVALSAFLLSLERCPGAQRVVMEKGLHLMRETAKRTMNHKSVQESLAKGLESLCSGDMRLSLEEGQKWSCILLPWVFRETSSDAIRSSAITILSRICEDYGPSSIPISQGWLAIMLSDILKSRKLSLKGSAQPRDKVKTQIDQANVLSGTQSVNQLASAVVNLAVNGYQSNDSVFTCVIESRWEKDSTSNLRFARLNLVDLAGSESHVIMVLVDGANARTRHVPYRDSRLTFLLQGVVNEDASGDIMVLQQQIQPLKEELAILKRDNISRSLAFGPKVIEEATQEHENDCTRHDNKILKVSSKQLKSLETSLTGALRRDQMSEASIKQLEAEIEQLNRLVRQREDDNKCTKMMLKFREDKIHDQIQNEAAQDNKENNYVRLELHLVFTYNIPILNNMELSAQGYNRCLQQETAQCLTWVGHPETRFTFDHVACETIDQDGWSTNGGELFVWI >cds-PLY75854.1 pep primary_assembly:Lsat_Salinas_v7:9:195928446:195929682:1 gene:gene-LSAT_9X119641 transcript:rna-gnl|WGS:NBSK|LSAT_9X119641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFQASAMGWPASPGMATPTVIKKVIRLDVPVEKFPNYNFVGRILGPRGNSLKRIEAMTECRIYIRGRGSVKDSVKEEKLKDKPGYEHLNDPLHLLLEAEFPEDIIDSRLDHAVTLLENLLKPVDESMDTYKKHQLRELAMLNGTLREESPSMSPSMSPSMSPFNSNGMKRAKTGR >cds-PLY81068.1 pep primary_assembly:Lsat_Salinas_v7:6:131184149:131184493:-1 gene:gene-LSAT_6X79780 transcript:rna-gnl|WGS:NBSK|LSAT_6X79780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLDMLMSMFGGLGAGGIGVLNVPDVAPEQLYATQLCQLQEMGFFDVQENIRVFSATSGNVHALLLRGFWGTLVHDGDDVAFFLF >cds-PLY74347.1 pep primary_assembly:Lsat_Salinas_v7:5:325110790:325110942:1 gene:gene-LSAT_5X179180 transcript:rna-gnl|WGS:NBSK|LSAT_5X179180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRAVVMVVALAIEGDGLVAEAGDGKDEKRQTRSLVSKVFKDDVGLYMLG >cds-PLY92359.1 pep primary_assembly:Lsat_Salinas_v7:3:125222062:125223688:1 gene:gene-LSAT_3X86920 transcript:rna-gnl|WGS:NBSK|LSAT_3X86920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDFSSSSSRHPHQNQYYSSQQPQTFHHQSSGTPTPTTSTTNTNTPPPPSLSYYGFSSSEHQQSSDPNINYSYSPARDVTAEFSNSFSGNTWSADVLLDAARAVADKNSGRLQQLMWMLNELSSPYGDTDQKLSSYFLQALFSRMTDSGDRSYRTLTSASDKMCSFESTRKLVLKFQEVSPWTTFGHVACNGAIMEAFDGESKLHIIDVSNTYCTQWPTLLEAIATRTDETPHLRLTTVIATKSGGGAADSGTGVQKVMREIGNRMEKFARLMGVPFKFHVIHHTGDLSELNLSQLGIQDDEALAINLNGTLRSVNNHRRDYLISTFRGLNPKIITIVDEEADLDVGTDGFEFVRGFQECLRWFRVYFEALDESFQKTSNERLMLERAAGRAVMDLIACSPAESMERRETAARWSSRLHASGFSPVSYSDEVCDDVRALLRRYREGWSMIPSEAAAGVFLTWKETPVVWASAWKPI >cds-PLY67146.1 pep primary_assembly:Lsat_Salinas_v7:5:291452581:291452919:-1 gene:gene-LSAT_5X155300 transcript:rna-gnl|WGS:NBSK|LSAT_5X155300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFRSMEEFWPFYMNQHSKPATRRWHFVGTLSSILCLIYAVLFNWWFFFFAPLLGYGMAWYSHFYVEGNIPATFGHPVWSFLCDYRMFGLMITGQMDKEIKRLGKRPVLQAY >cds-PLY66679.1 pep primary_assembly:Lsat_Salinas_v7:1:52118964:52128036:1 gene:gene-LSAT_1X45720 transcript:rna-gnl|WGS:NBSK|LSAT_1X45720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDSETVVAQSSAIQEHPSTTVNRDLAASTGIDTMDVDNVIPHEDDRASIQAKIGRKKEFDAALRKLRGKDADISEEVDEIQCTKETHRLVDCETVSKWIMKKSAESENMNCDFEEKHKLKMAQESRESKWEGKAIAEVRSATADQVWPLIEDFCSLEKWYPGVDACQQVKGVYGEPGLIRYITTTVPAPPPPDAADQTPATVVKWCYEKLLSIDPVQRRLSYQITENNLGVGFYVAEWTVLENKDGDGAGGCRIEWRFTADPVEDRTFEGFCGYLQSSLKGIAERMEKALQAATNN >cds-PLY79231.1 pep primary_assembly:Lsat_Salinas_v7:1:156978321:156981927:-1 gene:gene-LSAT_1X109001 transcript:rna-gnl|WGS:NBSK|LSAT_1X109001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNRNFAPLASRLHLVITFGTSALETQVPMAPKQPNTGLFVGLNKGHVVTKKELAPRPSDRKGVPFQHFAEKIVGYPLNRRS >cds-PLY91405.1 pep primary_assembly:Lsat_Salinas_v7:3:56168910:56169826:-1 gene:gene-LSAT_3X44020 transcript:rna-gnl|WGS:NBSK|LSAT_3X44020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLIRFEPRAYALPYHHSTTELKEKCLVHGIPKWFTLLESNMNVLSCSINTLNGLIRYIRCGSRPTFLLENRGFPSPQPTTLAVRNPQTIPTSCQNFFEYVLEFIQDVSKTQIGEEYGPWAPFYLSENI >cds-PLY94889.1 pep primary_assembly:Lsat_Salinas_v7:4:112820554:112821779:-1 gene:gene-LSAT_4X71940 transcript:rna-gnl|WGS:NBSK|LSAT_4X71940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNALYVFAPDANVVKGPHAPDTPMVIEANNVSFTDIIPNQFKNSGIDWTTMSKAALFTMHSVIFRSHSILSKYSYENEEEDDEGNDEEYQSDVDTKSLDVDMGEASAHGMMINSPPRLNKHIHFSSSSPSAPSVEAIAQHESSPTQVETIDPLIHVVNSPRQASPPPQATIVPPMPIPIQTTTTFQLTVLSQLSLLV >cds-PLY71122.1 pep primary_assembly:Lsat_Salinas_v7:9:79750264:79751554:-1 gene:gene-LSAT_9X64441 transcript:rna-gnl|WGS:NBSK|LSAT_9X64441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSIPASFSGQVGSSRWSLAGMSALVTGGTRGIGYAVVEELAELGARVHTCSRNESELDQRLQEWSGKGFIVTGSVCDLSSRPQRQHLVEKVSSLFNGKLNILINNVGMSIVKPTIEYTAEEYSTLMGTNFESCYHMSQLAHPLLKASGVGNIVFISSVAGLVHASVGSVYSATKGAMNQLTKNLACEWAEDNIRSNSVAPWFTKTSLIENGADGKEFVDAVVSRTPMKRFAEANEVSSLVAFLCLPAASYITGQIISVDGGSSVNGYP >cds-PLY76863.1 pep primary_assembly:Lsat_Salinas_v7:3:5486080:5488860:1 gene:gene-LSAT_3X3941 transcript:rna-gnl|WGS:NBSK|LSAT_3X3941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSPLFLALTFCLYPIVCYGNVEVSVLMEIKASLDPENKHLTSWTETGDPCSGSFVGVACNQYLKVANISLQGKGLTGKVPPAISGLKCLSGLYLHYNFLTGEIPREISSLNELSELYLNVNNLTGEIPQEIGNMISLQGQPGNLLLICSQFLSVIELCCNKLNGTIPSEFGSLNKLNVLALQHNRLTGQIPRTLGDLAMLERLDLSFNRLFGTIPINIARATKLEFFDIQNNTLSGYVPTALKRLNSGFSYNNNNDLCGSTFSSLRPCTDLDATMINPLEPFTPTPNTTIPINIPQSANIMPHCQRTHCSNSPNLRRVGIIAAIVTVIAALTVTAFVAVIIHRRRKQRIWSKSETPDHKFGIQESSGKSSDYSCAWDPTQTSPKKCGFCVESNSLQSFNLEEIESATRYFSDANLLGRSKFSAVYKGVLRDRSAVAVKCVSVTSCKADEAEFTKGLTLLTSLKHENLARLRGFCCSKGRGECFLVYDFASKGNLSEYLDVEDGRNAHTHVLDWSKRVSIINGIAKGVAYLHSDTDKPAMIHRNISMEKILIDQQYNPLITDAGLLKLLADDIVFSALKVSAALGYMAPEYITTGKFTEKSDVYAFGVVVLQILSGKSNLTLLLRAAAIDRRFDDFIDKNLEGKFSESEADKLIRIAVMCVDEVPENRLTMEDVIRELTVSV >cds-PLY68457.1 pep primary_assembly:Lsat_Salinas_v7:2:213429760:213431347:-1 gene:gene-LSAT_2X134520 transcript:rna-gnl|WGS:NBSK|LSAT_2X134520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGGYSQQGYLARPQTNTWAPPAPQMQQQGYGYMQPGGVAAGRVDGGYDYYNQQQAPHTQPPGGIVAAADGSGYGYSQQGQGYSQDGYGGYSQSGYAQGYDQQGYGNPIVGYNETSNGQTGQTDSYGGQADTTVAQAPPPAFGAQSSYVQPPPPAAASYGSYGAQPPFGYGGYGQKPPVTPQAYGQPPPQQSPNAAPQGRGYAQPAPYSGYGQADASGQRPPYGGAAAGYAQAAYGQQHMEAHMVVVTPSNLLHMPAKRLLRQPKQVRVSVVVVVRLLKLHLNKVDDQAHLLDGRASVGASVDSARQNLAATFVNDFVNAGFGQAI >cds-PLY87434.1 pep primary_assembly:Lsat_Salinas_v7:2:134970471:134971483:1 gene:gene-LSAT_2X64560 transcript:rna-gnl|WGS:NBSK|LSAT_2X64560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALDQGEKADRGQLGKAYQVAGILYEVLCSVNKSDMAEEVPADIIASARDIEAKQSIYAPYNILPLDSAGESQCIMQFEEIKASVSALRNTSGLNWPTSADEDPHRQTSGQLDLLDWLRATFGDNVRNQREHLILQLAHPQARLKHKSSESANKART >cds-PLY84259.1 pep primary_assembly:Lsat_Salinas_v7:7:78231893:78236215:-1 gene:gene-LSAT_7X56021 transcript:rna-gnl|WGS:NBSK|LSAT_7X56021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFPSHQLNNGLYVSGRPEPPKEKAPPMSSTAVPYTGGDIKKSGELGKMFDVDHGSSRPRKSGHLANPPLRTGSMGGGGGGGGGNTNRMSSLSGNVPGASLKKTSSGPLNKHGEPIRKSSGPQGVGLTGLTSASRQNSGPLPPVLPATGLLTSGPILSGPLNSSGGPRKSSGPLDVSGSIKSRSTSMVKNQAVTHLSQDDGHSFFRSFPKLVFWSIVLLFVMGFIAAVFILAAVGNPILLVVVVVLFAIVSLGFAWNACFGRKAVIKFISEYPDAELRTAKDGQFVKVSGVVTCGNVPLESSFQRVPRCVYTSTSLYEYRGWDSKAANSTHRRFTWGLRSMERHVVDFYISDFQSGLRALVKTGYGARVTPYVEESSVIDIDQSNKDMSPQFIRWLAERNLSSDDRVMRLKEGYIKEGSSVSVMGVVQRNDNVLMIVPPPDPIPTGCLWNRCMLPSSLDGVILRCEDSSNHDVIPV >cds-PLY90864.1 pep primary_assembly:Lsat_Salinas_v7:9:161060808:161062381:1 gene:gene-LSAT_9X101761 transcript:rna-gnl|WGS:NBSK|LSAT_9X101761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSIFPMISRFSDHHNIHLRRYNRHCLSHPLPPPLPPHHQSTKILHLPHNFGISAIEAAQPLDYKSKIFERITIYKTLKIGIVGFGNFGQFLAKTLVRQGHTILAHSRSDYSAIAAELGVSFYFNADDLCEEHPDVIILCTSVLSTEKVLRSLPLQRLKRSTLFVDVLSVKEFAKDLLMQILPLDFDILCTHPMFGPESGKDSWKGLPFMYDKVRIGHDESRVLRCENFLDAFVKEGCLMKEMTCVDHDQHAAESQFITHTIGRILEKLDLDSTPINTKGYESLLDLVQNTSSDSFELYYGLFMYNKNAMQQLERLDLAFESLKKELFGQLHEVLRKQLFRTRERHLGVLQEPRALLKLPPNGNGHALPQRSGSSIS >cds-PLY86658.1 pep primary_assembly:Lsat_Salinas_v7:4:279264228:279267076:1 gene:gene-LSAT_4X143260 transcript:rna-gnl|WGS:NBSK|LSAT_4X143260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERYEELKHIGSGNFGVAKLVKHKQSGELYAVKYIERGPKIDEHVEREILNHRSLTHPHIIRFKEVLLTETHLAIVMEYAAGGELFDRITTAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENALLDGSSTPRLKICDFGYSKAIMFHSQPKSTVGTPAYIAPEVLSRKEYDGKMADVWSCGVTLYVMLVGAYPFEDEDDPKNFRKTLTRILSVQYSIPDYVRVSEDCKHLLSRIFVGSPDKRITIPEIQKHPWFLKELPVELIDGDESILDMDKETHVLQSIKEVKEIVQEARRCTLGTKDKERNMDLEDDIDTDAEIDDDIETSGDFVCAL >cds-PLY95625.1 pep primary_assembly:Lsat_Salinas_v7:4:124471083:124475399:-1 gene:gene-LSAT_4X78221 transcript:rna-gnl|WGS:NBSK|LSAT_4X78221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRHSVDIPLSKALIALRRVRSLRDPSTNSLSRSSAFVDNLNWETDSTEGIVLDHVNKRLSDPTTYDLGLYGTSELYHGQTTHIGQSGLLKASQTPICENKSLSERYHNNYNDLPCMMPTSDYMEGTDSCNESMEVFIETERQDHNLGYKRRPRRKKHIISSNIVGGDIISCISSPCLSIDNSSFGGSDCGTPLYGETTPFSESPRSLSQKFRPKSFDELVGQNVVSKSLLNTILNQKITSFNLFHGPRGSGKTSAARIFAAALNCLSHEGKNVKKPCGECQECTLFFAGRSRDVKEVDSVSLNKAENLRYIIKNAMLPPVSSSFKVFIIDECQLMQGSTWSTLVNSLEEVSHRIIFIMITPDLSKLPRGAIHGSQRFHFPKIKESDIVQRLEKICLEEGLDYDQNALEFIATRSNGSLRDAEMTLEQLSLLGKRITISLTYELIGIVSDDELLELLALALSPDTSNTVKRARELMRSRIDPMQLISQLANLIMDILSGKFHENVSDVKRQFFERHTSEADLQQLNNALKILSETEKQLRASKNQTTWLTVALLQLSSSPSFNANDSSLCERTVHPKDNGGCCRLSSADESIKHLVGSQCEHNNICESEKLKDKSALESIWNRALGICNSASLSSFLQRNGNLASVCFHQGMAVVELEFHRLKHVSKAEKSWKLIATALQSALGCNVEIRISHSDSCHCRNHGKVDKSKFNLFNCSWGNKTSKQNIITNSSENLTKEASRMIRNSDGNALSVDFSGQDPVKQQQSCCCFPGIVKHQKKIRSLDTSMASEIDNNNLDLPVSTTCFCKRFTCCNGVERQKKPKGSKVHCWKAPMFPLKKAWQLRLRQQGP >cds-PLY94597.1 pep primary_assembly:Lsat_Salinas_v7:8:181784691:181786464:-1 gene:gene-LSAT_8X117960 transcript:rna-gnl|WGS:NBSK|LSAT_8X117960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGIFAIRRMVRNGVYCGSICSSIGEIASVSSSNPATIPYSYSCSFSSVTKPSWSIPISKFQSGQQPSNRNHCSSPSSGGSRVVTVESEAEFNTLLRKIQDESLPAVFYFTAVWCGPCRFVSPLIGELSEKYTNVTTYKIDIDQEGMGDALAKLNISAVPTLHFFQGGKKVSEIVGADVKLLKTTMEDLYK >cds-PLY65466.1 pep primary_assembly:Lsat_Salinas_v7:9:115990559:116000821:-1 gene:gene-LSAT_9X80681 transcript:rna-gnl|WGS:NBSK|LSAT_9X80681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSLEQEELSKPKSKDSKTCAKSSKTGKASKPVHEEKKVGKPTDGKKGKPEVIREFHNIKISQVELLVTYEGSRFAVSDLRLLMDSFHRVEFTGTWKKLFARVQKHVIWGVLKSVTGMQGKKFKDKLHSQNKEPNLANVPTLDHDSDNDDGGKSDNLGLAFPKRPAAADGAGDGFVTSVRGLFSTQRRKAKAFVLRTMRNEGEESMPGEWSDSEDYSPFARQLTITKAKKLIKRHTKKLNSQKGFSLDGLPFSPRDGASESDSSSGDPFEEYLEYKAAQEKAAQENAAQENTHVEKPPSTP >cds-PLY92932.1 pep primary_assembly:Lsat_Salinas_v7:3:112991941:112992486:-1 gene:gene-LSAT_3X82781 transcript:rna-gnl|WGS:NBSK|LSAT_3X82781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIISSALDGTLNRAWKRRRYQRFDGGYRRNTNSVTFGGGNRRFWKIKAIPKLRWKKASPIRLWIKFKNAYVKMMLRLGGSDNVFDSKKAPKTLLISGSYSSEEFQRRLIYEISKNFFTSRELATI >cds-PLY61842.1 pep primary_assembly:Lsat_Salinas_v7:6:57375542:57382107:-1 gene:gene-LSAT_6X42140 transcript:rna-gnl|WGS:NBSK|LSAT_6X42140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 41 homolog [Source:Projected from Arabidopsis thaliana (AT1G08190) UniProtKB/Swiss-Prot;Acc:P93043] MSPKPSENGTEGDDEREEGEEDTEEDKEEQEEEEEDEPRLKYQRMGGNVPSLLSSDAASCVAVAERMIALGTHGGTVHILDFLGNQVKEFRAHTAAVNDLCFDLDGEFIGSCSDDGSVVIISLFTDEKQKFEYHRPMKAIAIDPDYARKASRRFVTGGLAGNLYFNTKKWLGYRDQVLHSGEGPIHAVKWRTSLIAWANDAGVKVYDAANDQRVTFIERPRGSPRPELLLPHLVWQDDALLVIGWGTAIKIASIKTNQARGVNGSYRQITTSNMNQVDIVASFQTSYFISGVAPFGDSLVVLAYIPGEEDGEKEFSSSVPSRQGNAQRPEVHVVTWNNDELATDALPVLGFEHYKAKDYSLAHVPFTGSSYAGGQWAAGDEPLYYVVSPKDVVIAKPRDAEDHINWLLQHGWHEKALEAVEAGQGRSELLDEVGSRYLDHLIVERKYAEAASLCPKVLRGSAAAWERWVFHFAHLRQLPVLVPYIPTENPVLRDTAYEVALVALATIPSSHKDLLSAVKTWPPAIYSAVPIIAAIEPQLNTSSMTNELKEALAVLYEIDGQYEKAFTLYADLMKPDLFEFIEKHNLHDAIPEKVAHLMSIDHKRTVSMLIQHRDLITPAEVVSQLLAAKKQVDSRYFLHEYLHALFEANPHAGRDFHDMQVELYAEYDIKMLLPFLRSSQHYMLEKAYEVCLKKNLLSEQVFILGRMGNAKQALAVIIDKQGDIKEAVEFVSMQHDDDLWEELIRQCLDKPEMVGVLLEHTVGNLDPLYIVNIVPNGLEIPRLRDRLVKIITDYRTETSLRHGCNDILKADIVNLLVKYYKEARRAIYLTDAESGTRSNTNPQDSNPMDRPPVLKTMETKSKTRADGRCCICFEPLPIQTSVIVFFCCHAYHLNCLIDSTNSITTNKQSSDSYENGDYIDDENDQDTPSAGSRMRCVLCTTAAAG >cds-PLY91995.1 pep primary_assembly:Lsat_Salinas_v7:4:89351951:89352251:-1 gene:gene-LSAT_4X58961 transcript:rna-gnl|WGS:NBSK|LSAT_4X58961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTENEEPVGNETVDTEAVISSLPSISGPIVITGKEAAMPTLITLAASLPQFQRPFPVTCVPPNPFETWVAS >cds-PLY99760.1 pep primary_assembly:Lsat_Salinas_v7:9:52837811:52838383:-1 gene:gene-LSAT_0X8220 transcript:rna-gnl|WGS:NBSK|LSAT_0X8220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFAIFYSAIAGDPDILADFVVPNNTTIDANNFTFTGMRQLVGGEYPTTFTALKVSMNELPTLNGQSVSYAVLEFPVGAINPVHIHPRASELMFLVSGTLQVGFVDTSDNLFKQSLRIGDIFVFPKGLVHFQYNNDTTEPALAISAFGSANAGTQLIPTSVFNTSIFEGILDASFHTTRATIRKIEDGLKA >cds-PLY65178.1 pep primary_assembly:Lsat_Salinas_v7:7:60068465:60075567:-1 gene:gene-LSAT_7X45220 transcript:rna-gnl|WGS:NBSK|LSAT_7X45220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGLQHGRSSGGEDRFYMPAKARRIRQHQENLRRAQSNVTPTQSTTSSVREEPENQLMQPSNPEPLESSVVAVPATSSLCNLERFLETVTPSVPVQHLSKQRTMRGWRTSDVEYQPYFVLGDLWESFKEWSAYGAGVPLILNEANCVIQYYVPYLSGIQIYVDPLKSSINSRQLTEDIDDNSFTDSSSDGSSDYEQEKGSLHYLREKSNNHHPKNDILHRMDHLSMNDENNVVQEDFSSDDSDSATTQSCLIFEYMEHSQPLGREPLCDKILDLAQRFPELKSLRSCDLLPSSWLSVAWYPIYRIPMGPTLKDLDACFLTFHSLHTPTTGNECEHPPVVTHFSGTGVVSLPAFGLASYKFKAPLWVPNELKRLECPDGLFTILYVSRSPPRRSRSPSRSRSPKGGGNEKASTSSPYSHGRADSRSPLQRSDSNGLPGPMGLMSTSHLEQEAAVLALSTLMTIAPAEVYAEFEKVNRRLERAFGGARER >cds-PLY88244.1 pep primary_assembly:Lsat_Salinas_v7:4:154267531:154268509:-1 gene:gene-LSAT_4X93920 transcript:rna-gnl|WGS:NBSK|LSAT_4X93920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTTVEIIFFQHFLYIYYKFVNILIYAINISTDVYDEKQEVFQREAEGFESLARARGEGTSSSSGNKQPNSFRMSDSSLNGIPSTYNTANNEDDSFDMFAEYDDKSTVDPSPENDCVFDESSSYYYSSSLGYYYDPSSGLYCSATSVQWCSCNQETSAYEDLPSGEGNGNGIAT >cds-PLY95977.1 pep primary_assembly:Lsat_Salinas_v7:9:41048811:41050645:1 gene:gene-LSAT_9X37000 transcript:rna-gnl|WGS:NBSK|LSAT_9X37000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPHIVSANSLDLGKMSCLMINDIDAGLGRFENTQMTLNNKIVVGTLMNPTRPNIDDIMNIVYRMYEKDGLSKNDVISIVKTFPNQGYLDLNEMTNMKAGKYLLFFLCFNVIFHAVISEDPHTCPANLDGDCDLDNSAEWEGEFFPRIPKIQYEVSNLITIITIRVFEIWNLRLIIHGLC >cds-PLY95077.1 pep primary_assembly:Lsat_Salinas_v7:1:93504880:93505424:1 gene:gene-LSAT_1X77140 transcript:rna-gnl|WGS:NBSK|LSAT_1X77140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMKMSAHESGGATMVVQGGKKAVPAPAVYDDRSSSSNSFGGRRMTMISKSKTRSSYFSLESMVVLVGLTVSLLILPLILPPLPPPPFMLLLLPIFILGGLIVFAFMPSSSSSSSTSRHKNIA >cds-PLY87609.1 pep primary_assembly:Lsat_Salinas_v7:8:112685519:112686130:1 gene:gene-LSAT_8X78900 transcript:rna-gnl|WGS:NBSK|LSAT_8X78900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSTSRIQLLNSHTIYTKPPNWRHHHFHLHFFESTKFQMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY72461.1 pep primary_assembly:Lsat_Salinas_v7:2:143088443:143089642:1 gene:gene-LSAT_2X70900 transcript:rna-gnl|WGS:NBSK|LSAT_2X70900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGENNVRAAPAQLGANIHVAAPPRVGPVAVGMRIIQQDGAAGLFSGVSATVLRQTLYSTTRMGLYDMMKTKWTDPETGNMLLWKKIGAGLIAGGIGAAVGNPADVAMVRMQADGRLPAAQRRNYKSVVDAISQMAKSEGIGSLWRGSSLTVNRAMLVTASQLASYDQIKETILDKGVMEDGFGTHVTASFAAGFIASVVTNPIDVIKTRVMNMKVEAGEAPPYAGATDCALKTIKTEGPMALYKGFIPTISRQGPFTIVLFVTLEQVRKLLKDF >cds-PLY85680.1 pep primary_assembly:Lsat_Salinas_v7:7:158542160:158544169:1 gene:gene-LSAT_7X92921 transcript:rna-gnl|WGS:NBSK|LSAT_7X92921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYKFNLPSESPDFGKRLTYRPISVETGVIAQAYGSARVKKGKTEVIPLICRLNLLTISNITAGNKEQIQQASTTKSIFSAKDYNDHMALVCEYEGWKEAEREGYAYKYCWRNFLYAQTLQAIHSLRNRLSIF >cds-PLY85718.1 pep primary_assembly:Lsat_Salinas_v7:4:216492295:216494568:-1 gene:gene-LSAT_4X122061 transcript:rna-gnl|WGS:NBSK|LSAT_4X122061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKLFKVKEKQRELAENSNGKPPVKKQSAGELRLHKDISELNLPKTCSISFPNGKDDLMNFEVTIRPDDGYYLGGTFTFTFQISSIYPHEAPKVKCKTKVYHPNIDLDGNVCLNILREDWKPVLNINTIIYGLYHLFTEPNHEDPLNREAAAVLRDNPKMFESNVRRAMAGGYVGQTFFTRCI >cds-PLY94824.1 pep primary_assembly:Lsat_Salinas_v7:2:179077850:179078415:-1 gene:gene-LSAT_2X101021 transcript:rna-gnl|WGS:NBSK|LSAT_2X101021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTIRKSTGGKALRKQMATKAARKSAPTTGGVKKPHRFRPGTVALREIRKYQKITELLIRKLPFHRLVREIAQDFKTDLCFQSSAVATLQEAAKAYMV >cds-PLY63930.1 pep primary_assembly:Lsat_Salinas_v7:4:76326557:76327147:-1 gene:gene-LSAT_4X51500 transcript:rna-gnl|WGS:NBSK|LSAT_4X51500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRQNMENKGKRERDRVFKKNGILLFPLSTTEYNKGIKAVADIVINHRTGEKQDGSGKYYIFEGGTPDKRLDWGSSLIFKDDDYCVGNGNIDTGDPITGSPVIDHVNPIVQKELSDWMNWLKIEIGFDGWQFDYVKGYSSSFTKIYMTNTSPDFAVGELWSSLAHWQYEKPYSNQDNHRNELMKWVEERGVLAGMI >cds-PLY64698.1 pep primary_assembly:Lsat_Salinas_v7:7:135824421:135826687:-1 gene:gene-LSAT_7X81961 transcript:rna-gnl|WGS:NBSK|LSAT_7X81961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEKRCKTCGRTLVKLSSSQYEICPVCETISLFNKKLKGRVLNRIEQITNVVRSPYQEDFKTLPSQTSSPWLSRFPQIRTNQLTPRLPLQKRKKAVLCGVTYNGHRKKLEASVHNVRSMQQLLVNKLGFQNDSIRILTEEESDRSRIPRKRNIQEALRWLVEGCQSGDSLMFYYAGHACQIPDEDGDEIDGYDEALCPLDYRVAGVILDDEINATIVAPLPHGVTLHSVIDTCFSGTVLDLPFLCQINQDGLYMWEEHQLTNKGTRGGKALCISACADHQNSADTSAFTGNAIGALTFSFIQAIETESKLTYGRLLTFMRKKVQHAQQVVGRSVPFASSMSQVFLTSFEFHRYYL >cds-PLY77151.1 pep primary_assembly:Lsat_Salinas_v7:7:183372850:183375296:-1 gene:gene-LSAT_7X109480 transcript:rna-gnl|WGS:NBSK|LSAT_7X109480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein [Source:Projected from Arabidopsis thaliana (AT4G27040) UniProtKB/TrEMBL;Acc:A0A178V291] MRRRPGIGGLQTAAAARDQYRLLGENVAKLRTDLMKEQLSTFRSQLEDFARKHKNDIRKNPVFRSQFHEMCAKVGVDPLASNKGFWAELLGIGDFYYELGVQIVDICLATRPHNGGLINLEELCKILSQRRKGAREAVSEDDCLRAISKLKVLGSGFEVITVGKRKLVRSVPTELKKDHNEILELSQAQGFVTVEEVERRLSWSTGRATDALETLLDEGLAMIDDGHRDGKRRYWFPCVSSVSSFVSSETL >cds-PLY94971.1 pep primary_assembly:Lsat_Salinas_v7:4:111418628:111420825:1 gene:gene-LSAT_4X70561 transcript:rna-gnl|WGS:NBSK|LSAT_4X70561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLGFIMKISPINTLVFIFFVVILSPSLSDADTTAVFIIGDSLYDVGTNTYLLKSIAKANFPWYGIDFFNSTPNGRFCNGQNLADFTTKIAFGESVTSPPPFQAIHRGGINFQERLMEALDINSTRHWEGPIKKFTLASTKAKENPGKGVNFASSGCGIIDATSAIDQAVSITQQLKEVKFVYGNLSSQLGADKAKEYFEKATFVICIGNNDVNFFALFRYKLEPDPYIDKLIQSYNNTLTTLYKLGARKFGIFGVPYVGCSPLMRVTIKGGNCSKTANDLAQQFNIKLEGLLVRMQENSEDMHFSYANSYNLLYDIYMNPATYKIKYVNTACCGLGRFRAMSNCNPIARLCKDRKEYLFWDVSHPSQYIAQLMMEKFAFGEARYVGPITWSELVNI >cds-PLY92809.1 pep primary_assembly:Lsat_Salinas_v7:2:149121776:149124675:1 gene:gene-LSAT_2X75040 transcript:rna-gnl|WGS:NBSK|LSAT_2X75040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPVFIFGDSTVDVGTNNHLKNCTARANHPYYGIDYLQSKPTGRFSNGQNAADTIVTLLGGYKHSPPPFLALLKNSSSFTGKILHGVNFASGGCGLDKETGKDPYGVVVSLEEQIQQFATVKGNITAILGESNGQLLLQGSMYIMSIGSNDIMSYIFTHPVTPELFIANLTATYAIHLKNLYSLGARKFGVISVPPIGCCPIARSFSPVGDCAKEPNDLAIAFYTPLESLLKNLSSTLEGFKYSLGNAYNMTMNIIEKPIGFKDVKTACCGNHTQQGISDCKKGGYVCPNRDEYLFWDAYHPSQAAVKLAARELVFGEDPKFVTPINFSTLKRA >cds-PLY90287.1 pep primary_assembly:Lsat_Salinas_v7:2:197716681:197717079:1 gene:gene-LSAT_2X118441 transcript:rna-gnl|WGS:NBSK|LSAT_2X118441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDDESLIGVAKYCHNLQELVIIGVNLTHDTLLRLASNRRKLERLAQCGSETIGDVEISCIVAKCTTLRKLCIKSCPVSDHGVEALALGCLNLVKVKVKKCKGVTCDGVGWLRASRESLAVNLDALVVENQN >cds-PLY64786.1 pep primary_assembly:Lsat_Salinas_v7:2:101249581:101251461:1 gene:gene-LSAT_2X44160 transcript:rna-gnl|WGS:NBSK|LSAT_2X44160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRVNLEKCRIPLEEIIQATNDFSEETQVGDGGFGMVYKGKLSENNRLVAIKRLNPTSRQGNVEFKNEVKMVSNFNHPNIIPFIGYCEEANEQIVVYEYATNISLDHHLQDPNKRRCLTWVQRLKICLGAARGIKYLHSGVGEHRRVIHRDVKSANILLDENMEAKICDFGLSRLSPRNQPETQVLTRACGTMCYIDPVYNERRRLSKESDIYSFGVVMFEISSGMMAYHVRRFEDTKTQSMIDMVRSYYDDHQLVDGLDKLIDTALKGKIDMSSFHKFNEIAHECINMDIKKRPTMDRIIEGIEEALNIQENGKKFYMLEANDLSIEWQDDTRYWEWGHVPESRFPEVCILREVWWLEIHGKVAALKLSQKSIYVAYLVFRTTDDCSGLAVPAKSRVSYGGIEMETENVYLRRPRRVQENYVVPHKRKEGWMEIKLGEFEYKEGDDGEVEMVLEEVTRQNRKSGLIVEGMEIRPK >cds-PLY75264.1 pep primary_assembly:Lsat_Salinas_v7:7:65340198:65340539:-1 gene:gene-LSAT_7X46500 transcript:rna-gnl|WGS:NBSK|LSAT_7X46500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRSLEILKAIGVRGGVVSRTVEDGGVVRMKILVKKKEMEQVLEQVMKKRDDNKENHIKVNNHRQLSRSLASKSLEPQLDDTKKMKIVKSDQLNRDCRSYWRPALQSIQEEF >cds-PLY88038.1 pep primary_assembly:Lsat_Salinas_v7:6:183883625:183885333:-1 gene:gene-LSAT_6X111720 transcript:rna-gnl|WGS:NBSK|LSAT_6X111720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSVKRISECFIKSLHDLSPEAKQPFHFTPFELPCLNISYSQKGLLFAKPPPSESQDFSITAYLDDLRRSLSATLDHFYPLAGRLVTKKEENPASYVIYLDPENSPGVKFIYATVDATISDILQPADVPVVVRSFFDLNNAISHDGHTLPLLSIQVTELVDGIFIGGSINHMVADGTSFWHFMGAWSEIFKSKEQSRCSISRPPVLKRWILDGSDPIINLPYTHHDQFIDRLEVPPFIERFFHFSSASVSKLKAKANSEYNTTKISSLQAVIALLWRSVTRIRRLPDDSQTSCRLMISNRRRLNPPLSDDYFGNPISMVRGTATVGELMANGLGWAAFRLHEAVINYTDTSVKESVKSWIENPFIIKLSKMIDRNFIHVGSSPRFDMYGCEFGLGKAVAARSGWLNKGDGKITMYPGREGGGSMDVEICFASSQTMMDLECDEEFIDALKIER >cds-PLY77724.1 pep primary_assembly:Lsat_Salinas_v7:9:18763516:18764495:-1 gene:gene-LSAT_9X13781 transcript:rna-gnl|WGS:NBSK|LSAT_9X13781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKGPKINLVVTDYCMPGMTGYDLLRKVKESSDIKEIPVIVVSSENVPTRIEKCLEEGAQEFILKPLKQSDVKKLRCHMQFRRSIKGRLCIGA >cds-PLY90063.1 pep primary_assembly:Lsat_Salinas_v7:6:16882340:16885809:1 gene:gene-LSAT_6X8820 transcript:rna-gnl|WGS:NBSK|LSAT_6X8820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRRPSLLSPPKPIHTLFFFLFITVIEFFGASSQQFLTSERTTLLDLKQRWGNPPSLTNWNASSSPCKWPEVSCNPDGSVFVLNLQSKGLTGTIPPFICDLRNLEILALADNLLTGEFPRVLYNCSKLTEIEIAQNAFVGRLPDDIDRLSGVKVIDLGANNFTGDIPPAIGNLSALTSLHLYQNLFNGSIPSEIGNLSNLLTLGLAYNGFASAEIPPEFGKLRNLTYLWMPLTNLVGRIPESLGNMTSLEWLDLSSNNLEGEIPSVLFLLKNLSKLYLYKNKLSGKIPEVIESLNLTEVDVSMNMLNGSIPEDFGKLQQLEVLNLFSNQLSGNIPTGISQIPALKIFRLFRNILGGELPQEIGLHSKLEAFEVSENKLTGELPENLCGGGTLFVVVAFSNNLTGEIPRSLQSCEKLHTIQLYHNSFTGEFPPGIWTLSNLSSLRLTGNFLSGELPSRVPWNLSRLEISDNRFSGRIPEGISSWTKLNVFKASNNLFSGEIPMAFTNLSQLSVLLLDGNSLSGQLPSEIKSWNSLITLHLARNNLSGTIPPAIGYLKGLLDLDLSENQFSGQIPSQFSSLRLTTLNLSSNKLTGRIPFAFDNLAYENSFLNNPDLCASSPISNLHSCYTKTSHSQKFPPKIIAMIVVLSAVAILVVILCTLFVFRRYLKKKHIRDLTTWKLTSFHKLDFTEANILCCMTENNLIGSGGSGKVYQIEIGRRGEYVAVKRIWNTRKLDQTLEKEFLSEVHILGSIRHSNIVKLLCCISSEDSKLLVYEYMENLSLDKWLHGKKKKPQRGLVHPTILDWPMRLQIAIGAAQGLCYMHHDCSPAIIHRDVKSSNILLDSQFKARIADFGLAKILTKPKPGQANTLSAIAGSFGYIPPEYAYSTIVNERVDVYSFGVVLLELVTGKEPHEGDGDMNLAEWAWRYFSEENSMVEALDPEIKQANSFMEEICLVFKLGLICTSTLPSSRPTMKEVLEILRRCNPLSEDRKVGEEFDVAPLLRRESYLSNYRRGANKVLNESIDIFDGRL >cds-PLY86035.1 pep primary_assembly:Lsat_Salinas_v7:3:74106930:74108401:-1 gene:gene-LSAT_3X61200 transcript:rna-gnl|WGS:NBSK|LSAT_3X61200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGEAYQKTLRLVECSMFAALGGLAYILSSSLAIENYFGCFFALPIVFSSMRWDIVAGRKTMVATATLLLVLAGPIKALTYLLMHGFLGLAMGSLWRSKANWGVSIFTSAIVRAIGAMGYVVMYSFLIRENILALITINIHASATFILTSLGFLTIPSMNLIYAIFGTLLLLNCCFFVFLLHLLYALFFTRLGMKASLRLPKWFAKAI >cds-PLY89188.1 pep primary_assembly:Lsat_Salinas_v7:3:21952003:21953052:-1 gene:gene-LSAT_3X16181 transcript:rna-gnl|WGS:NBSK|LSAT_3X16181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFYVRVLSGYEERRIRSYRLDLEKRIIEAERKKAEIRKIPEQLILSEVRQMVEEMKAVNKQLEDTEAAINEYFSPVDKQAEMIVEMQLKEEEKTMKQMMQTMKAQAFLDQEEAHKNANLKISETKQETPDNDSTNITKAQAG >cds-PLY69164.1 pep primary_assembly:Lsat_Salinas_v7:5:286129252:286130848:1 gene:gene-LSAT_5X152441 transcript:rna-gnl|WGS:NBSK|LSAT_5X152441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGKAALALLLCVMVANTVEAAVTCQMVVSSLTPCASYLTARGPVTTSCCNGITSLYKAASTTVDRQTACKCMEQAAGMVPGINLNAASSLPGKCGVSIPYKISPTTDCSKEHQSNHPQKTLTLISQAVIMSIHLTRDLFIFPDSSTEVSLPLYA >cds-PLY78611.1 pep primary_assembly:Lsat_Salinas_v7:4:150488557:150492687:1 gene:gene-LSAT_0X35720 transcript:rna-gnl|WGS:NBSK|LSAT_0X35720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKITDIPLKLGFNVLQKFDYERMVIDIEGKELKLFYANNIPSETLTVTRKRPTICYWSSEKIRYREAFEQEKGKFGLGEINEEFVNEQDEGDKDEDHYVEEKSEGVHEQGTKVKKTKGDDAGKENSEDRNEGGTEAKNTKDGGEEKIQKLRKEMQKIKLKKLSIEILDNSAVEGDYEAKYGVILKPLKNFFVRYFKEINHPRANTISKQRIKPQRLEMSWRTVKNKVDCGVFIMRHMETYMGQPLSKWKPGLHKESAVQQTTLEKLRQRYAHIMLTSGINMSKAKVLDLAENS >cds-PLY66314.1 pep primary_assembly:Lsat_Salinas_v7:5:288811033:288812251:-1 gene:gene-LSAT_5X153220 transcript:rna-gnl|WGS:NBSK|LSAT_5X153220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSLYSPIPCSYFPKRFSLSTNKPSFHVSIKDQFACSGGNTWPEESVVTPEGLHRELMPNHVAIIMDGNRRWARSQRLMPQAGYLAGARALKLVVDLCRKWGIQVLTVFAFSSDNWLRPKTEVDLIMGLIGDKIKDEIAYMERENIRLSIMGDVSKLPQSLREVITHAENTTKNNSRLNLVIAVNYSGKYDIVQACQTIALKVKDGVVKSEEINELMIDNEVGMKSMQLPHPDLLIRTSGEVRVSNFYLWQLAYTELYFIQTLWPDFGEDELLRALHVFQERSRRYGA >cds-PLY98760.1 pep primary_assembly:Lsat_Salinas_v7:1:8650288:8651251:1 gene:gene-LSAT_1X8860 transcript:rna-gnl|WGS:NBSK|LSAT_1X8860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof zinc finger protein DOF1.2 [Source:Projected from Arabidopsis thaliana (AT1G21340) UniProtKB/Swiss-Prot;Acc:P68349] MFDQMMLHYPQRPIENRWKPSVEIAPNCPRCASPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRSRPIRSSQTGNGSSGYVSNPSYSGDSANESPERANIDLADVFAKFLNQSSDDQQNDEIVGEDSPSGSEMLLCDFTEEAELQNMVVPPFTGEDNEIEEFVVQNTNLLELQDILNEELPQDISWSDDNTTLSSFTWQQDFEPFICNNDDLNISSDVVTDNWSSTPLDLPLVGNFFQSF >cds-PLY81341.1 pep primary_assembly:Lsat_Salinas_v7:4:38131285:38131996:-1 gene:gene-LSAT_4X25561 transcript:rna-gnl|WGS:NBSK|LSAT_4X25561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRKEAEKSRLLDIERRQKQRVKEIRETQEQEKENMNLKEIYRTKVQNDLKNLEMTCHDMPSLLRGLGIFVDDSSTQIRAAYKRALLSFHPDRATGSDMHQQVEAEEKFKLISRMRDKFI >cds-PLY83202.1 pep primary_assembly:Lsat_Salinas_v7:1:49494005:49494181:-1 gene:gene-LSAT_1X44021 transcript:rna-gnl|WGS:NBSK|LSAT_1X44021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLEVLYSSASLLFQHLMPTEFRQSAAELIRQTAGEILIRLFMGNNHQFLISSTNRN >cds-PLY79138.1 pep primary_assembly:Lsat_Salinas_v7:9:90528972:90532170:1 gene:gene-LSAT_9X70241 transcript:rna-gnl|WGS:NBSK|LSAT_9X70241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCLGLLLLSLVLTSLSPATSFSLQDPKFPSTQARKLIRELNLFPKHSINIVDRDVTNRSSNLGSKIVEKPFKFPNLVDSDSVTLEDLGHHAGYYQIEHSHDAQMFYFYFESRNSTKDPVVIWLTGGPGCSSELALFYENGPFKIGDNLTLVWNEYGWDQASNLIYVDQPTGTGFSYSSDKRDIRHDEQGVSDDLYDFLQAFFTEHPELVDNDFYITGESYAGHYIPAVASRVHKGNKNKEGIHINLKGFAIGNGLTDPAIQYKAYTDYALDMGIITESQSKRINLIVPVCEAAIKLCGTDGTVSCMAAYLVCNTIFSSITNIAGKINYYDIRKECIGSLCYDFSDMETLLNTKPVRKALGVGDIEFVSCSTSVYQALLMDWMKDLETGIPALLEDGIKLLVYAGEYDLICNWLGNSRWVHAMEWSGTKEFGASPELPFEVSGSEAGLLKTYGPLSFIKVHDAGHMVPMDQPEAALEMLKRWIGDSLAKTPMEPKSLVSSI >cds-PLY82605.1 pep primary_assembly:Lsat_Salinas_v7:8:175774124:175774891:-1 gene:gene-LSAT_8X114880 transcript:rna-gnl|WGS:NBSK|LSAT_8X114880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANRENQKERMDELAITKAAAWAWYERGSWFEHNSIRETDCRRSSDYTRRPTRYKLEAMNNPPNKSTHDAQPFTNGSLLCTEHLGNSLLDMYEIKRISMELDCYIGSSDVKHRRKSVDCGGYGGVVSFPEDRVGKRESKSKTKTKTKTEKRKGFWIGRPIVCGSTGDDVVNSNLLVGGRRRQKEHVDKVAGIINFRRSITHGLFR >cds-PLY97555.1 pep primary_assembly:Lsat_Salinas_v7:5:238222813:238224215:-1 gene:gene-LSAT_5X112941 transcript:rna-gnl|WGS:NBSK|LSAT_5X112941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDSKLHSGVRRSRETEATSSGSGKRRRFDPLDQENAVQLENQCRSGVDVNFPEDIISSAESDCCDHVLSSQCFNNDLSLDLKAELRFQTETSMSSNDGFSRDTCSLSELCGDSEEMESPSTMKTQPPASAPPPPQAAATSRRKPVTVSNLPTAAEIDEFFSIAEKKEQKRFADKYNYDIVNDVPMEGRYQWVRLKP >cds-PLY81057.1 pep primary_assembly:Lsat_Salinas_v7:6:132227308:132231521:-1 gene:gene-LSAT_6X80140 transcript:rna-gnl|WGS:NBSK|LSAT_6X80140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCLISHLAAKFAFFPPSPPTYQIKKCDDGKQIAVYTSSSIPLTAGVDDAGGGGCSLDVLSLDTKRGNKIVAFYLKNPYARLTLLYSHGNAADLGQLFDLFVQLKANLRVNLMGYDYSGYGASTGKPSEMNTYADIEAVYECLETEYGVSQEDIILYGQSVGSGPTLHLAARLPRLRGVVLHSAILSGLRVVCHMKCTLCFDIYKNVNKIKKVKCPTLVIHGTEDDVVNWLHGNGLWKMAKDPYEPLWIKGGGHCNLELYPDYIRHLCRFIHEMETITTKTRLKKIKSNLHFPKKSKSNPNTCSFCCINIGQPECLKCCKSSCGWKKPKCPDVKLPKCPDVKLPKCPDCLRCGCGPCGCFMCCSCKCNLEVLEIEDEDEGRGKMVT >cds-PLY82947.1 pep primary_assembly:Lsat_Salinas_v7:1:17857447:17859783:-1 gene:gene-LSAT_1X14741 transcript:rna-gnl|WGS:NBSK|LSAT_1X14741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTGRVEEKRRWSEGIHLAVEAKEGLPIQEKEFLKMFQMPVIEVPTNMANIRHDLPIQAFANARGKWEYVRAEVESMFRVGRPVLVGTTRXENSEYLSALLRASKIPHNVLNARPKVAILSFGPNANVNDIWFWVNNAAKYVGKSENKSWTYEKAKSIISESIEMSQSMGLDELQRLVEEQAEMYPLGPCIAIAYLSVLKDCEIHCFHEGLEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVR >cds-PLY80441.1 pep primary_assembly:Lsat_Salinas_v7:4:254903495:254903853:-1 gene:gene-LSAT_4X134540 transcript:rna-gnl|WGS:NBSK|LSAT_4X134540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRFDLEIGKLAQRVLINPLKFDYHTGVFSSKISPTENGKRVMIELIDANYKSLIYLKCLEDVELRWAFDGGLTHELVATTYGMKL >cds-PLY79850.1 pep primary_assembly:Lsat_Salinas_v7:8:16415921:16418048:-1 gene:gene-LSAT_8X12620 transcript:rna-gnl|WGS:NBSK|LSAT_8X12620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSTQNHQQHFPTSSGTTSAPPPTPSTTKHNFTSTSDSAATDLLSRLLHRLPPTLSNTPLLLRRRSSLASTTTTSPPVVPFSELNSSFSTTLPSVSELGFFQLTSHSIPSELARSAESDSLSLFNLPRHLKHQHFPRSWPLGFDDDDDDEEDTGTGDSLFLDSSCSTDSSELSLSSLREFTREMEKIGFAVVEALLCAMGLQNPAGDDPDSLCSMMWVSNRSGSPDDKLVGSGEFYPYVIGLHYQFRSQSCSLLADPGWVTVSSSMDSILVTLGDIAQVWSNGKLKKVRGKPALSMEEGNNSSPLTSMSLLVTLPLESTVSPLYPRVVISNHDNKNQNEDEDCESNEVNTFNSFSFEDYAWRVYHERVPLKDPLDRYRI >cds-PLY94452.1 pep primary_assembly:Lsat_Salinas_v7:9:37902094:37909375:-1 gene:gene-LSAT_9X33601 transcript:rna-gnl|WGS:NBSK|LSAT_9X33601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNLAAKEADIREEDVLKEKDAFEDLKKLAAKAARKPLDGPAFGGGLEIALKRGGRPDQRWIQKPSSSKIDETFDAAEVKSAASIEVITNGVNRLAIAENSARSSVPVPQFGGITVNDVAVAAPHGQSNGQSSHVSVGCSPVINANSLHGKKCVYQPKSYGTVSGDAAKETTRQETTAQTAALSRLFNKDLLENFNVDNSTYSTAKIRATFYPKFENEKSDQEVSDSYKQALMNGRKLIEREMEWFKICEKETKTKAFSKEGLGQQPKTLNNTHYRKHLQTCLVKTDDCSNLSKRFKPVVADHHLWLMKANSFGVRRGKESSSRKR >cds-PLY87510.1 pep primary_assembly:Lsat_Salinas_v7:8:96930195:96931795:-1 gene:gene-LSAT_8X67901 transcript:rna-gnl|WGS:NBSK|LSAT_8X67901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADGGAVFVIVVVVVVKIAIILCVCNKLNQRSKMQTEPFPNDSRFIPLAMVKFLDDMEREKPIRFTAQQLRIATENFSILLGSGGFGTVYKGLVSNGIAVAVKVLNGTSDKRIEEQFMAEVSTMGRTHHFNLVRLYGFCFESSLRALVYEFMVNGSLDNHLFKASKGPIIGFEQLYEIGLGTARGIAYLHEECPQRIVHYDIKPGNILLDSKFCAKVADFGLAKLCNRDNTHITMTGGRGTPGYAAPELWLPLPVSHKCDVYSFGMLLFEIIGRRRNMDVRLADSQQWFPIWVWGKYEKKELKDLMMVCAIEEKDHEAVERMLKVALCCVQYRPDTRPVMSIVVKMLEGALPVPEPLNPFSYLFSGVNEVDYSLARLAWNDGGSDWSSSDVNTKSTVVADTPLMRRHEITMASE >cds-PLY84599.1 pep primary_assembly:Lsat_Salinas_v7:1:30438593:30439430:-1 gene:gene-LSAT_1X26281 transcript:rna-gnl|WGS:NBSK|LSAT_1X26281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTLRNQLQVTHVQLREGIKLCDCGVPAKEQTCWKITNPRRRFWNCQNDMTRLKKCSFFEWKDEEQAEGNYKNLLYSLKQKLDAKEDLSEMNNLRRRIAEVEFLLSQEQYKVAKSEKEVYDARKAIGRHTRKSVMMGILYLLKLLLVTSWGYQP >cds-PLY78510.1 pep primary_assembly:Lsat_Salinas_v7:5:338400478:338401607:1 gene:gene-LSAT_5X191040 transcript:rna-gnl|WGS:NBSK|LSAT_5X191040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOEP16-4 [Source:Projected from Arabidopsis thaliana (AT3G62880) UniProtKB/TrEMBL;Acc:A0A178VCJ7] MDTEWYKDVPCSSIAVESIISVGTGGLIWGSCAGSYDAKQIGLTGIPRASFVAKTVGKYGFQCGLFAGIFSFTRCGIRRYRKKNDWVNALVAGGVAGAAIGAGTRNWKQVAVMTAFLSGFCAIADQSTPPI >cds-PLY86632.1 pep primary_assembly:Lsat_Salinas_v7:1:3716770:3717389:1 gene:gene-LSAT_1X3000 transcript:rna-gnl|WGS:NBSK|LSAT_1X3000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLQKHKDHLRINLTKVEDEVIVLCDENILDKENWRLTNLIQKCHILDSGGKHSNRSIKGQNLKRKSSMCPKEGSPIEKKMDFSDAARSPRKPLSPLLHNK >cds-PLY81305.1 pep primary_assembly:Lsat_Salinas_v7:1:185776053:185778959:1 gene:gene-LSAT_1X120320 transcript:rna-gnl|WGS:NBSK|LSAT_1X120320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKSTVDQRLTNSPLSKMLCNVYPGLHYFFVTEFGVAENPPFLGYLQSLLKLSSTILPSQAAKTVFQVFEQWNDGMESGILSTLKNVSVSSTVPDATFIEILLDKLKLCRSISYAVVATHADQTSRRKLAAMLVEHEPLSSKQVPLLLGIGEKDTALTKATESGDIDVVYLVLFHIWAYRALYILNWICRYLTQPHFNGWISCFSGLIQTTLYADFFYYYFIRLMICQECLDYFPKIPHGLDLVSSMFKKRVAAEGTALVKEEEDAASNKRL >cds-PLY96522.1 pep primary_assembly:Lsat_Salinas_v7:5:335611301:335611968:-1 gene:gene-LSAT_5X187620 transcript:rna-gnl|WGS:NBSK|LSAT_5X187620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEEGKTDDGFVPLGICHRLFNFIINSFLTRRLTSPHDERSQAHEVRNPDHDVISHHHHGHDTDHEILVEFRHINGSIVNRRNKSSGQNLVKENGNPTLTRQKTDSQDQRNGNGDQEKVPKRTNLKIIEGGNLPGRRHHLLSVASNINEKADAFIRSKKEAMEKNLSMKEINDV >cds-PLY68279.1 pep primary_assembly:Lsat_Salinas_v7:1:27072962:27073207:-1 gene:gene-LSAT_1X23880 transcript:rna-gnl|WGS:NBSK|LSAT_1X23880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLNNPSVLKKAHDEIDNHVGQDRCVEESDMVNLPYLASIIKETLRMYPAGPLLPHESSKDCMLSGYHVPRGTMLLVNA >cds-PLY88582.1 pep primary_assembly:Lsat_Salinas_v7:7:8000500:8001906:-1 gene:gene-LSAT_7X7441 transcript:rna-gnl|WGS:NBSK|LSAT_7X7441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKVEDERPQIRWAEINLDEINDAQRNHISRLPKNMTNRCRALMKQLICFSPEKTNLSILLAAWVRSMKPVRADWLVVLKEMGNMNHPLRFEVAEFALLEESFEPNIRDYTKIIHGYAKQSRLQDAENTLQAMRNEGLECDQVILTSLIHMYSKSGKFNLAKDTFEKMKLLSLPLDNRAYGSMVMAYIRAGMLKEGEILLREMESQQVYAKSEVYKAMLRAYSMSGDSVGSQRIFDAIQIAGIIPDDKICTLLINAYVTSGQNREACVAFENMRRAGIRPNDKCVALMLDVYEKEKKLKEVLDFLMDLESDGIMIGKEASEKLARWFRELGVVEEVELVLRDYGSIEV >cds-PLY94625.1 pep primary_assembly:Lsat_Salinas_v7:8:144162450:144163500:1 gene:gene-LSAT_8X97920 transcript:rna-gnl|WGS:NBSK|LSAT_8X97920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANPNLLLYFIWATLVLQGVSGEIFTSRRGLHLHLNKRRSLIGSVIPTCTFNECRGCRYRCRAEQVPVEGNDPRNSAYHYRCVCHR >cds-PLY67623.1 pep primary_assembly:Lsat_Salinas_v7:5:24491850:24492425:1 gene:gene-LSAT_5X12161 transcript:rna-gnl|WGS:NBSK|LSAT_5X12161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVMNDKLLQSLQDISLRVAIGSSTQISMFVDGTSNYFKGVMLVFCYLIVAASFFVHIDPLSIRKL >cds-PLY82426.1 pep primary_assembly:Lsat_Salinas_v7:2:187846716:187847114:1 gene:gene-LSAT_2X109520 transcript:rna-gnl|WGS:NBSK|LSAT_2X109520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNVGLQEKLDSLTFVKSETPSETIEESQEDDGGKIRNTALEPQVELQNEEKIGEPNNDHEIEVANPIPDMKSEQLVEQISDELNKDHVQAQSGSNDEAVRLDLENH >cds-PLY90947.1 pep primary_assembly:Lsat_Salinas_v7:9:170618270:170620676:-1 gene:gene-LSAT_9X105360 transcript:rna-gnl|WGS:NBSK|LSAT_9X105360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTGVSTSKVLILVGAGLTSSVILRSGKLSDVISELQELMKGVNEVEITPGKYDSAALAAQIRQLAQEIRELSLSRPVTIFNGNSTSSGGYSSYLMPAAALGAMGYCYMWWKGLSLSDVMFVTKNNMANAVSTVSKQLENVSDALASTKRHLSKRLENLDWKLDEQKEISTLISNDVNDVRSNLNQIGYDIDMIHQMVAILEGKIDLIEGKQDVTNSGLYYLCQVAGGIKDGINAKLFQDIEAKLGEQSKITFEDTSLKGLQFLTESNDLDPKKNAILNKTNTKKVKKDNENNSKSRFHRTYPVGLSFAKDMIG >cds-PLY79163.1 pep primary_assembly:Lsat_Salinas_v7:4:218951083:218952255:1 gene:gene-LSAT_4X121041 transcript:rna-gnl|WGS:NBSK|LSAT_4X121041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSNPSTSSFLLYLLSEHPTVVGFRWSHAQSWGATWVFLFSSIAVYFAVSVVLHLLLLLFRHRTRPVPLGPIPALHSLVMSIISATILAGTLTSAAAEIRDTRWFWGRSKTPLQWLLCFPLGTRPSGRVFFWSYVFYLSRYLHVIRTFFTILRRRRLSLFQLFTNSIPMVMSFLWLEFSQSFQVLEIVFTTFTYSIVYGYRLWTELGLPTASFPLVANIQIILLGLNLICHCGVLFLQVWKGGCNGIGAWVFNSILNGAFLFMFLNSFVKMRWIRRKAMESSATNGKLE >cds-PLY86756.1 pep primary_assembly:Lsat_Salinas_v7:8:185272712:185275471:-1 gene:gene-LSAT_8X121281 transcript:rna-gnl|WGS:NBSK|LSAT_8X121281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRELSRALINNTNNPELAWQIFKRIASNPNFPSDALNFNQSLSVLTRILIGAKMFTQINALQQLLLSYPSQTSHDSMVLLVRDLAKSGHVSLAVSQLQTLRAHHPNQPLSVSLYNTLIRSSLQQNLPSCVQLLYEDMIISRVIPETYTFNLLIGGLCDSGYLDDARKVFDKMRERGCLPNEFTFGILVRGYCRAGYASQGLELLEVMRSMKIAPNLVIYNTLVSTFCKEGKTDEAEKLVDMIRDDGLFPNVVTFNSRISALCSQGKVLEASRIFRDMQVDKVLGLPQPDMITYNLMLQGFCKEGMIDEAQTLIESMKVSGFTLEVESYNIWLLGLIKNQKLLDAQLVLNEMQENGISPTVVSYNIIINGLCKNGMLHDAKMVMSLMKKHGVSPDVISYSILLHGYCKKGKINEANKLLHTMIENGCFPNNYTCNSLLESLWNEGKVLEADELLKKMKESGYDLDSVTYNIVLDGLCKIGKVEKAIETIHEMWNQDCKPDLITYSIIINALCKNGNLDEAKKKYIEMIGKNIYPDSIIFDIFVYSLCKRGRVSSAFRVLKDMEKKGYNKTLQTYNSLILGLGMKSQFFEMYGLMNEMKERGISPNVFTYNNMIRCLCESSQIDDATKLLDEMLSKERGISPNISTFKLLIKPLCQIGEFTPALEVFDTSLRIYGHKEVLYSFMFNELVCGDELMEANNIFMSSLDRCFDIGSFLYQDFIQRLCKVEMLECASDVLKKMVQKKYSFDAACFMPVIDGLRLNGNTREADEFAEWMLEMNSEVEVSNRVDRNFRMFDHKKVGKSEKNWQSVLHRDDGSSVTLKILSKVKKGWGHANISNMNSQKDP >cds-PLY73443.1 pep primary_assembly:Lsat_Salinas_v7:4:180035147:180035782:-1 gene:gene-LSAT_4X105720 transcript:rna-gnl|WGS:NBSK|LSAT_4X105720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKAHLFLSLSGRVCFGLRSDQGMIMSSAATKTSRIPLMAAKTSQIDLILTNENDDIAQCACNIPLDDDSSLSHLLTGIVDLRAIIDQRKFDSLTFETFRVRIEKLIQGKYLQPREMFDLKKIEITNTAIEEHDVGQLKNYKRKKLVSTTEEELNVEETKEELTRHEFVQKKLRDLLSTRWIYSSVHLEDKVKVWVVGIDKPQLSLKCF >cds-PLY90179.1 pep primary_assembly:Lsat_Salinas_v7:7:12607877:12614679:1 gene:gene-LSAT_7X11040 transcript:rna-gnl|WGS:NBSK|LSAT_7X11040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAGWRSSFSSSCSCGDGGVGGGGGDSGGQNCNFSANNAWDIWDIGTTTTTTTTNTASGTRYDWGNHDASSISSAAAAARGTEESVVHALLFGMTSTGNTTIQNNAGIGSNSIHQRMPDPHMMCLKLGKRHYCENSVVPDRHMEAESSSSTKRGKPPYPYHLTDTATTTITVWPSSPAAVAPRCQVEGCGVALSDTKEYYRRHKVCEIHSKAPKVVVLGLQQRFCQQCSRFHRVTEFDEAKRSCRRRLEGHNQRRRKGTLPNSLPRNFPNSQGTLMAERQLRQSPTSPPAYLQKPGRALSLLSSPKTEPWMSSGDLSLRCSAALSDLIAANRATAVVGHCWNQNGSQQQVIENMIHTPPDTQMWDRFNDDDHTTMTLDLMQASSSFGFWPFVHQDISLSIRKPRCTAALAGEWMKMALFPFISCCFCNLDRSRPDMDKFSKWREIGRRTHVSMRFGISQRSFENEARSSNMAHVKNHEKDVDFDGVFGEPLTQSRYSFGGGRFKSSEETSTSSPSGLGERSVFI >cds-PLY78707.1 pep primary_assembly:Lsat_Salinas_v7:9:50222984:50225791:1 gene:gene-LSAT_9X45021 transcript:rna-gnl|WGS:NBSK|LSAT_9X45021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTPAVPPAFGLRLRKSDVDFRPTALLRRRVLIRGSDCRILYCCSGKVVPIRRIANGSGRKGGSNTEEWPIELKKKSHKVRVQAAPALPFASSQSLNPSRQEKFFPRCTTRNSGPQSRDTPPKRDTGIANEKDWGISLSEHVNESGVNVDGSSWYRESGEDLGENGFRCRWTKMGGKSDDGSSEWKETWWEKSDWSGYKELGVEKSGRNSQGDSWWETWREVLHQDEWSNLARIERSAQKQAKSGIENAGWYENWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGRGSVLKWTDKWAETELGTKWGDKWEEKFFAGIGSRQGETWHVSPVGERWSRTWGEEHLGNGKVHKYGKSTTGESWDIVVDEETYYEGEPHYGWADVVGDSSQLLSIQPQEKPPGQGVYPYLDFGSSSSPPKDGPSSPPSQ >cds-PLY85126.1 pep primary_assembly:Lsat_Salinas_v7:9:146981810:146987805:1 gene:gene-LSAT_5X81680 transcript:rna-gnl|WGS:NBSK|LSAT_5X81680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSVAKFKSFDFFRKTPRDLTEASLSGAGISVVAAISMIFLFGMEIHNFLTISTSTAIVVDKSSIEESLRIDFNISFPVLSCEFASVDVSDVLGTNRLNITKTIRKYSINQNYHPSGFEYDAGPISYALKHDDKVEEGYGDGSIPLTSRNFDKVAHDHPIVVVNFFAPWCHWSNLLKPAWEKTAKVMRQRYHPEFDGRIIIGSVDCTAEADMCKKHHIQGYPSIRIYRKGSDIRDGSHGVHIHETYYGDRDTDTLILKFDNMASAIKLNSVKRSSAATTEYIKVRPAPPQEGCRIEGFVRVKKVPGNLIISASSAAHSFDASLMNMSHMISNFSFGSTITPIMMSDVKRLAPYLDEVHNRLNGHAYVTKLEDRANVTIEHYLQVVKTEVMGLSHQLIENYEYTAHTSLIHAPSIPAAKFHLQFSPMQVLITENSKSFSHFITNLCAIIGGVFTVAGILDSILYNTCKLAKKVELGKNF >cds-PLY80220.1 pep primary_assembly:Lsat_Salinas_v7:9:191952677:191955705:1 gene:gene-LSAT_9X118940 transcript:rna-gnl|WGS:NBSK|LSAT_9X118940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEVNEKVSDVKPKTNTEEKTDPAVKKTPSESSSSNTKSGTTPAIPSMPGAGIPANPFDFSSMAGLLNDPSVKELAEQIAKDPSFNQMAEQLQKTFHGGEAGGLPQFDTQQYYSTMQQVMQNPQFMNMAERLGSALMQDPSMSQMLESLSNPAQKDQLEERMARIKEDPSLKHILDDIETGGPTAMMKYWNDKDVLAKLGEAMGLPVTGDPTTAFGGNSGAGEAEEEEEEEVNEDDSIVHQTASTGDVEGLKKAIESGADKDEEDSEGRTALHFACGYGEVKCAQVLLEAGAKVDALDKNKNTALHYAAGYGRKECVALLLDNGAAVTLQNMDGKTPIDVAKLNNQNDVLKLLEKDAFL >cds-PLY94410.1 pep primary_assembly:Lsat_Salinas_v7:6:9043414:9046343:1 gene:gene-LSAT_6X6641 transcript:rna-gnl|WGS:NBSK|LSAT_6X6641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARKSPKCPPTVEPNQSGCIWSFNGMFDHHHHHHGRPHRKLLSFDEQLRSINIESKKKQSLKEETSSSNHTTTIEKSFWKKIKSRYENPNKKKENPIYNSIVVLKPPSQRVIESPVDVGCMCSYLHSHHKSTSNQQIVKHNRVSFNDVRKKLKDTKKVKKKKKNIRKGSEPGQNDENAPRPEKLQLVPFLKQGEPDVFVEARRHLAERLRQVVKGECEGEASSSKRVSRTLERVLLSSPIHMSIANFYRGIDDICVEKSLLTMDSDPNSIGAMEVSQMEMTLDVSSPKVNFKLENMENDQFRENPSPVSVLESIFADNSSSPTSTIESSVEHHIQPRCLDFEEHSQTSSPSHQKTSLSSFMEDRGLISAYVNEIYEASESNWEDFLATDYPSELSCDHKLVHDCVKEVLIGLNMRIMFVSSKIRAFSLEEDVVNEVMEQVEWHNGRFMIPRTLDNLVRRDIAKGGEWVHVADGNDVVFEVVDETLQVLIMEAISDISV >cds-PLY87927.1 pep primary_assembly:Lsat_Salinas_v7:4:33422473:33424160:-1 gene:gene-LSAT_4X21941 transcript:rna-gnl|WGS:NBSK|LSAT_4X21941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSAGQGKTSYVLLREGFENLWFEEGSNPSMGCKAQLSPTFYDSTCPNALTVIRTSVRTAVSRQRRMAALLIRLHFHDCFVQGCDASILLNDTPTTERTTFSNVGVGGYEVIDAAKSAVENICPGIVSCADILAVAARDASVSVGGPSWSVGLGRRDSTAAYPSQADSDLPRADQDLQSLISDFQVKGLSERDMVALSGAHTIGQSRCVAFRGRIHGNGSSDIDANFATTRRRNCPLTRGEGDDNMAPLDLVTPNSFDNNYFRNLVQRRGLLQSDQVLFNGGSSDSIVLEYSNSPSRFASDFAAAMVRMGEIDPRTGANGVIRTICSAAN >cds-PLY85895.1 pep primary_assembly:Lsat_Salinas_v7:2:189914593:189918031:1 gene:gene-LSAT_2X111601 transcript:rna-gnl|WGS:NBSK|LSAT_2X111601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATDEESPLVDQNQNGTQTLNHSRDIHILSWAFLLIFLAYGAAQNLQSTLNTDGDLGTISLGILYTSFTVSSLFASSVVRKLGSKNALLLGTTGYWLYIAANLKPSWYTMVPASLYLGFAAAILWVGEGTYLTSAARSQAIDHKLHEGTVIGHFNGEFWAIFATHQLVGNLLTLFLLKDGTEGSTSGTTLLFTVFLGSMTLGTFLMAFLKKRDNEETDEQRDSSLSFYSFLISLWKHVITPLCDARMLLIVPLIAYSGLQQAFVWAEFTKFFVQPSLGESGVGGAMAVYGVFDAICSLAAGRFTSGLTSITVIVSGGAFLQCGILIWLLNYSVPTGVLGVVYPLLIAAIWGIGDGVLMTQLNALLAMLFKHDMEGTFAQLKLWQSASIAVVFFLSPYISLQAMLFLMLVALILSLAAFLFLVLKLEKAFSSNHT >cds-PLY70752.1 pep primary_assembly:Lsat_Salinas_v7:8:166516996:166519013:1 gene:gene-LSAT_8X108440 transcript:rna-gnl|WGS:NBSK|LSAT_8X108440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEASGVNSDAHRGSLASTSTSDHHYHHPRRQNGNNPFSASNFFRSPVCAFLEYMGILQTQSIIHSDSSGSLINSGRTRNDSSPSMDDSSEVSIRIAGSGEQNINQSSTVGTATQSESLVHSISRAVSAATMDTQGDSRSDQGGAVSGNGDVESADGIGVNNSRDSTYQRYDLQQAARLVEQVLPFSLLLLVVFIRQHFEDFLITIWIAAFMFKSNDILRKQTALKGERKIAVLATISVLFTLHVAGVYWWCRQDDVLYPLVMLPPESTPPFWHAVFIIVVNDTLARQAAMVVKCFLLMYYKNSRGRNRRKQGQMLTVVEYLLLLYRALLPAPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTSIVEKVQSLISSVKALYRKEIHYGPYATSEQVNEAGEVCVICQEKMHVPVVLRCKHVFCEECVSEWFERERTCPLCRALVRPAELRSFSDGSTSLYFQLF >cds-PLY63455.1 pep primary_assembly:Lsat_Salinas_v7:7:150421005:150423041:1 gene:gene-LSAT_7X89681 transcript:rna-gnl|WGS:NBSK|LSAT_7X89681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLFIDALKSQVYAIKSGHTPTLFSCNQLIHLYSKHGFLQQACNLFDEMPERNVFTWNAIISAHIKSNNLPKAQILFDAAPCKDSVTYNSMLSGYANSEGHETQAFDLFTQMHFTGYEYDAQIDEFTLTTMCNLTAKIRNSRYGKQLHSFMVKTGNNLSGFSVSALVDMYSKSGCFNEAYEAFHGCNFESVDVVSKNAVVAACCREGRLDMAMEIFSNQLELNDVVSWNTMITGYTQNGYHKDAIELSSLMMKNGFHWNEHTFASVLSACSSTKSLKLGKEVHGRIVKNRMNSNPFIISGIIDLYCKCGNMRYAESIHSRNKIENMFSTTSMIVGYSSQHDMIKARKLFDSLKSKNTVVWSAMFSGYLNSHDSSDVFKLFQFFKIQEKTIPNCSILATLLGACALQAIIDPGKQIHGYLIRTIVEIDYKTISALIDMYSKCGNIKYAEIIFKSSKFRDLVMYNIMILGFAHHGYEDKAFELFNNMVKLGFQPDNVTFIAILSVCRHCGLVKTGEDYFKLMTEVYKIEPEIDHYSCMIDLYGRCNEVERAMEFMRKIPVELDVVAVGSFLSGCRVHRKGELAREVEEELLRIGGKSGTRYVQLANVYAAEGRWKEVGRMRKMMRGKEVGKVAGCSWVHVDGKVHSFISGDTCHLEMEGVYGILGLLVMEMIETEKILYG >cds-PLY63338.1 pep primary_assembly:Lsat_Salinas_v7:9:133128334:133128681:1 gene:gene-LSAT_9X84980 transcript:rna-gnl|WGS:NBSK|LSAT_9X84980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHKPRHSPKRSGSFLPSFVRKPQIRQKGVLIPEIHVPISPKIMKMRAEDMVKKISMKQKKQRKLVLQEVSNDEEVVSDAPLVDMIMGHSSSVRESPVKSTFEETGNLDGNVNLL >cds-PLY93787.1 pep primary_assembly:Lsat_Salinas_v7:6:144087781:144089470:-1 gene:gene-LSAT_6X87200 transcript:rna-gnl|WGS:NBSK|LSAT_6X87200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQSKQSVYDFTVKNAKGQDVDLSTFRGKVLLIVNVASQCGLTNSNYPELTTLYQKYKDQGFEILAFPCNQFNGQEPGSNEEIQDFVCTRFKAEYPVFGKVDVNGKTSEPLYTFLRSSKGGLLGDSIKWNFTKFLVDKEGKVVDRYAPTTAPLSIEKDIKKLLGVA >cds-PLY87753.1 pep primary_assembly:Lsat_Salinas_v7:5:200441830:200445714:1 gene:gene-LSAT_5X91161 transcript:rna-gnl|WGS:NBSK|LSAT_5X91161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAIRVAAHSSLTLLASSPSSSSSIIKNFRSISHLGIISFSANPLLFSSPRSKRMAHVVAKATLGLTHPAQIDHPKISFAAKETNLVEWKGDILAVGVTEKDMAKDQNSKFQNPILNKLDSQLSGLLSEVSSEEDFTGKAGQSTVIRFAGLGSKRVSLIGLGKGPTGPSYRSLGESVASVAKSSQAKSVAIALASSEGLTTESKLATASAIAKGKFKSESKKSTLNSVDLFGLGSGPELEKKLKYTEEICSGVILGKELVNAPANVLTPGVLAEEAEKIAAAYSDVITAKILDTEQCKELKMGSYLGVAAASTNPPKFIHLCYKPPSGSIKTKLALVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVLGAAKALGQIKPLGVEVHFIVAACENMISGTGMRPGDILTASNGKTIEVNNTDAEGRLTLADALVYACNQGVDKIVDLATLTGACVVALGPSIAGIFTPSDELSKEVVAASEVAGEKLWRLPMEESYWESMKSGVADMVNTGGRQGGSITAALFLKQFVDEKVEWLHIDMAGPVWSDKKKAATGFAIPTLVEWVVSNSSS >cds-PLY90303.1 pep primary_assembly:Lsat_Salinas_v7:2:199518370:199518942:-1 gene:gene-LSAT_2X120821 transcript:rna-gnl|WGS:NBSK|LSAT_2X120821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPNLVRLQLRNNQMTGPLPPSISNCSKLRFLEVNYNNFSGKLKIDFAKVKDIYFVSLGNNIYGFGEADDMKFIDTLKNCSRIKVLHLSNCNFQGVLPTSIGNLSDQISILTLDRNDFHGNLPSSIGNLVGLIGFSLTENRFTGKIPSAIGKLQNLQEAYLYNNQFSGPIPDAIGNLSLLTGLWLNSNRL >cds-PLY73987.1 pep primary_assembly:Lsat_Salinas_v7:4:217926807:217928730:1 gene:gene-LSAT_4X120721 transcript:rna-gnl|WGS:NBSK|LSAT_4X120721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFYLIISTIVATIFSILVYRLLLHKKSVKTATNQKPPQAKGAWPIIGHLHLLGGPELHQKVFGDMADKHGPIFTIKLGVHEALVVNDAAIAKECFTTNDKAFASRPKAEAAKILGYNYAAFGLAPYGEYWRKVRKMVVSEVLSQRRVEMLGHIRASEVRASLKDLYDGWVKNKLGKNSEMVMVEMKQWFANLIVNNMVMVIIGKRFSPNDKEGLQFQAATRKLFELLGAFVLTDFIPYLSYFDVGGYKKAMKTTRKDLDKIFDRFVKEHRQESKFIQQHEGNQDFMHVLISIIQGAFEEEFKGVDHDTIIKATCLQLLLAGTDTTHLTLTWALSLLLNNPKALETAQDEIDEHVGRDRLVKESDLKNLVYLNAVIKETFRLYPAGPLAVPHESLEECNVGGYNIPKGTRLLLNIWKIQRDPKIWSDPDEFKPERFLTSDKDIDVKGNHYELLPFGSGRRMCPGVSFALQALGLTLASLIQQFTIKKPSDELVDMTESMGMTNGKATPLVVLLGPRLSTNMYEGGP >cds-PLY64179.1 pep primary_assembly:Lsat_Salinas_v7:8:125404430:125406414:-1 gene:gene-LSAT_8X87720 transcript:rna-gnl|WGS:NBSK|LSAT_8X87720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLWWRTQWQSSRAVAVLLLLAVALLCAYELCAVYVTAVSTAYKLSYSDCIEVGLVACLPNHQIQMTYILDNLEGKEVIYKELSKVLAYCVLASHVRVPKKSRGGDSERSRGAVMFVGDSLGRKQ >cds-PLY89966.1 pep primary_assembly:Lsat_Salinas_v7:2:192695178:192697590:1 gene:gene-LSAT_2X114740 transcript:rna-gnl|WGS:NBSK|LSAT_2X114740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTPDGENCGLVKNLSSAGIVSTNVQENLVGILMESGMKELVDDTSPLFGGKHKVFVNGDWVGICDDSASLVDDFRSKRRRKEVPYQVEIKRDEKNKEVRIFSDAGRLMRPLLCVENLKNIKSLKTGDYSFQSLVNNGIIELIGTEEEEDCYTAWGVKHLFQKTSPLYTHCELDMSFLLSLSCGIIPFANHDHAKRVLFQSQKHSQQAIGYSTTNPNIRVDTLSHQLFYPQKPLFRTVLSDSLATQKHGNNINGNEYYNGQCAIVAVNIHLGYNQEDSLVMNRASLERGMFRSEHVRSYKADVSNKESCGSGKKGRFDDDIIFGKIQSKIGRVENLDEDGFPFVGARLNSGDIVIGKYAESGGDHSIKLKHGERGSVQKVVLSANDDGKNFATVSLRQVRTPSLGDKFSSMHGQKGVLGFLESQENFPFTIEGIVPDIVINPHAFPSRQTPGQLLEAALGKGIAMGGPLKYATPFSTLSVDAITNQLHRCGFSRWGNERVYNGRTGEMVESLVFMGPTFYQKLVHMSEDKVKFRNVGPVHPLTRQPVADRKRFGGVKFGEMERDCIIAHGATANLYERLYTLCDASHMHICRKCNNMASVIQRSVPRGPKIRGPYCRVCESVEDVVKVNVPYGAKLLWQELFSMGIGIEFESEPC >cds-PLY64960.1 pep primary_assembly:Lsat_Salinas_v7:8:161035616:161036876:-1 gene:gene-LSAT_8X107140 transcript:rna-gnl|WGS:NBSK|LSAT_8X107140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTDAARGDKVIDPDRDRGVDWKIIPLSLDYTLIGLAMVVVCAPVLKLAGDGLSTADFHARVPSQNLIIERSLNPGRNECWLAKEAGGLGHVAHPFGISSVPKGTLSSLPSLIYSKKEYVHAGIEMSTSWRCQRKMPEFYDVKRHRGAIESSRSVSIDHVSVYIGVESYGNKLGEDKFVADSKEGVIPLMNKHKATWQNACEQNVNVLVPVRCLRRQKLVGGSYLVALI >cds-PLY78331.1 pep primary_assembly:Lsat_Salinas_v7:2:181130055:181132966:1 gene:gene-LSAT_2X103201 transcript:rna-gnl|WGS:NBSK|LSAT_2X103201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSADVGYASSNDGAQPAQSSPHKPQPSPPPASDYPDTTYLRFLASNAEAGSIIGKGGSTISDFQSRSNARIQLSRNYEYFPGTSDRIIMVSGTIDEVLDAVELILTKLLNEFYAEDGEEAEPRSKVRLIVPNGSCGGIIGKGGSMIKSFIEDSQANIKISPQDNNYIGMNDRLVTVAGTLQQQVQATSLILSRLSEDLYYVQSVGPPFPYAAPFYSVPNYGPNGAGKKFQNNKEDMSNSVTLGVADEHIGIVVGRSGRNITEISQISGARIKISERGDFINGTSDRKVTITGSQRAINVAEAMIMHKVASASSPPPAATTEN >cds-PLY84451.1 pep primary_assembly:Lsat_Salinas_v7:1:145115983:145116350:-1 gene:gene-LSAT_1X104241 transcript:rna-gnl|WGS:NBSK|LSAT_1X104241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFVFKIMFFIIFVFTTASPIQFAKSQTNCIVDSRNQSPNLIFDNKVMFQPCRNQKDCEFCHCAPPTVSDCIDNLCECVPPTM >cds-PLY73485.1 pep primary_assembly:Lsat_Salinas_v7:2:99907911:99908246:1 gene:gene-LSAT_2X42720 transcript:rna-gnl|WGS:NBSK|LSAT_2X42720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKREINSVNDNPLIDISRNKVLYDGNFQGTPIGVSMENTHLAIVAIGKLMFSQFSKLVNHFYNNRLPTNLSGGRNPSFGLRVQRFRNFNGFVLLEAPVSCQPYSYPCPKR >cds-PLY72032.1 pep primary_assembly:Lsat_Salinas_v7:2:205146125:205149948:-1 gene:gene-LSAT_2X126301 transcript:rna-gnl|WGS:NBSK|LSAT_2X126301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKLLSICLFLLLAHVITVKSLNPTLDDDVLGLIVFKADLQDPDGKLASWNEDDDSPCKWKGVRCNPRSNRVSDLVLDGFGLSGKMGRGLMQLKFLTKLSLAKNNLTGSIGVNLAQLASLRVIDLSGNGFSGPVPSNFFEQCGSLRSISLANNKFSGKIPDSLASCSSLGTLNFSSNQFSGLLPSGIWSLHGLRSLDLSDNLLEGEIPKEIEGLYNLREIRLRKNQFTGEVPDEIGACALLRSVDLSENSFSGALPTTLQQLSLCNNLNFHSNLFTGEVTEWIGELGRLQTVDLSNNMFSGAVPNAIGNILSLKALNISNNGFSGSLPASMVNCQNIESLDVSRNSLTGFLPTWVFQIGLQNILFSENKLTGSIYGALTSSIDISHQNLQVLDISHNALSGEIPSEIGSFTSLRFLNISKNALMGEIPETIGKLKALDFLDLSENQLNGSIPHEIGGATSLKDLILSRNSLDGNIPSSIGTCSSLTSLILSRNNLSGQIPVAMAKLSDLEYVDLSFNKLTGTLPKPLANLVHLVSFNVSHNQLQGELPAGAFFNTISPSSVAGNPTLCGAAVNKSCPAVLPKPIVLNPNSTGTDPETIPPTLTHKRILLSISALIAIGAAAFIVIGIIFITVLNLRVRSSMSRSAAAIPLSGGDFSSSPTTDTNSGKLVMFSGDPDFSTGAHALLNKDCELGRGGFGAVYRTVLGDGRSVAIKKLTVSSLVKSQEDFEREVKKLGKIHHPNLVALEGYYWTPSLQLLIYEFVSGGNLYKHLHEGEDEDGNRSSLTWNERFNIILGIAKSMAHLHQLNIIHYNLKSSNVLIDGLGEPKVADFGLARLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEIVTGRRPVEYMEDDVVILCDMVRGALEEGRVDECIDQRLQGKFPAEEVIPVMKLGLICTSQVPSNRPDMAEVINILGLIKCPSSESQEEEESG >cds-PLY71269.1 pep primary_assembly:Lsat_Salinas_v7:5:181809740:181812377:-1 gene:gene-LSAT_5X80941 transcript:rna-gnl|WGS:NBSK|LSAT_5X80941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTCFSSDDDEQHSFQNNPGENQRFPSKEALKIPSKQSILESPNIKSPSNVVVITKDVKSLRQNPGSYSNLDVFTYDEMRMATKLFRPDQVLGEGGFGVVYKGLIDDNVRSGYSKTQVAVKELDPEGIQGDREWLAEVNYLGQLQHPNLVKLIGYCCEEDHRLLVYEYMASGSLEKHLFRRVCATLTWSRRMKIALDAAKGLAFLHDAERPIIYRDFKTSNILLDADFNAKLSDFGLAKDGPMGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDIYGFGVVLLEMLIGRRAMDKSRPSREHNLVEWARPLLVHNKKLVRILDPRMEGQYSSKTAFKVANLAYQCLSQNPKGRPIMTQVVETLESIQTREEEVLFQGGSVTLFEAHDVKKKENEVKVEGEGEAPKETMV >cds-PLY70305.1 pep primary_assembly:Lsat_Salinas_v7:2:92454039:92454479:-1 gene:gene-LSAT_2X39901 transcript:rna-gnl|WGS:NBSK|LSAT_2X39901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLVELEILLRSGTPVDTSDPFVGLPFIVFFIDREREESSDQASNRGGLGGGNRSITKVKKSGSLVVVNTLNTAFPSGGNHHLARYVMNYIKTLTDFSDELNACLRDHRVGDNLHSSSSPDTSPGNEDNSNIGNTSSSPMALHF >cds-PLY77687.1 pep primary_assembly:Lsat_Salinas_v7:9:20147570:20148894:1 gene:gene-LSAT_9X18580 transcript:rna-gnl|WGS:NBSK|LSAT_9X18580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRSRRKFSRDNDSIDGSIRRSSSNLNFIHRSFKDVEKLFADDGSNGFNKTLDQCSTTANATATARRLSIFHRVHLATKFARAFSTRQITNPEKSPPELNTTPAEAKLRKSDRVISIPGAEKRVVVYMTSLRVVRPTFEACRTVQSILRGFRVSIDERDLSMDSRFLDELQNIMAEGGEDEFEKTKLTLPRVFIGGRYVGGAEEVRQLHETGELKKFVEGLPAVTPGVCEFCGDFRFILCDECSGSHKCYTDKGGFRSCTLCNENGLIRCTSCLGR >cds-PLY68744.1 pep primary_assembly:Lsat_Salinas_v7:4:186034689:186040899:1 gene:gene-LSAT_4X108040 transcript:rna-gnl|WGS:NBSK|LSAT_4X108040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDDPVKSEHTRWNAKIHYDRVKKDGIFSHKEVIVLYVPDLSDCLPSTDAWRDQWLSHKKAIVEREHRYALKKEVGWGKPTMIELEALLFKATLEDTNNKIFILVSDRHELHLHATGTSDSISISSMAAAVGTSEAQGLGMEEFHGCTLLHLACETADIWMIELLLQYGAVVLSGNRNFEGRVHPLTRANYLASPPLVVAYALVITVDIDFENEPMGAQRT >cds-PLY80088.1 pep primary_assembly:Lsat_Salinas_v7:5:147237120:147238481:1 gene:gene-LSAT_5X64561 transcript:rna-gnl|WGS:NBSK|LSAT_5X64561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAANSGAAAFGEVERPDDKEGGGGGGGGRGRKKNNVDDDEGNVSDRGEEDEDEEFARKNRLGHNKRGGDDDEEGPRGGDLDFDDDDIEKRDEWEHEEIFTDDDEEVSNDLEEREDLLAPKIPDPPEIKQHPQLVPSTPRWVVADDAGVILILCDEEVARYETATLTAAVVPALLLPPPTTTMDEHLVVGLPALETYARLFHRYYAIASPSATQRLLLGLLKAPPSWAPDALDVTIQLVDLLRAVEDYAFGMRVLVDMIRLPDWVFESVDQEMRVIEQDATPHHPGIYLSHA >cds-PLY68669.1 pep primary_assembly:Lsat_Salinas_v7:7:85436273:85439671:1 gene:gene-LSAT_7X58400 transcript:rna-gnl|WGS:NBSK|LSAT_7X58400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NdhU [Source:Projected from Arabidopsis thaliana (AT5G21430) UniProtKB/TrEMBL;Acc:A0A178UN62] MAMATSATTTTSATASIYLCRKPLAIGRSCSNNYISFVRKSTRRFCVSSSADDLPSSSAATTEVEGAEESKIEVPKGPPSLISALNVEKALRGIAITDVDYYGILGLKKGCPYDQVKVAYDVKLEELMNQGLEQEELTKKLDLLKESYTILSSVEDRRLYDWSLARNENPDRYAWPFEVDITQTINSPDTPPPAQAGAMTLKSNIPHNQLSRAVGTSVEAFETTNDEVVRTTNGKAVEQIPMLLE >cds-PLY74872.1 pep primary_assembly:Lsat_Salinas_v7:8:107694913:107698931:1 gene:gene-LSAT_8X73881 transcript:rna-gnl|WGS:NBSK|LSAT_8X73881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRLEYGFNGYKVPPTPRAPRSARKRASKSWKKNEDHNNNRMCAFDLLATVAGELLHEGDKPNQEENKAFKTEEKDCDQGSCNKSFFLSEIISKAPVSDSGPTSGITVSDFSQKAPSAPKSVEICKIEDINNKEMTNEVPTNGKPPLVNNLDSNVNLVIRDDDENSCGCTQNNKTFGPPSRIGDRRIRRLLASKQWKNCPKFNDDYRNKKSFYKHQRSLRDYPFKKRKLYQFDNPSNSNEDGSSLGPTSQDPAFQTQDSHVKLKIKSFRVPEFFIEIPETATVSSLKRTVMEAVTAIFSGELHVGVMLRGKRIRDDDKTLLQTGICHDNKLDALGFTLEPNKFQDRYVVSPPGTPKSLTRYSNEVVVIQHDDAPVTNSRNITETGGVGVDSRALVAVPASNSGGGLAVRKSKHAEVAQRRIRRPFSVSEVEALVEAVEKLGTGRWRDVKLRAFDDAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHGYWSYHQAKNQFKQTEICRLL >cds-PLY78534.1 pep primary_assembly:Lsat_Salinas_v7:1:105634820:105635071:1 gene:gene-LSAT_1X82920 transcript:rna-gnl|WGS:NBSK|LSAT_1X82920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSIFNKNLRRLCSKFWLPVRRRSKPKVIIKRFGKLNSSTQDISNTNGSATIHPNNHLGKSETQSESQLLMPLCSSWHRQFQS >cds-PLY65491.1 pep primary_assembly:Lsat_Salinas_v7:3:1512142:1513296:-1 gene:gene-LSAT_3X481 transcript:rna-gnl|WGS:NBSK|LSAT_3X481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAVKRGTIGVATVLNKLLNPLRSVSAVPSVQRCFNTNSSQMTAYDDDNRGVDVDRRADTTVSRRRDNDLFSDVFDPFSPPRSLSQIFNMMDQFMGNPLMSSSRGGGFSTRRGWDAKEDNDSLNFRFDMPGIDKDNVKISVEQNTLVIRAEAEKETEDEEEPPRRYSSRIDLPLNAYKVDEIKAELKNGVLKIRVPKVKEEERKDVRQIQVE >cds-PLY87632.1 pep primary_assembly:Lsat_Salinas_v7:MU040392.1:834444:834956:-1 gene:gene-LSAT_0X11640 transcript:rna-gnl|WGS:NBSK|LSAT_0X11640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPSWRQLQDKVVAGIESRISAWTFLPIENGEAMQIFHYENGQTYEPHWDYLC >cds-PLY67625.1 pep primary_assembly:Lsat_Salinas_v7:5:27333570:27335484:-1 gene:gene-LSAT_5X12680 transcript:rna-gnl|WGS:NBSK|LSAT_5X12680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFYTLTPNSNIDVLMNSHFIVPELGPDVKSSSTCEQIPIHVDDNEILLCIEVYNHKRSWVKNQEILVLGRQLLTKLRDNIYCLTDEIMKLTKKHTPSGYFLIEDIFYNDLREKKVVDYRSIVDWIRESNKIVAEKWKSIVSGELHQKQKQILGSGSGSSPMLPRFKPLPMQATHSKGISVNSNCLDDGCSLSCGRDETLSMFLALGKFLYNKRETGNTTVSVQLVNLHSSVLDSLKEEFKILPMKMDVPEKQA >cds-PLY81599.1 pep primary_assembly:Lsat_Salinas_v7:2:41333811:41334156:1 gene:gene-LSAT_2X18321 transcript:rna-gnl|WGS:NBSK|LSAT_2X18321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPVGDKPSLMTFLDVETVFHEFGNALQHMLTKQDEGLVAGIRGIEWDVVELPSQFMENWC >cds-PLY93318.1 pep primary_assembly:Lsat_Salinas_v7:1:135819209:135826168:1 gene:gene-LSAT_1X98941 transcript:rna-gnl|WGS:NBSK|LSAT_1X98941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLHEISERGSSSSSTHSHRYDVFLSFRGFDTRNSFTTYLHKALIDANITTFLDNEEIEIGEDLKPKLESAIKASRAFVIVLSKNYATSTWSLDELVLILEQRMTSNHIVIPIFYHVDPTHVRKQEGSFGDAMAKHRKMMEAETNENKRSKWAQKMDRWNNALREVADLKGKHINGRIEVDLINEIVKDIFLKLRISSRFPLPQLIGMDNSIKFITSWLKDTSSHTGDVLTILGLGGIGKTSLAKSAYVLHSNEFDTSSFIEDINRRCDEKCNGLIDVQKQLYDDISRSSSVQVRDVSIYTSMIENAFPRKKVFLVLDDIGSLNQLDALLGSKGLHPGSKVIITTKDRWLTQSCSLFKTNIKPKYAEHKLEGLSMIESQKLLCYHAFMCNDPKLGYEEVSENLVKYCEGHPMALKVLGRSLHNRDVTYWEGYIDRLKKENDSPINNVLRMSFDSLPSKNDKELFKHIACIFVGMDRDVTLTILEACDIETRSGITNLIDRCLLSITWNQELMIMQLMMHQLVQEMGRFVVREESLYKPWERSRLWGHESFRVLKQKKGTENVLGLTLDMKMLEKEKLHESLEIEIDALSKMDSLMLLQLNYVQITGSHKNFPEELKWLCMHGFSMKAIPSDLPIVNLVALDMSYSNMESFGVCYGNPQRLRRKQKKMLGSCSKDKKLFGSLKILNLSFCEQLRSLCSFDQLPKIERLMLRGCICLREVCESIEQCVELVFIDLSYCNKLKKFPRNITMLKKVKTFVLNGFRLGESHNEMKNMDSAEKLEAIPSDFKFFMVPLPRCLVSLSLAHNNLSTESFPMDFSCLFMLEELYLDANPMVSLPNCVRSLPRLKRLSMVQCNMLTSVEHPPHTLTFMRLHFDSSKHVLRKVVFDPQMSPLLFLIDWKMLAPSYFEIEGMVKIESFGVVEEKVLRSLGWTELDFLILSRFRSYLDDRIEESFIQMYYEFGIFSTIYGGEEMPSWIVDRRTGSSMSFTIPSSPNKLRGLNFCCVLRSRYLGYDFFYLPVIIISNITKNRIWKYQHYIRRVDVIGKCVTLLSHWMFGMNDMECGDQITITMSPEPLLVECGVSFVYDDDGDMDDEEEHALSYYKSWNHIIGGDLSVFQLTTGEYILTIWRFLLTEIDIRVQSSESLYGDGVHFKDELVQFRALSQRNSGILDDGP >cds-PLY65256.1 pep primary_assembly:Lsat_Salinas_v7:8:231446980:231448455:-1 gene:gene-LSAT_8X139521 transcript:rna-gnl|WGS:NBSK|LSAT_8X139521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSTMPSSAVSQTPNLQTLLESAKPFLREEFEKIDKRLPSLIGILCSAGAGECYHRTGSFLDHLRNVYRIIKLWNAPDSVALCALFHSVYSNSYSDILLFNPETDRDSVRSLIGDAAERLAHLFCIIHRQSLIHKNIMCQFTESELREYLDASEVSLRNAKENGVFNGEEKWRKKLQTLIPANGLIGKHYETGELVPVSRRMIGIFLLMTVADISEQYFGFQDVLYDNFNGRLELTGDSFDTLYPGNGKPGLWMNAASRMAAVYTLLVREEELFLQENKSDDGGIDKARDEDIELVIPSVFDNCTKVLDASEQIEAMDLYWDAVYYDGHDKVKKEKAGEMLVKSIEKNPFVGEPHVLLAQFYLSRERFEEAEIEAEKGLSLLLQWGCSWDKRVSWEGWVAWTRVLLKQAKKKSWPHTAWGVINLGYVK >cds-PLY78296.1 pep primary_assembly:Lsat_Salinas_v7:6:152053851:152055047:1 gene:gene-LSAT_6X91860 transcript:rna-gnl|WGS:NBSK|LSAT_6X91860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAIGSIGDSFSAVSVKSYLAEFIATLLFVFAGVGSAIAYGKLTADAALDPAGLVAVAIAHAFALFVGVSIAANISGGHLNPAVTFGLAIGGNITIITGLFYWIAQLLGSIVACFLLQFVTGGLAVPTHGVASGMSSIQGVVFEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGAFSGGSMNPARSFGPAVVSGDFSQNWIYWVGPLIGGGLAGFIYGDVFIGSYETLPTSEDYA >cds-PLY96152.1 pep primary_assembly:Lsat_Salinas_v7:3:98800987:98802259:-1 gene:gene-LSAT_3X74500 transcript:rna-gnl|WGS:NBSK|LSAT_3X74500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVQLTRQYITAYLNSTFSDERKYEKFKKNFHDSTNGYKKILNIKDIDMKPSIEILDNTAVEGDYEGKYGVILKPLKNLFVRYLKEINHPRANAISKESIKPQRLEMTWRTIKNKVDCGIFAMRHMETYMGQPLSKWKQGLHKESAIQPTTLEKLRQRYAHIMLTSKINMLKAKVLDLPENIKKLNSKCVLIMHTRLCKQFKKG >cds-PLY88186.1 pep primary_assembly:Lsat_Salinas_v7:5:220575031:220579192:-1 gene:gene-LSAT_5X103140 transcript:rna-gnl|WGS:NBSK|LSAT_5X103140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLPKEELEDEASPITASIKCRGSRNHRDHIYNKAQLVEASDNPETQAEYEKEISPDWWGNKFGFVSGGLLGAQSKRKKSNSTSEITQNSNKRTAFYEEDQENLYKLVQDKATSGKQGLGIKDRPRKIAGVRFQGTKTSFSDSEREESESEDDHSLKKQKIDDVSESKVKLKKLCRKLLSQVPEKSLKLKKLKALIDENSSIFSNFSSKKDSLEFLRQKLERSEKFIVEGKRKLSGNRNFEGRVHPLTRANYLASPPLVVAYALVGTLSSVGGQLVISSDGVADWLRNQQQHKQKQPKLKEKLFSDDPIIYNEEKEVDEAELKRRKAREAEIDEHQQIIREVDKKERAEKEAQATLQRKKLLFPK >cds-PLY72061.1 pep primary_assembly:Lsat_Salinas_v7:2:205045607:205046332:1 gene:gene-LSAT_2X126200 transcript:rna-gnl|WGS:NBSK|LSAT_2X126200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACLGIRYGLSKSTAAFLNDRRDPISGLKRLHSPSIPKVAVKNSVQRIWAVAAVVSDRRVSNQVSFSIDSDLMLANRFVNDDNEFDNRATEISSVPDKFEEWIEGSVTEIVKNITQAPLLLQIYANGEVKTKMAVKAESWLDVITEKSSSPDGIILVEELSENRDHISERELNEKDGTRAFGVLIQGKIKGRDDCKSACYLLKTSSFNGGEMGLICSHFCLMKVKNFWESASSQLNSCWLV >cds-PLY76501.1 pep primary_assembly:Lsat_Salinas_v7:4:175095443:175098903:-1 gene:gene-LSAT_4X103580 transcript:rna-gnl|WGS:NBSK|LSAT_4X103580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGFAMGCSFPLHSSTYHSLFPSFSSSSQPLFLYSCQRKPHDFSKRRKIHACMQQHDPNKDDFCQRRAILFMGVSVLPFLNLKARAVENSAPDESEDSARAQERNQIAEVPTNGDSSPNPFITLSSGLGIVASGVLGAFYALAQKEKSANMMTIESMTAKLVEKEAALVSMQKNFESKLQNEKEEKNEQSRKSNQEKQSLMSQLSSANSTIKGLGQELQKEKKVIQDLNNQIEGLNADLKKAFDDKEDLKMQVKDKLFSIQVLQERINLLTSEIKDKEDNLANLSSTFEAKESEFKKLNSVYEETCAELGGSNSEIERLKQEIVKLEKELELKSLNIDDLNAEIRSLCDAKNVISEELESVRKEYGDLKLFSEQKSASDAKLLKEREKKLEELKEQLESSLTNVEKNEELISVLTNERDDLKKRLNLEEGKVENLEEELRVVQRTLGDTRNEAAELAGRLEISENTCKELEGEVSRVQIEYSESKQGLHDNIDKLKQTVEMLAEELAGSKDVLERTKEELKRVSGELLEASETRDDLREELVETYKKLEIVSNEIKEERKTIGDLTKKVNTLETEILKIRDSKKSVEMDLEEATRALDEMNKNTVILSRELEISKGKISELEDEKEVLYNSINEQKHAIKEARENMEDAHGMIVKLGKERESLEKKGSKLEKEMAAAKGEILRLRSEMNSGEKGNKNRSEGNAEKGNKSGSDDEGAGVVKKGGRRKKVVSQQKES >cds-PLY71465.1 pep primary_assembly:Lsat_Salinas_v7:7:191063977:191065478:1 gene:gene-LSAT_7X115521 transcript:rna-gnl|WGS:NBSK|LSAT_7X115521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFGRERSVEDNELIETEGLEKNGEIDTEPKDNGNYDAEIVDVIKAEGTVANTTEILPGGSIGSSPSPATKGRGLRKWRRIPREAGKETNSSLDSNRKRGMIGLSNAMKQRSEGSSSSTNAMSHALDNPLDHNLLYGDLGRGSDFASRADSDNSEDQNSRSSTAASAPKPNHRFPVAGMKSSNGKNSGGISVQPGDQPEKNQNQTKKPRGFKLKKENSISSLESDSRSSNFVFTQGSNSVTSNGRKNVKLGNYEEDYSDDAENGDTQTQTAFNKNEADSEDVSHEDLAAENSWEVKEEKINGDHDTLVDSIIPLHLAQEALEREVQKLRDVGKEETFSSDDSFQSSNIKIEEARVMIELKNAKIFELESILNLGDIKKDYEELLMQGIAAELEYLVILKTIQNLKEGHMDQINNLMVQQKKLEVDEKVQVEEAWKLKNRVWRYGLCFMIQLFLLLVGVYVFVLQFSSQNKVIPT >cds-PLY99404.1 pep primary_assembly:Lsat_Salinas_v7:4:102813565:102816002:-1 gene:gene-LSAT_4X66460 transcript:rna-gnl|WGS:NBSK|LSAT_4X66460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDGLFFKIKLLLRSRTVPVIFLRRFSYKTIKRATNDFSRVITTSSNGTSYKARFEGDHAAVVKEIHLIDQEDDFFYREVQLLGRLNHRHVVSLTGFSVGQKKFLIFENVENGSLKEHLNDPLRTPLNWRIRLKIAVGVAAALEYLHFFCDPPVYHVSISSNTIMLDENFDAKLSDVGLLGSGSDQVMAWRTSSSNETNGQVYGNIMYQLGLLILELITGQSSEKTGPDLIQWIEESCFPTSMDNMIDPDLGNNYDSRELKGLLAVARFCIKSVDKPNAYNYTPQIYRYLQRKVVIDLVFLF >cds-PLY75822.1 pep primary_assembly:Lsat_Salinas_v7:3:68239067:68245595:1 gene:gene-LSAT_3X52201 transcript:rna-gnl|WGS:NBSK|LSAT_3X52201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTNNVGRMESQNQHSQSHEPLPTKGTTFLRTCFNGVNTLSGVGILSVPYALSQGGWLSIILLLLVALLCFYTGLLLRRCMDSDPLIKTYPDIGHVAFGRKGRVIISSFMYLELFLVAVEFLIMEGDNLHKLFPKQSFDIFGMKIGGKQGFVLGTALVVLPTTWLRNLGVLAYVSAGGVIASVILVLAVFWGGAFDGVGFHERGELSNWNGLPTAVSLFTFCYCGHAVFPTLCNSMKDKSQFPKVLVVCFILSTISYGSMAILGYLMFGEHLASQVTLNLPTKNISSKIAIYTTLMNPITKYALIIAPMATSVEETFPFRESKMMSCLIRTCLVICTVIVALLLPYFGYVMAFMGAFLGINVSILFPCLCYWKITTGFKKFGIERMLILMILLIGTFVAVGNTFRGITLKGAPKSAKVYEGQFMGQVVGIGPKVGC >cds-PLY92369.1 pep primary_assembly:Lsat_Salinas_v7:3:123293469:123294423:-1 gene:gene-LSAT_3X85920 transcript:rna-gnl|WGS:NBSK|LSAT_3X85920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRRYIFYIPGGHNWRYHCLWYRSSYKIGKCPTFECDITTYIQKAVCFGRSLYKESTSTDISLGTIIHNIEITLGKGGKLARATGAVAKLIAKEGKSATIKLPFVEVLLISRNRSTTVE >cds-PLY65410.1 pep primary_assembly:Lsat_Salinas_v7:1:61246072:61248382:-1 gene:gene-LSAT_1X52180 transcript:rna-gnl|WGS:NBSK|LSAT_1X52180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPPFTRLTSCCNYLVKRSWSLRWTSVRSLAYAAYSDVLDSSSDTNVLCHPLEKLGKFKAIETYDQLKRERSSALLFIRQLKESGFKHDLETYMAVVRLLCHWDWGMDARSDNLINDVIDNTNWEVVNFEISDDLFDALMEEKLIKAVDGLVEVYASAGKFEEAIHTLSEMKSKGGLVVSTRTSNFVMWELIKQDKEEMIVSVFRELMRNGMIPDVLTYAILMKDICRNGCLKEAWNVIEEMKEAGVEPNALIYNMYINGLCRKGKTDLAFQLVKNLRKSNKPVDVLAYSCVILGFLKESKLQDAEDVFLDMKLRGVVPDALCYGNLIRGYCQKRDIDKALDLCKEMESRGIKTDHKFVRRMIQYLCALDMPDEALCLFKHFTQQSMFFVDGVSFNIAIDAACKLGKMDDAMWLLEEMKNRKIKPLVLQAQAGFQGQGIQANVGQDEGVQTEGIHDGVIQDAMGQAEVGNVGQLHTTQQTLALRKLTSLNTHHDVVLKEFRNLVKMKIRKAV >cds-PLY90829.1 pep primary_assembly:Lsat_Salinas_v7:6:155457133:155457396:1 gene:gene-LSAT_6X93920 transcript:rna-gnl|WGS:NBSK|LSAT_6X93920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSHNSWSVLMVITMVMLVLRAGKGGDSLRLQQRWGRAVADRRQQQEGDELLVLMIDDGSEWGWKLEIEVAVDGDAKVVAALGKGGD >cds-PLY77499.1 pep primary_assembly:Lsat_Salinas_v7:4:47940367:47941699:1 gene:gene-LSAT_4X30860 transcript:rna-gnl|WGS:NBSK|LSAT_4X30860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTVTAPWKQLLLNALQSNSHLRHSSYLQFATIGSNGRPANRTVVFRGFADTSNKIQINTDCRSHKIEDLKQCPFAEICWYFTDSWEQFRINGKVDVIDGSNPDPMKLQQREKAWFASSPKSRLQYLGPTPGLPSLGDQSSEVPTSLDPSAGPVSAYCLLLLDPDQVDYVNLKSNERLAFSRKNINEESCWSSDRVNP >cds-PLY94527.1 pep primary_assembly:Lsat_Salinas_v7:2:158190222:158190518:1 gene:gene-LSAT_2X80201 transcript:rna-gnl|WGS:NBSK|LSAT_2X80201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTIFFILVLLFCSPPPYTASLTTTTTMTKHKNKGGVETAQIEEGCEGIGEEECLMRRTLVAHIDYIYTQNQTP >cds-PLY94657.1 pep primary_assembly:Lsat_Salinas_v7:1:41047632:41049692:-1 gene:gene-LSAT_1X36441 transcript:rna-gnl|WGS:NBSK|LSAT_1X36441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFTEMFITDHVQEQEMEIEALEAILMDEFQEIHPSESGLNTSKRCFQITLSPQDDENDESFSTPVQLGLIFSHTEKYPDEIPLLNVKSIRGIHTSDLKVLKEKLEQEASENLGMSMIYTLVSSAKDWLSELYAQDADNDDGEEEVAEKDEVIVPHGEPVNIDTFVAWRERFEAELALQRAKLMPESALSAPKEKRLSGRQWFESGRASSVKGAVPMEDGSEEEDEDFDFDDEDDFEDDEDDMLEHYLAEKSDSSVRAT >cds-PLY99522.1 pep primary_assembly:Lsat_Salinas_v7:1:113513186:113550215:-1 gene:gene-LSAT_1X89180 transcript:rna-gnl|WGS:NBSK|LSAT_1X89180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSESGVHLEKFVIPLEEILSATQNFSQETLISDSGLVAVYRGQLKNRTVAIDLTNPRSYLGDREFSNQLKIISRFQHQNIIPFVGYCHEFNQKIKVSEYAVNGSLDAYLVNPDKRCLLTWADRLKICVGAARGLQYLHSGLGGDCIVIHRDVKSHNILLDDNLEAKISNFSLSILVERNQPQAYEPTSGTGYYIDPVYEQSGSVNTELDVYSFGVVLFEMLSGTLVYHRRSIDGDQPQTLINLVRRYYAEGLHGLIDPHIRDQIKMRSFGIIQDTAYQCISWNLKDRPTMDMIVKRIEEALDFQKPRASPRVTIESLEYENLNSFLIPLEEIRLATGDFSPETCIGGGGFGKVYRGQLSKQWQNRTAAFKRFDNNGYEGKNVFHNEVKMMSSFNHENIIPFIGYCDQDDEMIIVSEFASNGSLDYHLQDLNKSCCLTWELRMKICMGAARGLEYLHSGLGENRAVIHRDMKSPNILLDDNLDTKICGFGLSLLFNLSQPQVYEPAAGTPAYIDPIYRESGMVNTGSDVYSFGIVMFEMLSGMLAYDQRSIDDGQPQTLLQLVNRYYDDGLDKLIDPSIRDQIKIHSLYTFKKIAYRCISTNLNDRPTMKRIIKKIKEALNFQNHEAAYSITTRSLDSYQIQLNEISLATGNFNPQTLIGEGGFGMVYKGQLSQNWQNCTVAIKRLNPQGHQGMKEFHAELNLISRFHHPNIIPFVGYCDDDGEMIIVYAYAVNRSLDYYLVDPIKRRSLTWAQRLNICLGAAKGLDYLHSGLGKDHGVIHRDIKSGNILLDENLEAKICDFGLSTEGPKNQESSHRFTKVAGTNFYMDPIYYGSGILRKESDIYSFGVVLFEMLSGRPAYQQLKFVDDNPQHLINLVRHYYENGPERLIDPDIKDQIDSRSFHTFKEIAYQCISFVSRERPTMDTIIDKLEDAIDFQELKGEKMSR >cds-PLY92580.1 pep primary_assembly:Lsat_Salinas_v7:7:159879391:159880735:1 gene:gene-LSAT_7X95041 transcript:rna-gnl|WGS:NBSK|LSAT_7X95041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVAQGWWGKEATCEGSEAEVCGGEVFFGEGKEKGSSSSSPISGHTYDVYSNFRGTDNRYSFTEHLNKALVDANFTTFFSDEKIEIKEGLKPDLESAIKASRASVIVLSKNFATSAWFLEELVQILEQRMTSNHIVVPIYYRIEPTEVEKVHRTFREELARILHEMKFVTDTSQWALQMDRKGKALAQLADLRGILVNDAGSHLICIA >cds-PLY95887.1 pep primary_assembly:Lsat_Salinas_v7:5:80226362:80228339:1 gene:gene-LSAT_5X37741 transcript:rna-gnl|WGS:NBSK|LSAT_5X37741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLTISNGIPCFTPVELKKQSTCSIQMINKTDHHVAFKIKTTNPKKYCVRPNTGVIDPHSACEFSVTMQAPKVAPPDMICRDKFLVQSTYVPEGTKEEDVTSNMFVRDGKVVDEKRLKVILISPPDSPESSPINETLKIVQKDDDREVKDEIVQKHTSHSKVDEGMEMSKGDAKNVEVIKKEEPMKRKEEVVKRNEEPVKKKEEVVKKNEDYVIQNRDFIKRNEESVQMNDMEVLRLAKAVEEMKSIVKGLESNLTEAKATILHLTEEKRSAAQEKHFLQGELDLLRSKRHRAQVGFPLLFVFMVALVSLYFGYLLHP >cds-PLY88667.1 pep primary_assembly:Lsat_Salinas_v7:5:69355325:69357249:1 gene:gene-LSAT_5X32880 transcript:rna-gnl|WGS:NBSK|LSAT_5X32880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLNHGRCFKATLRRGCHEWSVDIDDGVFGEGWRRFVRENGVQEFDIILFKHQGSMVFEFLVFDQSTCERQYPNIFEEMDVEEELFTESDTIFTHRLKNRKKRKRNDYASDQDQENFQVKKETGFTKKKSTTLTPNNHQYFISNLKTCSFKMSVLHLPIHFTTPNCFKVGELILIDNQGRSWPVYLNKIDKNRFYIGCGFKAFRVANSLKEGDAFKFELVEKEKNKLPVVNFLCPFRFEEDDRRYFMGKLKSKLHQLYLPQDFVRLNGLLNKKKVILKNTEDERLWWVDLKKYKNMTCYIMGQGWKDCRVANGLKEGDCFKLSVVDGENPIVSFTFKKNH >cds-PLY79542.1 pep primary_assembly:Lsat_Salinas_v7:1:34072372:34075021:1 gene:gene-LSAT_1X32701 transcript:rna-gnl|WGS:NBSK|LSAT_1X32701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Glutamate-1-semialdehyde 2,1-aminomutase, chloroplast precursor (EC 5.4.3.8) (GSA) (Glutamate-1-semialdehyde aminotransferase) (GSA- AT) [Source: Projected from Oryza sativa (Os08g0532200)] MAGIGLSWPSKLTDRPKLTSTVSRSSSSRCCLSSVKMTVSVDEKKKTFTLKKSEEAFNAAKELMPGGVNSPVRAFKSVGGQPIVIDSVKGSHMWDIDGNEYIDYVGSWGPAIIGHADDEVLAALGETMKKGTSFGAPCLLENVLAEMVISAVPSIEMVRFVNSGTEACMGVLRLARAYTGKTKIIKFEGCYHGHADPFLVKAGSGVATLGLPDSPGVPKSATSDTLTSPYNDIATVQNLFNAHKGEIAAVILEPVVGNSGFITPTPEFLNSLREITKQNDALLIFDEVMTGFRLAYGGAQEYFGVTPDLTTLGKIIGGGLPVGAYGGRREIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLQGAGTYEYLDKITSELIGGILDEGKKAGHAMCGGYIRGMFGFFFTDGPVYNFEDAKKSDTDKFGRFYRGMLEEGVYFAPSQFEAGFTGLTHTPEDIQRTIDAAAKVFKQL >cds-PLY97372.1 pep primary_assembly:Lsat_Salinas_v7:3:245195509:245195904:1 gene:gene-LSAT_3X135720 transcript:rna-gnl|WGS:NBSK|LSAT_3X135720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAMFMKHALPMKMVAYISTYINDGPECLTLRCPIPSCGAVVGVDMNSKFLQFVSKMSCGELTIEDNQVRPASGDWANEYINLINRYHILAWLEALEETRLKQK >cds-PLY90012.1 pep primary_assembly:Lsat_Salinas_v7:3:84319297:84319884:1 gene:gene-LSAT_3X64581 transcript:rna-gnl|WGS:NBSK|LSAT_3X64581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY79500.1 pep primary_assembly:Lsat_Salinas_v7:1:33729640:33730590:1 gene:gene-LSAT_1X33001 transcript:rna-gnl|WGS:NBSK|LSAT_1X33001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLPKSIYNDEDLDDPLQKQLKRLEEEWDCIKQSISRSNRRNSTNDSVATVDHRLCEMYGKSPKELMSLLQYENSPSEEDFWNSRHHDRVAIEIIKEREADFDNGKFKGRKLFEEWEVDSEDDGGGRVTHGEASEMDNESEVCTEFSYKASENHDGNYNQLTDSPPSDMAEKGVAVVMVKNAGGSCGYVRRLMVCVVVMLFALGIFAFKCRGEDEQILVPT >cds-PLY71090.1 pep primary_assembly:Lsat_Salinas_v7:1:145714602:145714906:-1 gene:gene-LSAT_1X103120 transcript:rna-gnl|WGS:NBSK|LSAT_1X103120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSVKRIDASAKLLDLCRSGALKVEVDLCRKWGIQVLTVFAFSSNNWLRPKVEVDFLMRLLENTLKDEVASMSR >cds-PLY82821.1 pep primary_assembly:Lsat_Salinas_v7:1:86177395:86178206:-1 gene:gene-LSAT_1X72961 transcript:rna-gnl|WGS:NBSK|LSAT_1X72961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARMATMVFCVVVTCMVVAAPYAEAAITCGQVVSSLSQCASYLRNGGAVPPACCSGVKSLNSAARTTPDRQTVCGCLKRASGGVNASNAASLPGKCGVNIPYKISPSTDCSKVQ >cds-PLY99653.1 pep primary_assembly:Lsat_Salinas_v7:6:82335545:82340753:-1 gene:gene-LSAT_6X58521 transcript:rna-gnl|WGS:NBSK|LSAT_6X58521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRFIQPRSPILRENSVDTPPSNPTSTKQSKSSSRKHKSPKENAPPSDPNAIPDSYPSPSFTGKPSPGASSKLKSPLPPRPPPSNSHKRKLSNDFLLENGVAGSSDTGVKVIVRMRPPNTNEEEGEVIVQKTSGDSLSILGQTFTFDSVADASSTQAHIFQFVGAPLVENCIAGFNSSVFAYGQTGSGKTYTIWGPSNALLEEELSSDQQGLTPRVFERLFFRMNEEQNKHADKQLMYQCRCSFLEIYNEQITDLLDPVQRNLQIREDTKTGVYVENLTEESVCSLKDVTKLLKKGLSNRRTGATSINMESSRSHSVFTCVVESRCKSKDGLSSLKTSRINLVDLAGSERQKSTGAAGERLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSKLTFLLQESLGGNAKLAMVCAISPSESCKSETFSTLRFAKRAKAIKNKAVVNEQMQEDVNTLREVIRQLKDELIRMKENNNSPDPNTGWNARRSLNLLKYSLNRPMMMLPHMEDDEDEEMEIVEEAEQVLLEATEACKNMETGLTEETSEQVDKSELVTTADDNEENDTFTTPTLTILPSEVSPILKCPTPSVSPTVTSSSRKSLRTSSTFTASQNALANNISESIMLSHKQSSAFGQTDRLAASLHHGLEVLDKNRKSFALGRSSFRFPCKPVQKDVGVQTFSLENVLSDEIEKSMEYLCNNCKSKTSELVEDKDTHEHDTSNLQLVPFDAPQLVEKSKQLVPKVVEKVLAGAIRREMVLEEFCTRQHNEIMQLNRLVEQYKHERECNSIIGQLQEDKIARLENLMDGVLSAEEFVNEELTSLANEHQTLKEKYENHPETLRKEIELKRIQDELERYRSFFDMGERDVLMEEIQDLRSQLQSYVDSSPKFSKTQTPVLQITYPSETNESPSLSTIPESTDEQRFESERIRFNEEENKWISLTQELKSQLEANRLLAEKQKQELETERKCSQELKEAMQMAMEGHARMLEQYADLEERHMNMLIRQRRIQDGIEDVKKAATKAGVRGNESKFINALAAEISTIKVERERERGRFRDEIKGLQEQLRDTADAVQAAGELLVRLKEAEEAVAFSEARARDAEEETQNAYKHIEKLKKKHKNDTPIYNLQESNIKVDQQQQQKQKPRDEFDQFYNVEKEELTTLPEFSGYDRCNI >cds-PLY69404.1 pep primary_assembly:Lsat_Salinas_v7:5:301083326:301084660:1 gene:gene-LSAT_5X162421 transcript:rna-gnl|WGS:NBSK|LSAT_5X162421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAMKPTKPGLEEPQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKKLRVKGPVRMPTKVLNITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >cds-PLY82975.1 pep primary_assembly:Lsat_Salinas_v7:1:17965327:17965575:-1 gene:gene-LSAT_1X15621 transcript:rna-gnl|WGS:NBSK|LSAT_1X15621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPRNNKKEKKMEGRNFREREREREREREREREMREKEKESVDKPLHPALTTTPCSVSVYCMCVLLCVDIRLFVSSFMEYLQ >cds-PLY86449.1 pep primary_assembly:Lsat_Salinas_v7:8:3635429:3637817:1 gene:gene-LSAT_8X2701 transcript:rna-gnl|WGS:NBSK|LSAT_8X2701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLVHERPCRQFTLDEIQKATDNFSESKIVGKGGFGTVYKGAVGDGSTTTLVAVKRLTPESKQGIGEFETEIQMLSKCRHSNLVNLVGYCNEHQEMILVYEYMSQGNLADHLYMNRGSSLTWEQRVKICIGAARGLDYLHTGTGVSDRIIHLDVKSANILLDDNWAAKIADLGLSKVGPANQPQSEVNTANIRGTWGYVDPQFLKTHKYSRKADVYSFGVVLVEVLSGTSAWRDKIIPSPELTDRADWILQHMRSQTLHQIVDSRVVSQIQPKCLERFAYTASKSLQNDPKKRPSMSEVVALLESALAFQTSSSMVQGDIFQFESEEETSSITEYLGKARNIFGEPIGNKTLAQMRLNPTRENKARIAYKMMNEGNKYDNALRFVKDLKAQWGNGITCLCMLYNATGETLTYAASRNWFGDIGPSPYPTFILNGQWGAYLHTKTPRVPSGSQAAVVYRGKYSDNASCDRMITWSIPWQRFTQDNAAYCEINEVGHFDHGAVWDEIYDKSPSAGRESSYTWNDCYTRVTVESDTSPIYKAILTRVDAVASSA >cds-PLY78024.1 pep primary_assembly:Lsat_Salinas_v7:9:44495350:44500498:1 gene:gene-LSAT_9X39501 transcript:rna-gnl|WGS:NBSK|LSAT_9X39501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCDCTACSLSPYYRISFPPSSVHTISLLGSFACDRKKPTKFSLKISQSSNPSSSRSSEHRLIDELKFKEREATKPGFQLSGIDDEDSDSFPFDTNLRENEGEQEDLVQIGETEDAVDGKSKELEVDNNNQVKVIRKGKQLMKRSSVIAKQVISIQSALSLGFISQLWVDTNTWMVVIVEVRPNLLSGEQEGFFLEDTRQVGDVVLVEDESVMDNEFQMVGLETLVGYNVVTPNGRDIGKVRGYTFNINSGAIESLELDSFGISIIPSSLVSTYALLVEDVLEVVSDTVVVHEAAASRIQRLTKGFWGGQKVGYSMEDLDDYSDFEGQSEYRKDRRRRSSGQTQKPQKRPVVDDEEHWDLPMDYL >cds-PLY83864.1 pep primary_assembly:Lsat_Salinas_v7:3:50035197:50035761:1 gene:gene-LSAT_3X38401 transcript:rna-gnl|WGS:NBSK|LSAT_3X38401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAITGKISREVEIKCHRHLVFELYKHRPHDSSLVHPEKVEACHLISGQWGVPGAVIQWHYYHDGKKETAKEIIEEVDDELHKIVFKVIEGDILEVYNSFTFILTTKDVGDKRFVIWTIEFEKANASIPDPTSYLDLVCEIAGKMDSHFLK >cds-PLY85814.1 pep primary_assembly:Lsat_Salinas_v7:8:173327396:173327974:1 gene:gene-LSAT_8X114541 transcript:rna-gnl|WGS:NBSK|LSAT_8X114541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNSIYIISSWLKRGSSEAAEILTIWGMPGIGKTTLTEYMFTLHHHEFERSSFVEGIGTRCAQQTLLDLQKQLLGDILKKRKIEENNSDLCTSRVEKALSRKKTFFVLDDVDNFEQLDVLIGTKGFYPGSKIIVTTKDGSLTEKCSLFRMKFPPKHTKLALHGLSDTASMRLLCWHAFGNIDPQKGYEKKAQ >cds-PLY86200.1 pep primary_assembly:Lsat_Salinas_v7:4:7225034:7225360:1 gene:gene-LSAT_4X4600 transcript:rna-gnl|WGS:NBSK|LSAT_4X4600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLHCLVVAKVKLVGLSKCHAFTATPILATLMWPFLFKLLLNLRPIKDIVESMGNDSSLFVFQLGQIVMSQATSRRRWQRILRLAQERMSTAQQSYDDTLHTLSMVAL >cds-PLY68650.1 pep primary_assembly:Lsat_Salinas_v7:2:79878709:79885527:-1 gene:gene-LSAT_2X35221 transcript:rna-gnl|WGS:NBSK|LSAT_2X35221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVSPSSSEDTSDTSQQQCSFSISDNHRLYLVPFRWWKEAEGGCGDPDGGTKKKRGVLYDALPASSLYAGPMKILNSIFKSDLAFNLIKKEEEDDDNYVSENNGGEDRDINGVSGRNYALVSADMWLEALKWHSESKGTVKASEDDMTDVYPLQLKLSILQDTNTLGVRISKKDNAVECFRRACKIFSIESEVLYIWDFSGQTANFLLNDNSKNTKDVPRQPEQDILLELQVYGLSDLIKNKDMKKDDVNVIKGSLKMNGCMSMRSEKGSLGLTGLQNLGNTCFMNSSLQCLAHTPKLVDYFLGDHRKEINHDNPLGMNGEIAMAFGDLLKTLWAPGATAVPPRTFKSKLAHFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYVEAKDGDGRADEDIADEYWQNHLARNDSIIVDVCQGQYRSTLVCPICRKVSVTFDPFMYLSLPLPSTSLRTMTLTVVSTDGSAHPNPVTVSVPKQGKFEDLVNDLRNKCSLGNHQTLMVAEVYNNHIIRFLGDPTDSLSLIRDDDRLVAYKLQKDYHKFSQIVFVHQQIEKHAFGLPLVACGEVVKGHDIRDLYFKVLKAFTIKAKNSSTENNGNTVTMPTEDEDEETKNESCSDYEIKFYLSDDNGNVKGCEIVMDELLNSSELTGRVNIVVCWSDKNKMVEEYDQRLLRSPVEIYKPALFSKRPQESISLYKCLEAFLKEEPLGPEDMWYCPGCKKHRQASKKLDLWRLPEILVIHLKRFSYSRFLKNKLETYVDFPIHDLDLSTFVAYSNGRSSHRYMLYAISNHYGSMGGGHYTAFIRHDGDRWYDFDDSHVSPIDEDRIKTSAAYVLFYRRLEE >cds-PLY84353.1 pep primary_assembly:Lsat_Salinas_v7:4:215470851:215472522:1 gene:gene-LSAT_4X121901 transcript:rna-gnl|WGS:NBSK|LSAT_4X121901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAAIFFAVVLTFLLKIWKGKRGNKGKNNKPPQAKGQWPVIGHLHLLGGSAPPYKVFGDMADKYGPILTINLGVHQALVVSYGEIAKECLTRNDKVFAGRPKSMAAELMAYNYAMFGVAAYGDYWRQVRKIVMLEILSQSRVEMLGHVRASELRASMKDLYEAWVTNKERKRFSLMDEEGVRFQNVTKKLFGLLGVFVVSDFLPYLKRFNFGGYEKEMRKTAKEMDDIFEGASKEDFPGFDHDTIIKASCLNILTAALDTTSVTLTWALSLLLNNLRELKIAQDEIDEHVGRKRLVEESDMKNLVYLDAIIKETLRLYPAGPLWFPHESMEDFIVGGYNIPKGTRLVLNLWKMHHNPNIWPDPYEFQPQRFLTTHKDIDVKGKHFELLPFGGGRRMCPGYHFALQALRLTLATLIQQFVISKPSNGPIDMSECFGLTISKATPLEVLLAPRLSLDMFPVGA >cds-PLY63740.1 pep primary_assembly:Lsat_Salinas_v7:9:86436895:86437125:-1 gene:gene-LSAT_9X67500 transcript:rna-gnl|WGS:NBSK|LSAT_9X67500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFVGLLGLGQLAVDGVRALCVFDEAEDVVGGVVAGRAGGNDTYTDGGGGLAVGDAGDGNSGPGGFLGCLKLPFGV >cds-PLY69824.1 pep primary_assembly:Lsat_Salinas_v7:6:3525753:3527324:1 gene:gene-LSAT_6X1620 transcript:rna-gnl|WGS:NBSK|LSAT_6X1620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPVKSNNGATAVVAVDVVGTAPPSSTQAPAPAPMPAANAPPPFLVKTYDMVDDPSTDMIVSWSATNNNFIVRDPDEFAKDLLPKNFKHNNLSSFVRQLNTYVLFYSPYSFTDSYSIAMLVLIRDTLEEEAERLKRDKNVLMQELVRLRQQQQATDNTMQSMVQGLQGMEQRQQQMMSFLAKAVNSPGFLAHFVQQNEATKLITEGNNKKRRIKEDDGQIVKYQPPPPGVMTQEVVPLTPTFGEFYLTDMVDDVVNGDLIEKILENGSLSPGGDVELNFWEQFLEPGPQDMGLGHALMNGNGSPKSELKKLTQQMELLSSDMKQG >cds-PLY70700.1 pep primary_assembly:Lsat_Salinas_v7:3:104983895:104984350:1 gene:gene-LSAT_3X76821 transcript:rna-gnl|WGS:NBSK|LSAT_3X76821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYQRIAFHWIALGSNGPVFTANAACSVRSSRLAAGRDKEDFAASSRSPSLRTPSTLADALPHPVLPFGPSNPGDHIGLRTRPTNDRCFSLPPIRPRRPPTMLPLTKMLPLIYPQPMPTGAASLVSLLLSRSRSIERKKATLSILGALLID >cds-PLY93862.1 pep primary_assembly:Lsat_Salinas_v7:5:332050377:332064201:-1 gene:gene-LSAT_5X185441 transcript:rna-gnl|WGS:NBSK|LSAT_5X185441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKWVSLLKDFKEKVGLTAPASAASSPSSSTSLSPFYPDNNNNATSSSPNYFSSSSSRDKYELELDFKRHWEEFRSSSSEKEKEKALNMTIDVFCRFVKQHSNVAQLITMLVETHIFSFVVGRAFVTDIEKLKLSSKARMLEIESLMGYFLEVTEDGIQPGSNLLQAVEFLVSGPIDKQSLLDSGILCCLIYILNALLGPDGRNTRQKVTSVEEEPEAMDSPGPDRRLEVEGSVVHIMKALASHPAAAQSLIEDKSLQLLFEMVANGSLILFSRYKEGLVPLHSIQLHRHAMQILGLLMANDNGSTTKYIRRHQLIKVLLIAVRDFKPETGDPAYTMGIVDLLLECIELSYRPEAGDIRLREDVRNAHGYQYLVQFALVLSKVQDFEHISSSEGLTRTSDSEMQDVKGRGDTSSEGLSPTLSRLLDVLVNLAQTGPPDTALTGSKGNRSKQTSSSDRFPDDGWEKDNYKVKDIDAIQVLQDIFLKADSRELQAEVLNRMFKIFSSHLENYMLCQQLRTVPLLILNMGGFPPSLQEIILKILEYAVTVVNCIPEQELLALCCLLQQHISSELKHTILSFFIKLLSFDQQYKRVLREVGVLEVLLDDLKQHKFLLGPEQHNGNTDDLEKKSNSSSFKKHLHSKDAIISSPRLLGSSSGKLSLFEAEGTISASWNCLFYLLKKAEQNQVTFRAANGVTTALPFLVSDIHRPGVLRVISCLIIEDSSQVHSEELSMLVEVSKSGMVTSSLGSQYRLQYDAKCDVFGALWRVLGANSSAQRVFGEATGFSLLLTTLHSFQGDKGLIEPSLLPVCMKVFTYLLRVTTAGVCNNAVNREKLNTIISSHTFYDLLSESGLICVECERQVIQLLLELALEIILPPFSTPETATSSNALASSSAAFSVITQSGLFLPDKERIYNAGALKVLIRSLLLFTPKLQLELLKLIEELASAGPFNQENLTSAGCVELLLETIYPFLSGSSSLLSHALKIIEVLGAYRLSTAELRMIIRCLLQMRQRNPGHILVDMMERIVVMQDTASENVPLAPFIEMDMRKIGYASIHVSLGERSWPPAAGYSFVCWFQHHNFLKSNSKDVESSNTVFSKRNTSTTGPQVLRLFSVGATDGGDTFYAEICLQEDGILTLATSNSSSLSFSGLDVNEDQWHHLAVVHSKPNALAGLFQASVAYVYLNGKLRHTGRLGYSLSPAGKSLQVTIGTPLTFSRVSDLSWKLRSCYLFEEVLTPGSIYFMYILGRGYRGLFQDTNLLQFVPSQACRGGSMAILDSLETDLALVSNIQRPESAANKQGSSKTDRSGMVWDFERLGNLALQLSGRKLIFAFDGTSTEAFPASGTLSMLNLVDPLSAAASPIGGIPRFGRLHGDVYVCKHCVIGETVRPIGGISVVLALVEVAETSDMLQMALTLLACALNQNPQNVRDMQACRGYHLLALFLHSRMSLFDMRSLEIFFQIAACEASFPEPKKLEETQHNLSPSVTLNEFDDLNLSSSKFRDEISSASSHEDMDDFSLQKDSFSRMSELDDNDIPPETSNCIVLSNADMVEHVLLDWTVWVAAEVPIQISLLGFLENLVSMHWYRNHNLTILRRINLVQHLLVTLQRGDVEVPVLEKLVVLLGVILEDGFVISELEHVVRFVIMTFDPPELSSRNEISREAMGKHIIVRNMLLEMLIDLLVTISSEELLEQWHKIVSSKLVTYFLDEAVHPTSMKWVMTLLGVCLTSSPTFALKFRTSGGYQGLMRVLPSFYDSPDIYYVLFCLIFGKPVYPRLPEVRMLDFHALMPSDGSNVQLNFLELLDSVIAMAKSTFDRLSAQTMLAYGSGNLNQVGGGLVADLVEGNAEMTGELQGEALMHKTYAARLMGGEASAPAATASVLRFMVDLAKMCPAFSAVCRRAEFIESCVDLYFSCVRAAHGVMMAKEMSIKAEEKNINDVEEAEGSVKTSISMRSFPPVSASSEDVPAVADNMDGIGNKTELIMTLESQIPSSDSEPVDQPSVIDSKQFNFHDLKITPVSVHPTGSPGSPSLSIYDSPILSERSTSRFQSLNSPSQVLGLPSWLGSSNDLKSQYQPLASPSMDSSSLSLTEFDSTPDFRWSPQIPNISNTIFTVHPKLLLDVDDSGYGGGPCSVGATAVLDFISEVLADFVTENIKATPVIESILETVPLYVDAETVLVFQGLCLTRLMNFLERRLLRDDEEDEKKLDKNRWSLNLDALCYMIVDRVYMGAFPQPAAVLKTLEFLLSMLQLANKDGRVEQAPPVGKGILSITRGTKQLDAYVHSVFKNMNRITMYCFLPSFLISVGEDEFLSRLGLQIEPKKRLVPHVSEEDGVIDICTVLQLLIAHSRIIFCPSNLDTDLICCLCINLISLLHDQRPNAQSLAVDILKHLLVHRRAALEDLLVSKPNQGPVLDILHGGFDLLLTGSLSIFFDWLHMSELIVNKTLEQCAAIMWVQYVAGSVKFPGVRIKGMDGRRKKEIGRKSRDSMKLDQRHWEQVNERRIALELVRDAMSTELRVVRQDKYGWVLHAESEWQTHLQQLIHEQGIFSMPKSFSSQELEWQLCPIEGPYRMRKKLERCKLKYDTVQSILNGKFEFEEPSKEMTGNDIGDFDSDSGPFSNLLLNDGKQESCSDEMYEDSVFKESEGGKDENPIRVGWNNDQDSSINDDSLHSAAEFSMKSSSATVPLSESIQEKSVVSSPKKSASMRFDEVKGTEDKVDKESSNNGEYLIRPYLEHTEKIKFRYNCERVVGLDKHDGIFLIGELCLYVIENFYIDKSGCICEKECEDELSVIDQALGVTKDFSVSMDSQSKLTSSWAVKAHTGGRAWAYNGGAWGKEKVTNSGNVPHLWRMWKLNSVHEILKRDYQLRPVAVEIFSMDGCNDLLVFHKKEREEVYKNLSAMNLPRKSMLDTTISGVAKQESAFKIMAKSFCKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLSDYESENLDLTDEKSFRKLDKPMGCQTEEGEDEFKKRYESWDDPEIPKFHYGSHYSSAGIVLFYLLRLPPFSTENQKLQGGQFDHADRLFNSVKDTWSSAAGRGNTSDVKELIPEFFYMPEFLENRFDLDLGEKQSGEKVGDVVLPPWAKGSAREFIRKHREALESDYVSENLHHWIDLIFGYKQRGKAAEEAVNVFYHYTYEGSVDIDAVTEPAMKASILAQINHFGQTPKQIFQRAHVKRKKDRKPPINPLKHSNYLVPHEIRKSASSIAQIVTSNDKILMVGPNNLLKPRTYANYVAWGFPDRSLRYISYDQDKLLSTHENLHGGNQIRCASASHDGQVLVTGADDGLVSVWRVGTHPGFRAPRSLHLEKSLSAHTDKITCLHICQPYMVILSGSDDCSVIIWDLSSLVFIRQLPEFSSPVSAIYMNELTGDIVTAAGIMLAVWSVNGDCLAVVNTSQLPSDFIVSVTTCTFSDWQETNWFVSGHQSGAVKVWQMVHNSSEVFETPHSKHFATNLSFGFGLEKVPEYTLVLRKVLRGHKHSVTALHLTGDLKQLLSGDSGGHLISWTLPDESLRNSIRRG >cds-PLY69631.1 pep primary_assembly:Lsat_Salinas_v7:5:112426751:112431136:1 gene:gene-LSAT_5X49740 transcript:rna-gnl|WGS:NBSK|LSAT_5X49740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTYLSTPKTEKFSEDGENGRVKYGLSSMQGWRATMEDAHAALPDLDHSTSFFGVYDGHGGKVVAKFCAKYLHRQVLKHEAYSSGDIETSVEKSFIRMDEMMRGQRGWRELSVLGDRINKFSGVIESLIWSPRGGETSHQLDEWAFEEGPHSDFTGPTSGCTACVAIMRNNQLIVANAGDSRCVISRKGEAYNLSRDHKPGLEVERDRILKAGGFIHAGRVNGSLNLTRAIGDMEFKQNKFLSAEKQIVTANPDVNTVELCDDDDFVVLACDGIWDCMSSQQLVDFVHEQLKSESKLSTICERVFDKCLAPSTSTGEGCDNMTMILVQFKKPIQASTAEAQEIEQVDEGKLKNAADE >cds-PLY85151.1 pep primary_assembly:Lsat_Salinas_v7:9:148181149:148181514:-1 gene:gene-LSAT_0X24661 transcript:rna-gnl|WGS:NBSK|LSAT_0X24661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKRNKPTFAGGSSKQWKDTIETEDTASSKPKEEQIEEIELGNIEIMQEILSYRNRKGVCPWENPYDLQRFCFPYIHVGIGNEGRWLKKIEEMKNKFNDESAPMEDVDKKEFKLWKKIWRN >cds-PLY84478.1 pep primary_assembly:Lsat_Salinas_v7:7:129003297:129003989:1 gene:gene-LSAT_7X78841 transcript:rna-gnl|WGS:NBSK|LSAT_7X78841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVRTTVSGDCVYFGAMEIKDLKWMQNPQVSFAKLPDPVWSAAWLNDFSLTRQVRSKATPDVVVTLQEFVRVNMKPNSITYNHLIHGYCKEGKLVVLKRAMSDRFDTGKKMNNIRSYVRMVFIMNKCNVLMQKYLGPLGNVVDSYDLPIDNSHERLHPNKILQIIRKKHIEKYIEMSSKIAKNKKDGEVVVISNERNFAPGRTSHSEFTEEDSYSEVKESKESQIEVII >cds-PLY67874.1 pep primary_assembly:Lsat_Salinas_v7:5:5482780:5495965:1 gene:gene-LSAT_5X3401 transcript:rna-gnl|WGS:NBSK|LSAT_5X3401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMELNLNAKDAGDWIYRGEGAANIILSYSGSTSDFVGKVLRVQKVKRNGTNYEEVPSDLSVHEGLFWNEDCDLLSAPTREIAEHLYVQHVMSPRLGSNHVDAGVRVLVSRDFLEAVAKNVFSQRPSWRVTDADVNTQCDYALLISDHSVFPQALRDEEFSISVEIKPKCGFLPCSNYILEEHTMKRCMTRFKLHQTFKLKHKKVSQLSRYDPLDMFSGSKERILKSIKDLFSTPQNNFRVFLNGSLVFGCLGGGKYRTNVRYDRALEDALKFVIQADDGMRTTCFLELVSEAVFRSGLLDRLLQVQKLDVFDIEGAIHAYYDVVSQPCVVCRELGEESDRYTSLHTIPLDESLKIVKEYLIAATAKDLSLMISFRTREKEDPKSAYNVILHESIGQSFDYKVSFIDLDMKPLGKMMHYYELDQKIVRCCMKMMKNEISANVEEKTSYQDKSIVVEDDYPTRELADRGKKKNKLNNRLGGTDGGVGFCDGWEITRRGRRGGRPLPAIVAMKGKAFDPHLIEEEEVRTKHDRGWGFSWDFSADSKEGRRQKAVTGVLLSFYGSIGNNTGGNRMVKQIMAHNY >cds-PLY74854.1 pep primary_assembly:Lsat_Salinas_v7:8:106308753:106309508:1 gene:gene-LSAT_8X72460 transcript:rna-gnl|WGS:NBSK|LSAT_8X72460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPSFFGGRRSSIFDPFSLDIWDPFKDFPFPTPSDASTETSALVNARVDWKETPEAHVFKADLPGIKKEEVKVEVEGDRILQISGERNVEKEDKNDTWHRVERSSGKFTRRFRLPENSKMDQVKASMENGVLTITIPKEEVKKPDVKPIQISG >cds-PLY96268.1 pep primary_assembly:Lsat_Salinas_v7:6:157799942:157800614:1 gene:gene-LSAT_6X95660 transcript:rna-gnl|WGS:NBSK|LSAT_6X95660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEERRGAPYGILLAVVVVVLIGIPMFLGDGGEAVTEFIAELLSPLGLLLLPIVLLLLIQYLSSDSGSFVSNIFSTGEPNSIHRASGSPVGVGLVLLLVLFLLYNKFSIFGGDEDSDE >cds-PLY80150.1 pep primary_assembly:Lsat_Salinas_v7:3:41326780:41328665:-1 gene:gene-LSAT_0X37081 transcript:rna-gnl|WGS:NBSK|LSAT_0X37081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:Projected from Arabidopsis thaliana (AT5G13120) UniProtKB/TrEMBL;Acc:A0A178UN74] MALSFTSLSNVGSLSASRSISPVKAVHTSSLSSTKLGKSTLLASSSFLSNSLRLSSSTNPPFLRKSGGLTGTVRASAQEVATQAKVTNKVFFDISIGNPVGKLAGRVVIGLFGDDVPQTAENFRALCTGEKGFGYKGSAFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFNLVHTGPGIVSMANAGPNTNGSQFFICTVKTPWLDQRHVVFGQVLEGMDIVKLIESQQTDRGDRPVKRVVISDCGELPLV >cds-PLY63662.1 pep primary_assembly:Lsat_Salinas_v7:4:131750985:131751335:-1 gene:gene-LSAT_4X81941 transcript:rna-gnl|WGS:NBSK|LSAT_4X81941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVDVLHDNQSSDYNLDVDIGDVSDSSVVIERCDAPIVDDQTFHCNLVAGLGYLPMQTTLGEFGESSDAPIMEDENFIDDDEEHSDSYPSEDEIFDDNNDNDDEPIDAYYSSDDYD >cds-PLY62901.1 pep primary_assembly:Lsat_Salinas_v7:4:327526623:327529418:-1 gene:gene-LSAT_4X162681 transcript:rna-gnl|WGS:NBSK|LSAT_4X162681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTFPLLLFPFLLLFSTTTAQPYKPTDYFLLNCGGATASTDRKWDTDERSEFMPSTTTSFTSAPNNRDPSVPEIPYSTARIFITSFTYTFPVAKGPKFLRLYFYPATYSRYKADQSFFSVSSNGYSLLSNFNAFQTSSYLRTRITHSNKVPHFVKEFIIYVNHTQTINITFTPSPESYAFINGIEIVSQPEYLYYKSKKIKYIGLSSGPMIIENTCLENIYRLNVGGEKISPIHDTGMYRSWDQDDPYLFPSRTVTQVNKTPIVYTADTPKYTAPELVYATQRSMGATSENRNLTWQLPVDSGFYYNLRLHFCNIIPDYTRKYAVIFKIFISNQTAEEYADLFYWTKGTGFPVFKDYGVFVYDFDGSGRKRDLWLAMGPNDYSEQYYDAFLNGLEVFKLSMNGSLTDRDSELRPMVRPPSSPVKNKTPLYAAIIGGIGGALILMLSTLGFIVFQRVKHSGNKSSGEQKSKDNRLPSGRCRRFTIKEVKDATREFDKNCIIGRGGFGMVYKGYIDNATTTVAIKRLNALSKQGFHEFQMEIGLLSKLRHVQLVSLIGYCDDEGEMVLVYDYMSHGTLQDHLYKGNNPNLPWKRRLEICIGAAKGLDYLHTGANRAIIHRDVKSTNILLDENWVAKVADFGLSKLGPKEKGVDHVSTAVKGTFGYMDPEYYKMQQLTEKSDVYSFGVVLLEVLCSRPVIINRGVSDEEVNLAEWGRLNYRKGTLKEIVDKRISDEIAPNCLMKFGEVANSCLRMKGSKRPKMDEVVWGLEFALQLQEAAEKRGGMVGELIGGMSENQEYMFPVKGEDVSVNEEYEFEGSTGVGIQHGLVSTDSSSREGLVSETVLPR >cds-PLY81401.1 pep primary_assembly:Lsat_Salinas_v7:8:31819460:31821616:-1 gene:gene-LSAT_8X24940 transcript:rna-gnl|WGS:NBSK|LSAT_8X24940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTKNTPTPVYPKFIPVEQNQITSVSKSEGLKFRVVSYNILAQVYVKSSVFPHSPSPCLKWKARSPIILDLLKHLDADILCLQELDEYDTFYKEKIEQNDYSSSYIKRSGRKSDGCGIFYKHNKLELVIEETIDYNDLANLLLDEASRVEQKEKDLDSKGKGDPNDPYVRLKRDCVGIMAAFKFKKPYQHYIIVANTHLYWDPEWADVKLAQAKYLSSQVAKFKKMVSEKFECKPSVIITGDFNSIPGDKVYQYLVSGGSVECLEDEPPINLSSVYACTRGEPRFTNYTPGFTGTLDYIFFSPSDEGIQIEPVSFLELPEAESADVKGGLPNYFHPSDHLPIGAEFLVV >cds-PLY92030.1 pep primary_assembly:Lsat_Salinas_v7:8:241174600:241181215:1 gene:gene-LSAT_8X145621 transcript:rna-gnl|WGS:NBSK|LSAT_8X145621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPYNEDESSGSDSDAGFDEDMEALRKACSVTGVDDSVDDPPKASTTCSDSGDTYASEDEDIELLRSIQQRFSVPTNDIGSEQYPISMKPLSIIMPSGLSDENEDYGEDFETLRAIQRRFSQYHDSKNNNKESYVQRPEQVGATNIELEKESSPNFFVKSINNGQGFPDCVDGSNTNQNLELSSNITPETPYANDSEGHEPGSEIIPAPDCGFPRSAQAFVEAIKKNRICQKFIRNKIGQIEARLEENKKLRERVKILKDFQVACRKKTGRALSQKKDPRIQLISVPKQRSNAAKDKETHAINQGPAENCHVADYRDAMSKYPFCLIREPWSTEENENLLKGIKQQFQEMLTQNLFSGEDVDCHDMDNMLTEITHREISAEEIRSFLPKVNWERLASMYVIARSGPECQSRWMNSEDPLINREPWSLTEDKKLLYTVQNRGLSNWIDIADALGTNRTPFQCLSRFQRSLNASIIKNEWTPPEDEELRNAVAEYGETNWQLVASTLEGRTGTQCSNRWKKSLNPMRERVGKWAPDEDKHLKIAVKLFGAKNWNKIARFVPGRTQVQCRERWVNCLDPALNMNEWTPEEDLKLKHAISEHGYLWSKVAACVPPRTDSQCLRRWKVLLPHEVPMLQRARKMKKAALISNFVDREHARPALTVNDFVAPLLLESPPEPNQDHITSDNQNQRAVPRIRSRRARKVNPTEKVLKLIDEDEDDGDMKGNNNRISKRKQSLSKNNPNINISSQESELSDLNVDGDNSEIKKYARKQKRRGNKEYEEDGTFLNQKKTKRSHLSLPTENNKSNISSGEIINAQAHESHTWNQTQRRGNKKKNRNKQHDKSDEAFEPLVAAISDKKTNPNPNPNCNRGDICSPSSTTEAPPIEKAPSNTTPRKRKMQEGDDEETIGNFFGRLKRRSCSKIDDDEIGDEETIGSFFGKLKKKKRKT >cds-PLY75737.1 pep primary_assembly:Lsat_Salinas_v7:4:334583420:334584206:-1 gene:gene-LSAT_4X165900 transcript:rna-gnl|WGS:NBSK|LSAT_4X165900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLLNTQIALCLVLLALIHSSGADVSNAVKEHSLRLITDELDWPTSSMYDGIDEIDGVNDEDASTVAGRRSLFWKRFGYYISYGALSANRVPCPPRSGRSYYTHECWRARGPVHPYTRGCSAITRCRR >cds-PLY71981.1 pep primary_assembly:Lsat_Salinas_v7:8:148750754:148754706:-1 gene:gene-LSAT_8X100261 transcript:rna-gnl|WGS:NBSK|LSAT_8X100261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASVQCRQEEMSCGETKEKEKGDDLSLFVDFNGANLDIDLHKKSNQFQDIFDSESDNPKMPETRSIIIQGHHPAAVDLLNSENNTTDYDWLLSPPRNSVPSLEMEEQKTLTSQHLISNGQSTSPKPRLENPTLDTTSTTKVKVASKHPSSSIKSSNATIRRPSPSRKPSSTVSRSSTPTTKPSRSSTPTPRPTIPATKPLPPSKRSTTPTARSSTPTARPSMLPRSSTPTTRPSIPATSKSSSTSRSSTPTQRPTNSSVNKIRSTSVTKARDPAPPSIKKSLPERPASALRGRPGLTETNVKQIPKRASLSPSRGPVSNGVKTGVVKNRGYSNGNDDVNPVLMGTKMVERVVNMRKLAPPREDPVVNQNNTSGKLSVSQDNAGFGRTLSKKSFDMALRHLDIRRSMPGNMRAMITKIPASSVYSVRSESVKNHTISASDSPLATSSSASSEHSVGNNQSFVDGEITNKIGMGFENGH >cds-PLY91310.1 pep primary_assembly:Lsat_Salinas_v7:5:250931813:250931968:1 gene:gene-LSAT_5X126000 transcript:rna-gnl|WGS:NBSK|LSAT_5X126000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIANAKENARIHNPQMTTNSLLWLFLLLSVCFFCRNMSNFGVDDGATGLR >cds-PLY95481.1 pep primary_assembly:Lsat_Salinas_v7:4:264305637:264307642:-1 gene:gene-LSAT_4X136941 transcript:rna-gnl|WGS:NBSK|LSAT_4X136941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTGPNNLNAKLVLLGDMGAGKSSLVLRFVKGQFLEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITSMESFTRAKKWVQELQKQGNPNMVMALAGNKADLEEKRNVTAEEARVYAEENGLFFMETSAKTATNVNDIFHEIAKRLPRAQSTQNPAGMVLVDRPTQGARVGGATATCCS >cds-PLY94314.1 pep primary_assembly:Lsat_Salinas_v7:7:165893621:165894399:1 gene:gene-LSAT_7X98321 transcript:rna-gnl|WGS:NBSK|LSAT_7X98321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFRRMIIHLLYIHANMEQENSMKRWWFNSMLFKKELEHRYRLSKCRLGKSMGSLGRIENTNESKDPNRNDTYKYIQGWDGHDNYMKDSNGDTFSIYFDIKNHIFEIANDHPFCSELESSFYRNSSDLNNGSKSKNPDHDRYMDDTQYTLNNHTNSCIDSSNDNSSNNNSRKNNSSNNYISGSICSQNGNSSENEDITMSDETIPESFTHMGVTQQYRHFWVQ >cds-PLY91197.1 pep primary_assembly:Lsat_Salinas_v7:4:124773395:124773577:1 gene:gene-LSAT_4X78701 transcript:rna-gnl|WGS:NBSK|LSAT_4X78701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSLVQLLFSLPFGFSLPHRLSSSTMCFAFPGHNRDARKREQFRPEDVSTMGFHSIWSSN >cds-PLY85806.1 pep primary_assembly:Lsat_Salinas_v7:8:173362726:173367235:1 gene:gene-LSAT_8X114481 transcript:rna-gnl|WGS:NBSK|LSAT_8X114481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILTELSEEHEAEITYDVFLSFRGKDTRLGFMDHLYQALVNENISTFLDEEEVETGEELKPELARAIKSSRASIVVLSKNYASSTWCLDELVMILEQRRVSDHIVLPIFYNVEPAHIRKQESTFGEALFQHKKRIESEKDVEKKIQGAHQLKLWTKGLTEIVDLKGKDATGRRETEVIEEVVKEISTRLELHLQRRMRIPHLIGMDDSINTISSWLKRGSSEAAEILTIWGMPGIGKTTLAKHMYMLHCHEFETSSFVEAIGERCAQQTCSQLDLQKQLLGDILKKRNIEENNADWCTSKVEKALSRKKTLLVLDDVDNFEQLDVLIGTKGFHPGSKIIITTKDGSLTEKCSLFCMKFPPEHTKHALHGLSDTASTRLLCWHAFGNIDPKKGYEKEAEHVVKYCGGHPLALKILGSSLINEDAAAWSAVLEMLESKGYLIDVQEVLQISFDSLSGDCKELFKHIACFFVGKEREVTETILKECGFQASYGITELIDRCFLAIGDDNELTMHQLLQEMGRDLVRKESPDKPWKRSRVWKHEESLNLLKNDKGTQRIQGLILDMNLFREETLHRSISSVTEHNIQNDVNKSYRAAQPMQMVYEFFLIMWLFFARLLLMLSSSHCKKVELRADALRKMDKLKLLQLNNVKIEGSYKNFPKGLRWLCMRGFHSKFIPSDLPMKNLVSLDMSYSNLTQLWKKPKVLGSLKILNLSYCKLVRVEGFSGLPALERLFLKNCKSLVHVCESIGGCNSLVIVDLSYCSKLSNVPVSISKLKNVRSLSLDGCLGASEFLMRMKDMESYAFSSSVGEFLSKSPKSFLLPSLVTLSLKGNNLSNESFPNDFSSMSMLKRLYLNKNPIESLPDCVRSLSRVEVLDVGECWMLKSVLCPPRTIKYLSAKFCSSLIKITFPQEMSALPDVYYRNSESLTEIKGILKIEAIAQTDEQILCRLGWTNIRHVKDHQVWIWDLFISSRPKNLPIQMLYEFGIFSTCFPGKAVPDWVPHKSSGSSISFTMPSSSMNKKIEGINISFVHTFSGTGMVSSLRTKVQNVTKNRTWIYYGYIFAVREGDEDIVWLSHWMFGDNEIENGDEVSVTIVEEEEDGGVMVRECAVNPVYKDRDNEKDPLSYYKSWKHIIGGDLSAFQLTSGDYFLNHDRFFNPPHRFEDLFEHKTTQNLLGYTPQFKGTSLIFKCLNISKSL >cds-PLY77664.1 pep primary_assembly:Lsat_Salinas_v7:5:175599000:175613553:1 gene:gene-LSAT_5X77541 transcript:rna-gnl|WGS:NBSK|LSAT_5X77541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGPECCENPPTLSSGGESGDVLQIASLNSYVTGNTDSKIAVVLISDVYGYGAPKLRKLADKVASAGYYVVVPDFFHGDPFNGDPSNPDSPLKDWLKNHAPVEAVAFAKAVIQALKEKGISKIGAAGFCWGAKVVVELAKEAEIQVSALLHPSFVTLDDIKGVKVPIAILGAEIDRMSPPELVKEFELALEAKPEIDHFVKIYNGVSHGWTVRWNDDDEIAVKCAKEAHEDLVAWFGKCLV >cds-PLY67620.1 pep primary_assembly:Lsat_Salinas_v7:5:24553607:24561035:1 gene:gene-LSAT_5X12101 transcript:rna-gnl|WGS:NBSK|LSAT_5X12101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKDTNNGESDVDCTQYYDITMNILFAMVSEPYYLLHFLTFFSYFSIRFSTSQLFSPEFAGHLLRRELQALLAFVTLTAVKMVKEETWDGFVADMLVFAKIFPVGISLVLDYHLTLRFMLAFLVIYIFRIQPPYTGLGSSAQLTPLQLEALLTEGGTSKFWLMVKEETWDGFVADMLVFAKIFLVGISLVLDYHLTLWFMLAFLVIYIFTLQPPYTGLDQVVFDKVAEINASKESWNIRVKVVMLWKPTYVNNPNMVTSLNMILIDQEGSRIPATIKKNLIPVFESLFDEGAVREIINFAMASNESEYMLVPHKHKINFYKTTKVRVSNDFVDTVDPYHFISFPDLLAINFDTRVAFDFLGEVVSSDPMRVIVEYGREKRLMNLVAQDLSGTRIAVALWGSFAMKLNTYISQHNNDIAPVIILLHLAKLKIWGGQPQVGNCLFGSRLHINDDMPQILEFKSKINALDTNVESSSCTSQLNSNTVVANPEDYYLRFQIKNIDEIPDFNEEVGLTIIATIIGFDMDDGWYSFYCRDCSKKVTKNDDDVDAGPFHCDGCGFVSDVFGKIRIVVRVQDESGSSSFVLFERHVKDLIHRGNQWLMDKIAKNNYRAYTVHKSTDDERVLAEVTKRSSNHQHDHINDNGTPVNKPNKENTNSVHDDNLDVVDLEAVTPSSSTGKRPIEIDANNDSLEWSSSKTCVVRDTLKIPKLEKLD >cds-PLY97987.1 pep primary_assembly:Lsat_Salinas_v7:8:286128451:286130911:-1 gene:gene-LSAT_8X161620 transcript:rna-gnl|WGS:NBSK|LSAT_8X161620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSANEVHKKVADLFQDHQDLITEFTNFLPQSHANSIGIHNTRNEHSSSSLTKKRRFWSKEETMASHTEDVEIGGQPNPNHGKAFAKCHEKGKRKRSTTGGKLKLGSKRSTTSIKDKHKAKLSQDLDLSTSESCNPSYKLVPRDCPKLPKANQRKTMDDEVLNDHWVSVIYEKEGHSFKPLIKNQYKEILFQHEDDSEANSKEDNSHQVPHLDFKYYDLYIHGDFFKLIKVYGSGIFSSKQLEDVLKIWTCLVEPLFGFVQIVETSNDNYHEFVTNEVDLFSLVDLEKGGFVACMGDRMKVDNTTEDVGKQNYHDKHQESDLGKDVGANNLHVFYGDDAFYIFFRLHHELYSRLQEAKQSTSEKWQRLNDTTCNDSNAM >cds-PLY68372.1 pep primary_assembly:Lsat_Salinas_v7:7:1315130:1315507:-1 gene:gene-LSAT_7X301 transcript:rna-gnl|WGS:NBSK|LSAT_7X301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVNRILKHGKKSLAYQIIYRAVKKIQQKIETNPLSVLRQAIHGVTLGIAVKARCVGGSTEQVPIEIGSTQGKALAIRWLLAASRKHLGRNMAFKLSSKLVDAAKGSGDAIHNQEPYITNISIIK >cds-PLY63307.1 pep primary_assembly:Lsat_Salinas_v7:3:89990395:89991529:-1 gene:gene-LSAT_3X69361 transcript:rna-gnl|WGS:NBSK|LSAT_3X69361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEPLLHTFAFVGLDLDVSSSKSLADSLDWAVGDDPYFNKLICILATRCMTQVVYFCSGDLSPPKCHHYGLVAPLYYFIHISPHQLEDMLLISGYISDLLLQWHCSCQPLGSPQYTAPMTGHMWSDISPFRSANYCPILHLHQVKNHEFLTEASHPPCYVFQDTVWAPFLATVRVRAVLVVQDTVWGFGCC >cds-PLY63696.1 pep primary_assembly:Lsat_Salinas_v7:9:86829792:86831609:-1 gene:gene-LSAT_9X67000 transcript:rna-gnl|WGS:NBSK|LSAT_9X67000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTGHIPKFLGTLSSLDLSSNLLNGRIPESIGELTDLTYLNLGSNQLMGPIPSSLGRLVSLQTISLSSNMLNGNIPVSFGQLLKLRSLVISNNFLEGVVSEAHFSDLSMLKKLDASSNRKLTFNVSHEWVPPFKLVSLRLSSCKIENGFPQWLRNQRELKTLVLSNASISGPLPTWLQKTPIIPYLDLSHNKLSGSLINLPNGGNFHASAYWALFLGNNLFNGSIPRSLCKTDLDFLDLSKNKLTGKIPNCFDNMQRLMTMIFSSNRLSGVIPNTIARNSLSLLRLSLNDNSFVGELPEELGNLGDLCILDLGDNEFSGNIPEWIGENLTSLIVLRLHNNNFTGRIPQSLCKASNLQILDVAYNNLTGTIPQCLGELKAMVNSSGVHSMIIPFDSDENVFQVMKGVDLEYTTTWDMVFNMDLSSNKLVGEIPVKLTQLAMLMGLNLSNNHLIGDIPHNIGNMKKLFSLDLSGNELIGVIPPSMAALNFLSHLNLSYNNLSGQIPKGNQLQTLNDPTIYAGNNYLCGAPLSRNCSNHKVPTILHNNNTKVWFYADVMSGFTTGFWGVIGVLFFKKQWRHNLFIFAKKTVEKIQVVTIAKMKREREA >cds-PLY98690.1 pep primary_assembly:Lsat_Salinas_v7:5:303416364:303417011:1 gene:gene-LSAT_5X163020 transcript:rna-gnl|WGS:NBSK|LSAT_5X163020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILPILAIDTDGLQCLCQSGTSTPQFWCSIEKSSKMRISKTNKVVIHPESPVLPVLFSSFLVNDKGVNTPPLGKSLSTKLSRRIEESFECLKLWQNFMGATNEFKTNNHVDSVRSQIVHTEAILTHNLIEEVCCWQPQTSLEDWNGDSNFPLRQTRNYSPLRGYSTPCLGRKPNRSLRPVNSLGEGTISVSKPAIVCRKRERERERSSKSKKKQE >cds-PLY79902.1 pep primary_assembly:Lsat_Salinas_v7:8:19203581:19205338:1 gene:gene-LSAT_8X15040 transcript:rna-gnl|WGS:NBSK|LSAT_8X15040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESVISELEGTLLKNHDPFSYFMLVAFEASGLIRFASLLLFWPIIKLLDACGKPDFGLKLAIFLATAGVPMSEIESVARAVLPKFYFDDLDLDAWRILNMCDRRVVVTKMPRVMVERFVKEHLRADEVIGTELVVSRFGFATGLVQDCGFGSSVYDRVSAMFEGQQPSLGIGKCHSGSSFLNLSKEQVSAPYIIKDYQKHRTHQELRPVPVIFHDGRLVKRPTPSTALLILLWIPLGVILAIIRIMVGVVLPMWAIPYVACIFGSKVIVKGVPPPPPSASNGGVLFVCTHRSLMDPVVLSTVLQRSIPAVTYSISRLSEILSPIPTVRLTRVRHVDADRIKKELSRGDLVVCPEGTTCREPFLLRFSALFAELTDRIVPVAMNYRVGFFHATTAKGWKGLDPIFFFMNPRPVYEVTFLNQLPAEATCSSGKSPHDVANYVQRILAATLGFECTNFTRKDKYRVLAGNDGTVSYTTVLGRVQKMGKTVRKVVGTVKPFIFN >cds-PLY97680.1 pep primary_assembly:Lsat_Salinas_v7:8:6170758:6171386:1 gene:gene-LSAT_8X5361 transcript:rna-gnl|WGS:NBSK|LSAT_8X5361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVAPAIEKDAQKTIPSPWEFSCDLEVDYKSDENAGIVYSTLAVDKELQPDKVKRLMSVSDGKLSVHFEAVEARFLRASYSAFMDALTLATKTIEQFGDLEHQNI >cds-PLY75466.1 pep primary_assembly:Lsat_Salinas_v7:7:72110884:72111783:1 gene:gene-LSAT_7X52320 transcript:rna-gnl|WGS:NBSK|LSAT_7X52320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFMTRVFFLIAMVAYLTSVAHAIAGQATFYTPPYVPSSCFGFEDRGVMILAANSGLFANRAACGDRYRVTCTGRTNDGVLNPCTGRSVDVTVVDLCPGCAANQVDLSQEAFAVIANTDAGRINIEYNRI >cds-PLY69113.1 pep primary_assembly:Lsat_Salinas_v7:2:130805932:130806237:1 gene:gene-LSAT_2X63201 transcript:rna-gnl|WGS:NBSK|LSAT_2X63201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKVLDKGTTTSLGDSRSLLMKLDIGGVALCRRKGTPPAGCRWKASERRGSTSWLSLESAGRLGGVGRRSKVGGVGTERNVGGGRGFRRLELRRRERVLSK >cds-PLY89476.1 pep primary_assembly:Lsat_Salinas_v7:8:91563793:91565511:1 gene:gene-LSAT_8X64981 transcript:rna-gnl|WGS:NBSK|LSAT_8X64981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIVSEHKRRYALLLAARDSEYVKKVYGGYFNVFVAAFGEEVEERWDSYRVVDGEFPDMNELQDYDGFVVSGSPYDAYGDDNWILELCVLLQTLDSMQKKVLGICFGHQVLCRALGGRVGKSQSGWDIGLRKVEIIQNFSPCSFLESLTEIPPALSIIECHQDEVLEVPMGAEVIAFSDKTGVEMFTIGDHILGIQGHPEYSKDILNNLIDRLLNQDAIEIGLAEDVRSKLEIAEPDRKRWQEICKAFLKGSENQRQKYVLNTEEVMFS >cds-PLY76984.1 pep primary_assembly:Lsat_Salinas_v7:6:65289097:65293304:1 gene:gene-LSAT_6X47721 transcript:rna-gnl|WGS:NBSK|LSAT_6X47721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVETSRDCVDNVYNFFAWGSYLWDFTFVDLEDTWNKIHHYLSLPQRGQTLKYSVSGFTAPIRIWIYEMIPAVRACGFALRKNKDLPRMKRWSGTKKLKWVDVNKIWSKMQEGLPPRQNMLPGDGEMTSFYYMSFQEYVYGEGKAVPSPVRDHFRRQDESSSSMSSSGRSHGRGRGSGKHKLDELLKRVHALEQHVFMNQQKPTEVFFEEVNNEQFWNDIIFEDPTVSQRNYDEQVVQDEVMNKKNTTQNVFGDTQDENERNEYAGNKFDDDVFDVNDYSEVKEEWEERNDNAGNKFDDDVPDEDELIITGNVDYFHDDDDDKEVTPDKPRSRKPSQFLCTPYTELHTTPKQKRRTKKKVGMKSTSPVPPPVFGVAHDFSMLRLQPYVAGGEDVIQNYVLHSYDVQHRLFNFVLDRDFWSSLFGHTHDGWLESAHITIWYRLLMERRFESDRHTIMPPNFFVSHALEEGQDWRAFMAGIATYPNFMVAWWDVDTVLLPIHSSPNHWLFGELRLASMEVHIYDSLGRGAYEKFQSEGIFSKFERRVANYLDKIKYWARRNIPRIPLNMQFIYEENVPQQSSHLGDCGVFLCMFMEQLVSGQPIRVLIDPKNAALEFRLRMAKIIWGSSLAPL >cds-PLY96324.1 pep primary_assembly:Lsat_Salinas_v7:5:193102349:193105722:-1 gene:gene-LSAT_5X86441 transcript:rna-gnl|WGS:NBSK|LSAT_5X86441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQLTALIENKPFKSSKNYFQFPKNPPNETSDTLELDFSDTFGPLPLPAGNSEIPSDDPVVIYSRSHSLVGPTPCVDMVVLTDGSRILGLGDLGVQGMAIPIGKLDMYVAAAGINPQRILPIMLDVRTNNQQLVDNPLYIGLRQPRLEGDEYISVVDELMEALHARWPKAIVQFEDFQFKWAFETLERYRKKICMFNDDIQVKKVKPHVLLGLSGVGGVFNEHVDAKLKDAVEKEVGRIRGLVGLAFSTAQKVRDRSIFFFLIKSCFC >cds-PLY86920.1 pep primary_assembly:Lsat_Salinas_v7:5:266075006:266076280:1 gene:gene-LSAT_5X137761 transcript:rna-gnl|WGS:NBSK|LSAT_5X137761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLDFHSTRSGSFRVQMIMLFSSSIACGFVFCNHYLILLQFSMFMCSPMGSSHHRACIWVALRDPTLAVRERVVEAFRACLRVIEKRDTLACAVGSGSQISVQGNSSELDHGLLNHWLSSKFTIQGSGC >cds-PLY62954.1 pep primary_assembly:Lsat_Salinas_v7:2:212414522:212417571:1 gene:gene-LSAT_2X132161 transcript:rna-gnl|WGS:NBSK|LSAT_2X132161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIIMGKLWVGGISLFFVCATTTLFSGANSCLENERRALLRFKHSLALDPLDSGRVSSWDGNNCCHWEHVGCDNATGHITRVDLRIDFRSNQWQDEGNELDSSLAELTHLSYLDLSGIKFRSSPIPEFIGSMTQLRYLNLSDTGFSGIVPHWIGNLWNLRELGLSERGLVIDDFTWFWSLLALEHLDLSRLSIDEAPNLDKVLLHMVLSLQSLRLSGCQLSNSHFSHTHLNSNITLSTIQTLDLSANLFEGEFPLFLQNLTSLRVLDLSYNLLNASIPVMNGILELYLGGNRFPRIQDTGMWRLCRVKVLDLSDNYMEGELIGPSTECSQFALEKLYLSRNKCSGEIPTSLERLTALTSLYLDHNQLTGRIPKSLGDLKSLQELDLSSNNLTGLIPFSMRQLSRLENLYLNSNSMLSIPSWIGNLSELRSLDLSSNLLQGPLPDTFGQLSKLVFLDISNNSLSGVVTEAHFTNTSMLKHFAATSNHGLTFKIPPNWKPPFQIRNLMLGSCKIESEFPPWIQSQRSIRILILSKTSIFGPLPDWLHELPIFLILDLSHNFLHGPLTNLPFNQTTESSSPAPFDLRFANYEGEQLGVLLLKNNLFNGSIPDSVCNATDLFILDLSRNTLSGSIPDCLGNLSQLMVIILSSNRLSGVIPSSLSNLGSSLYWLHLNNNSFHGELPETLANCTSLNVLDLGENRFSGSIPKWIGEKITSLSILRLHKNNFSGQIPVELCKCTTLQILDLGHNNLTGKIPGCFQNFSGMIKSGGYSIYFYREFEQSLIQGMKGVPLEYTTTMTYVINMDLSSNKLVGEIPGNFVLLAGLLGLNLSNNHLTGHIPDRIGDMHSLMSLDLSGNQLSGMIPQSMSLLTFLSHLNLSHNKLSGRIPTGSQLQTFNDPSIYAGNNELCGKPLLINCNSNQVQLENAGNAQEDEDHDEEMWVYGATSGCATGFLGILGILALNNRWRLALFKFVGGFIGWTT >cds-PLY77835.1 pep primary_assembly:Lsat_Salinas_v7:1:26757081:26760166:-1 gene:gene-LSAT_1X22580 transcript:rna-gnl|WGS:NBSK|LSAT_1X22580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLYLSYAILWISSAVPVVIAAAGKSIFILAGQSNMSGRGGVVNDTWDNYIPPESYPNLAILRLSADLNWQLATEPLHRDIDTAKACGVGPGMAFASSLLGKDSTVGVVGLVPCAVGGTNISQWARGGELYKQLIRRAEAALEGGGTIRGLLWFQGESDTVNREDAESYKTRLQNFYLHVRADLLLPVLPIIQVALASGEGEYVEMVREGQLGMDLVNLRTVDAKGLSLEPDGLHLTTPSQGTERINEEGAVEIKVDSVDYRSPAGYDEKNKPEKEKEKVEVTHVINPDSRDPASNYANPGEALSSQDHPEKHKQKI >cds-PLY67090.1 pep primary_assembly:Lsat_Salinas_v7:5:282649685:282653870:1 gene:gene-LSAT_5X148361 transcript:rna-gnl|WGS:NBSK|LSAT_5X148361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:KOS1 [Source:Projected from Arabidopsis thaliana (AT3G23980) UniProtKB/TrEMBL;Acc:A0A178VL19] MASDQMLPNSSVSSKKQQQLEAGKRRLEEFRKKKAAAKKAASSNSVNGDLHEVKPSVADATSDLSSEHVGNNEKKTKDIHVTESHSMHPTNENPINTFNKPSANPVKTYSTDKKLDHPHPEEDINHSSLNHYSNTRFEDALRNPYTTAQASVNKSSPEIPSSSNNSIHTVQQANGSTYRDSLFEVVKSLQYPPVSDSVTESSSESVSTTFRYDAVASTGLPSRRSRPSFLDSILPNEETDKAINPFSSKVHPVDAPPVAQSFINPNPSSVANVVVGDSFMERNSNFNSAKQNEDFAALEQHIEDLTQEKFSLQRALEASRVLAESLATENSALTDSYNQQGGVVNQLKLDMERLQEEIKAQLVELEAMRIEYGNAQLECSAADERSKLLASEVIGLEEKALRLRSNELKLERQLENLEAEMSSQKRRISSLEKDRQDLQFTIEALQEEKKVLQSKLRKAPVITNSVDIKKPPTNNRKEASTSTDDLVDESEEDNTTAATSNLSVLVGTHGESDASSHLGFEVSSSSIPPDQIKMIQNISTLISELALEKEELVQALSTESSRSSKLKDLNKELSQKLEVQTQRLELLTSQSMSMVGAGDANNNNNNNNIPPRKPTSRTVVDHTPYADEGDEVVERVLGWIMKLFPGGPSKRQTKHL >cds-PLY65212.1 pep primary_assembly:Lsat_Salinas_v7:8:20214758:20215749:1 gene:gene-LSAT_8X15520 transcript:rna-gnl|WGS:NBSK|LSAT_8X15520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKPLYEFALKYDVVKGAHAPDTSIIIQANNVAFSDDIPKQFMNSGIEDFVDYVKSFPLRYSFYDFPDLFYPKQGTSCDPQKYTLRQCFGVGWKYLTGVIGKCLGHKTGILDQLNLFDQRILFSILYNKRLDFAQLFLDQVIDCITGNKKPVYVPYLRSLGLISAHEEEEDGEDDSEEGDDEENDKDDSEEDIDNDEDELVTDKGENFI >cds-PLY97941.1 pep primary_assembly:Lsat_Salinas_v7:3:18707183:18709398:1 gene:gene-LSAT_3X14420 transcript:rna-gnl|WGS:NBSK|LSAT_3X14420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSQTTGAAASGGNGTLNPQNNDASPAESTVTATTSSSDDPKQNLNQVINSIQKTLGTIHQLYLTVSSFNVSSQLPLLQRLNTLVMEMDNMAKLSEKCNIQVPMEVLNLIDDGKNPDEFTRDVLNSCIAKNQITKGKTDAFKGLRRHLLEELEQAFPDEVEDYREIRASSAAESMRLAQAQTMLPNGDVKLKPEI >cds-PLY89202.1 pep primary_assembly:Lsat_Salinas_v7:3:20664265:20666043:1 gene:gene-LSAT_3X15460 transcript:rna-gnl|WGS:NBSK|LSAT_3X15460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKAADPFSKKDWYDIKAPSVFNTRSVGKTLVSRTQGTKIASDGLKHRVFDISLADLQNDEDHAHRKIRLRAEDVQGKNVLTNFWGMDFTTDKLRSLVKKWQSLIEAHVDVKTTDSYTLRMFCIGFTKKRANQVKRTCYAQSSQIKQIRRKMREIMVNQAQSCDLKELVQKFIPESIGREIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYAEDVGVKVERPAEEAEPEATEVIGA >cds-PLY64867.1 pep primary_assembly:Lsat_Salinas_v7:3:17801624:17801839:-1 gene:gene-LSAT_3X12901 transcript:rna-gnl|WGS:NBSK|LSAT_3X12901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRLRLLSSLSQSLELEFQCLLIRHCCIKRRLTGSSEDGGANCERKSENGKDGDGLGTCKARVVMCPSV >cds-PLY69949.1 pep primary_assembly:Lsat_Salinas_v7:4:68970859:68971522:-1 gene:gene-LSAT_4X47400 transcript:rna-gnl|WGS:NBSK|LSAT_4X47400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLVLRGTMRANTDWVTAIATPIDNSNMINTSSRDKLLNHKYDPLLEMRSFKAIKIMSSSYAKTPSLIDYIHASLAFNKKQESAAHWPNFRSHHRSLVQAY >cds-PLY99837.1 pep primary_assembly:Lsat_Salinas_v7:4:47482128:47482653:-1 gene:gene-LSAT_4X32661 transcript:rna-gnl|WGS:NBSK|LSAT_4X32661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAIRKHLVRLPQHRGGRVQQHHQVPVPKGCLAVKVGEASGEQQRFVVPIICFNHPLFMQLLKEAEEEYGFEQKGTITIPCNVHHFLNVISRIIHHHHHHQQYWCFRV >cds-PLY88020.1 pep primary_assembly:Lsat_Salinas_v7:4:178578058:178580008:-1 gene:gene-LSAT_4X105840 transcript:rna-gnl|WGS:NBSK|LSAT_4X105840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVWWMMMLVKVDAITNQSVDLDLHHFSTKNIYENLTLTSGANHNNVKIAIISFPLLLVRVAIFLYSYNQMASTACFMIISRNDIPIYDAEVGSAPKKEEAAHQHQFILHAALDVVQDLAWTTSAMFLKSVDRFNDLVVSVYVTAVLHTRLMLLHDSRNDDGIKTFFQEVHELYIKILLNPLYLPGSRVTSAHFDTKVRALARRYL >cds-PLY93029.1 pep primary_assembly:Lsat_Salinas_v7:5:2690585:2695000:-1 gene:gene-LSAT_5X1520 transcript:rna-gnl|WGS:NBSK|LSAT_5X1520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANSQCFVEWKELFVSKERGKRVVHYFLKDISGESVLAVVGTERSIRHMFYVVAEEFLKVNEAQNSVNAGYRWRSRREVVNWLTSMLSKQHRQGEHSKSPKSDDISGVSAPYQNRLPRNIKLRTPDIVWSGVAWTCGKQLKHFPAFCRNGITIAVQSFVFVMAEEEKRHLAYLEDMYEDRKGQKKVKVRWFHHNQEVQAVVTLRNPHPKEVFITPYAQVISVECVDGPAIVMTHEHYEKCMSILPEDLLTRVHHCSRQFKNNRVKPFKLSKLCGYFDQPIFSILDTDYLEDDENNNSLGDKDNVKLGVKRPRSSRGRQVMPSEPQSVSMNVKYEMLRRRLIPKYVKNREFASDNSVFKVGEKIELLCQDSGIRGCWFRCTVLQVSRRQLKVQYDDLNDEDGGGNLEEWVSALRSAMPDKLGMRCSGRPTIRPAVARTETETIAFEVGSPVDAWWSDGWWEGVVVGIGDSDVQVYVPTETLFLELHRKDIRVSRDWVGDRWVDLETKPNILSSMPANLKEIKQESSPEAIETINDNRPLEEGDTDCKPELHEKENGPTPVHDDNNNVEIGEKGEHTSDNGEAVAMECETLKENLEANPVQVLA >cds-PLY86353.1 pep primary_assembly:Lsat_Salinas_v7:8:27090315:27092816:1 gene:gene-LSAT_8X17420 transcript:rna-gnl|WGS:NBSK|LSAT_8X17420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHELIGVAPPSAAAATPAPPPPTSLAPGFRFHPTDEELVMYYLRRKACGKPFRFQAVSEIDVYKSEPWELADFSPLKTRDLEWYFFSPVDRKYGNGSRLNRATGKGYWKATGKDRSVRHKSETIGMKKTLVFHSGRAPDGKRTNWVMHEYRLLDQELLRAGVAQDSFVLCRIFQKSGLGPPNGDRYAPFLEEEWTDDAALMVPGGEADDDLANGDETRVHGNDIVHEDSAKKIVDEIEEPQTIPFVCKRERSEDCPLDCEPELETFSLFHNKRSKPCDPNSSNANGSEDSTTTSQDPRRALLEFPLLESIEAKQCHQPTTLPSFDASTLEKSVPPGYLKFINNLENEILNVSMEKETLKIEVMRAQAMINILQSRIEVLSKENDGFRTSG >cds-PLY74517.1 pep primary_assembly:Lsat_Salinas_v7:7:37961920:37962126:-1 gene:gene-LSAT_7X27961 transcript:rna-gnl|WGS:NBSK|LSAT_7X27961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYYPLEKKFYFVDDDALSPAPPSPPQFDKVKVEPNSMEDAKSKVDATPTSDSQPPPTSEVAPTNAIP >cds-PLY74245.1 pep primary_assembly:Lsat_Salinas_v7:1:78727346:78732937:1 gene:gene-LSAT_1X66260 transcript:rna-gnl|WGS:NBSK|LSAT_1X66260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSELSEGSSSSSSTHSHSSSTHGHKYDVFLSFRGLDTRDSFTNHLYEALMHANITTFLDDEEVETGEDLKPELESAIKASWASIIVLSKNYATSTWCLDELVLILEQRMKSNHIVIPVFYHVEPTHVRKQQSSFGDAMAKHKRMMEAETNASKRSQLAQKMDRWNKALIEVANLKGKDVNGRLETEFIEEIVKDIYRRLHIPLRSVRPQLIGMEFSIEFITSWLKDTSSHTADILTILGMGGIGKTSLTKYVYELHCRDFHTCSYIEDISRRCDAKFNGLLDLQKQLYDDISKTSSFEFHDVSIYTSKIENALARKKVFLVLDDIDSLDQLDALLGSKGFHPGSKIIVTTKDAWLTESCALFKSNIIKPKHVEHMLRGLHENASLQLLCFHAFMHNHPKEGYEEVLDKLVKYCEGHPLALEVLGKSLHNQDIAYWEGCIEGLEKESGSRINNVLKMSFDSLPYKNDKELFKHIACFFVGIDRDVSETILKACDINTKSGITNLIDRFLLSIGGFNELKMHQLVQEMGRFEVRRESLEKPWKRSRLWCHKESFNVLKHKKGKGNILGLTLDMRMLEKEKMDVSYELKTSALSNMDSLMLLQLNFVHMNGSYDNFPEELRGLCMHGFPLKSIPSDLPMENLVAIDMSYSNIETFVICHSDPKRPDKRQKLDGACLRDKRLLGSLKILNLSFCKQLHSIGDFDQLPTLERLIVRNCTGLVEVCESIEQCVELVFIDLSYCNTLEKLPRNIGMLKKIKTLLLDGCNLGKSQIKNKDMNSSERHKANNIVINTGTSSPTFVGVIPRDLKLSLISSPSSLVNLSLIRNNLSTKSFPMDMSSLSMLKKLHIDENPIDSLPNCVRTLPKLEKLSMMNCTKLKSVEHPPRTLRELFIHSRKTLLEKVVFDPEMSPLEFMYSLIDSRPSSYEIEGMIKIQPIVDVEEKVLHSLGWINLDFLNDMHVGTNSWKSEIQMIYEFGIFSIMYEPKEMPNWFTHRSTGNSISFTIPSYPNKITGLNFCSIHILASPDERLPFPCDHFPLTPMITVNNITKNHMWIYERHKDRFSEGRECYTLLSHWMFGMNEMEGGDHVTITVTLPCNEIVKECGVRVVYDDGNMDEEEDALGYYKSWNHIIGGYLSPFQLTTGEYILHNWQFFWPDVKMYPYYRKFVTEGANYQARYQVQDNGWFRALSKRKPHIIGDTHEV >cds-PLY73600.1 pep primary_assembly:Lsat_Salinas_v7:6:128545404:128546062:1 gene:gene-LSAT_6X76441 transcript:rna-gnl|WGS:NBSK|LSAT_6X76441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSIFMATPITLLKKTPTFSVKCMSQTPQSGEPEISKSATTPTPPQINIPPPPPPAPKVSTKFSDVLAFSGPAPERINGRLAMIGFVSAMAVEVSSGQDVFTQIGNGGVAVFVGTSVVLTLASLVPLFKGVSVQSKSSGLMTSDAELWNGRFAMLGLVALAFTEYVKGSALV >cds-PLY85535.1 pep primary_assembly:Lsat_Salinas_v7:2:195818062:195820849:1 gene:gene-LSAT_2X116640 transcript:rna-gnl|WGS:NBSK|LSAT_2X116640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGKSEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFTDSSVQSDIKLWPFKVIPGPAEKPLIVVTYKGEEKQFAAEEISSMVLIKMREIAEAYLGTSVNNAVVTVPAYFNDSQRQATKDAGSIAGLNVMRIINEPTAAAIAYGLDKKASSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVDEVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGNQKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVFEGERTRTRDNNLLGKFELSGIPPAPRGVPQINVCFDIDANGILNVSAEDKVGGQKNKITITNDKGRLSKEDIEKMVQEAERYKAEDEEHKKKVEAKNALENYAYNMRNTMKDEQIGGKIPADEKKKVEDAVEQAVQWLDSNQLAEVEELEDKLKELEGVCNPIIAKMYQGGGGGVPDMGGMGADAGSGSGAGPKIEEVD >cds-PLY62023.1 pep primary_assembly:Lsat_Salinas_v7:5:129707540:129720774:1 gene:gene-LSAT_5X56341 transcript:rna-gnl|WGS:NBSK|LSAT_5X56341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEVRPITRKRGQRGIAAFKKNYHPKEYNVKFNENNIPNGGMSTQLFMSWFGMNVQHRFPIDEDSGKVKDKYFEDLWLEAKKQWNIESDDMKDYMKRRAVKLALNFKSRLVSKFVNNELDACAIYTFIPRDVWDRFVAQKTTLSFCRKLIPRVDTNQTFLESNGVSDGRLVEYPPIKLKDARVEVEEIIGSGSGNVSDVIPFTPRAKHVFEFSLEEAQQLGRNYIGSEHLLLGLLRVDGGVKHVLRKLGVMEMVESSKVVNDLIFDNKMPIHEEYGIDLTKLAKELKLDVVGMQSEIERVTQILGRLTKNNPCLVGERGVGKTSVVEGLVESISYYNNVPDCLKDKKVCSIGVEGPIGVANILKQALVRGELQASRLWDRGIDHKIYMLEIEERIEEINNVGRIKGITIVPWEGVTITKADIEAIANMHFC >cds-PLY99030.1 pep primary_assembly:Lsat_Salinas_v7:6:148987873:148989329:-1 gene:gene-LSAT_6X89820 transcript:rna-gnl|WGS:NBSK|LSAT_6X89820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHRYGPIFSLRLGSKLHVVVNSMELVKIVTRDLDHIMAKCSPPLTTLTISYGGNDIAWSDNDTEWRNMHKIFANQVISHKNLQVCQSFRTYEVRRLVKEVYSKLGTKIDTNEIAFNTEVNVMTSMLWGSRKSTGDGNDSSLIGDGFREVEFKIVQLLTASNIFDFLPMLSRFDLQGRQREMQMLWEYVDRIFENIIQGRIEANSRKNEAKAEDDQRKDFVQVLLELKEQKNAAISLDIIKIKALLMDIVLVTTDTTSIMVEWVMTEILKNPGVMKRVHDELKEVIGMNVVQESHLPKLTYLDAVIKETFRVHTPVPLLVQRCPDESCTVGGYTIPKGTVVYMNVWAIHRDPNNWTNPLEFKPGNNFKFLPFGSGRRICPGISLGEKMLMYILASLLHSFDWNLPEDEEFDLSDEFGLVTMKRKPLIAIHSKRLSDAILYSF >cds-PLY73285.1 pep primary_assembly:Lsat_Salinas_v7:5:132770776:132774923:1 gene:gene-LSAT_5X57481 transcript:rna-gnl|WGS:NBSK|LSAT_5X57481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVTLESFLIPLKEINLATRDLISPETCIGNGGFGAVYKGKLSESWQNRTAAFKLLHPDRYKGKNDFHIEVEMISSFNHENIIPFIGYYHEDNMMIIASEYAINGSLDHHLQDRNKRRCLTWPQRLKICIGAAKGLEYLHSGLGEGRKIIHRNIKGATILLDGNFEAKVTVSKGPISQIRSIMTTLDVGSSCYMDPSYNESGILNEESDVYSLGVVLFEILSGMLAHDTRIIEDQKPQTLMNLVRIYYDDGLEKLIDPLIRNQIDDHSFRIFKEVACQCITFRSKDRPKMDNIIQRIEEALDVQIQGAPSTSATIQINQYHELERFLIPLKDINSATRDFSPETCIGVHGYGEVYKGQISEHKENRTVAFDRMYSSLGVHLFHTEVEMMSSFNHENIIAFVGYCDEDNEHIIVSEYVVNKSLEYYLKDPNEIRCITWAQRLKICLGVARGLKYLHSGLGEHNIVIHRDIKSANILLDENLDAKICGFVLSILVDRNKPQVYEPAAGTPFYLDPIYYESGIVKTELDIYSFGVVLFEMLTGILAYSNESSIGNEDQPETLINLVRRYYDEGMENLIDPNIKDQISIHSFQVFKAIAYRCISLDLKDRPTMNMIIKRIEEALDNQNPGPAYIVTTPRKECQNLENFLIPLKEIYLATQYFSVETQIGDGGFGVIYKGQLSEHRQKCIVAIKRLDQNGYQGRKEFLTELKLISSFHHKNIIRFIGYCDEDNEMVIVSEYASNGSLDNHLGDSNKRRCLTWAQRLKICLGAARGLNYLHSGLGEDNRVIHRDIKSANILLDENLEVKVCDFGLSKQGPRDQHPTHLYTKAAGTNFYLDPIYQESGILRKESDVYSFGVVLFEILSGMMAFNRKSLGNDKPQPLINLIRRYYNDRLEELIDPFIKDEIDRRCFQTFKELAYQCISYNSKERPTMETVIERIEDAIYFQGNSSN >cds-PLY91142.1 pep primary_assembly:Lsat_Salinas_v7:1:3364169:3371345:-1 gene:gene-LSAT_1X2081 transcript:rna-gnl|WGS:NBSK|LSAT_1X2081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVSPAAKKTLLILNCILLSIGNCGGPLIMRLYFHHGGNRVWLSSFLETAGWPFIVIVLIILYFHRRAAGKNGNNKRTTFIYMRPRLFFAVAFVGVITGFDDYLYAYGVARLPVSTSALIIASQLAFTAFFAYLLVKQKFTAYSVNAVVLLTVGAGVLALHTNSDRPEGETKKQYVMGFVLTVAAAVLYGFILPLIELTYNKAKQAITYTLVLEIQMVMCLFATIFCMVGMIINNDFKVIPREARDFDLGETKYYIIMCFSALIWQCFFLGAIGVIFCASSLLSGIIIAVLLPVTEVLAVVFYKEKFQAEKGVALVLSLWGFASYFYGEYKFMKKSKDNTQSTQQSMELAQANYSSV >cds-PLY76566.1 pep primary_assembly:Lsat_Salinas_v7:5:224432978:224435869:1 gene:gene-LSAT_5X105121 transcript:rna-gnl|WGS:NBSK|LSAT_5X105121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSYTWSDGTVYDGYWEDGKMCGRGRISWSSGTSYEGDFSGGYLHGFGTLKTPDGSVYKGSWRLNIQNGVGRKEYSNSDVYDGCWKDGAHEGSGKYAWGNGNTYIGNWKSGKMFGRGVMKWVNGDLFDGSWLNGYRHGSGVYRFSDGSYYFGTWTKGLKDGQGTFYPAGSKCSSFRKTAHSQRFRDPERNVTRTYSEKITFSGFFRESGRITSRRIPPDDDYALGDSTREFSASERTEMFSHISDEGGSEVDDDSTVAWEREYMQGVLMKERVKNHSGALSSKSKQSKRITNESKQKSCVDIFEGHKSYCLMLNLQLGIRYTVGKITPVPMREVRSSDFGERARIRMYFPRKGSQFTPPHYSVDFHWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSLFYLSHDDRFVIKTLRRSELKVLLKMLPSYYDHVKKYDNTLITKFFGLHEITLRGGKKVRFIVMGNMFKTELRIHRRYDLKGSYQGRFTNKDHIDEGTTLKDLDLAYEFHMDRTLREALFEQIQLDCSFLESQQIIDYSLLLGLHFRAPEHLKALLEAPDAEHGSSSSLEFDDGSKSGELSIPPTGLLLVTHEPSSVNTAPGPHIRGNTLRAFSVGDKEVDLLLPGTGRLRVQLGVNMPAQANRKVSQEVSGSTEVELFEVYDVVLYLGIIDILQDYNMRKKIEQACKSVRYDPISISVADPKFYSKRFIDFLHKVFPVDP >cds-PLY82399.1 pep primary_assembly:Lsat_Salinas_v7:2:189019379:189020993:1 gene:gene-LSAT_2X110761 transcript:rna-gnl|WGS:NBSK|LSAT_2X110761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIISFFPSWILPTTLLLFFTSIFMYALRMRRSSIRLPPGPKRLPIIGNLHQVLGKEGVHQTLWNLSQTYGPAMLLHFGAQPFLVISSTEMATEVLKTHDEKLCTRPYSKATKLLSFNYMDVAFSPHSDHWRDMRKVVVSEFLGAKRIRLYKNMMEIEMEAVIRSISSHSLNTTVNLEDILLSLVYDVVGKVAFGNSYRGKTFNGRTLKDIVEEVQVMGGASFSFIFPTFGWILDELTGWNRRLQKCYTDFDGFLQMILDDHHDTKTSGHVNDFVDDCMSRLTTEEMKALMMNVLEGAVDTTTITMVWAMSELVKNPRVMEKLQNEIRRCVGRKSKVDESDITKMPYLKMVVKETLRLHPPAAFLMGRECVSQCRIGGYDVLPGMKVMVTAWGLGRDPRIWKENAEEFYPERFENIKADIGGKNFEMIPFGGGRRACPGNNMATSTVEFTISNLLYFFNWETPAGLKKEDLDMKENGFPFLRRTTPLCLVPTKHNWED >cds-PLY70834.1 pep primary_assembly:Lsat_Salinas_v7:4:59444863:59449690:-1 gene:gene-LSAT_4X39741 transcript:rna-gnl|WGS:NBSK|LSAT_4X39741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCTASKLGNEDSVRRCKERRRLMKEAVYARHHLAAAHSDYCRSLKITGSALANFAAGEPLSVADQTPAVLLPTRSSSSTTTTVKPPSFHSYETPTPPPPVPRSPSVASSKLPPILSAAPSRTASLNHHQRRHKLSVKLPHILSESSEASTSPPPKSFNHHPRENPQNNYSYNPDKYTYNAQANYASTPSQASSVWNWENFYPPSPPDSEYFNQRQPNFDTDDDKSSIYSSKASIYSGYKEQGHRENNEDKRSVYSNYSQKNHHHPKIQTHQQHDDDYHRHHLETEDDGEREEREEVQCSEWGDHYSTTSSTSDDDDEEIDRDSRSEMESRSNFGSSVHNESVAPPPSAFTSAPKSKFSTMSKSEKSEDAGSSSASWKTGHYDDNSDMRMVVRHRDLAEIVASIKEYFDKAADAGEQVSEMLETGRAQLDRSFRQMKKTVYHSSGVLSNLSSSWTSKPPLAVKYRFEPGSIGEPGGSKSLCSTLDRLLAWEMKLYQEVKAREGVKIEHDRKLSSLQSQEYKGEDEGKLNKTKASITKLQSLIVVTSQAVSTTSTAIVGLRDAELVPQLVELCHGFMYMWRSMNQCHEYQNHVVQQVRGLVNRSTKGESTSDLHRQSTRDLEAAVSAWHTSFCRLIKFQRDFIKSLHAWFKLTLLPINTEESNLNINTSQSSDVYLVFDEWKLALDRLPDTVASEAIKSFINVVHSISMKQSEEMKVKKRTESASKELEKKASSLRNIEKKYYHSYSMVGLGLPGSGQDNGQGLDARDPLSEKKTELVACQRRVEDEMVRHSKAVEVTRAMTLNNIQTGLPGVFQAMTSFSGLIMEALEIVCNKSYAIK >cds-PLY93206.1 pep primary_assembly:Lsat_Salinas_v7:6:160950006:160952199:-1 gene:gene-LSAT_6X98300 transcript:rna-gnl|WGS:NBSK|LSAT_6X98300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGMFEKIEKFRLFKQANPESKKLHKYTIAGFMLPFKPNNQAISVVANPTELVLLFYVRYVNWTLDHEESPPSQHSPIRNSPPIVSSPLQRKTYRDSIISELDIIDYWSDFPDGHKDNAIVEFIDIVDEPQQEYILDRGDCGVFVCMFMEMIVSGVPVKINKPRRDAGFLYRLG >cds-PLY85863.1 pep primary_assembly:Lsat_Salinas_v7:9:128860800:128862009:-1 gene:gene-LSAT_9X84140 transcript:rna-gnl|WGS:NBSK|LSAT_9X84140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTNNQRRTVRSLEQDVGCKFEYISPPAVEDLLDSSVKQVIATLSCVHNDSIEFFTPTAQKLIDEKGTRALAAALAHMSGFSQPPSSRSLITHEKGWMTLQMTRDSESSLQGYMSARTVTGFLSSVYATAAGALGKIHVIADKKVQGAVFDLPEEIAKNLLTKEMPPGTTITKINKLPALEDNGPASDFYGRFSNRERSSRGGGGSRREGSGGSRGWGNNSRFSSGGGGDNFRQGGRSGGRGGGGGGSWSSGGGSRTGGGSRTTTGGSDWLIGDRRSSSSSSRSPSFGNRDR >cds-PLY97748.1 pep primary_assembly:Lsat_Salinas_v7:4:375384826:375385104:1 gene:gene-LSAT_4X185261 transcript:rna-gnl|WGS:NBSK|LSAT_4X185261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYISHVGEEIQWPLARHEACVKLDQSHYFFSLRAPKEKEHKAMMLNYRLTFASKGQEKLLKEMDELLEHCSSFSVQKEEEKSGALDLTMAE >cds-PLY76931.1 pep primary_assembly:Lsat_Salinas_v7:9:6894624:6895916:1 gene:gene-LSAT_9X1500 transcript:rna-gnl|WGS:NBSK|LSAT_9X1500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMTQLFLMGLATKKELKKDVNRYYSSLLTVYLIYVPELPNMYYFVFLQLTSSIELSTSHYDKEKLQDGVAVLKAPVYTIAANAGVEGAVVVGKLLEQDNPDLGYDAAKVMISCKSQVDELRTVKEYWNLLVYTEFRGYSPQTRDSMSCWNPDCMNSWDSISLSFYY >cds-PLY62424.1 pep primary_assembly:Lsat_Salinas_v7:7:116255299:116256625:1 gene:gene-LSAT_7X71840 transcript:rna-gnl|WGS:NBSK|LSAT_7X71840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEEESAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRSTRRTVPFLFVRGDGVILVSPPLRTA >cds-PLY86651.1 pep primary_assembly:Lsat_Salinas_v7:4:279188286:279189182:-1 gene:gene-LSAT_4X143241 transcript:rna-gnl|WGS:NBSK|LSAT_4X143241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSPKPTPRKDFRSITLPCRSHPSTYRIEKVLNKVKTWESTSSLSNPSAEIICSGVFQLTELYECLDDLVKTCPSKTSLDSSNQNMRWTDELLDVSVIFSNISDLMLQTKQHGRDLGCDLRRNGGPGIDSIIENNTAFRKKLRRDIRTSVASLNQLDYMISYYALVDFENNHLISVIRVFREVKAFTAVIVQLLLKFLAIPLLKRRSRSRWTAVSRYISKSKVVPEEKADTNINELQHLDAVLFRYRTSNKLEFIQIVRKKLEEFEATVDGINSHLESITRHLITTSTSLLNFISFY >cds-PLY96878.1 pep primary_assembly:Lsat_Salinas_v7:2:109209521:109209820:1 gene:gene-LSAT_2X48401 transcript:rna-gnl|WGS:NBSK|LSAT_2X48401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILFPRIIQAKQILRRSLSNGSSTTKSMDIPKGYLAIYVGEQEKKRYVVPVWLLSEPAFQELLDQAEKEFGYVHQMGGLTIPCSEYTFSDIASQLGAL >cds-PLY81485.1 pep primary_assembly:Lsat_Salinas_v7:8:158271099:158275914:1 gene:gene-LSAT_8X105541 transcript:rna-gnl|WGS:NBSK|LSAT_8X105541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGVGEITAAAEVRSHGGGSGCSLGSCTMKLNATTEMMPVTWPTFADMHPFAPTQQAQGYQALDYCHSQGIEHRDVYPHNVMIDHELRKLILICMFAGMIFRKEPFFYGHDNQDQLVKIARLQLEPQLEALVRRCIQIECLKLAFRERSDQTRGVGRKVKNVANVPISKAKLPTTTISMKMKKVKVMTKKIVLMNLIK >cds-PLY83374.1 pep primary_assembly:Lsat_Salinas_v7:5:94241770:94245639:-1 gene:gene-LSAT_5X43440 transcript:rna-gnl|WGS:NBSK|LSAT_5X43440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEEIWANGFSKTRSALVDVTNFVGKRGYSLISKSGVNTEGDHNKDEALRFGKKERLATENYKKENFDKQIVDSVLKPHLCSHIDSLNGNAIDHKAKVPCETKEPCPPGVRHNADSHTTPRSSNDARLSTRSSPISLPTRPLDRLETENDERIDSDENNLKERVEASISTNNGDDLTPDNSDFNKGDYLDFSRVLDSQESRSSCLERSMEQKGGMDSIKACSCSFCMKVAYMWSDLHYQDIKGRIAAIKKSQKEASILVKRNSRNNEIGKYGQQSKFSKLESDLTDKWRSFFLHMEDIFALESNQLLLAATYLASTSLNFQIPLSSIKLDRDNYPLWRTNIISALECFDLDSFILNPAPPPVTIPPPVVEDADVGDLIQDVAPIPNPKFTTWKKRSFCHPLVEIYTF >cds-PLY63097.1 pep primary_assembly:Lsat_Salinas_v7:8:73758409:73759763:1 gene:gene-LSAT_8X51760 transcript:rna-gnl|WGS:NBSK|LSAT_8X51760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAQNQTDFRRLNFPLQLLEKRSGGEGESPSPETVVAEDLQTCPKPKPPAPKRASTKDRHTKVDGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSTMSAGHTSYLRNGFSFVTSQPMSQYLNFSTSAVKQDSSSCLDLRSIPMGVASSYMMQSSSGSIPASNTQTPPPTSFLMMGNPNTNTTTTTSSHAITGDPTWNFPSNSNHITNNNTTNDDHAYRGGLGSNISNGGGLHFMNFGTPMSLFQGQQYGGGTVAPTEGHLGMLTALSPFRPIFSMGASDHLLSGLNPHDRGHDHHETSSSHHS >cds-PLY91579.1 pep primary_assembly:Lsat_Salinas_v7:1:10635688:10635870:-1 gene:gene-LSAT_1X10300 transcript:rna-gnl|WGS:NBSK|LSAT_1X10300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDNQQQKYGSNMSGGQTSKATVIPAKKKHVSTMMVKAIASTATSCIKNKNKINPGDHGS >cds-PLY69984.1 pep primary_assembly:Lsat_Salinas_v7:8:64149170:64149964:-1 gene:gene-LSAT_8X45140 transcript:rna-gnl|WGS:NBSK|LSAT_8X45140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQLSTIFFLFLSTTVLLAATTTGQQTSDDIDTEFIRQSCESTRYPDTCFTSLSNYSSAVQHDSGRLARVAIHVALSNATHMANYVSNISRDAAEQNNNTTREFAAISDCSSLFEDAVDEIKKSRTEMKRLGLTGESVKFQLSNVQTWMSAALTNEDTCTDGFQKVDDGNMKADVCDRVAAVKKVTSNALALVNSYADKISA >cds-PLY76219.1 pep primary_assembly:Lsat_Salinas_v7:4:53023466:53025144:1 gene:gene-LSAT_4X36140 transcript:rna-gnl|WGS:NBSK|LSAT_4X36140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDQRSFNSPRRTLSYSRNRGTTFSVFEADNKRASGVGVSGDHGPNPSEVYGFVGAISTVVATVIFMVWAYVPDPWLHSIGIFYYPSKYWALALPAYAMVIIATIFIFYIGLNFMATPPPSSFNSIFDENSREPVCCDPVLEEDDRPIEPYSDIGIDQINELMFKDWR >cds-PLY86007.1 pep primary_assembly:Lsat_Salinas_v7:1:49323901:49326895:-1 gene:gene-LSAT_1X43221 transcript:rna-gnl|WGS:NBSK|LSAT_1X43221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGPIYPEDAIPWVGLYVCVASLVCILAMAADAFQGFRKRKLWFPCRFFTINAASLTLIAIAMKLPVDLNTDMSPSKAISIAFLVAMLGNFLPSVGLMDGKDLLLNMIAFGILMITITVNVWLQYSTIDDLRQYGILRFMQEHIIRLQMFMLICSLPWPFSVALTIPVSRKILQHRYKELHRFTSNHQEINFSYKELKHKVKKYWMMAETSNPQFAVACSPISSAFGVICLSLAFSSVYFFLDSGSYRSNYVFNSRLDSYEKSEYKWSMKLIVIMQTVGTIVGSIAPILRCLTSISHFDLSKKWTKNHLNLFKVEKYWTQTLQLWKHNHVASGIPGRHCKKLLHHIKNMILNFCIALQILVVVICKTICLIPRSFLILFSGCCYFYKSLMRRFKQEPNASDSNLIPDMEEYIGYVLQTEAEAKLSKNMLRNSFNSITRILHESEEKEPRYLMKLLKKSTGFYGVIEFDNDGVPPIHPEEIQNCWSLVAVTLTAIALSLPNTANGQVKGLLSGMSEGLQFVRHIEESLNTNGELVRARKAARHVWADVEVYYRWLQIDLQAHNGETSEEILEWLGDEAAKIVIQFKRSKYRSMDHSVLNSVAASSMYRISQTILLHCTKQENRPTDEELFELISTIIADLLCACFTNLPRVITMKCHEHAIEEREDSIRTAAQLLGRSKKILKILKARQLPDLDMDSMGYIDKWHALPKSQIPNCCFSSARSQLASSSSSESIEITIV >cds-PLY96544.1 pep primary_assembly:Lsat_Salinas_v7:5:335888739:335891796:-1 gene:gene-LSAT_5X187400 transcript:rna-gnl|WGS:NBSK|LSAT_5X187400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFNSVYKALQDVFPQVDSRLLRAVAIEHPKDADLAVEVVLVDIIPHLSEQSQSPPVNFSNKVENTPSLFEVVVDGGKTVQLEAAMGSEQSYQAGSSSTDANGETANVGNGLPILLDKGGDKSNVDERDLYDNRDEESFGIFGKNVALLVETFENDSKVTGYIQKQAGSRFSSTPEPPHLAVTQELDPGSSSKTPSENNDFVTEENTEGESMMNSSLARSDQICSTELLEDILEEARNEKKTLVSTMDSVVELMREVEEKEKTAEEAKEEATRDCSHIFAKVDELKQALGRAKEANDMHAGEVNAEKAILATELKELQLRLLTLSDERNYLLRVLNEMREALEIRLSVALKEIEAAEGQKLEKEKYAREALAYQESQLEKVVEESQKLQREAEENSKLQEFLMDRGRAIDILQGEISVKCQDVSLLKEKFDKRIPLSRSLSSSQTSSSSFTTTTARVVVVAEPEAEMYETPKKSLELDDPYSPRSFPSPPQTVFRFGAAEKQTSSNDAWKLLLNDDDWELFDKGELSTGAA >cds-PLY97700.1 pep primary_assembly:Lsat_Salinas_v7:8:6880190:6881829:1 gene:gene-LSAT_8X5061 transcript:rna-gnl|WGS:NBSK|LSAT_8X5061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAETGVTFPYFQSFSPDVQQFDDLCYSHKSNSCLGSVVQSSVISDFDFGGEGDLFKAQEPMIEQPLVTVDAMSAITCGEDDLSSQELSVTDIQSFQNDASLNEIFHEFKEILAKEATETSPLSEVLNYKFPVRTDEIPIVKENVHPPGQIVKSVSSDCLSSMEWVQPGVLNTMEVNFANVYGMRRAFSEGDIKTLDNGNGSHRQPHLMSEHTMEDRWQKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIRGRFAKTEETEILRKF >cds-PLY87337.1 pep primary_assembly:Lsat_Salinas_v7:4:256368997:256369491:1 gene:gene-LSAT_4X135060 transcript:rna-gnl|WGS:NBSK|LSAT_4X135060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIPLLFNSATCIRHARPHRKLKVVNVLLDEDLTPRISDCYLVVLKPLTISSARAQASETDTGGISYEHMKPGTGNQKDDVYVFGVLLLEISTGRKPFDGYVIQLQRYFAAEFCSDLGLFGISDLVISFSFSRLRI >cds-PLY84050.1 pep primary_assembly:Lsat_Salinas_v7:6:187641568:187642173:1 gene:gene-LSAT_6X114800 transcript:rna-gnl|WGS:NBSK|LSAT_6X114800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVITSELEVTSTLPADKLFKVYGDFDTLAPKVDPGTFKSIDIIEGDGGVGSIISITFGDGVPYKNSKHRVDAIDISNLSVSYTIFEGDALLGIIVSVTHHVKFVPSADGGSVYKHTTEFNCKPDAQLPDGILNFLKEAFKNTFKAIEAYAIAHPEAC >cds-PLY74218.1 pep primary_assembly:Lsat_Salinas_v7:5:93420333:93424325:-1 gene:gene-LSAT_5X40720 transcript:rna-gnl|WGS:NBSK|LSAT_5X40720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSVFSEQILADKLSKLNSTQQCIETLSHWCIFHRSKAEVVVKTWEKQLQSSEVAQKVPLLYLANDILQNSRKKGNEFVFEFWKVLPGVLKDLIEKADDQGRKAVNRLVGIWEERKVFGSHAKNIKDVLLGIESPQPLEFTKKRPRSVKIVKRDSRSIRMKLTIGSTAERIVSAFHLVVSEHHGEDEEMNKCKSSVHRVKKLEKDIDSALTNAKDPKRKTLSKELEEEENLLKQCIEKLKVVEANRLALVSQLRDALNDQESELENVRTQMQRQEAEAMRKRLDDINYVAETKPTTATPPTSSTATTTPPPPAAAGSKKSAADIAAEVADRLAASTSSQYIMSSVLSSFAAELTNNNSVSQPESPPLPTDHNHFMPPQMAASTPYQAVLIPSQPQYHTQQYLQPSSGIVTSYTYSGGGPPPPPXXTAATTTTGLYDESDCPYADDPPAANAASATASFPATSSVFCTPSSIPVMDMLF >cds-PLY82694.1 pep primary_assembly:Lsat_Salinas_v7:9:116953857:116963429:1 gene:gene-LSAT_9X79341 transcript:rna-gnl|WGS:NBSK|LSAT_9X79341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEDIKKKINDDDDDKGKVNWGNSRTTQQNRPYQRNKKEEEEMGLWGILLFGLVGATATTLAVGHMRKTVDWVYSQITRSQSWKGATGRSFRSGFQEEAWKRYNRRMQEEYEEELERVERIRRMQSVFNREKSKHQRGYESWRQNNGNANASGYHQHFQREDWYWKTETAHGNRSNFREPRTPRSPINYSLSHHYAILGLSRSRTKPYTDDEIKTAFRSKAKQFHPDQNQENKDVAEARFKEVMTSYEAIKSERKNMK >cds-PLY64298.1 pep primary_assembly:Lsat_Salinas_v7:5:83594657:83595107:-1 gene:gene-LSAT_5X39061 transcript:rna-gnl|WGS:NBSK|LSAT_5X39061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGISNPLDRSLYKNGCTMISWSVWLLHLAEKRRKKEGRPSFLLFAGIQENWSCVLDWNRCRMKGNMEVFGC >cds-PLY83035.1 pep primary_assembly:Lsat_Salinas_v7:5:50591871:50593326:1 gene:gene-LSAT_5X24400 transcript:rna-gnl|WGS:NBSK|LSAT_5X24400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEISNLHLHEDDEDNENDDLLNSLSPRSHDWSQDLDGFDVSPSDLDFPSSEYFICRQRRRSDRNPIRVLNGNNASTPFDLENEVNFVIDMFHHRVERSHSSSRVMLDTDLGDSDRIVRVSDWNEDINSHNLEMDFESGLGLGFPVEVRNCNDEGDDNSGFMVADCGDEFFVSRRTSSSGARSESRDPTYFMSGLSVTDSDEDHVNEIIGVDDDFGDDEASLRLCWDAFQLEDDDHSRWDIPAQQHFEWEEVDGGVNEREVLTMFLDAEADNNTTVDDLEWELFLNSHNHEANPTTTEANNYNEWEVFLNVHNLEANPDLEGQFEDYNDAEHEMLFGQFADNGDSGLVQPPASKKAVENLPSVVMTLEHVEKNNTLCAVCKDEIGVGEKAKQLPCIHHYHEDCIIPWLCIRNTCPVCRHELPTDDPDYESRKAERVAS >cds-PLY65806.1 pep primary_assembly:Lsat_Salinas_v7:5:269232585:269234845:1 gene:gene-LSAT_5X140040 transcript:rna-gnl|WGS:NBSK|LSAT_5X140040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYHVDKFSCYVSDDGSAMLKFESLSETTGFAKKWVPFCKKHSIESRAPEFYFDQKIDYLKDKIQPYLVKERRAMKDKELRSAGEERNNISIPDRQGRSFDRRTTLEDTLGQGYRWKLFSLSQSADFQLLS >cds-PLY77258.1 pep primary_assembly:Lsat_Salinas_v7:3:57542399:57542749:1 gene:gene-LSAT_0X32820 transcript:rna-gnl|WGS:NBSK|LSAT_0X32820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLKNGSIVVNIGWLWGKVVCVMLVMGVKDESRSVAVELCVDGPPGAGMASRIGDGSPDDDGASKIGGCSPRSKKTGVLTASSGPIGCIGLCGGSDGSPVAFSTMAGCTRGQRVKG >cds-PLY88124.1 pep primary_assembly:Lsat_Salinas_v7:9:7486956:7487347:-1 gene:gene-LSAT_9X7160 transcript:rna-gnl|WGS:NBSK|LSAT_9X7160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDRLHPGFDFGVEIGIDDVYVEVSMIPDVQQLDKRCGETEVEEADDGGFEEKPSTPHMFCISVTASDINTHG >cds-PLY64263.1 pep primary_assembly:Lsat_Salinas_v7:7:1975371:1977151:1 gene:gene-LSAT_7X800 transcript:rna-gnl|WGS:NBSK|LSAT_7X800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAAQWPKDGTSNGSYNNNNNQVEDKKVKPQHEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKSCKRYWTKGGSLRSVPVGGGSRKNKRSMPQPIDLSHFSSQNFTTHEPTQQQQQQYHLGFSQFLKLPKIENGNANFLSSSDADGLNSFSTRGTTSWDLMLNGTRFGLQDAKPTLGFPFQGDGSFQVEGTESERIMLPFGVLNQHHSSRESGQSMGQGNSSGYWNGSFGGGDSSTLYN >cds-PLY84562.1 pep primary_assembly:Lsat_Salinas_v7:1:30185079:30187514:-1 gene:gene-LSAT_1X26041 transcript:rna-gnl|WGS:NBSK|LSAT_1X26041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDNGTATHPVAIDSPLLNNFVDGVVDYRGRPVLRSKSGCWRSAYFIIGVEVAERFAYYGVSSNLITYLTGPLGQSTATAAENVNAWSGTASLLPLLGAFIADAFLGRYLTIIIASLLYVLALAFLALSTLIPSNCETANGASPCFPQLQVILFFISLYLVAIAQGGHKPCVLAFGADQFDADNPQERKAKSSFFNWWYFGLCAGPAVGIFVVSYIQDNLSWVLGFGIPCIIMVFAIVIFLIGTVTYRFGEKTEEKSAFLRIGHVFVKAARNWNTTPSRISSEEEATGILPHQDSQQFRFLDKALFKGSNEDGTVCNIDEVEEAKAVLRLAPIWVSCLGYAIVFAQTTTLFTKQGNTMDRSIGSNFKIPAATLQSFIGLSVMILIPIYDTILVPFTRSITKKPSGITMLQRIGIGILISIVSMVVAAVVETKRLETAREYGLLDDPNATIPMKIWWLLPQYLLAGAGDVFAIVGMQEFFYDQMPSDLKSMGLALYLSIIGIGSFLSSFLISIVGKMTGGDGEDGWICDNMNGGHIDYFYYLLAGISVGVFVMYIYVARSYVYNRERGL >cds-PLY91604.1 pep primary_assembly:Lsat_Salinas_v7:8:30301547:30302836:-1 gene:gene-LSAT_8X23480 transcript:rna-gnl|WGS:NBSK|LSAT_8X23480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYELTFFATLSHRSSFFLAFSRSPAITTNTSGNPLHIEAMRFPVSIKFLGGQVYEVKRTSEDGNCLFRVVADPVYGDSEAYDLARHVCIDYMARNIYQCPYGSVIRNQA >cds-PLY63305.1 pep primary_assembly:Lsat_Salinas_v7:3:90055410:90056582:-1 gene:gene-LSAT_3X69461 transcript:rna-gnl|WGS:NBSK|LSAT_3X69461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMQMEMGSSTTLDQEKKIGIMGYENQEEDDSFSSDASSMVSSDDESDDAFEESNSSSGSSPSSNDDHRSALGDMSDLLHQLPSKRGLSKHFQGKSQSFTSLANVMCLEDLAKPENPYNKKLKSCKSYVGLSKVLPPPTRSASSSKLFNNKPSSRTSCSSLSIGRNGSFLGLSNTSRPPSHPSHNNGATSAF >cds-PLY77800.1 pep primary_assembly:Lsat_Salinas_v7:2:169178704:169180137:-1 gene:gene-LSAT_2X92400 transcript:rna-gnl|WGS:NBSK|LSAT_2X92400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLQLPVNSTVTHRPHFVLFPFMSKGHTIPLLHLAQLLTNRGITVTVFTTKANRPFNAQFLDRCPDASIIDLPFPNDVEGIAKDIESTDKLPSMSLFRPFVTATKLMQPDFEQALENLSHVTCIVSDGFLSWTLASAKKFGIPRLSFYGMNNYVGALTREVVSNRLFSGPESDDELITVPRFPWIKVTRNDFDEPFKQRDPTGPYMDFITETVIASANSYGLITNSFYELEPLFLDVLNCESKPKAWCVGPLCLAVTESPRPPMVDRKPEWIQWLDQKLAKGCSVLYVAFGSQAEISSKQLEEISKGLEESLVNFLWVVRKCEMSVYDELQERVGERGMIVREWVDQLEILKHESIKGFVSHCGWNSVLESICSEVPILAWPMMAEQPLNARMVVEEIKIGLRVETCDGSVKGFVTSEGLKKMVKELMEGDKGKEVRKKVKEIGEAAKEAMTEGGSSWRTLNELIDELQAVRSNSK >cds-PLY96379.1 pep primary_assembly:Lsat_Salinas_v7:2:54034699:54050563:1 gene:gene-LSAT_2X22620 transcript:rna-gnl|WGS:NBSK|LSAT_2X22620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHVVGIGGFTDDSSQISKQRHELIDRVLEFVDEDHEKFLKRIRERIDRVGIETPKIEVKFENLSVEGDVYVGRRALPSLFNTTFNSIESALGLIGIVPSKKRKVKILQSISGIIKPSRLTLLLGPPGGGKTTLLLALAGKLDHDLKVSGNVSYCGHQLFEFIPQRTCAYISPHNLHTGEMTVRETLDFSGRCLGIGERSRLLTEILKKEKEAGIEPDPDLDAFMKATAVSGQETSLITDYILKILGLESCCDVMVGDQMRRGISGGEKKRVTTGEMLVGPARVFFMDEISSGLDSSTTYHIIKFLKQMVHIMDLTMVISLLQPDPETYKLFDDIILMSEGQIVYQGPSQNVLEFFELMGFKCPERKGIADFLQEVTSKKDQQQYFYNPNQIYRFTPISEFSQSFKSFHIGNKRETEIHTPYDKSKSHAYGLAKGKFGISNLEILKACFHKEWLLTKRNSLLYIFKTFQLTFMSLVGMSVFFRSEMKVGNLENGGKFFGSLFFGLLMVMFNGMAELVLIVIRLPVFYKHRDSLLYPAWAFAIPIWVLKIPLSFMESGIWVVLTYYTIGYAPDATRFFKQWLTFFSIHQMALSLFRLIAAVARTDVLANTLGAFVLLLILVLGGFVVAKDDTEPWMSWGFYASPMMYAQTALVINEFLDKRWSAPNIDPRINASTIGEALLKSRSFFTKDYWFIISIGVLIGFSILFNILFIIALTFLNPLGDIKTVIRDESDFKNSNSELYEDAEMTLRSTSRNNGDRMERRGMVLPFRPLSLAFNHVNYTIDMPNEMKGRGGESGRLQLLRDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGCVEGNIFISGYPKNQTTFARVSGYCEQNDIHSPNVTVYESLLYSAWLRLSSDVNLNTRKMFVDELIELMELNPIRDAIVGLPGVDGLSIEQRKRLTIAVELVANPSIIFLDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELILMKMGGQIIYAGPLGKNSHKLVEYFESISGVPKIKDGYNPATWVLEVTSLGIESQLDVDYAEIYFNSSLYQRNQELIKELSTPLSGSKDLFFHTEYAQPFLVQCKACFWKQHLSYWRNPQYNVTRFVTTIFIAAIFGAVFLNKGKKMEKQQDLLNMLGALYAAVVFLGAINQNAVQPIVAVERTVFYRERAAGMYSSLPYALSQLFPVTDNHHLPSVVTPSSSSVPSSVAPPVPPSVPATDVSAVVARHSEPPPYASIPPVAAIAVGVGNHQSSVVVLLSAKPRTAKSTSIRWAVKDRASLAICSYFR >cds-PLY99700.1 pep primary_assembly:Lsat_Salinas_v7:9:56049356:56053044:-1 gene:gene-LSAT_9X47501 transcript:rna-gnl|WGS:NBSK|LSAT_9X47501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSASGIFNFSPFSSSPSPSPSPSPSPAVGGSVDPQSSAPKNEEPTTPRVRNDNPRTTSSGFDPEALERGAKALREIAASSQAKKAFELMKKQEETRQTELASKTAEFKVMQAQAETERQRVIYDEQKKLAQQQAQIKSQMARYEDELARKRMQAENEHHRTRNQELVKMQEESSIRQEQARRATEEQIQAQRRQTEREKAEIERETIRVRAMAEAEARAHEAKLAEDVNKRMLVERANAEREKWVSAINTTFEHIGGGLRAILTDQNKMVVAVGGVTALAAGIYTTREGARVVWSYVDRILGQPSLIRESSRGKYPWSGFFSRGLSSLSRGGGVASQNGKNAFGEVILNPSLQKRIQQLAGATANTKSHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGAQAVTKIHQLFDWAKKSNRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEEERFKLLKLYLDKYIAKAGARKPGFFSKFFQKEQQKIEIKGLTDDILREAAAKTDGFSGREVAKLMASVQAAVYGSENCVLDPLLFREVVDYKVAEHQQRRKLAGNDGGD >cds-PLY63131.1 pep primary_assembly:Lsat_Salinas_v7:4:65104563:65106653:-1 gene:gene-LSAT_4X45540 transcript:rna-gnl|WGS:NBSK|LSAT_4X45540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKGNFKQEHEFSKRHAEASRIREKYPDRIPVIVEKAEKSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVENVLPPTGAIMSTIYDEKKDEDGFLYVTYSGENTFGSQQY >cds-PLY71758.1 pep primary_assembly:Lsat_Salinas_v7:3:45326818:45328375:1 gene:gene-LSAT_3X35621 transcript:rna-gnl|WGS:NBSK|LSAT_3X35621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTSEPQSNHLQTLLESARPFLRGQLENVDVKLPSLVAVLKSVGAGECWHKHGSFLDHLVDIYRILKLWGAPDEVCLCGLFHSAYSNSYVNLAIFDPSTGRDIVRGHVGEAAERLIHLFCVVPRQQLIHDDLLFQYSNESELVQHLKDSERSLTNARKGALEIGDEEWRKKLQSILPADGIVLKHIKTGEDVHLSRRVVATFLLMTIADFSDQLFSFQDVLFDNSDGMLKFSGNDWATAMWPGDGKPGLWMNSISRMGAIYTLIVREEELYSIEQPQSSNKNRDEEIELVTPSILENCTKVLSAKDQIEARDMYWEGVCNVSKRGLDGCEDVLKRSLEKNPFVGEPHVVLAQIYLSQGRFEEAEKESEEGLKLLLQWGSPWDKRMSWEGWISWCRVLVMKSKEKSWPQTSWGILNLGLVR >cds-PLY76774.1 pep primary_assembly:Lsat_Salinas_v7:4:189057234:189058737:-1 gene:gene-LSAT_4X109320 transcript:rna-gnl|WGS:NBSK|LSAT_4X109320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYFSKAITFIHYFISLFSFNNLPTLKSSSFITFFLIYTENMAPIALLVVGILSFVSMVHGNNGGWIEAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGMSCGACFEIKCAGEKKWCRPGSIVVTATNFCPPNNALPNNAGGWCNPPLHHFDLSQPIFQQIAQYKAGIVPVHYKRVPCVKKGGIRFTVNGHSYFNLVLITNVGGAGDVKAVAIKGSRTGWVPMSRNWGQNWQSNSNLDGQALSFKVTTSDGHTVICNNAVPAGWSFGQTFTGGQFT >cds-PLY80028.1 pep primary_assembly:Lsat_Salinas_v7:9:45600478:45605147:1 gene:gene-LSAT_9X42020 transcript:rna-gnl|WGS:NBSK|LSAT_9X42020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRDPDAYRYKWSTGSKNFQEHFVSEQKSRFQVVFDRLRINQYSIDWSEVIDKKDLSKPLRFFLSKLLLFCLTHFLFLFVSFGNIPIHRSEIYIHELKGPNDPQSSNRSFKKLKPFLLNDHETCQKSKFLINGGTISPFLFNKIPKWMIDSFHNRNNRRKSFDNTDSYFSMIFHDQYNWLNPVKSFHRSSLRSSFYKANQLRFLNNPHHFCFYCNIRFPFYVEKTHINNYDFTYGQLLNILFILNQIFSLCVDTISTIESQVSNIFIPKVFPQSGDETYNLYKSFHFPSRSNPFVRRAIYSIADISGTPLTEGQIVNFERTYCQPLSDMNLSDSEGKNLYQYLNFNSNMGLIHTPCYEKYLPSEKRKKRSLCLKKCVEKGQMYRTFQRDNTFSNLLSILSSNQNFVYIFHDIMHGSNRSWRILQKKLCLPQRNLISEISSKCLHNLLVSEEMIHRNNESPLISTHLTNVREFLYAILFLLLVAAYLACTRLLFVFGASSELQTEFEKVKSLMIPSSMIELRKLLDRYPTSEPNSFWFLKQLGDSLGGNMLLGGGPAYRVKSIRSKKKYLNINLIDIIDLISIIPNPINRITFSRNTRHLSHTSKEIYSLIRKRKNSSKKVKPLMIPSSMIELRKLLDRYPTFKPNSFWLKNLFLVALKQLRDSLGGNMLLGGGPAYKVKSIRSKKKYLNINLIDIIDLISIIPNPINRITFSRNTRHLSHTSKEIYSLIRKRKNVNGDWIDDKIESWVANSDSIDDEKREFLVQFSTLTTEKRIDQILLSLTHSDHFSKNDSGYQMIEQPGAIYLRYLVDIHKNSCGANSLCFPSHGKPFSLRLALSLSRGTLVIGSIGTGRSYLVKYLAKNSYLPFITVFLNKSLDNKSQGFDNIDVDASDDSDASDDIDASDDILDMELELLTSMNALTMDMMPEDEXGYPTGSGSLKMKTRTT >cds-PLY97314.1 pep primary_assembly:Lsat_Salinas_v7:7:106791766:106795236:-1 gene:gene-LSAT_7X68960 transcript:rna-gnl|WGS:NBSK|LSAT_7X68960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCVCVVYCACKEDMETKKTKKRKQPSGLQDDTIAMPLMIALPSPKKTSGAFRGKKVTRVSGSAPCTPIKLSNKDHEIDVNHETPSKLKAKFRPKVMANSPTTKATPSLSSGCRRRGDSNVKSSCRRRLDFDSAYIQTKTMFVYTEGGFCFTRNVRKYIADDQQKSLEYNEKEEDECCKKEQELFRQRALCFINSMRLVQGNRAFMGWKGSVVDSVVGVFLTQNTADSYSSSAFMSLAAKYPKENIINNSDNHTEDALDWNAVRCAQADEISNAIQERGMNNRLAARIKMFLDSIHDKNSGLLDLEWLRNAKPGIAMDFFSRVYGLGIKSMECVRLLTLRQRAFPVDRHIARIVVRLGWVPIKKLPDDVLIHQLEESVTTSFPLNYHRIICC >cds-PLY72721.1 pep primary_assembly:Lsat_Salinas_v7:6:27395681:27396064:1 gene:gene-LSAT_6X21140 transcript:rna-gnl|WGS:NBSK|LSAT_6X21140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNSIACSIFIFFLICNITFSNACGSCTPSTPLPPKGPPANPFCPRDTLKLGVCADVLGLVNVVVGSPASSKCCALLEGLVDLEVAACLCTAIKANVLGINLNVPISLSLLVSACGKTLPPGFKCE >cds-PLY81299.1 pep primary_assembly:Lsat_Salinas_v7:7:114884290:114887511:-1 gene:gene-LSAT_7X71040 transcript:rna-gnl|WGS:NBSK|LSAT_7X71040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGMNMNMNMNMRVRSSGSTPSEESALDLEKTCYSHSHTNPPSFPNPHQQQPSLQPYASGGQHSESNAAYFSWPTSSRLSDAAEERTNYFVNLQKGVLPESIGRLPKGHQATTLLELMTIRASHSKILRCYSLGTAIGFRIRRGVLTDIPAILVFVSRKVHKQWLSPIQCLPTILEGPGSVWCDVDVVEFSYFGAPEPTTKEQLYTDIVDDLRGSGSCIGSGSQGMGGDGDGSGLAAVVVMTGDSGGGGNFVSGGDGGDSSGDGLVVVVVVMVASQETYGTLGAIVRSQTGSRQVGFLTNRHVAVNLDYPNQKMFHPLPPTLGPGIYLGAVERATSFITDDLWYGIFAGINPETFVRADGAFIPFSDDFDMSTVTTCVKDVGEIGDVKIVDLQSPINSLIGKKVMKVGRSSGLTKGTILAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIVLKAEENDNENEEKPKARPIGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLNLLELDLITTGEALKAAVQEQRAASATVMGSSGGESSPPEIVLPKDKAEPLGLHIQQHIPLEEGGGGGPDMNLSPAENASNLEVGPSIEEHQFIPSFNGQSPLHRNERRCRAESENLSALKSGTDEEDLGFSLHLGDNDPKRRRSDPLDEAK >cds-PLY62466.1 pep primary_assembly:Lsat_Salinas_v7:1:82097968:82107584:1 gene:gene-LSAT_1X70900 transcript:rna-gnl|WGS:NBSK|LSAT_1X70900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMHLGNVPMLVASSPEAAKELLKTHDIKFASRPKLRIPNILSYGSNDIVFAPYGEHWRQLKSIAVVHLLNNTQVQSFQQVRETEVAFMVDKIKKSCGSLVDLNELIFCLTNNIVSRVNLGRTYGGLKFEDIMGRFLHLLGGFHVGSYIPWLAWIDRLSGLEEKAHKVVKEFDDFLECVVEEHVDKRRGVDTLCSEDHDLVDILLDVQRDNAIGFTFHRDVIKALILDVFVAGTDTTFISLVWSMSELIRNPRVMEKVQQEVTEIAQGRSMILENDLEKMHYLKAIIKETLRLHPPVPLLIPRESIQDVKVMGYDIPTGTQAFVNVWAIGRDPSVWEEPEEFRPERFLNSSIDYKGLHFEFLPFGGGRRGCPGIPFAIIIIELALANMIYKFDLALPDGVEGKDLDMNDKYGLSLQKKSPLIVVATSRF >cds-PLY73204.1 pep primary_assembly:Lsat_Salinas_v7:5:102262701:102265803:-1 gene:gene-LSAT_5X48820 transcript:rna-gnl|WGS:NBSK|LSAT_5X48820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLARQSHRLALLASSQRAAASIHTTVPALAEGFSSPAPYSRPGPPSTASPEGLSKTAEFVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHTGAARYDLDRFGIIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGLLQLQKKINRRKDFLHWWTK >cds-PLY80242.1 pep primary_assembly:Lsat_Salinas_v7:6:123611591:123614255:1 gene:gene-LSAT_6X75081 transcript:rna-gnl|WGS:NBSK|LSAT_6X75081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSQMEEIGVSPNVVTYNNIIHGLCKNQNLQEAFHLKEKMIKKGVTPSLNTYSVLLNGLLKAQNYNEAQSVLTEMTSNGFLPNEVVYNTLIDGYCTQGDMKKAIEIRNDMSSKGLNPNSVTFNTLIKGFCNSNQFEEAEKLVEEMLSISLTINAGSFTSIIHWLCKLSKMDSALRYVHEMLLRDLKPNDKLLTMIITGLCNQGKHSEAVNLWFKLLEKCFFPNIITSNALIHGLCESSNAKEVIKILKEMLQKGLHFDRSTYNILISWHCKEGRFDEGFQLSEEMGKKGISPDISTFTSLINGLCQKGKMDEALMLFEKCKTKDLTPDVSTYGVIIEGFCKCDQVEKGKDLFNKIVNEKMEVNSFIYNSLIRGYTRMGDMKEAIRVCNEMKSKGIQPTSETYSSLIDGHCKIGCVEDAKLMIDEMREFGLSPNVVCYTALINGYCKIGEMDKVEAILGEMCSYGINANKITYTVMMDGYCRLGETDEAVKLLGEMKEKGIVPDVVTYNTLAKGYSKEGRIEDALQLFDEMSQRGVDLDQITYTTLIHGWNQKSTKNQE >cds-PLY73195.1 pep primary_assembly:Lsat_Salinas_v7:4:263367192:263367440:1 gene:gene-LSAT_4X136881 transcript:rna-gnl|WGS:NBSK|LSAT_4X136881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDASDFIELVSKRDGPVTFLQLLHIPANPDGNEKFLSLHSLLIVVAMDEATRPTMGQNRSYLSLAGRDGSAVSHQFHWFPG >cds-PLY98683.1 pep primary_assembly:Lsat_Salinas_v7:5:304112453:304112665:1 gene:gene-LSAT_5X164380 transcript:rna-gnl|WGS:NBSK|LSAT_5X164380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEVVQEIDFEDDDNPSPICTEDIGFASPQRDSPVNSTFKATGNLGGSAKVSNTGTTTTLGDTTNTSILE >cds-PLY74560.1 pep primary_assembly:Lsat_Salinas_v7:7:33308422:33318302:-1 gene:gene-LSAT_7X24360 transcript:rna-gnl|WGS:NBSK|LSAT_7X24360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRSNNTIRSIQSLSDLDDERLYLVDHRYMWIGLSFSDRPQAEMAPLFPGCDYEHIIVMDKPDGEGTTNQQMIDCYVETESKFLRRVNMAFFNKASGILRRVAATKHINHQISTSEGKTIQQIVPSSYNNESNGTLKAECYELEVVRVEGTSMGLLYCRLVSLVLLLVGGNIFTSKQ >cds-PLY66008.1 pep primary_assembly:Lsat_Salinas_v7:4:137051123:137054114:1 gene:gene-LSAT_4X85200 transcript:rna-gnl|WGS:NBSK|LSAT_4X85200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMGSYGCIAGVYDDLVASPKQPISKSPISTFSFGSNPARHFCVSPKSRLSYSLRTMIPKASATALEDEIYQETDDVPIPKVIIDQDSDLNATFVQITFGNRLGALLDTMNALQNLGLNVVKANVHLDSSGKHTKFSITKASTGRKVEDPELLEAIRLTIISNLLKYHPESSAQLAMGEAFGIEAPKHKLDVDIATRINVCDDGPNRSLLSVETADRPGLLVDLVKIFTDINVAVESGEFDTEGLLAKGKFHVNYRGKSLIEPLQQVLANSLRYFLRRPTTEDSSF >cds-PLY61892.1 pep primary_assembly:Lsat_Salinas_v7:6:58280698:58283380:-1 gene:gene-LSAT_6X43200 transcript:rna-gnl|WGS:NBSK|LSAT_6X43200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVRKYGHHHQQLSPDRAKVWKERSPRYQQRQPEPEPEQEQELELHQKSRKVPVVYYLCRNRQLEHPHFIEVPLTSSEGLFLRDVIEKLNVLRGRGMASTYSWSCKRSYKNGFVWHDLCEDDLILPANGNEYVLKGSELVEECNSGRFTPPIKLQALKQLPEPPSSRSQDDSSSSTSMSGVSPESRGEKSTTSGGCLSLTEYKIYKTDGLADASTQTDENIKGNNTNHNNNNINKPQETCTRGVSTDDMAVDSSPQNLKQNPEIQPDPSPPSSSTSSSIGKTETLESLIKADASKLNSFRRPEIQSNTKLRATDMLMQLISCGSISVKDHSFGLIPSYKPRFSDSKFQSPLFSTSVMLGDLDCLPENPRFMSMRLEDKEYFSGSLVETKVIKEEGVPTLKRSSSYNADRSENSDQVSETTRTKCIPRSLKGKNVKSEPMRSPISDGPRISSEGPSSRIVSPCPSNCSSKRMTTKKQSGRLESFREEKDNMVKIEES >cds-PLY68566.1 pep primary_assembly:Lsat_Salinas_v7:2:22910003:22910788:-1 gene:gene-LSAT_2X9581 transcript:rna-gnl|WGS:NBSK|LSAT_2X9581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWFFRDRRSGLSWTDQTIGSISAPPMHLVALFALVILLMSMSSYTEFKVHMEREKMGLKLLFYLLPLALLLVADVMMRYRLGYMVRAASLPVESVKQDGCPPWRVAFVVILVLVLVKYHSSVQSGWF >cds-PLY71458.1 pep primary_assembly:Lsat_Salinas_v7:7:191216754:191220443:-1 gene:gene-LSAT_7X115360 transcript:rna-gnl|WGS:NBSK|LSAT_7X115360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol/phosphatidylcholine transfer protein SFH13 [Source:Projected from Arabidopsis thaliana (AT1G55690) UniProtKB/Swiss-Prot;Acc:Q501H5] MSGKFHVLLPGLEGADAFDEIKERRMDFENSEDERRRSKIGALRKKAINASNKFTHSLKKRGKRKVDYRVPSVSIEDVRDAREERAVHELRQKLLDKDLLPERHDDYHTLLRFLKARDFNMDRTIRMWAEMLQWRKEYGTDTILEDFVYEELEEVLQYYPHGYHGVDREGRPVYIERLGKAHPSRLMRITSIERYLKYHVQEFERAFIEKFPACSVAAKRQICSTTTILDVQGLGLKNFTPSAASILGAMAKVDNNYYPETLHRMFVVNAGSTFKKYLWPAAQKFLDAKTISKIHVLEPKSLGKLLEVIDPSQLPDFLGGSCTCPGEFGCLRSNMGPWSDPEIMKVVNNTEATFVMSSDSSSVYYNCDDQFSGSDQEVEIENNHETASDDFILMEIVKRGFNYVGRPLVSLVEKLIVLITILPFDFLKRQKNVYPTNTVEDEPRESVNPFVERLEKLEGLLEELKRKPAQIPVEKENMLYDSLERIKLVEFDLNKTKSVLHATVVKQLEIAALMENIQESKFHMVFGCSGGGSVEGAVDKR >cds-PLY89114.1 pep primary_assembly:Lsat_Salinas_v7:4:145781841:145785083:-1 gene:gene-LSAT_4X89140 transcript:rna-gnl|WGS:NBSK|LSAT_4X89140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKTANYWNFSCDETSEVKEHITPSKTANRPSFLSPDIPDSFRVMADKNRSVCLPNSDDPFGKLPDHLLVEIFIRVPIMEWGVVSCVSRQWAHLFQEECLWHAALIRSFPLVSQAKRWPGPIPQGSSKRRYAALYVSKHLFSLDGEMDELVGHTYLFLKDQLEITKMPCSSGILHGTIIDQFIACGMSREKAHDLASKIWLAVIENLEESEKTFLLLKRLALEGDTFLPFPYSRSYEVLWKVFEKLFTDFRDCFSRMEEYYDVLGCAKQKFQPIPSTWLGY >cds-PLY93884.1 pep primary_assembly:Lsat_Salinas_v7:4:269872929:269873574:-1 gene:gene-LSAT_4X138901 transcript:rna-gnl|WGS:NBSK|LSAT_4X138901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKLFMVTGINLLPVMLFGVCDGGGFTVNLPTAISTVSFFFYLFHFTGRSIRIRLLRMSGGGSQTSLRKALGAIKDSTTVNLAKVNSDYKELDVNIVKATNHVDHPVKEKHM >cds-PLY73048.1 pep primary_assembly:Lsat_Salinas_v7:9:37503717:37506429:-1 gene:gene-LSAT_9X35080 transcript:rna-gnl|WGS:NBSK|LSAT_9X35080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIQISSWLLLVCFCSSFFGLHPILVSGRCLDNQKVLLLALKNEVQFDSSLSTKLVGWNQSVDCCDWGGVACDKTGHVIGLDLSNESISGGFNGSSSVFSLGFLKSFNLAYNSFNSAQLPLGFGKLTQVSYLNLSNANFVGQIPGDFSSMKRLVTLDLSSSPYYTLVLENPDLKMLIQNLKEVRELHLDNMKISTHGYHWSGVISSSLPNLQVLSLKSCGLSGPLDSSLSMLKNLSVILLDENTFSSDIPESFGSLQNLRVLSLRACNLSGSLPKKIFQIPTLKTIDLSSNVILKGPLPEFPENGALENLLLSYTEVGGKLPDSIGNLPLLSRIELRGCKFTGPIPDSMKDLTHIVYLDLSSNHLTGSIPSFRLSKNLAYLNFYLNNLTGGIPSFQGLNSLQFLDLSYNSLNGDFPESLLALPSLEYVYLSNNRLSGQFRKDIDVSSYKLRILDLSSNKFVGPIPGFIFKLPVLSTLTLSENNFNGVVDLEMFGKFKELYALDLSYNDLTIKVNIGSKSSFSSELNTLKLASCKMQELPNLKNQSRLMMIDLSDNELRGEIPNWIWEVGNGYLRFLNLSSNKFSSLQKPYTFPFLLDVLDLHSNVLEGDIPIPPRRVYHLDYSNNNFGSSIPVNFGNVLTSTLFFSMSNSKLVGVIPQSIRNATSLRVLDLSHNTLTGPIPSIGNSKDLQSLDLSVNKLNGSIPVELANLSLLSFLNLSYNHLSGKIPQGSRFQTFTELSFKGNKELCGPPLKKSCDKKRI >cds-PLY93532.1 pep primary_assembly:Lsat_Salinas_v7:4:277815245:277816989:1 gene:gene-LSAT_4X142540 transcript:rna-gnl|WGS:NBSK|LSAT_4X142540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWDSSSGKVSDFTTRFSFTIDTDDQPTSNYGDGFAFFLGPADFQIPPNSAGSFLGLYNLSSYRESSQNHMIHVEFDSFVDTQWDPLYEHVGINKNSIFSANTTAWSAGNHSGDVAEVTISYTAQTMMMIVSWTYNAETPPKTSSVSYHVDLKEVLTEWVIVGFSAGTGILVEKNTLLYWEFNSTLNGKWRSPEERSGISGDLVPGAIGLIIGMVIAMIIGIVIFMIFKKGWVIGNGRSTNEATQEEIKLIAIPSSANKESETRPSPLEYSYSDLQLATNDFSEDNKLGEGGFGGVYKARLPISVHQEVAVKKISERSTQGQNEYAAEVATIGKLKHPNLVELVGWCEEEGKFLLIYKIMPNGSLDSYLFGNKGPLGWSNRYKIAKGLASALHHLHEEQARLKNSNEQISKTTKVAGTLGYFAPEYVTSRKASKTTDMYSFGVTVLEIGSGRSVENQISDMDLVEWVTHLYREKQLLLTVDERLSHDVNEKQYNCLMTVGLSCTHPDPSKRLTIAEVIRALKNVTPPEVPENMPTPRYDTPTNHASTSETKS >cds-PLY81125.1 pep primary_assembly:Lsat_Salinas_v7:9:66934400:66934597:1 gene:gene-LSAT_9X58101 transcript:rna-gnl|WGS:NBSK|LSAT_9X58101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVYDHHSNNTHPMAEHRVLHDGRRQEEKNKKIQRHDGGGLTAMSTGKKWRWTGGVAPVTMTSS >cds-PLY65761.1 pep primary_assembly:Lsat_Salinas_v7:5:275349617:275350014:-1 gene:gene-LSAT_5X146201 transcript:rna-gnl|WGS:NBSK|LSAT_5X146201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLRMLYVMSVFALVMSLLLPSMNAQAPAPAPAPSSDGAAIDQGVAYVLMVVALALTYFIH >cds-PLY74850.1 pep primary_assembly:Lsat_Salinas_v7:8:107583988:107586462:-1 gene:gene-LSAT_8X73481 transcript:rna-gnl|WGS:NBSK|LSAT_8X73481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFENEYQQQIPQSKYECLLFDVDDTLYPLSSGLSAECTKNIREYMVKKLDIEEAKVPEMCAQLYKDYGTTMAGLRALGYDFDHDDYHSFVHGRLPYEYLKPDPVLKALLHSLPIKKMIFSNANEAHVAEVLHRLGLEDCFEDVICFESLNPKNQDSPKISVAGYGDVSKRAVVLPESPIICKPFENAFQQAFKMAKITPHKTLFFDDSIRNIQTAKLTGLDTVLVGFSQKNKGVDYALESIHNIKEALPELWESVKKSKGGRYSRKVGIETHVEA >cds-PLY88054.1 pep primary_assembly:Lsat_Salinas_v7:6:182469671:182471879:1 gene:gene-LSAT_6X110981 transcript:rna-gnl|WGS:NBSK|LSAT_6X110981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHTPTVWFADNGERLGTYRGHNGAVWCCDVSRDSTRLITGSADQSAKLWDVQTGTQLFTFNFDSPARAVDFSVGDKLAVITTDPFMGLTSAIHVKRIASDPADQVGESVLVLKGPQGRINRAVWGPLNKTIISAGEDAVVRIWDTETGKLLQENDKDIGHKKTITSLAKSTDGSHFLTGSLDKSAKLWDSRSLTLIKTYVTERPVNAVAMSPLLNHVVLGGGQDASAVTTTDHRAGKFEAKFYDKVLQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDPDYFKIPSI >cds-PLY67902.1 pep primary_assembly:Lsat_Salinas_v7:1:55097169:55098842:-1 gene:gene-LSAT_1X48880 transcript:rna-gnl|WGS:NBSK|LSAT_1X48880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFNLLNVYTLFSLALVATHAVTPDVYWKSVLPNSPMPKTIKDLVHTAEWSEEMNTAVGVGKGGVAVHTGKPGKRTNVGVRKGGVTVNTGKGTNVGVGKGGVGVHTGKPGKRTDVGVGKGGVGVHTGKPGKRTNVGVGKGGVAVHTGHKGKPVYVGVGKGPNPFVYNYAASADQLKDNPNVAFFFLENDLHQGTEMKLHFTKDDQKATFLPRQVADSIPFSSNKLPQIYNEFSIKSDSVEAQDMKQTLSECENKGIEGEEKYCATSLESMVDFSTSKLGKNVKAISTEVNAKESTPLQKYTIEGAKKLAADKAVVCHKQNYAYAVFYCHKTVNTRAYSVSLVGADGTKAKAVAVCHTDTAKWNPKHLAFQVLKVKPGSVPVCHFLPEDHVVWVPY >cds-PLY92520.1 pep primary_assembly:Lsat_Salinas_v7:4:322173482:322173718:-1 gene:gene-LSAT_4X161740 transcript:rna-gnl|WGS:NBSK|LSAT_4X161740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAADETVGWWWSIVGLNARLMAVTTSGNEVGLEMVFWWLALRPIGKTRGYWGGNGGWMREKKMVGGELGLGLKGCMWS >cds-PLY70778.1 pep primary_assembly:Lsat_Salinas_v7:3:133970476:133971015:-1 gene:gene-LSAT_3X89720 transcript:rna-gnl|WGS:NBSK|LSAT_3X89720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELDYSSAAIVIGFSLIVSILKAFSIKSEATRVMVAAPLIAFVTNHILYLKCYQFDYDLNMKACAMMGVAKVLISGVWARISNHPFEWKIWVVTIGEGLIILFQIYDFPPYKGFLDAHAISHAIVVPVSYIWWSFIHDDSEYRTKTLMRKAK >cds-PLY79574.1 pep primary_assembly:Lsat_Salinas_v7:8:118520847:118531145:1 gene:gene-LSAT_8X81801 transcript:rna-gnl|WGS:NBSK|LSAT_8X81801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFDLRSIWTMISPTTTTTSARHPPSGRIHYLSLTLRFCSNAIPSLSSTFVPVEVKVKENWRQDEGLLRYYGYLEKIQLLLKMLKGTGVPLYLVIRAIRSAYCNGAKEVVPELICPENRKFLGKGYKGIKLMTMRKEDEGGTGSGAMVERISIRFSQQGFWL >cds-PLY98826.1 pep primary_assembly:Lsat_Salinas_v7:7:23537636:23539084:-1 gene:gene-LSAT_7X18661 transcript:rna-gnl|WGS:NBSK|LSAT_7X18661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 8 [Source:Projected from Arabidopsis thaliana (AT4G21350) UniProtKB/Swiss-Prot;Acc:O81902] MATTTNATTTHYPDDFKCPISLEIMSEPVILSSGHTFDRSSIQRWLDAGHRTCPISKLPLSDPPSLIPNHALRSLISSYTALNLSKPNQNRGDPETLISNLTSKSSSPEAKLVSIEQLCQLSKRDFELRKRLTESGAVAAVLACISRKNYITLQEKALKFLLNLSLDDDNKVGLVAEGAICSIIAALCGGESADCRATAATVLTSLAVVEVNKATIGAYPFAIRGLVTLLREGGGRERKEAATALYALCSFPDNRRRAVECGAVEILVISLNSGLERAVEVLGLLAKCKRGRDEMVRFNGCVKSLIHVIRNGSWRGVQYALMTLNLLCLSSEVICMEALKEGAFDICLRFLDDDNEKIRRNASSLIKVFGGAGCV >cds-PLY97102.1 pep primary_assembly:Lsat_Salinas_v7:4:74803832:74805259:-1 gene:gene-LSAT_4X50261 transcript:rna-gnl|WGS:NBSK|LSAT_4X50261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVLEWLFLTIAPCDAAEPWQLGSQDAATPMMQGIIDLHHDIFFFLILILVFVSRILVRALWHFQKEKNPIPQRIVHGTTIEILRTIFPSIIPMFIAIPSFALLYSMDELVVNPAITIKAIGHQWYRSAPLHEGDLSATKCLKNMVHIPKESTWVLPFLKVQVQFSLFMKVKVRVSNPVCSPCFCTPASVGTQRVAFAFGVPSYIYGFHPYTRNSTLLCLTQVNWFREHSATFWRLSLSTRFTAYVPFTPSHSEEHLPPPSYRGCWHGVSRGFFLESCHDRALDERALQAALPFFTHAILLDRAFAHCPRFPTAAPRGSPGRVSVPVWLIIRKDQLSIIGLVSLYLTNYLILRRLIKQRFLAFFRIWPELFGRFPRVTHPFATLFSTLLTSWARQATFS >cds-PLY86507.1 pep primary_assembly:Lsat_Salinas_v7:8:134917821:134921915:1 gene:gene-LSAT_8X91660 transcript:rna-gnl|WGS:NBSK|LSAT_8X91660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKQQKRILCWANYLTAESVRCDSVNIVRGRPAICFWNVEKLCERERVECRTIGLGMGELQEPFQVINESSGTSNVGQEKVQGNDAGGVKISDGRCKNSYLESDLTENQRKDKFIENLLLSIKDMDASLRYVGLLFLPVVRSFHIFLFVINLQCPEFVIIDNSKVDNHIDERYGQLPQIIKKYIVDYLKSQNHPKTEMFSHVMPYRLEMPWRTINNHIDCGVFTMRHMETYMGGSMNEFKVGFKNESSAQDDQLVKLRTKYLYKIITHEYIVQKDYVLQKVDEFHKIPSRQRSELLAIAKEKIHTRLDDFS >cds-PLY86562.1 pep primary_assembly:Lsat_Salinas_v7:9:5175062:5181370:-1 gene:gene-LSAT_9X2161 transcript:rna-gnl|WGS:NBSK|LSAT_9X2161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISVGSIDEKKGLSLRYGFEGGKMEITTTDIEEGEACFQSNYDDASTIDPDISLSYIDEKIQNVLGHCQKDFEGGFTAENLGAKFGGYGSFLPTYQRSPVGPHPKTPLKLHAHSAPESPNPNNVHIEASQSSASQPSRKGSSANGRLKQEVPTSCGDKSTSKGYQSVNNLTKDSDQKSLKVRIKVGSEKLSTRKKAEIYSGLGLDVSPSSSLEASPADSNGFFHVPQDDHNESPTSILEIMTSFPVLGSLVSPLPYDVLHLIEKEKGSQESSVTALHGSDLSKADQDVLGDLFDIAKEEPMEPVLPTDGGSGGKIWEANNMTCDEKNPVFSIKTDSDVSIGSKIFDSGPMKPLKQRDDQKAMKLAPDKTSSSGGKRKSKGVVNGSQGGLKNESFTSKSKNNEDHKKNSGKVKDTYKDFFGELDLEHEDDDDDDEMGLEKPSGGNNGKVKLENDSLSGERERLIGKKSEKPSLHATTMGNGLVSDAAVPMVAPVVNEDWVCCDKCEKWRLLPPGVNPSSLPEKWLCSMLDWLPGMNRCSISEEETTKAMISRVPGPSVQGVQPVHPGGPQLVVISHDPDGTHQHFGSQIPHAGFKKKHGSKDLPNEVKLDRPSMSSNSSKKNLHASYRSRSLNATNPSPVNGIDFQDSGQSRDVNVVGQKKKLDVGNNNHHSKISNKRESTQDFPKDCKKVKTNVEDGTFHMGKFDKRDVAKKRKRENEVDGAPKYVKETSETNHKREKKAKILNPKDEGIPARKGKNNEDVKMRSLKVIDSSRKDTVMAATSSSSKVSGSHKTKTNNNQEAKGSPVGSVSSSPLRILNNHNNKKNKGVEPLKKNVYEEKSKEGRNKSQGKFTSGNGKTHGQSKHRSDVRMDQDSKKTSRKDVTGKGKSKSLPPRGQNEKEGFPQPLDDSRKENMGNNVALKHHKKAQNNSGNQPMNVKHPSPAPNMHKDKGKDQDAPVLLLVRDISNQATTSALREATNLKHTADRVKNGGSSLESRGLYMQAALKFLHVASVFESCHKETGRYGDMIQSVSIYGSTAKLCEYCAHEYERTKEMGTAALAYKCMEVAYMKVIYSSHATATKDVNELQSSLQTGGSPSSSAASASDVDNLNNHPAAAAAIATADKGVNTGNHVIAAQHKPNFTRILSFAQNVNSAMEASRKSRIAFAGSTSKEEAIKIKTALDFNFHDVEGLLHRVRVAMENGEFSFILVSEADAVDTFEYNRGVELNFALKQKGNGVVIA >cds-PLY76965.1 pep primary_assembly:Lsat_Salinas_v7:6:64014279:64014710:-1 gene:gene-LSAT_6X46300 transcript:rna-gnl|WGS:NBSK|LSAT_6X46300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLTRKDGCLIQTRLFNTENILKNLGNETYTVPVDDEVVVPRGRRNMMPQVDDRAPRGAHVDYEIPMDLYHVMSRQYIDDLGWSMNFIGYSMETMMHHLQLIPLSGVPPHYTYIPSWGERLAEHPGGVGASGVSDEDDEDE >cds-PLY70698.1 pep primary_assembly:Lsat_Salinas_v7:3:105113681:105114949:-1 gene:gene-LSAT_3X76620 transcript:rna-gnl|WGS:NBSK|LSAT_3X76620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTTIATTTTTTSPNHHHPPPINIAVSATPNFTAYPIMGAEEPRPQPPSSQSQSPLLSQQPQSPPLPPTEEPSSNSDLSQIPPTNPSKIPIRPQKIRKLSSFASTDSTTTLPEEIKPQTTIAEADASKTIILSTTTSTVVTKNRRRNPSQSAKDLPKIIKPLSAPGEITAALHHLRSTDPLLANLIDNHPPPTFDTHQAPFLALSKSILYQQLAYKAGTSIYTRFVTLCGGETGVVPETVLSLTSQQLKQIGVSGRKASYLFDLANKYKTGILSDESIVKMDDRSLFTMLSMVKGIGSWSVHMFMIFSLHRPDVLPVSDLGVRKGVQLLFSLEELPRPSQMEQLCVKWQPYRSVGAWYMWRFVEGKGGQPAGAAMVGLAGDNFPAQQQSEQTQQQQQHQLQLLEPITNIGSLG >cds-PLY95732.1 pep primary_assembly:Lsat_Salinas_v7:2:114077125:114079500:-1 gene:gene-LSAT_2X52660 transcript:rna-gnl|WGS:NBSK|LSAT_2X52660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SUB1 [Source:Projected from Arabidopsis thaliana (AT4G08810) UniProtKB/TrEMBL;Acc:A0A178UWI5] MKEANSTTDPIGQNLIKLISNVCFSVFVFTVLVFTVIAITYQPPDPWESSRALTRVFTEVENATFQTDNSVLKTGEDIGMALLSPSPAPSEADLESSDSQNSPTIEKSEEKGTNLSLSSGACDDTKLVNCSDRGVLMAIKKFNLKHFKSIVFLDYQTPVNTSNPNECDVTWRFRNRKEKSWRRYRDFRRFRIGFTDSCTYKVIGAKGWHSGVNARRPRNRINNSTRTGIRSNFSSSSSSFRDDEINDTIPILGSDQSFRNGKYLYYSRGGDYCKNMNHYIWSFLCALGEAQYLNRTFVMDLSVCLASDYTSSGKDEEGKDFRFYFDFEHLKETSSIVEEEEFLKDWRRFEKRNKRKIPLRKVPSYKITPMQLKKDKSTIIWRQFDTPEPENYWYRVCESKASNYIQRPWHSLYKSKRLMNIVSEISGQMDWDFDAVHVVRGEKAKNKDMWPHLDDDTSPDNLVVKLLNVIQPWRNLYVATNEKFYNYFDRLRSHYKVHLLDDYKELWGNTSEWYNETRVLNGGNPVEFDGYMRVAVDTEVLYRAKTQVETFYNLTKDCKDGINTC >cds-PLY74010.1 pep primary_assembly:Lsat_Salinas_v7:1:33169870:33171899:-1 gene:gene-LSAT_1X28600 transcript:rna-gnl|WGS:NBSK|LSAT_1X28600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAISHLSLLLFFTLTLSAHAKLTLNAADSHALITILEDLGVSGELHRPENLCYTDGIFCERRILNESYVHRVTGIVLKAQKLKGFISPAIGQLSELKELSFPDNYLVDGIPPQIANCRKLEVLKVRNNELSGQVPGELSSLLRLRILDLSSNKFSGNLGFLKYYPNLEKLSLDDNMFTGKVPISLRSFRNLRFFNISGNSFLEGPLPALNKVESPSPDLKKTNYKRVPKRYIFAESTNSTGNRSSDSNNLQAPAPAPSPMQTPKKHNKNRKKTMGVILGFFVGSVAGIISAIILSVIFKLAVILIKTRGKESGPAIFSQSIKAEELAFLNDDEAVAALQVIGRGGCGEVYKTDIPEGKIKTIAIKKVIQAPKDAAELTEEDTKLLNKKMRQIRSEIQTVGQIRHRNLLPLLAHLSRPTCHYLVYEFMKNGSLQDIIQEANNGTRELDWPTRHRIAIGVASGLEYLHLNHTPRIVHRDLKPANVLLDDDMEARIADFGLAKSIPEAQTHMTSSNVAGTLGYIAPEYHQTMKFTDKCDIYSFGVLLGVLVIGKLPSDDFFQHTSEVSLVKWMRKVMTSDNPKEAIDPSLLENGYEEQMLLVLKIACFCTLDNPKERPNSKDCRVMLAQIDTPNLVSQNVN >cds-PLY94334.1 pep primary_assembly:Lsat_Salinas_v7:7:164441139:164442200:1 gene:gene-LSAT_7X97001 transcript:rna-gnl|WGS:NBSK|LSAT_7X97001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKIRSITRDQNHDDASIRKKIKTCDPWSDLNHDVLYLIMMKLGVIDFIAFSGVCKSWRSFAVCNKNYFMVSKPPMSISISTDADENKCYLEEFEGRKLKISLPHSDYKTCVGVSCGYLILFGEKSNDFWLVNPITRHEFHAPFSFRNVSFYSPCLGAILVFSPLISGWVFVVFAIYYHKIWFVIASKQEWTYVSSPFRILDLHAFKGKIYTLHPINRLSEVRLFPTPKVTLLKIKNSPIPDFNYPAFVSFGENLYVMDRISEHLSNIQEINFSKMEWVSREKTGEEYAFFLRNPEQGVGFIRIESWADLHSQYGKYYFPCKSGEDRFFLAKMWYFFHDCFDASFLHQSVKTC >cds-PLY80054.1 pep primary_assembly:Lsat_Salinas_v7:4:348191752:348193674:-1 gene:gene-LSAT_4X172401 transcript:rna-gnl|WGS:NBSK|LSAT_4X172401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPEFPDVMAEGYCSRGQSNSQLHARLFPDISDSRLRLKDLEDLIMSPNYSALQDEDVVMLIQLVFMLKGLHGRDVKTGIPAAVYKLADNIDDWNRFAWGTYFWKYTSRMMRGMFEKIEEFRQFKQANPESRKGHKYTVPGFMLPFKPNNQPINVVANPEELMLPFYVRYVNWNLNLGESPPRQHSPVPNSPPHVDSPARRTMYKSEIETSTTESATNASSSQHLETSYMSNDTSRLTKKKKTSTKTLVKHLIGVVADLTSKVDRAL >cds-PLY66083.1 pep primary_assembly:Lsat_Salinas_v7:2:206323468:206326528:1 gene:gene-LSAT_2X127400 transcript:rna-gnl|WGS:NBSK|LSAT_2X127400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRLMETTGVSVDPTSSDNHATNSPNSSNPTSPTMRRISSSSSSPRAMSSSPFPIIRFLQAPVTTIIEYSGVLRPRSNTDYHESESLISNHHHRDHNSSGSGTASNRSSNDENSNGEVSIRIMGGADQEEERGEPVAVNREDGGGEREVADSGDGSGGNGGSNNNVDSAYQQRYDLQQVSRWIEQILPFSLLLLIVFIRQHLQGLFVTIYITAFMYKSNDILRKQTALKGERKLSVLAGYCIVFMLHVIGVYWWYQNDDLCHPLFMVPPKEIPPFWNAIFTIIVNDTMVRQAAMGFKLVLLMYYKNGRGHSFRRQGQMLTLVEYTLLLYRAFLPAPVWYRFFLNKEYGSLFSSLTTGLYLTLKLTSVVEKIGSFYAALKALSRKEVNYGSYATAEQVSEAGDLCAICQEKMQAPILLRCKHIFCEDCVSEWFERERTCPLCRALVRPADIRSFGDGSTSLFFQFF >cds-PLY94200.1 pep primary_assembly:Lsat_Salinas_v7:5:322780326:322783085:1 gene:gene-LSAT_5X178960 transcript:rna-gnl|WGS:NBSK|LSAT_5X178960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRDFFLNTGLQFEPSSQSPWKLMTLMPEMNYPVEQASADGVSRPNYSHFESALSSMVSSPVSNSTVSNDIVAVRELIGKFRDGSPAAMTPPPPYSNTNTPLNPSPPKLNLPIIDHFMKENLPRIRNSMPLNPNLPLLTADPGFAERAAKFSCFGSRSFNGRTHQLGINPNSPEFQIGSNGSSPLTSNAKFPRVSSSPALKIDPSPVRLEDNRNSEEINMKLNRLPGSAANSNEESSVSEQIPSGELGFKNQKDSNTRKRKGGSSKGIGSTAKKEEEPIDDSNSKKLKKPEQENGDTKPQEAPKDYIHVRARRGQATDSHSLAERVRREKIGERMKLLQNLVPNCDKVTGKALMLDEIINYVQSLQRQVEFLSMKLATVNPCLDFDGDNLLSQNANQSNVNLSQPTHHVQSSTSTFYQQNQQALFNGSTPSIQSGHQLAEPFLQFPGFGQDDLQSIVQMGFGENLDLDAPFFRDQPSNMKMEL >cds-PLY77658.1 pep primary_assembly:Lsat_Salinas_v7:5:175908386:175909618:1 gene:gene-LSAT_5X77441 transcript:rna-gnl|WGS:NBSK|LSAT_5X77441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMALIRDGFTLISLKDLEPINWYQSCYGSGVNMSKTNSEKIAGLEAGVAALEQDLTKLREEADLAKKENVAQFTEVLQAINNLTKTVKGKLIQEEEKDKEDPEFELEFGSFKKGPKDDKNKGGGRTSSVSAHPRLVEG >cds-PLY81430.1 pep primary_assembly:Lsat_Salinas_v7:3:217480032:217485855:1 gene:gene-LSAT_3X126020 transcript:rna-gnl|WGS:NBSK|LSAT_3X126020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSFYRWLANKYPKTVVNAVEKTEEKDGTRPNPNDLEFDNLYLDMNGIIHPCFHPEHDDVLSKYLTTPGTYEEVFSNIFEYIDRIMMIVRPKKLLYLAIDGVAPRAKMNQQRTRRFRNAKDQETLRKEEDRLRRQYEVEGKDVLPKQDSEVTDSNIITPGTTFMFELSKQLQTYVHLRVSKNDAWKHLKVILSDANAHGEGEHKIMSFIRLQRTCPGYNPNTHHVLYGLDADLIMLALATHELHFSILRENVLAEDKSKTSHSSVLLQAGNSETNVVKCRGWFKQFDLNSDKVDISQQMNKLDITAAGKQKLLVKKPHQFLHVWILREYLNFDLRSTNVPENFEYDIERLIDDFIFICFFGGNDFLPHMPTLEIHEGGIDLLIHVYKQEFKNLGGYLVNMEKVYDKKGGYIKVKRVEKFILALGTYEDQIFKKRSAIHESKLRRMFSEFKDESGNGEEELFPVISTHNQKGPYTEKSPDHDVQIIENTKALKEQLKSYNREISDVFRNGLLSDMVKLDTPGWRKRYYKYKFSAETDADVEKMRKEVVERYTEGLCWVLLYYFSGVVSWTWFYPFHYGPFASDFKGLSSTKVTFQRGSPFKPFDQLMSVLPPTSAHALPPPYRLLMTTDDSNILDFYPNDFDVDTDGKRFLWQGICKLPFIDEKRLLASTKMIEKELTEEEAQRNAENADKLFLHSEENLALQIISSFNNEGSIEQKSSMKVDTGLSGDINGFVYPNLEPKYVCDVNDLGSDHDVLCVFYDPPCFSHHIPRVLEGTDIPDSDVSEADIKEVRLWHESHGYPVRSNRCHGQPEEDKIDPSVGLASGWRGRVNEIASSSQSRIQERHFRHGTHSYPNRSNRSYEQVQGAQRSPTIRAVGGWSGRGRGRGHDATLNSQSTSRHDATRTPKEQTNCSSWWDTHGRGARRNGNCENRSINYNGRTSTVNGQFWAVRSESSSSNQGRGQWGDAKSKNASNRRW >cds-PLY70922.1 pep primary_assembly:Lsat_Salinas_v7:9:15965297:15967533:-1 gene:gene-LSAT_9X17281 transcript:rna-gnl|WGS:NBSK|LSAT_9X17281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGALHDCHYDLGLENGINLGGCVELDFEQDPQTVVIEDAVKVLLEGLGEDINREGIRKTPLRVAKALREGTRGYNQKVKDVIQGALFPEVGVENGVGQAGGAGGLVLVRDLDLFSYCESCLLPFQVKCHVGYIPSGQRVVGLSKLSRVADIFAKRLQDPQRLADEICLALQHWIKPTGAAVVLHCSHIHFPSFAPGCLDSDHSKWVKVVVGSGSGSFEKDDSAMWVDFLGLLRHKDINLETIHSRTKASCWCPSRSLKTGPSKSGMVAAVGSIIQSLGQDPCRKELMGTPNRFVKWLMSFKDSNLEMELSEFNGIPLRTIGRVNYKEHIKSELNLSLWSLCEHHLLPFYGLVHIGYMSDEEVNPKGKSLLQSIVHFYGFKLQVQERLTRQIAETVAPLLGGDLMVVVEANHTCMISRGIEKFGSNTATIAVLGRFSNDPAARSKFLQSIPSYSL >cds-PLY72454.1 pep primary_assembly:Lsat_Salinas_v7:2:141051008:141053877:1 gene:gene-LSAT_2X68880 transcript:rna-gnl|WGS:NBSK|LSAT_2X68880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKFCDFRGFQSMKLLMMVVLSIFLLVSGDEDAFIGVNIGTDLSDMPHPTQVVALLKSQQIRHVRLYDADRGMLTALANTGIKVVVTIPNDQLLGIGQSNSTAAHWVSQNIVAHYPATNITTICVGSEVFNNLPNVAPVLVTALKFIHGALVASNLDRQIKVSTPIASTIILDSFPPSQAFFNHSWSPVMAPLLKFLQSTGSSLMLNIYPYYDYMNSNGVIPLDYALFKPLPANKEAVDANTLLHYTNVFDASVDAAYYAMASLNVTNVPVLVTESGWPSKGDPNEPDATSDNANTYNSNLIKHVLNQTGTPKHPGIPVSTYIYELYNEDIKEGSVSEKNWGLFDANGKPIYTLQLTNSGPTFANNTGNLSHCVAMEGADKKMLQAALDWACGPGKVNCSALLQGQPCYEPDNVVSHANFAFDAYYHMMGRGEGTCDFNGVATLTSTDPSHGSCQLFGNGGMNGTSLNSTIADFNSTSSSAGGGGYGGAGVLMKVVFVLAVVVL >cds-PLY71347.1 pep primary_assembly:Lsat_Salinas_v7:7:185378374:185380829:1 gene:gene-LSAT_7X110701 transcript:rna-gnl|WGS:NBSK|LSAT_7X110701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKKSVSSLKEGDLKGKKVFVRADLNVPLDDNFKITDDTRIRAAVPTIKYLMSNGARIILSSHLGRPKGVTPKYSLKPLVPRLSELLEIEVKMASDCIGPEVEKLVAELPERGVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKYLKPSVAGFLMQKELDYLVGAVSNPKKPFAAIVGGSKVSSKIGVIESLLKKVNVLILGGGMIFTFYKAQGLKVGSSLVEEDKLDLAKSLLENAKAKGVSLLLPTDVVIADKFAADANSKIVPANSIPDGWMGLDIGPDSIKSFSETLDTTQTVIWNGPMGVFEFEKFAAGTEAIAKKLAELSGKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALNDA >cds-PLY84708.1 pep primary_assembly:Lsat_Salinas_v7:5:37251143:37253360:1 gene:gene-LSAT_5X17640 transcript:rna-gnl|WGS:NBSK|LSAT_5X17640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPPIINESSFSVANPSSYSLTGIWPFSSVNGGRNESLGLKMSTLISRLGEPNNNGDDESTVTEHSGGGGGGGGRKRMDVNFEDESSKITSTSSGNDLSKFHGKRMKISGSKDDLCGSKIEQKGSESHNLTEPPKDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQHQVEFLSMKLEAVNARMNSSVEGFPTKDLVTPPIDAARLLFGSQAARGFGPGSEPEWLHMQLGSRFGRAT >cds-PLY77840.1 pep primary_assembly:Lsat_Salinas_v7:1:22063947:22065488:-1 gene:gene-LSAT_1X18540 transcript:rna-gnl|WGS:NBSK|LSAT_1X18540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDACKTSNSDSDGGLKNYPLNFQRAIRGFVCLVVYLSTAFVFIVFLGTPAAVFPRFFSIHYSRKALSFFYGIWLSLWPFLFEKINETKVVFSGETVPEKERVLLIANHKTEVDWMYLWDLALRKGSISCVRYVLKSSLMKLPLFGWALHILEYISVERKWEVDESNMQKMLSTFTNHSDPLWLAVFPEGTDFTEQKCIKSQKFAAENGLPILKNVLLPKTRGFHACLEILRGSLDAVYDLTIAYKNRCPTFLDNVFGIEPSEVHIHVRRVPLDDIPTSQKESNAWLLQSFQLKDQLLSDFITRGHFENEGTEQELSTIKCVINCIIVIGTTCLFTHLTFFSSVWFKIYIGVTCAYLTFATNSGFRPSPVIEYVKLFVYGKKLV >cds-PLY82657.1 pep primary_assembly:Lsat_Salinas_v7:5:84500442:84500609:-1 gene:gene-LSAT_5X39480 transcript:rna-gnl|WGS:NBSK|LSAT_5X39480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDGYLSISDLSLSSMKVLASSVWDHPSEWLSGYVLRLATTMEQLVYSRLGVSED >cds-PLY88352.1 pep primary_assembly:Lsat_Salinas_v7:9:18085918:18089156:1 gene:gene-LSAT_9X15680 transcript:rna-gnl|WGS:NBSK|LSAT_9X15680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGGEGTSLEFTPTWVVAAVCTVFVGVSLVVERLLHYACKKLKKEGRKPLFEALQKVKEELMLLGFISLLLSVCQDRIVKICVKEATMEHFLPCPLKAKEKMIDPKSKNSSTIRQLLGDKETSVGYCAQRNKVPLLSGEALHHLHIFIFILAVVHVTFSVLTAVFGGFKIRQWKQWETSIREENPNTTQGDSVQEPTITDVRNHDFIRKRFLGFGKRSALLGWQHSFFKQFYGSVTRPDYVVLRSGFITTHCKGSRNFNFHKYMIRALEDDFRKVVGISWYLWIFVIIFMLLNVNGWHTYFWIAFVPLILLLSVGSKLEHIIIQLAHEVAERHIAIEGELAVKPSDDHFWFHRPKLVLLFIHFILFQNAFEIAFFFWILIQFGFDSCIMEKLIYIIPRLIIGVFIQVLCSYSTLPLYALVTQMGSSFKKSIFEEHVQVGLISWAHKAKRNKALNATTNNSAQDGPGGGGGGGVELEGIHIARDPQRSTNT >cds-PLY80449.1 pep primary_assembly:Lsat_Salinas_v7:4:175767278:175767947:-1 gene:gene-LSAT_4X103341 transcript:rna-gnl|WGS:NBSK|LSAT_4X103341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKFPAFNQKRIDWAHDSSNTKQSTQEYITPKTAKVQEAYESAMVAKFGDDTSCHPLLDNETWIRICV >cds-PLY80736.1 pep primary_assembly:Lsat_Salinas_v7:3:85390388:85394418:1 gene:gene-LSAT_3X66320 transcript:rna-gnl|WGS:NBSK|LSAT_3X66320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLFFSLALLNITLSPIPNFASASLEEANALLKWKASLEIPKNSLLSSWIPLPLNSSASVPCTSWFGVVCNADGSIQKLNLTSSGLKGTLHQFSFSLLHNLTHFDLSLNNFFGPIPPAIRHLSKLIHLDFSKNKFSGVIPPETGNMHHLTILYLYSNNISSSISSSFGNLTSLNVLYLYQNQLFGLIPVELGNLKSLNQLDVSDNQLSGSIPSSLGNLTSLNVIYLYQNQLFGLIPVELGNLKSLNQLDVSDNQLSGSIPSSLGNLTSLNVIYLYQNQLFGLIPVELGNLKSLNQLDVSDNQLSGSIPSSLGNLTSLNVIYLYQNQLFGLIPVELGNLKSLNQLDVSDNQLSGSIPSSLGNLTSLNVIYLYQNQLFGLIPVELGNLKSLNQLDVSDNQLSGSIPSSLGNLTSLNVIYLYQNQLFGLIPVELGNLKSLTSLYLSKNQLNGSIPSSLGNLTSLNSLYLEKNQLSGLIPTELGNLKSLTNLQVAENQLNGSIPSSLGNLISLKVLYLYNNQLSGPIPIELGNLKSLTGLSVSENQLNDSIPSLLGNLISLKVLYLYNNQISGPIPTELGNLKSLTDLEVGRNQLNGSIPSSLGNLISLKVLYLYDNQLSGPIPIELGNLKSLTGLSVSKNQLNGSIPSLLGNLTSLNRLYLEKNQLSGPIPTELGNLKSLTHLVVYNNQLSGSIPSSFGDLECLNLLYMYDNELAGPIPIELGKLKSLNDFKMNNNQISGSLPPEFGNVTQLQRLNMSSNHLVGEIPKEFGKMKRMLYLSLASNQLSGVIPVDLGFCSVLEVLDLSKNRLNGSIPRSIDQWAHIHYLNLSTNKLSEKIPSQIGKLVQLTELDLSRNFLTEKIPSEVQSLQSLQKLDLSHNRLSGSIPDAFTSLPNGIEINLSYNELSGPIPYCSNFLNASIESNPDLCGNVTGVKLCPSRIMKKKNDPFHHKLIFVIMLPLIGAVLLGALTYGLTAYQQQKKKSPQKPSDEESGDYFSITSFDGKVVYVDILKATNDFDEAYCIGTGGYGTVYKAELQTNNVVAVKKLHPSSSENFDHNGFLNEVRALTNIRHRNIVKLYGYCSHVRHSFLIYEYLENGSLGSILRRDVLAKELDWLKRVNIVKGVANGLAYMHHDCSPPIIHRDISIANILLDSDYEAHISDFGTSKLLKLDSSNWTVIAGTFGYIAPELAYTMVANEKCDVYSFGVVAVEVIMGKHPGDLLTSLSTLSAADYLVPATVGDSRIPPPSSQVEKQVKLILGLSRACLNSNPHERPTMQQVSNLLMKDRL >cds-PLY67420.1 pep primary_assembly:Lsat_Salinas_v7:4:240906697:240907353:-1 gene:gene-LSAT_4X128920 transcript:rna-gnl|WGS:NBSK|LSAT_4X128920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDENPPPPVAPIPPIPIKAHTDMGNWLRKRVLIGETHSFDHITNIPSSLIHGDHISLNIGIDIGCSMSSKVFIEDKSSWSDWFKWLIPGEKHNTHFERLAWMKIIGLPLELWDESTFSTIVSRFGRVTHPFDNISTRRDYFMGKVGIITTSKRWINEKVTVSAGGTIFRVGVVEYTDDWSPFTPMPFDKTVESDEEDDKYETDDEDVVSETWMQEDKE >cds-PLY70576.1 pep primary_assembly:Lsat_Salinas_v7:1:89939829:89940173:-1 gene:gene-LSAT_1X74440 transcript:rna-gnl|WGS:NBSK|LSAT_1X74440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDEDSAFVGAPLIFSSFHSLQKPSSSRPFYDFGLMSSSLEHVDEEIQIDDKVQETLEGETKTNPPKENQTENNPAPSEPPVNKRGDKDNFESSTSDTGSLDKNIVYPFALLEL >cds-PLY86337.1 pep primary_assembly:Lsat_Salinas_v7:8:27671507:27671839:1 gene:gene-LSAT_8X22620 transcript:rna-gnl|WGS:NBSK|LSAT_8X22620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNTMFVPELLGGIGDVLGDKFFVHGQKEIVVVPISLKTSPSSFIGLLSVDPGSNSVLGGALGVSRDSSPSDKPSMFDKMRTSSHRSTFEDYALGWAVIMDSLLSEDIAT >cds-PLY66090.1 pep primary_assembly:Lsat_Salinas_v7:1:182884022:182885396:-1 gene:gene-LSAT_1X119080 transcript:rna-gnl|WGS:NBSK|LSAT_1X119080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAENHHHQKSSSNPQNPKVSGEIGRSSNHIKPNYPNPPDIKNPDAAALREQWKYAIRQYSKWYSHAWGTAILAGVSFFALGWVIKGGNPLPSFHQNDDTSRDPPNSPTDSPDM >cds-PLY74541.1 pep primary_assembly:Lsat_Salinas_v7:7:40303903:40304893:-1 gene:gene-LSAT_7X29321 transcript:rna-gnl|WGS:NBSK|LSAT_7X29321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVKSVAYSILVLRIITLLILAASATYMALNSYKLAGQKKITWKDLKTYRYVFATAVIGVVYTLIQIPFALYYARTEKRLIRHSCLPEFDFYGDKLVSYILATGVGAGFAASMELRETVDDFVALIAIILAAVNENINLAFNLDKFLSETHKFLHRGIIATILLTIGFAFMAITSILQLVGYYS >cds-PLY88387.1 pep primary_assembly:Lsat_Salinas_v7:5:61588611:61591258:1 gene:gene-LSAT_5X29120 transcript:rna-gnl|WGS:NBSK|LSAT_5X29120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGICNLFRLSYISRKIDISNIDWCSYVLDCLVRTKNSYIPYSDTDFFVRPSAFLVLFYADNIRSEALMVTRKRPTICYWSSEKIKYRETFEQEKGRFGLGELNEEFVNKQDEGETDLKDSDSDKDEDHSVVARKTLNMEIKGGVEAKNTTDASEDKQTKIEKDNAEDRAILTRVGPSKHDLDEPREKKLTDVVVQLAREYIRCGCADKIWSYNRKSNYGKSISKQRDFWRSFKHLG >cds-PLY88611.1 pep primary_assembly:Lsat_Salinas_v7:4:362263319:362265866:1 gene:gene-LSAT_4X179460 transcript:rna-gnl|WGS:NBSK|LSAT_4X179460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP58 [Source:Projected from Arabidopsis thaliana (AT4G37130) UniProtKB/Swiss-Prot;Acc:Q8RWH9] MAFSFTPQQQQPSLFQPQQQQQQSPFQPSGGIPFFQPQQQQLLQQQQQQLQQQQNQLFLFTNEKTPATYGTKWSDLHPDSQKFLLQIEERILEYRDESQRLDQCGRLYDSSVSNDGFELDASHIVQELGGITTAMDRQKLLLQELTSNVKDMLRNTEIAVRSFMILRSRFQHPNKATTTTPPQAPGAPPTTTTTTTQPTTSAIVPVYDFYTGLPRKPSPFFQQTVARFDKYLHECRQWVEELEQLLLESSKNNSMNSNPSLLESLPKVMSNVHDFFVYVASKVESIHQYMESMKTAYLSDQRGRGDTNDPFLEADRRETAKRAAAARRVHPTLHLPSNSQPSGTLLASSATPAAATSSAAAVATPPVGAAANVASGSGLFSTPFGATSSSSLFSTPAPSAPSSNLFGSSGGTPQTSIFGAFSTSTPALGPTSTGGGSLFSTPFASNPASGASTFSTPFGTGAATGSGASFNTQSKARGKSRTGRR >cds-PLY68545.1 pep primary_assembly:Lsat_Salinas_v7:2:5333027:5333779:1 gene:gene-LSAT_2X2900 transcript:rna-gnl|WGS:NBSK|LSAT_2X2900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMISYNHIPKSSILIVHHEISTLTFCKPLTPAILISNQYQKRINFITKLQCSVSSRPYASNSLDSKSKSDSVRMNRKQGGSSSIYSRASLLETKKERIANPARVYDFLKSIGIEPDELDGLELPVTVDVMKERVDFLHTLGLTIEDINNYPLVLGCSVKKNMIPVLDYLGKLGVRRSTLTDFLRRYPQVLHASVVVDLAPVVKYLEGMDIKPNDIPRVLEKYPEVLGYKLEGTMSTSVAYLVGIGVARR >cds-PLY86854.1 pep primary_assembly:Lsat_Salinas_v7:8:50011413:50016610:-1 gene:gene-LSAT_8X37961 transcript:rna-gnl|WGS:NBSK|LSAT_8X37961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRKRRLHFSKIYSFKCGRNRFDDDHSQIGGPGFSRVVYCNETGVSEAATQIYVDNYVRSTKYTPMTFIPKSLFEQFRRVANFYFLVTGILSFTALAPYSAVSAILPLIVVIGATMVKEGIEDWQRQQQDHEVNNRKVKVHSGGGVFESREWKTLRVGDVVKVEKDEFFPADLLLISSSYEDAVCYVETMNLDGETNLKLKQSLDATSMINDDSNINSFKATVKCEDPNASLYTFVGTMEFQEQQYALSPQQLLLRDSKLRNTDYIYGVVIFTGHDTKVIQNSTDPPSKRSGIEKRMDNIIYFLFFILFLIAFLGSIYFGIVTKNDLDGDRMKRWYLRPDDSEIFFDPKRAPAAAIFHFLTALLLYTYLIPISLYVSIEIVKVLQTIFINNDIHMYYEEADKPAHARTSNITEELGQIDTILSDKTGTLTCNSMEFIKCSIAGTAYGRGVTEVERAMAKKTGSPLVVNGRVLDDDNDDEDDDSGLSVKGYNFEDERIVNGYWLLEPRSDVIQKFFRLLAICHTAIPDVDEETGRVTYEAESPDEAAFVIAARELGFEFYKRTQTTVSFMELDPMSKKKVERTYELLNVLEFNSARKRMSVIVRDEFGKLLLLCKGADSVMFDRLAKNGRQFEENTKEHVNEYADAGLRTLILAYRELNEEEYKEFNEKFIEAKNSVSEDREDLIDATTEEIEKDLILLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGQVFVFACSLLRQGMKQIIITLESPEIISAEKAGDKDVISKMSKENVKKQILAGKAQLSSSSSDPYALIIDGKSLAYALHDDIKNTFLDLAVGCASVICCRSSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNIVFGTTVFLYEAYASFSGQPAYNDWYLSLYNVFFTSLPAIALGVFDQDVSARFCLKFPLLYQEGVQNTLFRWRRIFGWMLNGLASGIIVFFLCTRALDPESYRKNGKTAGMEVVGATIYTCVVWVVNCQMALAVSYFTLIQHVFIWGGIILWYLFLLAYGAMPTSISTTAYNVFLETLAPAPSYWFVTFYVVIAALIPYYCYKAVQMRFFPAYHGMIQWIRYEGHTDDPEYVNMVRQRSIRTTTVGFTARSIARDNDLYHLNLERQASKS >cds-PLY94214.1 pep primary_assembly:Lsat_Salinas_v7:9:20292862:20294821:1 gene:gene-LSAT_9X18801 transcript:rna-gnl|WGS:NBSK|LSAT_9X18801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKTSKRSKQKASQEIEVAIDWEVRPGGMLVQKRVVGSDPGCVAAPIIKFKVSHESYHHHISLPSHSTFGDLKKILARETNLEAKDQRLLFRGKEKGDDEHLDMAGVKDMSKVILLEDPASKERKLVDKNKNHGNLQAYDAVMKVRSEVDKLSDKVAGLETSAKNGIKIVKKEVDVLTELLMKELLKLDGIEAEGEAKTQRRIEVRRVQGFVDILDSLKLIKSKNHSDKRVAAVTITEKWETFESGIGSHFHQTSTKITKDWEQFD >cds-PLY94773.1 pep primary_assembly:Lsat_Salinas_v7:2:176934627:176934788:1 gene:gene-LSAT_2X98621 transcript:rna-gnl|WGS:NBSK|LSAT_2X98621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLNDMARSIRMVRVKSLIETAPFQIVTPIKPSSAPRLATIEEERGECFEERR >cds-PLY72934.1 pep primary_assembly:Lsat_Salinas_v7:1:91003389:91007518:1 gene:gene-LSAT_1X76201 transcript:rna-gnl|WGS:NBSK|LSAT_1X76201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKEKNSIYDIRISTVAPADISTKYGFQELSAIDLAMKLHYIRFIYYFRIPAFDGFTIIKIKETMCDWLNYASIPCGRFRRTDSGQPILKCNAAGVRIIEASCYLSLDEWLESKDDSRHKLLVPSNIIGPELSYSPLVMIQKFFIKSPMAVRKLSSLNLMSLIRELDIKSPTAAG >cds-PLY98668.1 pep primary_assembly:Lsat_Salinas_v7:5:303688873:303690578:1 gene:gene-LSAT_5X163500 transcript:rna-gnl|WGS:NBSK|LSAT_5X163500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKPIVVIFLLKIFLKPVYHPSWISQINQEQQSAAEIVQVVVAGNSVKIDHSLLNGQNLVSKDQSKLHEPIKELDIFLTHIAAGIPIDIIPGYNDPTNFALPQQVNLKNLECHTSSFGTDI >cds-PLY92444.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:611809:615673:-1 gene:gene-LSAT_0X10900 transcript:rna-gnl|WGS:NBSK|LSAT_0X10900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMAFSSSTSRTLRLQGSNDCNAPLSIFFMAELDLKDKIINQNQDDIEVLKKKLVEKEKDMSDLKEKLKEKDDNKKSFSSISVQTEDAPEESSSSRNPCFHLDEHQCGSCTDKSHADKLHVKKSQAGHTPSASSHTGTTSVHADKLQVEKVRTTKKFCSIKSSDTDKIVYTANTFGTIKPSKAVKTPSHTIKTQVPSSNIRRTEVEFSNVCSWFNNTSYRGKNKKIKTKKIYFEKKESLEPKKIKKVWVIKGTSTYSDVKETKQKKKRAMKPQASCFTSYQKSSE >cds-PLY95056.1 pep primary_assembly:Lsat_Salinas_v7:5:227552762:227554114:-1 gene:gene-LSAT_5X107281 transcript:rna-gnl|WGS:NBSK|LSAT_5X107281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEERGNERWSAAIANLSEISNNLDSLENLLIKKAVYVDEDTFNKASLTSDQARTIKVLEQRVETLERELDAAISAAARARTEKRHAEAGQKAAELRAQEITKELENTTKVFELHMEELRAKQDEILKRDNEIKLLEAIIQTLGGKDST >cds-PLY61684.1 pep primary_assembly:Lsat_Salinas_v7:9:138369959:138373508:1 gene:gene-LSAT_9X88501 transcript:rna-gnl|WGS:NBSK|LSAT_9X88501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQLLRRVWESVSSGWNSSDSTSNSLYNLDYSPVHGTLSDQIIICSSSTGAFDRLPLDIFMQILKLLGPKEAARLTCVCKSWKFMVSDNMLWIFFLQNQQDPWDSIFFAETNLRSGFPLRTYPRQMQSFMHIYGERAKVPGAIIVDGGAGYCKFGWSKYDSPSGRSATFVEFGNIESPLYARLRHFFATIYSRMRVKSSMHPVIISIPISHYDDTGADKAFRRQLKEAIYSALFGMKVPSVCAVSQATLALFSARRTSGILVNIGFHQTSVVPILHGKVMHKVGVVSMGVGGLKLTEYLKEQLRLRNLHVSSLYTVRSLKENLCYVAFDYENELKKENTKASYKVASEGLFTLEKERFQTGEILFQPHIAGLINAMGLHQAVANCIERCHAAKMRTDHTWFKTVVLAGGTACLPGLQERLEKEVHDLLPPSISNGIRVITSPYGADSAWYGAKLLSNLSTFPNSWCITEETQSKSKRSLIW >cds-PLY87070.1 pep primary_assembly:Lsat_Salinas_v7:5:260694974:260695129:1 gene:gene-LSAT_5X130720 transcript:rna-gnl|WGS:NBSK|LSAT_5X130720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNSSSLKLLLRKDKWNDLHERTQNLGIPNTGCGVCHELYTSFNLIKDHWF >cds-PLY62627.1 pep primary_assembly:Lsat_Salinas_v7:8:108104991:108105336:1 gene:gene-LSAT_8X75680 transcript:rna-gnl|WGS:NBSK|LSAT_8X75680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFVLALVGSITPLLPAATKIHSGCDPIEVLSGHSMTVNYVTWNPRRHQMLASSSDDQTIRIWGPNPSQKMHLKGLI >cds-PLY70685.1 pep primary_assembly:Lsat_Salinas_v7:3:105817817:105822612:1 gene:gene-LSAT_3X78181 transcript:rna-gnl|WGS:NBSK|LSAT_3X78181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKTQAKLSLAFLDPGAQNLSSERPLRQFVTGKDKSAGRNSKGHITIFHRGGGAKWSQRTIDLKRNTSSVGVVERIEYDPNRTSRIAVVRWVKGTAVDHPKKVNSLQKNFTPPPRSYPPSPSKVSAQNLSSERPLRQFIIGKDKSAGRNSKGHITIFHRGGGAKWSQRTIDLKRNTSSVGVVERIKYDPNRTSRIAVVRWVKGTAVDRPKKVNSLQKNFTPPPRSYPPSPSKVSKDKSAGRNSKGHITIFHRGGGAKWSQRTIDLKRNTSSVGVVERIKYDPNRTSRIAVVRWVKGVAVDRPKKVNSLQKNFTPPPRSYPPSPSKVSKDKSAGRNSKCHITIFHRGGGARWSQRTIDLKRNTSSVGVVERIEYDPNRTSRITVVRWVKGTAVDRPKKVNSLQKNFTPPPRSYPPSPSKVSKDKSAGRNSKGHITIFHRGGGAKWSQRTIDLKCNTSSVGVVERIEYDPNRTSRIAVVRWVKGTAVDRPKKVNSLQKNFTPPPRSYPPSPSKVSKDKSAGRNSKGHITIFHRGGGAKWSQRTIDLKRNTSSVGVVERIEYDPNRTSRIAVVRWVKGTAVDRPKKVNSLQKNFTPPPRSYPPSPSKVSFHSLQFTEC >cds-PLY76314.1 pep primary_assembly:Lsat_Salinas_v7:5:296070885:296075639:1 gene:gene-LSAT_5X158421 transcript:rna-gnl|WGS:NBSK|LSAT_5X158421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVYGGNYRPISVVEYESNDGEGGRRRYDSDKMRDTEIHLKMASIHANLTSVMCKNSNHSSLSKISKTAFLPVFDVSIETPNLWKKESLSSSSTSSIMVPRATLTFDPPSTNVQKTSQRKNTIDPSAPDFLPLPSFEQCFPQSTKEYKEVIHENTGNVLKVPFRRVHLAGDEPHFDTYDTSGPQNINPQIGLPKLRKNWIDSREKSSPPRYTQMYYAKQGIITEEMLYCATRENLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTIMDLSTGRHIHETREWILRNSSVPVGTVPIYQALEKVNGIAENLTWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWEDILDICNQYDIALSIGDGLRPGSIYDANDTAQFAELLTQGELTRKAWAKDVQVMNEGPGHIPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPHAQAWDDALSKARFEFRWLDQFALSLDPMTATAFHDETMPSDGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSAEEAVQEGMDAMSAEFNAAKKTVSGEQHGEAGGEIYLPELIREHGKKWRMALDLIVWILEDGPSVE >cds-PLY90403.1 pep primary_assembly:Lsat_Salinas_v7:9:174107676:174110719:-1 gene:gene-LSAT_9X106880 transcript:rna-gnl|WGS:NBSK|LSAT_9X106880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSEFKKIRIHRDDIIFDAYLVGKEDAPGIVVLHEWWGVDFEIKSHALRISKIESGYKVLIPDLYHGKFGHDAVEAKHLMDCLDWPCALKDIQASVNWLKCNGSRKVGVTGFGMGGALAIASSVCVADVVTAVSFYGVPPLHFADPAKIKAPVQAHFGELDNIAGFSDKKTAKALEEKLKASGKQYEVHLYHGVSHAFMNTSPEGEKRRKSLGMIDENITGTADVAWSRFQAWMNRYL >cds-PLY78187.1 pep primary_assembly:Lsat_Salinas_v7:8:177234175:177237670:-1 gene:gene-LSAT_8X115640 transcript:rna-gnl|WGS:NBSK|LSAT_8X115640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSHAPSILFLLFSLFLAVKPDLSADRSAILAIRSSVGGRSILWNISQPATPCTWPGVVCDNQTNRVVELHFPGMGLSGDLPPNTLGNMTQLTTLSLRYNALSGQLPVDIFSLTNLRNLYLQDNLFSGPIPDLFSSLGNLVRVSFAGNNFSGPIPSSVNNLTRLAKFDVSNNQLTGEIPSKFVGFPESEFSGNFLCGSPVEACNGSDTGSSSSNKLSGGAIAGIVIGSLFILLLVSLIFFFLCYKKRNQNEELKSKDVGEVKQMQIEIPHENADSSSSGFPSLTAAVGGGGGKAKSGEVSKKLVFLGGGKKQRKFDLDDLLRASAEVLGKGTFGTAYKAALEVDLTVVVKRLRDVTMSEKELKEKIESVGKMDHENLLPLRAYYFNGEEKLLVCDYMPTGSLSALLHGNRGGGRKPLNWETRSIIALGAARGITYLHSQGPKVSHGNIKSSNILLTPSYESRVSDFCLAGLVGPAMAPTRVDGYRSPEVTDIRKVSQKADVYSFGILLLELLTGKSPINNEEGVDLPRWVQSVVREEWTSEVFDLELLRYQNVEDDMVQMLQLAIECTAKYPDKRPCMDDVASKIEEICHLSGSQEAHTPESDIVSNS >cds-PLY84868.1 pep primary_assembly:Lsat_Salinas_v7:4:294109587:294111343:1 gene:gene-LSAT_4X151260 transcript:rna-gnl|WGS:NBSK|LSAT_4X151260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKEQHSATSPNFIVGVEGHRCWRRQSIKVLGGQRLGFGVVLLRIGAAVGDQWFDGAPMASPISCLLNGSMFRPLLLLAVSVLSLGINLRNNYVYLWLLEKFEETKEAHQVSLRDPIRKGADDNDLRQIIGVAASESIKKEYDRKCDQLRHQLAKDLKPHVIDKTQATAKDLHSRIWVALHTVDSISKRIEKIRDEELQPQELIQG >cds-PLY63542.1 pep primary_assembly:Lsat_Salinas_v7:9:151877876:151882955:1 gene:gene-LSAT_9X96060 transcript:rna-gnl|WGS:NBSK|LSAT_9X96060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKVERYVDTMTPLMYLGDDDDDMVIDEIPPNSPGDNPPPPPSTNLLPPLPNSHTQSDGAKKREESLKGSPTKENAGGDCTNSISVNSNPTGWKQWITKEPMKKANKEALLPHHVAHIHLEIQTTHYLRYCEFEQDRSFKVLCKMRY >cds-PLY67733.1 pep primary_assembly:Lsat_Salinas_v7:9:114626756:114627025:-1 gene:gene-LSAT_9X78421 transcript:rna-gnl|WGS:NBSK|LSAT_9X78421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGEEDEDDYFNETDSIDSILCSLCESNGEEEFEEDFQDGDELEEDSVPIYDTDGEEGSFEKNQFRGGGVKKRPIQKIRMDDSSGTTML >cds-PLY85970.1 pep primary_assembly:Lsat_Salinas_v7:3:201154833:201157578:1 gene:gene-LSAT_3X119881 transcript:rna-gnl|WGS:NBSK|LSAT_3X119881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G22150) UniProtKB/TrEMBL;Acc:A0A178VER0] MSSSPVPLAFSTNSSSSTPIRQALHACSLQLPAPFNTPSHVRWTKDEHPRPKTVRYRLSQLCREGQPHLARQLFDEIPSPTTVVWNAIIIGFICNSMPHEAILLYSQMKSNSFLSDSYTYSSILKACAQTQSLRIGKAVHCHIIRSHLYPSKIVCNSLLNMYASCLYDDVKSVFESIPKRNVISWNILISWYVKMGLFVEAVTHFVKLLKSGLKPTVVSFVNVFPAVAGIGDSKLADAVYGLLTKSGDEYFTDVFATSSAISMFAELGSLESARKIFDYSLERNIEIWNTMISGYVLQSLPDEALNLFIHVLHASDDVAIDDVTLISVLTAVSQLQELDLANQIHAYIIKTMPISPVMVMNTLVVMYSRCNSIEESSKIFKYMNEKDTVSWNTMISSFVQNGMDDEGLKLVYEMQNQGFVIDDVTISAVLSAASNLRNREIGKQTHGYLVRHNIEFEGMESYLIDMYAKSGLIKVAQNLFERTSSSSSSSSSSSCDRDQATWNTMISGNSQNGLIEQAFDVFKQMIDHNVSPNSVTLASILPSCSVMGIPRLAKEIHSFSIRHSLDNNVFVHSALVDTYSKLGIITYAENVFLLSHEKNLVTYTNMILGYGQHGMGEKSLHVFNSMKENGIKPDSVTIVAVLCACSYSGLVDEGLQLFESMEEEYEIVPSVEHYCCVVDMLGRVGRVLEAYDFVKGLGEKGNDLKIWGSLMGCCRIHGEFELGKVVGEKLVEMGVGDKNSGYHVLLSNMFAEEGNWECVDRLRREMFEKAMVKEPAYSWIDNGGRTDYFMSRDRNLAHGDEIYEMLDVLDTDMKDVEV >cds-PLY86845.1 pep primary_assembly:Lsat_Salinas_v7:1:16480707:16483517:-1 gene:gene-LSAT_1X13480 transcript:rna-gnl|WGS:NBSK|LSAT_1X13480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSSSTTASEGIQGTKSSATIVLTNSPHNQFFVWREFLWGALAGGFGEGMMHPIDTVKTRIQSQAILAQSQNQKTILQMVRAVWGADGLRGFYRGVAPGVTGSLATGATYFGVIESTKKWIEETHPNLGGHWAHFIAGALGDTLGSFIYVPCEVIKQRMQVQGTKKYWSSVVMKEGASDASLSMYGYYSGMFQAGCSIWKDQGPKGLYAGYWSTLARDVPFAGLMVTCYEALKEVTEYGRQKWFPNSRFHVNSSFEGLVLGGIAGGVSAYLTTPLDVIKTRLQVQGTIVRYNGWMDAFRRIWLIEGAKGFFRGSIPRVIWYVPASALTFMAVEFLRDQFNETKTPNTDTVTSLILDKSKPPLQQN >cds-PLY85775.1 pep primary_assembly:Lsat_Salinas_v7:1:48535774:48536342:1 gene:gene-LSAT_1X44701 transcript:rna-gnl|WGS:NBSK|LSAT_1X44701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDPTRGFLKDVKRIVIKGQRLMMMGRADEIVNPPEKSLVFMEDLPEEEQVVAVGHSVGLFNLGNTCYMNSTVQCLHSVPELKSALIE >cds-PLY63116.1 pep primary_assembly:Lsat_Salinas_v7:8:74721073:74725051:1 gene:gene-LSAT_8X53780 transcript:rna-gnl|WGS:NBSK|LSAT_8X53780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNMKTKVCDSDHNNNNNGGGEALKQKERHIVSWSQEEDEILREQISIHGTDNWTIIASKFKDKTTRQCRRRWFTYLNSDFKKGGWSPEEDMLLCEAQRIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKHEALAKENNVSYINANNKRIMFHDVQKFETSMPPLKIRRKEVGGMMEDCNIEEGYNGNCTTTGHHQLRPPFAVLAHNIDLSTTQLHGINKEVSKDGSCNMGEGTFLKKDDPKIIALMQQAELLSSLALKVHTEKTDQSFENAWKVVQNFLKNSKESENLGFNIADMDFRLENFKDLVDDMRSCNNGSSHDYWRQPDLYQASPDSSEYSTGSSNIVGDVNMITSDEGINQDILQSCDEFNNDVALPNSEFNSPLQVTPLFRSMAAGIPSPQFSESERHFLLKTLGMEMTPPNSSTRTSQHPPCKRALLHCL >cds-PLY92762.1 pep primary_assembly:Lsat_Salinas_v7:8:67222171:67224146:1 gene:gene-LSAT_8X47881 transcript:rna-gnl|WGS:NBSK|LSAT_8X47881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFGPRLPRIWLILCLASVFVLIVFSSSSSSSSSSSSSFDSVTVTVKPDIYANYRRLKEQAITDYLDLTSLSSGSSNLKDLRLCGKEREHYVPCYNVSANLLTGFTNGDEFDRHCEVSQGEPYCLVRPPKDYKTPLSWPVGRDVIWNENVKITKDQFLSSGSMTKRLMLMEENQISFHSDDGLMFDGVKDYSHQVAEMIGLGSDTEFHQAGVRTVLDVGCGFGSFGAHLLSLKLMTVCMAAYELTGSQVQISLERGLPAIIGNFNSRQLPFPSLSYDMVHCAECGILWDAKDGLFLIEADRVLKPGGYFVLHGSSLSTKKGSMASPIEEFTRKICWTFIAQQEDTFIWQKTSDPQCYSSSMQGVIPPCREEREDLQSYYQPLASCVSGTTSKRWVPIQNRSSRIQQDEFYEDFETSLSALRNYWSLLTPLIFSDHPKRPGDEDPLPPYNMIRNVMDMNAHYGGLNSAFLEEGKSVWVMNVVPIRAPNTNTLSVILDQGFAGVLHDW >cds-PLY85691.1 pep primary_assembly:Lsat_Salinas_v7:7:158791196:158793055:-1 gene:gene-LSAT_7X92681 transcript:rna-gnl|WGS:NBSK|LSAT_7X92681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 5 [Source:Projected from Arabidopsis thaliana (AT4G15410) UniProtKB/Swiss-Prot;Acc:Q7Y175] MIAAFSEITSATKEEASFFLESHNFDLDSAVSTFFETAAAVEEAPVSALPGRNPNRPSDTHSPSFSPSSSPSRSRSASPPPAIGLQNPYNLRSRNTATDKKPSGSRSTGRIRTFSDLNRQGDDSGSDSDEPQEYYTGGEKSGMLVQDPSRVNDVESLFNQARQAGAEEGTLEQLQPSSSSRSFAGRGRLLSGETTSATPQVSEPDVHTHTITFWTNGFTVNDGPLRRLDDPQNASFLESIRKSECPEELRPAKGRAPVHVSLVRKLEDFPVQKQRHTAFVGVGRTLGTTTTTSSEDATITPPPATAAPTPLAGLVVDDALPSTSIQLRLGDGTRMVSRFNFHHTIGDIRSFIDASRPGGSRVYQLLTMGFPPKQLNDLNQTIEGAGLANSVVIQKL >cds-PLY69213.1 pep primary_assembly:Lsat_Salinas_v7:1:79670116:79670837:1 gene:gene-LSAT_1X67800 transcript:rna-gnl|WGS:NBSK|LSAT_1X67800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKAFFCLLLPLLIAFSQTSPTTGADSTFVQSVKRNPMKLRSEKLSHFRFYWHDIISGPNPTAVNIVQPPGNKTTPTGFGMINMIDDPLTEKPEADSKLLGRAQGLYGLASQGEPGLLMAMNFVFSTGKYNGSTLTILGRNPVFHKVREMPVIGGSGLFRFARGYVQASTHTFDLKTGDAVVEYNVYVMHY >cds-PLY88910.1 pep primary_assembly:Lsat_Salinas_v7:4:64394355:64394821:1 gene:gene-LSAT_4X45080 transcript:rna-gnl|WGS:NBSK|LSAT_4X45080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYIEIVFSPGHLSSPSPLSSLFQVYFDFEFSSMPSSNSSAPSVIQATKVDAYSLLLNASSHEIFPFEGLPSDCVMLIIYFLKPTGLLPDASKLISFDASI >cds-PLY82204.1 pep primary_assembly:Lsat_Salinas_v7:1:69476748:69478860:1 gene:gene-LSAT_1X61320 transcript:rna-gnl|WGS:NBSK|LSAT_1X61320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSITPDRHLFLQGEGDSGLVLSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKSVLKLMGIQGLTLYHLKSHLQKYRLSKNQYRQTNNSGGTNKVDIVTPVGDAIGETNGPHMSSSSVCPQTYKNLQISEAIQMQIEVQKRLHEQLEVQRHLQLRIEAQGKYLQAVLEKAQETLGRQKLGTAGLEATKVQLSELVSKVSTQCLNSAFSGIKDLSMHRHHPTDYSIDSSLTYYEGQQNEQEMMGLTPSKSKKVDNEPENAWCEETKRNKKFDLSMSVGGFNNNEWNTSRRYTDEKFMDGDEEAIFMDQITKTKTDSVRLENMEMSQKFQLPYFEQKLDLNAHDENDAASSHKQFDLNGLSW >cds-PLY62909.1 pep primary_assembly:Lsat_Salinas_v7:4:326804826:326805863:-1 gene:gene-LSAT_4X162940 transcript:rna-gnl|WGS:NBSK|LSAT_4X162940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g37300/C7A10_60 [Source:Projected from Arabidopsis thaliana (AT4G37300) UniProtKB/TrEMBL;Acc:O23157] MIGQPEVTVKPSRSDEIIDPDQQLQISEQVRSHFDSILPKRPSKPNRSDPDYISTVQPSDESVDTIPEFLKFQTLKSQSQGIVSDRNGTVEDEFVETEYYKELVSIDKEHHTTGNGFIKVAKEENGDDDLYDLRLQIGADGRYRDHIVKSNPATNDWIPSSGDCYDQDEYLSLKPNRSEN >cds-PLY92643.1 pep primary_assembly:Lsat_Salinas_v7:2:159844149:159846539:-1 gene:gene-LSAT_2X82860 transcript:rna-gnl|WGS:NBSK|LSAT_2X82860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQHGSRLVVPIDVTKKPRQQKLPLHNRWHPDIPPVAQVTVGEVFRVEMVDFSGGGITKEYTAEDIKYSDQSVSQFTKNSSMAPRTPKLVVPIDLKKKPWEQKLPLHNRWHPEIPPVAAVKTGEVFRVEMVDWTAGAIKDDNSASDVKHLDLSTVHYLSGPIRVVDEEGEAAKPGDLLVVEICNLGPLPGDEWGFTAIFDRENGGGFLTDHFPCATKAIWYFEGIYAYSPHIPGVRFPGITHPGIIGTAPSMELLNIWNERERELEENGLKSLKLCEVLHSRPLANLPLTKGCLLGKIPEGTQEWEKIANEAARTIPGRENGGNCDIKNLSRGSKIYLPVFVEGANFSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPMGPTPLHVNPIFEIGPMEPRFSEWLVFEGISVDESGRQHYLDASVAYKRAVLNAIDYLSKFGYSKEQVYLLLSCCPCEGRISGIVDAPNAVATLAIPTAIFDQDIRPKTKKVPVGPRVVRNPDIPRCSYDGDLPITKNPGATG >cds-PLY73167.1 pep primary_assembly:Lsat_Salinas_v7:6:127611026:127611447:-1 gene:gene-LSAT_6X78100 transcript:rna-gnl|WGS:NBSK|LSAT_6X78100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLLYSLDDNILLIFSFLIDFLSQNFVDLSVVDGFITCGSETNEVFAYYRPLPIPIASHKFWSIDPISGKYTENESNQFVSSVCWRQKSNMVVVASNYCSVLFQIKF >cds-PLY62221.1 pep primary_assembly:Lsat_Salinas_v7:5:162563955:162565669:1 gene:gene-LSAT_5X71300 transcript:rna-gnl|WGS:NBSK|LSAT_5X71300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFISFLGRVLFVSVFVLSAWQEYNDFGNDGGSTAKALVPKFSVFTNHVTTHTGFQVPEFEIKLLVAGAIVLKGIGSLLFIFSSKIGAFLLILHQLIITPILYDFYNYDMEKKEFAQLFIKFTQNLALLGALMFFIGMKSSSIPRRTMIKKKGSKTKTV >cds-PLY85615.1 pep primary_assembly:Lsat_Salinas_v7:8:162784677:162785533:1 gene:gene-LSAT_8X107841 transcript:rna-gnl|WGS:NBSK|LSAT_8X107841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPFHPRSLLDGSFVSSPHHNDTDTTNSNEMNLIVNIMWIVLLCGFITSFGVFQVIRYVMQQRRTSTMTTRTSQHESEPCAGLKKSVVSQISTRVLGSIFKISVTECTICLEDFVDGQNVRVLPNCSHEFHVGCIDKWFESHSSCPNCRNCLLECPVDSHEVVMPQLMVTTPSENMV >cds-PLY99532.1 pep primary_assembly:Lsat_Salinas_v7:1:114203261:114205078:-1 gene:gene-LSAT_1X88541 transcript:rna-gnl|WGS:NBSK|LSAT_1X88541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGRNYGQCSIRDDCNLKFMDLKAKRTFRFIIYKIEEKQKQVMVEKLGEPAETYDDFAACLPADECRYVVFDFDFMTAENVLKFIAWYQLHPSIVSLSLSPDTARVRSKMIYASSKDRFKRELDGIQIELQATDPQCSNSFFLFH >cds-PLY74352.1 pep primary_assembly:Lsat_Salinas_v7:5:325007690:325012569:-1 gene:gene-LSAT_5X179121 transcript:rna-gnl|WGS:NBSK|LSAT_5X179121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGLSRGGDEDVMELLWQNGQVVMQSRNQRSVGNKKPETRPPVGSRDIRSSVVEEETGPSDLFMQEDEMASWLHYPVDDNTLEGYLYSNDLLYPTPPSSTPVTTTPCSLPPPPPPSIMIPSPRPPVAPIRRVELESGQPKYPNFLHFSRPNKLRTPESGPSSSNETPAVAAPESRASRVSDKLTPVSAANIGRSAAGTSSAGREIETCERSVTSSPGSGGSGASGSVDPSSQKPPPPTTDDHRKRKGRDTYDTECHSEDVEFGCLDAKKQSHGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRSNKSDKASMLDEAIEYLKSLQMQVQMMSMGCNMVPMMFPGVQQYMPPMAMGMGMGMGMSMSMDMGMNHVMVPYPAILPGSSVLPSPGTHMGPRFPVPGFNIHPVNATCPATSQATNLSAPMMSSFPLHNQNQPQVPNFADPFQQYIGLRHQTPSPLPQAFFTFLFNNV >cds-PLY76531.1 pep primary_assembly:Lsat_Salinas_v7:5:13271480:13271671:1 gene:gene-LSAT_5X7420 transcript:rna-gnl|WGS:NBSK|LSAT_5X7420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGFTIPNDTPRDRPGRQLRQRATRPEGDELPIISTEDDMPMDPYSVVQRRLTTWHEGGITPT >cds-PLY71371.1 pep primary_assembly:Lsat_Salinas_v7:4:229704144:229705187:1 gene:gene-LSAT_4X125661 transcript:rna-gnl|WGS:NBSK|LSAT_4X125661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSTSKAIGQMFKCNNGGRQLIRCLRNNSKVENRSFRSISRVETCQSIVNSHEVVLPFSHFSRSIQRRGFLGIGDGDEGNKSGVSKVHEETCIMGYSPEQMYAVVAAVDMYQDFLPWCRRSDIVKRHSDGSIDAELEIGFKFLVESYVSHVELIKPKLIKTTASKSSVFHHLINIWEFNPGPVPGTCNLHFVVDFKFQSPLYSQVASMFFKEVVSRLVGSFSDRCRLIYGPGVPVNKS >cds-PLY82356.1 pep primary_assembly:Lsat_Salinas_v7:5:312531058:312534117:-1 gene:gene-LSAT_5X170800 transcript:rna-gnl|WGS:NBSK|LSAT_5X170800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNGVGKLTVCFTGVNVVHRRKDVTAVVSDPLDDLGHSFCYVRPQQSCISSSKVHSAEETTTFRSISGASVSANTSTPLSTSLHDVYSYNTIDKASAFESSTSFASIPLQPLPRNSLNSGPLQCSGQVPYSGPIERGFLSGPIERGFQSGPLFSGPLEKGGQDQFQRSYSQGGFGYKRRSRKGSLIRVIQRAISRTFVTRGQNSIVAPVIKSVSSLKQHDWTGGSSEKNNELTISSANLSSEASFLDDDECFTQSQNLQWAQGKAGEDRVHVVVSEERGWVFVGIYDGFNGPDAPDFLLSNLYQSVHKELKGLLWDDKLVSSNSSSSVQSLEAVDSHVDDQLERNQFPKSVDQQETYPSVTEDFRNSRKTKSKNLKSRNRGSENQRWWKCEFDRERLELNRRLKEYLNSNCPDSTNHSEVLKALSRGLKKTEDSFLDIADQMLDENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVLAQKPEPDLWRQDLERITEETLYDLEVSDADNGTTNPTLTACQLSIDHSTSIEEEVQRIKREHTDDPCAMMNDRVKGSLKVTRAFGAGFLKQPKWNNALLEMFRIDYVGTSPYINCVPNLHHHKLGPRDRFLILSSDGLYQYFTNEEAVSEVELFIQWSPEGDPAQHLVEEVLFRAAKKAGIDFHELLEIPQGDRRRYHDDVSIIVISLEGRIWRSCV >cds-PLY70921.1 pep primary_assembly:Lsat_Salinas_v7:9:15369899:15372337:-1 gene:gene-LSAT_9X15200 transcript:rna-gnl|WGS:NBSK|LSAT_9X15200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSKGAPAVATTYNASGKDINFALTRSRSIGFISNHRQYNVFLCNSSARRVSPLLAVTTGEHSNNVASLLEAEKEKSLGNQLRLGSLTEDGLSYKEKFVIRCYEVGINKTATIETIANLLQEVGGNHAQSVGFSTDGFATTTTMRKLHLIWVTLRMHIEVYRYPAWSDVIEIETWVQGDGRMGTRRDWILKDYSNGEIIGRATSKWVMMNEDTRRMQKVSDDVKEEYLVFCPRTLRLAFPEENNNSLKKIAKLEDPAEYSRLGLVPRRSDLDMNKHVNNVTYIGWALESIPPEIIDTHELQAITLDYRRECQQDDIVDSLTSYESADDEQHLSETNGSVVVSSETQRDGHEDLSRFLHLLRSSGTGLEINRCRTEWRKKPEKR >cds-PLY72596.1 pep primary_assembly:Lsat_Salinas_v7:5:45020038:45024014:-1 gene:gene-LSAT_5X21860 transcript:rna-gnl|WGS:NBSK|LSAT_5X21860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDYRQADIFPPAWINRNPSELAESRVFIISCCIAGIIGILTIVYTAFQWRRNISLSLMKATAKKKKDPKSRSRVPVAAHTWYLESGSRGKNLNCCVCLKSVSSSQTLGPMVASDSFIHRCRICGAAAHLSCSSKAHRDCKCVSMIGSTRVLHLWAIRWTEVVDQPDEISFCSHCEEPCSASFLGGSPIWCCLWCQRLVHVDCHSSLYSDTGDVCDLGPFRRLIVSPLHVKELRRSSSGGILSSITHGANEIASSVRASIRNQSKKTKKENEVPVDISNGSVEEASTESTTDINIANGASKENYNGNTNTNTNTNTEVTSQQQDGDVVNKFGRKLSFKRNISNSQRDESHIVGMKQKYELTDMGPDSRPLLVFINKKSGAQRGDSLRLRMNILLNPVQVFELSSTEGPEVGLYLFRKVTNFRILICGGDGTVGWVLDAIEKQNFISPPPVAILPAGTGNDLARVLGWGGGLGSVEKHGGLCTMLQDIEHAAVTILDRWKVSITNQKGKQLRSPKFMNNYLGVGCDAKVALEIHNLREENPEKFYNQFMNKVLYAREGARTIMDRTFQDYPWQVKVEVDGVDIEVPEDAEGVLVANIGSYMGGVDLWQNEDDNYDNFDPQSMHDKILEVVSISGTWHLGKLQVGLSRARRLAQGQSIKIQLLAPLPVQIDGEPWMQSPCTLTISHHGQAFMLKRSGEEPLGHAAAIVADVLAHAETTNVINASQKRALLQEIALKLS >cds-PLY65594.1 pep primary_assembly:Lsat_Salinas_v7:7:163907824:163908306:1 gene:gene-LSAT_7X95540 transcript:rna-gnl|WGS:NBSK|LSAT_7X95540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVFFTVGFKKLSEANSLPHLCIYMAVAAANLTFIHDLDVLKDSFTMKLRVIRLWTQICYYNKDEIFSIQVILMDEQQNLNVFKSTTTSPKKNLDATKGLKRALEDDFVLDVNDNMSSSKATKVVEGEQHKLVKVKLEK >cds-PLY83994.1 pep primary_assembly:Lsat_Salinas_v7:8:37047994:37057360:1 gene:gene-LSAT_8X29480 transcript:rna-gnl|WGS:NBSK|LSAT_8X29480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAHAPHIFSCNIARSGAYNRTLAPFGFQNEDRTLWEATDTYIKMSPFTSADKIKKPILLIHGESWLFITGEHNACPLGDNSWAKSPRVYLRLAAGEWFTARVSACGLFHIAYPSAPEALKAELRLKSCKYLSVLSGLVNLSKSDLERCSGIHGGLVHLRGLRNLKELQISSSKVTDNGVTFLKGLHKLALLNMERCPITATCLDSLSDLVALLFLNLSRSNITDDGCDKFSKLKSLKVLNLGFNDMSDAVLSHLKVMKMSKHAGWGVMASHRSGETEDTFIADLSLLRIEEELGSEAVYAGXYMHPWGDRIHLIRAGKHKVAFLVKGPIYLVCISCTEEPYESLRSQLELLYGQMVLILTESVSRYFEKNPKFDMTPLLGGTDVVFSSLFHSFRNPASFLHAYTYLPLPCATRQAVAAILQDVSDSSVIYSMLMSKYNVVSLVGAQKAQLHPDDMLLLANFVMSFESFRQTRLRAMVARDEFRRRRRSKAATIVQRLPQKFGDEFQPLSAEGEKISASSSGVADPTSQSSSERVVRPAPYANLDSFSSSGPASAQERREK >cds-PLY67123.1 pep primary_assembly:Lsat_Salinas_v7:5:291652747:291653346:1 gene:gene-LSAT_5X155181 transcript:rna-gnl|WGS:NBSK|LSAT_5X155181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKQEPFVNTGKKSFLNWTEHMDAAFVDAMVQQQEKGNRPYGNFTSQAYANIIEELNKKLNMNLTKSHLKNRLKTLKSSFSQWYDMFNGISLSGFGWNVDTQLIEADEQVWDNLIKVSVHLRLELVYFYIMLLLIILMYFGVFKQSKPDAVVLKTKKVAHFEQMLVLFAKDRASGENAETTKERNARFNKTTNIKIESV >cds-PLY80163.1 pep primary_assembly:Lsat_Salinas_v7:3:40278998:40280752:1 gene:gene-LSAT_3X31041 transcript:rna-gnl|WGS:NBSK|LSAT_3X31041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCLAVFSALILPEPWQSSLNFTSCSLPSVSPNLRCGCRIATRVRDFNFRRRGYHQLNCSHNENPSSSSMENEQEPPQEAILKAISELSKAKGRVGKTTNMVLGGTITNDSTYESLSLNKMLNIYPAARGFTAIGSGGDDFVQSMVVAVESVIQHPIPQGRVKQKLSSGGKYVSVNIGPVQIVSSKQVQAVYYAMRRDDRMRYFL >cds-PLY92059.1 pep primary_assembly:Lsat_Salinas_v7:5:324081403:324083410:1 gene:gene-LSAT_5X180841 transcript:rna-gnl|WGS:NBSK|LSAT_5X180841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSIRRQRLIKLYGRSPSLDLISSFENGHSPGGGWVQHGRQVSMHPTPLVDRSTEEKTKCEEPESLNVNESSSSNKQQPFQLPVFKRRKRHRRKHFENQEPCIMRGVYYKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELTVEEKDELSKLSWDEFLTVTRSAINSKKHQRRVSSRMKFEHPSQNKDDDQKAEEDQGGNSFSASDDAETSAS >cds-PLY70918.1 pep primary_assembly:Lsat_Salinas_v7:9:14887245:14888779:1 gene:gene-LSAT_9X12380 transcript:rna-gnl|WGS:NBSK|LSAT_9X12380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMNQVVVMPYPARGHLNPLMSLCDLLSSRSDGTTIFTVVVTEEWLGLIGSDPKPDNVRFATIPNVIPSEHGRGSDMIGFLTAVQSKMEAPFEKVLDQLKLPVKLIIGDAFLSWILDVADRRNIPVAAYWAMSASMFTMWYHVDLLEQHGHIFVDLSEREEEWVDYIPGLSPTKVADMSPVTREQLHLSPNLISMMKRVQSLLLPTIYELEHEAVDALRSELHKPIYTFGPNIRQLQPQSHVSKSKYMTWLDSKPPNSVLYICLGSFLSVSTSQMHEIAAGLKQSGVNFLWVAKGRLNESFGDEGLVVEWCDQIRVLLHSSVGGFWSHCGWNSVKESVFSGVPMLSFPIFADQPLNSKVVVDDWRNGWKMKKEARRNNLVKRGEIAEIVSRFMDNGSVERREMVERVKNLRDACRVSIEKGGSAVKDVDVFIKNNII >cds-PLY66738.1 pep primary_assembly:Lsat_Salinas_v7:1:168102399:168103846:1 gene:gene-LSAT_1X112740 transcript:rna-gnl|WGS:NBSK|LSAT_1X112740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTYATDGAGAVNTEIPPGKPMSLEQQILDKGSQMLQSLSPIRQMSQHACTFALYSHDMSRQIETHHFITRLNQDFLQAAVYDTGDSNGRLIGVEYIVSDTIFETLPPEEQKLWHSHAYEIKSGLWVHPRMPDMIVMPELKNLAKTYGKFWCTWQTDRGDKLPLGPPSLMMSPQAAEDGVVKPELVKKRDDKYNFSRDDLMKSRIEIPESEWINPTADYWRQHKKCFEVDVESTDMKKLCAFP >cds-PLY83048.1 pep primary_assembly:Lsat_Salinas_v7:8:193736585:193737611:1 gene:gene-LSAT_8X125180 transcript:rna-gnl|WGS:NBSK|LSAT_8X125180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKEHLILTLCTLFAIAIPLVQSISEGPKGVEKWFKELPHKKQRVTKLHFYFHDTTTGPGQTAYQVFESNISSTTITQFGRTFMFDNPLTVEPDARSMRIGKGQGFFGAASFEEPRFLMNLNFVFTQGKFNGSTLQFLGTNPILNRVREMSIVGGTGAFRLARGIATAQTYFLNDTSSIVEYNLVVLHY >cds-PLY70384.1 pep primary_assembly:Lsat_Salinas_v7:4:99699987:99702014:-1 gene:gene-LSAT_4X65120 transcript:rna-gnl|WGS:NBSK|LSAT_4X65120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAFRSCNFADHSLLSNSNRISRILFKNSFSSTNAQNPIIRNHDALYKRISPAAITPKTSVVPILDQWVQEGKNIVADELKNMIRVFRNHNRYSQALQLSEWMTNRSYLDQSSGNLAIHLDLISRVHGLEQAEKFFDSIPDSLKNFKVYGTLLNCYAFKKSLTKAEATMEKMKQLGFMTTHSYHSMLSLYTKTENHEKLVKLIDQMDKANVRYHRQTYYILLTAYASFDIKSMENLLAYMEANPYLPLDWHVYIVLAKGYLNFNQVEKTLEMLKKSEENIFQNTKGVAYEILLTMYASLGKKDHVYRIWNLYKKTWRKVDNKGYHHMASSLVKLDDIDGVEKIVEEWESVTPMFDFWVANVLVNGYSKKGDWKKAEAFVDRLVSEGKKPPRSTWDSLATVFSKHGEMEKAMDAMRKAILSNGDHWKLNEVTLRTCVKYLEEKGEMEVAKELLKSSEGCRDLKFVSDVVEVSG >cds-PLY98132.1 pep primary_assembly:Lsat_Salinas_v7:1:141350483:141353977:1 gene:gene-LSAT_1X102340 transcript:rna-gnl|WGS:NBSK|LSAT_1X102340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKKIENVSTRQVTFSKRRAGLLKKAHELSVLCDAEVAIIVFSNTGRLYEFSSSSMRGILDRYNRATEPSTSQLQIEMRQEQVELEVLRNELTRLRNENARLMGNNLGGTNVQDLIQLEHQLSNAIISVREKKNALVFEEMEHLKHRERELGYENEVLRGEIDKLRRFIPLALPSTQPTFLEQTPVAAPSSSAGISKHDRVSPDTVCYYGSDNTDSETALQLRPPCSDHSKTKESTLTKRESNSSSCRSDEMEQ >cds-PLY77304.1 pep primary_assembly:Lsat_Salinas_v7:3:213691095:213692336:-1 gene:gene-LSAT_3X125540 transcript:rna-gnl|WGS:NBSK|LSAT_3X125540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEVDVAAAGVPKKRTFKKFSFRGVDLDALLDMSTDELVKLFTARARRRFQRGLKRKPMALIKKLRKAKREAPAGEKPELVKTHLRNMIIVPEMIGSVVGVYNGKTFNQIEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >cds-PLY83466.1 pep primary_assembly:Lsat_Salinas_v7:3:206093310:206096991:-1 gene:gene-LSAT_3X121660 transcript:rna-gnl|WGS:NBSK|LSAT_3X121660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVSMSPCTPEYDRKAELTAFDETKTGVKGLVDAGITEVPWMFHLPSPENLNSNQHSHSELSLPTIDLEGINEDPIRRKEVIEKVRDAFETWGFFQIVNHGIPDSMLEEMKKGVLGFFEQDSEVKKQWYTRDRSGKHKFVHHSNFDLFTAAVTNWRDSFFCTMAPDTPQPDELPSPCRDILLEYSRQVIRLGSCLLTLMSEALGLNPNHLLDIGCGDGLAVLGHYYPPCPQPELTIGTPDHTDNDFITILLQDHVGGFKILYQNQWTDVHPIQGALVVNAGDLLQACISLYLKLNIVAILLVTNDKFVSSRHKVVANKVGPRVSVASFFMSETLQVIEPIKELLSKDNPAKYRGTTAKEYVDYYRAKGIDGTSPLLHFKI >cds-PLY93514.1 pep primary_assembly:Lsat_Salinas_v7:5:325449647:325450207:-1 gene:gene-LSAT_5X179601 transcript:rna-gnl|WGS:NBSK|LSAT_5X179601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYYRANYINQNPYYQFDQEDEHQQSFLNSPEKMFVMNESNKDKDGQKLDLSLHL >cds-PLY85711.1 pep primary_assembly:Lsat_Salinas_v7:5:338140331:338141367:-1 gene:gene-LSAT_5X191500 transcript:rna-gnl|WGS:NBSK|LSAT_5X191500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQAMFFIDKPQSSKIAPSFFFASSSRIGADQKMLKGKWISWNNKIHGKWVSTDRLGIEIVSSVNMSVFFQGCVHIVSSVGWRRYNV >cds-PLY98437.1 pep primary_assembly:Lsat_Salinas_v7:3:228889000:228914549:-1 gene:gene-LSAT_3X129760 transcript:rna-gnl|WGS:NBSK|LSAT_3X129760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAKALNFLPFKPWPSFKLPPVRAISFPDRVPQDISTSTPSQQLSSSSQNEKISAYWDYQFLFMSQRSETDNPINLRIVEGSIPSDFPQGTYYLTGPGIFKDDHGSSVHPFDGHGYLRAFTFDGAKGEVTFMAKYVKTTAQVKERDRDTGKWGFNHRGTFSTLKNKRKFGDTTVMKNVANTNVLRWNDRLFCLWEGGPPHEIQSVSLDTIGEFDLINDSDCLPMPSTNVRDTVDGGEIILDLVSSIVKPILYGVFKMPAKRLLAHYKIDASRNRLLMLACNAEDLLLPRSTFTFYEFDSNFKIQQKQEFRIPEHLMVHDWSFTDSHYILFGNRIKLDILGAMSAIGGYTPMVSALLVNHSKSTSPIYLLPRFPEHAQGRDWKVPIEAPLHMWMLHMGNASEERDHKGNKQIQIQASGCSYKWFNFQKMFGYDWQSGKVDPWVMNEDKGENKLSSRLIKVSIELDAIGNCEKCCVGNLNDEWKQATDFPVINQEFGGSNNTYMYAAATSGVRQDLPYFPFDTVVKFNTVNNSIQTWYVGSRRFIGEPMFVSKGSVEDDGYILVVEYAVSEQKSYLVILDAKRIGETTAMVARLEVPKYLNFPLGFHGVWASKNSKT >cds-PLY99039.1 pep primary_assembly:Lsat_Salinas_v7:6:150203455:150205874:1 gene:gene-LSAT_6X90100 transcript:rna-gnl|WGS:NBSK|LSAT_6X90100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAFHFALLLLTLLTVKLSEATRSSLFASTKDVNSTKWAVLVAGSSGYYNYRHQADVCHAYQILKKGGLKDENIIVFMYDDIASNIMNPRPGVIINSPNGSDVYAGVPKDYTGGYVTVANFYAVLLGNASGVTGGSGKVVASKPGDKIFVFYSDHGAPGILGMPTVPHLYANDFIEVLKMKNASGTYDKMVIYIESCESGSIFEGLLPEDMNIYVTTASNANESSWGTYCPDMTPPPPPEFHTCLGDLYSISWMENSDLEDLTIETLEQQYSKVKIRTLNNNTEEGSHVMQYGTQHISKETVSTYQGSSTWNTTTNSIISLGSMGVVDQRVADLYSMWQTYEKSTGEPQEKIELLKNIKEITTHRAHLDSSVETIKGKLGDQDYGSVRPEGSVLVDDWECLKSMIRTFETHCGSLTQYGLKHSRTFANMCNNGVTKEAMDEASKATCSSFNMGQWNPATVGYSA >cds-PLY62569.1 pep primary_assembly:Lsat_Salinas_v7:9:75922261:75923873:1 gene:gene-LSAT_9X61041 transcript:rna-gnl|WGS:NBSK|LSAT_9X61041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNQITHSSRHHGGGGGREDCWSEGGTETLIESWGDRYLQLNRGNLRQKDWKDVAEAVNANRDELKPPRTDVQCKNRIDTLKKKYKLEKSKPTPSKWPFFHRLDDLIGTANSVTRKKISTPKSASVTTLTVKSNPKTNHNPNPNPNLKAIAYSGGYSSHDESLSRMESLDFAEETTAYKELARAILRFGEVYERIENSKQEEMMKLEKQRMEFTKEVEFQRLNMFMEAQLELEKMKKKKKPSTTGSLIPGKKSEL >cds-PLY63902.1 pep primary_assembly:Lsat_Salinas_v7:9:69441187:69443871:1 gene:gene-LSAT_9X58401 transcript:rna-gnl|WGS:NBSK|LSAT_9X58401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSKSTSSSVASPPPSTDAFDGDAAERRLREAEDRLREAIEELQRRQRRAKMLHPPCDHADESCVANAIGNLCQSFLLSYGVRVGIGILLRAFKLARRKSYSSLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCLLRKWRKKETPFNAILAGSIAGLSILALDDSNRRRTLALYLLARLAQCAYNSAKSKNKFHLWGSSWRHGDSLLFALSCAQVMYAFVMRPESLPKSYQDFIQKTGPVAKPVYKAVRECCRGSPVDIASLSSYLSTIKGSEFIDLQEYPSIIPCSIIHPSTKSCLAHNAYATSATFRKTFPLYFSLTFVPFVVLRLQKFMEAPFKTSWHAVIGAVRSTAFLSSFVGIFQGAICMHRQVASKDHKLVYWFAGGLAALSVVLEKKGRRGELGLYVLPRAGESLWYILVNRRVLPDIKNAEVALFCACMGGMMYYLEHEPDTMSPFLRSLIRRFLASKISNPAPPANRNPSYNYLQTPAIEKPPISHNQIQEPHESKASEKYNLESIPGL >cds-PLY91331.1 pep primary_assembly:Lsat_Salinas_v7:4:245933702:245934651:-1 gene:gene-LSAT_4X130460 transcript:rna-gnl|WGS:NBSK|LSAT_4X130460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein RBP47B' [Source:Projected from Arabidopsis thaliana (AT5G19350) UniProtKB/Swiss-Prot;Acc:Q8VXZ9] MEAPGGQQQWMMTTKLQPQYAYGAPPPPSQPYHHPSSHEEICTLWIGDLPYWADKFYLHSWFAATNDVLRILNKTFHIQYPSVRGAKVVTDPNKGRSKGYGFVKFVDEMERNRAMSEMNGIYCSTRPMRISIATPKKNTIFQQQYVAPKLVAILMDTDLTTATFYIGNPDPGVAEEKLRSIFLQFGEIVYVKILAAKGCGTSAEEAIQRMHGSQIGQTVVHLSWGKKHNC >cds-PLY93933.1 pep primary_assembly:Lsat_Salinas_v7:6:6731116:6733106:1 gene:gene-LSAT_6X4301 transcript:rna-gnl|WGS:NBSK|LSAT_6X4301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNSHGLWWEEVIGKKHELALTCLAVMVLPLAVLWFKRVISSSQKGTPPLPPGPYGLPLVGYLPFLGPSLHHELTKIAHRYGPIFKLYLGSKLHIVVNSADLAKVITNEQDESFANRAPHVAGLTTSYGANDIAFADNNANRRNLRKILVHEILSNVNLEASHAYRRREVRKTIKSVHDMIGMPVDINEMSFSAVVNVLTSIVWGNGMVEGTKHSNLGEEIRKVVYGLVDIAEGLNISDFFPKLARFDLQGVERKTKRKMKQFDWIFETTIEERINLKSTHGEDALKHEGRKDFLQILLELKDKKSITMTQLKALVVDIFLGGTDATSAMVEWAMAEIFKNEKVMKKVQDELAEIVGLKNMVEESHLPKLKYLNATFKETFRLHTPLPFLLPRTPSKSCIVGGYLIPRDSTVFLNVWAIQRDPQHWENPSEFNPERFLNYEGSGKWDYSGTNSKYFPFGSGRRRCPGIPLAEKMMLHILASLLHSFDWSLPKGDDHDLCEKFGIALKKKKPLVAVPSPRLIDSSLYM >cds-PLY90288.1 pep primary_assembly:Lsat_Salinas_v7:2:198183437:198185491:-1 gene:gene-LSAT_2X119220 transcript:rna-gnl|WGS:NBSK|LSAT_2X119220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSCFCVWSKTESSELDCKKVLSAATVLHSPRSQAEILKSPNLKSFSFNVLRTSTRNFRPDSVLGEGGFGLVFKGWIDEHSLSAAKPGTGTVIAVKRLNQEGLQGHQEWLAEINYLGQLHHPNLVQLIGYCLEDDQRLLVYEFMSRGSLENHLFRRSSYFQPLSWNLRLKVALGAAKGLAYLHSPDAKVIYRDFKCSNILIDSNYNAKLSDFGLAKDGPIDGKSHVSTRVMGTYGYAAPEYMATGHLTERSDIYSFGVVLLEILTGRRCIDKNRPSNEQILVDFVKPYLISKRRILQIIDQRIDGQYSSDVAMRAAILAMKCLAREPKYRPSAAELVKTLEHLQELQKASENCRKESVRKQIGNKNSGCRRPVASNGGRV >cds-PLY89069.1 pep primary_assembly:Lsat_Salinas_v7:9:28305288:28307114:-1 gene:gene-LSAT_9X24081 transcript:rna-gnl|WGS:NBSK|LSAT_9X24081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFGPSFHALLFISFSSCPMITFEFVVQYLITNFSDFQLACLGSFLLHESVFFLSGLPFIYLERAGWLNKYKIQMKNNTNEAQNKCITRLLLYHFCVNLPVMIASYPVFRFMGMRSSLPLPLWKVMSTQIIFYFIVEDFIFYWGHRILHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIFGPAITGPHLITLWLWMVVRVLETVEAHCGYHFPWSPSNFLPLYGGSDFHDYHHRLIYTKSGNYSSTFVYMDWLFGTDSGYRKLKALKSEEEAMFKEN >cds-PLY65074.1 pep primary_assembly:Lsat_Salinas_v7:1:119748192:119749714:1 gene:gene-LSAT_1X91801 transcript:rna-gnl|WGS:NBSK|LSAT_1X91801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTAARELQKELEGKANDLSKIQKDISKNHQVRKKYTIQLGENELVLKELDLLNEDANVYKLIGPVLVKQDLAEANANVRKRIEYISAELKRLDSTLQDMEEKQNSKKEAIYKLQQRIQSLQAGKAKA >cds-PLY86400.1 pep primary_assembly:Lsat_Salinas_v7:7:102945960:102948845:-1 gene:gene-LSAT_7X68320 transcript:rna-gnl|WGS:NBSK|LSAT_7X68320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQQFLRSIFLSYMLHSKSCSCGGRRIVEEVANTAEIWLRRTCSMNLIVDYKLNDNNHSPLWPIFGSIFCNHFNLNQELVKVEEIVAIVNGFNEPGSIPFKSMPMVRNL >cds-PLY69191.1 pep primary_assembly:Lsat_Salinas_v7:1:80294490:80295308:-1 gene:gene-LSAT_1X68201 transcript:rna-gnl|WGS:NBSK|LSAT_1X68201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALILLRLVFLTIFLRFIAAQQKHTATADVKPWLSSSGEFAFGFQQVQGTDNFLLSIRYDKIPDKTIIWYLEEGQMVPTGSKVELLGESGLVLTHPQSTQVWRSGSISAIASGFMNDTGNPLVDYYRSGTSDDSNSTNSSKRVIFDVMGYMYILRRNGERLYLTRRSSVPSGDYYHRATLDSDGVFRQYYYPKNSTGNIRIIT >cds-PLY91287.1 pep primary_assembly:Lsat_Salinas_v7:7:188704606:188704893:1 gene:gene-LSAT_7X111541 transcript:rna-gnl|WGS:NBSK|LSAT_7X111541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHSRKMRSPLKFGGEPTYSSPYPDSSSHDDPVERTKTQEENIAESKPSLEVVTPLPRLLVDPSEFILQGLERKHPNKIYPHSIPEESSLSAIVA >cds-PLY95396.1 pep primary_assembly:Lsat_Salinas_v7:9:190870054:190872361:1 gene:gene-LSAT_9X117801 transcript:rna-gnl|WGS:NBSK|LSAT_9X117801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLDFMCNTGDALNALKVQLSDPNNVLQSWDPTLVNPCTWYHVTCNNENSVTRLELGNASLSGKLVPQLGQLVNLQYLELYGNQITGKIPKELGNLKNLVSLDIYMNRLEGRIPSTLGNLQKLRYLRLHHNTLTGTIPYSLTTITSLEVLDLSYNRLRGHVPVNGSFAMFTPKSFYHNPGLKLPVYIPPPPVATAPSVAIPPFTAPAPAPLSP >cds-PLY77417.1 pep primary_assembly:Lsat_Salinas_v7:3:148036496:148037581:-1 gene:gene-LSAT_3X97081 transcript:rna-gnl|WGS:NBSK|LSAT_3X97081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAMMGWRMHEQEWRKGPWTPMEDNLLIEYVRMHGEGRWSSVADSSGLKRSGKSCRLRWVNYLRPGLKKGQLTPQEEGIIIELHALWGNKWSTIARYLPGRTDNEIKNYWRTNFKKKGTPSQNQEKQKHKVAFRRDQHHQQQQQIMNNNYVLPQVEESNQRMIFESPETKIEDTTVSEQHYNRSVMAQDVASWWDTVSEDGLWSEFLWNQDNDQYPNESVIEQSFSSCF >cds-PLY76555.1 pep primary_assembly:Lsat_Salinas_v7:8:91276929:91277327:1 gene:gene-LSAT_8X63900 transcript:rna-gnl|WGS:NBSK|LSAT_8X63900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNEEPLIDDVEVYIINFCSVLDEDIDLGQPDPTNNNTKEHEDIEDEPSEVLDNDVFQSFASKQEPRKKLLNSILKLVDEPTQSTNLNRKYLRVTCSKCHNKGHNVRTCKGQGGPSEAGKGSGQKKRKDVVV >cds-PLY88932.1 pep primary_assembly:Lsat_Salinas_v7:8:130417946:130420013:1 gene:gene-LSAT_8X90320 transcript:rna-gnl|WGS:NBSK|LSAT_8X90320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRTCCHTCLAFILKFLNLFQLFVGISIILYSAYMLNQWNNHLPIPPLPPSAPSPDSSDTVSTIFNTARVSEQVIHHNSVSGVISGTSGRIKVDDSSSPSPWFIYAFMGLGVTLCCISCTGHIAAEAINGCCLCFYSLLKTIFILLEVAFILFIALDHHWERDLPSDETGEIDRIREFIEANIDFCKWVGITVVVIQAVCLLLAVVLRSMVNSQMKYDEDDIERDSDVRGNAWEPLLNPKSTQTSVSGSGEGKAFHSDIWSSRMREKYGLSTSNGK >cds-PLY94902.1 pep primary_assembly:Lsat_Salinas_v7:4:108730392:108731177:1 gene:gene-LSAT_4X70341 transcript:rna-gnl|WGS:NBSK|LSAT_4X70341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESLIGLVNRIQRAYTALSDYSGRDSTFSSLWDALPSVVVFGGQDDLVCVAARRDGKILVSGIWADHSFDIGVPVDPTSVIIPFKLILSSCPNFISILVQDRDYVHQLIKFDFFNVIFLFD >cds-PLY80822.1 pep primary_assembly:Lsat_Salinas_v7:3:191654010:191654378:1 gene:gene-LSAT_3X114481 transcript:rna-gnl|WGS:NBSK|LSAT_3X114481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLSALISMAETRRMATPSSSLVVRWPDGFSVVPYPQQRQQKPSKKAVVVGNGGYAPTRVQLDGSGAKWSGGPLHHCHLNSSSLSWLPQILASIGVVGRQGGCRTWQQWKWRLDVKGRGE >cds-PLY83907.1 pep primary_assembly:Lsat_Salinas_v7:5:186869461:186870587:-1 gene:gene-LSAT_5X83461 transcript:rna-gnl|WGS:NBSK|LSAT_5X83461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDIGDEYQNIGDEYKNYWETNMFLQTEEFDSWGGLEETFSGYYDSSSPDGTQSSAASKNIVSERNRRKKLNDRLFALRAVVPNISKMDKASIIKDAIDYIQHLHEQERIVQEEIMKLEKQKSESGIYDFDQDTVFMPLEKSKKKRIQQSQDLSDSRAFPIEIIELKVSYVGENTALVSLKCRKRRDTMVKICEVFESLKLNVVTAKITAFPDTLFKTLFIQVCTRKYTLRWCVLD >cds-PLY82256.1 pep primary_assembly:Lsat_Salinas_v7:1:66822362:66823983:1 gene:gene-LSAT_1X58241 transcript:rna-gnl|WGS:NBSK|LSAT_1X58241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDPVALSTGITYDRDSIEKWLFSQKNDVCPVTKQVVVDIELTPNHTLRRLIQSWCTLNPSSGIERLPTPRLPISKIEIIKLLKDSKSPHLQLNCLKKLKAIVMENEKNKKLMESVGAADYLAWILTNVENNMTSLPQAGEVSGVDEFVSTGVDEVLSILHHLHLSPMSLKSLFGKTGEFVETLTRVMERATSYESRTYAVMLLKAMLEVADPMQVTSLNPRFFMVLVQILMDEISRKATKATLKLLINVCPWGRNRMKAAEAGAVQVLIDILLDCTEKRVSEMVIVVLDQLCQCAEGRSELLKHGGGLAVVSKKIFRVSSVASERAVSILHSVAKFSGNRSVLREMLQLGVVGKLCLVLQVDCGRKTKESASEILKMHSRVWKNSFCIPYNLIVSYPS >cds-PLY64474.1 pep primary_assembly:Lsat_Salinas_v7:3:15744184:15747108:1 gene:gene-LSAT_3X11400 transcript:rna-gnl|WGS:NBSK|LSAT_3X11400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACQSQIRQSFCSGKVGWMETAEEIDDLFVGDAEVWRRACIGRGHTGPTGGDFPVVTREGHNVIDFPLEASGDFGIEPEEGEYHLMCQVPSVEINARYLAGSVIVVFNRQGPLDAPVFLGSGLVSRKLSSSVADVPTSPTYEAIMKNKEAGAVAAFDRVPFSYISANFTFNTDNYVADLYGIRANLVDGGEIRGAGNAWICPEGASIATVWVVKLERFCDKYRWEYTQCLRCCYQVLMLYQGAANIKVAGTDMNNKSIQSHSVFTCWFSKITNTNFFQITEDLDFNWVIEGDGCKLDSGTLSLPTLEFNWAIEGDFGS >cds-PLY86792.1 pep primary_assembly:Lsat_Salinas_v7:5:14496606:14498227:-1 gene:gene-LSAT_5X6601 transcript:rna-gnl|WGS:NBSK|LSAT_5X6601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRFYPNEMPEFIKEEEPPPPETTANPLTKTLSLPYNLFSDQLKRAAFDLKQTIVSETWGNTGKRLTDYTLYTGALGTASIVFKAYKVTHNKKDLDLCRDILKACDSASFGFSRSPVTFICGQAGVSALGVVVAKQSNDDQLFNHYLTRFKKIKLPKDLPNELFFGRTGYLWACLFINKNLGENIISSTHMREIKDEIIKAGRNMSTSECPLMYEWYGKRYWGAAHGLAGIMNVLMDMELTEDELKDVKGTLIYMINNRFSNGNYRSSEGSTSDHFIDWCLGATGMALTLTKAATVFGSDEFLKAAIDAGEVVWKRGLLKKVGICHGISGNAYVFLSLYRLTGDIKFLYRAKAFATFLYHKSQTLITQGSMHGGDRPFSLFEGIGGAAYLFLDMVDPSMARFPAYEI >cds-PLY68676.1 pep primary_assembly:Lsat_Salinas_v7:7:86256859:86262908:1 gene:gene-LSAT_7X58940 transcript:rna-gnl|WGS:NBSK|LSAT_7X58940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGENINEVASSLMEMKGTEKLVDGCINQKRVEDDVNENLTGFEKNEFDDRTVNLGEDDKVIVAKKDGEGEDVEVDLDLGKAIEDCSNKNKDGGN >cds-PLY98548.1 pep primary_assembly:Lsat_Salinas_v7:1:38797545:38798581:1 gene:gene-LSAT_1X33260 transcript:rna-gnl|WGS:NBSK|LSAT_1X33260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine phosphotransfer protein 6 [Source:Projected from Arabidopsis thaliana (AT1G80100) TAIR;Acc:AT1G80100] MLGFGAVRLRTDMNRLLSVLFHQGVLDEQFLQLQHLQDQSSPNFVSDVVTIYFHESEKQLRNLRNLLLDKETWDHVKLGIHLNQLMGSSSSIGAKRVRNICAVFRAAVEQNNRPACMRALEVLEHEYCYLKNKLHELFQMEQQRVLGDAVRYAGVVHQQ >cds-PLY97776.1 pep primary_assembly:Lsat_Salinas_v7:4:374580921:374582696:1 gene:gene-LSAT_4X183800 transcript:rna-gnl|WGS:NBSK|LSAT_4X183800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEQPKRSSPKQSENGEKGNCVFSPGFRSVAAMAGWDEEALLMASLVVEDTPDRDAKQKRRSDPLFKTPPTNSRRKRRDQRKSPVSLPVAVLDLDEEEVSREEIVEEKKEQKILVDINSKKDEKSKESTSESSSIPCIDRLREELSCAICLEICFEPSTTSCGHSFCKKCLRSAADKCGKKCPKCRQLISNGRSCTINTVLWNTIQLLFPQEIEARKVVCGGSNTLQSTDLQSPPARRRSQYRSVVEALNSPEGEQLSLERRRRTVNHNHNHRHHHNLRQQQSFRPASVVLLNSGAAGDNSVSRRRRRREVVVLAPPEQDEDAALALRLQREEFMGAFGGSEQQGRRNSVATATVNLRAMASRAVGIRNHRGGGGR >cds-PLY99337.1 pep primary_assembly:Lsat_Salinas_v7:1:69555657:69557116:1 gene:gene-LSAT_1X59881 transcript:rna-gnl|WGS:NBSK|LSAT_1X59881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAPIVEDGKARSEVLLFNRWTYDDVQVPDLSVEDYITATASKHPIYMPHTAGRYQARRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIVKHAMEIIHLLTDANPIQIIVDAVINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNVKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >cds-PLY63163.1 pep primary_assembly:Lsat_Salinas_v7:4:312060440:312060739:-1 gene:gene-LSAT_4X157160 transcript:rna-gnl|WGS:NBSK|LSAT_4X157160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTINLTCSASIEGRLSNVSDCTSTSSLSDQEDCTQINSGSVRRSHNWRKLMKKVIEGSKKSIYGSSKPLIFQYDAVSYSLNFDEGTHDDEYYSYGSR >cds-PLY93740.1 pep primary_assembly:Lsat_Salinas_v7:6:144762279:144763175:-1 gene:gene-LSAT_6X86760 transcript:rna-gnl|WGS:NBSK|LSAT_6X86760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPAKLSLIPFTILSIFFFSFTQGANFDVINQCPYTVWAAVSTGGGKQLETGQSWQVTVAPGTPKARIWGRTGCNFDANGRGKCDTGDCNGMLECNGYGAPPNTLAEFALNQFNNDDFVDISVIDGFNIPMEFSPVGASCKTMRCAADINGQCPEQLRTKGGCNNPCTVFNTIEYCCTIERGSCGPTEYSKFFKDRCPDAYSYPQDDESSLFTCPGGTNYKVVFCP >cds-PLY94582.1 pep primary_assembly:Lsat_Salinas_v7:8:181762557:181764855:-1 gene:gene-LSAT_8X118040 transcript:rna-gnl|WGS:NBSK|LSAT_8X118040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLLQLSLVILISLASAATSAPIYARPGCKDRCGGVRIPYPFGIGTGCYINEWYAVDCNLSTPYLSAINNLRLLSLNLENQTMAVNVSMNSNCADTIRNNSQILSVDLGDSPFLFSREDNKFTVEGCGNAVILEQNNLVTGCSTICPNQTNNPRNNCYGINCCQTTIPYYLKTYTIDTTRLQSQDSGGVCGSAFLVDEQMYSFGKFSRQSAFVGNSFVPISLRWTLRLEEIGESDCSSMTREDLYLGNGTNIESYKCTCKPIEEGSPYLTNGCQAVGPCATCINGCVQINGTGNFTCLPTQARGKSSTTGVILGVSISIGVLLLTATTFALYKLIQKTKDKRQKARFFKRNGGLLLKQQETTDEGLVDKTTLFTAKELEKATDHFHENRILGRGGQGTVYKGMLTDGRIVAVKRSKIVDESQLEQFINEVVILSQVNHRNVVKLLGCCLETEVPLLVSEFVSNGTLYEQIHNETDEFPMTLNTRLRIATEIAGALAYLHSATSIPIYHRDIKSTNILMDDKFRAKVSDFGTSRFVSIDQTHLTTLVKGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTGERPISVTRIGEHRSLATHFMLAMEEGEGMGVFDRRIVEEGGRVEVMAVAELALRCLNLNGKNRPTMKEVALELERIRASYLPSGNGVGKLKYNGGMEEEMILFSYDDDDIPSTSTY >cds-PLY83748.1 pep primary_assembly:Lsat_Salinas_v7:4:41481178:41481530:1 gene:gene-LSAT_4X27781 transcript:rna-gnl|WGS:NBSK|LSAT_4X27781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCCGDGDCRPLGFLLGLPFAFLSLLLSIIGVVVWIVGLSLTCICPCCLCLTVLVEIAIELIKAPIHVMEWFTSKIPC >cds-PLY90443.1 pep primary_assembly:Lsat_Salinas_v7:9:93482338:93485351:-1 gene:gene-LSAT_9X71101 transcript:rna-gnl|WGS:NBSK|LSAT_9X71101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESFSNFMKGIPPLTTEKKPLTPLTNPMQQQKQNQMVGPIHNPVQQQHTRSDGNSIFSASDDNVIMKQVVDTHLPDGTDVDVRPLLDIIQDILRHATINPDPLSSGPHTNGDKPNGKQHQTNAIVMLHSLSHIIDKLASEMAFKCLTVTDGHTTALALFHTVGNFHWDAKLVLTLAAFALNYGEFWLLAQIYSSNQLAKSMAILKQVPTIMEHTAPLKPRFEALKKLIHSILELTICIVQFKELPSTYITPDMPAMSSAINTIPTAVYWNIRGIVTCATQIAHLTSMGHEYGISSTEMQSWELSSLTSKIDHIHEFLRRQLENCNRVVGEKKEIEFRRSFNQLFETSHMDNMKILKILISPRDDIQPLFDGNTKTRVSLEVLRRRNVLLLISGLDMSREELSILEEIYNESRIHGSRTNALYEVVWMPIVDPSVNYTNEMDMKFEEMKEKMPWYSVSHPSIIDKVVIKCIGDRWHFRKRPILVVLDPQGKELSPNAIHMMWIWGSNAFPFTFMKEELLWRDETWRLELLVSGMDPTIDNWIREDKYIFLFGGDDIEWIRKFTTTARAMATAARIPLEMAYVGKSKKKESVRRAVATINVEKLSYSWQEPTLMWFFWTRLESMLYSKIQLKKADDQDPMMQQIKKLLSYDKDGSWALFCRGSKILTNGHGTTMMQTPSDFDLWKKDIGTKGFDLSFMEYHDKLHVAANNCCRFEFPVAAGRVPDGMRCPECHRVMEKHIAFLCCHDQEGLLELD >cds-PLY86618.1 pep primary_assembly:Lsat_Salinas_v7:1:3973949:3977594:1 gene:gene-LSAT_1X3200 transcript:rna-gnl|WGS:NBSK|LSAT_1X3200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 7 [Source:Projected from Arabidopsis thaliana (AT1G22460) UniProtKB/Swiss-Prot;Acc:B7ZWR7] MRRLLTCAIASISLVALLSAHLHLFFPPSQVSMLPDPYKLPTQHEIRYQKLSKERSWIQPHFSKASLPALKLDGANSSLDSHKLWKPPPNRDFAPCVDPSSSYIVPQETRGYLLVHTNGGLNQMRAGICDMVAVARIINATLVVPELDKRSFWQDTSNFSDVFDEDYFITSLADDVKIIRKLPKELMSATRAVKHFRSWSGIDYYEQEIASLWDDYQVIRAAKSDSRLANNNLPPDIQKLRCRACYKALRFSPRIEAMGKLLVDRMRAYGPYIALHLRYEKDMLAFSGCTHDLSIEEANELTSIRENTSYWKVKDIDSMEQRAKGYCPLTPKEVGIFLRALGFPSTTPIYIAAGEIYGGDSRMEALQSRFPTLMRKEKLASVEELDPFVEHASQMAALDYIVSVESDIFIPSYSGNMARAVEGHRRFLGHRKTISPDRKLLVRIFDKISNGTMKEGKKLSSRIIEIHKRRQGSPRKRKGPITGTKGTDRFRSEEAFYVNPLPDCLCQMEMESTENEIIKKS >cds-PLY80839.1 pep primary_assembly:Lsat_Salinas_v7:MU041866.1:100165:102221:1 gene:gene-LSAT_4X86280 transcript:rna-gnl|WGS:NBSK|LSAT_4X86280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRFSFLWGRELLLDFYTSSAKRKPDQIIIFRDGVSESQFNQVLNIELDQIIEVHPLSFFLCPNISLVYLHYPPPTVYMGNMHGIDRLSLATEIPLMPLPLFSSPSTTRLDSRRPQSSSDQNGGPVAVNEWIANEGVGVGQPVPMIGRIQSQLSTSLTATAVAELPCLSQA >cds-PLY66312.1 pep primary_assembly:Lsat_Salinas_v7:5:288983217:288987592:1 gene:gene-LSAT_5X153021 transcript:rna-gnl|WGS:NBSK|LSAT_5X153021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMGCFGAFDACKARTRKLPDDISTNNVKQFSYNSLRSATRDFHPSNRIGGGGFGVVYKGVLRDDTHIAVKSLSAESKQGTIEFLTEIKTISGIRHPNLVQLIGCCVEDGHRILVYEYLKNNSLASALLGSRGKHLDLDWATRAHICKGTASGLAFLHEEAEPHIVHRDIKASNVLLDGSFDPKIGDFGLAKLFPDNVTHVSTRVAGTVGYLAPEYALLGQLTKKVDVYSFGVLMLEIISGRSNSKAAFGEDLLGLVEWAWKLKDEERLLDIVDPDLTECPDEEIMRFIIISLFCTQAVSNQRPSMRQVVEMLSKKVNLNIRLLTEPGIYKPNSSSSRSKGGVSQVTTKSGAFNGRKSVNPFITTSPHVSNSFSSMSQMFPR >cds-PLY92723.1 pep primary_assembly:Lsat_Salinas_v7:7:5292591:5295678:-1 gene:gene-LSAT_7X4520 transcript:rna-gnl|WGS:NBSK|LSAT_7X4520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLVCACPILECVYCLGCARWAWKKCLYTAGRESENWGFATSTEFEAVPRICRYILGVYEFDIRNPLWAPPGGYGINPDSVVLKKNYDENQGKVSPYMIYIDKDNQDILLLISGLNMAKESDFLLLLDDKLGQTKFDGGYVHNGLLKAAQWVFDTECDVLKELVEKYEDYTLTFAGHSLGAGVVTLLTMLVVKNRDKIGNIDRKRIRCFAIAPARCVSLNLAVRYADDDFMPRTSVALEVLFKCLFCFPCILCATCLKETCTLQEHMLQDPRRLYAPGRLYHVLVRKPFGVGRISPTVKTAVPVDGRFEHMVISCHIISDHSIALMEREFQSALDTLKEKDHVMDIPTQQRMERSTSLAMEHSEEHKAALARAVALDVPEAYLPSEYGTFQKAQSMNDSAHGSSSWDELAARILDTDEKGESVLKSDWY >cds-PLY64780.1 pep primary_assembly:Lsat_Salinas_v7:2:102405376:102407334:-1 gene:gene-LSAT_2X44920 transcript:rna-gnl|WGS:NBSK|LSAT_2X44920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRQGTRFWTFNSLVGAFLDLSIAYFLLCGSTIAFFAGKFLGFFGLSLPSPFGIPNSDLNSLLLDYPTDKISAVQFSVTRKFPFDSIFFSVQNCNASDGLNLGRGERVKELEGEASSSSISDARKAVKNETDDSGVKIEKERGFDMKGKGALNHRVRGSFRRRKKSSLDSGKRSSVSSSPNWITCVDQQSNEKEHVAGVAENSILSGANSCNYEAHTPTAENDSQERIPINEEDKANTILLLTRELIEEQDARAALYIELEKERSAAATAADEAMAMILRLQEEKASIEMESRQYQRMIEEKSAYDAEEMNILKEIVLRREMEKHFLEKEVEAYRQTSPESNQNYNNTLASGDNDPDRILRDLSMSIDLSKQKLKIDKQLSEKTIAIVEEEHKKETDVFYETNGKEEEEAEVSSGGVVKKAEVSGGMGLPPMGSKWKILRRNSTSALDNERTKLDTEVEWLRERLRIVQEGREKLNFSIDNREKESLQLQLLEDIARQLQEIRMLTEPRSGRQASLPLPSAKVLTVF >cds-PLY91004.1 pep primary_assembly:Lsat_Salinas_v7:7:129726661:129726831:1 gene:gene-LSAT_7X78641 transcript:rna-gnl|WGS:NBSK|LSAT_7X78641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMVYVTIQPLYERDVAIPSNSAISGDFRRVSFNFPSSHSLAHGDGNRSSDDSAAR >cds-PLY64826.1 pep primary_assembly:Lsat_Salinas_v7:8:266500110:266502426:-1 gene:gene-LSAT_8X154281 transcript:rna-gnl|WGS:NBSK|LSAT_8X154281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLFLQRFGRTKSLTKRSTKKYLEEALYRRLLKDGGSDVSVRQNLNQFLKSKKKAYKWEVDHTLKVLRSRKRYASALKLSETMAERGMNKTITDQAVHLDLISKTRGITAAESYFINLPEQSKNHFTYGPLLNHYCKHQMTEKAESMFQKMKELNLTLTSLHYNSILTLYEKSQQPEKIPQIILEMKSTEVKPDVLTYNIWMRAVSAMNDISGVERVINEMSRDENVSPDWTTYSNLASIYIDSNLIKKAENALKELEKINSHKNLSAFQHLITLYGKTRNLLEVYRVWRSLKLAFPKTANISYLNMITVLVKLNDLPGAEKLFREWVSGCSNYDIRIVNVLIGGYLKENLFEKGEELKEVSRRRGGKPNSKTWELFLNYYLEKGEIGKAVECVENAISTGEKWGPAVTVVGKIMGHFEGIKDVDGAEGFVRVLEKVDGGGGGGVEVLEGLIRCYAAAGRTSAVVRRRVKMENVELSDEGKSLLEKISVA >cds-PLY81517.1 pep primary_assembly:Lsat_Salinas_v7:5:80721368:80727782:1 gene:gene-LSAT_5X37621 transcript:rna-gnl|WGS:NBSK|LSAT_5X37621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:timeless family protein [Source:Projected from Arabidopsis thaliana (AT5G52910) TAIR;Acc:AT5G52910] MENLSFICGGLGIIEEDDDANQIGYTPGEYCLDNLKDLLRFLRRDNPETREVFKQVCKWNIVGKDILPIIQHRQNDTTLLLNAVKVLVFLTMPIDPTSKDIPQQIEYLWGLKSMITSSHAIPVIVTLLESPLEHLEGDSFTEDDWKLVQLVVTLFRNILAVQDITTQQRVAGLASEFVLLRDRFLELLFEENVMDLILVLTQHVGGSSGVFRQDNLLLLETFYYIYKCQVPELIARAHVDASMKVEGEAEATATDLKSLMEEEKEKRRQTMLLNIGARSKFSGSFTRVTMDGSKVLVKGNPCSDSHDSLLKGHKNQRDSSKKMPWESGRMPTTNKNILRLLHDFTNQFLLGGYNVLMQSIREDIEKEHHAIENSDVVIFFQVAEFIMSFQNHKLLASKPDAEVNVSESSKDHDAESTLFEGNICGSISETMNEPMFLLVVSKWRSAFDGLKETNDYKFLSAAGSLMKIMINMLDLVLKMSPDDSREPQTARVLLYKLFYDQTDQGMTYFLFNLIKTFNAHKQPKSDLADLVETIHIVIRLMENLQSRGTLRVSKKSRRKRKKKPSTTNKDANTADQIPIQNKDLVHDDEKTENVSIPEKETSPSLKADKIGDDDGDDDIIHEESDKPDANKEETEGNNDYVLDESSDDDDDEEEQDARNEVDFKASSLVSSLANNTIIQNLCWLLKFYKSNSVRTNHYIISALTRICQDLELSPMLYQLSFLMIFHTILEEQKSSPLKEHKNIVLFLTDLIRRMLRKMKSNPLLFVEILFWKSRKECHYINCESMLQDFGRNGYTKRGNDNNTHNGNDNDNDNVGGNGYGYGYVKKSMADALGDDEADVVIPPWRDTDRDDDQSEENGEGTENFIQRTETVENPVKARKRLKPLVLNDELEEKLKDLFEKYKELPDCSQRIVTELNLEVSSAQVSKKLKELGLKFPPKKRTINKDIHEKDMDASLQQPSFVRKRVRAFNEDQEMKIRALFEQFKDHKKCSHMIASALEGDVAFTAAQITRKLTQLGLRVKKQKSNDKMNLRDDDDVSDGETLLSLKKRSKNKVSIEKTQDNISDDDKVVLSASFKRKKKQNTVTNEGTPYGNNNQLLDDDVDNDDDDDVVLSSAFRSKKKHTKVTTEETPNDDDDDDDVKVVKKRLSHKRGNLVDDKNSEEEEVVNGEEEDVMMSESVNHMDDDELNDELVDDEVMDLGNNESPVMSQKSGGVRRKLRMIIDDED >cds-PLY91173.1 pep primary_assembly:Lsat_Salinas_v7:8:186636879:186639559:-1 gene:gene-LSAT_8X120280 transcript:rna-gnl|WGS:NBSK|LSAT_8X120280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFSNIIFFPILFLLCSIFSIHSTAQTQTLGFRCTNTTTCNSLVDYRLPNTTSISSILKLFGVKNLRSFLAANNLPITTPQTQTFPASQILKIPFPCFCRNGVGISDHRPIYTVLPEDGLDHIAADVFSNIVTYPQIQSVNNISDPNNILDGQKLWIPLPCSCDEVDGETVVHYGYMVAVGNTASEIALQFNTTESTLLYLNGMNSSLDLIDDTIIDFAHLWYKLQNNSSDYPLIVPNGTYTLTANNCVQCECNAANSRILECKPSTIILPQGQTCPSMQCVGSDFNLGATTFDSNCKHSRCSYAGYTNRTIFTTVTHESTCPSSPSGKLS >cds-PLY83665.1 pep primary_assembly:Lsat_Salinas_v7:4:44565520:44570545:-1 gene:gene-LSAT_4X29921 transcript:rna-gnl|WGS:NBSK|LSAT_4X29921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNVSGSIASCSKEHQKIYQKWFDYVDSDGDGRITGDDATKFFAMSNIEKPDLKQIWTIADSKRQGFLGFTEFITAMQLIYLAQTGHEINADLMRTDVDLELLQPPLMEGLGLLLENHSGSLTNHPSETNGIMSFEYVSPRKTPLHTPRDKVTSIIDGLKRLYNEKLKPLEIAYHYNDFGSSLLSDTDFDAKPMVMLLGQYSTGKTTFIKHILKCNYPGAHIGPEPTTDKFIVVMGGPHEVTIPGNTIAVHADMPFTALATFGGTFLSKFECAQMPHPLLEHMTFVDTPGVLSGEKQLTQRSYDYAGAIKWFSHRCDIILLLFDPTKLDISDEFKRVIWSLRGQDDKIRVVLNKADQVDTPQLMRVYGALMWSLGKVLKAPEVARVYIGSFNDKPINEELVDPKSKELFEKEQDDILVDLKDIPKKACDRRINEFVNRTRGAKIHAYIISQLRKEMPILGKSKAKQRLMKNLQDVFTKVEKEFHVPTCDFPDVEQFRQVLGAYNFDKFEKLNPKMIEAADDMIRYDIPHLLKNFRNPYE >cds-PLY68236.1 pep primary_assembly:Lsat_Salinas_v7:3:102948038:102948415:-1 gene:gene-LSAT_3X77001 transcript:rna-gnl|WGS:NBSK|LSAT_3X77001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKIKEKIPGGHNTVEHQRVSTTKAGGFAGGYGEGGETHEKKGVMEKIKEKLPGGHNTVEHQRVSKTTTGGVGGSYVEGGEAQEKKGVMEKIKEKLPSGHNTNEHQRVSTTTAGGHHGVSGGYVE >cds-PLY93083.1 pep primary_assembly:Lsat_Salinas_v7:4:106703885:106704253:1 gene:gene-LSAT_4X69400 transcript:rna-gnl|WGS:NBSK|LSAT_4X69400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYNKAFMLFSCILLLFSLALTFPNGESKVTFHTRSTEKPISVCNKIYGTQAGDTCLSILEAFHLTASAFNTFNPNLNCEKIFVGEWLCIDGLAI >cds-PLY85729.1 pep primary_assembly:Lsat_Salinas_v7:1:47695317:47696674:-1 gene:gene-LSAT_1X41040 transcript:rna-gnl|WGS:NBSK|LSAT_1X41040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFVHVIVFFFSIMVMFIILLSHFQFLSDSYSSNKYLPGFNGRDYNTSRTNSNVIKAEEAFQTHRKLLFQGSSCTARDLSISQSKGSTIGIPQYIVQIVNTCVSSSQCAPSNIHLHCGWFASARIVNPRFFKRLSYDDCLVNGGQTLRTGQMISFSYSNSFMYPISLKHARFC >cds-PLY77747.1 pep primary_assembly:Lsat_Salinas_v7:2:168900945:168902207:-1 gene:gene-LSAT_2X92720 transcript:rna-gnl|WGS:NBSK|LSAT_2X92720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFKEETSFFISNLPFKASNLEINRELERYGTLVDLFVYRRRDKHDHRFGFVRFNRVENVSKLEVSLNEMFIRGRKLFAKVARFDRDENKGLAKAGKGVMCRVHNTTMDNGIRSQDVYSTDLVRREMSSKSVSVKVVDDGSPRKKGTSVKTVKLSMVDVKDGIDALQSRLVGEENIFLHLGTNVFKVRVSEVEGMLFNQGKMEVDCFLNEDDKVEGEIESDDEDVYVSSDDDIPIGYVDIPNGYIDEEVENIQENVINDYNDDPVPESTFSFDFNDVKDEGKRQNQLKLQKT >cds-PLY75821.1 pep primary_assembly:Lsat_Salinas_v7:3:68314106:68317776:1 gene:gene-LSAT_3X52161 transcript:rna-gnl|WGS:NBSK|LSAT_3X52161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPIVKSIKDFEDDDTPTSTRTQMLRLVAEADNDTQVHQAASKKTVSEIPTPEYVIVDTYERDYSPTFNQPASYLRARGARAELGDFVEYDLDNEDEDWLQEFNKERLILPAEKFETIIFKLEVLDHKARERAGVITPTLGSPIPVLLTFDAAVEALQALSIQYGVFQSIYSYWKEKRERWLKPVLRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLDQAKNLLEALIKREEKKRDVMESEVSLQRIQMKYKNETELLEDSLALPEMPSFHQQSKFVSSEDEYLESDDFPLNNNNNNRIRFQPHGLIDTPRVIMPSGVGSMKRDIRRRPVPYSWLHKLDPVEPVLLFTKPLVAEKLAAAGIVPPPSGGGGGGGGGHNFRGRIGRGGRIVFDRWNPLMHTPIECGGDSLLYMPPKPRSSTHNSSFQ >cds-PLY79548.1 pep primary_assembly:Lsat_Salinas_v7:1:33841373:33847636:1 gene:gene-LSAT_1X32841 transcript:rna-gnl|WGS:NBSK|LSAT_1X32841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLIHKFLIIVSGILSVISCIFVLPILSLFRILRFCIRSVLREKLAGKVVLITGASSGIGEHLAYEYAKHGASLALVARREELLATVAGKAKELGAPEAIVIKADVSKLQDCKRFVDETINHFGKLDCLVNNAGIITIGLFEEEGCITNYTSIMNVNFWGSVNATHFALPHLRNCKGRIVVIGSTGGWFNMPGISVYNASKVAQQSFFETLRIELASDIGITIVTPGVVTTPLASDEVLNESNLWWIPRYSAEDCAKAIVNSARRGDEYLTEPAWMQSVFIWVMLFPEIMNIVRRLILIASGKTSSQKWKSCNNIGSLESLNSNPKHD >cds-PLY86013.1 pep primary_assembly:Lsat_Salinas_v7:1:49402820:49403363:-1 gene:gene-LSAT_1X43301 transcript:rna-gnl|WGS:NBSK|LSAT_1X43301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKEPSKRLQSREILDPNNSSLEMQSCGFMYPRPSFQEASPQLQNHDFELLYSASNNHCGYMFRQEPNASNTNVIADTEEYTGYVLQTEAEAKLSKKILRKSFNSITRILQESEEKEPMNLLKLLKKSTGFNGVLEFDNDQVPPLHPEEIQNC >cds-PLY84808.1 pep primary_assembly:Lsat_Salinas_v7:8:24083207:24085142:1 gene:gene-LSAT_8X20901 transcript:rna-gnl|WGS:NBSK|LSAT_8X20901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEVDTTSSMVNNIMMMEVDTGNSRPLEVSTPVKEGRKHPENKGSNTTVFINHGLISWNESRRKWIGDQSQRSQRERTPEDPVISWSTTYEDLLSNNDRFPEPIPLSEMVDFLVDIWLDEGLYD >cds-PLY72821.1 pep primary_assembly:Lsat_Salinas_v7:6:21867081:21870709:-1 gene:gene-LSAT_6X15860 transcript:rna-gnl|WGS:NBSK|LSAT_6X15860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAALELEDDAEEIDDGLKQSCWANMPQELLREVLIRIESSENKWPSRKNVVGCATVCRSWREIMKEIVKRPEISGMLTFPISVKQPGPRESLLQCFIKRKRSTQTYFLFLSLTQALADDGKFLLAARKFRRTTCTDYIISLHADDMSKGSNRYIGKLRSNFLGTKFIVYDGLPPHDGAKMTKSRSTRFMGSTQVSPRVPAGSYPVAHISYELNMLGSRGPRRMQCIMDPIPSSSIEAGGVAPTQTEFPLSSGESFPSIPFFRSRSSCVEKTLSTSQNQSQSQSQRDGPLVLKNKSPRWHEQLQCWCLNFQGRVTVASVKNFQLVATPPAGQSGPQYEKVILQFGKVGKDVFTMDYRYPISAFQAFAICLSSFDTKIACE >cds-PLY98092.1 pep primary_assembly:Lsat_Salinas_v7:MU038094.1:80532:80825:1 gene:gene-LSAT_0X22681 transcript:rna-gnl|WGS:NBSK|LSAT_0X22681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKRQLSHLQTYLGGIKYITGLPDIVIIVDQHEEYTALQECIMLGIPTICLIDTNCDPDLADISIPANEDAISSIRLILNKLVFAICEGRSGYIRNP >cds-PLY93212.1 pep primary_assembly:Lsat_Salinas_v7:6:158428407:158429685:-1 gene:gene-LSAT_6X97000 transcript:rna-gnl|WGS:NBSK|LSAT_6X97000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDREQEQMQFLGLFGICKESFKIIHSWRKIFAQITLTLILPLTFIFLGHLEISNLLSREIKHTEYEQDVTRPGTTRYNKLSDTLSSEYITLILFQVAYFTILLILSLLSTAAVVYTIASIYTGRDLTFKKVMCVVPKVWKRLMVTFLCMFAAFFVYNFIAVVVMVICMVIFPYNAFGMVLLYIVLIIYVMGFVYMTVIWQLASVVSVLESSYGLKAMKKSKDLIKGNRGVAISIFFLLNMSLILIKFLFEIFVVHGNSLHMEAWKRVGFGVLCFLLLLTFFLFGLVIQTILYLVCKSYHNENIDKGDLSNHLESYLGEYEPLSGKEVQLEQYEV >cds-PLY99895.1 pep primary_assembly:Lsat_Salinas_v7:7:191828724:191829981:1 gene:gene-LSAT_7X113480 transcript:rna-gnl|WGS:NBSK|LSAT_7X113480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFLVLSDCETKMGDDDKDSEHDDMSDSSCNATEDEDEIRIQLGPKMSIREHFEKDKDDESLRRWKEQLLGGLDAAHVQEHEEADVKILSLTIVSDGRPDIVLEIPESGNPKERPWFILKEGCKYHLRFSIKINNDIVCGLRYTNTVWKTGIKVEHWKEMLGTFSPQPEPYEHEMPEETTPSGMFARGSYSAKTKFVDDDNNCHLELNYAFEIHKEWAN >cds-PLY88403.1 pep primary_assembly:Lsat_Salinas_v7:4:155537789:155544246:-1 gene:gene-LSAT_0X13460 transcript:rna-gnl|WGS:NBSK|LSAT_0X13460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRMNTIKTHPMLLVAAADNSEELPPTIRCCFSHEMKMGGLTEDQRVEMLSQSLHLIPELVPDTCIEDVVKGMVGQTSGFMPRDIRALVADASSSLIPINGSSLEKLGDNKEFMFKALERSMKRNASALGTPKVLNVKWEDVGGMEDVKKSILDTVPLPLLHKDLVSSGLPKCSGVVLYGPPDTGKGNFHEWERGSGEQSRLTKEILSNCESIEWQVDDLEKNIFVAARDPSLYGIKQVELEKRRKWTTTAPIQMGNTKKVVTVTGSNSNFGGMRQELMRMPKSHQQQNKDMTRTGSYAVVDNDDFISLESDTQMLLISRICQSQSQSLMRAKPWTWMEKDIELKLCISISYVAVAAHADQTSRRKLALFQVPLLLGIGEEDTALTKATESGDTDLFYRVFFHIWQNRLELELFGMIQARPIARDLFIRDSRYHILAWLEALEETRLKQK >cds-PLY79266.1 pep primary_assembly:Lsat_Salinas_v7:9:5678960:5687821:1 gene:gene-LSAT_9X921 transcript:rna-gnl|WGS:NBSK|LSAT_9X921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSSSSKRTLSSPCSSPIPTGKRSKAAEAASSSTTGTPVEPIEAVVKESGGCESQEQEVRSSDPSKKDSDACDEVPGVDVPEKSPVVQVEGQPLVSPMSLGDSLIDVEKTKANGGSTVLNRTKKRQSKSNVGVAWGKLLSQCSQNPHVVMDRPIFTVGQGRQCDLWIGDPNISKSLCSLRHIESQQGGASITLLEITGGKGVVKVNGKTCTKRSTLPLKAGDEVIFSSSGRHAYIFQQLSNDNVGADVAPPMSILEAHSGSLKGLQFEARSRDPSAVAGASILASLSSIQKELSLLPPPSRKGKGLQTGMPNLPSVCDNRASDTEMKDAADVAPSSEKGANENIDGVGVGDTGNAPGPAATHELRPLLRMLAGSTASELDILKIIDERKEIRELLKEIDPPISLAARRQTYKDSLQQGILSPDAIEVTFKDFPYYLSETTKSVLIASTYLHLKRNDFVKYASDLPTLCPRILLSGPAGSEIYQETLAKALAKHLDARLLVVDSLLLPGGSVAKETDTSLKESTRPERASVFAKRAPQAGAMHSKKPTSSVEADIVGGSTTGSHAQPKQEASTASSKSYTFKKGDRVKYVGSLSSGFSPLQTPLRGPTYGYKGKVLLPFEENGSSKIGVRFDRSVQEGNDLGGICEEDHGFFCSADSLRLDSSSADDIEKLAINELFEVAVKESKSSSLLLFVKDIEKSMLGNPEAYASFKSKLENAPANVVVIASHTQMDSRKEKSHPGGLLFTKFGSNQTTLLDLAFPDSFSRLHDRSKETPKTMKQLTRLFPNKVAIQMPQDEVLLSDWKQQLDRDIETLKSQSNIVNIRAVLSRVGLECSNLDELCVKDQTLTNESVEKVIGWALSHHFMQSCEASAKDAKPVISNESLEYGINILQGTQNESKSSKKTLKDVVTENEFEKRLLGEVIPPGDIGVSFDDIGALESVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAIATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDRERVLVLGATNRPFDLDEAVIRRLPRRLMVNLPDAANREKILRVILAKEELAPDMDLQAVANMTDGYSGSDLKNLCVTAAHCPIREILEKEKKEKALALAENKPVPALRSSVDVRPLNLDDFKYAHDQVCASVSSESANMNELLQWNELYGEGGSRKKKLLSYFM >cds-PLY94198.1 pep primary_assembly:Lsat_Salinas_v7:5:322022871:322025324:-1 gene:gene-LSAT_5X178661 transcript:rna-gnl|WGS:NBSK|LSAT_5X178661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGVFERDPTAAAKVTTDNLKKELQRLVKSIVEESSPDEEIGFGFIDCIDRATQTLQSLKDLKEGMKHRSYDNTSFDSCPQEFNCPLSKEIMRDPVIISSGKTYDRASIQKWLKAGNRTCPKTQQVLTHTILTPNHLVRDMITQWCKNRGVRLPGPLVYSDQNLATEPNQSLFRSLLNKLSSSLPDQKEAASSLRSLTKRIPSFRAFFGESDDAIPQLLAPLSVIKPETETHRDLQEDLITTLLNLSIHDNNKKIVAETPNAIPILLDALRLGTIETRSNAAATLFTLSALDSNKSLIGKSGALKPLIDLLDEGHVIAMKDAASAIFNLCIVHENKSRAVRDGAVTVILKKIGERVQVDELLAILAMLSSNQKAVEEMSDLGAVSCLFSLIKETSCDRNKENCIAILHTICFNDRTQWREMKEEESKYGTLSRVAGDGTSRAKRKANGILDRINRPINRTHTA >cds-PLY87522.1 pep primary_assembly:Lsat_Salinas_v7:8:95577590:95578759:1 gene:gene-LSAT_8X67301 transcript:rna-gnl|WGS:NBSK|LSAT_8X67301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTTSKLSTFFLVILFLGITSIVSADFNNEFDITWGDGRGKILNGDLLTLSLDKSSGSGFESRNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGSNWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIIFSVDGTPIREFKNAESIGVPFPKNQPMRIHSSLWNADDWATRGGLVKTDWTQAPFTASYRNFRADACVVSSGKSSCGGSASSGGNQAWLSEELDNTKQERLRWAQKNYMIYNYCSDSKRFPQGFPPECKLA >cds-PLY92585.1 pep primary_assembly:Lsat_Salinas_v7:7:162196303:162196587:1 gene:gene-LSAT_7X94300 transcript:rna-gnl|WGS:NBSK|LSAT_7X94300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGEAESSSGPRILPDYHEPFRLRTQTMVPTPPRRREGSFRCCEAGDMLPDLGGLRCEAGAGMRRIWPNGDARMVGRWCATPAEERQQLPVVQQ >cds-PLY82363.1 pep primary_assembly:Lsat_Salinas_v7:5:312779035:312779307:-1 gene:gene-LSAT_5X170520 transcript:rna-gnl|WGS:NBSK|LSAT_5X170520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METVYSLESELDVYIDHGNEPILDWADNELLVDGKGYESDELDEEDDKDSELSERMEYENECDEEVHTFDKIVGDPFLDKLSGYISDDDE >cds-PLY68196.1 pep primary_assembly:Lsat_Salinas_v7:8:119206115:119208144:-1 gene:gene-LSAT_8X83061 transcript:rna-gnl|WGS:NBSK|LSAT_8X83061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQDNDPTLPGYYQKKKAEVTDVLDDIERELDDSDEGDEGEGELESENDDDLDDELEIEDELDWDSDWEPDFEDDEDDLSKELDGFTAPGVGYGNITEEVLEKGKKKRVSKSERKKIAREAQVEKEEVTVCARCHSLRNYGQVKNQNVENLIPDFDFDKLISTRLMKPTGNADSTVVIMVVDCVDFDGSFPKRAAKSLFDSLQGQEGFKSSKKLPKLVLVATKVDLLPSQISPTRLDRWVRHRAKAQGAPRLNGVYLVSSRKDLGVRNLLTFVKDLAGPRGHVWVIGAQNAGKSTLINALAKKGGVKVSKLTEAAVPGTTLGILRIGGILSAKAKMYDTPGLLHPYLMSMRLNREEQKMQGQAIHVGGLMRLDLNHASVQTIYVTVWASPNVSLHLGKIENADETWNKHAGVRLQPPIGADRVCELGKWEAREVKVSGTSWDVNSVDLAAAGIGWLSLGLKGEASLSLWTFDGIEITTRDPLVLDRARFLERPGFLLPKAISEAVANQNKVEPQNEDVLL >cds-PLY87712.1 pep primary_assembly:Lsat_Salinas_v7:1:5130118:5130401:-1 gene:gene-LSAT_1X4500 transcript:rna-gnl|WGS:NBSK|LSAT_1X4500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDVIGNFLSDGLQPSKTMIGHIVEMEMDYINTSHPNFVCGSKVVEVTLQQVKSSKLATTASRQKVWLIYR >cds-PLY77657.1 pep primary_assembly:Lsat_Salinas_v7:5:175660614:175662967:1 gene:gene-LSAT_5X77521 transcript:rna-gnl|WGS:NBSK|LSAT_5X77521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSECLVNPPALVSGGESNNVLQIASLNSYVSGNADSKVALLFISNIHGYGVSKFRKLADKVASAGYYVVAPDFFHGDPITPETPISDWLKNHGPVEAVEPAKLVIKALKEMGITKIGAAGFCWGGKVAVELAKEDDIQVAALLHPSFVTLDDIKGVKVPTAILDAEIDNKSPPELVKEFELALQAKLEVDHFVKIYGGVSHGWTVRYKDEDAEEVRSAEEAHEDLVGWFNNHLDPNHSII >cds-PLY67416.1 pep primary_assembly:Lsat_Salinas_v7:4:241276079:241278237:-1 gene:gene-LSAT_4X129560 transcript:rna-gnl|WGS:NBSK|LSAT_4X129560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVESLLLGSGTSHLLSSAFNPKAKATAAFPETAHALNPRAIMTFARDLEQVTLFILIIEVDFIRVEVESLNSIRYVMEMIEENKGIPANQIVELSDHSV >cds-PLY88204.1 pep primary_assembly:Lsat_Salinas_v7:5:122156535:122161653:-1 gene:gene-LSAT_5X53081 transcript:rna-gnl|WGS:NBSK|LSAT_5X53081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALPGENLKHHQNFTVASITLLSSNPPNSVSGPLSATFGSASGFPELRFLLEPDGQHSIHFDLRTTQLFRLGEVQSLCVSEGSETTKEKIYSKGVTVIFKDEEENSSFHGAFEQWKTEVVIQGSDLPNGTLSSSKSKFDDKIEASSAKMYFHYYGQLLHQQNMLQDYVRTGSYYAAVIENQADFVGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMADYAQKLIAGNPILGQRITVIKGKVEDVELPEKADILISEPMGTLLVNERMLESYVIARDRFLVPNGKMFPTVGRIHMAPFSDEYLYVEIANKALFWQQQNYYGVDLTALHGTAFQGYFSQPVVDAFDPRLLVAPAVSHVINFTTVKEEDLYEIDIPLKFTASVGTRIHGLACWFDVLFNGSTVQRWLTTAPGAPTTHWYQLRCVLSQPIYVMPGQEISGHLHMIAHSAQSYTINLTLSAKMWGPGAEQGGILQTSSCRLDLKEPYYRMSQPQAYSSAQDQQQPNQLLQSQVQIIVNSLTTGTIFAINSFY >cds-PLY91378.1 pep primary_assembly:Lsat_Salinas_v7:8:47447709:47447912:-1 gene:gene-LSAT_8X35301 transcript:rna-gnl|WGS:NBSK|LSAT_8X35301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAKGRGDFRTPVVVERVTEDSGSREDDERRPDWSFREGKFRCRRSRWRKRKSSGKSENGFWVVGPT >cds-PLY96443.1 pep primary_assembly:Lsat_Salinas_v7:4:344748656:344751276:-1 gene:gene-LSAT_4X170321 transcript:rna-gnl|WGS:NBSK|LSAT_4X170321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTTSEEIREDGKQRRKSIGTLSSMNGGHGGGLTVSGGKTRRFSMGIPSSRKSIGEEGNIVPNYLRASTGSCHDFCKYGKKHEQSKSSIPIKFKRSTAVNKEKVITTTVAPIERKKAIKPFQDPKIQTPLELTKKDVSISLTSKTTQVLKRVMSANGKPKDKEVKLGQRSTSLVKRSPGSNASNGEVVKKKDTIRIVKKTGITPKAVKKDVVKGTPVESKSPKVSSLSRATSLKVIKHKSVKKVSPLKDQNIVQKIEVKQIETESEAKSGNIEFDYIHETVGIVDAPSFPSLELMNDPVSINGLEKDSMITQSVYESSKLEDDSSMAEVLEKPQSFSEVEENLLIAPPVSESSQSYYDDEVLEDESDFTDEETESDSDNEKVNSTENNKISRKGRMVISEDKDDEGVKLRFRRGKILDLQSENNGPRRLKFRKGKVMEGNEERPHVSRRSFEKKYEKETNNDSISNNGHENVVLKHQGDQGRKDAQGLFNNVIEETASKLVESRKSKVKALVGAFETVISLQDGKP >cds-PLY86281.1 pep primary_assembly:Lsat_Salinas_v7:8:55502239:55509435:1 gene:gene-LSAT_8X41141 transcript:rna-gnl|WGS:NBSK|LSAT_8X41141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGQKIETGHTYIIHDVCMDYYGKRVASASSDATIKIISVTTTISTATSHPLTTLTCHNGPVWQEPKPKKQSALTAKTGSNKKPVSKPVSSSDESEFESESSDDSDSSDEEVSQSEAPKKPAAVAKNGAAAATKKAV >cds-PLY85031.1 pep primary_assembly:Lsat_Salinas_v7:4:224218778:224222017:1 gene:gene-LSAT_4X123301 transcript:rna-gnl|WGS:NBSK|LSAT_4X123301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLKTMTPVGSAALAFGGPAAAVAGGISLLFLKSFLSQQPDNPNHLPSVPAVPGVPLLGNLLELKEKKPYKTFTKWAETYGPIYSIKTGASSMVVVNSNQLAKEAMVTRYDSISTRKLSKALQILSADKAMVVMSDYDDYHKTVKRNLLTSILGPTAQKRHRAHRNTMADNLSTKLHALAPNSPHEAINLRQIFQSELFTLAFKQTFGRDIESIYVGDLGTTMTRDEMFQILVVDPMMGAAEVDWRDFFPYLKWIPNTKFEETIEQMYIRRKAVMKAVIQEHRKRIDSGENLDSFIDYLLSEAQPLTDTQLLMSLWEPIIETVDTTMVTTEWAMYELSKHPNKQQRLYNEIRNVCGSEKITEEKLCKMPYLSAVFHETLRVHSPAAIIPLRYVHENTELGGYHVPAGTELAVNIYGCNMEREIWENPEEWSPERFLKDNEPINLQKTMAFGAGKRVCAGAMQAMLLVCVGIGRMVQEFEWRLKDDVGEDVNTLGFTTQKLNPMLAVLKPRN >cds-PLY68009.1 pep primary_assembly:Lsat_Salinas_v7:5:182506822:182511357:-1 gene:gene-LSAT_5X79940 transcript:rna-gnl|WGS:NBSK|LSAT_5X79940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDQGFSYTLDEALSAIGFGKFQFIVLAYSGLGWVAEAMEMMLLSFVGPAIQPEWGLSSSQESLISTVAFAGMLIGAYSWGVVSDSYGRKKGFLGSAIITSGAGFLSAFAPNYISLLILRFFVGIGLGCGHVFTSWFLEFVPIPNRGAWMVVFSTFWTVGTIMEAALAWWIMPNYGWRLLLGLSAVPSLLALVFYPLVPESPRYLSTQGRLTEARYILTKGAQLNKKELPEGLLVSDHVNKIETDDELSESSLLLSSIRNKTSDSQRRSSSVFMLFSPKLIRTTVLLWFLYFGNTFSYYGIILLTSQLSIGQSECEQPTLLAENIQDSSLYVNVFITSLAELPGLGIAALILDRVGRKISMEIMTVAGFILLLPLVLHQNAILTTIFLFGARMFISANFIVVCIYAPEVYPTSLRATGVGIATAIGRIGGMVCPLIAVGMSSNCHQTLPVILFEVTILLSGLCVVLLPFETKGRELVDVIDLPVQNVQVD >cds-PLY96403.1 pep primary_assembly:Lsat_Salinas_v7:2:88292348:88293957:-1 gene:gene-LSAT_2X37681 transcript:rna-gnl|WGS:NBSK|LSAT_2X37681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLLFSSLLFTIFCLQLLFLSPTLSTSRRVLHNHVTDQNEAAFRVALTHVDSGKNMTKFERLQLGVKRGNLRLERLINNMMASLSVESSSQVTSPVHAGNGEFLMNLAIGTPPETYSAIMDTGSDLIWTQCKPCTKCFDAPTPVFDPKKSSSFSKVSCSNSLCKALPTSDCGSDGCEYLYSYGDYSSTQGILATETFMFDKVSVPSVGFGCGEDNEGNGFNQGAGLVGLGRGPLSLVSQLKKSTFSYCLTSINDDTSSGNPSSTLVMGSLESQIANDSVFTTPLIKNPSQPSFYYLSLQGISVGNVDLPIKKSTFAINSDGTGGVIIDSGTTITYLEESAFNMVKKEFVSQTNLNVDNSGSTGLDLCFELPSDDGSGEMSIEIPKLVFHFDGASLDLPGENYMIGDTNAGVACLAMGGSSGISIFGNIQQQNMMVVHDLEKETLSFIPTKCDQL >cds-PLY86932.1 pep primary_assembly:Lsat_Salinas_v7:5:264331445:264332645:1 gene:gene-LSAT_5X134981 transcript:rna-gnl|WGS:NBSK|LSAT_5X134981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVMSDAMVMPATESQPVATKLIAPTTEVEFVECDCCGLTEECTPGYIERIRERYQGKWICGLCGEAVKDEIVRNERLISTEEAMARHMNFCRTPISSGPPPDPTIHLIAAMKQILRRSLDSPISVRSMPCSPTTKNTDSAGLARSESCIPRLRLVDSTSCHESEEDREQSNQMQYI >cds-PLY72022.1 pep primary_assembly:Lsat_Salinas_v7:3:194247042:194255272:-1 gene:gene-LSAT_3X116161 transcript:rna-gnl|WGS:NBSK|LSAT_3X116161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPENGNGDALPPPPPVPEDVVPIKAEPEKKKVMRVPMGRRGLATRGNKVQLLTNHFKVNVSNVDGHFFHYSVALFYEDGRPIDGKGIGRKVLDRVHETYGSELAGKEFAYDGEKSLFTVGALPRNKLEFTVVLENVTSNRNNGNASPGSPDNDKKRIRRSYQSKTFKVELSFAAKIPMQAIAQALRGQESENSMEALRVLDIILRQHAAKQGCLLVRQSFFHNDVKNFADVGGGVLGCRGFHSSFRTSQGGLSLNIDVSTTMIIQPGPVVDFLIANQNVKDPYSVDWAKAKRTLKNLRVKTSPTNTEYKITGLSEKPCNQQLFSLRQKSKDENGEFETLEVTVYDYFVNYRKIELRYSGELPCVNVGKPKRPTFFPLELCSLVSLQRYTKALNTMQRSSLVEKSRQKPQERMKVLTDALTLNKYHEEPLLKSCGISISNSFTQVEGRVLAAPKLKVGNGEDFFPRNGRWNFNNKKLVEPTKIERWAVVNFSARCNIQSLVKDLIKCGGLKGIKIDEPFDAFEESPQNRRAPPLVRVEKMFEMIMSKLPGAPQFLLCLLPERKNSDLYGPWKKKNLADFGIVTQCIAPMRVNDQYLTNVLLKINAKLGGLNSMLCVEHSPSLPHVSKAPTIILGMDVSHGSPGQSDIPSIAAVVSSRHWPLISRYRASVRTQSPKVEMIDSLFKKVSDTEDEGIMRELLLDFYTSSAKRKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDDKWNPKFLVIIAQKNHHTKFFQQGSPDNVQPGTVIDNKVCHPRNNDFYMCAHAGMIGTTRPTHYHVLYDEIGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAATQVSQFMKFEDHSETSSSHGGTGVTSAGAVAVPQLPKLQEAVANSMFFC >cds-PLY61787.1 pep primary_assembly:Lsat_Salinas_v7:8:77192383:77196809:-1 gene:gene-LSAT_8X55360 transcript:rna-gnl|WGS:NBSK|LSAT_8X55360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPASGVSSSLDRVPTMMPVQPGMIMPGAFAKDAIISWFRGEFAAANAIIDALCGHLSQLEGGRCEYETVFAAIHQRRLNWIPILQMQKYFSIADVTAELQKVIEEKTRLGGIDKIEEINVSSPVEEKNLEISLECTESNGNGDAEVIDEDFSRDDSPNSEITDTGSQEVHYLAETKEENKELICSNQEQQQKEDWEARRAKIKLTKGFVAKESVKGHMASSFFTVNVVRGLKLYEDILNDTELSKLNDYVNQLRVAGQNGQLSGQTFIKYNQQSKAIKRELIQFGAPIFGQIKDDATTKSQNSHMEPIPAPLENIIDNLIQCHLISENRRPNSCIVSFFDEGEFSQPFLKPPHLEQPISTLLLSESTMGFGRTLVCDNDGNYKGSLMLSLKQGSLLVMRGNSADMARHVMCQSPTKRISVTFFKVRITDTCENTSSAMTVWQPSVPNHVPAGTLEGCDHRTGVVSKWGVGVLRAPQLLMLAPVRPMVMTPRRLPRGGTGVFLPWTVGSRKPAKHLPPRAQRGRLLVAETHRADPTSDLGISVA >cds-PLY68735.1 pep primary_assembly:Lsat_Salinas_v7:3:139881205:139883069:-1 gene:gene-LSAT_3X92080 transcript:rna-gnl|WGS:NBSK|LSAT_3X92080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANSTRRPVLRAHSPSKRFYTSSSSNSFASSTSTFLSRPSLFIQNRSALPTCVNIYRSSPLVSNIRFSLDNRQISSICSISIIPRSNNSNQASKKQLAKPRRMCMCSPTSHPRSFRCNLHKNSNINHTPVSYPSNRLNARRSTMTTKVSIAGMPNQENLVEDLLGDFEYELQVPYLLILGAYSKVPLKPKVNKIEFEELEAVPTSSVTEGPLEPPFTTSSSIYILEDFSFANVFGNTMNLGHHPSNSFNSIPPYHTSTSIPLHSHVASSPSLNHTPLQIPSFPSSTSRIGIPLHETQDQVI >cds-PLY83069.1 pep primary_assembly:Lsat_Salinas_v7:5:117162979:117171696:1 gene:gene-LSAT_5X51481 transcript:rna-gnl|WGS:NBSK|LSAT_5X51481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate, phosphate dikinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G15530) UniProtKB/Swiss-Prot;Acc:O23404] MKSLLGGKGANLAEMASIGLSVPPGLTISTEACNEYQQNGKKLPPGLWDEILEGLQYVQNEMGASLGDPSKPLLLSVRSGAAISMPGMMDTVLNLGLNDEVVAGLAGKSGARFAYDSYRRFLDMFGNVVMGIPHSLFDERLEQLKAEKGVELDTDLTAADLKDLVEQYKNVYVESKGEKFPEDPKKQLELAVNAVFDSWDSPRANKYRSINQITGLKGTAVNIQCMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLINAQGEDVVAGIRTPQDLNTMKDCMPEAYTELVENCDILERHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAVRIAVEMVKEELIDTRTAIMRVEPQHLDQLLHPQFENASGYKDQVVATGLPASPGAAVGQVVFSAEDAETWHAQGKNAILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCADIRVNDDMKVLLIGDKVIKEGEWISLNGSTGEVIMGKQPLAPPAMSSDLETFMAWADQVRRLKVMANADTPNDALTARNNGAQGIGLCRTEHMFFASDERIKAVRKMIMAVTVEQRKTALDLLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGDLQQIVSELTEDTGMTEDQIYSRIENLSEVNPMLGFRGCRLGISYPELTEMQVRAIFQAGVTMSNQGVTVLPEIMVPLVGTPEA >cds-PLY91369.1 pep primary_assembly:Lsat_Salinas_v7:8:45342917:45346958:-1 gene:gene-LSAT_8X34621 transcript:rna-gnl|WGS:NBSK|LSAT_8X34621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWPRLVASKIISKKLATNNFVADFPRSPESCLHLPTSDHDPVAPVILPENQTTQNYNIFVSTWNVGGVTPTEDLNIDHLLDTNNTCCHIYVLGFQEVVPLKASNVLGLEKKNVSTKWNSLIERTLNKKSRTNDLGLKATKSNSESSDLLDDFRCLISKRMVGLLISVWVRTDLYPLFQNSNVSCIGCGIMGCLGNKGSVSVRFQLHQTSFCFVCSHLASGGGEGDERNRNTDATEIFSRTSFPTTKGPKRILDHDRVVLLGDLNYRISLLEKETRSLVNKKAWNRLLEYDQLRKELMDGQFRAWHEGTIDFAPTYKYLPNSDEYFGKNDETKRAPAWCDRIIWTGDELKQILYARSESKLSDHRPVKAIFSTKVKVSR >cds-PLY87960.1 pep primary_assembly:Lsat_Salinas_v7:3:177853448:177854638:1 gene:gene-LSAT_3X107201 transcript:rna-gnl|WGS:NBSK|LSAT_3X107201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLHQEELQFLSASDILKESIAIPKQSPQTFYLITLTLIFPLSFAILAHSLFTHPLITQIQDPYGSHTSQWTKLLVFQFCYLIFLFVFSLLSTAAVVFTVASLYTSKPVSFSYTMSAIPSVFKRLFITFLWVGLTMVAYNIVFLGFIVLLIIAIDTQNLVLFFFSLIIVFLLFLVVHVYITALWHLASVISVLEPVYGFAAMKKSFEILKGRARMASVLVFGYFIICGAINGLFGSIVVHGGEYYYGVFSRIVVGGFLVGVLVIVNLVGLLVQSVFYYVCKSYHHQEIDKNALYDHLGGYLGEYVPLKSSIQMENLE >cds-PLY95483.1 pep primary_assembly:Lsat_Salinas_v7:4:264923731:264927225:1 gene:gene-LSAT_4X137380 transcript:rna-gnl|WGS:NBSK|LSAT_4X137380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGALWHLGAGGGRPACPGPWAGPAANLRFASSSVEPIASKHLFDHPQQLPSLPPIDQLPNDARKRQQEAAGSSLPSLDRHPLPEILPPIDCAVVLLQDNKHIRPRRCITRRPMGPELPSGACPFITCTTFLFSATRRMLAAIPTLLSTTLSTVGSTGGRDITTGTTAVLEPGWLPDAVELPCKGTTRCSGFPR >cds-PLY82765.1 pep primary_assembly:Lsat_Salinas_v7:2:145974037:145975060:-1 gene:gene-LSAT_2X72121 transcript:rna-gnl|WGS:NBSK|LSAT_2X72121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVEVLPKEYGYIVFTIIAYGFVNLYMQIQVGKARKKYKVWYPTLYATEADTKDYKIFNCIQRGHQNSLESLPIFFVFMVLGGIQHPLICSALGLVYSVSRFFYFTGYSSGNPKGRIPIGKYNSVALVGLLLANISFGVNLIRA >cds-PLY68922.1 pep primary_assembly:Lsat_Salinas_v7:2:194093116:194093537:-1 gene:gene-LSAT_2X115341 transcript:rna-gnl|WGS:NBSK|LSAT_2X115341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILLHTRKMNSTPFKTHISVITLALLLLIVCTMAREEKVSSVCKRMKDIGPVCDLEKCKESCKADKYEGGLCKIMDPTNKKKPMCECTRPCASSFHL >cds-PLY88082.1 pep primary_assembly:Lsat_Salinas_v7:6:183451651:183453572:1 gene:gene-LSAT_6X112081 transcript:rna-gnl|WGS:NBSK|LSAT_6X112081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGGIIDWKAHKLQTAEKRKYIIQELKKAREEIPLFKKKSEKAEESKLHVLKELDDTKRLVEELKLNLERAQTEERQAKQDAELAKLRVEEMEQGIADDSSVAAKIQREVAHARHEAAVSEVKTVKKELEDLQNDYELLVSERDVAIKNAKEAVSNSKEIEKQVEGLTIKLMTTKEALESAHAARMEAEEYRTGIGMAREEDTLNWAKELKESESELEKVDRQIVSVEDLKSKLETASVLLHDLKSELAAYMGENHEKEMENNESLNNNSNTRKQMQEAIDVEKINLEEVNKSIELATNEVKSLKQAANSLNSELEKEKSTLIAIKRRQGMASVTVASLECDLNRTISEISLIQKKEKLAREKAIELPKQLQKAAEEADHAKSLARSAHEELQKAKEKADQAKAGAETMRSRLIAAQKEIEAARASERLAVGAITALHETESARKSEGESGVTISLEDYYELTRKAQEAETEANCRVSEAMSKIDLAKESEVKTVNNLEKVNSDIVLKRKQLSIAKEKAEKAKEGKLAVEQELRTWRSESEQRRKAKENGRQKGLVRGKEGKSSESFNNVTGSSREGKTRKKKKKSFFPRFLMFFSKKKGHSNKNNNNNSNNNT >cds-PLY65712.1 pep primary_assembly:Lsat_Salinas_v7:5:274366890:274367252:-1 gene:gene-LSAT_5X145381 transcript:rna-gnl|WGS:NBSK|LSAT_5X145381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEFMKSKTVQTTLAVADALSFYCAITIVALMLTGAFRDDQNFSYSLSTTAGGGGNRXRRPCDEIYVVGEGETLHTISNKCGDPFIVEKNPHIHDPDDVFPGLVIKITPSSSSYVTDVEN >cds-PLY71180.1 pep primary_assembly:Lsat_Salinas_v7:2:131945991:131947584:1 gene:gene-LSAT_2X62420 transcript:rna-gnl|WGS:NBSK|LSAT_2X62420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVLDLFVLALIPVRKTLFITALGLFLAMDRVNILGDAARHHLNNVVFYVFIPTLVGGSLTDKITASSIVSLYAICGDLMLKKIVTTRLSTKARLV >cds-PLY71653.1 pep primary_assembly:Lsat_Salinas_v7:9:134815913:134819599:-1 gene:gene-LSAT_9X86921 transcript:rna-gnl|WGS:NBSK|LSAT_9X86921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYAKCGSLNEARKVVGSGGGGGNGGDSGGSGGGGNSSGGGSDIGSNDSEDWGDGGGSNGGGGWWPKLSRVNQLS >cds-PLY73670.1 pep primary_assembly:Lsat_Salinas_v7:5:205918691:205921195:1 gene:gene-LSAT_5X93820 transcript:rna-gnl|WGS:NBSK|LSAT_5X93820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIAKHYETGETLPEEIYQKLLAARTFRAGTLSLRQLKFATVDLELHSKYVPGGSKSIYDVERRWAEVLSADAFSAFEDAGLNDDKGFRLRLVGHSFGGAIASKKTCDELGFSPDIVIAVGYGTPPCVSRDLADSCSDFVTTVCMQDDIIPRLSVATLMRLRKEILQTD >cds-PLY88376.1 pep primary_assembly:Lsat_Salinas_v7:5:62717635:62720509:-1 gene:gene-LSAT_5X30021 transcript:rna-gnl|WGS:NBSK|LSAT_5X30021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGAITDDYQKLSMFFKSGIYRLQASNAVFMDPVRVLNLSYNHFRVSPSSYYSRFFEPNPSGEEHSRVSKNQRKRKRKQKKPPALNEREQAAEERHQKAKPLLMKAHELLLGANDLLLNLGKLRSDDDSPTSDCEQSMVASDEHSFVELGSVWQAPLFEISLYPHQDYKPTQDEKRNTPAFGSLIANMANCDMEADFLNRHYIIPKQSSFYMSDLKQIHGLIPVKRDCGYNLIVVDPPWENSSAYQKLKYPTLPNRYFLSLPIEKLAHKDGALVALWVTNKEKLRVFIEKDLFPKWGVKYLATHYWLKVKADGSLIGELDLFHHRPYECLVLGYCYPEVEDLDYFSKLKSIPDGQVLISIPGDYSRKPPVGGKPPEAWFS >cds-PLY93791.1 pep primary_assembly:Lsat_Salinas_v7:6:145116774:145117073:-1 gene:gene-LSAT_6X87741 transcript:rna-gnl|WGS:NBSK|LSAT_6X87741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVNIAVPDVDVVPEMEANIEVPLVQDHIEEEIQDEVEHEIEVNIQEEVQDNVEQEIQDNAEIQVRKRTRKSSERITKIMLGKNIGRKEGSNNEHPLEI >cds-PLY95156.1 pep primary_assembly:Lsat_Salinas_v7:1:94416149:94418014:-1 gene:gene-LSAT_1X77721 transcript:rna-gnl|WGS:NBSK|LSAT_1X77721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRERNGGIWDFELGIAPASGGEDLILGLDGGTTSTVCICMPVFPFNDGSLPPDPPPVLARAIAGCSNHNSVGETAARETLEHVMAEALSKSGSTRSSVRAVCLAVSGVNHPTDQERILNWLREIFPNDVRCFVHNDAVAAMASGTMGKLHGCVLIAGTGTITYGYTEDGREARAAGAGPVLGDWGSGYGIAAMALTSVIRAYDGRGPQTKLTSSILQELKLSSPDELIGWTYADSSWARIAALVPVVVSCAEGGDEVANGILLDAVQELAESVKAVVSRLGLCGEDGKDTCPLVMVGGVLEANRKWDIGKEVINRVSKEFPRIHPIRPKVEPAVGAALLAWNFLKEES >cds-PLY68873.1 pep primary_assembly:Lsat_Salinas_v7:3:62866219:62867664:-1 gene:gene-LSAT_3X49560 transcript:rna-gnl|WGS:NBSK|LSAT_3X49560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLLQCHRPWSKDQEIVPESHHDSSSLSSQISLASVSSLTSKQPQITANHQYLTTFKAHTSSISSLTLAGKHLLSGSADNQIRVWPRDPSPTVPKLMAYGESAVKSMVVCGDKLFTGHQDNKIRVWKIDHDDGDTNNQKLIKSTRIATLPTLNDRVGKLFLAKNYVKVRRHKSSTWVHHVDAVAALALSHDGSLLYSASWDRTFKVWRTSDFKCLESVWNAHDDAINAIVVSQDGYVYTGSADRKIKVWKRDDGEKKHKLVATLEKHKSAVNALALSMDGSLLYSGACDRSIIVWKKVPSDDGGDGGESDGKGRHMVVAGALRGHAMAILCIAVVGDLVLTGSADKTVRIWRKGIDGIKFIRVGVLEGHSGPIKCLAGALNSCTSVDSSGTSYLLYSGSLDCDVKVWKVWVPLL >cds-PLY86504.1 pep primary_assembly:Lsat_Salinas_v7:8:134405727:134408302:-1 gene:gene-LSAT_8X91101 transcript:rna-gnl|WGS:NBSK|LSAT_8X91101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKELKDSKWFDVEFVPKDYVFSKEYGPRNLDSPVCDSIPVIDLSKANGHIDPVEAILSASQEFGFFQVINHGIPENKVSDAMSVLKEFFDMPSKETHTKGWIYTNSTDYAKDGVHLWRENLKHPCHPIEDCIHLWPENPTRYQEVISAYLVEIQKLSLRILEMICEGLGLKGGYFEDMSEVQLLSSNIYPPCPDPNLTLGILPHQDPSLITVLYQGDSTGLQVMKDGQWVNVGAIPNAFVVNIGNQLEIISNGRLKSIKHRVVNNTRDTRLSIATFVNPSPDCIIEPAKVLVNEMEPSRYTPKQYKEYVQYNNAFGDYTVAIQNALRSEN >cds-PLY86298.1 pep primary_assembly:Lsat_Salinas_v7:8:58990425:58992300:-1 gene:gene-LSAT_8X42841 transcript:rna-gnl|WGS:NBSK|LSAT_8X42841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPIMLFSIIFFLCSFSCFPLNIGAADSKSIYESFLQCLTLQPPQHVPTFSAIVYSSAFNSTSYTTVLQEYIKNRRFNSTSTPKPVLIITPTKESHVQATIICAKKLGVQIKIRSGGHDYEGISYISSEKDFIVLDMFNFRDVNVNIATETAVVEAGAQLGELYYRIWEKSRVHGFPAGVCPTVGVGGHLSGGGYGTMLRKYGLSVDHVIDARIVDAKGRILNRKTMGEDLFWAIRGGGGGSFGVILSYTVKLVPVPAVNTVFRITKTVAENATDLVYKWQLAVPHIDDRLFIRVLLQPVTVNKLKTGRASFVAHFLGDSDALLAIMNKNFPELGLKKEDCLEVSWVQSVLYWSNFDVNTTAVEILLNRHSDNVNFLKRKSDYVQTPIPKSGMKSIFNKLVELGKIGLVFNPYGGKMNELPADATPFPHRAGNLFKIQYSVNWNDNDPELEKNYLNQSKVLYKFMTKYVSKNPRGAFLNYRDLDIGVMAGTGKNGYNSGKVYGEKYFMGNFNRLVMVKTAVDPDNFFRNEQSIPTLPGKSTGKPRKMTTLT >cds-PLY80377.1 pep primary_assembly:Lsat_Salinas_v7:MU041980.1:170696:174007:1 gene:gene-LSAT_0X21980 transcript:rna-gnl|WGS:NBSK|LSAT_0X21980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSKNSKPKGGKYSQSTSSCSNNQYGGYPSQPSSSTPAYGAQPPKPQKKLEKRYSRIADNYNSLEQVTQALSNAGLESSNLIVGIDFTKSNEWTGSQSFNGKSLHHISDHSLNPYEHAISIIGKTLADFDEDNLIPCYGFGDATTHEKAVFSFYHEDRFCNGFEDVLSRYREIVPHLNLSGPTSFAPVIEQAMAIVDQSGGQYHVLLIIADGQVGHSEEKKTIEAIVKASKLPLSIVLVGVGDGPWGMMKDFDDNIPNRDFDNFQFVNFTEIMSKTYLPSNRKETEFALSALMEIPSQYKATIELHLLGNRGGIFHQRAALPPPVGQPSSSSCNVSKPSPSTTFQNSGCTSNGQTSQNDTLASISKSTNDEQLCPVCLTNMKNMAFGCGHQTCHECGESLQLCPICRASIQTRIKLF >cds-PLY96445.1 pep primary_assembly:Lsat_Salinas_v7:4:344692390:344698348:-1 gene:gene-LSAT_4X170201 transcript:rna-gnl|WGS:NBSK|LSAT_4X170201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAKPQRSPEEIEDIILRKIFLVTLIDSMGNDSRVVYLEMTAAEILSEGGELRLSRDVMERVLVDRLSGNFTSAETPFQYLVGIYRRAYEEGKKIANMKDKTVRAQMELVVNQAKKLAVSYCRIHLGNPDMFADSQRDKSNVSPLLPLIFSEVSSSIDTFGGGSSGGASSPPGFLDELFRDSDYDSMETILKQLYEDLRGTVLKCSALGNFQQPLRALMYLISFPVGAKALVNHQWWIPKGFFINGRAIEMTSILGPFFHISALPDQSFYKSQPDVGEQCFMDSSTRRPADLLSSFATIKSVMNNLYDGLAEILRSLLKNTNTRENVLQYIAEVINKNASRAHIQVDPMSSASSGMFVNLSAVMLRLCEPFLDANSTKKDKIDPKYVFYGSRLDFKELTALHASSEEVTEWLNKNKPNIEENRLLQSQETTSSGQPSQSYSFICECFFMTARVLNLGLLKAFSDFKHLVQDIQRCEDSLATLKTMQEQTPSPRVAQEIARFEKEIETLTQEKLCYEAQILRDGGLLQQALSFYQLMVVWLVSRIGGFKMPLPQSCPMEFACMPEHFVEDVMELLIFASRIPRALDGVKLDDFMNFIIMFMASPEYIRNPYLRAKMVEVLNCWMPRRSGSSSATSTLFEGHQLSVQYLVKNLLKLYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWQVPVHQNAWKQIAKEEEKGVYLNFLNFLINDSIFLLDESLNKILELKELEAEMANTTEWEQRSAQERQERTRLFHSQENIIKIDMKLAMEDVSMLAFTTEQITAPFLLPEMVERVGSMLNYFLLQLVGPQRKSLSLKDPEKYEFRPKQLLKQIVNIYVHLARGDHENIFPSAITKDGRSYNDQLFTEAANVLRRIGEDPRLIQAFDDLGKKARSAASEAMDAEAILGDIPDEFLDPIQYTLMKDPVILPSSRIIVDRPVIQRHLLSDPTDPFNRSHLTPDMLIPDTELKQKIEEFVRSQQRKQEDLSMQSSSKSSIQSPDATRPLID >cds-PLY70425.1 pep primary_assembly:Lsat_Salinas_v7:1:74578365:74580537:1 gene:gene-LSAT_1X63320 transcript:rna-gnl|WGS:NBSK|LSAT_1X63320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPATIPEHQEVISIDNENTTTTQRTFALPVDSENKATKMKVFSVAQPHMRAFHLSWLSFCTCVISTFAAAPLVPIIRDNLNLTRSDISNAGIASVSGSILSRLAMGVICDLVGPRYGCSVINILAAPVVFSVCFVSDAGGYVAVRFMIGFSLATFVSCQYWTSVMFNGKIIGVVNGVSAGWGDLGGGLTQLLMPVLFHVITGMLGTTPFTAWRIAFFIPGWFHLIAGALVLAYGQDLPDGNFAQIYKEGRVAKDKFSKVFRNAISNYRTWIFFLIYGYSMGIQLCLNNVISEYFYDRFGLKLHTAGVVAASFGIANFFTRPFGGYASDLSARKFGMRGRLWTLWITQTLGGVFCIWLGLSNSLPIAILSMMLMAMGAQAACGATYGIIPFVSRRSLGILSGLTGAGGNVGGGLTQLIFFSGVRFSTAWGLTWMGVMTVVLTIPVAFIHFPQWGSMFFPASKNEKYNEEYYYSLEYSEEEREKGLHIGSMKFAENSRAERGKRSVVALTQTPPNTTPNNHV >cds-PLY67607.1 pep primary_assembly:Lsat_Salinas_v7:6:123555875:123557381:-1 gene:gene-LSAT_6X75220 transcript:rna-gnl|WGS:NBSK|LSAT_6X75220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNTQSGIEEDVVLTGQTQISQCLNGVFDAMALRCCVELRIADIISNHNRPTTLSEIATGIDSPSINIDGLERLMKFLVHKKVFNEIPQAEELEGERETLYAMNNCSKWLLSDAHLTLAPIVMSCTDPVMVSPLHVLSRAIKEGGTAFKMTHGEELYSFSLRNPKFNRIFNEDMACAAKINLDAIISSYRNGFLGMKGSVVDVGGGTGVAISEIVKAYPHLKGINFDLQHVISTAPTYDGVTHVAGDMFEAIPPVDTIFMKWILHNWSDDDCAKILTNCRKAIPKETGRVIIVEIVQQPKEDDAFSDTRFILDLSMLAYFSSGKERSEKEWKKLLGDCGFFRYNITKMPSLLSIIEAFP >cds-PLY74877.1 pep primary_assembly:Lsat_Salinas_v7:8:106586692:106588808:1 gene:gene-LSAT_8X72700 transcript:rna-gnl|WGS:NBSK|LSAT_8X72700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCGFMSDKAASTSGRSSSREARRKRMEIRRVKFVAGVPAVVTHNGFKKLRVSVSPDSFSHACDDHHQADVVKANTPVVGSSSGGFYHGESIPKFGVASVCGRRREMEDAVAIHPSFLGIDDDDKLSDVHYFGVYDGHGCSHVAHRCKDRLHMLVKEEFNTEMESREWKNTMERSYSRMDEEVTVWNQEAINGDCKCELHAPESYGVGSTAVVAVVTPEKIIVANCGDSRAVLCRNGKAVPLSTDHKPDRPDELNRIQAAGGRVLYWEGARVCGVLAMSRAIGDNYLKPYVSCEPEVTITDRTSEDDFMILASDGLWDVVSNETACGLAKMCLKGNGPSAEMKSPPMNDASEYENCDRACLDASLLLTKLALARRTMDNVSVVVIDLRNNRQ >cds-PLY73877.1 pep primary_assembly:Lsat_Salinas_v7:3:39917250:39919160:-1 gene:gene-LSAT_3X29761 transcript:rna-gnl|WGS:NBSK|LSAT_3X29761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTNFVLFTLLALPPTTTIPLTPPQNTSGISGKWTLLHDNLGISPMHMQLLHNDKIVMFDRTDFGPSNITLPFNRCRYDPFDPMLQKDCTAHSILYDIQTNTFRPLSIQTDTWCSSGAVLADGTLVQTGGYNDGDHTVRTMVPCDDEACDWIEYHGYLTERRWYSTDQILPDGRVIIIGGRQQNNYEFYPSTLPDYFIPPLNVSSFWLDFFKTDEVISENNLYPFVHLLPDGNLFIFANIRSVVFDYNRNRVVKHLPPIPGDDPRNYPSSGSSILLPLDENTQIEPEVMVCGGAPRDAFLNIKRAREFIRAVPTCGRIKVTNENPAWEIETMPIARTMGDMVILPNGEVIIINGAGSGTAGWGNARDPVTRPVIYHPNGPPNRRFSVMQSASRPRLYNSVAILVTDGRVLVAGSNPNPFYNFTNVEFPSELSLEAFLPPYLAKEYDPLRPEIVSIDVEVMEYRKPLILMFTVLKFLKLSRVTVRIIAPSFTTHSLGMNQRMVVLKDDKNVSMVGESFMKTYSIGVFGPSTAEIAPPGYYLLYLVHAGIPSLGVWVKVQ >cds-PLY69696.1 pep primary_assembly:Lsat_Salinas_v7:5:213999837:214000331:1 gene:gene-LSAT_5X97341 transcript:rna-gnl|WGS:NBSK|LSAT_5X97341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSVTKSIRGCWRRSHGDGGDNNDIGYYYTPASRLEGHEDNDDGDYDYAPAASEGDGDDDDGDYDYAPAA >cds-PLY83181.1 pep primary_assembly:Lsat_Salinas_v7:2:73942467:73942901:-1 gene:gene-LSAT_2X33301 transcript:rna-gnl|WGS:NBSK|LSAT_2X33301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKKAVVLREAELQKEVEIMNTLTQTEKLKAELLSKASVEYETKELYRKQKAAEAILYEKEKQVEAQKAMAEATLYSRQQVADSELYAKQKVMILFSFLFILFVNQLS >cds-PLY94848.1 pep primary_assembly:Lsat_Salinas_v7:2:179136379:179140860:-1 gene:gene-LSAT_2X101121 transcript:rna-gnl|WGS:NBSK|LSAT_2X101121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSGESSDESPVVTKKGKSKTPRKPKASVLKQKSPAEFFAENKNIAGFDNPGKSLYTTVRELVENALDSAESIAELPLVEVTIEEINRSKFNSMIGLIDRERIDEALYGDFETVKAREKRLAKEARFQEVQAKNSALGKKVKEPTAAKGMKGRGEASFYRVTCKDNGRGMPHDDIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEISSSMKNQNYTTFCRLDIDIHRNIPHIHLHEKRDDKDQWHGAEIQVVIEGNWTTYRSKILHYMRQMAVITPYAQFMFRFVADTPDKNVSIRFARRTDVMPAVPLETKYHPSAVDLLLIKRLIGETSKQNLLQFLQHEFVNIGKAHAERLIGEMGPDFSSKTQVNSLTSQQIVRMHQLFRQAKFDDPSGDCLSPAGEYNLRLGIIKELQPEMVATYTASAQVFEGHPFIVEAGVSLGGKDVKQGLNIFRWNSYKINQTQDKIGVFVSIVSTKIPFKGTGKEYIGDDISEIANAVKTSLKQCCVQLKSKIVKKIQAREQQERKRNLSRYINDATGAIYGILKEASQLHGNANKKKRTELKDEDAQLLQKVSDRVITKEILSEKLAQHVEQVDYEMAMEYATQSGVREEPRENIFLQALEPQNNFIDFQTPVFVFRLFH >cds-PLY76877.1 pep primary_assembly:Lsat_Salinas_v7:3:5491008:5493391:-1 gene:gene-LSAT_3X3920 transcript:rna-gnl|WGS:NBSK|LSAT_3X3920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFSKSSIQFRSLKPPIFKINHFSSSQTQTNQLDNVGPMASLLKTRSVVRFRGPDTIKFLQGLLTNDIRKFHEPVGDEKSSLVTPNLPASSARSLYAAMLTPQGRFLYDMFLYEPPRSDEKLDPSGSRPGTEPKQDEVELLADVDSSVLDELVDALKKYRLRSKVDIENVGESLCCWQRYGVDLHKRQPSSSSLEDPESASVGWGGAMDPTGSSSSQGNSNGWQWHKDPRLDCLGFRGIFPSNTTPPMVEANTETEEENYLLWRLEKGVAEGSIEIPKGEAIPLEYNLAGLNAISFEKGCYIGQELIARSHHRGVIRKRLLPLRFLDQSGKEVVQKVAPSSEVMGMKSGKKVGTVTTAVGSRGLGLIRLEEAFKGSGNLVVKGEEEEDVKVEVVRPEWWPREWFLEHQQAAR >cds-PLY63950.1 pep primary_assembly:Lsat_Salinas_v7:4:77073787:77074202:-1 gene:gene-LSAT_4X52201 transcript:rna-gnl|WGS:NBSK|LSAT_4X52201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METTKSGRVVRSMNDMLKNEMIEYGEEEEEEGGEEEDDDENFGEGSRKKKVMRRRGSGSGGGSVQPCCQVEGCTADMSNCKTYHRRHKVCELHSKAPVVITGGYQQRFCQQCSR >cds-PLY68761.1 pep primary_assembly:Lsat_Salinas_v7:2:211469509:211470865:-1 gene:gene-LSAT_2X131480 transcript:rna-gnl|WGS:NBSK|LSAT_2X131480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGEWDLHAVVRSCATTTTADVKNTTVEDYDDGSYNLESLASGNEERNTFVYPSFANVSGGLEDVYKAGCGQPAGAKPATSAAIDLDIVEGFVHEQLPMLTDSHSFSMHTMSSQSTRTRKRKNQEKRVFQLTQEELSNDAWAWRKYGQKPIKGSPFPRCSTTKACGAKKQVERSPVDATIFIVSYSGEHIHPRPTHRSSLAGSTRSNKFNTDKPPVSGNTSSAVLEHPPCSSSSPAYASNFSPTTSSKEDECEINEENEYDEDILIPNTVMNDEILKRFRDLSGGGGSSGGGGNF >cds-PLY81358.1 pep primary_assembly:Lsat_Salinas_v7:4:36451788:36462146:1 gene:gene-LSAT_4X22781 transcript:rna-gnl|WGS:NBSK|LSAT_4X22781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGVAFTQIDTFHWLLAPVFRSVMMVDGSKLLVLFNRLGIPNLVSLEVYQEIEKYFHLLIQSDHQIQGL >cds-PLY79515.1 pep primary_assembly:Lsat_Salinas_v7:1:34045435:34047098:-1 gene:gene-LSAT_1X32740 transcript:rna-gnl|WGS:NBSK|LSAT_1X32740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWIGQLSKNLKELRVLFCQTSPGSSSARAFVEKNYKELKKANPKLPILIRECSGTEPQLWARYDMGVERGIRLEGMSEDQISKALQDLAKGGSSLKS >cds-PLY82586.1 pep primary_assembly:Lsat_Salinas_v7:2:184363627:184369194:1 gene:gene-LSAT_2X107580 transcript:rna-gnl|WGS:NBSK|LSAT_2X107580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNKQSRGKQQIPRKKCNEKNIKVAANSNVRKKRKQPQQLNSKSGLRLVDDLLPPDYILRKVFRKDGPPLGVEFDNLPSHAFRFCNVKDSGMSHRACQEKQRAFKRQKTLKPTNVEDQTTPLDSAPVKHGMGKGLMTVWRLTNQETNPVKKHGVGKGLMTIQRLINPGSGNLPTCVDNGHGACSQFPPFTSQKPPIQLKKKSRKQQPVTRRLANKLQEKKSSTRSRKVRCEQVSKEKQQGREICEIALNEGRNEEDPSQYAMLEDDEELELRELQATSNPLTCCAHCSANRLHGCSLCKDLLAKFPPNSIRMKQPLHVQPWDSSPLLVKKLFKIFHFISTYAVIIGIQSFTLDELAQAFVDKDSLLLGKLNVALLKLLLTGVEKELESGYLSHIIKNWKYRGLLQSVEHQESVLKLWKRSLNSLTWIEILRQVLVSAGFGSKRDMSSKEPLNKEAALMASYGLSPGTLKGEIFTILSSKGNNGMKISELATFPSIIGLKLATTSDDIEQLISSMLSSDITLFEKISSSAYRVRSNSIVKDTEDDQSDLEDCGSVDDNPNDSGALMSSENGQKNIKSKDNILTVTSEIDESHPGEMWLSGLMEGEYSDLNIEEKLNALLALIDLLKAGSSIRIEEPVSSSSSSSLSGVQCVPPNGYHIGSGAKIKRSTSKQLENEFNQLIHSEKDEMQDMQPVDSSAIISLIQNATEIEVINDLHPMQSIFLGSDRRYNRYWLFVGPCTTCDPGHKRIYFESSEDGHWEVIETEEALANLLSCLDQRGRREGHLLSSLEKRAALLYESMSSDARVGHGQPALSSESERSISRDDSSSAVSDVDNLLGVLNIDNNNLVSTSTVGNREQAKQKWCRLQQFDVWLWDSFHSVLNAVKHGKKSFLDSLARCERCHDLFWRDEKHCRICHTTFEVDFDLEERYAIHAATCRDESAPDMFPKHKVLSSQLQSLKAAAYTLESCMPEGAMVGAWTKCAHNLWVKRLRRTSTLVELLQVLGDFVGAINKGWLYGSDGDNVITSFGCMPQTSSAVALWLVKLDLLIAPHLQNVEAEKTTTRNRSKGKDIDTLVK >cds-PLY95667.1 pep primary_assembly:Lsat_Salinas_v7:2:111533942:111534776:1 gene:gene-LSAT_2X50840 transcript:rna-gnl|WGS:NBSK|LSAT_2X50840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSEDHQVFDGWMKEIEGGKANATNYKKIIQKSEQVDMNFKLGFIALFVNTFAESIPMGTNNLVPVRALVEVDDISKIDWCAYLLYCVKISKGRWRPYNPKCYYRGSVLLMLLIYCDEIECELQKIVRKTPLVTMWTTDKLKERQSFEIEAGGFGVGNLIEQSSNLERDKNENQDTRIEEYEEKYETIFNNVSTEKDNMEDIILHCLSKFSEDNRTKEMR >cds-PLY69241.1 pep primary_assembly:Lsat_Salinas_v7:2:128534154:128535686:-1 gene:gene-LSAT_2X60241 transcript:rna-gnl|WGS:NBSK|LSAT_2X60241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM22-1 [Source:Projected from Arabidopsis thaliana (AT3G10110) UniProtKB/Swiss-Prot;Acc:A2RVP7] MSEDSSTSPPPPPPPPAANTNEAEKSTIEPIRMPTIEEVRGQDIWNNCAVRSVASGVMGGGLGLAMGLFLGALDNPIMQDEMSGRQQFIYTAKQMGRRSWGSCKAFAVMGFIFSAAECARAKHDMTNTVAAGCVTGGAISAKGGPKAACVGCAGFAAFSVVIEKFLDRHDS >cds-PLY80506.1 pep primary_assembly:Lsat_Salinas_v7:2:136532731:136535675:-1 gene:gene-LSAT_2X67520 transcript:rna-gnl|WGS:NBSK|LSAT_2X67520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA excision repair protein ERCC-1 [Source:Projected from Arabidopsis thaliana (AT3G05210) UniProtKB/Swiss-Prot;Acc:Q9MA98] MEEKDNQNPNNSSSSSFVIKIPSYEEVIQSSQSKTPPQSLFNPSQSFSQAFNSIKNTEFYAPPPPPSSSSIPRGIQPSGVDATPSSPSPPVATARSTGASSSSTPSRNAILVSHRQKGNPLLKHIRNVRWAFADVVSDYVLGQQSCALYLSIRYHLLHPDYLYFRIRELGKNFKLRVVLCHIDVEDVVKPLLEVTRTALLHDCTLLCGWSLEECGRYLETIKVYENKPADFIQGQMDTDYLSRLSHALTSVRHVNKTDVVTLGSTFGSLSHIMDASMEDLARCPGIGERKVKRLYDTFHEPFKRTVSKQHPPPEASAQPFTPSEAQEDEKVGSSSKRDKKEANLSVKSALSAAFAKYKEKVSTEK >cds-PLY69827.1 pep primary_assembly:Lsat_Salinas_v7:6:4354367:4354858:1 gene:gene-LSAT_6X2961 transcript:rna-gnl|WGS:NBSK|LSAT_6X2961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLTLYLGIERGNIACHKSEARFGTLLREGRLASRAFRDEAFWRSQVNFGPPNPATDEKALWYGVKGSVYVVTLPAFQRCLEDGPDAAERRPGSGFPTGGGGRRRPSQGTSRPTGNTGETWEGNPIGSQRIHSTCSLPDFIFIIFESGGKGSFFCNGKKTEQI >cds-PLY66896.1 pep primary_assembly:Lsat_Salinas_v7:7:21203272:21208086:1 gene:gene-LSAT_7X17321 transcript:rna-gnl|WGS:NBSK|LSAT_7X17321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGASFLSSRSIGYRPAPNDSVVGLPSNSSQKSLTPILDRFKLLLKEREEEIRVSSGGDDDIEIPLLSTEEIVELYEVVLSELVINSKPIITDLTIIAGEQREHGAGIADAICARIIEVPVEQKLPSLYLLDSIVKNIGRDYVRHFSARLPEVYCAAYRQVHPSLHPSMRHLFGTWSTVFPASVLRKIESQLQFSPSPSYQSSGLKDAESPKPAHGIHVNPKYLEARRQLESSSTDTKLQHARPISTPKILGQPSTAFDEYETDNGQSIGSTSQLGHTPFGHGHTRPPSPALEDFPMIDSPKRVVEVASPSPSHHGYGYRPPSGSGSGTHGVAVSNGFDVQRPRALISAYGTDERNKMINQNHQHGKNLNTNGLGSKGGVLTWQNAEEEEFEWEDMSPTLAGSSKRGQMVGPNRTGFGRGDWSSQERVPSVASNNAVSLSDRGLKRKMTGFQNEASDIPVSRYSQEPLNLSHEQQWAPQHHYNPRGQRPPLIDTYPIPNPQHHLSSAPRLNLSSPGIMNPELSIPTSKPAWRPSIPFQKHVRSPFDMLNPSNSVNDNTSFLHNQPFDGSETQLLPKLRNQQFQTQIEVRPPALGFNPSHLTARPMIRGYTPQRFDSNHSMNPVPGMQSSIPFHLHGVGLPPLPPGPPPLHQPLPSNTSIPPAGGALSGLFSSLMAQGLLSLTKPTPEQDSVGLEFDPDVLKTRHESAITALYTDLPRQCKTCGLRFKSQEEHSNHMDWHVTKNRVSKNRKQKPSQKWFANVSVWLSSAEALGTDPVPGFLIPSENVIEKKDDDDVAVPADEDQNACALCGEPFDDFYSDETEEWMYRGAVYMNGQSGSTVGMDRSQLGPIVHAKCRSESTVGPPDDSGSNGRGLMEEGMRHVG >cds-PLY96101.1 pep primary_assembly:Lsat_Salinas_v7:3:102288883:102291130:-1 gene:gene-LSAT_3X75020 transcript:rna-gnl|WGS:NBSK|LSAT_3X75020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQAAHWQWENAAAGSVAGFTTVTFSHPLDVVRTRFQVNDGQNPHLPSYKNTRQALFTIARSEGLRGLYGGFYPAVLGSTISWGLYFFFYSKAKQRYLKNRDELTPGLHLASAAEAGGLVCFLTNPIWLVKTRLQLQTPQHLTQPYSGFHDALKTVVKDEGWKALYKGLAPGLILQVSHGAIQFTAYEELRKFLVNSRFEQSVLISNSADLLTTIDYATLGASSKLAAILVTYPFQVIRSRLQQRPGIDGIPRYVDSWHVVKKTAKLEGVRGFYKGITANLLKNLPSASLTFVVYENILNMLTLPRAD >cds-PLY68074.1 pep primary_assembly:Lsat_Salinas_v7:5:293733902:293736547:-1 gene:gene-LSAT_7X62281 transcript:rna-gnl|WGS:NBSK|LSAT_7X62281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSLHTVFLCKEEALNLYARSPCNERFHRSGQPPTNIIMMKIRSNNGYFNSFRLFTSYKTSSFSDSSHCKDKSQICSIDTSFEEIQRFDLKRGMTLILEKQWRQFIQLAIVLVCTFVIVPRVDAVDALKTCACLLKECRIELAKCIANPSCAANVACLQTCNNRPDETECQIKCGDLFENSVVDQFNECAVSRKKCVPRKSDVGEFPVPDRNAVVQNFNMKDFSGKWYITSGLNPTFDAFDCQLHEFHMENDKLVGNLTWRIKTLDGGFFTRSAVQTFVQDPDLPGALYNHDNEFLHYQDDWYILSSQIENKPDDYIFVYYRGRNDAWDGYGGSVIYTRSPTLPESIIPNLQKAAKSVGRDFNNFITTDNSCGPEPPLVERLEKTAEEGEKLLIKEAVEIEEEVEKEVEKVRDTEMTLFQRLLEGFKELQQDEENFVRELSKEEKEILNELQMEATEVEKLFGRALPIRKLR >cds-PLY79242.1 pep primary_assembly:Lsat_Salinas_v7:9:182517460:182521871:1 gene:gene-LSAT_9X112541 transcript:rna-gnl|WGS:NBSK|LSAT_9X112541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSFLQQQFQDLKHFNLKEIKTITGNFDDKKFIGEGGFGKVYAGVLSDSEGQIRVAFKRLSSKCGQGNPEFLKEIFMLSRYIHDNLISLVGFCDEDGEKILVYEHASNGSLDCHLSSMSLTWTQRLKICLGAARGLCYLHDPKETQQRVLHRDIKSSNILLDENWNAKVSDMGLSKIGPAGQQQSFLATNVVGTFWYIDPMYMETSILTKESDVYSFGVVLFEVLCGSLCFERNVNNNHFQSLVRRWKKSYKEKKLDEIIFHNLKQGMNMRSLETFSQIAYQCLMKSRVQRPKMCHVVEKLEIALQFQQGITNPEEFKEMVKSLVYKEELEMLLSSGIIINEGNTWFSLNKNGKHCEMISAECFGASFVEPPHDYVPYYGKNKSRFEVCNRRGLFGNFTAHVTTQFLSPNIIYKVNLVFMNVLKRKDNLGIKYKSGSKTNYMVLFVAHEREDGWLTAELYQYFVNDTGNVDLEIEFHCPNAIEVEGIEFLPLEKHKLLDVDLQTDTDWGPKLPCDYEDIIKWSKNFMSNLSLGLRSESDSLQALMFIQQLCIKNLSSKHIIRNADLIPMIVEMLKSTSSEVRCRSLETLRVVVEDDNDNKEIMADGVRVRSIVKFLSDEHSQEREEAFSLLYELSKSEALCEKIGSVNGAILILVGMTDNKSENISIVEKADKILKNLEKNENNVRQMAENGRLQPFLTLLLQGSLEIKLRMASYLGELSNNTKVFVARSAGLSLINLMKTGDTQSREAALKALNQVSSCETSAKVLVEQGILSPLIQDLFSDLPMRLKEVSATILANIVTCDCDCFSITVGPNYQTLLSEDMLDSLLRLISNSGSSIIEYELVQVLIVITNSPVAVIPVVNAIKSSGATMVLLQLIEAPHKDLRMASIKLLHNLSLHISQELANCLYGSAGQLSSLFKVISENIANTEEKAAAIGIVANLPEQDRLTRKMLIEGDFEIVVCGTKMITQGKTRRIRFMALYLEGLVSVLSRITFVLSDVRKAISFCRQHELAALFSKLVQENWPDKVQMASALALESLSRQSKNLTKLPLNPPPKFHMSGLLRLSKKPVMSGMCRVHRGVCTQRDTFCLLEGECLARLVNLLHHKNEKVVKASLAALSTLLNDGVYIEEGVSVLREAEGIKPILNVLLENQNESLRGGAVWMVERLLRTKDIKYQVSGNPNVSTALLDAFQHGDYQTRQIAERALNHIGKIPNFYGIYPNMG >cds-PLY89373.1 pep primary_assembly:Lsat_Salinas_v7:4:122812018:122812711:-1 gene:gene-LSAT_4X77541 transcript:rna-gnl|WGS:NBSK|LSAT_4X77541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIFTKVQHTQVTQLSPKILKSEGVPVYRASQCCGEFIVTFPRAYHAGFSCGFNCVEAVNVAPVDWLEHGQGVVEVYSQQRQKTSISHKSLLAKESIMAL >cds-PLY98032.1 pep primary_assembly:Lsat_Salinas_v7:8:43589206:43589710:1 gene:gene-LSAT_8X34061 transcript:rna-gnl|WGS:NBSK|LSAT_8X34061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYEEYTGKALSCYELFMFTRTNDCDRKTFQVDKAKEVHDLFVSRRADLALLGEEVPENELFYTAVGGHDRKKKVYGLGSYGMSIFREKSSQRCTSPDTSFEKHHLETKIQKLEETIDQQRMELDDVRNMVTDMRSTNNH >cds-PLY80398.1 pep primary_assembly:Lsat_Salinas_v7:3:80602017:80602217:1 gene:gene-LSAT_3X62820 transcript:rna-gnl|WGS:NBSK|LSAT_3X62820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFGKKDRAGTGEEDGDLNQDDFVDLPNEDDQNVEEAEVPVGSQNRKQSSGAHHNNRYMILPILSL >cds-PLY82415.1 pep primary_assembly:Lsat_Salinas_v7:2:188603665:188605699:-1 gene:gene-LSAT_2X111060 transcript:rna-gnl|WGS:NBSK|LSAT_2X111060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSSGATRKADTKLAVKKTAAKGKAAKDPNKPKRPASAFFVFMEDFRKQFKEDHPDNKSVAAVGKAGGAKWRSMSDSEKAPFQAKADKRKKEYEKNLDTYNKKLAGGGNDDDDEDSDKSKSEVNDEADEDESDDFFLLDLCRLFYDDSRPLIRIIGDRFPWQVKSAILSTLTIIIAKGGMALKPFLLQPQTTFVKFLQDNPRSVRSSAALALGKLSALSTRVDPLVRGLLSNILASDGGVREAILVALKGVVKHAGKSVSGPVKTRVFDLSNELI >cds-PLY72591.1 pep primary_assembly:Lsat_Salinas_v7:3:198495052:198499153:-1 gene:gene-LSAT_3X118301 transcript:rna-gnl|WGS:NBSK|LSAT_3X118301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQSKDELLYQQVGYGNSEGIKSLRNEGAGLEWMDKEGKTPLILASMNPQLYDVAKTLIELGANVNGYRPGRHAGTPLHHAAKRGLEQMVKLLLSHGANALVMNDDCQTALDVARVKGYSNVVRAIENHICLFSGWLHELYGPGFLELLAPQLLSRKVWVVILPCGARKLTKPFKLELAIYSSLQDAKPRALIPLWKANMDEPNFNQPDPTVVLTSSNKSRIKLAPVNENEKQNLQRFCNACKGIPQVMHPSFPFNNQQSAIGPPQTTPMDTESPLAMTTTTATTTTLQSGSVVPPTPTPTAPTTYPDSTPTNKKNKWENNDEATTSTSYHPHTEYNTYSQIVPTAPPMVPSAPPLSDMVDDDGPIHYPSIDSVSAPSEKGKESNNDSSTCVICLDAPVEGACIPCGHMAGCMSCLTEVKGKNWGCPVCRAKIDQVVRLYAV >cds-PLY76157.1 pep primary_assembly:Lsat_Salinas_v7:4:51599569:51602277:-1 gene:gene-LSAT_4X35281 transcript:rna-gnl|WGS:NBSK|LSAT_4X35281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIVLLLLLLPFSVSSHGCPPEKCGSFDSIPYPFHLNNTSDCGEYLLSDAFHLSCSNSTSLFLTIGSYRYQVLHFFPDGGGILVDFPNDTFSYSSLCRRYYDLRSFGFQTNDYFGISSDNMVGLYGCGDSSLCRSDCGGCHDENTTTTFASSGCCYLLSDERGGVWRVGDGFSVFLELGCKGFACWIGSSSKNDVVKHGIKLEWAIPSNLIHGVCDVNARIVNVSSVNLGMRCKCFDGFVGDGYAKGMGCLKACLKDGQEAYGKACYAKRHDRSKLMLVAGILALCLSIATLGALFCMLKRQTITKSGNLDLDQMRSQSSVSFHKGRRTRLFTHSELEEATKGFSDDQKLVNMGERGTIYAGILTDGLEVAVHKVHCTTETDLIQVLSRVKIFSEVSHMNMARILGCSIDSGYTPLVVYEYPGNKTLEQHLCQGGVDGNLGLDWHTRLTIAAQLSSMLAYLQSEIFPPVFHHGLQSGCVLLDHDLSVKLVGFELFNCGSNGSTPFSSKNDVYGLGVVLLEIMVGSKSVELAVGKIRNGKVEEVVDPRLYYHEQPVYRKEQMSIMADVATRCLLFGGDGRLGMNDVARELVHVTKESIDNIGSRRIPAGLEETFSNSSLLQMISLSPDSIYVP >cds-PLY94749.1 pep primary_assembly:Lsat_Salinas_v7:2:178080306:178086017:1 gene:gene-LSAT_2X97601 transcript:rna-gnl|WGS:NBSK|LSAT_2X97601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETPKKLRQTTQRALNSSPKTPIPQTPQTLASPRRCSRFISSPLQPGQTTSPANTPKSSVNRKTNVLKKKLDSDDSIKDDDEFSPVSPDRTETKKRKSRFENVAVEIKAKVSRNDKQKGKKKDSTKPKRRVYYKKVSYDGGLFSVGDDVYVKRREDASSDDDDPEIEECRVCFKAGRLLMIECDDCLGGFHLKCLKPPLKTVPEGDWICAYCEAKKLGKKVELPAALKGKRRRRTAKEKLLSSDLWAVRIESLWKDVDGSYWFRGQWYMIPEETSAGRQPHNLKRELYRTNDFADNEMETILRHCYVMSPNEYAKAGNEGDDVFLCEYEYDVKWHSFKRIAEIANNEEDDEEAEHDEDWSCDEDSDSETDDDMEYEKEDTYKSTPTHKMAAANSRKGCTFGLQKIGTKRIPEHVRSHKQTELEKAKATLLLATLPKSLPCRNKEMEEISTFIKGAICDDQCLGRCLYIHGVPGTGKTMSVLSVMRGLRSEVDAGITKPYSFVEINGLKLATPENIYRVIYEALTGHRVSWKKALNLLNDRFTNGVKSSKDDDRPCILLIDELDLLVTRNQSVLYNILDWPTKPNSKLIIIGIANTMDLPEKLLPRISSRMGIQRLCFAPYNYMQLQEIIGCRLKGIDAFEKQAIEFASRKVAAVSGDARRALEICRRAAELADYRVKNSISIPKAGKALVGMADVEAAIQEMFQAPHIQVMRNCSKLSKVFLAAMVHELYKTGMSETTFEKLAQTVSCLCTSNGITFPGWDTLLRIGCKLGESRIVLCESGASHRLQKLQLNFPSDDVSFALKDSNELPWLTKYM >cds-PLY96528.1 pep primary_assembly:Lsat_Salinas_v7:5:337176293:337178534:1 gene:gene-LSAT_5X188920 transcript:rna-gnl|WGS:NBSK|LSAT_5X188920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHSFPEEVLEHVFSFITSHKDRNVVSLVCKSWYEIERWCRRSIFIGNCYAVSPKMMIRRFPEVRSVELKGKPHFADFNLVPDGWGGYFYPWISEMSRAYPWLEEIKLKRMVVTDEGLELISKSFKNFKVLILSSCEGFSTDGLAAIAAHCRNLKELDLRESEVEDVSGHWLSHFPETCTSLESLNMACLGSEVSFSALQRLVSRSPNLKTLRLNRTVPLEKLSTLLRRAPQLLQMGTGAYSAEPRSDLYSNLLDAFSKCKNLNELSGFWDVVPSYLPAFYPVCSRLTSLNLSYATIQSPEITKIVTQCPNLQRLWVLDYIEDTGLNALSLSCKELRELRVFPSDPFVVDANVSLTEEGLVAVSQGCRNLQSVLYFCRQMTNSALTTIARNRPNLTCFRLCILEPRAPDYLTLEPLDTGFGAIVEHCKGLERLSLSGLLTDRVFEYIGTRGKKLEMLSIAFAGDSDLGLHHVLSGCESLRKLEIRDCPFGDKALLANVSKLETMRSLWMSSCLVSLGACKLLSQKMPRLNVEVIDERGDLDSMLDAYPVEKLYIYRTVAGPRFDMPSFIRTMAVDQQPNR >cds-PLY87505.1 pep primary_assembly:Lsat_Salinas_v7:8:96967940:96969026:-1 gene:gene-LSAT_8X68001 transcript:rna-gnl|WGS:NBSK|LSAT_8X68001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLDDMEREKPIRFTSQQLRTATENFNILLGSGGFGTVYKGVVSNDIAVAVKVLKGTSDKRIEEQFMAEYMVNGSLDNHLFKANTGVIGFEQLYEVALGTARGVAYLHEECPHRIVHYDIKPGNILLDSKFCAKVADFGLAKLCNRDNTHITMTGGRGTPGYAAPELWLALPVTHKCDVYSFGMLLFEIIGRRRNMDERLGDSQQWFPLWVWRKYEKKELKDLMVVCGIEEKNHEAAERILKVAFCCVQYKPETRPGMSIVVKMLEGALLVPETVNLFSHLFFGVDEADSSLARLAWNDGGSDWSSSDVKVAADTPLMRIHEITMASV >cds-PLY99223.1 pep primary_assembly:Lsat_Salinas_v7:6:185241136:185246255:-1 gene:gene-LSAT_6X113481 transcript:rna-gnl|WGS:NBSK|LSAT_6X113481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNISLKANPQSKTTSLKDSKVDMQIETQKSRKSGEGSGKATKMALQQEVEKLKKRLKHEQNVHSALERALTRPLGALPRLPPYLPPLTSELLAEVAVLEEEVVRLENQMVQFRQDLYEEAIYVSFFKRNLENLADFQENCHARDRKIETNVSTPITQKSPNPKTKRVKALLKRSLHKHISAEKCPSPQKQRFEGKGIEGGDVGKSSFHIQEKGILGDDSPNKISESFLKCLMFVFARMGSTSPLRMAEMLPSLEPCENPWAMDFKDPYCIFYEFENTDIGPYKYLYEVEATTINKNHTTISTFLAQRLKVLQEKLEFVNLTSLTHQEKLAFWINTYNSCMMNAFLDHGIPETPERIVELMQKATINVGGHLLNAFSIEHFILRLPYHANHSFKKGFKINEETARSIFGLELSEPLVTFALSCGSWSSPAVRVYSGSEVEKELEVAKRDYLQAAVGISTSKKLLSIPKLLDWYMLDFAKDMESFLDWVCLQLPSDISQVAIKCVEKTFSEPISNYVKVSAYEFRFRYLLYK >cds-PLY84267.1 pep primary_assembly:Lsat_Salinas_v7:8:115239133:115241314:1 gene:gene-LSAT_8X80000 transcript:rna-gnl|WGS:NBSK|LSAT_8X80000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKKSAVHEENIEEDEPNQENLGESSSSSNDKSLYEILGVERTASQQEIKKAYHKLALRLHPDKNPNDEDAKEKFQQLQKVISILGDEEKRALYDQTGCVDDAELAGDVVQNLKDFFRSMYKKVTEADIEEFESNYRGSDSEKNDLIDLYKKYKGHMNRLFCSMLCSDPKLDSHRFKDILDEAISSGDLKLTKAYQKWAKQVSETKPPTDPLRRRGKSKKESDDLYAIISQRQSERKGRLDAMFSSLVGKYGGGGESSEPTEEEFEAARVKLEKRKGSKKSKKSNK >cds-PLY76307.1 pep primary_assembly:Lsat_Salinas_v7:MU042829.1:128156:131920:1 gene:gene-LSAT_0X23641 transcript:rna-gnl|WGS:NBSK|LSAT_0X23641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVSAVITVLCEKLISGELMKLARFEGIDSQLKKWKKNLPLIQAVLADATQKQIKERAVQLWVNDLQDLAYNIDDVLDDLATEALRRKLNKEAHSSTSTSKVLKFVPNCCTNFTPRNIMYGQQMSSKLEEITTKLHDLVDQRNNLGLNVNVERSNIIERRLEQTSLVDESKIMGREGDKEALLGKLLGNEECDENVSIVAIVGMGGIGKTTLAKVLYNEEKVKDHFEVKAWVCVSEECDVFNISKAIFQAVTGQNKDFANLDLLHVTLKEELSKKRFLFVLDDVWNEDHSKWELLQSPLLVGAPGSKIIVTTRSTRVASVMDSQQTYPLGVLSNEDALSLFAQHALGEKNFDKHPTLKLLGEGMVQKCGRLPLALKALGRILKGNRNVNEWEELLKSKIWDIDDGNKILPALKLSYYHLPPHLKQLFAYCSLIPKDYVFDKNKLVLLWVAEGFLSQSKGNKSMENLGHEYFEELKSRSFFQQPTNGQLGYTMHDLINDLATSVAGEFSFRLDGEVDVSDMNEAFDKFRHFSLVGPRSGSYRKLDELQRAKHLRTFLVMSVGLENYHFLDKVLLELQFLRVLCVVGLNHMYQGSQIITKVPESIGSLKHLRYLNFSCTKITCLPEQVSNLYNLQSLLLQNCFILCSLPESFAKLINLRHLDVSNTPKLNRMPLGISGLTSLQTLSKVIIDEDNRFKISDLKDLTDLQGWLTIKGLDKVINPIQAKDANLHQKKGLDVLVMDWGKEFNDSRDEMIEYEVLKELRPPPKLENLNILNNKGTRFPSWIGDPSFDQLTELILCGCRSTHLPTLGCLRSLKKLIVERMNEVKTVGFELLASTSSFHSIAFPSLEFLKFNDMQGWQMWSFNRGDDHRTPRSFPRLHEICLSSCPELDEVSIGLIPSLRVLSIENCSEAVLRSMVGLSPSLIELKMLNVRGLTQLHGEVLMHLRALEQLYIKNCDELKYLWEQESEACKSLVSLQKLEVCYCKNLVSSAEKEVNIGISMESLKSVKFQNCETLESYNCTNTVERLLISSCDSMTSLTFSAVQEHPSPLTESIVGDCDNIQLQPKPIPVEDFRFLSMSRLIYLHILDCKNLKSFPHEHFQILTSLEVMFMYGCPSMDYSFPCGVWPPNLSQLTVGLLNKPMSEWGPQSFPTSLVELWLFGENSGVVSFAVADDMTNTTTPSSSSSSSSSSFLLPPSLVFLTLESFEDLESFSEVLPHLPCLKRLDIVSCPMITDLKTTSSPSNLTIYVGK >cds-PLY65407.1 pep primary_assembly:Lsat_Salinas_v7:1:61152005:61153132:-1 gene:gene-LSAT_1X52340 transcript:rna-gnl|WGS:NBSK|LSAT_1X52340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPHRRVKKRRYSHKTFRRDKFLRSFDSFLQANYIISVFLGFIFLDFIILRDDAVYDELKKPEDEKVALPLDEDLPGMGQYYCLHCDRYFANITVRDDHFKTKKHKKRLKIMAGPAPHTQLDADLAAGMGMPDNGPKLMSM >cds-PLY70709.1 pep primary_assembly:Lsat_Salinas_v7:8:166259755:166260093:1 gene:gene-LSAT_8X108200 transcript:rna-gnl|WGS:NBSK|LSAT_8X108200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFHEDIEIDDFEVGEGITEYRPMMQLGIVDDRTMGKLSAFQVCLECKCCMNVSDPTTCSNMPCCFGIDCQLPNKPFGVCGFVPRTCNCTSCGSS >cds-PLY77767.1 pep primary_assembly:Lsat_Salinas_v7:2:170133344:170136088:1 gene:gene-LSAT_2X91301 transcript:rna-gnl|WGS:NBSK|LSAT_2X91301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSWLVDGRSIARKVKTSSLPLVHQIKDCGANRECPQCHYKIDNSNVSVEWPGFPLGVKFEPTDVELLEHLAAKCGVGNKKPHQFIDEFIPTIDVDEGICCKHPENLPGATKDGNSVHFFYRNTNAYTTGQRKRRKISKESGLTNDVRWHKTGKTKGVIQNGAQIGCKKIMVLYGTAGGGSKPYKLNWVMHQYHLGTIEDEKEGQYVVSKIFYQPQKQENHEESDVWMSLTSPRTPNTGAPDPPRAGKSVACDDVTTGYVLESPPQPPQESELCDEQKYLPSCSNAEGKENLELSIWEDDSQAVDLDDLDDFGDSLFCKENLDIHALQSCSNVDTNVGASSGVGDLENLDLGTPPDFNLAGLQFSSQDSIFDWLERL >cds-PLY84163.1 pep primary_assembly:Lsat_Salinas_v7:4:370129419:370129724:-1 gene:gene-LSAT_4X181940 transcript:rna-gnl|WGS:NBSK|LSAT_4X181940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACTGEGYNVNHGVSIPLPVLSSKIINARTNDGDMHLTQSMKNWVVNRYVVETYDSKEEDDEGNNENGKVDVDGEEDANDEEDESDVDMVEASVKGMTNSP >cds-PLY83316.1 pep primary_assembly:Lsat_Salinas_v7:1:62162703:62168772:-1 gene:gene-LSAT_1X53261 transcript:rna-gnl|WGS:NBSK|LSAT_1X53261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METTDTMIIKQQSNMTQRLLPAVLPVLFIAISYIDPGKWVATIEGGARFGYDLIILMFIFSLAAVLCHYLSASIAIVTGKDLAQICSMEYDMATCVFLGIQAELSMIALDLSMILGTAHALNLTFGIGLFSCVLLTSIDAFLFPIFSSYLETGKAKFICIRLATVALLSYVFGVLMSQRDTSLSMGGMPTRLNGESVFALMSLLGASIMPHNFYLHSSILQQNPGPTRVSKQDLCHDHLFAIACGFSGIFVINYILMNSAANVFYSTGLDLLTFQDALSLMDQVFKTLMAPFALILVLLLSNHTTALTWKFSGQTVLQNFFKVNIPGWCHHSTIRLIAIIPALLCSYHLGAEGSYQLMVFTQIIVALLLPSSVIPLFRVASSRSIMGVNKISQFVEYLVWITYIGMLVLGVVFVVETVFGNSDWASNLRWTMGPGMSVPYIAVLVTAFFSISLMLWLVVTPLKSVSTRPDLKRVEIQETRPTRVDDLIEKQETVTGLGLTRENECDSDFNLPEEILDYENGPHLTTIEENSSDLTIVETVKKEDNDDDDDDSVEKRLIIDGSSSILKDHERDPWEPEEEQQPKVVSVTVLNQSNQLNQSPTSNGPGSFRSLSVKTDDMGSGPGSLSKLAGLGRAARRQLATNLDDFWKLLFDLHGEATQDAKTNKLDKLLGIDSKVKPSPGPGPGPNPNPVISKLVNPETEFNGFIPNVSTGLSDSVYVVPRGSSSLLSNYQQLLDAYTQNPGLNMDPEKRYHSLRLPQASGGYTDQPATVHGYQIKSLINQTKQRNSDYFQMDSVSPKSPSIGNASLNYKSPVSLTRTQQNGLRPAKPPGFPDPVVSRNTSMQPERTYYNHQPAGPVENVHEKKYYSMPDISGLIPNRESKVLPERESGSRYGQLVYPGPGSGPGPGPLYRSGTISGYGGLSYSNLSRDAAAYQPVSSYGPGIGLGLGFGSGSDTWSMWSKQPSEQFGVAEKVNLNTQEAFITSGVDAEANLLKSFRLCIVKLLKLEGSEWLFKQNGGLDEDLVDRVAARERFLYEIEGNEVTRAAARGGGAKVDEAEYNKYLVTSVPNCGEGCVWRIELIKSFGVWCIHRILELSLMESRPELWGKYTYVLNRLQGIIEPSFSKPRTPSSPCFCLQLPEAYHLRSSPPKSITSLPPPVKQSRGKTTTAASLLDIVKDVETAISCRKGRPGTAAGDVAFPKGKENLASVLKRYKRRLMAVAPDGYSRSP >cds-PLY62462.1 pep primary_assembly:Lsat_Salinas_v7:1:83670735:83671227:-1 gene:gene-LSAT_1X70041 transcript:rna-gnl|WGS:NBSK|LSAT_1X70041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVIEAYRTLRGHGPYPADQVIKDLEGSFAFVVYDSKSGTVFTVLGSDGGVKLYWGIVADEYHGNTKMEGIKHFTGRRRAFVQTESGCVLGMELDQSDNAYTVKRRLQIALSLPIEKSSLTLETWF >cds-PLY88028.1 pep primary_assembly:Lsat_Salinas_v7:4:230192268:230194064:-1 gene:gene-LSAT_4X126100 transcript:rna-gnl|WGS:NBSK|LSAT_4X126100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCDNAVLRHDSANQGSDTPESDPLLPSSVLSDPPPDIKNWFSSYEYESFVLETNDNFGFSDPQETQQSYGKECNTENILKPVEDSTELISNEKNEDIKYVNQVLDSPGSPLLSSEPPPGIENWFCSYAYESPASDHTIILSLQKDSKVDKEEPCNGNDGRDETLHVFDDEKLVECDNGSSCRRRDHENEEVETNGDSLSKHGEEEGNGNGFVSVKSKREKDDKSMNGNKLNGKRSSSLSCGVGKMKEGSERRRKILGDMTNIEPGKWKCPRKSKPDIGPPLKQLQLGQWFHRE >cds-PLY68535.1 pep primary_assembly:Lsat_Salinas_v7:4:21441866:21442621:-1 gene:gene-LSAT_4X14320 transcript:rna-gnl|WGS:NBSK|LSAT_4X14320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYAALGDGLSLAAAHLDDLYIQQTPLANTYKWRLCLLEQALTRVTKLEHKDLCVVGACRTDAGVYALSPVCLPSYVAQFVTPFKYKDLHDMNATLNGILPRNVQIREISPPLRGFHAHFSIIGKIYHYFFVR >cds-PLY72308.1 pep primary_assembly:Lsat_Salinas_v7:4:60882601:60884382:1 gene:gene-LSAT_4X42600 transcript:rna-gnl|WGS:NBSK|LSAT_4X42600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRTSPTTIASITICVCFQTPPLQHRTTTPALSHHHTAFATAQNHHYTTTVAYFICVVGLFQRFYGYIEHGEDRMILVEYVANGTLREHLDGKCGSGLEIGERLDIMIDVAHVITYLHTYTDLPIIHRDIKSSNILITDKLRAKVADFVFARISVEDPRATHISTQVKGTAGYLDPEYLSTYQLTDRSDVYSFGVLLVDMVTGRLPIEINKAPNEKLTTKWFSVAETKRRGGGVGDGSKAKEESDSSDGGGEGAETGQIVSGPHQTIKTLHEEMCRDLVENQKGLS >cds-PLY89636.1 pep primary_assembly:Lsat_Salinas_v7:8:220279467:220282890:1 gene:gene-LSAT_8X134021 transcript:rna-gnl|WGS:NBSK|LSAT_8X134021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKSPSKRVEKLIHMFVIEHPMATVDDGIAYIKHMLNMTIPRGKMEDAVGMAKENVIAWKNIVVVAEYLSQGLTNKLLPDDVFLIMGCKHAAQNILTVLKGSESNNILFPKPGFPYYEFLARSCHLEVRHFDLLPEKDWEVDLDSVESLVDENTVIMVIIKPGNPCGNVFTHQHLKKVAEIARNLRILVISDEVYDHIAFGKNPFVSMGHFGSIVPVVTLGSLSKRFIVPSWRLGWLVTHDPNGILKQHGVISLSHTNIISTSFKQTHKCIAQT >cds-PLY69197.1 pep primary_assembly:Lsat_Salinas_v7:1:80102953:80103486:-1 gene:gene-LSAT_1X68041 transcript:rna-gnl|WGS:NBSK|LSAT_1X68041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWSWRMEVKAKWKRKMRGKVRGTKEVRRESVSSNSSQVRASVGFARPEEVWGAMGKTTQQHRRRWNRWNLNGCLGLIFYRKELRRTMVMRLPCCLQSIGRRKEAIGFLWVFVLD >cds-PLY97153.1 pep primary_assembly:Lsat_Salinas_v7:3:138688136:138689685:-1 gene:gene-LSAT_3X92501 transcript:rna-gnl|WGS:NBSK|LSAT_3X92501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLQLTLVIVAILLSSFCFPGATATTGGLNNPSVLDFASSPPSQHDIHDSFSPTSIFEPILANLGFQELSMAVPSLSDDSAFTTWNGPSTLFAPTDSSIRSCSSCSVVRLLREHIVPGLFSHDYLRKLAFGTKIETMDPGRCITVTSSTDVNNYTKIYIGGVEITRPDLFNNGLVVVHGLQGYVAPLSPFSCNVERMTSLSFPVQSADHNRQSVAHQAVYYPTYMMRLMLRDAMLRLRNSGFSILALAMKMKAPELMNIQNMTVFALDDVSIFSGSHSYVNNVRFHIIPNRLLAIADLEKISSGTLLPTLEAGQSLMVTTTAGGFTPMRINYVRIKVPDVMRNLKIVVHSIYLPFPHLHPSAVSYDGSDGSVDTTSPHLEEKDRINNLTTAPGSCTMMDQASGSCAVGPAITSRSEVKPLVVRVEHQGL >cds-PLY75622.1 pep primary_assembly:Lsat_Salinas_v7:1:144491387:144494199:1 gene:gene-LSAT_1X103840 transcript:rna-gnl|WGS:NBSK|LSAT_1X103840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTVYITARNAVISTLLGVVVLLQAVSGFPAILTLERAFSVKHRIKLSELRYRDILRHGRMLLKDTSPKGVIGFVAEGSYDLRVAGLYYTKVKLGTPSKDYYLQIDTGSDVSWVSCRPCNDCPTSSGFNIPITLYDPSSSSTSSPISCSDQRCPQASQTYDSSSCLNNHCTYTIQYGDDSATSGHYVSDLMHFKIIVSGTETELSNTSASIVFGCGTIESGVLTTPDSALDGILGLGQQGRSIISQLSSQGITPNSFAHCLADGGGLLVIGQPMVPYIVFTPFVKSQGYYSINLQSISVNDKMLSIDPSVFAINDDKAGTIVDSGTTLAYLTEEAYTPFVDAITKSVSLSVQPHTSNGNTCYSITSSVSNIFPIVSLNFVGGASMHLRPQDYLSHQSSKSGAEVWCMGFQRSHQKGITILGDLVLKDKFIVYDLDAQRIGWARYDCFSIVQVSSNSSSSSGEAVTPSQISGGRSLGIRSQQQIPVIVISSIIYLTMMFIGFQATRMSL >cds-PLY76489.1 pep primary_assembly:Lsat_Salinas_v7:1:110038120:110038395:1 gene:gene-LSAT_1X87121 transcript:rna-gnl|WGS:NBSK|LSAT_1X87121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNGDWVLFFLYHGLVELCDNLPTSIKYWKEEFFFAYVSTFFGPMKFGATADRVVDPISDLSPDECAITERLIENFVKWSDPEELVLVWYV >cds-PLY74491.1 pep primary_assembly:Lsat_Salinas_v7:7:32801120:32802262:1 gene:gene-LSAT_7X24821 transcript:rna-gnl|WGS:NBSK|LSAT_7X24821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPIQAQGFQSIYWLLDITSKSINNLVFSGYFDPEDEYDDIDDIIEINAPNILSLRIQGALLLSRLSLVHVSSLVEASLDYVEDWLCETMEEEMLKGFILYLHHVKELKIGIICFKALSRLEAKGFVIPSNMKLPDAFYEQLEEGHEGDGAN >cds-PLY74713.1 pep primary_assembly:Lsat_Salinas_v7:5:26739930:26740996:1 gene:gene-LSAT_5X12941 transcript:rna-gnl|WGS:NBSK|LSAT_5X12941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEADGWTLNVKIKVEKDPTLSATLGLKTNISSYLNAEIVPGVHSDEKPPFLVELDGSLPFYMLDAYEEFFLALMLAISFYLGRYTKHTSRVSGVCESA >cds-PLY78109.1 pep primary_assembly:Lsat_Salinas_v7:1:6069272:6072894:-1 gene:gene-LSAT_1X5280 transcript:rna-gnl|WGS:NBSK|LSAT_1X5280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:COG0212 [Source:Projected from Arabidopsis thaliana (AT1G76730) UniProtKB/TrEMBL;Acc:A0A178WEK7] MDACVFRIPYLSHLPSTKSNFLSPNLFRSSRYCSYNPFKLDTSKRNDISFDEAAYEAERLRLDAKARESMATEAAETLGNGNDDDDPKAWKWVIRKRIWDLMEAKNIAQFPRPVHHRIPNFVGAPTAANKLSRLDVFLKADCVKVNPDTPQKQVRFLTLNGGKKLLTPQPRLRTGFFSVLESNALNPSSINEACTSVGVAKYGKPIGLDEKIKVDLIVIGSVAVDPKTGARLGKGEGFAELEYGMLRYMGAIDDSTPIVTSVHDEQLVDDIPVEKLLIHDVPVDIICTPTQVIFTNTSIPKPQGIYWDKLSPQKLRQVKILRELKAIIEKETGQKLPTGPSEKLPPTAQRRQKR >cds-PLY63927.1 pep primary_assembly:Lsat_Salinas_v7:5:339104692:339109364:1 gene:gene-LSAT_5X190341 transcript:rna-gnl|WGS:NBSK|LSAT_5X190341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPNPSFSFLLPICITPSFTIPHQLSASTICRSSPKQKALVTRLVKEETGKTTLAIGDGANDVGMIQEADIGIGISGVEGMQATMSSDISIAQFQFLEQLLLVHGHWGLVNDMYKMDLILVHSPHLIGLACIYVASMLKEIENDAWFEDLRVDMNMTKAHASAVGHTLYELVGVEKSSSSTEPTLLLIDIAG >cds-PLY97146.1 pep primary_assembly:Lsat_Salinas_v7:4:358373529:358376633:-1 gene:gene-LSAT_4X176020 transcript:rna-gnl|WGS:NBSK|LSAT_4X176020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFLKNNITPTTSNSLSYCVTENKSCIGWVDKYFKDCLCNLRDELSFGFGIASLVCWGVAEIPQIITNFRTKSSHGVSLLFLLTWIAGDIFNLVGCLLEPATLPTQYYTAALYTISTIILVLQSLYYDHIYTWLKSRKADAHATSNTEDEEAKKLLRPSTASEPHELTSRSRAIRTTSSSPRQDFFFTSARSMAGSATPPNRSYLWTTRSGPASAMAADDDSSSEDESSVQIPKPTTQPKPIPRSAGYGAFLATSLNLPSHTKGLMQVYVGRKLLQEGGGSSTVYGQWLGWMMAAIYMGGRIPQIVLNIKRGSVEGLNPLMFIFALVANATYVASILVRSTEWSKIKANMPWLLDAAACVALDTFIIMQYVYYKHLRRRRDEEGYYGDYMEADKNYVS >cds-PLY91208.1 pep primary_assembly:Lsat_Salinas_v7:3:78029775:78030851:-1 gene:gene-LSAT_3X60041 transcript:rna-gnl|WGS:NBSK|LSAT_3X60041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSIMAAKTPQDTSFSFSRRYFHWKKKVEDDDDEGETLNFRSSSLGCVVTEEDRNLDDDLSLPVPQAAPRRKKRGLMSVSKLRSALTFGKTKLSPGSGLGKGTRVVGTLFGYRRGHVHIAFQEDPKLGPAFLVELATPTSFLVREMASGLVRIALECDKKSLKKSFKLLEEPLWRTYCNGKKCGYAMRRDCGPDEWKVFNSVGPITMGAGVLPEGGGGSGGGGGEGELMYMRARFERIVGSKDSEAFYMMNPDSNGGPELSIYLIRV >cds-PLY68112.1 pep primary_assembly:Lsat_Salinas_v7:8:34486812:34488770:-1 gene:gene-LSAT_8X27621 transcript:rna-gnl|WGS:NBSK|LSAT_8X27621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKGRVVCVTGAGGFVGSWLVKHLLSKNFTVHGTVRNPQDEKNAHLKSLENASENLKLFKADLLDYKSLTAAIAGCNGVFHTASPVPSGSVELIEPAVKGTLNVLKACCEVNVKKVVFVSSVAAIAVLPNKPTDRPMDETFWSDPDFCRSNNDWYCLSKTQAESEAFEFSKRNGIDLLSVCPTLIIGPMLQHTMNASSLVLIKLLKEGYEELENRLRMIVDVRDLAEALVLVYEKPEANGRYICTSHLIRSKELVQMLKKLYPAYKYPNKFTDPNGIFSVTSEKLQRLGWSYRPLEETLVDSVESYKQSGLVGDE >cds-PLY91849.1 pep primary_assembly:Lsat_Salinas_v7:6:32714948:32716554:-1 gene:gene-LSAT_6X26280 transcript:rna-gnl|WGS:NBSK|LSAT_6X26280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATYCLTSTSLHSHPSSSSQFMCKSKSNPPDSFISSNRCTYRKDTSFLNSQLSSSSSSFTLCPKNPVTSREVFTVSAVVEGEQPQFSSEPDITGNGYSLQEDSNFRNSFKPYELYVCNLPRSCDIPELLNQFKTFGTVQSVEVSRNPETGVSKGCGYVTMSSLQEAKAAIAALDGSDVDGREMRVRFSSDMNSKYGSNESLSSRPQKNLVFESPYKVYAGNLSWSVKPEDLRNHFSRFGNVISTTVLHDRKGGKNRVYGFLSFSTPQELEAAMSLNGTEFSGRILKLREVIKWPEQEP >cds-PLY92656.1 pep primary_assembly:Lsat_Salinas_v7:2:160435638:160484949:-1 gene:gene-LSAT_2X84581 transcript:rna-gnl|WGS:NBSK|LSAT_2X84581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARFTGALASSTTAILILSSFVLFNNLWSSVFVSAVVDDPRTTILHPPNAGSNRQTMFLPLFPSPLNSSPISSFSGDGKSQRHLQKSDAHRRNARMALHDDLIIDGYYTTWLWIGSPPKKFALIVDTGSTVTYVPCSTCKECGNHEDPKFDPKSSSTYKSVKCNKNCTCDKDKKQCVYENVYAEFSTSSGVLGEDIISFGNESEVSPQRAIFGCENVETGDLYSQHADGIIGLGRGYPSLVDQLVDRGVISDSFSLCYGGMDIVGGAMVLGDISPPSGMVYSYSNPTRSPYYNIELKELHVAGKRLPLSPSVFDGKHGTVLDSGTTYAYLPEAAFLAFKEAVLKELHTVKQIRGPDPSYNDICFSGAGSDASQLMKIFPKVEMVFGKGHKLTLSPENYLFRHSRVHGAYCLGVFQNGKDPTTLLGGIIVRNTFVMYDREHDKIGFWKTNCSDLWARLHNSGAPSSDMSPSPSPMGTPGHISPGSKVGSIIFYMFLNLKYSKLEPHIIELTELIAKGLHVNISQVYLLDFTWEGNESLTIWSITPPKPDEYMSNTTASNIIARIAEGEIKLPKRFGKYRISNWFIESDPNRTWVKNYLGVMIVFILILIFGVAGVVGWWFWRQKRPGGGILYRPVDSVVYEQELQPL >cds-PLY88769.1 pep primary_assembly:Lsat_Salinas_v7:4:168413255:168415642:-1 gene:gene-LSAT_4X99581 transcript:rna-gnl|WGS:NBSK|LSAT_4X99581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKHNLNQNQNQNQNRSQNQNQSPNQTQNQNKNQNHNRNLNSNKNNEDFILKETTPSLGGRRVPGNERYVTTFDLVEQMHYLYVKVVKAKSLPPKQDNDNNFPDPYVEIKLGNFIACTTTHLENNPNPEWNQVFAFPKERIQSLTLELIVKDKSITDDDNSIGGISFDINELPTRVPPDSPLAAQWYMLHNGNGELMVAVWMGTQADESFPEAWHLDTLSVNSENVTNIRSKVYLSPRLWYLRVHIIEAQELPIKNKEQEIVVKGAIKNIVLRTKSLPRPSWNEDLMFVVAEPFDEQLVLSVEEKNGLKEEVIGRCSISLHNVEKRIDNLVVIDSKWYNLEKNTETLSSKIHIRVCLDGGYHVLDELTQYSSDLRSSSRLLSSPSIGILELGILNAQGLTPIKSKNGRVAMDAYCVAKYGHKWIRTRTVIDSLNPKWNEQYTWEVFDPCTVITIVVFCNCMDTSPGSKDSRIGKIRIRLSTLETDRVYTHSYPLIVLEPTGVKKTGEIQLAVRFTCFSILNMMQVYSQPLLPKMHYIHPLSILQQYSLRHQATQIVGMRLNRAEPPLRREVVEYMLDVSSNMWSLRKSKANYSRLISVLSGVISFYKWFDHIQNWKNPFLTVLVHFLFLVLVFYPQMIMSTMLFYLIMIGIWKYRSRSRYPPHMDVKLSYADKLNQDELEEEFDSFPTSSKTQDVLRGRYDRLRSVGSRVQTVVGDLATQGERVQNLVTWRDPRATAVFLVFCFVSAVVLCFIPVRMVVITTGFFVLRHPRFRVGLPSVAMNFFRRLPARNDGLL >cds-PLY68231.1 pep primary_assembly:Lsat_Salinas_v7:4:195339714:195340796:-1 gene:gene-LSAT_4X113360 transcript:rna-gnl|WGS:NBSK|LSAT_4X113360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTYLVPGQNTYSHLTAIDAENQIPTEEELQAYNKLHEKLDDLLATLPKDKGWRSPNIFLHKGFWLTPQAIKGLLMIDDYFHPRSTDIFLATFMKCGTTWFKALMFATANRHRYNFSDHPLHHNGPQSAFPFLDSHIFLDYPVTKFDHLPSPRLFATHFAHSLLPTSLSSPSSTCKFVYVCRDPKDVLISKWLFMSKLRSKELPPISFNETYELFCNGVSEYGPFWDHVLGYWKASQESPEKILFMKYEDMKREPSVELKKLAAFMGMPFTAEEEKGGVVGEIVKLCSFENLSNLEVNNDGGGAQKFTAQLVVENRDFFRKGKVGDWENHLTEEMRERIDSITETKLKGSGLTLGLTKRI >cds-PLY62346.1 pep primary_assembly:Lsat_Salinas_v7:4:87276479:87284776:1 gene:gene-LSAT_4X58141 transcript:rna-gnl|WGS:NBSK|LSAT_4X58141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHIFDHNVGVSQCIGGFRFKLSGGKGCMAISSGVFLYVGFLTEKGEEMIEQSTKYSEQLEEVRNLLKYSEQLEEVPNLLKHVEGVPIKLVEIVDVLQKLCINHNFEQEIDSIVKTHYTTISNGHVSNHDQSLYEVSINFRILRHHGYYIPADVFARFKQKNGMFLEEMAKDVKGLMALYEASQLSIEGERILEEAADFSSHALKEMMPFLDEDEAIMVKNTLEHSYQRTSSTFMVKKFIKHYSGTTMSQLAEMELAKLQALHRTEVTQIFKWWKELGLAKELKLARSQPLNWYLWPMASLEDPSLSEQRLELIKPIALIFIIDDIFDVYGTLDELVLFTEAVIRWGVNSLEQLPYHLRICIQALYDITREISDKIYKKYGFNPIEYLKRTWINLCEAFLVEAKWFASGHLPNADEYLRNGIVSSGVEVVTVHMFFLLGCATNEDSATIIKDNPGITFCLAKILRLWDDLGSAKDENQDGHDGSYVTYYMRENEGCSLENAQEHVMSMISNTWKQLNKECLSPNKFSAPFIKACLNLARMVPMMYNYDENHSLPLLKDYINSMF >cds-PLY79359.1 pep primary_assembly:Lsat_Salinas_v7:9:54889677:54892446:1 gene:gene-LSAT_9X49841 transcript:rna-gnl|WGS:NBSK|LSAT_9X49841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGFCPSIFSDLLQIHIPSFYLHPPKAKCNTRMRLYVVPSKMFRCYATTESLHVGITSNENQVSPIVTDPDKSQSKHLGDDFEMMLEELFSEIKSMISLGNKSDALDLLEANYEAVKEQMVAGRKNIEEAAILDVIALGYMAIEDFKMVDIIMNMLNEIVHDLSDDEPLLDSILTHMGSMNSALGRFENSMLLYKRALTILEKNHGSNSKSLVMPLLGMSKALGSAGRAIKAIDIYHRTISILESNMGAESKELVVPLNALGNLLIEEGKVKDAENTFTRIVGIYTNLYGKDDDRVAMAMCSLANAKCAKGDAEGAIDLYTNALRVMELSENMNLDDIVLEKTRVELAELLHVVGRAKEGRKLLEECLSITKKYKGEEDPSYITHLTNLATSYSRSKNYVESERLLRSTLNIMKKTVGPNDPSTTFPMLQLAVTLYNLKQDEEAERLALKVLHIREKAFGNSSLPVGEALECLISIQKRVGRDDGEILEMLKRNLRIQEREFGDESEQVVETLKKIVFYMEKMEIKDQKYPFQRRLSLLRNKFKQQLQY >cds-PLY81634.1 pep primary_assembly:Lsat_Salinas_v7:2:1727387:1737449:-1 gene:gene-LSAT_2X860 transcript:rna-gnl|WGS:NBSK|LSAT_2X860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRANHRRKSTYTGEAMSSYSLPASNPNPKPSKKNVIHIGGIPIEFPYQPYGSQLAYMGRVIATLDRAQRDGHFHALLESPTGTGKSLSLLCSVLAWQKNQQLKSLLGNISQSKPDPEAMVDPLGRGGGFVPEMEPSGNNVVPTFSETHNTEQKKKRGPIIYYATRTHSQISQVVSEFRKTSYRVPMAVLASRKRYCTNAKVRGQDNIDEKCKLLLKERGQNKCGCPEFLNAKKIRAHPSVKKGGCYELHDIEDLVKVGQMVEGCSYFGAQAMAEVAQIVFCPYSYIINPQIRKAMELDVKGNIIILDEAHNIEDIARDAGSVDAEEVVLLQLQMELENLCHSDRVTQADQVEIYQPLCEMMEGIISWIRRKKNSLGKHSFQHNASCWTGDKALKELEEASISKQCFPILQECATKAIKIATDADLEVDHLSGMPSTVLEGLFSSLTYFFSEDGAHICDYQLVLQCRIKGDAGVDGDDWPCTLSLWCLNPALVFKNIADASLSVILTSGTLSPMSSFQSELGVQFGTSLEAPHVINVESQLWAGVIHSGPGDYPLNASYKTSEGYGFQDALGTSLEEICKVVPGGCLVFFPSYKLMDKLRSRWFETGQWTRLNAQKPVFVEPRGSQDDFEQVLSGYYDSIRGKKLAIGNGRRRVKKVEAISCSKGNDKKGATFLAVCRGKVSEGIDFSDDNARAVVAEKKRYNDTFKSSKNLLSGSEWYCQQAFRALNQAAGRCIRHRSDYGAIIFLDERFRQERNLTYISKWIRKSIRQYDNFDQSLEGLKSFFKNIKVLSLTISMSDAVISPPEILTNKIKKFPITSKKFHCPSPKTESRPQETIIRGVKKSDSNTGITYTDSKGDLETQNRFPSPPSMNISDDDDFDLTIVHETPRNDDNTLDHEPLIVKETPIKNSYPATLESVSESTIIQSKFPTHSFSSPCSTSASECMNTTTPPKKQQIPQNNSPLDSSVNSHFHKRRKSSAFASVKMAHFDSSEPKPTFPVKETMNFGDETKKDLKISCSLCKNPLGLAENDYSVPCSLMSLSKVHLVSCWKGREKGGTSVAVVVSDVSCVDGRIWERNGDEGIWSKEDGCVFNTAFCPFCSDQDNCLGLHVVATDSSNVQLLNKVLFYSDRLEIQHIHTSTTNKEESPSIVTSLSKSVLQNPFEKFAYTSPQTNSIGWRTTKSKMRLPKKVLVSTTKY >cds-PLY76751.1 pep primary_assembly:Lsat_Salinas_v7:7:60754606:60756204:-1 gene:gene-LSAT_7X44401 transcript:rna-gnl|WGS:NBSK|LSAT_7X44401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLELLENMNAQVGLTSPWWIGVDVCHLNLHKTFCIPHGGGGPEMGPIGVKKHLAPYLPSHPVVATRGIPTPEKSNPLGTVSAAPWGSTLILPISYTYIAMMGSQGLTDAYNIPILNANYMEKRLESHYPILFRGVSGTVAHEFIVDFRPLKTSAGIEPEDVAKRLIDYQFYGLTMSCSIPRTLMIEPTESESKAELDRFCDALISIRQEIAEIEKGTVDINNNVIKGAPHPPQLLMADKWTKPYSGEYAAYPAPWLRATKFWPTTCRVDNVYGDRNPSATT >cds-PLY86236.1 pep primary_assembly:Lsat_Salinas_v7:8:58148029:58148208:-1 gene:gene-LSAT_8X41600 transcript:rna-gnl|WGS:NBSK|LSAT_8X41600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPTIEDFVMLDYVSMLGISRLNIVGLKHLCTFDDEDIANLPGGGGSSDGGAIDGGFVL >cds-PLY84196.1 pep primary_assembly:Lsat_Salinas_v7:3:102717954:102720225:1 gene:gene-LSAT_3X74720 transcript:rna-gnl|WGS:NBSK|LSAT_3X74720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVTLVVAISSSNQINNTLNRYPANITLLRGSHESRQLTQVYGFYDECQRKFGNANAWRYYTDVFDYLTLSTIIDGTVLCVHGGLSPYIRTIDQEIKNHHLEPIITIGMEWPIEDSCRQVIEKNASS >cds-PLY68980.1 pep primary_assembly:Lsat_Salinas_v7:9:141027345:141028163:-1 gene:gene-LSAT_9X90481 transcript:rna-gnl|WGS:NBSK|LSAT_9X90481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIYFPNRHLSPSQILSKAEADNIPFSLEEFPNLLHLFSISHGSPQAIAMENTLKECEVKPINGETKFCATSLESVYDFTREIFGFDTRVKTLATTHLKHSSVGLLQNYKVVEISQNIPSPKLVACHTMPYPYAVFYCHSQESENKVLMVSLEGEDGDMVEALSVCHMDTSQWSRKHPSFSVLGVEPGTSSVCHFFPSDNFVFIPFSATM >cds-PLY81573.1 pep primary_assembly:Lsat_Salinas_v7:1:133913279:133919671:1 gene:gene-LSAT_1X100660 transcript:rna-gnl|WGS:NBSK|LSAT_1X100660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSELLEGSSSSSSTHGHNPSTSGHSSSTHAHRYDVFLSFRGLDTRHSFTNHLYKALIDANIITFLDDEEIETGEALKPELESAIKASRASIIILSKNYATSTWCLDELVLILEQRMISDHIVIPIFYHVEPTHVRMQQSSFGDALFKHKQTMDEEMDENKRRQWAQKIDRWSKALTEVANLKGNEVNGRLETEFIEEIVKDIYRRLYVTLQSVRPLFIGMDDSINFIISWLKDGSSHTVDILTISGIGGIGKSSLAKHVYGLYWCEFHRSSYIEDITRKCDGKFNGLLDLQEQLYYDISKTRSIKVDDVSIYTSKIENSLARKKVFIVLDDINNLVHLEAKVFILEAKL >cds-PLY89488.1 pep primary_assembly:Lsat_Salinas_v7:4:150285686:150295627:-1 gene:gene-LSAT_4X91660 transcript:rna-gnl|WGS:NBSK|LSAT_4X91660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSKTMAAFFLALLFILGSWPGFVQVQATRLLSKSVVSTSSSSESTFKGLHANRKEPFKKVKSSFRRIPPSRSNPTQNKKEPFQNIATPPPLPPFNPPTLTMSTTPLTTSIPISSIALLPMKRFVETSQPQISIPFSTPIFIDSIVPITTTVTTPPEVPIIKSVLEDIKTSSIPSNTSNVEPNANIGVSLEPSSSVPPTLHKDVGIMFGNNQEPLDDFVFHAFTFNINSDNDDSPMTKVQFKELHKNLDSILEHSNTFSSTK >cds-PLY94373.1 pep primary_assembly:Lsat_Salinas_v7:6:9358531:9359206:1 gene:gene-LSAT_6X5841 transcript:rna-gnl|WGS:NBSK|LSAT_6X5841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVNDECKLKFLELKKRNYRFIVFKIEDKSHQVVVEKLGQPNESYNDLLNNLPSNECRYAVFDLDFVTKENCQKSKIFFFAWSPDSSRVRSKMIYASSKDRFKRELDGIQFELQATDVGEMSLDIIKSRTY >cds-PLY91290.1 pep primary_assembly:Lsat_Salinas_v7:6:112991908:112994654:-1 gene:gene-LSAT_6X70241 transcript:rna-gnl|WGS:NBSK|LSAT_6X70241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLEKALIILSILNIRNANLDSCLFQIPQRLIEKHTDEELKRENNYLLGDWLSSKRYASSGHHLCSGVGLDKPNEWGFDTTQVDNILKKLKVRMMMKKQAYQRTIVKKLHVPKEATPAPPQAAAPFQFGGQPNQVLPQNTFQSSSVVFNAGGGSFSLGSGGGDKSGRRMVRATKSKNKRK >cds-PLY73156.1 pep primary_assembly:Lsat_Salinas_v7:2:190524596:190530687:1 gene:gene-LSAT_2X111980 transcript:rna-gnl|WGS:NBSK|LSAT_2X111980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHSSNTYILFFCLLTSLFSAIRATFNLTLPHQHPNPEAVVQEVQRRLNVSIYRREMLDTDGGCLTGNPIDDCWRCDPNWAKNRQRLADCAIGFGKSALGGKGGQIYVVTDSSDHDVVSPTPGTLRYGVLQTEPLWIVFSSNMVIKLKHELIVNSYKTIDGRGANVAITGGGCITLQYVSNVIIHNIRVYDCKPSGNTNIRSSPTHVGYRGKSDGDGISIAGSRNLWIDHCSLSHCTDGLIDAVLGSTAITISNSYFTHHNEVMLMGHDDAYMPDKGMQVTFAFNHFGRGLIQRMPRCRHGYFHVVNNDFTEWKMYAIGGSADPTINSQGNRYIAPPNADSKEVTKRVDTDEGNWAGWNWRTDGDIMVNGAFFVPSGDGMSAIYAKAASYEPKSASLVTQLTMNAGVFGGPRDDDGSESFTDGTITGDSASGNTGRSGGGGDDGDYFGMIFGGGTVAVSPPPVTIPSDMVTMKMLVVDVSNNHHRAHYPVGSCWGVVVVDCSGEDRRG >cds-PLY84154.1 pep primary_assembly:Lsat_Salinas_v7:6:186973101:186977349:1 gene:gene-LSAT_6X115401 transcript:rna-gnl|WGS:NBSK|LSAT_6X115401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Aberrant root formation protein 4 [Source:Projected from Arabidopsis thaliana (AT5G11030) UniProtKB/Swiss-Prot;Acc:Q84VX3] MSAPENIDSLRLQQALASCSQSIANGDFKQSQESISELVHFLDSVSDFISSGTNDEEGAENSAFEVLSEIYHYLISPSLDQAITDALAFELPKAVAKFACVSTRCFENAERIINHFVEVCSPRDMVSILCEAMTSPSDGFNNSFYFTPLLGALAKVFESLKRRPFEQVKAALPVVLKVLEAILSDPEEDEDMDLINKAILIAHSLNTICIKLDHKDEKLFALFGLYVLQITALISNSVGSETSRWSPIMSQLSHFLHYCGFTYLGLITGHEVGMAVDLISQGDEDDYMRCFSYVKCGAAITVLWRDLSNEASKEDLDAAVKVKVKVKLCSNRIERWEAVGILKHVYASSNLPLALKRHAIDFLFCIMEAIDSHKDPDEPLDYSVYMPSLYAALQAIQKVIVYASDPLLRKKAFDTFKIVLADIPASLRFDILMALIKNSDLSSMIAILLGCVKEEMYKEYPKKVSGQNRDAKEENKVVQSTLSFWTVSVLDCVEFVLKPPKGGPPSLPEFTDAVLSALNLYRFILITESSGKTNYTEVLLKNKLQKVYRDWLEPLRSLVSGVSAGDNDGQLAIALNPLEFVLYRCIELVEENLKHTT >cds-PLY76915.1 pep primary_assembly:Lsat_Salinas_v7:2:199742150:199742374:-1 gene:gene-LSAT_2X122081 transcript:rna-gnl|WGS:NBSK|LSAT_2X122081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSFEDSNAVGDPLAQMSKGGDVLMVCRTCGKKGDHWTSNSPYKDLAQPTESFSENTNPSDSSATGEFGLWFPS >cds-PLY98497.1 pep primary_assembly:Lsat_Salinas_v7:7:127045525:127050886:-1 gene:gene-LSAT_7X77321 transcript:rna-gnl|WGS:NBSK|LSAT_7X77321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTANNHDADREASDASIIKDKVDPAKPASLTWKRKLNTKETPLSEFGLKFKEVIHLAPMGYRLWRWIREDAAKGNGNGTIVDPFKKHYYSSCNGVPLGGIGAGSIGRTYKGEFLRWQLFPKLCEDKPVLANQFSMFVSRPNGKKYSTVLCPPNQEMLKDSSVDGIGSWDWNFSGEKSTYHALYPRAWTVYDGEPDPDLKIVCRQISPIIPHNYKESSLPVAVFTYTLSNTGKTAADVTLLFTWENSVGGSSGLSGHHSNSKMAVKDGVHGVLLHHSSADGQPPVTFAIAAQETNHVRVSECPSFIISGNSKGLTAKDMWNEIKKNGSFDHLDSSQLPMVSEAKSCIGAAIAASVTIPSQAVRTVTFSLAWDCPEVVFPTRTYHRRYTKFYGSHGDAAEKIAHDAILEHGNWEAQIEAWQQPILEDKRLPEWYPITLFNELYYLNSGGTIWTDGLPPVHNLSTINGRQFSVDRSNMDSQSNGDTNTTHHQHDTAVNVLERMTSVLEEIHNPTSKISAFGTNLLQKGEENIGQFLYYEGIEYHMCNTYDVHFYASFALIMLFPKLELSLQRDFASAVMMHDPRKMDILSDGASVPKKALGAVPHDIGMIDPWFDVNFYNLYNTDQWKDLNPKFVLQAYRDVVATGDKNFAKAVWPSVYIAMAYMEQFDKDGDGMVENEGFPDQTYDTWSVSGVSAYSGGLWVAALQAASAMAGVVGDTGCEEYFWAKFMKARSVYDKLWNGSYFNYDDSKGRASSSIQADQLAGQWYARACGLSPIVDEEKAKSALGKVYNFNVLKVKNGKRGAINGMLPTGEPDMSCMQSREIWTGVTYGVAAGMIHEDMIDTAFHTASGVYETAWSEEGCGYSFQTPEAWNTDGHYRSITYMRPLAIWAMQWALTQPKRPNKEMKHDLKPESLLRQHAGYTKVARLLKLPKEQDTRSILQIIFDYTCKKMSS >cds-PLY79634.1 pep primary_assembly:Lsat_Salinas_v7:5:254495576:254496709:1 gene:gene-LSAT_5X128220 transcript:rna-gnl|WGS:NBSK|LSAT_5X128220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PRPS20 [Source:Projected from Arabidopsis thaliana (AT3G15190) UniProtKB/TrEMBL;Acc:A0A178VMN1] MAAAAAQCLYLSCGFQSLSLSSSSRPSATAFKPLSSSANVSHNAFSTGLVSVNPILGRQAGRSLTIVCEAAGQKADSAAKRARQAEKRRLYNKSRKSEIRTRMKKVLEELEVLRKKADAQPEEVLGVEKLIAEAYSVIDKAVQVGTLHRNTGARRKSRLARRKKAVEIHHGWYAPEPTAASTV >cds-PLY96584.1 pep primary_assembly:Lsat_Salinas_v7:4:6812346:6813283:1 gene:gene-LSAT_4X3961 transcript:rna-gnl|WGS:NBSK|LSAT_4X3961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSYMIKAFLLLVFMSKISNGSVMFSSLPPSLSVVASPNEGQVLQAGEDSITVSFGLNSSIANQTDESYKFVKVKLCYAPISQVDRKWRKTVDNLKKDKTCQFTIWEKQYDHQQNMSQQFEWRIEKDLPTATYFVRAYVYDSGDEEIGYGQTTNDKKISNLFKIQGISGRHPSIDIASICFSVFAILSLVGFFLLEKRQSKAKK >cds-PLY78675.1 pep primary_assembly:Lsat_Salinas_v7:9:51900919:51902252:-1 gene:gene-LSAT_9X46941 transcript:rna-gnl|WGS:NBSK|LSAT_9X46941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTRATCRVKPFQDDQFDGTGKIRNIFHNLRRGGHSNESISDNKCPPIIWCKTPPDGLNHVWVCLRTLSYSITLEGISSENHVWIV >cds-PLY66540.1 pep primary_assembly:Lsat_Salinas_v7:4:341344629:341345865:-1 gene:gene-LSAT_4X168780 transcript:rna-gnl|WGS:NBSK|LSAT_4X168780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKWWRTAVVALRKTAETSRSYHTIQAIPREISGNRVSVKDRAQGRIPAVVFAQPNPSTGGGGTPPRPVSRKHLLTTEKRQIQSILNSIELPYFCSTTFPLQIRAGSGSSTLLESGNVLPIKVHRDSETGKLLNLVFVWADEGTELKVDVPIVFKGEDICPGLKKGGFLNKIRTSLKYKCPSEHIPQKIEIDISNLDIGDKVFMKEVNVHSSLELLSKNESLPICKIVAAKIDSMKPTQ >cds-PLY78538.1 pep primary_assembly:Lsat_Salinas_v7:1:102239015:102241205:1 gene:gene-LSAT_1X82301 transcript:rna-gnl|WGS:NBSK|LSAT_1X82301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLHSTISQSPPHPQPLSLSSSSSSLSNSINFLPGFRNSPLKRAQFSSLVIRNCSSSLYASNVYNYGTVDYEKKPGTTVAWKAIYKKISMMGNREKGSSSVLNQWENEGKTTTKWELCRLVKELRKYGRYNLALEVYDWMNNKPERFIMSPSDTAIQLDLISKVHGVSGAEDYFKNLEDDLIDNRVYGSLLNAYVHSRKKEKAESLLQEMKKKRYANHALPYNVMMTLYMNLKEYEKVEEFIQEMMQKEIDLDLYSYSIWISSRGSQGNVKKMEEVFERMKLDVNVKPNWTTYSTLSTVYIKLGEIEKAEDCLRQIENKITGRYRIPYHYLLSHYGSIGKKEEVERIWGTYKTVFPYIPNMGYHAVISSFIRMDEIEEAENLYEEWLLVKTTYDARIGNRLLGWYIRKGYLEKAESFFKEMLENGKANSSSWEIIGEGHIGNRRLSDALSCFQKALSHEESRFWKPKPVNIVSFLKICEEEKDEKSKEAFFKVLRDSGVFEDETFMSKLPSFKDDDYEEGDTFLNELQGSL >cds-PLY74566.1 pep primary_assembly:Lsat_Salinas_v7:7:37628986:37635397:-1 gene:gene-LSAT_7X27901 transcript:rna-gnl|WGS:NBSK|LSAT_7X27901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inositol transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G30220) UniProtKB/Swiss-Prot;Acc:Q9C757] MEGGIHPADSSAFRECFSLAWKNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFKSVDKKTVLQESIVSMALAGAIVGAAMGGWLNDRYGRRFALLVADFLFFIGAILMAAAPNPSVLIVGRIFVGLGVGMASMTAPLYISEASPAKVRGALVSTNGFLITGGQFLSYLINLAFTKAPGTWRWMLGVAGLPALLQFVLMLALPESPRWLYRKGREEEAITILRKIYPASDVEAEIEALKESVEKEIEETGSSEKINMIQLLKTRTVRRGLIAGVGLQVFQQFVGINTVMYYSPTIIQLAGIASNQTALLLSLVTAGLNALGSVVSIYFIDRIGRKKLLVISLIGCILSLGLLSAVFHETTSHSPPVSAVESSHFGNITCPAFTSAGPSATWSCMRCLTGSDCGFCASQTDKLLPGACLVENKIVKDTCHGEGRLWYTRGCPSQYGWLALVGLALYIIFFSPGMGTVPWIVNSEIYPLRFRGVCGGIAATSNWISNLIVAQSFLSLTEAIGTSWTFLGFGVISVVALLFVIIFVPETKGLPIEDVEKMLEERVFQLKFWKKRTPVVKKSAPV >cds-PLY68209.1 pep primary_assembly:Lsat_Salinas_v7:8:119012821:119014757:1 gene:gene-LSAT_8X82840 transcript:rna-gnl|WGS:NBSK|LSAT_8X82840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTSAEREIVRDMKEKLAYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQANVDREGGIR >cds-PLY77156.1 pep primary_assembly:Lsat_Salinas_v7:8:25804961:25806407:-1 gene:gene-LSAT_8X19841 transcript:rna-gnl|WGS:NBSK|LSAT_8X19841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMIGNLRRFGRKQLHTIISKEIIKPSSPTPSHLKTFNLSVLDQALVNTFMPFVIFYPNTGIYPSSHDKTLPLKKSLSQTLTKYYPFAGRFAKLAPSFVDCNDQGAEFLEASIDSTLSDFLKRSQHGDLDQFFPHGLVNQRSNRPDDDDDLQSNGVIPLAVQVNHFECGGVAVAVSLSHKLADGSSFIHFLRDWAKTTQFCSREQKHELLPNDDDPKFIHFEYTNLNFNGLSLGSDECVTKSFMFPNAKINDFKLKVKAMSEESGQTITNPTRIEVLTWLLYKSAVAAATKNYSGSDFQLIGVGHQTNIRHRLMEQLPEKSIGNFHLAIDILSEMKPESFISEFKKQKLKLHGLPNIQTAFVYLFGITLEEAQRRFDGAYICSSLCGYSTYEIDFGWGNPVKATVAGDLRKNSFIMMDAPNRDGIEVLVCLGKQDLAVVQSDHELLAFCN >cds-PLY67648.1 pep primary_assembly:Lsat_Salinas_v7:2:207393013:207398579:-1 gene:gene-LSAT_2X127741 transcript:rna-gnl|WGS:NBSK|LSAT_2X127741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramide kinase [Source:Projected from Arabidopsis thaliana (AT5G51290) UniProtKB/Swiss-Prot;Acc:Q6USK2] MERIGDFITRDDDSQANTQFIGAEEPSILTSSFFLDHVGEVSLTLKPDGLSWKLMDSLCNVSFSRSTCLGIQILSRNNTSINISDVYAVEFIDWGLVHETLLTNPGCLLGHASEMYRFTVHGVDRSKSQPSLWAPIVYTFGHMDKPTCQMWVNRLSGFLTMETHRPKNLLVYVNPGSGKGNGCRTWLSLAPIFSQAKVKTKVTVTERAGHAFEAMASMSDKELNSYDGIVAVGGDGFFNEILNGILLSRHKAPYPPSPPDDESESDVLPHDPTVTITEPSVSREDESPLLSGSPLGGSQPMNNINEDSEMSFPNERFRFGLIPSGSTDAIVICTTGVRDPMTSALQIILGKRLCLDIAQVVRWEKSQSGKDPRESERVPCRAKCDICNTPVTPQMETRDPQKESNWVRVKGRFLSIGAAVISCRNEKAPDGLVADAHLADGFLHLILIKDCPRAFYLWHLTQLARKGGTPLNFDFVEHHKTTTFTFTSSGEESVWNVDGEILHAQKLSAQACGYTSHAKGVVMCGICEELCTLTSDMWYYNSLPPVAKTYATICFATTGAYQLGLYYPDTIALVYKDVFKQFQIWRLITNFFFLGPFSLPFAFHLLMILRYGVSLERGPFDKRTADYVWMFFFGSFSLLAMAAVPFLWSPFMGASLVFMIVYVWSRELPNTRINIQGLVELKGFYLPWAMLALDLVFGNPLMPDLLGIGVGHLYYFLTVLHPLAGGRDFCKTPLWVHKLVAYWGKGYQMNSPVQRDPATGVAFRGRSYRVGGTTTRDSREGETNTVTTQNGATFRGRGRRLNES >cds-PLY97679.1 pep primary_assembly:Lsat_Salinas_v7:8:6884466:6885836:1 gene:gene-LSAT_8X5041 transcript:rna-gnl|WGS:NBSK|LSAT_8X5041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQIVKIMTLLVAITAMWISLLQTAMIPRSYTWLLPLYLIVSLGCYGLLMVGIGLMQFPTCPHEALLLQQDVIEAKEFLKHKGVDVGFD >cds-PLY95963.1 pep primary_assembly:Lsat_Salinas_v7:9:41857946:41860055:-1 gene:gene-LSAT_9X38080 transcript:rna-gnl|WGS:NBSK|LSAT_9X38080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLLSLLALLIHFSSHFYGHCITNNIHLGSETTLDVPMLYITGLVEKAFILETSQPTPNFQAGLIVEATEDKYVCSFVLFLGNIKVWSSSHLSRFFTSGKCMLTLNRDGDLQLTGQKGEIGWRTATYGQGIERLQLSNTGNLVLLDEFNTIKWQSFHFPTDVMLWGQTLDVGTKLTSFPTNSNSFYSFEIHREKLALYLNSGNFKYSYWEFNPTKPQKISFIRLALNGLQLFNDDNHKIAQIPSKRLQRLRFLAIENTTGNIGFYYYSTTTTKFEASFQSLRNKCDQPNLCKANDICTLSKECSRLEIEGFSGNFCGNRRVDMQEIRSAISILRDENKKMVNMTRETCAGSCMDDCTCVGALFTSGNNECYLYEEVRGVKEVGDNEKVSTFMVKVLKKGSNGLKRWALILIVVSDGLILFICLGGLCFYILRKRR >cds-PLY89932.1 pep primary_assembly:Lsat_Salinas_v7:8:68591761:68595209:1 gene:gene-LSAT_8X48820 transcript:rna-gnl|WGS:NBSK|LSAT_8X48820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVRSENSSVQVVRWAKLPGQVIQRTRLQVWFIRICSSILIWTCLVQLVAVGELWHPKLFTRFLNGFETFPTPPPAPPPLPPPRNYISNGFLKVSCNGGLNQMRAAICDMVTVARLLNLTLVVPELDKTSFWADPSDFEDIFDVGHFIESLRDEVRIVKRLPKRFSRKYGFQPLEMPPVSWSSEKYYLEQILPLFSKHKVIHFNRTDTRLANNGLPQDLQKLRCRVNFQALKFTPSIEALGNKLVQILQEQGPFMALHLRYEMDMLAFSGCTHGCTIEEAEELKRLRYAFPWWREKEIVSEERRSQGLCPLTPEEATLILQALGFEQNTQIYIASGEIYGSEKRLEMLRATFPRIVKKETLLDREELRQFQNHSSQMAALDFMVSVASNIYVPSYDGNMAKLVEGHRRYFGFKKTVRLNRRRLVELIDLHQNGTISWNEFSNAVKSSHEERMGQPNHRVMIAEKPKEEDYFYANPEECFCEMTNSSLELKKP >cds-PLY84442.1 pep primary_assembly:Lsat_Salinas_v7:8:280587532:280591499:-1 gene:gene-LSAT_8X158161 transcript:rna-gnl|WGS:NBSK|LSAT_8X158161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLEDSFLADLEDLSDNDNENLDEENVDAENMEEDVNKDIADIEALKYDDLDNVSKLQKTQRYTDIMKKVENALEKGSNMSNQSMVLEDDPEYQLIVECNTLSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEVDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLPENTLQKTIEACDRALTLDASKKKVLDFVESRMGYIAPNLSAIVGSAVAAKLMGTAGGLTSLAKMPACNVQLLGAKKKNLAGFSTATSQFRVGYIEQTEVFQTTPPGLKMRACRLLAAKSTLAARVDSIRGDPSGKQGRMYREEIRKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGNGKLRVSVGQSKLAAKVAKKGKDKQYSSGGATSGLTSSLAFTPVQGIDLIDPQADANRLGSGTQSTYFSETGTFSKIKRT >cds-PLY97594.1 pep primary_assembly:Lsat_Salinas_v7:5:233909539:233911494:-1 gene:gene-LSAT_5X116621 transcript:rna-gnl|WGS:NBSK|LSAT_5X116621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDTKQNSINVIHHDSRKRPFPIVTGANHHPVKPLPSTKRRVVLGDLTNSENLTSISIHSSDNKHNPRSVQCVNTEVSVSSRSTNTKKFSLASLIYQHLHSLEVEEKRRPLADYMEKVQSDITIGMREVLVDWLVEVTEEYKLASDTLFLTVSYIDRFLSSHSLSRNKLQLLGVSCMLVASKFEEISPPQAEDYCYITDNTYTKEEVFQMEETLLQFLNSDLSNPIPKTFLRILIRAAQGEMQPSSSSFEFLSWFLVELSLLDYSCLRFLPSMVAASAIFVARFTLEPEKHPWGLKMQCYSGYKPSELKDCVLAIHDLQLGRRAPSSRAVREKYTQHKLKCVAALACPLEIPDSYLEE >cds-PLY68901.1 pep primary_assembly:Lsat_Salinas_v7:2:193272564:193273318:1 gene:gene-LSAT_2X113960 transcript:rna-gnl|WGS:NBSK|LSAT_2X113960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDHSHDAEETLSLSDLHIKDDAIDSDEASKANHSSSSSSSSSSTSFDQDFLGFFSEEWSRDPSYNASPENFIFCGKVVSSKTSIAGIRKTETKNQVSPLFRSSSDSFRFMPLKTASKPSTPRSKSLPNRLPKSSSCKSKWQVFMFGFGSGKFPTKMDMSDIKTRQFRRKSTVTVSQWVDDGKDDCVGRRSGKKGWWRLVDVLGCSGGYDKDTMVVI >cds-PLY85825.1 pep primary_assembly:Lsat_Salinas_v7:8:173516825:173521097:1 gene:gene-LSAT_8X114401 transcript:rna-gnl|WGS:NBSK|LSAT_8X114401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILTELPEEHEAEITYDVFLSFREKDTRLGFMDHLYQALVDENISTFLDDQEEVETGEELKPELTRAIKSCRVSIIVLSKNYASSTWCLDELVMILEQRRVSDHIVLPVFYNVEPTHIRKQESTFGEALFEHKQRIESEKDVEKKTQGARKLEMWTKGLTEIADLKGKDATGRRETVVIEEVVKEISTRLELHLQWRIPHLIGMGDSIYTISSWLKRGSCEAAEFHTIWGMAGIGKTTLAKHIYMLHCNEFERSSFVEGIGRRCAQQTLLDFQKQLLGDILKKRKIEENNADLCTSRVEKALSRKKTLLVLDDVDNFEQLDLLIGTKGFYPGSKIIVTTKDGSLTEKCSLFRMKFPPKHTKHALSGLSDTESVKLLCWHAFGNNDPKKGYEQEAERVAKYCGGHPLALKVLGRSLISKDAAIWSDVLEMLEAKEYLTDVQENVQKALKISFDSLSGDCKELFKHIACFFVGKEREVTETILKECGFQTSYGIKKLIDRCLLTIGYDNKFTMHQLLQDMGRDLVCKESPDKPWKRSRVWKHEESLDLLKNDKGTQRIQGLILDMNLLRGETLRRPASSVTDLNFQNDDVNKSFRAAQPIQLVYEFFLRIWLFFTRLLLMLSSSHCKQVELRADAFRKMDKLKLLQLNNVKIDGPYKNFPKGLRWLCMHGFHLKFIPSDLPMEKLVVLDLSYSNLTQLWKKPKLLGSLKILNLSYCKLVRVESFSWLPALERLVLTNCKSLVYVCESIGGCNSLVIIDLSDCNKLNTVPISISKLKNVRSLSLDGCLGASGFLMRMKEMESYASSSSVGEFLPKNPKIFLLHSLLTLSLIGNNLSNDSFPVDFSSISMLKRLYLNGNPIDSLPDCVRSLSRLELLDVGDCSILKSVLCPPHTIKTLYAENCLSLVEIRFPQEMSAPPFVYYELSASLTEIQGIIKIQAIAHIDDQILCSLGWTDLQHMKDHKVRIWDSYKWSNATKLPLHMFYEFGIFSTCFPGKAVPDWLPHIHKSKGSSISFTMPSSSMNKTIEGINISFVHTLAGTGMVSSLRTKVQNVTKNRTWIYYGYIFAVGETDENIVWLSHWMFGDNEIENGDEVSVTIVEAEEDGGVLVRECAVGPVYNDRDNEEDPLSYYKSWKHIIGGDLSAFQLTSGDHFLDHDRFFHPPHLFKELFEHKTTQNLVGYTPQYKGAPLFL >cds-PLY62943.1 pep primary_assembly:Lsat_Salinas_v7:2:212153385:212153862:1 gene:gene-LSAT_2X132441 transcript:rna-gnl|WGS:NBSK|LSAT_2X132441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKLCSTQCYNGCPNIVDLYFNLAAGEGVYLPSLCQAQGDSKRRGMSEIRTTGYVGAPGPWCP >cds-PLY86952.1 pep primary_assembly:Lsat_Salinas_v7:5:266877961:266879448:-1 gene:gene-LSAT_5X138420 transcript:rna-gnl|WGS:NBSK|LSAT_5X138420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPQHQMTMEMLPDEVLLNIFIRLSAKQLAQMRFPHLELTNFIKLPVNPEPEYTSISVIGSINGLICSTYGDSVIHIWNPSLSAVLTLPPYSMPSHDCDSIEIHFRFGFDPKTDDYKVVKLTGLTGPRTYAVRWWLQIEIYCTRKGSWELITERFPPHITNIIDGDSVCVDGHDGHLHWLGYANGEQDPKTIIAFDLGSETFREILFPNSIRQNRVNVLGVLSEKLCVMSYIEDVAYEVWVMDEYGVAESWVKRHVLSPFFDDTWPYGFTLHNEFLIEDNGYLVLYDSSANKRCILEDHCAEEYGVEKIVEYVDSLVWVAPAKHEMVDG >cds-PLY69323.1 pep primary_assembly:Lsat_Salinas_v7:7:81907810:81916387:-1 gene:gene-LSAT_7X57521 transcript:rna-gnl|WGS:NBSK|LSAT_7X57521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTPANASGSTLTTENPGEAGEKKTIINPELWQTCAGPLVNLPAAGTHVVYFPQGHSEQVAASMKKDVDSQIPNYPNLQSKLLCVLHSVTLHADPETDEVYAQMTLQPVPSFDKEALLRSDLSTKINKPQTQFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHDTLWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFIRDEKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSPFTVFYNPRASPSEFVIPLAKYYKAVCSNQISLGMRFRMMFETEESGTRRYMGTITGISDLDPIRWKNSQWRNLQVGWDESTGGERRTRVSVWEIEPVTAPFFICPTPPFYRPKRPRQPGMPDDESADLDNIFKRSMPWLGEDMSTKDPGLSLVQWMNMQQNSSPNYLNPLSGSIPGSIFSGSDLSRQLGAPPPPPPQILTHGASMVQPPQQLTDPNQSQQMFRQNLINQNQNQNQNQIQNHHLYRNLQSPQTQFHDTQQLQIPDNQISIQLLQKLHQQQQSLLAQQSVSLPQQQDEQKPLIDVHVPVPQSFSRSMSTSQTMDAHTPPLSKNNSQPNLRFSGHKFSGPNQISTGGGGQSGITDDIPSCSTSPSTNNFQTMVTGVETLSTNVNFGKVSPPVNAVNIPKGQNPSFLAPITYLNNIGGPQMDFLDSSSSATSICAPQSDLHPQPLRTTIQDDSRADIPFGGGNMVGMPSMIVDPLTTKGMVGSSKDFESNVSPGGMILYENNNNPSKDAQPELSSSMVSQSFGVPDMAFNPIDSTLNDSGLLNTGVWAPPPQPFQRMRTYTKVYKRGAVGRSIDISGYSGYDELKQDLARRFGIEGQLEDRQRVGWKLVYVDHESDVLLVGDDPWEEFVSCVRCIKILSPQEVQQMSLDGDFGGNNSVLPNQACSSSDGGNV >cds-PLY82402.1 pep primary_assembly:Lsat_Salinas_v7:2:188314437:188317434:1 gene:gene-LSAT_2X110160 transcript:rna-gnl|WGS:NBSK|LSAT_2X110160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRERFRKALLPPGAENIEKLKNLVKEGNYYGAQQMYKTISARYATVGRFSEALDLLQSGACLQLEHEQVTCGGELAVLFVEMLVKAKVDFDDDYLDRIRKIYKKFPRIPLPQNLGLEDDDEIHRLSETLGAAKTRVESCTSFLKAAIKWSSDTGPRKLGSAELHDMLAEYIYSESPEADMTRVSLHFARGRNPKKFASTLVNFMGKCYPGEDDLAIARAVLMYLSVGYLRDANNIMDEIKKLAWAKELEFPESELMRFIDYLLQTLMRDALPLFNMLRQKFSSSIERDPMFIELLEDIREKFYGVRRKNPLQGMFGELFKM >cds-PLY70488.1 pep primary_assembly:Lsat_Salinas_v7:1:71286645:71288728:-1 gene:gene-LSAT_1X61821 transcript:rna-gnl|WGS:NBSK|LSAT_1X61821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAERNTGSSSSSTGFLSMKSLFGLLSIALMVFVLSWFLLLTSDGRSRLIDHNLLPHSRQHSTIDNPNPTPNSPTHNAQSIHHQQPEILRVFMYDLPPEFHFEILYWKPEGKNTWPDLRSRVPEYPGGLNLQHSVAYWLTLDLLSSNTQTAIRVYNSSEADVIFVPFFSSVCFNRFSRLNPHQKTNRNKELQQKLVKYLTGQPEWKRSGGIDHIIVAHHPNSLLDARYQLWPAMFILSDFGRYPPTIANIDKDLIAPYKHIIKSYTNDSSTFDTRPILLFFQGAIYRKDGGFIRQEIFYLLQKEKDVHFKFGSVQKQGVPSATKGMRSSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDEIELPFEDVLDYSEFCVFVKTSDAIKEGFLINFIRSIGRDEWTRKWKKLQEVKHYFDYRYPSVKGDAVQMIWRGVLKKVPVIKRRLHVANRFSRFGKPDRKFFQLPRNLTV >cds-PLY74795.1 pep primary_assembly:Lsat_Salinas_v7:6:121499224:121499436:1 gene:gene-LSAT_6X73900 transcript:rna-gnl|WGS:NBSK|LSAT_6X73900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLASQSSARMVGDLCYVAAQTFTLMVAAVDLVSRTGANERQLKVLQGAFAGMREEARDLEAERQVLSE >cds-PLY83282.1 pep primary_assembly:Lsat_Salinas_v7:8:191416323:191416790:-1 gene:gene-LSAT_8X124021 transcript:rna-gnl|WGS:NBSK|LSAT_8X124021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHEEGDFKEGVWRKFIVNQSTQSKEGEAVLSKFADVGCNVAARASADVASPDATDVAVVAAHYHADLAVNKEGEGITDGHQENRTGRLSESDKVASVSNSSVIAKPPRFSGVRFHSPVCTKSTSRDVVAYNHFLSCVKIILEPNSVKRKLMLGL >cds-PLY78696.1 pep primary_assembly:Lsat_Salinas_v7:9:47058515:47059590:-1 gene:gene-LSAT_9X44720 transcript:rna-gnl|WGS:NBSK|LSAT_9X44720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEQQHQEDEANKNSGPSSSIPLTQAQFLSWKTHKEAEASAKKAEASRKREADIAAGLVQMNGKELFKHEPWVFDNNLY >cds-PLY99055.1 pep primary_assembly:Lsat_Salinas_v7:6:151276377:151277438:-1 gene:gene-LSAT_6X91340 transcript:rna-gnl|WGS:NBSK|LSAT_6X91340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTLILKRSTAASSHYTKIFKSIRSVSAIPCVQRSFNTNASQVSAYEDFHRSIDEDRSSGSSGFRRRDNDFFSGEFHVSIFPARSFSEIFNMMDQFMDTPFISASRGGGLGGRRGWYAKEDDNTMNLRFDMPGLDKENVKVSVEQNTLIIKAEEEKDSEDDEEARRRYSSRIDLPTDVYILDEIKAEMKNGVLKVVLPKVKTEERKDVFQVQVE >cds-PLY70887.1 pep primary_assembly:Lsat_Salinas_v7:9:16229471:16230276:-1 gene:gene-LSAT_9X17721 transcript:rna-gnl|WGS:NBSK|LSAT_9X17721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRRATEIELDTMEGFASREKLHHHLNDQELDFNGHAVMSALIAPERSVKFHGSLVAQLLLKDENLPMNDFVSDWSSTLLTTVSQASKADDISLTRVALSAFLLSLERCPGAQRVVMEKGLHLMRETAKRTMNHKSVQESLAKGLESLCSGDMRLSLEEGQKWSCILLPWVFRETSSDAIRSSAITILSRICEDYGPSSIPISQGWLAIMLSDILKSRKLSLKGSAQPRDKVKVCIIVTFFFLFCLW >cds-PLY75952.1 pep primary_assembly:Lsat_Salinas_v7:5:248074040:248076093:-1 gene:gene-LSAT_5X123240 transcript:rna-gnl|WGS:NBSK|LSAT_5X123240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGDDKNQLGGLWSSTERTCIYLLQYTTTTKARLLQIHAFILRNSLYKNKNILAKFITTCSSLAAKRFTTSSEDATNLIRHAHKLFDHMPDEYDTFVSNTMMRAHLGIHQYDECLSLYRELRRIGTFKPDGYTFLTLAKLCTSTLDARRSQQVHNHVVKEGFVHNMFVATSLVDMYAKLGQMNCAQKLFDEMPERSQVSWTALVSGYAKCGEIDNARMFFNQMPKKDTPAFNAMIDAYVKVGDMTQASSLFNEMWEKDVVSWTSMIDGFCNHGDIDTARTLFNTMPQKNLVSWNAMIKGYHQNKQPNEALKLFQELQSEPSLEPDNITIVSVLPAIADLGALDLGKGVHEFVMRKKMNRGGSNICTALIDMYAKCGEFTKAREVFESWNVKETATWNALIHGLAVNGFGKEALDVFLEMCKNGVKPNEITMICVLSACNHSGMVEEGMKWFKRMEEFGVAQTIEHFGCMIDLLGRAGCLDEAEKLMEDMPFEVNEIILSSFLSACGYVKDAERGERILRKTHGKEYWNDGNYITLRNLYANEKRWVDVEEVKEMMRSKKTRKEVGCSSVIEGGEVWGFASGGGDRLHPEWVSINSMLEMLFVHMKKEYFTNMDM >cds-PLY64899.1 pep primary_assembly:Lsat_Salinas_v7:1:166144595:166145155:1 gene:gene-LSAT_1X111621 transcript:rna-gnl|WGS:NBSK|LSAT_1X111621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLTYLIYYTCVPFLKFFPLTGKVDVQVDLEAKLEVEHTFMFESESDSDFESESDVEANIEVEATSDVVPEMEVDIAVPEVDVEAKIEVHQVDVEANIEVPKVDANIEVPLVQDNIEEEIQDEVEHEIQFNIQEEVQDNVEPEIQDNAEIQVRKRTRKSSERITKIMLGKNIGRKEGSSNEYPRGI >cds-PLY90652.1 pep primary_assembly:Lsat_Salinas_v7:6:53809002:53809358:1 gene:gene-LSAT_6X40360 transcript:rna-gnl|WGS:NBSK|LSAT_6X40360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPHKTKRGAATLARLKIYEGFPTTYNRKKRMVISDALKVLRLTAGHKYCLLGQLSSEVGWNHYETIKVRYFFMCCI >cds-PLY92913.1 pep primary_assembly:Lsat_Salinas_v7:3:113426057:113426609:1 gene:gene-LSAT_3X82980 transcript:rna-gnl|WGS:NBSK|LSAT_3X82980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNYVSCSLMHGNGKYSKSTKVIFPNGEIHKFQEPIKVAELMMESPNSFVVNSKSLRIGARFSAMNADEDLEFACVYVMFPMKRVHSVVTSTDLGSLFLSAKKIGRIAPENVSTPPSMKLDDIEEEVSSLEFKRRASMCRSKKPLLDTIEEEQVCSR >cds-PLY62284.1 pep primary_assembly:Lsat_Salinas_v7:5:164579043:164581631:1 gene:gene-LSAT_5X72441 transcript:rna-gnl|WGS:NBSK|LSAT_5X72441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIMVAIKRVVDYAVKIRIKSDKTGVETSNVKMSMNPFCEIALEEALKIKESKLASEVIAVSIGPAQCVDTLRTGLAMGADRAIHVDAPATLFPLSIAKILKALVDVEKPGLLILGKQAIDDDCNQTGQMVAGLLNWPQGTFASKVVLDKEKNVATVDREVDGGLETLCLDLPAVITTDLRLNQPRYATLPNIMKAKSKPIKKMTPQELKVEIRSDMEVLHVKEPQKRKAGVILSSVDELIDKLKNEAHVI >cds-PLY95423.1 pep primary_assembly:Lsat_Salinas_v7:9:189386204:189388548:1 gene:gene-LSAT_9X116880 transcript:rna-gnl|WGS:NBSK|LSAT_9X116880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTAMETTESRADASDNPNNGSSGDDDTSLEISHPPSLLTPTVAPSLAGTASDNVLPDSTLQTTGESVELMEPAAVNTDGLPAPRKRRRRKKQFPEMISIAAAVDGLGVIRHKSGNRSTEDIDEYEDDDPSSRRRRRISSDLDVEGLIAISVGFPADSLTEEEIEANVVKTIGGTEQSNYIVVRNHILALWRSNVDVWLTRDHALEAIRLEHTNLVDSAYSFLLEHGYINFGVAAAVKEAKLKPPEGVSRGDVIVIGAGLSGLVAARQLIFLGFKVVVLEGRSRPGGRVRTKKMSGGDCVAAADLGGSVLTGINGNPLGVLARQLGFPLHKVRDICPLYLPNGRTVNPEIDSKVEVSFNKLLDRVCKLRQSMMEEAKSIDVPLGTALEAFRQVYRVAEDPQEKMLLDWHLANLEYANATLMSNLSMVFWDQDDPFEMGGDHCFIPGGNDLFIRALSENLPIFYNQTVERIKYGSNGVSISANGQDYHADMVLCTVPLGVLKKKSIEFIPDLPERKKDAIDRLGFGLLNKVAILFPYDFWGGEIDTFGHLSDKSSMRGEFFLFYSYSSVSGGPLLVALVAGEAAIEFEKMSPVESVTRVMEILKGIFNPKGIAVPDPLQAICTRWGQDQFSYGSYSYVGIGASGNDYDILAENIGGGRVFFAGEATNKLYPATMHGAFLSGLREAANMSRIEKRRRLKLNEGK >cds-PLY86222.1 pep primary_assembly:Lsat_Salinas_v7:8:225144624:225148193:-1 gene:gene-LSAT_8X137921 transcript:rna-gnl|WGS:NBSK|LSAT_8X137921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNWRGLGLHLIFISIFFITTTSTCLGVGNITVVVCFEQERLALLKFKESVGDESKMLSSWVGNDCCLWERIHCNGVTGHVERLHLRGNTRYSSHDVEKKHDHFVRNNHQLVGNEVNSSLAELRHLKYLDLSGNDFGGSRIPEFIGSFKQLNYLNLSHIGFQGIIPPHIGNLSHLKVLDLNSNGELMADDMSWTFGLSSLEHLDLSYLDASGVDMGRYMIIPSLKELHLSSCGLSNANFVPFINSTTILRNIKHLDLSLNLFKGPLPGFFQNMTSLSFLDLTDFDLSLAWNFPNLLSMIPSLSELHLSGCGLDKAHLSSPHLNFSTLSNIQHLDLSRNSIEGVIPSVLTNMSSLRVLDLSLNSLNSSVPIMPNLLELDLSNNWFKKIEHLGIWRQCHLKKLSASNMAFNIEMMNSPKNKSECSQYVLEILDLSDCISGIDAEPTIPEALGILTNLRVLLLSNSGLTGTIPKSLGRLRYLEVLDLSYNMLTGPIPTFIGKLLKLDLSFNQLNGSIPESFGKLDALTEMYLEVNQLTGPIPASIGNLVSLQAFSMYSNLLNGSIPESFGKLAKLDWLDMSNNSLEGVVSEAHFANLSMLKHLDTSSNTKLTFNVSSEWLPPFQLVTLLLSSCTIINGFPQWLQNQRELETLVLFNTTITGPLPTWLRMMPIIPFLDLSYNKLSGSLTNLPDGGNVYVSEYYPRALFLEDNLFNGSIPLSLCRRTDLEYLNLSRNRLTGKILKCLENLQNLITMRFSSNRLSGVIPSTVPSNCSSLLRLNMNGNRLIGEIPREWGNLGGLQYLDVGDNQLSGNISEWIGELGTLIILRLHKNKFTGRIPLSLCNASHLRILDVASNSLTGTIPRCLGDLTAMVDGSGYRVPILNDDFDDHVIQKDPTTTSKKTYKAAEEPTEVWLFVLDIMSGFATGFWGVIGVLLLKKQWRWKIFRFAEETMDKIFNAVMVRVSRRKLGRQAT >cds-PLY76625.1 pep primary_assembly:Lsat_Salinas_v7:5:222065980:222077767:-1 gene:gene-LSAT_5X104140 transcript:rna-gnl|WGS:NBSK|LSAT_5X104140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYLSSRSAHRWTYDVFVSFRGEDIRKTFVDHLFSDFRRKGIYAFRDDDQLKRGEDISPGLYKAIEQSRFLIVIFSKDYASSTWCLRELVKILKCKEMDDEYEVRVLYYDVTPEVVGNQRGSYEEAFVKHEGYHRKEVHEWKEALTWAADNLSGWDLQALSNGHETKFIETISNEIFHKLTSTGPIDAGDNLVGLYTRAEQMDLTRFVNKPKSTKIHMIGICGIGGIGKTTVAKAIYNLLHTHFEAYSFCEDVKGVEKRHGLVHLQEKLLANLMSLSDLKIRNVSQGIGMMKRSMWAKRVLIVLDDVDSLDQFEALVGSPSWFSPGSMIIFTGRDRQLLNAHGVEEIFEVDLLCEDEALELFSLYAFRNKHPKEEFIDLSNEVVKYVNGLPLALKILGSFLFGKTVEEWGSQLKKLRRYPDSQIQQVLRISYDGLDFDQRNIFLDISCFFKDEKKDYVIKVLGGCDLFPVTNIRVLIDKSLISIRDDRLQMHDLVQEMGWRIVDEESEEPGKRSRLWFTKDVRDVLNKDKGTGAVKGLAMDVSSSEVDIYGKTFTRLNNLRLLNLYIGSWTRLLDNNGKIRETKLGIETKVHATSGTLDFLSSELRLFCWHGYPFHYLPSSFYPESLVVLDLSYSYIKEIWTGSKGFKRLTSMKLAHCRNLIKTPDFTETPNLEELILDGCKSLKEVHPSVGTLWSLIILNLKRCINLETPPNCTGLKSLQILNLSGCKKLNQFPQDLDTMKDLIELHADGTSIDQLPSSVSILWNLQVLSLGQREDMIKTRSLGSIFWPLSKMHHPLNAAVVPSLSGLKMLRNIDVSHCKVTDGSLGGIDGLSMLKMLNLSGNDFKSLPNLGKLSRLETLGLVGCTKLEALPELPPNIEVIEAQDCISLKELPKKSTLYESSFQCFDFTNCAKVIENQSIESLITMLLPQGRIDPYKILSVYLPGSRIPGWFTHQTMGDCITIELPQNWCYENFKGIAFCLVFTPKIQNRRKSYYNSIGYRYRNFDGTPIGMLSPIPDSVFQYESIGIKSDQMLLSYDPSKPDWKKAKNSISVSFEVYGPDCVVKKCGARLVCEEDERVQEEGSGSRMIQWLLPSSRVEDELLVLQGADS >cds-PLY67376.1 pep primary_assembly:Lsat_Salinas_v7:1:170831486:170834745:1 gene:gene-LSAT_1X113200 transcript:rna-gnl|WGS:NBSK|LSAT_1X113200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELPKKIPCVEDFEEKVTDKLLKKRGQISLLRIYGIYLHFMRMQAQKDAFLARASAGAEAEVRVYPVLVEGSPGIGKTSLALALGKFSGHSVVRINLSKQDVVYTRRELPCSEKLFRLVKYNKCVDKAVQFVYLIPFTEKGGFFFEWFRVKDNGNNKEKLHSEEEVGPCEDNAPTNLHIDPNGVKLVITFGIPFQ >cds-PLY87636.1 pep primary_assembly:Lsat_Salinas_v7:MU040392.1:525817:529184:1 gene:gene-LSAT_0X11801 transcript:rna-gnl|WGS:NBSK|LSAT_0X11801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase D6PKL3 [Source:Projected from Arabidopsis thaliana (AT3G27580) UniProtKB/Swiss-Prot;Acc:Q05999] MDSLIDGVGSLSTSHTSATLLGFNPPGKTRPPHHPKSDTTSNRSHKTSNDHKGKSELVGPNTILDQTAKEYFHKQISALEAKAGIKHSDSDPDPETMDSITFCSSPQSSLYSLTNFTDTKQSFTNTEVSESVKSGEIGIPSVYRGSTGSDVSEESSSGSFTSAVYKPHKQNDSRWEAIQVVRSMNNDTGILEMKHFRHLKRLGCGDIGSVYLSELIGTRSYFAMKVMDKVALASRNKLLRAQTEREILQSLDHPFLPTLYSHFDTEKLSCLVMEYCPGGDLHALRQKQPGKHFSEHAARFYVAEVLLAMEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCVVNPKLVRSANPTVDPKNSNYCIQPSCVVQPACIQPSCFVPRFMSKSKKDKKPKPKSKPKTEIYNQVSPLPELIAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLYGKTPFKGNGNRATLFNVVGQPLRFPDTPGVSFAARDLIRGLLVKEPQHRLAYRRGATEIKQHPFFQSVNWALIRCATPPDVPGPSMENGGVDVKPSGNYLEIDFF >cds-PLY63458.1 pep primary_assembly:Lsat_Salinas_v7:7:146836215:146836566:1 gene:gene-LSAT_7X87000 transcript:rna-gnl|WGS:NBSK|LSAT_7X87000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDKVHIQSVVELTNGSSEDTKEQSDSDSPDNNPQYKTVYVGNLVPEARPLNPKIYLYETSLEQLRAESCNKLKVDGIVPLLMLLETK >cds-PLY62719.1 pep primary_assembly:Lsat_Salinas_v7:6:55326515:55327930:1 gene:gene-LSAT_6X39741 transcript:rna-gnl|WGS:NBSK|LSAT_6X39741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREDNHEIQTSKKEHLPLPSNRKAKQMNAASKKLHNQQQKSVKKNLSSVLNSVTEEDVGLNLPEKEAIEKFSISDSVDADQSTVEIGKPSLTSDQTIIPDKSVVLEHPEDSVEIATISEAFVFGRDRSNESIESYIVSLDHFASPTSVTPLSCDSPALSSITTVETTPFSSSITAEASPVHVSSGDGSTTQDQTDSFKLEFLVKHLRESMFEVLHSADIDPQYKKLLDSLVKMVIEEFFSLHEERDMVIHLFSRRIKIMMLCIIAVSSGFFLLSDGSSYNGPSPT >cds-PLY92946.1 pep primary_assembly:Lsat_Salinas_v7:3:113718205:113718848:1 gene:gene-LSAT_3X83180 transcript:rna-gnl|WGS:NBSK|LSAT_3X83180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCDGCERRVKNAVKNMKGVKTVDVNRKQSRVTVSGYVEPNKVLKRVKSTGKRAEFWPYIPYNLVSYPYVNQAYDKRAPAGFVKNVVQAVSAPNSTDERMTYLFSDDNPNACSIM >cds-PLY65879.1 pep primary_assembly:Lsat_Salinas_v7:4:85354725:85356333:1 gene:gene-LSAT_4X57221 transcript:rna-gnl|WGS:NBSK|LSAT_4X57221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSTNSWILSLKAVLISAGLVSVAVMMKLAIPLMLNFAVSDLPAIWSVLVSLLKPPYLYVVINGIIITIAASTRFQHNNHQDNENHSQQQVNPPSDLASDLPPVSTMRRLNMADHPPVVYDIEPNVMEPPVVYESAPAVVDVKNMVALSGPEVVGGDEDAFVVSRPTWNPPQSIIKGESPPPKKVQPEFDFPVRERQLLSSRFSQHRKPTPEGARVLRVLKPKKHETMETTWKMITEKRHMPLTRHLRKSDTFVNHHNDVQSDESEDVATTTTTTTTKVVKKSETFKDRSNYENQNDYPKTASSAAAAGGKLKKEASLSNDELNRRVEAFIKKFNDDMRLQRQESLNQYMEMVNHGDGAH >cds-PLY90812.1 pep primary_assembly:Lsat_Salinas_v7:2:105914581:105916346:-1 gene:gene-LSAT_2X47461 transcript:rna-gnl|WGS:NBSK|LSAT_2X47461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPPDTSKTTKLERYNSYIRRVNSTKLLAASSKLLFRVTLLVALVLIFFFTFNYPPLSDNPTPAGHHVHTTSHLLSSAFYGSGASWEKEVRRSSTPRRPNGFSVLVTGAGGFVGTHCSLALKKRGDGVLGLDNFNTYYDPSLKRARQKVLKQHEIFIVEGDLNDGELLAKLFDIVPFTHVLHLAAQAGVRYAMENPQSYVSSNIAGYVNLLEVAKNADPQPAIVWASSSSVYGLNTENPFSESDRTDQPASLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTKDILQGKPINVYQTHDNKEVARDFTYIDDIVKGCLGALDTAEKSTGKGGKKKGPAQLRIYNLGNTSPVTVGKLVSILESLLNVKAKKHVIKMPRNGDVPFTHANVSLAYKDFGYKPTTDLSSGLRKFVKWYVSYYGIQPRVKGSNDSA >cds-PLY98403.1 pep primary_assembly:Lsat_Salinas_v7:5:316882096:316885228:1 gene:gene-LSAT_5X174460 transcript:rna-gnl|WGS:NBSK|LSAT_5X174460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 15b [Source:Projected from Arabidopsis thaliana (AT5G58470) UniProtKB/Swiss-Prot;Acc:Q94KD0] MAVEVEVVAEKEVVVEDTVVTHKIVVGGGGYQGGDRGRGGGGGRGGGRGSGREGDWPCPKPGCGNSNFSWRAECNKCGTPSPAGTGGGGDRGRNDGGSYNKGGGGRSDSRGGSGGGYGGDRGGRGDYDGGSGGGSRGGGGSYGGSQGRDSGGYGQGAAAGYGGSDSSYPQPPSSYGANTGYGTDAVPPPASYNGGPTSYPPSYGGGGGGYGGDSGGGRGGSGGGYGGAPQSQGGYGGGSPATDAPAKVKQCDETCDESCDNARIYISNLPPNVTVDELRELFGGIGQVGRIKQKRGYKDQWPYNIKIYTDEKGNNKGDAALVYEDPSAAHSAGGFYNNFELRGYKISVGMAEKSAPRAPPAHGGGGGGGRGGGGGYGGGGGRRDNYRDGGPDRNSHGGNRSRPY >cds-PLY84319.1 pep primary_assembly:Lsat_Salinas_v7:5:190407817:190408971:1 gene:gene-LSAT_5X85101 transcript:rna-gnl|WGS:NBSK|LSAT_5X85101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTMNQPATPPPTIGKMGPYTVFVTPSDSSHFSVSDSGKKHYIPPTSVQLTPVKSAPLVQPPPVQYGKSTPSKLALFWDAVAKVQNAHSSLDEHVAHWFGLNQSKYQWALDDYYESKGMDKVDIRAKDSSTKAQRV >cds-PLY92110.1 pep primary_assembly:Lsat_Salinas_v7:1:108586447:108586878:-1 gene:gene-LSAT_1X85400 transcript:rna-gnl|WGS:NBSK|LSAT_1X85400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSREVFGEIQLPDFHVKCPSMPVTITVVGDSLGLIYSFRPLFVCSSTYKVMVMKEYKIPTSWTLIYEVHFPDIDLGRPLKFRHNGDLITRSSSCNLMIYNKEAGCYIVDGCCRKGDELYSISIDRYQESLALLDVGSDTHNQE >cds-PLY97030.1 pep primary_assembly:Lsat_Salinas_v7:2:69817238:69817501:-1 gene:gene-LSAT_2X31161 transcript:rna-gnl|WGS:NBSK|LSAT_2X31161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGVGEDVVMGDAIEPHVEEVVRVNEYEDVERDNEDKELRKVNEVVGHVYSTGQPRKRRNYERILKLKLVKRVEGEGSSVISPMDLD >cds-PLY83055.1 pep primary_assembly:Lsat_Salinas_v7:8:194126652:194127467:1 gene:gene-LSAT_8X125440 transcript:rna-gnl|WGS:NBSK|LSAT_8X125440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKEHVMLMLCTIVIAIPLVQSVSEGPKAVQTWFKQELPLKKQKVTNLHFYFHDALAGQGRTAYPVFQSNISFTSITGFGLGFMFDNPMTVGPDPLSRRIGRGQGIAGAAALEKPGFLMNLNFIFTQGRFNGSTLQILGTNPIQNQFREMSVVGGTGVFRLAQGIATYRNETSSTIEYDIVVLHY >cds-PLY73512.1 pep primary_assembly:Lsat_Salinas_v7:4:22103099:22106551:1 gene:gene-LSAT_4X15380 transcript:rna-gnl|WGS:NBSK|LSAT_4X15380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRISGTGGGVGQIIAAVAAALLLRLFSGPGPVQLLENEIEEEGGEGIISDSEASVSDKVYPVTIRWTNITCSLSDKASKSVRFLLKNVCGEAKPGRLLAIMGPSGSGKTTLLNVLAGQTVASTRLHLSGLLEVNGQPMSNKSFRFAYVRQEDLFFSQLTVRETLSLAAELQLKGTYSKEDRDEYINNLLFKLGLVSCADTRVGDAKVRGVSGGEKKRLAMACELIASPSVIFADEPTTGLDAFQAEKVMETLRQLAQDGHTVICSIHQPRGSVYAKFDDIVLLTEGELVYAGPAADEPLTYFSKFGYLCPDHVNPAEFLADLISIDYSSSDSVNSSRKRIDSLVDSFSQQISSTFYTATLTKTLIVKDKTNLKRKPTPKGINGWWRQFSLLLKRAWMQASRDGPTNIVRTRMSVASALIFGSVFWRMGRSQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERAIVDRERAKGSYLLGPYLLSKLIAEVPIGAAFPLLFGTVLYPMARLHPSLSRFGKFSGIVTVESFAASAMGLTVGSMAPTTEAALALGPSLMTVFIVFGGYYVNADNTPIIFRWIPRVSLIRWAFQGLCINEFRGLEFDHEKSFDIQTGEQALEKLSFGGRTISETMMAQSRIVLFWYFTTYLLLEKNKPKYQRLEPPPPPPPVAVDEEEEQREPRLEVVESDESQSQSPGQSFEILETPTVDQGATQLNLFDLDGF >cds-PLY79027.1 pep primary_assembly:Lsat_Salinas_v7:3:7349891:7352247:-1 gene:gene-LSAT_3X4560 transcript:rna-gnl|WGS:NBSK|LSAT_3X4560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTSGKCQNGLDIRHGCSRKGVLQGKNETMSVDNSVVDSSVTEWKPDVEIKNNQESPNDKVEKDAYRSGAEDQDHSCEQVGTSNANKRSKSSDTRYFIIKSLNHDNIQLSIQRGIWATQVMNEPILEEAYHNSGKVILIFSVNMSGFFQGYAQMMSSVGWRRDNVWSHGHGGSKPWGRSFKVKWLRLQDLPFQKTLHLKNPLNQYKPVKISRDCQELPQDIGEALCELIDGENCLDENQTSYAFNMDILAPSFYGLQEEECEVAVAPTPMHIAATPMMYPSMVYQHESEASRFHQRQAAGGFHNHNTEETSFMNKNVDMVGGDKSLVCDDWDLCAERNGGGHGHANNNNNNAAITDDDILEMTYEEYLEAHARGGKRMSRQPEPCRRKSRDSSISKEHDDES >cds-PLY66940.1 pep primary_assembly:Lsat_Salinas_v7:7:18517803:18519352:1 gene:gene-LSAT_7X14820 transcript:rna-gnl|WGS:NBSK|LSAT_7X14820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADNMTPTDYRKINASLYDALSEGDDNKVCHICKTLPDGPLRKLTIHDDTVLHIASYHKRNKLVLQLLGMLPEDQPNILTVKNEAGKTILHSTATNNDTVEAAVEMLRRAPSLLAMTDNLGETPLFRAARYGKSKIFYFLQAEMNRRFPAKATDLMDFLQRNNKATILHVAIHSENFPLALDIAKTYPRLIGEEDGDGMTALQLLACKPSVFNNGFEANFFKRFIFIYLINPKERASRVPILKKFKKQKLKSESAKELATLLIENDTSWEESEPMPNQNRTKLHKYGGDIMPSQNHSLEIIIAPTPDSPLILATKSGCTEIVKKILQMYPQAVEHIDKDGRDILHVAIQYRRKEIYNLVVNMKYSLRRLRGKIDKQGNSIMHMVGIKVKDPKAEQDNRSPAFVLRDDLLLFSFNGKVDGVGI >cds-PLY92145.1 pep primary_assembly:Lsat_Salinas_v7:8:5087372:5087656:1 gene:gene-LSAT_8X4181 transcript:rna-gnl|WGS:NBSK|LSAT_8X4181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVGQSSARMAGDLCYVAAQTSALMVAAANQVRRAGANERQLRVLQGALASMREEFRDSEAKHQVISEHNFIVACKKAALEDHVATLEDQSE >cds-PLY93913.1 pep primary_assembly:Lsat_Salinas_v7:7:182067540:182070081:-1 gene:gene-LSAT_7X107680 transcript:rna-gnl|WGS:NBSK|LSAT_7X107680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Chitin-inducible gibberellin-responsive protein [Source: Projected from Oryza sativa (Os07g0545800)] MDSEQIFSYRTTGQTSSYPQTPQIPTKLINSLKFESRNSPKSPFLTPFDSETFTALSDNSPSSVEDCTSFNHSGTAYMKNALHDIETTLMAPDDDVTMPMTCMGQPGSNLNNLLIACASALASNNPDEFEKLVEQARAFVSISGDPIQRLGAYIVEGLVARKECSGNNIYRALRCKEPEGKELLSYMHILYEICPYLKFGYMAANGAIAEAVRTEDRIHIIDFQIAQGTQWMTLLQALAARPGGPPHVRITGIDDPVSRYARGDSLELVGKRLESVSQKFKIPIEFHPIQVFAPFVTKEMLDVRPGEALAVNFALQLHHMADESVDLSNPRDERLRMVKSLNPKVVTLVEQESNTNTAAFFPRFLEVLDYYTAMFESMDVTLPIDRKKRIKVEQHCLARDIVNIIACEGKERVERHELFMKWRSRFMMAGFTQCSLSPYVNSVIRNLLKRYSENYTLVEKDGAMLLGWKERNLISASAWH >cds-PLY74117.1 pep primary_assembly:Lsat_Salinas_v7:9:11106786:11108605:-1 gene:gene-LSAT_9X9360 transcript:rna-gnl|WGS:NBSK|LSAT_9X9360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLTSYSFTSGAEFHTQNPTLTLIPGLPDDLATLILAFLPFSHHGRLKSICKSWKQFFSSRTLRSIRHKHIPLLKRSHILCIFPQDPSISSPYLFDPQNLAWCYLPPMPCNPHVYGLCNFTSVSHDSRLYVLGGSLFDTRSFPLDRPSPSSAAFRYDFVTQSWDSLPPMLSPRGSFACAAIPNTDRILVAGGGSRHTMFGAAGSRMSSVEMYDIRRNEWVALDGLPRFRAGCVGFMVGNVDEEKEFWVMGGYGESRTILGVFPVDEYYRDAVVMQLKNGGVGKWRELGDMWEEGERRQLGRIAIVEDINGGSPGIFMLDKNDIFRYDMASNHWWKETSVPKRAADESSMGFTALNSELHVMVLYNGADSAENRRLRRNKRSASIFLQIYHPQKKTWRTLITKPPFQQPLDFKTAVMCTIRL >cds-PLY91726.1 pep primary_assembly:Lsat_Salinas_v7:9:17427050:17427307:1 gene:gene-LSAT_9X16241 transcript:rna-gnl|WGS:NBSK|LSAT_9X16241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTWSNHKSSVPNDKSDSGRNPKSLPLSENDDILLLWLKRSHSLTQFLANHHHKWVLKPFTVVATFHWTSYHRLLFRVSERLSLSR >cds-PLY88081.1 pep primary_assembly:Lsat_Salinas_v7:6:181691439:181691618:-1 gene:gene-LSAT_6X111281 transcript:rna-gnl|WGS:NBSK|LSAT_6X111281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFFGLLELGHLDVGEVKALCAFDEGEDVVEVLGVGIGGAGGGIGGDAGGAGDGPVGGA >cds-PLY95224.1 pep primary_assembly:Lsat_Salinas_v7:4:247532822:247533395:1 gene:gene-LSAT_4X132020 transcript:rna-gnl|WGS:NBSK|LSAT_4X132020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEASTSSKNQSRNQTKSLIDTPFEVMEKIKVDLAKISAVEAFMMKSVCRFFNEAGKTDEVYKLMELVGLRFRFWSNQKHAVVNKCIEMRNPNILFKNGLMKLFFLEAEHEGKRCLKKHLHWDIWIQHFSWE >cds-PLY67744.1 pep primary_assembly:Lsat_Salinas_v7:9:165564206:165564588:-1 gene:gene-LSAT_9X103160 transcript:rna-gnl|WGS:NBSK|LSAT_9X103160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTHPILKGMKFDIIIFNFAHAGHICYLREHDTELIQKHKELVGAYFRNARKMLSEGGEVHIRHRDDSPYDRWDIVSLAAEAGLKLKEKVWFSESEYP >cds-PLY76732.1 pep primary_assembly:Lsat_Salinas_v7:7:62490625:62500455:-1 gene:gene-LSAT_7X43420 transcript:rna-gnl|WGS:NBSK|LSAT_7X43420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVSRSFSRCLLLLLLLFVQKGYVASKPFTGTYGINYGRIADNIPSPNEVVRLLKAAKIKNVRIYDYDHSVLDAFKGSGLDLVIGLPNGMVKDLSNNPDHALTWVKDNVQSYLPSTHIVGIAVGNEVLGGGDLDLQTSLFPAIKNIYNATQKLNLDSIVQITTAHSQAVFGTSYPPSSCVFKQDVSQNMKKLLELFSQMGSPFCLNAYPFLAYMGSPDQIDINYALFNPTQGIYDEKTNLHYDNMLDAQIDAAYAALEDAGFGKMEVVVTETGWASHGDANEEAATLDNARTYNYNLRKRLAKRKGTPRRPKSMLKAYIFALFNENSKPGPTSERNFGLYKPDGSITYDIGFPPLKSSSSSSSLLSIKALGWLAAVVGVSNTILEVIVPYSHIPIIELLSKANDVDFDGDEMNMHIPQTKEASTEALMLIGPIQLWTGKELFRYERLSESYCY >cds-PLY89054.1 pep primary_assembly:Lsat_Salinas_v7:9:28247034:28250693:-1 gene:gene-LSAT_9X24861 transcript:rna-gnl|WGS:NBSK|LSAT_9X24861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSSNETRVFAEEIFQKVEHKSSGSNLYRQQEEAAPMLTRKQRITCTLLEADDDDAKDVPGGSVSVVDKDVKRFRKRSQNQDDDDDDDDDEGAGILKQERRVKQKSSHEKSDYSESEEERLRDQIEREDLERRLREKDASRIRKLTEQKLSKRDEKEAIRRANALKDDDIRALRKVSRQEYLKKREQKKMEEIKDDIEDEEYLFEGFKLTEAKQRELRYKKQVYELVKKRSQVDDNVNEYHMPDAYDEEGGVNQEKRFAVALERYIDSKDGDKMNLFAEQEAWEDHQINKATLKFGSKNKRQSDDYNFVFEDQIEFIQEQVMGGVNVDEEEEEEHERIIAKSAREKLLADRKTLPVYPYRESLLKAVEDHQVLIIVGETGSGKTTQIPQYLHEAGYTKRGMIGCTQPRRVAAMSVAARVSQEMNVKLGHEVGYAIRFEDCTCEKTVIKYLTDGMLLREFLGEPDLKSYSVVMVDEAHERTLSTDLLFGLLKQIARFRPDIKLLISSATLDAEKFSDYFDCAPIFRIPGRRFPVEINYTKVPEADYLDAAIATALQIHVNEPPGDGDILVFLTGQEEIETAQEILKQRTHCLGSKIPELVICPIYANLPTELQAKVFEPTPQGARKVVLATNIAETSLTIDGIKYVIDPGFVKMNFYDPRTGMESLKIIPISKASANQRAGRSGRTGPGKCFRLYTAYTYYNELDDNSVPEIQRTNLANVVLSLTSLGIDDLLKFDFMDPPPSEAVLKALELLFALGALNKYGELTKVGRKMAEFPLDPMLSKMIVASDKYKCSDEIITIAAMLSIENSVFYRPKNKQVHADNARLNFYTGNVGDHVALLNVYNLWKETNFSAQWCYDNYIQLRSMKRGRDIRDQLKGIMERVEIELVSNSGDLDAIYKCITSGYFSHSAKLQTNGCYTSVKHRQTVYIHPSSGLCEALPRWVVYHELVLTTKEYVRQVSEIKPEWLVEIAPHYYELKDVEDLGSKKLPRGKGRASKL >cds-PLY87272.1 pep primary_assembly:Lsat_Salinas_v7:1:50961201:50961503:1 gene:gene-LSAT_1X42821 transcript:rna-gnl|WGS:NBSK|LSAT_1X42821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEPPSHSEFRKVEASGEDDGQNRGGSGNTRNNRSFEDVAPRPESFIEDEDVQDPYVNPPSRSKVNPHAKTSGTEDLIVKDGTTTKPPPGLSDLIRCDDK >cds-PLY83649.1 pep primary_assembly:Lsat_Salinas_v7:4:40750454:40751103:-1 gene:gene-LSAT_4X28520 transcript:rna-gnl|WGS:NBSK|LSAT_4X28520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRVIFRTQVLTRHLKSHHNAATDLLHTAPCVSYSPPELSEPPLNFNTKMLRELLDGQNIADIDYMFNLMMQSNLFCPRERGGKVFVAPDFNQSMEQQREMAMRRIDYFREQGVFDGWFSKKGPEAELWRFAVAETASVFDHSLAIKLGVHFFLWYYS >cds-PLY66499.1 pep primary_assembly:Lsat_Salinas_v7:5:184428958:184433046:1 gene:gene-LSAT_5X80461 transcript:rna-gnl|WGS:NBSK|LSAT_5X80461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METNANFKIPQTKDYSHYNHTDSCKSSRWTSRESYVFMYGRPWQNVINFYSDVVNGRITLLQLFGAKTHHIPDDNSLDPSDESEYVITPSQKRAGRWARMNYKIVVSYHGGSFDGWQKQPELNTVQEVIEKSLGKFVDERKAALLRDKGLPLEANVVVAGRTDKGVTGFQQVCSFYTWREDIKPQDIEDAINSITPGKLRVISVSKVPRTFHPNFSAKWRRYLYIFPLNDGETDEEDSNNQENNIIGEKSFKKSSFDDENEKDDEENIQKPTRFKVSKVNKLLQQLEGKLLSYKMFARDTKPSRNTGPPTECFVFHARATQVTLTIDAKKGEYTKAMCIELVANRFLRKMVRVLVATSIREAAAGAEDDALIKLMDATCRRATAPPAPPDGLCLFDVGYKDFDSQICLIV >cds-PLY88412.1 pep primary_assembly:Lsat_Salinas_v7:4:155632811:155636402:-1 gene:gene-LSAT_0X13400 transcript:rna-gnl|WGS:NBSK|LSAT_0X13400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLFLFWVLKRVRESRLFGFKKVSSTEPEKKTPLSTRCTLKNLVLDQENLYRAYGNGFCEFVSHPSPVVFSSARPVSELNAAALRIQKFYKSYRTRRNLADCAVVVEELWWKALDFAALKRSSVSFFCNNKPESAVSRWSRARTRVAKVGKGLSQDKNAQKLAICHWLEAIDPRHRYGHNLQLYYKVWFTSQSSQPFFYWLDVGDGREIMVEKCPRNVLHYQCIKYLGPKEREEYQVIIENGKLMYKQSRRLVKTIDGTKWIFVLCTSRILYVGQKEKGRFQHSSFLAGGATTAAGRMVVHDGVLEAIWPYSGHYHPTEENFKEFICFLEQHQVDLTNVKKCPLDDDDPFLNVTCKEKSKSNKTRGNPPVNIKPLNENVKYHCEKMGLQEQRNVKSPKWTSGVGPRIGCVREYPTKLQFQALEQVNLSPRKVSSMFSSNGPIPSPRPSPRVHLSPRLANMS >cds-PLY68810.1 pep primary_assembly:Lsat_Salinas_v7:3:63217874:63223598:-1 gene:gene-LSAT_3X49280 transcript:rna-gnl|WGS:NBSK|LSAT_3X49280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH15 chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70070) UniProtKB/Swiss-Prot;Acc:B9DFG3] MNTLSSSSFILSPFSVLNPTLEISRCAFHRYFRFTIHSQNTCFHSSFQNRKQKIYQFRSNYKFPKSDFSVGESQASEDTDEDDEDDEEAAEEYDVVAEFGQEIESDDEDEVDSDESNVLEVDVNKSQFEEFKWQRIERIRNDVREYGDGIIDVDELASVYNFRIDKFQRLAIQAFLRGSSVVVSAPTSAGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFREFCDAFGEDNVGLLTGDSAINRDAQVLIMTTEILRNMLYQSVGIMSSESGLFHVDVIVLDEVHYLSDISRGTVWEEIVIYCPKEVQLICLSATVANPDELCGWINQIHGKTELVTSTKRPVPLTWHFSTRTALLPLLNETGTSMNRKLSANYLQLNSMGSKSYEDERPRRRNSRKFESDVSPSSKNDRSNLRRSQVPQVIDTVWHLKTRDMLPAVWFIFSRKGCDVAVQYLEDCKLLDECEMAEVDLALKRFRIKYPDAVRESSVKGILRGVAAHHAGCLPLWKSFIEELFQKGLVKVVFATETLAAGINMPARTAVISSLSKRGETGRINLTTNEILQMAGRAGRRGIDKRGHAVVVQTPYEGAEECCKLLFAGLQPLVSQFTASYGMVLNLLAGAKVTQRVSETDGMEVHRAGRTLEEARKLVEQSFGNYVGSNVMVAAQQELDQIQKEIQLLTSEISDEAIDRKSKNILSKSAYKEMADLQEELRAEKRVRTELRRKMEMERMSSLRPFLQNLEDGHLPFLCLQYHDNAGVKHLVPAVYLGDVDSMKGSKLKNMVVENNSFALKVTTEGDVETNPKPSYYVALGSDNTWYLFTERCIKTVYRTGFPNLPLKNGDALPREIMRAFLENGEMQWQKLSQSELGGIWCMDGSLETWSWSLNVPVLSSLSEDNEVVVQYSQAYYEAVESYKNQRNKVSHLKKKIARTEGFREYKKIVDVAKFTEEKIRRLKARSTRLLTRIEQIEPSGWKEFLQVSSVIHEIRALDINTHMIFPLGETAAALRGENELWIAMVLRNKILLDLKPAQLAAVCGSIVSEGIKVRPSKNNSYIYEPSSTVLEVINFLDEQRRSLLQLQEKHDVKISCCLDSQFSGMVEAWASGLTWREIMMDCAMDEGDLARLLRRTMDVLAQIPKLPDIDPQLQRNATAASNVMDRPPISELAG >cds-PLY80408.1 pep primary_assembly:Lsat_Salinas_v7:8:171526495:171539187:-1 gene:gene-LSAT_8X112600 transcript:rna-gnl|WGS:NBSK|LSAT_8X112600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKPDPNSEIIESMVAIGVVRETSEGGNVVADRRKIPGSKKLRNASFPSRPEVVKIGSILTFDSIIGKAAKIALEAAVEDVNSDPTVLNGTKLELTIHDSNSSGLVSIMEALHFMESESVALIGPESSSLTHTISYVVKELQIPLVSFTATDPTLSSLQFPFFVRSTHSDLFQMAAIADIIEYYEWRQVVAIYIDDDHGRNGISSLADQLASKRSKISHKAAINPDATRGDIQDVLLQVSSMEPRVIVVHTSTKCGLNILDVAQDLSMMESGYVWITTDWLSTIIDISSSLPTRSIASMQGVITLQSYIKDSEIKSEFIMEWENLTKMGLSTYTLYAYDTIWLLARALDDFFHQGGNISFSKGPEMKDSQGRLLNLDSFSVFNGGKVLLENILQVKMNGTTGPIEFTSDKNLVFPTFEVLNVMDTGFKTVGYWSNSSRLSTSPPETLNSNLSNLSSSSELLSGVIWPGETIKKPRGWVLPKNGKELKIGVPTRVSFQDLVEEVKGTDMYVGYSVDAFISAVNVLPYPFPYKIYTYGDGHNNPDYTDLVSLVNAGVYDAAVGDIAIITNRTLLADFTQPFIESGLVVVAPVRRLSSGTWDFFRPFTAELWCVIGIFFLVVGAVVWILEHRQNDEFRGTPRQQVVTTLWDRQFKIKQSSFVRNYLIEEIGISESRLVPLNLPEDYEKALNDGPDNGGVVAIVDERPYIELFLSTRCQFRIVGQDFTRTGWGFAFQRDSPLAMDMSNAILKLSETGELENIHKKWLRESACNSQGTEFSVDRLKLKRFKGLFIIIGLACFLALLAYLLLVIYKYTKHKQDPIESPGTTPGRLQTFISFIDEKEDLVNTRSKKRLRQESSLRSNRDIASLNGYRSTQKESSSITIECSQRED >cds-PLY97179.1 pep primary_assembly:Lsat_Salinas_v7:2:205888415:205890549:1 gene:gene-LSAT_2X126841 transcript:rna-gnl|WGS:NBSK|LSAT_2X126841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYTNKWVLRYLTKGDGFFLSSSSSYLSILPHRSPHDGDTGSRYLSHQKILFLRNNSTVAQITKLNDALHLFDQMLHRQPRPSIFEFTKLITVIAKMEHYSTALSLFKQINLMGIPSDLFAINISINCHCHLNQVKYGFALLATIVKQGHPPNVTTYSTLINGLVLTDHVFEAVELFKKLLREKLCEPNQVMYGTVINGLCKVGHCSRALDLLRFMEASSCKPYVAQYNTIIDSLCKDNMIDDALQLLAKMTEKGILPNIYTYTSLIHSLCNFGRENEAARMLRDMEEQGIFPGVHIFTILVNTFCKNGSIKDAENVVQSMVQRGVDPNVVTYSALIDGYCLRGEVEEAHKVLDRMVERDLVPNIVTYGSLINGYCKKKQINEAMKLFQEIQNKGLIPNVVTYNSILQGLFHTGNCKMGREFFNEMQMKGKTPDLCTYRILFHGMCKNFECSDALDLFRSMGRSRCELMKDVALYNILIDGCSKCGKLDLAMDLFDEISLKGLKPNVWSYTIMIRVHCQKGLFVEAKEMLMKMEENGCLPNSVTYNVIVQEFLKQNECRETEVLLEEMVNKGFSPDATTFSMLLSLIPKVGQGLLLF >cds-PLY64575.1 pep primary_assembly:Lsat_Salinas_v7:6:36823697:36824185:-1 gene:gene-LSAT_6X28121 transcript:rna-gnl|WGS:NBSK|LSAT_6X28121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTFESFLTIILFTLLVKGHGQCQLKDLTVLTSQTPRQIQGVQEWQVLFVNNCKCTLKGVTVSCKGFHSVENVDPNVFAPIGNDKCIVNGGRPIEPFASVMFLYADPQHFVFEPVSHDMVCVST >cds-PLY85931.1 pep primary_assembly:Lsat_Salinas_v7:2:189904918:189906916:1 gene:gene-LSAT_2X111621 transcript:rna-gnl|WGS:NBSK|LSAT_2X111621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQGGVRRHQRRLSQSVFDFPDDVLQPPPVADNDSFDKVGFTFTSACALTQLDSDLVFILLNTYASLFTIFVFHFRNAKRSVVMVIREDGIVPVLPTTRQTLYGGSRTRQNCIPPNPSSLFFFCDSTLQQFLEPTQHPLFLSCSPPGAPSSSFGSKPLNKTATIPFSLSGEGVTKLPQ >cds-PLY92342.1 pep primary_assembly:Lsat_Salinas_v7:9:178170456:178171813:1 gene:gene-LSAT_9X110141 transcript:rna-gnl|WGS:NBSK|LSAT_9X110141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAREVSESCMDSLLTEIVSSYCNVLYVSKPELAARRIEAIGYQVGHQLSERYTMERPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDDKFAWVSRMSSTENSGSTQEPGIGNKAAEASSMHLYFPCGIIRGALSNLGISCAVSADISNLPACSFVIRIKV >cds-PLY70057.1 pep primary_assembly:Lsat_Salinas_v7:5:201471767:201480373:-1 gene:gene-LSAT_5X90720 transcript:rna-gnl|WGS:NBSK|LSAT_5X90720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein 4 [Source:Projected from Arabidopsis thaliana (AT5G20320) UniProtKB/Swiss-Prot;Acc:P84634] MGHISENINVMGAFEAMSIGSTIFNGGNEEDEGSTMSETQLQQTGKDPRRIARGYQIELCQKALMENIIVYLETGCGKTHIAVLLIYEIKRQMNKSQKEICIFLAPTVALVEQQAKVIKDSLDVEVGIYCGSSNRLKGHHDWEKELEQHEVFVMTPQILLHNLSHCFIRIEHIALLIFDECHYAQVESNHPYAEIMKVFYKPGVAKLPRIFGMTASPIFGKGASIGSLETLLHAKVYTVEAREELDRFVSSPKVNVYYYGTTISNSLSPHVTYSARLETIKAECMLALITKIEDPSILKNTKKALQRLHGNLLFCLENLGLWGALQACRILLKGDLVERNEVIEVNEECSDDILCGSFLSQVASYFTSECKKDYPIEANCMEADLSSVEALKVPDFSWKLLRLIQILSNFRIITARSLAYVLQQLRFLSAWRCSYLVGVHSGLRNISRKSTNAILEKFRSGEVCIMLLYTYFVSLHKEVMSQCVSVRLNLLVATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPQSEYAFLVDRGNQKEMNLIQNFTKDEANMNNQISSRSSTVKYVDFEEKTYTVDSTGATISSGSSVSLLHRYCSKLPHDEFFNPKPRLYFFDDAEGTVCYIILPANAPIHQIISLPQPSREAAKKDACLKACQQLHQLGALTNYLLPDDEVDEDTQDSSDSDSDSDEEDNSRRELHEMLVPSALREPWIKSKRTMIRLNSYFIKFSPSPADRLYKDFGLFLKAPIPQEAERMKLDLHLAKGRSVLTEIVPCGASTFNNNEIVLAERFQEMWLKAIVDKSDFASNFVPLGKDDVSNSVSTFYLMLPVTVDRGGSMSVDWKLITRCLSSPIFKSPRDIAFDQHQPFCLDDCLYLANGPTRIDDIVNSLAYVPCKDTFFFVSDVLHDKDAFNLFKEDTNYVDHYAEVFGIKMSHPNQPLLKAKQLFRLDNLLRKRGNAEPREKEEHFVEIPPEICVVKILGFSKDIGSSLSLLPSIVHRLESFLVAIELRHRLSSSFPEGSQVSADRIFEAITTERCNERFSLERLEVLGDAFLKFAVGRHLFLLNHALDEGQLTRKRSNMVNNSHLFKLALSNNLHVYVRDQPFEPFHFYPLGRRCSKICTEATRVSIHSSHESNLTTGVTTEVRCNKGHHWLQKKTVADVVEALVGAFIVDSGFKAAIAFLKWIGIPVDFKDSHVADICASSAIFTALSDQIDIPTLESLLGYRFHHKGLLLQAFLHPSYHNNYGGCYQRLEFLGDAVLDYLITSYLYSVYPNLKPGQLTDLRSLSVNNNSFAGIAVNRSFYTYMLCDSNSLVMSVDKYAKFFKTSASKNGVVETVSCPKALGDLVESCVGAILLDSGFDLDVVWNIMISFLDPVMKFSALQLNPVRELQELCQYYNWELTFPSLKKDGAFTVEAIVDGIGVSDTASATNFNKKTAKRIACQKLYLSLKDKGYKTKVKSLEEILKSSKKMEAKLIGYDEIPTDIDVSRANQAVDLNSDKVRNIPIEGHSRHLNSSVKSSSSIRRLSQPTPQRSPSSSDVSDSNSQAPSGDGVKKSSAKSHLYEICAINCWKPPLFECFQEMGPAHLKQFAFKVTVIIEEASNTVLECVGDHRTKKKDAAEFAAQGVIWYLKQVGYLQN >cds-PLY85834.1 pep primary_assembly:Lsat_Salinas_v7:8:174430518:174430889:-1 gene:gene-LSAT_8X114121 transcript:rna-gnl|WGS:NBSK|LSAT_8X114121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPTNYTTVFRRRHQTRGFRVNPKRFSVQGLRTKLFNFFKILVRSWKSYSSSLSYTKSRSKRCSKVRDCSSPRSSVMTGNVDQSYVCRLRSLGRSNSFYAEAIADCLEFIKNSSVSLDDKSDI >cds-PLY84350.1 pep primary_assembly:Lsat_Salinas_v7:3:57996923:57997198:-1 gene:gene-LSAT_3X45620 transcript:rna-gnl|WGS:NBSK|LSAT_3X45620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRLHSLAANAKQLIKLNNKHLQRHAPKGYLAVYVGEIQRKRFLVPLSFLDQQLFQDQLHMSEEEFGFNHPMGGLTIPCHEEAFVHLTT >cds-PLY87788.1 pep primary_assembly:Lsat_Salinas_v7:8:222675681:222678342:-1 gene:gene-LSAT_8X137181 transcript:rna-gnl|WGS:NBSK|LSAT_8X137181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor C [Source:Projected from Arabidopsis thaliana (AT4G39920) UniProtKB/Swiss-Prot;Acc:Q9SMR2] MNEHTDDISTDETTQRKHAAMIDRLSNLHQARISTKTNSKDPTFESTQSFLTTFTNSKQSIESSLTQIQQIPNQDPNSDLERISNSISDLEKLVAENSYFLPPYEVRSSLKTISDLKQTLDSVTSKVKPRKKFSFKNKPTKKQEPEPKPKPESESEPEHKTSYTINDSPGFRGTENAILTKEFTGSEIREFVLSDLTNCEVKLKGRFRTLFINRVRNCKIYVGPVSGSILIEEAEGCLFVLASHQIRVHEAKLTDFYLQCRSRPIIERSCGVRFGPYRLCYDGIETDLKESNLYEDTGNWANVDDFQWLRAIQSPNWSILPESQRIDMVKI >cds-PLY79382.1 pep primary_assembly:Lsat_Salinas_v7:2:46066141:46066674:1 gene:gene-LSAT_2X20941 transcript:rna-gnl|WGS:NBSK|LSAT_2X20941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFANYSSLVHMETSSKHSILTSSFAISPKSSLSTSSLFSSHSRNRESRRLSSFPDILRIHRTVAVKPQASGANLVADAFSEFKHLLLPITDRNPYLSEGTRHAAATIVALAKKYGADITVVVIDKKLKESLPEHDTQLSSICWHLSEGGFQEFKLLERLGEGSKPTAIIGEIAYMI >cds-PLY69183.1 pep primary_assembly:Lsat_Salinas_v7:3:204672989:204673855:-1 gene:gene-LSAT_3X122001 transcript:rna-gnl|WGS:NBSK|LSAT_3X122001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQDHALSLDQINGIVGAPTEHTFGPNDPILGYSDLTRWTDLMCQLPYVSSYAKASSLIHPVMKVDHMIIASLVVPREERSTISALELKILYAMAHPDDNLIPHYGSFLCYKLTHLSTSRSGKISCGGLVTLFAKSAPVWAPYPRTHQPIPGETYLTTGVLESMRMFRVEDENHNWTVGQNHDPRLLITPENRGILSLRRPNNFTDWKITPYLFPESFSKEEEEEGEESDGAAPQNSPPTGGASSSHHAGQPSYHQQYMDQFQSIHTRLDTYHQDVMNLTQSFSAFTT >cds-PLY80577.1 pep primary_assembly:Lsat_Salinas_v7:6:13748003:13751182:-1 gene:gene-LSAT_6X11921 transcript:rna-gnl|WGS:NBSK|LSAT_6X11921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASREGDPALGYLTRKETEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDSTELADYRLRKRKEFEDLIRRVRWNKSVWVKYAKWEESQKDFNRARSVWERALDVDYRDHTMWLKYADVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEMLGNVAGARQIFERWMGWEPDQQAWLSYIKFELRYNEIERARSIYERFVQCLPKVTAWIRYAKFEFKNGEITRARNCYERAVEKLADDEEAETLFVAFAEFEEKCKETERARCIYKFALDHIPKGRAEDLYRKFVAFEKQYGDREGIEDAIVGKRRFQYEEEVRKNPLNYDSWFDYIRLEESVGNKGRIREIYERAIANVPPAEEKRYWQRYIYLWINYALYEEIDAQDVGRTRDVYSECLKLIPHKKFSFAKVWLLAAQFEIRQLNLSAARAVLGKAIGIAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLEWSPENCYAWSKYAELERSLSETDRARAIFELAIAQPALDMPELLWKAYIDFEIAEGEFERTRQLYERLLDRTKHLKVWISYAKFEASAIEEEEEDEEQQQQQKHDDEKKKLCIQRARSVFENAINYYRTSAPELKEERAMLLEEWLNMESSFGEVGDVGLVRAKLPKKLKKRRQIEMDDGPTGYEEYIDYLFPEETQASNLKILEQAYKWKKQKIVSDDEEEEEDDDDDMDD >cds-PLY74838.1 pep primary_assembly:Lsat_Salinas_v7:8:106819467:106821180:-1 gene:gene-LSAT_8X72880 transcript:rna-gnl|WGS:NBSK|LSAT_8X72880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRASSYWCYRCTRIIRVAVVVADSVVCPYCEGEFIEAVDSHAPSPPESRRRFPQAAMYMLGSNDRSDLRFRREGRRNTGDRSPFNPVIVLRGAEGGGIENSESGDRGFELYYDDGSGSGLQPLPPTMSEFLMGSGFDRLLDQLSQIEINGLGRAEHPPASKAAVESMPTIEISDSQVTTELHCAVCKEAFVLGAEAREMPCTHIYHSDCILPWLNLRNSCPVCRHELPTDSTNSPNLEGSDQTDEESATVGLTIWRLPGGGFAVGRFSGGRRTGGGERDLPVVYTEMDGGFNNSSGTPRRIMWESRRNRTRGEGGFGRVFRNIFSFFGRSRPSSNSNGSSMNRSRSLSSSVFGRMRSRRNRTWILDEQNGLSRW >cds-PLY92223.1 pep primary_assembly:Lsat_Salinas_v7:6:75279211:75285323:-1 gene:gene-LSAT_6X52801 transcript:rna-gnl|WGS:NBSK|LSAT_6X52801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVKDTTYDAKYMKDTSYEEFKKSNNEEVAVLSASRPASTVVRAANATEVSSNLLKLFEPRPPLEYRPPPEKRKCPPYTGMAQFVSHFAEPTDPEYAPPVVKGETPGQRRARIHWLRTNFEFITLTLSLWLTFVHFNDRYLSYNFCNGSIPESLGGLTSVRILNLNGNSLSGRVPAAVGGRLLHRSSFKIRNGSCLNKVNSSYPPAAKVNLQTKSLIPWNEGTMGMELQAVELAPASLANRFLFIDGENGSSLDKGRTYDVSTGVKGAYAS >cds-PLY64096.1 pep primary_assembly:Lsat_Salinas_v7:MU045727.1:179139:179977:1 gene:gene-LSAT_0X3280 transcript:rna-gnl|WGS:NBSK|LSAT_0X3280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRFEARVAITEALKSNVFNTFLNFLSNPKRREYPSYLLHQICIQGLYKGEEKNEMRLGVCSRSNDVIEPMIKPQWYVNCNGIAKEALNDVMDENNKKIDILPKQYAAEWKRWLENIRDWCVSRQLWWGHRVPAWYVTLEDDKLKELGTYMDHWVVARDEKEAEAEAKKVFSGKKFELAQDPDVLDTWFSSGLFPLSVLGWPDDTQDLKTFYPTVVLETGHDILFFWVSAMVMLEMILGGDVPFQKFS >cds-PLY97069.1 pep primary_assembly:Lsat_Salinas_v7:4:74379271:74382620:-1 gene:gene-LSAT_4X49940 transcript:rna-gnl|WGS:NBSK|LSAT_4X49940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEDSGLNRENELRTKRHFDRCKIKGDEVVVINSFSGKDNATVKKLDEDEDSDFEVDKLVVTRKKRRHHTSFKDDDKENVKKFKRQRTKEGNVLKPRKLPKVVASGAEEARRIQLLYVESVRCDSVKIVPCRLTICCWNVDKLHERERVESRTIGLGMGELQDPFQVINESSGTGNGNDAGGVRCKGNQGDDIFSGSGESVETTISSIKEMYDMILQQKKVLEDKINDAVKKYPDNQLVKEWKNKVNDLFTEVSASEEPEQSQWWYDNEAEIERTLILATTNKQFDNSPIAKCGIQMSQEYADFANRSGTKSFKNTPPSKMEMPIPLSIVPFNNDEHWVSRRGYRPRMKSEYLKSPYIIRAVDIIKGVPRQEKRVAEWIFSLQGEPNFPHFGWFFCSEVSHGKFFFPTCELFGHVIDCWSQVLNLDESKRAPESPLRVYCKTDVTNSYLESDLTESQRKDKFIENLVLSIEDMDASLRFVGLFFLPIIRSFHIFLFVINLQQPEFVIVDNSKVDDPDGEIYGQLPQIIKEYIVDYLKSQNHPKAKMFSHVMPHRLEMPWRTINNNIDCGVFTMHHMETYMGGSMNEFKAGFKNESSAQDDQLVKPRTKYLYKIITHEYNVQKDYVLXKG >cds-PLY91961.1 pep primary_assembly:Lsat_Salinas_v7:3:255167667:255169860:-1 gene:gene-LSAT_3X140160 transcript:rna-gnl|WGS:NBSK|LSAT_3X140160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPIFCGNFEYETRQSDLERLFGKYGRVDRVDMKSGFAFVYYEDERDAEDAIQALDNSPFGYDKRRLSVEWARGERGRHRDGSKSMANQRPTKTLFVINFDPIRTRIRDIEKHFEPYGKVLHVRIRRNFAFVQFETQEEATKALECTHMSKIVDRVVSVEYALRDDGERGESPYSSNRRSPSPYAYRRRGSPDYGRGGGGGGRSPVYDRYNGPSYDRDRAPRSPGYGRYRSRSPVRR >cds-PLY93835.1 pep primary_assembly:Lsat_Salinas_v7:6:143383168:143384951:1 gene:gene-LSAT_6X86460 transcript:rna-gnl|WGS:NBSK|LSAT_6X86460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPFSQSTPISNGFSLNHNKFNQTNHQPSTNAITIDVGGQLFQTTKQTLTLAGSKTLFSNLFDSCDQDNNGVPFIDRDPELFSILLSLLRTGNLPSKAKAFDIQDIIFEAKFYGINDLLVESQSNPSQFEPFDLEKSIILPLSGRDSPSAIATTPNGSLHVSHGSKITSFDWSLQKKSTTLTNFTAIDSLLALSPNIVAAGATDFSGLQILDLDLGFVRQTLNWENVTKSSSTVQAIGISPEFLFTSFESGRRNSNSIMVYDLKDDFKLVSEIARNEIFGADLDSAIPSTKLNWVPSVNLLMASGSHSGPFGVSGNIKFWDIRSGRAVWEIKENVDCFSDITVSDALLAVFKIGVNSGEVSYIDLRNLGSNNSWNCLGDTRKVNNGKKEGFGCKIESHGNQVFCGKKGELELWSEVLMGSLNNEKDRIFRKNVLGRVKDLGGNRITNVGFGGNKMFVTRKDQQCVEVWQSSGKRF >cds-PLY92645.1 pep primary_assembly:Lsat_Salinas_v7:2:159909703:159910122:-1 gene:gene-LSAT_2X83141 transcript:rna-gnl|WGS:NBSK|LSAT_2X83141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSLGRNFIDEEWESFQFRFGFVPEHSIQIPLSNASLYSPPKGKVGNLIALFEAGLHLPTTDFFNLIIREYGFSVRELTLIAINKIIGFDLLCRALDRQPTVSAFKHFFNASTQSGTRTLSHRRGILTLFTTKIPR >cds-PLY86724.1 pep primary_assembly:Lsat_Salinas_v7:4:8409990:8412762:1 gene:gene-LSAT_4X5380 transcript:rna-gnl|WGS:NBSK|LSAT_4X5380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYFRGTLSIIRRSEIVSTCQSSFSSYLNGTEVSFSTFHSIDVLLEDITCFFQKMLIMKIPKVGIELIVDKGEFPEHQCANVIVANECIDLPSEANAECLKSGLVDYVLKHWNKKDSICSSCFTIKDHLKVGSGEVYKSYRNTETTIEAVVIISDLSEMMMDPSCFRACGSKTEVLYFNDFSPGITSQPLLKALKTMDWKSYGLSIKSISDEDGCAFIEWDNLPQDCHIDIAIHSHHNKYPRVNVDGNLVKKAVKLALNDLKEKNAGVLLSAHAVKIRSYAPELAKTIASLISTSNDLKFKGECASLLGIHSSHDDEESESIEDNIKQRLTSIIDQNDRQPQTNKRTRESAPLLFNDECFQEPEEYEDEVSFSAFDL >cds-PLY75269.1 pep primary_assembly:Lsat_Salinas_v7:7:65964010:65965994:1 gene:gene-LSAT_7X47981 transcript:rna-gnl|WGS:NBSK|LSAT_7X47981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMWWWRVVNHMWRRVMNHWWRWVVNDWWWVVNDVNHWRRRVVGRGSRHLLFVFFETKHGGIRSSDPFLDGRVNNENSSSALSKLFFCDIEFGESQSSFAQYGVNALPHIRLLPPDATTLKTDSISMDTGDFSHLAESMGNLSNRELNSKWVKSTVLQFSKKLK >cds-PLY87849.1 pep primary_assembly:Lsat_Salinas_v7:2:66479388:66480326:-1 gene:gene-LSAT_2X30021 transcript:rna-gnl|WGS:NBSK|LSAT_2X30021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVFRVLHLLILISLTRTTTLTKAKSISKKGCNDTCGGILIPFPFGIGRNCFLNKWYNVDCNSSIPYLPSLNNVEVLHASSTGDVIVNVSMTFDCENSMQNSTLVLSDQSPFAISVYDNSFFVKGCGNIYANVTKSGSIVAGCSTICRKKTVSDRNNCFGVGCCQSTFSYYLESFNLNRTRLKRPIGDGSCGTAFFMNSAYFEKGFPRQSIDGDNIYVPITLSLIYSTSGDISSPECKRCEIKGGYCSINVDAASGTSCYIYGRSKKIGVILGKLFS >cds-PLY63493.1 pep primary_assembly:Lsat_Salinas_v7:9:168322632:168325178:1 gene:gene-LSAT_9X104540 transcript:rna-gnl|WGS:NBSK|LSAT_9X104540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYQWRKFEFFEEKYAGKCLVPDEVAGKIECCSSGRGKIAVGCEDGTVSLLDRGFKFSYGFQAHTSSVLFIQQLKQRNFLLTVGEDEQISPQLSSVCLKVFDLDKTQPDPDCIQILRIFTNQFPEAKITSFLVVEEAPPILLIAIGLDNGCIYCIQGDIACERIKRFMLQVEKSEDKSECGITGMGFRVDGKAFHLFAVTPTSVSLFNLQTQPAGRQTLDHIGSNVNSVAMNDRLELIIGRPEAIYFYEVDGRGPCWAFEGEKKLLGWFRGYLLCVIADQRSGSNTFNIYDLKNRLIAHSIVTKEVSHMLCEWGSILLIMNDKSALCIGEKDMESKLDMLFKKNLYTVAINLVQTQQADAAATAEVLRKYGDHLYMKQDFDEAMSQYIHTIGHLEPSYVIQKFLDAQRIYNLTNYLEKLHEKGLASKDHTTLLLNCSTKLKDVDKLNVFIESEDGEINLVRLSKCTACTFTLDLPAVHFICMHSFHQRCLGDNEKECPECAFEYRSVLEMKRNLEQNSKNQDQFFKQVKNSQKMDFQ >cds-PLY71927.1 pep primary_assembly:Lsat_Salinas_v7:3:26114692:26117618:1 gene:gene-LSAT_3X18021 transcript:rna-gnl|WGS:NBSK|LSAT_3X18021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQPDLSLQISPPTSKPTSKAWRTSSTASDQDHHDLDLGNLWKRAFNSQQLHQQQQQQQQHGSTRRPEPSFGLSLSNPNIHSTNSDLTHFHNLLSTQNTNTSSSSNMYPYQHHQHHHQAGFSSELGFLRPIRGIPVYYQNTPPNFPIMANPHHKNQPSLDSCTNSTTTSSLIHSNNINNIVRSRFLSSRFPSKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLSHVKSHLQMYRTVKTTDRAPVSSGQSDIYENGSSGDNSDDIMFDIRNPRPTDQLSTEHGGRSSVHQEKDCQYGLWSNSSR >cds-PLY67155.1 pep primary_assembly:Lsat_Salinas_v7:8:205597165:205597977:1 gene:gene-LSAT_8X129821 transcript:rna-gnl|WGS:NBSK|LSAT_8X129821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCYIGKATKIFIFLITVLVITGLVLGFSVLRRSLHLKSHKCSGESCSQSDFYPPPPLEFPLPSTPDPNASNSSDPLSNPPPPPTPSLSGSGTNPSPPPPAASLASPPSESSSIQTASPPPPPVSVLKTSPPPPRPVVLAPPPAFSPPSPVPVNNL >cds-PLY62077.1 pep primary_assembly:Lsat_Salinas_v7:2:56898080:56900047:-1 gene:gene-LSAT_2X25721 transcript:rna-gnl|WGS:NBSK|LSAT_2X25721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAICLQTVRILAPIPNHAMQWTPGFPTKDHGQHKGNDLLASGRIVGSYLAETPRACNEEVRELLGYMVLVEGEDEQRFVSFYFTFISKFRKEMETKQMSYFLFISPSLQFASYFQCYAR >cds-PLY87738.1 pep primary_assembly:Lsat_Salinas_v7:1:4216787:4217855:-1 gene:gene-LSAT_1X3661 transcript:rna-gnl|WGS:NBSK|LSAT_1X3661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSISTLTFFASSVLIISALFHPCSATIPRKLALVQTPATVLKYHKGSVLHGNITVNLLWYGKFSATQKTIIIDFLESLNSQLPTPPSAAAWWQTTAKYKGGLRSIQLGKQTVDEKCSLGKSLKDSHLIYLASKNKGFNQISLVLTAADVGVAGFCMNRCGTHGSTRVNKGHNFAYAWVGNSVTQCPDQCAWPFVQPTFGPKMPPLVAPNGDIGVDGMVINIATVMAGTVTNPFDGGYFQGPATAPLEAVTACTGIFGSGAFPGYPGKVLVDKKKKVSYNAQGVKGRRYLLPAMWDPNTLTCKTLV >cds-PLY77883.1 pep primary_assembly:Lsat_Salinas_v7:1:21128955:21129269:1 gene:gene-LSAT_1X17440 transcript:rna-gnl|WGS:NBSK|LSAT_1X17440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECHYPTTYVKEPMLMFGSGGEGHEVCTTGSSSKAGSSLSQTSYENFSKDHYHKKQQHQIKQEEFTLQVFKDHNQSFINNHDYSDQKQKVYNLNNFESGLKEVK >cds-PLY88027.1 pep primary_assembly:Lsat_Salinas_v7:4:230245271:230245576:-1 gene:gene-LSAT_4X126021 transcript:rna-gnl|WGS:NBSK|LSAT_4X126021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVEYHTSLNSKEFVHLQGVLAEVKEMISKLAIPPTSTVSQESISQLFSESRLKAGLDPLLQLVNLMLTAAPPVSTGVQGGHKGVGASNNSDQCEVVGKM >cds-PLY65172.1 pep primary_assembly:Lsat_Salinas_v7:5:250163308:250165215:1 gene:gene-LSAT_5X125041 transcript:rna-gnl|WGS:NBSK|LSAT_5X125041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRGSEMAQRVSTRGPAPLRTSSSDSDPLHHRPITDRSPKLGDRRSPRSAHSEPINQKKLGTRIAGLETQLEQAQGELKILKDQLASAEAAKKEAQKELEKRTRKPPVVVSEPETRSSPSETQDSNKEISQEDEIPDEIQNETDVFEVPIEMVTQSCDLNEVKTKESSVSPESLEKLRSDDEEVSLLKLKIEEKEKDLEAFRQENESLKLQLSEANVEISSGKSKEEKTSLKMTQLEEELKRSKNDGIELKEKLQEVEGAKEALETEMKKLRVQTEQWRKAADAAAAILSGGVEMNDGRRVSERCGSMDKHYGGVFDGYGGFVGSPGMGDDCDDGLGNGKRKGSGIRMFGDLWKKKGQK >cds-PLY67134.1 pep primary_assembly:Lsat_Salinas_v7:5:291269729:291271360:1 gene:gene-LSAT_5X155641 transcript:rna-gnl|WGS:NBSK|LSAT_5X155641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGGSTKSQTQWFTIGLVLSWYTSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIVWMKMVPLQTLRSRVQFFKISALSLVFCASVVSGNVSLRYLPVSFTQAVGATTPFFTAVFAYIMTMKREAWLTYVTLIPVVTGVVIASGSEPSFHLFGFIICLGATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPVAVVILLPATLLMEDNVVGITIALARKDVNIVWYLLFNSGLAYLVNLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFKNPVSVTGMLGYLLTVFGVILYSEAKKRTK >cds-PLY70006.1 pep primary_assembly:Lsat_Salinas_v7:8:146842784:146845673:1 gene:gene-LSAT_8X99581 transcript:rna-gnl|WGS:NBSK|LSAT_8X99581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNICGAGFLPDNVHQMHLVDLPGPFVLQVAISNVNVRHGLLMLVPEVCRVLGGLVEELDAATQGLVNEVNKPPRGKRYLDRLKSLFSAAYILLWRQKQMSGAILVSATVIWLLCERIGYHLVPFLCHFLILALAILFLWSNISSFVNKSPPNFPDIRLSQELCDCVALLLKDQINQGCL >cds-PLY98915.1 pep primary_assembly:Lsat_Salinas_v7:7:50895716:50897135:-1 gene:gene-LSAT_7X37001 transcript:rna-gnl|WGS:NBSK|LSAT_7X37001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGASIAIASMWASMATWSTSTVLFCVLNLMIATIFIASNFKSDNHHPHNLQSQLARSPSFLERVKSVNLSSFYNTAESHDDDHRVQYDSTGYSSPSQLYRAPSLLQRVKSMDFSFNSFYTAPVDQLHEEHQHESEQTNDDSTRHLARVPSILERVMSIKFSAESEQPSNSIGEPSRAHSLLDRVKSFKLPSLLNSDHHSRDTFSNHDQRSDIDLDPHRDPFHDHNVTSNLSNDSGVTPAKKPSTNLTKSHSERRVAESDDDKEEVERRRPETMKASVGVHDESVDARADDFIRRFKQQLKLQRIDSFKKFREMLNGGTSSG >cds-PLY89751.1 pep primary_assembly:Lsat_Salinas_v7:1:58730747:58734644:1 gene:gene-LSAT_1X50861 transcript:rna-gnl|WGS:NBSK|LSAT_1X50861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIISKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGSGKQHTYVETENVRYVYQPIESLYLLLVTNKQSNILEDLDTLRLLSKVIPEFSASLDEEGICKYAFELIFAFDEVISLGHKENVTVAQVKQYIEMESHEERLHKLVLQSKINETKDIERGKMDKGGYSSLQSMGSMGSIGRMDSGFGNDTGISSGNNFGSGSGFGLSSDIDSFSTKSKGKASAAVSAPSKGMGMKLGKNQRANQFLESLKAEGEVIVEDVRPSGNPGSRSAAPPPTDPITLTTEEKLSVTLKRDGGVSDFDVQGTLSLQVLNQDDAFIQVQVASRDNPEIKFKTHPNINKELFSNENILGLKDPNRPFPAGQSGDGLGLLKWRLQSKDESDVPLTINCWPSVSGNETYVNIEYEASSMFDLQNVVISVPLPALREAPNVKQIDGEWRFDSRNSKLEWSIVLIDDSNRSGSMEFVVPPADSSVFFPISVRFSATTTFSDMKVVNIVPLKGQGQGGSGPKFTQRTQLVTENYQVV >cds-PLY78546.1 pep primary_assembly:Lsat_Salinas_v7:1:106039360:106044794:1 gene:gene-LSAT_1X83140 transcript:rna-gnl|WGS:NBSK|LSAT_1X83140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDVVIGCAANILAKIGEKLFVETGRHLKYVLYYNNYIKSLMEEVGTLMSKAQGIQLEVDEARRNGENIAPEVEQWFSTVDRIYEQSTRILEYEVPKNKCILNGRFLSIKKRYILSKKAKKMTEKADAAKLKAETFFKMSYPAPPLAVGDRSMGDFKNFESREPTINQLMHFLKDDTRQIISICGMGGSGKTTMVNEVARRVKGDKLFDEIVLAVVSKEHDLMKVQEDLAKCLGLKFKYPRLEGRADELWKRLLQSKKGTLVILDDVWKHIDLKSIGIPFGKEYKTCKVLLTSRSEDACKAIGCQDILRLDVLTQSEAFSLLGEMVGDSLNDPDLCETASNVAKRCGGLPIAIVCLGRALKDKRKEVWDDTLLKLQRCIVPSNIEGMKEEVYQSLEVSYDLLGDVEAKRLFLLCCLYPEYANVPLEALVRCGIGLDFFEGVHLLIEARNRVYALTDMLRRRFLLVSGDRKSTVKVHNVVRAVGISVTSRLESNTGQFSGMVIHEDKWPRGMTYGNYNAISVVSNELSELPSRGLDFRKLELIQVACPKLSVEKLNTMFEKMEKVRVVELWNMSLLSLSTILFSLPRDIYALCMDCKMENIGDIMTDEFLNLEILSFGNCDITELPKEIRKLSKLRLLDMSGCRWLERISPGVISSLSQLEELDTGSKWWGDEEEGDASLTELESLTNLKYLGIRIKSSRFLPNYNLFENLQRYVISVGVHLQKRRSFNNRMLLLNLESTDTHLGGGIEKLLTNNTEKVFLTGDGIKVALKELDTVGFQQVKNLTVESCNSEGIEYLSNYSNTSNGSGVFNNLEKLRMDKIWHLKGIIRYNGKGLPVRSFSKLRKIHLSVLPEMTHLFTYRVANNLEHLERLHIEYCNSMHEVILNQIPSALESTIDNKIVFPKLTELILNDIASLICFSHGINLQVEFPQLRVLKLEYLQNFHTFCPEEINLASEGNHRGTNFHSLVNHKVEFSSLAELTITKMGNIKHVWCGHLPDLVHLQGLYIQFCHMMEEVISVQRPSVTTMEERIVFLKLKEVIIFGLNKLTFFCKGIDHVEFPQLRVLRLRWLTHFRNFCPEETTGRTSSLFNDKVSFPCLETLEVSELDSVEQLWSSKLPMSQFGKLKSLRVEKCHKLVNIFPSDLQTVFPSLEKLEVEKCDSLEQVWGYTEEQIRKLKSIFVHECPMLTNLCSFYTFKGLSNLQILNISSCKMLEEVVGYEHTYGKMKEVLSLNKLEELSLAFLPNLSYFSHNKCDIELPELTHVNIKSCQEIYTFSKSSVTTPKLKYAVVDDLRSWLGDKDLNSTMRHLASRGAH >cds-PLY83267.1 pep primary_assembly:Lsat_Salinas_v7:4:146406096:146409978:1 gene:gene-LSAT_4X89360 transcript:rna-gnl|WGS:NBSK|LSAT_4X89360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPKPKPKPLFFFFFFHLGFLRLLIILVFPILLTDAACSSSDRDSLLSFAANFPTLNWTAAVDCCSWDGIDCDDTGDRVVELSLPDRGLRGVISSSLQTINTLSLLNLSCNFLSGPLPDELFSSMNNLHTIDVSYNRLSGQLPDILPPTIQSLNFSGNRFNGTIQAAFFQSTPSLIALNVSNNSFTGVIPPSICDNSPGLVILDFALNDFTGIIPSGFGACSNLQFLSMGFNNLTGQIPIDINGARSLQVLSLPGNSLTGEIDEGITNLTNLKSLTLFGNSLSGDIPRNIGKLSFLERLELHINRLNGTLPPSLMNCTKLKLLNLRVNFLVGILSDFDFSNFSQLTIIDLGENQFNGVLPKTLFTCKSLTAIRLANNRLEGELLDDVLQLPSLSFLSLPNNTLKNISNAFNILRQHQKLTTLILSKNFFYETLPNGEMSGFLKLKIMGLGGCKLFGQIPTWLSSLQKLQVIDLSQNNINGTIPGWLETLPNLFYLDLSNNSLSGTFPIELTRLPALGSQQVLDHVNSSYLELPVFVQPQNASYLQYNQLATLPPALYLASNHLIGDIPVQVGHLQSIHTLDLSRNNFSGTIPSSISNLTNLEKLDLSYNLLSGEIPSSLKNLNFLSSFNVANNSLQGPIPTGGQFGTFMSPSYVNNPGLCGPPIDTLCGNQNRSNTTDSSRRKGPNKKMIVGLILGICFGVGITLTCLALFILSKRRILPRGDPEVFHMDMTSFNSTSAVEVPKDTSGVILFPNNMTPDTKNLTISDILKATDNFSQANIIGCGGFGLVYKATLPNGTKLAVKKLSGDMGLIAREFKAEVEALSTAQHKNLVSLQGYCVHDGCQLLIYSYMENGSLDFWLHEKTDGASRLDWPTRLKILQGASCGLSYMHQVCEPHIVHRDIKSSNILLDEQFEAYVADFGLSRLIHPYNTHVTTELVGTLGYIPPEYSQSWIATLRGDIYSFGVVMLELLTGKRPMEIFRPKASRELVVWVNQLRREGKQDEVFDTLLKGKGFEEEMLQVLDVACMCVNVNPLKRPSVKEVVDWLHNVEFNNQIPKP >cds-PLY72239.1 pep primary_assembly:Lsat_Salinas_v7:7:57478005:57479129:1 gene:gene-LSAT_7X41940 transcript:rna-gnl|WGS:NBSK|LSAT_7X41940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRNHNHDNASSSSTRKRKKRKSFKTFDKGDVAPWSYVNHDVLFLVMMQLRVVDFVAFSGVCKSWRAFALYNKKTFMASKPPMRIFFEHYSDNTMLWCLKDFEGRNFRTIVPDSQGRKCVGCTCGYLILTRGKTHDFWLVNPITRHELYFHGFSLYEGAGLECTGGLLVFSPSISRGLLVFSPSISGWVFVLLHENEWCEGNISFYIAGKRGWNHVYSNHRILDIHVFKGKIYTLDADFCVCELKLLPNQKHKWTLLEIKNFPKPYMFSPEFVSSSESLYVIDHYAPHKVLEIDIGEMKWVSPEKTIQEYAIFLSNGRIRSSAAIKPESWAGPWTHYKSYDCFHDTDKDQLDIIHYNTMWYFPHDCLNVNLLDE >cds-PLY92406.1 pep primary_assembly:Lsat_Salinas_v7:2:155212888:155215005:-1 gene:gene-LSAT_2X81040 transcript:rna-gnl|WGS:NBSK|LSAT_2X81040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSQILSWCPSSLTIINLLILIVAQRASSSLHESYENCAESFTCGNISNIRYPFLKHQDPIYCGYPGFELDCNGKNAPTIDINNMTYHVLSIDQTSNILKIVREDVKESICPHDFVNTTINHNLFDYSPAYMNITFLYGCPDSFKLHGVPLDSLSCDDNGIDKVLVLPGEQGPGICNHSVIVPIPVMAIESTGLVNSTGLDQVLRGGFEVNWKLDAINCSQCTQSNGRCFYDYDSNRTSCACSTEPFIAETCTMANATQTSSSSKKKSMIIALPITGAILAAIGIVSGLFVCRKRKKRYPAGEDDETQNKESATTTVSSKGVATNFPASITSYSSSTMELGTSTYFGTRVFTCDELEAATGGFDDSRELGDGGFGTVYYGKLTDGREVAVKRLYKNSFKRVEQFMNEIEILTMLDHENLVKFYGCTSRRSRELLLVYEYVANGTVADHLHGKFANSNSNLNWRLRLNIALETAEALAYLHDSDIIHRDVKTCNILLDENFKVKVADFGLSRLFPFNVTHVSTAPQGTPGYVDPEYYQCYRLTDKSDVYSFGVVLMELLSSLEAVDTSRHRHDINLANMAIDRIQNRRLGELVDKAIGFENDGDVRRMVTLVAELGFWCLQVEKDMRPTMREVVENLRGIQNEKMNVQKPEVVDIVVEDGGNPPSSDSGITGKLVES >cds-PLY79126.1 pep primary_assembly:Lsat_Salinas_v7:MU042219.1:1162:3081:-1 gene:gene-LSAT_0X45320 transcript:rna-gnl|WGS:NBSK|LSAT_0X45320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPCKSFDLIVLKHLNSTTIFGTTQGQYAFPFSSLSICQTKSPIAKTPHIPPVTPQSLQKSISSSQWHFIEQISDTLTPTTISTALYNLRTSPTLVLQFTEYLNPNNTDIESYCLSIAIICQLPSPKSSLQFIKTLISSRRFSYNDVFNGLVAARERLGISSTIVFDLWIKGFCELKRPDEAFKWFYLMKRKGVLPKIETCNNMLSLFIRSNHTHSTWVLFAEMFRLKINPTVYTYNIMVNLLCKEGKMKKAKEFIANMETLGLKPNVVTYNTIINGYCAKKDLDGAKRVFNRMKAKGIQPDTYSYGALVSCMCKEERFNDASELMSKMEEIGLVPTAVTYNTLIDAYCNKENLEMAFHYKDEMVKKGIQPSVSTYNSLIHALLFEGKESEAEDMLEEMRISKLIPDAITYNILINGYCRSGNAQKAFALHDEMITKGINPTHVTYTSLIKVLNKRNRMTEADNLFSKIIERGVLPDVMMFNSLIDGHCANKNMERAVFYLKEMDRVKVCPDEVTYNTLMQGHCREGRVEEAIRVFEEMKRRGIEGDYISFNTLISGYSRRGDMKEALKIRDVMVSKGFNPTLLTYTALIQGLCKNNEGHYAEKLYKEMVSKGITPDDNTIISLIEGMESVDKFLENDL >cds-PLY99652.1 pep primary_assembly:Lsat_Salinas_v7:6:81341610:81343805:-1 gene:gene-LSAT_6X58100 transcript:rna-gnl|WGS:NBSK|LSAT_6X58100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGARGGGRSGSHISGRDARDRNASQSYNDVESQPSSSVSGSNILEQVPKTDVLARKVWEDCMKKRFPDVMRRAREASLKLAKAANVNASLEGDLNLLKDYRPNWIKKKYWEKMINEVLTTSKWKRSSQSGKNNRNKLEDGSISKHTGGSISIRQHKKRMQAMLKRPPTGVELYARLHTKRSTQEYITPKAAKVKVVYERVRNEMRGEMDAKAAEMEAKHQQMREEMDAKAAAIDAKQQQIDAKYEAMEKMYAALQNMMGN >cds-PLY70600.1 pep primary_assembly:Lsat_Salinas_v7:1:88664807:88665121:-1 gene:gene-LSAT_1X75340 transcript:rna-gnl|WGS:NBSK|LSAT_1X75340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNANNSLDILSGVKNLALTKSIDDAYSCIHVNAIKARLDTSIQNLEEKKKRIKATNLIHKDVVYKYLDEEIKAEKQEVKYLEGLLGMIRMTNKKCNELIK >cds-PLY95553.1 pep primary_assembly:Lsat_Salinas_v7:6:174941968:174966996:1 gene:gene-LSAT_6X107221 transcript:rna-gnl|WGS:NBSK|LSAT_6X107221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRSGLLKKAKELAILCDAEVGVMIFSSTGKLHEFSNTSMKSVIDRYHKAKDEQQMTTPISEVRLQMKEEKSETKASKRRKDSETFPLKNRTTKTKAEGPRICMLHGQGADSENLQSQFWQREAAMLKQQLQSLQENHRRMMGEELSGLSVKDLQGMESQLEMSLRGIRMKKDQLLFEEIEELSRKGNLIHHENVELCKKVTQIREENTELYNKIYGIRDGEAANRNVFLTNGSSMREDPHPPIHLQLSQPDQHDATDQAPLHSTNLGL >cds-PLY72339.1 pep primary_assembly:Lsat_Salinas_v7:5:97378853:97379182:-1 gene:gene-LSAT_5X46721 transcript:rna-gnl|WGS:NBSK|LSAT_5X46721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLFPKWTLKRMQNQAVDMPSQYWLHPIASFEIHNTHVSQLDLPIALKVFRFRAFVKVGNVLFTDHTTNQMMFGFYPKHMKPQYETWSASKIIVVKVSMPIETESFRNA >cds-PLY66526.1 pep primary_assembly:Lsat_Salinas_v7:4:341465590:341466189:-1 gene:gene-LSAT_4X168680 transcript:rna-gnl|WGS:NBSK|LSAT_4X168680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQQANLDRQAGAQLNEEILKEQIYDGCVICLEEFNKNEKIRVLKVNQCSKKPYIILGKTTIT >cds-PLY81509.1 pep primary_assembly:Lsat_Salinas_v7:8:157065702:157069826:1 gene:gene-LSAT_8X103640 transcript:rna-gnl|WGS:NBSK|LSAT_8X103640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKGDKPANANKSNANKSNAAAQSSKGRKKETGLGLTNTKDGNFGEWYSEVVTNGEMIEYYEISGCYILRPWTMSIWEIMQTYFDAEIKKMKIKTCYFPLFVSNTVLQKEKDHIEGFAPEVAWVTRSGDSELEVPIAIRPTSETVMYPYFSKWIRGHRDLPLKLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADTEVLEILELYRRLYEEYLAVPVVKGKKSEMEKFAGGLYTTSVEAFIPNTGRGVQGATSHCLGQNFAKMFEINFEDEKGEKAMVWQNSWAYSTRTIGVMVMVHGDDKGLVLPPKVAAVQVIVVNVPYKDADTEKIKDACLDTVKTLSDLGIRAEADLRENYSPGWKYSHWEMKGVPLRIEIGPRDVTNKQVRVVRRDNGEKIDIPMADLVKVKELLDDVQQSMFNVAKQKRDACVEVTKTWDEFIKALGQKKLILAPWCDEEEVEKDVKTKTKGETGAAKTLCSPFEQPELPEGTLCFASGKPAKKWTYWGRSY >cds-PLY69597.1 pep primary_assembly:Lsat_Salinas_v7:5:313944448:313945521:1 gene:gene-LSAT_5X172281 transcript:rna-gnl|WGS:NBSK|LSAT_5X172281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Josephin-like protein [Source:Projected from Arabidopsis thaliana (AT2G29640) UniProtKB/Swiss-Prot;Acc:O82391] MGDEQKQIYHEKQKLQFCLLHSLNNLFQEKDAFTRKDLDDIAEKLVKEDPYEGNRMPFSVIFKPHHNSLTGNYDINVLITAVERKGKKVVWHDRRNRASSINLDEPEGKLMGIVLNVPVRKYGGLWRSRHWVSLRRINGIWYNLDSDFTSPCSFGSTEELRDFLDAAIDGGTELLLVKDDD >cds-PLY99205.1 pep primary_assembly:Lsat_Salinas_v7:4:367214929:367215537:1 gene:gene-LSAT_4X180101 transcript:rna-gnl|WGS:NBSK|LSAT_4X180101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLRDGDRPPCAACRHRHVRCTIDCPLAPYFPANEPEVFQNVLHLYGIANVTKILNQLNDNVEKEEAIISIKYESNIRKINPVHGCYGLTVSLLQNLNALTSELQRVRLSLESHRRNNINNQQLQIIHDNSQIMPSFTGLPVNNQIINDQSVDGLSNIPNDQYNQGFHFGGPSDRNAINEVLASRTMEPPFEDLGDQDDNEE >cds-PLY79047.1 pep primary_assembly:Lsat_Salinas_v7:3:9942033:9944488:-1 gene:gene-LSAT_3X6581 transcript:rna-gnl|WGS:NBSK|LSAT_3X6581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESQTVKSNIVLILDYGSQYTHLITRRIRSLSIFSLCISGTSSLKSITDLNPSVIILSGGPHSVHSPDAPSFPDGFVEYVEKNGVFVLGICYGLQLIVQKLGGEVAIGEKQEYGRMVIEVVKDCGGLFGGKNIGDKQVVWMSHGDEAVKLPHGFEVAARSEQGAVAAVENPNKRFYGLQYHPEVTHSPEGMETLRHFLLNICGVEAGWKMKDVMEEEIKVIRSMVGPQDHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRYKEQERVMETFERDLHLPVTCVDASVQFLSELKGVTDPEKKRKIIGKEFIVIFDAFANGLQKKFGRKPTYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRELGKILDVPVSFLKRHPFPGPGLAVRIPGDVTQGNALDILRQVDEIFIQAIKDAGIYDEIWQAFAVFLPVKTTGVQGDQRTHSNAVALRAVTSQDGMTADWYYFEHKFLDDVSRKICNSVRGVNRVCLDITSKPPSTIEWE >cds-PLY95614.1 pep primary_assembly:Lsat_Salinas_v7:2:68881092:68884570:-1 gene:gene-LSAT_2X30141 transcript:rna-gnl|WGS:NBSK|LSAT_2X30141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQRLANTLNMTVNEKKFIESALLSDLRVDGRRPFDYRKLSIIFGREDGSSEIQLGQTRVMGFVTGQLVQPYRDRPNEGTLAIYTEFSPMADPSFESGRPGESAIELGRIVDRGLRESRAVDTESLCVISGKLVWAIRIDLHIIDNGGNLVDASNIAALAALSTFRRSECTIGGEDGQEVIVHPPEVREPLPLIIHHLPVAVTFGFIGKENIVVIDPTQHEEGVMGGSMTATLNTNGEVCAIQKAGGEGVLQSVIMQCLRIASVKAADITSKIKIAVESYNTERSLRKIKRHNPSLTAVKKK >cds-PLY71769.1 pep primary_assembly:Lsat_Salinas_v7:3:47596284:47597758:1 gene:gene-LSAT_3X35901 transcript:rna-gnl|WGS:NBSK|LSAT_3X35901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRGTQSTCLLVWGLVAALLSQNLVFPAFSATFQDEKNFFYFPPYPGVVASPPVVIPTPPIVDPSPPLVPTPPIVDPSPPIGYSNSPPPTHGSGGSTPPAHHTPSHGSGSSGDHHGHGGTPPKNCGNPTPHHSPPKHVDPSPPHHNPSPATPTYHYSPPPSGGGGGGNGGNGGNGGSDGPGGSGGSGTPPTVLPPTTPGLPYPSPPFDPNSPPSGGTCDFWRNHPGVIWGLFGWWGTTVGTAFGVTTLPGTGAHLNLVQALSNTRTDGIGALYREGTASLLNSMVNKNFPYTTSHVKDSFVAAVGSNKAAAAQARVFKLANEGHMKLRN >cds-PLY85024.1 pep primary_assembly:Lsat_Salinas_v7:4:222331471:222331869:-1 gene:gene-LSAT_4X120320 transcript:rna-gnl|WGS:NBSK|LSAT_4X120320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLFLPFLASIVAIFFAFLLKFLLQILKGKRGKNTEPPQAKGRWPLIGHLGLLCGPELPHRVLGGMADKYGPIFTIKLGVHNVLVVSNAETAKECFTTNDKVFASRPKSMAVEHILAVAPYGGLLATSAQDH >cds-PLY98560.1 pep primary_assembly:Lsat_Salinas_v7:1:40016049:40018065:1 gene:gene-LSAT_1X35340 transcript:rna-gnl|WGS:NBSK|LSAT_1X35340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVDYSKAAKKWLENSSMVQLDGLALQGIRIDRVEIGLIRCDFVIPNHLSDENGNWHVGAMSVLIDDMAAGAVFSYCGCNLATVDFTMSFYSSARVNEEVEIEANVVGEKGNLVSVVIDIKKKGSKEKVVVGKQWMRVTPFKNLQAGKSKL >cds-PLY94744.1 pep primary_assembly:Lsat_Salinas_v7:2:177812319:177812723:1 gene:gene-LSAT_2X97961 transcript:rna-gnl|WGS:NBSK|LSAT_2X97961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSGRAEHSWSPNYARHMDENHSNGVTNEDIAISLLQTQTELSLIREDFQDQLRELRLAVNRHLDAMNLEVDDVRAGQMDISHMVADLKNHFVSLQGAYAKMVFKDNKRKKLMSCVGIFGVVCASVVTYLVFK >cds-PLY68394.1 pep primary_assembly:Lsat_Salinas_v7:8:22783492:22785885:1 gene:gene-LSAT_8X17280 transcript:rna-gnl|WGS:NBSK|LSAT_8X17280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVETASGEGDHAVEHELLLLNDANISGGGGCDGSWRLNFDGFQFSFEHKEKPPRRLHDYLRVSGSENHVAEYYQQQVEMLEGFTEMDALAERGFVPRMSAEEKESLARRETLAINISNVANMILFIAKVYASIKSGSLAIIASTLDSLLDLLSGFILWFTAFSMQIPNPYLYPIGKRRMQPLGILVFASVMATLGLQIILESVRTLASNENGFSLTKEQEQWALGIMLCVTLVKLVLCIYCRSFTNEIVKAYAQDHFFDVVTNLIGLIAVLLANYISDWMDPVGAIILAMYTIRTWSVTVVENVNSLVGKSAAPEYLQKLTYLCWNHHKAIRHIDTVRAYTFGSHYFVEVDIVLPFDMPLQTAHDIGEALQEKLELLPEIERAFVHLDYEFSHKPEHAHTHL >cds-PLY68769.1 pep primary_assembly:Lsat_Salinas_v7:2:211335336:211337268:1 gene:gene-LSAT_2X131600 transcript:rna-gnl|WGS:NBSK|LSAT_2X131600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKTTRWLRSLFGSKKSSSKSDSKRQAPENRGLYPKQSDDNKHAIAVAAATAAVAEAALAAAHAAAEVVRLTSAGGSNSRAYYVGGRRREVAAVKIQSAFRAYLARRALRALKGLVKLQALVRGHIIRKQSADMLRRMQAMARLQAQACANRAHSSASPHSTVKSFRSHHHPRSNSMSYIKGEPRFHHSGPYWLDNWTEDTSWTSKQDDKSDKILEVDTWKPRKITTPKKPSKSRLTDSSWGTEKTVGSGYKSQSRSPFTPAHSGEYPRSLFGEYPSHPNYMANTESSQARVRMRSISAPRQRRHWDYSDTQSERAFRR >cds-PLY90555.1 pep primary_assembly:Lsat_Salinas_v7:6:54646465:54649335:-1 gene:gene-LSAT_6X40720 transcript:rna-gnl|WGS:NBSK|LSAT_6X40720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLLYNQFWQVTSCKNITEDYISSLKILVYQSHQQNLYNKPMPLVGIYIACASLLCILAMVADLLHGLRNRKLWFPCKYFTLNAASLTVIAAAIKLPMDLSNLMPGIVDLNAKFGSVWFMCTMMANMLPSLATMDSKELVTNVIALGVLVITLVVNICIQINTGILFYSVDDAEVLVATPVYTYLKGTFLQSIIYAVAYVVPLLMLLIIYACSSLAILKSKEILESKYQSAHQTTLMDQELQQPGRVLTVEKLKQHVSNYWIMAGTGSPQFMTACSATTSASGVICALTSVLLILFMIVDLGNLMDYNSDYKWSMSLIYIIQSIGVILGTIAPVARCFAALSFKLSVKWIWKQLKVYKVESYWTQKLYDWKQSSIQFPSRSRKCKIVLHHLKILSLSICIGFQKTVVVACKIIAVIPILITMIPVFFVISVSYCLGCRTCLKAMFSASSIVLGQNPEQLENDNRDLRLYVLQLQDDIEFAEKTLKGISKSVNHLIRKSEKQQPKNLMKLLAESRGFEGVERIDSHHVPPLLSEEHLNCWSLPLVTLTSIAMSLPNIQKKKVDCLVSGVNEGLVYVKLVEETLNATDNHASIQKAAKTLWVEVEVYHKWLGHKLPKPNPKVNTPGHVLQWLRDTAKNKANEVESQDDNSRYKFICANSMYRITETIMLSYHENIDQVSQDELLAQLSSVIADILAACLTNLPQVIAMKCHENAIEKREASVEAAAQLLGETTQIINSLRDRELPSLNPDELAFIDKWRAYLKNPFP >cds-PLY79099.1 pep primary_assembly:Lsat_Salinas_v7:3:9688206:9688463:1 gene:gene-LSAT_3X7481 transcript:rna-gnl|WGS:NBSK|LSAT_3X7481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAAATVLLAEDGDIKQKKKKKKKTSDLGPRRRQPREGRSTTRNSGTASAANGGRLEFRPGGTEGSWVVAWWPFTDQKMGWLRHW >cds-PLY99054.1 pep primary_assembly:Lsat_Salinas_v7:6:150206571:150207797:-1 gene:gene-LSAT_6X90121 transcript:rna-gnl|WGS:NBSK|LSAT_6X90121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARKDATQIVANLQRQQVQSRLIAYDYLEANIDVVDILASRYVLESEHMKKFFDFFQLPNFDITVDVAATFKEVLTRHKSTVAEFLNKLQLKQL >cds-PLY80567.1 pep primary_assembly:Lsat_Salinas_v7:6:14284281:14287026:-1 gene:gene-LSAT_6X12521 transcript:rna-gnl|WGS:NBSK|LSAT_6X12521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKEHILLLILSSFFLLKPIAGANDDCLPASCGPHQPEIRFPFHILGRQPARCGFPGFDLSCDEQNQTIIRLPSSRSYIVKRISYVSQVIYIDPEFCRPNRIVGVNLTDTPFRFSTLRSFTFYNCSTQNYGFMYPAIPFPCLSSRNYSVIATRTGIFSPAYMPSNCQAMKTIVVPIRLNSDFRDDLQLMWFTPFCRSCEMEGRACGLKSDDGETVCVGSSRGISKSAKYGLSIGIGVPALIGIIGLICYASARAQAQNESHNQSIDLFSIAIIPQPRTGLDGPTIESYPKTVLGESCRLPNDDATCAICLSDYKPKESLRTIPECNHYFHSECIDEWLKLNATCPVCRNSPESSSLVTPCSSASSTSSREDST >cds-PLY68818.1 pep primary_assembly:Lsat_Salinas_v7:3:64240884:64242435:1 gene:gene-LSAT_3X50321 transcript:rna-gnl|WGS:NBSK|LSAT_3X50321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMCTCVDGSDLGDGGALLDIFRREDTPKLEEYLKKHFREFRHSFCLPLQQVIHPIHDQTFYLTMDHKRKLKEDFGIEAWSFIQKLGDAVLIPVGCAHQVRNLKFNNLPLVIILFQSALNCFIWK >cds-PLY69480.1 pep primary_assembly:Lsat_Salinas_v7:6:44884688:44887253:1 gene:gene-LSAT_6X32061 transcript:rna-gnl|WGS:NBSK|LSAT_6X32061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSRSFLQVAATEEAVAPPLRVVQIEGLVVLKIIKHCTEFSPSLVTGQLLGLDVGSVLEVTNCFPFPMREEDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTLLGSFQTVELIETFMNYQENIRRCVCIIYDPSKSNQGVLALKALKLSDSFMELYRNNEFNGEKLREKNLTWVDIFEEIPIKVSNSALVSAFMTELEPDSPVTQCDYDRLQLSTNPFMERNMEFLIECMDDLSMEQQKFQFYYRNLSRQQAQQQTWLQKRRSENMARKAAGEEALPEEDPSNPIFKPLPEPSRLDSFLITNQVSNYCNQINSVAGQSFSRLYLMKALHE >cds-PLY86886.1 pep primary_assembly:Lsat_Salinas_v7:5:254934468:254937645:-1 gene:gene-LSAT_5X128020 transcript:rna-gnl|WGS:NBSK|LSAT_5X128020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSDNFLKTPSKTPMNDKVSSSARKHNHQTTFSSSSSSTKSRFDAYNRLQSAAVAFGEKLPIPEIVALGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMVHDPTALDPRCRFQEEDSEEYGSPIVLASAIADTIKSRTEALLKKTQTAVSPKPIVMRAEYAHCPNLTIIDTPGFVLKAKKGEPETTPEEILSMVKSLASPPHRILVFLQQSSVEWCSSLWLDAIRDIDPTFRRTLIVVSKFDNRLKEFTDKWEVDRYLSASGYLGDNIHPFFVALPKERTTVSNEEFRRQISQVDADVIRHLQQNVKGGYDEEKYKSHIGFGCLRDYLESELQKRYKEATPATLALLEQRCSEVTAQLTSMDSKIQATSDVAHLRRSSMMFASSICNQVGALIDGAADPDPEQWGRTTEEEKSESGMGNWPGVVSEIKPPNATLRLYGGAAFERVMHEFRCAAYSVECPPVSREKVANIILAHAGRGGGKGISEAAAEIARAAARSWLAPLLDTACERLAFVLKNLFDLAMEKNQRQHFDCDYGKKSGDMDGYVGFHAALRRSYNCFIKDLAKQCKQLVRHHLDSVTSSYSQVCYESDLLFSANSSYRNQTSVTSMSLDLSDQENIPPENNDRETTPGKLVKAAGEGEASAMTVPETPSPDQPRDGNYVVKKENGNFLEGGGGRKRHPRIQMNQGNGGKVLGNLLGQNGGTTTYNEICCSAAQHFARIRQVLVERSVTSALNSGFLTPCRERLMVALGVDLFGVNDEKFMDMFVAPGAIDILENERESLKKRQKILDSCLTEFKAVARSL >cds-PLY61769.1 pep primary_assembly:Lsat_Salinas_v7:5:302681958:302682685:1 gene:gene-LSAT_5X164041 transcript:rna-gnl|WGS:NBSK|LSAT_5X164041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSNKNKKAADDNMEVEVPGNRVLGCDNNVITDERFAPSQKDPRFQDAPRHKTKLAIDSRFSRIFTDNNFSTSYARVDKRGRAKQDGDSSKTALRHYYRMDDEGKKQRQVSDDATKSKSEQKSETETEVFMDGYTSTDTNEEDEAYLEEETIGLQLEENVPEIDKETHRLAIVKLDWNQVQVMNMNMNMNTNTKMEWKWKWKSLSTPD >cds-PLY93645.1 pep primary_assembly:Lsat_Salinas_v7:1:187887732:187888299:-1 gene:gene-LSAT_1X120560 transcript:rna-gnl|WGS:NBSK|LSAT_1X120560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVNPQKQLRRLPHVFSQVLELPLRSHADVSIEDRSDSYRFTANIEDNAFSGQVRAHAVKIHPEVTKVVVRGGNGFGEVELFLDKLEVDVWRFRLPATSRPELATAVVVGQELIVIVPKGSPSSRRQPSRSWW >cds-PLY93343.1 pep primary_assembly:Lsat_Salinas_v7:9:58033594:58036168:-1 gene:gene-LSAT_9X51101 transcript:rna-gnl|WGS:NBSK|LSAT_9X51101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHYIHIYLRGHSAYPDSPLGPDAYHEYQILLVQDYKELKKKNNQNSIHKNSNKPKENPMISIDWKFWRSYLQKSSGQCVTIQMLGRVAALRELVKTNLSHYLFTEFTPQELKKDSLMQHHNEASNDLDLVKMDDHYSDEFFDFDDESECDYIITLPEDKTGNLAHSWSSPEPSLFPVCGQTFLEDRKKITVESTLLKTVGADWLKSDKREDQLAIRPRNIIHKYAAEEVGTAVKLSAPKPQLVVPPSAACFFWCPLFLVPMDLDLFLVLVPSAAFLFKSLDKNCLGPLRKAYCSSLNLLLRREAREFANEFRAITKASRNPTVLLEGSTGSNQNVNNADTSTALKLMPKCSPYYPTPC >cds-PLY85760.1 pep primary_assembly:Lsat_Salinas_v7:1:47481741:47484923:-1 gene:gene-LSAT_1X41240 transcript:rna-gnl|WGS:NBSK|LSAT_1X41240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGFDNHGGIIGDWMPPSPSPRSFFAAMLGDDPGSRSVPDPPKNDTNNDTGFTFPGPNPQIGSENGDATKSSEFGDQKTSSRAPLVERMAARAGHNAPRLNTEIIKSSDNSQTQQSPYLFSPGVSPTSFLESPVFLSNSLVQPSPTTGKFQFVPNGNGRSSMMFLDSSNRVKENFFEDTNNTSFAFKPFPDSAPVSRDHVNPPFMSTQSFQHNETLVQSERQFPPQKIEPTQNETSSLHIRSGFLNGNSERSQEHHEDDADQRINGDIVDDLKVNAEYTGYRVGSSVVVWFWEVVKALNKEDRARLLQFVTGTSKVPLEGFVCSIEDSSHKRSDPVYSIELLREENDAVILAMGATKPRDLSVPGRELSGVHFAMEFLHVNTKSLLDSNLEDGNYMRGTKRSALYSHLFSNYNNILPFS >cds-PLY87351.1 pep primary_assembly:Lsat_Salinas_v7:1:97596398:97596848:-1 gene:gene-LSAT_1X79381 transcript:rna-gnl|WGS:NBSK|LSAT_1X79381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRHVTETILQARNINTSVMFRNLIDRCLLSIGWNNELVMHQLLQEMGKFIVRKESLDKPWKRSRLCCHDESLEVLKRKTGTKNICGLILDTRMLKNEKLRETSELETNALSKMDV >cds-PLY79802.1 pep primary_assembly:Lsat_Salinas_v7:8:18985684:18989469:1 gene:gene-LSAT_8X14860 transcript:rna-gnl|WGS:NBSK|LSAT_8X14860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGFDLLKSSSLVKSAGFIFVVLAFFYFGKQWSEDHYQRAIFFNSYSNASAKTPWISISPNHNKTFDIISLINNTEESEIIADHALAPIPQSTLLSQSPPPPPPPPPPPPPPPPAVQRLGLVDENGVMSNDFEVGEFDPDGIENWNNETDVAEGDNDGVKVTIKVRKFGMCPASMREYIPCLDNVQAINRLKSTEKGEKFERHCPEKDKGLNCLVPAPRGYKPPIPWPRSRDEVWYSNVPHAQLAEYKGGQNWIVVNKDKFRFPGGGTQFIHGADQYLDQISKMIPGIGFGSQTRVVLDVGCGVASFGAYLTSRNVVTLSVAPKDVHENQIQFALERGVPAMVAAFATRRLLYPSQAFDLIHCSRCRVNWTRDDGILLLEVNRLLRAGGYFVWAAQPVYKHEPLLEEQWEVMINLTSRICWNLVKKEGYIAIWQKPLDNTCYLNRDLGTQPPLCEKEDNPDDVWYVDLKPCIAQLPEDQSGANITKWPSRLHNPPERLHTIKLDAFVSRKDLFKAESKYWKEIIDGYLRGLNWKKFKLRNVMDMRAGFGGFATALIDNQMDCWVMNVVPVSGPNTLPVIYDRGLVGVMHDWCEAFDTYPRTYDLLHAAGLFSVEQKRCNMSSIMIEMNRILRPGGHVYIRDSILVMDELQEIAKAIGWHVKLRDTAEGPHASYRILICDKRLR >cds-PLY69784.1 pep primary_assembly:Lsat_Salinas_v7:5:232156518:232159104:1 gene:gene-LSAT_5X117101 transcript:rna-gnl|WGS:NBSK|LSAT_5X117101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIAHPPVAVAFAATPEEDVFDSVRTAAAQLNVGGPGLRKKATGVRPWLLLDSTGQAQIIEAGKHAIMRRTGLPARDLRILDPILSYPSTVLGRERAIVINLEHIKAIITAQEVLLLNSKDPSVTPFVDELQRRILRHHQATSQEGLVDGDTEWRHLYDLGEPRSREVSPPRVSSSIPERGHEVKPDGKHSFDKRDGPKLLPFEFIALEACLEAACSSLDNEARTLEQEAHPALDKLTGKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTEKLEQQLDDASSSTFVNDEDVDEEILQTEIDDRVPGEESIDQQPEQLYRANTLGRESRGTRTSTTRSGHSKHLDVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVVGAFVVVAGVFGMNIHIELFNADTGAEKEVGMRKFLWTVGGGTTGSIFLYVVAIAWCKSKRLLE >cds-PLY71913.1 pep primary_assembly:Lsat_Salinas_v7:3:25717341:25729988:1 gene:gene-LSAT_3X19140 transcript:rna-gnl|WGS:NBSK|LSAT_3X19140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAEGGNNPYLYSTNNFVGRQTWEFDPNYGTAEEREEVEQARLHFWNHRHQVKPTSDVLWRMQFLREKQFKQTIPQLKLEDDEEISYEKATTALRRSVHLFAALQADDGHWPAENAGLLYFMPPLADNNACRLYACISQAILTASSQQNIEKKLFGTYIVISKNVVFLSTDIHANVLPIRKEEDLYYPHSLLQDLIWNSLYIFTEPILTHWPLNKLREKALKTTMDHIHYEDENSRYITIGAVEKALCMLACWVEDPNGDYFKKHLARIPDFLWVTEDGMKMQTFGSQQWDASLAIQALLATDMTHEIGLTLKKGHNFIKASQVKDNPSGDFKSMYRHISRGSWTFSDQDHGWQVTDCTSEGLKSKNGGLSAWEPAGSSKWLEILNPTELFEDIVIEHEYVECTSSAMQTLVIFRNLYPEHRREEIDGFLTDASGYLEKMQTPDGSWYGEWGVCFIYATWWALGGLAAIGKTYENCQSIRKAVNFLLKTQREDGGWGESYRSCSEKTYVALEGGRSNLVQTAWGMMGLIHSRQEERDPTPLHRAAKLLINSQMENGDFPQQESVGAFKKTCILHYALYRDIFPMWALATYRKQVLQKPTGI >cds-PLY85900.1 pep primary_assembly:Lsat_Salinas_v7:2:189330578:189333815:1 gene:gene-LSAT_2X111381 transcript:rna-gnl|WGS:NBSK|LSAT_2X111381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARLGARHGAPFRTLHACVHNPTGVEPTLEQWEQIRQLIRSKMHISLDKDAQSIHMFVGDGGKCFIAQSYAKNKCHYGKRVDALSIVCRSADMASKVESQLKLVIMPMYSSPPIHGASIVATILKDSLELKVIADRIITMHKQLFDALSVRGTPGDWSHIIKQIGILHQLLLEER >cds-PLY88590.1 pep primary_assembly:Lsat_Salinas_v7:7:8902181:8903197:-1 gene:gene-LSAT_7X6220 transcript:rna-gnl|WGS:NBSK|LSAT_7X6220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCLFFLFLPLVIIEELAQLADSMRQAVALLNDEDVDGNSSSSSKRPSTFLNFVALCNTSAGKSAVLNSLIGHLALPTGEGGATRTPICIELKRDGNLSSKSIVLQIDRKSQPVSASESSCHT >cds-PLY94831.1 pep primary_assembly:Lsat_Salinas_v7:2:179383302:179388120:-1 gene:gene-LSAT_2X101261 transcript:rna-gnl|WGS:NBSK|LSAT_2X101261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMSLEDLLTEEGFKSSSSKRMTRASSGPVTSIPTHPLRGPSTRLRKTERAYSDTRRYDMRVESPLTDKLKSRRSVDVLKREKLDRGSRNETRERHIRRGSQDTRDVPRYSIDSSQPFSLDEIVEVKEGKQKVNDRRPDKGKYKDIYLNGVFSPPMSKKEEKYDNMDISGKDVQVDNGYVNHQKDANQPGSSSTRSNRSTQNKDTKVRRPVDIESVPEVALDDIAIKAMISILSGYIKSFLKDQDFRTSMYHNCFAAINFSKLEEEIVAESKVISNLDQAIETVEKAADNRATTKELKKASLQLSVITGLNANDLKDGITSGIPNSILSACGHLYLSVVYQLQKKERIAAKHLLQIFCDSPSAARSVLVPELWETVFHPHLSHLEEWYNQEVESLSDDPQNTRKLKQLKKVYNEILDTGTYQFALYYKDWLTDAVEAPSIPSIHVPSVSVQGIQHEDSPPFSSQSMVSKKLYDSVFGQMNKPVTAEVEDYQYSQRSDDDICSFDESVVEEKRTVTYPLEEDIYRDLDVKGDVVPYHDVIIAKEEGLESTKMLESLPVAKVNELTLKRLATYVFALQQSEKSVDLDDAKYPDFLPMKTRPYGDGGGCFYLNTPEDYVCPLTGLLFEDPVTIETGQTYEREAIVQWFNKGNTTCPVTGKTLECHFVPFTNSILKRMIDGWKSKHSREILASASQPTGSHGEQKYKAEATVFILEQLLTDFGTEENTANAKQLLALGGLQFLIQRFEYGNLDEKTRVAALLSHCIKVDPSCRNHVARHIEKQCLLELLHCKGVKSRANAVFLLFDLICLNRRKDVQFFLNGLHKEGIVSSMHILLLFLQSCSPEQKPLVAVLLLHMDLMVDQQQKYSIYREEAVDTISSAMDASLSNEKVQEACCKALLILGGRISFSGKVMTEDWILKKAGSDNKITIKDNVLMDTEDEEAVEDWLMKLSESLIGDGKKLFLESLSQGLSCGHREMMKVGLTTVVWLSCSLDSEAKLSAFSVLISKLKETLENSEWVEHKVLAAASLLNFSKIPDCMELLMAIGDEIAAPLRNLSEASLTAKQLYALISHSQSGI >cds-PLY93000.1 pep primary_assembly:Lsat_Salinas_v7:4:193190724:193194981:1 gene:gene-LSAT_4X111140 transcript:rna-gnl|WGS:NBSK|LSAT_4X111140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSTPVIPKSFKYDVFLSFSGEDVRSNFIDHLYHALKHKSIYTYKDTERIKKGKNITDELNGSIEDSKFYIIVFSKNYASSSWCLDELVKIMECHKTKEHTAFPVFYDVEPREVRKQKGKVKKAFASLKKEKAAGKWREAMKEAANEAGWELKNTVDGHEAKFIQKIVEEISLELRSINYIIDEKLVGMKTRIQDVVSSLGTGCNDVRIIGIKGIGGGGKTTLARAVFDQISFQFEGKSFVENVREVSNASSSGLNFLQNQIVSDVLNDKDISENNVFDGKAMMKRMMSSRKILVVLDDVDNIDQLEALAGEPNWFKPGSVIIITTRDEQVLVAYGVKLIRNVDMLSVEEATCLFSRYAFGKEIPIPGYEELSGKVVSYADGLPLTIRVLGSFLCGKDDSEWIDALDRLKTIPLTETLKQLELSYIGLEQDYKEIFLDVACILKGQRKDLVIEALESCGFHAKNGLRVLEQKSLITFYHHSDDGECVGMHDHLEEMGKNIVRRSHPDMPNKHSRLWIDAEIENILVNNMGTEATRCIQLYTWGLEPEIVMKGLRKMKELRFLDVSTGFLDEGTRISCFQWNWIFNTFSPYLPESLRYLRWNHYPFTSLPKTFEADNLVALSMSESGISQLLEGGERKVLKKLRFLDLNYSKLRTLNLGLIPNLEALILGGCGDLVKLNMPIECQKLKYLDLYRSKLTTLDLRLTPNLEWLDIGRCYHLVELHMPHGGLKLKYLNFTKSKLRTLDLRMALNLERLNLDECADLVELHMPSRCLHLKSIKLTMSKLRTLDIGVTPNLEYLNLENCYDFEELHMANECQKLTSLNISHSKLRSLDLRLTPNLEKLDLKECRNLVELHAPIGCLQKLVYLDLSGCLRFKSFLFRIEDDTSCSMDESLEVDPLAELHLISKSLERCPFHPDNNSPKFQFTCLYKEDRPLLTRNLEKLISIGLCACTNLEMFSESICGLQRLRKLILKSYPEVPKDLDHLECLEELVLSSTKGRHLPDSICMLKHLKSLELISCGRLEKLPKDLGQLECLQKLRLSSTKVKCLPDSICMLKQLKSLKIISCGRLQRLPKDLGELKCLEKLRLSSTRIKRLPDSICVLKQLKSLKIICCEHLDKLPEDLGQLECLQKLTLIDTKIKYFPDSICMLKHLESLELNPSSLREKLPVDIGQLNVIDANPNGNGVSM >cds-PLY93504.1 pep primary_assembly:Lsat_Salinas_v7:5:325447820:325449319:1 gene:gene-LSAT_5X179580 transcript:rna-gnl|WGS:NBSK|LSAT_5X179580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDEEDHITAVYGEEDLDEEDDEESEEEDHTLQNQHVEEDDVDMIDDEEDDEEEDDSMSSAAGEVTIAVAGIPSVPTTVDATPTTATTTTTSIVRSDAIVEVKKPMPLDDSRRLFQRLWTDEDEIELLQGFLDYTTQRGTNNSSHHHHDTAAFYDQIKGKLQLDFNKNQLVEKLRRLKKKYRNVLGKISIGKEYVFKSPHDQATFDLSCKIWNGAAATPVFIPATADGGGIDDDEPHNPNLIFNLNENNANDIADPNSSEKKVPRPRKRSKSTAVKIEENSNQQQHYGQPVGVGASNPQNPAVSNLVEETVKSCLLPLFKELVNNSPNGACASSRGIGLGGMNMNNFGGSMNFPIGDMTDDKWRKQHILELEVYSKRLELMQDQIKSRLEELRSMGSS >cds-PLY89865.1 pep primary_assembly:Lsat_Salinas_v7:4:315738160:315740706:-1 gene:gene-LSAT_4X158960 transcript:rna-gnl|WGS:NBSK|LSAT_4X158960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELNSIKDAFDRVTKKQKLSTSKSQETIQQITQEINHAISQIQSTNPSTKSILNELQSKLKQISPLTQLESTQKDLNIAFSKYTKLLEKQFNPDISKSYRHVDFDLHTVNQIIAAHLYREGLFEIGDCFITESNEKTLTDRKTHFLEMYKILDSMKTRDLVPALCWATNNHETLLKNGSDIELKLHRLQFMEILQSGRRDAALKYARSHFAPFAERHLPEIQKLMACLLWVGKLESSPYSEFLSPSHWAKLGDELALQYCNLIGESHHCPLSATVAAGVQGLPTLLKLMNVMTGKKQEWQTMKQLPVPVDLDQEFQFHSIFVCPVSRDQAGEDNPPMLMSCGHVLCRQSITKLSKNNSTRPFKCPYCPTEVEVGQCRQLYF >cds-PLY70952.1 pep primary_assembly:Lsat_Salinas_v7:5:151578116:151589052:1 gene:gene-LSAT_5X67120 transcript:rna-gnl|WGS:NBSK|LSAT_5X67120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCEDSKINTRILFCGPHFAASNNYTKEYLQNYPSIQVDDLPFDSVPNLIGNYDICVVKSMRLNSDLIARATRMKLIMQYGVGLEGVDIAAATNHGIKVARIPSGNTGNAASCAEMAIYFMLGLLRKQNEMQIAVRQKKLGEPVGDTLQGKTVFILGFGNIGIELAKRLRPFGVKILATKRSWPSFLPNNSNSTEATSQNDLVDEKGSHEDIYEFAKISDIVVCCLRMNSETAGVINNKFISSMRKGCLLVNIARGGLLDYEAVYNGLKSGDVGGLGTDVAWTEPFDPDDPILKFPNVLLTPHVAGVTEQSYRAMAKVVGDVVIQLQSGEPLTGIEFVN >cds-PLY89453.1 pep primary_assembly:Lsat_Salinas_v7:8:30802739:30804916:-1 gene:gene-LSAT_8X22121 transcript:rna-gnl|WGS:NBSK|LSAT_8X22121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLPDDVLSNIFIRLLAKQLAQMRCICKDWNALLSKSSFVKSHLHHSIQNNDDIFLLFKWGHFLGCNPFIARPVHSLAIKPTNFIKLPVNFQSKNSFKYRNVIGSVNGLICFSYNLYDGGYVVHIWNPSLSAVLTLPPCSSRSRNSETILFRFGYDPKTDDYKVVKITCLSNPRRIEPQVEVYSMRKGSWELISQSFPSHITIIMDHDDVCVDGHNGHLHWFGSTGLEWKPETILAFDLGVETFSEIPPPPDSLLHHHGHCFSVLGVLGGKFCLMSSVRDGKCEVWVMDEYGVAESWVKRRFCLYDPVAARSKIFKIKGDGQFITKIVEYVDSLVWVAPAKHELSKWHVLISILNRNQLLKGLQMAITGIFRFLFQR >cds-PLY84145.1 pep primary_assembly:Lsat_Salinas_v7:6:192896822:192900766:-1 gene:gene-LSAT_6X118301 transcript:rna-gnl|WGS:NBSK|LSAT_6X118301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGITLTYAVVRHPLLPVSASASVSVSPSSSTLTTKRRPRQLHYCQCTVDANSPITSGAAAAADDDDIFSVNTSSQNCDFDYLGQSTKGDLNLKYGINGHTDIAWKGPIEEVARFQAKEAEGLLKDLGIQDPSSSRHSPRGIFCSRTLNLRSITAIGYDMDYTLMHYNVMAWEGRAYDYCMENLKNVGFPVDGLAFDPDLVIRGLVIDKEKGNLVKADRFGYVKRAMHGTKLLSTQAVSEMYGRELVDLRNESRWEFLNTLFSVSEAVAYVQMVDRLDEGIISPDLGVLDYEGLYKAAVGKALFRAHVEGQLKNEIMSKPELFVEPDPELPLALLDQKEAGKRLLLITNSDYIYTDKMMRHSFNRFLPNDMNWRDLFEMVIVSARKPEFFQMSHPLYEVVTTDGLMRPCFKARPGGLYSGGSAQMVENSLGIHGDEILYVGDHIYTDVSVSKVHLRWRTALICRELEEEVGISFTCRLVGQESPHETD >cds-PLY65439.1 pep primary_assembly:Lsat_Salinas_v7:9:185488593:185488823:-1 gene:gene-LSAT_9X114060 transcript:rna-gnl|WGS:NBSK|LSAT_9X114060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHEARRGSTDIRVTSHSVRMPKTMKSSCQVTLGLCNHSCLMGCCNERCSNQFKNGVGKCLEPMLPRAPCLCQYTC >cds-PLY79343.1 pep primary_assembly:Lsat_Salinas_v7:9:54746843:54749479:-1 gene:gene-LSAT_9X49160 transcript:rna-gnl|WGS:NBSK|LSAT_9X49160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALEVTQILLNAQAVDGSVRKHAEESLKQFQEQNLPGFLLSLSGELAHDEKPVDSRKLAGLILKNALDAKEQHRKYELIQRWLSLDVGVKSQIKTYLLQTLTSPVHEARSTASQVIAKVAGIELPQKQWPELIGSLLSNIHQVPVHVKQATLETLRYLCEEVSPDVVEQDHVNKILTAVVQGMNASEASNDVRLAATRALYNALGFAQANFTNEMERDYIMRVVCEATLSPEVKIRQAAFECLVSISSSYYEKLAPYIQDIFNITAKAVKEDEEPVALQAIEFWSSICDEEIDILEEYGGDFTTADSDIPCFYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNLAMAGGTCLGLVARTVGNDIVPLVMPFIEENITKPDWRQREGATYAFGSILEGPSPNQLTPLVNVALNFMLTALTKDPSNHVKDTTAWTLGRIFEFLHGSTMDTPIITPSNCQQIITVLLQSMKDAPNVAEKACGALYFLAQGFEDVGPSSPLTPFFQEIVQSLLTVTHREDANESRLRTAAYETLNEVVRCSTDETIPMVLQLVPVIMMELHQTLEEQKLSSDEREKQNELQGLLCGCLQVIIQKLGASDATRYPFMQYTDQIMNLFLRVFASRSATVHEEAMLAIGALAYATGPDFAKFMPDFYKYLEMGLQNFEEYQVCAVTVGVVGDICRALEEKVLPWCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDISLAIGENFEKYLMYAMPMLQSAAELSSHTSGADDEMIEYTNLLRNGILEAYSGIFQGFKNSPKTQLLIPYAPHILQFLDLMYMEKDMDEVVMKTAIGVLGDLADTLGXVYYLLHLHHIIHFFYYFVIFFLSFSF >cds-PLY86478.1 pep primary_assembly:Lsat_Salinas_v7:2:81448552:81454461:1 gene:gene-LSAT_2X35921 transcript:rna-gnl|WGS:NBSK|LSAT_2X35921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAGTSVVTEELHGDPNRRHTSNRIYYEQHISLEAVEVCTLSIQKASKLVAKRSSQMDGQLFLIKYLLILR >cds-PLY93636.1 pep primary_assembly:Lsat_Salinas_v7:MU039104.1:153960:156013:1 gene:gene-LSAT_0X15941 transcript:rna-gnl|WGS:NBSK|LSAT_0X15941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFRVFLIPYFLCSILFFRNFFIESSGSRKIRGSVIRLPNGDSYGHPFDPTRVTQISWRPRAFLYRNFLTDAECDHLIELAKDKLELSKVADNESGESVASEIRTSSGMFLRKSQDKIVAGIESRISAWTFLPVENGEAMQVLHYEYGQKYEPHWDYFHDKVNQVKGGHRVATVLMYLSNVRKGGETVFPRSEIKESQPKANGDWSECAKRGYAVKPEKGDALLFFSLYPNATIDVLSLHGSCPVIEGEKWSATKWIHVRNFDKSEDNVSDACTDEHENCAKWAAAGECKTHREYMIGSSEGSGYCRKSCKVC >cds-PLY74641.1 pep primary_assembly:Lsat_Salinas_v7:7:34788887:34789201:-1 gene:gene-LSAT_7X26641 transcript:rna-gnl|WGS:NBSK|LSAT_7X26641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIWKKKSKDDFPMVASMSLGDYVWIALKIFGYIFLLMLVQFLNAYFRFLCTATSILNLVKTSRQCSFPSQSPTKNPKLSPPIIRLLYVHTCHCILKQICNGRLP >cds-PLY67132.1 pep primary_assembly:Lsat_Salinas_v7:5:290934948:290935166:-1 gene:gene-LSAT_5X154680 transcript:rna-gnl|WGS:NBSK|LSAT_5X154680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTPLVVEGRDDVGGAWWLPTPSTPASSPLQPPPPPPSDASVTDPGTNLDIHFKIYTKRYLQLRSTHKNIDL >cds-PLY87645.1 pep primary_assembly:Lsat_Salinas_v7:1:122888551:122890281:-1 gene:gene-LSAT_1X93041 transcript:rna-gnl|WGS:NBSK|LSAT_1X93041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNLTSRMAVFGPNMMFTDLRCSPSCTRAGVHGTVSICPPDCFEYKGTLDVFYKIIRQEGFGRLWRGTNAGLALAIPTVGIYLPCYDIFRNWFEDFAAENAPSMTPEGGRLKGLTNHLLSRHRHLLSSVPTRGRHSCGLVACSRSPFKIVDGPASSAVGNPDEIAKLFPSLFGQPSAILVPGESNESGSALKIGVVLSGGQAPGGHNVIYLGFLVRILNFHFLLXGKRMKMTSDFVLCLQG >cds-PLY80933.1 pep primary_assembly:Lsat_Salinas_v7:8:255667234:255671155:-1 gene:gene-LSAT_8X149641 transcript:rna-gnl|WGS:NBSK|LSAT_8X149641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTISNSQLSINSQNGFCSKTQIYHSLRPPYPLPPQSTPFSITDFILSLLKTTTTTTGASIIDATTRRFIPYSDVPLLVRNLAASLRQPPLSLSNGACAFVICPNSANLPILYLSLFSLGVVVSPSNPASSIQEISRQLRLCKPVVAFATSESANKLLEVGFPNPVVVIDSNEFESMMRTKPNGSETKIEVLQSDTAAILYSSGTTGKIKGVKLTHRNMISTIAGANFGRQDRSSPAVYLLTVPYFHIYGLGLCMRLVAFGESLVSMARFDLRLMLKSIEEFSVTHLASAPPVVVGLVDGDNDHLVNQTNWSSLETVLCGGASLTMAVIDKFKKRFPTVLLLQAYGLTETTGGVSRIAGPYESTIAGTVGRLIARCQAKIVDPNTGVGLPPMSHGELWLRGPFIMKGYVDDKEVSDTMVDSDGWLRTGDLCYFDNEGFLFIVDRLKELIKYKGYQVPPAELEHILHTHPDITEAAVIPYPDEKAGQVPMGFVVRRKGSTINETQVKDFVAPYKKLRKVRFIDSIPKNAPGKVLRKELIKMALSVSDVTSKL >cds-PLY80502.1 pep primary_assembly:Lsat_Salinas_v7:2:136626085:136627075:1 gene:gene-LSAT_2X67381 transcript:rna-gnl|WGS:NBSK|LSAT_2X67381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SEP2 [Source:Projected from Arabidopsis thaliana (AT2G21970) UniProtKB/TrEMBL;Acc:A0A178VQ84] MALAARQIVCNLKSEKPVVQRDEPVAGRLPSLPVQKVRLTDTAAVKAESGKILLQPRVCTLRSYGSDRSGVMKMRSADDSGGDEVSRFFEMLSEYIESSKKSQDFEIISGRLAMMVFAGTVTMEVVTGNSLFRKMDLQGIEEAAGVCLGVVVCAAAFAWFSGARNTVGGIFSVRCNTFIDSLIDQVVDGLFYETDVSDWSDL >cds-PLY76579.1 pep primary_assembly:Lsat_Salinas_v7:5:222358261:222358497:1 gene:gene-LSAT_5X104040 transcript:rna-gnl|WGS:NBSK|LSAT_5X104040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPDHRPSSVEAGNHQDDATIVSVAANDDEPPLLLISLHMITSPLPSGGLSTPLTPYGRRMPPRNGGWVRGIAKLMRE >cds-PLY67293.1 pep primary_assembly:Lsat_Salinas_v7:3:256880579:256883658:1 gene:gene-LSAT_3X140360 transcript:rna-gnl|WGS:NBSK|LSAT_3X140360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSEERNHKNASSLIDELQFDMDDDQPMVETSGAEKSLSMKASAEQSDTADEIWRNIENNRVRFPTNRDDRREGLKRTTTLKSPPGAEGEVVVSTTDDSSNQSTPTSPTGTDGGGRDGESSDKEKAGRGSLENKSILKPSPSVGTSLLNLVNEGTGAKASGTENGSPSMMDEAWERLRSSFVYFQKKPVGTLAAVDPSAEALNYNQVFVRDFVPTALAYLMKVPDPEPEIVKNFLLKTLHLQGWKKTIDNFTLGEGVMPASFKVMYDSYQEKDVLKADFGGSAIGRVAPVDSGFWWIILLRSYTKCTGDYTLAEKPEVQKGMKLILNLCLSDGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFFALRCAQQMLKQDTDSNRLIGRIERRITALSYHIRNYYWLDFTQLNNIYRYKTEEYSHTAVNKFNVIPESIPDWVFDFMPLRGGYFIGNVSPARMDFRWFLIGNCIAILSSLATLAQATAIMDLVEERWEDLIGEMPLKIAYPALEGYQWRIVTGCDPKNIRWSYHNGGSWPVLLWLLTAACIKAGRPQIAKRAIELVEQRLSKDGWPEYYDGKKGRYIGKQARKYQTWSISGYLVAKLMIENPSNLAMISLEEDKKIEKPRLTRSASWSCSR >cds-PLY87620.1 pep primary_assembly:Lsat_Salinas_v7:8:112487605:112489195:1 gene:gene-LSAT_8X78640 transcript:rna-gnl|WGS:NBSK|LSAT_8X78640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVHLPLSPLLTHTVLTLFGVFENYRAIALFRIVIDFVSTKSINGAYASAGDLICLLQTLGFIEVLHGALGIVPSGFLFPLMQWGGRTHFVIAIVHRLLEVQESPAVFITFVAWCCMEIIRYPFYALSCLGKCPSFITYLRYTAFIVIYPIGVLGEMWIMYQSLPVIMEKNLYANYFSALPFTYYTFVKVVLISYPFLWLQLYLYLFKQRRSKLRTRNEKQKKRK >cds-PLY76495.1 pep primary_assembly:Lsat_Salinas_v7:4:175574890:175575096:1 gene:gene-LSAT_4X103641 transcript:rna-gnl|WGS:NBSK|LSAT_4X103641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCYPAGVSSISGHESEIRIRRLAALATAVNHREAGGGGVKKQCLCSPTIHPGSFRCRHHHSEYVWVRS >cds-PLY63913.1 pep primary_assembly:Lsat_Salinas_v7:5:127366330:127366587:1 gene:gene-LSAT_5X56980 transcript:rna-gnl|WGS:NBSK|LSAT_5X56980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPKGGGDDEVMVNAGDALEMTGQEEKMVGVNGHMEGVKVNARVHQVQHVKPPYKRKKSERIIKMKLAKKVGGEGSSHATTMELD >cds-PLY95965.1 pep primary_assembly:Lsat_Salinas_v7:9:40774889:40777339:1 gene:gene-LSAT_9X36500 transcript:rna-gnl|WGS:NBSK|LSAT_9X36500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDRENNTPAIGIDLGTTYSCVAVWKHERVEIIPNDQGNRITPSCVAFCDSKRLIGDDADYQAAMNPANTIFDAKRLIGRRFSDSKVQDDIKLWPFRVIQGPVDTPKIVVSFKGEEKEFLAEEISSMILGKMKETAETYLGKPVKDAVITVPAYFNDTQRQATKDAGAIAGLNVVRIINEPTAAAIAYGLDNKTDINGKINVLIFDLGGGTFDVSILTIAEGGTIEVKAVAGDTHLGGEDFDNRMVDHCVREFTRRWNKDMTGNYRALRRLRCACEKAKRILSCITQTSIDLDWLHEGIDFSIQFTRAKFEELNMDSFNKCIQTVEACLSDAKMEKSDVNDVILVGDSTRIPKVQSVMAAKLSANNHKSCRDLLLLDVTPLSLGVQVKGEVFNVVIPRNTLIPTKKSKKISTTKDDQSSAHIKVYQDERARSTDNHLLGKFKISGIPPSPKGDAKLKLCFEIDVNGILTVNDKISKEKLTITKENGRLSTEQIERMIEDAKKYKQEDKEYEKKVDALMDYIYKMDDKIKSMRRGRGRRRLRKMEDAIVDTTKWIEQNKAASVDEVQRMKEHLESIYMDEF >cds-PLY74754.1 pep primary_assembly:Lsat_Salinas_v7:6:118151900:118154161:-1 gene:gene-LSAT_6X70821 transcript:rna-gnl|WGS:NBSK|LSAT_6X70821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDEHGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKHYRFFRQLEALYGETTISISPNLNPNPNPTSFSDPHNFNVETSAFQGHQHPNNSSFQEPFQTDHSRLCDDSPSLSNNSSAFDTSSSGYSNPNTAMPSFMENKDYGDRATNKKRLGKRSWKTKIKDFIDSQMRKIMEKQEEWMEKMMKSIEQKEEERVLREEQWRKEEAARFEKEHKFWANERAWMESRDHALMEALHKLTDKESCHKSSPDEQNLQDHHHGKIETAVNLSSWDENEITRLIQLRTSMESRFEQGAYMQELLWEEIATKMACLGYSRNGVTCKTKWDSINDFLMRTKKRKENTRSSNSYSHHNNESLSHTIHHQVGSYRHNNERLVASNDQPNDGNYRFVMGDPENIWDGYGMKVNKGEDH >cds-PLY84645.1 pep primary_assembly:Lsat_Salinas_v7:9:102141511:102142184:-1 gene:gene-LSAT_9X74340 transcript:rna-gnl|WGS:NBSK|LSAT_9X74340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSTIKHVGDHHWRLFSARDLPAGCCNLVKEQGPVTIEHVLLVLRETKDERESRFRGLFNFFDTSKVGYLDSVQIEVGLSAMQIPTDYKYVKELLRVCDANRDERVDYQEFRRYMDDKELELYRIFQAIDVEHNGCILSEELYDALVKAGIELDDDELASLKPRFMFSAIYFHF >cds-PLY85844.1 pep primary_assembly:Lsat_Salinas_v7:3:188951980:188952939:-1 gene:gene-LSAT_3X113900 transcript:rna-gnl|WGS:NBSK|LSAT_3X113900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNPKKSRERDADDSSSINRLPDEIILQILNKIIDLRSLCFCYLVSKRFSSIVLEVDAVSFTAPFKDPNIPNKNPVGDISQSRPCHPKLYAHGFLRKFTGVKSLYIELPFSDHRVVQNRCLFKWRVKFGSRVESFVFLSPNYVCDNDGIYQIGKVDEENELISIAQHINKKRDISYQCLNDVIAWHKMLMNIVKDLPMLEEVSITDSGRKGRLSLSGEKLNEVKEWVHSASEAEINSRKGSFIGTETCIPVLKLPVSGYVMKGIFCALFEREDIQGRTDFLKNSEDGFEDKEEAAYTEAVKDVLEKEIMQTEMLNALGL >cds-PLY83479.1 pep primary_assembly:Lsat_Salinas_v7:2:182415870:182420691:-1 gene:gene-LSAT_2X104801 transcript:rna-gnl|WGS:NBSK|LSAT_2X104801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNRVGIDIPKIQVRYKNLLIEGDVHVGSRALPTLLNATLNTMEGLLQLFNLVPSKKRVVKILRDVSGIVRPSRMTLLLGPPGSGKTTLLRALAGVLNSNLRVTGEVTYCGHRMSEFIPQRTCAYISQHDFHNGEMTVRETFDFAGRCLGVGTRYDLLEELSRREKDEGIKPDPEIEAFMKATAVSGQESSLATDYVLKILGLDICADMVVGDVMRRGISGGEKKRVTTGEMLVGPAKVFFMDEISTGLDSSTTFQIVKYMKQLVHIMDVTMIISLLQPAPETFELFDDIILLSEGQIVYQGPREDILAFFESVGFKCPERKGVADFLQEVTSRKDQEQYWFKRNEPYHYISVPEFSRLFSQFETGERLYDDLALPYDKTQMHPAALVTEKYGISNMELLKANLAREWLLMKRNAFLYIFKTTQITIMSVITFTVFFRAEAKTGRLEDGGKFYGALFFSLLNVMFNGAVELALTVMRLPVFFKQRDSLFYPAWAFAIPIWIMSIPISIMESIIWIVLTYYTIGFAPSPNRFFRQLLAYIGLHQTASSLYRFMAALGRTQVIANALGTFMLLLVFVLGGFIVAKDDIEPWMIWGYYISPMMYGQNALEINEFLDDRWSTPNPDPRINETTVGKVLLKSRGMFTTDYMYWVCVIALFGFSLLFNLLFVLALTYLNPLGDSTTIVPTEDEQNKKYPETGTEMAARKKGMVLPFEPLSLAFDHVNYYVDMPAEMKTKGIEENRLQLLQDVSGTFQPGILTALVGISGAGKTTLMDVLAGRKTSGYIEGSISISGYPKKQETFARVSGYCEQNDIHSPHVTVYESLVYSAWLRLAPDITPQTCQMFVEEVMDLVELSRLRNAIVGLPGVDGLSTEQRKRLTIAIELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVTYTGPLGHQSNHLIEYFESIPGVDKIKQGQNPATWMLEVTSLAVETQLGVDFAEIYANSDLYNDKQQDVMNLMGAMYGAVLFLGGTNTAAVQSVVSIERTVFYREKAAGIYSPIPYAFSQV >cds-PLY83865.1 pep primary_assembly:Lsat_Salinas_v7:3:50659517:50660436:-1 gene:gene-LSAT_3X38981 transcript:rna-gnl|WGS:NBSK|LSAT_3X38981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLAKLALLSGERSSSKALHHALQYFDGLPTVCILHVLRLANLNSTGFVLVRGLIMVKEQSRQKALADLQQMQSTHLEKQSDKQCQPESQLKFITEA >cds-PLY84802.1 pep primary_assembly:Lsat_Salinas_v7:8:24177176:24182115:1 gene:gene-LSAT_8X20721 transcript:rna-gnl|WGS:NBSK|LSAT_8X20721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSASLTYASALGGSLSRSTTPEPQIVARLTNPRILAARGRVNTDPSEPSDLAASMSLSADGLTNQENEIDDQNTHIKHPYLTNKEVNANNGTISGVLVTVGYLARVEDFDEFEDVDMLYNTLSLEKVEALEDLVIIGPPGLIKPMSITNAGFEEDIKVSFGSMLLHGLAMSEHDGDLEENSRNSLFDMPRKTTGSYGCYGLTVALKNWIRVTFVAVPYSLEKALERVKSFCQKHSHQQKVHIDLV >cds-PLY84755.1 pep primary_assembly:Lsat_Salinas_v7:5:229924800:229926843:-1 gene:gene-LSAT_5X110001 transcript:rna-gnl|WGS:NBSK|LSAT_5X110001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEEGETSQPIITATSSSSNTSGTNPKIKAKPDPVLATCRCFSFVTVMASVLCIIVNVLSAIRSFKNVSDIFDGIFRCYAVIIAVIVIVGETEWTFIIKFWKVLEYWAGRGMLQIFVAVMTRAYPDIYGERHEMLLLRDIASYMLLACGFIYVLSGVLCLGFLKRARQNKEVSSQQAIKDLQDLERRRAELEALLIVDTP >cds-PLY99325.1 pep primary_assembly:Lsat_Salinas_v7:1:69526436:69527424:-1 gene:gene-LSAT_1X58740 transcript:rna-gnl|WGS:NBSK|LSAT_1X58740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATATYPPPPPYYRLYKDYEQDPTSAPEPPPPIQGTYLLYGANYTTDDVLPSLEDQGVRQLYPKGPNVDFKKELRSLNRELQLHILELADVLIERPSQYARRVEDISLIFKNLHHLLNSLRPHQARATLIHILELQIQRRKQAVEDIKRHVLYHFMSTLSVWRREEAQRLLKEALGTLEGQ >cds-PLY99453.1 pep primary_assembly:Lsat_Salinas_v7:6:20381689:20383751:1 gene:gene-LSAT_6X15640 transcript:rna-gnl|WGS:NBSK|LSAT_6X15640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSESAPKTILITGAAGFIASHVTKRLVSNYPNYKIVALDKLNYCSSLKNLQPCFTSSNFKFVKADIASSDLINYLLDAEEIDTIMHFAAETHVDNSFGNSFEFTTNNIYGTHVLLEACKVTRRINRFIHVSTDEVYGESDLETDIGNPEASQLLPTNPYSATKAGAEMLVMAYHRSYGLPIITTRGNNVYGPNQFPEKLIPKFVLLAMRGDPLPIHGNGSNVRSYLFCGDVAEAFDVILHKGVIGHVYNIGTKKERSVVDVARDICNLFGFDIEKALHFVNDRPFNDKRYFLDDQKLKKLGWEERTPWEAGLRMTIAWYRENPDWWGNVGHALHPHPRISMSVPSHTEEEFLMMQYTTSGISKSELKFMIYGRTGWIGGLLGKICMEKGIPYAYGRGKLEDRKMLLNDIRSLRPTHVINAAGVTGRPNVDWCETHKLETIRTNVVGTLNLADVCHQEGIYLMNFATGCIFQYDKDHAQGSGRGFKEEDEPNFTGSFYSKTKAMVEEMLREYDNVCSLRLRMPISSDLSNMRNFITKITRYSKVVNIPNSMSVLDELLPISIEMAKRKCKGVWNFTNPGIVSHNEILELYKEYIDPKFKWVNFNLEEQAKVIIAPRSNNELDASKLKKEFPELLSIKDSIIKHVFEPNKRVK >cds-PLY69247.1 pep primary_assembly:Lsat_Salinas_v7:2:129002260:129003081:-1 gene:gene-LSAT_2X60540 transcript:rna-gnl|WGS:NBSK|LSAT_2X60540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSSPSFNGPRAEAEPSYSSVNDPYYAAGQAAPSYKDMNTGRPVSGTQDQPHMRGIHGDSEMNRQPTFKDVAPGLGKSSVAPAAGAGNVHREPVVDAIQDGQKPYSLEDIAAAHKHVSAIAGPSPYDQEDKMPSNGGMRPINHSSGGQQGGYQYMSGPKSYNGDNFGKYW >cds-PLY82654.1 pep primary_assembly:Lsat_Salinas_v7:5:84468157:84468348:-1 gene:gene-LSAT_5X39540 transcript:rna-gnl|WGS:NBSK|LSAT_5X39540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKYELEQPQGRLMVQWPNSRVGASITRGRRPLSFIGKRNRRLSVFYSSGAEDEVRAAMVA >cds-PLY92050.1 pep primary_assembly:Lsat_Salinas_v7:5:324311318:324313068:1 gene:gene-LSAT_5X180561 transcript:rna-gnl|WGS:NBSK|LSAT_5X180561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWDWKCEEYGLQLDVPNGLWNNVTENDEDLSYVFDETTPVKSCGDLPYQVTENGTVNKGVEYCREASSQAKRRRMLHFDDEVLDSDVLPLCDEDFSSNFLKSKEREDSLDDAFSDMAQWVSGFADDMSASASGYDGLEQSSDGWLADCLVTDSMTLSGTSNIQMNLTDSDNSQPEIGSNNMVQERTPTRHNVIFKGKKSLIKTPTQMNSSVVLPFAFVKPCGGVEGAVTLKDINQKILTPPPSKSKKMFEEDHVISYPTSAFSGKPIVGKTKIRTEGGKGSITIMRTKG >cds-PLY79098.1 pep primary_assembly:Lsat_Salinas_v7:3:9808921:9809524:-1 gene:gene-LSAT_3X7380 transcript:rna-gnl|WGS:NBSK|LSAT_3X7380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSMGSYKLNTRCVSSGDQGSSGYGGILRDENGCWIRGFTGHLGTASMCIEAELLAILKGVELIDCLRLQNTTLETDSLDAFKALEKPDMYTRSSVWMEKISDCLKLVKKNEITLSSITPEENKCARFLAQLAMDEEEDDDDDYADVLDPPPGIESLIMADKPKLSND >cds-PLY67545.1 pep primary_assembly:Lsat_Salinas_v7:3:54209552:54210730:-1 gene:gene-LSAT_3X41740 transcript:rna-gnl|WGS:NBSK|LSAT_3X41740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARIYCESDQASLCWSCDSKVHSANFLVARHSRSLLCRVCQSPTPWTASGEKLGPSTASVCEKCVVDATSDDDEDREESQGGNNDDDDEYDGDEDDENELDIEDEDGDDFQVVPLSSTPPPAASSSSSEEFSNSDRGILMKRKRENVADLSSEDDVDCSSVQKNQRTAEGNRVTLHQFFPSSSEAIVERLKNFRHRNKISGENKPGPAVDVSKATRAVGLDLNASASASDSP >cds-PLY65794.1 pep primary_assembly:Lsat_Salinas_v7:5:274320400:274322175:1 gene:gene-LSAT_5X145340 transcript:rna-gnl|WGS:NBSK|LSAT_5X145340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPIFLNSSSTYYSPYPNDFHHEDHLSNQYLSPNSQASSSLNSLTANMFLNSTVHDQNRIFDMELYSPQHQHEGGIFGSQDYNHQTENSEEKGDQIFTWNKGEMINNMNNDQNQMKLMSSKMRVMLKMKKSDPVNLNTYSSTTQQVTKLEDHKLPTSIQETENSSNSTSSNNNIPIRVCSDCCTTKTPLWRSGPRGPKSLCNACGIRQRKARRALAAAAEAENGDSNVSIDQSITNRLKVKKNHHKDHKKPSNGYVAKLKKRKYSKHITTTPPTPTPSPSPSPSTSPPPSPAASPSSSSPPRKNCVEEFLVSLSKNLSFHRVFPQDEKEAAILLMALSCGKAHET >cds-PLY65378.1 pep primary_assembly:Lsat_Salinas_v7:6:41564828:41566521:1 gene:gene-LSAT_6X29561 transcript:rna-gnl|WGS:NBSK|LSAT_6X29561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALTRLLKPSSSSSITFLFRRSLSSTTTAVVSDIESQHNQRPNFSDPKGSRNFQWVFLGCPGVGKGTYASRLSTLLGVPHIATGDLVREELSSSGPLSRQLAEIVNQGKLVSDEIIFNLLSKRLEAGESKGESGFILDGFPRTIRQAEILDEVTEIDLVVNLKLREDVLVEKCLGRRICSQCGKGFNVASINAKEENGRPAMSMAPLLPPSHCSTKLITRADDTEEVVKERLRVYQEKSQPVEDFYREQGKIMEFDLPGGIPESWPKLLEALNLEDYEVKRSAVA >cds-PLY84533.1 pep primary_assembly:Lsat_Salinas_v7:1:31361956:31365643:1 gene:gene-LSAT_1X28341 transcript:rna-gnl|WGS:NBSK|LSAT_1X28341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G17990) UniProtKB/Swiss-Prot;Acc:Q02166] MAALRFHHPLLTSSSSSSNSSPFLKSQLQRNRLVNIHANGSFNNLVSAAHPNGDAPGSISKTTSFSQLIESLIDRKNLTEAEAEESLDFLLQDANESLISAFLVLLRAKGETYEEVVGLARAMFKHCKKVEGLDDAVDIVGTGGDGANTVNISTGSSILAAACGARVAKQGNRSSSSACGSADVLEELGVAIELGPEGVKRCVNKAGIGFMMSPIYHPAMQIVRPVRKQLKVKTVFNILGPMLNPARVPFAVVGVYKEDLVHKMAKALQRFGMKRALVVHSEGLDEMSPLGPGLALDVTQNSIEKFSFDPLDFGMPRCTVDDLRGGDSKYNAESLRRVLSGEKGSIADSFALNAAAALLVSGCVESLGEGVVLARETLESGRAIKTLDSWIEISNNSIVRHCTLKNIPN >cds-PLY95547.1 pep primary_assembly:Lsat_Salinas_v7:6:174515703:174516230:-1 gene:gene-LSAT_6X106321 transcript:rna-gnl|WGS:NBSK|LSAT_6X106321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKEQKIQPKTQKTMSSLPVLSPTLSPSPLITRERYKGVRMRSWGSWVSEIRAPNQKSRIWLGSYSTPEAAARAYDAALLCVKGPTANLNFSPDQYYDHDSFTTTTTIMSPKSIKKVAALAAARLPPIPVHLHLHHHLHLIHHHYHRFHHLNQQLHLMRKAKDCLLKTIHLMAP >cds-PLY71780.1 pep primary_assembly:Lsat_Salinas_v7:5:122849402:122849641:-1 gene:gene-LSAT_5X55060 transcript:rna-gnl|WGS:NBSK|LSAT_5X55060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRISAGFSATYANNGGDSDRRFQQPQWSSNRVKGDHRNRDQDELVEKGSGVCSRHSWWSIPAAKKFRHGGVPAVIWKPG >cds-PLY71016.1 pep primary_assembly:Lsat_Salinas_v7:9:72500510:72502919:1 gene:gene-LSAT_9X58621 transcript:rna-gnl|WGS:NBSK|LSAT_9X58621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDESNENKCTTSVPPLHQKGLLGEDHNHNQNQDDDSHKKSTFFKFGKQGSPCVKFQRLAPEKDVNTRSYNHTFRGSVHRVFNKKINWKSLQTMAKEWISNPINMVLFIWIICVAVSGAILFLVMTGMLNHALPKKSQRNTWFEVNNQILNALFTLMCLYQHPHRLYHLILLSKWRPQDISKLRNLYSKNGTYKPNEWAHMMVVVFLLNLNCFAQYALCGLNVGYKRSERPAIGVALTVSIAISAPAIAGLYSVMSPLGKDSDTDTNSVSDEESQKGIESSEKIFLLSESNPKWSGGIFDFWEDISLAYLSLFCSFCVFGWNMERLGFGNMYVHMTTFLLFCLAPFWIFTLAAVNIENEIVREILGITGVFLCVFGLLYGGFWRIQMRKRFNLPSSDFCCGNPGVSDCVLWLCCCWCSLAQEVRTGNKYDVLDNKFYRKPEGEMSISPLPREEQLNYRSTPSPTTFLQEYKSSNIEEGSSSRGNDSTLEPPIPSFIHRDAT >cds-PLY61873.1 pep primary_assembly:Lsat_Salinas_v7:6:58137934:58140536:-1 gene:gene-LSAT_6X43340 transcript:rna-gnl|WGS:NBSK|LSAT_6X43340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28190) UniProtKB/Swiss-Prot;Acc:O78310] MAAHSILFSSPAASNSLLFPISNPNTISLQSSFHGVSLKPSIARQSMILSATAPKPLTVVAAVKKAVAVLKGTSSVEGVVTLTQEDSGPTTVNVKITGLTPGPHGFHLHEFGDTTNGCISTGPHFNPNGHTHGAPEDKIRHAGDLGNIIANADGVAEATIVDDQIPLTGPNAVVGRALVVHELADDLGKGGHELSLSTGNAGGRLACGVVGLTPI >cds-PLY85243.1 pep primary_assembly:Lsat_Salinas_v7:1:164820475:164825019:1 gene:gene-LSAT_1X109340 transcript:rna-gnl|WGS:NBSK|LSAT_1X109340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPNKQPEGAIVPREMKGSIKQEQGEGQVMVDQPKSGFKNFLWHGGSTYDAWFSCVSNQVAQVLLNSHTLSLNWVWFRESSLKSFIDSLEDDPTWEDVLLPNGCNVPGGSMSGTGIGGGGMVFTQHDMGNGMTLRDTYI >cds-PLY97309.1 pep primary_assembly:Lsat_Salinas_v7:1:177115187:177117806:1 gene:gene-LSAT_1X117981 transcript:rna-gnl|WGS:NBSK|LSAT_1X117981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prefoldin subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G49510) UniProtKB/Swiss-Prot;Acc:P57741] MATSSSPPQSDSEVVAERRGIPAASFVKDVQAYLNQLGLDVNSTLAFLQERLQQYKVVEMKLLAQQRDLQAKIPDIEKCLDVVATLQAKKDSDEAFLTDFEVSEGIYSRARIDNTDSVCLWLGANVMLEYSCEEATSLLQKNLENAKASLEVLVGDLQFLRDQVTITQVTIARVYNWDVHQRRVKQASSSSQDS >cds-PLY79038.1 pep primary_assembly:Lsat_Salinas_v7:3:9753680:9761236:1 gene:gene-LSAT_3X7441 transcript:rna-gnl|WGS:NBSK|LSAT_3X7441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGGGAEAHARFKQYEYRANSSLVLTSDSRSPQDTHEPTGEPESLYGKIDPKSFGDRAYRGRPAELDEKITKSKKKKEREPSSLASAEAGNNRQSKRRRLQEESVLTSTEEGVYQPKTKETRAAYEAMLSVIQTQLGGQPLNIVSGAADEILAVLKNDTLKNPDKKKDIEKLLNSIPNQVFDQLVSIGRLITDFQDAGGDAAGSNAANGEDALDDDVGVAVEFEENEEDDEKSNLDIVQEDDEEEEDDVLDRDGNNAMQMGGGMDDEDSQEANEGMTLNVQDIDAYWLQRKISQAYEQQIDPQQSQKLAEEVLMILEEGDDREVETKLLVHLQFDKFSLIKYLLRNRLKIVWCTRLARAEDQDQRKQIEEQMMGLGPDLAAVLEQLHATRATAKERQKNLEKSIREEARRLKDESGGGNGDRGRRDVVDRDAENGWLNGQRQLLDLESIAFQQGGLLMANKKCELPLGSYRNHSKGYEEVHVPALKPKPFAPDEKLVEISTMPSWAQPAFEGMTQLNRVQSKVYETALFKADNLLLCAPTGAGKTNVAMLTILQQIGLHMNEDGTFNRSDYKIVYVAPMKALVAEIVGNLSNRLEPHYGIIVKELSGDQSLTRQQIEETQIIVTTPEKWDIITRKSGDRTYTKLLKLLIIDEIHLLHDNRGPVLESIVARTLRQIETTKEHIRLVGLSATIPNYDDVALFMRVELEKGLFHFDNSYRPCPLAQQYIGITVKKPLQRFQVMNDICYEKVIGVAGKHQVLIFVHSRKETTKTARAIRDAALADDTLGIFLKEDSAAREILRDHTELVKSNDLKDLLPYGLAIHNAGMARADRDLVEVLFADGHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQFDTYGEGIVITGHSEMQYYLSLMNQQLPIESQFVAKLADQLNAEIVLGTVQNAKEALNWLRYTYLYVRMMHNPTLYGLSADALTRDPSLLDWRSDLVHSAATILDKNNLVKYDRKSGYFQVTDLGRIASYYYITHGTIATYNEHLKPTMTDIELCRLFSLSEEFKYITARQDEKMELAMLLDRVPNPIKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVFITQSAGRLMRALFEIVVKRGWARLAEKALNLCKMINKRIWSVQTPLRQFHGIKNDILMKLEKKDLAWERYYDLSSQELGELVRAPKLGKNLHKCIHQFPKLNLAAHVQPITRTILRVELTITPDFQWEDRIHGYVEPFWVIVEDNDGEYILHNEYFLLKKQYIDEDHTLSFTVPIYEPLPPQYFIKVVSDRWLGSLSVLPVTFRHLILPEKYPPPTELLDLQPLPVTALRNPLYEALYQEFKHLNPVQTQVFTVLYNTDDNVLVAAPTGSGKTICAEFAVLRNHQKVSEGVMRAVYIAPVEALAKERYNEWKKKFGDGLGLRVCELTGETATDLKLLEKGQVIISTPDRWDALSRRWKQRKHIQQVSLFIVDELHLIGGQGGPVLEVIVSRMRYIASQGHNIRIVALSTSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGIDIANFEARMQAMSKPTYTAIVQHAKNGKPAIVFVPTRKHARLTAVDLMTYSSAESTYENGEKPLFLLQSENEISPFIERIKEPMLKETLVYGVGYLHEGLTTTDQDIVRTLFETGCIQVCVMSGTMCWGVSLRAHLVVVMGTQYYDGRENAHTDYPVTDLLQMMGLASRPLVDNSGKCVVFCHAPRKEYYKKFLFEAFPVESHLHHHLHDNLNAEVVVEVIANKQDPVDYLTWTFIYRRLTQNPNYYNLQGVSQRHLSDHLSELVENTLSDLEASNCVTINGDFYLSPNNLGIIASYYYISYTTIERFSSSLTPKTKLKGLLEILASASEFEQLPVRPGEEDLIRRLIHHQRFSFENPRYTDPHVKVNTLLQAHFSRQMQMVGGNLGSDQQEVVLSASRLLQAMVDVISSNGWLSLALLTMEVSQMVTQGMWERDSVLLQLPHFTKDVAKRCQENPGRSIETVFDLVEMEDDERRELLQMSDPQLMDIAKFCNRFPNIDLSYDVVDGDNIRAGEEFTMVVTLERDLEGRTEVGPVDAPRYLKGKEEGWWLVVGDTKSNQLLAIKRVSLQRKAKVKLDNITAPSDAVGKKSFTLYFMCDSYMGCDQEYSFSIDVKAGRGSDD >cds-PLY77246.1 pep primary_assembly:Lsat_Salinas_v7:4:177418051:177420424:-1 gene:gene-LSAT_4X103960 transcript:rna-gnl|WGS:NBSK|LSAT_4X103960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTKKVVMGRNSSKALASPKVSKTQKKVPEDVNNQENKASQMITSSARKKTSADMVVRQQPHQKMYKLRTGCVNVSHSHKPSNREHTKATAQETCDSWRKRGNEAYKSGDLSEAEVSIITGTFMLQNKVVVIFASLVL >cds-PLY83768.1 pep primary_assembly:Lsat_Salinas_v7:4:39215003:39216220:-1 gene:gene-LSAT_4X26840 transcript:rna-gnl|WGS:NBSK|LSAT_4X26840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRILTAVLLLFFLFAAPSTADINSVEIQSKEDILFSEFEYSHTGYVSVSVSSVGISSTSNSSQPADPSRIGFFLYSHELSERYPFEIKPNPDMCALDIKNITVLFTFQDLSPPPHASFNTSYHVTYPGKYSLYFANCNNQSLVTMNLRTELYNVADDGTTKDYLSAGQPQPYVYFGFFLVYLYFLGFWVNLCFKNQKRFQMIHLLMAMLIWITVLHFICSTADQHDLKVTGTHHGWYILFNIFKVMRNVLLYTVLVLTAAGWSFRKRVLPDWEIILLTILILVRVLVNVGYILVGESGPYYEDWLDLKHMIILFIDTNCCVVVFFHTAFFSDLALTKASDTSNFGMSLSLTDVYSLMVFVCLLIMVLQQTVLQESSSLVFYMVMFYMYRPSVLHDVQAICSSRRR >cds-PLY77370.1 pep primary_assembly:Lsat_Salinas_v7:1:208195093:208195260:1 gene:gene-LSAT_1X124121 transcript:rna-gnl|WGS:NBSK|LSAT_1X124121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQDSIPLSTWLSMNGTQFQFNQNLSDFKILIQVVFGFEWTESNSIRIMECARLL >cds-PLY95666.1 pep primary_assembly:Lsat_Salinas_v7:2:111596729:111601234:1 gene:gene-LSAT_2X51000 transcript:rna-gnl|WGS:NBSK|LSAT_2X51000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKHYRPPGKKKEGNAARYITRSQAVKYLQVSLSVFRRLCIFKGVFPRDPKKKVKGNHHTYYHMKDILFLKHEPLLDKFREMRAYEKKVKKAMSKKNKDLAERLLTRKPSYTLDMLIRERYPKFIDALRDLDDGLTMVHLFAALPAIERENIQAERIHNCRGLSLEWQAYVSRTHKLRKAFISVKGIYYQAEVEGQKITWLTPHALQQVMPQDVDYKIMLTFLEFYETLLGFVNLRLYNSINLKYPPILDPRLKALAADLYALTRYVDAKDKKDGGNHEESELRLAQLQDQLPANEPGALMHLVENTADENEDDEETTACKTLFKDITFFLSREVPRESLLFVITAFGGVVSWEGDGAPFEESNQSINYQIVDRPTQSHRFISRDYIQPQWVFDCINARVILPTEEYMVGKVLPPHLSPFVDNEAEGYVPEYAETIKRLQAAARKEILPMPGGEQDDFDNAQNLLAEGIIDRAKAKEAAERKRKMEVFQAQYHEELKAELEGKTDTNTPTTPKESKKKKKKEKNVDDEEEEEIEDDGNLKQFADDNDNMSKVAMSRKKRRLYEAMKISQERKKASVDTLKTRKKNIQKSKKTTSD >cds-PLY67013.1 pep primary_assembly:Lsat_Salinas_v7:3:29046622:29048187:1 gene:gene-LSAT_3X19800 transcript:rna-gnl|WGS:NBSK|LSAT_3X19800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLPDELIPVIDISDSEDPEVIKSVCDATDKLGLFQIVKHGVPLSIIEGVKDATHKFFGLSSEEKKKYLSQNTPLKNVRYVTSFSPEVDKAYEWKDHLSCFYVSDEETLELWPSICKHEALQYLKTCDSLLEKALERSGNDLDSAIKSLNELCLGYVDGISRLPVQSNVVTEKDSFIN >cds-PLY66265.1 pep primary_assembly:Lsat_Salinas_v7:3:48142702:48145906:1 gene:gene-LSAT_3X37960 transcript:rna-gnl|WGS:NBSK|LSAT_3X37960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAESTKASSKAKVKMKKVLMNEHHGDGLLGCDGGDNSGGSFDGNVKKKKCGHSGGGATSGTRCCQAEKCTADLTEAKQYHRRHRVCELHAKAQVVIVAGNEQRFCQQCSRFHELLEFDDTKRSCRRRLAGHNERRRKSSSEIKGTRKTLACMEADGREMFQGSCERE >cds-PLY63837.1 pep primary_assembly:Lsat_Salinas_v7:7:101947309:101947731:1 gene:gene-LSAT_7X67440 transcript:rna-gnl|WGS:NBSK|LSAT_7X67440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCYSLIFSKKFPCLLFSCGNQERSMTRYRDQITGSTIRYHDHGARSGTISNDFSFFIFLFRRPSSSSYFLSVTHSYTYAHKHTIKLIKVWVHNELNLKLKNGALDGVEDHYFYYFKDGFKVLNCLCFFAHLKDGCRTPNV >cds-PLY77435.1 pep primary_assembly:Lsat_Salinas_v7:9:108699272:108702459:1 gene:gene-LSAT_9X81040 transcript:rna-gnl|WGS:NBSK|LSAT_9X81040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin-cholesterol acyltransferase-like 1 [Source:Projected from Arabidopsis thaliana (AT1G27480) UniProtKB/Swiss-Prot;Acc:Q9FZI8] MGGSVVVNVATVMMVITMMVAVVPSTCRAIINDNHHRQLYPVVLVPGAGGNQLEARLTAEYKGTSWLCSRFYPVKKQEGGWFRLWFDVGVLLAPFLECFAERMTLYYHPELDDYRNAPGVETRVCQFGSTQSLLYLDPNLKHITSYMEPLVESLQELGYTDNKTMFGAPYDFRYGLAAEGHPCDVGSTFLQNIKQLIEEASDSNGGKPVILISHSLGGLFVLQLLNRNPPSWRKQYIKHFIALAAPWGGTVDEMLTFASGNSLGVPLVNPLLVRNEQRSSESNLWLLPRTKQFPQEKPLVVTKNSTYSSFDISRFLEDIGFPEGVQPYQKRVLPLVEKLAAPGIPVTCIIGSGVQTPESLHYGEEGFDKQPEIVYGDGDGTVNMASLLALVDEWRDEENQRLKVIKVDGISHTNIIKDDESLDQIVKEITIINDGLSTSSFVSSS >cds-PLY68154.1 pep primary_assembly:Lsat_Salinas_v7:7:70752711:70755691:-1 gene:gene-LSAT_7X50661 transcript:rna-gnl|WGS:NBSK|LSAT_7X50661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMAFPSNPSSSSSSSSSDASSLYPDPFHANVSNFVSVKLSSERNYRLWEKQIGCLLKSYNLLGIVCEPITPDLITRFDGLVKGWIFGSISEELLDNVVELESAKAVWDKLGSIYCPAIMIPQTGQIKITRNKESLMLKAILKGKWQEVKSKLKEDKAAATEAINSDGNTVLHIAVGIGRNILVNEILSLIEPGQLPNIVNVYGSTALHIAAIVGNTEAATLLIKKDRSLLEIENTKCETPLHKAFQNMHLDTIDCLLKAIFDDIKGKKMTFPLANSVVNQGVNLLVNAVSAKKYDLATELVKSSPDCAVQSDDVLLAIAKTFPIGLDYWETLIYPSMGDICERIVKRAKDSFKILVDYYEGMMSLMEDIPDDLIIGTIRLLQYFTLKGPLAVLAWIYFMIRLLILMLYFPFIMFYFLFWKVATRVVPRINNIEKKMKEQKEAKEVLNLVCDEIEKLEFDVYPRNFYIRPILEAACQDIYEVVDEILMRSPEAIRYKDENGYDVIQLAVIHRSEKVYNLIHTIGERKSVYRMIEDSSKNNMLHLVGRLPPLQKLRQRKGPALQLQRELHWRQEVEKLVLPTCTTKENTFKETPDKVFRREHENMVKEAEKWMKAVAESCSITAALITTIVFAAAITVPGGSNQETGIPVFTKEIAFTIFAITDAISLFASSTALLMFLSILTGSFDERDFLVILPRRLMIGLCALMLSTTAMMVAFGATLFLVFCHKRPWMLAPICVLSSVPIATFGKLQIPLILDLCRSTYVNIFGIPN >cds-PLY73712.1 pep primary_assembly:Lsat_Salinas_v7:8:169622241:169622561:-1 gene:gene-LSAT_8X111260 transcript:rna-gnl|WGS:NBSK|LSAT_8X111260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHIVISNFKILAHLAMYKVCNQEYYFESDSTVGMDATIGDGVDVLSVSFGGAYVPFYRDSLAISTFKAIQKGTFVSCSIGNFGPSKGTLSNEVPRVLTVGASTIDR >cds-PLY96833.1 pep primary_assembly:Lsat_Salinas_v7:2:109293575:109294245:-1 gene:gene-LSAT_2X48340 transcript:rna-gnl|WGS:NBSK|LSAT_2X48340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWINHILVFILAGTIAITIDLFQLFSSTKPLFEIEEMQGKQSLCLTLKERQRSRPSSDNEARSSQSSADIKSYIITTQLDPGVYRKHVEDTTTSNLTGFAFVVIGNFHLAGGKIT >cds-PLY71155.1 pep primary_assembly:Lsat_Salinas_v7:9:79708035:79709454:-1 gene:gene-LSAT_9X64361 transcript:rna-gnl|WGS:NBSK|LSAT_9X64361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTVAAAESSSETRNSRWSLAGMTALVTGGTHGIGYAVVEELAELGAAVHTCSRNEAELNQRLQEWSSKGFTVTGSICDAASRPQREQLLEKVSSIFNGKLNIVINNVGTNIVKPNLEYTAEEYSLIMATNLESCYHISQLSHPLLKASGFGSIVFISSVAGSVHVNYTSIYGPTKAAMNQLGKNLACEWAKDNIRSNSVAPGCTRTPLVERLFNNHDEFINTLVSKTPLKRIAEANEVSSMVAFLCLPAASYITGQTILVDGGFSVNGFP >cds-PLY62078.1 pep primary_assembly:Lsat_Salinas_v7:2:55762091:55762354:1 gene:gene-LSAT_2X25281 transcript:rna-gnl|WGS:NBSK|LSAT_2X25281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISKRESFPDFFKAYSHLRAKYWVVRSGCQYGVDFVACRHHPSLVHSEYGMLVFSDGNRNRNGNDRLRVWSDFNARFGFLVVLQRHC >cds-PLY85708.1 pep primary_assembly:Lsat_Salinas_v7:5:78117857:78119396:1 gene:gene-LSAT_5X36040 transcript:rna-gnl|WGS:NBSK|LSAT_5X36040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELHYLCHHSRPGNYNNGGIEYNGDGGWEDGKGYGGGWDDGRGFGGRGRGRGRGRGGGYRGGAKRINSLQGFLKAVRLRLEHILERISLISDAGNTEKPSLITNTLFIGGALAARFV >cds-PLY81331.1 pep primary_assembly:Lsat_Salinas_v7:4:37227758:37228567:-1 gene:gene-LSAT_4X24741 transcript:rna-gnl|WGS:NBSK|LSAT_4X24741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIYMKIDLHFAGTRYPAICYSDGSEQRFDDVDFAGMGKNEFVVFLQRFTNELCVNVYFCMPDIEFRDGLRIIANDNYYQEFIEVGYASYCVIHVYMDHLGVNVHQWIIEELDEVCSSLDELSAANEVHEEVQGGMDMDDEINIEDLLGHNLQGDTEDLQGTRKDLQGEEDDGIEMEVDNEPHECIPMNKTKDDEFLSKLCLKDQVNPHNPPREEPYDQLHEDEVMHYDQSIYNENVYWRKKKLVLGMRFVSPKQLKHMMCDYVVANGY >cds-PLY97339.1 pep primary_assembly:Lsat_Salinas_v7:4:286431411:286431695:-1 gene:gene-LSAT_4X145841 transcript:rna-gnl|WGS:NBSK|LSAT_4X145841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLMKLVMVKRNNYVERNRWSKGNRGEKEYRQERGDIGRGNEDPWVFQWVLAMFQINGGSDDTGLRSKFRAMAPVVGSVARMVMGGGSWRKSGE >cds-PLY69623.1 pep primary_assembly:Lsat_Salinas_v7:5:111545905:111552856:1 gene:gene-LSAT_5X49141 transcript:rna-gnl|WGS:NBSK|LSAT_5X49141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEETELEEGEACFDDNDASIDPDTALSYIDQRLQNVLGHFQKDFEGGMSAEILGPKFGGYGSFLPSYKQVPTVHSHSKTPQTVQNFNKSCLESAPVNAIIPTKPVLAVRPPATSSFHVSKNETTTSNSANPSEQRSLKVRIKVSSDKSARKNAAIYSGLGLSSPSSSMGNDHHDHEDSDCLLTESHHIPLDSPDTILRDMTSVIVPGNRLLSPLNESLLCLKKVENKPTPPVIGRKIGLSSFVDDSSSILGEGKPMEGKEVSSQDKSEMKGMKYNESGGFEAEKARFSGKESFDSKQCSTSDLKVNLSSKSVLCKENGAAKKDVPVKKRETNKEWLKDRFFGSDFKESDSACGQDHDVIHSSSEETKTKTKKFSLDTKGSDRTKGKTVNSVCKNDPGEKNVEKKVGLKAMTREPHEVKATHSITKLPLERKSKLMGIIQPGAMKDNKSAQKDIVKVRNSYKDILDMRVNDDNTQRHEERHIPVNGLPAESAIQVVPAAPSDHWVGCDRCEKWRLLPIGIEPENLPDKWLCSMSTWLPGRNSCDISEDETTRAVQEMNIHLMSQNQNQNLLQYNGSGAISGLASENIRYSDHINSNVNSEAMPLKKNNSRPEGISHLSQKRKTLSEANQEKNMVNKSNNFAIGRHAAKVNEKDVNEGGDLKPKKLKSKTGSDPYDHVTSSNLKTEAAPHTDGYKDNLVISVKRQPESTELSMKSKLLGTEKMEMHAKKRKLKDWEESQPSEGNNDRIGRKEKRLKSSNNEINDSTAIKENDRSTLKGKTMKIILPGSKENSVDRKLDKHREKVTSRKNLEIAATSSSSKVSDSCRRVSLQKGSPVGSVSSSPIRALSHANLSPSVRTISRKSGKGAQIPRKLLPREDNTKGISVIDASQRFTGKVELKQKEVFKIMEDNANDAMIPQSSGKESLPKSREKDKKCSFQRVKVKGSEPLTELTKQEPFPNKMRKVEVDVDRCRSSMNPEYSNTKISNDDKDILGKKISRKRTGDEKEKPTSLMMMSHGGCEAKLGGIGSSEVKKDPKKVFLGDISKKKDLNEVEQKVEGGNLNVKSVVNDCGTMKDLGVIGFVKEYASSQTALTAFKRAEDSKDYADRIKISGFDYECNDAYFDSALKFLYAASLLESCSNEFNKSKGVDPVNIYTTSAKLSKNCAQEYEKQKEMAAAALAYKCMEVAYMRIVYCKSSVTRQDLQTSLQMVNQGESPSSSASDVDNLNNQATMDKSVLSNKSIAHPGHHLVARNQANFLRLLDFTGDVNLAMEASTNTQNSYKAAAATSITQESPNKEIMISIKKVIEFSFQDVKEVVFLVQNAREAINRQGFKGKNIKNRE >cds-PLY63615.1 pep primary_assembly:Lsat_Salinas_v7:4:132873768:132876958:-1 gene:gene-LSAT_4X82980 transcript:rna-gnl|WGS:NBSK|LSAT_4X82980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGNLAHLTLAFGLLGNIISFLVFLAPIPTFYKVYKKKSTQGFQSAPYVVGLFSAMLWIYYALLKTNVMLLITINSVGCFIETLYICFFLFYAPKKARMESLKLIGLLIVVGFGLIVLLTQLLASGGTRTVIVGWICLVFSLCVFVAPMGVVRQVIKTKSVEYMPILLSFALTISAVMWFFYGLLLADFNIAIPNVLGFTFGILQMILYFVYKNKKPLTNENILTLEAKISQKEEQKIPEHKDQKTVDILKLENLINSDILPVVAKSNKIVAVEPQAPLYVPHHTIEVAA >cds-PLY74708.1 pep primary_assembly:Lsat_Salinas_v7:5:26119990:26134191:-1 gene:gene-LSAT_5X13160 transcript:rna-gnl|WGS:NBSK|LSAT_5X13160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFGDRSNRRLEGDVAAIEDQWWPGVGRKRLICSLKVYKFIHKLINSSDLISSSNWHQASDQSMASGEGLDVMQVNNGSRRPRILLAASGSVAAIKFGNLCSCFSDWADVKAVATQAALHFIDRASLPKDVILYTDEHEWSSWSKIGDTVLHIELRRWADIMVIAPLSANTLGKIAGGLCDNLLTSIVRAWDYEKPIFVAPAMNTFMWTNPFTERHLMTVDELGITLIPPISKRLACGDYGTGAMAEPSLIFSTVRLFLESQPNSSTNH >cds-PLY70400.1 pep primary_assembly:Lsat_Salinas_v7:3:180654551:180656467:-1 gene:gene-LSAT_3X108980 transcript:rna-gnl|WGS:NBSK|LSAT_3X108980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGGVGVIQTLNPSAFPPPTATTPPTITRIKALKPLNAISAETKEIRVCTNKPCRRQGSTEALQVLSGINPPNITVKSCGCLGKCGAGPNLVVLPGPTYVNHCATAARAADIMAIVAGHDSGDWKTSLEALSMRKRAEIEIENGDFATAEILLSEAINLNPIGGLHYIYKDRSIARLGMNKAIDALADAIETSTLAPKYHEAYMCKGDALMAMEQFEEAGDSYSMALELDPSIRTSKPFKARIAKLQEKLTIANC >cds-PLY73085.1 pep primary_assembly:Lsat_Salinas_v7:6:93072170:93072688:1 gene:gene-LSAT_6X61861 transcript:rna-gnl|WGS:NBSK|LSAT_6X61861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTKRLKKAATESSEKQVKETKPTKSPKKLPITKAKPTKPEVFVADTPSTQKEIIPLKTSVFRRIKMKSKHKSRSPLTDVVRKPQVSHQGLLFHEIPAPASPSSKKRRATNMAKHISKKKKKSKVLISSESTVDENETIPETLETNLQKDSSHPAPTNVIPPDDLVAKSFFE >cds-PLY74477.1 pep primary_assembly:Lsat_Salinas_v7:7:39797502:39799677:1 gene:gene-LSAT_7X28840 transcript:rna-gnl|WGS:NBSK|LSAT_7X28840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNKKRIKTLKGKPRFSDFNLVPNDWGADVHPWLSVLAKAYPFLEELRLKRMIVWYSLQIFELSSTEGPEVGLYLFRRVPHSRILVCGGDGIAGWVLDAIEKQSYVSPPPIAFLPAGTGNDVARALNWGGGLGSVEKQGGLCMMLQHMEHASVTVLDRWKISIANHRGRPLRAPKFMNNYLVCPSGSRNIWESTNFDGHTELTEPWYKVVKARSGVGHTVVLIEDGLSFSFGWNKHGQLGTESTKNVLVMKAWFRFKKCCRANKWFFRGY >cds-PLY69866.1 pep primary_assembly:Lsat_Salinas_v7:6:5027741:5039508:-1 gene:gene-LSAT_6X2580 transcript:rna-gnl|WGS:NBSK|LSAT_6X2580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAGENGGSDGRINGIKSALRVIPNFPKPGIMFQDITTLLLDPKAFKDTIDLFVEHYKHENISVIAGIEARGFIFGPPIALAIGAKFVPLRKPKKLPEYGSDCLEMHVGAVKSGDRALVVDDLIATGGTICAAINLLERAEAEVVGCACVIEVPDLKILKKLAIQLIFLHKIINFRSNQILKKLAIQLIFLHKIINFKSNKAFKNDVDTTTHESGEKQHYLQRKKKRDLALESWKWNEVIDLVSVDNQHQLTDEVDTTDTAESVKPFKIPRLTIQSRNRFFKLRHLPDDEDYGNWLESPVDMNQPIQESDAPRQAEDDVGVIQQNPVGNDVHIPTGAVVAWPDEE >cds-PLY91600.1 pep primary_assembly:Lsat_Salinas_v7:7:11511479:11511661:1 gene:gene-LSAT_7X9920 transcript:rna-gnl|WGS:NBSK|LSAT_7X9920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMPQGYGLPKPEEEIIKFIHHTINSGITHIDTSNVYVPHTDKIRKTTTCGHPFPCSYWK >cds-PLY71885.1 pep primary_assembly:Lsat_Salinas_v7:4:376649667:376652426:-1 gene:gene-LSAT_4X186380 transcript:rna-gnl|WGS:NBSK|LSAT_4X186380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLAAIVGGAAGAAALLGVVIFVTWFFLCNNRSVSRTSETGSSDPSQGGGRPPGQGVELVIRETRVFKIEELCSATKNFSDKSLIGEGKFGEVYKGLLDDGMLVAIKRRPAPFSNDFIEEVRYLASIQHRNIVTLLGYCQANDQQILVYEYVPNGSVSVHLYGGAGEHVTKEKLEFKHRLSIALGAAKGLAHLHSLSPRLTHKDFKTANVLVDENFIAKVADAGVRNFLSRVDTAAGPSSQITADEIFLAPEVREFRRFSEKSDVYSFGVFLLELVSGKEAVGLLSSDSNQNLVEWVQNHQEEGTMSSVVIDPRIGNSFTTEGMEEYIGLIIGCVEVSSERRPAMSSVVMELDRILEKEMSLTTIMGEGTPVVTLGSQLFRAAK >cds-PLY97400.1 pep primary_assembly:Lsat_Salinas_v7:4:14308991:14310997:-1 gene:gene-LSAT_4X10120 transcript:rna-gnl|WGS:NBSK|LSAT_4X10120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASCLKRRTNVLCHLQERWFGMLAYQDHLAHKTNTSIIQNLALIKYGLTASFSTFSDSTNELVEEAEASTNIPDEKDVTLQDQLPSKTCQDLFIQWGCNNHETSQIFERMPSLHKAKLDKLQSKLQILHDLGFTSSDLVKIISCRPRFLKSRLNNCLDERVNYLENIFGSKQTLQKAILRNPSILTYDLKKMIKPTVELYKSMGITGDDLALMLLSRPTIIPRTSLTPEKLDFIKRTGASRDSKMYKYVVTLMAISRIETIREKIANLEKFGFVEDEVFRLLGTSPLVLTLSVDKVQRNMTFVVASMKLPARVVLSHPFLLYNNLETVMKPRMIVAGKIEDLGLVPRIEGFKVFTALRMTEKRFLKAFIDCHPLEISKVLMECYLDAKHVKRLAEESKKILHKGFPF >cds-PLY64068.1 pep primary_assembly:Lsat_Salinas_v7:8:93800641:93800922:-1 gene:gene-LSAT_8X65381 transcript:rna-gnl|WGS:NBSK|LSAT_8X65381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTKMKVFKRIVSDVECVDMIWYRSETKKVFRERNNHEDEGDGDRDNDGIDMRKRSLSPARWKSKQRNNSKEGEAFVKNEAFDGGGDEDSSVF >cds-PLY95842.1 pep primary_assembly:Lsat_Salinas_v7:5:65398417:65399346:-1 gene:gene-LSAT_5X30821 transcript:rna-gnl|WGS:NBSK|LSAT_5X30821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPTIIVTSDFIKAYSDGTIERFIPETSPASLDLYDGYKCKDVTIDPSKPITARLFIPTAAVMPPSLPIVVYFHGGGFAIGSTTWLGYHHFLGDLSAATKSIVLSVDYRLAPENRLPIAYEDSYASLVWLYKQKPSDSWLQDADLSRVFLSGDSAGGNIAHQVAIRAIRDTQCPVRVSGILSIHPYFGSEKRTELETKVESADDVKSNDMFWSLSVPKGSNRDYDGCNLEKMVVSMSEWNRFPDVLVFVAEFDFLKERGVEYAEFLKGKGVKVELIETKDEKHVFHVFRPDSEETKLLQSQMNEFIHSF >cds-PLY63544.1 pep primary_assembly:Lsat_Salinas_v7:9:151469562:151471230:-1 gene:gene-LSAT_9X95781 transcript:rna-gnl|WGS:NBSK|LSAT_9X95781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTGGGGGGGDGKESQPSTSSYIEYSQPCREFEFPEILLATNNFDESLVIGHGGFGKVYKGNIINGSTVVVSAIKRLDSMSTQGATEFWAEVEILSKLRHCHLVSLFGYCNYEKEMILIYEYMPNGTLEDHLHKLETPLSWLQRLKICIGASRGLDYLHTGTGIELGVIHRDIKSSNILLHESWAAKISDFGLSKIGPTNQPLTYVKTLVRGTFGYLDPHYYSTGRLTRKSDVYAFGVVLFEVLCRKRAVDTRLDESLATWAQGCIKEGNLKQIVDINVKDQISPKCLKEFVRIAERCLHNNPKHRPTMAEVVVGLNFVLTLQHKTNTTSRTMFGRMLHMFPFTPKRENSAKVDSNLLKNTKGNSANDIDTTGDDNKDFTIPIPSLGVSMFSDSKWQAELARLEELHTLKGHVESVIKFKGLDIETMQQYYTI >cds-PLY94084.1 pep primary_assembly:Lsat_Salinas_v7:4:171945754:171947435:1 gene:gene-LSAT_4X101520 transcript:rna-gnl|WGS:NBSK|LSAT_4X101520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHERLRTHAESVASFGAGAREKVMIESRFNELLAHAKILLRNKWLFGVLDDFVTKQLPHNVTWGLSLLYAMEHKADRSLTSTQGELAQALRFLTSVVSESFLAFGDILELHRKFIQLSGGLHWIFELEELLDAAQSDENAGTSSKSNEESEDVISFSEVDIITPTQNLLARKLTCEIVSGKSLLLTGLMKANSSGVRRGKESSS >cds-PLY88123.1 pep primary_assembly:Lsat_Salinas_v7:9:7709158:7709798:-1 gene:gene-LSAT_9X6940 transcript:rna-gnl|WGS:NBSK|LSAT_9X6940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEVDRLLAKKVADRYLKCEVLGEGTYGVVYKAIDTKTGQTVAIKKIQPGKQKEGANFTALREIKLLKELKDPNIIELIDAFPHKGNLHVVFEFMETDLEAVI >cds-PLY95647.1 pep primary_assembly:Lsat_Salinas_v7:2:114806461:114806877:1 gene:gene-LSAT_2X53301 transcript:rna-gnl|WGS:NBSK|LSAT_2X53301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLILHALVILAMVPFCIASTSNAVMFRMYITNGIEDNIAVHVFGKDQDYEGQRTLAFNEEFDYRIRARLGTISSGEFWWGSKYATVSIFNFNIFYSCFSGNIFKVQRCYWLVRPEGIYINGKNETFPNSGWHKKVTW >cds-PLY93385.1 pep primary_assembly:Lsat_Salinas_v7:9:59611205:59612343:-1 gene:gene-LSAT_9X52601 transcript:rna-gnl|WGS:NBSK|LSAT_9X52601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYWSAENATEAYIKTMKMGKRANEPDVAEFISAIAAGNNAQLMVVACAEAAGSTTQGLIAASHQTGGRLICIVKGIEELHSSKQALSSDANQVEFVVGNAQTLLSNDYKSADLVVIDCNLENHEGILGAIQTEREKNTIVLGYNAFWKDSWVWSRLNSHLLPIGEGLLLMRIAGQSGNGGGKNGGHGGNKGGRPSHWVVKVDKCTGEEHVFRIRSPGGRVVRA >cds-PLY93277.1 pep primary_assembly:Lsat_Salinas_v7:4:301122060:301125565:1 gene:gene-LSAT_4X150860 transcript:rna-gnl|WGS:NBSK|LSAT_4X150860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFGFGDLDGPSKAPATKSTRFAPKNSKLKPKREPEAPAPSSVSNPVTVPKVELEDLKPPPPTPPPIATVDSKAEIEKPSHTVPPSHDDNGDVTMDTDATEMEIDNDQQDEEDHVVREIDVYFNSSADANSKLYVLQYPLRQRWRPYELDDRCEKVRLKPGTAEVEVEMSVQVNSENFDPDVTDKAMKKQILSTSWKPPIANGYAIGILMGNELHLNTVNAVVQLRPSMQHLKPLKKMNTAHADTNMIDSEDIKDEKTIKQPKKQSKVPGVPIEQNGGIKEEEWIPLKYHGEASQLSNKCLQNMVKSQDSQIQDAQIKFSMNQSDYIDSLCPATSVRPKRPSVSSLVQIPIEERYKKRLLEGAPVRFNVLKHIAPDCSEEEMLKVLQTHAQLVQGLWVAKSKVKYNKDAGKELLLRNYVMLEFSKSPIFRESQLPKQSSISEMMKTILDEFGARRDSFRDWKFREARDDLFIKEYPHIVEEQKKIWDRVEPQIIEVLFPKSSKHGIGDKRPILNNNNNKNNVETKPPIVQPSKGVMLDETREALPKALQKLFQSYKVCSLNQIRQRLRDMAVSENTLRKGTREARAAAAAADAPQEELLKTLTQLAVNIHGVFVSKSSPDHPQYDDFRSIVIELLIAEGPKGRLKRSSIEAAAEMKLKREPSDTEFKKVLTEICVTQNSGWVLKSGDGSPT >cds-PLY93281.1 pep primary_assembly:Lsat_Salinas_v7:4:300278811:300283470:-1 gene:gene-LSAT_4X150461 transcript:rna-gnl|WGS:NBSK|LSAT_4X150461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSVDKSFKYDVFLSFRGEDTRTNFIDHLYHALQNKSIHTYKDDEKIKKGKNISDELIGSIEDSKFYIIVFSKNYASSSWCLDELVKIMECQRTNEHTAYPVFYDVEPSEVRKQSGPVVEAFAKHEKEEAAGKWREALKESADLSGWELKKTADGHEAKFINRIVEEISLELRSISFNIDEKLVGMETRIKNLAPSLGIGCDDVRMIGKSFVENVRENASLSSLKSLQKQVIADVFNEDLRVSSVSDGKHMMKRRLRDKKVLVVLDDVDHINQFEVLAGEPNWFKAGSVIIITTRDEQVLVAHGVKFIHDVNLLSDKEAICLFNRFAFGRDIPIQGYEELSRKVVLYAAGLPLTITVLGSFLCGKDELEWIDALERLKTIPETETLKKLELSYTCLEEDYKEIFLDVACIMKGWQKDDAIKALKSCGFHARNGLRVLQQKSLITINYDYLGMHDHIVEMGRNIVRRWLPNKPHKHSRLWKIDEIKDILANDLGTKATRCIRFHSKKFNPHIFIKGLRKMKELRFLSVSGDCSSDYEFGILGPDFPNALRYLHWTSYPFRSLPTAFQASNLKIMVLNKLKFLDLSCSMLRTLDLGLAPNLEELILVECTYLEKLHLPGRCLNLRCLILPGSSLRTLDIGLTPNLEKLDLKKSYCLEELHMGSECQKVTELIISHLNLRTLDLGMTPNLKKLHLKECRKLEKLHTPIGCLKKLVQGDLSGCLRFGSFRFNIEDNTSCSVDESLEVGPLAELHLFVESIERCLLHPDNNLPKFRFDCDYKEDRPSLTRNLEILFSVGMCACTNLEMFSQSICGLQRLRKLELKGSFVEAIKDLDQLESLEELILLSTNINHLPDSIFKLKHLKSLKLNDLRLLERLPEDIGHLECLEELSLLITNIKHLPDSIYMFKHLKSLKLRLCLLLEKLPENLGLLKHLEELALSCTNVKHLPDSICMLKHLKYLELYHCSLLEKLPHDLGRLEHLEELHLSKAEIKHLPGSICMLKSLKYLKIYDCSLHEKLPEDLGRLGCLEELDLSFTNIKHLPDSICMLKRLKYLKLYHCSLLEKLPQNLGQLERLEVLSLGKCELLKNIPNSICEMKCLTCLHLRDCIRVEKLPEELERLECLEELDIKGTSISHLPHSIILLKGLHIIWFTPARRVSSTVLQG >cds-PLY99397.1 pep primary_assembly:Lsat_Salinas_v7:4:102637973:102638829:-1 gene:gene-LSAT_4X66640 transcript:rna-gnl|WGS:NBSK|LSAT_4X66640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVNSNRQEQDQTPTSTASPTYLIILKLMSKRRTWVLLFLFVYTILLSSSWNLLQSVLSWYDAAVTTSPSSSTGWPAIYASVALGVIFGLLSMAAALAVAIPATVVTWISVLVLLTFFGKPRKSLVVEGKKLTAEITRTVGKILIKEGNLVAAVCAVLGYFLLVRNGGKD >cds-PLY81025.1 pep primary_assembly:Lsat_Salinas_v7:9:176344525:176345835:-1 gene:gene-LSAT_9X108640 transcript:rna-gnl|WGS:NBSK|LSAT_9X108640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFTGLTRLLHGLMQLAGLTPKILEIEPGTIMNIWIPQETIVEVDEIPQYIPPKKPAVLLVHCFAMDGIFLWFPQVLALTRTYSVYVPDLLFFGGSTTNRNERSASFQAEFLAKGMKILSVEKVTLVGLSYGGFVGFEMAKLYPNLVHSMVVSSTAIELTESLSHESCKRFGVSSWADLLLPETMEGLIRMLTAGAHKMPWLPDFIYRGIFETMFKNRKERSELLAALVVPDNDANTVAKYSQRIHMLWGEEDKIFSYELAKAMKARLGAETTIEYIKSAGHLLPLEQPLAYNRHLKRFLASCV >cds-PLY81960.1 pep primary_assembly:Lsat_Salinas_v7:9:152760885:152764511:1 gene:gene-LSAT_9X97301 transcript:rna-gnl|WGS:NBSK|LSAT_9X97301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:COP1-interacting protein 7 [Source:Projected from Arabidopsis thaliana (AT4G27430) UniProtKB/Swiss-Prot;Acc:O80386] MDSIHSKSQLDYALFQLTPTRTRCDLVICVGDCKEKLASGLLSPFIAHLQFAKDQISKGGYSITLSASAPWFTKSTLERFVRFVSTPEVLERFITIEREIANIELSLNTNTPSDSQSLYGLEEHLNKSSNLAYKHEGNTDDAVHEEDSKVHLQRVLENRKAILQKEQAMVYARALVAGFETDNLEDLISFSDAFGSPRLREACLNFMELCNTKSNDRVWMDEVAAIQAYSCSQSSYIETSGAILFPEENDPSQELRVNVQNGNFVTKKQNSGVDIHTYHGNPMFHLPYQGYPFPPMVIPPYYQQNLPFQDFKSRGKVSQKKESHSSDSSSESETRSSSRKIVIRNINYINSERDLETEHKSDENLECEDKREGEKVTQQWDIFQNLLMKDKDDKESEEFVSNKFEEQNINQSGRHKEGDWFSDKTVHEKSKDIFEDNFQSTESRKDVLVDDSLAIQDHSLNKSSETHLRTQEILMVSDNLTHKTSYSTNKNVNEPNDLYMVLERETAGKETMPTWTPEMELGHNDVKIIKQDSIGNKKSTKSTPGKGSTIETKSKALSERKSKSDVISRSKKPIAKGKTDKEEEKRKRMEELLIQRQKRISERSASATNKSKSQLASQDMKKSNKPVIKSSTINRLSTARVINPKVLPTESKPVYKPIKVSTKKITESKPAKIPTKKITESKPTKLPTKKISESKPAKAVTKKISESKPAKVVNKKNFESKPPKVVTKKNFEAKPAKMATKKTNEQKPAKIGNKKIVESKPAKMLTKNIDSKNSKDPKKVDIMNNVKQLPVISPNHQSTQLENAIKTSSSVVQEDKAIPLNNGGLSKKALNTVTFKIDEDHGVKENPNFKLNHEISMVETTERNISRKKWSSFETSSKALSGFKKLLSFGRRR >cds-PLY65153.1 pep primary_assembly:Lsat_Salinas_v7:9:160040541:160041461:-1 gene:gene-LSAT_9X99780 transcript:rna-gnl|WGS:NBSK|LSAT_9X99780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQIKQQQFQCCYQNWVAQQQLDLDELLQTLTNYPTDVDYLQLITKKMLTHFENYNNSRAQLAKHDGPSFLAPSWGSTFVNSFLWIGGCRPTLMIRLVYALCGSHLNTHLKEFLEGVRHGNIGEISSLQLKRIDELHAKTVKEQDKLSSYMATLQERIADEPLMLLASGCRGVGESSEGGVVDIAMDTHALDMYNVLMEADKLRMSTLKGILEIFTPLQAVEFLVAAKKLHLSLHEWSSRRDTRMGITHLLGSNNPSSSGDPPPLPPPLEP >cds-PLY73962.1 pep primary_assembly:Lsat_Salinas_v7:5:106222992:106228104:-1 gene:gene-LSAT_5X47780 transcript:rna-gnl|WGS:NBSK|LSAT_5X47780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCLKIWVKELLCVWVLSLCLVWVLNGVGVHASSPTDELQPYRSGFHFQPPKNWINGPMYFNGVYHLFYQYNPYGPLWGNISWGHSISYDLVNWFLLEPALTPKEPYDINGCLSGSTTILPGPQPIILYTGQDLNNSQVQNLAFPKNLSDPLLKDWIKWSGNPFLTPIDDIKPGQFRDPSTAWIGPDGKWRIVIGSEINGHGTALLYHSRNGTSWTRSKNPLYFSSKTGMWECPDFYPVSSGDKKGLDTSFEGNNTIHVLKASFNNREYYVIGNYDPIKDQFFVIGNDFMVSNTQFQYDYGRFYASKSFYDGANQRRVLWGWVNEGDSQSDDVKKGWSGLQSFPRSIWLSNNRKQLVQWPVDEIQKLRTKRFNITNRELPGSVLLKIPSITASQADVEVSFSLTNLSEIELIDSEAIDPQLLCSQKNASISGRFGPFGLLILASKNLTEQTAVFFRVFKGPNKFLVLMCSDQSRSSIAQEVDKSIYGAFLDLDPLHEKIRLRSLIDHSIVESFGGKGLSCITARVYPKLAINEQAELYVFNNGTQSVTISTLNAWSMKEAQIVPIG >cds-PLY73953.1 pep primary_assembly:Lsat_Salinas_v7:5:36491852:36492466:-1 gene:gene-LSAT_5X18021 transcript:rna-gnl|WGS:NBSK|LSAT_5X18021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPSPPLPPFTTHHHRYTPPPPLPPTITIITTSATTIFHPLAPLPPPPLITTTTHHRRHHPLPPHPPPPTTATTIHHHHHHRHRLPPSPSLPFLPPHHPPLHHHDNTITATTTVITSATATTTTTAMPLPTPLSPPPLLPLLLHHAQPPPLLIAATITITITITTATIVITSATTPHHLCRHQHHYCHCQHHSHNHHYLLFL >cds-PLY93163.1 pep primary_assembly:Lsat_Salinas_v7:6:5613641:5614471:-1 gene:gene-LSAT_6X1860 transcript:rna-gnl|WGS:NBSK|LSAT_6X1860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFDLNEDQPDESYQSNEPSSDLTEEKERNISQVMEEKVKNDSDYATKKAAYSLVSISLQQISSNQDSESKSGSNEVENKKTSPRRSSSIDSYESLVLKLEGSCVDEDCVTSKAFELNEMGKSKNDKGINSIKLRRGRRMKDFQKDILPTLSSLSRHEIWEDIKILEGVIRSREYKRLQKAKTENGDNYVKNKRSKVNYAGQRSCLPKRK >cds-PLY92044.1 pep primary_assembly:Lsat_Salinas_v7:5:324870725:324874075:-1 gene:gene-LSAT_5X179340 transcript:rna-gnl|WGS:NBSK|LSAT_5X179340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT1 [Source:Projected from Arabidopsis thaliana (AT3G24800) UniProtKB/Swiss-Prot;Acc:Q8LBL5] MEECNESEQVSDHFICAVCLDILYKPIVLGRKCLRKLDYATSVNFVCGHVTCFWCCHRSMDMRGQSHCPLCRHPYRHFPAICQMLDIFLKKMYPISYDRRKTQTLEDERQGSLGFATDIDSAVTGEELDQPSKSSSEDNLLISPSTEEKKSVDGCSAGNCKQVTVADMLCSECKQLLFRPVALNCGHVYCEACISVPEDKVIKCQVCECKHPSGFPKVSKELDYFLEEHFSSEYAHRKSSMQLHKEQTQNGNSLTSSSAADAEASKFPYPTEENFLQWWTAHGSKFHPGVGCDRCGMCPIIGERYRCKDCKEKSGYDLCGDCHKTGSKLPGRFNQKHTPEHQLELVKPAINRDVIYRLLSGQLAVVSAASRNRSNPPLNQALESASSSLDDNNNNVDDDDDDDGSREGQSHNETPL >cds-PLY97243.1 pep primary_assembly:Lsat_Salinas_v7:1:44502994:44503782:-1 gene:gene-LSAT_1X38881 transcript:rna-gnl|WGS:NBSK|LSAT_1X38881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIDLADEIFPISDEKYAQELQLQETLTPSASKRNASSSSQNVASSSSIPATFTPPNKLKRKQAMLKAEEATLHERICGICMDTKALPEIFSNAKVCGHLFCSDCISQHVYVKIKENIAKVKCPDPTCKGLIGPEVYRDIVAKEVLERWEDALCESTIMATQKLYCPFKDCSAMLVDDSGEVVTSAECPNCHRLFCAQCKVAWHSRMDCREYKRLKEYERNPKDLMLMELAKNKKWKKNGRNARTGTFMWKSEAVVIAFIAG >cds-PLY85425.1 pep primary_assembly:Lsat_Salinas_v7:4:291448834:291449520:1 gene:gene-LSAT_4X148641 transcript:rna-gnl|WGS:NBSK|LSAT_4X148641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAICLYVKSKLFVLTIVLLICCSHANDQIPQVRKEDRKMLGFAKGAASLVRQGIKGVDDATKPAAKTVPATNQARTRWPADITAKNIGESGAGKAFVTGFRVGWKRGKWYYRMEERCQKYQSVLNCFPASPACHGTSRSCFVQVTGNTRMVDSCREDCVPSLPTLTPCTYHNGVFYLGSTKLSIDVARTKCQVV >cds-PLY71375.1 pep primary_assembly:Lsat_Salinas_v7:8:270637171:270637452:-1 gene:gene-LSAT_8X156981 transcript:rna-gnl|WGS:NBSK|LSAT_8X156981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGGLICFPWFTRPVSGSHRSRGEEEHKEGISGAWSDNSNKRERVFNSLLIGEEKGTKAAAVAVNGGFSSSDRREKNEEEGGFGWCFIDRRGR >cds-PLY78474.1 pep primary_assembly:Lsat_Salinas_v7:4:262026074:262027646:-1 gene:gene-LSAT_4X136001 transcript:rna-gnl|WGS:NBSK|LSAT_4X136001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPKNLFVIDLSEKSFIFTRLHRGFGGEGTGTDVAIGGRLWGRGLHSPPISAPSYIPTYYHLKPNDARLVWDCFPKPWLMMLYSVGCPNCAKVFKEGSDFKRIIQNNESSVMEVSKKEIDRDILAVTCTVGFTCYGGHKNYRSRGLISLKQL >cds-PLY83123.1 pep primary_assembly:Lsat_Salinas_v7:4:350183335:350186153:-1 gene:gene-LSAT_4X172801 transcript:rna-gnl|WGS:NBSK|LSAT_4X172801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTFLLPLFYFFILFSTTAAQPYKPTDYFLLNCGGATTTSDRKWDTDENSKFISSATSFTSTPNNRDPSVPEIPYSTARIFNTSSFTYTFPVANGPKYLRLYFYPATYSNHNANQSFFSVSSNGYSLLSNFSAFLTASYLATSLGGNPPVPHFIKEFIIYVNNTQSLNVTFTPSPNSYAFINGIEIVSLPENLYHKSTSVKYVGQHSGLVISENTGLENIYRLNVGGGQISPTADTGMYRSWDQDDRYVFPVSAMGLTPVNNTPIVYTANTPNYTAPELVYATQRSMGNLSRHYNLTWLLPVDSGFYYKLRLHFCNIIPQYTKQGAVVFSIFINNQTAEDQADVFYWSGGTGLPVFQDYVVFVYDFDGSGRKQDLWLAMAPNSKAADEYGDAFLNGLEVFKISMGGNLAGPNPELSPTPPPPLPPSVKVNKKTLPYATIIGGAGGVLLLLFALGFIVFWHRRVKQGGATDEKQYPKEPTPKDSGLPSDRCRRFTIKEVKDATGEFDENCVIGKGGFGMVYKGYIDNSTTVVAIKRLKATSNQGSHEFQTEIGFLSKLRHVQLVSLIGYCEDDGEMILVYDYMSHGTLQDHLYKRNNAHLPWKLRLEICIGAARGLHYLHTGANRAIIHRDVKSTNILLDENWVAKVADFGLSRLGPKEKGVDHVSTAVKGTLGYMDPEYYRMQQLTDKSDVYSFGVVLFEVLCARPVIIHRGLPDEEVNLAEWGRVNYRNGTLNEIVDKRISDEIAPNCLLKFGEVANSCIRMKGRKRPKMDEVVWGLEFALQLQQAAEKTGGVVGELKGGAWGSDQDFLYPMQVETTISSASTAAAIQHGLSSNDSSGGGFESDTYFTQPSDVSKDTYTVGR >cds-PLY78687.1 pep primary_assembly:Lsat_Salinas_v7:9:48150781:48154019:-1 gene:gene-LSAT_9X43681 transcript:rna-gnl|WGS:NBSK|LSAT_9X43681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITYSRIGLAFVIKCFATTMITIIVSAESPQPPFSCDSSSPTPPYPFCNVSLPIPNRAHDVVSRLTLDEKVLQLVNGAPGIPRLGISAYEWWSEALHGISRHGKGVRFNGTITASTQFPQVILTAASFDSHLWYRIGQAIGREARAMYNVGQAKGLTFWAPNINVLRDPRWGRAQETPGEDPLVVGDYSVSYVRGIQGDSFEGGKMDPNSDHLQASACCKHFVANDLDNWKTANRYNFDANITQQDLADTYLPPFKQCVQQGQASGIMCAYNRVNGVPNCADYNLLTKTARQAWSFNGYIASDCDAVAIMHDVQGYSKLPEDAVASVIKAGMDVNCGSYLKKYTKSAIEMKKLTEAEIDRALENLFTIRMRLGLFNGNPKTGIYGNFGPDDVCSEEHQNLALEAARSGIVLLKNSDRLLPLSKSKTNSIAVIGPNANSTQTLLGNYEGVACKNITILQALEKYVKNTHYHPGCVDSVNCTSVAIDEAVGIAKMADYVIMVMGLDQTQEREKLDRLDLVLPGKQSALVSAIAKSVKNPVLLVLLCGGPVDVSFAKDDPKIGSILWAGYPGEDGATALTEIIFGDHNPGGRLPVTWYPREFAKVAMTDMRMRPDPSLGYPGRTYRFYNGKPVFEFGYGLSYSNHAYKFVSSTQNKISLGPNQELGSCYMSVSEIREDLCEKAEFTVGIDVENDGEASNHTVLLFVRWDDNGEDRNRHFIKQLVGFRRVSLGRMGRGEVEFVVKPCEDFSRVREDGLMVVEEGSHSLVVGDQEFTIDVIL >cds-PLY75386.1 pep primary_assembly:Lsat_Salinas_v7:6:178394932:178395225:1 gene:gene-LSAT_6X108300 transcript:rna-gnl|WGS:NBSK|LSAT_6X108300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSMHFCHHKMSPPETSTENKPTPWLLPFNDEACFAQLVPNVRGRNRLRCANFLLKSKPLQSLVGCHHFSLFCRVHGIPVLWLPDKWDVCLPKMMST >cds-PLY79064.1 pep primary_assembly:Lsat_Salinas_v7:3:8208988:8211007:1 gene:gene-LSAT_3X5821 transcript:rna-gnl|WGS:NBSK|LSAT_3X5821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGARGGGRSGSHIGGRDAGDRNASQSHNNAESQPSSSSVRGSNILEQVPSNPSKRKFIEGLYVWPEATDVLARKVWEDCMKKRFPDIMRRAREESLKLAKAANVNASLEGDLNLLKDYHPNWIKKEYWEKMINEVWTTSKWKRLSQSGKNNRNKLEDGSVSKHTGGSISIRQHKKRMQATLKRPPTGVELYARLHTKRSTQEYITPKAAKVKVVYERVRNEMRGEMDAKAAEMEAKHQQMREEMDAKAAAIDAKQQQIDAKYEAMEKMYAALQNMMGN >cds-PLY87679.1 pep primary_assembly:Lsat_Salinas_v7:6:45609852:45611486:1 gene:gene-LSAT_6X34641 transcript:rna-gnl|WGS:NBSK|LSAT_6X34641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGNGVPETTVLDVNEVVENADTKVVDPDPENLNKVDEDLDSSKAIADESSTNVNDPKTQTSVTKEVTSKYNSKTPKNGTGKSAFGSSKPKPSLTQSLSLPAKTTIAKQVVGSPKDEPSSSSSVSPRSYPRKPYRGIKSSGLSQTNKSKETEDCPPEQPLSDDHHHGLKPLIKIGRRVKDDDGASSTASPHAATPGGRRRNSTSGFSFRLDERAERRKDFFSKIEEKVQAKQVEKTTMQAKSKENQDKELKKLRKSLTFKAKPMPEFYKEPPPKIELKKTPTTRPISPKLGRSKSSLSTASKSSEQMATSGRLGQTTSSSRFNPGSSSKKPNTKSLSKTEVKSGKLKGKELKDEQEAQDSQVAGPAVMLKVG >cds-PLY76817.1 pep primary_assembly:Lsat_Salinas_v7:MU042712.1:1885368:1888645:-1 gene:gene-LSAT_0X3201 transcript:rna-gnl|WGS:NBSK|LSAT_0X3201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14100) UniProtKB/Swiss-Prot;Acc:Q8LEF6] MLNVVYFVADNVFDEITFGLPRQKTDIKTKQLIATRLEKAITSVGLNGISLDKNPNSLSGGYKRRLALAIQLVHTPELLVLDEPLAGLDWKARADVVKLLMDLKKELTILVVSHDLKELAPLVDRSWRMNVGGVLMEESLPI >cds-PLY86015.1 pep primary_assembly:Lsat_Salinas_v7:1:48820136:48822181:-1 gene:gene-LSAT_1X45401 transcript:rna-gnl|WGS:NBSK|LSAT_1X45401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFKMSWIGLRLLDFQKQIMLTLKPKRTVDWIYTLCCNSAQQPPIASHLRSSDSVAIFWSTKDVLSLAFVPRFIPDDEAEIPQSILIALVRETIEEKMQWEVQGHQSYLVFRSKFFPYTDVFSEQHVLNHRKTGPTWNRLYQNSKLIDRKDFLHIEYLLRRGKKQLDQLKTVDSVTLSSSNLDSTKS >cds-PLY84091.1 pep primary_assembly:Lsat_Salinas_v7:6:187967821:187969866:-1 gene:gene-LSAT_6X115061 transcript:rna-gnl|WGS:NBSK|LSAT_6X115061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSWTRLSTSNSSSRRGSYQSRSETFHLVDEFEGDEEPRPEFLCPFCAEDFDIVGLCCHIDEEHTLEAKNGICPLCAKRVGMDLVSHMTMQHGNYVQRKRRFRRGGTHTHTHTHTNTNSTLSILKKEFREGNLHSLFGLQSSSSSSEPEQWLSSFISNTPSLLDVDQPPPPPPPIAQPHAATSAKSMAENTHKDLLRRSKQQRGPLSDKDQEEKARRSEFAQGLLFSSFLDDGL >cds-PLY68383.1 pep primary_assembly:Lsat_Salinas_v7:8:23295081:23297421:1 gene:gene-LSAT_8X18121 transcript:rna-gnl|WGS:NBSK|LSAT_8X18121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSAIDEGIGGLSKRHMTPSKQNQNYNPSCDPSPSPSPSSTSAPALVLTNSGKRIDQAGKKKYVKQVTGRHNDTELHLAAQRGDLAAVKQILDDINSQMVRTLIGADFDAEVAEIRASVVNEVNELGETALYTASERGHLEVVKELLKYSDKETLLKRSRLEFDPLHVAASQGHHAVVQLLLDHDITLCKTRSQGNATPLITAASKGHTDVVNELLSKDPTLLDIPRSNGKNALHLAARAGHVETLKTLLDKDSNLARRTDKKGQTALHMAVKGASSDVVKLLLEADAAIVMLPDKSGFTALHVATRKKRAEIVNELLSLPDTNVNALTRDHKTALDIAEGLSLSEEAADIRACLVRCGGVRANELNQPRDELRKTVTQIKNDVHIQLLQTKKTNKNVHGIAKELRKLHREGINNATNSVTVXXXXXTVAFAAIFTVPGGDNDDGMAVVVNRVSFKIFFIFNAIALFTSLAVVVVQITLVRGETKAERKVVEVINKLMWLASVCTSVAFMASAYIVVGRKYEWAAILITVVGGVIMVGVLGTMTYYVVKSKKTRSMRRREKIAKSGTNSWLPSEFSNSEVERIYAI >cds-PLY87577.1 pep primary_assembly:Lsat_Salinas_v7:8:112686447:112687452:-1 gene:gene-LSAT_8X78921 transcript:rna-gnl|WGS:NBSK|LSAT_8X78921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSELFILLFTLHSLLAMASRQPTAPKSYLFSEYIGAEDNNVKFSDVPINPNVEFHYILAFAIDYTNSSSPSPTNGEFKIFWDTHNLSPSQVSSIKTQHTNVKVALSLGGDTVRGKTCNFTVSSVDSWVSNAVSSLTKIIQEYNLDGIDIDYEHFVSDQVTFVECIGKLITALKNNGVITFASIAPFDDDDEVKRNYMALWKSYAHVIDYVNFQFYAYDKGTTVSQFMRYFQTQRDNYGGGNILASITTEGSGGLSPKNGFFTACNMLKSQGKLGGVFVWSADNSKASGFKYEKQSQALLATRHKNFSV >cds-PLY96304.1 pep primary_assembly:Lsat_Salinas_v7:8:156717202:156717396:-1 gene:gene-LSAT_8X104761 transcript:rna-gnl|WGS:NBSK|LSAT_8X104761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEEGIPPNALREISILQMLSNSIYIVRLICVQHVHHNGKPRLYLVFEYLVSHPENRRQKHFRG >cds-PLY78016.1 pep primary_assembly:Lsat_Salinas_v7:9:44487460:44487654:1 gene:gene-LSAT_9X39521 transcript:rna-gnl|WGS:NBSK|LSAT_9X39521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSLPAALVEEGVGVAALVEESGGVAESWIHSRKSTVEIVWDAVGVEISNVKHLNTDTCIIT >cds-PLY96686.1 pep primary_assembly:Lsat_Salinas_v7:8:251452890:251453992:1 gene:gene-LSAT_8X148061 transcript:rna-gnl|WGS:NBSK|LSAT_8X148061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSNLPVLPERRLFLAISAIALIGAGLLLFTFIGPDNTRFFCNQPTSDNFHPMTAPMQLKAILHYATSRIVPQQSFSEITVSFDVLRSISPCNFLVFGLGHDSLMWASFNTGGKTLFLEEDPTWVQTVLKDAPDLNAAVVKYRTKLSEADELMNTYRSEPECAPSKSYIQGNTRCRLALTSLPDEVYNKEWDMIMIDAPRGYFAEAPGRMAAIYSATVMARNRKKSGVTHVFLHDVNRKVEKAYANEFLCKKYLKHAVGRLWHFEIPPASNITGGNDGAWFC >cds-PLY93856.1 pep primary_assembly:Lsat_Salinas_v7:6:147659687:147660958:1 gene:gene-LSAT_6X88500 transcript:rna-gnl|WGS:NBSK|LSAT_6X88500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMAKVVVVSGIVAVLGITSMVAGFAAEPTRIKASKVYIEHLSCVYPSSHSIRFGILALVCTIISRILLLIVVGCCCRPSGYTSTPIKNSNVFNILSWIASVVAVILFLVGLVVYNQERMQEKYHGRLTCDVVKPGLFVSGALFSFFSSFFGIVAYTSVSSSIQTTSQLDIELPVGDVDVEKSPVHP >cds-PLY70211.1 pep primary_assembly:Lsat_Salinas_v7:9:1104022:1107614:-1 gene:gene-LSAT_9X4420 transcript:rna-gnl|WGS:NBSK|LSAT_9X4420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLSDIAVSAAINCLSAVLFLVAFAILRLQPINDRVYFPKWYLKGIRDSPTSSGTYVKKFVNLDVKMYLKFLNWMPAALRMPEPELIEHAGLDSAVLKIFCPITLLAFGVLVPVNYTGENFEEMRVNRRDLTYNDIDKLSISNVAPGSRSAAIIIIRLYAHIVMAYVFTLWTCYALYNEYRIVTKMRLHFLAAERRRPDQFTVLVRNVPPDDDESISEHVEHFFCVNHPDHYLSHQVVYNANALADMVIMKKTLQNWLTYYTNKFERNPNKRPTTKTGFLGLWGKHVDAIDFYTQQIEALSKEEEAERERVLNDANAIVPAAFVSFKSRWGAAVCAQTQQSNNPTIWLTDWAPEPRDVYWDNLRIPYVELKLRRLLMSVALFGLTFCFMVPIAFVQTLANIESIQKYLPFMKPLIEIESVKAIIQGYLPGIILKIFLILLPTIIMTMSKIEGFTALSALETRSAGKYYLFLLVNVFLGSIITGTALQQLQGFLNQSPTMIPQTVGVSIPMKATFFITYVMVDGWSGIAAEILRLVPLVVFHLKNTFLVKTEKDREQAMDPGSLTWAISEPRIQLYFLLGLVYSTVTPILLPFIVVFFAFSFLVFRHQIINVYDQKYESGATFWPDVHRRIIIGLILSQFLFLGLLNTKKAYNATYFLIPLPILTFWFFRVCKGRFESQFKKFPLEDAMKKDTLEKATEPNLNIKTYLQDAYVHPVFKGAIEFERPRVIDEEENNPLVLTKRSFHRHHHGKQKQEEEEDTSEDRMGISAV >cds-PLY81904.1 pep primary_assembly:Lsat_Salinas_v7:8:123143964:123145363:-1 gene:gene-LSAT_8X85000 transcript:rna-gnl|WGS:NBSK|LSAT_8X85000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDENLGTKLTGIRQIVRLKEIIQRWQHVTLGARGNDNDDDADNNSPMTIGNHPGGGINPAITMRLKGYSVYSDSDIEDGCQSPDPPNDVPRGYLAVYVGPELRRFIIPTSYLSDPLFKVLLQKVEEEFGFDHSGGLTIPCEIETFKYLLNCMEHHQKDHLEHNQATI >cds-PLY88698.1 pep primary_assembly:Lsat_Salinas_v7:5:67593423:67600438:-1 gene:gene-LSAT_5X31580 transcript:rna-gnl|WGS:NBSK|LSAT_5X31580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIRSQDIHLLATSIRYRAWKGNQLRDSWVQVEGAIKRLKVLVYKVPTLTIDVIPWIVQHEILNNRRHVLTKDNGGSVKLWGITKGVVIEDYGQIAYTLPWIAEIHIIHFLLKFLDSVEMNF >cds-PLY63267.1 pep primary_assembly:Lsat_Salinas_v7:4:200549681:200550021:-1 gene:gene-LSAT_4X112000 transcript:rna-gnl|WGS:NBSK|LSAT_4X112000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTGQQLSGAHCAKYLHVIATKIAEKKSELAKLEAIDNGKPYDEAWDIVSSLYIIILTFPWIPTISPTRSFD >cds-PLY82672.1 pep primary_assembly:Lsat_Salinas_v7:4:62779044:62780744:1 gene:gene-LSAT_4X43361 transcript:rna-gnl|WGS:NBSK|LSAT_4X43361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYTMGSLSSILRNSIPRTVFAHAKQTHVQILIHDLCHDVTLQTDLLLAYSRVSLPNARKLFDRMLQRNMHSWNIMISAYVQNSMHDNALSVFQEFLNSGMTPDHYSLPPVLKACTGIGDVSLGLILHGMVVKLGFENYLVVGSSILDFYSKCGNLKDAKLIFKGLPLKDSVSWNSMISGLSKAGLLLESLDCFRNMLENRMKMDSMTIPTLLNTCGKLGDITKGKEVHGQVLKNSNLYNDTAIGNSLINFYSKCGCLCDSERIFHNMKNPNLVTWTTMISCYGLHGNGEQALYLFEKMKESGFKPNNVTLTAILSSCSHSGLIHQGKKIFNSIRSLYSFEPSVEHYACLVDLLSRVGCFNEAVGLIESMMMVPPASVWGALLAGCLVHRNIEIGEMAAYHLFEMEPKNASNYTALCSLYDSCGMWSDASRVRLKMRRLRLGKTPGCSWISIGGEMCVFYKGDLITSSLSEKTCEMVEWVVRTLPLVHREIEDQMFDTCLMGH >cds-PLY96869.1 pep primary_assembly:Lsat_Salinas_v7:2:108581383:108582862:-1 gene:gene-LSAT_2X49561 transcript:rna-gnl|WGS:NBSK|LSAT_2X49561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGVRKVKLGSQGLEVSALGLGCMGMSAFYGTPKPEPDMIKLIQHAINAGVTFLDTSDIYGPQTNEILIGKALKGGLREKVELATKFGIKYDSDAMEVCGDPAYVKYACEASLKRLGVDCIDLYYVHRIDNRVPIEITMGAIKELVEEGKIKYVGLSEASASTIRRAHAVHPITAIQLEWSLWTRDVEEDIIPTCRELGIGIVAYSPLGKGFFSSGPKMLEKLEDGDFRRYMPRFQAENLEHNKIMYERVNEMAAKKGCTPSQLALAWVHHQGNDVVPIPGTTKIENLEQNIGALSVKLTPEDMAELEAIASADSVKGDRYGAGIATYKDADTPPLSSWKA >cds-PLY65132.1 pep primary_assembly:Lsat_Salinas_v7:2:76032139:76041614:-1 gene:gene-LSAT_2X33981 transcript:rna-gnl|WGS:NBSK|LSAT_2X33981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRSVCNRASVKKLKSFADKSNFRDTHLFEKPRQLELHPYRNPSYGERGFRRINVLGDSGFTNLGVSFSLLHRSYKRYASSAAAEEIVSTEEEDSDEIREMVHHLNKEIKAVEMKSNCSDHEKQPRLVNGIGQGKYIALRRRQIKIETEAWENAAKEYQELLVDMCEQKLAPNLPYVKSLFLDWFEPLKNAIASEQDLCREGRNRGAYAPQFDQLPADMMTIITMHKLMGLLMTGGGQGGARVVQAALHIGEAVEHEVRIHRFMEKSKRKASLNESPDDDSEAVNNEQQLQKLRKKVTNLVKKKKLQQVRHIVKQQDQLKPWGQDAQVKVGSRLIQLLMETAYIQPPVDQCEDCPPDIRPAFVHTLKTVQTPRGSRRYGVTECDPLVRKGLEKSTTLTVLGEMFESARSMMNWLGDCAKVIVVKSNPVQWTASLGLPVVQPYHKLERHLVMVKRQRRAFPPNFVHSLDGSHMMMTTVACKEAGLSFAARKNPNSTLLRSKWSPIPIPYRTILEPKGQDLDYINIVHSHLLHSDWAKLDKLLTKSNSLRVKHILLKLQSDYVISLKFFKWIELHNPSLLTLETNSIILHILTKNRKFVSAESILKKIIGSCSRDVNLHSKLFDAVLHSYQMCDSTPRVFDALFKMYAQMKQFRNATYTFCRMKEYGFLPTIESCNMYMSSLLSFNRVDIALPFYNQMRRSKITINVFTLNMVMNAYVQLGKLENAVQVFDEMQGLGMNPFVSSYNTLITAYINQGLLTTAIKLKLTMEKNGISPNAITYNTIIHGFCKEGKLHDAFKVFLQMKRVNVDPNTITYNTLITACSQSGKIEKGNQIFEEMIRTGVKPDILTYNALLLGLCNEGKTKKAAYLVKELDKKKLVPNSSTFFALIKAQCARKNPDRGFQLYKSMVKSNCRPNEDTVKMLILSFLQTDDYDGAFGVFKEMLKRPIGPDLVVLSGLCKGFSKAWKDRLVMDLLSEVDNVFQVFDEMQGMGMNPFVCSYNTLITAYINQGLLTIAIKLKLTMEKNGISQNAITYNTIIHGFCKEGKLHDAFKVFREMKRVNVDPNIVTYNTLITACSQSSKIEKGNHIFEEMIRTEVKPNILTYNALLLGLCSEGKTKKAAYLVKELDKQKLVPNSSTFSALIKAQCARKNPGRGFQLYKSMVKSNCRPIEDTVEMLILSFLQTDDYDGAFGVFKEMLERLLGLISLF >cds-PLY98280.1 pep primary_assembly:Lsat_Salinas_v7:7:173029003:173037375:1 gene:gene-LSAT_7X103521 transcript:rna-gnl|WGS:NBSK|LSAT_7X103521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYEHHPWTGGKLKRLCVMANRNLEKLASIDAQLRLLVPGKVSEDDKLIEYDALLLDKFLDILQDLHGEDLKETVQECYELSAEYEGKRDPKKLEELGSVLTSLDPGDSIVIAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFADEANATTESDIEETFKRLVHQLKKSPEEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRDCLAQLYTKDITPDDKQELDEALHREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANMYFSQIEDLMFEMSMWRCNDELRVRAEELYKSARRDVKHYIEFWKQVPPTEPYRVILGDVRDMLYKTRERSRHLLAHGKSEIPEEAVYTNVEQFLEPLELCYRSLCACGDRVIADGSLLDFLRQVSTFGLSLVKLDIRQESDRHTDVLDAITQHLEIGSYREWSEEKRQEWLLAELSGKRPLFGADLPKTEEIADVLDTFHVIAELPSDCFGAYIISMATSPSDVLAVELLQRECHVSKPLRVVPLFEKLDDLEAAPAAVARLFSIEWYKNRIDGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKEFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFCAATLEHGMNPPISPRPEWRKLMDEIAVYATEQYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHAIQKDGKNLQMFQEMYQKWPFFRVTIDLVEMVFAKGDPGIAALNDKLLVSEDLWSFGEMLRANYEETKAHLLKIAGHKDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYHVTLRPHISKEYSEPSKPADELIHLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >cds-PLY96239.1 pep primary_assembly:Lsat_Salinas_v7:7:182874625:182879210:1 gene:gene-LSAT_7X108081 transcript:rna-gnl|WGS:NBSK|LSAT_7X108081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTAEGGSNSFDRWFNEEMIENLFGYNEKNKGSNRKSSSQDPSSNIIQIIDGKKAQNLSIHLKAINITTNEVCDALKEGNELPVELIQTLLKMAPSVEEEMKLRLYDGDLSRLGPAEKFLKRLVEIPFAFKRLETLLFMCTLQEEQDIIKEYFQTLESACKELKKSRLFLKLLEAVLKTGNRMNDGTFRGSAQAFKLDTLLKLSDVKGVDGKTTLLHFVVVEIIRAEGIRVAKSDDLLEEAANSSKDTDEYYRNLGLQEVSRLCGELEDVKKAATIDSDGLTGTVSKFGNALVKARDFLDTDLKNLQENNSYDDDEDEDEDEFPGILSGFVQSAEKDIMWMLEEEKRIMALVKKTADYFHGQAGKDEGLRLFVIVRDFLIILDKVCKEIKETPLKPPPKPIQTKLDEKKDGSPRRMTEEKKDGSSCRTTKEKKDGSPCTTTEEKKDGSPGRTTEEKKDGLPHRTIEEKKDGSPRRTTEEKKDGSPRRTTEEKKDGSPRRTTEEKKDGSPRRTTEEKKDGSPCRTTEEKKDSSPGRTTEEKKDGWPHRTTEEKKDGWPHRMPEETKDVSSLRTWENDDLPSQTGESDDLKRETQEGEGFQDSWKNEDLGHEKLENEDPQEVDDLQDSWSDDSVEHEKHESRGPLEVDDLQNSWRNEKQENKDPQEVDNLQDSWRNEDLGHEKHENEDPQEVDDLQDSWRIEDLGHEKQENVDQQEVDDLQDYSRNENRGHEKQENEESRQVDDLQNSWRNEDLGHEKQENEDPREVDDLQDTWRDEDLGHEKQENEDPQEVDDLQDSWRNKDMGDEKQENAGPREVGALQDSWKNEDPRHGTRESEGPQQVDGLQDSWRNGDLGHVPQEGESPQEVDDLQDSWKNEDQEHETQESEDPPRKIEENYDLLHETQEIKSPYKIEESDDLGHEALEHDDSQHKTHDNDSGDDDDDDALQATAREDEDLEVKKQELDDSQHKTHDDGDDDDDLQSNTTWEDEDFEIKIQELDNPQLMTLEDDEMEPKPQENEHMQPKTLEDEDLQNETRETDDLQNETQETNDLQPETEKKDDFPPSEFLQSGFHVPKERLIPAIALRRVDTFDSDENSSSDDD >cds-PLY76087.1 pep primary_assembly:Lsat_Salinas_v7:9:31274355:31276767:1 gene:gene-LSAT_9X28480 transcript:rna-gnl|WGS:NBSK|LSAT_9X28480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDPYSSNLYSQYFRFDQFCNNSATNLPHHIQYNLHEQHPQSYHYSSVQSSSYSNTRITNSFYFNPSTSVPNQHPTPPPSPPLREALPLLSWSPSRKHEDYHQPSCSSTTQQDMDVEAVDGGDEEDDETAVTVALHIGLPNASAADLASLISNSSNSSSTTSEIRVPYSINDTTKEGDGNEGNLGYNPISRLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLKLPCYCCAPGCRNHIDHPRSKPLKDFRTLQTHYKRKHGIKPFMCRKCGKTFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGHGHAAYGINGFEEDDEPASEIEQDDDENL >cds-PLY67777.1 pep primary_assembly:Lsat_Salinas_v7:9:167342585:167345259:1 gene:gene-LSAT_9X103900 transcript:rna-gnl|WGS:NBSK|LSAT_9X103900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNVSGREVKSKEFNQADYYMECGHRPAQVNSSNSYPAHMLHPPRASQFPMTITPQSQRGEFNPENNISIPAVIDWTHGGKHVAIEGSWDNWSTRELLEGSGTHFSILKVLNSGVYHYRFIVDGELTYSPDLPHESDEFGNIYNVLDLKGYYNENLVRDQETEYPSSPISSYNNASFTLDDYSEKLPEMPPLLQHMPLNQASFSKNYEGVFQKPLSANLDHLYIKRDDSSQPVVALSSSQRFRSKFVTRVLYKPFKKARE >cds-PLY89465.1 pep primary_assembly:Lsat_Salinas_v7:8:30968355:30969174:-1 gene:gene-LSAT_8X22381 transcript:rna-gnl|WGS:NBSK|LSAT_8X22381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGKISEEVEVKVSITEAWEVYSTLKLAMILQSELPHLYGVDILEGDGGVGTIIKVTPRPGVSVKPAFKERFTKIDHEKRVKEIEIIEGGYLDMGCTSYRITLEFMEKENEESSCILRVTVEYEANEDASFVTIKPLMNTLKFVNQYLQNNKT >cds-PLY83769.1 pep primary_assembly:Lsat_Salinas_v7:4:39659872:39663123:-1 gene:gene-LSAT_4X26300 transcript:rna-gnl|WGS:NBSK|LSAT_4X26300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Myb-like DNA-binding domain, SHAQKYF class family protein, expressed [Source: Projected from Oryza sativa (Os03g0654600)] MAITATATSSIFNFNCSLSPPPSLSCRPILSSLPHHHLRLPLARKFVFSTTLPCEKPFISKPSVCSSSPGKSQPMVPPYNILITGSTKGIGYALAKEFLKAGDNVLICSRSAERVESALQTLRTECGVQHVWGTKCDVRDGNDVKNLVKYAQENLQYIDIWINNAGSNAYSYKPLAEASDEDLIEVVTTNTLGLMICCREAMKMMLNQARGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELRMQEVSNVVVHNLSPGMVTTDLLMSGANTKQAKFFINVLAEPADEVAKYLVPNIRSIPMSGSTRPTYIRFLTGLKAYSQIFTRLAFGARRNRYLVED >cds-PLY94612.1 pep primary_assembly:Lsat_Salinas_v7:8:180799816:180801076:-1 gene:gene-LSAT_8X117280 transcript:rna-gnl|WGS:NBSK|LSAT_8X117280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQSLEDMKFIGFFGIFKQSFTTIFSWKKIFAQITLTLILPLTIIFLAHIEISRYLFWKLERNSLLLDTDSYYRLHVSAIEWLYYVLFKIAYFIFLTVFSLLSTAAVVFTVASIYTDREIVFQNVMKIIPKVWKRLLVTFVFIYLVFFIYDIIGEVALAITRSIFNYSTLSLLLTLIIRILYVIGFLYIVFVCELGSVVTVLESSHGYRALRKGKDLANGKKKVGMGIAFVLYGFLEGLLVVYQWFVEYGGVNFNLAMVWRAMIGILCGFLLLMFLLLIVVIQTVLYLVCKSHHGEAIDKLSLSTFLGAYMGETVVYPTAGEEVQLGRPPHQQV >cds-PLY80262.1 pep primary_assembly:Lsat_Salinas_v7:6:125487646:125488692:1 gene:gene-LSAT_6X76940 transcript:rna-gnl|WGS:NBSK|LSAT_6X76940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNDLPTGHGSSPPPLHLFQSSTTKHSITSAARLDPLLSFLSQLATIAEDNDNHQLSPPPLSSIHESPLETDLYISFLSIETTKHHLWIVAPHTFPPPPSTFVAIVPLDSVVGDEKGTTRTHWRPV >cds-PLY83343.1 pep primary_assembly:Lsat_Salinas_v7:1:63154957:63156429:-1 gene:gene-LSAT_1X54320 transcript:rna-gnl|WGS:NBSK|LSAT_1X54320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWPPFIRLGSCCNLVKSTRNLRWKSVRLLAYTTCSDYSGSSSDTNVLCHPYEKLGKFKVAATLDQSKRERNSALLFARQLKVFGFKHDVESYMAIIRLLCHSGMGVRLNHLFMYVIDQNINRERVAFEISDLLEALIEEKLIKAVDVLVKVYASVGRFEEAMHTLSEMRSRGGLMVSTSTCNFVLKELIEWEKEHMVESVYRELKRIGLIPNVYTYEILIKGRLSMGRLEKAWNTLKAFRDLNEPVDVFAYTCVIRGFVREGKIQDAEDVLLNDMKIREVVPDADCYGALILEYLKKGDTAKTFDLCDEMKSRLGIKADYVLMRCMIQYLCGVGSLDEALSFFKEFMQKSSKVFIDGVTFDLAIDAACKLGRMEDAMELVEEGMKGRKMKLWKMHYMTLIDGYCLRGEPWNALYMFQEMMRNGFRPDSTTCHVLARGFQGCGVSLPLYMLFKHTC >cds-PLY90616.1 pep primary_assembly:Lsat_Salinas_v7:6:51425574:51427904:1 gene:gene-LSAT_6X37360 transcript:rna-gnl|WGS:NBSK|LSAT_6X37360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKILVAPSTLRCSISSTTSLIHTFDSNRLLINKNGRISSSCGITPQLFSHSKSPSLFSATSTNRRHLHPISAMASSTQAPSQSASSGDSTADVFQLIKAHQEKAARLPPIEEVKTLLHYSMRGVLSTFSQKHDGYPSGSMVDFACDANGFPILAVSSLAVHTKDLIANPKCSLLVAKDPEDRTDLVITIHGDTVSVPNEERDAIRTAYLERHPDAFWVDFGDFQFLRIEPKVVRFVSGVATALLGSGEFTNEEFKAAKVDPIYKFSKPVTSHMNKDHSDDTKLMVQHSLSVPVDFAYMLDLDSLGFNVKAGYQGRNFKLRIPFPRRAENRKDVKTLIVEMLQAAQ >cds-PLY67965.1 pep primary_assembly:Lsat_Salinas_v7:2:13645928:13650279:-1 gene:gene-LSAT_2X6641 transcript:rna-gnl|WGS:NBSK|LSAT_2X6641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKFRNSFNNRSQKNDPEIEPEEKQILLHENHSTIDQLPSVSAVTTMSSSPPLPDSGERQRDTRKITNGNVAGSKEAELAAYKLNTVIDQQNPNTVWRDLSYDFSNDGNKFDFLTSDSPSPQQSPALSRIAESPNNYGMLTPKEVRVSFHDEVIEPQSLRQRSIGSGRGGGGEEVVMCSGHASFKRKPSLMRTKTKSRLMDPPETDQRSGKLSKSGYLMKGGSEIDEDDPFLDDDLPDEYKQLRYSKWTLLQLFSLITILAALICSLTIPFFKNKEIFDLVLWKWGVMILVLISGRLLSGCGIRVLVILIERNFLLRKKVLYFVYGLRKAVQNCVWLTLVLIAWQLIFDQKVERMTDGKVLHYVTKIWICLLVGTIVWLLKTLVVKVLASSFHVSTFFDRIQESLFNQYVIETLSGPPLIEIQQEREEEDRMISEVQKLQNAGATLPPDLKANMFKKSGRFIGTPRTPMAGKSGKFSEVNTPKNVEEGITIDHLHRLNQKNISAWNMKRLMNIVRTGVLSTLDEQLEGSRGDEDESAVQITNEKQAKIAAKKIFCNVAKRGSKRIYLVDLMRFLREDEALKAIRLFDSESETKGISKALLKNWVVNVFRERRALALSLNDTKTAVNKLHQMFNIAVAIIIIVIWVLILKVATTHFFIFLSSQLLLVVFVFGNTCKTTFEAIIFLFVMHPFDVGDRCEMIVEEMNILTTVFLRFDNQKIIYPNSVLATKPIANYYRSPDMQDAVEFCIHVSTPSEKIGLMKERILSYIENKSDHWYPAPIICLRDIEDMNRLKISIWPSHRMNFQDMGERWQRRALLVEEMIKVFRDLDIEYRKLPVDMNVRNMPTVTSNRLPSTWTTLAN >cds-PLY70855.1 pep primary_assembly:Lsat_Salinas_v7:8:117079190:117081451:1 gene:gene-LSAT_8X81081 transcript:rna-gnl|WGS:NBSK|LSAT_8X81081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLEVPEHEHPLKLFDLQLQYPHYEEEEEEEKEEKDPIMKEGFEGVTCQRCREEIHMYHRYYYTCSSSCDDFSLHKFCGELPSWLVHPSHPPHTLHLEPYSDLYLERICKICMRHHKPGEYHYNCHECRFSIDVKCALEVGKNIIYHPSHPHLLICAIPKPILCHCNACGEEHKGIFYQCSTTCGGFTIHTECAFLPQKLLIQQRTHGSFYHTHPLTISYSFPLKDQQAKHFPRCRVCGGDFYGKDDLWIYKCDKCLYYVHVDCVRVPPPTAGFGKTAKNYVDHPGLLHLPFPDETYSLPKHYLIFQQSGTRNHKVDDHLIHMSHQHPLILVNHTHSNEQTSSSSNSLLLIKCHDPMKKTRLLCNGCLKPIMSTMPFYICDHHSCNDFALHEWCTRLPSELQNHPGHPHHTLHLIYSNIPGCFFDVFLCNVCSLPCNGFAYGCVGCEYYVDVTCGLIPKQITHEAHPNHLLSIDKSVALFFCQICLESDQRREWSFRCNICYIFMHPECALLLAKTIRHKCDKHPMHLSYHPIENHKSEYFCEICEEDLNPHMSFYHCQDCAQSVHTACAQSILQNETHTYIEYRRATHYFVNIKFGGIHKTHSHPHPLSFSQGIVLDGECSNCHRRLQYKMIFKCLKCKFAIDYGCCKKYYI >cds-PLY88952.1 pep primary_assembly:Lsat_Salinas_v7:8:128686173:128687794:1 gene:gene-LSAT_8X89340 transcript:rna-gnl|WGS:NBSK|LSAT_8X89340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESADEIKTLEEDGINWRSRILYPTLIGGIVGGGIGAVSKHRKVHGLANMSATYATNLAIVTGCYCGAREIVKASRHSGPDDLLDSAIAGFGTGAILGRLQGGVGGAIRYSIGIAIVGTGVDYAGIKFRPVLKEMYESVVGGEKKDSWLRWPEWLPIQVLDEEAIAAKQAREEQLRARIRDLTKEESET >cds-PLY97852.1 pep primary_assembly:Lsat_Salinas_v7:2:216049626:216049952:-1 gene:gene-LSAT_2X136961 transcript:rna-gnl|WGS:NBSK|LSAT_2X136961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMEWMKKIGEIVHHKIKTNGISMHVAEKGDGPVVLLFHGFPELWFSWRHQITHLSNHGYHVLPPDLRNYGDSDSLSSPSSYTFFHIVCDLIGLLGHFNQQQVCRMWL >cds-PLY93828.1 pep primary_assembly:Lsat_Salinas_v7:6:144243559:144244152:1 gene:gene-LSAT_6X87021 transcript:rna-gnl|WGS:NBSK|LSAT_6X87021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGPATRKDLMIVNMGPHHPSMHGVLRLIVTLDGEDVIECEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFIEAITINAPEQLGNIQVPKRANYIRVIMLELSRIASLQETISTSATCILSIVIVEQKMEVVRLKEEMSRDLVLSRVESLALHRCIEHTEKKLTSLTLLVIGLVIVSFCFMVEKVLHIVNK >cds-PLY88580.1 pep primary_assembly:Lsat_Salinas_v7:7:8815741:8817152:-1 gene:gene-LSAT_7X6320 transcript:rna-gnl|WGS:NBSK|LSAT_7X6320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQEDHQSPPYLGQVLVIRPPPVFSVHEQYISSKFQILKAYESPLPTHDFLQTYAQSVKVVLCSGGSPITADVLHDLPALQLVVSSTTGVNHIDMAECRRREIRVTNTGDLFSDDVADGAVGLLIDVMRRISAGDRFVRGGRWPAISEYPLGSKLGGKRVGIVGLGNIGSSVATRLEAMGCIVSYTSRQKKNSTHYTFYPNVLQLASNSDALIICCALTNDTRHMINNTVLRALGKTGVIVNVARGAIIDEVELVECLVEGEVGGAGLDVFEKEPKVPNELFELDNVVLSSHSTACTRECFYDAAQTVVANLEAFFMSKPLLTPVS >cds-PLY92231.1 pep primary_assembly:Lsat_Salinas_v7:6:73973119:73973572:1 gene:gene-LSAT_0X28600 transcript:rna-gnl|WGS:NBSK|LSAT_0X28600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLGPSILPCFVTGQIFYSGFEVFCPVAVFTSDLGVFFSVVPFIEFTIVDPSPPSASTTTYTSYFEVRFRFHDCFEFSLVLFLIVIIACVFEISPSSILGLAGFHRWVNVVLYGDNNGSGSLQ >cds-PLY89083.1 pep primary_assembly:Lsat_Salinas_v7:9:28772295:28773523:-1 gene:gene-LSAT_9X25261 transcript:rna-gnl|WGS:NBSK|LSAT_9X25261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTIGSLAGMEITGAGVEGSFVGVGWDRRRRGRRSNRRCRIPIEITNEAAFNDYISSLSFTAFDDYSPVHFHSSVGYSCGIHSYNHTPSIPISEEVDLESGELVLSENDGKQCRICHLKFDGGDDEEDNGEALELGCDCKGDLATAHKKCALTWFLIKQDLKCEICGSVVHNVGALVQNVDYEAIVQVGNNGDQDEVQPPESSASVVDPENGSSTSMHGRRIVNVMLGCMTFGFVISWLFHFNVLH >cds-PLY79433.1 pep primary_assembly:Lsat_Salinas_v7:3:80306472:80308325:-1 gene:gene-LSAT_3X58781 transcript:rna-gnl|WGS:NBSK|LSAT_3X58781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRSLSHYKSSPEALYQPPEGPNSGYLIIQDEESETYSCFGLYKNRYLAGLPFPQNKRLTTRYASGNGEHRRVYYNEVVFIPVLNQPLSSNRYYAIKPRGSHKGEAFACSKEEDMTPCCFCNCVSDVKPRPLEPNDVYQQFEIVPYKASCRGDGSFNAKSVAEDGYPPHFLRRKGWEIYTKTPKNYELREANGLNDSLRSRLPEFSFSPSNKTSNSVVVGKWYCPFMFIKEGRLNEQVKMSIFYEMTLEQKWEPVFEQENEHNDKGNDVFVKASFQNEAVFIGESQQEAIWDEKDMVDGTLWFFCRGADNVKEESVGLRREIVERMRWEEEKVGWVDGGDNRIHMVTREEKFEGSGGWKRFGCYVLVERFVLKRMDGTLVMAYDFRHIHQIKTIFE >cds-PLY88093.1 pep primary_assembly:Lsat_Salinas_v7:6:183933608:183935469:-1 gene:gene-LSAT_6X111660 transcript:rna-gnl|WGS:NBSK|LSAT_6X111660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYTSVKRISECLIKPLHDLSPEAKQPLHLTPLELFALNVNYSQKGLLFPKPPPSENQDFSITAYLDDLRYSLSATLDHFYLLASRLATKIEENPPSYVIYLDCKNSPGVKFIYATVDATISDILQPGDVPVVVRSFFDLNNAISYDGHTLPLLSIQVTELVDGIFIGGSINHVLADAASFWHFMGAWSEIFKSKEKSFVSISRPPVLKRWVLPGYDPVISLPYTHHDQFIERFEGPPLVERFFHFSSASVSKLKAKANSECNTNKISSLQAVIALLWRCITRARRLSDDDETSSSLMVGNRRRMTPPLSNDYFGNPVGMVGGTATVRELMTNGLGWAAFRLYEAVINYNDTIVKENIESWIKKPFIFKRSQMINKNIILVLSSPRLDMYGCEFGLGKALAVRSGWMNKDDGTINLYPGREGGGSMDVEVCFTSSQTMMNLDSDEEFMDALKIE >cds-PLY80365.1 pep primary_assembly:Lsat_Salinas_v7:7:154347478:154348758:1 gene:gene-LSAT_7X90381 transcript:rna-gnl|WGS:NBSK|LSAT_7X90381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGTTTSSGGSDLQGEMDQRKRRRMISNRESARRSRMRKQKHMDDLMTQLSQLRKENNQVMSSISMITQHFMSVEAENSVLRAQVAELSHRLHSLNDIIAVMKQPIDAGSGFAEEQYVGSDTEFGDEFINNSLSFLYACQPMLASAEMIMY >cds-PLY65786.1 pep primary_assembly:Lsat_Salinas_v7:5:270476888:270478008:-1 gene:gene-LSAT_5X141001 transcript:rna-gnl|WGS:NBSK|LSAT_5X141001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIPSLLMFSLLFVSSFASVQDFCVADLKQSDTPSGFPCKDPKKVTEADFVYSGIGKAGNTTNLIKAAVTPAFTAQFPGVNGLGISIARLDLAVGGVIPMHTHPGASELLVVIEGTICAGFISSANTVYFKTLNKGDIMVFPQGLLHFQLNSGKSSAQAFVSFGSAVPGLQITDFALFANELPTELVAATTFLDVAQIKKLKGVLGLKL >cds-PLY98187.1 pep primary_assembly:Lsat_Salinas_v7:8:143922967:143923719:1 gene:gene-LSAT_8X97441 transcript:rna-gnl|WGS:NBSK|LSAT_8X97441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METIKVILEGDVFLIRDKAVSGWILDFVDDTITLEKEYKKGPPSHGESKSNDFQGDGYVSEDRENSMVDEELNIPINQSNFGHAKLFNDDPFGLDELLSKKNVSFSSHSEPSHPLAHPEASNFAIGQTCVRSPVAVARQGPSHSVDDATSLANLFGKEDIESDHVTTGSFLGSKGPRNGFSMFHELSTAIGVGCAKGYFMKGQEKDSRGILKNFGENGSFK >cds-PLY74065.1 pep primary_assembly:Lsat_Salinas_v7:9:12210691:12212113:1 gene:gene-LSAT_9X8221 transcript:rna-gnl|WGS:NBSK|LSAT_9X8221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPYAEVESSDYSINPNIVASASSSSPPAATTPSRYENQKRRDWNTFCQYLKNHRPPLALSRCSGANVLEFLRYLDQFGKTKVHTPVCPFYGHPNPPCPCPCPLRQAWGSLDALIGRLRAAYEESGGQPEMNPFGARAVRLYLREVRDLQSKARGISYEKKKRKRPPQQEHQQFPNNSSFQAFTLPPGDHT >cds-PLY79767.1 pep primary_assembly:Lsat_Salinas_v7:1:179630865:179634635:-1 gene:gene-LSAT_1X117540 transcript:rna-gnl|WGS:NBSK|LSAT_1X117540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPPNMISSSSQSDLEASLFKLMERRGYGQEYINRHKMMTRFHHQQVPLVILVCGTACVGKSTIATQLGQRLNLPNVLQTDMVYELLRTSTGAPLTSSPIWARDFSSSKELITEVCRECIIVRKGLAGDFKIAMKDGKPIIIEVTKNDNKPILRRENFGVSEPIIIPIVLKMAEFDHKALLEEWISSRKFSDKYPIQENRQVYYLNSLKINKTNMRRKQYKMRRALYLEEPLRVVIGKGKSLVVCCFSDSSSSGRNTLFEKDEFASVVPLGLAINTDLSFFIVWF >cds-PLY82034.1 pep primary_assembly:Lsat_Salinas_v7:9:157375360:157377345:-1 gene:gene-LSAT_9X98421 transcript:rna-gnl|WGS:NBSK|LSAT_9X98421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDRPPPPPHRTPSTSSTSDLFICFTSRHHSSSMKISSKSILSPGRAGGRDPPISLSTSLSRRLRTSRSIKGGASPAMFPTTGKKRGGGFDNPEPSSPKVTCIGQVRVKSKKKQGKRLRTLSRRHSTGDVSFRKLDHSRDGFSKSTNLGSNYQQGSNSFSSNQENLPEQRHNQRWVHLPLTICEALRAFGSEFSCLFPCRSSCFSPATEREKEEKTAGRGEEMDSHRHGSSSCAAVFTRWLVAFQDGDDGDRGSRREIELVVGGDDEDDDDRSMRTSRRHVFDDLEIVNNRIEGTKDEARVSICIPPKNALLLMRCRSDPLKMEALANRLWAPTIDQNDEEDEEFLDDEYEIQQKTFDSKESKDNDQEHEANQETNLKRSVEEEEEYQEQNPEIYEDEEEIAVFGSLFDEIVDQELQEPDEMEDSREIFEEDEIPEIETEESHIEKFKFFQGKNEDEMVAEFAGRSEMAEEMVTESKERDNGVLEREGLPDCLLLMMYEPKLSMEVSKETWVSSKDFIRRHSSKKKPPQPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXMAEMLEQKLVNAVGYEPFVLTRCKSEPMRTAAAKLAPDSCFWKNRKLEPLRRASFGVGAAGLGF >cds-PLY71799.1 pep primary_assembly:Lsat_Salinas_v7:8:246107260:246107628:-1 gene:gene-LSAT_8X146160 transcript:rna-gnl|WGS:NBSK|LSAT_8X146160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQPQTHLNVADNSGARELMCIRIIGASNRRYAHIGDVIVAVIKDAVPNMPLERSEVVRAVIVRTCKELKCDNGMIIRYDDNAAVVIDQEGNPKGTRVFGAIARELRQFNFTKIVSLAPEVL >cds-PLY61970.1 pep primary_assembly:Lsat_Salinas_v7:5:158714543:158718479:1 gene:gene-LSAT_5X68940 transcript:rna-gnl|WGS:NBSK|LSAT_5X68940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRVYTALFFCVFAIISSCVSISSATEAEKEYVLTLDHSNFTEVVSKHKFIVVEFYAPWCGHCKSLAPEYEKAASVLSSNDPPVYLAKVDANAEENKPLAQQYEIQGFPTIKILKSGGEVVQDYKGPREADGIVEYLKKQVGPASFEIKTPEDAATLIDEKKVFVVGIFPTFSGEEYENFTILADKLRSDYDFGHTTNAELLPRGESPASTPTIRLLKPFDELFVDFKTFEVDALEKFIEDSSIPLVTLFDQSPSNQPFLIKYFESSNAKAMLFLDFSHEQIESFKSKYNDVAGVYKGKGLNFLIGDVKASQAALQYFGLKEDQSPVLIVQNSNGLKFINSNVESDQMAPWLKDYVDGKVKPFIKSEPIPETNDEPVKVVVANSLKDMVLESTKNILLEIYAPWCGHCKKLAPILDEVAVSFENDAGVMIAKFDGSNNDIPSDTFEVQGYPTLYFRTSSGKVLPYDGNRTKEDIIEFIQKNREGEISQPTTTTEPAKDEL >cds-PLY83626.1 pep primary_assembly:Lsat_Salinas_v7:9:101288318:101288975:1 gene:gene-LSAT_9X74821 transcript:rna-gnl|WGS:NBSK|LSAT_9X74821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKFGIHLLVLSHVYLVPQLKSRCSKALIEWLTIENMVDVLQLVRLCNAPDLHFKCVKLVSNIFKAIEKTEGWKFLQPNDPFLKLEILQVIDESESRSKKRRKEQNLYLQLSEGMDCLEHICTEGCISVGPCNKEPTKSMYLCSNCQHVKACNSRFNTCHIIRKE >cds-PLY97271.1 pep primary_assembly:Lsat_Salinas_v7:1:43444652:43446183:-1 gene:gene-LSAT_1X38380 transcript:rna-gnl|WGS:NBSK|LSAT_1X38380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDVTMVPAGEGSSFPGPSSSSKKPKRFEIKKWNAVSLWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >cds-PLY88225.1 pep primary_assembly:Lsat_Salinas_v7:8:150224754:150228079:-1 gene:gene-LSAT_8X101501 transcript:rna-gnl|WGS:NBSK|LSAT_8X101501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G51820) UniProtKB/Swiss-Prot;Acc:Q38833] MATMASSLLNTVSSSIRLSNSNSNRCRTLPMATPISLSRSRRRLTIRATETDANEVKAKAPEKAPAASGSGFNQILGIKGAKQETDMWKIRVQLTKPVTWPPLIWGVVCGAAASGNFHWTVEDVAKSIVCMMMSGPFLTGYTQTINDWYDRDIDAINEPYRPIPSGAISEQEVITQVWVLLLGGLGLAGILDVWAGHDFPIMFYLALGGSFISYIYSAPPLKLKQNGWLGNFALGASYISLPWWAGQALFGTLTPDIIVLTLLYSTAGLGIAIVNDFKSVEGDRKMGLQSLPVAFGEETAKWICVGAIDITQLSIAGYLLGAGKPYYALALLGLIAPQVFFQFKYFLKDPVKYDVKYQASAQPFLILGLLVTALATSH >cds-PLY91263.1 pep primary_assembly:Lsat_Salinas_v7:3:75838212:75841126:-1 gene:gene-LSAT_3X59041 transcript:rna-gnl|WGS:NBSK|LSAT_3X59041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNPLDLNNLPEDNIARDQDKQPLDDSSPSTGSYRKKKNGAKDESRKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNRARQLVFGNDNLYQRGSQSTVHGGYHHQGSSCNMGSAHYPTRLFSGASTAVLPPVPPQQHLYTSPPSRLSNYSSQHSKSQSGNKDYFLGHVCSNNPQFIFQNLSYIATPPPDGTNNYTCIGAPVGEAFTITGGSGGGDMSASPINRYHKDGF >cds-PLY91481.1 pep primary_assembly:Lsat_Salinas_v7:7:142490835:142493029:1 gene:gene-LSAT_7X85180 transcript:rna-gnl|WGS:NBSK|LSAT_7X85180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRREEDHTHDHFFKLILIGDSHVGKSNLLSRFCDNKFTLEFNSTIGVEFATKTLHIDGKHIMVQIWDTSGHKKVRAITDAYYRGATGALLVYDVTKHITFVNIQRWLADLRNHADPNMVLMLIGNKSDVRHSVTVLTEVGKSFAEKESLYFIETSALRDTNVEKAFTEIVDRMYQTQICRTKAMEVQETTSLSRSVSISSGNNEPAHYKLKLESFSLLFEAGIVKYESDVFEASGYKWKLELYPKGNEEEYINDHISLYLVICDTQSLERGWEVYVYFKILIYDHIRHNYLTIQGGDGKRTRFHEKKTRWGFRKLMSLDSFKEAENGYLFGDSCEFGAEVFVVPKYAQKDQCLSMIKPPITMNTHIWTIANFSALKDEALYSEDFKVGQVKWKLSLYPKGSKTGKNTNLSIFLRPHDVGSGSGSGSDEWRVYAKFKIRVKTHEFGSTHESKEADHWFCKTSDGWGFPCFMLLSDLHDSKKGFLWNDTLVVEAQILIVGILKNLV >cds-PLY83675.1 pep primary_assembly:Lsat_Salinas_v7:4:39317084:39318439:-1 gene:gene-LSAT_4X26740 transcript:rna-gnl|WGS:NBSK|LSAT_4X26740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPLSSVFISSRLGSCTRLFVLLSRFSLLGPQFKIQLMSLVFKNKTRHSSIVDFSLTLNPRHRHKLTRNQDSGINLNISKNNLKSSMDNLPFPLQNPNPIDNQDQQPAQSKRSLAYAVWCHFKKQKIDGVDKVI >cds-PLY97965.1 pep primary_assembly:Lsat_Salinas_v7:3:18521093:18523745:1 gene:gene-LSAT_3X14320 transcript:rna-gnl|WGS:NBSK|LSAT_3X14320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGTIQNTHVFSSSSSSSKKRMTPDDFNRDDDIITKKLPAATFEDPVSALANARHEFGEHGGVNMSIEASATFTVMEPETLSRMFTGELGPDRDFFIYSRHFNPTVLALGRQMAALEGTEAAYCTSSGMSAISSVLLQLVSSGEHILASPTLYGGTHAFLTHFLPRSSNIKTTFVDIRDLKKVEEAIVEGYTKVLFFESMSNPTLTVANIPELSRIAHEKGVTVVVDNTFAPMVVSPARLGADVVVHSISKYISGGADIIAGAVCGAASLVNSMMNLHQGTLMLLGPTMNAKVAFELSQRIPHLGLRMKEHSHRTLIYARRMDKMGLKVTYPGLEDHPDHHLAKSIFNKEYGYGGMLCLDMETEVRANKLMNGLQNNTRFGLMGVSLGYHETLMSCSGNSTSSELDEKEQALAGISPGLVRMSIGYTGTLEQRWGQFQKVFLA >cds-PLY74048.1 pep primary_assembly:Lsat_Salinas_v7:3:179064603:179064848:1 gene:gene-LSAT_3X107761 transcript:rna-gnl|WGS:NBSK|LSAT_3X107761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVAEIKFIVTSAHIETEDEGNQSSPANDQQPPPVSKCAPSSGGNSTSMLPPPSNPPPPSSPPLISIDDNQYLSLNLVPPS >cds-PLY80014.1 pep primary_assembly:Lsat_Salinas_v7:9:45749551:45752683:-1 gene:gene-LSAT_9X42201 transcript:rna-gnl|WGS:NBSK|LSAT_9X42201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKKYCYGFCLLSSIVINILFSINLYVSGGGGALQWGEKKHETVYRPSLTWSEKAAAEAEAVAAISCSGHGRAYLDSLISYGQTVCECYDCYGGIDCSEFSPDCSADAGGGDPIFLEPFWMQNAEKSAIVVSGWHRMSYRYADYTMMSSEVEKYIRKLHSIVGNAITEDRYLVFGIGSSQLLSAAVYALSSENSSSPSNVVATIPYYPMYETQTSFFNSEDFQFEGDTNSWKLNNATKNNMDVIEFVTSPNNPDGELKTSVLGGKTIYDHAYLWPHFTPIPGPSDHDLMIFTLSKLTGHAGVRFGWAVIKDKDVYEKVSKYIEVADLGISKDTQLRVLKLLKVVVEDDGTQLFKFAYAKMRERWDRLNSVFSKSTRFSIQERHPLHCNFFNKTRLPSPAYAWVKCEREEEDDCGAVLEAGKITSRGGSTYRAKDRYARLSLIKSQDDFDLLLLRLTELVSLENGKIESI >cds-PLY69561.1 pep primary_assembly:Lsat_Salinas_v7:4:85007851:85009082:-1 gene:gene-LSAT_4X56281 transcript:rna-gnl|WGS:NBSK|LSAT_4X56281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMLGAKTLLIVLFLQALLVSMVTEAASANKVKRVKPPKPVKPVQEILTCKSRKSRCFMKRIKCPVECPKVKPKNPKDKACFLDCYSPKCEAVCKSRKPNCNGPGAACYDPRFIGGDGIVFYFHGKRNEHFSLISDSDLQINARFIGLRPEGRTRDYTWIQALGFKFGHHNFTLEAARTQKWDDDVDHIKLSYDGKELFIPEGHSSEWNSPEGDIQVERTATSNSLTVKVLDVAEISVNVIPVSEEDSKIHNYQIPTNDSFAHLEVQFRFFGLSSKVEGILGRTYRPDFENPAKPGVAMPVVGGDDKYKTSSLLAADCALCVFSPKEIKDGNDDSPMMEYGMLDCTGGGNGIICKK >cds-PLY83204.1 pep primary_assembly:Lsat_Salinas_v7:1:49675200:49675540:1 gene:gene-LSAT_1X44240 transcript:rna-gnl|WGS:NBSK|LSAT_1X44240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYHKFPENALCSGVVFVLKPDSLEEDDGWVISFVHDEHLNISRMHISLPVAIITLPYRVPYGFHGAFMPIIS >cds-PLY64848.1 pep primary_assembly:Lsat_Salinas_v7:2:31442688:31446822:1 gene:gene-LSAT_2X15901 transcript:rna-gnl|WGS:NBSK|LSAT_2X15901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSIQKSYIYDVFMSFRGEDTRKSFVDHLYVALERQGIRTFKDDERLEKGKRINEELLKSIEESRFYIIVFSKNYAFSSWCLDELVKIMECQTVTEQIAYPVFYDVDPSEVRKQLGPVGEAFSRHNNKEEVRKWRDALKDAACLTGWDLRNTADGHEAKLINKIVEGISLELRPINLKVDDNLVGMERRIKDIVSSLEMGVEDVRMIGICGIGGGGKTALARAVFDKISFQFKGKYFVENIREVTNASFSGLQSLQERVLSSVLNDRIFNVGSIHDGKKIMKRMLCGKKVILVLDDVDHLDQLDALAGGLDWFKPGSRIIITTRDKQVLVAQRVKWIHDVSLLSNEEAMCLFSRYAFGRYIPIQTYKEVSLKVVKYADGLPLTIKVLGSFLCGKDKHDWEDAVERLKKIPLKETLEKLELSYTCLEDDYKEIFLDVACLLKGWLKDDAIRALESCGFHAKNGLKVLEQKSLITISPNQWLGMHDHIEELGKNIVRRSHPDDPKRYSRLWIREEIEDVLANDLVNEETRVIATNVSSTFSWHGEEDLDSKILTKGFRNMKKLRFLHVVYETDNLFDVGQNFPNALRFLSWRGYPHWCLPKTFHANYLVALEMPHSRIKQLWEEGERKVLPNLRFLDLSYSDLRTIDCGLLPNLEKLNLEYCFNLVELQMPIGSLRRLVDLNLNHSRGCESLSFINQLESLEILDVSYLYLTAFPDIFPGHLNYSLLKLNFSRNNIQELHPSIGYLQKLVSLDVSWCKTLKRLPESIYTLRCLKTLNLESCAIQELSEGLGHLESLTLSNTHIKQLPNSICMLKHLKTLLLAFCYALRSLPQNFGLLESLDELVLSWCNIKYVPSCICKLKHLRVLNFSGCSQLERLPETLGDLKCLERLNVEGTCISHLPHSISLLKGLKVLGSETLLESNPLMKKTKRS >cds-PLY94689.1 pep primary_assembly:Lsat_Salinas_v7:6:20193035:20195254:-1 gene:gene-LSAT_6X15261 transcript:rna-gnl|WGS:NBSK|LSAT_6X15261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine--tRNA ligase [Source:Projected from Arabidopsis thaliana (AT3G02660) UniProtKB/TrEMBL;Acc:A0A178VAQ8] MAAAAASMLKTTFFILKPTYKIPISSSLFQSPFIRSIPNKRTLHYNNRRHYQLTRKFCSSQLTQEQSHTSRSNVIEILEERGLLEAITGDNLRSICTDSSLRVYCGFDPTAESLHLGNLIGIIVLSWFLRCGHSAVALVGGATGRVGDPSGKSLERPELDIASLERNIAGISDIILKVLGHISTDNNSIKVLNNYDWWKDVKLLDFLKDVGRFARVNTMMAKESVKRRLEASDQGLSYTEFTYQLLQGYDFVHLFKTENITVQIGGSDQWGNITAGTELIRKLHQSDSAYGLTFPLLLKSDGTKFGKSEDGAIWLSPSMLSPYKFYQYFFSIPDSDVVRFLKILTFLSLDEIKEIEIEMKSTGYLQNSAQKRLAEEVTRFVHGEDGLQEAIKATEALKPGSETRLDWKTIEGIAEDVPCCSLSYDEVLDLGVVDLSVSTGLIESKSAARRLLKQGGLYLNNGRVDNEGKKISVDDIVDGKVLLLSAGKKNKMVVRIA >cds-PLY71325.1 pep primary_assembly:Lsat_Salinas_v7:7:185135105:185138183:1 gene:gene-LSAT_7X111041 transcript:rna-gnl|WGS:NBSK|LSAT_7X111041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter D family member 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G54350) UniProtKB/Swiss-Prot;Acc:Q6NLC1] MGDLNALSHGYNVTAVTFNPIGFNHNRISFNNVAIRSCIPFESRKSTNIAPLSVWTPKFLGMKRRKKSIPRSSSSTTSPADPPVTPPPPPQQSTKTEENVPRKGPDLPTLFRRFYKVAAPYWYSDDKVQARIQLASVFALTLGTTGISVGFNFLGRDFYNALSNKDQAQFTTQLTYYLAAFAGGIPIFVLRDYARETLALRWRSWMTNYYMERYLKNQTFYQIQSQSIIDNPDQRIVDDLSSFTGTSLSFSLTLFNAIIDLISFSNILFGIYPPLFIVLIVYSLGGTAISIFLGKGLVNLNFMQEKMEADFRYGLVRVRENAESIAFYGGEENEIKLLLQRFRSAFENLTQLLISSRNLEFFTSGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVFQFQSISAFSAVIDRLGEFDDVLDSTSSNGTLEAMDEIQLTYCNVKDLSESMKASDTQNLLELENLSVQTPTNKALLIRDLSLEIHENEHLLITGPSGSGKTSLLRAIAGLWRTGSGKITFYAKYNTNLNQTIITDENKDDKKSKYRDFKGVFFLPQRPYMVLGTLRQQLLYPTWPDVSDSTPHDSQSKDSERVSERAPQPTTDELIRALEDVHLGYLVSRFSGLDTTNEWSSVLSLGEQQRLAFARLLLSKPDLVLLDESTSALDEPNEAHLYEKINEAGVTYISIGHRSSLTKFHKKALRISPMPLHSNHPNWCVESL >cds-PLY67564.1 pep primary_assembly:Lsat_Salinas_v7:3:54699973:54701149:-1 gene:gene-LSAT_3X42741 transcript:rna-gnl|WGS:NBSK|LSAT_3X42741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPISCQFFFYFFFIPSLSSGADTLSVNKSLYGNQTLISLAENFELGFFKPGESQNYYIGIWYKKVKTRTIVWVANRETPISDAFSSKLQIVNANLVLLNESNTQIWSTNISPMFVSVAPPIKSFPTPTPNTYTHNFCMGDLLDDGNLVLRYNLSSSSITPIWQSFDHPTHTFLPGGKLGYNKRTNMKQIITSWKSIEDPASGLFSVEIDQTENQYLLLWNRSQVYLASGSWDGKIFSSMPEMRSNNVNNFSHIDNENESYFTFSMYNSTLTSRCIIDVSWQFQLHAYIETTAEWAFFWSQPR >cds-PLY88194.1 pep primary_assembly:Lsat_Salinas_v7:5:220085431:220086129:1 gene:gene-LSAT_5X101920 transcript:rna-gnl|WGS:NBSK|LSAT_5X101920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKRLTKVERDVDVMKRLMALGVDDDDEMVVDVTLQNSPGDNTPPPPPPSTNLPPPSRRLPHPPSPSSGSPPQSNATEKGENNRGFHDQQMQMVLNLQKRKASVLGGAHDVEAKCSAAGDSLVPILPRKARKGNSVLI >cds-PLY88704.1 pep primary_assembly:Lsat_Salinas_v7:5:66675189:66675485:-1 gene:gene-LSAT_5X30461 transcript:rna-gnl|WGS:NBSK|LSAT_5X30461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLQRTTKSFRRQGSSGSVWEGEKSPEEVNQLTGKDGNSEDQRQLRPCYSFGGGGVREGSLSNIGPTVCTRSLSTPMTRLTGDGGGFDLRKFEKHDK >cds-PLY73523.1 pep primary_assembly:Lsat_Salinas_v7:4:22049403:22052704:1 gene:gene-LSAT_4X15260 transcript:rna-gnl|WGS:NBSK|LSAT_4X15260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGEGMTNVGGHCEDVSGSMAKAPVVASTVEFLVDFVVCNSKLGGMQHRMNMRQRPTISKSKEADGSQNPNPTRGQIDEQSKVLNGVAEGTTSRSIHVHVVGAEIVDETEGATNLSVRSQPFLLLSFPQGHDDVDDGIHNVMTVSLEYQLVKNVLILLKTNLLHSALGARILGEAGQEHVLRVITGSSKSKKAIVEYLETENIAWREENDKTILRIKINGDQKFEVMRISLRGLHVDHAMELLKPLVSVAAFGGVVGELKVVTGSERSSNIKRTVIEYLEREKMEWKEENKTTLLIKFPTNDMLARLF >cds-PLY97764.1 pep primary_assembly:Lsat_Salinas_v7:4:375235442:375236816:1 gene:gene-LSAT_4X185421 transcript:rna-gnl|WGS:NBSK|LSAT_4X185421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIDAVSNELSKLRTGRASAGMLDHIIVETGGVKMPLNRMAVVSVLDPKTLSVTPYNPNALKELEKAIVSSPLGLNPKPDGERLITAIPPMTKEHMQAVCKVVAKSCEDAKQRVRRARQKAFDSIKKSLPKKSEGKNKGKDKENIKAKTVSGFSSDDAKRLEKEVEELTKKFTKSAEDICKAKEKEITSS >cds-PLY62913.1 pep primary_assembly:Lsat_Salinas_v7:4:326941831:326943906:1 gene:gene-LSAT_4X162881 transcript:rna-gnl|WGS:NBSK|LSAT_4X162881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYTLCFSLLILLSLLSLASSQYVLPDHYFINCGSNSDVDFTNRKFVGDVNPSTFSISGGHVVAQNNNPATNTPDIYRSARVFNKKSWYELEADEISTFVMVRLHFSQFSSNGFEFSNSKLDVSVSGFSMLSGFSMGNVTLIRECIFPIRSERMFRIEFTPSGGSSSAFVNAIEAFTTPSSLFRPTPSFPRISPAGKIGYLDNLTSDYAFNPIHRVNVGGQTINVDRDTLRRTWRPDESFIFNNGAARNVTFDGRINYVDGGARLFDAPADVYNTAKQSTNSLVNITWNFNVNENAMYLVRVHFCDIISTALVDPNDAFNFFVYSHHKEEIQPGNTMDVLQAPFYLDLVVESTDSDRLNIIIGAIRGNNQPVFLNGVEIMEMLRIRREGVYSSWLAFHHPRVALPSYMFKSRDYSSRC >cds-PLY92560.1 pep primary_assembly:Lsat_Salinas_v7:7:163389190:163391153:-1 gene:gene-LSAT_7X96161 transcript:rna-gnl|WGS:NBSK|LSAT_7X96161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPGHSPRRLSSPSPSVSETPIHQSVGSSNSLLTTTTKNPRKRASSTVLDEDTYVAAIEKIIERDFFPDIPKLRDRLDWLEATRTGDPMQIRDAQLKIIERRSGKVSVTDTEGKIRTPGSTFFRNTTPLEFDRTPGTDSFVKDELLNAARELVKEDGSVDADSLTLDEFFRKYTSEDNDSFSKLIEKVNRKKRERYAFLLEGENRDTALIEDAKKDRITDGYGTSDQPLATLEGWKYTAKNLLMYHPADRGEAPLTQDELAERLKGMTKEISKPNTRFHGKMMDSKPKEDDPMSVLYNPVAGGTPVQWSMSDRDGDKFKRYDLEDLRKTPNPFYVESNKKSDNGYTFVRTPSPAPGVDESPFITWGEIEGTPLRLEPEDTPIDIGGSGNGPQFKIPLPPSRDLKAHSLSREAARKLRDRSKMFKKPPLHRGGSASPGARTLSPAAQKFVRNAIAKSSNSNVDESLRASYRGSSPGLSTPKAGRSVSRLGRDGSMSSRSPSVGEGSNPPW >cds-PLY99458.1 pep primary_assembly:Lsat_Salinas_v7:6:20399969:20400929:1 gene:gene-LSAT_6X15660 transcript:rna-gnl|WGS:NBSK|LSAT_6X15660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYKINGTANITAIRAAAEKGVKRFVYISAADTGVINYFLQGYYDGKRAAETELLVRYPYGHVILRPGFIYGNRRVGSMEVPLGVIGSPLEMLLQHAKPLTQIPLVGPLLTPPVNVTAVAKVAVRAAIDPVFPPGIVDVHGLQRYSQQK >cds-PLY81890.1 pep primary_assembly:Lsat_Salinas_v7:8:123051575:123052191:1 gene:gene-LSAT_8X85101 transcript:rna-gnl|WGS:NBSK|LSAT_8X85101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGKLTKIKSVLKKWHSFGNGKPPGVTKSNSDEDDYLQQNLTHSNSHAVYVGKSRRRYLINSDVAQHPLFQELVERSGSGDEDTNVTIECEVVLFEHLLWMIENADPQPDALHELVDFYAC >cds-PLY77603.1 pep primary_assembly:Lsat_Salinas_v7:2:164595532:164597736:-1 gene:gene-LSAT_2X86720 transcript:rna-gnl|WGS:NBSK|LSAT_2X86720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLKKQKRHRRSVRFYTTCFGFRAPFKVLCDGTFIHHLLVNRITPADTALSNILGAPVKMFTTRCVLAELKSLGESYSDSLNVARDLAPARCDHEQRKSAVACLTEVIGEDNSEHFFVASQDADLRKKFQEKPAVPVVFALRNALFLEPPSQSQQQFAKSAEEQRSHMNELEFKMLIKKKKKSSAKEELGEASDEDEDLSKKIIEDLKKNAAKRNSDVKDKVQFKRKKAKGPNPLSCKKKKTENQKPVANKEGNENESAETIRSRSKKRKRSRKQKNLTDSTG >cds-PLY79744.1 pep primary_assembly:Lsat_Salinas_v7:4:265198897:265200958:1 gene:gene-LSAT_4X137701 transcript:rna-gnl|WGS:NBSK|LSAT_4X137701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVCLPLDKLLLNVKGKIQVVLVSTGSFNPPTFMHLRLFELARDALNSKGFNVVGGYMSPVNDAYQKKGLIPSEHRIEMCQLACKSSDFVMVDAWVAKQSSFQRSLTVLSRIRSFFCDNLMIPNESLKVMLVCGSDLLESFGISGAWIPDQVRSICRDFGVVCIRREGQDIEKIISRVDILTEYKHPSC >cds-PLY79011.1 pep primary_assembly:Lsat_Salinas_v7:3:9874477:9877400:1 gene:gene-LSAT_3X7321 transcript:rna-gnl|WGS:NBSK|LSAT_3X7321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSGFAVENIVSEHGEKAPNVVYKGKLQNQRQVAIKRFNRSAWPDSRQFLDEARAVGQLRNPRLANLLGCCCEGDERLLVAEFMPHETLAKHLFHWETQPMKWAMRLRVALYLAEALEYCTSKGRALYHDLNAYRIVFDDDANPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNLEMLTDSCLEGQFSNDEGTELVRLASRCLQYEPRERPNPKSLVSALVPLQKETEQPSHVLMGITQGGGGAAMPLTPLGEACLRMDLTAIHEILENLAYKDDEGATAELSFHMWTNQMQDTLNSKKKGDVAFRHKEFKTAIDYYTQFIDVGTMISPTVYARRSLSYLMNDMPDEALNDAIQIQVISPIWHIASYLQAAALFALKRENEAQIALKEGSVLEEKNNIT >cds-PLY98903.1 pep primary_assembly:Lsat_Salinas_v7:7:49692993:49694760:1 gene:gene-LSAT_7X34661 transcript:rna-gnl|WGS:NBSK|LSAT_7X34661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYFLLSRFLELLVILSFAHFSFSTQIQQTFDQCLSTIQVPDTFFTPNNPNFTTLLNSTAQNLRCLTPSYPKPQAIFTPLNEYDVQTAVICAKKLGIHLRFRSGGHDYEGISFTSAMDPPFVMIDMSKMRDVNVDLDDNSVWVEAGATVGELYYRVAEKSSTHGIAAGLCTSLGVGGHITGGAYGSMMRKYGLGVDNAIDAKIVNADGKIMDRVSMGEDVFWAISGGGGGSYGVILSWKLKLVPVPAIVTVFNVQKTLEQGATKILYRWQQLASNFDDDLFVRVIINPMDISGTTKRTISTTYNALFLGGVDRLLDIMNSSFPELGLKKTDCSEMSWLESVMFIAGYPTTVPTTFLLTGKPAFLNYFKAKSDFVKHPIPETGLEGIWKRLLKEEHPLMIWNPYGGMMGRISESSTPFPHRNGVLFKIQYVNIWSVPEKEAMKKHYKWIRKFYKYMGQYVSMDPREAYVNYRDLDLGMNDKNGDNTSFEKASSWGRRYYKDNFMRLVKAKTEFDPDNFFRHEQSIPVLPGKGF >cds-PLY84642.1 pep primary_assembly:Lsat_Salinas_v7:9:103324958:103327098:-1 gene:gene-LSAT_9X73980 transcript:rna-gnl|WGS:NBSK|LSAT_9X73980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFSQFSWWSWSGKHQDTKIRNGSSKNSSTTKTDVNILEFDNLKFPLVNVTPSASKRVKRKWQNRRERKVDKEYDVVLVPSDGGCLSGSESDDSDWSIGWLEPHGAGFCSDNDDDDDSDDSFAVLVPCYGRGCGDVVNTKNVSNDRFLDHTIGQVPDIYSAQNEVYMEQWLSSLQRN >cds-PLY86327.1 pep primary_assembly:Lsat_Salinas_v7:8:27550488:27550919:1 gene:gene-LSAT_8X17800 transcript:rna-gnl|WGS:NBSK|LSAT_8X17800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAAGKKPVAEKAPAEKAPAEKKPKAEKKLPKDASAAGADKKKKRHKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASKLARYNKKNTLSSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSG >cds-PLY79086.1 pep primary_assembly:Lsat_Salinas_v7:3:8731369:8733720:1 gene:gene-LSAT_3X5401 transcript:rna-gnl|WGS:NBSK|LSAT_3X5401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 87, subfamily A, polypeptide 2 [Source:Projected from Arabidopsis thaliana (AT1G12740) UniProtKB/TrEMBL;Acc:Q9LN73] MVVVGITHWLYRWWNPKCNGNLPPGSMGWPLLGETLHFFAPNPTWDTPPFVKERIKRYGSIFRTSLVGRPVVVSTDSDLNYIIFQQEGQLFQSWYPDSFTEVFGRQNVGSLHGYMYKYLKNMVLYLFGPESLKKMLHEVEHVAAINLQKWAAQDSVELKEATANMIFDLTAKKLISYDPEKSSENLRENFVAFIQGLISFPLDIPGTAYHKCLEGRRKAMTMLKSMLEERRAKPREVKSDFFDYLLEELERGDSILTEAIALDLMFVLLFASFETTSLAITVAVKLLKENPRVLQALTEEHESILRNRENTESGLTWKEYKSMTFTFQLINETVRLANIVPGVFRKALKDIKFKDYTIPAGWAVMVCPPAVHLNPDNYKDPLEFNPWRWERMDQKGASKNFMAFGGGMRFCVGTDFTKLQMAVFLHCLVTKYRWRLVGGGEIVRTPGLQFPNGFHVEITEKDDTDTNPRQ >cds-PLY68138.1 pep primary_assembly:Lsat_Salinas_v7:8:232707484:232709668:1 gene:gene-LSAT_8X141221 transcript:rna-gnl|WGS:NBSK|LSAT_8X141221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein MAX2 [Source:Projected from Arabidopsis thaliana (AT2G42620) UniProtKB/Swiss-Prot;Acc:Q9SIM9] MAVSFSTTTINDLPDVILSNTIAAVSDVRARNAASLVSRKWLLLERSTRTFLTLRGNARDLFMLPSCFRSVTHLDLSLLSPWGHPLLSSTAASYPALFAQLLRQSFPNVKSLIIYSRNPSALELLAPQWPSLSQIKLVRWHQRPPQLPPGADILPVFENCRDINSLDLSCFYCWTDDIPPALKAHPQTAAKLTFLNLLNPSFTEGFKAQEVEEISKACPNLKKFLIACMFDPRYIGFVGDETLLAISINCPKLSLLHLADPSALLNARADPDTQGFTQEDASITVATLIEMFSGLPLLEELTFDISNNIRDSGPAFEILNSKCPKLRSLKLGNFHGISMPIESKLDGIALCQRIESLSIRNVADLTDMGLIAIARGCSNLVKFEVQGCKNITVRGMRTFVSLLNRTLIDVKISCCKNLGAVSSLKSLEPIQDRIRKLHIDCVTESNIHDELGNNNRKKRKFDFDLNDSKSSDSMNETWSKLEHLSLWFSVGELLTPFLSSGLEDCPNLNEIYIKVEGDCRHFSKPSQREFGLQSLCMFPNLSKMQLDCGETIGYAHTAPSGQMDLSLWERFYLYGIGAVNLEELDYWPPQDRDVNQRSLSLPAAGLLQECVMLRKLFIHGTAHEHFLMFLLRIQSLRDVQLREDYYPAPENDMSTEMRSDSCSRFEDALNRRRIED >cds-PLY70217.1 pep primary_assembly:Lsat_Salinas_v7:9:833947:834649:1 gene:gene-LSAT_9X1780 transcript:rna-gnl|WGS:NBSK|LSAT_9X1780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKENFGVGQLSDEQKARISANFRAAKALLDRKRPRDASMASNSFPRKIEVVNGVETPPIVSSVNRLPLADISVNTPTPIDSSFTAMTPEKVTEMVLNVDLKCFGCYKKVKKVICKIPHEFFFIIT >cds-PLY96151.1 pep primary_assembly:Lsat_Salinas_v7:3:99554395:99555582:1 gene:gene-LSAT_3X74081 transcript:rna-gnl|WGS:NBSK|LSAT_3X74081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSDKVRASHILIKHQGSRRKASWKDPEGRIISSTTREAAVSQLKSLRDDIVSGKSKFEDVASRYSDCSSAKRGGDLGSFGRNQMQKPFEDATYALKVGEISEIVDTDSGVHIILRTC >cds-PLY80505.1 pep primary_assembly:Lsat_Salinas_v7:2:137331626:137331907:1 gene:gene-LSAT_2X66841 transcript:rna-gnl|WGS:NBSK|LSAT_2X66841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDYNEWGMDFEVWERTEMGPPPPHLYADDYEEHEHELEEDDRVKEVAMMPTSSVNELCRFTAAENQLEDVLVERNKDDHDDYRTQSSKDN >cds-PLY77908.1 pep primary_assembly:Lsat_Salinas_v7:1:26835585:26836360:1 gene:gene-LSAT_1X22541 transcript:rna-gnl|WGS:NBSK|LSAT_1X22541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTEVGYRSWRSSSAEGGIAGRKGCIGDLFSFVVRLVSTHEEGERTFVLGLCLLEKRNLVGSSYKGGSVVLVDRYFFXIGEETKLKMQTEVGYRSWRSSSAEGGIASRKGCVGDLFSFVVRSVSIQEGGERTSVLCLCLLEKRNLVGSSHKGGFVVLVDRCFFFSGELCQQGNSWVFVSCVLDWERMQMCVDDSTDVCFCR >cds-PLY91265.1 pep primary_assembly:Lsat_Salinas_v7:3:77816074:77817205:-1 gene:gene-LSAT_3X57360 transcript:rna-gnl|WGS:NBSK|LSAT_3X57360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYNCKEFKVGKCEGEKLVDGETLPLVLTPPEPSKNGIESLLVALKNNKEWFEEMLVKNSAVLFRGFDVKSAVDFNDIVETCDWEDIRYMGPAPRTHIHKRIWTANEGPLSEFIYYHHEMVLIKEFPKSVILFCEVPPPEGGETPFVPSFKVTERMLEEFPEFVEEVEAKGLKYTFTALSNDNTTSMRGRGWQDALGTPDKEEAERRARALGMDVEWLENGGVKTILGPRALTKVFEGRKGRRMWFNTMVGMHGKELSSAMMADGTEIPENIVKRCEEIIEDESIQFKWEKGDVLFLDNYATLHGRRPSLAPRRVLVATCK >cds-PLY93599.1 pep primary_assembly:Lsat_Salinas_v7:2:175118017:175118478:-1 gene:gene-LSAT_2X99301 transcript:rna-gnl|WGS:NBSK|LSAT_2X99301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGSYSASSLSEEEHAVLGASDPINTPMMVMTYGEEPKDDSDNIEPDYTPVEHPSELAHSPDYTPDGIELLSYEYEPDEDEEDPATSLEISSRFPTPLHRYFITHTSTQVKRTLRKTIVIPSWKRAASPPESSPPTKEPYGDTTWMPQIRS >cds-PLY68990.1 pep primary_assembly:Lsat_Salinas_v7:9:138810224:138812632:-1 gene:gene-LSAT_9X89540 transcript:rna-gnl|WGS:NBSK|LSAT_9X89540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPLISDNLTSPALASNIRFGDLRVIASIAQIYKPYVLLSRRRGFRWDEANLGEIEANKHVRQKITEPKAPYHPMMHDTDGSLSPIGGSDSFLEGDDNSNIRLNADAIRSALNEMASSSSNNDEGGKSARSFREKRKAHYDEYRKVKELQKKESMKKDDEKQSIVDGVGDINISMHYKR >cds-PLY71041.1 pep primary_assembly:Lsat_Salinas_v7:3:160354702:160355001:1 gene:gene-LSAT_3X101660 transcript:rna-gnl|WGS:NBSK|LSAT_3X101660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIIKEAIASSGSPASILVSEGANTMDVGRSVLVQMEPKTCLDAGTWGTMGVGLGYCIATTIASPDRLVVENLLLNQMVLEKLPKSLILHGIKDSNGLN >cds-PLY84624.1 pep primary_assembly:Lsat_Salinas_v7:1:31492833:31498552:-1 gene:gene-LSAT_1X28200 transcript:rna-gnl|WGS:NBSK|LSAT_1X28200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAGGGPKILNSSSGGQWVEGSSPPPMICKGRKTKTIVVVGGSEDGEKGSLLSFKGVYAPSRPSWKRGTKLSQNSKAFCTFQKIDITVYLNSVGPPFIHGSKRKSLRVSSFEGSDQGDEYIDRVRGSKWAKSPYISSNGGLTSLPTSSPSQDLTFEGHSWNERVETDHELMKCGILKRVWCNFLSLDAKIKIPMIIFIPLFLTVNIKYGVHVAKELIPLWIGGPLLLVLYIKMVHVIYSLYVFSFKQSVKLVNNFGHGRIHLWQQFVYFRNLDYKDESKRMWKEFQEWLGDMCMEFVESMWSYRRTVGFLKMTKII >cds-PLY91899.1 pep primary_assembly:Lsat_Salinas_v7:8:200161569:200162313:-1 gene:gene-LSAT_8X128180 transcript:rna-gnl|WGS:NBSK|LSAT_8X128180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGKTTKGAGGRKGAGERKKSVTKSVKAGLQFPVGRISRFLKKGQYAKRTGSGAPIYLAAVLEYLAAEVLELAGNAARDNKKTRINPRHVLLAVRNDEELGKLLAGVTIANGGVLPNINPVLLPKKSAVDAEKTPKSPKSTKASKSPKKA >cds-PLY80996.1 pep primary_assembly:Lsat_Salinas_v7:9:177552692:177564782:1 gene:gene-LSAT_9X108981 transcript:rna-gnl|WGS:NBSK|LSAT_9X108981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRDLKLLRRNSGKSTDFDEPENMPVNGKFHESSASQMGTDSSSRPPLNAIRDPVQNPGKRDQELGFKSSKLDRTPTKVNTSKHSESGMPMKTPEKQGGLARNRYGWGSDSRVEGKSGTMNMNMNTNTPRSCRTVGRALSSGYSECNSTQNTQSNSTQNTPTKSVNKPPNPGLSQGVSSRPPISGGVRSNGSFAALSRGLQNTYTTVNTVEVPHIDLKEDPSFWMDHNVQVLIRIRPLNNMELSAQGYNRCLKQESAQCLTWLGHPETRFTFDHVACETIDQETLFRMVGLPMVENCLSGYNSCIFAYGQTGSGKTHTMLGEINELDLKPSPQRGMTPRMFEFLFARIIAEEESRMDERLTYNCKCSFLEIYNEQITDLLDPSSTNLQLREDVKKGVYVENLTECEVHTVGDILRLLSRGSANRRVAATNMNRESSRSHSVFTCVIESRWEKDSTSNLRFARLNLVDLAGSERQKTSGAEGERLKEAANINKSLSTLGHVIMVLVDGANARTRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSICSATETLNTLKFAQRAKLIQNNAVVNEDASGDIMVLQQQIQLLKEELAILKRNNISRSLAFGPKVIEEATQEQENDCNGHDNKILKVSSKQLKSLETSLTGALRREQMSEASIKQLEAEIEQLNRLVRQREDDNKCTKMMLKFREDKIHRMKSLLAGSMPADSYMLEENNMLIEEIQMLRAKVDRNPEVTRFALENIRLLEQLRRFQDFYEEGEREMLLKEVSEMRNQLVLFLDENKQHDQIQKEAAQDNKENNSVRLELQKSQEELEMCRNNLNSCLERNEKLCREIADLNALLENQKSIAHDHGATTEVIKDPIIEAASVGDQSLHTIQKREETHVNYIEEVMNLQLEVDILKTLLKEESSSRGEIVENLKSTEEKYMLITKQYTDLKEELEEAKSVIEALEIQQLVSINEVEALRNSNDRYAQILHEKELKKDTILSQESQDRLNKMKDSLEKARRTNNWYKTDKAFQASNEEEMDEVRKQVEAETAEVIVCLQEEVCSLQQQLDDSIMKEREIKSKYEEKERQLSSLSEEWQLLSDEIHDALTTGHETLEDISHDLDDVTIFDQLQMITRSIFEKESRIKELNACLEDAKNRGNEMEGLLRSLRGATMVMTEAHQEDCSKKDRIISQLIKQNKQREEQIKKASACATVAFVIVNRFSEMKDSCLEALTQKEAELEELKRSHIVDICEAKKKKDLNMSRRDDTISLLKKELETALGSLNGVKSEMARLHSEKESVRLSEKQNHKSIETLMHQVMALQSVVDYFENQIGGAMGSLDHKIQTVEELLQESCKSCSYKRKLYELELMDAKVNAAEHAAESFCVLAKFEEAHYTIKEADVMINELVIANETMKLDIEALKKKEVALVNERDTLKENYEEDIMGMKKEVRELEGMIMEIQSLVDKDLMPTVSDLFSMKSHLHDSSKLIHSWLEDIWSEIIMKDCAVSVLHVCHMGILLQTVTGLNAENGLLQHGLCESNSLVSQLKDHNLKSRKELEMCRVVQGKLLADIKNSFDHVSKSTEETGVLSMKLASFEKRILSLQLQEEAMVERSNYMGSELSALVKEMNAMDKDEELRDLEEKFMVDLFVKEIELLIVSSALNQMDSQRMDLEHENNNMFMVLEKFKENMIMVSVDMQFKDASLLDKEAELLIVSSGLSQMDLKRKDLENENNKMFMVLEKFKENMVMANVNMQSKDSILLEKEAEVAFLNKDVKEKENELLIVSSRLEQLDSQRKDLENENNRMLMVLEKFKENMIYANMDIQLKDSILLEKEAEVAFLQKDVKAKEIELLNVSSKLKQIDSRRKILENENNSMFLVLEKFKENMIYANMDIQLKDSILMEKEAEVAFLQKDVKAKEIELLNVSSKLKQIDSQRKILENENNSMFMVLEKFEENMIITNVDMQLKDSILNEVISENMYVHSELERKDEVVEGLVFDLQLLQESSSKTQDQKDEIEELEASLEALAEELDENIVKGQVLEGQVKEKVAMINALEADVSRERELVKSLFSEIQTLKDALKAHETTGMELAKVKEANESMEMELVELGTNLVEMETLVESRTCELLEVRKELEMTQALAEENEAIATESKQIAETSRLYAEEKEEEVKLYEKSVEELDCMVTVLENQVDMVKGDAERQRLQREELELEFHAFKQQINQVKTSDSDIKRALNEKEKSLQESLQRVELLEKEIANKDKEIVQHKAHISELNLHAEAQAKEYKQTFKALEAMAVAEQVKSDVSVSHISNSPSKKLEKNGSRTRGSGSPFKCIGIGLAQQMKSERDEELTTARKRIEELEALAASRQKEIFALNAKLAMSGSMTHDVLRDLLGIKMDMTTYSSLIDGNQIEEITEKARIHNAEAHFQEEEVIKLKEQLNELVMERKGWLEEIERKQSELITAEVALEQLRQRDRLLKTENEMFKTEIANHKMKVLELEAEEENNSLKNQNDELIRKLRRSDEILCRVKEELANLRAKSGNEFSDVETELQIHEKLKGTEEERDLLAQKLAALCTSILKVAGITRPTSDVSISMAEEALEQLQGRVATLERELQDLQHKNRISNERVRLSEMMPQRVEENRPINNSRRTFRSPFLTSLDR >cds-PLY81450.1 pep primary_assembly:Lsat_Salinas_v7:5:334569070:334571465:1 gene:gene-LSAT_5X184160 transcript:rna-gnl|WGS:NBSK|LSAT_5X184160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYTASVIEVPLERRRGHKETRNYSPDSRAPSDRRNRSSRRSPDYSRYDDEFNRPGNRRSPDYSKSRNRNRSVSRSRSRSPDYSRYDNQNSYRRTHRSPDYPRSKRSPSPRIKTMNTNRKPYYGDQKKQYLDRNNLNGNGNGPESESDEELKGASYEDYRRLKRQKLRKMLRNCIWNITPSPPRRDDDPDELEPEEIAEKDAEEDNEKYKDTKSELDSKERSHSSESESESEDSESDSDDSRSRRRKKSKRSSSRRKRRSRKSVSVSDSESDESTDDSEEEDRRRKKKKQKRKSTKRKSSSRRDSKRKRRSTSRKSKDSDSEESSESNGEEGSSKTKRSRSSSSSKKRSLKGSDNSASDKNSDSEDDDRSKPQVDEPVKTEEAERELILLKEMIESRKKAGLDDDDDEEAEVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEISKFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREQKVMADLQRLVQRHIGEETGPSHDPFGGTGRVAEDPADA >cds-PLY86900.1 pep primary_assembly:Lsat_Salinas_v7:5:266326797:266328318:-1 gene:gene-LSAT_5X137560 transcript:rna-gnl|WGS:NBSK|LSAT_5X137560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPMLPPPTGASANRPRRRPDLTLPLPQRQPQIAVPLPLPPSSAPSSTTSQLISNSKQQPFNISELDRMNRIGSGSGGTVYKVLHRPTGRLFALKVIYGNHEDDVRRQICREIEILRDVDNLNIVKCHDMFDHGGEIQVLLEYLDGGSLEGTHISNEASLADLTRQVLSGIYYLHRRKIVHRDIKPSNLLINSKKQVKIADFGVSRILAQTMDPCNSSVGTIAYMSPERINTDLNHGKYDGYAGDIWSLGVSILEFYLGRFPFAVGRQGDWASLMCAICMAQPPEAPATASREFRDFVSCCLQRDPAKRWTAAQLLRHPFVSGGSPGNGNHTSNKQVHPTHQLLPPPPLRPHFSSSS >cds-PLY64209.1 pep primary_assembly:Lsat_Salinas_v7:7:3818525:3822268:1 gene:gene-LSAT_7X2540 transcript:rna-gnl|WGS:NBSK|LSAT_7X2540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQSNQSMTFHEPSMEQSISFVTALQELKNLRPQLYSAADYCEKSYLHSEQKQVVLDNIKDYAVRALVNAVDHLGTVAYKLTDLLDQQSLEISTTGLHVSCLHQQLLTCQTYTDKEALRQQQLLAVVPRHHKHYSLPKSVSKKVHFSPQTKTDGKQSHFHSRPRQFSSGTPPANTLCWHLASETKSTLKASSRSMSFDEPKTSGHAPVSHHHHASHGGDGVRTKSSEAMRNAGPASSAAIQTLGISRLVTLNSIIINI >cds-PLY82248.1 pep primary_assembly:Lsat_Salinas_v7:1:65920981:65922066:-1 gene:gene-LSAT_1X56421 transcript:rna-gnl|WGS:NBSK|LSAT_1X56421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAERTGETSEDGQRRNTAEQPPPLVAEERRKKSLRVLVAVDESDVSLYALKWTLEHLFKTPVAAAAVTPDTLETIPDPEQGMVTVAHVMEPFERYTFPAEPSMYTSVAMVESVRAAQRETAAELLSRAFQICKESKIKAETLILEGNPKEMICEAVEEMHFDILVVGSRGLGAIKRALLGSLSDFCAHHANCPILIVKPPRK >cds-PLY91087.1 pep primary_assembly:Lsat_Salinas_v7:9:124992263:124992424:1 gene:gene-LSAT_9X81461 transcript:rna-gnl|WGS:NBSK|LSAT_9X81461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRLGFSMSVLDRLVCCRRTTRGISYFSSFNFIAVLSYVDYVMNEFDFFDFLV >cds-PLY69787.1 pep primary_assembly:Lsat_Salinas_v7:4:245353256:245358962:-1 gene:gene-LSAT_4X130920 transcript:rna-gnl|WGS:NBSK|LSAT_4X130920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSGADVEAFTAALNRDIEGDNTSTSQTPTTTDAGGALSQGTNHTPSQLFQQWQSANREGNTSYSNEEEVKPQIHEQQSSTMEANRHGSGSGSETQHPPNDTSREMNLSQSEPSRPQDDQHNVHMSQTMNAQNTEKNPVNSQEPNIARNPENESQLQRMHRMGNQQQQQAMSATGQAANAMNRPSGKQVPFALLLPVIEPQLDKDRAMQLQGLYVRLRNNNINKEEFVRHMRSLVGDHMLKMAVYKLQQGQGQLSGKHNIQKPSPYVPPSSIHQSAESSSLPMDNNAQKSRLLEHQSDASIHNASKPDREQQPFPVQGLVKQQQQHMQFPQPPFPNYGNPSGNFHPGSSPNMNMTSQSFKPQSHDLQMRQGPVHHQVGGIGNPMSQNAFNDMKRMHLGHWQSSMHKDQMLSTMAYVKPEPVDQISDQQQHKPPQQGQSSFSQGQIEQGGGIAGSSKDDSFEMMASSRPGFSTPMSKPEPMSITAQLERQNASAGNPSLAAAGNSNAKTPPKKPSVGQKKPLEAPVYTISKKQKVSGAFSDQSIEQLNDVTAVSGVNLREEEEQLFSGSKEDSRVSEASRKVVQEEEERLILQKIPLQKKLAEIMAKCGVKNRGNDVERCLSLCVEERMRGLISNLIRLSKQRVDIEKPRHRTVITSDVRQQIMSMNQKVREELEKKQADAEKLQRADEASHTPLLSNFKSSTPDGGGIDGEDGRGKSKANKEEDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQARQKREGGGADVASSTSHSQPSVDVGPKPMSNSKDNADTERSSGVTTATPTPVRKSMRNQELGQNGSSVPRTISVKDVISVLGREIQMSRSTLIYRLYEKVRSEHASE >cds-PLY73049.1 pep primary_assembly:Lsat_Salinas_v7:9:37004991:37005660:1 gene:gene-LSAT_9X34840 transcript:rna-gnl|WGS:NBSK|LSAT_9X34840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVASSSSDEVRPSEVWGCWGQKRMKARAEEVSVVFARQSRCSPPRLDSSDQQWVIGLNGSLVLVLDRKEGKTEDESKDDSNSDCFYVFPMNKVFEGQEDREG >cds-PLY67265.1 pep primary_assembly:Lsat_Salinas_v7:5:134484065:134490159:-1 gene:gene-LSAT_5X58120 transcript:rna-gnl|WGS:NBSK|LSAT_5X58120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESFLNEFQHLAIRLEEIKSATNTFDDSKLIGKGGFGKVYEGVLSNSKEKEHITKVAIKRLDRKYGQGDPEFLKEILLLSRYTHENLISLMGFCDEDGEKILVYEYASHGSLDRYLSSTSLTWRQRLIICIGAATGLCYLHDPKETQERVLHRDIKSSNILLDENWNAKISDMGLSKLGPANQQHTFLISNVAGTFWYVDPMYMETSVVTKESDVYSFGVVMFEVLCGKLCFENTNGNFKSFVRMWKKSYKQKKLDKIVFEDMKEKMDPRSLETYSSIAYQCLKKSREKRPEMYRVVEELNIALRFQENFEEAKPQMNYEEVRKSAQFKKTKEMCKTEVPSLAYRSEEEIKILLSEGIFLNQGKTWFWLNKNGEHCEMISAAECFYPITIAPQYIEFYAKEKSRFTEYMNTQICLDFKIHVKTQYLSPHITYAVNLVFCLYDSDSTNSHLEYTMAGETESSSLYLADTREDGWLTAELYQFTSDNRYVDLEITFKCWNKLLVEGIEFEPVEIVSQQILEHQELEDEREVDMQEDMSHSDAYWKEKLPSDYETIIKWSKDKLHWTTKKELYSILCKGFLIQIQGEEQRWL >cds-PLY67815.1 pep primary_assembly:Lsat_Salinas_v7:9:7094414:7095181:1 gene:gene-LSAT_9X601 transcript:rna-gnl|WGS:NBSK|LSAT_9X601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTTTAYKSVKGCWRARGYQRLGTSTSHDSAGREEEEEESGSKPRRLRRRKRRFWRFRINPRLTLKLKPRKLFIGIRDAYMMIMMKLASSSVVRGRTMGGEGFGTMAMKEYDEKMIMEIYKTLAMRQGQLIPSQNISV >cds-PLY78623.1 pep primary_assembly:Lsat_Salinas_v7:4:151492881:151495149:-1 gene:gene-LSAT_4X93041 transcript:rna-gnl|WGS:NBSK|LSAT_4X93041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSTIRSLRLHSRLLSLHGGIKRLPMVAAEALLSVYYSYCNLSPCTVDLDDCQTSMHIWAANHRRCNKPNLVLVHGYGGNSKWQFILQVAQLTRDFNVYIPDLVFFGKSYSTRTERTEEFQAKCVCDGMKKLGVERFSVYGISYGGFVVYRMAEMEEKMVEKVVIVSSGIVYTEEQKSEYLEKIGRNVVEILVPEKPEEIRTLCRLSMYKSDIGKWFPDFFLRGFIAADRWRKERQELIENLLSKKPDPHLPVLTQSTYLVMKETLIIWGEKDNIFPIQLGHQLHRHLGPKSKLKIIKDVGHAANVEAPNSLNELITSFVLSGRS >cds-PLY66525.1 pep primary_assembly:Lsat_Salinas_v7:4:341964503:341965572:-1 gene:gene-LSAT_4X168300 transcript:rna-gnl|WGS:NBSK|LSAT_4X168300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDVIMWRKKRVSLGVVATATVLWVVMEVYGFNFITVASWIGIFLVSSLFTWANIYKLIYKEEPSMSGLGISEKTVTGIANWIRVSGEEAMRWVFKVGAQSEWYVFAAAVVGLWLLSVIGSCSDLLTLLYTGTMVGMSAPVIWMKYDDKIREHGERLQMQSMKFYSTMKKNVQNLMDKVKDKVNSPTKEMKEKKVE >cds-PLY95071.1 pep primary_assembly:Lsat_Salinas_v7:5:227538600:227540373:-1 gene:gene-LSAT_5X107221 transcript:rna-gnl|WGS:NBSK|LSAT_5X107221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWVEAPSIILLLGSVMFLRYASCSTLSKRCIQDHKFRSLLCWSSHYSPCFIVSILLPSEENTAIDILLAVVTPPPLLFFPDLSGTNCGWKSKFCWLDANSLCLLLSLGPLLKLDDSVSLSSDLFKHISSFSRMCIRRYLFPEVVLALCHMSPIWKARNQLPVLPVLHPEGCVAFHSFQEELNEAFRFMARAEKIISVALGKEVKFSDGLLTLRDCYLSAEVNLRAADSMKLVGEKVLMKASSMYAELVEQHRTVTDRVTELENQRALFELDYERCLRENEGLYA >cds-PLY74034.1 pep primary_assembly:Lsat_Salinas_v7:7:10403845:10408039:-1 gene:gene-LSAT_7X9041 transcript:rna-gnl|WGS:NBSK|LSAT_7X9041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAFSPDHHHHHLSPPSEQLCYVQCNFCDTVLAVSVPCSSLFTTVTVRCGHCTNLLSVNMRALLFPASAAAVAANAAANQLHLGHNFFSPQNLMDEMRNAPSNLFINHPNPNEPVTPVRIDELPKPPVANRPPEKRQRVPSAYNRFIKEEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQPVKKPNVCQQEGDDLLMKDGFLTTANVGVSPY >cds-PLY82710.1 pep primary_assembly:Lsat_Salinas_v7:2:147502997:147505548:1 gene:gene-LSAT_2X73541 transcript:rna-gnl|WGS:NBSK|LSAT_2X73541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLLNFLIRSSSFPFAAIPCRLLPSPVMSSPNRQKNECFEPHLTELGHVLSLTKSTIEDFATPQSPPSLLTFSVCKPPSTSPSISDILLFPFLVEGSLGVGKTSLALALGKFSGHSVVRINLSEQKTQISLSGGQIVLNTTLPKKRHRNSSVLSITPITVSASVNTQFSVKGFNIL >cds-PLY72320.1 pep primary_assembly:Lsat_Salinas_v7:4:60562354:60572994:1 gene:gene-LSAT_4X42261 transcript:rna-gnl|WGS:NBSK|LSAT_4X42261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHEVELEPRVKPLTYKVKGISRESPSQKASHVLDIDLRNHWSTATNTKEWILLELDEPCLLSHIRIYNKSVLEWEISVGLRYKPETFIKVRPRCEAPRRDMMYPMNYTACRYVRISCLRGNPIAIFFIQLIGVPVTGLEPEFQPVINHLLPQIISHKQDTDDIHLQLLQDLTNRLVTFLPQLEADLNSFPEAPESNLRFLAMLAGPFYPILHVLNERETAKSAGNNTLDTEASKNSLPSPALTVSSNFEPRRSRNTISTSPHTSTSTVFRSDAILLLLRKAYKDSHLGTVCRMACKVLLKLEVEHPTTMQQVSIPSSDSDATSALKEKATSSESLTQTTDYSDLFGEEFRVVNDDHWDFKYLQLLDIKAVEEGILHVLFACASQPLLCSKLAGNSSEFWPTLPLIQALLPALRPNFNSWGQVDDSFSAWRQPFVQHALSQIVVTSTSPMYRPLLHGCAGYLSSFSPSHAKAACVLIDLCSGVLGPWLGQVTAKVDLAVELVEDLLGVIHGGRHAIGDSCAALKYIVLALSGHMDNVMAKYKDVKHQILFLLEILEPFLDPALTPVKTTIPFGDVSPIFHDNQEQNCNVALNVIRTAVTKSAILPSLESEWRRGSVAPIVLLSILEPHMQLPPNIDLGKSPTSLTMGPQPPDVSSQDDVDGKTTDTSDTAKQDTFDDVSVLFAPPELKDMVLTHVSDANTEEKHLIDKKITMKKDESFSAIEYSKLHTECLHLMSYSDCELWASEFKRVAFDLHSQSDITSESHDASIDALLLAAECYVNPFFLMSFKDNIIKTHHNYNFTEIRRILEKNESNLEAIARIEKKRDKIVLEILLEAAELDHKYQKIISDENDDVMQFSLTDTSSMDAITLVRQNQELLCKFLINSLLKEQHSMREILLQSLVFLLHSATKLYCPPERIIEIILKSSEYLNDLLVSYCHQGTLELELNKVHEVERHWMLLQRLVVASSGGDEGGRFSNLVPSSTWVNKISSFSSSSSPLVRFIGWMAVSRNAKQYQKERLVLASELTQLTTLLSIFTDELAVIDDVSGQQVDQSFCVIYPEISQFFPNLKKQFKVFGEIILEAVGLQLRVLPSSVVPDLLCWFSELCSWPLLKEDDDIIPSRRFKGFLAKNAKAIILYVLEAIVSEHMEAMVPEIPRVVQVLQSLCKTSYADVAFLDSVLCLLKPIISYSLKKALNEEGGSCVEFESLCFDELFSNIRNQVTPDEQYSRAPTILVLASVFLDLSVKRKQEILQSLVFWADFATFESTNSFHDYLSAFQTVMESCKSLLVKRLQVLGVIPLKMNGESCDDNDKSESHSSFLLDAYKVFDEMPSDINQLSVEETTEFSKTLETLLSKLNPTIELCWKLHHQPAKKLSAMSAECFVYSKCLSSMLEKDSTFSWNNSLEKLAEMILVLQKNSCWEIASEILDCILETPCFYDSDKVISIICSAIKMFSCNAPKVSWRLQTDKWLSSLLCSGTHNLHSSEASLIDLFSSMMSHVEPEQRFIALKHLGNLLGQESESEMTILPSDESVLSSLVSSTWDGIVVLASSDVSPVLRTRAMAILVKCVPFAERLQLQSVLGAADSFLPCLVNLGQRTCEGPFMQLSLALIGNICLYSPVEDVHLIPQSIWRNIETLGLSEAGKREDIVRSACEALCKLKSEGDEAKEILKGVLTSDFSRKGDSDFESTRETLLQVLANLTSVQSYFDFFSKKTDEKLMEIVEAEIEMDLIQKEQSLEESSNDVTDWRKLPFLANYEREDKRLQEIKDHIQSLEKAKLKEEIVARRQKKLVIRRARQKYLEETAFHEAELLQELDRERAAETEKEIERQKLLAIERAKTRELQHNLDMEKEKKTQRELQRELEQAESRSQSRREYSSGPSSRPRERYRERDNGRSTNEGNLRTSGGSGGSGGGGGGLPPDFTNPTIVLSGGRQFSGQPPTLLQPRDRQDDGGSSYEENFDGSRDSGDTGSVGEADVMMALEGQSGNFGSGQRNASRGNKSRQVMERRERDGRREGKWERRH >cds-PLY80386.1 pep primary_assembly:Lsat_Salinas_v7:3:238053871:238055329:-1 gene:gene-LSAT_3X133220 transcript:rna-gnl|WGS:NBSK|LSAT_3X133220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPVTAHGRPLPPPFHTRDLQLHHHHQFQQQQQHHQQPPEEDEQSGGSSSRNHGQKRERDGNHSHNNDGNRELASVVTGGEGDHSSGGGSGGSRRPRGRPSGSKNKPKPPIIITRDSPNALRSHVMEVANGCDIQESIANFATRRQRGVCILSGSGTVTNVTLKQPATAGEVVILHGRFEILSLSGSFLPPPAPPAASGLTIYLAGGQGQVVGGGVMGPLVTSGPVVIMAASFGNAAYERLPLEEEESTPVSGNDQLGSPPGIGGQQQLMNEANSSLFHGLPPNLLNSCQIPTDAYWGANRPPF >cds-PLY81438.1 pep primary_assembly:Lsat_Salinas_v7:5:335097278:335100197:1 gene:gene-LSAT_5X188320 transcript:rna-gnl|WGS:NBSK|LSAT_5X188320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAENQPIMVEESDEAVHLYESGSELGSPWLPTNVINDVTEACGDSGEDDHLLFSKRRSILNDAIEACVDDDHHFYFKQRSYNKHRHQPPTVRKSAAAAQPPLLPSPPHWKSFSKDQKPKHPTNWAAGGPGMQAVFLDSSQRSCGTGVFLPRTAGTNIEKPRKPAFAPVLLPSRVVQALNLNVHGLGLQIKPRDYNNNVKGLECDRIRNKKSRDVSSQFCVISQNRSSSPEIFLPKEWTY >cds-PLY94968.1 pep primary_assembly:Lsat_Salinas_v7:4:113875459:113875910:1 gene:gene-LSAT_4X71641 transcript:rna-gnl|WGS:NBSK|LSAT_4X71641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLKASRIKVLQAQDDLVTAMREDASKDLLNVIHHHFKHQHNYEALLKSLIVQGLLRLKEPSVLLRCRKEDLHKMESVLHSAKEEYAAKAHVHKPEIIVDHIHLPSAPSSDDPHALS >cds-PLY89810.1 pep primary_assembly:Lsat_Salinas_v7:2:138410891:138412428:1 gene:gene-LSAT_2X67900 transcript:rna-gnl|WGS:NBSK|LSAT_2X67900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCRNKPHAVLVPFPLQGHVNPFMKLAKLLHFNGFHISFVNTEFNHQRLIRSKGPESVEGLPDFRFETIPDGMPHSDQDTSQDIPMLCDMTRKTCLVPFKELLQKLSSSAGIPPVSCVVGDGLMTFAIKAAKDLGIPEVQFWTSSACSFMGLLQYRELIKRGIVPFKDDSYLTDGTLEKTIDWIPGMSNIRYKDIPSFIRTTDSDDIMLNFSGEETQNNLNASAIIFNTFDALEHDVLEAIAPKFNYHNMFTLGPLHLLAKYVPDDSPLHSLNSSLWKPDSSCLQWLDQKKERSVIYVNYGSLTTMTEQHLIEFAWGLANSKQSFLWVVRPDVTMGDSAILPEEFLKETKDRGLMVSWCEQDQVLAHPSIGGFLTHCGWNSTTESISEGVPLICWPFFADQQTNCRYSCVEWGIGMEINHDVKRNEVEVLVKEMLLGNDGKEMRRKANEWKTKAKEANDIGGSSYNNFHRFIKEALLSGVSHV >cds-PLY63262.1 pep primary_assembly:Lsat_Salinas_v7:4:201364764:201364991:-1 gene:gene-LSAT_4X111500 transcript:rna-gnl|WGS:NBSK|LSAT_4X111500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPTPIDMEFIEDEEPYEEEDPLEEDPHDENAGGDPGDISPYPDSSSHGYGHPKTQEEDTLESEPSTESPASY >cds-PLY69914.1 pep primary_assembly:Lsat_Salinas_v7:4:67305670:67307304:-1 gene:gene-LSAT_4X46760 transcript:rna-gnl|WGS:NBSK|LSAT_4X46760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DUO1 [Source:Projected from Arabidopsis thaliana (AT3G60460) UniProtKB/TrEMBL;Acc:F4JBU2] MERGRMYDEMGEIKKGPWKSEEDEVLMKHVKKYGPRDWSSIRSKGLLQRTGKSCRLRWVNKLRPNLKNGVKFSSEEERIVIDLQGQFGNKWARIATYLPGRTDNDVKNFWSSRQKRLARVLQTPPQAPPPPPPPKSHKSLREPPSLHKVPTFEAPKQSSSTEESSVTSPKTLSCSSPYCEPINMVPLPELITPTTATPTTAATSLLIYDDIQPLCQYPCNVPQQPLLLPPFPHAPPLKVELPSPPPPPPLENHDIFTQLADPNFFAVFGQGGSSEMVPYPYIPPGGEGESGGSCGRQESGDPMMMAPDTFIDDFPMDMFDHIEPLPSPSEW >cds-PLY88016.1 pep primary_assembly:Lsat_Salinas_v7:4:178498044:178498307:1 gene:gene-LSAT_4X105941 transcript:rna-gnl|WGS:NBSK|LSAT_4X105941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVYMKIDLHFAGIFSRYPAICYSDGTEQRFDDVDFAGMDKNEFVEFIQRFANEVCINVYFCMPDVVFPDGLRLIATDIDYMDFIEV >cds-PLY63377.1 pep primary_assembly:Lsat_Salinas_v7:7:149468427:149468753:-1 gene:gene-LSAT_7X88521 transcript:rna-gnl|WGS:NBSK|LSAT_7X88521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNDDCASVGALPILTTFKSLQKPTSSKPIYDFVLLSSSSKQEEEEIQVDANAQGIQGNEAETEINPFHIEPLDVNDGDNDDNKSDSSTSNTGCSDENITNLFALLEL >cds-PLY75935.1 pep primary_assembly:Lsat_Salinas_v7:9:199981601:199993397:-1 gene:gene-LSAT_9X123621 transcript:rna-gnl|WGS:NBSK|LSAT_9X123621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTSSKFTELPIKEDIYKIVDMSKSSIVDKKLEFMSSPLGDSMVSSTSSKFTELPIKAVVDSRDQEQEILLLRKHLVDYSIKEAQIHNEKFALEKQIAYMRLAFDQQQQDLADAASKALSYRQDIIEENIRLTYALQDAQEERTTFISSLMPLLAEYSLQPPVADAQSIVSNVKVLFRHLQEKLIGIETKLKESPYQLAAWRSDSSFAQSLLHSFGGNKNGLELVTQQAYSDGDHPTPKGWDGAGVPETSEPDSGRYSPLLNRNNNNNNGVRRLGGDSYPTTTSSKNEETSNKQVTFSDPVSSNNDVDDLEGGGEAFVNWNSKTPLEDPQPQPHSHSYSPYLTPVPEKPDSSYSEAADDDPLPAVESLQISGEAFPGRELQASGYSLNGTTSCNFEWVRHMEDGSVSYIEGAKQQNYLVTADDVDTYLAIEVQPTDNRKRKGELVKVFANEHSKIVCDPDMHDNIRKALQTGHAEHRLSLWTGFLEIWEPVTLVIRKDGINIKGGTTPVNEKFSPNTRVYIPCGNPVEFSIIGLGGVEQRLRVEQESDTISSRDVIVLTLRYFINRAAKKKKVKKKGLFF >cds-PLY75995.1 pep primary_assembly:Lsat_Salinas_v7:5:98441799:98445797:1 gene:gene-LSAT_5X44541 transcript:rna-gnl|WGS:NBSK|LSAT_5X44541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTGKSVEVVKMGESETIALYEGSTATTNKGQRIGGTPPVGQRSITARVDRRVVRSPNHQNKETVTANAVSNGNGYINLRQRSSSPAHRVLRNSESSIGMKGQGNGGRGVCSPDRGGGVQDKRSTHNTNANANHHHNGKNNNNGGGSGGGGSGSSETALDMKKGGTSPGSEAVPIVWPPKFVIGLTNKEKEEDFLAIKGSKLPQRPKKRAKFIQRTINVCLSLSLNSLSLFFISLNLLIIIKKNTSMIIITFDKDLHIKIINC >cds-PLY81799.1 pep primary_assembly:Lsat_Salinas_v7:3:33283572:33291407:1 gene:gene-LSAT_3X25201 transcript:rna-gnl|WGS:NBSK|LSAT_3X25201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIPSSSTSESPIAAVLEQPYRTGFHFQPPQNWMNGQMWYNGVYHFFYQHNPFGPLFDIRMHWGHSVSYDLINWIHLDPAFAPTEPFDINGCWSGSATILPGNKPIMLYTGRDTQERQVQNLAVPKDLSDPYLKEWVKDAGNPVINLPEGIQSDDFRDPTTAWIEEDGKWRLLVGSKRDRTGIAFLYHSDDLVNWVKYDTPLHQAPETGIWECPDYFPVWFDSTMGVDTSVVNPSKEVKHVLKVSLIDRFHDYYMIGKYSPEEEHYVPENDDLTQISGLRLDYGRFYASKSFFDPVKIRRITMAWVHETDSDADFIARGWAGVQSFPRSIWLDKNQKQLLQWPIEEIETLYGNQVSFQNKKLEGLREIEGSFQNKKREGLHEIEGITASQADVKISFKFDNLEEAEELDPSLVDPKLICAKYHATKQGKFGPFGLLALASNDLTEQTAIYFRIFKKNGRYVVVMCSDQSQSSKKEGSDKTFYGAFLDIDPQQDEISLRTLIDHSIVESFGGGGKSCITAKVYPTLAIGKDAKLFAFNYGTKSVVISEMNAWSVKTAQMSIEESNIDHSIVESFGGGGKSCITSRVYPTLAIGKDAKLFAFNYGTKSVMISEMNAWSVKSAQMSIEESNV >cds-PLY77437.1 pep primary_assembly:Lsat_Salinas_v7:9:107898707:107900307:-1 gene:gene-LSAT_9X75521 transcript:rna-gnl|WGS:NBSK|LSAT_9X75521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVELHDWEVLPDSDDSTSVQNTSFLEGIERDSEGIIRSDYFSLDSHTKYSATQAEDVIDAVSVESDNPSWIDPVCDTGYPATKAVGEPWSSDGSDDGKYVESEASNTIVDIVETETRKVAFDGIASVDIDESDEIDSKTRKLGEIDGGGEESEACREEEIVEARGQGANEDVSSESRKVYGEERNRVAVLWKLPLDLLKYCLFKASPVWTLSMAAAMMGAVLLGRRLYKMKQKSRTLQLKVTMDDKKVSQFMSRAARLNEAFSVVKRAPLIRPALPGAGIAPWPMVALR >cds-PLY89941.1 pep primary_assembly:Lsat_Salinas_v7:4:4553846:4555928:-1 gene:gene-LSAT_4X2941 transcript:rna-gnl|WGS:NBSK|LSAT_4X2941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRFGISWVTFLTIGVVLPVTVLLMSTNCLRCDLYQVKAFELVVVSSHACLAAAALLCLSHNLRKYGIRKFLFVDQYNGHVQRFSRDYVHKISEAKRALVLWVLPCLFLKIVREVIRMMYMHHESWWKSCGILVALTFPWMYVTVIFLSSCLVFHLVCNLQIIHFDDYGNLLERETDVLVFIEEHARLRHHLSKISHRFRIYLLLVFIVVTSSQFATLFQITGFSNKVTFINGGDFAVSSIAQVVGVILCLNAAAKISHRAQGVAALASRWHALASCGPDDSSHMRMSFSNQMGSSNGLLRSVSSEADMEAMNYTPLPTNEQLTSYLSSYHRRQAFLMYLQNNPGGITLYGWTVDRSLINTIFFIELSLVLFVLGRTTVFISSDGLS >cds-PLY66861.1 pep primary_assembly:Lsat_Salinas_v7:7:19208663:19209655:-1 gene:gene-LSAT_7X15421 transcript:rna-gnl|WGS:NBSK|LSAT_7X15421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQCIRLVYVLLPFGQYNDPLPSYLATTKIIITIVFLTSTRDLFSFQMSFSAHTDDRGGESRHDRWRRCPQFTVFLNHLIHVQFQVILFDLNEMTNIELELTETCHRPALPSLIDLPFFIKTLNIDGTLT >cds-PLY73406.1 pep primary_assembly:Lsat_Salinas_v7:8:114673877:114674296:-1 gene:gene-LSAT_8X78241 transcript:rna-gnl|WGS:NBSK|LSAT_8X78241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQTCIPPVIANEVALALLISPEYTKKREFIKDKPLSSCIKKLVMDDVGVDRIGGNNDDAANEFDQGFNEFDEACNDIDHEFNEFHEVGNDIDQGFNEFDEATNDFDLGLYQQILQSNEQNVDDEVHEGEDNAVYKEVT >cds-PLY93964.1 pep primary_assembly:Lsat_Salinas_v7:8:110642031:110646407:-1 gene:gene-LSAT_8X75561 transcript:rna-gnl|WGS:NBSK|LSAT_8X75561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMMNDIEALLMMQSPNDDMLLKEAIKPDPSGGKVTRTNDIAVQTQYLYVRVVKARNLGAKDDTAGSCDPYAEVRLGKYKETTRHFEKNFDPQWNQVFAFTKDRINKASVVEVTIKDKDMVKDDFMGWVLFDLTEVPKSAPLDSPLAPVWYRLEDRKGNKLQGFIMLAVWWGTQVDEALREAWHADDDGDALANNIRSKVYLSPTLWYLRVNVIEAKDLVPGDITKTPELVVKATLGFQTSRTRVSTSRSIDPKWNEDLMFVAAEPFEEPLILSVEDKSAPYNSKVFGMCAIPLQNVERRLDDKAINNTRWFNLEKDNVTIDVENEKEVKLASRLHTRICLEGGYHVLDESTHFCSDFRPTAKKLWKKSIGVLEVGILGASGLLPMKKKDGRGTTDAYCVAKYGKKWVRTKTVTDSLSPKWNEQCTWEVFDPCTVVTIGVFDNCHLAGGEYKSGGGTMDSNIGKVRIRLSTIETDCIYTHAYSLLVLRPSGVKKMGEIHLAVRFTCSSLLNMMHMYSQPLLPKMHIHPLTVRQLDMLRHNATQIVAMRLSRAEPPLRKEVVECMLDVGCHMFSIRKSRANFFRIVEVLSGLIAAIKWFDDIRNWKNPIATALVHIVFVILVLYPVFILPSVCLSLLSVGVWNYKYWRPRSPPHVDTRLSYADNAHPDELDEEFDDFPTSRPPDVVRMRYDRLRSVCGRLQTVAGDIAIHGERLLSLLSWRDTRATTLFIIFCLIAAIVLYAKPFYVVVIQTGFTVLSHPRFQHNLPLVPLNFFRRLPSKYDCMI >cds-PLY66898.1 pep primary_assembly:Lsat_Salinas_v7:7:20067018:20069264:-1 gene:gene-LSAT_7X16480 transcript:rna-gnl|WGS:NBSK|LSAT_7X16480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLWQLGQSITRRLAQSDKKTIARRCYASEADLKKTVLYDFHVANGGKMVPFAGWSMPIQYKDSIMESTLNCRENGSLFDVSHMCGLSLKGKDAIAFLEKLVVADVAGLAPGTGSLTVFTNEKGGAIDDSVITKVTDDHIYLVVNAGCRDKDLAHIEEHMKAFKAKGGDVGWQIYDERSLLALQGPLAGSTLQFLTKEDLSKMYFGEFRIIDINGSKCFLTRTGYTGEDGFEISVPSENALDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLTWAIGKRRRAEGGFLGADVILKQIADGPSIRRVGLFSAGPPARSHSEIQNENGESIGEVTSGGFSPCLKKNIAMGYVKSGLHKPGTKVKIAIRGKTYEGSITKMPFVATKYYKP >cds-PLY69879.1 pep primary_assembly:Lsat_Salinas_v7:4:70464661:70464876:-1 gene:gene-LSAT_4X49320 transcript:rna-gnl|WGS:NBSK|LSAT_4X49320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWWDEGDIGENESINSDVPAQSEEGIRSPESSPVKSHRSGKHDGVGEASHAQILDSVVEESLPASNSGEC >cds-PLY90077.1 pep primary_assembly:Lsat_Salinas_v7:6:17080016:17080531:1 gene:gene-LSAT_6X7681 transcript:rna-gnl|WGS:NBSK|LSAT_6X7681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVACENYMNPFLSKSKIMPGMLSTKRKEDATDEDDIIKVSSTRNYTCKNCLQRGHNTRSCKNPTQNRPPKVKKKRGRPRIHIPVTNIVYETQDAETQGKIKDLRDSGYTSVEIEEALSVEKDEEWIDKTRLLVYDKHTRRSERIVKIKLSKPVYDKDGGGSCMEKALTLD >cds-PLY82377.1 pep primary_assembly:Lsat_Salinas_v7:7:108876271:108890109:1 gene:gene-LSAT_7X69741 transcript:rna-gnl|WGS:NBSK|LSAT_7X69741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNPIGYVPALVDGDIVLADSFAIVMYLEEKYPEHPLLPRDLEKKAINYQAANIVSASIQPLQNLDVLKYIEEKVGPDAKLPWVQKHISKGFTALEKLLKDHAGKYATGDDVFLADVFLAPQIHGSIQRFNLDMTAFPLLARLHEAYLQLPAFQDAMPENQPDFPAAQSGSV >cds-PLY73862.1 pep primary_assembly:Lsat_Salinas_v7:4:206682057:206682787:1 gene:gene-LSAT_4X116721 transcript:rna-gnl|WGS:NBSK|LSAT_4X116721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSEGVVIGCHTVDQWKEQFDTHVGSTKLVVVDFTASWCGPCRMIAPILAELASNIPHVTFLKVDVDELRSIAQEYSVKSIPTFVFLKEGNIVDKVVGAKKDELSACVAKHAGDATVSA >cds-PLY77993.1 pep primary_assembly:Lsat_Salinas_v7:MU042436.1:337253:337651:-1 gene:gene-LSAT_0X11400 transcript:rna-gnl|WGS:NBSK|LSAT_0X11400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIITQPKQIFSIVLNLNSNKNIHLYSSVTTNATKESIISSSSILSDQSTSSSTPPETTAAATTGSGGQGQPWSFLKFSLVTVVTDGFATAGYATYGECNGKWYITLLPFLCFLYFGIFLMCLSSVINNNID >cds-PLY72365.1 pep primary_assembly:Lsat_Salinas_v7:5:6513045:6514363:1 gene:gene-LSAT_5X3900 transcript:rna-gnl|WGS:NBSK|LSAT_5X3900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translation factor SUI1 homolog (GOS2 protein) [Source: Projected from Oryza sativa (Os07g0529800)] MVDIGVHIPSAFDPFAEVDQDSGGGAGIKEYVHIRIQQRNGRKSLTTVQGLKKELSYEKILKDLKKEFCCNGTVVQDKELGKVIQLQGDQRKNVSAFLTRAGIVKKDQIKIHGF >cds-PLY95482.1 pep primary_assembly:Lsat_Salinas_v7:4:264449937:264454724:-1 gene:gene-LSAT_4X137221 transcript:rna-gnl|WGS:NBSK|LSAT_4X137221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCGDYMEDLEAIVPFQALQAFGLSVDAVCPDKKSGDICRTVLYRITPHQTYSEEGAHNFTLNATFDEIDVSSYDGLVIPGARAPEYLATYESVVKMAKHFAIFGKPIASICHGSLILAAGGVLKGRTLTAFPTLGPVLVTAGANWKKPETMASCYVDGNLITGAAYYGHAEFIGNFIKALKGTVTGFNKRILVLCEDFMEEYEIYVPFQSLQILGCHVDSVSPKIEKGDRCYTAIHEFEGDQNFSEKNGHEFEMTTTFKDIDASSYDALVIPGGRGPEYLALNQDAINLVKYFMNSGKVVASIGYGQQILAAADVLKGKKCTAYPAAKLHVVLAGATWMEPDSVDGCYADGNLVTGVGWPKHPQFIAKLMEVLGVKNVKGRGSLNRYGLDCAQLPLCLFSFYFTLHTTSLVHPSASPICNQRASPSASSRRPLPSPTTLHLPSPTSANLLPPNVSLTCNPPPLNQVKLLPFLSVIDEQHRLAILPPPSPSKSLLQSVNLIIGSDFIFFSEFW >cds-PLY63877.1 pep primary_assembly:Lsat_Salinas_v7:1:124849227:124850186:1 gene:gene-LSAT_1X93661 transcript:rna-gnl|WGS:NBSK|LSAT_1X93661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHVDMETCKQACLNNCSCKAAISEYFGLNSSSGYCDLPSELFTMTNFDVDANNQVHSSAFIKVQNGRYKSPKRKNHVTTILGSIVGCLVLLVIVVVVFTKFIGQKKEVDGEMEEEYLDKVPGMPTQFSYEELKTATENFSKKLGEGGFGSVFEGSLEVDSKIAVKCLHGLGLMQVDWNEVRKSFMAKVESIGIIHHVNLVQLRGFCAWKSERFLVYEFMSNGSLDGWIYNGDRKNALKWECRKKIILDIAKGLAYLHEECRQKIILLDIKPQNILLDSNFNAKVSDFGLSKLMDKVQSCQVMSTVRGLLAIWLQNASA >cds-PLY74436.1 pep primary_assembly:Lsat_Salinas_v7:6:11453868:11454593:-1 gene:gene-LSAT_6X9121 transcript:rna-gnl|WGS:NBSK|LSAT_6X9121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIIVRKKQEQVLGIFPDENDIRNISGKDLFSKVERFWTQSGDVPKSLDDPPDGATKKPSVDVPETTTLLDDPPRTNDDKSDVAKSTPISDDPPHDIRFMQPSSSKPKVNFYLQSSSGEMKVGDDNGEGNNDIVDLGHDDDNNGDGDDKSKSNESVEGSSSDKGYLDELIVDPFALLEMRDKVDKVVQR >cds-PLY95556.1 pep primary_assembly:Lsat_Salinas_v7:6:174511906:174512856:-1 gene:gene-LSAT_6X106301 transcript:rna-gnl|WGS:NBSK|LSAT_6X106301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQDRGLIVNNNDTNEKLVDCDSSHIVHGNKTDMMSYSDLEIKQSSIENKYDFAFMHEGEEELIDKFLKVDGIMVVFKMDNHKAKASESFQKPSNYEIIYHRQFPVAIIAMKKIRLIHDKNGASNTIPHRRLMFAQKKAEALKNLENVLLEPPRTVSGKSNMYSKKTRYLPDLMHDSLEDYPRRVFIDINGDGGWFTKNYPTRNMNFEIYQIETTVPEITDTDTNTDDEAMGELVEIEITDWLRENVRNDEYVVMKAEADVVEQLVNNKAIELVDELFLECKYNCVKCKKCKRPYWKCLALYGLLKDVGVAVHQWWG >cds-PLY66828.1 pep primary_assembly:Lsat_Salinas_v7:7:17856791:17858830:1 gene:gene-LSAT_7X14401 transcript:rna-gnl|WGS:NBSK|LSAT_7X14401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVESSPPVLFHVIGVDGESSGENRMTIERKLENSSDGLHIPPFNFGVVDDGIFRSGFPDTTNLSFLKTLGLRSIIYLCPEPYPEENLEFLNANAIQLHQFGIQKSKDQNPIMEIQEIKICDALKVLMDPKNRPVLIHCKRGKHRTGCVVGCFRKLKNWGMSAIHEEYKHFAGDKSRVSDQKFLETFDISSFIKS >cds-PLY84702.1 pep primary_assembly:Lsat_Salinas_v7:2:151214672:151216737:-1 gene:gene-LSAT_2X78460 transcript:rna-gnl|WGS:NBSK|LSAT_2X78460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLSGLAGSPTKYRFHLHSRGPALPCKHTPVFQDHGKRKEFICLERRNNWSGSSQRYTPRRTSLVKSAMDASFGDARDESSVIFPRINIKDPYKRLGISKEASEDEIQSARNFLVQKYAGHKPSVDAIESAHDKIIMQKFYERKNPKINVKKKMREVSQSRVVQAVTTRFRTPATNFIIKTSIAFLILAALTIIFPTEEGPTLQVAISLITTIYFIHDRLKSKLKAFLYGVGTFIVSWLLGTFLMVSVIPPILKGPRNLEVTTSLVTYVLLWVSSTYLK >cds-PLY62962.1 pep primary_assembly:Lsat_Salinas_v7:2:212199344:212201010:1 gene:gene-LSAT_2X132381 transcript:rna-gnl|WGS:NBSK|LSAT_2X132381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCKRQILARKRFKEEHPELFPKPQPTPPQDPNKKKKKKKSNFKRKKSDSTDPSKSRRPAYKKHPLRVPGMRPGDSCFICKADNHIAKNCPEKDQWEKHKICLLCRQRGHSLKNCPKKSGEDVDDKLCYNCGDFGHSLALCSQPLQDGGTKYASCFICKERGHLSKDCPKNAHGIYPKGGCCKVCGGVTHLAKDCPNKSNRSDSAKRSTGIGAVARGQVTKFASGDDLEDDFSMIEDDKEKKTFEPKADIVSNESKPKKKQGTKVVNFVG >cds-PLY81272.1 pep primary_assembly:Lsat_Salinas_v7:2:27608079:27610666:-1 gene:gene-LSAT_2X12821 transcript:rna-gnl|WGS:NBSK|LSAT_2X12821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFTRAKFEELNAAFFKMCIKHVENCLKDGNVHKKDVDDVVIVGGSTRIPKVQQMLMEFFDSKPLCKSINADEAIAYGAAVLAANLGGNGNESVRGLILQDVTPLSLGISINLDNVMSVVIPKNTPIPTIKEGMYRTLFDNQENMKIAIYQGESKKAKENIFLGSFVLRGIPPAPAGSQEVKVCFNIDANGILHVSAEVKSTVLDNWNYDDPNLCAWEGVTYEDFSWSGTPDLPPLIFIVDDLLELIVGLRSLKLLNLLDNAFSRKLPETLESPPQQQSQLFPKKWTCLYHHQPQQELRPLSPEI >cds-PLY72189.1 pep primary_assembly:Lsat_Salinas_v7:7:52711039:52711976:1 gene:gene-LSAT_7X39080 transcript:rna-gnl|WGS:NBSK|LSAT_7X39080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNLPATLVFEILSRLNNSADVARCRAAWKTFDTVSPDLPWINLQYPLKRYIELRSRDSDSSSSSSLPSPLKTIILHLISNSRSLESVHIGAENLPLDVSHADVEDYGDDMYLTDGAFVKKWLPRVSGTLKSLSISDFWVHSGWRRSEVLPLVSACCQNLLELELKHAWISVENMNPMPMHGFL >cds-PLY85461.1 pep primary_assembly:Lsat_Salinas_v7:3:42509318:42510169:1 gene:gene-LSAT_3X32421 transcript:rna-gnl|WGS:NBSK|LSAT_3X32421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPRRQMYRYSPGRNVGEVPMGSIPYDIGNGMQIFEPEMAQLIPIRALASTLANASPTEKRTMLGESLYPVVEQVEGESGAKVAGMLLEMDQTEGGVGSPADQLATLSLNDGIVS >cds-PLY81390.1 pep primary_assembly:Lsat_Salinas_v7:8:31348314:31350568:1 gene:gene-LSAT_8X25040 transcript:rna-gnl|WGS:NBSK|LSAT_8X25040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLGRWWRLFLPMVCELMLGSLVKGLLYSLCYCCWLPQKKNVETVSNYDEVKDVITEKRQIQSIVVAVDGFKSKSLLDQPKVDQQLKLKERLQKYCQKAYKRVLEKQLLKLEKQELQTKVLWF >cds-PLY95334.1 pep primary_assembly:Lsat_Salinas_v7:1:42363030:42366361:1 gene:gene-LSAT_1X37160 transcript:rna-gnl|WGS:NBSK|LSAT_1X37160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQELLKKNCDLGVVGYPSQWTGTESFVEQSTLSNNLSLKMGSSTPRQQNTKQLGLQIQFQDQDSSSTQSTCQSCPEVASAGENYQYGENKFSIQSGYNGTHVTREEGSVGSALPTGVQDYTFSIQADRRQPYACIPLLYPDPYYRGLLAPYGTQAMMSQGMSMTTTRVPLPIDFAHDEPIYVNAKQYNAILRRRQYRAKLEAQNKLLKPRKPYLHESRHVHALKRARGPGGRFLNMKKIQEDQPDGPISGQDDLGSAGGGGGSGGGGGGCSEITSVSNGESFFHHQELRFPVYHPQVGPIQSVGPNTDGILGGTHHMFR >cds-PLY69807.1 pep primary_assembly:Lsat_Salinas_v7:4:243818988:243820582:1 gene:gene-LSAT_4X129060 transcript:rna-gnl|WGS:NBSK|LSAT_4X129060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYLLVTFALLVASFIFIFSYYFRRKISNLSLPPTVFPTLPVIGHLHLLKKPLYRTLATISAKHGQILLIRFGSRRVLLVSSPTAAEECFTKNDVIFANRPRFLAGKILGDNYTSIGWAPYGNHWRNLRRISSIEIFSPHRLNEFHDIRADEGRLLIRKLISECSSPVNFKSVLQEMTLNVLMRMISGKRFFGGDMKEEGKQFQKIIKEAVLLSDTSNLGDHVPIMRWFGMKELERKMIILQKKRDAFLQGLIEQHRTVDGIESKNKKNTMIEVLLQLQKTDPDYYTDEVIRSFVLNLLSAGTDTSGTTMEWALSLLLNHPHVIKKAQNEIDSHLGKSRLVDESDMSSLPYIRCILNETLRMYSPLPLLVPHESSDDCVVGGYHIPRGTMLLVNQWGMHHDPDLWNDPERFQPERFESLESTKDGFRFMPFGSGRRSCPGEGLAMRMVGLTLGLLIQCFDWERISEEMVDMREGPGLTMPKVQPLVAKCRPRPITHNLIALNM >cds-PLY80657.1 pep primary_assembly:Lsat_Salinas_v7:5:245701902:245702905:-1 gene:gene-LSAT_5X122260 transcript:rna-gnl|WGS:NBSK|LSAT_5X122260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEFLGEMFNFAPNLLNIWYHWYHIYSWQNIGKIKNKKPSAKQFITFADVEGVDAAKAELLEIVLCIKGDSKYMKLGAKLPRGVLLAGPPGTGKTLLACAVAGEAGVAFFSMSASEFVEIFPSNGAARVRDLFHDARKHSPSIIFIDEIDAVGGQRGTSFNSERDQTLNQLLTEMDGFEKGATVVVIAATNRPELLDSALMRPGRFSRKVVVDKPDEDGRRKIFALYLQKVPMMEDKKVICDLVASRTPGLVGADLENIANEAVMLAARRGLC >cds-PLY83412.1 pep primary_assembly:Lsat_Salinas_v7:5:330274908:330277448:-1 gene:gene-LSAT_5X186781 transcript:rna-gnl|WGS:NBSK|LSAT_5X186781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATILQNFFTNNPQWVPGTHLPNTLNHTRPITRMFTCSTSSSSLDKKFSSRLSLSTNGGYVKSSFDYNNHSGGNANNGHYEYEKGLLGTSQQYPRPTEVPWTKELCNSVQLIGNVGTPVEFKQLSSGKVLAWCRLAVKKSSTDTTWINLTFWDDLAHIASQHVEKGQQIYVSGRIVSDTVDSDDGKQQTYYKVVVQQLNFVERSPPSNDQDFNSNSNSNSNSNSNSSSMTTGIYLYFRKCKKQKMYAAANGSASTEELWQAFFANPSEWWDNRKNKRSPKYPDFKHKDTGEALWVEGRYNPSWVKSQLSILDSRMESFQQQNPRSRSDSMFGDNLTPY >cds-PLY78515.1 pep primary_assembly:Lsat_Salinas_v7:5:338297875:338299605:1 gene:gene-LSAT_5X191301 transcript:rna-gnl|WGS:NBSK|LSAT_5X191301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKPHEFENPDSETPTEDQSHLSIAPFTSISLSLPIHFISPPKISPFPSQIPKFVKIHNQISSLCNLSLSSNTLNPTKPFFKSTVSANPLQNPLSLNPRRPSDPSNAAGGRRASVVWFRNDLRVHDNESLSSANNESMSVLPVYCFDPRDYGKSSSGFDKTGPYRASFLIESVSNLRKNLQARGSELIVRIGKPEKVLAELVKEVGAEAVYAHREVSHDEVKGEEKIETALKDEGVDVKYFWGSTLYHIDDLPFKLEEMPTNYGGFREKVQGLKIRKTIDALDQLRGLPAGGDVEPGDIPSLVDLGLNPTATMNQAKATAHASLVGGETEALERLKKFAAECQAQPHKEGSNDSSSSSIYGANFSCKISPWLAMGCLSPRSMFDELKKSASRFITKKYSSAKQNNAAPVTASTTGAAVA >cds-PLY90526.1 pep primary_assembly:Lsat_Salinas_v7:2:43212795:43213996:-1 gene:gene-LSAT_2X20661 transcript:rna-gnl|WGS:NBSK|LSAT_2X20661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCALCCHHHFFDLGWHLYSQLSLLLVTDQLLLTLPVNQRYRLELRSRWSSSRRSYLAVVSYTQSQVVSYSRLSLFNRLPSQLTPLCNYKSKTENSTIYCCKYVNGNPEDIAKEKARLAANQVNEFATCVVCSPLTEAGEGATNLHVDHGVGDQDLSMAMNSESMSLTLKHGVELLEPLVDVPVIWCPLIC >cds-PLY93557.1 pep primary_assembly:Lsat_Salinas_v7:2:174769195:174769719:1 gene:gene-LSAT_2X99160 transcript:rna-gnl|WGS:NBSK|LSAT_2X99160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGDRMDDDEEASKSNNQLVTPVKEEDYDCQTPSSKDHKIQSPRFHLPPPPPCKRRRRSTEDGEKSSEEFFEKTRREEVDAFFRLFTIRVSSSSSRKRRSHSI >cds-PLY90468.1 pep primary_assembly:Lsat_Salinas_v7:9:91812155:91819282:1 gene:gene-LSAT_9X70441 transcript:rna-gnl|WGS:NBSK|LSAT_9X70441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVSDTDIGVSVDSILFPEMPIALRLSSHLLLGVVRIYSKKVSYLFDDCSEALLKVKNAFRANAVDLPPEESTAPYHAITLPETFDLDDFELPDNENLQGFVDHHVGAKEQITLQDTMEGVVYTTSEFGRDERFGDGEASHLDLNEELVVEKAATTAVDTDTDTLNSNVDLDPQASSQAKTPAEPAADTDTDTLNLNSVDPQESFQAKTPAATVGDTNTDTDTLNSNVDPQASFQEKTPAETAADTDIDTDTLNSNVDLDPQASFQAKTPAETAGVTDTDTATLNSNVDPQASFQANTPAETAVDTDTVTATLNSNVDLDPQAKTPVKDDEDHDAMSDDDGLDQMEDSDGYDDGDADYVPDDYEGPSTPGLWEEPNLPNIQETPTCDDESLKEKLENTSQKVTDYVPHQQEKSTPVDHMSIDDFGHQSISMTQGLEGVKETYYENAIEKEHGNDINTRDNWFKVQGNIENAYHPTTSHLVSNSETLNEHENNPTNVSSFHSLETTSREKENPSNFFGGSGLMQGEASHATNTFDLNLQEIHFTQSHDEDQVGCNLYNEQQYNVNQGDNINNNTNIYTSHFSSSERLLGVQERFEDVPQQDKTNVYTSHFQASERLPVVQERFQDVNQGINININTINTNIYTSPLLPVQERFSDHVTTQRDNTNSYTSHFPDTDRLLGVQEKFPQGDNVNVNINTSGYTSTFPTAADTLLGVQERFPQADNTNTYISSQISAPDRLLGVQERFQDATQGNKSNNTNLYTSSSSSSHFAPAERLLAVQERFSDAVLRQNTTPVQENLSRGDGGHFASGKKRSFNDSSMMSQSINFGDPSGLLTTTKITPQSVPNNDDILSSILVGRSSVMQVNPTPPPQKRRRQTAPKPPKSSVPPKRKVPMDDSTVLHGDMIRQQLTDTSDIRRPRKKVSCTWVELSMIHKQLWEDAIFHEALFTGMSIKLASLHSRSYDLRKIRVTRVESSSDAPPPPLQPVSQIDENVENAPEENLNLHEGNKDAFVENNTTNEEQMNATIEVREPITSETATIETDAALQSVQSDEKSEKTDLPSSVGKDASIDINSKYIDNKTDEIKIDIASPEKTSEAKDEVEVEVEVVVGPEVIAIDQEMEEIGKNENEEETPKPEVECNENNSVPEGTFGKQLEEADTENVTEVESCSKQALLDNNDKQVSDHEEDASQEETNIEEDSNPKNTVDDDEVEYSPVQNNTDFLNFDDEDENDAEEPDEDLSDTEEKRLIDNSGWSSRTKAVGKYLQNMFNKEEENGRKALRMNSLLNGKTRKEASRMFFETLVLKTKDYIHVEQSDAFENIEILPRSKLLKSDF >cds-PLY64349.1 pep primary_assembly:Lsat_Salinas_v7:4:26482595:26487182:-1 gene:gene-LSAT_4X19001 transcript:rna-gnl|WGS:NBSK|LSAT_4X19001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADKKQLILVVEGTAALGPYWRTIVSDYLEKVIRSFCDSESLKPSGTIAELALIIFNAHGSFSSCLVQRSGWTRNVDYFFEWLSAIHFTGGGFCDAAIAEGLGEALMMFPPQSMPQNQHNLGVQRHCILVAASNPYPLPTPVYRPPMQKIEPTDNNEAQSESRLSDAETIAKAFAQCSVSLSVICPKQLPKLKAVYNAGKRNPSAADPTIDIVKNPHYLVLISETFMEARAALSRSGITNLPSQSPIKVDATSIPPVSAPPPSSVPPANGSMMNRQPVPVGNIPPATVKVEPTTVTSMPPVPVPIPAPSFQHVPPVQRPTPPPMQMSSPLSVSQEMLSSNDGIQDMKPIVSNIQPPMRPAVTVNHNILNNLSQARIINQAAIAGGTSMGIPNPMAVHMSNMISSGMASTIPVAQTVISSGQSVIPSMAMPTTSTVPGSFASATSNISQPIQGSVGMGNSVPGMSQGSIPGPQMVQSGMGMSQNNMMSGVVGVGQTGSGTGTATGTGTMTGMGSMMPTPVMTQQQVQGMQSGGVNNNNNNTAANVGLTQQSTGALQSAQSKYVKVWEGNLSGQRQGQPVFITRLEGYRSASASESLAANWPPTMQIVRLISQDHMNNKQYVGKADFLVFRAMNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKACRLIGMLFPGDMVVFKPQISGQQPQPQQLQPQQHPQMQQQQQQMQQQHLQQIPPQGQQQQQAAPPQQQQMVGTGMNQGYVQGPGGRTQMVVSQGQVSSQGPQSMSSGSFIN >cds-PLY89852.1 pep primary_assembly:Lsat_Salinas_v7:4:323804382:323805659:1 gene:gene-LSAT_4X161480 transcript:rna-gnl|WGS:NBSK|LSAT_4X161480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNLARILTGVLLLFFLFTAPSTGEITSVKIRSDNRRFIFFENFGFTQTGHVSIAISSVFVTSMPVTSNLTRPDPSRIGFYLISDVARPYKNYERISCSLDSKYISLLFTFQDLSPSPQSSFNKSHSVTFPSDYSLYFTNCNPLSYVTMDVRTEFYNTDNGNTKDYLSAELTQLPSHYYNFSHVYLIFLGFWILVCFKNKVSVNRFHLLMGVLLVMKSLSLFCAAKIHYHMKVTGNPHHGWNVMFHIFQLITAVLLYIVMMVISDGWFLLKPFVQEKKINKKVLMVVIPIQILATVASIVIGESGPFIKGWMTWNGVVLVIEAACCYVIMLPTEVICWFCDETHETDQDAKAARKYLAMFSMVVFGYFGIVWCGVVGQLMSIRSLETTGLVLYMVMFCMFRPFGKNKYFQLNLKEQGAQEEFVLI >cds-PLY85183.1 pep primary_assembly:Lsat_Salinas_v7:9:145742323:145744430:1 gene:gene-LSAT_9X93121 transcript:rna-gnl|WGS:NBSK|LSAT_9X93121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRKTVNQIAYTPISEVLSTLSPLHLSIIPLLFLSSSLYKIALSFRHTLHHLGFLRKHRLPVPVISVGNLTWGGNGKTPMVEFIANWLANDFGVSPLILTRGYGGADEAKMLQRHFNGTSVKIGVGANRAATAASFLHKHGFVYPLDIVSFKKHIPERRIVSDKIGVAILDDGMQHVSMSRDLEIVMVSDQNLSVIKSTILKANRFLPIYLSTMTPTHFFKPPSVSIQLSLEVVNEKIVLCVSAIGSPNSLVQRIQKMGPLFVDRLDYSDHHQFQNEDIMTIKARLNHLKNKFGSKPTIVMTEKDYDRDHKILGSLEPFEVLVLCCKLKILDHKEDEFKKLLIHSLS >cds-PLY81660.1 pep primary_assembly:Lsat_Salinas_v7:2:24783322:24787872:1 gene:gene-LSAT_2X13161 transcript:rna-gnl|WGS:NBSK|LSAT_2X13161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFKSSPYSSYSLSNSRSAYDVFLSFRGEDTRNNFVDHLYTALVQRGIHVFKDEKALHKGKSISRELLKAIEESRYAVVVFSKSYADSSWCLDELVKIMECQDQMGQMVLPVFYHVDPSHVRRQKRDFDTAFQQHEENFREEMDKVHKWRKALAAAANLSGWHVSETGNGGESVIIIKIVEEILDGIQPPIMEKNLIGIESRIDELYSILGMEQTEEVRMVGLLGMGGIGKTTIAKALFRRIAHKFEGSSFIEDVRENGSSKNDICGLQENILRDILASRREFFIIGPEQGANMIQRLIFNKKVLLVLDDVDDIKQLEFLAATHEWFGPGSRIIITTRDEHLLSGADAKYKPDFLFMNEAVELFCRHAFGKSSPPEGYEELSHRAIRYASCLPLALKVLGSFFHGRQLGVWESALNRLGKTSNDKILETLKLSFDGLHVSEKQIFLDIACFYKDQNEEYVTRVLDSFGFDPVIGISVLIEKCLVTISNKKLDMHDLIQEMGFRIVRESSPNSRLWQHEQIHDLIKGNKNLEAIESIMSDSEYHIDDYDAKLGLSADVFESMKNLRLLDIDGKFTSTQPTYLPDELRWLCWNEYPFLFLPLADMCKLVGLEMAEGNIKHLWEGRKILPNMKFIHLESLCSLTSFPDVSGAQNIERLILSDCSSLLEVHESLGSHQRLVYLDMSGCRRLKRLPSRIKMESLETLILSGCKRLERFPKVSPCMVKLSHINLYSCSGIKELPSSIRYLSSLSFLNLTNCWNLRNIPNSICELRYLKCLHLHNCVKLKKLPKKLGSMKILEELWLGFSDDIKSMKKLKELRIRSIRDIGALVRPRKSIGFHSLTSLSSLRTLNLSWRQIDEDNFPKNLDAFSSLEELYLSGNTKIVQLPASIFHLSRLKRLEINKCSQLQSLCVLPPSIQVLKANDCISLKKIRSLSKESEWLYKTWLIHCHKLLEDEENRRYLDEMLQKSFVEVLSLSLSLSLSIHRNRLFCRVGY >cds-PLY87856.1 pep primary_assembly:Lsat_Salinas_v7:3:43974899:43976458:1 gene:gene-LSAT_0X8720 transcript:rna-gnl|WGS:NBSK|LSAT_0X8720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDDLEETSDLGFESSTISALDQGADNSFAHYTTYLRLELQTKYTQEEVEYDLQLVIDKVKEKLRDIKSLEVSLWSTPFYDIKVSYWFIFV >cds-PLY68286.1 pep primary_assembly:Lsat_Salinas_v7:1:27679568:27680185:-1 gene:gene-LSAT_1X23380 transcript:rna-gnl|WGS:NBSK|LSAT_1X23380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSFVATFTIMGLVILSSSLLQITMADSSFCDSKCAVRCSKAGLQDRCLKYCGICCEECNCVPSGTYGHKDECPCYRDKKNSKGQPKCP >cds-PLY92823.1 pep primary_assembly:Lsat_Salinas_v7:2:2613969:2614391:1 gene:gene-LSAT_2X1860 transcript:rna-gnl|WGS:NBSK|LSAT_2X1860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGTSTDPVTRSRSQVITNLVSEPLMMSTQQEELMKKLESFMIQQTQSNNDWKTSTNDLKAAMTALQTKQAAMEERLQTLTQNKSKRQEEDDESMDKMFESDRFDDPSEQVHERGRGATFGANPNSKFFVLRRLRWKR >cds-PLY78888.1 pep primary_assembly:Lsat_Salinas_v7:5:304676743:304680420:-1 gene:gene-LSAT_5X165461 transcript:rna-gnl|WGS:NBSK|LSAT_5X165461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSVLRVHLPSDIPIVGCELSPYVLLRRPDQTVFTDDVPESSPIEGHFLRYKWYRIQSDKKVAICSIHPSEQATLQCLGCVKAKIPIAKSYHCSPKCFSDAWQHHRVLHERAASAVNENGNEEEEIFGRFNNNNNTNNQSLSTSQSLPTLTNGSTPLYPAAVTQRSGGETWFEVGRAKTYTPTADDIGHVLKFECVVVDAETKSPVGHANTILTSRVIPAPSPSPRRLISVSNADVAGHLDLDGRLSSTGTFTVLSYNILSDSYATSDLYGYCPSWALSWPYRRQNLLREIVGYRADIVCLQEVQSDHFDEFFAPELDKHGYQALYKKKTAEVFNGSVMTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDALVPSAQKKTALNRLVKDNVALIVVLEAKFSNHGVDNPGKRQLVCVANTHVNVQQDLKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHALLAIGKVDPMHPDLAVDPLGILRPATKLTHTLPLVSAYSSFARIGGGIGYEQQKRRVDPATNEPLFTNCTRDFIGTLDYIFYSADSLTVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCKPRTRR >cds-PLY87665.1 pep primary_assembly:Lsat_Salinas_v7:1:168810425:168816317:1 gene:gene-LSAT_1X112320 transcript:rna-gnl|WGS:NBSK|LSAT_1X112320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKKFALSRVPSWTDGQTVYLSRPGVYLGWDTRLFWTGLTVYGQIVSPMPNGDSIDANMCYIYVSFHTYDYARHFVSACTRILGLEGTPDGVEDQGKLTRVAAFPIGIDPGRFIRAIQLPQIKEHIKELEERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWHDKVVLLQIAVPTRTDVPEYQKLTCQVHEIVGRINGRFGSLTTVPIHHLDRSLDFHGLCALYAITDVALITSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITEVASSIGYALNMPAEEREKRHHHNFVHVTSHTSQEWAETFVSELNDTIVEAQLRIRQIPPLLETNEAVDRYLDSNNRLLILGFNSTLTEPMDTGRQFDQFKEMEPRLHPEAKEALKRLCDDPKTTVVILSGSHHSVLDKNFGELNIWLAAEHGVFLRTPNKKWTRNMPQVHMDWVDSVKHVFEYFTERTPRSQFELRETSLVWNYKYADIEFGRLQAKDMLQHLCTGPISNASVEVVQGGRSVEVRTTGVTKGAGIKRILGQVIHYKDTREPIDYVLCVGHFLPKDEDLYTFFEPEPPTPVRSSLSTPVNGSSSDRNGSTGHKKSHPFPLSNSKHISNGDKKDATPSILDLNSNNYFSCSVKRKRSSARYLLSSSADVVSLLKQMADVL >cds-PLY78481.1 pep primary_assembly:Lsat_Salinas_v7:7:175366354:175370073:-1 gene:gene-LSAT_7X104480 transcript:rna-gnl|WGS:NBSK|LSAT_7X104480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEEYSFVDTSKLLQAASDFSFDPGTRSEAAVKEFLASFPLPVIINALQTKGDVPGLEDALIDCLEKIFKTKYGASLIPHFMPFILVGLQAYSQRVRTLSCETISSLLKNLEDTSGLATSLIKENGVYPLLLDCLVDGDEQVAVAATDSIRDLACSQQGIEIIFPATPNEATDITNLAARCSSLGRVRVLALIVKLFSTSNAVASLVYNSNLLGLLEAEVRNVNDTLATLSVLELLYELAEVQHGMEYVLRTNILQLLISIIRNSTAESMLRSRAMMISGRLLSKENVLMFIDESSIKAVISAIDERLSVLDNSQDADECECGLEAMGQIGSSTQGAVLLISNTSAARRVVNAAFDLHGRGKQLAALHSLGNIVGGTRPENNKLLNADSEESLKRLIYETASNTPKLIPSGLVLSILKLESEFRIAGYRMISGLGARPWFLVEICSRQEIIKILTDSFTETTKIGMEARYNCVEAIYKALSSSSKFLNDPALSGTAEKLVEAIRKGPYLAKGRQREAQPEVATADRF >cds-PLY78868.1 pep primary_assembly:Lsat_Salinas_v7:5:307528532:307533123:1 gene:gene-LSAT_5X166940 transcript:rna-gnl|WGS:NBSK|LSAT_5X166940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKNSFKVVASARLESEFSSRSREESMSSEDDDFQRRGGVELDEDEDDYEDCDSGAGSDDFDLLELGETGEEFCQIGDQTCSIPFELYDLPGLGDILSMNVWNDVLTEEERVSLTKYLPDMDQEHFMRTMKELFTGSNFHFGVPIHKLFDMLKGGLCEPRVSLYRQGLGFFQKHKHYHVIRKHQNTMVNNLVSIRDAWTKCRGYSIEEKLRVLNIMKSQKSLMYEKMEEQETDSSEFEESTDWGNKKVKDRKQKHAHYPGYRSSPTLALEQPNKQNPKGTLKVSGSKMGSGSIEVKPGPSGSTRAYGFVGNDEEEERTMYDVAVHRDHNVSINKSGKKFKGVHDEFPETYMGLPVSLRTDMHAFGRNRTVNSLSDIKVLTSRPSNIRVPYDYGKKLKRPENNTLYTRGEPLWHNQEQMDPPYRMGQKKGRMVNNGGRVVAAAEGMKMLAKGEETESDSSEQFGEDDDDNNPLMRSKWAYPSGGSGIKPGSSLKKGKNNNNNSRKNGDFRDVMLPGGEEMYTLKGKQKGKFNNTHGINHFDDFNGDVEVSEMFQVPLRKAYPGGIGKKQKDYSTLPMIHMNDYLEDPSVGRSGKKGQIFEGYPEMGDVRLLGCNSVNSVSKKRKVKDELMYMDEQENLGYSNSSPQQPLDDVSFIKKRKWSDTIPFENMMNEQIMDTTEEDLELENKTQKKPFTLITPTVHTGFSFSIIHLLSAVRMAMVSQLPEDSMDVDKTHNGEETKQEVINGGDQGPTTSDPSSVNPNLPSLTVKDIVNRVRSNPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKSLVLYKKSIKSWSWVGPVSQISSDDQEIVEQVTSPESWGLPHKMLVKLVDSFANWLKSGQETLQQIGSLPAPPLTLMQLNLDEKERFRDLRAQKSLNTINPSSEEVRGYFRKEEILRYLIPDRAFAYTAVDGKKSTVAPSRKGAGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEDVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRQKKESGEGADQGSVTLAYRGPGEQTGFDLSSDLNVDPMVMGMGMGDKMLSERNLNNEDFDDGREEDTEVLNTSVS >cds-PLY69133.1 pep primary_assembly:Lsat_Salinas_v7:5:284044325:284045494:-1 gene:gene-LSAT_5X149781 transcript:rna-gnl|WGS:NBSK|LSAT_5X149781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAHPLLQSLIATTSTSTTYGVRALSSPPPKVDSHVISNVSGILFRLTLITFIGFMAIWANHEASKGFSITIVNDAGKYSLPGKHFSLFYQANDKATRIVLNTSRFVENLLYPNKDFLHMRKQIDGVTLRLAPTVFPGTVAVDSRKPYEYIISLSPLIMETSNNNEEAIVLAVLQGMARVWLWDGNGATPPVLLNGMVEYISSLAGFTLAEAWTSGGDATRLPEKNVVCWTDEQPRMVAWFLRYCDQRKQPGGGGGGGGEVIRRLNQKMRTGWHDWMMDEALGMAGQHACASYDILIRHHNLLSSM >cds-PLY62496.1 pep primary_assembly:Lsat_Salinas_v7:1:84258540:84258773:-1 gene:gene-LSAT_1X70241 transcript:rna-gnl|WGS:NBSK|LSAT_1X70241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKYIQVKLEDNVSGIKCPALTCDHSLEPLSCRPKIARQLFDKWCDVLYESAVLGHDLALTEIFRLWLSTSVVAKVI >cds-PLY96558.1 pep primary_assembly:Lsat_Salinas_v7:4:368587789:368589835:-1 gene:gene-LSAT_4X180921 transcript:rna-gnl|WGS:NBSK|LSAT_4X180921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQKMFNSEVEVGKFLGSIHVIQDAYRAISQTSSTYQLHTSPSGIKVLAFNCLSDYTIPFRKGEDLVSSDNHKVVEFISTKVNPKISINKAAVELFELHFNELSELSNQLINDQLVVTGCGLAGYLAILYTLLHQHYADLKESNGSKTAKRPICITFGSPLIGNQHLQGAISERPQWKSSFLNVVAKTDLLASFFSSTSQYKPFGTFLFCTESGGHTAFEDQDAILAVLDAMVSPNAGNYQMHDYSKELGSIRKTVLYRGGSKFKESNLTLLRAGIVFQFQEIGALNDISNDLIEKMEKKQTRMIIKSRNVYEPTKKLNDMKIILTYIEWYMKTRRLTGGYYDSYKNAESTNELKGKNEAIIHQLKLNQYWKKTVEENDLVPQKEGAKLRKRWLYAGTNYRRIVEPLDIADHYKRGKTNYMAIRPNHYKLLEKWSEEEKKGRKPSDPKTKAASLTEDSCFWAHVEEALISLRDLRNGDPNNIATDIEKFEVYVWHSIKDFSVSPEIFVEGSSFMKWWSEYKGYKGSSYDSVLARYMNDKNYISYN >cds-PLY70225.1 pep primary_assembly:Lsat_Salinas_v7:9:760917:764836:1 gene:gene-LSAT_9X1680 transcript:rna-gnl|WGS:NBSK|LSAT_9X1680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYALSRLEIDPDFSDSESSPVGDDNDTPRPSSENDLEHEVAQLTKLRSAPHKRLKQVHPRRPEFPVSPVKMLAGREGNYSGRGRFTAADRCHLLNKYLPVKGPSIVDQLTTRAYVSQFSDDGSLFVAAFQGSHIKIYNAEKGWKLQKNVHAKSLRWTITDTSLSPDKRFLVYATMSPIVNIVNIGSAATESHANITEIHEALEFSGDDEGGYSFGIFSVKFSNDGRELVAGSSDDSIYVYDVEANRLSLRIQAHTSDVNSVCFADEASHLIYSGSDDNLCKVWDRRCFRSKGKPAGILMGHLEGITFLDSRGDGRYFISNGKDQTIKLWDIRKMSSNATRSPGFRNYEWDYRWMDYPLRARDVKHPSDQSVSTYKGHSVLRTLIRCYFSPEYSTGQKYIYTGSHDSCVYVYDLVSGAQVARLVHHKSTVRDCSWHPHYPMLVSSSFDGDIAKWDFPAPGNGDNPLPVNNRRPRRRHFD >cds-PLY82345.1 pep primary_assembly:Lsat_Salinas_v7:5:312716147:312719142:-1 gene:gene-LSAT_5X170560 transcript:rna-gnl|WGS:NBSK|LSAT_5X170560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERRSWPKFGDAVQEDVGAKLTMVSTEEIIFERPRAPALLKQQGAFAKGQTKTAPVEEEVPPLLGENGKIEVWRIDGEEKTELPKEDIGKFYSGDCYICLYSYHSDEKKEDHYLCCWIGKDSIQEDQKTAVQQTTSMFNSMKCKPVQSGVPVKFSREGKESLAFWLALGGKQSYSSSKVTQEIIREPHLFERYARLVSKDFAPKWDIPNLDIPTSEPELNLPTALRELSCELYRFIIGMSE >cds-PLY77882.1 pep primary_assembly:Lsat_Salinas_v7:1:24843773:24846684:1 gene:gene-LSAT_1X21220 transcript:rna-gnl|WGS:NBSK|LSAT_1X21220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEFAFLDQHNRQLRSNYDGGGGGGGSGGRGVSPDSVIHSVESNLSLFSSSASDYASIERCSSTSDVLDHESFVSDMSLPGGFRGSSSARSSDPDPNKNSKVHDNGGPYLIGKRVEKAQAIKEYTDAETDGDNQSLYSARSSFSHTTKECQNRKSKTEILLRKPDRRRPASLDLNNQTMNTSSSSPRLGEAMKTSISAHRNGGMFPSPGTPNYPARTGFQNGWSSERVASHTRGNRIHSSFSLMPYNNGRTLPSKWEDAERWIISPVALKPSVQQPQRRPKSKSGPLGPPGSTCYSMYSPAISSFERENARNFVNESPLPNRVNANNNSLIQYQDGLERSVSVHGCSQVSNQSLLQITQDDKTSGSMDVSTNVSHDISRRDMATQMSPESSTYSSKRNSSSISNSILSVEDSQHVRSSKADIRDVQVDGKVTLTRWSKKSKTRIPGRWSDILDARSADWEALEMTKSLSKVKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDRIMNKLRTAQKKAQEMRESILSNQSYKVATSSHKAMSLIKTRSHIRSLSGCFTCPAF >cds-PLY66258.1 pep primary_assembly:Lsat_Salinas_v7:3:48063412:48065671:-1 gene:gene-LSAT_3X37921 transcript:rna-gnl|WGS:NBSK|LSAT_3X37921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFQTLNRRELQALCKLNKIPANVTNIAMVDALKSLETVEGIEEFLNPSRSETIGSSIESPERIQATSVRVPRTTCRTSTRQKANNSETESLHATASRATSRGVRRQLDGEVNEFLKTPMVSSIRKKGTTTSTHQETLKKETATTTTTTVQKAYSTRRSTRLTAKKSEGIEVIERERSEPIKLDSFLAEVKDLGNQSDENSKNEKEVVHVDITTLESGEKKVDCCGEVDDLKVKSDEIIEQHENVDLEDLKPDSEPCEDDDSEEKWVIIDEKIDLNEALNVSVKEQDANFMVPEEHTVKADDIDDNALSFNTKNPSDPSILKPESIPCVVSEMKNEESEYNGNLIHFNSEIPNEPLKLDNHIIDEVMIAEGIDENISENKVDFEETQESLMEEIDVDEVTHESFMKEDKKSSDNKENEENINEKEGDGDENVKKNLIDTSLRQLKKQLKALTIKSKDASCVTTTEMEN >cds-PLY70826.1 pep primary_assembly:Lsat_Salinas_v7:4:58518002:58521861:1 gene:gene-LSAT_4X40041 transcript:rna-gnl|WGS:NBSK|LSAT_4X40041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRVPLKAKILKENIIHILPFVCFSMAKKKELRVIRVIPILHANFGSQDFRINRCVDDGEPTIVYLWCIEGVLYCKVIQVPLVVDCLQPVVNIVPLQIPARYSAPINHLASASALNTAGKGQFLRRCNYPPVSNFHSPIMGSRHGQCMVNTHSANLPLGCNNFVVSHGHEDMQALQKVYLEALLAQHNQQYSSPLFGRSGSLNHLYGNPTYNHGIPYQGNLLENSTRSSVGSRQLSQQFAPAFRNSVGGVSGSWNPEGDMSLDRRYVSSLLDELKNNKNKSFELSDVVDHVIEFSTDQYGSRFIQQKLESATVEEKNIIFPEIVPHARSLMTDVFGNYVIQKFFEHGTKSQRRELAGQLIGHVLPLSLQMYGCRVIQKALEVVEVDQQTEMVAELDGSIMKCVRDQNGNHVIQKCIECVPQDRVQFIVSSFFGQVVSLSSHPYGCRVIQRVLEHCDD >cds-PLY69752.1 pep primary_assembly:Lsat_Salinas_v7:5:232106221:232106747:1 gene:gene-LSAT_5X117161 transcript:rna-gnl|WGS:NBSK|LSAT_5X117161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAILLLSMLSYTIARPTPTFKDVTPMESHHTDEEVAKAKTEENCSGPGEEECLMRRTLAAHLDYIYTMNKPTSK >cds-PLY68927.1 pep primary_assembly:Lsat_Salinas_v7:2:193389436:193390856:1 gene:gene-LSAT_2X114100 transcript:rna-gnl|WGS:NBSK|LSAT_2X114100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM14-1 [Source:Projected from Arabidopsis thaliana (AT2G35795) UniProtKB/Swiss-Prot;Acc:Q8RV04] MATPFIAGLAVAAAAMAGKYGIQAWNSFKTRPPRPRSRRFYEGGFQPTMTRREAALILGVREGAAAEKVREAHRRVMVANHPDAGGSHYLASKINEAKDVMLGKTKNTGSAF >cds-PLY98218.1 pep primary_assembly:Lsat_Salinas_v7:7:167786526:167788183:1 gene:gene-LSAT_7X99780 transcript:rna-gnl|WGS:NBSK|LSAT_7X99780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIYELFHYSLFPGLFVAFTYNKKEPPVFGAAPAFWCILLSFLGLPFRHIPNNLSNDNELTANSPFFYQISGTWSNHEGSILSWCRILSFYGFLLCYRGRPQSHNVSKRGGHRESLFYSFVSNFVKNSILSLPSYEQKTGATTQFPFLCAVFPKNFAPLGARRFCGSREGKRTHPLLHLARDDKERASSIDEQQIHGALGIALFFSPFVSASSDPFVRNFFVRTEPFAELNPVPQDPISDIHPPCIYAGDVASAMGFGLCRSKMMNGIVALHSPPMRKDDAEKNGRLFRSAACVGSRRTSKLFTLKLKHMGAKGYPALLLRSNRSLLMLLRRRFAFSSLWTGVLVDTGREQAKHVVRNGKKETTTSLLCWIAGTNIVVSDQDQEPIRILILTCRCFLTVGILPGSWWAHHELGWGGWWFRNPVENASFMPRVLATARIHSVILPLLHSWTSFLNIVTLPCCVSGTSSIRSRLLAPVHNFATYDTRGIFLWWFFLLMTGISMIIFSQMKQQASVHITYKKEMVVA >cds-PLY66623.1 pep primary_assembly:Lsat_Salinas_v7:3:64838285:64839999:-1 gene:gene-LSAT_3X49741 transcript:rna-gnl|WGS:NBSK|LSAT_3X49741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGMMFEALLKNQSLSFHSPWVPNSFHEEKSNKKKQPENEENSDDDYEKCFRQPEKKRRLTVDQVQFLERSFEEENKLEPERKIQLAKELNLQPRQVAIWFQNRRARCKTKQLEKDYEILNSSYDKLKSEFDCLQKHNDTLKHEVEMLKEKLQQTEKGEKDSIPNEISTKESDSNAQEPNPSPFSVKSWSQEPKLVICKQEYANSVSTKSDIIDSYSDGNHSSFLEPCDSSNVFENQSDFSQDEEDNLTILRCPKIEYESYIDPNEGSLGYPIEDQPFWLWP >cds-PLY72452.1 pep primary_assembly:Lsat_Salinas_v7:2:142073581:142079054:-1 gene:gene-LSAT_2X69721 transcript:rna-gnl|WGS:NBSK|LSAT_2X69721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKTDTLDPSGENQESQISHASVSEVISWSNESSNQENTTSGMIPAFTNGGFDCNKSLDQHDDCKMEEEGGTLIADHLFRPDGSLDCEKKSGGVSTMDEDEESSLDYMVCDSTSKLIPKGFLRSNCTDEVVLFVNAGAETTVELDSNINIVADKYFEGGDVFQTNESITEGGDVPFIYHSARLGDFQYLFNNLPEGNYFIDLHFVEMINTFGPKGMRVFNILADFDIFSVVGANKPLQLVDSRVSVKPGETIVIRFEGITGSPLVSGICIRRAPKLPDDVTQDFLKCQNCAADIEIPSVQKKVMRKKSVEKYEKRIQELTSQRQRKTDECYQAWMSLTAATKQLEKVHMELDKRLIHSSSLDQKMEKQSEQLRDISSRYEHDKKVWVAAVKELSHRITVLKQDHSQLSLQAHQCADSVPDLNNMVSAVQALVAQCEDLKVKYNQEQIKRRKLHNQLEDTKGNIRVFCRCRPPSKQETLTGWSTVVDFDAASNGELGVLNSGSTKKTFRFDRVFTPNDNQVDVFAHASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGIEGNRGVNYRTLEELFKIAKERVDSFTYDISVSVLEVYNEQIRDLLATPSSTSKKLEIKQASEGFHNVPGLVEAKVENIKEVWNVLQAGSSARVVGSNNVNEHSSRSHCMLSIMVKAKNLITNECTKSKLWLVDLAGSERVAKTDAQGERLKEAQNINRSLSALGDVISALANKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSEHDLSETLSSLNFATRVRGVELGPAKKQIDTSELQKIKIMLDKAKQESRLKDESLRKLEESLQNVEGKIKGKDQVYKNQVEKIKELETQIELKTGSYSQLEKQISNLSEKLKAKEEFNNGLQQKVKELENKLTEREELGTITYQQKVRDLEDKLKVQVKESKSYTMTLQEKIEELERKLKEQEQNSDSTTLLLKIKVLEEKLKDQERRLSIATITDSCSSMKTTTRDEGKHVGVVSRDKFVISHEAEQHVLRGSNLMNRQTAASLNRSKRNDSLGSNCGGEVRRKRVSRNSEVENVVDPQPPPRVRGGSSRVVKPAPVVAQRPVVHHGRPSSNPSQGVKDRDSKKRVWA >cds-PLY75048.1 pep primary_assembly:Lsat_Salinas_v7:2:68242534:68245778:1 gene:gene-LSAT_2X30521 transcript:rna-gnl|WGS:NBSK|LSAT_2X30521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDSTSSTLHFTQENHIPIVRDFKVFDGKHASLWLPTDYTSFLDHTLRLYLRPPEWQPQRKWNSTLFLSRGLQVEKTIKVSNIQYLKV >cds-PLY69957.1 pep primary_assembly:Lsat_Salinas_v7:4:67837924:67839734:1 gene:gene-LSAT_4X46261 transcript:rna-gnl|WGS:NBSK|LSAT_4X46261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPQQRKPHVSRNPDLIRGVGKYSRSQMYHKRGLWAIKAKNGGAFPKHEKKAIAAAPTEKPPKFYPADDVKKPLVNKRKARPTKLRASITPGTVLIILAGRFKGKRVVFLKQLTSGLLLVTGPFKINGVPLRRVNQSYVIATSTKVDISGVNVEKFDDKYFGKKTEKKKTKGEGEFFEAEKEEKSLLPQEKKDDQKSVDAALIKTIEAVPDLKSYLGARFSLKAGMKPHELVF >cds-PLY68884.1 pep primary_assembly:Lsat_Salinas_v7:2:193122373:193123140:1 gene:gene-LSAT_2X113720 transcript:rna-gnl|WGS:NBSK|LSAT_2X113720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSPEKTNIKRWPGMPLNQHLCSRISWGNKQVGALVTILKIWSGSRCLYGGKRDNKKMNFAFVRFKGVKDENCISVYKKMNFAFVRFNVIAGRFGRIVSSFNKLFTRRNYSMGKVGVLTSERKWINTEVNVVADGKTYKIGVVEYTDDWSPFLPAPFDKVEDESNEEEVVGVDDSSENVDEEDDISDTWMGDQDDDIEEGEIRLEPSHNNIQARYESEVGGWTDISTPIIVGNKETINVNSQNKDIPNEQTNG >cds-PLY79283.1 pep primary_assembly:Lsat_Salinas_v7:4:370797052:370797861:-1 gene:gene-LSAT_4X182601 transcript:rna-gnl|WGS:NBSK|LSAT_4X182601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKVSREFKQEQVHELSYVNEEDFEFSVELSDVELNSGKQIDLEDPQFVFPIFDNEKLTKDDAVEEIDIASSFRIQVQKLFVDDVEESSSSSSVEASELETRHSGIFCMWRSKPDVAYSPLTKSKKSSSTGSGLRRWRIWNLLRRNRSEGKASAFLLWHKKVETSKQKWNAKSDEINRVAGKLKTPSSPSFHELFYVQKRAEQKGDKMKTFLPYKQDLLGFFVKINRIGVSF >cds-PLY66657.1 pep primary_assembly:Lsat_Salinas_v7:1:52488652:52489703:1 gene:gene-LSAT_1X45900 transcript:rna-gnl|WGS:NBSK|LSAT_1X45900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPDRDTLFASYNHVKSTPSNFQKPSRLSMDTLQRTISDISFELSKEVDAVADELTLPPISEVEDAKCECCGMCEECTPEYIARVREKFLGKLICGLCSEAVKEEMEKCGGKIEEALNEHTRVCVRFNRFDRTNPVLFQAAAMKEMLKKSSRFDGSRAKSLSPRDHKRGYGNANKGGLTRSSSCIPSITKEMSDRKFVN >cds-PLY73897.1 pep primary_assembly:Lsat_Salinas_v7:3:39922589:39927211:1 gene:gene-LSAT_3X29780 transcript:rna-gnl|WGS:NBSK|LSAT_3X29780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDVRKTARNRIKPTTSSVSGEDHRELRNRKGLRRERGKDQLRILDVNFVLLGFTIVSSLVALFFLYHFVQFSDADDQLPRVITPFPAPKIMDLEMFQGDHKESLYWGTYRPQVYFGVRARTPESLVAGLMWLGIKDGRYFMRHVCQDADDLQTYGWNKHNGRDYGHQVLIDHGMTLTTSFLKSKVDGSGYGGDWAFRVGVQSEKLSEEMPDAAHLFFYLADEGENALSLGRSVTEIHEKSLLAFGSRSDVSDWELHLKSTDDFEIHHVGLKTPHIHNLSDMVQGILALQARNYGHLELPDTSEDSANILVYQISAKTPFKADIAFVTGTDIDDAEIQERVNSLTGTSLTSHLQKKENEFDDKFNKIFNPSDKLDFESMEVGKAAIGNLLGGIGYFYGQSKILFPQPSKHKSGGDSVLYWPAELYTAVPSRPFFPRGFLWDEGFHQLLIWRWDMHISLDIIGHWLDLMNIDGWIPREQILGAEALSKVPEEFVVQRPSNGNPPTLFLVLQDLVCGMMKNKFSATESKEISAFFERSFGRLEAWFQWFNTTQSGQHKSSYYWHGRKESQTIFELNPKTLSSGLDDYPRASHPSEEERHLDLRCWMLLAADCMHSISNQLINKQQSTNLYGDTANLLADFDLLNKIHFDKDYGAYFDYGNHTEKVRLRRQLVEKNGKPPSLELVRQVLEKPELRLVPHIGYVSLFPFIWKIIPSNSWILEKQLDIIYNNSTLWTDFGLRSLSKTSSMYMRRNTEHDPPYWRGPVWMNMNYLILSSLHHYSKEEGPYRERAELIYNELRGNLIRNVMNKYKETGYLWEQYDQKKGSGKGAHPFTGWTSLVVLIMAESYTQC >cds-PLY75131.1 pep primary_assembly:Lsat_Salinas_v7:4:62041897:62042103:-1 gene:gene-LSAT_4X41300 transcript:rna-gnl|WGS:NBSK|LSAT_4X41300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPCPNILNASIQHNQCFGNVTGLKLCANQIMKKINDMFPHRFILLVMLSLIGLVSLGFFTYFFIA >cds-PLY98755.1 pep primary_assembly:Lsat_Salinas_v7:1:8773786:8776501:-1 gene:gene-LSAT_1X8961 transcript:rna-gnl|WGS:NBSK|LSAT_1X8961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEPELSSSSSSFYIGSPMDTDTENSEQLYVHSISQAGRLLPSSSRWNSIEVDFNLFPHARDPSTYGSLPSRYSKSVDFKLTITNKTHFKRFVYAAGAIVFLILLVSLLLHFLLDNKNQHAAPKDLTLALQNALLFFDAQKSGVLPKDINMVKFRGDSGLEDGNSSLVGGFYDSGNNIKFSFPTAYTITLLSWSVIEYHQKYEDIGELDHIKNIIKWGSDYLLKLFIPSNQTSPGSSTLFSQVGSTSNSTSPENDLNCWQRPEDMRYSRPVFSCDDTASDLAGEIIAALSAASLVFKEDQKYSTSLTKTATELFSVVAKVEEDPGRGFIQGTYTMKDDCGGEARGFYNSTGYMDELVWGGTWLFFATGNTSYLRYATQHLVSAQNEELSIDKGIFYWNNKLTAIEVLLTRLRFFFDLGYPYEESFILSTNNVDSLMCSYLSPTTHKTQGGLIFLKPNDYGSLEYAATSSFLSKLCGISPYLRQVVKEINVLQPVEEKNLNDVNYILGDNPMGMSYMVGFGNNYPQHVHHRAASIPWDNQWHSCSEGSTWLNSEESNPNELLGAMVRGPDQNDMFLDDRHKPWFTEPTISSNAGLVAALVALHDPPRKSNDVGLLGIDNFGIFHNVHLITQRR >cds-PLY72391.1 pep primary_assembly:Lsat_Salinas_v7:4:147574686:147580589:-1 gene:gene-LSAT_4X91260 transcript:rna-gnl|WGS:NBSK|LSAT_4X91260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESSFEMDLSATDNRRRRPRHTTPAQPHQSPAFSFSSSHTSPHLPASRRTRGTRVPPTTPFASDTDKSWQAELSWQYEPTGFHDNRGSNLGAALTPWAEPTQYSVASTPGSRVFRRSANDYFLSRNAAAGGGAGGGGGGNFRSFTNPSYEHYSGHSRLPGRIELQSYVGKGNPNKNNPTLSKTATFADMVTTNTERTKGRLENGLSPKLSSPRKSYGYGEDSQKLSSPSKSYIDEFDEDDDDEDDDDEDEHVAPKPIGVFGLFKYSTKLDIFLVIIGSLGALVNGGSLPWYSFLFGKFVNKIALDDDNDQMMKDVKRICLFMVALSGLVVIGAYLHGAFYTSHMYLHLWLHSWIHKNSYKKAGGIAEQTISSIRTVFSFVAEQKLTDKYNMLLEESIPVGKKLGFAKGIGIGVIYLVTYSTWALAFWYGSILVSKHELSGGAAIACFFGVNVGGRGLALSLSYYAQFAQGTVAASRVFEVIDRIPAIDPYSSMGRRLSGGHGKIEFKNVSFAYPSRPTLPILNSLNLVIPSQRTLALVGASGAGKSTVFALLERFYDPNEGVVKLDGHDIRTLQVKWLRSQMSMVGQEPVLFANTILENIMMGKENATKKEAITACIASNAHKFITNLPQGYDTQVGDRGTQLSGGQKQRIALARAMIQDPKILLLDEPTSALDPESETLVQQAIDKISKNRTTMVIAHRLATVRNADRIVVMEHGSVIESGNHQQLMERKGAYFALINLASEGVSSNPNNGGQKRTTSAQDLLKSNHVQEISRSEYMQSLNEIDEVETEKPKGKKSGSYMISEVWKLQKPEGGMLFIGIILGMVAGAILSIFPLVLGQALNVYFDPDTEKLKRDVGYLCLILVGLGIAIILAMTGQQGFCGWAGTNLTKRVRNVLFHSILKQEPGWFDSDDNSTGILVSRLSIDCISFRSVLADRYSVLFMGMSSAAVGLGVSFYLQWRLALMATLLTPFTLGASYFTLLVNIGPKLDNGSYDTATRIASGAVSNIRTVATFATQEKIVQSFEQSLSNPKRTSVRRSQITGMALGFSQGAMYAAYTVILLFGAYLVKRGDTSFGDVYKIFLILVLSSFSVGQLAGLAPDTSAASTAIPAVFGVISRVPLIRGKGRKIESSKMFDVEFKTITFSYPSRPNVIVLRDFSLKVKGGTMVAVVGSSGSGKSTLIWLTQRFYDPIKGKVLMAGIDLRELDLKWLRSQTALVGQEPALFAGTIQENIGFGNPNASFAEIEEAAKEAYIHSFICGLPEGYDTEVGQSGAQLSGGQKQRLAIARAILKKSRILLLDEASSALDLESEKNVQEAFRKITKRTTTIVVAHRLSTIRDANVIAVVQEGRLTEYGSHDRLMTSHHDGVYASLVRAETEANAFA >cds-PLY81985.1 pep primary_assembly:Lsat_Salinas_v7:8:263279014:263279304:1 gene:gene-LSAT_8X152980 transcript:rna-gnl|WGS:NBSK|LSAT_8X152980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKTHCATAHHHHHHESSKKKHKETPHKLLDTPPYSNIYFMGDGFLRLSPRPESNVTVTNYYDEKKKYGFTQNEENIDKNVDAEAAYFIESRHKNF >cds-PLY71691.1 pep primary_assembly:Lsat_Salinas_v7:3:46839174:46840063:1 gene:gene-LSAT_3X36681 transcript:rna-gnl|WGS:NBSK|LSAT_3X36681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRQKVKLAFITNDSARKATYKKRKKGLMKKVNELSTLCGIDACAIIYSPYEAQPEIWPNNIGVQHVIARFKRIPEMERSKNMLNQESFIRKQVTKTNEQLKKQMKENREKEMTEVMYQCLTGKGSIENLTLPDLKDLGELVDQTLKDISRRIESLKIVSPNEAAAAAPPLPQAVVGGSSSSMVVDNVSGRDEMQRGTQTTQWFTDWMNNPSEQNLGLGPNRIWPHPFFPDC >cds-PLY80603.1 pep primary_assembly:Lsat_Salinas_v7:6:12491607:12495080:1 gene:gene-LSAT_6X10241 transcript:rna-gnl|WGS:NBSK|LSAT_6X10241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALIGTGAALTTPLFMGAAAVAAELPLLVGSSLQLVEPSNALSLPTWAIHVSSVVEWITAMILVWQYGEKSGYESWKGLSWGMEIRNPALCSDQTLLMPRALEEYMKSRIYSSTLAQSLSLVATLAALGSRIFFSRSRLGHLAVLHSYNRRSPSSRVELNARVGRRKSPFASAFKVQTTLLPIGTRQSTSSDFSGLTPLSFFRFFFFLHSPYYICLSC >cds-PLY98389.1 pep primary_assembly:Lsat_Salinas_v7:5:314450071:314452100:-1 gene:gene-LSAT_5X171460 transcript:rna-gnl|WGS:NBSK|LSAT_5X171460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVKVATGCFNWSQPSISHSSSSSSSSPQTLASAISSPSSKRRSLTDSTLVCRSSVFGKKLNRSQSCEILKLSTRQQPIRRIVSANLDSEFSDEEFSREIQELALRFQLADDDETDSSENNSVSESEPHNQNHFASLPVAWLGDMIPASIERKANSVELPFSLRIIQKKKQWEEGFREIGESAYCSMKKAFSSMVFIIRELQSYTLQMREVLFFEDLQGILVRVQKEMNASFVWLFQQVFSQTPTLMVYVMILLANYSVYSMSNNVAIASPPSPATIESVSTIEDRTDTNFDSPTVNTFSVNPGGKTTSIGGINGGGGKSRPVTSGTDGDGMFNESIEHQPIITDGASSSTVNPTRTGEESVSGQKTEMEEWQIWKSMVAEADKMQEVIGDGGLDHETMQRFVSPVTVKIEGEVDTEDYLKTELFYQIGLSKDPDNPLLLTNYAQFLYLFAHDHKRAEGYFKRASEIEPKDAESLRKYASFLWHVKKDLWAAEETFLESISVDPDNSVYTATYAHFLWTNGADDTCFPLDSPDNVSSDEF >cds-PLY65189.1 pep primary_assembly:Lsat_Salinas_v7:7:59576891:59579213:1 gene:gene-LSAT_7X45801 transcript:rna-gnl|WGS:NBSK|LSAT_7X45801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEEELQKRFTDCVYFLASPLTCKKGIECEYRHSEIARLNPRDCWYWLGGCCFNPDCAFRHPPLEGLKEAYLESSNLNNAPALPVEKTNIPCYFYSKGFCNKGEHCSFLHGSADVSLALKPSQPTSMVNNPAPCVEKLFTESNTRSTPVNIHPTPSEPPKDDHTNNVRTPTQDPNPKQERNTPDSQQERSESPDMSNSLDLLEEFVQSGSDVFNDQSSGFDVVVEGESERYEYEQDVEYFSVHEEEEGEEEPIEYDQTYPELGNGIVKERCDVYECLDKGESRKSIFYRLSFKKRNLQSEAPLFNGRKGHDLREHLKKRKDGFPFPYCFSQGYDRNFRRYPQRKAIPMNRYRKPQLMQQKRRFKEKDTIFTGPKTLDEIKEQKKNTLQRKDDFQGSRHLNERKYGFQGPRPFSEILKNKRKFG >cds-PLY98249.1 pep primary_assembly:Lsat_Salinas_v7:7:166627896:166640627:1 gene:gene-LSAT_7X98760 transcript:rna-gnl|WGS:NBSK|LSAT_7X98760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVVKVTMNTEKKARKALQIAVSLFGVESASFVGSDKDQIAVTGEGIDPVELTTLLRKGVGYTELLSVGPVEEKKPAAATVASLNFNPYQYYYGNYGMPYYAYAYEI >cds-PLY68441.1 pep primary_assembly:Lsat_Salinas_v7:2:58063412:58064440:1 gene:gene-LSAT_2X26520 transcript:rna-gnl|WGS:NBSK|LSAT_2X26520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTRETSNQIHDDASSSMTIKTDDVVPWSYLNHDVISLVMMQLGVIDFLAFSGVCKSWRSVARSNWKTFMTSKPPMLMLILPVGNNDRQCWLVDSEGKEFRTIIPHSAGWGCVGLTCGYLILLRWKTYDFWLVNPITRHELHFPPAPCVSDYVSKITSVLFISPSMSKLVFVILASNQIWFSIENEGGWNFVSSTFDFTFKDLHVFKGRIYSVSNKGHFCELTLEPEPKLTLLKTKSLLDEDVSFRELVSWGENIYVRENLMAYKLDFCEMEWVPFQYTGDENEVAFFLSDLSHGAAAKPESWGSEPGRYVVFEGGGKGRFFIAVQWYFPHECLNVNLLHDA >cds-PLY88012.1 pep primary_assembly:Lsat_Salinas_v7:MU040244.1:1137377:1141385:1 gene:gene-LSAT_0X1981 transcript:rna-gnl|WGS:NBSK|LSAT_0X1981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRISYFVRNMVTFTLLGAGVFLLLQAVVQCEFPATLRLERAFPANHPIELSHLRDGDSFRHQRILRGVTQLSVYGTYDPLVAGLYFTTITLGSPPKDYHVQIDTGSDVLWIGCKPCKECPTSSGLEIPVTLYDPSRSSTSSPISCSDERCSPSDKSNSCSHDWCTYNINYEDGSGTSGHYVSDLMHFEIFMDTESSINISASVVFGCSTSETGRLTKTKRPVDGILGLGQQGLSIMSQLSSQGIAPTSFSHCLADGGGLLVLGKAVVPNMVFTPLVKSKWHYNVNLESISVDGQTMSIDPSVFALNHDQTGTIIDSGTTLVYLTKEAYTPVVEAIKHAVSQSIQPLISKDYVCYSFPESYSFTTIFPTVSFNFAGGASMHLRPCDYLLRQISMSGARVWCMGILPSREEGITILGDLVLRDKVIVYDLGGQQIGWADRDCKFMYKIYIISSI >cds-PLY81030.1 pep primary_assembly:Lsat_Salinas_v7:9:177419873:177423707:1 gene:gene-LSAT_9X109161 transcript:rna-gnl|WGS:NBSK|LSAT_9X109161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSILRSILPIRSSKQDEDDDEFNPEYSFAMEYTGPPVSYDIPRAVPVDVAYIPTASVVSTPFTSGNLSLPVIHPVIKREPKSISSEIEDTSLVSGLIVPDTPPEGEGSSASLGFSDSQENSQEFSMSSEGDGLDNYCHEIVELCNSSQQDENQNESHEEISSYDNRTQVVTFGEPESISMVESETDYSQSQTGVVVQERRHASSQVKKGLCHRCLKGNRFTSKEFCIVCDAKYCSKCVLRVMGSMPEGRKCISCIGYPIDESNRGHIGKCSKVLKQLLTDFQIKQVMDFEEACQINQLPYWLVIVNGKPLSLEEVVQLRSCLYPPQRLRPGSYWYDKLSGYWGKEGHKPSQIITPQLSLGGLIMENASNGNTKVKINNRVITKTELKMLKFVGINYKDCTDFWLGPDGSVLEEGQKQVKGNMWEKPGIKMVCGILSLPMPPKSFMSSEDETVNNGSKIMQKNIDIKPLTKILLVGQDQSGTSAIYKQAKTLYKIPFLENEREELKSLIQRNLYLYISILLEGLIKFEEEYSIQIRNKLVDQPSTSGGSIENIYSLSTKLKNFSDWLLEIVKSGNLGTVFPAATREYSGVVEELWKDKAFQAAYARRSEVGAFPIVADYFLPRAVEISSANYEPSDVDILYADGISSSNGLTSMEFSVPSSFTNTYMEVTEHNHPPLQRYELIRVNSSNLGDNCKWLSMFEDVDLILYCVDLTSYDEFYIDNNLILKNKMLESKSLFKTMVTHPRFKDKAFLLILNKFDLLENKIKTRPLTECEWFHDFNPFISNHTNNNNNSNNNNDSCVAQYGFQYVAAKFKRLFGELTGRKLYVTSVTGLEADSVDVALRYGGEVLRWVDEENKPKSSNNEWSSESVETSS >cds-PLY88241.1 pep primary_assembly:Lsat_Salinas_v7:4:154726092:154729409:1 gene:gene-LSAT_4X93821 transcript:rna-gnl|WGS:NBSK|LSAT_4X93821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLQQVAPPRSSANGFNRRRGEKEMGIRFDNKSQSGKSNFNKTITTGLPIGNKGVVDNPSRERLVYFTTCLIGHQVDVQVTDGSVYSGIFHATNADSDFGIILKMACVTNTGSSQGQKNISDSVNKAPSKTLIIPAKDFVQVVAKGVTVTSDGLTNEVHLEKQQDIMTDSLISRSRHVDLERELEPWVPDDDNIELPELDNTFDRHWNRGWDQFETNAALFGVKSTFNEELYTTKLDRGPQMRELEKQALRIAREIEGEDTQDLHLAEERGIHFHSSFDLDEETKYSSVFRGVDDSGYDENEDIWDTENNETFGNVSDSIINNQLTHLKSGGSQAPSLVEGQASQTSTSRDFYPSSSLHNESRFLFVNILKYFDIFLAKEYILFLFLLGKKESSEKGLSADATAYAPSNNNKPHETVSCSEATVKTHEEATTTTTTQSLSSTSESGNAAPVSSPTSSMASEKSTLNPNAKEFRLNPNAKSFVPLQTPVRPPSPSPSPVAHAPEHSFYYPPPVSHMQPQPVMYGPQTTSYQPQQPYFNPNVPQYGQQMVLGQPRQMVYMPTYPPVTNSTQLFNIYVFKFGMIFSTFALMFLYLNIPK >cds-PLY72811.1 pep primary_assembly:Lsat_Salinas_v7:6:21865760:21865948:1 gene:gene-LSAT_6X15881 transcript:rna-gnl|WGS:NBSK|LSAT_6X15881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLELYVLFHGEDEFWYMNPSDSYVETNNLTTGRAHEAYREVLVAIDGQLVGSVVPFPVIFTD >cds-PLY92286.1 pep primary_assembly:Lsat_Salinas_v7:2:211258395:211260504:1 gene:gene-LSAT_2X132901 transcript:rna-gnl|WGS:NBSK|LSAT_2X132901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTGIASTSCVPMEISEAETLFNALKSCGILHGGNINPSKIAMGLEYEEARKESIELKSHLGSVRNQV >cds-PLY64311.1 pep primary_assembly:Lsat_Salinas_v7:4:26246352:26246747:1 gene:gene-LSAT_4X18840 transcript:rna-gnl|WGS:NBSK|LSAT_4X18840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLDYAHHHRSNMESPEAEDDVFYAELTRQILVLMDEDDETHAWRNRKSESPEFQGRSVVTSGNYFSWWEGGRNFEVPCWIERLWASNGAGTGVFIPRVVVAGKTRRRRQNKARRNVGGRTHSCAGHKTHG >cds-PLY74376.1 pep primary_assembly:Lsat_Salinas_v7:6:154004041:154009018:-1 gene:gene-LSAT_6X93261 transcript:rna-gnl|WGS:NBSK|LSAT_6X93261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVMGHGGDGGDELPHPFGGGFGDQQNDVVPPRRRAMTDVDVNAFLQNPTFETAIGDIIRSFKNQVNIEKNNDEEDEDEEA >cds-PLY91131.1 pep primary_assembly:Lsat_Salinas_v7:3:153394673:153394981:1 gene:gene-LSAT_3X95961 transcript:rna-gnl|WGS:NBSK|LSAT_3X95961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSTNFVFFTCLIFLPSIINLCEGFAISQCNGTMDECSTLMEEDEEFLMDTEEHRRILTGNTNIIYKSLQKPPICDPKHCEGLYNVRKDRGCVNYCNKGG >cds-PLY75683.1 pep primary_assembly:Lsat_Salinas_v7:8:247627209:247637718:-1 gene:gene-LSAT_8X146081 transcript:rna-gnl|WGS:NBSK|LSAT_8X146081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQGRTSSPVYPRKWSSESSATPITTAVSSSPVMSPGRYHHSRSSSTTGISNIKRNQNVAAKAAAQRLAQVMASQATDIDGDDDDDDDDLDFRFAPPSINRRPPVNANKVTTAKAAATATRPSIPSATSNNKITGSRSPSLVGRSIVEESQAPITLTGRPSKTNSMLPSSKTSLRTPIAIPPIDPPTNKQKDKRFQLEIGEINLKNAGDRREASALRDELDMLQEENDNVLEKLRFAEESCQDAEMRVKELEKQVAALGEGISLEAKLLSRKEAALRQREDALKEAKKAKDGVNEQVVSLRSQVKKAKDEGAGVVEQLEGIESEVKALQSMTQRMVLSQSEMEEVVLKRCWLARYWGLAVRHGICGDIAVSKHEYWSSLAPLPFEVVVSAGQKAKEECWEKGMRSKVVGDLNDLTSEGNIESMLSVEMGLKELSCLKVEDGIVLALAQLRRLNSARLTMSISDAKSSGDPKFMEAFDLEMCCVMFLAELSPEESEDVLFKEAWLTYFWSRAKGHGIEEETAKSRLQFWISRSAHSPTSHDAVDVEQGLMELRKLGIEHRLWEASRPGS >cds-PLY72739.1 pep primary_assembly:Lsat_Salinas_v7:4:372769554:372774068:1 gene:gene-LSAT_4X183381 transcript:rna-gnl|WGS:NBSK|LSAT_4X183381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ANTHESIS POMOTING FACTOR 1 [Source:Projected from Arabidopsis thaliana (AT5G14530) UniProtKB/Swiss-Prot;Acc:Q9LYK6] MRTTSVSELNDGIIRSMSIGALFSDFVGKINALDFHRTADLLVTTGEDDSVRLYDIANGKLLKTTHHKKHGADRICFTHHPSSVICSSTRNLDSGAESLRYLSMYDNRCLRYFKGHKERVVSLCTSPVDDSFMSGSLDHTVRIWDLRVNACQGILRLRGRPTVAYDQQGLVFAVSMEGGAIKLFDSRSYDKGPFDTFLVGGDMAEIWDIKFSNDGKSMLLTTKSNNIYVLDAYNGEKRCGFSVDASPNTTIESTFTPDGQYVISGSGDGTLNAWSIPTRSKVANWDSQIGVASCLKWAPRRVMFAAASVGVLTFWIPNDTI >cds-PLY89158.1 pep primary_assembly:Lsat_Salinas_v7:3:21257349:21257660:1 gene:gene-LSAT_3X15760 transcript:rna-gnl|WGS:NBSK|LSAT_3X15760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPSMPTSPNNHSKLQTGFKLYMILIATPFLFPHCFIYLIFVVISPLEISISSSTLHLVHRKVYMDRTTLLLGIAEEMISGPIGNALNHQFLIEACDSLPA >cds-PLY66529.1 pep primary_assembly:Lsat_Salinas_v7:4:341419223:341422060:1 gene:gene-LSAT_4X168721 transcript:rna-gnl|WGS:NBSK|LSAT_4X168721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNSPCAACKFLRRKCTQECVFAPYFPPDQPVKFANVHKVFGASNVAKILNELNATQREDAVNSLAYEAEARLRDPVYGCVGLISILQTRLKQVQTDLLIAKQELCTYIGGQSPAMLPCLNPGFIQQYQNMLPSPATVAAMASGGPGMHPNLQQMLTLQGPGNLREAHHQQQQLYEAHERQMVEAAAREQEMLRNLVHQQRVQQQQLQQQHQSTDLMRFNSGFDAAGPSGQPAVNPNGYTQLTGPATMSPSLALGGAYDNNMYQIQQQHHRQPQPEHEQHHQLQHQNHQQPPHEHHQQLQLLPHHLMLQHQPQPQSQPPQQPAAQPHHGDGSEEGGPSC >cds-PLY98672.1 pep primary_assembly:Lsat_Salinas_v7:5:303437460:303438665:1 gene:gene-LSAT_5X163060 transcript:rna-gnl|WGS:NBSK|LSAT_5X163060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFRDEQKPLFRAKVPLNILGFPFQSGIVAGESKELSLNLSTFFESGPSLKVAYRPNDSINPFSLVFKTGIGHFGSPISSSLNMSAEFNLIGGSQNPSFFVHFKPQFGDFTIKKVQSSVFKKQINGVSGAAEEDAPVIVDLFENGKKFSNSPLESPAAGLVKSALSDVEMTATTTVPLRKNAMLNFRWGVWLPEAEDSAMMLMKKNNNSMPGISFQKLPVLIINKIGIEHKSGADCKDSSKVGPATTDAAEAFLGVKKQLEIIQTENVGLKKAMDNLKSEFSAVRFNGGDVNARFCGKAMENEIVNEESKKNGFKGISDN >cds-PLY61948.1 pep primary_assembly:Lsat_Salinas_v7:5:159579223:159579441:-1 gene:gene-LSAT_5X69240 transcript:rna-gnl|WGS:NBSK|LSAT_5X69240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVARCCSSAVAFDNGVFTPDLRSLKFKGGSEAKNLGYPTFQRQKASDDDDGMRQVAEVGVKGGVGFCVLGRV >cds-PLY73944.1 pep primary_assembly:Lsat_Salinas_v7:5:36142691:36143785:-1 gene:gene-LSAT_5X17841 transcript:rna-gnl|WGS:NBSK|LSAT_5X17841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARRRENYHQFSSTSFPPPFLLTTMANSSLLPLIILLLSSAIIAKKSQHPPALSLNYYAKSCPRFHEILQNVVVPKQTATPTTAAATLRLFFHDCMVGGCDASVLISSNAYNKAERDMDINESLAGDGFDIVARVKTALEIECPGVVSCSDILAVATRDLVIQVGGPHYEVKLGRKDGLESKASNVEGRLGRANMTMDDIIRIFEGHGYTIRELVVLMGGGHTIGFAHCKEFESRLFGPKPDPSVHPKLAERLKAMCANSSSDPTISAFLDPISAGNFDNMIFKNLLNGLGVLGTDQAMASDPRTRPFVEEYARDPVVFFRDFARAMEKTSVYQVKTGKQGEVRRRCDAFNNLQMGKSKTTQNI >cds-PLY94405.1 pep primary_assembly:Lsat_Salinas_v7:6:9145292:9146804:-1 gene:gene-LSAT_6X6400 transcript:rna-gnl|WGS:NBSK|LSAT_6X6400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDKPKSIHDFTIKDAYGNNVDLGIYKGKVLLVINVASKCGLTNSNYDELNQLYAKYKDEGLEILAFPCNQFGEEEPGSNEQILEFVCTRYKSEFPIFDKIEVNGDNAAPVYKFLKSGKWGIFGDDIQWNFAKFLVDKNGQPVERYYPTTSPLTIERDVQKLVGALDASS >cds-PLY92836.1 pep primary_assembly:Lsat_Salinas_v7:5:328996170:328999217:1 gene:gene-LSAT_5X181901 transcript:rna-gnl|WGS:NBSK|LSAT_5X181901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIDGECPIELIGSCRNKVQVYRSVRMPQLHTSLHFHLTPIVMINGSSRRDLLLNSQNFCRSIPAGAENPSLSRLCYRRLWGSRNRRALILGWAYYLDAFSSYPLRTWLPSVYRGHDNWYTRGCSILLLRLAARRLYCSPTTPFSRFRLLPFRSPLLRESLLLSFPLATKMFQFARLSLACPWIQQQFERLTYSGISGSMLIFNSPKHFVAYYALPRLWVPRYPP >cds-PLY95276.1 pep primary_assembly:Lsat_Salinas_v7:5:99945902:99950761:1 gene:gene-LSAT_5X47521 transcript:rna-gnl|WGS:NBSK|LSAT_5X47521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFVGAMAIADLVKTTLGPKGMDKILQSTGRGHSVTVTNDGATILKSLHIDNPAAKVLIDISKVQDDEVGDGTTSVVVLAGELLREAEKLVATKIHPMTIISGYRMAADCARNALLQRVVDNKEDAEKFRSDLMKIAKTTLSSKILSQDKEHFATLAVDAVMRLKGSTNLESIQIIKKAGGSLKDSFLDEGFILDKKIGLGQPKRIENANILVANTAMDTDKVKIYGARVRVDSMAKVAQIEGAEKEKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVEMGQACTIVLRGASFHVLDEAERSLHDALCVLSQTVNDSRVLLGGGWPEMVMAKAVDELARKTPGKKSHAIDAFSRALLAIPTTIADNAGLDSAELIAQLRAEHHKENSNAGIDVITGSVGDMSVLGISEAFKVKQGVLLSATEAAEMILRVDEIITCAPRRREDRM >cds-PLY68637.1 pep primary_assembly:Lsat_Salinas_v7:7:131468826:131470137:1 gene:gene-LSAT_7X78341 transcript:rna-gnl|WGS:NBSK|LSAT_7X78341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSATNPNGDHNYHNHSHSHLPPPPSHNHHHRYNQRHYYPHSSSSSASIRGCCCCLILLFSFLALVVLTVVLVIVLAVKPKKPQFDLQQVGVQYINLATSPVNTPSTASLSLAIRMLFTAKNDNKVGIKYGVSTFNIMYRGIPLGRGTVPGFYQPAHSVRRVQTTVTVDRVNLLQADAASLVRDASLNDRVELRILGDVNAKIRILGLTSPSVQASIDCAIAISPRKQALTYKQCGFDGLQV >cds-PLY63486.1 pep primary_assembly:Lsat_Salinas_v7:9:168045994:168050864:-1 gene:gene-LSAT_9X104581 transcript:rna-gnl|WGS:NBSK|LSAT_9X104581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 90-6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G07770) UniProtKB/Swiss-Prot;Acc:F4JFN3] MHRLSRRSVNSLLRYGGHLRRDAVAGASIGSFNINESSGERNANDRWYSVSSTATSSGPASTKPLKLGNMLSTGIRYESTVSDFQTPDPPVEKYEYQAEVSRLMDLIVNSLYSNKEVFLRELISNASDALDKLRFLSVTDQQLLEGASELDIRIKTDQENGIITIQDSGIGMTHQELVDSLGTIAHSGTAKFLKALKDSKEAGSDSNLIGQFGVGFYSAFLVADKVVVSTKSPKSDKQYVWEGEANSDSYIIREETDPEKFISRGSCITLHLKRDDKGFAHPEKIERLIKNYSQFVSFPIYTWQEKGYTKEIEVDEDPSESKKDETDDNVAKKKKTKKVVEKYWEWDLTNETQPIWLRNPKEVTTEEYNEFYKKTFNEYVEPLASSHFTTEGEVEFRSILYVPAVTPMGKEDIANPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRVVRIMRKRLVRKAFDMILGISMSENREDYEKFWENFGKHLKLGCIEDRENHKRLAPLLRFFSSQSEEEMISLDEYVENMKPEQKDIYYIAADSVTSAKNTPFLERLYEKDLEVLFLVDPIDEVAVTNLKSYKEKNFVDISKEDLDIGDKNEEKEKEMKQEFGHVCDWMKKRLGDKVASVQISNRLKASPCVLVSGKFGWSANMERLMKAQTVGDSSSLDFMRSRRVFEINPEHPIIQTLTEACRSNAEDDEAMRAIDLLYDTALISSGFTPESPSHFGGKIYEMMNMALSNKWKLPETQEAEVVVSEPQQTLEAEVVEPVQTTSQK >cds-PLY65878.1 pep primary_assembly:Lsat_Salinas_v7:4:86162365:86162766:1 gene:gene-LSAT_4X56681 transcript:rna-gnl|WGS:NBSK|LSAT_4X56681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKNASLFVKTATVFSLFLMLIVLSESRFTLIDGVQKAKSALVCSHVVGVEAGDDCTIISKEFGMSLASFLAINPNINCESVFVGQWVCIDGSA >cds-PLY71560.1 pep primary_assembly:Lsat_Salinas_v7:3:53325085:53326195:-1 gene:gene-LSAT_3X39080 transcript:rna-gnl|WGS:NBSK|LSAT_3X39080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCFDKNGIKKGAWSKEEDNKLRAYIQIYGHSNWRELPKLAGLSRCGKSCRLRWMNYLRPNMKRGNFTKEEEDVIVGLHKKLGNKWSTMAAQLPGRSDNEIKNHWHAHLKNQVRKDQTIEQFETLEPFKATPRGCQQVKKPNLKTPQEVEILLAVLTSESPSSSSTSESSQCSLSVSDYEVPCDDATPQFSEPAGNLWFDQLFLPDNNGVALSSETMFSPFGLTDDNLISKTSFPDHIMDDVHLWSTIDLYL >cds-PLY93537.1 pep primary_assembly:Lsat_Salinas_v7:5:103659463:103660809:-1 gene:gene-LSAT_5X45400 transcript:rna-gnl|WGS:NBSK|LSAT_5X45400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGFATLCFFAPPVTFSTNQSHNSVIFNDSHHRMVSRGSMRTRRKSFALGNDSLGDFGARDPFPAEIETNFSDRVGLLDTEHRILIPNVAAMSLAQQECTPITHLQSPISEDDAQQLLRKVIGWRLVNQDGKLKLQCLWKLRDFECGVELINRIFTVVKSTGHLPNLHLEPPNQVRAELWTSSIGGLSMNDFIVAAKIDDIKTSDLVPRKRAWA >cds-PLY94170.1 pep primary_assembly:Lsat_Salinas_v7:5:35283625:35285605:-1 gene:gene-LSAT_5X17220 transcript:rna-gnl|WGS:NBSK|LSAT_5X17220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVDNAIAAEVRLDFGRQLRGRHFFGRIVVLSILYAVLYPFLWAWSVVGAVWFAGARNCLPEKNQKWGFLVWLLFSFCGLICLAGNFGKKWLTRRQAHLRRAPHGTRISEYRVLLNMIRQPDWVFETAGQEMRGIDQDAAPYSPGHDLSESQRTAVETSIQRLPVFILKAVPTDCSDCPICLEEFHVGQGVRGLPCAHNFHVACIDKWLRVNMKCPRCRCYVFPNLNLSNPLTTSVDSVTHHHVRIQPSSYLVRMQSFLQPVREEDGQLFTSSSPSSSSSSESPNPAATGFEYVENGSEMCDHVLAMGEHVQ >cds-PLY73222.1 pep primary_assembly:Lsat_Salinas_v7:8:178597543:178602193:-1 gene:gene-LSAT_8X115881 transcript:rna-gnl|WGS:NBSK|LSAT_8X115881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNPIGMKRIAMASTSSVGISVLPLSQLKPITIQVPCKERVISHRFSTTRNPSYSAREFHGLISKKMTFITPRCSNSSTPDGVTDDSSTKSKVPFGYSRKDVLFIGLGVTFFGIGLKSGLEFFGVDPLQAGNVVQLVLVLGLTVGWISTYIFRVSNKEMTYAQQLRDYEMKVMEKRLEGLTEAELQALLEQVEEEKRLPRE >cds-PLY68354.1 pep primary_assembly:Lsat_Salinas_v7:4:191972309:191980980:-1 gene:gene-LSAT_4X110201 transcript:rna-gnl|WGS:NBSK|LSAT_4X110201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSASKDVELETTPINNNNKLHINDSMTSIMSYVGRNVALETTPINNKNKSHINDFMTSVMSSTDIDVELETTPINNNNKLHINDLMTSMSSCSSSGSSCFVDDEELLQFEARCKELRIEKDMLKESSYELIRASLIAIHLIDYLQDQVNTRDTEINHMTDYIQILESKLKAKDNLDGIMRSLEQDLKACNLEKLVLLEKLENKEQELHDVALEYECDIESMNLDLMAMENSFLEAKELQEEVVQEHCKMQEMTKNYKLQMHEYELLVSQLKEQLRDEKFKAKEEAEDLAQEMAELRYELTGLLEEEYKRRACIEQRALQRITELEAQIEKERRKSFADVRGLPNA >cds-PLY89519.1 pep primary_assembly:Lsat_Salinas_v7:4:159459198:159460227:1 gene:gene-LSAT_4X95900 transcript:rna-gnl|WGS:NBSK|LSAT_4X95900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHQDPSTYSLPMTMNPQFPEHEHLDCPRCESSNTKFCYYNNYNLSQPRHYCKNCRRYWTKGGTLRNIPIGGGTRKTNKRSSNSSKRPVSSSSPDSPVTTPPPQPPPPPPPAHLKPEPLPIFGFGNNQSKINGESFNSLLRLNQGAQFGGFVDGLKPNMGDEAREDRLVGTPVAELFRRDYLSMNNDHNQSGSGGGRGESSSCDGGNGWPNLSIFTPGSDFH >cds-PLY92822.1 pep primary_assembly:Lsat_Salinas_v7:2:2843901:2844107:1 gene:gene-LSAT_2X1980 transcript:rna-gnl|WGS:NBSK|LSAT_2X1980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERDNGGRLSESLLCFSFWSYQQHFEISTFFNCLVYFLCGGKQLLNDFMFLFRFSSVFRFRITCVHHR >cds-PLY71207.1 pep primary_assembly:Lsat_Salinas_v7:3:120440478:120441622:1 gene:gene-LSAT_3X85321 transcript:rna-gnl|WGS:NBSK|LSAT_3X85321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDLKAIKKWVRQILNGLHYLHSQNPPVIHRDLKCDNIFVNGNNGKIKIGDFGLAIVMQQPTAKSVIAQIYKKVTSGIKPGSHSKVGDSELKAFIEKCLVPATERSYAGKLLEDPFLKEATPRSLNFMMIYQSGLLKENANGSKYWAYGGDFGDTPNDLNFCLNGLIWPNRTTYPALNGDYSX >cds-PLY75506.1 pep primary_assembly:Lsat_Salinas_v7:9:34142894:34146546:-1 gene:gene-LSAT_9X30381 transcript:rna-gnl|WGS:NBSK|LSAT_9X30381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVIEVNTVIEVNTMKYGMGIGKDVGGGGDGSRSAAVVVMTGNGGGGGNYVSGGDGGGSGGGGASDGLVVVVMVIVGDNSEDLGVKVALSMLRFYKREISPLMPKSCRYVPTCSEYSMIAYKKYGVAKGSILTAWRLCRCNPLGGSGFDPPRWFDEPSPPEQ >cds-PLY81529.1 pep primary_assembly:Lsat_Salinas_v7:2:126889867:126892448:-1 gene:gene-LSAT_2X59361 transcript:rna-gnl|WGS:NBSK|LSAT_2X59361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIFTFLCSRVDHRLVYPLSDPSHRLKISLVNVISLFFHKPPIAFSPLLFLRRPIISGEQLDIKGIAVPKEAVVFAASAVAGAAAKRFRELRSPTIKALQRAVFYFKEPIRCLLIGSTWSFHTCNSYEIVQVITAYKTSSIVWPIPVFSSFTPNQAILDAFIIGGTRELINAAYGLVRQGIEDSKDESFSSHAIKAFKLLNESPSAQNVGASIASDPNVWNVVLKNEALVEFLDNHQSNGKGSSTDESESKSESGKGFMDYVKDIKLKVTVTVVDMMNTLSDTFQTLFGGAPKGEFTVNPDGTAEISMEKTAIGATLMGLAIMVITVVITKRS >cds-PLY88453.1 pep primary_assembly:Lsat_Salinas_v7:8:87143622:87144237:-1 gene:gene-LSAT_8X60761 transcript:rna-gnl|WGS:NBSK|LSAT_8X60761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANTLDIRVGKILKAWRHEEADSLYVEEVDIGEPEPRIICSGLVKYIPLEQLQDKNVVVLANLKPRNMRGVKSSGMLMAASDVSHENVELLEPPEGVTLGERIWFGGEDDKEKLPEAASPNQIAKKKIWEQVQPHLSTTASCVATLGGMHLMLTSSGSVIVVYSFDLLTLLLLVL >cds-PLY70052.1 pep primary_assembly:Lsat_Salinas_v7:5:201619098:201619646:1 gene:gene-LSAT_5X90621 transcript:rna-gnl|WGS:NBSK|LSAT_5X90621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADAIDFSKTQRIVLFVDLNPFLSQFPNPNYITSIFATSNILLSFPPLSSSLFSFKLFFSSLLSLDSVSTLRHLLPSYSYASVSFNSPSQTLDSLSHTLNSISSTKLTYYPSNASHIASSLLQLTRDYSWEFDIENIPGKIHYDPVDVRSNLVILLSPVCKSLKSLAEFVSVDFDNDCFMKI >cds-PLY77444.1 pep primary_assembly:Lsat_Salinas_v7:4:310122555:310124590:1 gene:gene-LSAT_4X157561 transcript:rna-gnl|WGS:NBSK|LSAT_4X157561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHLLGLLRIHVHKGVNLAVRDVCSSDPYVVIRMGKQKLKTRVVKNNINPVWDEALTLSVAEPLPVKLEVYDRDTFSLDDKMGDAVFDIQPFLEAIKMRLNNLPNGTIITTVKPTRTNCLSEESQIIWTDGKAVQKMVLRLQNVECGEIEIQLSWIDIPGSRGLK >cds-PLY94429.1 pep primary_assembly:Lsat_Salinas_v7:6:8580382:8581930:1 gene:gene-LSAT_6X5441 transcript:rna-gnl|WGS:NBSK|LSAT_6X5441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKTIAAMTVGKDVSSLFTDVLNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDTQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLDALKDLISDNNPMVVANAVAALAEIQDNSSTPIFEITSQTLSKLLTALNECTE >cds-PLY79351.1 pep primary_assembly:Lsat_Salinas_v7:9:54482965:54489974:-1 gene:gene-LSAT_9X49380 transcript:rna-gnl|WGS:NBSK|LSAT_9X49380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTCTTLVITLLAITVITVKCQITTPCTLSMITGFTPCVNYLTGSSANGRSPSASCCDAMESLMTTSMDCTCLIVTGNVPFSLPNPINQGLAISLPQACNSESMALQCKGPMLFAPPPPKAIAPIADSPEYPPSPSVLGMIAMTPTETTMEVMIIRGKDRGESGTVKRVIRSQNRVIVEGKNLVKKHIKQGQGHEGGIFTVEAPLHVSNVQIVDPVTKQPCKVGIRYQEDGSKVRVSRGIGASGSIIPRPEILKIRATPRPTIAGPKDTPMGLVVEKTYDVKTGKGMPDL >cds-PLY68807.1 pep primary_assembly:Lsat_Salinas_v7:3:62929248:62930324:-1 gene:gene-LSAT_3X49500 transcript:rna-gnl|WGS:NBSK|LSAT_3X49500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKSRVLPATDTLVLFFRGKQIWKEILEDLLPKGMRFANKALLSGCSAGGLASYLHCNNFTSYLPNTTTVKCLGDVGFFMDSLQTRYHHEPHPKNFLSASCHSK >cds-PLY64555.1 pep primary_assembly:Lsat_Salinas_v7:6:35296871:35299571:1 gene:gene-LSAT_6X24641 transcript:rna-gnl|WGS:NBSK|LSAT_6X24641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGYRGRTLCHQLFRSILFGLFSVYIYYNITGIRPATQLQQQRGLGLFKRKSVMLQRTLICLTIMSKIKLYMLELMIMAWQFAQRSRVRKLQYIVELEKNVQALQAEGSEVSAEVEFLNQQSLILGMENKALKQRLESLAQEQLIKYSVVEVTNY >cds-PLY64604.1 pep primary_assembly:Lsat_Salinas_v7:6:37315885:37316064:1 gene:gene-LSAT_6X26840 transcript:rna-gnl|WGS:NBSK|LSAT_6X26840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGYQDPKEVCPEIKPAHGSSSKLSDTVNSVFGGGSSSEAENHARFEHNQSEEPTVRRQ >cds-PLY93239.1 pep primary_assembly:Lsat_Salinas_v7:6:158514344:158515393:-1 gene:gene-LSAT_6X96780 transcript:rna-gnl|WGS:NBSK|LSAT_6X96780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSCNRSFNKQTEFIQSCYFNHTRISKQRTGAPGVGFTPHVITVKAGEGLSVVKEYKIHLGKVHMLGKGYISPQETNTTTNKPSKKKPHLPD >cds-PLY88451.1 pep primary_assembly:Lsat_Salinas_v7:8:86020898:86031124:1 gene:gene-LSAT_8X59441 transcript:rna-gnl|WGS:NBSK|LSAT_8X59441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRQVAVNLNFARLTQTLRIHHQRPSQVAEFMLSGLNAMRNNEKVKGERRGFVAPLLTGNAQEGKKPGLG >cds-PLY83144.1 pep primary_assembly:Lsat_Salinas_v7:3:95429638:95430588:-1 gene:gene-LSAT_3X71080 transcript:rna-gnl|WGS:NBSK|LSAT_3X71080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTTSRVVKISKVFSDILVCPLSKQPLRVCEKSNSLISDAIGVSFPIVDGIPCLVPKDGKIIETPGDVDSLNTKRDMQD >cds-PLY91881.1 pep primary_assembly:Lsat_Salinas_v7:8:200331701:200332311:1 gene:gene-LSAT_8X128001 transcript:rna-gnl|WGS:NBSK|LSAT_8X128001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKATAESYTITAALITTIMFAAAITVPGGNNQEKGIPIFSHAKAFTIFAISDAISLFASVTSLLMFLSILTARFAEQDFLFKLPSKLIIGLAMLFISTTSMVVAFGATLYLVFGHDNSRILTPIAVFTCLPITAFVTLQFRLVIDLISTTYGLSIFGKKRNSVLY >cds-PLY95382.1 pep primary_assembly:Lsat_Salinas_v7:9:188299919:188302024:-1 gene:gene-LSAT_9X115840 transcript:rna-gnl|WGS:NBSK|LSAT_9X115840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSTHHVLKFLISPFIKKLLHALYVKNSTGVCPTTRKPLVAAIDGFAFGDGLEITLNCKSESKTTSEAKVEPKSTVKGLGKGLLKEIKKKSDDKSNKIEQEITSNNKSDDIEEMKKVDEEKEIIWRKLLPEEAYLRLKESDTGLHLKPRKVNSVCVIGPGLIAITTTFILANFHVIFKEDDENSLEVALGEIKANLHSHLMVGKMTKEKLERNVSLLKGVLSYDSFKHVDLVVEVTRIIRAGVY >cds-PLY87713.1 pep primary_assembly:Lsat_Salinas_v7:1:4842725:4848408:1 gene:gene-LSAT_1X3400 transcript:rna-gnl|WGS:NBSK|LSAT_1X3400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVALETLEAGKQQVSDPIFCGSNSDSASALSAELAVPLKLDVSRNMQLEVWVHDSSLKPYCSTSIRVVRKKLLNDASKDTNSEGIMCLSWALVHSRQPNDVQRGIAMLEASIGNTNSPFKESSKCGVDQVAIQIGMTFELEIKNVFTGMSSSDYEPNEDFDEEIEIIEQPPPLNPVIIDFGQEQEPQHLNPNPDAMFDLWVENMYYSDLANWLEREIKIKYRRIGTIVVDKGAYIKHVVEEFLKGVATYVPKLRVWMTMRPRLVTHLATETNLMTYGLWLRHRYPIQQNL >cds-PLY76529.1 pep primary_assembly:Lsat_Salinas_v7:5:13161587:13163141:1 gene:gene-LSAT_5X7280 transcript:rna-gnl|WGS:NBSK|LSAT_5X7280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGRDWTQIYAIYGMDDWQTPVFLLIHAIFFSASSVLFLIYFDWICSSFESILPAVFSTGFARFAAGFTGSVTALSGVCLFYAAGNIFYSSVALRWDMAQRMVGAVHDWSTVKTALDVGCGRGILLNTVAMQLKKEGSSGRVVGLDRKNTTLSTLRTAGMEGVQEYVTCREGDARRLPFPDNYFDVVVSAGFLHTVGKEFGQKTAAAAAERMRVLGEVVRVLKGGEIGVIWDLIHVPEYVQRLQELKMEDIRVSERVTAFMVSSHIVSFRKPCQHVVGPSEVRLDWRFNNIC >cds-PLY70324.1 pep primary_assembly:Lsat_Salinas_v7:4:99424838:99431106:-1 gene:gene-LSAT_4X65340 transcript:rna-gnl|WGS:NBSK|LSAT_4X65340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKKFDDLKNDNLATKTYVLDTTQSLQRDVRPLNLHPQELSASQEPFDDVYLDNLARSIQHPDGERSRKTRKLNEESKGQNDNPIRQVVTLEELAENKENGKLKATQTETKKEGNSIKPAKTKSKKADEHVLTDTRVKYLKDQLIRGRLYLSLSATRTNTQFIKELRLRMKELQRALGDATKDADLPKNANEKLKAMEQTLAKGKQIQDDCTAVVKKLRAIIHSTEEQLRVHKKQALFLTHLTAKTVPKGLHCLPLRLSTEYYSLNSSAQQFPNQQNLHDPNLFHYALFSDNVLATAVVVNSTVSNAKDPSKHVFHIVTDRLNYAAMRMWFLANPAGEATIQVENIEEFTWLNASYSPVLKQLGSQNMIDYYFKTRKSESDSNLKFRNPKYLSIMNHLRFYLAEIFPKLSKVVFLDDDIVVQKDLSGLWSIDLKGKVIGAVETCGENFHRFDRYLNFSNPLIAKNFDPRACGWAYGMNVFDLEEWRKQNITQVYHSWQKLNNERQLWKLGTLPPGLITFWKRVYPLERTWHVLGLGYNPSVSQREIEKAAVIHYNGNLKPWLEIGIPKFRGYWNRFLDYDQAYMRDCNMSP >cds-PLY67780.1 pep primary_assembly:Lsat_Salinas_v7:9:166334698:166336263:-1 gene:gene-LSAT_9X102560 transcript:rna-gnl|WGS:NBSK|LSAT_9X102560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMKEETEGGNQKKQTIIDEISDRLINGELPTKIQAAMEIRSLIRNRNSPGKIRAKFAGVGVIQPLVLMLCSQHHDAREASLLALLNLASRNERNKEQIVTCGAISPLVNLLKFQNTSKIRELATAAILTLSSAPPNKPAIAASGAIPLLVQILSSGTIQGRVDAVTTLHNLSTSKQEPTILLDSTAAPPLINLLKECKKYSKFADKTTALIEIISECPEGRLAITNSEDGILTLVETIEDGSVISKEHAVGALLRLCQSSRSKYRELILNEGAMPGVLRLTVDGSKEGRMKAMTLLDLLRDSPPEKRTSPSVLEKMVQDVDGSGKTTETAKIAKGFLTSCIKLGSRNTKDELMR >cds-PLY75391.1 pep primary_assembly:Lsat_Salinas_v7:6:180257885:180258208:1 gene:gene-LSAT_6X110480 transcript:rna-gnl|WGS:NBSK|LSAT_6X110480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSELDPSESQLTNNTTMAEIHGIPSHPRLEPDMSTNIGSDVNEERRNLWMVANREFARRSRQRKMRHLEELREELNRLRLENQHLKNRLTWLVHQCRIQGHSKHI >cds-PLY71238.1 pep primary_assembly:Lsat_Salinas_v7:6:19311589:19314113:1 gene:gene-LSAT_6X14741 transcript:rna-gnl|WGS:NBSK|LSAT_6X14741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKHEVKSFKELGLVEQLIEACDRLGWKTPSKIQAEAIPHALEGKDLIGLAQTGSGKTGAFALPILQALLEAAAAAAPPVQHSFFACVLSPTRELAIQIAEQFEALGSSISLKTAVLVGGVDHVQQSIILGKRPHIVVATPGRLVDHLSNTKGFSLRTIKYLVLDEADRLLNDDFEKSLDEILNSIPRERRTYLFSATMTKKVRKLQRACLRNPVKMEVACKYSTVDTLKQQLRFVPAKHKDCYLLYILLEKSESTSMVFTRTCEATRLLALMLCNLGLNATPISGQMTQTKRLENLSKFKAGICTILICTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYIQIEKLIGKKLPEFEVQEEEVLLFLERVTEAKRLSLMSIKEAGGHKRRREEDVGHKFHGKNNKSKRR >cds-PLY92749.1 pep primary_assembly:Lsat_Salinas_v7:8:66756626:66760885:-1 gene:gene-LSAT_8X47141 transcript:rna-gnl|WGS:NBSK|LSAT_8X47141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSPSAMAFSSQLWKYHVFLSFRGEDTRKTFVDHLYTALVQQGIYTYKDDETLPRGESIAPSLEKAIEESQIAVIIFSKNYADSSWCLDELVHIMKCKDTRDQIVMPIFYDVDPSEVRKQKRKYGEAFAKHELENQTKVKSWRKALVDASNHSGWEPQHIANGHESKCIKKIVDTISHRLYPVTSSVDDNLVGVEARMQDLISMIQIGFGGKRMIGIWGVGGGGKTTLASSVYDEISSKFDGCCFLKNIREESSNKNGLEKMQAEILSGVLKQKLVQVGRVEEGRRTIKDRLHHRKVLIVLDDVDNLEHLEQLAGSHDWFGEGSRIIITTRDEHILTGHKVDVIHNISLLNNDEAMKLFCKHAPRGHNPIEDYELLSKDVVSYACGLPLALRILGRFLCDKDMNEWRSALARLKEIPDANILEKLKISFDGLTPVERELFLDIACFFRGHDYKDEKMLMILDACGFHPVIGIKVLIQKALITISNKRFSMHDLVQEMAHYIVRGGHPNNPEKHSRVWKREDVLNICAMDAMEVIANMKKLRWIDVSFGLASTLPENFPPRELCCLIFSGLKETQIWEGYKYLPNLRTIKLDLLLNLTKTPNFDGLRNLERFMIRGCGKLIEIHPSFGHLEKLVYVVIDGCFNLQMLPPITRSKKLETLELSKCSRLFNSSRIQQSMENVEHLPEPFLPHNINHIGLRFFNRCLRKLDLSGCFLGDGDISSTGFWEFPNLQELDLHGNKFSRLNFSRIPRLKCLNVKYCFHLVELLELPSSIAVVIEDDCNSLESFGDISNCKWLWKFSLWGNNKLGPLDGDILLNTMLQGNAKDYFMSINLSNIDIWMGTSVVWIDWVKTYNMLLPHNWYNHFSGILMFAKCNNRYQRFNINIKQGVDEDFQSEFWQESNQTLESSFHDTYVGYVSFSSLRRTGYLNSTYNMISFSMGDSLLLDRAQNRFRARVVLIPKDDPMQTAAVATNCSEFWDKEVNDRKTFTLQHDSNSSIKISWFPWI >cds-PLY69772.1 pep primary_assembly:Lsat_Salinas_v7:5:232827122:232828119:-1 gene:gene-LSAT_5X114261 transcript:rna-gnl|WGS:NBSK|LSAT_5X114261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELESVTSMLGDWNSFNGVNVGDEAYFMSQLLDKFSVPNESQNSSPFEVSSTFWPNYEVDHETWVHLPQDNDLSASSKKRSSSMADVYENVEKRKCRKSQKLVSNNNKDEGDNDAVFGQTMKIYGSDEDSNWSQDSISPRPKQVQILKTRASRGTATDPQSVYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVEYVKFLQLQIKLLSSDDMWMYAPIAYNGMDIGLDLKTISSLSPS >cds-PLY70731.1 pep primary_assembly:Lsat_Salinas_v7:8:166084340:166085005:1 gene:gene-LSAT_8X108981 transcript:rna-gnl|WGS:NBSK|LSAT_8X108981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVFMKIDLHFTRIFTRYPSITYADGAEQRFDDVDFVGMDKKEFVEFLERFTCEICVNVYFCMLDIIFPDGLRLIANDMYYMELIEVGHASDCVIDVYMGHLGVNVHKWILEEQAEVGSSLDQLYGANEDHEEVHSRMDMDDGINMQDLHGGMDDILGPNEDLQGEQDDGIHIEVESEPDECIPMNKTKDDEFMSKLCPKEQVTPTVHLVKIHMIHHKKMR >cds-PLY91656.1 pep primary_assembly:Lsat_Salinas_v7:8:11885674:11886784:-1 gene:gene-LSAT_8X8041 transcript:rna-gnl|WGS:NBSK|LSAT_8X8041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADQETTENPTATRIKQSISVPFLWEEKPGTPKPGWKRVNPIMQLPVKLIASIPFTWEEKPGTPLLTPFSNTKIIMPSSSPRLHHHSRNNPFCDSDSDLEHQELHVSEPELGIIYSSPKHHKNNPFCDSSDDELEETEEFEHGEMAESKSDNSGYLQAPSSPAWETESMASSCATGTTTLAGSSFLECMFPLLTPKAGFLETVGGSVNRAPPTNSCTQIVSYAAESKPLTLGELIMMSRRRTYLQKVVESHQSNHSTELMMRNGFGCCIDVGGLQKLKRQLQLKLI >cds-PLY87203.1 pep primary_assembly:Lsat_Salinas_v7:4:308880502:308882118:1 gene:gene-LSAT_4X155001 transcript:rna-gnl|WGS:NBSK|LSAT_4X155001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRREVEDVAELMHRIQSRLPVEEAARTCVLSKSWLHAWSTIPTLRFRVCNEQKGSMKLMDVEHTLIRYLRDNIPIERFDLKIDIENQELVSHAAKWIQSVATKTSLKEISLSIFPSGVCALFTLPNEILLGENLTKLRILASRGIRSVCMTTSHLPVIKCVSLRELHLNCVCISEEALNNILSSCSFLVTIELSKIYSDSCKGFKTIKVINLPRLYKLRIGLDGWQSTSLEIRDVPNLGVFSYQLFHKVGFPDPNSLPFNSNAHSISLGSNVTQLMLGGVIAGNAGLNMIKSGFHFLESLTLHLTSWMLGSFHFTCASIKRLSLQSCPESLIDVQVHAPKLLFFDFGGDMLPSLLFPDSSLRHIELSLALHLPVDADFFLKMREALTLSRNCDLRIITKNNSKLPFDIDIEDLRRRLLFPPATNVKELEFETDEDECMWERSTFFDAFFEICHPKLVYAKPDMAYRDNNHFCRLMMREVLETTTGSAFWHRHLKRVQIRRHKKWKTLTNSERSFLDRDMYFKLKWRELSSLFGLGVV >cds-PLY72036.1 pep primary_assembly:Lsat_Salinas_v7:2:204613107:204614770:1 gene:gene-LSAT_2X125321 transcript:rna-gnl|WGS:NBSK|LSAT_2X125321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAPSPFSSSSFMFASSPLEIKLLFSNPNNRKLQFNLNLTPTNLKPDTISCKATQNEIDETPPSKSRQSSTTHKVEDYNTAMKRMMRNPYEYHHDLGMNYNLITENLIVGSQPQKAEDIDHLKNEQNVAYILNLQQDSDIAYWGIDLESIVKRCKQLGIRHMRRPARDFDPDSLRSMLPKAVSSLEWAISEGKGRVYVHCTAGLGRAPAVSIAYMFWFLDMDLNTAYDKLTTIRPCGPNKKAIRGATYDLAKNDPWKEPFENLPEDAFGNVAEWERKLIQNRVHDLRGT >cds-PLY85327.1 pep primary_assembly:Lsat_Salinas_v7:8:277757667:277762730:-1 gene:gene-LSAT_8X158281 transcript:rna-gnl|WGS:NBSK|LSAT_8X158281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLVSAWNKRRRSKSDDHLDPWVYRPVEYWKLENEQKIQNPPQKKHHGSSVFTLKEMEEATCSFSEEHLVGKGGFGKVYKGKLQSGEVVAIKKMELPPFKAAEGEREFRVEVDILSRLDHPNLVSLIGYCADGKHRFLVYEYMHKGNLQDHLNGIGEKKMDWAVRLKVAIGAARGLAYLHSSSAVGIPVVHRDFKSTNILLGDDYEAKISDFGLAKLMPEGQETHVTARVLGTFGYFDPEYTSTGKLTLQSDVYAFGVVLLELLTGRRAVDLNQGPNDQNLVLQVRHILNDKKRLRKVIDPEMSRSSYTIESVAMFANLASRCVRVDSYERPSMETCVKELQIIIYTNSKGLGMAMHAFRML >cds-PLY67280.1 pep primary_assembly:Lsat_Salinas_v7:5:135764981:135765439:1 gene:gene-LSAT_5X58860 transcript:rna-gnl|WGS:NBSK|LSAT_5X58860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMDENPATHLTKARALTRIEPGNSKNTKANFVSELRTLLLSSSISLRIGCFPAKPSLSSTYPKWLDRTPQFAALDRRLHPPIPSPPTALSR >cds-PLY78149.1 pep primary_assembly:Lsat_Salinas_v7:4:97016480:97019221:-1 gene:gene-LSAT_4X61921 transcript:rna-gnl|WGS:NBSK|LSAT_4X61921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISEAKTLVLHGKPDDKVKLIHEKIQAATGIPMVEQRLIYRGRQLQWDYSLAECKINNDAGLHLVGRMRSTEHPQAWQLIDDLVSTIYRLCKGEKGLCLKSVKSRLTEFLNMTAKYDIGDDQPAPHLNIFQSLCAPAALMMLYMSPHAGNKECAQESIRHLLNSSKHTLSRPIYSQCAPIALEFCKLLCRVSGRSDPLYEDCRSSLGSMVEYLRIGRSSRNYDNSSNKPVIITVQDILPYVAELANNLTEGLISSVEAIDDVCPSPSDVLEFASFLRPLKAAIKDQTEFEGIIPIPSKSTSTLPCGSDEIKLLYMIFIELFEKVQMCLDKIESYLATEKKGYFGWDSYLSILKELRSISKLYQGAEDFFWENLKRNKVSLCYLIVKYAKRGEDYKWILGHKDVIDFESRRHLVLMLLPEMKDEYDELHEMLIDRSQLLAESFEYIARVDPDTLRGGLFMEFKNEEATGPGVLREWFFLVCQEIFNPQNALFVACPNDRRRFFPNPASKVDPLHLEYFNFAGRIIALALMHKIQVGIAFDRSFFSQLSGNTISLEDIKDADPYLYSSCKQILDMDPTTVDEDALGLTFVREIEELGSRKVVELFADGKNIVVNSRNRKEYVDLLIEHRFVTSVSEQVSYFAKGFTDIAGEEKIRKLFFKSLECEDLDGMLYGSESIISVEDWKKHTEYYGYKETDPQISWLWEIIEEMTGNQRKVLLFFWTSLKHLPIEGFGGLASKLYIYKTNDSIELLPSSHTCFYRICFPSYPSLDIMRERLNIITQDHVGCSFGTW >cds-PLY79499.1 pep primary_assembly:Lsat_Salinas_v7:1:34817871:34819920:1 gene:gene-LSAT_1X32261 transcript:rna-gnl|WGS:NBSK|LSAT_1X32261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDNNEGNEFNNLNSFKALLEVEEDNNNNNNWYLPHHNHHESNLDFPPNSPLLFQNFDPTHAQYFLPQKVTVSDNPLDAGYDVETGFLGMHRGNDGLSEFGALPLVSQTQMLIPHLTSSDPQFATTQFGFPSVGPFENLQPTLFEKRAALRRNLNDTNGGGGGNLGVLVDVDNMSFDDSGLNYDSDELTENTNNNGIQIGGGESSNPNSTTSGGGGGGNRKGKRKGFPAKNLMAERRRRKKLNDRLYLLRSVVPNISKMDRASILGDAIEYLKNLLQKVSDLNQELESIPSSSSSMVPAAATGFHPLTPPAATIPSCIKEEGFPTATLSPTGQPIRIEVKQREGRAMNIHMFCSRRPGLLLSVTRTLDNLGLDIQQAVISCFNGFALDVFKAEQCNEGQELQPDQIKAMLLESAGYHGVA >cds-PLY71617.1 pep primary_assembly:Lsat_Salinas_v7:9:135012113:135015307:1 gene:gene-LSAT_9X87481 transcript:rna-gnl|WGS:NBSK|LSAT_9X87481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFPELPPLKMHRRSRNPLKNLFFNAFTKTHFISRFNNSHQSYTNRSSISSYCTSLQLTSLRPKSHSPIKKKNVPRKRDKEKLRRYSESLRDCAANRSLNEGKSIHKQIKESDIELDSHLWVSLINFYAKCGCLSDARQVLDEMPQKDVVSFTALISGFVGEGSGTEALQLFREMHEEGIKPNEFTLAIVLKACCMSLNVSFGKQLHSEIVKAGFFSDGHVGSALVDLYAKCGELEYAEKVCLFLPEQNPVSWNSLLNGFALVGDDQRALNLFCKMKETEMKFNKYTLCTILKGCTISKNLKAGKLVHGMAIVSGCEHEEYVSCSLVDMYSKCGKSDDALKVFSQIKSPDVVTWSAIISCLEQQGKEEKATELFSMMMSSGIRPNQFTFTSIITAAKELGDLQYSQSLHACVHKYGFANETLVNNALITMYMKNKSLDDGLRIFNTTNHQDLVSWNAVLSGFHDTESSHGSRIFKEILVNGFKPNIYTFISALHSCVTSEFGKQVHCHVIKENLDSDCYIGTALIDMYVKSKSIQDAEKIVNRMNEIDLFTWTTIISGCAQINQGEKSILYFNQMNKDGVKPNEFTLAACLRGCSGITSLTNGKKIHSFVIKDGFVNDPFVGSALLDMYGKCGSIDEAEMIFEEMESNDTVLWNTIINQYSQHGYGDKALKVFEVMLTKGISLDGVTFIGILSACSHLGLIKKGREYFHSMSKDHGITPSIDHYALMVDILGRGGKFNEVESFIDEMKLTHNSLILETLLGACKVHGNLELGEKVAKKLFEIEPEVDSNYIMLSNIFASKGMWNEVAKIRAKMSSQGIKKEPGCSWVDLDHGGQTHVFLSQDGSHFQILEIHQKLKELEEKLFSMGYIPNLDYVLHNVSEREKREILSHHSERLALGFSLINKNSNKVVRIFKNLRICGDCHEYMKLVSRIINKDIVIRDAKRFHCFKDGLCSCQDYW >cds-PLY99562.1 pep primary_assembly:Lsat_Salinas_v7:7:189692894:189693792:-1 gene:gene-LSAT_7X112301 transcript:rna-gnl|WGS:NBSK|LSAT_7X112301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKDDIKYGTAQAKLSEDETLRVAYKSGTPLEGGKIADSEPVDLFSAAHNIANQQQNKGMIAESEPVDLFSAARCVSNANKTDESTASRQPSAN >cds-PLY71295.1 pep primary_assembly:Lsat_Salinas_v7:3:192867934:192868794:1 gene:gene-LSAT_3X114621 transcript:rna-gnl|WGS:NBSK|LSAT_3X114621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTMKGRAELYNIDDGTTKNYLSAGLTQLPFIYFFASFIYLCFLGFWILLCFKNQQCFHRAHLLMGGLLVINFVLFICLAADLYCVKVTGTPHGLDVLFYIFQLIRTVLLFTMIVLISTGWCFWKPLLEGKEKLVLMIVILLQVWANVFNILAWKVAGPYNKDWIEWIQDSVGVDITCCFVIFVPIVWSSKWLKENSENDGNTAMNGVRPSDNDDDKAAKLAAWKSSDPSCFDILSIV >cds-PLY65071.1 pep primary_assembly:Lsat_Salinas_v7:1:118345864:118346109:-1 gene:gene-LSAT_1X90760 transcript:rna-gnl|WGS:NBSK|LSAT_1X90760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPPSSELLRQPLSPHLPSSHRNPFPRAPLPFEAPSSVVASLGIVVVPAPLPEPMCTVEMTAKIDDRAKNVAARFVSDQKR >cds-PLY68143.1 pep primary_assembly:Lsat_Salinas_v7:8:232426766:232426939:1 gene:gene-LSAT_8X140760 transcript:rna-gnl|WGS:NBSK|LSAT_8X140760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRVLDLSWNMLNSSVPIMPKLLELDLSYDRFKRFEHVGIWRQCHLKQLSSSYKL >cds-PLY65676.1 pep primary_assembly:Lsat_Salinas_v7:5:272692316:272693082:-1 gene:gene-LSAT_5X143240 transcript:rna-gnl|WGS:NBSK|LSAT_5X143240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVEGNKQTILSNDQKNTTYKKSNPKLTLLPLIALIFYEVSGGPFGVEDSVKSGGGALLSLLGFLIFSIFWSIPEALITAELATSFPENGGYVIWISSAFGPFWGFQEGFWKWFSGVMDNALYPVLFLDYLKQSLPIFNQLYARIPTLLAITILLTHLNYRGNKQEQGQGRGQLKRDITRLVGGDVGGSGSDQYSKFRPHFDPVMNQNVNRLGSWTPFGRREMGFPSRAKRTRK >cds-PLY78879.1 pep primary_assembly:Lsat_Salinas_v7:5:308496074:308496667:-1 gene:gene-LSAT_5X167341 transcript:rna-gnl|WGS:NBSK|LSAT_5X167341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAARGKAPARAQNVEPTIPRFWDIKATENFSKSLPRKVASTKFVCKPMLISSGVLEGVTQLFCNIGWEGLLNLMEHAYKLPNREFLADCGLDNERKKVALQLMGERAYIDFARINDILGLPSSNTFMTFNSLPAEFNHETFWTEIIGGIFSCAGSYKETSIIHPCLYIAYHILVCTVFSCKEARQVTKIVHDTA >cds-PLY92766.1 pep primary_assembly:Lsat_Salinas_v7:8:66830475:66832149:-1 gene:gene-LSAT_8X47321 transcript:rna-gnl|WGS:NBSK|LSAT_8X47321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDPVETPSGHSFERYAIEKWLAEGNNGCSITKTPLKASGLRTNKTLRQSMEEWRDRNTMIFIGSMKSRILSNEEEEVIVSLGKLRVLCLERELHQEWLMMEDYLPVLVSLLSTKNFKVRSHVLVILRILATNNDDRKETIAKTHDGIKLIVCSLARKIKESKLALQFLMELSENEVARNIIGSSQVSQSPPGSNIRKTLRKVSFPIFCLSPLITSSIGAHLRSISSSDLFGSSTGSVMRARKPSRPIAVKLFCGLIKDGSENDETFQEHVGPKCVETLLRIITASDKVEEGVASMEVISNLPKNPQTT >cds-PLY94306.1 pep primary_assembly:Lsat_Salinas_v7:7:164804181:164805012:1 gene:gene-LSAT_7X96821 transcript:rna-gnl|WGS:NBSK|LSAT_7X96821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANIAISTSSSSYSATSLATKLNIPPKPSSFRSICPPRANSNRRFKLHAKLGGEDAEVSKDGKKKFITKEQEPEQYWQTAGEREGENPMMTPLPYIIIFGMSTPFVILAIGFLNGWIKVPIR >cds-PLY90573.1 pep primary_assembly:Lsat_Salinas_v7:6:53936151:53943600:1 gene:gene-LSAT_6X40560 transcript:rna-gnl|WGS:NBSK|LSAT_6X40560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of histone chaperone HIRA [Source:Projected from Arabidopsis thaliana (AT3G44530) TAIR;Acc:AT3G44530] MIAEKPNWVKHEGMQIFSIDIQPGGLRFATGGGDHKVRIWNMKYVAKILHLDPDKSKLELLATLRDHFGSVNCVRWAKHGRYIASGSDDQVIQIHERKPGSGTTEFGSGEAPDVENWKIASTLRGHTADVVDLNWSPDDSTLASGSLDNTIHIWDVTNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWSKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWTATFDFLGHNAPIIVVKFNHSMFKRNPTNTLDGKATSGGWANGFSKNNGKESQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFSQSVVDLSWSPDGYSLFACSLDGTVATFHFEVKELGHRLTEIELEELKKSRYGDVIIRQSNLAESPAQLLLEAASKKVTGATTNHNTSTIKSSSSPIIPSKASESLHELDNTNTNTTTNTNTNNININISSSTIKPSPRVSSPVKQREYRRPDGRKRIIPEAVRVPENIPQTVDFSTKSTENNGVLIHDSGFREGPPSKRAMVGVGVGVGPVDVRERSGVAARATVSESLVIEKVSPLNDKDGNISVEQIGGVKKLGSLKGISIRVFSKEGGESPHVCLEARPKEHAPNDLVGVGMSFTMKETEISCTKNGQMIWSDRISGNVTVLAGNANFWAVGCEDGSLQVYTKCGRRSMPTMMMGSTPVFIDCDESWKLLLVTRKGALYVWDLFNRKCILHDSLTSLMASDPKSTGTVKVISAKLSKSGSPLVTLATRHAFMFDMNLMCWLRVADDCFPASNFASSFNLGFPQNGELAALQVDVRKFLARKPGWSRVTDDGVQTRAHLESQLASALSLKSPNEYRQCLLSYIRFLAREADESRLREVCENFLGPPTGMAESATNSSWDPCVLGMKKHKLLREDILPAMASNRKVQRLLNEFMDLLSEYKTTTTTTNLQPPTTTTTGNTNSPQPLPDQTATEPPMTNQVAQDSGSQPMDEEQPGNNSNPAASDQMDVDPPGIEKTDAVLIPTPVADEMAS >cds-PLY87060.1 pep primary_assembly:Lsat_Salinas_v7:5:258241088:258250635:1 gene:gene-LSAT_5X133301 transcript:rna-gnl|WGS:NBSK|LSAT_5X133301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDKYKSNRSIGLGSIRGSSSSRTGIDVFSKSSREENDEEALKWAALEKLPTFDRLKKGLLFGSTGPNEIDIDNLGVPERKRLLDRLVNAADEDNEKFLLKLRKRIDRVGINLPTIEVKYEHLTVEADINTGSRALPSFINFYIDIAEAFLSFLHLIRNTKRHITILDDVSGIIKPGRMTLLLGPPSSGKTTLLLALAGSLSKELQSTGKVTYNGHELHEFVPERTSAYISQNDVHIGEMTVRETLAFSARCQGIGSRYEMLAELSRREKSANIKPDPDIDIYMKAWNISIKERNFIVTDYTLKILGLDMCADTMVGDNMIRGISGGQRKRVTTGEMIVGPSKVLLMDEISTGLDSSTTFQIVNSLKQYVQILEGTAIISLLQPAPETYNLFDDIVVLSDGKIVYQGPSEYVLEFFESLGFKCPERKAVADFLQEVTSKKDQKQYWTRRHEAYKFVTVKEFAQAYESFHVGRRLVSELAIPFDKSKSHPAALTDKKFGLSKKELLKACLDREILLIKRNSFVYLFKLFQLTVMAVITMTMYFRTDMHRHGIEDGGLYVGALFFSVTTLMFNGMAENAMTIAKLPVFYKQRDFLFYPTWAYAIPTWIVKIPISFGEAAIWSILTYYVIGFDPNIWRFLKYYLLLVLVNQMASALFRFIASVGRNNIIANTFGTFALVILFALCGFVLSRDDVKKWWIWGYWSSPMMYAMNGIVVNEFLGHKFQKPFGNSTLGRIILTSRGMFAETYWYWIGFGALFGFMIIFNLCYTLFLEYLNPYKKIRADTLEHEEQDARAVELSPTIDDRNQNKKRGMVLPFEPHCITFDNIKYSVVMPQEVKEQGVSEDRLVLLKSLSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGIIEGDVRISGYPKKQETFARISGYCEQNDIHSPHVTVYESLIYSAWLRLAPSVHESTRKMFIDEVMELVELNPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTIVCTIHQPSIDIFEAFDELFLMKRGGREIYVGPIGHQSCNLIKYFEAIDRVIKIKDGYNPATWVLEVTTSSQELALGVDFTEIYKSSDLYNRNKALIAELSEPHSGSNDLHFPTQYSQSFFTQCWACLWKQRCSYWRNATYTAIRFTFTTMTALLFGSMFWDLGGKRKTAQDLSNAMGSMYTSVLFIGYLNMSSVQPVVDIERTVFYRERAAGMYSALPYAFAQVLVEIPYVFSQSVVYGLIVYAMIGYDWTAAKFFWFFFFMFCCLLYMTFFGMMTVAVTPNAETAAIIGAAFISLWNLFSGFIIPRPVRTFLIFFPL >cds-PLY93262.1 pep primary_assembly:Lsat_Salinas_v7:6:158789532:158791818:-1 gene:gene-LSAT_6X97181 transcript:rna-gnl|WGS:NBSK|LSAT_6X97181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STAY-GREEN LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G44000) UniProtKB/Swiss-Prot;Acc:Q94AQ9] MVSMASRNLSLTSLHRNLYNSFSCSLNPSRRHSPNSGFLPDTRPSYNTLVYQAVKLLGPPATFYPSKLQVVFKGEETDQFTRILPRTYNLSHCDFTANLTLTISNVIHHDQLRGWYNKDDVVARWAEVKGHMCLDVHCYVSGPNSLLDLVAEFRYYIFAKELPLVLEAVLYGDRVLFSQHKELMDAFVRVFFHSSSRKYNRVECWGPLKDAAKGRVGDQMQGLFGGNMESSTPPSNNGLPKSVFQILATFLL >cds-PLY90368.1 pep primary_assembly:Lsat_Salinas_v7:2:198328660:198331293:1 gene:gene-LSAT_2X119101 transcript:rna-gnl|WGS:NBSK|LSAT_2X119101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGILGWSTMLELTVTVAVICGLGLIVAIVIETFRRRLNHNHLEAHPIFEDPNSLKQVECPYIYDPAEKYISLIIPAYNEELRLPAALDETMNYLQERAGKDNSFSYEVIVVDDGSSDGTKRVAFDFVRKYKVDNVRVVLLGKNQGKGEAIRKGMLHSRGKLLLMLDADGATKVDDMEKLEKQILAVAKMKPDEDVADIPIVAFGSRAHLEKKALATRKWYRNFLMKGFHVVVLLAAGPGVRDTQCGFKMFTRAAARKLFTNIRLKRWCFDVELVYLSKYFGIPIIEISVTWSEIPGSKVSPLSIVNMVWEMGLMSVGYRAGIWKIHKTRES >cds-PLY77191.1 pep primary_assembly:Lsat_Salinas_v7:8:26538199:26540050:1 gene:gene-LSAT_8X21421 transcript:rna-gnl|WGS:NBSK|LSAT_8X21421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQDDLLFPMLTVEETLMFAAEFRLPRTFSKSKKKLRVQALIDQLGLRNAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAYMVVKVLQRIAQSGSIVMMSVHQPSYRLLGLLDRLLFLSRGQPVYNGSPSELPLFFSDFGRPIPDKENRTEFALDLIRELEGTPGGTKRLVEFNKTWLNRKQSLNGNETPTHGLTLKEAISASISRGKLVSGAGAHNGTNPTAMVPTFANPMWMEMAVLSKRSFTNSRRMPELFGIRLAAVTITGFILATVFWNLDNSPRGVQERLGFFAFAMSTTFYTCADALPVFLQERYIFMRETAYNAYRRSSYVLSHSLVSIPSLIFLSLAFAAITFWAVGLAGGVSGFIFYFFVILASFWAGSSFVTFLSGVVPQVMLGYTIVVAILAYFLLFSGFFITRDRIPGYWIWFHYLSLVKYPYEAVLQNEFDDPIKCFIRGTQIFDNSPLAAVDNTVKAKLLQSMSGSLGINISGSTCLTTGVDILKQQGITDLSKWGCLWVTVAWGFFFRVLFYLCLLVGSKNKRR >cds-PLY65182.1 pep primary_assembly:Lsat_Salinas_v7:7:59617268:59619024:1 gene:gene-LSAT_7X45721 transcript:rna-gnl|WGS:NBSK|LSAT_7X45721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVYKLDTGNHQPEPHYHPTTPPPATITKKSISRTLGSLLWRSKTYREKNHHHTPDKEDTKEMVQESSRKSVPAIDSGRRSVPATSDGTKLVSVIEKGRKTVSGIGEGRKPAWGTEVGMVAGRRSAENRAEMVMVNVGELAVLFQVKVFVTDMPAFMQVHAFRCARKTLDSLEKFTPKQIAFNMKKVIKFVPHLNIK >cds-PLY95039.1 pep primary_assembly:Lsat_Salinas_v7:5:227928333:227930900:-1 gene:gene-LSAT_5X108081 transcript:rna-gnl|WGS:NBSK|LSAT_5X108081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIEKTADNGSSGGSGGDGSRSEMELQSLAHVGMKKMSQSELYSLSRCSSSAFDIHSTENVVIPNIDSDHPSTIPFITTTTTPPSKIYAFSHRRGHHRRISASLNDDADPQLTENRFILNFLEKLEAEGGVNDSNASRDMVPVADNEGGVQKRKRKRKSKAAEENSEEFEVLGVINVNGEEIDLKFLARGADGAFEAELNRMTEGMVREDEFLGFLKGLKGRWGSSRKRRRYVEAADFVKALPSNWKILLSLRPRARRPSLYCRRFVSPSDMHFKSCKEVAFYLKSQFATNDVNPIEGTMCQVDLGSVARIPKPSIVEIGANDLHTIRRLDSDTLTKKWSTVENSLEQKRVVAQKVTKQTISFSLCSKYINDYIA >cds-PLY94232.1 pep primary_assembly:Lsat_Salinas_v7:8:229637554:229641288:1 gene:gene-LSAT_8X140221 transcript:rna-gnl|WGS:NBSK|LSAT_8X140221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLPASKCSSHYQFYRTSIIQQTTRKSAMIIRMTASSGGGAGGQLASYEEGELERPNWTGQTPLSRLVGALISFKPLYSVLKLGARQVLISTAEKTNVPWREMTKEILESDVYKEMESIENPSIVYPDYYLSPFHAYDEGNLSWLAAAEAEAATMSMVRRAIPDASSLDEANEIVRGNWLNAIEKHHQQYSGNLEIKDILDIGCSVGVSTRFLADKFPSAKLTGLDLSPYFLAVAKYKEKINPQKSNSFEWIHANGENTSLDSQSFDIVSISYVLHECPARATVNLAKEAFRLLRPGGTFVVTDNSPKSKKLQQLSPVLFTLMKSTEPFLDEYYLLDLEKTVKDAGFVNVQTILTDPRHRTLTATVPY >cds-PLY95874.1 pep primary_assembly:Lsat_Salinas_v7:5:317492813:317495731:-1 gene:gene-LSAT_5X173681 transcript:rna-gnl|WGS:NBSK|LSAT_5X173681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVNSNPFFNFIFVAIILTFVSITNANIYHEFNVQEQSVTRLCKSHTIITVNGQFPGPTLELNNGDNVIVKVTNKARYNVTIHWHGLRHMQNPWADGPEMVTQCPIMPGGSYTYRFSIQDQEGTLWWHAHSRWLRATVYGALVIRPKLGATYPFPKPKLELPIVLGEWWNQDIISVLQQALFSGAAPNISDALTINGQPGDLIKCSQQGTIKYSVNSGDTILLRVINAALNQQLFFTVANHKLTVVATDAVYTKPFTTTVIMVGPGQTTDVLLTANQKPGRYYMAARAYATARNAPFDNTTTTAILQYKSATSPPILPRLPAYNDTNTVTAFSNQIKSPGKTDVPLKIDENLLFAVGFGFFNCSPGPRCQGPNNTRFAASMNNVSFVLPTQTSLIQAYTNKIPNVYTPDFPATPPVKFDFTGNVPRGLWQPVRGTKLYKLKYGANVQIVLQDTSIFSTEDHPIHLHGYHFYVVGQGFGNFNPSRDTSRFNLIDPPQKNTIDVPVGGWAAIRFVADNPGVWLMHCHIDTHLAWGFGMAFIVENGVEPSQTLLPPPVDLPRC >cds-PLY90123.1 pep primary_assembly:Lsat_Salinas_v7:7:12800178:12802573:1 gene:gene-LSAT_7X11140 transcript:rna-gnl|WGS:NBSK|LSAT_7X11140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLSSVAKTLKPSPIQQLSYLAERSNAINLAEGFPDFPAPPNIKAAAVSAITSDFNQYRHVQGICDLVADKMKQMHGLDVDPLTDIAICCGQTEAFAATMFAILNPGDEVILFDPCFETYETCILMAGGVPVYVALEPPYWRLDEVKLSDAFTAKTKALVLNSPHNPTGKVFNMEELQMIAGYCITKDCIAVTDEVYEHITYENEKPHISLASLPEMQTRSIITSSLSKTYSVTGWRVGWAIGPSCIAFAIRNIHAKLTDSAPAPFQEAALAALKSPPEYYKSLRKDYEGKRDFVFKLLGDVGFQVQFMPMGSFFIFAALPDTCKLMDVEFVEELIKEAGVVAVPGCGFFHTSSEKHNNRYIRFAFCKGHATLTSAAHNIQQLLDASGRLNLL >cds-PLY64704.1 pep primary_assembly:Lsat_Salinas_v7:7:137805989:137806492:1 gene:gene-LSAT_7X82620 transcript:rna-gnl|WGS:NBSK|LSAT_7X82620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVFQDEHNILMHPFHMLCVTIVFDGSLFSVMHGSLVTSSLIRETTENKSANEGYIFSQEEETYNIVAAHGYFGQLIFQFASFNNSRSLHFFLTDCPVVGIWFTALGISTMAFNLNGFNFKQSVVDCQGRVIKTWVDIINRANLSMEVMHERNAYNFPLDLAAIEAP >cds-PLY68288.1 pep primary_assembly:Lsat_Salinas_v7:1:27643622:27646065:1 gene:gene-LSAT_1X23401 transcript:rna-gnl|WGS:NBSK|LSAT_1X23401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHQRNAQMMQIPKHQQYLTNGVPFRSLPPSFVSDAHCFSASEDQKMTFNLPASIDVDQSLSNVFSLLNISPAKYTDRQPFLPRSLGSQISSSVGVGEGFAHPIGSPRLMHSDEGFTNHFPVEPRRHQQQQQLFNDANDLQRHCSSGFDFDQKSPLSSKKFLYSTQQLDSFPKCSSNHAAANSDKPFLPLNGKLKFQWSDEHLTPYYNNNHLYRVPFQTHQFLSSSSSLKQLRGRIYALAKDQNGCRILQAMFETPTTEEVEMVFSELVDSITDLMKDQFGNYVVQKLVTLCNNDQKMKILLSLTKIPTNIILVCMNPHGTRAVQKLLENLKDPFQVKLIMNALHRGATLLANDPNGHHVIQFCLIHFHSDIIKPILTEIANECYKVATDRSGCCVLQACVEHSRGELRTRLVAEIMANSVHLAEDPFGNYVLQHMVGLNIPVFTSMLVRQLQGNFASLSCNKYGSNVVEKCLNESGEDVSTQIILELIRSPNSSLLLIDPYANFVIQSALKVSKGFAQDCLRGLISKNMSSMRSNLYGKKILEKLEKKKVMKKG >cds-PLY81835.1 pep primary_assembly:Lsat_Salinas_v7:3:31247754:31249493:1 gene:gene-LSAT_3X22020 transcript:rna-gnl|WGS:NBSK|LSAT_3X22020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLHQNDLVLDHTPAGDGSFNLWSSCRRKIIDAMRCSGGISRNQKSIKSPAVADIPKLEEAKTSISECRSDSTIKSNGNHKGSEKLSTLMRMSEASPEEEQRVKLKEVVRRLQSGGDGDALGGAREVRELTKDDSQSRTNLALLGAIPPLVAMLDSDDLHSQISALYALLNLGIGNDLNKSAIVEAGAVHKMLDLIESPIQDSPNPDLSAAIVANFLGLTALDSNKSIIGASGAISFLIKTLKTSTKSNSNSQVIQDCLRALYNLSILPSNVTPMIESEEFISFLLSRIRDTEISDRILSILTNIISTPEGRRAVSTTHDAFSILVDVLNWMDLPNCQEKATYILMVMAHKSHSDRQAMVEAGIMSSLLELTLLGSTLAQKRSSRILEILRINKGKQVSENYKGRAGAAISAPLCGSTDTESVDSSNSFPNNIAVKQLVEQSLQNNMRRIVKRANLTQDFIASEHLKSSCVSSFSSSKSLPF >cds-PLY70819.1 pep primary_assembly:Lsat_Salinas_v7:4:58749997:58752159:1 gene:gene-LSAT_4X39300 transcript:rna-gnl|WGS:NBSK|LSAT_4X39300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSPLMDASRTFWSNSNTQFLRFIPSSSLNLSTLRQPYSRIWCSSLNPNNRGIARVKAAKGKGKDNVWSIDNDAANESRGGDKRKKGDRRRTKVRNSTTKRRRASESDEGIMVSGSMLIETEKVLQTQEPVIMPKWNTFASSVSGIWKGTGAVFSPITAKMEPIDVGRNNEHQFDCYTLSRIEAVASTNGTHESNIQRTVNWVTLNPHGENRRVNKNKEDASLSTIEAFDGRKTNHVIPKFESFNFETSDVMEEDLMGMEPGLVFFEDGSYSRGPVDIPVGEVDDSNYFLSPTFKFEQCLVKGCHKRLRIVHTIEFSDGGSDIQIMRVAVYEEQWVGTAHLSDKSDVDFDVKPFSQRKRVQPSELSGSWKVFETSATPIYGGEAAVMDDNEEDPPYVYLCTETLKKRSLPEMAIYFGEEEAADMVDVTVLWLPGGVTGYVDVSKDGVLCIGVGWYSDEGINLVMERDYGRDGKLKEVRSKTEVKRRWTDSPPF >cds-PLY95589.1 pep primary_assembly:Lsat_Salinas_v7:6:137948656:137951646:1 gene:gene-LSAT_6X83581 transcript:rna-gnl|WGS:NBSK|LSAT_6X83581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGILLAIILIGCLSAISIAKEEANKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAPINAERTIFDVKRLIGRKFEDKEVQRDMKLVPYKLVNKDGKPYIQVKLSGGETKVFSPEEISAMILTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLQKSQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAFGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTVVSIKVYEGERSMTKDCRLLGTFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKASGKSEKITITNEKGRLSQEEIERMVREAEEFAEEDKKVKEKIDARNALETYVYNMKNQIGDKDKLADKLESDEKEKIESATKEALFFFFFFFFFFFFFLGWKR >cds-PLY82555.1 pep primary_assembly:Lsat_Salinas_v7:2:188194728:188198621:1 gene:gene-LSAT_2X109900 transcript:rna-gnl|WGS:NBSK|LSAT_2X109900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSTATLLMLSSSVSTTPPPLPKHTLPTTNPKQPSKQSKPPPPPSSSSSSHSHRPPLLSTFRWGEHRQSHNRINYYAELASKIVEDGRFEDFFMIVETVTSGGGSSALKPVEFAALLNSELVSKGILSVIESDGGVRNLIKVLTGFQKLGIGGAGAVEVFLFDRSVMEAIGRESMRILKCGGVEEVVDLMETLSGFHISIENVVEPIEVIRNCVNKRNPHAAIRYSGLCTHSDEIFCTAIHEFGKRGDMASALTVFEASKHNLGRVNMYAYRTIIDVCGLCCDHLQSRSIYEELSAENINPNLYVFNSLMNVNASDLSYTMNIYEHMQNVGVTPDMASYNILLKSCCLAARVDLAQEIYSEVECMESTGTLKLDVFTYSTMIKVFADARMWEMALGMKQKMLEAGVTPNAVTWSSLISACAKAGLVDEAFVLFEEMMVSNCMPNSQCFNVLLYACVKAFQYDRAFRLFESWKKNEYGNITNRQNLSQGSLEVSMKVPFRPTTSTYNVLIKACGTDDFRARGLMDEMRTAGIFPNHITWSTLIGVYGGSGDVKRAVQILKTMRNSGVQPDVVAFTAAIKVCVKQRELNLAFSLFAEMQKYQVQPNMVTYNTLLRARTRYGSLEEVQQCLSIYQHMRKAGFKPNDYYLKELIEEWCEGIIQDNHRPQNSNNRRDLGGPQSLLLEKVASHLQRGNTDAQSIAVDVRGLTKVEARIVVLAVLRMIKENYHSGELVRDDMLIILGVHEVGDKHEFDVKDTIIKLVRDNLGLEIVSLGPKIPSEIIRINVENPFHPNPDLLLLDKTLRPPNRRPAVFQRLKVTRRSLYHWLQKKIKS >cds-PLY66465.1 pep primary_assembly:Lsat_Salinas_v7:5:327960295:327960950:1 gene:gene-LSAT_5X183521 transcript:rna-gnl|WGS:NBSK|LSAT_5X183521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATVMFGSNQISKDAVLHSLRELAGKSSEQVFVNCVKLPSESVVEFFTTLCNVSAEEVKQDPACVFSLQKLVEISYYNMVRIRMVWARIWSVLANHFIDAGSDHDEKIAMYAIDSLTQIGMKYLERAELANFTFHNDILKPFVVLMRNRRSQIIQRLIVDCIVQMIKSKVGSIKSVWRSVFMIFTVAADDDL >cds-PLY79424.1 pep primary_assembly:Lsat_Salinas_v7:3:78392300:78393359:1 gene:gene-LSAT_3X58560 transcript:rna-gnl|WGS:NBSK|LSAT_3X58560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGRILISDRAHLLFDYHQEIDGLREYELSKSFVGTSKRGIGPCYSSKVIRNGIRVCDVKHMDTFPDKLDLSFQWFVIYLISCLWIILPGSGYMSPKYIINGHFSTKFDVYNFGLLPLEIINGKNWGFQHPDHNLSLLSHLYELQHVLNSHCLLQRLEGYLCLFHRNGYSLAQCLLKTSYL >cds-PLY96972.1 pep primary_assembly:Lsat_Salinas_v7:2:35627282:35628082:1 gene:gene-LSAT_2X16281 transcript:rna-gnl|WGS:NBSK|LSAT_2X16281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIVGKENGVLKLVRPGGIVELYKHPIKAAQVMAKHPRHCVTRPDVFKFPYIVVKPESILKPGRVFFIVPYHTIHRLLQSKGYRFRPHYPPDYHEGVDKQAFEGGQSMVEPNIHRRLTRQSSDKTLRQMEEIDTSFFHQIVPVENFQNKKHGNREVKVIPRRQVANISLEEKGDNFVSKEVECDSDEASIAASGCFPSRKKGGGVVESSNDISSRKATTAIGLKPCLKRNQKNKAKAQQGPRVRFTLPNHEDDDDYENWDTDFSEL >cds-PLY72865.1 pep primary_assembly:Lsat_Salinas_v7:5:167501343:167505220:1 gene:gene-LSAT_5X74581 transcript:rna-gnl|WGS:NBSK|LSAT_5X74581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGEITAATEVGSHGGGSGCSLGSCTMKLNATTEMMHVTWATFADMHPFAPTQQVQGYQELDYRHSQGIMHRDVYTHTVMIDHELRKLILICMFAGMIFRKEPFFYGQDNQDQLVKIAGAHPYFIQRGLHKTTGHSRCV >cds-PLY87508.1 pep primary_assembly:Lsat_Salinas_v7:8:96640684:96642480:-1 gene:gene-LSAT_8X67821 transcript:rna-gnl|WGS:NBSK|LSAT_8X67821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDRRERERERDRDRDRDRDRKRDRDDRDRLRHRHIDHDRERDRDRERDHDRDRERKERNKRSYTPERARSRHDRSRTRSPDHHRSRSRSTDRHRRRSHHRTPSPDQQRKRRKHGDDDSQRTAAVVSEFVDEIVKEKQQQKNSKDVEMVEHDGGGEMDASEIEMMKMMGIPVGFDSTKGKPVEGNDISGIRAVTKRQPRQYMNRRGGFNRPLPAEVNR >cds-PLY82725.1 pep primary_assembly:Lsat_Salinas_v7:2:145468515:145473380:1 gene:gene-LSAT_2X71740 transcript:rna-gnl|WGS:NBSK|LSAT_2X71740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELVAYYLKRKINGRKIELEVIPEVDLYKCEPWDLPGKSLLPSKDLEWYFFSPRDRKYPNGSRTNRATKGGYWKATGKDRNVNSQSRAVGMKKTLVYYRGRAPHGARSDWVMHEYRLDERECETESGLKDAYSLCRVFKKSLNAPKTTIGVHYAVAVSDHSSSMDLYSEGGRGGEDMETCNYPTPMASSSSNINHGSPHNVSESSDGRWMQYLSEEAFAFPNPSFTNYGNVSFPPTKVDIALECARLQQRLSMPPLQVQDLPHQGATSYVDLMNMQQTTSSSMRDVTTTNGPQQDILHEILSLAQVSQDHINQNTWGGGYSSHQEDDFSFLDDNDNNIQVQDVGSFRFMGDDQNARSIEVTGVDEQQLRSDRMVENLRWVGMSNKDLEMTYNWDDYKNVPVENMPSFQKEEHEVQGESSHQNNFDNTEDHFSLGITTEGHDNSNENLLDEADLEDFTTTPSFEIYEKTKVSTHGLIVSTRQVSETFFHQIVPSQIVKVHLNPGMIHDQTVSKSDSQTTLSKKVLYDKFKMITSSKPFEVINKKPKTPLVTLVSLLLICCFYLEESTEDGGDMKLKEDDDGGSAGNSIRMEDGEEEKNEGWGISSLVLEKVIWPCVTLALAFSTIWVHHNY >cds-PLY79008.1 pep primary_assembly:Lsat_Salinas_v7:3:7188424:7189014:-1 gene:gene-LSAT_3X4800 transcript:rna-gnl|WGS:NBSK|LSAT_3X4800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFSDFITFLEKLTKKIHCNDVYYRLPHERLSEGLGVIQNEGDYREFLKVGNGSQEKRINVYIDQYNEPIFDWIEDENPDEYDSVVDDEDEVDDSTFLDVILPNHEDDVLVSGKKPIDDSFLNALCRNKKLEDGSDIDVTDKEVDVKPMYPVHDPNQNWKKMVPILGMKFFDPDELKCLLSNYVVRHVYSLWYEKK >cds-PLY62434.1 pep primary_assembly:Lsat_Salinas_v7:4:226258898:226261209:-1 gene:gene-LSAT_4X124301 transcript:rna-gnl|WGS:NBSK|LSAT_4X124301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDKLPGQPQVDFDHYSGYVTVDSKAGRALFYYFAESPHNSSTKPLVLWLNGGPGCSSFGYGAMEELGPFRVNSDGKTLYRNDYSWNNAANVLFLESPAGVGFSYSNRSSDYTTGDKQTAKDSYTFLINWLERFPEYKTRDFFITGESYAGHYVPQLASLILSENKKTNATIINLRGIAIGNAWIDDNTSYEGMYDYYWTHALNSDETNEGINKYCDYVTGNFSEECYKYQSQGDGEYGGIDIYNIYAPFCDDTTQKSGTNGTVGGYVVGYKGVVLTTVRGAGHTVPSYQPERALQMISSFLEGKLPPTFT >cds-PLY61921.1 pep primary_assembly:Lsat_Salinas_v7:4:220399925:220400648:-1 gene:gene-LSAT_4X120101 transcript:rna-gnl|WGS:NBSK|LSAT_4X120101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRAGIFRGLSGLGVDLTDRVFRFISFNDVMKGDIFLQNLPSIITAHVLAAELGLTSIKAYKLYVACSALGLRPRLFAGEDTVESLRRHGKYQKRLFDQAVQLVRPGGVLVYST >cds-PLY66988.1 pep primary_assembly:Lsat_Salinas_v7:6:141015067:141027905:1 gene:gene-LSAT_6X86240 transcript:rna-gnl|WGS:NBSK|LSAT_6X86240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDNSLYAPLKANPPSETDENVTPMAKAGVLSKFTFWWLNPLMVKGKSKVLDENDIPKLRKEDTAEECYSTFMETLEKRRAKSVSGGHGDSDPPILSTLFVWQWKELVITGIFALIKVLALASGPLILRAFIQLVQGNESFENEGYFLTLGLFLAKCLESISERQLKFRTRVIGLQVKSMLCAAIYKKQLRLSNDAKLIYSSGQIMNYATVDATKIGEVPFWFHNIWTIALQICLGIFIIYFSIGVATIAALLVIVLTVVGNIPLGKLQHKYLTKLMAAQDRRLKAISEAISNMKVLKLYAWETHFREAAAQLRNEEMKWLSSVMTQRGFFIIMFWSSPVIVSVVTFWTCYLLGIELNASNVFTFLATIRIIQEPIQSISDVAAVFIEGRVGLTRVVEFLQASELQKEEKNHGNMEDRAVVINCESISWNDDSSKPTLTDVKLEVLTGKKVAICGEVGSGKSTLISAVLGEVPHTKGTIEVNGKMAYVSQTAWIQTGTIRDNILFGNLMDEEKYQYVITKCSLVKDIEMFSFGDQTIIGERGVNLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTASSLFKEYIMEALSSKTVLLVTHQVDFLPAFDNILLMADGKIVETGTYAQLLESSKEFQNLVIALSETSGSDNKTADNSQQKSESSVQEIEKIKPIQEIEPSVGEQLIKKEEREAGDTGLRPYKQYLSQSNGYFLFSMSILGHMLYIIGQFIQTLWLAREVQSASITQLKLVLVYMILGIVMVLFLFGRSYFIVKLTMDTSLAIFNKLITCLYRAPMSFYDSTPVGRIISRVSSDLSIVDLELAVKLTFTVGSTMNTYFILGILAVLTWPILIIIIPTVYLTILLQRFYYASAKELMRLDGTSKSLVTSHLAQSIAGVVTIRAFGEEDRFFVEHLNLIDNNASPFFHSFSANEWLIQRLEMLCALVIASFALAITLLPFQPSDSGLIGMALSYGLSLNVFVVFSVQVQCQLSNMIVSVERLEQYMHIPSEAPEIIQDNRPSSNWPSTGRVDIQNLKIRYQPNSPLVLQGINCVFEGGNKIGIVGRTGSGKTTLISALFRLVEPTEGRIIIDELDITTIGLHDLRSNFGIIPQEPTLFNGSIRYNLDPLGEHSDQELWQVLEKCQLRDAIQDKKDGLDSLVLQDGSNWSLGQRQLFCLGRALLKRRKILVLDEATASIDNATDTIIQKTIREEFQDCTVITVAHRIPTVIDCSMVLVMKDGKVMEYDEPTKLMSQPDSLFAQLVNEYWSQHKTS >cds-PLY81179.1 pep primary_assembly:Lsat_Salinas_v7:4:257580528:257588806:-1 gene:gene-LSAT_4X134000 transcript:rna-gnl|WGS:NBSK|LSAT_4X134000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGGSPWLRSTNDHVGRQFWEFDPTLGSLEELADIEKVRQTFHENRFEKKHSSDLLMRSQFAKEKSLSVFPPRVNIKDAEDITEEKVTNVLRRAIGFHSTLQADDGHWPGDYGGPMFLLPGLVITLTITGALNAVLSKEHKREMCRYLYNHQNRDGGWGLHIEGHSTMFGSALNYVTLRLLGEGANDGEGGAMEKGRKWILDHGGATSITSWGKFWLSVLGVFEWSGNNPLPPEMWVLPYFLPVHPGRMWCHCRMVYLPMSYLYGKRFVGPITSTVLALRKELFTVPYHDIDWNDARNLCAKEDLYYPHPLIQDILWATLDKFVEPILMRWPGKKLREKALRTAMEHIHYEDDNTRYICIGPVNKVLNMLCCWAEDPNSEAFKLHLPRIHDYLWLAEDGMKMQGYNGSQLWDTAFTVQAIISTNLIEEFGPTLKKGHMFLKKSQVLDNCPGDLDYWYRHISKGAWPFSTADHGWPISDCTAEGLKAALLLSKLPSKIVDEPLDAKRLYDAVNVILSLQNSDGSFATYELTRSYSWLELVNPAETFGDIVIDYPYVECTSAAIQALVAFKRLYPGHRREEVQRCIDKSASFIEKIQAPDGSWYGSWAVCFTYGTWFGVKGLVAAGRNFSNCFSIRKACNFLLSKQLASGGWGESYLSCQNKVYTNLEGNRSHVVNTGWAMLALIDAEQAKRDPTPLHRAARVLINSQMENGDFPQQEIMGVFNRNCMITYAAYRNIFPIWALGEYRCRVLEEKSMLS >cds-PLY71865.1 pep primary_assembly:Lsat_Salinas_v7:3:59748275:59756584:-1 gene:gene-LSAT_3X46681 transcript:rna-gnl|WGS:NBSK|LSAT_3X46681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVVDTEYLKQIDKARRELRAFISNKNCAPIMLRLAWHDAGTYDVNTKTGGPNGSIRNEEEFSHGSNNGLKIAIDFCEEIKSKHPRITYADLYQLAGVVAVEVTGGPTVDFVPGRKDSKISPKEGRLPNATKGAPHLRDIFYRMGLSDKDIVALSGGHTLGKAHADRSGFDGPWTRDPLKFDNSYFVELLKGESEGLLKLPTDIALLDDPAFRPYVELYAKDEDAFFNDYAISHKKLSELGFTPTSAKKVKDGVVLAQSAVGVIVTAAVVILSYVYEVRKKSK >cds-PLY85051.1 pep primary_assembly:Lsat_Salinas_v7:7:6052737:6055209:-1 gene:gene-LSAT_7X5181 transcript:rna-gnl|WGS:NBSK|LSAT_7X5181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTLDKCKACDKTVYFVDLLNVDGMTYHKSCFKCTHCKGTLVMSNYSSMDGVLYCRTHFEQLFKESGNFSKNFQTSKAEKDSQPKAPPSKVSSMFSGTIDKCRACNKTVYPLEKVTMEGEPYHKLCFKCAHGGCPLTHASYAALDGVLYCKHHFAQLFMEKGNYSHVLQAANQKHTASSSEEQPPVEEGEHTDDTQSEPPPEAEEEKEEEKEKEKEKEEEES >cds-PLY67560.1 pep primary_assembly:Lsat_Salinas_v7:3:54248822:54252505:-1 gene:gene-LSAT_3X41680 transcript:rna-gnl|WGS:NBSK|LSAT_3X41680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKITVIWLNFGYLPCYAYVCPRANNILAVDQSLTGNQTLISNAGNYEMGFFKPGQSPNYYIGIWYKNIRTTSETVVWVANRETPISDAFSSKLQIVNANLVLLNESNTQIWSTNITPTPTPTTSALSVVLLDDGNLVLRYNSSSSSTTPIWQSFDHPTHTILPGGKLGYNKRTNTNQILTSWKSTEDPAMGPFSLEFDQNENQLVLKWNRSQQYWASGSWNGEFFSSIPEMRSSKSIDNFSYVDNENESYFTYSLYNPSIISRFVMDVSGQIQQLSYLGVTAQWNLFWSQPRMQCEVYALCGAFGSCRQNEFPFCNCLSGFKPRSERDWNQSDFSGGCVRKIELNCSVKDEKPGFIVGYLPVKSVSKFLETGTPKDEAACQRSCLDDCSCDAYGVIDNKCLLLNTENLNNISSFFLSVDPNNLTFPLKIKVSASDLANNTAKINTKFLVAGFCGLVFLCSIGIIFYRRVKRKGSRDNGGNFELEFQNNGRNVRYLVDPGILSAEERKGIDVPFIQFKTILSATDNFSLANKLGQGGFGPVYKGMLPGVGEVAVKRLASQSGQGLKEFKNEVVLIGKLQHRNLVKLLGYSMKDHEMILLYEYMPNKSLDRFIFDRTLSVCLDWDLRFDVIMGIARGLLYLHQDSRLRIIHRDLKASNVLLDEDMNPKISDFGLAKIVKGRETEDNTTRVVGTYGYMSPEYALDGLFSVKSDVFSFGVVLLEIISGKRNTGYYHNQQAFSLISYAWGLWKAKRPLELVDLALVESCNSIEVLRCMIVGLLCIQEDPGDRPTMVNVVLMLGMDIESLPDPKEPAFVSKKSINRLPSSSSKSEINQLTITQEEGR >cds-PLY83448.1 pep primary_assembly:Lsat_Salinas_v7:9:88156169:88156399:1 gene:gene-LSAT_9X69321 transcript:rna-gnl|WGS:NBSK|LSAT_9X69321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGASLDHGSDCLGYAASEDGPSTFSSGRSYYTTPFSPTTAGHNGASTSATHHGDTDDDSEEGIEDKEGDYESNDE >cds-PLY96358.1 pep primary_assembly:Lsat_Salinas_v7:4:356382174:356386037:1 gene:gene-LSAT_4X175880 transcript:rna-gnl|WGS:NBSK|LSAT_4X175880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPDFIKLPASNPPDDGSQPSNNEHNSGVRVESNESTIIEEQISVLTENGVHKRNGSQVSNCEIDAIKRPRITVDEQQASVHVIYNSLTRESKQKLEELLQKWSEWHNRHQSSSHDSNAEVESGEGTYFPALNVGLDKPSTLSFWMDGQTSNLQSKEVIALDNNSVPLYDRGYTFGLTSTDDPSIGDGEMLGGSRCFNCGSYNHALKECTKPRDNAAVNSARKQHKSKRNQNSISRNPTRYYQDTPGGKFDGLRPGVLDSETRKLLGIGELDPPPWLNRMREIGYPPGYLDEEEEEQPSGIEIFGDEVITITTIANPETEDGEILDMDFSPPPPPTAEPPSPPPPPPPPKKMTVQFPGVNAPIPENADEWKWGARAWKFDLPRNRGNPRFFNPPETHHEERWNRGYREDGIGPPGVDGPLASRFTDYESRGSSHHHSHRSMSERLKRSHDDDRWNPYENSRKERHQEGHHRSWR >cds-PLY94108.1 pep primary_assembly:Lsat_Salinas_v7:8:29532474:29534028:-1 gene:gene-LSAT_8X23801 transcript:rna-gnl|WGS:NBSK|LSAT_8X23801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFFFLLSSISDARFVVSKSTKVRNHKRQKLKLFVFGDSYADTGNWPKSYGGAWGKPYGITFPGTPSGRFSDGRVLTDYIAAILGTKSPITYRGWKSGEKKSMKYGMNFAYGGTGIFNTFVNQPNMTTQIDYFQHLVQQTHQDLHINSSTIALLSVAGNDYATYFTSNHTLKELPGLTKSIINQLVLNAKRIHELGVEKVVITTLQPLGCLPQFTSSESYQNCSNNANSIAKFHNQLLMESVKKLNNESDNHNTSPFVILDVYQAFLSALNLSAGGSKLLRPCCQGVTKEYLCGNVEKDTGIMKYNVCGNVSDSFFWDMIHPSQQGWHTVSSNLRSSLLQLL >cds-PLY79589.1 pep primary_assembly:Lsat_Salinas_v7:2:166411936:166413096:-1 gene:gene-LSAT_2X87800 transcript:rna-gnl|WGS:NBSK|LSAT_2X87800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHTEKVEDGEEVAVEEVEDEQSKMEGMASIALLPDGSISGHFVHLPHSVCYGIHGTEIACERECSRGEDYRLVKLTIIDFNSKKERDVVVERRGHDAARLCNIDHAHGWEKDVVSLVAKEQEKRKIAISFECETLKADEAAEGHIRQFMPKLTGLDAVVNIGKMSIVGLDFKAEVGRVEPSS >cds-PLY95207.1 pep primary_assembly:Lsat_Salinas_v7:2:97883128:97883322:1 gene:gene-LSAT_2X43420 transcript:rna-gnl|WGS:NBSK|LSAT_2X43420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEAVEEPKEEVYDVMKEPRKEEVELQLQEDKPCVEEDINTLNGNGDGRENIYTNFYYPINRNN >cds-PLY95153.1 pep primary_assembly:Lsat_Salinas_v7:1:96245245:96246848:-1 gene:gene-LSAT_1X79960 transcript:rna-gnl|WGS:NBSK|LSAT_1X79960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISSHDHMFRLQDVSKSGFLNSSLQLFCHGQVKSTGGFIAVSWYESATPLPPFILSHGVPCQVII >cds-PLY80599.1 pep primary_assembly:Lsat_Salinas_v7:6:13622756:13623392:-1 gene:gene-LSAT_6X11781 transcript:rna-gnl|WGS:NBSK|LSAT_6X11781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGKMEKVTQTPQTNPKREVWDCESSLYDSFELKSFKRQLDSAISSRTMSMPHLSSSSSSLRHQVPPPFDHKPTSKKPFRLSRSLNKLIRSVFRPRHNHHTSSRDGSFYVYDTSSALHTIPEVPETMPEFDRLSPDMKLLITRTGSDRFMPTSLGISCA >cds-PLY83192.1 pep primary_assembly:Lsat_Salinas_v7:4:288332442:288334209:-1 gene:gene-LSAT_4X146800 transcript:rna-gnl|WGS:NBSK|LSAT_4X146800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALAGREHVEFSSLNSESSSQTEPNSNQDMNHINSPSIDTDILQAQEQEQLGEVTNSCIHESKNKDPKKELDVLWRRVKTTASLLNYLKSKAKKTMTNPHFALTSQSHDITNIDSSNFNSPWIDINTLQEEEEEDWVYMNEVAKTVEMITQVMESLVERVIMAESETDIEKQKVAISQEEIMKKEIQVEIMSEKLDEMDRFAVDTNCVLNEMRQWVDNLVEETSRQKQRATQNEQELIRVKQDFESLKSYVNSLISVRETLVSSEKQFQTMEKLFERLIAKTTQLESEKKQKEGEVERLMEENLRLNGVLDQKEAQLLAMNEQCKFMALSGSHI >cds-PLY93718.1 pep primary_assembly:Lsat_Salinas_v7:2:200261166:200261639:1 gene:gene-LSAT_2X121120 transcript:rna-gnl|WGS:NBSK|LSAT_2X121120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERDYPQQDYTFYDGGSSTFASTATATASAFVFSDVIRKSKLWDKVEDQDSNVDELLVVIGYKIKSCDMADIAQKIEHLEGVLGNDDGLSQLASDSIHYNHSDLKSVICELNPTNQPPVIDDSFVNITASVTRSVVDSSSVFVDNLQRIPENAIERE >cds-PLY67273.1 pep primary_assembly:Lsat_Salinas_v7:5:133085174:133085410:-1 gene:gene-LSAT_5X57900 transcript:rna-gnl|WGS:NBSK|LSAT_5X57900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNFYRSLEMIIKATIASILVDYNHLIAFITITNESNDHTYMVLPTLKMIVKASINSILINYNHLNAFITLTIKPVNV >cds-PLY63777.1 pep primary_assembly:Lsat_Salinas_v7:6:23349709:23351131:-1 gene:gene-LSAT_6X18241 transcript:rna-gnl|WGS:NBSK|LSAT_6X18241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELDLHVSQTQASKEIVLEEHVSLVSSIKKKMVSTSHFGRICKVSEKIYKENEEKYFPLILSIGPFHRGEEKLKAMEDYKWKYLNTLLSRATNVEARLGKCVETLKVLEDKARKCYGEEIHMQSDEFVEMMLIDGCFIIELFNKSCCKGTRRRGDPFLATYEVFYRLRHDLILLENQIPFFILDHLFHIVPTPKQCGDYSLIELAFRFFKKTVHEDPYYIRERYGQEIHHLLDLIHQSFIPKTHIFQLHSKQPLLKILIPKVTELHRSGAEIKGSKSRNILEVKLNNGVLRIPNLIHHDLMETVLRNLIAMENCCYDATKYVTSYAFLMKSLIQSIDDAKFLLKKRIFDKDEEFFTLFNEISIDVDTKDFYYGELCEDFDKFAKVDINIRYARKVKTIVTRCLREL >cds-PLY99780.1 pep primary_assembly:Lsat_Salinas_v7:9:52998660:52999235:-1 gene:gene-LSAT_0X8000 transcript:rna-gnl|WGS:NBSK|LSAT_0X8000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKNLFVLMLLFALFATDETLVTLTQAKMCETTSHAFSCANDGQCNSSCEKKGFISGKCDGVRRRCTCFKQCV >cds-PLY96164.1 pep primary_assembly:Lsat_Salinas_v7:8:269661850:269663608:-1 gene:gene-LSAT_8X155860 transcript:rna-gnl|WGS:NBSK|LSAT_8X155860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLEQVSIYTLTLFLGASFVFFRWYNSKSSSTSSTTKKLPPSPPKLPVIGNLHQLGASVHHSFLSLARRYGDSLMLLHIGSVPSLVVSSTEAAREIMKTHDIAFASRPNTRMFRAISYNLKEITVAPYGEYWRQAKSILTLQLLSNKKVQTYNGLREKIIAECVDKITQCFLSNKPADLSDLFSSLTNDVTCMATFGRTYNEGEIGRKFKKVLQEFSEVLGSFYFEDSIPQLAVVDRLRGLSAKVDRVAVDFDEFLQGVVDETIIKVRNNSEKISEDGVETFIEGLLKVQKEDIIGITIDADVIKALLLDAYVAGTDTSSSVLEWAMTELLLHPENLKKVQDEVRSILRGKEEITDEDLDKMIYLKAVIMETTRLHPPLPILPPRVARHDVNVMGYDIAEGTRVYVNVYAIMRDPKVWEKAEVFLPERFLESSIDFVRHNFELLTFGAGRRGCPGRVFAMAINEKVLATVLSKFDWSLPQGVTPKDVDMNETFGLANHRKIPLLALGKPVPMHAW >cds-PLY75404.1 pep primary_assembly:Lsat_Salinas_v7:6:180498580:180499544:-1 gene:gene-LSAT_6X109900 transcript:rna-gnl|WGS:NBSK|LSAT_6X109900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKATQKDHAQPGQILAKYSCSLRHYSNNLHRHEPCIIHTDLNCNNVFINGNIGEVKEGDLGLAAIVGKSHCAHSILGTSEFMVPELYEEDYMELMLTLKLPYSECDNIARIYKKVTSSKFTITIQCIKSGSADPIINNQGNRYPAPVNPNSKEIHFAHECCLPKQVTHKVMIAGSWRHWNWRSEGDLMLNGAFFVPSGKGAGASYARVKKGMKCVLVFSFSMLWM >cds-PLY78127.1 pep primary_assembly:Lsat_Salinas_v7:2:62792432:62795644:-1 gene:gene-LSAT_2X27060 transcript:rna-gnl|WGS:NBSK|LSAT_2X27060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVTIAAEGILKKVLSIAAGELAIAWGYEEKLTSLHRTLDLIRAKLRDAEQKKESEVVMVWLKQLKDVVGEADDVLDEVDYEMLRRQIKKQDRITRKVMCLPSLKRFSFRYKIGHKIQNINEKLLKINTEANSLGLQNEHPAGPVLDRLYWRETVPNQEEFKIVGRDNDKLHIIELLTQSRKEEKLSIVPIVGMGGIGKTTLAKSVYNDKKIEQHFDVKAWLCVSVKVDINTLLAKIYESLDKKKPTSDLRTNLIGSLNEQLATKRYLLVLDDVWVEERPYWEEFRSCMLNVSSQNGSGILVTTRKLEIGTHDMHLDSCLLKGLSDDHCWNIFRERAFVSGTSPSLELEEIGHEIVKKCGGLPLLLNVIGGMLAHYSDIEKWLAIKNSKVWDMEEERDRVQKSLELSFDNLPNSIAKQCFIYCSIFKKDTVMEREELVRLWMALGLVQADEERNKEMEDVGNDIFQILVSNSLFQDVERDEYGHITRCSMHDLVHDLSLSLSKHESLCLEDATNDGIACMPQVKHLAFYQKQNIKLVAEVSMFIERNTEARTLHTLFIEGEVDMKFPFQRLKCIRILKLKCYLIQELDDSIGRLVHLRYLDLAYTRIRVLPESIGKLYHLQTLKLSDDIEQFPEAMRNLISLRYFQCDKNIPANIVGQLTSLQTLPCFIVLRRKRHGIEELRHLNNLSGRLCISKLENVRSKEDAVKADLSRKKNLYEIELEWSRDRGGANKNEKDVLEGLQPPRDVKELEITRFYGDNFPEWVMKMAIDIEGKWTPLDKLVSITLYDCRSILSLPTLEHLPHLQNLLLIQMDSLTCLRSSDVTGSTKPLSLSLRSLGLYFLERLEKWIDGEPNSSKTISPVLEKLDIYVCPKIICLDEYHPHPLVSLRISQCTGLVSIKSIQGLTSLECLEIFMCPSLSDITNLPNQCHSLKTLSINNYDKLTSLPHEMFNCFAFLNELTLGPFSKELDSFPSLQGIEKLSNHLQSLELRGWDHWELMPEELQHLTSLTLLCINRFGIKELPMWLTRMSSLRHLRFNNCKGLNKETVRRGAPREATDVRLNYGSVN >cds-PLY80341.1 pep primary_assembly:Lsat_Salinas_v7:7:157047039:157048391:-1 gene:gene-LSAT_7X91420 transcript:rna-gnl|WGS:NBSK|LSAT_7X91420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWTRGPVLGRGSSATVSAATSTSGEVFAVKSMELSQAQSLRKEQYFLSTLSSPYVVSYKGCDVTKEDNKMMYNLLMQYMPFGSIVDGIDGRNGGGLKSSEILRYTREIVRGLEYLHSRGVVHCDIKGRNVLIDESGAKIGDFGCAKWVDEEAPICGTPMFMAPEVARGEEQGFPADVWALGCTMIEMATGGSPWSNVSDVASVLYRIAFSGEIPEIPDEFSDQAKDFVRKCLNRDPRERWTAKQLLKHPYLQQFDDNSKQMICEKIYTDSPTSILDQDVWNSMEEPSSSSSVGSDFTPSTCSSNSLRQRIKQLADKSEIPKWSCEKEETEWITIRINEDGDGKANRGAVTGAVGNEMEVGCTMSGGEYDELFISCVDTPKISCRKIAVKASIGLAFNASNANLLRHSGLPSDFNMMIVN >cds-PLY69917.1 pep primary_assembly:Lsat_Salinas_v7:4:68039726:68042206:-1 gene:gene-LSAT_4X46020 transcript:rna-gnl|WGS:NBSK|LSAT_4X46020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRQLRRTLTTTTTNKLPILYNSASTSDDAVTLQLLSWGRGSSGQLGNGKEESQLYPSPFSSLILPPLSYRISSSTSCHTRNDDQLEIGIACGLFHSAVLIDGKLWIWGKGDGGRLGFGHENTVFRPTVNSNLESVRSIALGGLHSVALDSLGHVFSWGYGGFGALGHSVYTRELSPKRVQGTWEGQITQIATSGTHTAAITEAGEVYTWGRDEGEGRLGLGPTRGQNEVGGLSIPSKVKSLQVPVVSVACGGFFTTVITDDGQLWSWGANSNNELGRGNRIGGWKPQQIPGLEGVRVVQIASGGYHSLALTDDGRVLSWGYGGHGQLGHFSTQNQTVPLIIESLAAEKVVHIACGGSSSAAVTDKGKLYMWGNGKDGQLGVPGVPEIQAFPIEVKFLMEDDGLGGSHNVVSVAIGASHALCLVSRSTNSS >cds-PLY87885.1 pep primary_assembly:Lsat_Salinas_v7:3:43639191:43641045:1 gene:gene-LSAT_3X34120 transcript:rna-gnl|WGS:NBSK|LSAT_3X34120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNSLPKSSVFLFVLTLSFSTSWAALSSILDATPGSEDFISCIKSNSNNISVSQLTFTSANASFLPIWQAAVQNTRFLKPSTRRPSVIVTPVEETLIQTTLFCAKEHGYELRIRSGGHDYEGLSYTADVPFVMLDFTNMRSIDVDVANSTAWVQAGAVLGEVYYAISQKTDTLYFPAGVCPTVGVGGYMGGAGYGNLLRKYGTAADNVIDARFMDVNGNILDRKSMGEDLFWAIRGGGVSSFGIVLAWKLRLVPVPEKVTVFILNKTLEQGATEIFHKYQTVIPAIDRNLHIRTQVFEIYIGNTTKKTINIMFEGIYQGTTDTLLPLLDEKFPELGVTREICEEIKMIQSTLVFWGFPSSLPTEILTNRSAIAKLNNKSKSDYIRKPIPISGLKKIWKKFMENDESALLMINPFGGRMADYSETAIPYPHRAGVLLQVLKTVNFNGQASDTTPTSLRRLSWLRSLEELLTPYVSKNPREAYSNYNDLDFGVGNANYKEASVWGERYWKRDNFKRLIRIKAKVDPENFFRRPQSIPVFSSSLSDI >cds-PLY97910.1 pep primary_assembly:Lsat_Salinas_v7:4:89827163:89829032:1 gene:gene-LSAT_4X59141 transcript:rna-gnl|WGS:NBSK|LSAT_4X59141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVELTTPNGNRVECGGLKPPGNQNSGRYKTGVSTIHHSKLLLHYPHSIHSSISIVSDRRFFLPFLSDPFAEANAENSGAGSKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGKVIQLQGDQRKNVSTFLVQAGIVKKEHIKIHGF >cds-PLY71974.1 pep primary_assembly:Lsat_Salinas_v7:5:121287801:121289170:-1 gene:gene-LSAT_5X53581 transcript:rna-gnl|WGS:NBSK|LSAT_5X53581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRSLELTLISAKGLNKINLVGKMDVYAVVYISGAGNQDQKQKTHVDKDGDSNPTWNYQMKFKIDESAALQNRLTLVVKIKTEGMFGDKDLGEVHVPIKELLDGALTGGKPLQFVSYQVRKPSGKPKGELSFSYKVGEKPAGVGKVDEPVTAYPAGKPNNVDEPVTAYPAGKPNKVDEPFTAYPSYPGAKPNKGDDAVTAYPAVASGSSSMYPPPYAAPSAAGPGSYPPPAAAASGPYPPPGGATGYPPAGGAYYPPPAGYPQPQTGYPQQQAGYPYQQQPSYGGYPPPPPGYGGYPPQQGYGYPPVQPPQQAKKKNKFGMGLGAGLLGGALGGLLIGDMVSDAADGGGCGGGCGGGCGGF >cds-PLY98938.1 pep primary_assembly:Lsat_Salinas_v7:7:49479907:49482369:1 gene:gene-LSAT_7X34861 transcript:rna-gnl|WGS:NBSK|LSAT_7X34861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRGGGGGGGDSRKPTPSEQQSQPQTGKKAQQKREKEKEKEKDNEKEKKSQDFNDASNLKKLKREKKNWSCIDSCCWFIGSICSIWWFLLFLYNAMPASFPEYVTTAITGKIPDPPGVKLQKEGLKAKHPVVFVPGIVTGGLELWEGHPCMDGLFRKRLWGGTFGELYKRPLCWVEHMSLDNETGLDPPGIRIRPVSGLVAADYFAAGYFVWAVLIANLASIGYEEKNMYMAAYDWRLSFQNTEVRDQTLSRMKSNIELMVATSGEKVVVIPHSMGTLYFLHFMKWAEAPPPLGGGGGGDWCAKHIKVVMNIGGPLLGLPKIVAGLFSAEAKDIAVARAVAPGVLDKDIFGFQTLQHAMRMTRTWDSTMSLIPKGGDTIWGTLDWAPEHGHDCSTMKVKPNDTLPPKDQNKKGNFHASKVNYGRIISFGKEFAALHSSKIDRVDFKDAKKGYNFGNTTCRDAWNEYHDMGIPGVEAILDYKVYTVESVLDLLQFVAPRMMKRGSAHYSYGIADNLDDQKYQHYKYWSNPLETRLPDAPEMEIFAMYGVGLATERAYIYKFTPGADQCYIPFQIDTSADGGIEESCLKSGVFSVDGDETVPSLSAGFMCAKGWRGKTRFNPSGIQTYVREYDHAPPATLLEGRGTQSGAHVDIMGNFALIEDIIRVAAGANGKDLGGDRVYSDIFKWSERIKLQL >cds-PLY67614.1 pep primary_assembly:Lsat_Salinas_v7:5:117977923:117979195:-1 gene:gene-LSAT_5X52140 transcript:rna-gnl|WGS:NBSK|LSAT_5X52140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGDWRSQLTDISRNRIVNKITDILKRYIPISGPEAEAEGLQELRKIALKFEQKIYTSPTTANQNDYLRKIALKMKVMETISQNPMPDAMHSNPGANSVNPSDPGTSKYTFSRYN >cds-PLY89032.1 pep primary_assembly:Lsat_Salinas_v7:6:98775595:98777514:-1 gene:gene-LSAT_6X64561 transcript:rna-gnl|WGS:NBSK|LSAT_6X64561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSKQEIGDAIGAPSNHWSPTAAPSALVDHQQQLQRQSQYKNSVRPDKEKDCKFFEWIDPPLPNNWYKQMIYDFHNQGIHGVNDEGFEDFMGEDVEGVVQQIPLQVEGVMVLKGWKIWCLVGLIAIIWVMVM >cds-PLY67848.1 pep primary_assembly:Lsat_Salinas_v7:9:144428877:144430890:1 gene:gene-LSAT_9X92641 transcript:rna-gnl|WGS:NBSK|LSAT_9X92641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGHRRVVCGATQTIGLLAFIYLFYTQSFAFNPINFCFGNGNLSATLKDGVGVLEDDFQPFLKSLVGDYDLRNLDTSGFACDATLHPLVCVAINHVKIDIKTMEIYTSTRFNSTNSTDFLVKEEENIVQVRPYAWHQSDLLKNVTPVKFHRERQQTPARYCEYNHKVPAVIFSSGVFAGNTFHEFNEIIIPLFITSRLFKSRVQFIFVDYNPYLVEKFKRIFTSLSQYDILNPMVNKSIHCFPGAVVGLKFHNFLAINSSEVPKGNSILEFKTFLRTTYGLTSMNVSETASATPKLLLVSRRKTRSFTNEDEMVDMMEELGFEVVVVRSNKKMSNLDKFAKLVSTCSVLIGAHGAGLTNLVFLPAGAVVVQVVPLALEWPSTVYFGEPAPAMGLHYLEYKIGPEESSLIDSYPRDDPIISDPGSVFAKGYYFGKETYLDRQNIRVDVNRFKNTLIQALRLLGRTTPSRKR >cds-PLY68644.1 pep primary_assembly:Lsat_Salinas_v7:8:97870984:97871776:1 gene:gene-LSAT_8X68841 transcript:rna-gnl|WGS:NBSK|LSAT_8X68841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSISDEDIMMDSDSAYTVHNRPPVKEIALAISLLVFGIVGIISGIFMAINHVGGDTGHGLFFAILGAVLFIPGFYYTRIAYYAYKGYKGFSFSNIPPV >cds-PLY63589.1 pep primary_assembly:Lsat_Salinas_v7:1:213752182:213768365:1 gene:gene-LSAT_1X130320 transcript:rna-gnl|WGS:NBSK|LSAT_1X130320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVRVIEAKNLPAIDPNGSIDPYVKLKLGSQRFKTKVVKKCLNPSWCDEFSFKVEDLKEQLVVTVLNEDKYFNDDFVGSIKIPISQVFDTVDKSLGTIWYRLQPKKKSKFKECGEILLTVCFSQNNPQSDLQPQAENGAMSPARSSTSSRMSSPMRSEEAAAPMKEEKTNKEKISSLLSQIFNRNNEPILQSVSTKLTELPEVPETDDSEVSEDKTEEQITSANFGELMKNMEEKDEGREMPANLPGGVMLDQMYAISPSELNSFIFSSESNYLKQLAEIQGSTDLQVGPWKFDTESSSLKRVVTYVKAASRLIKAIKAIEDQTYLKADGKCFAVLASVNTPEAPYASNYRVEVMTSITPGPELPSGEECSHLVVSWRMNFLHNTMMKGMIEGGARQGVKDSFEQVGGLLGEKLKVVDLKDFGSEKEQALASLQVETQSDWKLAVQYFANFTVISTFFMGLYVLVHLFLAMPSTIQGLEFGGLDLPDSIGEVVVCGVLVLQAERVMHLISRFMQARVQKGGDHGIKAQGDGWLLTVALVEGSNLAAVDSSCLSDPYVVFTCNGKTRSSSIKFQKSDPRWNEIFEFDAMDDPPSTLDVEVYDFDGPFDEAVSLGRTQINFVKTNISDLGDVWIPLQGKLAQACQSKLHLRIFLNNTRGSNIIKEYLTKMEKEVGKKIKLRSPQTNSAFQKLFKLPPEEFLINDFTCHLKRKMPLQGRLFLSARIIGFHGDIFGHKTNFYFLWEDIEDIQVVPPTLSSMGSPIVVITLLPGKGLDAKHGAKTQDPQGRLKFHFQSFVSFNVAHRTIMALWRARALSPEQKALIAEEESDDKMVEEESTSSIRSDDDSDNKTLQSEESGSFLGIQDVSLSVVYSSVLSVPSNFVMELFSGSELERRAMDRAHCVNYSTSPWEFEKPDVYQRQTYYKFDISVSRYGGEVTTTQQKSRDRNGWLVEEVTTLHGVPLGDYFTSYPPNCCCFVKWEPEEGGETPLMLSHLATAKMSEKGEKGGKSALKSPASKGKDKGRKVQFDSEDMFDEKFETNGNGKSNGKADGYSGKGKGGKGDKGGSGKKEPRALLLNVEQEIPENVTCLMDCEAAQIMQGIQEHMVLLSKDPSIKIPISFDRALQYASRGNHYTDPHSVRKVLEPLKNQGVSDAEAKGKRGIREALGKKEPPKLLTQGISENLTCLMDCEPAQFLQGIQEHMVLLSKDPSIKIPISFDRALQYESRGNHYTDPHSVRKVLEYPLHFY >cds-PLY85105.1 pep primary_assembly:Lsat_Salinas_v7:1:45481486:45481836:1 gene:gene-LSAT_1X40021 transcript:rna-gnl|WGS:NBSK|LSAT_1X40021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACFSGLRSKNQGNGHCSPLVVRDIKTSCSRNQGHKARFQVIVLKIKATKKVTGLVSRSSFKKSKQQVRIIKATRQVYRSSFEKTRQDVGAGFLHGSLGLPCRCSMVASSPSRQWG >cds-PLY98466.1 pep primary_assembly:Lsat_Salinas_v7:4:248854086:248854740:-1 gene:gene-LSAT_4X132101 transcript:rna-gnl|WGS:NBSK|LSAT_4X132101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLETGHSGTRIENKDYAKFRNTDLSIFDEKYALLFRDSVAIGDQTMTPLQFQNNSNQTKKMRRAKEIVMISI >cds-PLY66520.1 pep primary_assembly:Lsat_Salinas_v7:4:340081444:340090417:-1 gene:gene-LSAT_4X167420 transcript:rna-gnl|WGS:NBSK|LSAT_4X167420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTVQHLDLRHVGRSSKPFQPHAATFHPSQALVAVAAGNYIIEFDAYTGSKISSINIGAPVVRMSYSPTSGHAVVAILEDCTIRSCDFDTEQTWVLHSPEKKMERITIDTEVHLALTPLQPVVFFGFHRRMSVTVVGTVEGGRAPTKIKTDLKKPIVNLACHPRLAVLYVGYADGLIRAYNIHTYAVLYTLQLDNTIKLIGAGAFAFHPTLEWIFVGDRRGTLLAWDVSTERPFMIGITQVGSQPIISVAWLPILCVLVTLSKDGSLQVWKTRSAANTNRTLVQANFFESASIEQIDIPRLLSQQGGETVYPLPRIRSLEVHPKLNLAALMFANMTGGDNAKNKAAYTREGRKQLFAVLQSARGSSASAIKEKLSALGSSGVLADHQLQAQLQEHHTKGPSQLTISDIARKAFLYSHFMEGHAKSAPISRMPLITILDTKNYLKDVPVCQPLHLELNFFSKENRVLHYPTRAFYIEGVNLMAYNLSTGAETIYKKLFPSMPGHVEFHGKYLLHSRKQHLFLVVYEFNGASSEVVLYWENTNSQSSNSKANTIKGRDVAFIGLNDGQFAILDEDKIELSVYTLPGGSPKPGAEKNMIDDQKPYEELDVSSIKGPLQFTFETEVDRIFSTPIESTLMFACFGDKIGMGKLVQGYSIATSDGPNMSTKGEGKKSIKLKPNEIVLQVHWQETLRGSVAGILTTQRVLIVSADLDILASTCTKSLLWVGPALLFSTTTAISVLGWDGKVRTILSSSMPNAVLIGTLNDRLLLANPTEINPRQKKGLDIKHCLVGLLEPLLIGFGTMQQNFEQKLDLSEILYQITSRFDSLRITPRSLDILATGEPVCGDLAVSLSQSGPQFTQVLRGSYAIKARRFSTALSVLKDEFLRSRDYPQCPPTSHLFHRFRQLGYACIKYGQFDSAKETFEVISDYESLLDLFICHLNPSAMRRLAQRLEEENTDSELRRYCERILRVRSTGWTQGIFANFAAESMVPKGPAWGGGNWVIKTPASTKSIPQWELAAEVMPYMRTDDGSIPSLSTDHIGVYLGLIKGRGNIVEVRDDSLVKIKDNNKIVASTSVVASTSNDTSSSQLMNLDSLTKADSSVEQAKAEEEFKKSMYGTAGDGSSSDEEGVSKTKLRIKIKEKTAAPVTVDLDKIKEATKQFKLADALGAPIRTKSSAGMNINNNNNNNINTPQPVNNPPVVSAPVDPFGAGYFTQTPPVFPAGXTGAAVGLRPIPEDFFQNTIPSLQVAAALPPPGTYLSRYDQNPQGRPSGPPTSEIPQVPVNVPVSTESFGLPDGGVPPQSMAPPPVAMPPLQAPVLTQPLDLSSLEGPGAVNVVKPSEPPPPTSVRPGQVPRGAGASICFKTGLVHLEQNQLPDALSCFDEAFLALAKDNSRGADVKAQATICAQYKIAVTLLQEIGRLQKVQGASAISAKDEMARLSRHLGSLPLQAKHRINCIRTAIKRNMDVQNYGYAKQMLELLLSKAPPGKQEELRSLIEICLQRGLTNKSIDPLEDPSQFCGATLSRLSTIGYDVCDVCGAKFSALASPGCIICGMGSIKRSDALVGPAPVPSPFG >cds-PLY74379.1 pep primary_assembly:Lsat_Salinas_v7:6:154537503:154538432:1 gene:gene-LSAT_6X93560 transcript:rna-gnl|WGS:NBSK|LSAT_6X93560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGRSEERKPQKASKLKFFLSKLMAENAKSKSLVHDGKKICSGLGTEYFRILLQSNCGVSVLDFVPQPEGGSQYLCLNRLNQTPSSPIASGSSAGRKASNRIVLVYHGNPESQKTAELLLDLKVKSIVSSPRIAPTETANAIARVQEVADCLGADCVPRYAGKEFLLQSCYITNIWRLIPEQVKSIVKLHSTMLYKASFLL >cds-PLY72606.1 pep primary_assembly:Lsat_Salinas_v7:5:44793050:44796322:1 gene:gene-LSAT_5X22041 transcript:rna-gnl|WGS:NBSK|LSAT_5X22041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGFAVSSGQGSPIWKSQIPSGYNLNYVNAEFNYSGNPYPESYGISSMNQMNHAKKTWKDSMLNKIMQLAEEAQSRKPKLERWLDEFGESYSKAVIVLSISIALIGPILFKWPIFSTPGDKRGWCFTCEVEGLVMREKDGNSPSPLFPLCILTHIENIGSNLGHGKEEDAHEFLRYVIDALQVVCIKEVAGPNALQAVFIKEATVEGTIPWKN >cds-PLY69129.1 pep primary_assembly:Lsat_Salinas_v7:5:286264445:286265607:-1 gene:gene-LSAT_5X152320 transcript:rna-gnl|WGS:NBSK|LSAT_5X152320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKVLEHMIESGFESPKVKTFTQLINLLCKKGRLKQAFEVFEIMGKVKCDPTINTYNCLLKGLCYVGKVEEANEFLSKIKKSWKEQRDGRLQPDVYTYTAVMDGFCKVGRSDEALELLNEAIEMGLTPSIVTYNTIFNGYFKEGRPMEGFGLLQQMKERNCTPDYVSYSTLLHGLLQWGEIKAAVRVYKEMLDTGLNVDERMMNTLLRGICRRSRKEKELLEDAYQVFDEMSKRGCDIDPCAYELVIEAFCNGNEMDKAFVNLCEMIKMELSPRTFTLNIVVRGLCVEEKIEKAMLVLVLVCRRTSEMLDKVAFDVLINETNRQGMVKTASCVYCVALKNGVIPSRKPRR >cds-PLY65125.1 pep primary_assembly:Lsat_Salinas_v7:1:157674133:157674426:-1 gene:gene-LSAT_1X110361 transcript:rna-gnl|WGS:NBSK|LSAT_1X110361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQASRESSVENVGGGSGSGDFNSRQASVGNVGGGSNHSILEEFDEYDNDDMDSNKKSQLQVYLLEPRTK >cds-PLY86541.1 pep primary_assembly:Lsat_Salinas_v7:2:208788958:208790885:1 gene:gene-LSAT_2X128741 transcript:rna-gnl|WGS:NBSK|LSAT_2X128741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMKHAFFVCLIITFLFSCSSETTTSGNINWWCTQTPYYETCNHYIAEPNPYMTTISTNQFLDMTVQAAIDEARVALKRAQGIESKYPNVPGKSLWGSCVEYIDGIVFTLNMVLNHTLHPTQLDVQTWLSASLAYINVCEKGFELINMTNTMLPLISTNLTQLILNSLAISVLFRGSNTNNAPESVDWKQRDLALECTPNVVVAQDGSGDFETVQEAVNSASNRTQSRRYVIYVKAGIYDENVVIPRTVEYITMFGDGINKTIITGSRGLGGDMVGTSKAGDLKQTATFQVWGRGFIARDITFRNTAGPQGEKAVALLTSSDQSAFYHCSIEGYQDTLFTFSSKQFYKECQIFGTVDFIFGDAPAVFQDCEIFIRKPPLEEGGLVVTANGRKYENETSGYTLQGCKITAADDLKPVIDQHKAFLGRPWYPHAETVYMQCFLDELVDPKGWMDTWGYNETIYYGEYKNYVPGSSTDERVKWSGYHAIDDPNIAEDFTVDKFMSGNQWLPATGVPFTSGFENL >cds-PLY90261.1 pep primary_assembly:Lsat_Salinas_v7:8:14094646:14097421:-1 gene:gene-LSAT_8X14040 transcript:rna-gnl|WGS:NBSK|LSAT_8X14040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTAVIGLKAGERLLGSSSYYSDVNEKLSCSTDLGFISVPNKNMITAKKSSNYGHGFISNRHNQDTHYIRALKEHVDITSDPSSIHPWVQGSEHLKEEDSDQDFSVDALLLFQKSLLEKQWNLSNKGALTTVNPTDKSSRKMYVSGSGKSARRRRIDAQKKTPNKRCIRFVISPDLLQNRAIANVKGITSDSLLTHAEVVVLSKKIKIGQHLEDQKSRLKERLGCEPSEDQLAASMKIPRAELQVKQIECKLAREKLAMSNVRLVMSVAQKYANMGVEIGDLIQGGLIGLLRGIEKYDSSRGFKISTYVYWWIRQGVSKALIENSRTLRLPIHMHERLSAIRNAKAKLEEKGITPSIEKIAETLKMSTKKVLNATEAKCKVFSLDRPAFPSINGNPGDTFQSYMADDHPGNDPWHGVDHGALKDEVNKLMTTTLREREREIIRLYYGLDNEFLTWEDISRRMGLSRERVRQVGLVALEKLKHAARKTNLEAMLVEH >cds-PLY83243.1 pep primary_assembly:Lsat_Salinas_v7:8:251946875:251951315:-1 gene:gene-LSAT_8X147680 transcript:rna-gnl|WGS:NBSK|LSAT_8X147680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Meiotic asynaptic mutant 1 [Source: Projected from Oryza sativa (Os09g0506800)] MIVEQKVKEAEITEQDSLLLTRNLLRIAIFNISYIRGLFPEKYFSDKGVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLRTLMFCVCQTVEGPMIEEYSFSFSYSNADNQEVSMNVNRIGNKKQGETFKCNSTTEITPNQMKSSACKMVRTLIQLMRTLDKMPEERTILMKLHYYDDVTPADYEPPFFRGCTEEEAHHPWNKNPLKMEVGNVNSKHFVLSLKVKSVLDPCEDENMSVGGDSMERDEDSQADSQTSLSDDEYIMAPVDQQKEKPDETMADEDDTQDPAEDEQQLNRVKNWISAYHLDTFDVTDVLANFPDISVALIEDVMNKLVNEGILSKSGKDSFNIERPGKSEYEFDAVKEETLSKKSPPTMLEGQMYMKALFHALPMDYITIPKLQTKLGGEVNQTTVRKLMDKMTKEGFIETSSSRRLGKRVIHSEITKKKLDEVKKALDFNTTVDLDTNSHSEKQAGTNKSTLGAFHSIGSELTRSMGKPELQQSVSNRQNGQNNTPTSNNQVLQPLSSIESHAGMKKGRNGNINQDDKMDTAVCSQTTINKRFRKASTVKEPVVQNMKRQKSQLHEA >cds-PLY96723.1 pep primary_assembly:Lsat_Salinas_v7:6:76634564:76635421:1 gene:gene-LSAT_6X56381 transcript:rna-gnl|WGS:NBSK|LSAT_6X56381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYHLKAPGSRFRSLFFNLDEILKSITDQPYNSYPMKTNVTNLITATSDPTREAAVYSYVAASFFRLFSKPASYYVKTWPQVLNGFSKFYAEPIRVMLPVPTLAAVEILKNFFSQDQRAKVTLYRFLYMSNSDENHKGFKTFLYDNLLRNTSLHILSIIEQLYDVLNCSIDTIVVAMNIGEMRQQVKCLREVLKILKENDENHRLSMLRYGRIFSGGFMSDLQTKSCLKIVYILAEALRSEAPEEHSGILQIAQFADISEGNKRNYTNMAQRLLIYLKNQNPRSI >cds-PLY83338.1 pep primary_assembly:Lsat_Salinas_v7:1:63288270:63288473:-1 gene:gene-LSAT_1X54120 transcript:rna-gnl|WGS:NBSK|LSAT_1X54120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPDSPTRSEYSMDSAPDVSQSEGSRPILPNLCLKGAELNFDFGKPPTVFTRATSKGFILKKRSESA >cds-PLY84673.1 pep primary_assembly:Lsat_Salinas_v7:2:151298610:151301713:1 gene:gene-LSAT_2X78401 transcript:rna-gnl|WGS:NBSK|LSAT_2X78401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPDYESDDTEEYFNNEHGEHQKPKFSWVSGTNTISPQKRAESSNDQNQPKDNVEEDSIKPTGTSEMEKDMMESNPPVEPPKEVNCNGSTIPESNPEPHVSSSLPTIVVPEFKIETPARSSVPTIVVPESNIETPVRSSVPTIVVSESKIETPVTSSLPTIVVPESKIETPVTSSLPTIAPESKIETPVRSSVPAIVVLESKIETPVRSSVPTIVSESKIETRVSSSLPTIEPESTPSSFLSMLEIPSPNDDIWHYRDPSGNVQGPFSMVQLHQWSIRGYFPNDMRVWAGREADSVLLNDVLQQQFNNPYNDPWPGNEKVNNQIEEVNVPQTSYAMDSVNLEKLPNSDDNNYNLNCNPPNMSISSPSPSPSPSPSPKGPDTDINQHVSQVFPVADEALVDLQSQLLKKESHENEKDKQQSAQPSGNPNPNPNPTPNPPTWSTALSLVIGGAQLPETGDEWGRYPPKPEEWGSARVSVSPLKPLEVLDHQVGSTNMDQIMHHHSSPPQSSQPYHGLPAWHGLGVGETIEFATLAEESVSDLLAEVDAMESRNGVPSPTSRRNSFLEDLFNGSMDDFSPTDQDTDMHLHRQSHHFSFGLETKPIGAVAIPATNSDHMGFKWAEMGSSELPPPPPPQPPPPRQDMIDLNDSTRAETDEGERDDLVEEKSRNGILPLPPPPPPPLSPPPPPPESRGGRKSKGVVSGWPGERIKAVAARKFSGFPDVEEEEGEFIQPDAPPPPPPPPQPQSQPQLLPLPLPLTMGFDVADSRRMGSEKYTGRASQGATSGSRSSHSRSVNAGRESSSHHRRSSGGDRYSNSTSNNNSISKSISPRERTHHGEDSGHGRSSSRASWGRQSSFSSGGSGGGGAGGYSRAPPSKGQRICKFYESGRCKKGSSCSYLHQQER >cds-PLY70767.1 pep primary_assembly:Lsat_Salinas_v7:3:134803516:134804782:-1 gene:gene-LSAT_3X89440 transcript:rna-gnl|WGS:NBSK|LSAT_3X89440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNSGGSDEIISQPAKHQEVGHKSLLQSDALYQYILETSVYPREPAPMKELREVTAKHPWNLMTTSADEGQFLNLLLKLINAKNTMEIGVYTGYSLLSTALALPDDGKILALDINRENYEIGLPIIEKAGVAHKIDFREGPALPVLDLMIEDEKFHGSFDFIFVDADKDNYLNYHKRLIDLVKIGGVIGYDNTLWNGSLVAPPDAPLRKYVRYYRDFVLELNKALAVDPRVEICQLPVGDGITLCRRIS >cds-PLY74223.1 pep primary_assembly:Lsat_Salinas_v7:1:77351844:77353694:-1 gene:gene-LSAT_1X65421 transcript:rna-gnl|WGS:NBSK|LSAT_1X65421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNERGDFQFFHRRLNQTSNDSLLPGLYDDVALICLAWACRFDYASLSCLNIRFNSLMKTGYLYELRKQLGIIEHWVYMVCDPRGWEAFDPITKNWMRLPKIPCDECFNHADKESLAVGSELLVFGRELFEFAIWKYSLIHRNWVKCEGMIHPRCLFASGSLGTIAIVAGGSDQNGNILKSAELYDSLTGRWEMLPNMHSPRRLCSGFFMDGKFYVIGGMTSPNDSLTCGEEFDLKLKKWRKIPGMYPNVNRAAQAPPLVAVVDNELYAVEYLSNMVKKYDKINNLWGVLGRLPVRADSSNGWGLAFRACGDELLVVGGQRGGPEGEAIVINSWCPKSGVKNGILDWKILGVKEHAGVFVYNCAVMGS >cds-PLY85868.1 pep primary_assembly:Lsat_Salinas_v7:8:179813173:179823284:1 gene:gene-LSAT_8X116700 transcript:rna-gnl|WGS:NBSK|LSAT_8X116700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLMLVPYSSDPSLNLIQWPPYLLASKVPIALDMAVQFRSKDADLWKRICADEYMKCAVIECYESFKLVLNALVVGHTEKRIIGIIIKEVESNISKNTFLTNFRMGSLPTLRNQFVKLVESLKEADESKHNTVVLLLQDMLEVVTRDMMVNEIRELVELGHGSKDSGTQLFEKAMDSRPAIAFPPPVTAQWEEQIKRLYLLLTVNESAMDVPKNLEARRRITFFTNSLFMDMPRAPRVRKMLSFSVMTPYYSEETVYSKSDLDMENEDGISIKYYLRKIFPDEWENFMERINCKEDAEIFESDESILQLRHWVSLRGQTLCRTVRGMMYYRRALKLQAFLDMATEKEILEGYKAITIPSEEDKKSQKSLYAQLEALADLKFTYVATCQNYGNQKRSGDRRATDILNLMVNNPSLRVAYIDEVEEREGGKSHKVYYSVLIKAADNLDQEIYRVKLPGSAKIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAFKMRNLLEEFHEDHGVRPPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLARPLKVRFHYGHPDVFDRIFHITRGGISKASKGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDIYRLGHRFDFFRMLSFYYTTTGFYFSSMLVVLTVYAYLYGRLYLSLSGLERAIMKSAKAKGDTALKSVMASQSVVQLGLLMALPMVMEIGLERGFRTAMGDFIIMQLQLAAVFFTFSLGTKLHYFGRTILHGGAKYRATGRGFVVRHEKFAENYRMYSRSHFTKAMELFILLVVYQAYGAAATSSTAYFFLTVSMWFLVVSWLFAPFLFNPSGFEWQKIVEDWEDWSTWISNRGGIGVPANKSWESWWEEEQEHLQYTGLIGRLMEVILSLRFFCYQYGIVYQLRVSQSDKSIMIVSMGRKKFSADFQLMFRLLKLFLFIALMATLIVLFQFLGLTVGDIFASLLAFMPTGWAILQIAQACRPAVKALGMWGSVKALGRGYEYIMGVMIFTPVAILAWFPMVYDFQTRLLFNQAFSRGLQIQRILAGGKKNK >cds-PLY89869.1 pep primary_assembly:Lsat_Salinas_v7:3:252172532:252174733:1 gene:gene-LSAT_3X139241 transcript:rna-gnl|WGS:NBSK|LSAT_3X139241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 46 [Source:Projected from Arabidopsis thaliana (AT2G46400) UniProtKB/Swiss-Prot;Acc:Q9SKD9] METSKKRPLINELTQGKELTNQLKDYLDKPMSAEACEFLVEKILSSYEKALSMLNRGIVFDESQTPLSFGEISPTTDVSDLADSDSKNVFKKRKTMAKWSEQVKVCSGTMVEGPLSDGYSWRKYGQKDILGANHPRAYYRCTHRHVQGCLATKQVQRSDEDSSVFEITYRGRHTCMQATQLSKALEKTPKQEQENIHVEKPTQKTHMERGFSFKSSKGMNTCEDGLFPSFKFDSTPIETEKLENLFYLGCDSTAFIAFNHNLHNSDSDVSEMMNSTPNSGSNSPMMDMGFSLDYVDFDGDILFDITDLEKFV >cds-PLY81527.1 pep primary_assembly:Lsat_Salinas_v7:2:126362296:126363943:-1 gene:gene-LSAT_2X58881 transcript:rna-gnl|WGS:NBSK|LSAT_2X58881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTITSDHPKDKEQQYPPPVNTDNHYEQPPHMNHHHREEPPFSPLPPPFDQFRSYREISIHLTHLLLHLTRLNHQNVTCHYCDDDSSLPPTPNANGDNRKPPDTFDYYLAESNRLAPPTQPSYHVSPQCSHHSLPIPRIICSHTNHQNPTNYTDNKPTFRVYTKAKTDYSLTIRNGKVILAPTNSSDLHPHSIQGHLQSLSLLHNGKNDGNRGCWVFFMAVMVVSSKGRADGDGVNWWWFMFGYKGGQWGDARRWLMAIKMVWLGESYVLGNKHAVEMMVV >cds-PLY83496.1 pep primary_assembly:Lsat_Salinas_v7:8:115843436:115845363:1 gene:gene-LSAT_8X79880 transcript:rna-gnl|WGS:NBSK|LSAT_8X79880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPAFLVDDMQKSFSDRFDLKLKGFETATVIGSKTYVINGTDGPTSSGVRVFNKSTGEWVIPTVLGTKPKSLKGHSSVVLNEDRILIIKNNSKSNECVWFLEVDTQFIRDQKMKCDTEVVAWSKGVIGNSEQPVVISGPSGVGKGTLINKLMKDFPTMFGFSVSHTTRLAREKEINGEHYHFTKRSVMEEEIKSGRFLEFAAVHGNLYGTSIESVDVVADAGKRCILDIDVQGARSVRASSLEAVFIFVRPPSFEELENRLRARGTETEEQIQKRLRNAKAELEQGKSPGLFDHILVNDDLEACYERLKNLLGISESKNFAPKTPSKVFDLPVDFTLTKVSEKIIIKNAEQEIVLDLSLMKGGAPGRTRGLEMHVNYD >cds-PLY79450.1 pep primary_assembly:Lsat_Salinas_v7:9:100192147:100196135:1 gene:gene-LSAT_9X73420 transcript:rna-gnl|WGS:NBSK|LSAT_9X73420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGNLDCWRVSMSPPSTIRQLEGERISPSVRFLHRSCNPKTKRTAVCQVLGVTFDCLHQSLKSSGSGCGVIFDIKEKENSGMGMGEWECENGLILKVMDIDGELVPLIEEKIWNLGSPDPNFTLDAHLKGVNSVDYFTGGDKPYLITGSDDHTAKVWDYQTKTCVQTLEGHTHNVSTVCFHPELPIIMTGSEDATVRIWHSTTYRLENTLNYGLERVWAIGYMKDSRRIVIGYDEGTIMVKIGHEEPVASMDNSGKIIWAKHNEIQTVNIKSVGADHEVCAIKAPGFGENRKSNLQDLATLTGGEVITDEHGMNLDKMAPEMLGTCKRGKLTNGTVLNICIWFQGLLKENENGSKYSAYGGDYGDTPNDLNFCLNGLIWPDRTPHPALNEVKYRYQPIKISFTNGVIKVMLVLETRLKISYFGMARSFGGNESQAKVNTQRVVGT >cds-PLY85784.1 pep primary_assembly:Lsat_Salinas_v7:8:250694004:250698546:1 gene:gene-LSAT_8X148201 transcript:rna-gnl|WGS:NBSK|LSAT_8X148201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECNRDEALRAKGIAERKFLTKDISGAKKFALKAQTLFPELEGITQMIATLDIYLAAENKINGESDFYGILGVSPLADDKTVRGHYRNLALSLHPDKNKSIGADGAFKYISEAWSLLSDKARRKAYDQKRNTNTNQNGFYNFTRSTTTTTTKSATATTSQTNLKTFWTVCHACKMQYEYLRMYLHQNLLCPNCHEPFLAIETPAPNTKVSTKGLKSSKISTKNQAKHRKGENLGDYTTHQWGPFSNTTTTPASSVQAATLVQRAYERVKREREEAQAAIKREEALKRKKKRSGNVSSSGHHTSGGVVDLKKGVLSNDVNSLLVHKARIEIKKRLSQWKSETLVNCKVDRKEEQKDDSVNELSRTNTMTPLLIDVQDPDFHNFDRERSEGRFREGEIWAVYDDDDGMPRNYAFIHKVKSIDPFKIKVCWLLNSTTNNNESMDVFPLKKAFGEFRIGKHQSLCFANYFSHKVNFKKMENGSFEVYPRKGEVWALYREWNEEKNRNEFNFKHKYEIVQVDECDEEEEGFKVTQLVKVAGFKTVFHRQINVKDRRVIPESEITRFSHQIPSYFLTGQESLNAPKGCCELDPAAVPDEFLQVIAVEEEEEENIHDHDDIDESAVLADVKQVVNLDDEVVV >cds-PLY83819.1 pep primary_assembly:Lsat_Salinas_v7:3:48420357:48421707:1 gene:gene-LSAT_3X37341 transcript:rna-gnl|WGS:NBSK|LSAT_3X37341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAGKKEERSSKRSLLIEKERKLKQVGADADEDRIELLLSEVKGKDITELTASGREKLASVPSGGSGGVAVAATGGNGAAPAATAAAELKKEEKVVEKEESDDVERKSLPHPERPDRLRAIAASLATAGVCDSFSFVNSHFEGME >cds-PLY72206.1 pep primary_assembly:Lsat_Salinas_v7:7:58488809:58490648:1 gene:gene-LSAT_7X42220 transcript:rna-gnl|WGS:NBSK|LSAT_7X42220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYNPSVRPRRPVRNNNNYHGNSTYNEYENDEFEEENEGLNQRYGYQEDDEEEEEDDDEYQHGYYRVSGGGIDAGESSRRHQKKRRLDNLVSNYEFAPRTNANPSKEAYGGVPDRSGDEWSENATFVLLEVWGDRYLQLGRKSLRGDDWVEVAEKVSEMCKMEINETQCRNRLETLKKKYKKEKAKMEDMGMGGRGSEGYHGKWGFFKRMDMLLSPKKQHNGLPCGVDSGEYVFMNTKVYLNQSNALDEMRDSPGESESDEDDSDDRKDDDGFRLLAESVQNFGEIYEKIEGRKRQQMMDLEKMRMDFQRELELQKKQILERAQEEIAKIREGDDEDVNSVENLSG >cds-PLY62001.1 pep primary_assembly:Lsat_Salinas_v7:8:151205478:151207437:1 gene:gene-LSAT_8X101760 transcript:rna-gnl|WGS:NBSK|LSAT_8X101760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGPARPQFVLFGSSIVQLGFLLDGWAADLAHYYARKADIFMRGYNGWNSKQALEVVKQIFPKDDAVKPSLVIVYFGGNDSVLYDPDVPSSHVPLEDYVENMRIIATYLQSLSETTRLIFLSAPPVNEEQMKAVLGIENRKNEQCGIYSDACLALCKEMNIKAIDLFTLIQQRPDWLTTSFIDGIHFTAAASETVASEIRLAIFQADWTPSLRWDLLPTEFDVAVAASAVG >cds-PLY71714.1 pep primary_assembly:Lsat_Salinas_v7:3:45413810:45415963:-1 gene:gene-LSAT_3X35460 transcript:rna-gnl|WGS:NBSK|LSAT_3X35460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHSSSGGDDGNGGSGVGNGAFGCIQHTVSKYDTLAGVAIKYGVEVADIKKMNGLTTDLQMFSRKSLKIPLPGRHPPSPIISNGHDTQGQSSSEMTPPNRRYSDSINSLKSLKLSSSSSPPRNMSSSIDSLRDYYRLTPNNHNSVKEGFEMTQTPLGLHRKSKSENNGFSKISKTDVKEAGSDKSIEKLVRRRKSEVDLNNHTPDMMMTSSAAYVKGLGLRPKISEGASDVNMVPVVQAFSGVRKSSSAPTFQLSEAANNSNSISNSNSNSNSSPSSSSFWPMSMLNFTMDLQAAITSPLFDGLPKPTSGRKKKPARD >cds-PLY72728.1 pep primary_assembly:Lsat_Salinas_v7:4:373619809:373623099:-1 gene:gene-LSAT_4X184601 transcript:rna-gnl|WGS:NBSK|LSAT_4X184601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40610) UniProtKB/Swiss-Prot;Acc:Q9SCX9] MAPVNNIVQINNNDHPPKYKVTIVGSGNWGSVASKLIASNTLKLSSFHDEVKMWVFEETLPSGEKLTDVINQTNENVKYLPGIKLGHNVVADPDLENAVRDANMLVFVTPHQFMEGICKKLIGKIRSDAQAISLIKGMEVKKEGPCMISTLISQQLGVNCCVLMGANIANEIAVEKFSEATVGFRGNREIAENWVQLFSTPYFMVSACQDVEGVELCGTLKNIVAIAAGFVDGLDMGNNTKAAIMRIGLREMKAFSKLLFSSVKDSTFFESCGVADLITTCLGGRNRKCAEAFAKNGGKRSFDELEAEMLQGQKLQGVSTAKEVYEVLKHKGWLEMFPLFTTVHEICTGRLPPTAIVEYSEHAPKFPIAVNSDAFQ >cds-PLY75513.1 pep primary_assembly:Lsat_Salinas_v7:9:33140332:33140736:-1 gene:gene-LSAT_9X31301 transcript:rna-gnl|WGS:NBSK|LSAT_9X31301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSPRNNWSFEDRRHFPANNSFAGEPQDIQINLLQLQLEVSLIRGEVNADHRELRESLCQEMDAMNWEVDDVRTGVLEMSHLTDDLWNHFYSLQPAYVRASTEIAKMKKMFAVTSVYGVVAVVGMVAAYKWF >cds-PLY83109.1 pep primary_assembly:Lsat_Salinas_v7:2:8450146:8450572:-1 gene:gene-LSAT_2X4881 transcript:rna-gnl|WGS:NBSK|LSAT_2X4881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKFPPSLLAAASVFTAECTLNGSKKWTKTTQLHSHYSLHQLLECSKMMVSLHQKSGTGKLVSVFKKYGTSKYGHVARTQSPAHFMLEPRFWD >cds-PLY66601.1 pep primary_assembly:Lsat_Salinas_v7:6:166063676:166068763:1 gene:gene-LSAT_6X101420 transcript:rna-gnl|WGS:NBSK|LSAT_6X101420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSRNYRTWTTHEDAKLVEAKLNMVNAGGFKADNGFKSGYLQHLGQALKDSLPNAGILAKPHIESRIRTMKKEWQVVYDMITGNNTSRFGYDSVNLCGTVESPEIWDSYVKNLKISSHFLSSIQSSIAVEGTMSVNPKIESQDTLKHKISISKDAPKHHVASINTKEDNPFNFVRVLLEGAVAGAAAGVVVESALYPLDTIKTRLQAVRGGGQIILKGLYSGLAGNLAGVLPASAIFIGVYEPTKQKLLKICPENLSALAHLTAGAVGGAASSIIRVPTEVVKQRMQTGHFASAPDAVRLIGYSSFLLRDLPFDAIQFCIYEQLRIGYKLAAKRNLSDPENAVIGAFAGAITGAVTTPLDVIKTRLMVQGSGNQYKSISHCVSTILKEEGPSAFLKGMGPRVLWIGIGGSIFFGVLEKTKQLLAERRPTDSNKLD >cds-PLY89292.1 pep primary_assembly:Lsat_Salinas_v7:2:49384664:49390450:-1 gene:gene-LSAT_2X22101 transcript:rna-gnl|WGS:NBSK|LSAT_2X22101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQASTMDRDQWQILDITPHLKKKWTVLIQVLEPGHKEDSKVGGKFRKLLLVDSQGTQVTGMIYTNHVKFFENSLMAYKRYHVSNAVLKATEPKFRLSSYVYSWTFNTNTLVEEYPESVPPPLQCQFQFTPFSELHKHAETETYQCVRGVVIKCFPTDVISQEPDLKTKKDVIIVNEEKKPVILSLWNSIEKNEGRLLDQICETGPLIFAMRVKVTTFNGLSLSTRMGSAIMINPPVTEDLQLQKWYNKNKTELKELLQKKAYKDTEILLPYPEEKDIVPIAKAIANFKYGSKQPHTLGQGTSKEEATQTHTSNNTYPAAIGNEATQELKHPPTVSEGTSKGEPPSEGALKRKHPQSEAYKETESLDDIRGVVIKCFPSEQLHDGSELSSKRDIIIVNEERILILLTLWNSFDDNEGYALQKMTTTPPMIFGMRLKVITSNGLSLTTTMGSAIIINPPAAKDLHLDNWYNENEGELEQLLNRKTYNESDLLFPIPQKNDTVPIATAIESLKSVSNYNYKMT >cds-PLY68768.1 pep primary_assembly:Lsat_Salinas_v7:2:211464910:211466280:-1 gene:gene-LSAT_2X131500 transcript:rna-gnl|WGS:NBSK|LSAT_2X131500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRFARPSPLMMMMAKVKETTGIVGLEVVPNAREVLIGLYDKTLKEIQRVPEDEGYRKAVESFTRHRLKVCKEEEDWEAIEKRLGCGQVEELIEEAQDELKLIDKMIEWDPWGVPDEYECEVVENDAPVPKHVPLHRPGPLPEEFYKTLEAVMAKKDEPAIPSSGSQ >cds-PLY76699.1 pep primary_assembly:Lsat_Salinas_v7:3:140839570:140839761:1 gene:gene-LSAT_3X93981 transcript:rna-gnl|WGS:NBSK|LSAT_3X93981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCYKVECKKCGLTGWGGCGEHLRPLYASIDTGKHCMCRSWPGVVIPSAGKPSTSTTTATTTAG >cds-PLY67830.1 pep primary_assembly:Lsat_Salinas_v7:8:187548057:187550811:-1 gene:gene-LSAT_8X121900 transcript:rna-gnl|WGS:NBSK|LSAT_8X121900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:Projected from Arabidopsis thaliana (AT4G35190) UniProtKB/TrEMBL;Acc:A0A178UWQ5] MENEQKQNKSRFKRVCVFCGSSSGKRDVYGDAAIELAQELVEKRLDLVYGGGSIGLMGLISQAVHCGGGHVLGIIPRALMGKEKTGESIGEVKAVADMHQRKAEMARHSDCFIALPGPPLPPLQVSIFYNSLMHNKAFIVQLFNAHNMFTLYNFLKLQVAMVGLLNVDGYYNYLLTFIDKAVDDGFIKPSQRHIFVSAPNAKELVQKLEEYIPVKDGAIPQAKWEVQVEQQTVGFSALHAEIAL >cds-PLY69373.1 pep primary_assembly:Lsat_Salinas_v7:4:114762962:114766247:-1 gene:gene-LSAT_4X72721 transcript:rna-gnl|WGS:NBSK|LSAT_4X72721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDRQQFEEGSTNDYGSELNTSPTDELAAVSALARSAGELMIIQTLHRFIGPVLIPILKPLIREIVTEQVGLAKLELLARMKENPANEASTSVPKRLKLLFRNRISLPVYTGKRLSGENGSTIEIVLVDALTEQIVNTGIESTARLEIVGFRVGDDDDGWTFEDFQERILSEKKGKSILQGDTCLQLKEGVCSLSKISFTHNSENTKNGLYRLGAGVVDATLMNRVEVARTEAFLMKDGRTTYYEKHLHPCLLDKVCHLQHISYKGTRYKRLKDEGVFTVKDFLTLLYTDPKRLQDILKLTASSNIWNDIVNNAQASNGMFLYLDPSTQGKTGVVLNSKLQPKGLIEEPHKYIPADQLSTKQKVDNKELVVFASQHFKMLHSFEDETCLKKHLISCSFSLPSPNQSLGTSNVNDGPRLTRNGASEYERGKAIVHVDNEKTYSGNHHEEDMSALESPITEQHAHNPTEPGTSFQDVESPVHTCEHMNNSTVLGQCMDCLFNDPNFLENIHDFLNHDSLNFNSNSDVMMVTTQTVSICTIERARTRWTKVSKLLRRNSVRERIISLTEGMQALKKQRCC >cds-PLY69834.1 pep primary_assembly:Lsat_Salinas_v7:6:2613922:2615736:1 gene:gene-LSAT_6X240 transcript:rna-gnl|WGS:NBSK|LSAT_6X240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCAQNPPPSPSESCLKKEKKKGARRRGVEQRSKGKLDRGDTGAAAVSFQSHSHSSQFTRYFRKQREELGMMASHTPQLQFLSWELISYLRYVLIQS >cds-PLY77377.1 pep primary_assembly:Lsat_Salinas_v7:7:9305173:9306282:-1 gene:gene-LSAT_7X9700 transcript:rna-gnl|WGS:NBSK|LSAT_7X9700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLAAQAGVRYAMENPGSYVHSNIAGFVNLLEVCKSANPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRNILKGKSIPIFEAANHGTVARDFTYIDDIVKGCLGALDTAEKSTGSGGKKRAPAQLRVFNLGNTSPVPVSDLVSILERLLKVKAKRLVMKLPRNGDVQFTHANISSARREFGYKPTTDLQTGLKKFVRWYVSYYGSVKKSDQ >cds-PLY97447.1 pep primary_assembly:Lsat_Salinas_v7:2:43729414:43729731:1 gene:gene-LSAT_2X18560 transcript:rna-gnl|WGS:NBSK|LSAT_2X18560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFALLSTLMLIFHLNDHRHDHDGDVWNIVSTLQFRDVVVIDNTAAIRVVNDMCSICLAKFRDTGTVSQLNRCRHVFHTCCMARWKSRDNFSCPLCGSNMFHATY >cds-PLY98838.1 pep primary_assembly:Lsat_Salinas_v7:7:22611610:22612116:-1 gene:gene-LSAT_7X17961 transcript:rna-gnl|WGS:NBSK|LSAT_7X17961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSIISIAFLLQAIINTVNLVTAQFPAEPVFKCRDTGNYTTRTDYSRNLKVALNTVGNMDTYNGGSFNSSIGVNEAAHVMVLCSGISTHWGGNCKDCIHKLTVQLSIKCMDQKEAVMWGSNCMIHFSDRKILGALDDWSRFTLPDNQKGSLANKPADLDHIMHFLCID >cds-PLY83357.1 pep primary_assembly:Lsat_Salinas_v7:1:62125472:62126111:1 gene:gene-LSAT_1X53200 transcript:rna-gnl|WGS:NBSK|LSAT_1X53200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAARSAFRSTSLRNVAARAASQPKAAPSAFRIPSRNSLSQRIFRCPVEMSACLESMQPFHTATASALMTSMLTLSQNGYGWLPEGDNSVPIYVLIYIV >cds-PLY83515.1 pep primary_assembly:Lsat_Salinas_v7:8:189276785:189278152:1 gene:gene-LSAT_8X122660 transcript:rna-gnl|WGS:NBSK|LSAT_8X122660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSIALVCTASLLAGGLYWFVCMLGAAEQKGKRAVDLSGGSIDREQVQENYNEYWSFFRRPKEIEKAEKVPAFVDTFYNLVTDIYEWGWGQSFHFSPAIPGKSNLESTKIHEQMAVDLIGVKPGQKILDAGCGVGGPMRAIAAHSGCNVVGITINEYQVSRAKAHNKKAGLDKQCDVVCGNFLEMPFEDESFDGAYSIEATCHAPKLEDVYSEIFRVLKPGSLYVSYEWVTTELYRGEDPEHVDIIQGIERGDALPGLRSYSDIAETAKKVGFEVVKEKDLAKPPARPWWSRLKMGRLAYWRNHIVVMVLETLGVAPKGTIDVHEMLFKTADYLTRGGETGIFTPMHMILCRKPEKPQEA >cds-PLY99154.1 pep primary_assembly:Lsat_Salinas_v7:4:118230930:118231444:1 gene:gene-LSAT_4X72880 transcript:rna-gnl|WGS:NBSK|LSAT_4X72880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPTVLVMIHWLVRIAMYNKHLANSTYSKLIYGDNMFIYTLNTYLLYIRGDDDAMEREDENFMEKLHLEKIPLEENIKVKPGDVKDLEAKLETMKSGPSPRESKEEEHGMLEKNIKKFNKLIEKLQTHVVSVEKLLEEKRRN >cds-PLY96737.1 pep primary_assembly:Lsat_Salinas_v7:6:161547204:161548284:-1 gene:gene-LSAT_6X98001 transcript:rna-gnl|WGS:NBSK|LSAT_6X98001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNVEGAAVQGSGWVDLLSSVLRNDFRVVVWTILPLGCSGGWLPIYFSLVGLFTNFPLRD >cds-PLY62322.1 pep primary_assembly:Lsat_Salinas_v7:4:88215401:88215772:-1 gene:gene-LSAT_4X57621 transcript:rna-gnl|WGS:NBSK|LSAT_4X57621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDLRSTAASGGRLRKFAGNNMTGPDFTTIYGLVQCTPDLSELQCNGCLEEAINLIGMPPIYGKVGGRILQTMCNFRYEIYRFFNASSIVVPSPPSSPPQPPILPQSPAIPPPPGMNSSFFIN >cds-PLY89654.1 pep primary_assembly:Lsat_Salinas_v7:9:96656334:96657269:1 gene:gene-LSAT_9X72620 transcript:rna-gnl|WGS:NBSK|LSAT_9X72620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGAPLLLTLLFLLSVFNFSLATRRLTELVHDSFPLMQYHNGALLTGDISVNLIWYGNFKPTQKAIITDFITSLSSTSLKSQIQPSVATWWKTTDKYYSKTKKPSLRLGKQVSDSDCSLGKSLTDTNLLQLASKGEATNAVNIVLTANDVVVAGFCSSTCGTHGHSSSTIVKGKSDKFAYIWVGNSETQCPGQCAWPFHQPIYGPQGAPLIAPNNDVGVDGMVINLATLWAGTATNPFGNGYYQGDAGAPLEAASSCPGIFGKGAYPGYAGDVLVDPTTGASYNAHGVNGRKYLLPALFDPSTSECSTLV >cds-PLY89124.1 pep primary_assembly:Lsat_Salinas_v7:4:145481327:145483371:1 gene:gene-LSAT_4X88841 transcript:rna-gnl|WGS:NBSK|LSAT_4X88841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGMHQYHPQWPPAQAPTGAVVVPNPSPHSHHSPMSMDNRLAVDEVRTIFISGLPEDVKERELQNLLRWLPGFEASQVNFKGEVPMGFALFSAPHFAVAARDTLQDMVFDAESKSVLHTEMAKKNLFVKRGIIPDPNSFDQSKRMRTGGDYTHTGFSSPSPFHPPPPPVWGPHGYMAPPPPPPYDPYGAYPLSQVPMAPPPAPVPAPSSYMPIQNTKDNPPCNTLFIGNLGENINEEELRGLFSVQPGFKQMKVLRQERHTVCFIEFEDVNSATNVHHMLQGAVIPSSTSVGMRIQYP >cds-PLY62225.1 pep primary_assembly:Lsat_Salinas_v7:5:166722258:166723440:-1 gene:gene-LSAT_5X75400 transcript:rna-gnl|WGS:NBSK|LSAT_5X75400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRMNRGHYLGLFSRSALCNVLYLNSPHLRATSAHLFPPETLGSPHLTRDWNDCSSFQALGLIKMELDMPLDYASFQLSPKHSRCELIVSSIGNIEMLASGLVKPVLTHLKVVEEQVGSSSQFIKLEVDKRKNVDS >cds-PLY66600.1 pep primary_assembly:Lsat_Salinas_v7:6:166153597:166155840:-1 gene:gene-LSAT_6X101581 transcript:rna-gnl|WGS:NBSK|LSAT_6X101581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDDWPEWLPSGWTIQIRKIDGRKVKCYIDPEGHKFYSKPQVERHLNAIRNSIVVTETEGLFASKPKRGRRRKIEDSNWLPDGWNVEVKYRSCGKEYKVFMDPINGHKFFTKQQILKYLANKANTNNSPAEEKKGDKTPIKLEESQITEPIEAKKTNETSASSAITESTPDYEVISRTPADGLPPGWIKEIRATQHGSEKKKNLFYADPLSGYIFFSKLDALCYLDTGGDIKKCAMRPLRRDMSREINSLKRSKTSDSNDHMTSSNCYEDMVEEFESDKAKKAASLSKNLVPQDEKIEELVDNIKKFNSTYDEHLQFEKEKFRSRLQEREKERDLKILTTNTQHLTEGARDTILAMQAEIEKKYRDSGM >cds-PLY93200.1 pep primary_assembly:Lsat_Salinas_v7:8:109511012:109515391:1 gene:gene-LSAT_8X74941 transcript:rna-gnl|WGS:NBSK|LSAT_8X74941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVIAFEEIFNSSSSSNKIEKPYTFTALLIHGLLGCRDDFVDFAKSLVSSLSTDWRMVLVDLRCHGNSTDREGLAPPNDTANAARDLANLVKSLDWDWPDVVIGHSLGGKVALQYALSCANGDYGDSAQLPKQVWVIDVGPGKAEDKSQYQELVDALQKLHTLPSLFPSQEWLLNQMIDLGFPKFLSEWISNSLKKSGEYMTFSFNIDGAIQLFESAVESDYWSLLEETPKGMEIAIVRTESQLSWTQDVVERLESLASRESDESRGKVLVYVVSDSGHWIYKDQPERLLEIMTPKIASLVHFKL >cds-PLY66454.1 pep primary_assembly:Lsat_Salinas_v7:5:321137040:321138318:1 gene:gene-LSAT_5X176181 transcript:rna-gnl|WGS:NBSK|LSAT_5X176181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRERSSIVDSTIQGCLKLMYHTGIQIDFKSRELVRVLISHTFFLLIVVVMLPIFVLERKYVKIAACSCGYQLNLSSANRIIGTTSKYRESMKKGVISFQSIDLSRFTQVDEVSCFPFYLGRNDTKTKLMCRQCGVHIGYGYRDGNAQCVFDSRVGSDPSYKKVVMKIRALQPSDIDG >cds-PLY77166.1 pep primary_assembly:Lsat_Salinas_v7:8:25765891:25766592:-1 gene:gene-LSAT_8X19701 transcript:rna-gnl|WGS:NBSK|LSAT_8X19701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPKYTGEMLKHLEKQDELLMDAYRSMSHELHKLQVEEEMLMRAFYDLMASKGLATKRQDGTSVLEDIEPPQSNALVNVDSNEKH >cds-PLY92308.1 pep primary_assembly:Lsat_Salinas_v7:9:178896293:178897173:-1 gene:gene-LSAT_9X109660 transcript:rna-gnl|WGS:NBSK|LSAT_9X109660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVSIVSGLEPFNGPHITFFIYPCFCPPLLQSPPDIPPPSQTVLLMADSKKYCPILYKNLSWSPEIQRRTEWKRLKAQQRRRFRRSKSLDASSDNSDITDDDIKELTACFELGFGFDTSNDMDPKLTQAFPALELYAAVNRQFNNRNLSRTSSTNSDSSSSSSNSNVSSSLIVDPSDDPEKVKMRLRRWAQVVACSIHHASRQPKTEVAEYTSE >cds-PLY90360.1 pep primary_assembly:Lsat_Salinas_v7:2:199288918:199289981:-1 gene:gene-LSAT_2X120401 transcript:rna-gnl|WGS:NBSK|LSAT_2X120401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLYCKPHFEQLFKESGNFNKNFQSPAKAAEKLSPMLTKSPSKAAGMFSGTQEKCATCGKTAYPLEKVTVENESYHKSCFKCSHGGCSLSPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRASAASAAAATAAAASIPEV >cds-PLY89963.1 pep primary_assembly:Lsat_Salinas_v7:2:191944460:191947547:1 gene:gene-LSAT_2X113361 transcript:rna-gnl|WGS:NBSK|LSAT_2X113361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGLFTSLLCIISLFLLFLGSFAVDSIASNQGIKDGETIVSTGGMYELGFFSPSNSNDRYLGIWFKKISTGRVVWIANRDFPLLNRTGKLKVSSEGLLLLSCCGDTVIWSSNSSASVRYNNNPVAQLLDTGNFVVKDGSSNSSSSIQTNFIWQSFDYPDDTLLAGMKLGKDFVRGINRSLTSWKSPDDPSTGQYVSYVDTNGYPQLIVSQGLVIDLRFGPWNGIRFSGLPFETSNPIYSHDFVVNQKEVYYKFELKSSIFQIISLRWDGSIALLHWNNRSQNWVVYTSGLIDSCVRYGICGPYGSCNINRNPPCNCMEGFEPKSPEEWNIADWSNGCKLQSPLDCKGGDAFRKVTKMKFPDTRYSWYNRSMTLGECEIACRKNCTCTAYANLDIRKEGSGCLLWFGELMDLNVCEENQDLYIRMPASLLTGLTVSQPGFNRKIEILIIVMPTLVILMGLSVAVYAFSMKKKRSYIKGRGRRVHSTDRHNSDVEKEDLEMNFVSLSIITKATNNFSIDNKLGEGGFGPVYKGVLETGQEIAVKQLSRTSEQGYDEFYNEVVCVAKLQHRNLVKLVGYCMDGDERILIYEYMSNKSLDLLLFDETKSCMLDWPQRFCIINGIARGMLYLHQDSRLRIIHRDLKAANILLDHDMNPKISDFGLAREFEGNQITAKTKKVVGTYGYISPEYALHGRFSVKSDVFSFGVLVLEIVSGKKNREFSHEDLNDNLLGHAWRLYTEGKYLDLMSPSLHNSCIISEVKRSIHVGLLCVQNHAQDRPTMSSVVMMLGGEGSLPPPRQPAFFAEEGSRKHYTFSKVDEATITLLVPR >cds-PLY85827.1 pep primary_assembly:Lsat_Salinas_v7:8:174334780:174335520:-1 gene:gene-LSAT_8X114021 transcript:rna-gnl|WGS:NBSK|LSAT_8X114021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSRNQLQVTRVQSREGVKLCDCGVSTKKRTCWKITNPEMHLWNCQNILTKLKKCSFFEWKDEEQEEGYYKNLLYSLKHKLDAKEDLSEINNLRRRIIEVEFLLSREQSKVSKSEKDDARKAIGRYNMIVVLLFACLVFCVLKLGGDCS >cds-PLY90116.1 pep primary_assembly:Lsat_Salinas_v7:7:14639999:14641598:-1 gene:gene-LSAT_7X12560 transcript:rna-gnl|WGS:NBSK|LSAT_7X12560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPPSPSSSTAQYTQQFLSSVLSQRGPSSLPYSEDVKWLIRQHLISLSETYPSLQPKTAIFTHNDGRSVNLLQSEGTVPMVFQNVTYNIPVVIWLMETYPRHPPFVFVNPTRDMIIKAQHSFVNPSGLVSIPYLQNWVYPSSNLVELTRNLSHYFGSDPPLYSQRRPNPTNPTPNPNYNPSVSSNASPSGSISAGSIRPAIPPRSYPPSPYGSASGSGRIPSPSPPQRLGSATEDPGEVFKRNAINKLVETVHVDVEALRKKREVEMEGMFTAQAVLRQREEEVLKGLREMQYEKEALEQQLQMVLMNTDVLEGWVRENEGKLGGDTTNVNGDEAFEPSDPLSKQMLESTSSDLAIEDVVYALDKAVQEGSIPFDLYMRNVRLLSREQFFHRAMAAKVRAAQMQAKVTTMASRAPPYAI >cds-PLY78171.1 pep primary_assembly:Lsat_Salinas_v7:5:123779109:123780981:1 gene:gene-LSAT_5X54140 transcript:rna-gnl|WGS:NBSK|LSAT_5X54140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEFEHLRIPIEIIKHATNNFNDRNFVAQGGFGKVYKGVFDHSKGQTEGAVKRLDRSIDQADASFWREVMFLSSYKHENIISLLGICYDNEERIIVCEYASNKSLDFCLRDPNLKWIQRLKICLGAACGLEYLHDHKDTQQRVLHRDIKSANILLDENWNAKIADFGLSKYGPANQQHTFIFSDAKGTIGYCDPMYVETGLLTKESDVYSFGVVLFEVLCSRHCVDLRYKDERRILPMLVKKCQKQQTLHTIIDVRLRQQFDQHSFDMFVSLAYQCLERDRTHRPLMPSVVSKLKTALQYQEAFEVKAQEAKRKIIFLQNNVDQLYQEPEASLKNVVVNPLLDYEKICPPGGSNLIILYTTTVKTIPKTFQDCSSLRLILNGFKVLYQERDVSMHVDFRDELWRIMGKKVALPRLFIKGSYIGGADEVLHLHEQGKFRPLLAGIPIKKLEGPCKGCAGNLFLVCPNCSGSKKVNSGGRGLPKSCMNCNENGLIKCPICF >cds-PLY77838.1 pep primary_assembly:Lsat_Salinas_v7:1:24472316:24474024:1 gene:gene-LSAT_1X20160 transcript:rna-gnl|WGS:NBSK|LSAT_1X20160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase subunit 5, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G47420) UniProtKB/Swiss-Prot;Acc:Q9SX77] MEKLVMMRSLYRSIARRSVVLVAPTSVNSNNQCLRRGICYLQHPSSSLPYSNVLFLQSLSPFAMGIGSTRCLTDGGLTHLPDIKDGDIKVAFKELMAVNWAELPDSVVNKANRALSKNTEDKASQEALANLLRAAEAVDEFTGFLVTLKMEIDDSVGLSGEDVKPLSGDVADALTVAFERYYAYLNSFGADEGYLRKKVENELGMKLIHLKMRCSGLGGDWGRVTVLGTSGLSGSYIEHRA >cds-PLY93707.1 pep primary_assembly:Lsat_Salinas_v7:2:200974417:200974796:1 gene:gene-LSAT_2X121700 transcript:rna-gnl|WGS:NBSK|LSAT_2X121700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGDEGVVGAVFKRSSAYEQDSIHSDGTPINKCLKDKSVSVDGDNIDVIDLDAVTLTTTSVKRPIEIFTTTESFEWSSSKDGVVAPILKISKMEKLE >cds-PLY92559.1 pep primary_assembly:Lsat_Salinas_v7:7:160594562:160594822:1 gene:gene-LSAT_7X94561 transcript:rna-gnl|WGS:NBSK|LSAT_7X94561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLPNDADLLNPPTELAKRKHKLKRLVQSPNSFFMDFKFQGCFNITTIFSHSQTVVVCGNCQTVLCQPIGGRARLTEGCSFRRKGD >cds-PLY97505.1 pep primary_assembly:Lsat_Salinas_v7:1:193793011:193793169:-1 gene:gene-LSAT_1X124861 transcript:rna-gnl|WGS:NBSK|LSAT_1X124861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVMRSDVMKNRSNIRSSTFRPIPKRGQVKVAIVLGLTQSLASFFSFNVRS >cds-PLY67460.1 pep primary_assembly:Lsat_Salinas_v7:6:72957144:72958290:1 gene:gene-LSAT_6X52561 transcript:rna-gnl|WGS:NBSK|LSAT_6X52561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGFGFFVIGFWHLLNHIKLHVQNHKTYHSLPWFPSMKIRYLELYLIMVGCSMSIAMELFIGPNRHQPFDTDGTIPSNHLHNFEHSFISLTFLVYAAFAILLDKFVPKAQYELTQLLAAIAFGQQLLLFHLHSADHMGVEGQYHMLLQILILISLITTLMGISYKKSFMVSFIRSISIFFQGLWLMVMGFMLWTRSLIPKGCFLNLEEGHHVVRCHGEEALERAKSLVNIQFSWYLIWVTIFAVSLYLVMYKIYDEKVEYQTLKSYDQEQIHEDIEAQRKLDESQSFLQMQKSFSPLDMER >cds-PLY66646.1 pep primary_assembly:Lsat_Salinas_v7:1:53143120:53146683:1 gene:gene-LSAT_1X46320 transcript:rna-gnl|WGS:NBSK|LSAT_1X46320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTWTTPADAMPRVGLYICAASLVCTLAIAADVFRGFRKRKLCFPCRFLTINDVSITVITISTKLPLNLSTNNSDRLGIGAKFVSIMFLVPTLGLMNDKELLLNTVALSLTVPETRRILQHQYKELQGLVSNHQEINFSSKALIIYAKKYWMMAETGNPQFAIACSPVSSAFGVLCSFIACSSFTLFIILFSDTSYFLYGKYIFSDYKWSIDVILILQLLGATVGSIAPIFRCLTATSHFKLSQELSKNHLNVFRVEKQRIQRLQMWKSSHVSSHILGRHCKMVFHNIKNMILNIFIAVQIMVVVICNTICLIPITFLIFLSCTYYFCKSLLRSFKEEADESNIHMRSEMEEYVGYVLQIEVKAKLSKKILRNMLNSMTRLLHESEKKEPDNLMTLLKKSTGFYGVIEFDNEQVPPLHSEEIQSCWSLVAVTLTAIALSLPKANFHVQGLLASMREGLDFVTHIEESLNANDELVKAKKAARRVWTDVEVYCRWLQIDLQNKARKGKTPKEILQWLGDEAAKIVIQFKTRKNVSLDHSLCKFIAASCMYKISQTILLHCNGQENWPTDEDLFEWISTIIADLLCACFTNLPRVITMKCHEDAIEKREDNIRTAAQLLGRSIKILKMLKKRQLPNLDMESMGVH >cds-PLY89338.1 pep primary_assembly:Lsat_Salinas_v7:5:113489549:113489976:-1 gene:gene-LSAT_5X50340 transcript:rna-gnl|WGS:NBSK|LSAT_5X50340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTIRFMATQTVENGARSGPRRTTVGDLLKPLNSENGKVVHGWGTTPLMGVAMALFARMGLSS >cds-PLY76316.1 pep primary_assembly:Lsat_Salinas_v7:5:297062982:297064491:1 gene:gene-LSAT_5X158661 transcript:rna-gnl|WGS:NBSK|LSAT_5X158661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCSASRSSPPFHFTTTTITTTAASAFADHQHPPSNSSTSSPSPHHFYSPFSDHYYNSSSTQTPVSRTMSLPTPLIHHPPLRKGDSNHFVSLTSTTYGSLILIDNNTTTTTTDNNRTLEEHPASSPDSVINTWELMEGLDDEFDMINDNPKQPNSYFGKLSNVSKEKTYVSFGLGGPYELVEHSEPKPLWKHLSEESLLSKMDSNLVSSYNKALSSRVLGCKESKLASHSVTEITDTKGGCVLSGCEDRIVLYYTSLRGIRKTYEDCCEIRMILKGFRVFIDERDISMDSAYKEELQGVFEGKGFTLPQLFIRGKHIGGADEIKRLHEEGSMFKFMKGFPIIDPGFVCDNCGDARFLPCPNCNGSRKVFEEEEGRSIRCPNCNENGLIRCAICCS >cds-PLY97765.1 pep primary_assembly:Lsat_Salinas_v7:4:375243899:375246659:1 gene:gene-LSAT_4X185381 transcript:rna-gnl|WGS:NBSK|LSAT_4X185381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSNTHALEATQSQLLLQLRKHLEYPIQLNNWENYTGDFCYLPSTLHVTIRCENNSLTQLKIMGDKDKLSNVTLFHGFAIQNQTLSDSFSIDSFVVTLVRLSTLKVLSLVSLGIWGRLPDKIHRLHSLEVLDMSSNFLFGSVPNEISRLQKLHTLTLDGNFFNESIPDWFHSLSNLTILSLKNNKLTGRFPSSITKITTITDLSLSHNQLSGKLPDLTTSSNLRLLDLRENHLDSELPLLPKGVITVLLSNNSFSGNIPAEFGKLNHLHHLDLSSNSLIGTPPSAVFVLPNITYLNLASNMLSGSLPNSINCGNKLGFVDISSNRFTGKLPSCLDTLSSNKRVVNFTGNCLFVGNGNLESDCKRHTTKKPSWGKVLWILIVVICTVICLVSFGIVLIIFRKRYHSRQTVTLHHTISKETEGIISEAGTQVAPSCRVFSMEELAEATENFDQSAFLGEGSIGKLYRGRLENGGYVAIRSLSLFKRSSIRNLKVRLDLLSKLRHPHLVSFLGYCIHDGGVEDSNSSRIFLVYEYIPNGNFRAFLSENGPERLLKWPDRLAVLIGVAKAVHFLHTGVIPASSSNRLKTNNILLDDYQIAKLSDYGMSVITGELEQFEAKGGDGPKSWRLKKLADDVYDFGFILLEALVGPIKSFGSQDGRQRIVDPMVLTTCSQESLSIVISITNKCISPEPTNRPSFEDVLWNLQYAAQVQSTADLDQKSEGASQC >cds-PLY68000.1 pep primary_assembly:Lsat_Salinas_v7:1:195743546:195747094:1 gene:gene-LSAT_1X125440 transcript:rna-gnl|WGS:NBSK|LSAT_1X125440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNPPQLNSNHFSIIDLLESRSFSWIFVADHHDPWRATASWILFFLLTFLTPVFSHFAFQCSACDYNHRRPFDLIVQVSLSVFSTLSFVSLWRFTRKYGLRRFLFLDELDAVNDKVRRRYSEELHRSTKLLYTFILPCFLADTIYNIWWFISGGIKIPYFYNVYFSHAMACTLHMSSWLYRTSLFLLVCVLFKLTCSLQILRLDDFARVFDKLCDVVPILVEHLTIRRNLRVISHRFRVFILATLILVTASQFASLLVTTVDGSVVDLFTTGELALCSFTLLFGMYICQRSAAKITHKAQSLRSLVTKWHICATIDSFVDMGTTGETPRSNINPQMSDLDFDNEVGDGDDELDNTKFIPVYKHSISYQQRQALGEFIHIVTLWPLTYIENNETGITVYGFMLDRMSINTVFAIQLSLALWLLDKTIGFT >cds-PLY71134.1 pep primary_assembly:Lsat_Salinas_v7:9:81179921:81181552:-1 gene:gene-LSAT_9X64701 transcript:rna-gnl|WGS:NBSK|LSAT_9X64701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSILALVHQGQRSDGFVLPAMNQDLSTLSPSTARRIHQLLLWFMDMQLLRASSSEILMLSQNISGVIAGDDTLKVLHTILFGRKGKAIQVKMNILQFSGFVWQESDSNGCILVSLNDNAETRDWFIDSHEEWRKAKYLDKFILLGHSFGGYVVSKYALKHPEHVQHLVLVGPAGFTSEVDHKSDWLTKFRATWKGAVMSHLWESNFTPMKILR >cds-PLY83967.1 pep primary_assembly:Lsat_Salinas_v7:8:36716464:36717509:1 gene:gene-LSAT_8X28481 transcript:rna-gnl|WGS:NBSK|LSAT_8X28481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCFGIIAESSTRVYGFSKNLPMCHFNFKILKSKIRCKPLILWVGDGVGLE >cds-PLY95336.1 pep primary_assembly:Lsat_Salinas_v7:1:42515021:42522976:1 gene:gene-LSAT_1X37340 transcript:rna-gnl|WGS:NBSK|LSAT_1X37340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIHKWLDELLCALMDSVGCEKCRLWGKLQVENSVVGAPSGVIDQMASAHGEGVGERVNRTPAHSMRRDLKVVVLSSDSKKNRKPKVNVKKFDGGNSRFSKAKKNIQKKKVSLKVMT >cds-PLY72648.1 pep primary_assembly:Lsat_Salinas_v7:3:182923184:182923519:-1 gene:gene-LSAT_3X109881 transcript:rna-gnl|WGS:NBSK|LSAT_3X109881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKISTVSPPEATQSQPLQQQDRVAIVIGVSCGISKAISLHLASLGAKLIVNYTSNSSKADLVVSEINSKFQSESPQAVSFKVDVSNLIQVKALFYAAKSIGRKRRLERE >cds-PLY93413.1 pep primary_assembly:Lsat_Salinas_v7:9:64378064:64381680:1 gene:gene-LSAT_9X56001 transcript:rna-gnl|WGS:NBSK|LSAT_9X56001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKSGRWKAQNSKIKVMFQLQFQATQVPKVKGKGLMISLIPGDIGKPTAKLEKAPVVEGTCTWEDPIYEMVKLVKHQKTETYKEKIYFFNVQTGSSKSGFIGEVGVDFANFAEATEPLQLSLPLTASDSGAILHVFIQKMQRTDEQRESEENESLKSESLRLKNQLSDSSENGNILDFAEDTTVSRNSKPVNKKVEKHQKQRSSTDWSMGSLSDRSMADLINSPEHNNSNNNNQIQNNEDQRLYAEASRNAVEVLKSNVSRLERQVEMSDLELESLRKQIHKENKRGQDLLKKVTELQEEKEALEEEKKKDIATMKELYGELEVEKREKEGLKVHVDDITLDYKFLLQENEDMSLKLENVENEYSKSLTMVKQYEIQVKRLEEKVMNQALEISQSFDTISEYETHIKGLEKELEKQGRDFEDDVEDLMKSKLEADEDLRNARLENQSLKQQMREMQEAVENSNVELSLMKSTHKKEVEKLCDQMNMQEEQIKEMSLELEKVGEREVMVMEFGSLKMETEKLQKESTNWTSLMSEKNVMIRTLQSELKMLRGDYNELQERLLGIESEKVNLRKEVSKLEHNLRKKEDQPKHLKLFQESKVDCDVSTSKQDEQKINKLLSEISSLDEKNKHMESELKEMQEKYTEISLRFAEVEGERQQLVMTLRNIRNGKKK >cds-PLY70099.1 pep primary_assembly:Lsat_Salinas_v7:3:11685614:11687148:1 gene:gene-LSAT_3X8881 transcript:rna-gnl|WGS:NBSK|LSAT_3X8881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAYVFKLQNKRERQNVISSDFSTIGLILQSGDTHVRPQLRSSDVSADGAVILQLSALKLLIFCHISMLKWQERRHYPRHKGSPFLNLSISLPA >cds-PLY82156.1 pep primary_assembly:Lsat_Salinas_v7:1:13843448:13845852:-1 gene:gene-LSAT_1X11300 transcript:rna-gnl|WGS:NBSK|LSAT_1X11300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRESIGEKSGISESVIIKASSLSIKSHKSNGCYIKDSGPDETIFAFTGSWSVQDFYSRDPFGETKIDLSHFPSLKSIGNNELAKINQGFFQKFQSLFQTPSFKSEVERAVKKDKPIIFTGNSSGGPVAILAAVWYLEEYTRSNGRPPCKCLTFGSPLVGDRIFTHALNRENWARFFLHFVGRYDIVPRITLAPFSSLKNEFGHILDFFNPKSRNFRKQSPSGSQEALTFYKTVLKNSSSVASHAACNLMGSTNSLLEVISSFVELSPYRPSGTYVFCSGNGKLICVTNPNAVLQILFYCCQPGSEAEVTDAVSKSLNMDYNDELEESLLGMQDVVYLDDDMEIPMSSNDNGSGDTDTATINAALKDLGLSTRARLCLQAAQAFEKQKLQNQNTIDSKKPQIRKTLKIIADYQKTSEDRKIGYYDAFKIQKGNDDFNANVTRLELAGIWDEIVEMLKRYELPDGFESEEEWIKLGTEFRRLVEPLDIANYYRHSKDEDTGTYLRDGGRPKRYKYTQRWWEHEKKLNEGSSSETIFWAKVEEMKRKPFEEIKDQIVAMEKQVKEWVQKEELNKDVFLEKSTFVKWWKTLPEKHRSESCLKFHFQNST >cds-PLY81322.1 pep primary_assembly:Lsat_Salinas_v7:4:37198457:37198711:1 gene:gene-LSAT_4X24720 transcript:rna-gnl|WGS:NBSK|LSAT_4X24720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGVSPTTXPIIADDFDAAVSIEVGLFPVMSCMPVCCVVALRRTNKRTATSSQWWLSPQEAAAAVALCHHRHHTPPGGWLASFF >cds-PLY71170.1 pep primary_assembly:Lsat_Salinas_v7:1:120701517:120702483:-1 gene:gene-LSAT_1X91100 transcript:rna-gnl|WGS:NBSK|LSAT_1X91100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCTTWWLLLFLYHCLPAHLSGFRSPESPGVRLKNEGLTPLHTIVLVPGIVTGGLELWEGKNMYMAAYDWRLSFQNTEVRDQALSRLKINIELMYVTNGNKKVVVVPHSMGVIYFLHFLKWVEAPPSMGGGGGPGWCDKHIKASMNIGSAFHGVPKAVSGMLSAEGKDVAFFRTMAPGVLDSEILGLQTLEHMMHVGRTWDSVISQSAD >cds-PLY94929.1 pep primary_assembly:Lsat_Salinas_v7:4:108587990:108590665:1 gene:gene-LSAT_4X68920 transcript:rna-gnl|WGS:NBSK|LSAT_4X68920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANGVEEMDRESVVNGLTMFSDEEVREISGFKRGGDDYVEVTCGCTSHRYGDAVGTLRVFTSGDLEISCECTPGCEEDKLTPAAFEKHSGRETSRKWKNNVWVIVDGEKVPLSKTSLLKYYNRALSNGSTRSQAGRVCHRDEFLRCTQCNKHRRFRLRTTEECRTYHDAFINIDWKCSDLPYDKITCDDDEERASRRVYRGCVRSPTCRGCTSCVCFGCGNCRFSDCGCQTCIDFTRNVKA >cds-PLY90651.1 pep primary_assembly:Lsat_Salinas_v7:6:51536839:51540222:1 gene:gene-LSAT_6X37520 transcript:rna-gnl|WGS:NBSK|LSAT_6X37520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLQRKPAKIPSLSDIINVSSGALTPSINKASSWFNTGDKTIPPPELGNSLDDATYTKLILSSTQSGCSAHGKLVQSHMVKTGYNPTLFLHNTLLNMYFKCNESDLALQLFDEMPERNVVSWNSLISGYTQLGQYIFAKEAFIKARTTNVNLSKHTLASLLSICAQTGDLELGKVIHSLIIVTGVGIDAFLTNPLIAMYSKCGRIDQARITFNKCNQLDDVSWNSMISGYAKSNLHNEMLQILVKMHQCEVRFSSYVLGSVLKACCSNFNHSLIWGKLLHSCSIKLGWDLDVVVGTALLDMYSKIGELHDAISIFNLLPNKNVVMCNAMISGMLKFGNKSLNLFLEMQRNGFRPSEFTFSTMIKACIISEDFEFGKQIHALICKNNFDKDKYIGSVLVEFYSKWGSMEDALLCFKSTNKQDIVTWTSMIVGHAQNGEFEKSLILFCKLLSFGLKPDEYTISNVLSCCGNLGSVRCGEGIQCYSVKGGIGDSGVVLNSLIHMYAKSGDIDCANRVFEVADKCDVVAWSSMICSSAHHGCAKETLRVFEMMIESGIVPNDVAFLGVLTACSHGGLVEEGLRYYETMKGEYSISPTEKHCTCMVDLLGRAGRLYDAKSFITNSNFKNTPVMWRALLSSCRIHKNTEVGKQVAETLIELEPESSSSYVLLYNVYTDAGMESEATKIRDLMSTRRIKKEPGLSWIEVGNRVSSFLVGDKSHPQSESIYVKLNDVFEKIKKVGYRNEKKGNGFMVNPHSEKLAVCFGLISLGGGAPLRVMKNLRVCEDCHVVMKLISKVEKREIVLRDLSRFHRFTDGICSCGDYW >cds-PLY70365.1 pep primary_assembly:Lsat_Salinas_v7:4:99400716:99402498:1 gene:gene-LSAT_4X65381 transcript:rna-gnl|WGS:NBSK|LSAT_4X65381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSLLWPVTKPRLQLYFLCGLVYSTITPILLPFIIVFFEFAYMFFPHQSVICEVLVVVLRCINKDEAALAMAQMVFESLYENAANYVHVNADLDILVGMCDVSKLVRREITSWV >cds-PLY66968.1 pep primary_assembly:Lsat_Salinas_v7:7:122706132:122708217:-1 gene:gene-LSAT_7X74420 transcript:rna-gnl|WGS:NBSK|LSAT_7X74420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASCSKTRNPYQFCNDDEQYKDEDEYRSRHNSGFLHDYPSHHSHHHHVHVQTSNNGVVLAESTNDHQFLTTNTTHNQEGSQVPLTLRISDSLIKQLELALTQETQQNNHQELLSQPQPPQNLKASNVPAIFLKIGTWKWESKNPGDLVVKFYYKKKKLVWEFLFGSMKKKIEISWTHVSAINSYVDEDTNGRLEIELENPPEYHQECKFQRLKHTRWEKTDDFTQGQAQLTRRHTLLFSPGVLDKHFEKLLQYDNRLLNISRQPFPIHNSKFFDPNMEFSYNESVYRYPPIPLSSYNHQESVIPSVDHLMQPTSSSYVGHSGSNLPMSGLMFVNPGDIPREVSYVNQETNGIGEQSSNNQIQETPFPPIREQNDFEPREALESIISDQQLQLDHVNNPNPTQLTTDINCLNIDSNSKPFEQNHQTNLNPYGNSESNGNVNHVGKLHINLADAFTLDDYLTDDLITGDDVKNQMALDSDFFNFGEQQLNDIFGIQEPFSQLSSQLNDNNGGYGELPLQGSNSMGCSLLENDIEDKI >cds-PLY97006.1 pep primary_assembly:Lsat_Salinas_v7:2:33085590:33089197:1 gene:gene-LSAT_2X16901 transcript:rna-gnl|WGS:NBSK|LSAT_2X16901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKVRNQKVVLKDYINGFPKESDLLLESSGTVDLKLPQGSNGVLVKNLYLSCDPCMLCQMGKTQSRYFQSYTPGSPIIGYGRVARVVDSANSNFKEGELVWAGVAEWEEYSIITAPETLFKIQNTDVPLSYYAGILGLTGLTAYVGFYELCAPKKGEYVFVSAASGAVGQLVGQFAKQSGCYVVGSAGTKEKIELLKNKFGFDEAFNYKEEKDLDATLQRYFPNGIDIYFDNVGGKMLEAAIFNMNLNGRIACSGMVSQYSLEQGDGVRNLLCLVTKRLRMQGFITGDHFDLYPKYIEMVIPLIQQGKICYVEDIVEGLESAPAALMRLFSGRNVGKQVVVVARA >cds-PLY68386.1 pep primary_assembly:Lsat_Salinas_v7:8:22765742:22767408:1 gene:gene-LSAT_8X17240 transcript:rna-gnl|WGS:NBSK|LSAT_8X17240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNSTMSLKLLVNKKDRKIVFAEANKDFIDFLFYMLTLPIGAIMKLLANETLLGSLGDLYQSIHNLNDMYILQNKTKDTVLNPKLSTHVPHLQDLLLLPIDPPTTIERKFYRCSASNHRCRHVTDEPKTLCPNCRYPMSEEVAYVSLDTYKVATIVSAGEVGFVKAVVTYMVMDDLSVKPMSTISTITLLNRLSIKDVCVLEEKEVHFGIAEGLKLLKASLECKNVLTRVFLDSEDHVEIV >cds-PLY72758.1 pep primary_assembly:Lsat_Salinas_v7:4:372234864:372235519:1 gene:gene-LSAT_4X183200 transcript:rna-gnl|WGS:NBSK|LSAT_4X183200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHHLIERCILFRMGRDDCMRTLAKHANIHPTVTFTVWEELLKENKTFFQAYSRSILPSNIVLNGQPQETNNIDCYGRTQWRR >cds-PLY76255.1 pep primary_assembly:Lsat_Salinas_v7:8:32748722:32750238:-1 gene:gene-LSAT_8X26860 transcript:rna-gnl|WGS:NBSK|LSAT_8X26860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSPHLQSASARPSISSMNLSENQKMAPRQHTTTMEKLSGDVLSNIFIRLFAKQLAQMRCVSKSWNALLSRSSFINSHLHRSTHNNNDKILMLFRKACDFGSEPITTHPSPSPHVELTNFIKLPVNLNFEGASVIGSVNGLLCLNCRSYSGSGLHIWNPSLSAVLAIPPYSMSSHGYDQKETLFRFGFDPKTDDYKIVKLEALLETERIDLILVAFLGISLISVKEWLQVEVYSMRKGSWKVTTQRFPSQITGISITDDVCVDGHDGHLHWLCCIDEIGKQQIIVAFNLDDESFSEISLPDFTLDSSSTLSYRHNVLGVLGGKLCVMSRGGGVLECEVWEMDEYGNGMAESWVKHHAFSQFSSDIFPYGFTLHNEFLFVAYNGRLALYDPIEAMVKIFKTIDRACCVVKVVNYVDSLVWVAPSECDISSCNISRLPI >cds-PLY84751.1 pep primary_assembly:Lsat_Salinas_v7:5:229901907:229904568:-1 gene:gene-LSAT_5X109981 transcript:rna-gnl|WGS:NBSK|LSAT_5X109981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESLPEAVVQYILLNMNNAKDVASCNCVSRKFKDSMPYIKSLYFPRSIFDNLKDGHTPDCIILEIVSSIFELEELVVYCPFTSTGLTSWLSLVGPTLKNLELRMDNLADQNTDSMSKLECIQFAKNLESLRLWGVLMVRAPKWDVFQKMKNLEIVGAKLEDSALTEALKATPNLTNLVLLGCEGLRTVWIELLQLEHCKLDFYGSGSCSLTLSAPKIEYLEVQGCSWIRVRDTNCLKNLSISNNAGRVYMVDFGNKLMALESLCIRGVQWCWDAINKILHLASEVKHLYMKVEFTGDFEALLPFPEIDFVDFFKSHPKLKTFDIHGAMFAALCQKNSLKNVDSSFLIPCLEEVVITVRSPLNAEQKMSTLESLVKFGKNLKKMKIRILRMKSGHSSADDFFEEICRFRYMNHNLISIE >cds-PLY95790.1 pep primary_assembly:Lsat_Salinas_v7:7:96307645:96309000:-1 gene:gene-LSAT_7X65301 transcript:rna-gnl|WGS:NBSK|LSAT_7X65301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPVRSATSHTLKYAYGRMEKQVCLVDANSLCLPLALHPLLKLDDSVSLPSDFIKHVSSFSCIHVGLDLVETGGPIETVVRLPEVVEQKRPAYPELIGEVLVVATLVLHTFNLQATDSMKLDGEEVLMKEGGMYAELVEQHRAVIDMVVVLENLRALLEADYERCIWENEVLCAQLSTKLS >cds-PLY77081.1 pep primary_assembly:Lsat_Salinas_v7:MU042616.1:607666:609588:1 gene:gene-LSAT_4X76920 transcript:rna-gnl|WGS:NBSK|LSAT_4X76920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTHTYSSEDAAPDGPDSDLFVYYCKHCSSHVLITDTQLQKMPKRKTDKAYALDKKKHLTRLNINEAGKILLKRGEGKLEKDFRMNCIGCGLFVCYRSEEDLESASFIYVVDGALSTVAAETNPQDAPVPPCISQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNSKSKLLVVEDLTGRQVYEKLLEAVQP >cds-PLY98277.1 pep primary_assembly:Lsat_Salinas_v7:7:166705521:166708965:-1 gene:gene-LSAT_7X98841 transcript:rna-gnl|WGS:NBSK|LSAT_7X98841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLHFFMLTLVLYVPQSILANEFSSSTQSLRHSDECSILFQFKEDMSINQSASSDPHAYPKVASWKLNKSDCCFWDGVECSEKSSGHVIGLDLSSSFLYGPINSNNSLFNLIHLRTLNLADNDFQFSQIPSRIGGLLHLANLNLSYSLFGGQIPNEITQLTQLISLDLTGNPLKLQALSFKNLVQNITDTLRELILSGVNIDSEVPVILTNTSSITSLVLRDCGLRGEFPTGIFHLPMLQHLDMSGNHDLFGYLPKFHANSQLTNLNLAETNFHGMLPRSIGNLIHLNQLDVSGCNFSGPLPGSISNLTQLTLLRLDYNRFWGRIPSLVSLSKLTYLILSSNEFDGRNLSEWVGKLPNLLHLDLRLNNLSCEIPSSIGNQTQLRRLYLNYNNMVGEIPSSLANLTRLTDLILGFNDFTGRIPSLESLSNLNVLYLSLNNFDRWKLPDWIGKLNKLTYLQLVAVNLYGEIPSSIFNLTQVEDLLLSSNQMEGRVPPFPSTMTKLTSLILQKNQLKGPIPRSLLNLQNLEAIVLNDNNINTTVEVDIFLGLRNLKLLTLGGNRITLSVMTNITSDMLPKFEILKLESCYMKVFPDFLRFQDQLQEVYLDDNEIDGLIPEWMGNVSKESLQTLSLSKNSLIGFEQHWPVLPWVGLRLLDLSHNMLHGSIPVPSSTTMNYLVSNNKLSGKIPPSICDLHSLQLLDLSFNNISGSIPPCLEKLNSSLLVLNLRGNTLQGTIPNAFKNRSKLLMMNLSENQLEGQIPRSLENCASLQILDLGNNRMEDLFPFWLGALSDLQVLILRFNKFHGALKIPSKSNSTFSKLRIINLSFNSFSGDLPHQLFQDWSAMKETEQNAAYMEANVDIFGTKYYFWLGNYSYSMNMTNKGVKTEYEKVLNIFIAVDLSSNKFGGKIPEAINTLSNLRLLNLSNNELSGTIPSFMGNLRNLESLDLSRNKFSGSIPQELVQLNFLSFFNVSFNKLIGPIPQGGQFSFQNMSYMGNSALCGEPLSKDCGDPKALEHPTISSAEATWSDLPSGVDWVFMVSGVASGLVIGILFGGHLTRGCYKWFLKRFRN >cds-PLY83207.1 pep primary_assembly:Lsat_Salinas_v7:1:49962444:49967016:-1 gene:gene-LSAT_1X44501 transcript:rna-gnl|WGS:NBSK|LSAT_1X44501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFPNDDDLLNPPAELVKQHKLKQLVQSPNSFFMDVKCQGCFNITTVFSHSQMVVACGNCQTVLCQPSAILTEGCSFRRKEDWSYCLLLCMVNQKLLWCYYLKTMHGYKTSLKFQNLNEENQNIQCQVPADGIFISSYSLSIDESSMTGKSHTVDKDHKSPFLMAGCKVADGCGTMMVTSIQINTKWGLLMASISSNDNDEETPLQVRLSEVAMFIGKIWLLVAVSILVILLIRFFTGYTEDDEGKLEFIAGKTSVNDAMDGVIKIFTVIKSPTRLITSMAQVTTSLLTNTVYAISDVATQDQVPENDVKGISKDKPLYDLSVSLL >cds-PLY72102.1 pep primary_assembly:Lsat_Salinas_v7:7:53115411:53116418:1 gene:gene-LSAT_7X38641 transcript:rna-gnl|WGS:NBSK|LSAT_7X38641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMVVESFQNTKHVHLLSPVDVNRSGWLENNAAQYSVDIELSSLVLSLDEDQLQQILYLYDYLCTCQLREKGRKRRYVKLYKLKLECLRKEQPLDAEIVLELDQVEKVSDIEDILGYRSAAEHELQV >cds-PLY83662.1 pep primary_assembly:Lsat_Salinas_v7:4:42557879:42561636:1 gene:gene-LSAT_4X29301 transcript:rna-gnl|WGS:NBSK|LSAT_4X29301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRRGLSSSTVSRTLLCNGVPSRQFSSSTGAVKFDFTDLTRPHTWYPIARRKKRNVILHVGPTNSGKTYHALKKLELSSSGIYCGPLRLLAWEVAKRLNKAKIPCDLITGQEKEVVEGAKHKAVTVEMADVTSDYDCAVIDEIQMLGCRTRGFSFTRALLGIPANELHLCGDSAAVPLIQEILSVTGDNVQVQYYERLSPLVPLKTPLGSFSNIRTGDCIVTFSRHKIYKLKRKIEDDGKHLCSVVYGSLPPETRTRQATMFNDESSEFDVLVASDAIGMGLNLNISRIIFSEMEKFDGFEMRPLSVPEIKQIAGRAGRYGSKFPVGEVTCLDPKDLPLIHSSINCPSPILESAGLFPTFDLLYMYSRSHLNIGLCQILEQFVENAKLSANYFIANCEEMLKVAAVLDELPISLHDKYLFCLSPADMSDEILSQGLTQFAHTYAKKRIVRLREIFTPGTLKVPKTQTELKELESIHKVLELYVWLSFRLEDSFPDRDLASSQKSICGMLIEEFLERLGVQKPMPKKLKQFR >cds-PLY83481.1 pep primary_assembly:Lsat_Salinas_v7:2:182619288:182621310:1 gene:gene-LSAT_2X105060 transcript:rna-gnl|WGS:NBSK|LSAT_2X105060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIDDNSPSARSLGSFSTTTDLLKLSTSSRSESPIANLSCSSSSRHTPLPSTTTKDTIRRLVKANGDTLSLDEMRFVQRLGSGDIGSVYLVELKCAEGCMLAAKVMDKEELMSREKEGRARIELEILQMLDHPFLPSLYASLEVDRWSCLLTEFCPGGDLHILRQRQPDRRFDEAAVRLIFMPNVVSIHNAKIMFYASEIVVALEYLHMMGIIYRDLKPENVLVRSDGHIMLTDFDLCLKCQDSTTPKLVQGQDQLSISSPSTTLCTKGSLSPFILPRCSMPKVLSCFHPKQKRRPQPSNHRPPFDIMAEPVEARSMSFVGTHEYLAPEVVSGEGHGNAVDWWTLGIFIYELFYGVTPFRGGDNEFTLTSILARGLQFPKEPASSSAMKDLITKLLIKEPTKRMGSMKGAPSIKNHPFFSGVNWALLRGASPPYVPRPVSFRDFVAQNDHCDDHIDYY >cds-PLY85861.1 pep primary_assembly:Lsat_Salinas_v7:9:128612139:128618893:-1 gene:gene-LSAT_9X81340 transcript:rna-gnl|WGS:NBSK|LSAT_9X81340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 13 [Source:Projected from Arabidopsis thaliana (AT4G24250) UniProtKB/Swiss-Prot;Acc:Q94KB2] MVESGESRSLEYTPTWIVAVICSIIVLISLLAERGLHHLGKWLKQRGQDALYEALQKLQEELMLLGFISLLLTVSQNTISKICIPPHLANYMLPCKRKEETPSKTGHLNLNFHFGRHLLAKDIGTQHCGSQGKVPLVSLEGLHQLHIFIFVLAVVHVIFCATTMALGVAKIQEWKHWENSIHPKNGHVHLHHRQFFTQRTMGHYRKKPVIRWIVSFFKQFYGSVTKSDYIALRSGFIREHCPGNPNYNFHKYLLRTLELDYKRIVGISWYLWLFVVIFLLLNISGWHTYFWLSFLPIILLLLVGAHLEHIITILARDVVEKGADAVKPSDDHFWFNNPMIIIYLIHFILFQNAFEIGFFFWVWTTYGFDSCVMDKVGYIVTKLVLGAIVQVLCSYSTLPLYAIVSQMGSMFKPSVFDQFALDLISDWVGERSNAASTHSHGLRNQPQLTDEIVTTDQGTTASVVELSSLTPIPEQV >cds-PLY92996.1 pep primary_assembly:Lsat_Salinas_v7:4:193024632:193026457:-1 gene:gene-LSAT_4X110420 transcript:rna-gnl|WGS:NBSK|LSAT_4X110420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGATTSSSLKSAFSYCVQQVRNYDYHHYLCLLELPTTMRRAAFALRAFNVETSRAMDVASDPKIGLMRLLWWQDAIDKIFKNKIIEHPTALALASVISDQKISKNWLKRSVEARINDAQRDVDDIYQTIEELEKYAEDTSSTLLYTTLQAGGIASTTADHAASHIGKASGLLLLIKSLPYHANRNHSFSYIPLKVAEKHGLLVKDGDRVEIRTDSRERLSDAVFDMASMANAHLQKARVLTESVPKEARSILLPAVPSQVILDSLSRVGFDVFDSRLNRGILGVPPLLFQLKLKWHSWRVLHFGNVDMYAYHDMIIKVCTCKQVTIIFKS >cds-PLY88037.1 pep primary_assembly:Lsat_Salinas_v7:6:182612273:182614252:1 gene:gene-LSAT_6X110841 transcript:rna-gnl|WGS:NBSK|LSAT_6X110841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METEVHTNYSYMGRNFSNITLSNDDSAAFSDCNSDRSGEFPTTSATRRLLLACATESSDDLIQQLVSDLDSDSIEDQKQAAMELRLLAKNKPENRVKIARAGAIRPLISLISSADPELQEHGVTAILNLSLCDENKEVLAASGAIRPLVRALKVGTPTGKENAACALLRLSQLEENKAAIGRSGAIPLLVDLLETGNFRGQKDASTALYSLCSLKENKVRAVQAGIMKPLVELMADFESNMVDKAAFVMSVLTPLKEARAALVEEGGIPVLVEIIEIGSQRQKEIAVVILLQICDDSVVYRTMVAREGAIPPLVALSQSGTKRAKQKAETLIELLRRPRSGNAAASSD >cds-PLY89773.1 pep primary_assembly:Lsat_Salinas_v7:8:123304630:123309403:-1 gene:gene-LSAT_8X85441 transcript:rna-gnl|WGS:NBSK|LSAT_8X85441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Respiratory burst oxidase homolog protein E [Source:Projected from Arabidopsis thaliana (AT1G19230) UniProtKB/Swiss-Prot;Acc:O81211] MMGSDSPASSRSDGRSNYSRMLDYPLDDSNDVYGIGGAMLPIFLNDLQRNNDQDLVEVTLELEDDSIILCSVTPTATANSNSHPFPVSSQSQSPPAATSRLRRKFSWLTSSSSRASSSDASVDRAIPSRDARKMKAKLIRTKSSAQRALGGLRFISKTTNASDVSDLWKNVESRFACLAKHDGLLAREDFAECIGMADSKEFALGVFDALARRRQQKLGKITKAELYEFWLQISDQSFDARLQIFFDMADSNEDGRITRDEIQELLVLSAAANRLSKLKEQAEEYASLIMEELDPENLGYIELWQLETLLLQREAYMNYSRPLSIASGGWSQNLNSLKPKSIIHRVAHFVRWVMIENGQRTWVLMLWFLTMACLFIWKFKQYKNKAAFQVMGYCLTTAKGAAETLKLNMALILLPVCRNMLTFLRSTRARFFIPFDDNINFHKMIAFAIAIGIVVHVGNHMVCDFPRLVNSSPEKFALIASDFHNEKPTYKDLMVGVEGVTGITMLILMTFAFTLASKYFRKNLVKLPSPLNRLTGFNAFWFSHHLFGLVYILLLIHGSFLFLVHKWTQKTTWMYISVPLIIYLLERSLRTGRSEHYSAKIIKVSNLPGDVFTIIMAKPNGFKYRSGQYIFLQCPSISPFEWHPFSITSSPGDDYLSVHIRTVGDWTQELKQVLNNDNGSPCTIGRAKFKKLGDVNSKGLPRLLLDGPYGAPAQDYRNYDVLLLVGLGIGATPFISILRDLLNHNRAVDDQMDSNTETSISGDSLTSLASSSMASSSDNKKKSKKAKVANFYWVTRESGSFEWFKGVMDEVAEMDHKGQIEMHNYLTSVYEEGDARSTLITMVQALTHAKHGVDILSGTRVRTHFARPNWREVFSKVASKHPNSTVGVFYCGMPVLAKELKKLSYEMSHKTSTRFEFHKEYF >cds-PLY76408.1 pep primary_assembly:Lsat_Salinas_v7:2:65266478:65268167:1 gene:gene-LSAT_2X29041 transcript:rna-gnl|WGS:NBSK|LSAT_2X29041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKFNTQILCIRLGCSTHVITVTSPELACEFLKKQDAIFISRPDFFSAYLLSDGYHTAALAPLGDQWRKMRRIITHDMLSSQVHKWFQPKRDEESNQLLGYICNQIQNGDNITDGGLINIRMVSRHFCGNLMRNMIFGRRFFGKGSEDGGPGEEETEHVAAIFNILKYLYAFCITDYHPWLRGKTDFDGHEKSMRSALKIARKYQDPLINERIQMWKNGDRMEKHDLLDVLIQHDNPKVTIVEIKAQIIEIMGATVDNPSNAVEWTMGEMMNEPTLLKRAVEELDHVVGCNRLVQEQDLPQLNYLKACIKEAFRLHPFAAFNPPHVSTMDTTVAGYFIPKGSHVLLSRRGLGRNPNVWTDPLRFNPDRHLQGEEKQVVLTDDELRMISFSTGKRGCPAVVLGSTITTIMLARMLQGFTWEPICKEVPINLDENHDDLSLAKPLVLIAKPRLPQHLYPNI >cds-PLY74643.1 pep primary_assembly:Lsat_Salinas_v7:7:40669611:40670920:-1 gene:gene-LSAT_7X32400 transcript:rna-gnl|WGS:NBSK|LSAT_7X32400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAVGPSSAMKEPRNWLLMPDELMENILGRLSSVEKLRSAGQVCRTWRRICKDPAMWKVIDINKWQDGCDKNYKIEMLTKQAVDLSCGELIDISIGGFCTDDLLDYIVLRSRKLKRLDLWSCDHIGDSGFSWAIKKLSQLEELEFSYIFISVEDIEVIGQNCPQLKSFKVFDVIYTRPYIEYDDLAVAIANNMPGLRHLQIYGHEMTNDGLKAILNGCPLLQSLDVRE >cds-PLY86249.1 pep primary_assembly:Lsat_Salinas_v7:8:56340751:56347219:-1 gene:gene-LSAT_8X40260 transcript:rna-gnl|WGS:NBSK|LSAT_8X40260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPLTGLQHRDVGGGGVAVMAASGGGAVNQMDPSSSNQSSKKQSSPIHIFLFFHKAIRSELDALHRSAIAFATNSHVEIEPLLKRYHFLRSIYKHHCNAEDEVIFPALDIRVKNVARTYSLEHEGESVIFDQLFTLLDSDMQNEESFRRELASCTGALQTSINQHMSKEEEQVFPLLVEKFSFEEQASLVWQFLCSIPVNMMAEFLPWLSASVSSDERHEMRNCLCKVIPEEKLLQQIIFTWMDGANGFKKRKSSEECEEGHYCPCSSSRPKKRESFLRSIDDSTDSLHDRPVDEILHWHKAIKKELIDIAEAARRIQLSGDFSDISAFNKRLQFIAEVCIFHSIAEDKVIFPAVDAELSFAQEHAEEESEFDKFRCLIESIENDGANSSSSEFCSKLCSHADHIMSIIEKHFKNEELQVLPLARKHFTPKRQRELLYQSLCVMPLRVIECVLPWLVGSLTEEESKSFLHNMHMAAPPSDIALVTLFSGWACKGRPREICLSSGTTGCCPARAFLESNNGCNPSCCAYNDMTSDDSGRSNKRSNSTPHQENKNHGSTRSKQSCCVPGLGMENNNLGTSSSKSLRSLSFNPSSSTPSFSSSVFNWETGISLIDTEGNGRPIDTIFKFHKAIRKDLEFLDVESGKLNETNESFLHQFNGRFRLLWGLYRAHSNAEDDIVFPALESKETLHNVSHSYTLDHKQEEKLFEDISTSLFELCELHENLDMCDDSFRNYNELATKVQGMCKSIRVTLDQHILREELELWPLFDRHFSVEEQDKLVGRIIGTTGAEVLQSMLPWVTSVLTQEEQNKMMDTWKQATKNTMFTEWLNEWWEGSSPSSEEASQTDANISQGGDVHEHEALDPNDYTFKPGWKDIFRMNQNELESEIRKVSRDPTLDPRRKDYLIQNLMTSRWIAAQQKLPQGRKGETSDSEGLHGFSPSFRDTEKQIFGCEHYKRNCKLRAACCQKLFTCRFCHDNVSDHTMDRKATTEMMCMNCLKIQPVGPNCSTPSCNGLSMAKYYCSYCKFFDDERTVYHCPFCNLCRLGKGLGVDFFHCMTCNYCLGIKLVDHKCREKGLETNCPICCDFLFTSSAAVRALPCGHFMHSACFQAYACTHYICPICSKSMGDMSVYFGMLDALMASEELPEEYRNRCQDILCNDCDKKGNAPFHWLYHKCGRCGSYNTRVIKVDPIAPNCLN >cds-PLY99264.1 pep primary_assembly:Lsat_Salinas_v7:6:184996115:185009177:-1 gene:gene-LSAT_6X112260 transcript:rna-gnl|WGS:NBSK|LSAT_6X112260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALESKHSWLFSFSCSVLFSRKGENKHRQIHLGGIDQQIALAKQRVHEYIYTQMMRETYGKANSSGVRIGKESSS >cds-PLY93785.1 pep primary_assembly:Lsat_Salinas_v7:6:142982230:142982391:-1 gene:gene-LSAT_6X85281 transcript:rna-gnl|WGS:NBSK|LSAT_6X85281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPLISAASIIVAGLAIGLASIGPGVGQGTVAGQAIESIARQPEAEGKIQGIA >cds-PLY69573.1 pep primary_assembly:Lsat_Salinas_v7:4:84981587:84983816:-1 gene:gene-LSAT_4X56261 transcript:rna-gnl|WGS:NBSK|LSAT_4X56261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCKIAQELLVPNAAHVVRMLLPDYANGDLSTLCVWPDQIRHWYRYRWTSPLHFIDTPDDACSFDYSRDCHDTHGGKDMCVAGAIKNYTSQLSHYHEGTSDRRYNMTEALLFLSHFMGDIHQPMHVGFTTDEGGNTIGLRWFRHKSNLHHVWDREIILTAAADFYEKDMGSLQKAIQANFTHGLWSEDVTSWKDCDDLSTCINKYAMESIKMACTWGYKGVEAGETLSDNYFNSRMPIVMKRIAQGGVRLSMILNRVFGGLDPLKDTLVAT >cds-PLY73275.1 pep primary_assembly:Lsat_Salinas_v7:8:206105598:206106127:1 gene:gene-LSAT_0X44280 transcript:rna-gnl|WGS:NBSK|LSAT_0X44280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVYKYAQERPVMFSSFQPDVALIMKKLQTKYPVYFLTNRGTVIFDDVRMNSLEEAKKLAINGGLDGIVFEVKDIFRYPSVVREIKESNLSLLTYGKLNNVPEAVHVQYLMGVEGLPS >cds-PLY71879.1 pep primary_assembly:Lsat_Salinas_v7:7:121053079:121053944:-1 gene:gene-LSAT_7X74101 transcript:rna-gnl|WGS:NBSK|LSAT_7X74101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSIRRKCCCVVVLKGLMNVIRYEGLVVQGSNLFWNDELLLVTDLLLFGWSLDQFSLEGSSVIILHCVKPYFPLMVPD >cds-PLY69005.1 pep primary_assembly:Lsat_Salinas_v7:9:140877643:140879145:1 gene:gene-LSAT_9X90400 transcript:rna-gnl|WGS:NBSK|LSAT_9X90400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G13120) UniProtKB/Swiss-Prot;Acc:Q9LK61] MAISSSLVATLFPLCNSSSMSTTPKLSASLFIPNGSSTSRIQFQSPRLSTTRVFAAPEALEQTLQTEDPESSTVIVGSDSEKLAPKQKIRIKLRSYWVPLIEDSCKQIMDAARTTNAKTMGPVPLPTKKRIYCVLKSPHVHKDARFHFEIRTHQRLIDILHPTAQTIDSLMQLDLPAGVDVEVKL >cds-PLY79513.1 pep primary_assembly:Lsat_Salinas_v7:1:33662833:33663204:-1 gene:gene-LSAT_1X33180 transcript:rna-gnl|WGS:NBSK|LSAT_1X33180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINHQELATIRAENLPVKFMLLNNQYLGMSVQWEGILFKRHRAHTYLGNPMKDPEIFPNMVKFANACDIPAKRVTGKEKVRSAIKDMLDTPGPCLLDVVISHQEYVFPVIPSGGTFMDVITEW >cds-PLY95528.1 pep primary_assembly:Lsat_Salinas_v7:6:174978328:174983408:-1 gene:gene-LSAT_6X107180 transcript:rna-gnl|WGS:NBSK|LSAT_6X107180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAVESSPPTAVKKPFEEENEGKDDEESPIEEVRLTVPTTDDPTQYLWTFRMWVLGLISCVLLSFLNQFFSYRTSPLVITQITVQVATLPIGRFMAAVLPTTKFSLKLPGCKSRKFSLNPGPFNMKEHVLITIFANAGSAFGNGSAYAVGIVNIIKVFYFRNITFVAAWLLIITTQGTLLTCAGGGQVLGYGWAGLLRKYVVEPSHMWWPSTLVQISLFRALHAKEDDDDEDPNSDNKKRMSRPKFFVIVLACSFCYYLFPGYLFQTLQSISWVCWAFPKSVTAHQLGSGFSGLGIGAFSLDWATTASFLFSPLISPFFAIVNVFLGYFMIMYMVMPISYWGLNVYNAKNFPIYSNDLFTGDGQLYDITKIVDNKFEIDYGEYAKQGRVNLSTFFALTYGFGFATIASTITHVGLFYGKEIYQRYKASTEGKVDVHTRLMRKYKDIPSWWFYVLLTITLMISLALTIFMKDQIQMPYWGLIFAAFIAFSFTLPISIITATTNQTPGLNIITEYAMGLIYPGKPIANVCFKTYGYMSMTQAISFLSDFKLGHYMKIPPRSMFLVQFLGTIIAGTVNLSVAWYLLNNIEDMCHPDPKSNSPWTCPYDHVFFDASVIWGLVGPKRIFGSLGNYGALNWFFLGGFVGPIIVWLCHKAFPKVSWIPLINLPVLLGATAYMPPAAAVNYNSWILVGTIFNFFVFRYRKMWWKRYNYILSAALDAGVAFMAVLLYFTTGLENISVNWWGTNNPEHCDLATCPTARNITVDGCPIF >cds-PLY71496.1 pep primary_assembly:Lsat_Salinas_v7:2:122421361:122424468:1 gene:gene-LSAT_2X56441 transcript:rna-gnl|WGS:NBSK|LSAT_2X56441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRTVRRAVIIGNGFAGAENQCVGLVRALGLSHRLSLYRVHRPKNGINKWLNFLPVSTHKRLDRLKSQIFNPQSTVSSHGKKSVARTDQSEILEADADLIATNAIETFEKNGPLLVVASGRDTISVATSIKRLAPDHVFTIQIQHPRSNLNRFDLIITPHHDYYPLTPEGKKHIPWFLHGLFTPNQGKNVMLTLGALHQVDSAALQHAASTWHDELALLSRPLLVVNIGGPIRHCRYGGDLARELTTSLMNVLPSCGSVRISFSRRTPHQVSEMLVKEFYPNPHMGHLALADAFLITADSVSMISEACTTGKPVYVIGAERCTWKFAYFHKCLQERGMLRPFTGKENITETWIYPPLRDTSEAAEHVIKALAERGWKLHP >cds-PLY91023.1 pep primary_assembly:Lsat_Salinas_v7:7:177798422:177802114:-1 gene:gene-LSAT_7X105380 transcript:rna-gnl|WGS:NBSK|LSAT_7X105380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGNEDEEDNGNDCFYESLDRILSSATCSSSSSSSCSDDEDDSEAYLRDPNCSTSPSNNASGRTLSIPKFPMGVTGNYDVWISQPSSVEERRLRLLRQMGLSREPSSVSRHKPSSSVSSAADLKLGKSVSADHLDTDATTQAHQVSGSNPVIIRSKSADHEHRDSNSNSHSRQEILLINSLSSSAVDSASSATVNNIVDKHVLAKSPSINANAASPSKPPTGKILRRSEEIIKFDISSNVNSNDGDATGMDLDCGTGREGDDAAVCTIKNLDNGKEFVVHEVREDGMCENLKEVGTGRHLTMEEFEMCVGHSPIVQELMRRQNVEAGNSDLPDSNGNSSGGSGSKSKKKGSWLKSIKNVASSVTGHKERRSSDERDTSSEKGGRRSSSATDDSQDVSFHGPERVRVKQYGKSHKDLSALYKSQEIQAHNGSIWTIKFSLDGKYLASAGEDCSIHVWQVVSSDRKGDLLFDKQEDGNLNVLLMSNGSPEPTSLSSNLDTSIPEKKRRGRLSISRKSISLDHISIPDTMFALSEKPFCSFNGHLDDVLDLSWSKSQHLLSSSMDKTVRLWQLSSKSCLKIFSHSDYVTCIQFNPVDDRYFISGSLDAKVRIWSIPDRQVVDWNDLHEMVTAACYTPDGQGALVGSYKGSCRLYNTTENKLQQKSQINLQNKKKKPHHKKITGFQFAPGSSTQVLITSADSRVRVVDGEELVHKFKGFRNTNSQISAYLASNGRYVVCASEDSNVYVWKHEGDSRASRTKGVTVTQSYEHFHCQDVSMAIPWPGMTDWGYNFSGELEVAGGATTTTTTNTTAATANHHHPPTPENESNGTDGSPLTSVNSPVNGTITSATNGYFFDRISATWPEERLVLGTKNRGQSPRTSVDFSNGVGPGKSAWGMVIVTAGLRGEIRTFQNFGTPVRI >cds-PLY86290.1 pep primary_assembly:Lsat_Salinas_v7:8:56665665:56665910:-1 gene:gene-LSAT_8X41361 transcript:rna-gnl|WGS:NBSK|LSAT_8X41361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNHVSEREVFDNIEDSKTEYDSVMTSIRSISMESIVVARIVVGEIERNSGNSRQFSRVTRWRRFKNMKSDERVCCIGFYN >cds-PLY95671.1 pep primary_assembly:Lsat_Salinas_v7:2:114588653:114589393:-1 gene:gene-LSAT_2X53440 transcript:rna-gnl|WGS:NBSK|LSAT_2X53440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRMYPDPGCDLLTLFRITKSKKNVAKKDSVLEFECKAPFYEYNRHNIRGSCNGLLYLSEYSDTDFPSTTLSVIHPLRNQRYELPPIKIMQSSGLGFDASTNTFKMLALALVKIGKRMYTEHTMVHVLGTDSWREITQIPRYLMKGKGIFAHACLHWLGFHRQMRHTVSFEFFEPLPPNHRKKVVRFDIRKEEFGLIDPPRKTGDDWVETMLVDLHGEVGLVYYSTHSFSMELWVLKQNDQWMIQC >cds-PLY73986.1 pep primary_assembly:Lsat_Salinas_v7:6:111581354:111583330:-1 gene:gene-LSAT_6X69420 transcript:rna-gnl|WGS:NBSK|LSAT_6X69420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METSSRNFSNSSSFNKSNIDQEGWVKSMRKSIAEPDNEEISKFPVCIFSVPKVLLATDPDSYIPQQVALGPFHHWREEVYDMQRYKLEAARRTQKLMNTSFEEMVEEMRKQDEARIRACYHKFLDMSGEVLVWILAVDMAFLLEFLRVYSVKEQARSSVENCTSSVSHLVDTSGSSKMSQMAILRDLVMVENQIPLFLMKTMVENQIRDDENKSAAETLKSMLMGLYHEVSPFKEKQLPDIDIDDCDHLLDFLYHMTVPNNKELHIEAIEIDYEGITEDANDGDQEESFAKPSDVQHFKDFIWKIVSKSNASLLRIFKKLIFMKPVTVMMKLPLKVLSNIPMLNLIKEPVEKMIQNIRGGGEEKSKDDKDSNVPLIEEIKIPSVTQMATAGILFSPVNDGIFGISFDIKTSTLYLPVVILDVHTEVYLRNLVAYEACVAAGPLVVARYTELMNGIIDTEEDAKLLSERGIVQNHLKSDKEVADLWNGMSKSVKLTKVPKMDKVIEDVNKRYSKTWRVKLSRFMKKYVFASWKLLTLLAGMSMLFLTTIQAVCSVYSCARVFNKLPEIPEGTAE >cds-PLY81522.1 pep primary_assembly:Lsat_Salinas_v7:2:126931035:126931897:1 gene:gene-LSAT_2X59400 transcript:rna-gnl|WGS:NBSK|LSAT_2X59400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAACGSLQHIFDKPSPLETPKASIDDSWKRINPNKAIDDMFSELHFNEKNDLRPSTNHYQCTSVGMNSESLSMCTEGLGFESFDDVVEDMKEKQDVHIDHQRKQQQQEERTTKHAVSEMLSGDQSKRSRIKGMEFPPPISSIGISGKPWVYLESFRSNGRFILKEVKIPTQELLHACREDGRLKLQFIQSDGEALNEEDDDEERKNGGEVRNNGDGT >cds-PLY99770.1 pep primary_assembly:Lsat_Salinas_v7:9:53195953:53197325:1 gene:gene-LSAT_0X7661 transcript:rna-gnl|WGS:NBSK|LSAT_0X7661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCDNITSAVMGMSPEQKQAILRMGFGSILEVNITSYPGQLRYYLLDVYDADSKRLVLQNSVIEITEQTVHDMMGLPFGGEDINELPLCDKGNQILEEWRGQYSGDKFNGEEYLRRIQATTKDNLMFRLNFLTLFVNNFIESMLMGTNQIKVVRKLVLVEDFSKLNWCKYMLDCLGSRKKLWKRDDKSSYYSGPITLLILVYVYNMKYSIKIDKRLPFIGHINGAKLLEVQKLEISLGGFGRQFRDEHDDVDMGDETGAEEQQMLSFKRDFGDEEAYVAVIEHSYGVIVTEKSTMEVALKDGLLKFPHSVVLNEWMEKMNELFKGVFEGAGNKKVHEPACFNEVNMNDVGDGGEGNSSPVRGLILTEVNTEKEDNYTTPVDTTSLIMT >cds-PLY62470.1 pep primary_assembly:Lsat_Salinas_v7:1:83476934:83482425:1 gene:gene-LSAT_1X69940 transcript:rna-gnl|WGS:NBSK|LSAT_1X69940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucan, water dikinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26570) UniProtKB/Swiss-Prot;Acc:Q6ZY51] MDSLRVLNCYSATKNPSPLETQSAREFRFLRHHNQQRTFRVVGFSKPRVTPHRGHYLGFQHKSSPIVRAVSTQTREEETGETGMKSKTSHGKVNLTLRLDHQVKFGEHVGVLGSTKEFGSWKKKKQLNWTETGWICDMECKGGETYEFKFVVEQMDKSLIWEGGENRVLKLPKSGSFEMICHWNMTNEPINLLPIDGKEYEVEVESVNETQDNNGSLNLDATTTTSPFVEKWKGNEASFMQSNEHGNREKQRHWDTSGLQGVALKLVEGDKNGRNWWRKLEVIRDLVVESMDGVDRLDALIYSAIYLKWINTGQIPCFEDGGHHRPNRHAEISRLIFRELERISSRKDTSPQELLVIRKIHPCLPSFKAEFTASVPLTRIRDIAHRNDIPHNLKQEIKHTIQNKLHRCAGPEDLIATAAMLERITKNPGEYNEAFVEQFKIFHHELKDFFNAGSLTEQLESIKESFDEKRKEKLSSFLDCKKALDNFQGTPEVSKGNGVEILMNTIKSLNSLREIVTKGLESGIRNDAPDTAIAMRQKWRLVEIGLEDYAFVLMSRYLNALEAGGGAQWLGENVNSKNVDSWNDPINALVVGIRQLGLSGWKLQECKAIESELLAWKERGLSEKEGSEDGKRIWALRIKATLDRSKRLIDEYSEALLQIFPPKVEILGKALGIPENSVRTFTEAEIRSGIIFQVSKLCTMLLKAVRTTLGSQGFDVLVPGLAHGTLIQVEKIIPGILPSSVTGPVILMVSKADGDEEVTAAGSNIVGVILLQELPHLSHLGVRARQEKVAFVTCEDDDKIASIKKLEGKYVRLEASPSGVNVIPSTVEDGIRDGPVEASSNGTPKDSVSKSIYSSQGISKAGIIQLANADVQTSGAKAAACGSLASLAAVSAKVYSDQGVPAAFNVPNGAVIPFGTMELAIEENKSKEAFESLLEQLETAKMEGGDLDKLCNELQNLIASLKLPNRILESLGELFEGNARLIVRSSANVEDLAGMSAAGLYDSIPNVTLSNPVNFGNAVSRVWASLYTRRAVLSRRVAGVPQKVATMAVLVQEMLSPDLSFVLHTVSPTDNDCNLVEAEIAPGLGETLASGTRGTPWRISSGKFDGVVRTLAFANFSEEMVVGGVADGEVLRLTVDYSKKPLTVNSVFRQQLGQKLGSVGLFLERKFGCAQDVEGCLVGKDVFIVQTRPQPL >cds-PLY84929.1 pep primary_assembly:Lsat_Salinas_v7:6:15001978:15005763:1 gene:gene-LSAT_6X11080 transcript:rna-gnl|WGS:NBSK|LSAT_6X11080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSVNEGKLRFCIDRGGTFTDVYAEIPGQPEGKAMKLLSVDPSNYEDAPVEGIRRILEEFTGNKIPRTSKVPTDNIEWIRMGTTVATNALLERKGERIALCVTKGFRDLLQIGNQARPNIFDLTVSKPSNLYEEVIEVDERIQLVLENEKESGSNVVTGISGEQVRIVKPVDEATLRPLLNGLLEKGINCLAVVLMHSYTYPHHELAVNKLAVSMGFKHVSLSSSLTPMVRAVPRGLTASVDAYLTPVIKEYLSGFISKFDDDLGKVNVLFMQSDGGLAPESRFSGHKAVLSGPAGGVVGYSQTLFGLETKKPLIGFDMGGTSTDVSRYAGSYEQVLETQIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFRVGPESVGAHPGPVCYRKGGELSVTDANLVLGYVIPDYFPSIFGPNEDQPLDINKTREEFEKLAKKINLYRKNQDPLSKEMTVEEIAQGFINVANETMCRPIRQLTEMKGHETKNHALACFGGAGPQHACAIARSLGMNEVLVHKFCGILSAYGMGLADVIEEAQEPYSAAYSHESVLEASRRETLLLQQVKQKLKDQGFGESSITTESYLNLRYEGTDTAIMVKGKSGSDYAVEFVKLFQQEYGFKLENRNILICDVRVRGIGVTNILKPRTQENSITTPKSQGEYKVFFGNKWHDTPLFKLETLGSGHVIPGPAIIMNGNSTVIVEPNCKSIITKYGNIKIELESISVNVKVSEKVVDVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFDPDGGLVANAPHVPVHLGAMSSTVQWQLNYWGENLHEGDVLVTNHPCSGGSHLPDITVITPVFDEKKLVFFVASRGHHAEIGGITPGSMPPFSKSIHEEGAAIKAFKLVEKGIFQEEGITKLLQFPSSEESSGYKIPGTRRIQDNLSDLHAQVAANQRGIVLIKELIDHYGLKTVQAYMVYVQLNAEEAVREMLKSIPGKLQFHSDDSNSTDSIVIQEEDYMDDGSTIRLKLTIDSKKGEAFFDFSGTTPEVYGNWNSPEAVTKAAVIYCLRCLVDIDIPLNQGCLAPVTIFIPSGSFLSPSDKAAVVGGNVLTSQRITDVVFMAFQACACSQGCMNNLTFGDDTFGYYETIAGGSGAGPTWEGTSGVQCHMTNTRMTDPEIFEQRYPVVLHRFGLREGSGGGGVWRGGDGVVREIEFRRGVVVSVLSERRVHAPRGLKGGMDGARGVNYLVKKDKRVVNLGGKNTVEVEAGEILQIFTPGGGGWGSL >cds-PLY99799.1 pep primary_assembly:Lsat_Salinas_v7:9:53155523:53158109:1 gene:gene-LSAT_0X7781 transcript:rna-gnl|WGS:NBSK|LSAT_0X7781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ACR12 [Source:Projected from Arabidopsis thaliana (AT5G04740) UniProtKB/TrEMBL;Acc:A0A178UNY1] MATATAGPAFAFSAVTIRGNSSARLSGFDFSYSTIPQLSPFKSSSPHLAFSPRGSSHFVRKNIFQASIDGVDSLGVSSLDADNIPTPIVLIDQGSDPSATIVHVSFGDRLGALIDTMKALKDLGLDVAKGTVTTEGSVIETKFFITRLSTGRKVEDPDLLERIRLTIINNLLKYHPESSARLAMGEAFGIQAPVKKLDRDIETHIHVKDAGPKRSLLCVETEDRPGLLLEIVKIMADVNVTMESAEIDTEGLIAKDKFHVSYRGASLNDSLSQVLTNCLRYYLRKSETDEDSY >cds-PLY64717.1 pep primary_assembly:Lsat_Salinas_v7:7:137767188:137768996:-1 gene:gene-LSAT_7X82561 transcript:rna-gnl|WGS:NBSK|LSAT_7X82561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADVGASKTYPQQAGTIRKNGYIVIKNRALKVSTSKTGKHGHAKCHFLAIDISNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFIKEGFGKGKDLFVTFMSAIGEEPICGLKDIGPIN >cds-PLY99374.1 pep primary_assembly:Lsat_Salinas_v7:7:145763190:145766249:-1 gene:gene-LSAT_0X4181 transcript:rna-gnl|WGS:NBSK|LSAT_0X4181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIENDDEPLTPAGRLFIQPATHQIINCALGLERPIGFDEVLSVVSDSLMIKHPRFTSLLVTDNHGREYWRKTDLQLNRHIILHSDPVGEADNDEAAVNDYLADLAVSTPLSHDKPLWEIHILSAHKSLVLRLHHALGDGISIMSLMLTLCRKLDDPEQTPTIEPLISSTRSSPRRLKTGEKFLKVVKMIWFTLIYLFEFLSRCLWVKDRKTVVRGGEGVELWPRKLSTATFSLEDMKTVKNAVNNATINDVLFGIISSGLSKYLDKRSPNSLEEGLQITGVALVNLRPSPGLQDMKELMKKNAKLGWGNKFGIMLLPIYYHKKGSDPLLYLKRAKKMIDRKKLSIEAFLSYQIGNFVMSWFGPKWASLLNNRIICNTTFTVSNVVGPREEFTIAGIPVTYLRTTSSSLSHAITMHMLSYAGKADLQILVAKDLIPDPDNLAKCFEDALLEMKEAVLQK >cds-PLY86714.1 pep primary_assembly:Lsat_Salinas_v7:4:8217604:8221156:-1 gene:gene-LSAT_4X5201 transcript:rna-gnl|WGS:NBSK|LSAT_4X5201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRERLLWVFGLLVLTWLNVSSATLSPSGVNYEVVALMAIKEDIEDPHNVLDWDFSSVDPCSWRMITCTDGSVSALGLPGQNLSGTLSAAIGNLSNLESITLQNNAISGSITSAIGKLWNLQTLDLSGNEFTGEMPTSLGDLKNLNLLRLNNNSLTGPVPESLSQLGGLTLVDLSYNNLSGSLPKISARTFKIIGNPLLCEHNSKNGCSIVFPEPLSLPPEGMRGGSSSGEKSHHLAIALGVSFSTAFLLVLILLIWWRYRKNQQIFFDVNDQYDPEVSLGHLRRFTFKELRAATDHFNAKNILGKGGFGIVYRGLLNDGTIVAVKRLKSDNNFGGEIQFQTEVETISLAVHRNLLRLWGFCSTENERILVYPFMPNGSVASKLKDEVNGRPVLDWPRRKNIALGTARGLLYLHEQSDPKIIHRDVKAANILLDEYFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRAVNQKGVMLDWVKKLHQDGKLNLMVDASLKNNFDRVELEEMVKVALLCTQFNPLYRPKMSEVLRMLEGEGLVERWEASQTIGTPRFRSLQSFPSKYADYIQESSLVLEAMELSGPR >cds-PLY65600.1 pep primary_assembly:Lsat_Salinas_v7:7:163863724:163863951:1 gene:gene-LSAT_7X95500 transcript:rna-gnl|WGS:NBSK|LSAT_7X95500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVVCRSYCQIFVDGRIFARDLGSDDFELPLIDDILKDDDEDKGNNTDDEEENEEESESSIHIYDSYDTNDVAHE >cds-PLY78274.1 pep primary_assembly:Lsat_Salinas_v7:1:129371356:129371805:1 gene:gene-LSAT_1X96140 transcript:rna-gnl|WGS:NBSK|LSAT_1X96140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVPTAATTIRVGGPPATTAENALSTGGGGDGDCLSEMHMFVTECRNKGKKELRSLVGVAKIYKRRLVLLGRLMISQSPGRIVVEEFKSGKVCSFIGEEIKKQIDSRNIQLMGEIIVFTRSMKNWTIGGKSVKEKEGKGMKKGDRGKRD >cds-PLY62823.1 pep primary_assembly:Lsat_Salinas_v7:4:31779597:31780067:-1 gene:gene-LSAT_4X20821 transcript:rna-gnl|WGS:NBSK|LSAT_4X20821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLDVEWRPHHNPSMSNKSATLQLCIDKKFTFVGVEVDEDILKLKNEYGLDCSRSADVRSETIKRWPYMYSRKPGLKHIARDVAGLYMEKPLHVCRSEWDARVLNEKQVEYACIDAYASYKIAHKLFLED >cds-PLY71682.1 pep primary_assembly:Lsat_Salinas_v7:3:46630594:46631154:1 gene:gene-LSAT_3X34481 transcript:rna-gnl|WGS:NBSK|LSAT_3X34481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWCLNVSDASLNCILSQCSCLEVLDIGCCEEVTDAAFDGLRNPEFHSGLKVLKVSNCPKITVLGISMVLEACRSLEYLDVRSCPNVTKAGCEEAGLRFPEGCKVNYTGSLVEPDVLN >cds-PLY87883.1 pep primary_assembly:Lsat_Salinas_v7:3:44237537:44239333:1 gene:gene-LSAT_0X9020 transcript:rna-gnl|WGS:NBSK|LSAT_0X9020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKISLLSSSLIFVVLILSFSDSWAAASYVLDETPRSEDFIRCIQSNSNNVTSISKLIFTPMNASFLPIWQVAVQNLRFLKPSTHKPSIIVTPVEETLIQKTLYCAKKHGYEIRIRSGGHDGEGLSYTADVPFVMIDLTNMRSIKVDVAKRTAWVQGGATLGELYYTITQKSDTLFFPAGLCPGVGVGGHMGGGGYGNHMRKYGTAADNVLNVRFMDVNGNVLNKKSMGKDLFWAIRGGGASSFGIVLAWKLRLVPVPKKVTVFVVTKTLEQGATKIFNKYQYVAPAIDRNLHIRTLMFGRYIGNTTKKTVVLTFQGVYQGTRDTLVSLLDQKFPELGVTRDICEEMTTLQSTILFWGVPRSTAVEIVTNRSAIPKVNSISKVDYVRTPIPISGLRKIWRKILENDGSELLGSNPFGGRMAEYSETAIPYPHRAGVLYQLFKNVNFNGQTSDTTPISLRRTAWLRSLEKLLTPYVSKNPREAYSNYVDLDLGVGNATYEEASVWGERYWKRSNFRKLIRIKAKVDPKNFLRHPQSIPVF >cds-PLY66641.1 pep primary_assembly:Lsat_Salinas_v7:1:54156373:54158220:-1 gene:gene-LSAT_1X47021 transcript:rna-gnl|WGS:NBSK|LSAT_1X47021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNGYQHILGIQRLACFGGVVFAVVIMFQYFEFPYGDVISSLFSATKIHITNTRSYPHENSSLLLQISGDMNMTGASDVLVKGIMNTYKGHDDDMIDIIKHNRSETKKELDDSIDLNRNATSLSVHLANVSSVIEVPRKKQKRKVVVISEMHDILVHNRESSHSMKPRWSSRADQELLEAKVQIENAQLNEEDHDLYPSVFRNVSIFRRSYELMEKTLKVYIYKEGEKPIFNNPEAVMKGIYASEGWFMMQMKKSKRFVTRKSKEAHLFYIPYSSKMLKATISPYSYDRQSVVPFLKNYLDMISRRYTFWNRTGGADHFFAACHDWRKQAKSWLLALEPSATQTLKKQDSNWEKTFLSLKQMSVILKIHYINLEVNPLPNAQFLHSLLEKCTGPFVQFYYNIGKTKILT >cds-PLY87989.1 pep primary_assembly:Lsat_Salinas_v7:6:171007531:171010764:1 gene:gene-LSAT_6X104161 transcript:rna-gnl|WGS:NBSK|LSAT_6X104161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSSTNSLLPTKSFQIQSLPPPLKSLPTTTAATSSTRVIQPIFAVHSPDPSKTPIDKSSSSKPSSPATAATSTTTTPKVTSTTKTPVPNKWSIDSWRTKKALQLPEYPDQTELDSVLQTLDSFPPIVFAGEARHLEERLGEAAMGNAFLLQGGDCAESFKEFNANNIRDTFRVILQMGAVLMFGGQMPVIKVGRMAGQFAKPRSDNFEEKDGVKLPSYRGDNVNGDAFDLKSRTPDPQRLIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEQSEQGDRYLELASRVDEALGFMSAVGLTPDHPIMTTTDFWTSHECLHLPYEQSLTRLDSTSSLYYDCSAHFLWAGERTRQLDGAHVEFLRGIANPLGIKVSDKMDPNELVKLIDILNPQNKPGRITIITRMGAENMRVKLPHLIRAVRRAGQIVTWVSDPMHGNTIKAPSGLKTRPFDAIRAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQSLELAFIIAERLRKRRMGVQQSLSL >cds-PLY94400.1 pep primary_assembly:Lsat_Salinas_v7:6:9842738:9843195:-1 gene:gene-LSAT_6X7041 transcript:rna-gnl|WGS:NBSK|LSAT_6X7041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFAIRASPSTTHRCYELVSGKEPQEGDGDMNLAEWAWRYFSEVNSMIETLDPEIKQVNSFMEDIALVFKQAHLHKLIRKLMLPPIVDLGSIHTLKN >cds-PLY85268.1 pep primary_assembly:Lsat_Salinas_v7:3:71708723:71708956:1 gene:gene-LSAT_3X54341 transcript:rna-gnl|WGS:NBSK|LSAT_3X54341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTEVAKIEHNFSSLHSKVDVIAEAIKKIVESYTSFSTKLDAKTETDSKVFAKMEEFLGSLKDSISKIDISHSYYVS >cds-PLY87333.1 pep primary_assembly:Lsat_Salinas_v7:3:111938607:111939305:1 gene:gene-LSAT_3X80781 transcript:rna-gnl|WGS:NBSK|LSAT_3X80781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHSNPNSNSSYINGVHIKNKKKQDPPLWKWKGLKLTTDSEKAYSISDYLHEYAPKVEDSVLGPGAGAGVGCGAGVGVGLVGGMGLGSSAWNHVRIAFGFGIGCGVGAGFGFGQGFGFGSSWETLKSRVVKPNPGSKKRLVIQI >cds-PLY68741.1 pep primary_assembly:Lsat_Salinas_v7:4:185710905:185712545:-1 gene:gene-LSAT_4X108300 transcript:rna-gnl|WGS:NBSK|LSAT_4X108300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPTEYKRSRLSRNRRTVNRAYGGVLSAGAVRERIIRAFLVEEQKIVKKVLKIQKTKEKQAGKS >cds-PLY78884.1 pep primary_assembly:Lsat_Salinas_v7:5:304724130:304727652:1 gene:gene-LSAT_5X165480 transcript:rna-gnl|WGS:NBSK|LSAT_5X165480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABCI12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21580) UniProtKB/Swiss-Prot;Acc:Q944H2] MYTPLLQIHPTTNFASHSHSSQNPLIFFIHHSQFPQTPNIHKHTYSSLSYNRKTLFKTRIKCTQNSDSNPPKWERLLPKNIISAEKILRSIAGATSSPICQFISSPTTFLHSVDPRIKLAWLLVLVVLPARSHIYMRFGLISFLALLSAWILPRQVWMDQLGRVALLSGILFIMLGLGADSVPMVVQSRTPPPSMMGLPNIPPSFQGYSYLLFKLGPFQLTRKGLSVASTSACLTFTIFQSASLCLTTTTPEQLAFALQWFMKPLVYVGVPVAEVILTLLLSLRFINLVFDEVRIVALGIVSRRINWKQLATMETVEVFFTYIRRIFKNIFNHAEQITQAMNVRGFRGDCNSHKIYFSSASSSPITNIISIITLIGLIAAAAFSEYMFV >cds-PLY69877.1 pep primary_assembly:Lsat_Salinas_v7:6:4064993:4065521:-1 gene:gene-LSAT_6X3280 transcript:rna-gnl|WGS:NBSK|LSAT_6X3280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYYPSAAGGGMKELFRKEIWIVFVVQVYTVGPEYAHVEARKSPVVDGVVMRNPDGKEVSGASYSK >cds-PLY90887.1 pep primary_assembly:Lsat_Salinas_v7:1:57277903:57279345:-1 gene:gene-LSAT_1X48460 transcript:rna-gnl|WGS:NBSK|LSAT_1X48460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKTQFENYPKGKPFSAILGDFLGNGIFNVDGDSWRFQRKMACLELGKMSMRSHALEVVKHEIDHRLIPLVSSFSQKQNGVLDLQDVFRRFSFDVICKFSFGYDPKCLDKSLPVSELAMSFDLASKLSAQRAMTPSPLVWKIKRILNIGSEKRLKESIKMVNTFAQEVIRQRNKLGSCSNHEDLLSRFMASTKDPNYLRDIVISFLLAGRDTVASALTGLFWLLAQHPEVITAIRAEALMAPPHGQSHDFHYLQAVVYEGMRLFPPIQFNSKFCQQDDTFPDGTFIKKGTRVTYHPYAMGRMETIWGPDCLTFKPERWLKDGVFYQETPFKYPVFQAGHRMCLGKEMALVEIKSVVLSLLQRFDIELVAPILTPRFSPGLTANFTGGLPVQVSERRDRKDKT >cds-PLY69862.1 pep primary_assembly:Lsat_Salinas_v7:6:2840250:2841274:-1 gene:gene-LSAT_6X421 transcript:rna-gnl|WGS:NBSK|LSAT_6X421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALYVDEAEVWKCPKHPSRRRKSGICPKCLRERLVTLCPECATALPCSSCPPPVDSSSSSSSSSNSFSLFAFSRGGSRRDYAPPCTANADAVRLSNNLETEPSLRKSRSVAVPFLRSRSRFVGGPVAGCESRDAEIAPENNKALPKVSRSKINFWSVFTTNKSKKCDVHGEGMEDESNKFHDSPAVDDFSMMKRSRSVAVGASSAFGPSPSKRKGWYFPSPVKGILNSKPTKSVAVA >cds-PLY93431.1 pep primary_assembly:Lsat_Salinas_v7:9:63962005:63963469:1 gene:gene-LSAT_9X55081 transcript:rna-gnl|WGS:NBSK|LSAT_9X55081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNVSFQSEQTHPNPPPLDPDQEDRSTSATKFEWDFSVSAVVSSSVAGGNISDTLGVVEVNSSGNLFATGGIARKIRVYSLNSLLSSSSLEATLFDHATACHYCICTPAKLSSLKWKPGSGSQVMGSADYDGVVTEYDLETKMPVYERDEHSGRRVWSMDYSHWDPVVGASGADDGTMQMWDPRHDGGKCVAKVVLGSPVCCVEFNPFGGALVAVGCADRKAYVYDVRKIVDPVAVFDGHQRTVSYTRFLDDHTVVTSGTDGCLKMWDIEKQHMIRTYKGHTNQRRFVGLSIWRNQGLIGCGSESNQLFVYDKRWGEPIWVHGFEPEGGRRYEDGFVSSICWSQEGEDECTLVGGGSDGVVKIFSGKRKPLT >cds-PLY76503.1 pep primary_assembly:Lsat_Salinas_v7:4:175283400:175284186:-1 gene:gene-LSAT_4X103860 transcript:rna-gnl|WGS:NBSK|LSAT_4X103860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNSMSQRVNSPSSGLGFSFSNSVTGNLTAFTKKRSIFIPKQSTPVGLAVVFNEAMPLNTNSDYIVFPPPLIAIHLLYSAPGELWNWEHPKPRDFPAKHAFSARDGILIPTSPDDVIHSEEYTCVVSTKYGYRIMTHLYRDTIIDCHPIPESMVAAALGRGNHRGETSDDHFFAEILKKCYICRENINKQMSDVNMQKDDAICSEECEDEETTERNMVKENKS >cds-PLY94987.1 pep primary_assembly:Lsat_Salinas_v7:4:113394455:113397226:1 gene:gene-LSAT_4X71901 transcript:rna-gnl|WGS:NBSK|LSAT_4X71901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSGFMNFLWACFRPRSDRHVHNGSDSGGRQDGLLWYKDTGHHFNGDFSMAVVQANNLLEDQSQLESGCLSLQESGPYGTFVGVYDGHGGPETSRFINSHLFQHLKRFTSENQSMSVEVIKKAFQATEDGFSSIVSKQWPMKPQIAAVGSCCLVGVVCNGSLYIANLGDSRAVLGRLVNATGEVLAIQLSAEHNACIESVRQELHSTHPDDSQIVVLKHNVWRVKGLIQISRSIGDVYLKKAEFNRAPLYAKFRLREPFKRPILSSDPSVSVHQLQPHDQFIIFASDGLWEHLSNQEAVDIVQNNPHSGSARRLIKVALQRAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFLDSNLMTRRTANVSVKGAGVSLPSNTLAPETGPT >cds-PLY99089.1 pep primary_assembly:Lsat_Salinas_v7:8:109131569:109133011:1 gene:gene-LSAT_0X30101 transcript:rna-gnl|WGS:NBSK|LSAT_0X30101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEAMEQLEDRNHIDHHLHVVNSPNEIEKEEAEKQYQLLTLACSSIREDITQRKADEHKINLKLIWFPQHDQHILWRTHEIIRLERFLGKPEIHLPKHLVTRRTGFSRFPEKDDPAPFRPDRNCNQKMLIKKGRVDTHYLQQVHEELLSSKKSHGITPSGIQEVNDLMESIAQRIQHGNKNRVDEIRIYNEMRNVKETREMYTAPLPEPNHDKRTSMRDIDSQRFIQHKINILFDEIEEMKMDLKGRKARVTRLKAELELVRKSITCLKKELKQVKTKRSKAYKHAYELGVQFSNMQKNFHK >cds-PLY89057.1 pep primary_assembly:Lsat_Salinas_v7:9:28290618:28292547:-1 gene:gene-LSAT_9X24061 transcript:rna-gnl|WGS:NBSK|LSAT_9X24061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCGVVSENEASPNCDSNSQSARRKRMELRRVKFVAGVATLETENGVKQARLSISPASFSRECSDAFQNCNTSEIKPKTEDDGVDVVKPTPLVATILRPSGSAVFIDAEALPKFGVASVCGRRRDMEDAVAIHPSFLTSDDNQTSSLHYFGVYDGHGCSHVATRCKDRLHLLVKEELNKKVESEWTKTMEQCFNRMDKEVIEWNQGTVAGNCRCELQAPESDAVGSTAVVAIMTPDKIIVANCGDSRAVLCRNGKAVPLSNDHKPDRPDELNRIQAAGGRVIYWEGARVLGVLAMSRAIGDNYLKPYVSCEPEVTITDRAPEDECLIIASDGLWDVVSNDTACGVARMCSKGKRPAANMKNPAESEESELGNCDRACSDASMLLTKLALARRSTDNVSVVVVDLRKK >cds-PLY68576.1 pep primary_assembly:Lsat_Salinas_v7:2:21480889:21482064:1 gene:gene-LSAT_2X10041 transcript:rna-gnl|WGS:NBSK|LSAT_2X10041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDYVPFQIQFEIIKRLPVKSLLKFRSVSQPWKSLIDSSEFIAEYNVSQTQPQRLSVWYNDPWSAKDRFVSFVDDDTFTLHEFTSTVPKLIKNLQSVVGSSHGLLCLYSYYKDPGRSTNDETVKGVVLWNPSIRKSVYITTHVSSQWCEEQSTVLGFGVSPATNDPTVVKFKRVTYADPRTKDHMHWVVEVFTLSAGCWRIPSSKPPNGTITLTWEQVVIDKFIYRFCFDGIPSLRNNLIMSYDMTTEEFRMVNLPDCLAHQRCVNLSISKVWESLAVLEYSRNINKQVCVVWMMDRVVPNLFTKLFTIKTPNIWDFRPNITLGFTKSGKPILGLKGRDEEPAALVVYEPRSEHIKEIGIYGRYGLFFVGSYVETLLLHEQVNYSVYSYNN >cds-PLY90458.1 pep primary_assembly:Lsat_Salinas_v7:9:94211366:94218115:1 gene:gene-LSAT_9X71340 transcript:rna-gnl|WGS:NBSK|LSAT_9X71340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNCNYYIQAVCAMMWLKSSFHKVRHFSRVSPPLPDCVVRVSNNITHLGHPKSPHKPRQLLSLPPLPPHPLPGKNASPDSHITAISWMKYYFADVTPSVIQSHFNKGLVKMECHETKSLKKIKHNEVMKMGSTLHVAVSVAESRISKRFDTIPTASLSPNADEIQYLQRLDSALLVLNKPPKVPLKGNLAVHNSMDALAAAALCYDYDEGPKLVHRLDRESSGILLMGRTKETISYLHSLFSETKPSLKVVLNGGKAERVMLGYGCNLETSQEAVTEYRVLGPTINGCSWLELRPLTNHKHQMRVHCAEALGTPIVGDYKYGWFVHSKWKQMPRPDYEPTTGEPYKMRRPDGLDVQKGSVLSKVPLLHLHCRELVIPNVAKRLEGKNCYSLSTMIYTAIDTFYLTDEQLENTPSRKDGIDEATETTLRIYGCDLIQESGILLKVPQQVMATGQVLFHRFYCKKSFVRFNVKRIAASCVWLASKLEENPRRARHVLNVFHRMECRRENLPIEHLDAFSKKYTELKMDLIRSERHLLKEMAFICHVEHPHKFISNYLATLETPPELTQEAWNLANDSLRTTLCVRFKSAVVACGVVYAAARRFHVPLPENPPWWKAFDADKSGIDEVCRVLAHLYTLPKAQYIPVCKEGGSFTVSNRSWDSPSQPLPKESQVIDDANINKASAVSVSMSPACVIPESKGGIGKVKDSTKSDDVDEKVEIQSVVPIPVEGESVSKSKERERERERYKRGRDSERERERERDDREKVKDRSHRSKDRGGKDSGHGEKPKHHSSRDRDYHGSTYSSREKDRHRHHSYG >cds-PLY80969.1 pep primary_assembly:Lsat_Salinas_v7:9:174919561:174921922:1 gene:gene-LSAT_9X106181 transcript:rna-gnl|WGS:NBSK|LSAT_9X106181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHLSKKLLRLKLKRSIVWRVSILRRCVGSIRDQVLACWIDKPIRYRQIVESGPSATVCHRFPSGEIDSSCGEMTTVSNVCDHDNDASDLVALKISLLGDNHIGKTSFLAKYVGKEKKPEDFPATEVKKMDKILSVRGARISYSIWEVGGDAFSADNGGSTAAIRAACKDSVAMLFMFDLTSRCTLNSVVNWYQEARKHNQTAIPVMVGSKFDDFIQLPIEMQWTISSEARAYAKAINATLFFSSASYNINVNKIFKYVTAKLFNLSWNLERNLKVGEPIIDF >cds-PLY82532.1 pep primary_assembly:Lsat_Salinas_v7:2:184398838:184400657:1 gene:gene-LSAT_2X107680 transcript:rna-gnl|WGS:NBSK|LSAT_2X107680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLQKHIPNSQKKNFFFSSLLGGKIDLVLPPSVASANFASISLMNGEETVYHSKDFDWEELRQRIENDPTLHYHFLPFTNQSLSSSALDSQSWNHFHARHSTGKFFKERRYLLKEFPELDSCGEHSKVLEAGCGNGSTALPILRGKENIIVFACDCSTEALERATEIIYASNLVLAKSRFRPFCCDFSTSPFPKWLICDSCRETSLIKDFDDDKRDLSDIISSSKESECCIGGVDYVTLIFTLSAVPVDRMPMAISECFSVLKPGGLLFFRDYGLYDMTMLRFEADQRVGFREYKRSDGTRSYFFSLNTARDLFLAAGFIEVELEYCCVKSVNRRKQKTMHRVWVHGKFQKPL >cds-PLY82717.1 pep primary_assembly:Lsat_Salinas_v7:2:144314388:144316368:-1 gene:gene-LSAT_2X71181 transcript:rna-gnl|WGS:NBSK|LSAT_2X71181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSISPTSCLPMGLYFCVPFREQLLKYYEKVKNPDVEENLLTCLAELFTQGILTNETKCLRCEIVTARDETLLDLSLDNEQNRSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKQPHILVIHLKRFKCMEQLGRYKKLSYRVVFPLDLIACCYMFEYQCGPT >cds-PLY65432.1 pep primary_assembly:Lsat_Salinas_v7:9:185223706:185225760:-1 gene:gene-LSAT_9X113641 transcript:rna-gnl|WGS:NBSK|LSAT_9X113641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSSPIVIFLITTTTTILLLLLLPPPYAAALSFQKTGFSPNDDDITYEKDAYPSNNAIQLTTNQRDIHALASIGRAVYSEPLHLWDHGSRNLTDFSTRFTFTINSLNSSKYGDGLTFFLAPNGSIVPENVTSGSLGLTGPDKELNSTGVQFVAVEFDVFQNAWDPKNEHVGIDIGSMDSVTNVTWRSRVREGKTYDALIRYDSSLHNLSVAFTGFGRSGSRLTQRIYYTVDLRDHLPEWVEFGFSGATENASAIHSIFTWDFSSSLGIGEDTMDPNPNLVSPGLGNPFPKPETKVGSKPAGVFVGLVIGSVILIGLVLGFFIWRRKKRYEAEDDVFVLDTEFEKGIGAKKFSYKELARATNNFSEQEKLGEGGFGGVYRGFIKEMDSYIAVKKVSSGSSQGVKEYASEVRTISRLRHRNLVQLIGWCHEHKDLVLVYEFMLNGSLDSHLFHGKSLLSWPVRYTIAQGLASAVLYLHAGWEQCVVHRDIKSSNVMLDSSFNAKLGDFGLARFVDHEKGSQTTVIAGTMGYMAPECVMTGQASRETDVYSFGVVALEVACGRKPMELKVAKGETRMVEWVWELFGQGKVLEAADRRLNRDYNEQEMECLMIVGLWCAHPDSSFRPSMRQVVQVLNFQSPLPELPLKMPVPTYFTPFSKPNLTQSRHTQSSVSNYSYGYNTDSSK >cds-PLY96096.1 pep primary_assembly:Lsat_Salinas_v7:3:99743915:99744121:1 gene:gene-LSAT_3X73421 transcript:rna-gnl|WGS:NBSK|LSAT_3X73421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGVFGFDVSHVMKKEARNVMRLLLLERKKEKNERLIPLRYSPENRTTSGGSRLPRRRRRKKGDEVKL >cds-PLY74055.1 pep primary_assembly:Lsat_Salinas_v7:5:91213499:91213693:1 gene:gene-LSAT_5X41740 transcript:rna-gnl|WGS:NBSK|LSAT_5X41740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGFNFAHINNQQERIKEKIKQRGEKSMQDGEKRKDKVQGGNKKVHPGGSLSMDILGNSKNN >cds-PLY89067.1 pep primary_assembly:Lsat_Salinas_v7:9:28818219:28818893:-1 gene:gene-LSAT_9X25421 transcript:rna-gnl|WGS:NBSK|LSAT_9X25421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYNRPSNFQYQSDRRMEIVSGKGNQIYGGGGIIRSPARTSTSSSKPWGGLTDPESKRRKRIAYYKVYTIEGRVKASFRNGIRWIKSKCSDFIHGF >cds-PLY68634.1 pep primary_assembly:Lsat_Salinas_v7:7:131930755:131931862:-1 gene:gene-LSAT_7X79481 transcript:rna-gnl|WGS:NBSK|LSAT_7X79481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSGISTFVIIPLLIIPIAIINIAIVSAVREGELNVVFGVLRGRGYHLFANAIATSDIHYDIKSNGNFTLFAPINSALFAIDMTMAASNYVEALRYHVVPRRMSISDLLSLPPGENYIPTLMPGQNILVEQRRDPRSLITVGGVDIVVPGMFYGRDIAVHGLDGILEFRPHTRSSLPNVTAASLSDLSGNMSLQSPDADLPPPSSSTQDLPPPLDYNPIGNLTFQFPEDVQSPPSDSSSDLSPTTDGIASPNQSPSDSTYLEEHVSRAKLLELTSAVADDDLSSATEQTSNRLQKFTPADDETVDCWLADNGVDPKNAAIHARKLYIASDMICAQK >cds-PLY91730.1 pep primary_assembly:Lsat_Salinas_v7:9:17277722:17279893:1 gene:gene-LSAT_9X16381 transcript:rna-gnl|WGS:NBSK|LSAT_9X16381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25410) UniProtKB/Swiss-Prot;Acc:Q8RXE8] MASSIPYLPVTPPLNSHTNTSIHNPLIFFPNPTNSISLKSINQNPRFSSSVIRKSSSISAGASAASACSTSYPFIGKVGLHRREGNFILLSYGTNPNTGSVMAVKADISQILSAMLPFVVALTAIAALTQPLTFTWVSKELYAPALGGIMLSIGIKLSIEDFKLAFKRPLPLSIGFLAQYVLKPVLGVFVARSFGMSPVFYAGFVLMSCVAGAQLSSYASFLSKGDVALSILLTSSSTIASVLVTPLLTGLLIGSVVPVDAIAMSKSILQVVLLPVTLGLVLNTYAKPVVSIVQPVMPFVAMFCTSICIGSPLAINQSQILSAEGLKLIWPVLTFHTAAFTFGYWISKLPFCRQEEDVSRTISLCTGMQSSTLAGLLATQFLGSTQAVPPACSVVAMAIMGLCLASFWGSGYRIRDLPNYLIPQNDSP >cds-PLY99414.1 pep primary_assembly:Lsat_Salinas_v7:4:103276976:103279671:-1 gene:gene-LSAT_4X65600 transcript:rna-gnl|WGS:NBSK|LSAT_4X65600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDFGGAFGTEGGEGIQAATSQNNDGYDTGQNYGFEGAPADDLNNVLDVPHPPSYHQDETTYSPENFGGFSSAPPFEPESNGNSKPYDLGTDTEGIFTSSGNGDGGGGGPLLPDPGQMREEGAAFREWRRQNAINLEEKEKKEREMRNQLIFEADEFKRAFIEKRQKNRETNTAQNREREKLYLINQEKFHKEADQQYWKAIAELIPREVANIDKRRARKDKEDEKKPGIVVIQGPKPGKPTDLSRMRQLFMNLKQNPPSHMLPPEKDSKDDSKQNAMNSIKSRPDSNSNVSGANEKPMESAPNA >cds-PLY84486.1 pep primary_assembly:Lsat_Salinas_v7:7:127965652:127969754:-1 gene:gene-LSAT_7X76380 transcript:rna-gnl|WGS:NBSK|LSAT_7X76380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKEGRKKLKDEDLKPVEKEDVVNGKEVPSDSEDDGVESPVVSDDDYGSFSEGDDSSRNSDSESESGSEQSEFSEDEDDENPINEAEGDLSDGVDDHSEGDQSASEDSREVVDESDSSEDEVGPRNTIGDVPLEWYNEEEHIGYDVAGKKIKKKERLDKLDSFLARTDDSNSWRKITDDIEDEEVELTKEETKLIRRLLKGHAPHADFDPHAPYVDWFAWDGAKHPLSNAPEPKRRFIPSKWESKKVVKYIRAIRKGLITFDKPKEQPRFYNLWGDESSSNEKGHGLSYIPAPKTKLPGHDESYNPSLEYIPTQEEISGYQLMFEEDRPKFIPKRFTSLRSVPAYEKSVRETFDRCLDLYLCPRARKKRINIDPESLKPKLPSRKDLKPYPTTCYLEYKGHKGPVSSICTDTSGQYIASGSHDGSVRLWEVETGRCIKIWELGEPVKHVAWNPLPELPILAVSMGHDVFLLDTGLEKEEHKMISDLLHVETPTSPDESETAVSVSWSQYDKYEGIRITHFKSVSSVEWHRKGDYFSTVMPAGESKAVLIHLLSKKLTQRLPFKLHGLPVSSTFHPTRSIFFVSTKKNVRVYDLVKKKFIKKLEAGVREISSISIHPGGDNVIVGSKDGKLCWFDMDLSSKPYKVLRSHNKDITNVAFHRNYPLFASCSDDSTAYVFHGMVYSDLNQNPLIVPLEILHGHRDTNGRGVLDCKFHPRQPWLFTAGADSVIKLFCH >cds-PLY62503.1 pep primary_assembly:Lsat_Salinas_v7:1:82512713:82521177:-1 gene:gene-LSAT_1X71561 transcript:rna-gnl|WGS:NBSK|LSAT_1X71561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRMSRNWVKINLHQSKQRFSSFARLISLIANPTSSKVSRQSSVYNTFNKSISTSSPDQLEGLIDSNFNPPEISSHPQRDSAVSVNLEEEVAILQRSLSVEKFESRKYSDAHIICKAIRDNTRDFGDKNHKFLSKFREKLSESLVIDVLKLLQNAELAVKFFIWVGRQIGYNHTLPVYEALLDIIGCNNADRIPDHFLREIRDDDDKEVLGKLLNVLIRKYCQNGSWNSALEELARLKDFGHKPSRVTYNALIQVFLEANKLDSANLVYSEMADAGYKMDPHTLGSFAYSLCKFGKWREALDMIDNEKFAPDTTLYTRMIGGLCEGSSFEEAMEFLNRMRCDSCIPNVLTYRTLLCGCLNKGKLGRCKRVLSMMIAEGCYPSPKIYNSLVHAYCKSGDFTYAHKLLKETGKYGVRPNPVIFNIFIGSICGTSEIPSVDRLELAEMAYGQMLECGFVLNKVNASNYARCLCEVGKFEKAYNVIREMMTKGFVPDESTYSNVISFLCNASKLEKAFWLFKEMKKNGVVPNVHTYTILIDSFCKAGLIPQGRKWFDEMITNGCSPNVVTYTVLIHAYLKAKKISNANELFEMMMSCDCSPNIITITALIDGYCKAGEVEKALQIYSRMKGIKEKENSDLGFHFRGEKIETLEPNVVTYGALVDGLCKANKVKEARELINVMTFEGCEPNNIVYDALIDGLLKNGKLEEAEEVYSRMCEQGYNPNVFTYGSMIDKMFKDKKLDLATRILSKMLENSCPPNVIIYTTMVDGLCKVGKTDEAYKLVEMMETKGCKPNVVTYTSMIDGFGKIGKVEKSLEIFKEMGMKSCAPNYVTYSVLIHHCCAFGLLDEALGLLEEMKMTYWPMHMESYCKVIEGFNREFLMNLGVLEGVSEYDSIPVIPVYKLLVDGYRKAGKIEVALELVKEMSELSSFMDKDLYFSLIESLSVSNRVEKAFELYGDMISKGGVPELSVFVNLVKGLVKANRWQEAIQLSQSLCYMLVNPFFAIFRNCPINLILTNRTQSRKHTDASLLTLSAPQALPCSPQYSIAPVKPPARDVALHNYSKFWGMSMGRSSSQALLDLENNTKNSSSKESALLVCKRDDDDLLKKIEAAKTKANAPPNSKPTIAAPPPSQVMGKLKDFLGVMAESNKKLQLDAMSSKNYDIEALTGDESEYIEMDLMLGVADLHTPEAVAAAESAILGNQPVISLDASSTESESESESESESESESEDSSDDDDDSCSDSEDDDNHETKKSKSKTLNDKKPKNTTSENDKKSKRPKIVELS >cds-PLY70629.1 pep primary_assembly:Lsat_Salinas_v7:4:227542267:227546190:1 gene:gene-LSAT_4X125000 transcript:rna-gnl|WGS:NBSK|LSAT_4X125000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSADKGDHHTIPLSLLLKRELASEKTERPEISTGEANQSKKGEDFTLLKSECQRVLGDGVATYSVFGIFDGHNGSAAAIYTKENLLNNVLKAIPTDLNRDEWIAVLPRALVAGFVKTDKDFQEQVQSSGTTVTFVIVEGSVITVASVGDSRCILESAEGGLYYLSADHRLECSEEERERVTASGGEVGRLNAGGGAQIGPLRCWPGGLCLSRSIGDLDVGEFIVPVPYVKQVKLSSAGGRMIIASDGVWDAMSAEAALVCCRGLPPDTAAAQIVKEAVQFKGLRDDTTCIVVDILPPEKTHPHVPHAKRTGKRVLKSMFRRKSSGSPPQVDVGLLQADVLEEMLEEGSAFLSERLDTKYPLCNLFKLFSCAVCQKQMKPGEGISVHSGTSNFNKSRPWDGPFLCLSCHEKREAMEGK >cds-PLY79025.1 pep primary_assembly:Lsat_Salinas_v7:3:9198791:9200343:-1 gene:gene-LSAT_3X7900 transcript:rna-gnl|WGS:NBSK|LSAT_3X7900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDKRCALVTGGNKGIGFEICRQLASNGIKVVLTDRDESLGAKVVQQLNVSGFPDVVFHQLDIRDPVSISRTVDFVKAHFKKLDILVNNAGHMGIIILNEEKFRAGEGFVQVLDEKAHLLTDILKQTYELAEECIKTNYYGTKAVTKAFLPLLQLSNSPRIVNITSSYGELFFIHNEKVRNELRDMKNVTEERVDEITGWFLRDLKAGKLEENGWPLTVSAYKVSKAAINAYTRLMAKDYPKMLINCVHPGYVITDMSAQTGYITPEEGAKAPVMVALLPNDGPSGKYFSQLEISQV >cds-PLY83205.1 pep primary_assembly:Lsat_Salinas_v7:1:49459242:49460686:1 gene:gene-LSAT_1X44000 transcript:rna-gnl|WGS:NBSK|LSAT_1X44000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVNLKYKKGRGSETLHAYFATWREDDWMMIELCRFSNDKENIDLEFLLESLSRYYCGSHGIYVEGIEFRAIDNVKHEETDEIQEVQQVLKSDSNMNLMQQLPTNLEEIFKRSENEDGDEKLFLLNEVNGKKYLMLSAKAAVYNYSDVKLFKTKPSIQSRFLEVIELLPQQVLRINCKIKSQMLSQDTDYACYLVFKLSEKCRGLHCPVKVRNLLHRNKKEVEIIYFRSPKPWNLHDTNQAPQQRPDGWMEVKLDFVKQVYRGFVF >cds-PLY88545.1 pep primary_assembly:Lsat_Salinas_v7:7:8017825:8026111:1 gene:gene-LSAT_7X7460 transcript:rna-gnl|WGS:NBSK|LSAT_7X7460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPEVDSRLINSVLVPALEKIIKNSSWRKHSKLGNECKSVLERITSPEKPPPLSPTSPSSEENQSDAESLSHSQLSGVLHGSGAFELSLAESELILSPIIKACSSGDPKIAEPALDCIQKLIAHGYLHGESDPTGGSDAKLLSRLIESVCKCCDLGDEGVELLVLKTILSAVTSIQLRIHGDSLLQIVRTSYDIYLSSKNVVNQTTAKASLIQMLVIVFRRMEADSSTVPVQPIVVAELMDPPEKGGDDDASMAMFVQGFISKVMHEIDGVLNQGTPVASNGGVHDGAFETKTSTTESTNPADLLDSTDKDMLDAKYWEMSMYKTALEGRKGELADGEGEREDDQDVQIGNKLRRDAFLVFRALCKLSMKTPPKEALADPQLMRGKIVALELLKILLENAGAIFRTSERFLGAIRQYLCLSLLKNSASTLVIIFQLSCSIFFSLISRFRAGLKAEIGVFFPMIVLRVLENVAQPNFQQKMIVLRFFERLCVDSQILVDIFINYDCDVNSSNIFERTVNGLLKTAQGVQPGAATTLLPPQDAALKHEAMKCLVAILKSMGDWMNKQLHIPDPYSDKKFEVVENNHETGDSPTENGNANESEPQPVDGLDSHPEASNEVSDASTIEQRRAYKLELQEGISLFNRKPKKGIEFLIKVNKVGNSPEEIADFLKQASGLNKTLIGDYLGEREDLSLKVMHAYVDSFDFENMDFDEAIRSFLRGFRLPGEAQKIDRIMEKFAERYCKCNPKAFISADTAYVLAYSVILLNTDAHNPMVKNKMSVDDFIKNNRGIDDGKDLSPDYLKSLYERISKNEIKMKEDDLALQKKQAVNSNRILGLDSILNIVVRKRGDENNTSDDLMQHMQEQFKEKAQKSESVYYAATDVFILRFMVEVCWAPMLAAFSVPLDQSDDEIVIGQCLEGFRYAIHVTSAMSMKTHRDAYVTSLAKFTCLHSPADIKQKNIEAIKAMVTIAYEDGNYLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFAANPNENNKSQSKSNILPVLKKKGAGRIQQVAAAMRRGTYDSAAGIGGNASVGITPEQVNNLVSNLSMLEQVGEMNRIFTRSQKLNSEAIIDFVKALCKVSMEELRSTSDPRVFSLTKIIEIAHYNMNRIRLVWTSIWNVLSDFFVTIGCSENLSIAIFAMDSLRQLSMKFLEREELTNYNFQNDFMKPFVIVMRKSSAVEIRELIIRCVSQMVLSRVNNVKSGWKSMFMVFTAAASDEHKSIVLLAFEIIEKIVRDYFPYITETETTTFTDCVNCLIAFTNNRSDKDISLSAISFLRFCAAKLAEGDLGSSSAYEEDKEASEKIAPSPRQQGKELPDKDDHLYFWFPLLAGLSELSFDPRPEIRKSALQVLFDTLRNYGHHFSLPLWERVFDSALFPIFDYVRHASDHDHDHSSLHPPPTGSSAADVDEYELDQDSWLYETCTLALQLVMDLFVNFYDTVNPLLEKILSLLVSFIKRPHQSLAGIGIAAFVRLMSSAGEFFTDDKWVEVVLALEQAANQTLPDFFIILDKDGLELKYKQEDVSMGETQDDDKDRLYAAISDAKCHAAVQLLLIQAITEIYNMYRHHLSTSNTITLFDAVHKVATHAHTINTNTTLRSSLQDLAHITQMQDPPLLRLENESYQICLNLIQNLTLDKPPFYNESKVESSLVSLCQEVLQFYIELALPRQMTDSSPTTPRWLIPLGSGKKRELAARGPLIVVTLKTICSLGDSAFEKHLGGYFPLISSLIKCEHGSTDVQVALSEMLRSSVGPVLMRSC >cds-PLY84389.1 pep primary_assembly:Lsat_Salinas_v7:8:78580219:78581681:1 gene:gene-LSAT_8X56220 transcript:rna-gnl|WGS:NBSK|LSAT_8X56220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTLSNDLFYDILRRVDGATLASASCSCATFNTISKEERLWEDVCSSMWPSTKRDDVKTLISSTGGFKKFYADCFPLIVNKDVPEFPEEWMEDEYYGEEDELENVSPSDFVSLVDIRYKEKTICSKVIWGIPNANVYNGWFSDCPFRIDLFAYSDRDDDDDDDHNDHAGEVITLSVSDGLPPVTSLERERKDGKLWQELRDGIKLSWIIVNSKAKQAANLSSWCALDGQRHWPTNKDFLLQFGSIVPAKQILPCRVVKCIIAMKFRVTDVEGSGTHTTLNLTELCMQLGDMEGVHVNGRNSLLVLKEALRCDRSKNYSLVVESCRLYAKVQSEIREEKIRHENMLERLHILGSITAFVLLCCYFM >cds-PLY96043.1 pep primary_assembly:Lsat_Salinas_v7:8:22097008:22102263:1 gene:gene-LSAT_8X15940 transcript:rna-gnl|WGS:NBSK|LSAT_8X15940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKESFKGLYDRTKPFMFIIFLQTSYAVGSLVIKSALNKGLNHYTFAVYRNAIATLFFGPLAFFFERKARPKMTVSIFLKIILLGLLEPVIDQNLFYAGMKSTTATFASAMCNILPAITFVMAWMLRLEKVKMKSLHSQVKIIGTLVTIGGAMIMTLIRGPEIQFPWTNNHTLHHQSPVNTMSTQDQIKGSLMISVGCISWASFVILQAVTLKSYPADLSLTALVCMMGTLEGSILTLVVEKANTSIWSINWDIKLFAAIYNGVVCSGFAYYISGVVMRDRGPVFVTAFNPLSMVIISILESSFLAEKLNLGNIVGAIVIVLGLYLVIWGKSKDQKQQGHESSLNQQENGMKRPIKHSVDVVNSHGKPSSDVEVV >cds-PLY98716.1 pep primary_assembly:Lsat_Salinas_v7:2:151785205:151788433:1 gene:gene-LSAT_2X77981 transcript:rna-gnl|WGS:NBSK|LSAT_2X77981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFCLCFPPLILPFSTTPLQSILPVSKEAIVSLSVTDNPVCFLRAFVLVVINEHGSLPELLVNGFLLRLAIWLDAYIYDYLLQWKMHTSVKVVMTEGKLATDFVGIIFIMGIMSLVHDWRCSCSMQSTR >cds-PLY81601.1 pep primary_assembly:Lsat_Salinas_v7:2:41208138:41213261:-1 gene:gene-LSAT_2X18380 transcript:rna-gnl|WGS:NBSK|LSAT_2X18380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISIKYLCVLFLCVLGFISSVTSIKKSSGGTTDGSETWGYVEVRPRAHMFWWLYKSPYKPKHPTNSWPTILWLQGGPGSSGVGFGNFGELGPLDVDLRPRNSTWLQKADLLFVDSPVGSGYSYVEDESLFVKTDVEAAIDVTTLLKVIYNRNKIKVKNPLYIFAESYGGKFAVTLALSALKAIEKGELKVKLGGVALGDSWISPEDYVLSWGPLLKDMSRIGDVAFNQSTSLALKIQQQIANGQYVNATDSWAELEEVLIDSSNGVDFYNFMLDMSNDPNILTTKTSRRFENKPYALVSEADGNDLDSVMNGPIREKLKIIPTNVEWGGQSGDVFTAMEGDFMKPRIQEVDELLSKGVNVTIYNGQIDLICSTKGTEAWIKKLKWDGLSTYLNVHRTPLYCGEDKSTTKGFFKSYENFAFYWILGAGHFVPVDQPCVSLEMVSSIVNTPNPKHNH >cds-PLY77182.1 pep primary_assembly:Lsat_Salinas_v7:8:25762588:25764210:1 gene:gene-LSAT_8X19680 transcript:rna-gnl|WGS:NBSK|LSAT_8X19680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPWEQPPVVTADNHCMLTLSIHKTFSEKEKRVTTLHPEIDELLYCSVEKEEHLCIFKDKNKLILFTMARLEDRFNRGVQTRCIKLEKVTAIVNFTKQNCFFKLVEKFGIHR >cds-PLY91804.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1439233:1439819:1 gene:gene-LSAT_0X601 transcript:rna-gnl|WGS:NBSK|LSAT_0X601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRSIVKYQFMANERARNTTLQKRKVSLFKKMIELKCLCDVDACLVMYEKDEVPPEVWPSPSEAQRVMQKFQNSKILGASAMLDQKAFLQKSIMKMKKDLDKEKEKNVKCSMLICLFDENDQEDLEGLKGSIESEIRLVDLMIKDAYEKGKEKMV >cds-PLY74623.1 pep primary_assembly:Lsat_Salinas_v7:7:39725778:39726227:-1 gene:gene-LSAT_7X28741 transcript:rna-gnl|WGS:NBSK|LSAT_7X28741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANIIGVTVKFLLDCEADGRVLRNQVPPSIYMVPSVFRDLNPSSFNPKVVSIGPLHRQDEDLQGFEVHKPTYLHNLLHRVGSEPEKTLQKCASKVIGSIERIKACYAGSTTYNDLELPKMMVIDGCFILEFIESILDISSESNMLLIEK >cds-PLY81038.1 pep primary_assembly:Lsat_Salinas_v7:4:346930326:346931934:-1 gene:gene-LSAT_4X171301 transcript:rna-gnl|WGS:NBSK|LSAT_4X171301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLKFGSFPVVIASSPDMAKLFLKTHDHIFASRPLTAAGKYTSYNYQDILFAPSTDHWKQGRKIYLNEVFSPKRLDSSEYIRVQETHDFLSCLHALSGDPIILKEHVLRTILCQISRTVLGRKYFSESKDKKESLTLEEFQEMLDEFSVLNAVFNLGDWIPWMGFMDLQGYVKRMKALSKRFDRLYEHVFNEHRARMKDEKEGFVARDVVDVLLKLAEDPNLEVKLNIDAVKGLTQDLIVGATDTTTTTIEWAMSELIKQPHLIKKATEELDRVIGKERWLQETDFTNLPFIDLILKETMRLHPPGVLLAPHLALEDCKVDRYDIVKGTIVFVNTWSIGRDSVVWDDVDSFRPERFLGSDIDVKGQHFELLPFSSGRRMCPGYRLGLKMMRSLLGNMLHGFNWKLPENMRCDDIKMEEVYGLSTKRKFPLVAVLEPRLPSHMYHSPSGTTI >cds-PLY96451.1 pep primary_assembly:Lsat_Salinas_v7:4:208915208:208916477:-1 gene:gene-LSAT_4X117901 transcript:rna-gnl|WGS:NBSK|LSAT_4X117901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKTPTLDGSIIDELGIYYLCYVGGHYLRLIMRSIRRNALEPWFQKWDSTIILSEATLLYSLSLNLIIREELMFLTEYIIYGSYTCTKVYGMGEIDFQVFSCGRVGETFRTVRFKV >cds-PLY90432.1 pep primary_assembly:Lsat_Salinas_v7:8:213078296:213079722:1 gene:gene-LSAT_8X132641 transcript:rna-gnl|WGS:NBSK|LSAT_8X132641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSCPSSLCAIITKLAVKENYRRQGHGDTLLKAAIEKCRSKRIHRISLHVDPLRTPAMHLYKKLGFQIDTLVKAYYSSDRDAYRMYLDFDKE >cds-PLY67680.1 pep primary_assembly:Lsat_Salinas_v7:4:2361405:2367910:-1 gene:gene-LSAT_4X221 transcript:rna-gnl|WGS:NBSK|LSAT_4X221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKLDLPDDLLSSKHSDHSWTSKVEFSGGNGEDKMTGYDPKGSFYPWIVVCSIWSISKQFGEFANFPQVFFLTSHFLLFDCSYKDQAALDNSIPLSPQWLYSKPGESKMEMRAPSSLSLGSSADSGLKEAWRADGSDDKKDWRKSGSETESGRRWREEERETGLLGSRRERRKTDRRADVASDNRAPSSDKWLDVGNRNSGNEARRDSKWSSRWGPDEKEKEKEKEKRTTDAEKEDESHSQGNAGNNTRVVSERDPESRDKWRPRHRIMEASSGAFRAAPGFGVDKGRVEGSNSNSNSNPNMGFTVGRGRSSATVAVRPSSPGSIEGVPGKPPGVGDMFFYPRGKLLDIYRTQRLDPSFGNMPEKIQEVPSITQVAALEPLAFVAPDAEEEVIYYPLSDVLDLTSTNGKDGDDMIDPSQNTSLFDSQRSITKEGRDANQDREQGVPQMESYELQTRSNTSIIDCGQDKAFNLDGPDLGSMGHHPLDNFQTSSFDTNSNLVNDSNSVFVDPSSEQYWTRNMQDNTNEHLTRGIMPEELSLYYRDPQGEIQGPFLGVDIISWFEQGFFGADLPVRVADAPDEAPFMELGHVMPHLIPRHDYTTSNDPSPNLDKSSDGFHGNLDANVVSVPVPASEMGFMSASDNQARIPEHFYSEGQSFHEEEIVFPGRPGSSDSAVNFMNNHTVPVPTDNKMHPFGLLWSELEGSSLRNDQQSRTSLSGGIQQQFVNSPSQRSSHFNTIPDADEWSDIYRRNAMDQETTEFDLSEKLRSHQIQQQLLQQHNLLNSHHQLNESMLDPVQVRPPINLKQFSGQGVDHLVALQLQQQQQRRIELHQQQQLQLQQLSQQQMLLKEQQSRQLLLEQLAQNQMRAAQAQSRADAARSNNALDQILLKHQILNELHQRSQHVDPSSIEHLIQAKYGRQGQGQGHPNELLELIAHAKHGQMEHHMLXXXXXXXXQEQFQGRQQLPLPLGLGLRQRMEMEEERRLGSVWPGPVDETTQFLRNAGGLHRVNSSGLSPLDVYQQQQRLSPDELSHLSMQERLQQRSLRMSGGGPAMNLDVNSMGRVNQNMQEFNAPLHHGGAANQHPLASNRFHPSSQMGAEGQMPNEWIQQLHIDNERQKEARRISEDPSVWMSAGSNDDPSKRLLMELLQQSQNPAENRSTSEEALALDVSNNNMAGSDSRERDYSSHAFGVGGYGFNSVNRPQGQGRLVGLGEETIVGEGRVHTRPGGAVPFFSETQEAVYTNSNMQGNRKVPKSEAGNNNNMMIRVAAETQQGGVAAIDHGEMPNNFGGNKSLYNDRVESFAAAGGGGGGEGQDRGSKRCSSSSSDNINILVRRPSSSSSHEGGLREVDSTFRERETRENMMMMVGGGGFRRTSSLSDASVAVSETASFSDMLRSNSNNNNNNHHLIRKPPSPDPEGSAAAAAEGHNSKTGAGAXLLW >cds-PLY98130.1 pep primary_assembly:Lsat_Salinas_v7:1:141135778:141142759:1 gene:gene-LSAT_1X102180 transcript:rna-gnl|WGS:NBSK|LSAT_1X102180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSEHSEGSSSSSSTHKGHRYDVFLSFRGVDNRHFTTYLYKALMDAKITTFLDDEEIEIGEDLKPELESAIKVSRASIIMLSKSYATSIRCLDEMVLILEQRMKSNHVVVPVFYHVEPTHARKQKSSFGDAMAKHRQRMEAETDANKRSKLAQKMEKWNKALVEIAGLKGKDINGRHEMEFIDEIVKDIHRRLRISSRSPLPQLMGMVYSIEFVTSWLKDASSHTTNILTILGIGGIGKTSLAKYIYGLHCHEFHTSSYIEDIGRRCRGKFSGLLDLQKQLCDDISKTSSMKFHDVSIYTSKIENLVARKKVFLVLDDIDSLDQLDALLGSKGFHPGSKVIITTKDTWLTESCALFKTNVKPKHTKHMLKGLYKTESQKLLCFHAFMSNNPKEGYEEVSENLVNYCEGHPMALKVLGRSLHNRDVPYWEWYIDRLKKENDSPINNVLRMSFDSLPSKHNKDMFKHIACFFVGMDRDVTETILQACGLETRTGFTNLIDRCLLSIGWDNELMMHQLVQDIGRFIVCEESPEKPWKRSRLWCHEDIFKVLEEKKSTRNLLGLALDMQRLEKEKLCASFELKTDALSDMDSLMLLQLNYVQINGSYENFPEELRWLCMHGFPLKSIPSDLPVENLVALDMSYSNIESFGIYYNNPQRLHKRQKLIGSCSKDKRLLGSLKILNLSFCEQLCSVGGFDELPALERLIVRNCIGLLEVCESIDQCFELVFVDLSYCIKLEKLPRSLGMLKKVKTLLLNGCYLGESRIKTMGMDSSALLKANNNGINTKTSSSAIIKAIPSDSKLFSLSLPKSLVKLSFENNNLSTESFPIDFSCLSMLKELCLDDNPIVSLPNCVRSLPRLKILSMRDCKKLTSVEHPPHTLAYLDLYFDSNNPLPLKVSFDPEMSPIHFSIDWKINAPSSFEFEGVVKIQPMAGVEENVLHSLGWSKLDLLNKRLLGTDILSRESQESEIQMYYEFGIFSTFYGGEEMPNWVTDRSMGPSISLTIPSSSNNLTGLNFFNVCTLQFREDNSFYFPIIIIRNITKNLTWIYTNYVYKVDVSGDCVIFLSHWMFGMNEMEGGDHVTITVSQKHYCIANQVTKECGVSFVYDDGEEKEEEEDALGYYKSWNHIIGGDLIGFQTTTGEYILNNMRFMSPDLVVSSLYRQFVGEDASYKEEEVSFRALSQRKSDILGNGQIKTWGQSQKSQQPKASQTLEKLTSQGNIL >cds-PLY70658.1 pep primary_assembly:Lsat_Salinas_v7:5:71200840:71204136:1 gene:gene-LSAT_5X33301 transcript:rna-gnl|WGS:NBSK|LSAT_5X33301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGYDYEDGMPSSADGGLSYDPSFVPDPVKSFVVHLYRHIREKNVYEIHQMYETSFHTLSDRMFKDTPWPSVEAVAQYVDNDHVFCLLYREMWYRHLYARLSPTLKQRIDSWDNYCSLFQVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKTEQEIALLRQHDQAWNVYGVLNFLQALMEKSSIIQILEQEKEGLEQFTATDGYDYNGGSNVLKVLGYFSMVGLLRVHCLLGDYQTGLKCLLPIDISQQGVYTSVIGSHITTIYHYGFANLMLRRYVEAIREFNKILLYIYKTKQYHQKSPQYEQILKKNEQMYALLTISLSLCPQVKLVDETVNSQLREKYGEKMSRMQRYDDESFALYDELFSYACPKFITPSAPSYEEPLVNYNQDAYRLQLKLFLYEVKQQQQLSVVRTFLKVYSTISLEKLASYMEVDESTLRTILMTYKHKTHSVGADGKTISNADIDFYIDDDLIHVVESKTPKRYGDYFLRQIVKLEAVIGDMDRVKLE >cds-PLY62099.1 pep primary_assembly:Lsat_Salinas_v7:6:96205518:96210092:1 gene:gene-LSAT_6X66321 transcript:rna-gnl|WGS:NBSK|LSAT_6X66321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAINGSSSENAGEDHRMLEAAEALAALSHVGESVSERVKAVSNAGNSCFDSIESSSKRPINVDKAEKEARKIRRVLANRESARQTIRRRQMIKITKMYGHTYCSSSTNSPIMMCNQSSFPPFTWPPMIVLPYPWLFPFLQNNNQNHPHFNLNEKPVESSSSPGNLLSDSKQIVHKNHQVPGVCSVKTVCDMAAAAEARKRRKNLKMEKSLFCRQRRMR >cds-PLY84723.1 pep primary_assembly:Lsat_Salinas_v7:5:230527527:230530603:-1 gene:gene-LSAT_5X110901 transcript:rna-gnl|WGS:NBSK|LSAT_5X110901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAALLRSLRRRDIASAPISAFKSLSGAKTSSTGSYLSHKLGVIARPFSSRPLGNEVIGIDLGTTNSCVSVMEGKTAKVIENAEGARTTPSVVAFNQKAELIVGTPAKRQAVTNPTNTVFGTKRLIGRRFDDSQTQKEMAMVPYKIVKAPNGDAWVEANGQKYSPSQIGAFVLTKMKETAEAYLGKTINKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGLNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNAMLDFLVSEFKRTEGIDLTKDRLALQRLREAAEKAKIELSSTTQTDINLPFITADASGAKHLNITLTRSKFEALVNHLIERTKNPCKNCVKDAGITTKEIDEILLVGGMSRVPKVQEVVTEIFGKTPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTKLIGRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMASDNKMLGEFDLMGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKATNKEQQITIRSSGGLSDDEIDKMVKDAELHAQKDAERKALIDAKNTADTTIYSVEKNLNEYKEKLPSEVVAEIEGAVAELRKAAGGEDVAEIQAKIDAANKAQSKIGQHMQGGAGGSDSGAGGAQGGEQAPEAEYEEVKK >cds-PLY95137.1 pep primary_assembly:Lsat_Salinas_v7:1:94680164:94693539:-1 gene:gene-LSAT_1X78540 transcript:rna-gnl|WGS:NBSK|LSAT_1X78540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSDYAEGSSSSSIHGHKHDQFLSFRGIDTRYSFTNHLHKALLDVNISTFLDDEEIKTGEDLKPELETAIKESRASIIVLSKNYANSSWCLDELVMILEQRRLETEFIDEVVKDIYLRLRVPLRSALPQLIGRETSKNFVTSWLKDTSSDTRDILTIFGMGGIGKTSLTKYVYGLHCHEFDTSSYIEDMSRRCAGNFNGLLDLQKQLCSDISKTSPIQGYDFITYTSHIENLVADKKVLLVLDDIDSLDQLNALLGSKAFHRGSKIMITTKNVWLTESCLLFKTNPKPKHEKYELKCLWETESRKLLCVHAFMCNDPEVGYEEVLEKLVKYCEGHPFALEVLGKSLHNRDVAYWEECIEGLKRENSSPVNNVLRISFDSLSSKNDKDLFKHIACFFVGTDKDVTETILKACNIKTRSGITNLIDRCLLSIGRNNKLMMHGLLQEMGRFVVREESPDKPWKRSRLWCHEESFKVLKQEKGKGKLLGLSLDMRMLEKEKLGASFELKTDALGKLNNLMLLQLNYVQINGSYTNFPKELRWLCMHGFPLKSLPSDLPTENLVALDMSYSNIESFGICYGDPQRLMSRKKLTGSCLKNKRLLGSLKILNLSFCEELCCLGCFANMPSLERLIVRNCIGLVEVCESIEACVKLVLVDLSYCNKLEKLPRIISMLKKVKTLVLDGCNLGGSQIKITDLEKLTAKIDIDKKPSSSAILEAITNDFKFFSISLPSSLVSVSLKNNNLSMKSFPIDFSCLSMLKNLYLDGNPFISMPNCLRSLPRLEMLSMGHCKRLASVEHPPQTLRKLILFSTFKPLLRKVVFHPEMSPLDLIIPWKLLAPSSLEIQGIVKIQPIEGVEEKVLRSLGWSKLDFLSKRRTGTYTRYRGTEESKIQMYYQFGIFSTIYGGKEMPNWITDRSKGPSISFTILSCQPNNLRGLEFCCLQAFIFPNHQFHYPPLIVITNVTKNRTWIYEHCIDNVIVDEECVILLSHWMFGKNEMEGGDHVTIRTVTGRPYNQNRQITVECGVRLVYEEEEEDALCYYKSWNHIIGGDLTGYQLTTGEYILSITGIKMHGVEENAYYRELLEDGSHYKDLRLRLKPINPDFSLNRSHTRHSMTVSTKMAMLSRARVVSSNLFGKLAFQNPIHRAFSSSSTQGTHSHDKMMNNNPMFSLDISSQIGSCMPISMMRIGTIIHNIEMNPGQGGKLVRAAGTSAKILKEPSATKLCLIRLPSGVEKLIDSRCRATIGVVSNPEHATKKLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGKSKSSGCRGGVSKTPWGKPTKSGFKTGPLKRRK >cds-PLY67261.1 pep primary_assembly:Lsat_Salinas_v7:5:135162981:135163382:1 gene:gene-LSAT_5X58441 transcript:rna-gnl|WGS:NBSK|LSAT_5X58441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRVFFYAELKAATKEFNCRNFLGQGGFGRVYKGFLKCRQEVVVKRLVQNGEEKQDKASKKLTRRLLCWDVSDIPIWCRWWATANMKMKGCWCANTCRAATLENIFVV >cds-PLY65056.1 pep primary_assembly:Lsat_Salinas_v7:1:118426368:118463107:-1 gene:gene-LSAT_1X90700 transcript:rna-gnl|WGS:NBSK|LSAT_1X90700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSLKVAVIGAGVSGLTAARELQRESHQVVVFEKSQQLGGNWVYDPRVESDLLGLDPNRDIVHGSLYNSLCTNLPRELMSFTDFKFSEKIYQDARMFPCHNEVLMFLEDFANNFDLIKLIRFNTLVTLVEVLDSGITKFLVESKTNGVNKVEEFDAVVVCNGHNFEPRLATDIPGIETWSKKQMHSHNYRVPEPFLNQIVVVIGSGPSASDISKEIAMVAKEVHMSSRSPLVNMSKLEKFDNLWQHSKISYVSTNGTLTFQDGLSIVADVILHCTGYNYHVPFLKVNGILTIQEKCIGPLYKHVFPPRLAPRLSFVGIPNKTLVFPIAESQSRWIAHTLSRKVLLPSEDEMLNNVEEYYHELEEKGIPKHHVHTLGFETQYIDWMLAQSGMVMEKQVKEMTKYLIHCLMMAGLNGYIEAFLQKYGI >cds-PLY64792.1 pep primary_assembly:Lsat_Salinas_v7:2:101415934:101417831:-1 gene:gene-LSAT_2X46860 transcript:rna-gnl|WGS:NBSK|LSAT_2X46860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSSGVNLEKCRIPLEEISRATKNFCSETLVGDGGFGMVYRGQLSNDWKNQIVAIKRLDPDSCQGTDEFHNELKLVSSFNHPNIIPFVGYCDDANEKIIVCKYATNRSLEYHLQDRDRRSRLTWGQRLKICLGAARGIKYLHSGVGEYRRVIHRDMKSANILLDDNLEATICDFGLSRLAPRNLPDTYVHTRAAGTRFYIDPLYHERGRLTKESDIYSFGVVMFEMSSGMMAYHVRRFEDTETQSMINIVRSYYDDEHQHVDGLDKLIDPTIKDQIDMRSFHKFNEIAHECINLDIKKRPTMDRIIEGVEEALNIQLFPTRSIQIDEQESEKKFYMLGANDLTIESQDDTRYWEWGCIPESRFPEVCILKEVWWLEIHGKVAVVKLSQKGTYVAYLVFRTTEGCSGLTLPAKSSVTFGGVKTETEKVYLQIPRRVQQDYVIPHMRNDGWMEIKLGEFKYEEGDNGEVEMVFKELSLHMRKSGLIVEGIEIRPK >cds-PLY69979.1 pep primary_assembly:Lsat_Salinas_v7:8:63464434:63468591:1 gene:gene-LSAT_8X45601 transcript:rna-gnl|WGS:NBSK|LSAT_8X45601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSSEIDVSEKTSLAICCPLLRSSFSHASAIISLPIDAQIQSRPSPSATATTDRRLPPAIPCRICGSSSSSSNYSEAGSSTHKSPKSSSSLWSGFLGSAFSVFDTQKKDSNTTTRSHGWTAAVKRVMNGGSMRRIQERVLGYKTNVSNSISDIWLLGVCYKICLQDPSQEPVHTTGYAAFSHDFSSRILMTYRKGFVAIGDSKYTSDVNWGCMLRSSQMLVAQALVVHQLGRSWRKPSLQPYEEDYISILHNFGDSEDSPFSIHNLLQSGEGYALAPGSWVGPYAMSRTWETLARKKIDENNLQDNSFPMAIYVVSGDEDGERGGAPVLCIEDASTQCSQFSRNQVEFSPLLLLVPLVLGLDKVNPRYLPLLAATFTFPQSLGILGGRPGASTYIIGVQDDKAFYLDPHEVQQAVNISKDNLEADTSSYHCNVVRQIPLESIDPSLAIGFYCRDKDDFQDFCSRASELAAESNGAPLFTVTQTRQLAKPGGTSRGEEEVDSFDGVDDAEGSAQDEWQLL >cds-PLY89122.1 pep primary_assembly:Lsat_Salinas_v7:4:145707355:145711437:-1 gene:gene-LSAT_4X88540 transcript:rna-gnl|WGS:NBSK|LSAT_4X88540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTRSSSSSTTWRYVNPAYYLKRPKRLALLFMAFVSVSFFVWDRQTLVREHEDELFKLKSELLQLQNQLEELKPDENIVKKINTTSKNLDDLPIDPIENQRREKVKDAMVHAWTSYEKYAWGHDELQPQSKNGVDSFGGLGATLIDSLDTLYIMGLDEEFQRAKEWITNSLDFNKNYDASVFETTIRVVGGLLSAYDLSGEHVFLEKAKDIADRLLPAWDTPSGIPYNIINLVNGNPHNPGWTGGDSILADSGTEQLEFIALSQRTGDPKYQQKVENVIRELNKTFPADGLLPIYINPHKGTTSYSTITFGAMGDSFYEYLLKVWIQGNKTAAVKPYRDMWETSMKGLLTLVRRTEPSSFAYISEKIGSSLIDKMDELACFAPGMIALGSSGYGPDESSKFLNLAEELAWTCYNFYQSTPTKLAGENYFFHSGQDMSVGTSWNILRPETVESLFYLWRLTGNKTYQEWGWDIFQAFEKNSRVESGYVGLKDVNTGVKDNMMQSFFLAETLKYLYLLFSPPSVIPLDEWVFNTEAHPLRIVTRVDSPEASNSKQGTSSNNNIRIRARKEGRFDKP >cds-PLY86858.1 pep primary_assembly:Lsat_Salinas_v7:8:49486838:49490986:1 gene:gene-LSAT_8X37680 transcript:rna-gnl|WGS:NBSK|LSAT_8X37680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALDNSMGESTSRKQGLLKDQVRLVKRKDCDRSEIVQIKDTLSFEKGFFVVIRACQLLVQTNEGIILVGLAGPSGAGKTAFSEKILNFMPSVAVISMDNYNDATRVIDGNFDDPRLTDYDTLLQNIHDLKEGKTIEVPIYDFKSSCRTGYRTLEVPSSRIVIVEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIYQISETVYRMYKAFIEPDLQTAHIKIVNKFNPFTGFQNPTYILKSSRNVSVEQVKSAMSEEHTESLEQIYDIFLLPPGEDPETCQSYLRMRNREGKYNLMFEEWVTDPPFIISPRISFEVSVRLLGGLMALGYTIASILKRSSHVFRDDRVCVKIDWLEQVNRHYVQVLGRDRASVRYVAEQLGLEGSYIPRTYLEQMKLEKLLNEVMVLPEDIKTKLSIDEEMLSTPKGSVTLATMRNKYLKSGMSHSYSTARDKNLSSITGFGANSQRFDDRNTESTATLASEGAISQLSEQISTLNDRMDEFTSRIDELNSQFTKGINYSSQQKPESCNNGSGSVSTSYFTSVVGVANGSSGSLVRHSSSSNQLAKDSPLIEEISGIARSQQKIMHQLDILHTSIRDKMGERSRVERESKKSKGLEVDAIMVSLLLAMACGGVGIFMLKWVSSRN >cds-PLY72574.1 pep primary_assembly:Lsat_Salinas_v7:3:198543454:198546535:-1 gene:gene-LSAT_3X118321 transcript:rna-gnl|WGS:NBSK|LSAT_3X118321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLRTSCADGYNRISVSSTPSCSNPTSGPDDIESLGDVYLWGKVCCDGTCNNQSANGKNDVLTPKPLESNVVIDVQKIACGVQHISLLTKQGEIFTCGEESGGRLGHGTDRHHGRPRLVEFLSVSNIDSIACGEFHTCAISNSGDLYTWGDGSHNAGLLGHGTDVSHWIPKRVSGPLEGTQVTSVACGNFHSALSTIDGKLFTFGDGTFGALGHGDRESVHFPKEVASLSGLKTIKVACGVWHTAAIVEIINNQTGHSTSKKLFTWGDGDKYRLGHESKESYTEPTCIAALIDYNFHQLACGHTMTVGLTTSGHVFTMGSPAHGQLGNPQANGKSPSMVQDKLVGEFVEEIACGAYHVAVLTSRSEVFTWGKGENGRLGHGDIEDRNTPTLVESFKDRIVKSVSCGATYTASICVHKWAVSGADQSVCSGCRQAFGFTRMRRNCFNCGLVYCHGCSSRKALRAALAPIPGKPHRVCDSCYAKLAKAAECGNNNNSNNNNNVANFNKRLSTRNHMDGSRLRTSRVLISPTMEPVKYHEVKSGKYGSKSDSYSIVRTSQVPAFQSLKDVAFPSSLSAHQNALRSGSQFPPRPRRSNSPQSGAPMFSRGVIDGFKKSNDALSQEVSKLQNHVKHLKHKSEKQDADIRKLRNDTKHAESLTAAKAAKRTIVVDVFKCITTQLNELTEKLPPEISDDETFKALTDKVNNYIKTHGNDTSFSSCSCSQSDQPEASRTTSKVTRKKYHKIDENEDLEEPNKSTQNVDGSQDTSQNVDIPPQENDEASTSNNNTASQKSIEGSSRVHKHVKSEGVNEVIEQFESGVYVTLLQLPDGTKIFKRVRFSKRRFAEQQAVEWWKENKVRLLKRYSPAKPRNTSSAPSAPPPPPKNNEEPPPST >cds-PLY71222.1 pep primary_assembly:Lsat_Salinas_v7:6:19282693:19285648:1 gene:gene-LSAT_6X14781 transcript:rna-gnl|WGS:NBSK|LSAT_6X14781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYAALMPTKLGLEGSHDQIHRLRTTTTCMNVDNLSKAVFVRGAHADKLKVKGPVKMPPKVLHIKSALSCVEEKTGFKDFLHINDFDKETILTILDRAKEVKALLKSGERTYLPFKGKTMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPKDIEMGKREETRDVARVLSRYNDIIMARLFAHQDLLDLANYASVPVINGLTDYNHPCQIMADALTMIEHIGQIEGTKVVYVGDGNNIVHSWLLLAAVVPFHFVCACPKGFEPDKETVEKAQRAGVGKIEITNDPKEAVKGADVVYSDVWASMGQKEEAAYRRQVFQGFQVDEELMKIAGPQAYFMHCLPAERGVEVTNGVIEAPNSIVFPQAENRMHAQNAIMLHSLGL >cds-PLY80178.1 pep primary_assembly:Lsat_Salinas_v7:8:190994130:190995546:1 gene:gene-LSAT_8X124560 transcript:rna-gnl|WGS:NBSK|LSAT_8X124560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISAAVDTFYLTDEQLHNSPSRKDGIDEATETTLRIYGCDLIQESGILLRIPQHVMATGQVLFHRFYCKTSFVRFNVKRIVAACIWLASRQGENPRRARDVINVFQRMEYRRENLTLDYLDASSMKKHPELKMDLIRCEGHILKELAFSCHVEIPHKLMVSYISVLGTHPKLMQESWNLANDSLRSTLCVRLKAEVVACGVVYAAARRVHVPLPENPPWWEAFEVEKSGIDEVCRVLAHLYSLPKAEYLHVCKEDGSFTTMSLVTIVTHESKATEIGKMKKERLSKEREKIKEKEKGKGKGKGKGKGKT >cds-PLY78681.1 pep primary_assembly:Lsat_Salinas_v7:9:49702182:49705511:-1 gene:gene-LSAT_9X45540 transcript:rna-gnl|WGS:NBSK|LSAT_9X45540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVKPVVAVVTQKLTDTLNDESVADNKVMIHLLKGVIKSLKKLQSFSQEKGVSDASQRATDHLRVLYQVEDEVEKFTFRFAQQRKKFGFLMKKTYFFNNLNSCRRLKRKIKKIQTQIPASPRDVSVRTNSSLSQQNSLTTVSDDIEHHNDDEDGDDDFGYKTHILQQKSSSHVWVISEIPSSPSPRSGFLTRSFTMIPHPEKIQILSSFSNQEEELGIFGLNDDIKSLVKWVTQERNVSRFIDGIDIPVKKQTRNNEIFVPIVGELGSGKTTLARAVYRNRKIKDHFEFHDWVSVTEEYTAERILHSVSKKVGSVNENGNQNQSLLDYLKGKKYLIVIDGVGSRGVVKDLIHAFPDENNGSKVIFTSRDVWINREMNINPHIMQPLNENERWSLFLNKAGKEKEADQNQIHPMTRDRILNIRGSLPLNIVLIAKLLSTMSIERWSSVIVLNQYSDDDVLSLCYNDLRIQKKLCLLYLSLFPKDYDIPVRRLLRLWLAEGFVKKRFVKKSSEEIPPEDLVQEYFDSLVDRGMIEITKLRSDNSPRHCRLVSVFHDYLLPKAQDISLFYIHRNLENLEDAANPFGVRRMVHHMTTTGAIAPTTRMAHTEEEDTDATLSSSPSSLSCFFPSCRENIDVSDLQQSQPHSSTFDPSLLRSYVSFNFQRKDMPEKEVGILLGRIINSRFGLLRVLDLEGVCKPNLPEKLGQLCHLRYLGLRWTFLESLPESVGDLTYLETLDVKHTCVDTLPDSIWKLKHLRHLNLNNIRLAMPPSSSSSLLTLWGLVLDEKISVNEGLGKLLDLKELGIKFNLSKSQGVLLEWIAKLKNLQSLRLISVNDMGRPSKLDLKPLRDLEKLSHLNLYGNLERLPAPIEFPPTVRVLTLSVSRLDNDPMETLEQLPSLMVLRLLGDSYTGKRMVCHGGGFKKLEVLKLWKLNDLEELDVEEEAMERLKELDIRRCHNLNDISCRLLQKQRCLEELILTDMPDDFVERIKKRKSKDTSMIINAWKLAP >cds-PLY97763.1 pep primary_assembly:Lsat_Salinas_v7:4:375837837:375838034:1 gene:gene-LSAT_4X184881 transcript:rna-gnl|WGS:NBSK|LSAT_4X184881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSQIKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDVLTLLESEREARRLR >cds-PLY70149.1 pep primary_assembly:Lsat_Salinas_v7:3:11875729:11875947:-1 gene:gene-LSAT_3X8720 transcript:rna-gnl|WGS:NBSK|LSAT_3X8720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRSETLNSVDWQLTMEEVTDEGRREAIDGGGRKEAVLEGMVEAEVERSPEISAATLIFKIENLRNELVRCQ >cds-PLY81677.1 pep primary_assembly:Lsat_Salinas_v7:6:56882371:56887618:1 gene:gene-LSAT_6X42721 transcript:rna-gnl|WGS:NBSK|LSAT_6X42721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANKITDELITTVQSIVGQDYSKMDVLRALHMANNDATAAINIIFDTPSFKRSEPPKPKTPMVSSRNATVKTQTPVTNSKTNSVISRSVDDEPCTSRSVAPEREVVKGNEIVNNLTGSSKWWFVGCCEVAGLSTCKGSKIKPGDQLNFTFPPEKSLSGPSPAKFGSGGRGRQPSACSEIVRFSTSASGEIGRIPNEWSRCLLPLVRDKKIQIQGFCKSAPNNLGLMDTINLSISVYINSSILHKSHQTSVKVPTSSVDETSIQPLPTLFRLLGLVPFKKAEFTPTDLYTRKRRLDIKDSSGVPPPLLNAPKLKNSSSKGSTVETEETISDNDLDNIVGVANGSELEEMEPPNTLLCELRPYQKQALHFMVNLEKGPCVDDAATTLHPCWDAYHLADKRKFIVYVNSFSGEATVEFPSTLQMARGGILADSMGLGKTIMTISLLLAHTEKGGSLDKDSSSEINGSDQSSSSPKKAAKFSGFDKLRKQKQSLIGGGNLIICPMTLIGQWKNAEERGGLYSVRWFRVVLDEAHTIKSSKSQISMAAATLVADRRWCLTGTPIQNNLEDIFSLLRFLRIEPWGSWSWWNKLIQKPFEDGDERGLSLVQNILRPIMLRRTKLSTDKEGRPILVLPPADMQVIYCQQTESEKDFYDALFKRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEFSDLDKLAKRFLKGGGNTNNKDTMEEGKEMPSKAYIQEVVEELRKGEQGECPICLEAFEDAVLTPCAHRLCRECLLSSWRTPASGLCPVCRKSVSKQELITAPTDSRFRVDVDKNWVESSKVSALLKDLESFRSTGSKSIVFSQWTAFLDLLQIPLSRNNISFVRLDGTLNQQQREKVIKQFSEESHILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAVMRIHRIGQTKSVSIKRFIVKGTVEERMEAVQARKQRLISGALTDQEVRSARIEELKMLFT >cds-PLY98459.1 pep primary_assembly:Lsat_Salinas_v7:1:9113706:9114966:1 gene:gene-LSAT_1X7281 transcript:rna-gnl|WGS:NBSK|LSAT_1X7281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHPRVVRDGMTEMKSMLFEVVLNVVMMTIAGRRCDVEGGEAGRFMELVQELFIVMGATHVSYYLPWWKWVGGKHLEKEMVALNENWHALMQDLIEEQRRKTVVEAEGGSYDDLKKILIEFLLMSQQKEPENHSEKVIKGLLQVLIKIRFFNVIYAGSNTSSVTMEWMLSLLLNNPEALKKVQTEIDNCVGEDRLVNESDLTNLHYLRCIINKTMRIYPDDPLLLHESGKDCMVGGYHIPSGTMNVWAIQNDPKNWKDPEKFKLERFLGLKGSGNGYNYKLMPFGTRRRRCPGENLGMLIIELTMATLI >cds-PLY71914.1 pep primary_assembly:Lsat_Salinas_v7:3:23615785:23616493:1 gene:gene-LSAT_3X17540 transcript:rna-gnl|WGS:NBSK|LSAT_3X17540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFFRIALLHLIDVVMGHGGDGGDEPPHPFRGDMVYFGATSNDPTTPVYWASLKSQICAQYRGCKNVAKTRLTGFEGDVEAERDQSPVGMDPQR >cds-PLY85291.1 pep primary_assembly:Lsat_Salinas_v7:3:72512920:72513503:-1 gene:gene-LSAT_3X56841 transcript:rna-gnl|WGS:NBSK|LSAT_3X56841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIKTRSASIIFIFICAIFIMQMVLIAADQGGSFRTERYDPRAPPTPQGNPRHGMNPPDSPPPIYT >cds-PLY78032.1 pep primary_assembly:Lsat_Salinas_v7:9:44362134:44372244:-1 gene:gene-LSAT_9X39760 transcript:rna-gnl|WGS:NBSK|LSAT_9X39760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVSKQIQQMVAFIRQEAEEKANEISVSSEEEFNIEKMQIVDTEKKKIRQDYERKTKQVEVKKKIEYSMQLNASRIKFLQAQDDLVTGMKNAAAKELLNVSHNKKTYKKLIQSLIVQSLLRLSEPAVLLRCREVDLSLVESTLEDAKKEYASKAKVQAPRIDLDKTVYLPPPPNSSDPHRPSCCGGVVLASIDGKIVFENTLDARLDLTFRKKLPEETYRLFDKMMMGFKFKSRGCVFALIIQFLMAISVAKVTDPSEVSALLAVRGRLVDPLNRLRNWNKGDPCTSNWTGVICVHKSNVDRYLHVQEIQLLNMNLSGSLAPELGQFSHLTILDFMWNDLSGSIPKEIGNISSLVLLLLNGNRLSGNLPDELGYLRNLDRFQIDQNHISGEIPKSFSNLNNIKHIHFNNNSLSGQIPLELSNLSTLMHLLLDNNNLSGYLPSEFGNFPNMRIVQLDNNHFDGAEIPASFGNLSKIVKLSLRNCSLQGVVPDLSRIQNLSYIDLSKNRLSGYIPSNTLSNRITTIDLSDNQLNGSIPESLSDLPSLQKLSLENNFLDGSISPKLWQNKSFTATSKLLLDFRNNSFSSVIGVLNPPVNASLRLNGNPICRNSSIQNKDEFCGPKDYGEYMRSFSKDATDCPIQSCPTDNYFEYVPESPIPCFCASPLRIGYRLKSPSFSYFPPYREQFETYVTSALDLEFYQLSIDSIMWEKGPRLRMYLKLFPKAGIDHSSTFSTSDVLRIKGIFTTWVFPGSHLFGPYELLNFTLLGPYSHLNVGTPSKGISKGVLLTTVVIGVVCALAISSVLTVVIKKRHERYKHTSSRKSLLAKLSIKIDGVKSFTFREMAIATQNFSNSSLVGRGGYGKVYKGVLWDNTMVAIKRAEEGSLQGEKEFLTEIEILSRLHHRNLVSLLGYCDEEKEQMLVYEYMPRGTLRDWLNAKSGESLSFRMRLHVALNSAKGILYLHTEANPPIFHRDIKSSNILLDSKMTAKVADFGLSRLAPILDDNGVGPNYVSTLVRGTPGYLDPEYLLTHKLTDKSDVYSLGVVLLEILTSMKPISHGKNIVREVKIAHETGTMFSIVDSRMGSYPSECIEKFVLLALWCCKDKPEKRPSMVEVVRELEQILEKMPKHELLDPDSNYFVESSSMSSLYSSSNVHGSSDLTSGGSPLVYPR >cds-PLY97002.1 pep primary_assembly:Lsat_Salinas_v7:2:36157734:36158995:1 gene:gene-LSAT_2X16121 transcript:rna-gnl|WGS:NBSK|LSAT_2X16121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENFFESLPEGFVAEALALTSPRDASRLSTVNSVFRSAAQWDSVWESFTPPEYLPEMEDGGAFRSKKEVYLRLCDHPVIIDEGSKSFWLDKGSGKKCYMLAARQLLIASSDSPNCWIWTQTRESRFTEVAELISVSLLEIIGKINTSIFSPDTTYVALLVFKTTSKAYGFEYQPVKVCIGFHGDRSQTRMVYLDPEAGRRRGLRSRRGIGMFSKGGFANWDVVPPPSKENGPKQRDDGWFEIEIGEYFNGGGDAAEVELSVVEVNGGNWKTGLVIQGIEFRPKKFC >cds-PLY82810.1 pep primary_assembly:Lsat_Salinas_v7:1:87130765:87135316:1 gene:gene-LSAT_1X72160 transcript:rna-gnl|WGS:NBSK|LSAT_1X72160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVNKKAARSAQKDKRIPSFSPKTVSQDIIPSVDTVINGVSVIKETKTCPHHEKGINFENFSLKIASLESHKCDDCRESVNDRRTKKGKKNKGNGSKSDSNSNSIWVCLECGHFSCGGVGFPTTPQTHAARHSKQNHHPLVIKFANPNLRWCFICNTLIPVQNGEQKDTLSEFVKALKTQSIETRKDVDDSDTAELISVNTLENGGYIVRGLVNLGNTCFFNSVLQNLLAMDKLRDHLLRLEGTLGPLMVSLKKLFVETSQSTGGKNVINPRAFFGCVCVKAPQFRGFQQHDSHELLRCLLDGMCNEESGIRKRSQNGNATQEHVPTFVDTIFGGQISSTVSCLECGHNSIVYEPYLDLSLPLPTKRSPPSKRVQLVSKSKKTKLPPKRTGKFRSKNKKEKDSKVDQSFMKISIIEENSGPTKSIEPCVNGQVESVVLEETTSWLDYLEPTSESCIEDMSRLHDSGNSNEVSWRDESHVKVQESEVTSAMESRNEDPSGIQDSGDNNGVCWKDEGYLKVQESEVVSTTESRNEDLSGIQDSGDNNGVSGKDEPHVKVQESKTTESYIADTSGIQDSRNNNNVSWKDKPQLKVEGSEVTSTMELQNPDASGIQDSGNSSVVSWKDEAQIKIQDSEVTSTTESHNKDPSVIQDSGNNNEVSTSGGNEITKEPLEVDGFGFGGLFDEPELDIKPLSNGDEKKGLMTTSNSESDPDDIDDNNSPVSVEKCLAYFTTPELLTKTEHAWQCEQCSKHQRTILKNKTRGKNGISCDSGVDHLLPNGFGSQDTESCSVNKSNGECKKHESDSSEDDDVDSKSAKVARDASKRILISRAPPVLTVHLKRFCQDARGRLSKLNGHVSFRDTIDLKPYMDPSCCKDKETYKYKLMGVVEHLGSMRGGHYVAYVKGGDCVWYHASDAYIRVTSLEEVLRCEAYILFYEAM >cds-PLY80039.1 pep primary_assembly:Lsat_Salinas_v7:9:45473687:45477072:1 gene:gene-LSAT_9X41940 transcript:rna-gnl|WGS:NBSK|LSAT_9X41940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVIHVRNVGHEISEPDLLQLFQPFGVINKLVMLRAKNQALLQMQDVPSAVNAMQFYANVQPSIRGRNVYVQFSSHQELTTMEQNTQGRGDEPNRILLVTIHHMLYPITVEVLQQVFSPHGYVEKIVTFQKSAGFQALIQFQSRQSAISARNSLQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPSLPSEQKGRPSSQPGYGDAGVGFPQMNNGAAIAAAFGGGLPPGISGTNDRCTRIDENKLFNLFSLYGNIARIKLLRNKPDHALIQMGDGFQSELAVHFLKGATLFGKRLEVNYSKHPHITMGAETHEYLNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDITEEEIVAHLEEHGSIINTKLFEMNAKKQALVLFENEEQATEALVCKHASSLGDSMIRISFSQLQTI >cds-PLY69658.1 pep primary_assembly:Lsat_Salinas_v7:5:212355174:212355329:1 gene:gene-LSAT_5X99081 transcript:rna-gnl|WGS:NBSK|LSAT_5X99081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPVAMPPLQAVVNALALTQPLDLSSLEGLGAMNVVKPSEPPLPTSVRL >cds-PLY95508.1 pep primary_assembly:Lsat_Salinas_v7:4:142259781:142260224:-1 gene:gene-LSAT_4X88321 transcript:rna-gnl|WGS:NBSK|LSAT_4X88321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSILISTQIPDQTDHEIFGDIIQELIVNLTESQSQSPIIPPISQPFVNTTLPMQMIHTTPIFHESTTTTTTPVHTTVVNTEEHHSEDNDEFFVQEYDLETIAVFQSLVEPVLAASLLDYETAIAPALRKDYQMLNRKLNDFGLSN >cds-PLY66011.1 pep primary_assembly:Lsat_Salinas_v7:4:137279748:137292381:-1 gene:gene-LSAT_4X85321 transcript:rna-gnl|WGS:NBSK|LSAT_4X85321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIVVTFFSAIIDVRHSEKVVGTVGVIHDRSKVQDTTSNVLNDTIYLKRSNIPAKLSPSVGPEKALKLFKKMLDEAIRGVPRIKNGYNPATWVLEVTSSSVENQHNVDYAQIYANSTCYQNKLCFQLPEKALEKSGNDLDSAIKSLNELYLGYVDGISGLPMQSNAVTKKDSSTKSEGVASLENNSSANNDNIPKSSAEWVEMFPVRNRVV >cds-PLY97515.1 pep primary_assembly:Lsat_Salinas_v7:2:6120678:6120983:-1 gene:gene-LSAT_2X2520 transcript:rna-gnl|WGS:NBSK|LSAT_2X2520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPKEYVETDYEYGDCDQEPDEGMDVKETPSKPHPSKPLSSPHTIQESDTDYIHSLEKEIANLKHQRFAAGARAVRAEQKEEIITQEVNELAELLTHQLDD >cds-PLY83952.1 pep primary_assembly:Lsat_Salinas_v7:8:38141308:38142409:-1 gene:gene-LSAT_8X30560 transcript:rna-gnl|WGS:NBSK|LSAT_8X30560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRFILLQNRQGKTRLAKYYIPLEESEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSLCVDITDNELAYLEGIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGEFQETSKKAIIERMGELEKQE >cds-PLY75446.1 pep primary_assembly:Lsat_Salinas_v7:7:75220412:75222266:-1 gene:gene-LSAT_7X54241 transcript:rna-gnl|WGS:NBSK|LSAT_7X54241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRLPPAPFRHHSATQSLTMKTQFLTTSASSPRLPKFSVRNVSSDTSRKANLSISSYLSPILRLQLVMKVKEKLEKDHTSLPTGKNGRDDEELILWFLKDRKFSVEEAISKLTKAIKWRHEFRVSDLTEESVRRVAATGKSYVHDSLDVNGRPVLVVVPSKHIPEMFDRLEDERLCVFLLEKALSRLPEGKEEILGIFDLRGFGTQNADLKFLTFLFDVLYYYYPRRLGQVLFVDAPFIFQPIWQLAKPLVKHYASLVRFCSVEDVRKEYFTDSTVPLSFRK >cds-PLY90957.1 pep primary_assembly:Lsat_Salinas_v7:9:171188597:171189249:-1 gene:gene-LSAT_9X105141 transcript:rna-gnl|WGS:NBSK|LSAT_9X105141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRSANVLILISFFFLSFTSNLNLVDAAPEQLNQKNFDEKVMKSKDVWFIMFGLPTCGTQKAFLPEWEAFSKLVDGKIKLGEVNVAENYDLYVQYKIKSYPTIITFAADKSKGAFEYTGTRTAQKMEEAANLKLEGKGY >cds-PLY66961.1 pep primary_assembly:Lsat_Salinas_v7:1:152508191:152511226:1 gene:gene-LSAT_1X106860 transcript:rna-gnl|WGS:NBSK|LSAT_1X106860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGVVLPTQTKWKHPIFVFFKDLRLVLKLDSLGKEILFIAAPAAMALAADPIASLIDTAFIGHIGPVEIAAVGVAISIFNQVSKVAIFPLVSITTSFVAEEETIERISKKEGKEFVHDDPKLENLENGPTKNDEQKEFVPDFPESPGKHTTVTGSITNKSKLKNQKRNIPSASTALLFGAVLGLLETIFLVLLAEPFLSLMGVKSGSPMLLPAHKYLTLRSLGAPAVLLSLAMQGIFRGFKDTKTPLYATVVGDVANIILDPILIFVCNLGVSGAAIAHVLSQYLISVILLVKLMQQVDLLPPSLKALQFSRFLKNGFYLLFKVIAATVCVTLAASLAARLGATTMAAFQICLQVWLTSSLISDGLAVAGQAIIASSFAEKNYEKATAAAVRVLQLGFIMGLGMALLVGFGLQFGSGVFTKDINVKHIIAIGVPFVAGTQPINSIAFVFDGVNFGVSDFAYSAYSMILVAVGSIGSLLALYNAAGFVGIWIALSIFMGLRVIVGIWRMGTGTGPWSFLRN >cds-PLY96223.1 pep primary_assembly:Lsat_Salinas_v7:3:197555248:197555849:1 gene:gene-LSAT_3X117640 transcript:rna-gnl|WGS:NBSK|LSAT_3X117640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEKDTETWRKRVENYWDLLSPKITANTIRNVMDMKANLGSFGAALKTKDLWVMNVVPEDGPNTLKLIYDRGLLGSIHNWCEAYSTYPRTYDLLHANDVFSDIIEKKGCSGEYLLIEMDRILRPTGFLIIRDKNS >cds-PLY96016.1 pep primary_assembly:Lsat_Salinas_v7:1:12875777:12878131:-1 gene:gene-LSAT_1X10420 transcript:rna-gnl|WGS:NBSK|LSAT_1X10420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L21, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G35680) UniProtKB/Swiss-Prot;Acc:P51412] MAMSFSCASSSCSPSITSIFTHKKSLPATPTPFSFLCHSLPHSYSSLSFTSPSYHRPLSSSTIVPRLSVTETPSVESEAVAEDFVPVESQPVEETPPESKGEEVFAVVMIGGRQYIVFPGRFLYTQRLKGANVDDKIILNKVLLVGTKTSTYIGKPVVPNAAVHAVVEEQGLDPKVVVFKYKKKKNYRRNIGHRQPNTRIRIVGITGYQDSPASTLP >cds-PLY93613.1 pep primary_assembly:Lsat_Salinas_v7:2:174049748:174053635:1 gene:gene-LSAT_2X96400 transcript:rna-gnl|WGS:NBSK|LSAT_2X96400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 100 [Source:Projected from Arabidopsis thaliana (AT5G22640) UniProtKB/Swiss-Prot;Acc:Q8LPR8] MAEKKPTSPSPADEDSSSDTEEIYPEKSVAVEIPKQVNSARLRIPDNDDEDDSPEANFRKFSQVLESEEYKKLEEADEVNIVDNLEELYNFPRDPENWKEEDLQEYWADGPPLIMKPGWDPNFVDKEEVDYINEEIREGRDPPIAPFYVPYRKCYPVIPDNHYDIRNAKSVIEELDRIEEFLEWHSFVFADGSTYEGTIWDDLAHGKGVYEAEQGLVRYEGEWLQNSPEGHGVLEVDIPADEPIPGSALEAKMRAEGRIFKRDFMSPEDKEWLEQDIEDSLRFSKGRYEIPFYENEEWVRQFGQKPEKGRYRYAGQWKHGRMHGCGVFELNERTTYGRFYFGEFLDEDHGCDVDISALHSGIAEVAAAKARMFVNKPDGMVREQRGPYSDPQHPYLYEGDDVWMAPGFINQFYEVPDYWKVYMEDVDEERQMWINSFYKAPLRLPMPAELEYWWEKDESPEFILLNKDPIPDPEDPSKMVYTEDPVILHTPTGRIINYVDDEEHGIRLYWEPEEEDVDPSKVDFLPLGDEELFERDERSFLERTLTSLQDKCKVMVEDLEKRIDEKKKESEFKLKIIETDIEIAEAESELKEILKEMDNELKRLEKEEEKKMEIELEDEEDEVVEKAEKVEQVEQVSKVEADDDVDDDDVDDEDDDDDEDESTPFGNVVKNQDSIKSDQNGKKSGRSSPFAAISLPFASCGVASLIPPRVQQLFATWKESKMQTRTSFPSSSFSFSQVLTGQRTRKSSVGYERTFDQGLTLRACHKVNNNKYKCITTTRSNIGNSFNKKSCGHVERNIIKIGEGKAQKRLSWPCIHPNEELSILSLHIPV >cds-PLY82508.1 pep primary_assembly:Lsat_Salinas_v7:2:184853736:184859018:-1 gene:gene-LSAT_2X108161 transcript:rna-gnl|WGS:NBSK|LSAT_2X108161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGTELIRHHVKEETMDIPSIPPGFESITAFSLKRVEDNKVSVPMSASEQHPVKNESGIEHNDDDDDDDDEKIKRSVRPRPWINYGRFDSNSGDESESEKNQTSSNPISKGVIRGCEKCSNCQKVIAKWRPEEARIPDLLEAPVFYPSEEEFKDTLKYISSIREKAEAYGICRIVPPSSWKPPCPLKEKTVWENSTFATRIQRVDKLQNRDSLTKMLTPNYHKKAKKRRCVKTEFDQKTHGSDPVDPMVPEPCFGFEPGPRFTLNEFQKYADDFKTQYFRRNEMNTNQDSWDPSLENIEGEYWRMVERPSKEIEVLYGADLETGTFGSGFPKDPCQVSGSDEKYVRSGWNLNNFPRLPGSLLSYESSDISGVLVPWLYIGMCFSSFCWHVEDHHLYSMNYMHFGASKMWYGVPGKDAIKLEAAMKKHLPNLFAEQPDLLHKLVTQLSPSILKSEGVPVFRCVQNPGEFILTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGHNAIELYREQARKTSISHDKLLLGAARDAVKAHWELNLLRKNTPDNLRWKVVCGKDGILSQTLKARVEIERVRRDFLCNTSQALKMEATFDATNERECSICYFDLHLSAAGCHNCSPEKYSCLNHAKQFCSCAWASKFFLLRYDIKDLTILVEALEGKLSAIYRWAKLDLGLSLTSYVSKDTSRPLGLNGPNDKEVKEQKGQERVQEILNKPNENVVILVSDDEGDNKPVRTDSVSGCTGGEAQVKKEANESHPENLSCHRVLSKDTGPTNKPQDLSSVKESSNGEKNTSVLSQQIPKCGSGIQNNEEKIVIIGLYANTRPGNNVQNAASGSPSCTQTNPRQKGPRMAKVVRRINCHVEPLEYGVVQSGKFWCDSRAIYPKGFRSRVKYINVLNPTDMCYYVSEILDVGKNRPLFMVSLENNPNEVFVHLSAARCWEMIRERVNNEISKQHKSRILNLQPLQPPGSLDGMEMFGFSSPSILQGIQSMDRNRVCKQYWESRPFLSQSHNEGENSKIQESDIPDCNKNNGLTTDSDDIVSGLFKKANLEELNSFLNVLGNDDSSGDKGKGKLMRLLNEEIGKRSR >cds-PLY94187.1 pep primary_assembly:Lsat_Salinas_v7:5:321975345:321976689:1 gene:gene-LSAT_5X178600 transcript:rna-gnl|WGS:NBSK|LSAT_5X178600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKLDKKIVKKRVKKFKRPHSDWKICVKENWRRPKGIDSRVRRKFKGVTLMPNIGYGSDKKTRHYLPNGFKKFVVHNAKELEVLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >cds-PLY68585.1 pep primary_assembly:Lsat_Salinas_v7:2:21572689:21573090:-1 gene:gene-LSAT_2X10020 transcript:rna-gnl|WGS:NBSK|LSAT_2X10020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQCRTQREERKPNRLGGSGDWKRKVDQGARLIGGGQIRRDRRSFWRLTRPNGRRSFAAVVFAGWGNQQHRWRARFVGFVASKKGRMEAARLPSSRPAVECEREGRFEGVLGGIAGSNYKDEGGVRWVCFDGG >cds-PLY93607.1 pep primary_assembly:Lsat_Salinas_v7:2:174578874:174581961:-1 gene:gene-LSAT_2X98981 transcript:rna-gnl|WGS:NBSK|LSAT_2X98981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVSIQNPVTLTLLGAVVFLLLQASVVRCGFPATLKLERAFPVNHRIELSHLKDRDNLRHRRILQQQSPSGGVIDFPVKGTYDPYRVGLYYTQVTLGSPPKDYYVQIDTGSDVLWVGCSPCNGCPKSSGLQIPVSFYDPSSSSTSSPISCSDQRCSQAGQSSDSSCSNDQCSYTFQYGDGSGTSGYYVSDLMHLETVVADSRSSNTSASVVFGCSTTETGDLVKSDRAVDGIFGFGQQGLSIISQLSSQGIAPDSFSHCLVGSDNGGGILVIGQIIEPNMVFTPLVKSQPHYNVNLQSISVNGQTLSIDPSVFAIDDNQGGTIIDSGTTLGYLAEGAYTPFVDAITQSVSQSVQPLISKGNRCYLITSSVSDIFPIVSLNFAGGASMNLRPQDYLLQQNSVNGAEVWCIGFQTIPNQGITILGDLVLKDKIIVYDLGGQRIGWADYDCETNVNVSSTSSGGRSEVVNAGQIGGVGSSLQITRYQLIPVLLLVSIVHLVVMFFGFS >cds-PLY92770.1 pep primary_assembly:Lsat_Salinas_v7:8:67125075:67132289:-1 gene:gene-LSAT_8X47601 transcript:rna-gnl|WGS:NBSK|LSAT_8X47601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMVVFLALGMMLFFHGCSAAEINRKSFPNGFVFGTASSAYQYEGAVKEDGRGPAIWDKFAHTFGKVTDFSNADVAVDQYHLYEANGSGAINQAGIDHYNTLVNSLLAHGIEPYVTLYHWDLPEALADRYNGWLDPRIINDFATYAETCFEKFGDRVKNWMTFNEPHTFTVQGYDVGLQAPGRCSILLRLLCRAGNSATEPYIVAHNVLLSHAAAFDVYKRKYKAKQNGRIGVAFDVMWYVPGSNKTEDIDATQRAQDFQLGWFLDPIMFGDYPSSMRTRVGDRLPRFSKLQSYLLKGSIDFVGINHYTTWYAWHNSTSIIGVLLNDSLADSGSLTLPWKDGKAMGDRANSIWLYIVPEGLRSLLNYVKNKYGNPLVIITENGMDDANSPFISLKNALKDDKRVKYHNDYLTNLLAAIKEDGCNVKGYFAWSLLDNWEWAAGYSSRFGLYFVDYNDKLKRYAKDSAIWFKNFLTSG >cds-PLY72853.1 pep primary_assembly:Lsat_Salinas_v7:6:21898349:21900821:-1 gene:gene-LSAT_6X16600 transcript:rna-gnl|WGS:NBSK|LSAT_6X16600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRITSDGGAAGKAWKAHMAMALVQLFNGGYHVITKVALNGGVNEIVFCVYRDILAISILAPIAYFREKGTRPTMTRQFLMYFFFLGLTGTETVNVLKSEGQAKIGGTLVCVSGAILMAIFKGPALLGLPNSVHQIIPGGHPEVSRWMFSNLPTLGIDNWHIGVICLIGNCICMATYLAVQVLAVIYAGVIASALNYVLLTWSNKIMGPALVALYNPLQPAASAFLSKVFLGDPIYLGSILGGLLIIVGLYVVIWATYREKQKQQTALVDRSSEPLIDQIFGGPSPSLLKSTD >cds-PLY66666.1 pep primary_assembly:Lsat_Salinas_v7:1:52320811:52323329:-1 gene:gene-LSAT_1X45821 transcript:rna-gnl|WGS:NBSK|LSAT_1X45821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQIKSSISNFKTPPSVVTLGEGWRPLCGIMGEIVYIWVGRTSGEDDDNLWQIVGDDFIVRKGLETSSIVQNAAVVGDFLMMDMAMPHSSGLVAASVVGNPFDYGDIVTINL >cds-PLY69083.1 pep primary_assembly:Lsat_Salinas_v7:5:276495941:276500413:1 gene:gene-LSAT_5X145141 transcript:rna-gnl|WGS:NBSK|LSAT_5X145141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 53 [Source:Projected from Arabidopsis thaliana (AT5G19730) UniProtKB/Swiss-Prot;Acc:Q8VYZ3] MAKFGYIWSLFILLLVLDAITPTLCHTKGLQPKRNTWKQLGANLTQTQYSEQQFMKWVNFVGSLKHSLFSPAKNKLFPSYMITVDKNPARGDFTTIQQAIDSLPFVNLIRVVIKVHAGVYKEKVNIPPLKSFITIEGEGAEKTVVQWGDTAQTIGAKGQAVGTFGSATFAVNSPYFIAKNITFQNTTPVPKPGAVGKQAVAFRISADTAAFGCQVHAIAPVTGAVTAQGRSSLLEDTGFSFLRCKVTGSGALYLGRAWGPFSRVVFAYTYMDNIIIPKGWTVYYGQYKCSGPGATFAGRVSWSRELTDEEAKPFLSLTFIDGFEWIKL >cds-PLY76929.1 pep primary_assembly:Lsat_Salinas_v7:9:6921536:6923455:1 gene:gene-LSAT_9X1560 transcript:rna-gnl|WGS:NBSK|LSAT_9X1560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLSFTLAMATTPSSSPFFSKPANQRQLIKTHAKQTHRFQMSCNVPSDDHEKPIINTPQHQKLILPKTSLDMQNVDRRNLLLGLGGLYSAVNLTGLPSAFADPITTPSFNPNCRDAGTGFDVKKGLLRTTACCPPESKKGPEKQFEFPKHDEIRIRYPIHCAPEGYMNKFKEAMRLMRALPDDDPRSFKNQAKIHCAYCNGSYTQMATGSQQELLIHFNWLFFPFHRWYLYFFERILGELIGDPTFGLPYWSWDEREGMKIPPTFREGGESNPLYDIYRNNIRNYEAIVDLDFNGKDREDTTDDYQIKINQHAMYRQMMRNAFDTKSFFGGKYVAGNTPIDAKDSSVASIEAGCHTAIHRWVRDPGSPNGEDMGNFYSAGYDPLFYVHHSNVDRMWALWKEMGESNRDPIHPDWLNASYVFYDEKQNPVRVYNKQCVDMEKLKYKYHGPEIPSWVNSRPKPKCSASERSQIDITSATKDVKNRTLTNVDTFVLVRPETARTRTVDESEIEVLTLNNISFNGNKAVKFDVLVNACNIDTNKFTPADSEYAGSFATVPHNHDMKISTTFRFPLRELLKDIGAEGNTAIQVTIVTQEKETENISIGEIKIEDYSLAEISKASLPTGLQGAGANVGVDDLTE >cds-PLY66881.1 pep primary_assembly:Lsat_Salinas_v7:7:20371905:20373329:-1 gene:gene-LSAT_7X16100 transcript:rna-gnl|WGS:NBSK|LSAT_7X16100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCSNLTMWVSSKPSSLDSSALSFRSFISPVQIPNSNPSISNSRPSSSSINCSLKELRGRIESVKNTQKITEAMKLVAAAKVRRAQEAVVNARPFSETLVEVLYSINEQLQVEDVDVPLTSVRPVKKVALVVVTGDRGLCGGFNNMILKKAEARIRELKTLGLEYVVISVGKKGNSYFSRRPYIPVDKFLDGSNLPTAKEAQAIADDVFSLFVSEEVDKVELLYTKFVSLVKSDPVIHTLLPLSPKGEICDINGVCVDAAEDEFFRLTTKEGKLTVERDVVRAETIDFSPILQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMTAMGNATDNAVELKKNLSQVYNRRRQAKITGEILEIVAGADALV >cds-PLY85770.1 pep primary_assembly:Lsat_Salinas_v7:1:47790871:47791471:1 gene:gene-LSAT_1X41021 transcript:rna-gnl|WGS:NBSK|LSAT_1X41021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIPRLETWARAMQLSFHSISEPQIRSHSEYQSRSSGMVSIPYRETPESNSDSDSDAYPILDGNSDVLEKTMKSIAWLIKLGSIHAQRLSNTVVNPKDEDRILKSCKDSYDNASSNLQQAMKALLSKDVDRMKSMLNAVFSNIGDCRDEYSGKSKTSPFATYDDKVTKMTSNCLTVLDLVHERR >cds-PLY90353.1 pep primary_assembly:Lsat_Salinas_v7:2:197810116:197810784:-1 gene:gene-LSAT_2X118420 transcript:rna-gnl|WGS:NBSK|LSAT_2X118420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGGYGSAEEGCRTPKRSGSRMPMKCPAAPKKKPAHSKQKKPPANGYFQSPDLEIFFAMARRREACA >cds-PLY84740.1 pep primary_assembly:Lsat_Salinas_v7:5:230041812:230047955:-1 gene:gene-LSAT_5X110301 transcript:rna-gnl|WGS:NBSK|LSAT_5X110301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVDLLVATPGRLVDLLERAKVSLQMVRYLALDEADRMLDMGFEPQIRKIVEQTNMPPPPGRQTMLFSATFPREIQERELALRSFKSRKTPILVATDVAACGLEVIDVGSRAVWSLLQTELFGGSVAKSFQQYRSFITFVAEGNALKDNVEKLPLHRELYELNPSSFFVPSFINAFMANDDVSRNQSIRNIMSELVPGVFTFDMLHPKFCAKMLTEVMVVFFACREQEVGVASSVFEPLMFRYLCRSG >cds-PLY95639.1 pep primary_assembly:Lsat_Salinas_v7:7:101448432:101454841:-1 gene:gene-LSAT_7X67960 transcript:rna-gnl|WGS:NBSK|LSAT_7X67960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACMINSESRRLYSWWWDSHISPKNSKWLQENLTDMDTKVKSMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDYATGELRHAQRTLQAAFPDQVHFSLQEELASSISTTQSQTPDDQNNESFSFEIIEAKIFSETERANKAEHEIEKLKKALTDLTSEKESLLAQYRESLEKICNADGELNRALEKAKILDGIAIEAEKEVHMLKENLQVLQGEKEAGLAKQMEYLETISDLEEKIEETRGMGESEVKDLMDKLTRLESENDSGLLEYGKCLDRITDLENKIAVSESESKTYSDQATRAEREVEKLKIDLAGLTEEKEALRVLYAESLEKSYKLEHDLSSAQSEIETLTKKLQDAEEICVRLGISNQNLKMEASDLAKKIMLKDRELSEKHDEFEKLQVTAKTEHTNYVKIEAALETLQMLYTRSQEEQRNLENELKNSLHMLKDLKEIEIMGLKEMKERLEEEVALQLGQSTAMQDEILGLKEEITGLNSSYQVLMSQLESVGLNPESIGSTVKHLQDENSRLKQIIEKDSDEQKRLPEKIEELIQKNSSLETSYELLHGEQSALVLEKTILLSQLHIITVNMQKLGDQNMILENALSTANLELENLREKSKGLESLCELLNTEKSNLVAERSILAAELESVRRRLEALEDRFTQFEIEKETGRSQIRELMSCLSIEKKERESFMIRNQEFQARLLEESKCRKDEFQEELDKAVIAQFENFILHKFIQEVEEKNYELLVESEKHVKASKLADKLISELETEILEQQVEEELLLVEVENLRFGIYQVFLALEIGSMGGHETAKISVEEIIKIIKNLKRSLKKEEDDKHRLLMEKNVILTLLKQLESEFRESEKKYGIVKNELENENFLMQCTIEELESKLQMTEEMKSELEFAVEGMTRKYAESELKLDAFEKETEYLREVNENLGLELDMLHEELEERKNIEDNLNSELQERENEFELWEAEATSFVFDLQISNTRDILFENKVHELTGVCENLEGQTVSKDREIEEMKRKTSIMESEIEGLRAELLAYNPVIGSLKENITSLENNFFTMAANLATSAGRKSEDMEVKVHPHNQQIDPESNETPKSQQPLPKGLSDLIDVQTRVTALEKVIIEDINTVVTRREISSIQTKSKPTKTTETQQHKLEKLRGKRYLTLDNLNLSKPKPESSSSSSESRKGVPIRDIPLDQASDGSSSTNSRSRSRRAYSRTDDIMIEQLQIAHEAHKIETEKKLKRLPYEPQIEDLGVDKLEVVVPRPNQNQDSRKCKLLDRLASDAQKLANLETIVKDLAKKLETGKKGKKKQTGFDFETVKEQLEEAEETILRLVNVNVESMASVEKNPSLSVWVEQDDAWKESERIKRVQLEVQKIQYVLLKVEDEKKSKGKSRFSRTKSRTSVILRDFIHRGGKSGGTGRRKRLCGCFTPSVTKGDQRIKMSGF >cds-PLY94923.1 pep primary_assembly:Lsat_Salinas_v7:4:114428732:114430226:1 gene:gene-LSAT_4X71501 transcript:rna-gnl|WGS:NBSK|LSAT_4X71501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDDRLIAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLNRGIDPATHRPVNDNPTSHHTNTNATATTTATAANNNNNNSSLDVGTTTTTTISFANANPITHLVKEEVEDEAKHNSDGGDHRKIKNTLPEIQERCPDLNLELRISPPHHHQQQNNSSSSSSSSSYIQPQIQHVNYHHHHHQQPLKTGGRTSSFPSGTTICFACSLGIENSKECSCTTSLNGTSSSSTGYDFLGLKTGVLDYRSLEMK >cds-PLY85289.1 pep primary_assembly:Lsat_Salinas_v7:3:71514395:71517012:-1 gene:gene-LSAT_3X54620 transcript:rna-gnl|WGS:NBSK|LSAT_3X54620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDSTTIISEIQSLLRLVRDVARTTATGFTGGFKKDCTDLSRRVALLSYLLEEIRDFQGDLMPLDTACSSSSSPSSLSELTTALQAANRLLQSAGSFDHNISAEGTAEKFAFQFQGVTWKLEKALANLPYDHFDISEEVKEQVDLVRVQLKRATERYVGQQDPILLLATESDSFQIINIHDDDDGVKSKVGSFTNENLPKDLEPVTESTLLKTTRDVQTSPVIPVDFLCPISLEIIKDPVIVSTGQTYERSYIQRWINGGNTTCPKTRQKLRNLTLTPNYVLRNLITQWCTIHKVEHSTLLTNRRLKDSDGSFLDVSIESIIHSLSSQSIEERRAALSKIRCLSKRITENRMIIAESGGIPILVGLLTSEDVTTQEHAVTSILNLSIYDNNRGLIMLENAVPSLVQLLKSGTMETRENAAATLFSLSLSDENKIVIGGSGAIPALVDLLENGSRRGKNDAATALFNLCIYQGNKGRAVRAGIVSVLLKMLTDVNSAMVDEALTLLSILASHNEAKSAMVRAQIIPNLIDFVKSGIPRNKENAASILLSLCKRDDENIGCVIRLGALTPLMELADNGSERGRRKANLLLGHIERFRQNLEHLQVRVD >cds-PLY95695.1 pep primary_assembly:Lsat_Salinas_v7:2:117664115:117664831:1 gene:gene-LSAT_2X53581 transcript:rna-gnl|WGS:NBSK|LSAT_2X53581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKGGHSLPGPFRALPLARGLQDKECGIYKLLQNETKTIARAYVAKEVCVTEAGEACLLHQWKGDDRGSFSPAL >cds-PLY75753.1 pep primary_assembly:Lsat_Salinas_v7:3:68564792:68567879:-1 gene:gene-LSAT_3X51840 transcript:rna-gnl|WGS:NBSK|LSAT_3X51840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSEGSSGHSGGAPAKKKDKAKVSRTSLILWHAHQNDAAAVKKLLDEDRSLVNARDYDNRTPLHVASLHGWVDVAKCLIEYGADVNAQDRWKNTPLADAEGAKKHNMIELLKLHDGKSLGQNGSHSEPKPVLPPLSNKCDWEIDPVELDFTSSHIVGKGSFGEIIKASWRGTPVAVKRILPSLSDDRFVIQDFRHEVNLLVKLRHPNIVQFLGAVTEKKPLMLVTEFLRGGDLHQCLKEKGALNPTTAINFALDIARGMAYMHTEPNVIIHRDLKPRNVLLVNSNADHLKVGDFGLSKLIRVQNSHDVYKMTGETGSYRYMAPEVFKHRRYDKKVDVYSFAMILYQMIEGDPPLSNYEPYEAAKCAAEGLRPVFRAKSYSPDLRELTENCWDADMNKRPSFLEILKRLEKIKEKMGTDHHWHIFTS >cds-PLY82480.1 pep primary_assembly:Lsat_Salinas_v7:2:187770463:187771591:1 gene:gene-LSAT_2X109460 transcript:rna-gnl|WGS:NBSK|LSAT_2X109460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLECGMSKKRNKKRHLHLMQRPLRLLKIRNINNFLRYVHAELHGSSSTVIKNHKPDNPLPPLTLNQAGYSRVSNTTATGEYLPGFGIVFWLDETSLGSHMNERRERGEEGGINDSEDSEPFKELFDSGSENESPDSEYHVNVSNLSTNNQKIMDLTSEVGSLCEITTSGINNTNSQEVSIPTVSPELSDLLEKALELKSGATSAKKYGLDALEMKSKNNNEEEKEQ >cds-PLY87804.1 pep primary_assembly:Lsat_Salinas_v7:4:292863963:292867921:1 gene:gene-LSAT_4X152121 transcript:rna-gnl|WGS:NBSK|LSAT_4X152121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGFCSTTSSALNNKKWDALVIGGGHNGLTAAAYLAQSGLSVAVLERRHLIGGAAITEELIPGFKFSRCSYLQSLLRPSIIRDLELHRHGLKLLKRSPSSFTPCLDGRYLLLGPDAELNHSEISKFSKQDADAYPRYEDQLEKFCKFMDPLIDSSPPEVHQGVSSFNSRLKHKLQKSEFWAHCLHQSVSMGQQGLVDFMDLLLSPASNVLNRWFESEVLKATLATDAVIGTTGNTQTPGSGYVLLHHVMGETDGERGIWSYVEGGMGSVSMAIGNAAKEAGVTILTDAEVSKLMINDSHTVTGVILADGTQVQSSVVLSNATPYKTFMELVPENILPDDFTRAVKHSDYSSATTKINLAVDKLPNFKSCNLNYPEAGPQHMGTIHIGSERMEEIDTACQESMSGLPSKRPVIEMTIPSVLDKTISPPGKHVINLFIQYTPYKPNDGSWEDPAYRESFAQRCFRLIDEYAPGFSSSVIGYDMLAPPDLERIIGLTGGNIFHGAMGLDSLFLMRPVKGWSNYRTPVRGLYLCGSGAHPGGGVMGAPGRNAARVVIDDIKG >cds-PLY98411.1 pep primary_assembly:Lsat_Salinas_v7:8:293474604:293475889:-1 gene:gene-LSAT_8X161820 transcript:rna-gnl|WGS:NBSK|LSAT_8X161820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRNRFHGKPSNGDVVFAVVTGFLFLSLPLVCFGIRSFPVNGEILAQVGTDLIGFTEAPEYRNGVECSNNTIHIAMTLDSEYLRGSVAAIHSVLRHASCPENVFFHIIAAEFDRASPRVLTRIVRNTFPSLNFKVYIFREDTVINLISSSIRVALENPLNYARNYLGEILDPNVERVIYLDSDVVLVDDINKLWSITLQNNRVIGAPEYCHANFTKYFTETFWSDALMSRVFASKNPCYFNTGVMVMDMVKWRAGNYRRRIENWMELQRKKRIYDLGSLPPFLLVFAGNIEPIHHRWNQHGLGGDNVKGSCRSLHSGPVSLLHWSGKGKPWVRLDERRPCPLDYLWQPYDLHQHKNQYQPLD >cds-PLY88560.1 pep primary_assembly:Lsat_Salinas_v7:7:7744333:7748185:1 gene:gene-LSAT_7X7160 transcript:rna-gnl|WGS:NBSK|LSAT_7X7160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPIVPIAILSSLILGALIAFVAFSSYFRKRKSEVASIAKPAEAAAPNLKNSKPQQTKKSQSKPHHSHADKDLNKRHHPLDLNTLKGHGDSVNGLCFSSDGHSLATACDDGVVRVFKLDDASSKSFKFMRINLPAGGHPTAVAFVGDAYSVVVASQNLTGANLYMYGEDKPKSGDNIQQSKPEIKWEHHNVHDKKYIITLFGTKATHGAADGSTIIASSSEGTDIKLWHGKSGKLLGNVDTNQLKNNMATISPDGRFIAAAAFTADVKVWEIVYSKDSSVKEVTKVMQLKGHKSAVTWLCFTPNSEQIITASKDGSIRVWNINVRYHLDEDPKTLKSFEIPLHDSKGSVLSYDRLSVSPDGKILAATHGSLLQWLSVETGQVLETADKAHDGDITDIAWAPKPISVGDKRLSILATASVDKKVKLWAAPAL >cds-PLY72847.1 pep primary_assembly:Lsat_Salinas_v7:6:22537816:22541152:-1 gene:gene-LSAT_6X17661 transcript:rna-gnl|WGS:NBSK|LSAT_6X17661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATDTKNLQPPVAKKVKHEMEMFGDVRVDNYYWLRDDSRSNPEILSHLQQENAYTDFIMSGTKHLEDRIYAELRSRIKEDDISAPVRKGPYYYYERTLEGKEYVQHCRRLVENNGDAPSVHDSMPTGPEAPPEHVILDENVKAKEHAYYSIGAFNVSPNHKLVAYAEDTKGDEIYTIYVMDAETCTLVGDPLVGVTSYLEWAGDEALLYITMDAILRPDKVWFHKLGTNQSTDSCLYDEKDDMFSLDLEASESKKYLFVGSESKTTRSIFYFDISKPENNLTILTPRTEGIDTSVSHRGNHFFIKRRSDEAFNSELLACPLDNVNATTILLPHRETVKIQDVRTFSDHLVVYEREKGLPRVTFYPLPPIGEPLQSLHGGQSVEFIDPVYSVEPAESQFSSSILRFDYSSLRTPPSTYDYDMNTGVSVLKKIETVLGGFDISNYVTKREWAVAQDGTHVPISIVYRKDLVKLDGSDPMLLYGYGSYEICVDPSFKASRLSLLDRGFIYAIAHIRGGGEMGRKWYENGKYLHKKNTFTDFIDCAEYLIQKKYCTKEKLCINGRSAGGLLIGAVVNMRPDLFKAAVAGVPFVDVVTTMLDPTIPLTTAEWEEWGDPRKEEFYFYMKSYSPVDNVKAQDYPAILVTAGLNDPRVLYSEPAKFVAKLREMKTDENVLLFKCEMGAGHFSKSGRFEKLQEDAFTYTFILKILNMVPIKVVV >cds-PLY79157.1 pep primary_assembly:Lsat_Salinas_v7:4:218215808:218217456:-1 gene:gene-LSAT_4X122741 transcript:rna-gnl|WGS:NBSK|LSAT_4X122741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSLNSPAALTTAARRGTALSEKQSITSPTLSEVNTSTDRPLRDIPGSYGIPFFGPIKDRLEFFYGTGGRDGFFRSRIQKFNSTVFRANMPPGPFISSNSKVIVLLDAKSFPILFDVSKVEKKDLFTGTYMPSTKLTGGYRILSYLDPSEPRHAQLKNLLFFMLKSSSSRVIPQFETTYTELFESLETELAKNNKAPFNNAGEVAAFRFLCRAYFNSNPEETNIGKDGPKLITKWVFFNLSPILTAGLPRFLEEPLLHTFRLPSFLVKSSYKKLYDYFESVATTVIEEAIKSEIPKEEALHNILFAVCFNTFGGVKILFPDTLKWIGLAGENLHTQLAEEIRGAIKTYGEGKVTMAAMDQMPLMKSVVYECLRIDPPVPLQYGKAKRDFTIESHDAVFNVKEGEMLFGFQPFATKDPIIFERPEEFVADRFVGDGENLLKYVWWSNGPETESTTVGNKQCAGKDFVVLITRLFVVELFRRYDSFTIDVGASALGSSITLTSVKRAST >cds-PLY92197.1 pep primary_assembly:Lsat_Salinas_v7:6:74897132:74898904:1 gene:gene-LSAT_6X53560 transcript:rna-gnl|WGS:NBSK|LSAT_6X53560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVREAERDTLGDTPVKYHGKWALRRMMFGGNKVFIPRALVDLAAVVSSALLMNEPQWVGIIVKPINNSLKGAALHIDTGPGLRIEESFPIEMEKYGLEDKKNDNDSVKEITKLTLKNGSIELPDWASNIECFMGSSSCH >cds-PLY80236.1 pep primary_assembly:Lsat_Salinas_v7:6:124683837:124687611:1 gene:gene-LSAT_6X74361 transcript:rna-gnl|WGS:NBSK|LSAT_6X74361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTSKSIDPEATATPIGRRSYSRNVFQTSCIRPASGSSDNSDIDDDECIDDEQVAKENVIEGNRSNMITSKSKGKTDRNKSIKKKGTDKRACIPSETQVNEWGESSLSGTVSRNTSGSSSSQNPSSRFLSRFTFFPGNTSSRSSRAYPESSSSSIISNDEDLHSNRSTKTKTRVGCEPFPSCFMPRSSSHAPQHDDSDSDNSDISSIHELSGDAVANNNGSLFSPRNASRYGGNRRMESREPVEQNVRFSRTLSVGRLRDRVLHRPTFPELASFPFQQEREVVNEVSESGSRLSNENEMISTTSSYTPSTISNSLFRNQDFEVDNMGARETRYSNLLEHRSNFLERRRRIRSQVHALQRMGNRFENLSGHERSCILSGQYQSGHCSCRINNQDSNDGNTNSTRGSISRIVLLAEALFEVLDEIHQQSVVSSSRPTLSSIGSIPAPIEVVEALPLKHHHKLPNHLNEDDAQCYICLVEYEDGDEVRVLPCHHEFHRLCIDKWLKEIHRICPLCRGDICKTGSLPTQGLQL >cds-PLY69155.1 pep primary_assembly:Lsat_Salinas_v7:5:284972010:284973710:1 gene:gene-LSAT_5X150501 transcript:rna-gnl|WGS:NBSK|LSAT_5X150501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTFSSLFKIFTFTLPLWFRFGTTSGQLSPSFYSLTCPMVTPIVTAEVTSAILQESRMGASLLRLHFLDCFGCDASVLLDDTEDFTGEKSASQISNSARGFDVIDTIKTQLELQCPGVVSCADILSAAAQASVVALGGPSWSVTFGRRDSTTASLSAANSDIPLPTSSLSDLISSFASKGFTTNEMVALSGAHTTGEARCTNFRDHLYNENNIDPSFATSLKANCPSSGDDNNLSPLDASSKIFDNRYYNDLINQNGLLHSDQELFNGGSTDAQVRTYGSNPSIFFRDFASAMLKMGNVGAITGQNGEIRVNCRRAN >cds-PLY76358.1 pep primary_assembly:Lsat_Salinas_v7:6:170477401:170479054:-1 gene:gene-LSAT_6X103081 transcript:rna-gnl|WGS:NBSK|LSAT_6X103081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CDC73 homolog [Source:Projected from Arabidopsis thaliana (AT3G22590) UniProtKB/Swiss-Prot;Acc:Q9LJ87] MDPLTALREMTMRNELDKIVRFDNEYRFGSSYSFPCTIETAYRSKQGNLYTLETLVYFIKNVNIKHHDYIRYAGTQKIPAVTLLDRKPLIEYLQGRISSTDAIEFLPPPPQHQNFENHDYRIGDYPNLNVVPGDELDVDNQEPRVAAIENPMDMLRAIERPLKDRETILECRHRDFYSVLTAATKRDEERQRLESQQRKDGLVAKNRIDRGFGEDLGLGLDGTPRPKMKGSNIGDGVPIILVPSASQTLITIYNVKEFLEDGVFIPTDVKMKQMKGPKPECVTVLKKFSSRDRVVTAYEVRDKPSALKAEEWGRVVAVFVLGKEWQFKDWPFKDHVEIFIKILGFYMRFEDDSVESAKIVKQWNVKIISISKNKRHQDRAAALDVWDRLEEFVRSRSRS >cds-PLY93520.1 pep primary_assembly:Lsat_Salinas_v7:1:110362591:110363763:-1 gene:gene-LSAT_1X86061 transcript:rna-gnl|WGS:NBSK|LSAT_1X86061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTHSIPPLDPESSDRTHRTLLTHPKIKQINDLKIPLHTIKDCTQDFNERNFIGKGGYGRVYKGILFWADHVNQVVAVKRLDVTGFQGNKEFHTELTLLSQYQHENIITLVGFCDDNKEMILVYEYASNGSLDTYLLDTSILVRPSWPQLLKICIDVASALDYLHNHVAEKHTIIHRDIKSSNILLDKNWNAKLADFGLSRIGLANQQNTFVITNIAGTHGYCDPQYEKTGFLTKESDVYSFGVVLFEVLCGRLACDFSYNDERRFLHHLARASYKSRDIDKITDPKIRKDIKPSTLLKFSAIAYQCLEKTREKRPAIAEVAFQLKEAMQIQIVTS >cds-PLY70071.1 pep primary_assembly:Lsat_Salinas_v7:8:113986850:113991357:-1 gene:gene-LSAT_8X76500 transcript:rna-gnl|WGS:NBSK|LSAT_8X76500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQKSFAANAKDRASKRITDNGSRQGGLLPILSRNSSKAKSTRKKALPGGGAPKMGRTTSSAAKGLNSLRFLDRTVTGKEGDAWRAIDRRFNQFSVDGKLPRDKFGICVGMGDSIEFAGELYDAMARRRNIDAEGGLTKDQVRSFWEEMTKKDLDARLSIFFDMCDKNGDGILSEDEVKEVLIMSAAANKLSNFKNQAGTYAALIMDELDPDHRGYIEMWQLEILLTGMVSNETNPQLTNIKKKNEETTILVKTMIPSKYRNPISRRINEWTEAVIDNRKRIFVVILLLLLNIALFAWKFHQYSLLPTFQVMGYCVCTAKAAGETLKLNMALILVPVCRRTLTALRETFLGKIFPFDDNINFHKVIAVFIVIGTIAHTVAHLGCNFIRITTCPADTFQRVYGNLLAAQPTYVDLLLTVPGCTGILMDVMMFFCFLLATHAFRRNVIHLPWPFNHLAGFTSFWYAHHLLVIVYILLILHGYFLVFATFWYNKTTWMYLVVPMLMYSTERVFTAGDHQHRVNIVKAIIYTGNVLALYMSRPHGFKYKSGMYLFVKCPDISGVEWHPFSITSAPGDDYLSVHIRTLGDWTTALKEEFAKACEPPPQKPQVAASSSRAIKGNLVRLETKANVNIPIEEAQAVYPKIIIKGPYGAPAQDYRKYDILLLIGLGIGATPFISILKDLLNHQREAVGFEEKGPDRAYFYWVTREQGSFEWFKGVMDDIAEYDKNHLIEMHTYLTCVHEEGDARSALIAMVQSLQHAKNGVDVVSQSRIRTHFSRPNWKRVFNTLASNHDSARVGVFYCGAPTLVRPLESLAKELSIETSTRFDFHKENF >cds-PLY95920.1 pep primary_assembly:Lsat_Salinas_v7:8:244933482:244938117:-1 gene:gene-LSAT_8X146460 transcript:rna-gnl|WGS:NBSK|LSAT_8X146460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METIDAPVLEVRTEREPVVAEELGQNVDQIIVKVDEDIPHLLDLKATGATNAILENAKRPTLELFGMIQAKPIARDLFIRYATYYKHEFLKDFFLSTGQLHDVAYLLWKESWKLAKNVMATRGSPLHTPLIKLIEKAQNLFAETKEHVFESKAVEEHAIYYYDCFFLRSILLPPSYLNHKVLLVCVVSYKVARIL >cds-PLY62091.1 pep primary_assembly:Lsat_Salinas_v7:2:55720123:55727481:-1 gene:gene-LSAT_2X25380 transcript:rna-gnl|WGS:NBSK|LSAT_2X25380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESDLPLMELTEEDDSLIQPFPDPKQTPSKSSFNYFNCSPLALPPSRTKSLKDGVHTEKPSTSSSEASNNKENINSNNLEMPKLGMGPIQMKRRKKGAECNLRKSLAWDRAFFTDEGILDPRELNMITGINAYTCGRGLPTISEEGNSSFSSSSRYRNEPNDIEASKEALLKELHNKSHTSKGNRVGNHDSLPHHKESKLPKLPMSKLGSCSSTKGSITTTSQLRHNLIPKPPLNAQKNVGLKSSLKDFKAKTGLDNPHSKELAQKTVKSTHSSSKATSSTKSQFVHVDKANSGLEMVPDRLHSCEIQDESTPLPAKSLAQYTPTTSNNSLPSGLRLPSPSLRFFDQTTATPKTPSGQCSVLNSHTTPCGSPSSNYMVNKGILNSNVYQDIVRKMQYECISASDVDSHKVIELEKRILEHKGKMGIEKITLKGNEENREGKNANIQSSENDERLPFILETKDDHISTSVSTSEQSIQHQEQNLLTMVEVGPCEIATEINDHLLLEESGSTYSSTYPHIIEDEFKNNDENQKHQEMGIIIENMLTTTHRVEAEVSKISSGEEFEAKVKVLQETKSPTMLKKRSYNIKRQDNSSIKHPINAIEDGDEDLVQTSKREQSDTKKSNSCKVNHVESPRGEIGKKEDDVGATTPCISALMNSQFHEGHSGNFVMPEESSGLHTTEVNDHISQEKNANPKENNKEATVLMKKSNNIKKQDKSLVIHQEDLAQTLKREQSDTKKPNACKVNPVESQRGEIGKIEDDIGATTSCISALLNTEGHSGKVIMPAESSSLQEGPFEIATEVNDHIFQEKNVNLNVLQEENNKEATVLMKKSNNIKRQDNKSLVVDAVPFSDEWLAAIEAAGEEILTMKCGAVQHSPPDKSIPERNPWSPV >cds-PLY99454.1 pep primary_assembly:Lsat_Salinas_v7:6:20347795:20352179:-1 gene:gene-LSAT_6X15541 transcript:rna-gnl|WGS:NBSK|LSAT_6X15541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWGSIYIRRMKVFSLALLIYLDYKALKHREKWINSSERAGLWEKAHERNAKRIVNLIIELEGLWVKMGQYFSVRADGLPGAYPRHLKQLQDSLPPRCLKEVQKTIQKEMGKSINDIFTTFVEKPLATASIAQVHRATLRDGKEVVVKVQHEGIKTIILEDLKNAKSVVEWIVWAEPKFNFNPVIDEWCKEAPKELDFNREAENTRKVSSNLCCKKDCLDKKPEHRVKVLMPEVIHSTERVLILEYMDGVRLNDSPSLDKLGVDKKNIVEEITRAYAHQIFIDGFFNADPHPGNFLVTKSSPHHPILLDFGFTKLLSSSMKHAVAKMFLASAELDTFTGEIILFLRVLNLLAGLSAALNVSVSYYRIIRPFAESALDCDPHEKKVDKAEWICDTPVNSQVEKKLRALLVKLGNADKILGIQVCAYKDGEVIIDTAAGVLGNYDPSPVQPDTLFPVFSVTKGVTAGMLHWLVDKGKVGLEDNVVDIWPDFSSNRKESIKVHHVLNHTSGMQNALASLVQDNPMVLCNWDECLKRIAMAEAESEPGQDQQYHYLSYGVESRLATLTLDTNDLNRFAEIDSPTSISSPTSLSSSSSSSIFQLDVLSGLVPLFNTLNVRRAILPAANGHCSARALARYYAALVDGGVVPPPHSSSSQPPLGIHPQLPGSISIAIADKKKGGKKKKPKKEPKCSGSNCTINPENPSCSDDVDGVHKIFSNQKAKIHDSILGIGEYEKLVFPDGKFGLGFKREYTRDGILIGFGHAGLGGSTAYCDISNRFAISVTLNKLSFGSVTKDIIQLVCSELNIPVPEEYAECSFTSIN >cds-PLY92171.1 pep primary_assembly:Lsat_Salinas_v7:8:5367289:5368627:-1 gene:gene-LSAT_8X4841 transcript:rna-gnl|WGS:NBSK|LSAT_8X4841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGAIVEEIETQQKLEHDEHPIVEDVKDEDDHDHEDADSDDDEDDKEDGAQGGNESSKQSRSEKKSRKAMLKLGMQLVLGVSRVTIKRTKNMMFYISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAQQFRVPDLSSVMAKANTSAAVDAHAEEEEEEEVDEAGVSAGDIELVMTQAGVSRPKAVKALKNQSGDIVSAIMELTT >cds-PLY78793.1 pep primary_assembly:Lsat_Salinas_v7:8:59681570:59682598:1 gene:gene-LSAT_8X43200 transcript:rna-gnl|WGS:NBSK|LSAT_8X43200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVKQTAILAAASLLIIFHLTSALPTETITNAADTLSNSGYVAMSLTLNLVSDVLLSYRNSATIFTPPDSAFADYGQPSLATLQFHFSPLAFSLSSIRSLPYGTKIPTMSSATFLTVTTSQYSDQVSLNNVKIVGSPIFDDGSLIVFAIDNFFDPNFTIPDPPVQSVRLDHCTASFGGYSNFSFHDGANLLISRGYSVMASFLNLQLLGFLGQATLTIFAPIDEVMVDYSGRFPDYPSLFLRHVLPCKISWRDLISVEDGTSLDTYLDGFKITIHRSGGTFKVNEASITFPDMYYGDSFVVHGIREVLALPKPAEESGDGDGFDDIPIGTVLVATVPDRSEF >cds-PLY78830.1 pep primary_assembly:Lsat_Salinas_v7:5:305116372:305117322:-1 gene:gene-LSAT_5X165681 transcript:rna-gnl|WGS:NBSK|LSAT_5X165681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKYVKIKKLGEGSYGIVYEALNKLTGEVVAIKKLKRKCTLEEECKNLIEVKALRRIKHPNIVKLKEINGENGNGNVFLVFECMECSLYDRLKNIRMVSFSESEIKSLCFQLFQGLAHMHGNGYFHRDLKPDNLLLSKDHGIIKIADLGQARETDGEPPYTDYVTTRRYRAPEVFLRSSVHDPAVDMWAMGAIMAELFTRQPLFKGDNGGEVLYNICSVIGSPTESKWKLGLQLAVNMNYQFPDLPGVPLASLLPSASSEALCLIATLLSWNPCLRPTAMEALEHPFFDDVTPPIHVNKDLPWKRALLKPARSSKI >cds-PLY77856.1 pep primary_assembly:Lsat_Salinas_v7:1:21198221:21204886:1 gene:gene-LSAT_1X17500 transcript:rna-gnl|WGS:NBSK|LSAT_1X17500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSETPLLTVTKNAHFNGDVEDISPINGIKDFFVQFKVESKKLWYLAAPAIFTSVSQYLLGAITQTLLGHVGTLELAAFSIENSVIAGFSLGILLGMGSALETLCGQAFGAGQVNMLGEYMQRSWVILFVTSLFMMFLYIFATPILLFIGQTDDISQAAGKLAVWMIPQLFAYAFNFPIIKFLQSQSKIMVMAYISGVVLVLHAFFSWFLMLKLGWGLWGGAIVLNLSWWFMVIAQMIYILSGTCGEAWSGFSWGAFSNLWAFVKLSLASAVMLCLETWYFMALILFAGYLKDAENSVDALSICTNILGVAITIALGFNAAISVRVSNELGAAHPQTAKFSVVVVVITSFLVGVLLAALISIFRNQYPALFSDSLEVQQIVYDLTPLLAACLIINEVQPALSGVAIGAGWQAVIAYINIACYYIFGIPLGLTLGFVADWGVKGIWIGMLTGTVVQTVILVCICYKTNWEKEAAMAEKRIRKWSGQKEVDVEQ >cds-PLY84270.1 pep primary_assembly:Lsat_Salinas_v7:8:115082320:115083951:1 gene:gene-LSAT_8X79181 transcript:rna-gnl|WGS:NBSK|LSAT_8X79181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKAGFVCFLLLLMGFIISSSASRTGDFKWIKRGVAVQEIHLQDEIDVTSHGENMEFDRSATRRMDLETMDYGGTGPNDKHDPPSPGKL >cds-PLY80741.1 pep primary_assembly:Lsat_Salinas_v7:3:84928373:84931192:-1 gene:gene-LSAT_3X64121 transcript:rna-gnl|WGS:NBSK|LSAT_3X64121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEKTKCLALIGAGALLGSITATVAVLKLLPTREATVKRSNYANSNGKSVFSAPAAEGHASLKEETCKMDPVDLLKDDIVSEQLTRNIQFFGFDAQQKVTTSYVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRKDVGTPKALCLKKHFSSIYPECHIDAKVLLYDASSEEEILSGDPDFVLDCIDNIDTKVALLAACVHRGLKVLSATGAGARADPTRIRVADLRESTNDPLSRSVRQRLRRDHGIEGGIPVVFSLEKPKAKLLPFKGANGDEENPSDYQVVPGFRVRIIPVLGTIPAIFGQIMASYVLTKLAEFQVQMEPIVNFDMDHYRVLHQRLIEHEELNYGTSIQVQVDVEEVMYVVKELWHGRSARDETRKEVGRGMWRSVNELMLVRWDKSKPASASNLILLKFTEADEHESTTLEDIKENEPEFFTRVTSRLKRAELDYYEQIM >cds-PLY93336.1 pep primary_assembly:Lsat_Salinas_v7:9:57607978:57608836:-1 gene:gene-LSAT_9X50621 transcript:rna-gnl|WGS:NBSK|LSAT_9X50621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAQFFRFLKIVGVGFKARAESEGRLLFLKLGYNHEVELSVPPAVRLFCFKPNIVCCTGIDKQRVHQFAAAVRSCKPPEVNKGKAMWFFCYTDSENKDTGIGSAIM >cds-PLY81990.1 pep primary_assembly:Lsat_Salinas_v7:8:263212968:263216522:-1 gene:gene-LSAT_8X152961 transcript:rna-gnl|WGS:NBSK|LSAT_8X152961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLGKQQEHQRSTTSIRSKAAHFVSDLTTVLLNPISDKPSSNFSPRSGDVSNEPRRNTQQCNQEEDVLVLADGPDTSSFTAFLYSFLSPGRSENESEYSECNDNQVVTRYRNDTSSPNVSKENNGKKGLFSKGKQSLGKALSQAARFSGYRSHASGKVNTESKIDDMKETSSQFDTNDGVPVQNVIASFPSDKLPKMSEPSQLMTENTRSDLYVALPVLSQGKKWVLLYSTWRHGISLSTLYRRSNLCPGLSLLVVGDRKGAVFGGLVEAPLKPSTKKRYQGSNDTFVFTNTPGRPVIYRPTGVNRYFTLCSTEYLALGGGNHFALYLDSDLLNGSSLASETYGNSCLSHTQEFEVKEIELWGFVYASEYEEAISMLRTEAPGICRCLQGELCGWTCFYIGVAAVAFGCSYYHLKPNDGHLVWDRLPVDAKLKDAVEKEVGRIRGLVGLAFSTAQKDPPGTGKTQTIFGLLSAILHTN >cds-PLY69500.1 pep primary_assembly:Lsat_Salinas_v7:6:42680782:42684434:-1 gene:gene-LSAT_6X33020 transcript:rna-gnl|WGS:NBSK|LSAT_6X33020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVAGNRHQSLSRTRTMEKKDDTSLHIAIRAGDINSALEILSSTDEQKLNELLSKTNHSGETPLYVAAEYGCVDLVREMMKYYDLEAAGIKAKSGFDAFHIATKQGDLEVLKVLMEAQPELSMTFDQTNTTALHTACEQGHIEVVKFLLEKNSTMATIAKSNLKTALHSCARKGHLEVMKALLEKVPEIATRADKKGQTALHMAAKGQNVEIINELVAIDNGLINMVDKKENTALHIATRKGRKEIVLALLNHKEIINKNTVNKSDETALDTAEKLRRPEIATILREHGFVNSKSMKKTAPLTSTARELKQTVSDIKHEVHDQLAHTLKTHKRVKGIGKRINKMHHESLNNAINSTTVVAVLIATVTFAAIYQLPGQYVDDSNHIPKGFTLGEGNIASSPEFIVFLLFDSLALFISLAVVVVQTSIVVVERRAKKQVMAIINKLMWLACVFVSIAFLALSFIVVGNEKWLAVGVTVIGSLTLASTLGTMCYWVIMHRIEANNLRSIRRSARSSKSLSGSVSGESDSENDDFKKLYAI >cds-PLY90427.1 pep primary_assembly:Lsat_Salinas_v7:8:214056608:214060218:-1 gene:gene-LSAT_8X133201 transcript:rna-gnl|WGS:NBSK|LSAT_8X133201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSTTVYSGALSIDSSADASPSSGDHGRYINEARPTSPITISLQEWQAWGTDSPLPTQVAEIVEEMIVSETESDAQMKFGGLGGKLKGDFKTQEDKKHRAKYRALDDSEQKLHFFSARQIACRLLGSRGYLCQKCWLPLEDCMCLSVVPCSLWHRMRFWLYMHPKDFLRQNNTGKLLWQVFGINSATLCIYGIAEHEEIMWKAFMHSGRNNVWCLYPNKNAPTKSVEDSFNGNMSTSFLHEQHSTNSSEIMNFILIDGTWSNSAAMFRRLKERADLVWGEDLPCISLTTGVSAMHKLRPQPSWDRTCTAAAAIGLLDELHLISEFESLGLNKQAEAVENSVDVLLASLTGRRLRMGRSITRRLRHHDDIC >cds-PLY90339.1 pep primary_assembly:Lsat_Salinas_v7:2:199456518:199457805:-1 gene:gene-LSAT_2X120701 transcript:rna-gnl|WGS:NBSK|LSAT_2X120701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHYDLKPSNILLDDDMVAHVGDFGLARFLETNSNKKGTSGIRGTIGYALPEYGIESEMTSSGDVHSFRKLLLEVMTGKRPKDAIFNEGLTLRKFADMALSANVTDVIDDDLLKFLQEDVIARKYTLAMQRK >cds-PLY95663.1 pep primary_assembly:Lsat_Salinas_v7:2:118218118:118222410:1 gene:gene-LSAT_2X54440 transcript:rna-gnl|WGS:NBSK|LSAT_2X54440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADHQHHHHQMKLLVTVTFLYPYLVSLVYSATDPGDLAILNQFRKGLKNPELLEWPVNGDDPCGPPSWPHVFCSQTRVSQIQVQGMNLKGVLPSNLNQLSMLTNLGLQKNQFTGDLPSLNGLSELRWAYFDYNQFDSIPSDFFNGLDSLEVLALDNNPLNATTGWSVPIDLQNSAQLQNLSLMSCNLAGPLPEFLGGMQSLGALKLSMNRISGGIPATFNESGVRILWLNGQSGEGMSGSIDVIGTMTSLTSLWLHGNHFSGKIPDSIGDLTELKEFDVNSNDLIGLIPDSLASLTLDKLDLNNNHFMGPIPNFKASNFTYSSNQFCQPDPGVPCSPETTALLSFLDGLNYPARLVSTWSGNNPCEGPWFGLSCIDQKVSSIHLKNFNLSGTLSPSIANLDSLARIDLGSNNLTGVIPSNWTNLKSLTLLDLSNNDLSLPVPKFNPSMKLVLTGNPLLQLNPSKPPSPKTSPVAGAPANNPQSPPTTGKKTNPKTGDNNTVVSGEDPAPLSAKRSKNSNIVPIVAPVAGFLSLILLIIPLSIYLCKAKKVNSHQPPTSLVVHPRDPSDSDNTVKIAITNDSNTSIGSGSGSGNTESHVIESGNLIISVQVLKSVTKNFSHENELGRGGFGVVYKGQLHDGTKIAVKRMEAGVISNKALDEFQAEIAVLSKVRHRHLVSLLGYSAEGSERILVYEFMPQGALSRHLFHWKTFELEPLSWKRRLNIALDVARGMEYLHTLAHQSFIHRDLKSSNILLGDDFRAKVSDFGLVKLAPDGEKSVITRLAGTFGYLAPEYAVTGKITTKADVFSYGVVLMELLTGLMALDEDRPEESQYLAAWFWSIRTNKEKLMNAVDPSLNANDETFETISIIADLAGHCTAREPSQRPDMGHAVNVLSPLVEKWKPAENEAEEYCGIDYSLPLTQMVKGWQEAEGKDYSSYVDLDDSKSSIPARPTGFADSFTSADGR >cds-PLY68145.1 pep primary_assembly:Lsat_Salinas_v7:MU044714.1:58781:59689:-1 gene:gene-LSAT_0X32940 transcript:rna-gnl|WGS:NBSK|LSAT_0X32940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQIPFSLLFFAAIFFLFFKALRSSNSLDTNKKLLPQPWKLPLIGHMHHFIGTLPHRALANLADKLGPVFYLQLGEVPAVVISSPHLAKEVMKTHDLAFADRPKLLTAEIIAYNYTDIAFSPYGEYWRQIRKICVLELLSAKKVQSFRSIREEESWNLIESIDMQTSKSINLSDKTFTLINNIICRVAVGSRCKEQATLIALIEKIVSLSGGFDVVDIFPSLKVLHVVAGMRKKLVKLHKKIDQIFDSIVEDHQERRAGGHIIDNEDLLDVILRLKEDGGLQFPLTSDNVKAVILVSNYFM >cds-PLY81792.1 pep primary_assembly:Lsat_Salinas_v7:3:30028461:30029549:-1 gene:gene-LSAT_3X23340 transcript:rna-gnl|WGS:NBSK|LSAT_3X23340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ACBP6 [Source:Projected from Arabidopsis thaliana (AT1G31812) UniProtKB/TrEMBL;Acc:A0A178WJ33] MGLKEDFEEHAEKAKTLPESTTNEDKLILYGLFKQATVGPVNTSRPGMFNMRDRAKWDAWKAVEAKSKDEAMNDYITKVKQLLEAATA >cds-PLY64530.1 pep primary_assembly:Lsat_Salinas_v7:6:34765414:34766552:1 gene:gene-LSAT_6X24921 transcript:rna-gnl|WGS:NBSK|LSAT_6X24921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLTLWSLRPRYTQPPDLRKEYGSISSFCTFKINHGGSFTNTVSGRSYIDGLVDHFDFVDMYVFSVHELDDMMAVLGYNDGGIMFYHFMILETDLDSGLLLLGIDQEVIQLERIWWGKNLHDVEFFEDEYSGNKESVSEGPWSEGSRSEGNMTDDESEDEDFFVDLENIVDDVDVDMKEFHIHVDEDVEWVQKTTKEASGSGVDFTEGEDYRLSHLL >cds-PLY95023.1 pep primary_assembly:Lsat_Salinas_v7:5:227956904:227959035:-1 gene:gene-LSAT_5X108141 transcript:rna-gnl|WGS:NBSK|LSAT_5X108141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWRGILGFEYGIVQAPLGPDISGPELVAAVANAGAIGILRAPDWECPDYLRELIRKTRSLTDKPFGVGIVLAFPHKENLKIILEEKVAILQLYWGEVTQDIVLEAHEAGVKVVPQIGSFEEAKRAADVGVDAIIVQGHEAGGHVIGHEAIIALVPRVVDLVASRGIPVIAAGGIVDERGYVAALALGAQGVCLGTRFLATEESFAHPIYKRKLVEMNETEYTDVFGRARWPGAPQRVLKTPFFMEWRNLPSHENEANQPVIGHTTIHGMEKEIRQFAGTVPNKTARGDIESMVMYAGEGVGLIHEILPAAQVVNRLVEGAQILIQQNFTS >cds-PLY88242.1 pep primary_assembly:Lsat_Salinas_v7:4:154935563:154937390:-1 gene:gene-LSAT_4X93720 transcript:rna-gnl|WGS:NBSK|LSAT_4X93720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRWTLEEENALRAGVDKYGTGKWMKILTDEDLAPCLIARTNIDLKDKWRNLCGNASRRAVSLRCSTDKKIGSGYDAKNLKALPFPSTNNSDGLHVVADHSYTKKIDIQELVDEENQNVEVDIKEAIQSMKESGYNTYEVVVTLQNLGVQHPSVEAVNESKGEAIEFGYTGVVKKIQKQRIRRYSERIVKKKLSKKVYRKDGKGSYATNPLNLD >cds-PLY87541.1 pep primary_assembly:Lsat_Salinas_v7:8:96397221:96398126:-1 gene:gene-LSAT_8X66820 transcript:rna-gnl|WGS:NBSK|LSAT_8X66820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC3 homolog [Source:Projected from Arabidopsis thaliana (AT5G57450) UniProtKB/Swiss-Prot;Acc:Q9FKM5] MTPENLLHRPTHTQYCTLGCPILDAFLGGGIPCNSITELVAESGCGKTQLSLQLLFTAQLPLHLNGLSASSLYLYSEYPFPNRRLDQLVESFRSSHPILFASSRDPRDYIFTRNCQTAQDLLDVLLLLESRLEKPKETRLDIKLIVIDSIAALFRSDFDNNPADLKRRSSLFFKISSILKSHARRRGIAIVVTNQVVDSMDDNNGSSGVRVGNLESMYTSGRRVLPALGLSWANCVNSRLFLSRHEVIEGVENGLPDSDYSGYVSRRKRRELHVVFAPHLPHSSCEFVILRDGVFGVDECL >cds-PLY65570.1 pep primary_assembly:Lsat_Salinas_v7:1:148213097:148214671:-1 gene:gene-LSAT_1X103780 transcript:rna-gnl|WGS:NBSK|LSAT_1X103780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSPLFSLPPPLSYFTASYRKRTTYKADFDKEPTTTSNTYQHYAGGGATTLAADRSGWRFEDAHQLFDEMLQRTGLQKSFQRLCYKAFHEDALHINIIQPHTINTTQTSPSHNPQ >cds-PLY83050.1 pep primary_assembly:Lsat_Salinas_v7:8:193990580:193990938:1 gene:gene-LSAT_8X125400 transcript:rna-gnl|WGS:NBSK|LSAT_8X125400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYRRRSRNRSRDKYDRENDSSRSKKLVVVVLVINLIWQVLGLTHSHTKLLDQISSLVSMLLMN >cds-PLY88567.1 pep primary_assembly:Lsat_Salinas_v7:7:8102974:8108329:1 gene:gene-LSAT_7X7620 transcript:rna-gnl|WGS:NBSK|LSAT_7X7620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQVLFVTLPPAYNIHLAAFRATFYMEPDTLDSGSMISGMCIHGALILVAFFMSFVFHEAFLHGIPLA >cds-PLY77535.1 pep primary_assembly:Lsat_Salinas_v7:2:165456930:165457853:1 gene:gene-LSAT_2X89740 transcript:rna-gnl|WGS:NBSK|LSAT_2X89740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIPLSLRLISYLERKGVVKSDNLRSIHSIFSFLEDNFSHLNYVLDILIPYPAHLEILVQALRYWIKDASSLHLLRFFLHECHNWDSLITSNSKKASSSFSKRNHRLFFFLYTSHLCEYESGFLFLHHLAEVFARAFQANLWLFKDPFIYVRYQGKSIIGSKGTSLLMNKWKYYFKSYFYLWSQPRRIYINQLSNHSLDFLGYRSSVRLKPSMVRSQMLENAFIIENAIKKFETIVPIMPLIGSLANSKFCNALGHPIGETIWANLLDPDIIDRFGRI >cds-PLY83727.1 pep primary_assembly:Lsat_Salinas_v7:4:38842590:38842926:-1 gene:gene-LSAT_4X27140 transcript:rna-gnl|WGS:NBSK|LSAT_4X27140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHTCSFTVLRITIKDTVEDRILALQEEKRKLHASTFGEDRSGISMARLNAEDLRYFFLRWGWGWGALLN >cds-PLY71812.1 pep primary_assembly:Lsat_Salinas_v7:3:58930341:58932578:1 gene:gene-LSAT_3X46260 transcript:rna-gnl|WGS:NBSK|LSAT_3X46260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYEKEEESESGMGGEISNLLRPRSFVILLIGLIFVFLAFSSFSQQEEDTVEEVHEITHRTFLDVDIDKQRLGRIVIGLYGEVVPKTVENFRALCTGEFGKGKSGKPLHYKGIPFHRIIPGFMIQGGDTVYGNGRGNESIYGGVFRDENFKIKHSQPGMIAMVNSGPDSNGAQFFITTVKAYWLDGEHVVFGKVIEGMDTVYAIEGGAGTYSGKPRKKVVIADSGEIPKTEWDVALQTTTAES >cds-PLY72041.1 pep primary_assembly:Lsat_Salinas_v7:2:204759194:204763051:1 gene:gene-LSAT_2X125121 transcript:rna-gnl|WGS:NBSK|LSAT_2X125121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTTTRLILHDEPQTRHHLAGSPVGRRLSLTNVQFRIPVSVLIICALVAVIQNQSQQISAADILQGGDKYSFATTFAYPLPEVELKGIAIELESNAEVEWERSAYHFQPDKNFISGPMYHMGWYHLFYQYNPESAIWGNITWGHSISRDMINWFHLPFAMVPDHWYDIEGVMTGSATVLPNGQVIMLYTGNAYDLSQLQCLAYAVNSSDPLLLEWKKYEGNPILFPPPGVGYKDFRDPSTLWMGPDGEWRMVMGSKHNQTIGCALVYRTTNFTHFVLNEEVLHAVPHTGMWECVDLYPVSTTHTNGLEMKDNGPDVKYILKQSGDEDRHDWYAIGSFDPINDKWYPDDPENDVGIGLRYDYGKFYASKTFYDQHKKRRVLWGYVGETDPPKDDLLKGWANILNIPRSIVLDTQTGTNLIQWPIEEVEKLRSKKYDEFKDVELRPGSLIPLEIGTATQLDISATFEIDEKMLESTLEADVLFNCTTSEGSVGRGVLGPFGVVVLADASRSEQLPVYFYIAKDTDGTSKTYFCADESRSSKDKSIGKWVYGSSVPVLEGEKYNMRLLVDHSIVEGFAQGGRTVVTSRVYPTKAIYGAAKLFLFNNATGISVKASIKIWKMGEAQLDPFPLSGWSS >cds-PLY88196.1 pep primary_assembly:Lsat_Salinas_v7:3:211644027:211647578:1 gene:gene-LSAT_3X124521 transcript:rna-gnl|WGS:NBSK|LSAT_3X124521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTLLYTFTLCLLVLLTSANPIDWTNHGGDLYNRRHAYGETKISPGTVANLQLKWKFVAGKDITATPAIYDDTIYFPSWNGYIYALNASDGSLIWQQDIGQLTGLNSTGLIPGVNRPVSRSTPTVARDLLIVGIYGPAYVVGLNRSNGELVWSTQLSTHNASIITMSGTYYKSAFYVGISSLEESTTLEACCTFIGSFLKLNAKNGSILWQTYMLPDNNGSTDEYAGAGLWGSSPSIDITRNAVYIATGNMYSAPLNVTQCQEAQNNETTPTQEDKCIEPENHSNSILALDLDSGHIQWYKQLGGYDLWIFACNNLSTPGCPPGPNPDADFAEAPMILTTNGRGHKRDIVVAVQKSGFAWALDRDNGSIVWSTVAGPGGAGGGGAWGSATDGKIIYTNIANSMQTTFTLKPSNITTTSGGWVSMNATNGNILWSTANPSNATASGPVSLANGVVFAGSTNGTGPIYAMSGTTGEILWSYNTGATVYGGMSISDGCVYVGNGYKVNFGAFDPTYTPGTTLFAFCLPS >cds-PLY80466.1 pep primary_assembly:Lsat_Salinas_v7:2:137707740:137709390:-1 gene:gene-LSAT_2X66580 transcript:rna-gnl|WGS:NBSK|LSAT_2X66580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSQEDDHIATVYGEDLDEDDESEDDDLTLPNQNVDEDDVDLVDDEEEDDSVSSGVGGVTIAVAGVPNANTTVAATPTAAITGTVNATPISRSDGVLEQRKIVPLDESRRLFQRLWTDEDEIELLQGFLDYTNQRLVNNPFHHHHHDTTAFYDQIKNKLQLDFNKNQLVEKLRRLKKKYRNVLSKISSGKEYVFKSAHDQITFELSCKIWSSEAALVSTPATADVARFEDEEPNNPNPYPNPNLNLTFNLNEQNGNGVAHPHPHPHPNSSEKKIPRSRKRSRSSGVKIEEKIIQQQPPAPPVVEGVSNYSNPIRNVVEETVRNYLLPLFKELLDGSQNMGRGNFRGLSSGGMNFDPFSLFGSMNFPFGDITDEKWRKQHILELEVCSKRLELVQDQIKSQLEELRSMRR >cds-PLY75154.1 pep primary_assembly:Lsat_Salinas_v7:1:184925183:184926137:1 gene:gene-LSAT_1X120661 transcript:rna-gnl|WGS:NBSK|LSAT_1X120661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole-binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G59400) UniProtKB/Swiss-Prot;Acc:Q9LX31] MATNSLQSLNYRHHTPPIGRRQSLECPPLLSFNIKSNNTNNPTLSISSTVKTFSISSTTSTSSTTAAQSTSFDLLKSLLAANDFREADNETRRLLIALAGEAAQKRGYVFFSEVQFIAESDLKTIDELWRNHSNNKFGYSVQKRLWEKSNRDFTTLFIKLGWMKKLDTEVLQYNYRAFPDEFMWELTEETPEGHLPLTNALRGTQLFSYILTHPAFAEEESAIEEEVEKKEEQRDKGGLSKPQPLGKGVFKPDYSF >cds-PLY64177.1 pep primary_assembly:Lsat_Salinas_v7:8:125680566:125682475:1 gene:gene-LSAT_8X87441 transcript:rna-gnl|WGS:NBSK|LSAT_8X87441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIEIVQPSVGLSKIAVSETHGEDSPYFAGWKAYDENPYDEVHNPTGVIQMGLAENQVSFDLLEEYLEANLEASNWGQKVSGFRENALFQDYHGLQSFRKAMASFMEQVRGGKAKFNPDRVVLTAGATAANELLTFILADPGDALLVPTPYYPGFDRDLRWRTGVQIVPIHCESSNNFQITPEALEAAYDHARAMNIKVRGVLITNPSNPLGATIQRKVLEEILDFVTRKNIHLVSDEIYSGSAFYADEFVSIAEVLESRDYKDAERCHIVYSLSKDLGLPGFRVGTVYSYNDQVVTTARRMSSFTLISSQTQFLLASMLSDKEFTQKYIKINRERLKKRYEMIINGLRKAGIECLEGNAGLFCWMNLSPYLEDATINSEIAIWKTIMHEVRLNISPGSSCHCSEPGWFRVCFANMSEETLDVALSRIHEFIERRKQDRQMVCIQ >cds-PLY85599.1 pep primary_assembly:Lsat_Salinas_v7:2:120191387:120192463:-1 gene:gene-LSAT_2X55000 transcript:rna-gnl|WGS:NBSK|LSAT_2X55000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLKDLPPSPPPRIVVRSVFAYNLQSEFHLITSIIDDYPFVSMDTEFPGVVVRPNAGYDYFRNQSPSDHYLLLKSNVDALKLIQVGLTLTDAEGNLPDLDESNNNTRYIWEFNFNDFDPSRDQHASDSIELLKRQGIDFEKNREFGIDSVKFSELMMSSGLVCNERVSWVTFHSAYDFGYLVKLLAGRVLPDELSRFLDLLKTFFGANVYDVKHLMRYCESLYGGLDRVAKTLEVDRAVGKCHQAGSDSLLTWHAFQKIKVLCSGGPEKYAGVLYGLEVF >cds-PLY76438.1 pep primary_assembly:Lsat_Salinas_v7:5:198243028:198253420:1 gene:gene-LSAT_5X88760 transcript:rna-gnl|WGS:NBSK|LSAT_5X88760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINIRNLRLCDGLKENAEIHNIKKILGLQHGKEYDNIKSLRYGHLMIMVDQDHDGSHIKGLLINFLHSFWPSLLKVPELVLEFITPIVKATQKNSNNVISFYNMPEYEAWKESLGCRVKNFKIKYNKGLGTSNGKEGAEYFDDLDKHKKDFVWADDEDGEAIDLAFSKKKIEARKTWLRALQIMRDQITKQPLELASKATTSTLLISELLEKMTHAYLNQISGSMATPRAHTPSGESSTVKNNKAVVNPEVVESSNLKNKEKVEKTSEGMKFKDVQPPKPMDLNAPYFDYEAFP >cds-PLY96377.1 pep primary_assembly:Lsat_Salinas_v7:2:53371539:53371886:1 gene:gene-LSAT_2X22880 transcript:rna-gnl|WGS:NBSK|LSAT_2X22880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNITKQETKNLLKCNKNHQSDKNKLEEQIQAQRRQTEREKAEIERETIRVRAMVEAEARAYEAKLAEDMNKRMLVEHANAEREKWVSAINTTFEHIGGSDKILVSISFFLMYKTF >cds-PLY76999.1 pep primary_assembly:Lsat_Salinas_v7:6:64284288:64287695:-1 gene:gene-LSAT_6X47121 transcript:rna-gnl|WGS:NBSK|LSAT_6X47121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAMRANSHDSSSQEQSLDRDTQSDEVLSEEEDDVSKETHNNVSYFPSDTCAQEHQNFQHDILPRNEETLSQPPQLELVNQSVAPYYDPYYGGMMAAAYGQHFVHPQFVDVQQVRMPLPLEMAQEPVYVNAKQYHAILRRRQSRAKAELEKKLIKDRKPYLHESRHQHAMRRVRSSGGRFAKKTETDALKNTSDDVATGMKRVHSEPAVSFGTHTNQETRGGGMVNSCNGGALRYENQDGFQEGGSLGQQWSTIPSSQNSQRAVAMK >cds-PLY76161.1 pep primary_assembly:Lsat_Salinas_v7:4:55091925:55093480:-1 gene:gene-LSAT_4X37361 transcript:rna-gnl|WGS:NBSK|LSAT_4X37361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQTNTKSSSTNKTGYCLPTISNRSAMGDFKRVKSAKDLLVSSSSEDGSKRKKNPQVVCFGELLIDFVPTVSGVSLAEAPGFKKAPGGAPANVAVCVSKLGGSAGFLGKVGDDEFGHMLANILKENNVDNTGMRFDKQARTALAFVTLRSDGEREFMFFRHPSADMLLTEAELDTDLIKKSTIFHYGSISLIEDPCKSAHLAAMKIAKKAGCILSYDPNLRLPLWPSPEAARKGIMSIWDEADVIKISEDEIVFLTGGDDPYDDKVVLTKLFHPNLKLLIVTEGPNGCRYYTKDFHGKVGGVKVKCVDTTGAGDAFVGGLLLNLAANTQLFKDEKKLRDALRFANVCGAITVTERGAIPSLPSKEAVHNKLEESESK >cds-PLY88749.1 pep primary_assembly:Lsat_Salinas_v7:4:168664723:168665610:-1 gene:gene-LSAT_4X99701 transcript:rna-gnl|WGS:NBSK|LSAT_4X99701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQNLPVVARKLWSLVRVLFMLRKNISKRKLLLDLNMMMKRGKVAGKALQNLMLHHHRNWSSSAFNRRSQSNDSSNFTFPPPQTDDYEFSCTNSPAYPVLSLFSTNKKPKHHYKKSSEKKSTAENNNHEDIIIDAAVIKVLHEMLTSANASPALPGFGKSPMVRQLRVTDSPFPLSNGDEDSYVDEAAEKFIMKFYNNLRRQT >cds-PLY92459.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:624873:627542:-1 gene:gene-LSAT_0X10840 transcript:rna-gnl|WGS:NBSK|LSAT_0X10840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSESWFHSIWKPSKNHNHGPEKARIGVLVFEVASVMSKLVHQWNSLTDKQVMKLREEISNSVGIKKLISEDDDHVVDLICTEMLHNLENIAKVVTRLSKKCKDPLLICFERAYDDLIKHGVDQYQWQVSWKKMNTKVKKMETFIMVNANLYQEMETLTELEQMLKRMKNNEDHDTIIVAEYTKKVTLKQKQVRRLKEISLWNRTYDYVVLLLARSIFTIFARIGHVFGITHVLPQIEESMVIDSSRNQSVSGFLESSVTMFNSGPLGNINAKSGPISKFYSGPLGKQRNTSYFSGTIANPTSSKSGPLTRAAKSGLKWWNNSSKIHGKSITPNLSSTNSKTHNQVSRFSSKKQKPQIAPPPPETLGAVALALHYANVIIVLEKLVASPHLIGHDARDDLYNMLPKTVRNGLRGRLKPYAKSMGSCVYDNDLAEEWSEAMLGILDWLSPLAHNMIRWQSERSFEHQNLLSRTNVLLVQTVYYANQEKIEAMIVELLVGLNYIWRFSREVNAKSLECENGVDD >cds-PLY86957.1 pep primary_assembly:Lsat_Salinas_v7:5:262390536:262390871:1 gene:gene-LSAT_5X133701 transcript:rna-gnl|WGS:NBSK|LSAT_5X133701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLKVTLKKKCLEENLKDFKEKSFTDQKVNVAFGSGKGKISMISEVDLNPSLTKEEKKAHASRDKVLDDLKAIKEELDRKDLEKKKTKEIDVLKKALFPKWVTCRMDKEAI >cds-PLY65171.1 pep primary_assembly:Lsat_Salinas_v7:5:249756684:249757662:1 gene:gene-LSAT_5X125321 transcript:rna-gnl|WGS:NBSK|LSAT_5X125321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARASENGKVKGGDGGAGGGGGGGRIRIGSTGKVSALMMQEIDSNLKKTSSKKPAIRIQTEPVSISCGAESTRRLRRMVAVSGGSSSNGHHQVKPGKKKTTHKIPMLDSEDLWVDKTPIRGKERKKVTQRIVETVDLKCGKNDGDWSIIPISNQLRRLSFSRISD >cds-PLY84130.1 pep primary_assembly:Lsat_Salinas_v7:6:186257073:186258392:1 gene:gene-LSAT_6X113200 transcript:rna-gnl|WGS:NBSK|LSAT_6X113200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEQKTSYGVGGTGGLPKQRTLSDPNLSFSFSDTDEELNSRRSDFSGYDAQRTSIEGSPMMKSPWNQGTPFLPSAWSSSNIDDPNCPKNGLVGSLVREEGHIYSLAACDDLLYTGSDSKNVRVWKNMNEFAAFKSNSGLVKAIIISNGKIFTGHQDGKVRVWKPSRRKPTLYNRVGTYPSFFAILKSSVNPWHTAVWVKHCDAISCLSMNEEAGLLYSGSWDRTFKVWKTSNSRCLQTVKAHDDAVNSVISTVEGYVFTGSADGSVKVWKREGKGRSMKHIFVKTLFNQDSAVTALTASSTGSFVYCGTSDGIVNFWEGGNELAHGGVLNGHKLAVLCLSAAGNLVFSGSADKMICVWRSEGIIHTCLSVLTGHSGPVKCLAVVREAESDGGKGRWKVYSGSLDKSVKVWSVSELAPDMQTLALMNGDVSLDHIPSAKY >cds-PLY87973.1 pep primary_assembly:Lsat_Salinas_v7:6:171232482:171232832:1 gene:gene-LSAT_6X104261 transcript:rna-gnl|WGS:NBSK|LSAT_6X104261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSCLMVLLVALIAGSAFAQSPTAAPTVSPTASPTAAPPSPVSAVPTASPTEAPLASPPAPPTSLAPSSPTAFPPSISSTPTGSPTSTPNSASLNRVAAAGSVAVVVLAAAFVL >cds-PLY75468.1 pep primary_assembly:Lsat_Salinas_v7:7:72037254:72038301:-1 gene:gene-LSAT_7X52241 transcript:rna-gnl|WGS:NBSK|LSAT_7X52241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWLGYSNRKVIKLHGKYLAYVNDYFMNHTLFHKAFKEAFEIFCDKGVAGSSSEKLNDEAVEDTLEKDWALELEEVFIGSRLTNYVGILSQGLRIAPPKAPATDYMHTILMVQLYMLENEDRKTL >cds-PLY74109.1 pep primary_assembly:Lsat_Salinas_v7:9:13517325:13519725:-1 gene:gene-LSAT_9X11741 transcript:rna-gnl|WGS:NBSK|LSAT_9X11741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGSKGLVKRDEFVRILIQCLYSLGYEKSAGCLESESGISYKSAEFKLLESSIIGADWEGCIDSLYGIKNMTDEQRDTALFLVSQQCLLECLGRGDDSLALSLLRKQISKLQVGREKVHKLAFSLFSLGELGLDKVHDDCDVFQELRRNLVNELEKLLPPPITVPDRRLEHLVERVVGSQIDSCIYHNSLDPVSIYKDHSCGRDQIPTETIQILSDHKNEVWFVQFSNNGDYLASSSSDCTAIIWKIQEDGKLVLKHRLSSHQNPVSFVIWSPDDTMVLTCGNSEVLRLWDVETGTCKHTFGDNSFLVSSCAWFPDSKRLVCGSSDPKKGICMWDCEGNEIKAWRGTRMPKVLDIAVTPNGEYLITIFSDKDIRILNVATNAERVISEEHPITSLSVSGDSKHLIVNLNSQEIHMWDVEGLWEKPLRYKGHRQHKYVIRSCFGGVNSTFIASGSENSQVYIWNRSGSDPIEVLSGHSMTVNCVSWNPRRHQMLASASDDQTIRIWGPNPSQKMHLKGLI >cds-PLY72787.1 pep primary_assembly:Lsat_Salinas_v7:4:373165717:373167716:1 gene:gene-LSAT_4X184180 transcript:rna-gnl|WGS:NBSK|LSAT_4X184180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLHTSSTTTNDETEKDVKKEIPVEIEPITGVSFPIKLTDGKELKAIGLRKKHVFGLSLKIYSFGIYADNQKLMGVLKSPKAMKEMYEMVVDSGVGITVRMVIVFGHLTMSMVRKYFNEGLGAAIRKLGPGKNNDLTKRILGEATDDIKLTPGSEIEITCLPGYVLETKVRGKVVNKFESETLCKAYIYMYLGDDPLDKQAKENFGMSLLSLS >cds-PLY92970.1 pep primary_assembly:Lsat_Salinas_v7:8:98365170:98369194:-1 gene:gene-LSAT_8X68460 transcript:rna-gnl|WGS:NBSK|LSAT_8X68460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGGKAMLNFLTRKDVRKILKRKDSDAGERGRAMEELRASLFSKFRRQHQSLLGPTLALTFNFVASVSIILMNKLVLVKVGFNYPIFLTFIHYICSWLIMGILKALSLLPPPPSSKSTKFSSLLGLGIVMSLSTGLANVSLKFNSVGFYQMAKIAVTPAIVLAEFMLYNKRISFQKVVALTVVSIGVAVATVTDLQFHFFGACIAVAWIIPSATNKILWSNLQQQESWNALALMWKTTPITLFFLVMMMPSLDPPGVLSFDWSFYNSSIIGASAVLGFLLQWSGALALGETSATTHVVLGQFKTCVILLGGFIMFGSNPGSTSICGALTALVGMSFYTHLNLKRQQSSASSSTSSFSLPKSKLGKENGGDIHVHGDESV >cds-PLY65101.1 pep primary_assembly:Lsat_Salinas_v7:4:5378684:5379665:-1 gene:gene-LSAT_4X3660 transcript:rna-gnl|WGS:NBSK|LSAT_4X3660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSLVYSTSIHHFEPYTKGFSVPSPSTYTLVEAPKGEFCVFLVSNGSNRPYRRKIRAPGSAHSQGLDSMSKQHMPADVVTISCILLSFLGLPFRHIPNNLSNDTELTANAHFFXLSNPVTWSNHEGSILSWCRILSFYGFLLCYRGRPQSHNVSKRGGHKESVFYSFVSNFEKNSILYLPSYEQQLREQPDLRNYQQRKN >cds-PLY75396.1 pep primary_assembly:Lsat_Salinas_v7:6:178809384:178809569:1 gene:gene-LSAT_6X108880 transcript:rna-gnl|WGS:NBSK|LSAT_6X108880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASSEQGENEHRIMELFFFLLLLISHREQLLRVQDDRMRDLPPTPRNRSSGRLPSTYLSL >cds-PLY63501.1 pep primary_assembly:Lsat_Salinas_v7:9:137150001:137150216:1 gene:gene-LSAT_9X88281 transcript:rna-gnl|WGS:NBSK|LSAT_9X88281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METIPTFVLLCRWCVTYTQIRGDVCKRKFLTVGTLNHKTTEPSQSPINYPRLLAIFCNNLSPIASFTFSHP >cds-PLY70822.1 pep primary_assembly:Lsat_Salinas_v7:4:58938653:58938835:-1 gene:gene-LSAT_4X39561 transcript:rna-gnl|WGS:NBSK|LSAT_4X39561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSETPSVADPMAQSSILNIKANQNLIMDLDPTKYVSFLQPLVECVRYSPLVIALKKS >cds-PLY67767.1 pep primary_assembly:Lsat_Salinas_v7:9:167805914:167807432:-1 gene:gene-LSAT_9X104180 transcript:rna-gnl|WGS:NBSK|LSAT_9X104180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDEQKQIYHEKQKLQFCLLHSLNNLFQCFVLYLVPSLRILGKLFNKFFLFDAIQEKDAFTRKDLDDIAEQLVKEDPYEGNRMPFSVIFKPHHNSLTGVIELLPLTLMNLKIEAEFFIEYGEASRYQVQEVIGKGSYGVVGSAVDTHTGEKVAIKKYSCRLHYWGTSGESHGVQ >cds-PLY65043.1 pep primary_assembly:Lsat_Salinas_v7:1:116579803:116581004:-1 gene:gene-LSAT_1X86180 transcript:rna-gnl|WGS:NBSK|LSAT_1X86180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIAEIHQDIIQTHILTRLNGQTLAAAGCSSSLLQSLCSDEKLWSDICSSNWPSTDDPLVKQAISNFPSGHRSFFSDSFPSPSNHLTTTSPPPQTSQIISSVDIRYHDELVFSKVEATNTTPSDWFQSSPFRIDLLEPKELIPSVVKFSGDDQVMQSNLEKHMTLSWILIDPSQNRAVNLSSKKPVSVQLNWLTDEIELTFAFVAVSGVSVHDNDYVNCNIEITCGVKRTSGELYVSGMSLTVQDIDGKCLSGKNSMEVLQGLTVAERRSTRFNGGGDDLKGRYEEYIQKRRERKEMMEMRERRLDLACVAGGIAFLMAFWSFALY >cds-PLY67724.1 pep primary_assembly:Lsat_Salinas_v7:4:2846995:2851546:1 gene:gene-LSAT_4X660 transcript:rna-gnl|WGS:NBSK|LSAT_4X660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTKLKASFTFLLFLFHEFSGYNLQDLIQEAQYRWLRPGEVFFILQNYEEKQLNHAPPQKPPSGSVFLFNKRVLRFFRKDGHSWRRKKDGKNVGEAHERLKVGNVEALSCYYAHGEQNPNFQRRSYWMLDPGMEHIVLVHYRDITIGKHSAGPISTLMLGSSNIVQGSNSYTTSASEYYEHYNSTSGPSSLEVSPDVVITSNGATHFNQTESIDGSPDFEINQALRKLEEQLSLDHMKDIGTFYSENENEYANELGFTISEQDLGGSERLQYGSDNYVSLPKDEFAIPSQQTLFWKDMQKSNESTTYDGSLESYGYPLDENEVLLPRPRTDTGASNDSSILASQELDISTFPKYVPANNMYQSDADIYSTLFDLGQIETPLASDSSLTIAQEQKFTIREISPDWGYATEPTKVLIVGSFTCDPSVADSEWICCMFGDTEVPVEIIQEGVICCRAPPHLPGKVTICITSGNREACSEVREFEYRPKDTDKDKDKPTNLTENESTRTHQELSLLVRFVHLLLLSDTKNTTTDLPEGSIAADSWAQVIEALSDGSLASSKATDWLLEQLLKDKFQRWISNKSMDENALPTLSKKEQEIIHMVSGLGFVWALTPILKAGVGINFRDSNGWTALHWAARFGREKMVAEVLACGANAGAVTDPCQQDPNGQTPASIAAQYGHQGLAGYLSEMALTAHLSSLTLQESQLSKGSADVEAERTVNSISDSYLDSTKDQVSLKSTLAAVRNAAQAAARIQAAFRAHSFKKRKQKEAAAEAASASAVGEVEDEYGILPSEIQGLSTASKAVFANSRDRDLHHAAALSIQKKYRGWKGRKDFLALRQKVVKIQAHVRGYQARKNYETICWAVGIVEKIVLRWYRKGVGLRGFHVDSIIGEDEEEEDFVKALRKQKVDAALTEAVSRVLSMVQSQPARQQYQRMLHKYRLAKEQRGDLQSEGASTSEGGMNEFM >cds-PLY82515.1 pep primary_assembly:Lsat_Salinas_v7:2:186292425:186296202:-1 gene:gene-LSAT_2X106520 transcript:rna-gnl|WGS:NBSK|LSAT_2X106520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENGHVNGVATEFCIKDPLNWGVAAEALKGSHLDEVKRMVKEFRKPVVKLGGETLTVSQVAGIAAAGDSSTVKVELSETARAGVKASSDWVMESMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGNGTESSHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKFLNTNVTPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPNGEILNAEKAFNLAGVTGGFFELQPKEGLALVNGTAVGSGMASMVLFEANVLALLSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEYILDGSDYVKAAQKVHEMDPLQKPKQDRYALRTSPQWLGPHIEVIRSSTKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGGEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISARKTAEAVEILKLMSSTYLVALCQSIDLRHLEENMKSTVKNTVSQVAKKVLTMGVNGELHPSRFCEKDLLRVVDREYVFAYIDDPCSATYPLMQKLRQVLVDHALNNGETEKNTGTSIFQKISAFEDELKAILPKEVEGVRIAYEDDTLTIPNRIKACRSYPLYRFVREELGSGFLTGEKVTSPGEEFDKVFTAMCKGQLIDPLLECVQGWDGVPLPIS >cds-PLY65007.1 pep primary_assembly:Lsat_Salinas_v7:8:89538328:89539701:1 gene:gene-LSAT_8X62000 transcript:rna-gnl|WGS:NBSK|LSAT_8X62000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGLLTRPSSLLLECIGIDFGLKLLRFQDLNIIYINHHSPFKMVQQCILVIFFFNHSPYATFKAREYLDKPAIHKTMMKRERELRKLLEAGCTMSKKMLPLPGSIDERVCYFSKSESFEKLEEDSLLLTLPYSCSVYKSKTNNADWA >cds-PLY79696.1 pep primary_assembly:Lsat_Salinas_v7:5:252515074:252519790:1 gene:gene-LSAT_5X127161 transcript:rna-gnl|WGS:NBSK|LSAT_5X127161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRTKRLRTEGVINFMDVSINDDMMIGFHVVLPVRSKNACRQQGSYPIKMFYTSNMPIILQSVLVSNLYFIYQLLHRKYCGNFLVNMLRKWKEFEYSIQSVPVGGLAYYVVAPSQGEAKRETQMESSDREAPMVMQIVKRSNRPPTTIYGSFLVVGDHQSKGKGSNWIEGSRSRRENGRNGYRWFCRSE >cds-PLY84989.1 pep primary_assembly:Lsat_Salinas_v7:3:122152532:122157041:1 gene:gene-LSAT_3X84920 transcript:rna-gnl|WGS:NBSK|LSAT_3X84920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQWAPCYAVVATPLLIAFELLLCIYLENSHVTKPPPVSLQTVFLPLLAFEVTILVGNFRFISCKKEDYQLFNMNLVI >cds-PLY77591.1 pep primary_assembly:Lsat_Salinas_v7:2:165538203:165538982:-1 gene:gene-LSAT_2X89961 transcript:rna-gnl|WGS:NBSK|LSAT_2X89961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFDLQNTQDLYLDLPITPKAFWFRSFVRVANVPSTDSGANHLLFSFYLKRMKPQYEMWSANKITAVKVTGLIETDSFPNAKFKVVRGSYSQVHEFTLADLPCLNPYDRIMIYNLLLRDGQKKPSVLHKEVPEDFEKLKPGKIQKEGWYVAFQIREQNDAYFHKACFFLVDKHLFATSCLEYVLDMVTKYKGNRKGDKKWLPVMILWYIQVRKVLLSVITEVFEVQK >cds-PLY86129.1 pep primary_assembly:Lsat_Salinas_v7:4:231302436:231304899:1 gene:gene-LSAT_4X126380 transcript:rna-gnl|WGS:NBSK|LSAT_4X126380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFKNFGNQPTDSRNGSGRPPGNFPLARQGSIYSLTFDELQNTMGSIGKDFGSMNMDELLKNIWNAEEMQTTGSNSGATVQDRGGAGGANLQRQGSLTLPRTLSQKTVDEVWKDLSNSNGFGHPNLPQRQQTLGEMTLEEFLVKAGVVREEIQLSGKPNDDVLFSDLTNSQNNNGFGAIGFQQAVGVGQNSAIDDPRSNQISFQSANQQMNGNGVRSILPYGAPPVAIPRNNHLGSPVIRNGVVGVGMGGGGGGGGVTVATGSPALSSEGLAKSNGDTSSVSPVPYVFNGAFRGRKSGAIEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVSELKQKNQELKRKQAELLEMQKNQVRKKKFYKTMAS >cds-PLY66817.1 pep primary_assembly:Lsat_Salinas_v7:5:45949766:45950038:1 gene:gene-LSAT_5X23140 transcript:rna-gnl|WGS:NBSK|LSAT_5X23140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGEVPPECDRLHRALLQCHQRVPSGPSREAACRHLNRSLAECMIAFICPDESSAVKTLCRNKGTSLKRSQCQQAQISLAACISFHQDPS >cds-PLY93982.1 pep primary_assembly:Lsat_Salinas_v7:8:234103195:234104272:1 gene:gene-LSAT_8X141920 transcript:rna-gnl|WGS:NBSK|LSAT_8X141920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSRNNNLMHTVYWQHADAPYEVSSISLVPSSMPGVVGRTGSLGSTQERVYGIPFSFRATSQPPIQNLSRNTTNQRNGYPRQTSTTQSPILTEQNKGLEKLKKEIYNPGLQRVSLYYRDPDFRAKSREKNNKEDGKRCAVCLDDFEAGEMVTLTPCNHMFHGDCIVPWVKSNGQCPVCRFVISDRSKEREGMGTSDNHGVVVGGDMIPRDMIDFIRHMEGRG >cds-PLY66554.1 pep primary_assembly:Lsat_Salinas_v7:4:340491579:340493162:1 gene:gene-LSAT_4X167101 transcript:rna-gnl|WGS:NBSK|LSAT_4X167101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSTPIKDTDSSFILPCETCQRFTLSEIQSATQNFDEALVIGQGGFGKVYKCSKIGSITEVAVKRLHSMSNQGANEFESEVKVLSKLRHGNLVSLIGYCKEEREMILIYEFMPNGTLEDHLRTPNLSLSWLQRLKICIGAARGLDYLHMGTSTQHGVIHRDVKTSNILLDANFAAKISDFGLAKVGLTDQTQTHVSTAVKGTFGYMDPCYFYTGKLTRKSDVYAFGVVLFEVLSGRKAVDTSFDEEQWGLAAWAQHLIKEGKIDQIIDPRLVGKPSRKCLKEFASVAGRCLHTQPKHRPTMAEVVVRLESILSQEREIANSVVDDEGFIYKLKSLFIGKLVVAAIGSKSDFIAHPKPIVAENNAAKRKNPYRSFTTLSYTELVSAKNGFEDEEHSPDFNNSIFKGWVDKRTYMPNKKGANAAIGSKSDLVAHHKPISTKVDAAIGSNSTNQRFRTFTYAELAIASNNFKDKEYSPTLMDFICKGWVDERTYAPTIKGVGLAMYVTKMEIPTRKVLPMFEMIWMWESL >cds-PLY76026.1 pep primary_assembly:Lsat_Salinas_v7:5:318671081:318672641:-1 gene:gene-LSAT_5X175180 transcript:rna-gnl|WGS:NBSK|LSAT_5X175180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHQETSSKYSHLKKRAKFASGKSDSSSRENAKTLDFKEKGFRGDDRRGQSRRVKTDSVGSNVEDERYKRRNDSERNGSMKSKNDDYRSKRVERDGGFSFVDKSRNTDSRGRGLKSNYRGRKEGGVQRNETNGFSGRDFDRKKKRVYTNKFTNGETKFHDIVKAPGNKRESQEERGDRRNNTEHGENKNRMFNKRESQDERGDRRKNDEHGENRNGFLRKNREAKSETSKQLHSKQTSFTEDHEYGKKKLQNKKNMADDSMAMFDRPKRKMRLFRIEYPNDTANKRFDNTPPTIDNTTTEQKEDPEDTEENAEMSKNAQFRAIQLSPSIITFVNENLLGRRRELEFKKAGYDIELPSPLDNIPFSTNTERERIEESVFRNKLEFFAAAKVSSSFPSPDLPEIAFAGQMLGNHLC >cds-PLY88938.1 pep primary_assembly:Lsat_Salinas_v7:8:129956482:129960001:1 gene:gene-LSAT_8X90060 transcript:rna-gnl|WGS:NBSK|LSAT_8X90060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKQSKKSKNKRVTLKQKNKVLKKVKLHHKKKAKEAKKLGMNKKPKVDKHADNNDESPLNEEELKALEARRAAKALNGIEHKKAASQERVKKRKLGQMDEEEEDDDDITNLPEARDDFTVPSKIRDNSERSFYKELAKVIEASDVILEVLDARDPLGTRAADMEKMVMRAGPEKHLVLLLNKIDLVPREAAEKWLKYLREELPAVAFKCSTQEQRSNLGWKSSKSAKKTSNLLQTSDCLGAETLLKLLKNYSRSHEIKKSITVGVVGLPNVGKSSLINSLKRCHVVNVGATPGLTRTMQEVQLDKNVKLLDCPGVVMLKSGSGDNQNDASIALRNCKRIEKLEDPVAPVKEILKLCPEQMLARIYKLSGFDSVDDFLYKVATIRGKLKKGGIVDINAAARIVLHDWNEGKIPYYTMPPIRNEGVVMEANIVSELGKEFNVDEVYGTDSTIIGSLKSLDDFNSVTLPPNNPIAFDQTMLFEKDKPSGDENRVEKINHVGEDEAMGEDADVDRVDPVIKSSNSRQNEKLYAVEGVLNTKLRKAEKKRRKKANKLALMEGDDDESMNDVKNESGNGMNVDEGDDDVSVKEVTNNRFALADLDVEL >cds-PLY89140.1 pep primary_assembly:Lsat_Salinas_v7:3:21770921:21776416:1 gene:gene-LSAT_3X16160 transcript:rna-gnl|WGS:NBSK|LSAT_3X16160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 7.3 [Source:Projected from Arabidopsis thaliana (AT1G32450) UniProtKB/Swiss-Prot;Acc:Q9LQL2] MDSSRALVKCINDDEEGTSTLDGTVDFHGRPAIKGKTGRWTAGTIILVNQGLVTLAFFGVGVNLVLYLTRVLQQGNAEAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAIFQAIFVLGLGGLSVASSMFLITPKGCGDRTTLCIKHSNWEIGLFYISIYMVALGYGGYQPNIATFGADQFDEEDMKEGHSKVAFFSYFYLALNLGSLFSNTILSYFEDEGMWTLGFWLSTGSAFMGLVLFLGGTIRYRHFKPSGNPLNRFCQVFVAASKKWKVKLPQGEEALFEDERESSPSTGRKILHTHEFKFLDKAAFITSKDFKDPKQGTYNAWTLCPISQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGAAMRSTISGFQIPASSMSSFDILSVALFIFLYRRVLGPLVLMFKKKDSKGLTELQRMGIGLIIAILSMVSAGIVECYRLKYANQDCTHCDASSSLSIFWQVPQYAFVGASEVFMYVGQLEFFNAQAPDALKSFGSALCMTSISLGNYVSSFIVTIVMKISTEDDMPGWIPGNLNRGHLDRFFFLLACLTAVDLAVYIVFAKWYKSIKLEGKNGEGNDTDDFEV >cds-PLY94136.1 pep primary_assembly:Lsat_Salinas_v7:5:33610664:33615988:1 gene:gene-LSAT_5X14761 transcript:rna-gnl|WGS:NBSK|LSAT_5X14761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSPVGTSIQRSSSRPLLDLSGAAIHGNFEERDPTILLPNQSDDISHLSLDIGDGDNAVIKVTGGGAYKFTDLFKEKLGVSIEKEDEIDCLVTGANFLLKAIRHEAFTHKEGHKEFVQIDHNQLYPYLLVNIGSGVDGDRKFQQVNGTNVGGGTYWGLGKLLTKCNSFDELLELSQRGDSRSVDMLVGDIYGGLDYSKIGLSAATIASSFGKVISEDKEIEDYRAEDISLSLLRGAEAMFLRHEGFLGALGAFMSNNKHGLDHLMVHQLVERFPMGAPYSGGKIHGPALGNLSEKASGTQITDPVPMASPKTTGLGGFEAPSSKGDILRSDESNLNVGVLHLVPSLEVFPLLSNPKSYEPNTIDLADPNELEYWFTVLSEHLPDLVDKAVASEGGTDDARRRGDAFAREFSAHLARLMEEPPAYGKLGPANLLELREECLREFNFFDAYRTIKQRENEASLAVLPDLLLELDSMNEETRLLTLIEGVLAANIFDWGSSACVDLYHKGTIIEIYRMSRKKMQRPWRVDDFDAFKERMGFGAHKRALLFVDNSGADVILGMLPLARELLRRGTEVVLVANSLPALNDVTAVELPKIVAEAAKHCDILRGAAEAGGLLVDAMNNILEGSRQKLPSTPLMVVGNGCGSPCIDLRQVSSDLAAVAKDADLVILEGMGRALHTNYNARFKCDTLKVTKKKDYL >cds-PLY92558.1 pep primary_assembly:Lsat_Salinas_v7:7:160447363:160450079:-1 gene:gene-LSAT_7X94640 transcript:rna-gnl|WGS:NBSK|LSAT_7X94640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTIYLLLIFSLLIPPHPTTADQSFISSLITQNGLDFVKNLLISKAIPTLTSTRIPKIEKTVRIPVIGKVHIVLSDITINRVNVGLSNIKPDATGVTIFGSDVTCDLSTKWHYAYGTWVGPISISDSGTARVKVIGMETSIKLGLHNQEGSLNLFVMECNCHMNDISIDLNGGASWLYQGVVDAFEEPIRSEVSKEIIKKLKAGVLKLGSVVQSLPKLISVDNIASLNTTFVNDPFLSDNSLGFGINGLFVDSRKGNYLYGKKLQPPVSCSDPQKMVGIALDEAVFNSGFALYYNAIFMRWIVDKVPEQNLLNTAGWRFVVPQLYKKYPNADMNLEISLSDPPIMHVSSQIIDATIYADLIIDVLQLDDRIPVACISLEMAGVGSVQITKNNLTGHLKLDDFTMSLKWSKIGTLHMFLIQPVMWTIIETVFVPYINARLGTGFPLPIFRGINLQNAEILFKDSRITICSDFSYKEPFDQSHTLVYTS >cds-PLY96064.1 pep primary_assembly:Lsat_Salinas_v7:8:21679061:21679811:1 gene:gene-LSAT_8X16361 transcript:rna-gnl|WGS:NBSK|LSAT_8X16361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPDSDTILNIKYKISGDRNHGSHTPMFEDESSQMLTFKSALVLQRPVLEPCLAVGFLPLPPEGLRVGQLFTMKWRVERLKYLEDEQYDEVVYEINANSENWMIAGRKRGHAPLSTKQGSRIEISILCVPLVAGYMRPPQLELPDIGEGNISCNPAGPHLVCVSPPPLSSSFCIPIPIPA >cds-PLY98580.1 pep primary_assembly:Lsat_Salinas_v7:1:39143292:39144046:-1 gene:gene-LSAT_1X33601 transcript:rna-gnl|WGS:NBSK|LSAT_1X33601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLEYNRNPFFYHYAPPFSLKRVVMSTKPLIITQKLRPQDMFLIFASDGLWEQLSDEDVTIKKLEVVKPGSFQGNSKPPFLKFCKF >cds-PLY99117.1 pep primary_assembly:Lsat_Salinas_v7:8:71155730:71156822:-1 gene:gene-LSAT_8X51200 transcript:rna-gnl|WGS:NBSK|LSAT_8X51200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVTHLKKNSTSILLGFGIAFCDMNIYEVMFKPLRASIQSLLTHVSISWNKLRTTATSHDQKEITSKVFVTFREINMVMTQLGFQQCCGEEGSIDILSVFDEEEPSMGEVKVAFDMFDENSDGFIDEFELQRMLCKFGQPENENLEKCRNMIKGFDLNGDGVIDFEEFVRLMETCF >cds-PLY90617.1 pep primary_assembly:Lsat_Salinas_v7:6:51774055:51776054:-1 gene:gene-LSAT_6X37901 transcript:rna-gnl|WGS:NBSK|LSAT_6X37901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKIISNDYMFSTCNHGLLCNKLDKSSPVMIKMPASSSKSATSLTFRSSADGDFFGRKVALGGGQQRRVFTQAAAASDVKMSLSIGKSLRWWEKGLQPNMKEITGAEDLVESLLLAGDKLVVVDFFSPGCGGCKALHPKICQLAEMNPDVQFLQVNYEDHKSMCYSLNVHVLPFFRFYRGAEGRVCSFSCTNATIKKFKDALAKHKPDRCGLAPAKGLEEKELVALGANRDLSFVYTPKEVIPTVSVPVPVSPVSVSGSLSEPSLPLPLPLPRPLKSTLEKKDESKDKTLVSYGR >cds-PLY99437.1 pep primary_assembly:Lsat_Salinas_v7:6:21125388:21126406:1 gene:gene-LSAT_6X16141 transcript:rna-gnl|WGS:NBSK|LSAT_6X16141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PUCHI [Source:Projected from Arabidopsis thaliana (AT5G18560) UniProtKB/TrEMBL;Acc:A0A178URC3] MSTPKSSTKIQSLEANPHIDVGFTILQRNTSIPQPTERRGRKKEAEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGTQARTNFIYATDHANTNFHSLISPLDVQTLFQPAQLFNAQPSHHATTAKQPINPTNFPQNPNQSGLDKCYQSSIDDHHNFLISEGDNSGYLGSIVPDDYLNPRKNNGNNVNTSHDDPTSQRSYWDQSSQLFPSNDQHEYGDIWGGSNDSSWETLNGYELSAMVNYPDTSSMCEEERQMVGLYDSNLSYELMAGASSNVTNSSTSTSCSYSDIIGVGF >cds-PLY82957.1 pep primary_assembly:Lsat_Salinas_v7:1:17126128:17126367:1 gene:gene-LSAT_1X15141 transcript:rna-gnl|WGS:NBSK|LSAT_1X15141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGIGMFSKVGFANWDVPSLSKENGSEQRDDGWFEIEIREYFNGGGDTTELELHVVKVNEGNLKTGLVIHGIEFRPKKL >cds-PLY72719.1 pep primary_assembly:Lsat_Salinas_v7:6:27605376:27608060:-1 gene:gene-LSAT_6X21201 transcript:rna-gnl|WGS:NBSK|LSAT_6X21201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLANRASTSFDFEIVDNDYDQLTTNDTSNNNPWINPASIKLRHRLGRGPFGDVWLATRHQVTEDYEEYHEVAVKMLHHIKEDNIKVVLDKLSVLLSKSQGLQGASCLHLHGVSVITGKICIIMKFYEGSIGDKMATLKGGKCCLGDVLRYGIDLAHGIMELHSKEILILNLKPNNILLNEEQKAILGDLGIPYVLLGVPLPLTSSDMTRRIGTPNYMAPEQWQPDIRGPLSFETDSWGFGCIIVEMLTGVKPWCGKSIDEIYNSVVKRQEKPHIPDGLLPPAVENVILGCFEYDFRSRPLMADILMVFQSSKNAIFDDGKWKNISGPGPTSILEKSNLNGYTKWFLSKSNLEVGDTVRSRKPLNSCKHENMVVPVGTIVGLDTDNQNQNGYVLVRVHGIHDPVRVHGSTLERVTYGLSPGDWIRFKEENKKRNSNVGIVHTVDRDGSLTVGVIGIETLWKCVYSDVQMAENYCVGEFVRVKRNVFSPRFEWVRKRGGEWGTGRVFLVLPNGCLVVKFPGRLNFSGENDVFMADPGEVELVSFGNNMVKKYWHLEDFHWGVRPFLVSLGVFTVVKLGVCVGGKMRVKKNEKSQSEREIGNAKWLPTNMANIIFREGVNITNTTR >cds-PLY94070.1 pep primary_assembly:Lsat_Salinas_v7:4:171712262:171713172:1 gene:gene-LSAT_4X101400 transcript:rna-gnl|WGS:NBSK|LSAT_4X101400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGMGFDHSFGLWFITRWLKPELMIESGAFKGHSTWILRQAMPATPIISLTPRHPAKYLLKGPAYVDQNCTYFAGKDFVDFGNVNWSKVMKKHGVNDSSRVLIFFDDHQNELKRLKQALKAGFSHLVFEDNYDTGTGDHYSLRQICDQFYIQG >cds-PLY73202.1 pep primary_assembly:Lsat_Salinas_v7:4:263017305:263020686:1 gene:gene-LSAT_4X136401 transcript:rna-gnl|WGS:NBSK|LSAT_4X136401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHRIIFFLSLSTVAAAAFSGNNHGYSYLEPTSFQISDTESLRNTTLFVFPPHRLLQTPSDSCRVWSEACSEEILSMAKRPQNVQWLKSLRRKIHENPELAFQEFETSKLIRNELQKMEIGYRFPLAITGIRATIGTGEAPFVALRADMDALPIQEAVEWEHKSKVPGKMHACGHDAHVTMLLGAAKILKSREHLLKGTVVLIFQPAEEAGNGAKKMMADGALENVEAIFAAHVSHQHETGVIGSRPGPLLAGCGFFKAIIQAKTGSVNPVLAASATVISLQGIVSREANPLDSQVVSVTTFDGGDDLNVMPDSVVLKGTLRAFSNTSFYQLIQRIEEVIVQQASVFRCSATVDFFKKESTIYPPTVNDERMYEHVRKVAMELVGPASFMVVPPMMGAEDFSFYSEAVPAAFFYIGIMNETLGSTHTGHSPYFMIDEDVLPVGAATHAAIAERYLNELTR >cds-PLY92366.1 pep primary_assembly:Lsat_Salinas_v7:3:125003368:125005075:-1 gene:gene-LSAT_3X86821 transcript:rna-gnl|WGS:NBSK|LSAT_3X86821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLNISKSELHDLLSKSSLNGIPLLVLGNKIDKDGALSKQALTDQMDLKSITDREVCCYMISCKNSTNIDSVIDWLIKHSKSKT >cds-PLY72397.1 pep primary_assembly:Lsat_Salinas_v7:3:188010840:188012038:1 gene:gene-LSAT_3X112020 transcript:rna-gnl|WGS:NBSK|LSAT_3X112020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAWVNAHFLHKNFLIDIDAPFTWYDCIVDWNSLYTNNICPSCTGPVSCQEYQCTIVRTSYSYESPSCLPVTNSSTLPGWGDCTCPVNVVNPINGTCGQALLNYDTFAFNGTDGRNSFQDFFGVYPNAACAPSSMFESFPANVSGVMAFSTSQYAIPAYLFQPFNTTLALCLPSSLSANGVMFFGIGPYYFRPNSDVDLRSLLSYTPLLKYPDSFGYFIGVKSILVKRRSIDVSNSTTKLSTIEAYTILRSDIYKQLVHIFSVATMGILHARPVAPFDLCLTHRIGHSRVSLQVPNIVLRLEDGKKWRISSSNSMKQVTKDVACLAFVDGGATSEHGIVIGAFQMEDNFLLFDLDNSSLGFSSSLLRNKTSCSNFNFTGY >cds-PLY80372.1 pep primary_assembly:Lsat_Salinas_v7:MU041980.1:230326:234660:1 gene:gene-LSAT_0X22040 transcript:rna-gnl|WGS:NBSK|LSAT_0X22040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGKNSREEAARYRHSSSFQSTSSFSNYPPQPSYSLPPQGDQNYPFQDPNPQQYTSLPIYTPQKKLDKKYSRIADSYNSLEQVTEALSHAGLESSNLIVGIDFTKSNEWTGSRSYNRKSLHHIGDDLNPYEHAISIIGKTLAAFDEDNLIPCYGFGDATTHDQDVFSFYPEDSFCNGFEDVLSRYREIVPHLKLSGPTSFAPVIEQAMTIVEQSGGQYHVLLIIADGQVTRSVDTERGHLSPQEQKTVDAIVEASKLPLSIVLVGVGDGPWDMMKEFDDNIPDRDFDNFQFVNFTDIMSKNTPQIRKETEFALSALMEIPSQYKATIELNLLGSRKDIGMSRWRIALPPPIRSPSTYNISKPFNSNSFQKGSMSQSSYYGQTSQHHVLESISKSTYDNQVCPICLANPKDMAFGCGHQTCHECGETLQLCPICRTSIQTRIKLY >cds-PLY75442.1 pep primary_assembly:Lsat_Salinas_v7:7:73779381:73798717:-1 gene:gene-LSAT_7X51381 transcript:rna-gnl|WGS:NBSK|LSAT_7X51381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAANVNSSGTQRRNGSGKGFSGGGCVMLYPITMELEKEATLVRLYTITMVRNVLCRGGDNDSCRLWQQIVLLTELVMFSRIDLVKASIVTTEKSSTQEAYSKHGFRKGMMSGAIQDTSSRWRRSIQEQGDSDVCAGPEWNMSKKKRSPCPTLLVWVPKKDGFTEFVKVNEKSKLEGGFSIAIFCHALHLLPYSVQPIFIPFVDDHGKSNGDYDQLVMHIENQTCEAVAGDITIRVNRAHYVDFTTPYLNSEVYVLAHAAHQWNQTLWTFFRPFTWRLWITIVAVCLLTGVALAILEYREKNLSFSGPIYTQLIMVIWFPISAFFFHEGKIQNKCSKVVLVIWLSMIFIVIQIFTATLSSWLTLDQLRPLPPTFENIGYQAGSFFKEHIAQKFNRSDKQLFPLKSIEEYKSALTNNRVSVIVDELPYIELFLAKYGSRYMKYGPINQESGIAFAFPRESLLLREFSKAVIKVTESQIMMETKTKYLGFSNDTSQSNQTPPQSLDVQSFIGLFIFMGTVIITAIITSEISIMRRNKKVLPVTIISSTDF >cds-PLY63436.1 pep primary_assembly:Lsat_Salinas_v7:7:152660631:152661327:1 gene:gene-LSAT_7X91201 transcript:rna-gnl|WGS:NBSK|LSAT_7X91201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSSTSRNQLQVTHVQSQEGVKVCDCVVPAKERTCWKITNPKRRFWNCQNSMTRLRKCSFFEWKDEEQADGYYKNLLYSLKQKLDAKDELSEMNKLRRRIAKVEFLLSQEQYKVAKSEKEVHDARKAIGRYRMIVALLFACLALCVLKLGGSM >cds-PLY80026.1 pep primary_assembly:Lsat_Salinas_v7:9:45162210:45163688:1 gene:gene-LSAT_9X40860 transcript:rna-gnl|WGS:NBSK|LSAT_9X40860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTDYQGSSSPSFTRFRRSILSMKRDPVIHEATSQEQEVEAFQRHVTQRFIDLSRVDSHEFLSLSWVSDLLDVFLCCQEEFKAILFNNQSYMSDKLVLDYFERSVKGLDVCNAIRDGIEQIRQWQKQLEIVSCALGNQRYLGEAQFRRAKKALIELTIGMIDDKQSNSAFAHRNRSFGKDPPNHQKSLKKFKSFSWSVSRSWSASKQLQAIGNNIVPPKTTDVIPVAVYTMNNVLLFVMWTLVAAIPCQDRGLQSHFNIPKNFNWGSPIISLHERILEESKKRGRRNACGLLKEIEGIERSARFVNELCDSLQFPLAEEQESEVRKRVEEVEMVSEAIKKGLDPLEKQVRDVFHRIVSSRTQGLDKRSY >cds-PLY75885.1 pep primary_assembly:Lsat_Salinas_v7:1:171998988:172001225:1 gene:gene-LSAT_1X114801 transcript:rna-gnl|WGS:NBSK|LSAT_1X114801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP5 [Source:Projected from Arabidopsis thaliana (AT3G54480) UniProtKB/Swiss-Prot;Acc:Q94FT2] MVSHIAVEFADRYNTALVCHRWLFLACHPGLWLRVDRAVKGLKEPGVFPSIEEAVSAARPGDTILIGAGGFHSASNIQIKKPLCLIGGGDLPDDTTLLCSRGSDSALEFLSTCKLANLTVKAELGCCLLHRSGRLIIEDCNLQCESNPLDYLSYAIITTAGGGCGPPPPPSATKITGGDSVSVSQTRIMGGAKAVLTSGTLALQQVRVIYARTSMCFWFNVVHQL >cds-PLY94435.1 pep primary_assembly:Lsat_Salinas_v7:6:11237306:11240785:1 gene:gene-LSAT_6X12661 transcript:rna-gnl|WGS:NBSK|LSAT_6X12661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWERDMVNRSIDQERRQHQGRCYCRSRQNNIYNGRWKMESKQSVQTELISGDQNGNIRVWDLTANSCSCELVDTSMRSLTVMWDGSLVVAVNNKGTCYVWRLLRGTQASGILKQNEVNSKSSTKMESPIPIPMTEYLDLDQVGSSNFNSSNGLADVVLVSYYLSSHLASTIIHMPLKDSPVLMYLPGLEGTGTGLVDMRKLLESGLNLAKSLLSEMGQCTTAYPYNQLFGALVLKNYERQDETLLS >cds-PLY75502.1 pep primary_assembly:Lsat_Salinas_v7:9:33701778:33703620:1 gene:gene-LSAT_9X29660 transcript:rna-gnl|WGS:NBSK|LSAT_9X29660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSPNFLLLLLVQTLMIGLRADLPGTWELLVANAGIATMHTAVTRFNTVVLLDRTNIGPTRKMLRPGHCRIDPQDPLLKRDCYAHSALFDPATGLIRPLMILTDTWCSSGQFLPDGTLLQTGGDRDGVKKIRKFIPCEKTSFCDWEELEEVELAQGRWYSTNQILPDGSVIIVGGRATASVEFFPSRHGGGAVNFPFLAEAEDTQMDNLYPYVHLLPNGHLFVFANNRAVLYDYTNNKVLKQYPKLDGGPRNYPSAGSSAMLALRGDHSSATIVVCGGAQFGAFIERNTDTPAHGSCGRIEATELNAVWEMEDMPFGRIMGDMVLLPTGDVLIINGAEAGTQGFEMASQPCLYPLLYRPDEPVGLRFMTLNPGSVPRMYHSTANLLPDGRILIAGSNPHYFYKFEAEFPTELRIEAFSPEYLLAEKANIRPTILELPEKINYGGGFDVVVTTELPVVGIIEVNIASAPFATHSFSQGQRLVKLAVSSAVPDAVGSYRISCMAPPDGRVAPPGYYMVFAVNTGVPSVAKWVKLL >cds-PLY65027.1 pep primary_assembly:Lsat_Salinas_v7:1:117187720:117192747:1 gene:gene-LSAT_1X86700 transcript:rna-gnl|WGS:NBSK|LSAT_1X86700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATPDLLFGLRNNFYLGAYQAAINSGDVQNLKEEDAIERDCLIYRSYIALGSYQLVVNEVDSSAATPLQAVKLLALYLLSTDNKETAISSIREWLDDPAIGNNPILRLIAGIIFMHEQDYNEALKYTNVGGTMELNALNVQIFLKMHRSDYAEKQLRVMQQIDEDHTLTQLATAWLNLAVGGSKIQEAYLIFQDFSEKYQMTSLILNGKAVCCMHMGNFDEAESFLLEALNKDAKDPETLANLVVCSLHLGKPSSRFLSQLKLSHPEHMLIKRGSAAEESFDRAVQTV >cds-PLY90418.1 pep primary_assembly:Lsat_Salinas_v7:8:213507205:213507970:-1 gene:gene-LSAT_8X133401 transcript:rna-gnl|WGS:NBSK|LSAT_8X133401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLQNLAKASYDYKICHPRRARLTVANSSGVGLSVPNRSIQKFHALPPGDSSYTKGEDLLVPRRA >cds-PLY93138.1 pep primary_assembly:Lsat_Salinas_v7:3:55633118:55634166:1 gene:gene-LSAT_3X43041 transcript:rna-gnl|WGS:NBSK|LSAT_3X43041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRWCSKLRLLGGLSSSTFPKPQPQQLLSSPHHHHHHHLHHSLFHSAASNLSNKSLITHSMISPPNFGSLLLSSTLQQLTESSNRSSSLPLSVMQVRHLTLKQRKRKLKSRQPLTPVVSKLKKIKMKSYSSFKGRFRTMKDGQIRRWKEGKRHNAHLKSKIAKRRGRLPALVPAAYAKVMKKLNFCG >cds-PLY72310.1 pep primary_assembly:Lsat_Salinas_v7:4:60725450:60728439:-1 gene:gene-LSAT_4X41960 transcript:rna-gnl|WGS:NBSK|LSAT_4X41960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVDLASNTHGNLDEQISQLMQCKPLTEPEVKTLCEKAKEILMAESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDSIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHANGLKLIARAHQLVMEGFNWGHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFDPAPRRGEPDVTRRTPDYFL >cds-PLY63982.1 pep primary_assembly:Lsat_Salinas_v7:4:371377469:371377711:1 gene:gene-LSAT_4X182860 transcript:rna-gnl|WGS:NBSK|LSAT_4X182860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDGLPMDPYHVMAIQYDDDIGRDLNFLGYSMEVMMHHLAISPQDGAAAHYTYIPTWDKHWAERDGGADPSGYNLGDVDE >cds-PLY95727.1 pep primary_assembly:Lsat_Salinas_v7:2:114195646:114198786:1 gene:gene-LSAT_2X52561 transcript:rna-gnl|WGS:NBSK|LSAT_2X52561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar RNA-associated protein 11 [Source:Projected from Arabidopsis thaliana (AT3G60360) UniProtKB/TrEMBL;Acc:A0A178V8J4] MSSLKHAVHRRAHKERSQPKERKRFGLLEKHKDYVVRAKAFHKKEDYLQKLKEKAAFKNPDEFYFKMINSKTVDGVHKQAGDPNKYTQEELLLMKSQDIGYILQKVQSEKKKIEKLTATLHSLDSQPSNRHVYYAEDREDAKRILSETKSVGGFHAFEDLPDKIKRRTAASYRELEARRGRVQELEKVYNEMAMKQELQKKGKKRKLREDEIVCPSSRPVYKWKTERKR >cds-PLY78964.1 pep primary_assembly:Lsat_Salinas_v7:3:70650253:70650561:-1 gene:gene-LSAT_3X55280 transcript:rna-gnl|WGS:NBSK|LSAT_3X55280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQEIFQQQRACDDATRTKEVDVALSSSKGSSGSSARMAVVAAYDGGWVAHDWRTADLPRPTSSSATTRGMVGFSNSNSVGSAGVGGNRDISFVSHQPIWLY >cds-PLY82888.1 pep primary_assembly:Lsat_Salinas_v7:4:18135498:18136713:1 gene:gene-LSAT_4X12640 transcript:rna-gnl|WGS:NBSK|LSAT_4X12640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPISHFQNRKKTQLSNPAIDFILDECADLPSSNPIKKPTQDTPKTAEPVDAETSSIVISHHWPEWVVLMQKLMKNGYFDVVGNPFRSGELIHGKGCNQIRTACLNFARDRPDLMSYLTRGDIHAVAGSGCPSIDRKVVNSGKRLRAHMGIHEGNVCSSCILRGNCERAYVKPREDEGGRTVDVMRFVLTYGLHRLNDSPDNEPFTNKRFEEAIRSLIRDMVKYSKEKLDFYTSKRAPSVQRNTKNRCEFHERLETSGDNWDHVELQRGDWLCKRCSFLNFAKNTRCLQCHTNPPKRQLNPGEWECDS >cds-PLY62090.1 pep primary_assembly:Lsat_Salinas_v7:2:57166319:57166658:1 gene:gene-LSAT_2X25880 transcript:rna-gnl|WGS:NBSK|LSAT_2X25880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIVYFIIGSCWSPQPQNPIGCIPHKRFSIHRICLHCSRSINHIENTRTTLAYYQHRHSPPLSPLLEHLTIVSRDLKKVLICFF >cds-PLY79635.1 pep primary_assembly:Lsat_Salinas_v7:5:253264282:253268250:-1 gene:gene-LSAT_5X129040 transcript:rna-gnl|WGS:NBSK|LSAT_5X129040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHNILIIIAIFTTFTSATAGRLITTTTTATNSSSDIVNDGVHGGSRGVLRLNPFLSLSSSTEESGICEQTYGFLPCTTTVFGNLFLILVYGYLMFIAATYLSAGSELMLEILGPGIVGGLFLPVLGALPDAMLILVSGLSGSSETAQDQVSVGMGLLAGSTVMLLTVIWGTCIIVGKCDLQNSIAIDNQDTKGFNLLGSGVSTDIWTSYSAMIMAVSLLPFIVVQFPQIMHSTSGRHVAVLIGLIVTISLLIAYCIYQVYQPNIQKRRIAFAKHKHVRSRILNYLKTNAYEGFLDDKGHPNREVLFRLFNSVDVNGDKHLSQAELRALVVGMQLNDINLNEDDAVLKVMKDFDTSGNDEIDFEEFVSGITKWIDEAKSTILASHVSGPDTTNYFHDYYEETKREHYLLGDEGGDHDEENGVNDPQWTTIKALLFLLLGTIVAALFADPLVDAVGGFSSATNIPSFFISFIVLPIATNSSESVSAIIFASRKKQRSASLTFSELYGSATMNNLLCLSVFLALVYVRGLTWDFTSEVLVIVIVCIVMGVFGSLRTTFPLWTCFIAFVLYPFSLVLVYILDYWYGWS >cds-PLY73540.1 pep primary_assembly:Lsat_Salinas_v7:9:77228600:77229461:1 gene:gene-LSAT_9X63261 transcript:rna-gnl|WGS:NBSK|LSAT_9X63261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMALSIAALPIASSSLSFSRSCSPTAIPLASPTSSISLTSSIQPLIYCGRGDKKTAKGKRFNHSFGNARPRNKTKGRGPPRVPVPPAPPRKDRFDDGEVVKIEIDESIFSN >cds-PLY87722.1 pep primary_assembly:Lsat_Salinas_v7:1:5774830:5777190:1 gene:gene-LSAT_1X4801 transcript:rna-gnl|WGS:NBSK|LSAT_1X4801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSTAALQNSLVTFQSRSPSSNSINPANLSFSGGLRLPKLTTKTTNKSRRGGGCTGAKMADSAAGSYASALAEVARSNGTLEATAADVEKVDKLFSDKQALNFFVSPIVSLEQKRELIDDITSSGSLQLHVCNFLNILIERNRIDLIKEIVKEFEIVYNKLTETELAIVTSVVQLERQHLAQIAKQVQRLTRARNVRIKTAIDESLVAGFTIKYGNSQSKLIDMSVKKQLEEIAAQLEIGDIQIPFLDRKVAANTVLVDAIYSFDVVDSATSLLNWIYRKQIIMSTRSQSLGTQKTTKHHRNHPSIILLPLRTYSFAYSSIYDPLCVCLTGFIKCMVVLVNYLRSPEHRYPCAYEDGWKALRRVIQDHGFLSGKDLNVHVYLISRR >cds-PLY91868.1 pep primary_assembly:Lsat_Salinas_v7:8:201567332:201569210:1 gene:gene-LSAT_8X128561 transcript:rna-gnl|WGS:NBSK|LSAT_8X128561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMTVEQPFSKVNGFNLPIIDLYQYTPQHLVRLLQIIVDGTCDLAVRQVASIHFKNFIAENWSPHDPGHSWASVSRQSYMLIILSNGQMLINPCNSFIFLLCMLNFHQAYRDSSLQDCKKAYKAKVAEVLFEAKKITGVPADTTSPSSM >cds-PLY69544.1 pep primary_assembly:Lsat_Salinas_v7:8:83950801:83954458:-1 gene:gene-LSAT_8X58460 transcript:rna-gnl|WGS:NBSK|LSAT_8X58460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKNVTKKVGKYEIGRTIGEGTFAKVKFAKNTETGEAVAVKVLAKSTILKHKMVDQIKREISIMKIVRHPNIVRLHEVLSSHTKIYIILEFVTGGELFDRIVHKRKLSEKEARGYFQQLIDAVAHCHSKGVYHRDLKPENLLLDSKERLKVSDFGLSALPQEGVELLYTTCGTPNYIAPEVLRKRGYDGGAADIWSCGVILYVLLAGYLPFEESDLPTLYKKVSAAEFSYPFWFPSGAKSLLDKILDPNPQTRIRIDGIREHPWFQMNYVSMSQGEEGDASLDDVRAVFDDIEDQYVMERSEEKVAPLTMNAFEMITLSQGLNLSALFDRRQDHVRRQTRFVSRKPAKDIISAITAVAELLGFKVIRRGYKTRLEGASVNRAGQFAVVLEVYEVATSLFMVDVRKAAGDTLEYHKARNTNFILLFTQVLCCVFNI >cds-PLY80570.1 pep primary_assembly:Lsat_Salinas_v7:6:14423990:14429832:1 gene:gene-LSAT_6X8380 transcript:rna-gnl|WGS:NBSK|LSAT_6X8380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEQEQLVFEGDEGQRCGICMDVVVDRGVLDCCQHWYCFSCIDNWASITNLCPLCQSEFQLITCVPVYDTIGNVQLDDESYARDDDWSVEGNNNTLSFPSYYIDENAVSCLEGDSCKIRGQSANLEEDPDLDTSIACDSCDLWYHAICVGFDPDGSCENSWLCPRCLADEASKKSELGSSNTHEQLGDAAFPMNVSVSVADAGETAVVVSIIDGNQQTKAEDENMFSSINNLQIGMIRYCFGVHDDKSLCLYLLKILLLLLPEGNQENEEWNQIMLSDVCEDMGIDNTKDDIDDNHKLEKQLSEKIIKKPKLEPVEEDLPSSNFKEETSVSDELLSPDKKRKSGGNTGGKRKQRDFSGDEGETKVKKIVKAERSRVAHSVNEPHYSKRSNKAVAKKQRVETDIRDIVQGPYHKPSEDKGLRIKKIMRRAADDKESLMVVQNLRKEIREAVRNRSSKEIGDNLFDPKLLAAFRTALTGGQTETKRPPLPLNMKAKKALLQKGTTRENLTKKIYGVGGKRKRAWTRDCEIEFWKHRVSNVVSKPEKIQTLKSVLDLLRKGPEVIEVKKENTENAPASILSRLYVADSSVFPRKADIKPLSALKSPDVIEASVKPTDSLVHGTKGKKVNLNKSSEKSSMNAQKESGNGSSDVKVDKRKWAMEVLARKTAVSGNNSTQGQDNVALKGNYPLLAKLPVEMRPSLATSRHNKIPISVRQAQLHRITEHFLKKTHMPITTRPTAEIELAVADAINIEKSVADRSNSKLVYVNLCSQELLHRSDNNNIDSEPKPTPVIADNSETTTTSADVDADVDVNEALRNAGLLSDSPPGSPPVCEKTEEDDGPDNVFEIDDEQELDIYGDFEYDLEEENFIGVSDAIKKTSKKLQDDDTKIKMVFSTLDSKRSDSGWRFEDHEEAPPVIMENTNEDKTKGEEDEVDECEELYGPDKEPLINKYPEIKPLDFVTENIVSQVNIEAKASDSDATNKPVEIVEAKDKKSHPDKKQQSEKHSSVFKKVEAYIKEHIRPLCKSGVITVDQYRWAVGKTTDKIMKFHSKDTNANFLIKEGEKVKKLAEQYVEAAQKLENNK >cds-PLY76831.1 pep primary_assembly:Lsat_Salinas_v7:3:3743213:3743455:1 gene:gene-LSAT_3X2060 transcript:rna-gnl|WGS:NBSK|LSAT_3X2060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVETNGFPTVLEGTNMIVFRVDLVGSFRYKKVGMKRHKVELGCLVGVDPTTSNKMQKGFVGMVEPSLDSKLKKNPTTDIP >cds-PLY80325.1 pep primary_assembly:Lsat_Salinas_v7:7:153610971:153613241:-1 gene:gene-LSAT_7X90281 transcript:rna-gnl|WGS:NBSK|LSAT_7X90281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIKIQIGTVKGKLCEKTSQTWSGKCNSKKCDKKCIEWEKAVHGACHKREGKGGCFCYFDCDKKPPKDAKPVPPDAVPPPPKDGSPPKDSPPADGGGSPPPAEGGSPPPAEGGSPPPAPSRH >cds-PLY80965.1 pep primary_assembly:Lsat_Salinas_v7:9:175309615:175312362:-1 gene:gene-LSAT_9X107881 transcript:rna-gnl|WGS:NBSK|LSAT_9X107881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSILLFLLFSGTITTVSAFIATAPAKSDSFTPPDNYLIDCGSSSQTTLPDRRIFSSDSTSSQYLQYKGREISVADQSADVPLPIYKSAKVFDAEATYSFRVTKTGWHWVRLHFFPIIGTNNEFKLQETKFTVVAADALVLLHRYTPKELEMHEYLMNVTSERIDLRFCGKKESPAFVNAIEVVSAPDMVITDTSDALFPVGKKIHGMTRYSYRKIYRLNVGGPTITPGNDTLGRTWNSDEKFLKPPSAAKNISLAPNVVTYLEGGSPLIAPPTVYASAMEMGTSNTIAPNFNVTWQFDLDKSYPYLIRLHFADIVSKTLNELYFNVYVGGKIAVSALDLSSITNGLAVAYYHDVFVEPPMISNPFFIQIGPLNEPMGTKNAILNGLEILRINNSVNSLDGEFGADGRSATGPGRGTVAAVGFAMMFGAFAGLGAMAVKWQKRPQDWQKKNSFSSWLLPVHAGDASFLSSSKNSLGSKKSAFYSSTQGLGRYLSFAELQDATKNWDSNSVIGIGGFGNVYLGILEDGTKVAVKRGNPQSEQGINEFQTELQMLSKLRHRHLVSLIGYCDENAEMILVYEFMEHGPLRDHLYGKGLPVVSWKQRLEICIGAARGLHYLHTGSATAIIHRDVKTTNILLDENFTAKMADFGLSKDVGMGEAHVSTAVKGSFGYLDPEYFRKQQLTDKSDVYSFGVVLLEVLCARSAIDPSLPREQVNLAEWAMQWKRKGLLDKIIDPNLVGKINPESMNKFAEAAEKCLAEYGVDRPTMGDVLWNLEYALQLQDQGKAEEGGGSSGGGSALANAAPLAPTVDNRPVMTSAQASQNPAEVQVIEDHSGTAMFEQYANLNGR >cds-PLY88522.1 pep primary_assembly:Lsat_Salinas_v7:2:154814774:154816456:-1 gene:gene-LSAT_2X79640 transcript:rna-gnl|WGS:NBSK|LSAT_2X79640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLRSLVCKIFWKELESGIRIRTSNFVLEMLKFFNKRACQLVLGVGAMQVSGLKSTTSKHLALASQVVSFVHAIIPDETDVQPSQFAKSPTKEVGYLQRMLSRTLHEADVQEMFKCARASYQLVHSEISPGLLEAITKHANMEYCLLVEYVLSKVMEELENHITSQVEPVKLLSYVVS >cds-PLY72554.1 pep primary_assembly:Lsat_Salinas_v7:2:139433096:139433497:-1 gene:gene-LSAT_2X65780 transcript:rna-gnl|WGS:NBSK|LSAT_2X65780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTLFKSLMLIAVFISSCTAQAPTATPTVSPTAAPTPAPVITPPSPAPTPVPSPSPSPSPSPMAVPSPAPSSVSPTTAPSPGGPSGAPSPGPSTGPPADLPPSGTFVDGWVNRAVIAGTALAGAFFAVTLM >cds-PLY67843.1 pep primary_assembly:Lsat_Salinas_v7:9:145374589:145375316:1 gene:gene-LSAT_9X92961 transcript:rna-gnl|WGS:NBSK|LSAT_9X92961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFAPSSNVRNRLQVARLFVKDDVNNCDCDVPAKEWTCWKLTNPNICFWNCNNSLTRLRKYDYFEWKDVALEDGYYKNLIYSMKHQLDSKEDLGVIKNLRTKNVELEFLLSKEKSTVASIEKGMCDSTKSICMYKLLVVVLIVGYVCFVFKLAN >cds-PLY77178.1 pep primary_assembly:Lsat_Salinas_v7:8:26260271:26262905:1 gene:gene-LSAT_8X19140 transcript:rna-gnl|WGS:NBSK|LSAT_8X19140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMESGFQSKFTNTAANAINLDDVDVLYIESDSSSVRVLEGNNSMMNVGNKRVAGGGRKLELPKKNNNGKANKNDNNDDDFVERKKKMDSGKNENQMKRKRVEKMVRDKKVTVKGKILIGSQDKCKEGKVGTEFQRLSTRMSPNSLFLAIKNLSKNQREMVCKMGFGSFLGMKLDTLPGKLAYFVVDSFTISSCSIRVKSGEVAITNETVEAMFGLLNRGLDFKTLGECDKNDPLLEAWKGQYGKGNYYNGNYLKNIRKTNVADEIFKLNFLTLFINTFAETETMGSCRINFIEKLTQCKDVSRPLAFLMMAYADRVICEDVNLQRYRSFITEIDSERLRVLEEYEESCRIIETMVGSLVEQKKVI >cds-PLY71520.1 pep primary_assembly:Lsat_Salinas_v7:7:29803782:29804625:-1 gene:gene-LSAT_7X22400 transcript:rna-gnl|WGS:NBSK|LSAT_7X22400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVRQRPHQKKYKLRTGRVNVTHSHKPGEPRLIRLEIYQKLNNRAAIRMALGRMRECLNDCRMVVALDPNFMKVNLTSANCHLLQGEVDDASYYYNKCLEFEEIVCLDRRIIIEAAEGLQKAQKVSNYLKLAAEILEQKTHESATNALGTITDALSISSYS >cds-PLY79095.1 pep primary_assembly:Lsat_Salinas_v7:3:7875162:7875404:-1 gene:gene-LSAT_3X4200 transcript:rna-gnl|WGS:NBSK|LSAT_3X4200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRVIDRVIESIEFTKGVQDVCEACEALGFKNGRQLSECSTSSGKYEVLGLGQVASRAKEVNTTLTSFVETDFAGLFCLG >cds-PLY94682.1 pep primary_assembly:Lsat_Salinas_v7:6:19947717:19949504:-1 gene:gene-LSAT_6X14981 transcript:rna-gnl|WGS:NBSK|LSAT_6X14981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLDFPINVGLIDDVQELKKNRKTKIPNRFVRDVAERPAVATIQSPLSTSIPVIDLSKLMKGNKEDFHFEIMKLSASCDEWGFFQVINHGIDLDLLKKIEKVAMEFFMLPVEEKQKYPMAPGTVQGYGQAFVFSEDQKLDWCNMFALGLVPHSIRNPKLWPTNPPTFSDTIETYSREIRKLCKDLLRFIALSLGLRGNVFEDMFGESVQAMRMNYYPACPRPDLVLGLSPHSDGSALTVLQQGKGSTVGLQILKNNTWVPIQPVTNALVINIGDTIEVLTNGRYKSSEHRAVTNKEKDRLSIVTFYAPSYEIEIGPMPEMVDENNPSKYRRYNHGEYSRHYVTNKLQGKKTLDFAKIPPKNSF >cds-PLY94822.1 pep primary_assembly:Lsat_Salinas_v7:2:180608049:180612286:1 gene:gene-LSAT_2X103580 transcript:rna-gnl|WGS:NBSK|LSAT_2X103580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLTEEAQTQKSSTKAEALKQFSSSMKPPSFSSSISKLSGSSRAIPCNKDFHFYFNFEEFKNPIQEMAKHSQSILETIGSSAEQLWGKKQMKFPNGDLDDDDEAYDWLVNFNDEMFERFDSSIDEFKKIRNKEEETGVRVTNLVDDDGFQLVQGRKKKAFDKDSLVNNSNSSRSADNNLGNASSVKVVSRDSNAMGTPKAKVSFHIPSIRRPQDEYKILVNNANQPFEHVWLQRSEDGSKLIHPLENLSVMDFIDKTVSDVEPVKPPPVESTSFKIIQEVKDLKQLAATLREVDEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRVHIGPYLREVFKDPTKRKVLHGADRDIVWLQRDFGIYICNMFDTGQASKVLKLERNSLEFLLRHFCDVAANKEYQNADWRLRPLTDEMLRYAREDTHYLLYIYDLMKRRLLSSSTDPDCPEALLVEVYQRSYDICMQLYQKELLTENSYLNIYGLHAADLNGQQLAIVAGLCEWRDVIARAEDESTGFILPNKSLIEIAKQMPVTPSKLRHVMKSKHPYIERNLGSVVSIIRHSMQNAAAFEPVAKKLKEEYIEIMAARNARNADAQEAAEAAVNENVSNAAEGDTFEKEVGNFIAGVNQNNKLDGSSGSRVSIEVQKKPSRAFGSMFGKRKLNAESKATEEIKVEQIKSSVSLPFHSFTEKPESSRPVVQETSNVEKLPDVSPATTTSKPENDIILLESDSNGEEEPAGAPESTAANDQSGEGKTEDEDKVILLDSDSGSDERNHGDEGNVSLSDLSSSFQKLNQGGEDSSGLVKVTPFDYEAARKEVGFGEGGSGRAEGEDGKKGKREKKKSGGGRKEAAGERSGDFQLGRRRQAFPATGNRSSTFR >cds-PLY98048.1 pep primary_assembly:Lsat_Salinas_v7:2:19591990:19593351:1 gene:gene-LSAT_2X10500 transcript:rna-gnl|WGS:NBSK|LSAT_2X10500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDEIPFHIQENIIKRLPVVSLLQFRSVSKAWKSLIDSSKFIAAHSVTQAEHLLLSYEEPPQMLLSYEDPVKTETKYVLLLDDDSFPQQRFHYTLPLSIKLLKQSCIIGSSLGVLCFHCYYEEEEDFCTNLKSEMVLWNPSIRKSIDVPVPKKFNPYHESNLGFGVCPLTCDPKIVEITLFHKPRFHCEAKVYTVSSRKWRNFTSNLPSKPFRVFYPHVVVDRFIYWCAFDPMTMDNGLPNHNLIMSFDITNESFGVVDLPDSLRRHPPLQLCVSKVRESLVMLEYDSYMKGACGVWMMENGVVKSFIKLFTVEAPNWSRTIKTLGFRRSGEPIMEVEYRYDDSSEQREVVVYEPNSGRFTDLEMYGANETFSVNSYMETLVMLDRSDCNIEAEVDGF >cds-PLY62129.1 pep primary_assembly:Lsat_Salinas_v7:6:46605030:46605350:-1 gene:gene-LSAT_6X33880 transcript:rna-gnl|WGS:NBSK|LSAT_6X33880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIFRRFPSLFCYSIKENLEPKFNYFVVEMGRDSRELVEFPQYFSFSLENRIKPRHRRCSEKGVCLPLPAMLRSSEKRFLERLEVCCDSSMPMRNSPFWYYTHGDD >cds-PLY99777.1 pep primary_assembly:Lsat_Salinas_v7:9:52554012:52557568:-1 gene:gene-LSAT_9X47040 transcript:rna-gnl|WGS:NBSK|LSAT_9X47040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLQDLLMRSTLDSMFKVGFGFDLDTLSGSNEASNRFMEAFDESNGLVYERFVDLLWKVGHMIQAESEETERDEYLQRLMGLPNQQWVEIIGYAHGNMDLLKDQDVIRIVLNILKDLRMQNGPEMSVNTDEQTTESIQTNGALSIPLAALGFMTRLASGIFSKGQRIITGSLVNVVIPRPNPTGEPAPGVGKVFLEYDDVELDSLSPWAWWSNCAKIM >cds-PLY75004.1 pep primary_assembly:Lsat_Salinas_v7:1:111723281:111724097:1 gene:gene-LSAT_1X90641 transcript:rna-gnl|WGS:NBSK|LSAT_1X90641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRMYRRLMNRDAPDDAIYVEAEPGILGAQNIETYIYPEEILRLLNKQWLDISVITWFQIMLHSMLETRGGNKVNICAFISPSETQATICESNGEGVVSYIVDAMRFHKDKPFFVAPYWQGLHWMLLVICPNQGTGYILDSQKNPDKKPVESYIIVKYVEEAVARLKEDIDTHPMKWTLVEVKVLHGYLEPASC >cds-PLY93397.1 pep primary_assembly:Lsat_Salinas_v7:9:64583756:64586651:1 gene:gene-LSAT_5X111240 transcript:rna-gnl|WGS:NBSK|LSAT_5X111240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATARTMSIVDHELPDDTHNIYDVTFFEDTISTIVTNTPSYVDTWISDIERIHRSRLHSLVVGLDVEWRPNQSRNFENPVATLQLCVGRSCLIFQILHSPNVPSSLRNFFSNPSYTFAGVGIDNDLEKLTEDYNLVAAKTADVRALAAEKYGLRELKNSGLKELTRRVLGKEVSKPKAITMSRWDNQWLTSSQDYQMHQTGRIIPIATINSDDDFVVGFGVTREVFMLIRGIRFWWFLRCYGIIHLEERNEIIRGRWWLLLLVVVVDADDSGGVGVDSGGGAIFKGASINLGLQD >cds-PLY78905.1 pep primary_assembly:Lsat_Salinas_v7:5:305609860:305614636:-1 gene:gene-LSAT_5X164620 transcript:rna-gnl|WGS:NBSK|LSAT_5X164620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEIFIGAFITVLFEKLASADLIRLARSAGIYSELHKWNNTLSQIQAVLVDAGQKHLRERSIQLWLHKLQHLAYDIDDVLDDLATEAMRRHLNEETYATTSTNSSKVLKIVPSCCTNFTPRTIKYGRKMSSKLDEITTKLHHLVEEKNILGLVNNVERGNRASRRLEETSLVDESRIVGRESDKRVLLDKLLGNESCNENFSILSIVGLGGIGKTTLAQVLYNEKKVKDHFELMAWVCVSDEFEVFNISKAIFQAVGGGNQDFANLDLLQVALTEKLSKRRFLLVLDDVWNENYKEWELLQRPFMVGAPGSKVIVTTRKTTVASVMDSVHTYPLELLLNEEALSLFAQHALGKQNFNSHPTLKLHGEGIMKKCGGLPLALITLGRVLRTKTNDEEWEELLNSEIWSLHNESKILPALRLSYYDLPPRLKQMFAYCSLFPKDYLFDKDELVLLWMAEGFLHELNGSKSMESLGRECFQELESRSFFQHSTNDSSRYTMHDLINDLATSVAGEFFFMLDDKMNAYDQNEALEKFHHLSYIQRYGVYRKFKALQRARRLRTFLALSVRFLYSWQRSSLSNKVLVELIPQLKFLRVLSLANYSIKEIPESIGGLKHVRYLNFSKTYITCLPEQVGDLYNLQSLLVTGCHLLSSLPDSCVKLINLRHLDISDTPQLTKMPLGIGALTSLQTLSKIIIGEASGFKISELKGLLHLQGQISITGLHKVFNAIHAKEVNLQQKKGIRDLEMEWSDVFDDSRNENIEYEVLEGLRPYEKLRSLKILNYMGMKFPNWVGDPSFVCLTQLTLRGCKNSTYLPTLGHLQSLEKLCIESMNGLQRLDSEFLRPINSHGIGFPSLQVLEFRDMQSWEIWSTNGGDKDVSFPCLCEISIINCPKLMEVAIDLIPSLEVLHIEECSVSVLRSLVGVSSSFLELSLKNIKGLTQLHGEVLKHLGSLEHLYVTRCDELRYLWESESEACKILVSLWDLRVKFCKNLVSLGKNEVNLDSDSGFCLESIRKVELFNCPRLESYNCPNGIQNLDIYGCRSITSLTFPTLQDLPSTLKILSICYFDNFEANCLLNKFLTSLGYLSIARVPNLSSFPEGCLVHLTKLIIRGCDNIESIPEKGFGFLPLFCLRYLEINSCKNLKSFPHEHLQSLTSLEELWIRDCPNIDYSFPCALWPPNLTTLTIGGLKKPMSEWGPQNFPTSLVKLSLYGKNSGVAPFAKSEEDVRNGNNTTSSSFVVPPSLTLLQIHGFMELESVSEGLHHLTCLEELLIRSCPKLRDLPEMLLPKLSRLWVDSSSLELRKKCGDRKGKYWPVVSQIPDLDVG >cds-PLY68853.1 pep primary_assembly:Lsat_Salinas_v7:3:61771755:61772681:-1 gene:gene-LSAT_3X48420 transcript:rna-gnl|WGS:NBSK|LSAT_3X48420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSMLQSFHKEKSLPVSHNTTQDPPPPTAGAGMRRRISSMTFQIQPPTMSDTTAWALRSSKSVSSMGESASTSIKTWWARGWGWILSRKPVFAQDLEMNQEETSVLGCHNKGSLRHILYKFRSEIRKLVGSDQAGLPQTIRSKSYSSAI >cds-PLY87923.1 pep primary_assembly:Lsat_Salinas_v7:4:33994350:33996440:1 gene:gene-LSAT_4X22280 transcript:rna-gnl|WGS:NBSK|LSAT_4X22280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLTFASSQPLVLSERSLQLQKNGGLMVLRGTMRAHIDWVTTIDNSDMVVTSSRDKSIIIWRLTKEDKTYGVAQRHLTGHSHFVQDVVLSSDYQFALSGSWDDELCLWDLNVGTTARRFVGHTKDFLSVAFSIDNLQIVSASHDKSIKLWNTLGECKYTIQDGDTHSDWVVYERVELPRTKLEAFRASVKTSIIRQLEAYRAAFNQGPTEMTWDSSSKSSQKMHHVDAIGVKSKRGNQVVVAASPPIEDAVVATDPLTKEDLVGIGIEHEKFGFELKTLKPMTYGQIADLLNAISERFDWEKIMEGDNIIGLK >cds-PLY63090.1 pep primary_assembly:Lsat_Salinas_v7:8:75565434:75568551:1 gene:gene-LSAT_8X53660 transcript:rna-gnl|WGS:NBSK|LSAT_8X53660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSSSSPLPENDVRKALDHHSPPSSLQSKSGERKPGGWKSMPYVLGMESFERLATIGLLANFTVFLMTVFHMTQVSSSYLIYIWSGIGNFAPLIGAYISDAHIGKFWVIAFASFASLIGMVMLTLIVAIPSLHPPPCTHQQAQLNQCQGPTTVHMGVLVLALGFLTIGSGGIRPCSLPFGVDQFDPTTDEGKKGINSFFNWYYTTFTIILLIALTLVVYIQDQVSWFWGFTIPTFLMVCSIVLYFVGTKMYVYVKPEGSIMSGILQAFVAAFKKRKLKLPKANENVTEVKFYNPRSKGAYDVPNLPFTNQFRFLNKAAIILDGEITSDGSRISPWRLASVQQIEEVKCLLKVIPILVSGIICFISIGQQGTFTISQALKMDRHLGPHFQIPPGSLAVISMITIGIWLPFYDRILVPSLRKITKIETGITLLQRIGIGIVFSISSMVVAAMVEKMRRDSATSHNKPDGVAPLSVLWLTPQLVLMGFAEAFGILGQIEFFYKEFPENMKSFANSMFFVVIGMSSYASGALVMIIHKVTGKNGRPDWLTKNINEGRVDYFYYVIVGLGVVNMVYFLVVANRYQYKSKMEAIDCESQIDVELNCIKT >cds-PLY61674.1 pep primary_assembly:Lsat_Salinas_v7:9:138328813:138330121:-1 gene:gene-LSAT_9X88580 transcript:rna-gnl|WGS:NBSK|LSAT_9X88580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMLVVVRWRWLPVAVAGGHVGLNFPYRFVKCLASYIFPSAGVVFKCWRLKKVRAFYVNNIPENT >cds-PLY70836.1 pep primary_assembly:Lsat_Salinas_v7:4:58893918:58894842:-1 gene:gene-LSAT_4X39501 transcript:rna-gnl|WGS:NBSK|LSAT_4X39501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCDNITAAIKGMSPEQKQAILRMGFGSIMQGNITSYPGQLSYYLLDVYDPDSRRLVLQNYVIEITEETVHDMMGLSIGGKDINELSLCDKGNQILEEWKGQYTSDKLNGEEYLRRFQDTTEDSLMFRLIFLTLFINNFIESMLMGTNQIKVVRKSALVDAFSKLNWCKYMLECLQSRKKLWKRDDKSSYYSGPITLLILVYVYNMKYAIKLDKRLPFIGHIIGANLLEIQRMEISLGGLWRQFRNDDEDVDMKDEPGGEEEQLVSFKRDFGDEEVIV >cds-PLY66287.1 pep primary_assembly:Lsat_Salinas_v7:8:219276462:219277779:1 gene:gene-LSAT_8X135621 transcript:rna-gnl|WGS:NBSK|LSAT_8X135621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFEFLEESELSSGSSCNSGDSYDNGDLEEYENSGDPEEDRLFWESQEQLLTESLFRTSSIESKIRKATKEIVKEIKSTEVGGCDCGRTVADGCRRCFQREISYRLQKAGYNCGVCKAKWMNKKQIPAGEHTYIEVLDTSNSKKGVMRVIIELNFQAEFEMVKSSEEYNHLISRLPEIYVGKTERLESLIKILCIASKKCMKDQKMHIAPWRKFKYMQAKWHGIREADPLSSRDVLPVVERSNRLSRPMVSLLTYDLIENMNLSSSLHSIAIKVL >cds-PLY71051.1 pep primary_assembly:Lsat_Salinas_v7:3:162453299:162455000:-1 gene:gene-LSAT_3X100900 transcript:rna-gnl|WGS:NBSK|LSAT_3X100900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRECISVHIGQAGIQVGNSCWELYCLEHGLQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLIFHAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSVLLDNEAIYDICRKSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSAMMAKCDPRHGKFMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGLESGDNEEDDGDEY >cds-PLY71497.1 pep primary_assembly:Lsat_Salinas_v7:2:122162932:122163123:1 gene:gene-LSAT_2X56681 transcript:rna-gnl|WGS:NBSK|LSAT_2X56681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLFPSSIDLLPPTLLVLPTNQWLDICVNHQTHLFLLVFSPTNTGYDRTTHPNLLLLLLDLAQ >cds-PLY78311.1 pep primary_assembly:Lsat_Salinas_v7:2:181256912:181258440:-1 gene:gene-LSAT_2X102980 transcript:rna-gnl|WGS:NBSK|LSAT_2X102980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDGETLVEAALRVLNTADPVEKAKLGDEIANNWLQGVIANPYHPSQPDIIVPDRPARLSNVKLVSPSLMPKLGKAGSLQSRQAIVHSLVHTESWAIDLSWDIIARFGKQESMPREFFNDFVRVAQDEGRHFSLLAARLEELGSFYGALPAHDGLWDSAVATSKDLFARLAVEHCVHEARGLDVLPTTISRFRNGGDNQTADLLEKVIYPEEITHCAAGVKWFKYLCSRSSSCGDEESNEVIHKFHEIVRQYFRGPLKPPFNEAARKAAGFGPQWYEPLAVKDFTQ >cds-PLY71242.1 pep primary_assembly:Lsat_Salinas_v7:6:18985681:18986043:-1 gene:gene-LSAT_6X14501 transcript:rna-gnl|WGS:NBSK|LSAT_6X14501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILKSFLLGNLVSLCMKIINSVVVGRLYYGFLTAFSIGHSYLFLLRAHIMEEGEEETEKKLILLISIYYAPLHLALGRPHTITILALPFLLFHFFCNTHKHFFDMDLLT >cds-PLY99381.1 pep primary_assembly:Lsat_Salinas_v7:7:144882934:144883689:1 gene:gene-LSAT_7X86661 transcript:rna-gnl|WGS:NBSK|LSAT_7X86661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVCQDPRFIGGDGITFYFHGKKDQDFCLVADNNLHINGHFIGKRNRNMGRDFTWVQSIGILFDNHKVQLSAQKTSSWDDTIDRISVTFDGKNIFLPKTEGAKWQSSTTSITRIDDTNHIVVEVENLFRITAKVVPITKEESRIHKYDITSDDCFAHLDLKFKFFSLSNEVDGVLGQTYRNDYVSKVKMGVLMSVMGGDSKFVSTNSFATDCSVAKFKGSQEDSSSLNLQLPSLSCQSGIEGRGVVCKR >cds-PLY87379.1 pep primary_assembly:Lsat_Salinas_v7:4:12577717:12579227:1 gene:gene-LSAT_4X9460 transcript:rna-gnl|WGS:NBSK|LSAT_4X9460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRILGKILATFLILLFLRFTAPSTADIISVKIRSHNRDMILFSEFEYSHTGYVSVAVSSVGISSIPVTSNLSQPDPSRIGFFLLSDELSARYDHEFEQNPDLCALDIKFISVLFTFRDLSPPPQSSFNKSYNVTYPGKYLLYFANCNDLSLVTMDVRTELYNTDEDGTTKDYLSAGLPHPSLFFRLILMYLCFLVVWIYISLKNQQCFKRIHLLMGVLLVITLVYFLCAMADQHDLQVTGSRHGWHTWFNIFQFMRNLLFFTLIVMIGAGWCIWKPFLQGCEIFTLTIVILLKGWANLTFISIVESGPYNKDWLDLIDKFRFIDVICCLVVFIHTFSVALAAASAAFKEEEATDKDTSMSMSMSFTRQIVFAMLVLFYIVYSSAANIPLESTARLETGNYVFYVLMFCMYRPFLLEDNDEDLNCKGFLDHTGV >cds-PLY73352.1 pep primary_assembly:Lsat_Salinas_v7:7:63123991:63124167:-1 gene:gene-LSAT_7X46901 transcript:rna-gnl|WGS:NBSK|LSAT_7X46901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITKGTSLNFEPECGPHVSHGLGELFHSHGFVGWAVSETFGGWQVATWVSGCGSFSFV >cds-PLY66335.1 pep primary_assembly:Lsat_Salinas_v7:5:290482550:290484599:1 gene:gene-LSAT_5X156720 transcript:rna-gnl|WGS:NBSK|LSAT_5X156720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENVQTRKRGEHSIGAFKSKIPPEKYQVKFHKNNIPNGGMSIRFNSWYGMIVRHRFLQIYRRINLKKTYFKDLWLETKVRIKCDLLVNVVDTQLKVAYDIAWPTSETFEENHILYHHEINEHIQGGLLDMILSMNPPQVDLNAPEPESNPNPTLNSNLNPKPNPNLNFIHLKILSCVWLLKQSTAIMT >cds-PLY74679.1 pep primary_assembly:Lsat_Salinas_v7:5:177352945:177355343:-1 gene:gene-LSAT_5X79340 transcript:rna-gnl|WGS:NBSK|LSAT_5X79340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLLCSRHKRGNQANTEENVQAAEIERRIEQETKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDERELQSYTSVIHANVYQTIKILHDGAKELALSEGDASKYGLSVDNKEIGEKLSEIGGRLDYPRLTEELVEEILKIWKDPAIQETYARGNELQVPDCADYFMENLQRLSDPNYIPTKEDVLHARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDENRNRMMETKELFEWVLKQKCFEKTSFMLFLNKFDIFEKKVSKVPLNVCDWFKDYQPVSTGKQQVEHAYEFVKKKFEELYYQNVTCDHQDRVFKIYRTTALDQKLVKKTFKLVDETLRRRNLFEAGLL >cds-PLY82206.1 pep primary_assembly:Lsat_Salinas_v7:1:66456360:66458988:1 gene:gene-LSAT_1X56881 transcript:rna-gnl|WGS:NBSK|LSAT_1X56881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRFTSSPRLMIVSDLDHTMVDHHDPENLSILRFNALWEAKYRHDSLLVFSTGRSPTLYKQLRKEKPMLTPDITIMSVGTEITYGNNMVPDEGWVEFLNKKWDKKIVSEETSKIPELTLQPETEQRQHKASFFVKKEKAQEVMKILSESLVNRGLDVKIIYSGGMDLDILPQGAGKGQALAYLHKKLKDEGKLPQNTLACGDSGNDAELFTIPDVHGVMVNNAQEELLQWHAENAKNNPKIIHANDRCAGGIIEAIGHFNLGTNISSRDVTDLSDAKLDHFDPAYEVVKFYLFFERWRRAEVESPDVYLSNLKSVCSASGVFVHPCGVEQSLHDCIDKLKNCCGDKKGKQYRVWVDQIFPSQIDSDTWLVKFKKWEQTGEKQQGCLTTVVVSSKGVKPAEGLTWIHVHQTWINTTTQNTQNDWFL >cds-PLY67784.1 pep primary_assembly:Lsat_Salinas_v7:9:166259023:166259187:1 gene:gene-LSAT_9X102641 transcript:rna-gnl|WGS:NBSK|LSAT_9X102641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSDVCEPDGDIEACDINLEARMELEGKQSRIELIDDQHEMVEEIKSPLKEENP >cds-PLY84984.1 pep primary_assembly:Lsat_Salinas_v7:3:121964977:121967448:-1 gene:gene-LSAT_3X84861 transcript:rna-gnl|WGS:NBSK|LSAT_3X84861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEKVPSFQNGGASWFIDLTIVDAFYILPLLAAISCWITVEKGKCGGVWKNIARDGATLTLPLIAVPLRSSALSMADTTGDDGVVEEAFEDWCHRSDLGGRSEYKKLSETRVCEVEDRDGYVVRGRRWEGVDGVGRRWEEMIGVRVGQMWGNTKGMMNHRTCMRAKEGKVRFFSIQIYVEV >cds-PLY65196.1 pep primary_assembly:Lsat_Salinas_v7:7:60150522:60151202:1 gene:gene-LSAT_7X45161 transcript:rna-gnl|WGS:NBSK|LSAT_7X45161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLATAMAAVSGTVILLAFRFQKQPTTAVAGATKFSITNHPRPCISIGGTKKRVKKKKKVHFAEDVMEPSGNGEEFRKRLQSKNFNKLSTISSLLKDEGSKKKISMPANRMALYAGILRDRSVQRLPYS >cds-PLY86362.1 pep primary_assembly:Lsat_Salinas_v7:8:28079649:28086021:-1 gene:gene-LSAT_8X22761 transcript:rna-gnl|WGS:NBSK|LSAT_8X22761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQNSPSTNDGDNSNDGTGLNPIRGRFPSKRNPKPAPIPLSKSSAAVISLPDRPRRSHVGGRPFVPRKLCSVRGTSLFYLCILIAVFAFALASMVLQSSIASMVFRQGGGERIGRTVREGLKFGSSLKFISSRIDRSFEIERARHKPRVGVRPPRLAVILGNMEDDPLSLMLLTVMKNIRGLGYMLQIYATEDGKTRSLWEKIVGQVSILSPENYGHIDWSIFDGIIVNSLEAHNAISSLMQEPFCSVQLIWIIQEDTLSNRLPLYQELPHEHLITYWKNVFRRADVIVFPDYSFPMLYSVLDTGNFFVIPGSPIDVWAAERYLKTHSKFQLRMKNGFGEDDMIVLVVGSSFFYNELSWDYAVAMHNLGPLLIKYAKEGDVGPSFKFLFVCGNSSNAYNDALQDIAAHLGLNRDSVRHYGLDADVDGILLISDIVLYGSSQDEQGFPSLLTRAMTFGVPIIAPDYPIIKKYVIDGENGIIFSKDKPDELMKAFLLLISKGKLSNFATTIASSGRLLAKNMHASECVSGYIKLLNNVLTLPSDSMFPHSISQLPREWEWDLFSEETDDMAYFIKKDAINTSVVYDIEEHMTLIMDSRSSQNDPEIMAEDIPTELDWIVLSEIDSSEEVERVELEEIEGRTDRSYGVWDELYRDAKKAEKLKSEANERDEGELERTGQPLCIYEIYNGAGAWPFLHHGSLYRGLSLSTKSRRSRSDDVDAVGRLSILNESYYRDILLEMGGMFSIANRVDNVHKRPWIGFQSWRAAARKVSLSSKAERVLEGTVQQKHKGDVMYFWAHLDMDGELAGNDHILTFWSMCDILNAGNCRTAFQDAFRRMYALPSYVEALPPMPEDGGHWSSLHSWVMATPSFLEFMMFSRMFADSLDSLHMNSTTATECMLGSSLSEKQHCYCRILELLVNVWAYHSGRTMVYIDPNSGSLEEHHPVEDRKGLMWSKYFNATLLKSMDEDLAEAADDGDHPYDYETWLWPRTGEVHWQGIYEREREERYRIKMDKKRKQKEKILERLKFGYKQKTLAG >cds-PLY72126.1 pep primary_assembly:Lsat_Salinas_v7:7:56481923:56482923:-1 gene:gene-LSAT_7X39941 transcript:rna-gnl|WGS:NBSK|LSAT_7X39941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKRNRESDNKNNSKHPVYRGVRMRAWGKWVSEIREPKKKSRIWLGTFSDPEMAARAHDVAALSIKGSSAILNFPELAGVLPRPDSCSPRDVQAAATKAAAMIHLNPITTTTATTTTTPSTSTSSTSSSSSYSGVSTLTSASEEVSTMSPPAEELDEIVELPSLSESYCSGESRNNYVFVDSGWDYYSLSTPWPAECDGGYFPGEPSSILPSTSSGTFFSDNYSWQDY >cds-PLY98165.1 pep primary_assembly:Lsat_Salinas_v7:1:137880349:137880983:-1 gene:gene-LSAT_1X98400 transcript:rna-gnl|WGS:NBSK|LSAT_1X98400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMNCLINEYTGYTVGSGVVVWFWEVVKAFTKEDRARLLQFVTGTSKHNKVYRVHRGSKFIKAYGAPERPPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEANEGFGFGLCCCYKSPSFHLFSTFLHFICVLFIPISLSRILNRDAL >cds-PLY80057.1 pep primary_assembly:Lsat_Salinas_v7:4:348793115:348794445:-1 gene:gene-LSAT_4X171761 transcript:rna-gnl|WGS:NBSK|LSAT_4X171761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSQDSSFSRDLLQRFTCGGGGNRFPVKPKEEAHGSPENVELSLGLSLNGRFGVEPQRMVVNDAKFNRKLIRASSVNDFVNFSVDVELSLYSPLTRTCSLPPEMEAEWRRRKELQSLRRSEAKRKRVEKLKNVRVVKTRTVEDWETNRRNLVNENGPPSSSPSPSPPPPHPALHFSSQGSIGSQGSGGSSGVSDLESQPFQGINTKSESHDRSESNKVDRSSKIKSGGGALPDVLTMPCVSTKVEGPDGKKTQGFLYRYGKGEEVRIVCVCHGSFLTPSEFVKHGGGDDVDHPLRHIVVTPSLFS >cds-PLY96755.1 pep primary_assembly:Lsat_Salinas_v7:2:172387282:172387961:-1 gene:gene-LSAT_2X95080 transcript:rna-gnl|WGS:NBSK|LSAT_2X95080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSNPTDIPNGFIPFSATWHSSVISNRKNENSMIENLFYNMIARRKTLQNSADDYPKIVDLLRRFTIHHKNVSFSCRKHGAGATDVHSVAMSTRLDAIIYVYGVSVARSLVKIEASFRC >cds-PLY89648.1 pep primary_assembly:Lsat_Salinas_v7:9:96921411:96922621:1 gene:gene-LSAT_9X72100 transcript:rna-gnl|WGS:NBSK|LSAT_9X72100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTLLSNFTFLASFLIIISTLINPSSATIPRRLAVVQTPPTVLTYHKGSVLYGNITVNLLWYGKFSPAQKSIIIDFLKSLNNHLPPPPSAASWWQTTRRYKGGKRSIHVGKQLVDEKYSLGKLLKESNLISLASKAKGFNVISLVLTAADVGVAGFCMNRCGTHGTTRVNKGHNFAYGWVGNSATQCPGQCAWPFAQPMFGPKMPSLVAPNGDVGVDGMIINLAAVLAGTVTNPFDGGYFQGPATAPLEAVTACTGIFGSGAFPGYPGTVLTDKKTKASYNAQGVNKRKYLLPAMWDPKTSTCKTLV >cds-PLY95279.1 pep primary_assembly:Lsat_Salinas_v7:9:111047709:111050762:1 gene:gene-LSAT_9X80240 transcript:rna-gnl|WGS:NBSK|LSAT_9X80240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate synthase 11 [Source:Projected from Arabidopsis thaliana (AT4G08040) UniProtKB/Swiss-Prot;Acc:Q9S9U6] MLSKKASCDSHGEDSSYFVGLQEYEKNPYDHVHNPSGIIQMGLAENQELAEFMEEIRGKRVRMDPNKIVLTAGSTSANETLIFCLAEPGDAFLIPTPYYPGYDRDLKWRTGVEIVPIKCWSSNHFRITKSALEEAYKQAHNQLNLNVKGVFITNPSNPLGTTMSKQEFDIVINFCMTKSIHIISDEIFSATVFTSPNFISILDVLHQRNLQDFGNFVHVVYSLSKDLGLSGFRIGMIYSYNEMVISAATRMSSFGLISSQTQHLVSKILGDKKFMKCYMKENTKRLKRRHKMVVSKLKMIGIPCLRSNAGLFCWVDLRHLLSSNTFEAEFVLWNKILFDIRLNISPGASCHCSEPGWFRICFANVSEEMLYVSTQRMKAFVETFCRHRPYESSTCVSRKSLGLKWVFKLSSFDCGTDR >cds-PLY83106.1 pep primary_assembly:Lsat_Salinas_v7:2:8337658:8339623:-1 gene:gene-LSAT_2X4801 transcript:rna-gnl|WGS:NBSK|LSAT_2X4801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDALRQPNLDLSNSSPLSSIYTKTPQTPTSSTFPYKYRLSSSSFNGYHSKCKVPTPPQALPKENSNESVESNKKLIDSIAKGLIRLAAAVSVYAVKRTLIETWGLIKETFVDPTFNHQDWDWKFQQTMVEMHPLRTADAAYSKIKGMLSNLEDRFTRIISPKEYQSFRIGSDGNVQGVGLFVNTEPETGHLVLLSCVEGSPTARAGIHGGLVKAGLDVAQICLDGDETLVNTIDRDGNMLPVNMINGHAVTCDPLVVLVIFFFDMKIYIFTFCYIFC >cds-PLY67316.1 pep primary_assembly:Lsat_Salinas_v7:8:236824427:236824746:-1 gene:gene-LSAT_8X142820 transcript:rna-gnl|WGS:NBSK|LSAT_8X142820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIWKVSHSLSTLELIDDLHRLGIAYHFVDDISHLLDMIYHNYYQTQDKWNRMDLNLKALGFRLMRQHGYHIPQASKSI >cds-PLY89693.1 pep primary_assembly:Lsat_Salinas_v7:8:42024344:42025544:-1 gene:gene-LSAT_8X32101 transcript:rna-gnl|WGS:NBSK|LSAT_8X32101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALMFVIAILGIDKKKPTSQSAVTNQKRSNLDGSRVQFDPTVEFLNNTEVIWKIPNSPKSVLFLAHGCNGRASNFWDKSPNCNHCVGLPEERLIVLEALARKFAVLTVSSKGKCWSLMKEPLVVKRIIKWWVEKQNLENLPLVALGASSGGYFVSMLATKMKFSSIALMIAEGVYDRIDITNHYPPTIFVHMPKDNARKKMIEVNLEVLRREGVDVAEIECMELPLTSSFLADRVPGLDLKISVELFDLFKEKGFVNKDGYLINDGRVIPWKEAMSKSKIRLPNEPLVNYIQEELNLAFAYHEMTSLKSEQIFDWFEAHLR >cds-PLY99718.1 pep primary_assembly:Lsat_Salinas_v7:9:56237179:56238237:-1 gene:gene-LSAT_9X47801 transcript:rna-gnl|WGS:NBSK|LSAT_9X47801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSIGPRNDTLEESVGSSNINRLIVSLLYLPKGKKIYESSFLNPKESTWVLPITKKCSMPESNWGSRWWRDWIGKKRDSSCKISNETVAGIEILFKEKDLKYLEFFFVYYRDDPIRKDHDWELFDRLSLRKRQNRINLNSGPLFEILVKHWICYLMSAFREKIPIEVEGFFKQQGAGSTIKSNDIEHVSHLFSRNKSAISLQNCAQFHMWQFRQDLFVSWGKNPPESDLLRNVSRENLIWLDNVWLVNKDRFFRKVRNVSSNIQYDSTRSSFVQVRDSSQLKGSSDPSRDHFDSISNEASEYHTLINQREIQQLKERLILWDPSFLQTEGTEIESNRFPKCLFGYSSMSDEM >cds-PLY78952.1 pep primary_assembly:Lsat_Salinas_v7:8:2278933:2282051:1 gene:gene-LSAT_8X240 transcript:rna-gnl|WGS:NBSK|LSAT_8X240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDDDYAINEQTSKQHWEDLLRKMLPAGAPLPDEDQLDYSISVEYQPPTHPHNNNINHHSLSRTATTTSPIPIIKNSNFTKPPKIHHKYTSAATNLAVSLVTPASETGEDEISNVVSFDTTQEEEEEEEEEEEEEEEEDNNNDSSTSVTASPVVETGGKKNQKKNICSRCGNGNRLKEKEGCIVCDARYCSNCLLKAMGSMPEGRKCVGCIGQPIDESRRQRLGKCSRMLSKICSPLEVRQIMLAEKECFANQLRPEQLVVNGRQLRQEDLCELLGCPVPPLKLKPGKYWYDKDSGLWGQEGEKPDRIVSSKLNVGGKLQMDASNGNTQVYMNGREITKVELRVLKLAKVQCPQGTHFWLYDDGSYEEEGQNNIKGNIWGKASTRFISSLFCLPVPHEACHGLKLRRSVTQNLEHSTRVDKLMLFGLEGSGTSTIFKQVRFLYGDKLSVEELQHVKLMIQSNTYRYLSVLLEGRERFEEEDDPKSGTSVYSFKPKFKHFSDWLLDIMAMGDFENYFPAATREYAPLVDEIWKDPAIQETYKRRDELNFLPDVAKYFLDQTIELSSNDYEPSEKDILYAQGVTPTNGLSCLDFSFRDHSPMSTSDDENGQSPLIRFQLLGMKLHDGCKWLDMFEDARLVIFCVALSDYDQVWAHKDNDDVIITENKMLANRDLFERLAKQACFADIPFVLILNKYDIFEEKIGRTPLSVCEWFSDFGAGVVKTSTVSLANQAYYYVGMKFKEVYAGITGRKLFVWQSVGRERGSVQGGISYITEVIRWDQQRHHQHLYAHDHDDDDDDDNTSFYTHSNLIVNK >cds-PLY69146.1 pep primary_assembly:Lsat_Salinas_v7:5:285062640:285064746:1 gene:gene-LSAT_5X150401 transcript:rna-gnl|WGS:NBSK|LSAT_5X150401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASISSSPRTVEEIFKDYSGRRGGIVRALTHDVDEFYGICDPEKDNLCLYGHPNKNWEVSLPAEEVPPELPEPALGINFARDGMNRKDWLSLVAVHSDCWLLSVSFYLGARLNQNERRRLFSMINDLPTVFEVVTEKKPVKDMVHGADGGSKSKSGTKRSSDGQVKTVAKVAIEENYEDDDDDDDDNDDDEEEHGDTQCGSCGGNYNGDEFWIGCDICERWYHGKCVKITPAKAETIKQYKCPYCVMKRAKP >cds-PLY65545.1 pep primary_assembly:Lsat_Salinas_v7:9:143746962:143751399:1 gene:gene-LSAT_9X91940 transcript:rna-gnl|WGS:NBSK|LSAT_9X91940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSIASIQKSFTYDVFLSFRGEDTRTNFIDHLYEALQQQGIYTYKDDEAIRKGKRISDELISSIKDSKFYIIVFSKNYASSAWCLDELVMIMGCHKTTEHTAYPIFYDVEPTEVRKQSGAVGKAFAKHENDGAAGKWKEVLKEAADLAGWELKNTANGHEAKLIQKVIEEISLQLRSIDFNADEKLIGMETRVKDAVSSLEIGTDDVRVIGIKGMGGVGKTTLARVVFDQISFRFEAKSFVENVRENSNTSLYGLKSLQNQVLKDVLFDQGNVNSVHDGKIMMKKMRNKKTLLVLDDVDHIDQLEALAGDLNWFKPGSRIIITTRDEQVLVAHRVKLILYVNLLSEEEAICLFSRYAFGGEIPIQGYEDLSEQVVRYAAGLPLTIKVLGSFLCGKNESEWIDALERLKTIPLKETLQKLELSYISLEEDYKEIFLNVACLLKRWSKPVVIEALESCGFHATNGLKVLEQKSLITITRKPFEFVHMHDHIEEMGRNIVRRLHPDKPQKHNRLWIDDEIKDILANDLGTKATRYIQFQLMGGNFEMVMKGLRKMKELRFLHISRNTRYNFAEERKYANVSQYFPNALQYLCCKAYPFKSLPPTFQANNLVALKMAQSEIVQLWEGGERKVLNKLKSLDLGHSKLTTLDLELSPNLETLSLSGCRDLVQLHMLNGCRQLKSIDLSYSKLTTLDLGSSPNLEVLSLNGCYDLVQLHMLNGCRKLKAIDLSESKLKTIDLRPAVNLELLHLKGCNALVKLCLPNGCLKLISVNLSGSKLKTIDLHSCVNLKLLDLEDCNALVELHMPGRCLNLRSLTLTNSQLRNVDIGWTPNLEDLDLNNSYDLEDLHMADKCLKLTSLNISHSKLKTLDLGLTPNLKKLDLKECKNLVQLHVPIGCLENLVYLNLRGCLGFTSFMVDKRNVASSRRDESLEIGPSAESHLIAKSLERCPLHPDNTLRQFQFECFYIDDPSVTRNLEKLISEGLCACTNLETLSESIFGLRCLRMLKLEGYPEAPKDLDRVECLEELSFSRTNMKHLPDSICMLKHLEILQLKDCWSLEKLPEDLGRLEYLETLYLSNAKIEHLPDSICMLKHLRTLTLFRCCSLKTLPKDLGKLEHLAWLNLSSLIIKHLPDTICMLKYLECLRLDDCKLLEKLPEDLDQLERLEQLDLGECKILQDIPIGICKMKCLKWLNVAGTSISRLPHDICFLKGMRISGSRDLLQSCGFTSEIQTFEHTCFVDV >cds-PLY78350.1 pep primary_assembly:Lsat_Salinas_v7:1:199170639:199175388:-1 gene:gene-LSAT_1X121241 transcript:rna-gnl|WGS:NBSK|LSAT_1X121241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANESNTTQKENSKTSTDPVRPVANFPPPIWDDRLLSLTVDYLELEAYAKAIDEPKEELRRLIINVNMDSNAKLCLINSVYRLGLRYMFREEIECQLDKLFKELNMEDYDQADLHTVSTNFQVFRQHGYKLSCDVFNKFKDHSSGKFKEYITADVRGMLSFYESTQLRIRGESILDEAIVFTEAHLVDVVDTLEGNLARQVRHALRSYFHRGMHIVEARLYFSNYEKECSTYDSLSKLANTHVNYLQQLHKEELRIFTEWIKDMDFKTITPYARDRTPEVYLWAIAIFPEPDYSQSRIVLAKMVQLILVLDDIYDAYGTIEELRLLTSAINSWEINVMEQLPEYIKPLYNILLNELTEVEKQLSREGRADRVYASKQAFQELAGGYLQEAEWRYHRHVPSFEEYLRNGLITSTYDVFIKSSLMVMGDIVCDKALAWYESRTNIEEAAKLLGRIYNDVTTYQFEGERAQQVESVHTYMKTFGVPENVAVNELKKMIENNWKDINEGCLKPTEVSMELLAPILNLSRMTDTIYRYNDRFTFPENTIVEYVTLLFIDSVPKY >cds-PLY97221.1 pep primary_assembly:Lsat_Salinas_v7:4:95205738:95206740:1 gene:gene-LSAT_4X62781 transcript:rna-gnl|WGS:NBSK|LSAT_4X62781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRFRRVAEAFDVAAMVRDCDSSGSEHSTEMMKDLSDLVNSFIENGDGVVDSDFDMRINDECNSDATDDHMEETKESLKTLFRSKKGDADYAVRKNLLLNVERAWRRVMEDNSAPPLPGSKRLLMARLRDQGLDAGLCKSKWEKKGRLISGEYQYVDVNVAGTRYIVTISLSEEFETARPTDNYTSLLEILPQISVCKVEEMKEVVRIMCRAVKKTMNQRKMAVPPWKRREYVQAKWFGSYKRTTNEFSTKNTTDLNVNEKKIEGFTWTPEAFYCRRTEDFGRKEFGLRMGNLTMAMNGAS >cds-PLY84548.1 pep primary_assembly:Lsat_Salinas_v7:1:30304826:30306550:-1 gene:gene-LSAT_1X26081 transcript:rna-gnl|WGS:NBSK|LSAT_1X26081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVAYPYICRPHESCHVSDQILTSKEIRIFLVIAVSKRISLSSKHQQTYGTRFDQIRLTARHTLVAETRIIQCNHSPHTRPDTAIVISHVCCSSISLKPMVCIYRPYRPSVNSITIRINNRELSIKTMHLVFLCLVLTSLVTGATWSPSPPPHHHRFVVEFDEEPDGNTKVSISQHDDVARIDAGGFAAGPRELFGKCRYKLANVIEKTKSVVSGKRVDVSSKLHNFEEQVKEVTDEVVKVSDPKVKLSEKLSEKFQAVGEKAKDVAGIVKNAVGKPLGVFTSGDGTKGTLKDSVLKVEQWDLVDSPKRIGEDIGSNATRKVEEGVEEVKETVKTVQETSWNDLLTRSTSMATVLIDKIQSVISWCHLLGFSTAYGMGVWVTFFSSSVLGKCLPKRQYGMVINKLYMVYFKAMSYCIGAALIGYLVSLGRNLFFLSNKMAVFQGFNLLSALLMNLINMMFLEPRATKIKKIKEDSGTKSTISSEKLKKLSTYSSTLNFSTMVVLTWHLAYIGQLVQAGHP >cds-PLY87530.1 pep primary_assembly:Lsat_Salinas_v7:8:96394915:96396789:1 gene:gene-LSAT_8X66841 transcript:rna-gnl|WGS:NBSK|LSAT_8X66841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVANTFDLWQKDIFFSAAEEVQASADIMESAYRTWVRQKREGISSIAVDELCRELQTALATAKWQLDEFQRAVRMSYRNVDEIRSTRHEQFVSAIATQISGVEEALKEYFSDGVRKPLRWVNLDEDERDDLAMFLSGNPGSSNSTKDSDSDIKDSSKKDSPKKTNYITSCKDVSHEVLGTSDGSSCDKKASSRRTWGSQDVGTLKITIGHDNEEKKSLIVNSESTSKDKGYLWRPRCGNYRINQATTDLNTFAQKLFISSHACFHANNLFDCAICIHKVFQLSMIFPVLINKIKGEVEINKAIKTSTTNIQM >cds-PLY71211.1 pep primary_assembly:Lsat_Salinas_v7:3:120904811:120905872:-1 gene:gene-LSAT_3X85160 transcript:rna-gnl|WGS:NBSK|LSAT_3X85160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGSMTGDVEEGSNEDNDDEDSEDPHFSLHIRDACDTDVVGNNQSLSGGVISIIVDDENGDDEANNNSLVSWKRENRDLRDDFKEVADIMKPFITLSIGLSRNAKLFMGKFVDMSKKLKGISSCIPMVPPSDLEVVKGEVSLLKDEKVIFESKVAKNHRLEQEIAPLKGKVASLELEKIGHVENITILVSGKLRVILGTFVLKNTDLQAIVTDLTRHVLK >cds-PLY90172.1 pep primary_assembly:Lsat_Salinas_v7:7:14512424:14513950:1 gene:gene-LSAT_7X11900 transcript:rna-gnl|WGS:NBSK|LSAT_7X11900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKRIYCFEWIIALGFLLLVAVVSSKNHGNQANDLVDLINKNRTSKRLPQLNNSPGLGCMAMQYIKECRFNCSQVNTVNCKPSEDDFTEIFAPNCGVELPTFGTISGLIVGCHQKHLDPPEAFQNVLVHNNGTLSILRNRTHREVGVGIIRAKRHKGPYLWCALFGSNERNSTFVLEDLGKGIEQKEGCYSGSGSSCSSGGRNGSFVWMLIFCIFVLSSTGFF >cds-PLY98601.1 pep primary_assembly:Lsat_Salinas_v7:1:39961534:39963491:1 gene:gene-LSAT_1X35200 transcript:rna-gnl|WGS:NBSK|LSAT_1X35200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFWPEFLASSWGKEFLAGGFGGIAGIIAGYPLDTVRVRQQNCQEVGSAFSILKNIAAKEGPLALFRGMGAPLATVTFQNAVVFQSNATLSRALDSYRSPTVPPSYGSVALGGTGAGAIQSFVVTPIELVKIRLQLHEQSESGPLSVAKNIMRTEGWKGLFRGLTITIIRDSPSYGVYFWSYEYAREKFHPGCRKSSQESFKTMIFAGGLAGVASWLCCYPVDVVKTRLQAQTPSSPVKYDGIVDCFRKSVRKDGMGVLIRGFGSTVCRAFIVNGAIFTAYESALRVLNNTDDNINNNN >cds-PLY90988.1 pep primary_assembly:Lsat_Salinas_v7:8:254531347:254531798:-1 gene:gene-LSAT_8X148540 transcript:rna-gnl|WGS:NBSK|LSAT_8X148540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHVWSFYNFFNLKESLAFMFEVPHLNEESRKNMMNSLCYMETVNKAGKQKEFRGIDHGDDQAVILNLNNKLSQFLDDDSTWSLNLDNTFFHVKLMLFR >cds-PLY61987.1 pep primary_assembly:Lsat_Salinas_v7:5:158788301:158789457:-1 gene:gene-LSAT_5X68961 transcript:rna-gnl|WGS:NBSK|LSAT_5X68961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVRTNSAAFMAQAMNADNPHRETFHRFFECWICEQNSYLEELVSVANNHNPTENDDAVLIPLIERVVRHYEQYYQAKSNWEKRDAISMFSPTWRSKLEDAFLWIGGWRPTLAIHLLYSKSGIQLEAKIGDLIRGLKTGDLGDLSPKQMNRIDELQKKIIHQERVLSEKYAKEQEAVADRSMVELSNVVSEMIRTEDGEVDSENEEKVDSMLNSKKDNLEELLHMADDLRLETLKTVIEILTPIQAVYFLIAAAELHLRLHEWGKKKDENGTENGSATGTHNHGSDLHAHDVRV >cds-PLY93023.1 pep primary_assembly:Lsat_Salinas_v7:4:193101142:193103043:-1 gene:gene-LSAT_4X111061 transcript:rna-gnl|WGS:NBSK|LSAT_4X111061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFFNRASSILRRAAASKHINPQMSAATPSIFQMIRCMSSSKVFVGGLAWATDDQSLKEAFSPYGEVHEARVIMDRETGRSRGFGFVTFGDTEAASAAVQAMDQRELHGRMVRVNYANDRPQGGGGFRGGGGYGGGGGGYGGGGGGYGGGGYGGGGGGYGGNSYGSGGDGGYGGGNVGGGFGGESQQAAGGGDAYGSGGYGGGANDGPVEGGYGNNDEPDDFAKRA >cds-PLY98225.1 pep primary_assembly:Lsat_Salinas_v7:7:171569187:171570755:-1 gene:gene-LSAT_7X101921 transcript:rna-gnl|WGS:NBSK|LSAT_7X101921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSPPPLPETALTASPEGTFTAYDPYTGNVVGRFNDHRCPRNGICILGNDLFAASHVSPEARAGYVRIYYWWSTSCTQSVPLPEPVAPLVASVDGSFVFSGGISGQIHSVSIHSGDVIRSFPVHEKPVSCLAINSDGSLILSGSDDGTLAVLPIFLLLDASFNTESRYSNFTRFTGHESPVTGLTTGVGRSGGIMISSSLDCTCKVWSLVNGIHLQTVRFPNEVWCMVLDPSETELFAAGVDGMIYKRRLKVETRKKVAESGKTVVWGGMHGGGVVAMEMLSYGRILLTVSENGEICVWEVESGKMIRGFGEKIGGVSGVVVAKGGGGFGKRWVGSGECGGYGGGKELGKAVKEVAEIEKVLKGAVDDRSRAISKLESAIEINDKMLKLMLREAKAIAKYNDSNNN >cds-PLY84232.1 pep primary_assembly:Lsat_Salinas_v7:7:77683264:77683512:-1 gene:gene-LSAT_7X55140 transcript:rna-gnl|WGS:NBSK|LSAT_7X55140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHPPASMVGAPFGGDMASYFDQLSLSVNWIIGTMENVVRHLGVEQPPHLGYHYPICPRWTEYRGHGGDGSGTSGVNEDEDDD >cds-PLY89487.1 pep primary_assembly:Lsat_Salinas_v7:4:149019628:149021073:-1 gene:gene-LSAT_4X92161 transcript:rna-gnl|WGS:NBSK|LSAT_4X92161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLNIFDPQLMAIIDPEHREEEQAAVDDDEDTGAQVASIVRLKAVEAVDGVSKEKDGYNPATWMLEVSTSAQELTLGGDISYEQFLTDSKGILESLRKRARMMTDGFNSCKSVVCNFTEVAMYSFPQIKLPPKAIEAAKSAGKVPDVFYCLKLLETTDISTVPGSGFGQKEG >cds-PLY75828.1 pep primary_assembly:Lsat_Salinas_v7:3:69450462:69453730:1 gene:gene-LSAT_3X53800 transcript:rna-gnl|WGS:NBSK|LSAT_3X53800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTGTQPRPQPQPPRRPPTEPLRQQGNGDFTAIVTVLFAFIAIASLVLIPSSSPNLKNSLTVLHQVPEGHVGVYWLGGALQKTTTTPGFHLKLPIITHYEPVQVTLQTDLVRDIPCGTKGGVMINFDRIEVVNRLKKESVYDTLLNYGVEYDHTWIYDKIHHEINQFCSVHSLQEIDETMKDALQADCTRYAPGIEIISVRVTKPRIPESVRRNFEQMEEERTKVLVSVERQRVAEKEAETQKKIAISEAEKNAHVSKIIMEQKLMEKDSLRLQEEISNRMYLAREKSLADAKYYQTMREAEANKLKLTPQFLELKFIEAITNNSKMFFGNKIPNMVLGQRLLGNFLQEVEGRTNLEAA >cds-PLY85344.1 pep primary_assembly:Lsat_Salinas_v7:5:244340760:244340984:1 gene:gene-LSAT_5X120960 transcript:rna-gnl|WGS:NBSK|LSAT_5X120960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSQLDAQTADVDAPQTVDVDLDVDEGDDEEVADNKGGGHRVSWVWQHFDRDAVKKGAKKVKCPYCSTLCVWL >cds-PLY72780.1 pep primary_assembly:Lsat_Salinas_v7:4:373039821:373041353:-1 gene:gene-LSAT_4X184081 transcript:rna-gnl|WGS:NBSK|LSAT_4X184081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAVVEALLFGDDGAKAAATEAVIHLTNKQRHKLADNGAIPPLLSMLHNPPDFKSLESALFALLSLAYGSERNKIRIAKSGAIPLFLNLIRSQIHPLIDHAIAALLILSSSSSNKRSMATSGAIETLNQTLLQNNTTQVKLDIIVTLHNLSPYIPTFLSSSTSSSLIHLIHESEKSSKLVEKSMCLLEHLSSSSEIALRAISSTEIGIRAVVESVEEGSKQCKEYAVGILLMICESSRERYRGMILCEGAIPGLLQVSLDGTRRAKGSAKSLLRLLRDCESGGGERLKNVVVEEVMGEIYRGGTEVGMVEEMIARLTT >cds-PLY85133.1 pep primary_assembly:Lsat_Salinas_v7:9:146397049:146398020:1 gene:gene-LSAT_9X93880 transcript:rna-gnl|WGS:NBSK|LSAT_9X93880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSAFWFFFKALNRVLMAAITCIVALGGAIVGTITGAIKGSTTETGLARGACVGSITGAITALQLMDMIVDGEPFSKVSLLRSLVNGQIFTEWINGVEATFVDIFDGFENNVSKGLCKDSINKLPRCIFKNSCEEIERNGSHESSCAICLWGFKNREEGRQLPICRHVFHLECIDEWLIRSGSCPICRRDV >cds-PLY98438.1 pep primary_assembly:Lsat_Salinas_v7:3:229151707:229155115:1 gene:gene-LSAT_3X130460 transcript:rna-gnl|WGS:NBSK|LSAT_3X130460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMFVCCVSKPENVPEGAYVEWVIELLGFEMQKDWTDMNFRAIMNDVENTKRIVLREFNHVNPQDDEEGKEFENTRNLLNLNVVACYLKMGDCRKSIETCNKVLDANHVHVKALYRRGMAYMETGDFEEARRYFKRMMSIDKSSEVNAKAALLKLKQTEQEELEGLTSSYPNHFKVYYVLNQVGVHMLPAGSGMGVMCGITRGIKMARPPSSSIMNSTTGLLTSSTPTPTPAQGNSMLRSREAMHMIWFPSFNNLPKSLQPNKEQQEALRPWFMQCLVGWDNVRN >cds-PLY65873.1 pep primary_assembly:Lsat_Salinas_v7:4:85058214:85058549:1 gene:gene-LSAT_4X56340 transcript:rna-gnl|WGS:NBSK|LSAT_4X56340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDNSDTNASSIRVSVSSLRDTAWLLILTIPFGISPTSLLGCPVLLSNSQALPTTRSFQYPPSMNQEILGMKSYTKVRCATIAV >cds-PLY69505.1 pep primary_assembly:Lsat_Salinas_v7:6:44910519:44911269:1 gene:gene-LSAT_6X31961 transcript:rna-gnl|WGS:NBSK|LSAT_6X31961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATFTHIVLLVISVVVLLPSTTMAAEYVVGDDSGWTINYDYQVWAKDKVFYVGDKLVFKYPVNVHNVYKVNASSFVTCTVPPPSAALTSGNDVVTLVTPGKKWYICGVEEHCADFNQKLVIDVQQGSMAPAPAPSSATKFGAKTFMATIIFGFAMIVMV >cds-PLY72913.1 pep primary_assembly:Lsat_Salinas_v7:9:194350287:194352542:-1 gene:gene-LSAT_9X118660 transcript:rna-gnl|WGS:NBSK|LSAT_9X118660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRTVLVWIVAIVCFVVLMFVTPTIPQDQDYHDFADQREFFGIPNFLNVISNVPFFIVGVIGLMLCYHRNFFKLSLQGELCGWTCFYIGVAAVAFGSSYYHLKPNDARLVWDRLPMTIAFTSIIAIFIIERIDERKGTYAIIPLLLVGVVSIFYWRFYDDLRPYALVQFVPCIAIPAMAILLPPMYTHSSYWLWAAGFYLLAKVEEAADDPIYKWTHQIVSGHTIKHLFAAMVPVFLTLMLAKRDIISERKSLLQIWKISWTKYKGNGVEPENHTITYTSVPIEEESRQ >cds-PLY88815.1 pep primary_assembly:Lsat_Salinas_v7:4:92999895:93000709:-1 gene:gene-LSAT_4X59160 transcript:rna-gnl|WGS:NBSK|LSAT_4X59160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAYDTLAIFLFLSTLFCTPVVISTSTDGLVRIGLKRVKFERTDRFASHLVLNDGDYLTTSIRKYRQSAKKHGDSEDSDIVALRNYMNAQYFGEIGIGTPPQKFNVIFDTGSSNLWVPSSECASVSCFFHAKYKSSQSSTYKENGS >cds-PLY98666.1 pep primary_assembly:Lsat_Salinas_v7:5:303753762:303755862:1 gene:gene-LSAT_5X163580 transcript:rna-gnl|WGS:NBSK|LSAT_5X163580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 23, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42070) UniProtKB/Swiss-Prot;Acc:P93740] MLRSIQFLGSSSGFLFHRLKLHGNHSGLSFISISAKPCSRRPLLTTSRTPRCFRQFRASPIRSESNPDVTSPSSSSSSSPIFRSTGSSRKINFCQSCGGSTKYDIPDGEEKERAICTLCGRISYENPKMVVGCLIEHDNKILLCKRNIQPSYGLWTLPAGYMENGESASEGAVRETWEEARAKVEVLSPFAQLDIPLIGQTYIIFLAKLLTPHFSPGPESSECRLFALDDIPYESLSFSSMLVTLNLYIEDIKVGKPKFHYGIINKRPGTSPSEIHAYTIDYHIRI >cds-PLY92340.1 pep primary_assembly:Lsat_Salinas_v7:9:178103545:178105946:-1 gene:gene-LSAT_9X110220 transcript:rna-gnl|WGS:NBSK|LSAT_9X110220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIAFLLTIHFFLLVFAHCYGGNGYDPLENVLSIQRSKRSALDHIELTAENSYSPVYVCPQDGLKDVDKISSLPGQPTGTDFDQYSGYVTVDPNHGRALFYYLSESPINSSTNPLVLWLNGGPGCSSFGNGAMMELGPFRVNADNATLSRNKYAWNNVANVLFLESPAGVGFSYSNTSSDYKTGDIQTAKDSYTFLVNWLERFPEYKTRDFYITGESYAGHYIPQLAQLIVQNNKITNQTVINLKGIALGNAYVDDETENTGLFDYFWTHAIISDEIHEGIISNCNFTEAGTITGACNHYQMQAFAAKSNINFYDIYAPLCSPSSNSTRSISEFDPCTENYISSYLNIASVQQSLHAKPVKWESCNEYINTHWKDLPFTVLPVIQDLMATGISVWIYSGDTDARVPVTTSRYSIDKLQTSVKTPWYPWMYEEEVGGYVVGYQNLTFVTIRGAGHFVPSYQPARALAFFSSFLEGKLP >cds-PLY68957.1 pep primary_assembly:Lsat_Salinas_v7:4:363399514:363399678:-1 gene:gene-LSAT_4X179600 transcript:rna-gnl|WGS:NBSK|LSAT_4X179600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNDKMKALMIGVVGAGITLSAYSQTYMTPTQCIGTGLVILIVGLFVGEGILPV >cds-PLY92311.1 pep primary_assembly:Lsat_Salinas_v7:9:179552496:179554966:-1 gene:gene-LSAT_9X111000 transcript:rna-gnl|WGS:NBSK|LSAT_9X111000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DJ-1 homolog C [Source:Projected from Arabidopsis thaliana (AT4G34020) UniProtKB/Swiss-Prot;Acc:Q8VY09] MNSLMASISSLPPSNFPAATTAAAALRPALLVSAAITHRWQLPPTCNFYSNPPKTLSSPSHIRTATSVVSITETTPLNTEAEVSLPLKKVLIPIGYGTEEMEAVIMVNILRQAGAHVVLASVEPQLEVKLSGGTILVADVSISECSDQIFDLVALPGGMPGSVRLRDCKTLETITRKQAEEKRLYGAICAAPAVTLLPWGLLKRKKTTCHPAFIHKLPTFRAVKTNLQISEELTTSRGPGTCFQFSVSLVEQLFGENASMEIGKLLLMDTADEVSRKEEFNEVDWAVDNTPRVLIPIANGSEDIEVVTVVDILRRAKLDVTVASLEKTLTVCGSHGIKTVADDLIKNVADSIFDLIILPGGIHGVDRLQKSKILKKLLKEQVSNGRKYGAICSSSIILQKQGLLQDKKATGHPSIVSKLEGNVIVDSQVVIDGGLITCRGLSSTPEFALAVIAKFFGHGRAKSVASGLVYEYRAT >cds-PLY75640.1 pep primary_assembly:Lsat_Salinas_v7:1:101073574:101076532:1 gene:gene-LSAT_1X82140 transcript:rna-gnl|WGS:NBSK|LSAT_1X82140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGENLEKYRIPLDDILRVTSNFSPDTRIGDGGFGMVYMGELSKDGKTRTVAIKRLNQDGYQGNNEFRNELEMVSSFHHPNIITFIGYCDEANEMIIVYDYAKNGSLDHHLQNPDKMRSLTWAQRLKICLGAAKGLKYLHSGLGEHNRVIHRDMKSANILLDDNLEAKICDFGLSRFGARNLEDTQLITKIAGTRFYMDPLYAERSRLTKESDMYSFGVVMFEMSSGTLVYHQKCFGDDENPQYLFDVVRSCYDDDKKAARPDKLIDPFIKDHTDMNSFHTFNKIAHKCVNLKLEQRPTMEKIIRKIEQALGIQLNHDESPSTRSLDSFLIPLEEINSATQNFNQETRIGSYEYGVVHRGQLYERWQNRTMAITRFNRESYRRWGQDFNKELRMLSIFHHQNISRFIGYCEEANDRIIVHEYAVNGNVADHIGHQGTNKLPTLTWAQRLKIIQGVARGLQCLHLALGEGSREIEGSIMCENILLDETMEPKISFFGVKTRSDIPQTPRTYIRYVLIWRDNI >cds-PLY86292.1 pep primary_assembly:Lsat_Salinas_v7:8:54878214:54878465:1 gene:gene-LSAT_8X40581 transcript:rna-gnl|WGS:NBSK|LSAT_8X40581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMIELTPSQPGISKAGRVEVDNQKGIVVAEKNDQPIPYAGEQFETDDYEGFLDLGFMEQVIFPVVPLSVVYPDSYFEGEIHQ >cds-PLY65577.1 pep primary_assembly:Lsat_Salinas_v7:5:339065958:339071817:1 gene:gene-LSAT_5X190980 transcript:rna-gnl|WGS:NBSK|LSAT_5X190980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase 2 [Source:Projected from Arabidopsis thaliana (AT4G02390) UniProtKB/Swiss-Prot;Acc:Q11207] MASNLNVDELRDELSKRGLSTAGIKTTLVKRLESAIRKESVPEGDLNNRVLKRDREKPEDGDFNCGSLKIRAIDDLRKLNIKQLREQASLSGVSASGSKKELLERLCKDSQTNNDDIVEEIEERKKEKLVTATKKGSAVLDQYISDQVKSQYHVLQQGNEIYDATLNQTNVGENNNKFYIIQALESDDGSTFMVYNRWGRVGIKGQDKLHGPYSCVQSALDEFEQKFHAKTKNCWSERKDFVSHPKAYTWLEMDYGEAGKQTDVHGDLSRKLDIQPRETKLEQRIAKFISLICNVSMMKQQMMEIGYNAEKLPLGKLSKATISKGYEVLKRVADVISQNNRKKLEQLSGEFYTIIPHDFGFKKMREFVIDTPQKLKRKLEMVEALGEIEVATKLLEDNAGMEEQEDPILSRYQRLGCELTPVEVDSKEFHMITKYMKNTHAKTHSDYSVEIVQIFRASRHGEADRFSKFSNMKNRMLLWHGSRLTNWAGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCCASHQASAGVLLLCEVALGEMAELLTAKYDADKLPQGKLSTKGVGATAPDMSEIETLEDGVVVPLGHPKKQLTAKASLLYNEYIAYNVDQIRMRYVVQVVVMDLDSADECNTCLFFGLRELFSGLNEMDFELHGIYMDHELEQEFVTLLDKCKDVFLNVLPTDKNLRKSSLADEVRT >cds-PLY66500.1 pep primary_assembly:Lsat_Salinas_v7:5:184213567:184214860:-1 gene:gene-LSAT_5X80540 transcript:rna-gnl|WGS:NBSK|LSAT_5X80540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTGHEVESSSTLEWPEPCRRLTFPEIQRATQNFDESLVIGHGGFGKVYRGTIISEARLLVVAIKRLDSTSNQGDVEFWAEVEMLSKLRHCHLVSLIGYCTDGSERILVYDYMPHGTLEDHLHKLQTPLPWMLRLNICIGAARGLNYLHTGTGIKHGVIHRDVKSSNILLHKTWAAKISDFGLSKVGPTNQQFTHVSTVVKGTFGYLDPDYFSTGRLTRKSDVYAFGVVMFEVLCGKRAVDESLDEDHWGLARWAQESMKEGRLKQIVDPNIRERISTKGLKEFSRLAERCLNSHPKQRPTMAEVMVGLESILVLQEKTSNTLHPASMTIFGRKMPMFLFQSNGEIHDQFTSR >cds-PLY82488.1 pep primary_assembly:Lsat_Salinas_v7:2:182832910:182833729:-1 gene:gene-LSAT_2X104540 transcript:rna-gnl|WGS:NBSK|LSAT_2X104540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAITMFPKITLFVATFIFYSTINQPSLVFGSDPDPLQDYCLPSPHTHLCKNSSSITVDDFVFSGIKHPGNFTNKFSGVPVSSTVFPALNTLGMSLVRADFQVGGINAPHYHPRATEVAYVLEGKLYSGFVDTGNKLFAKVIEKGEVMVFPRGVLHFQMNIGDSPATVLGSFDSQNPGSVKLPAALFGSDIDSELLEKAFGLKSKEISKLKKRFGIKKEE >cds-PLY73144.1 pep primary_assembly:Lsat_Salinas_v7:2:191259493:191267903:1 gene:gene-LSAT_2X112761 transcript:rna-gnl|WGS:NBSK|LSAT_2X112761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENDDAMNPENQKLPTFVIKVSHEAKLRELLRNLTSIDLQLCSEASKEFMKLLRGDEGGEMLRQYVQTSPNCSELLQAWNLRQSKPGLSYIMSLINVILSHKDGVFKPNDVARIPLSRALDKFARLILEEKLGDVYKELNNKEGKGKHAALLLMASVVRRGSGLASDVAKSFDFKLPNFLKLAEYDKRRKNMEKIKRKSSTRRSYVRFAMSFLEIGEPRLLRWVLQQKEMFSGVLRGLGSDEEDTVVYVLSTLRDKVLVPESLVPVGLRSVLFGSVTLEQLIDISGRDDGEASTEVAQKVLFMVCTDPSNGLMPDTKASPFPLKGNPTRLLGVMKKLKATEIDFHRDLLLGIVRGRPSFGSAYLDEFPYNLEDHANWFAAVSLAANLIASVNAGLSFDFLDSKPQEPQSINRSEVQSIIKCIGPRSFNRIVMNKGLLHSESQIQHGTLRLVLEALMLLDSLFSAINHRSESSKQIECKWVSLKQDIQNEVQLLLPDHQVLLSLISSLNSRFKSEERTLKRGPDTSVLLEHRSRSKKLKTSTTTEETDILVGGISSTLDMEIPDDDGIIQEEDVVKDSENLKGDASLITQLWESHESNNLDMLTEDEESYLYSKLLEALKFYHRTMPSVLEGSFDFFKVLPTNVISLPNILLQSLLSLLVEHIGYSSKKDVPMRCPPLMYKHLMHFINLVVNSPVKDIKDQAYVLAHAAMLSTGAFDNYKREIDAWLLFLPGFSTKSTVQNFSSVVSSFFCDVVSTLGNNLFKYWDLFRSQINHLENTKDMHPQAQFSPLFVCVLEKCLRLLGSESGTFTSPEKSMISIYVSSTLRYLLQTQVEPGLLSSLICLSLSERLKDVDDNSCEWRPLKSLLHFSRSIVNQESCMFSSVERNGSLDDDSFINLLGANATLAESEHNSGITTAFISSLLCASPDLILQHFPEVISISQKLSGVPFSILYSICFLERSFFNDICKSWPELSFSGLERAVDDQSKGDSTNSCEAFSCFLKQAPFYVVFPAIISPGCLYLSKSSNLLDLLLAKLSKEMSDNFVSSFSLVFFWFYHVQSSYHNEPAEEYIQISEICFALIKSMLAPGSNLYVQEIAETIFCHPAVVSSLESPLSANKELKDDIFQHPTDSFLSLAREGLHIMDYHVLQAVEMFSNRLHDDFGHGNKTIARVFKCFLQKLVLMLKYRFAQFIESQDPLSLIPTLFAIHTLIRFISPQELLELAYWIFSRVNESSVPESFKISALCVGLNIAGCAFDLLLCDVKKVPLCFSGIQDFDVALFEKVYFHIVEIASHSELVVADLCLNKAVKIANIHTAPTPTPQSLPHSMVISRIIEATPMNLLSKCLKKTSMIKAKLLFHLTELSILHLSFFGLTFMEMVNKSSVSKKRKSGVHDDGMLMLLPTALSFSNFISMRYGDKCYEHLRSIHALYWEILSNGFSNWKSFVSRDIFQVKPDKSVPSTVEELCDLFNNTLLGRAVAIMQHHLVSSEATVKMSKRLKLFDSVLPSGTDNLLDCGAFKIDRYSIDQSLNLVMKVVTKVQLCKILLFPKGKFQPLAKGNEANGEIEQSCSEVMSNKEHLRSVVFMNTLVYTWQLIVEQVPSNSISVEGTKCALFRLLEIFILRIIIEVTAEMRKNSDMKSNSVINLEQLARSCLRYRFEDPATLSMLRSVLTFEAEDKSFHIQILQLLVNHSQFAPTIQSASKPSTSLQFGIIFRPMSSILRSLTFHNAIVKSDPDLYMKQLEIVKLVRVLCSFRAQMGCMLFEQDIGVTTRDLMFLLLSSYGATLTKKDLEIYKLIQELESIDETNPSYVADMDYLWGAAATRVRKIRETEKAFSSDDMDDDSEAVEKGRKSQFRENLPIDPRMCAATVLHFPYHRSMSPGDNAGHVAEAQTDIESRNIYDPVFILRMSLHGLSMDYIEPVEFASLGLLGVAFVSLSSPDDEMRKLGYKVLAVFRNVLEISQKRKDINRVRPLLTYVQNGISEPWQRIPCVHALFAAEASVLLLDPSNDHYKTITKLVMHSPMNTKMISFFDEFFWSNSASFKADRIWILRLLYCGHNSEDDAQIYIRSSILEKLLSFYSSSLSDNESRELILEIVKKFMKFDKTSRYLIERCGVLSWLSSLISNTHKEHKGVLKTQLEIVNGVVTSMNTSQWLETNCVEQLTQLTHHLCVLFTHQFEVVKEMQLVDSVLEVICSTLKISEKRREVFQPHLRFSIEGVYGLFEAVNACCCNGELGLEVVLMTTPQPGILTMDGEKLEKFLFWAVSIASSKSNGSLVLKLLRWLTASVILSWRLGDEEGSKMKCYKSFQSFLEAGGVVSGVKSESGNEELLGGIIYYLQQIVGRRKYEVDVLTSVVSSLCLLLFPHSISSHTDTDTDGESSLRSLLSRIGSPPEADPKWNWSFEQPWKVSCSLDEIEACQSVLVVVSNVLGKKLSLSHFLTNLDVETSTKLVF >cds-PLY65059.1 pep primary_assembly:Lsat_Salinas_v7:1:117862037:117864153:-1 gene:gene-LSAT_1X87001 transcript:rna-gnl|WGS:NBSK|LSAT_1X87001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVADLFNGFQRRKFWFPSKYFSLNAVSITVIAVAMKLPVDLSSPMPGWVDQAAKQGSLVFMCMMMANLMPSLASMDNKSLIANVVGFAILIITIIVNMFMEINTGVIEREGFSLAINKWTFIEPHFMDVGYIYVAFLLFLLIILISSAITLPISKQILELKYQAISKTTLYDHCPQDTFDIQKLQQHVKRYWIMAETGSPQFVIACNPLSRASCVICLTGLAIYISLLVSILKFPFRIRFQSDYKWSMVAIVITQSIGVGVGVISPMFRCFTVVSFKSFTRWNRNHLEVFKVEKYWTQQLYEWKESHITFLSNGHRSRNLIRNLKKPILSAWIGLQKVIVVTCKIIGLIPTVVLLIFMYCSYYFKSIKEMMLNPPSSTHDIDEDLSNYVLLLEDIMEFAERTLKRISNSMNRVMQKAEKEQDDNLLKFVESSIGFKGVENFDICQVQPLLSIEFPNSWSLPIVTLTCIAIALPHIGKDATNNLFKCVGEGLFYTHIVEESLNNARQYVNIQKATMTLWDEVEDKYKWLENTLEKRAYEGKTSREILEWFANKAEEIVIEVSKSTNRGEPVEYLPWKLIVANSMYRITQTIILTNQSNILEINEEWLFTLLSHMIADILVACFTNIPRVITIKCHETAIEKREASVEAAAKLLGRTTEIIKRLEMYELPNIHQDKMAFIDEWRLHLQCIP >cds-PLY78890.1 pep primary_assembly:Lsat_Salinas_v7:5:308850449:308854031:-1 gene:gene-LSAT_5X166500 transcript:rna-gnl|WGS:NBSK|LSAT_5X166500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGSMTDPPLVDAKENKFLKGTFAVVGIMSTLVIYGVLQEKIMRVPYGPNHEFFKHSLFLVFCNRITTSAVSAVFLLTSKKALDPVAPVYKYCIVSISNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTLIMQKKYKGPDYFLAVLVTLGCSLFILFPGASELTPYHKGRESTVWGVSLMIGYLGFDGFTSTFQDKLFKGYDMGIYNQIFYTTLCSCILSLTGLILQGNLLMAMDFVSRHKDCFFDVALLSTVATASQFFISYTIRTFGALTFATIMTTRQLVSILLSCVWFGHPLSVEQCIGAVIVFGSLYARGFLKKAPEKPLPSLHKSDEEEASVPLKVIA >cds-PLY90174.1 pep primary_assembly:Lsat_Salinas_v7:7:14667796:14670929:1 gene:gene-LSAT_7X12541 transcript:rna-gnl|WGS:NBSK|LSAT_7X12541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRFPPASAHSARYRDSVYHAYMATFAIAKRQIVSLNSCLPIGATNRRSSSSAIVTPTLSSVSSHLIPACNVITIIITKERDMKKSKEGKASEVDMLSNLPNPILHLILSCLPTTEEVIRTSILSTRWRHVWTLVPSIDIDYNRGWTSVTEFKKNSFEEFVSQVLANKSTDLDRVRLDCVFFYNIPTIWRWVDAVVSRNVKILDLSFCTQGEYEDIELPHSLVTCGSLELKNLRIDNRKILDSEDWDYPVDGMGKRLQICCPKLVFLEFAGFMAFKFILERLDSLKKVVIHPLDTYEWADDNVCELFDGFAHVESLSLRICLIQQFINLQDLPESLPNLKTLELITTRDAFKMNVLIRILICSPNLESLRLTIQKDPLHTSIATEDDDLEFWEMMEYDCIFEGLKMVDMTGISFVPHHLGIIEFLLQSSPVLETMSITPNVNMTDEKLSFTIELLSFKRASPEAEIIIVQD >cds-PLY79597.1 pep primary_assembly:Lsat_Salinas_v7:2:166749267:166752874:-1 gene:gene-LSAT_2X90341 transcript:rna-gnl|WGS:NBSK|LSAT_2X90341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFYFSLAGGPGYAYEEVSEVSPHRRFIAYTMIYCSMLGSTDEDVMLLEEPEENVHVNIRHTKDFKFVTVYVFSTTYSKVFLINATDPLSGLTLVWECEACAHCIIEHHQGYLYIFTNANKEGQSVNYHYILRSPLHSSSPRKWETPDAVVDYNLSNGKFEIIQQQNLLQERTCVLYGTASVGPRIDNKSNSNNYGESKSWNDLSEFYGCENHQVVSSDGVKVGLTIVYSHKRKKEGEKPGLLHGHGACGELLHKKWCNELKSLLDHGWILAYADARGGGGRGKKWHEDGRSIKKQHSDNEYISCAKFLINNKIIHQNKLAGWPLDDEIVLELDQMEKVSDIEDILSYKPAAELELQVVQSMSFSNIWNYSLCKFD >cds-PLY97379.1 pep primary_assembly:Lsat_Salinas_v7:4:13867805:13871261:1 gene:gene-LSAT_4X9600 transcript:rna-gnl|WGS:NBSK|LSAT_4X9600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHATELCDEETNNGEEELSDDGLLGVEKKRRLKMEQVKTLERNFELGKNLNPERKMQHARELGLQPRQIAIWFQNRRARWKTNFILENNYPFHDIRVRNPNDDGDTHNSKSK >cds-PLY73566.1 pep primary_assembly:Lsat_Salinas_v7:5:119036109:119040071:-1 gene:gene-LSAT_5X52840 transcript:rna-gnl|WGS:NBSK|LSAT_5X52840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKEKQVRLISAHFTLNLLIHTSSTITYQTSIKQLLKFSIESNRFYTGENGKSNFEHSWQIPEKQIPEHKISSAPLLTNGAKSHMGVIKLGKSRVHPEEATDLNGKRVLDPGSEVILQWNRMFLFSCLVALFVDPLFFYLPSVQINGGSSCMLSDLNLGIVVTCLRTIADIFYMLHIFIKFRTAYVSPSSRVLGRGELVMDPEKIAKKYMKSDFFIDLVATLPLPQIVIWFIIPAIRTQHADHTTNALVLIVLLQYIPRFYLIFPLSSQIIKATGVVTKTAWAGAAYNLVLYMLASHVLGASWYLLSFERHATCWKSTCRNDDVSIKCFLPYLDCGSSNDDERIKWANGTNLFSICDPDNPQGFNYGIFGDAVSKGVVSSNFVEKYFYCLWWGLQNLSSYGQNLSTSTYIGETSFAILIAIMGLVLFAHLIGNMQTYLQSITVRLEEWRLRRRDTEEWMRHRQLPNDLQERVRKFVQYKWLATRGVDEESILRALPSDLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTQGTFIVREGDPVSEMLFIIRGRLDSSTTNGGRTGFFNSITLRPGDFCGEELLAWALHPKSTLNLPSSTRTVKALSEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSYHWRTWAACFIQAAWRRIKKRRMAWNLSMSESSYRPDDDDHHHGYDDDDERMHEMDHHEEAAAEAVYDRNPAKQNLNVTILASRFAANTRRGMLKTKDVELIKLQKPDEPDFSADPDDD >cds-PLY81024.1 pep primary_assembly:Lsat_Salinas_v7:9:177054256:177056152:-1 gene:gene-LSAT_9X109600 transcript:rna-gnl|WGS:NBSK|LSAT_9X109600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGNGGNGGSKKRHRVGSNSRLSSTAAVIDSSRLDGTLTEGSHKPLPTSSIRRSNSRHSFLPIASSVPNGFNDPNTADVILRLYLDQSSPFDSDDSETAVAVDSTSEFEIYLHSSVLRRSKYFSALLSDRWKKDSGEVDYYRFNLAVTAGSINNHVTVLQLLYTNEISSTIDTASKALELLPIALELLFEDCVTACVRYLEAVPWTEEEEIIVLSIIPLLRDEESVELLARVSPPKSDLSEEMLHGLILTAIHNHPNMAFAKAFVAKLLRDFSSRESARRVLDKSFETSLKVVKESLEEYSSPDFRGDHNETEAIQRLNLHTAMTNGRHLLWLIERMIELRVADTAVKEWSEQASFTADLQRAFRDDAWRNIVPGLPAVVLRCTCKLANAVASGVILASRQVRMKMVKDWLPVLIVCKDNVTPMLTNHKSLYLELEETFLKIISTLPMSEAQELLQQCLSFSTRNVEDCPHLVSAFTTWFRRANRQLPGDQHPLS >cds-PLY87167.1 pep primary_assembly:Lsat_Salinas_v7:5:263904740:263908780:-1 gene:gene-LSAT_5X135721 transcript:rna-gnl|WGS:NBSK|LSAT_5X135721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRRRKQPEDEVQQHPDTKPDEDDDKEEKALKKSKSKNYSCLDNCCWFVGCVCTAWWLLLFLYNAMPASFPQFVTEAITGPMPDPPGVKCLKEGLKVKHPVVFVPGIVTGGLELWEGHQCMDGLFRKRLWGGTFGEVYKRPSCWVQHMSLDNKTGMDPPGIRVRPVSGLVAADYFAPGYFVWAVLIANLARVGYEEKNMYMAAYDWRLSFQNTEVRDQSLSRIKSNIELMVATNGGNKAVVIPHSMGVIYFLHFMKWVEAPAPMGGGGGPDWCAKHIKAVMNIGGPFLGVPKAVAGLFSAEAKDIASARALAPGVLDSDLFQIQTLQHLMRMSRTWDSTMSMIPKGGDTIWGGLDWSPEEGYCPSKRKNGKNVTENESTSQECEATHANYGRMVSFGRDVAEAQSSEIERIEFRGAVKGNSVANHTCRDVWTEYHDMGFGGIKAVAEYKVYTAGEIVDMLEFVAPKMMERGSAHFSFGIAEDLDDPKYEHYKYWSNPLETKLPNAPDMEIYSMYGVGIPTERAYIYKLTPAAECYIPFRIDASAKDKNEDCCLKDGVYTVDGDETVPALSAGFMCAKGWRGKTRFNPSGIKTHIREYDHNPPSNFLEGRGTLSGAHVDIMGNFQLIEDVIRVAAGATGEELGGDQVYTGIFDWSEKINLKL >cds-PLY72377.1 pep primary_assembly:Lsat_Salinas_v7:5:6572277:6575572:-1 gene:gene-LSAT_5X4021 transcript:rna-gnl|WGS:NBSK|LSAT_5X4021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKITGKTDLPAILSSKALNFTPDSDLLHKPISRKQLSRKSRNPGGGVRLKRDGLPASGKRGSRPETPLLRWKFNEAKQEEEDKDAEVESEKLPDSGRKNGLKARRGKDVTTVSARKLAAGLWRLQLPDVRVNHGGELCNVPKSNGVVFQPAIDHSGIHSPARHMRKSFDSHMKEVPQSPNSVTGSRNKFLHKLEPTFHFSNSAMEGATKWDPASWKASEDVRRIFSESKHLEEQVGVVSMVSTLQSELEQSRSRIHDLENERRSSKKKLEQFLKKLNEERATWRSREHEKIRAVIEDIKGELNRERKNRQRMEIVNSKLVNELADVKLSAKRYMQDYEKERKARELIEEVCDELAKEIGEDKAEVEALKRESMKMREEVDDERKMLQMAEVWREERVQMKLIDAKVALEDKYSQMKKLVSDLEDFLSSKNLDVEEVKRAEILKETADSVNIQEISEFKYEKPNPDDIYSVFEEVSFGEMNGNEIEHRHSSGYFDQNGLKEDEDEDEDDDDDDDGEDDDESGWETVSHLEDQGSNYSPNRSDPSVTNNNNNNREWEENDETTITEITEVCPVPSKNPKKPSSISRLWRSSYSSNGGDNCKMIPIDGRLSNGTHLSSGVGAGLSPDRSSGVSLSEWSSPGSNGHMTKGGMKGGCIEWPRGVMQKNSLKAKLLEARMESQKVQLRQVLKQKI >cds-PLY84661.1 pep primary_assembly:Lsat_Salinas_v7:7:105524169:105526952:-1 gene:gene-LSAT_7X69161 transcript:rna-gnl|WGS:NBSK|LSAT_7X69161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSSTPPAAADSLHSSVPRRNSKRPKYSRFTQQELPACKPILTPRWVISAFMLVTIVFVPIGLASLFASRDVVEIVDRYDAECAPTGSRSDRVRYIQSAADKTCNRTLSVPKHMKRPIYVYYQLDNFYQNHRRYVKSRSDQQLRSRSNEDDTSSCKPEDDANGRSIVPCGLVAWSMFNDTYSFFTNNNQQLPLNKRGISWKSDRDQKFGKDVFPKNFQNGTLIGGASLNESIPLSEQEDLIVWMRTAALPTFRKLYGKIEVDLEAGDTINVIMKNNYNTYSFNGKKKLVLSTSSWLGGKNNFIGIAYLAVGGLCFLLAITFTIIYLVKPRRLGDPSYLSWNRNPGGH >cds-PLY65614.1 pep primary_assembly:Lsat_Salinas_v7:8:40125526:40127870:-1 gene:gene-LSAT_8X31281 transcript:rna-gnl|WGS:NBSK|LSAT_8X31281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMAKSNNFDQIEQVFQEMNLSGFGPSNTTSLELILTCVKSHKLKQAYDLIQLMRRFKFRPAFSAYTTLIGALSTIHEPDLILTLFHQMQELGYEVHVHLFTTVIRVFARESRLDAALSLLDEMRSKYVDGDIVLYNVCIDSFGKAGKVDMAWKFFHEMKVHGIMPDDVSYTSMIGVLCKANKLNEAIELFDELEHKKRVPCAYAYNTMIMGYGMAGMFDEAFKLLEKQRLKGSIPSVVAYNCILTCLGKKGKVNEALKLFDEMKKDASPNLSTYNILIDTLCRSQNLEKALEIQESMKESGLYPNILTLNIMIDRLCKSQKLDEACLIFEKMDHKVCPPNGYTYCSLIEGLGKMNRVDDAYKLYEQMLDANVTPNAVVYTSLIRNFFKVGRKEDGHKIYKEMIRKGIIPDLTLLNTYMDCTFKAGETQKGRALFEEIKVHNMSPDARSYSILLHGLIKSGFSKETNSILHNMKKQGCVLDTPTYNTFINGFCKSGQVNKAYNLLEEMKSKGHPPNVVTYGSVIDGLSKINKLDEAYMLFEEAKSKGVELNVIIYSSLVDGFGKVGRIDEAYLIMEELMQKGLTPNIYTWNCLLDALVKAEEIDEALVCFNSMKDLKCTPNGITYGIIINGLCKIRKFNKAFVFWQEMQKQGVQPNVVTYTTMISGLARSGNVIEANRLFERFKKGGGIPDSGCYNTMIEGLSVSNKAMDAYLLFEDCRMKGCNVYLNTCVVLMDSLHKAECLEQAAIVGAVLKETAKACHASKSM >cds-PLY80538.1 pep primary_assembly:Lsat_Salinas_v7:3:200603110:200607213:-1 gene:gene-LSAT_0X43420 transcript:rna-gnl|WGS:NBSK|LSAT_0X43420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGIISALYSLFCDKLASEAFRQFARFKKIDDADVEKLKMSLIKIKDLLNDASQKEIRQEAVKEWLNSLQHLAYDIDDLLDELSTEAMHREFTEESGSSSKVRKLLPSARMHDKFENITIKLQELFEEKYKLGLSVIGESPKHRNRRLETSLVDPSSIVGRKDDKEALLLKLYEPCDRNVSIVPIVGMGGVGKTTLARLLYDEMQGKDRFELMAWVCVSDEFDIFNISSIIFQSIGGGNQVFKDLNLLQVALREKISNKRFLLVLDDVWSESYTDWEILAGPFLAGAPGSKVIMTTRKMSLLTQLGNNEPYHLPILSDESALSLFCQHALGEKNFDSHPLLKPHGEGIVRKCNGLPLALKALGRLLRTKIDEEDWKEVLNSEIWSLEKGDGIVPALKLSYHDLSPCLKQLFAYCSLFPKDFEFDKEKLILLWMAEGFLQSTTNKTMERLGLEYFNALWSRSFFQPAPNDRSLFVMHDLMSDLATSVAGELFSRVDIGTEKEHRNESFEKYHHLSFVCEEYMVSGRFEPLKGAKSLRTLLAVSVGVIKNWQRFYLSNKVLKNLLQEFPLLRVLSLSHLGISEVPEFIGSLKHLRYLNLSRTDIKLLPENVCNLYNLQTLILFGCESLTKLPNSFLRLKNLRHLDIRNTPGLKKMPLGIGELKGLQTLSKIIITGENGFAITELKNLQNLHGKISIWGLGNVQNETEARGSHLSQKRLTELELDWGYGLLQTRLSMLEFAVSFGDSELNVFRKETLDKEVLTELKPQADSLKKLEIVSYAGIEFPNWVGDPLFLGLTHVSIYGCEECTSLPRLGQLPSLKELYIGKMSKVKVVGWELLGTGVAFPSLEILTFDSMLSWGVWSADNNGVVDAAVFPCLKELIILFCPNLVEVSLEKLPLLRVMTVKGCGHGVLTSLVHVASSVTELILYDISGLTHEVWGGVMKYLGEVEEVTIERCSEIRYLWESEAEAGKLLANLRRLEVRYCSNLVSLGEKEEDNCGSNLTSLRWLWVSLCASLQHCSCPDSLESLTILTCDSITSVSFPTGGGQKLNLESFPFPDHELPNLASLTHLEIKNCTSLDASFAGGLWPPKLCYLTIGGLKKPMSKWDPQSFPTSLVDLSLYGGPYEEVTDFSRLSGLFPSSLTSLWIERFEKLESVSTGLQHLASLQHLRIVKCPKMMDLPEKLLPSLLSLGIIESPNLKTKSNIGGSYWPVVSLIPCLWD >cds-PLY78063.1 pep primary_assembly:Lsat_Salinas_v7:4:353921061:353921913:-1 gene:gene-LSAT_4X173980 transcript:rna-gnl|WGS:NBSK|LSAT_4X173980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSHHRRRVSPPLSAAPTSCSTGITETHTAITSSKFYRVHNFVAMAENMVTQEHDELTEALNDLFTNVTTMIKGDLQGTNNVLKLLETMNLKVAEEYEGFGDVASGLRVFVERLKAKSENFDEYVQQIDAIEQQVTDLEVVISMLDKYVSLLESKVQSVYKMPASSSS >cds-PLY67703.1 pep primary_assembly:Lsat_Salinas_v7:4:2081392:2082641:-1 gene:gene-LSAT_4X2061 transcript:rna-gnl|WGS:NBSK|LSAT_4X2061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGGPFFRNYSIQKPSRSVPVQQTQHRSSPKVVSVPVHFISSEQPRTTLSMLKDSAALKIQKVVRGFIVRKSVKKVGSIRNEVSEIERRINDIEIIDLIRRDAKERLRVNETLMSLLFKLDSIRGVDCGVRLLRKAVTSKAIALQEKVDSIAHADQENLDSLNYSGEIDVNNVCRSTSEQITETSGDTGNSQSVENDVVKEMVVNYNHVEIAADLTEIEEEDHTSIAGSMEVEKVHKEGDGGNDDKGKREVVEKLMADNEKMMKLMIQISERNEMQTRMINSLSRRVEQLEEAFRTDKLRRKKKKTRAALRRLDKDNFSI >cds-PLY65505.1 pep primary_assembly:Lsat_Salinas_v7:3:416845:417756:1 gene:gene-LSAT_3X841 transcript:rna-gnl|WGS:NBSK|LSAT_3X841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLHLVALAKVKLLGVSKLHGAPFVAILAWPFFLKVVLSLKPFQNLIMSVAQESRLFVFQLNQIIGFQAAGRSRADHGFDGNRRRWERVIRLVQERLPNVGRSMPFEDYDQSLQVLSIVAF >cds-PLY97020.1 pep primary_assembly:Lsat_Salinas_v7:2:35011741:35014844:1 gene:gene-LSAT_2X15720 transcript:rna-gnl|WGS:NBSK|LSAT_2X15720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCRTRFGPKSDQGMTTSSAGSMTPRSPLMTSKISQIDLLLVGKGAYSEHDDLPQMNELADIARCAGNTSLDDDQWQSYSGGSCPNNKKKIIKKEKYLQMCEMVDDEKVDIANTVIDEDAPLEDDVVRSLRTSPIHFGNKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFSIKIDLLAEISRSPCILSEVDASLKTKRMKNDVDEYVKTRPQGTSFLSELKQKLVISPCEAARAGTRYNVPLMNSLVLYVGMQGTANIKVAGTDMNNKSSWSHSVFTCWFSKITNTNFFQTTEDLEFNWVIKGDGCKLDSGTLSLPTFEFNSVIEGDFGS >cds-PLY80531.1 pep primary_assembly:Lsat_Salinas_v7:3:200472983:200476723:-1 gene:gene-LSAT_0X19860 transcript:rna-gnl|WGS:NBSK|LSAT_0X19860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGIISALYSLFCDKLASEAFRQFARFKKIDDDDVEKLKMSLIKIKDLLNDASQKEIRQEAVKEWLNSLQHLAYDIDDLLDELSTEAMHREFTEESGTSSKVRKLLPSARMHDKFENITIKLQELFEEKYKLGLSVIGESPKHRNRRLETSLVDPSSIVGRKDDKEALLLKLYEPCDRNVSIVPIVGMGGVGKTTLARLLYDEMQGKDRFELMAWVCVSDEFDIFNISSIIFQSIGGGNQVFKDLNLLQVALREKISNKRFLLVLDDVWSESYTDWEILAGPFLAGAPGSKVIMTTRKMSLLTQLGNNEPYHLPILSDESALSLFCQHALGEKNFDSHPLLKPHGEGIVRKCNGLPLALKALGRLLRTKIDEEDWKEVLNSEIWSLEKGDGIVPALKLSYHDLSPCLKQLFAYCSLFPKDFEFDKEKLILLWMAEGFLQSTTNKTMERLGLEYFNALWSRSFFQPAPNDRSLFVMHDLMSDLATSVAGEFFSRVDIGTEKEHRNESFEKYRHLSFVREEYMVSGRFEPLKGAKSLRTLIAVSVGVIDSWQRFYLSNKVLENLLQELPLLRVLSLSNLSISEVPEFIGSLKHLRYLNLSRTDIKLLPENVCNLYNLQTLILFGCKSLINLPNSFLKLKNLRHLDIRDTPGLKKMPLGIGELKGLQTLSKIIIGGENGFAITELKNLQNLHGKISIWGLGNVQNAMEACDAHLTQKRLSELELDWGYGLLQKRLRLCHSDTGLNVFRKETHDKEVLTELKPQTDSLKKLEIVSYAGIKFPNWVGDPSFLGLTHVSIYGCEECTSLPELGQLPSLKDLYIGKMSNVKIVGWELLGTGVAFLSLEILAFDSMPSWEVWSTNNNGVVDAAVFPCLKKLLILFCPNLVEVSLEKLPLLRVMTVKGCGHGVLTSLVHVASSVTKLILHDISGLTHEVWGGVMKYLGEVEEVTIERCSEIRYLWESEAEAGKLLANLRRLEVRYCSNLVSLGEKEEDNCGSNLTSLRLLWVYGCASLQHCSCPDSLQSLFIFTCDSITSVSFPTGGGQKLKSVFIGDCKKLKSMNELKYFIQLTAFVIEECPSLESFPFPDHELPNLALLTHLKIKNCTSMDASFPGGLWPPKLCYLTIGGLKKPMSEWGPQSFPTSLGYLHLYGGPYEDVTDFSRLSGLFPSSLTSLWIERFEKLESVSTGLQHLTSLQHLSIDKCPKMMDLPEKLLPSLVSLKIFECPNLKTKINIGGSYWPVVSLIPCLWG >cds-PLY85717.1 pep primary_assembly:Lsat_Salinas_v7:4:217147819:217149177:1 gene:gene-LSAT_4X119901 transcript:rna-gnl|WGS:NBSK|LSAT_4X119901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRRTLTKRLIKDQTPFIDHTPFTSLSSHRALNAANSNLKRDLLTSPENSSPTADAGFFRRFLQRRKINQSSTMSLPELFLFPVGEKLREKLNISNGERVMLDGLRNPVTERPPVSIDSVGISVNDARKILRFSQLQKVRSALKQIPTNSISYSEFLTVCIDICNNHDQGVEFSKMLDEAGDVIVLGNVVFLRPDQLTKSMEKLISQSIAIPNDPRKQQLEELETQKALIDQKSVSQVRGELYCGLGFLVIQTMAFMRLTFWELSWDVMEPICFFFTSFHFALAYMFFIRTSKEPTFEGYFQRRFKTKQKKLMEVYNFDLEKYNQLRKAFYPTCENLSFQDLGQGGRGNTVFG >cds-PLY77147.1 pep primary_assembly:Lsat_Salinas_v7:7:183667287:183668185:-1 gene:gene-LSAT_7X108940 transcript:rna-gnl|WGS:NBSK|LSAT_7X108940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVIIISHLSLLLLILNANAFEFMVGGSGDWSLASTSYNQWAERSRFQIGDTVLFKYQSGKDSVVQVTKEDYDNCNAASPIAKYDDGHSMVKLNESGPHYFISGLVDNCKKNEKVVIVVMADRSHRSPPSSPPSPAPAGEESPSPPPAGEESQSPPPAPATEDNNPTASPNVATSIITSSVYSIIAFVGSSLVFVF >cds-PLY99776.1 pep primary_assembly:Lsat_Salinas_v7:9:52290278:52291295:-1 gene:gene-LSAT_9X47200 transcript:rna-gnl|WGS:NBSK|LSAT_9X47200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFIPSLLLLNKNKETWRWPSCKNPKTMSFRVGSGDMMFKTVNSVFFDPKYTHPAEAEAEMTTPDSFFTNSSESASISSESEEYLNTNEYSSVENIVRGARSERLFFEPGPTTSSILEGNKQCVDILIEGGGGGGGGRDDCGGNGDIPYKESVAVAIESEDPHGDFKKSMQEMVENHGLKDWECLEELLGWYLRMNGKNHHELIVGAFVDLLAGISGGDGNSGGNGGTGGGGASSSDHSVDSFASVASTFTSPISSPPLSKRGGEKEIIEEEKIVIN >cds-PLY69065.1 pep primary_assembly:Lsat_Salinas_v7:3:206975586:206976517:1 gene:gene-LSAT_3X121480 transcript:rna-gnl|WGS:NBSK|LSAT_3X121480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTLDKRGNIFILTLTGDGGDEHRLNPTLIASIRSALSEAKSQSTRGTALITVAEGKFFSNGFDLAWAKSASGGSPSEAVNLLRHMVELFKDVVADFISLPMPTIAVVTGHAAAAGLMLAMSHDYVLMRRDRGILYMSEVDIGMTLPGYFTALMKSKVARPDVRRDVLLRGVRVKAEEAVAKGLIDSAYDNGEKAVEAGVHMAEELTKRKWDGEVYAEIRKSLYPELCGVLSLVSRDLVKARL >cds-PLY85220.1 pep primary_assembly:Lsat_Salinas_v7:1:149104478:149104648:-1 gene:gene-LSAT_1X105380 transcript:rna-gnl|WGS:NBSK|LSAT_1X105380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTNSSSYQISPPPSSSIHHGFDMISSSSYLLLTRSATILLFVSYYRLFHHHFQ >cds-PLY81234.1 pep primary_assembly:Lsat_Salinas_v7:4:304160234:304163765:1 gene:gene-LSAT_4X153740 transcript:rna-gnl|WGS:NBSK|LSAT_4X153740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRVKKVKKEEVDNNSDWSWLMDKGISGPFICISLFSILVRVSVSMHPYSGAGDSPKYGDFEAQRHWMEITTNLPVNEWYHNSTSNDLAYWGLDYPPLTAYQSYVHGIFLRFFHPDSVSLYTSRGHESYTGKLLMRWTVLTSDVLIFFPAVLWFIIVYASQSKGYKSNLPWHVAMILLNPCLILIDHGHFQYNCISLGLTIGAVAALLCDKDLVASFFFTLALNHKQMSAYFAPAFFSYLLGKSLRCKNPVLEVVKLGIVVIGTFALIWWPYIHSKVAIFEVVSRLAPFERGIYEDYVANFWCTTSVLIKWKKLFTTQSLKLLSLTITLSSCLPSMFLQIKAPSKRGFLYGLLNSAFSFYFFSFQVHEKSILLPLLPASLLAVEEPFLFQWLTQFALFSIFPLLLRDKLLLPYIALYAIFILIHYGKPKPKPNTQKPNFFLSFLIVCLLLLSLVLHVIYLKVNPPKKYPFLFEAIIMLLCFSQFVFIAVYSNRKLWILSRTLLVEIEKKRI >cds-PLY79959.1 pep primary_assembly:Lsat_Salinas_v7:4:249988934:249990765:1 gene:gene-LSAT_4X132521 transcript:rna-gnl|WGS:NBSK|LSAT_4X132521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFIRNSHLSWSVLVVLCMALLVSVCEARVHRGKGSGFVRTKGPNFVLNGSPFLFNGFNAYWMMNVATDPSERMKVTQVLQDAANAGLSVCRTWAFADGGDKALQISPGAYDERVFQGLDFVVAEARKYGLRLILSFVNNYKDFGGRQQYVNWARSSGVQINSDDDFYTNPIVKGYYKNHVQRVITRVNTITRIAYRDDTTIMAWELINEPRCQADYSGRTVNVWVQEMASFVKSLDRHHLLEVGMEGFYGDTMPERKQINPGYQVGTDFISNNLVRGIDFATIHAYPDQWLSGQNEESQMAFMQRWMWSHYQDSKTILKKPLVIAEFGKSSKDPEYNINKRDSYLNAVYRNIYMMARTGGTVGGGLVWQLMADGMASYCDGYEITLSENLSTSNIISQQSQAMSTLSQLLRIASENVPSGKNHKLQLDHIKGRRSSKTNHHHHHHAHNQKAMP >cds-PLY89230.1 pep primary_assembly:Lsat_Salinas_v7:5:310607699:310609342:-1 gene:gene-LSAT_5X168241 transcript:rna-gnl|WGS:NBSK|LSAT_5X168241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEHGGSRWRLVLISSPLQGHMTPMLQLGSYLHSRGFPITIVHSELNRPDPSNHPNFVFFPLPNNLSGTGVSSGVIQFLQALNDNCRPHLEKHLIQIINTQKATSEKESIVIIYDNLMFFAGSLAADLRLPSIILRSSCAAFLPAYKIIPQLHQEGRFPIQDSLLQEIIPELHPFRYKDLPFNGLPIQQILQMVTMITPKTPPVAFIWNTIEFLEQWALTQIHHQYQVPIFTIGSLHKTSPTLSTSFLEEDTSCMSWLDKQSPKSVIYVSLGSLATMDAKVSTEMAWGLASSHQPFLWVVRPGSVHGCDWIEFLPEDLVSEMKVRGLIVKWAPQKDVLAHSAVGGFWSHCGWNSTLESVCEGVPMLCQPFNVDQMLNARYLSYVWKMGLEIAVERGEIESAIRRVLVSKEGEEMRRRAMEIEDQVRIAISPGGSSRNSMNDLVDFILSL >cds-PLY91092.1 pep primary_assembly:Lsat_Salinas_v7:5:6667282:6667809:-1 gene:gene-LSAT_5X3800 transcript:rna-gnl|WGS:NBSK|LSAT_5X3800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVAESFFGGLDPESGHTYEQTPPPVVEVEDNTVTPIKGRIKMKSKFCREPYTQVPPSTEPLKNKKGKKNPQKENNVAKRQLLLENYEDCDDEFRKLWGKKMGAVFVEHRLLRGIDMNWEFWSSLLDIGSGWLLSEVTHIPYIILQKELDT >cds-PLY80976.1 pep primary_assembly:Lsat_Salinas_v7:9:174981697:174983275:1 gene:gene-LSAT_9X107560 transcript:rna-gnl|WGS:NBSK|LSAT_9X107560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRREVEDVVELMQNIQSRLTVKEAARTSVLSKSWLHAWSTFPNLRFDVGRGKSMKLVDVERTLIRYHRDNTPIKRFDLKIDIANQESASQAEKWIGRVATKTCLKEISLSLFLWGASFMLPDEILASENLTKIRVSAPRIHSNSVWMTATNPVIKCMSSLRELHLEGVSISEEALHHILSSCCLLVKIELLHSCEGFKTIKVKNLPRLYELNISFASLYENSTALEISDVPKLVRKVRRLMLGNVIIDNTCLDMIKSGFPFLVSLTLGLTYWKLTTFHFSSSSIKKLVLYSCQPTLVDIQVHAPKLLIFDFSGDTLPSLLFPVSSLKQHMVSLRLKHPVDASFFLNMREALRLSRKCELHIHLSQPPVDMDIDIDDLRTRLLLPPATNVQELRFQTTEDECMWERSLFFDAFFEICHPKCVYAKPDVRFKHNNHFCRLMLREVLEKKTTTIGIVYWPHYLKHVQIRRALHQKWETLTNFHRSFLDGSTPDVYMYFNLNWC >cds-PLY75248.1 pep primary_assembly:Lsat_Salinas_v7:7:65133165:65134907:-1 gene:gene-LSAT_7X46700 transcript:rna-gnl|WGS:NBSK|LSAT_7X46700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARNIVLQESRDPAARSRVSQNDESYRLLRNGEGSKTIEGLALDLIFMFKKRLTSNVHNSLKILNLKYSLDLVSIRHLSRLPNLKTLILLHCWSLSLTQVCKTIGGLKKLSLLDFGGHHLPRNKKYANPLQMLKTLFTGGGMPQLFLVPFPDSLKNLFLTKVDEVDLGHMKWIKTYHDTEVNLAGDDVNRYGCHTKVLYEYGIMSTYLASIKDQSMPMSSFLSFHVPSCSEKCRIRGLNITASYRRLGTSHNKDKDRWALFTKVSNTTKGLT >cds-PLY97453.1 pep primary_assembly:Lsat_Salinas_v7:6:91033959:91035030:-1 gene:gene-LSAT_6X62960 transcript:rna-gnl|WGS:NBSK|LSAT_6X62960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALNPNSGFIIDDDLLNFSFDDDSRPPSSSMDFSYANSQNSDDYSRSLPVFAEEELEWLSNKDAFPAVDTFFDIISVDHQIPVSVLENSGSSGSHSISLHVPVRKRSSRRRRGRECWWWNQVIQPPFSLPKLPATGGGSGGLGRRCQHCFADKTPQWRAGPMGPKTLCNACGVRYKSGRLVAEYRPASSPTFSTGLHSNSHRKIMEMRKKMQAG >cds-PLY85551.1 pep primary_assembly:Lsat_Salinas_v7:2:195740532:195748242:-1 gene:gene-LSAT_2X116541 transcript:rna-gnl|WGS:NBSK|LSAT_2X116541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidinol dehydrogenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G63890) UniProtKB/TrEMBL;Acc:A0A178UP37] MSVMEDWLVSLHAELEEKGITIPERLNEDELHIFHDASGGDFAKFLSSVEKTIQWRRKYTMLSQQELDDWGNLVFWHGSDVMQRPTLVIRIGLAGSTLDSDDQAKFARAVDCSRLSPFEFPLQTFKSCVALLQDHYPNRLGCLLVVRVPSNAKVMTQTLYQDLRPGTMRKLIFVEAFDYRRVLSSYFKDMPDFPTTGEEAIGGLVILLMSIGAYSQLVFSQSPASPRFCSLHQSTIGSFRALASRQLLSKSHTSFTPVRLCNTVRSAMKSYKLSELTPTEVDNLKARPRINFSSIFSTVQPIVDDVRNRGDAAVKDYTLRFDKVELEKIIENVNELPDPELDESVRESFDVAYSNIYAFHAAQKPVEKVVENMKGVRCKRVARSISSVGLYVPGGTAVLPSTALMLSIPAQIAGCKTIVLATPPSSDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTATCPKVEKIYGPGNQYVTAAKMILQNSEAMISIDMPAGPSEVLVIADKYASPVHIAADLLSQAEHGPDSQVVLVIAGDGVDIKAIDEEITKQCNSLPRGDFASKALSHSFTVFARDMVEAVTFSNMYAPEHLIINVQDAEKWESFIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLDSFLKYITIQSLTEEGLAKLGPHVATMAQVEGLDAHKRAVTLRLQDIKARQQVV >cds-PLY77661.1 pep primary_assembly:Lsat_Salinas_v7:5:176004640:176006139:-1 gene:gene-LSAT_5X77320 transcript:rna-gnl|WGS:NBSK|LSAT_5X77320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRDHKVVVLSSDSRKDNATVKKLDEDEESDFEVNKPVPRKLPKVVSSGAEEARRIQVRTSPNVLYSFLHNLSKEQEAYISSIGLGHLLNMKVDGCASIMGHYIVKNFNADRMVLKLHHREIPINRQVIHEMLGLPLGHVTIKSMPYREVTNDTITVWRKQFEDEDNIRPRAVQQVIMQSTRAYLMFKVNIFVLLCNTLGQSMSMGTCDLSMLSKVTKHLDLSDIDWCGYVFDFLKETKSAWNPNSKKGFYVGPIILLLKVFDAIQ >cds-PLY96308.1 pep primary_assembly:Lsat_Salinas_v7:5:191904585:191906993:1 gene:gene-LSAT_5X84721 transcript:rna-gnl|WGS:NBSK|LSAT_5X84721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARRPKRLSSPGASSFVPRTRRSRHSLFFVGLPDYVDSSQANNVVVDSLPKVLTPPSSEPPPVAR >cds-PLY81667.1 pep primary_assembly:Lsat_Salinas_v7:2:25500430:25500810:1 gene:gene-LSAT_2X14281 transcript:rna-gnl|WGS:NBSK|LSAT_2X14281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLGFFQQMYHDLQPKDWSHIEGNLKIIVTLADGTVSQICGAHVIYKEDVQQSTTCISDYGNVVHVDEENLGYDESISGNNYVYEEKFDEKSLMPLKSRTSTKRPMKHIHCRLLFAGDIDDDDDDV >cds-PLY66981.1 pep primary_assembly:Lsat_Salinas_v7:6:140621152:140622635:1 gene:gene-LSAT_6X85860 transcript:rna-gnl|WGS:NBSK|LSAT_6X85860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLITSHSISCLNLKKPSLKSQYPQSFFHLRPNFSSSRSSLKLQYNFNDKLFSQKRFGATINASIAGQTNPIDDEYTWLLEPVGDGDSRHIGFKVAMPSSFEISSKEATVGRVPEKADIVIPVATVSGTHARFQKKGGALLLTDLGSTNGTFIDEKRLTPGVQSVVTPGRYVTFGDTNLAIFRVSKIKNVKPITQSSESEPQVELETEGVSNVQNI >cds-PLY72497.1 pep primary_assembly:Lsat_Salinas_v7:2:139231476:139232371:-1 gene:gene-LSAT_2X65900 transcript:rna-gnl|WGS:NBSK|LSAT_2X65900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATVDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLFSKEATGGEKQFSSQGHQGNFSKNEGSGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIQPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGGYQQHRHLRYHSVINKAPLKALHHLPLPLLP >cds-PLY91153.1 pep primary_assembly:Lsat_Salinas_v7:4:162646332:162649237:1 gene:gene-LSAT_4X97981 transcript:rna-gnl|WGS:NBSK|LSAT_4X97981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLENLDTLNSSGTDDKQGTENREDLDSRASGTKTNGGDSSDNEATSSVNDKGIKRSAGGDIAPTTRHYRSVSMDSFMGRMNFADESPKLPPSPGGHIGQLSPNNSIDSNSNTNTFSLEFGNGEFTGAELKKIMANEKLAEIALSDPKRAKRILANRQSAARSKERKMRYITKLEHKHMGDFNYPRLAYFVAATSGSNKVQCQKVLEELDVSHI >cds-PLY76052.1 pep primary_assembly:Lsat_Salinas_v7:5:318410208:318412134:1 gene:gene-LSAT_5X175381 transcript:rna-gnl|WGS:NBSK|LSAT_5X175381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSSMIISFALLALCLMINTNTSSAQLSPDFYSKSCPKVFKVVSSVIQSAVSKEKRMGASLLRLHFHDCFVNGCDGSILLDDTPSFTGEKTAGPNNNSVRGFNVIDDVKSKVEKVCPGVVSCADILTISALESVLALGGPSWKVKLGRRDSKSASLAAANSGVIPPPESTLSNLINRFQAVGLSTKDMVALSGAHTIGQARCTIFRARVHNDTNIDASFAKSRQSNCPLPVGSGDNNLAPLDVKTPNNFDNTYYKNLINQQGLLRSDQQLHNGGSTDSLVEQYSKNPGSFHVDFAAAMINMGDIRPLTGSNGEIRKNCRKVNS >cds-PLY68232.1 pep primary_assembly:Lsat_Salinas_v7:3:103498575:103501380:1 gene:gene-LSAT_3X77480 transcript:rna-gnl|WGS:NBSK|LSAT_3X77480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDLGRNSTPHHLRLRVCKTIYDLVLTSTSLPPHVTSLSSTPIGSSALRRSTYFPTNDIVQSKHYSNVLHILLFICIVYRAFGDELLVVGGQQETRELKDHHFKEPIEVVDDKIVLAARKSNFSMDKNPKFSKDGSKRVTVANEVQSSGSKQGREFVVKLSDRVIISKSPKEGVEFYLKDGHLCSALPKAINTMTREEKADLIVQPQRKVL >cds-PLY66748.1 pep primary_assembly:Lsat_Salinas_v7:1:168533477:168533957:1 gene:gene-LSAT_1X112261 transcript:rna-gnl|WGS:NBSK|LSAT_1X112261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESEAERVAWVRRNYGSVLTVSTSLFNRLLRVFSRSGPLKDAVEMIQAEIVDGGLLKDCLELGSSDLKGVIQILKELALQYSSTTGKTEL >cds-PLY73583.1 pep primary_assembly:Lsat_Salinas_v7:6:128217861:128221853:1 gene:gene-LSAT_6X76160 transcript:rna-gnl|WGS:NBSK|LSAT_6X76160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 24 [Source:Projected from Arabidopsis thaliana (AT3G16810) UniProtKB/Swiss-Prot;Acc:Q9LRZ3] MAFKNQKDSQSNKRKRIPGATKGDDGGKFSPKKKLRTPSNNQPNKGFKKPFDSKAAGQSNKGFKKPFESKAAGGKPKYNKSDTSQDAPKTKRELRIQAKELAEARKKKRRPHYTLEQELALLWEKMRRRNIAKEDRSKLISDALRRMKGKIPEIAGSHVSCRVLQTCAKYCSKEEGNAVFEELRPHFLTLACNTYAVHLITKMLDNASKEQLAGFISSLHGHVASLLRHMVGSVVVEHAYQLGNATQKQALLMELYSTELQLFKDLVSLKERRLVDIISKLNLQKTSVIRHMSSVIQPILEKGIVDHSILHKALVEYLTVADKTSAADVIQHLSSALLVRMIHTKDGSKIGILCIKHGNAQERKKIIKGMKGHVSKIAQDRFGSMVLMCILSVVDDTRLIKKIIIRELQKVLKELIFDKNGRRPLLQLLHPNCSRYLSPDDLSLLDSSIPSLLIKGDLETEAEAEAEENVKSSEVEEDEDEVEEDEEENVKTVTGGKKDPLLRRRELLVDSGLAESLIDACCENAEDLLRSNHGKEVLFEVVTGGADGILHPQLDEKLESLYETIASIVAIPKSKEEEEEKEHLLENFHSSRTIRKLIIDCPSFASTLWKKAFKGNCKMWAQGHSAKVICAYLESSDSKVVKMAKQELQPLLDKGVLKLPEKNQPKKAD >cds-PLY68520.1 pep primary_assembly:Lsat_Salinas_v7:2:214046691:214047227:-1 gene:gene-LSAT_2X134580 transcript:rna-gnl|WGS:NBSK|LSAT_2X134580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKKVMEIRPSIEWNKGHALEYLLHNLGFDNSRNLLPIYIGDDRTDEDAFKVLSERGDGFPIVVTSKPRDTMAFHSLHDPSEVLSFLIRLGRWGFDNNADSVLT >cds-PLY82598.1 pep primary_assembly:Lsat_Salinas_v7:2:188251381:188253360:1 gene:gene-LSAT_2X110080 transcript:rna-gnl|WGS:NBSK|LSAT_2X110080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVKEAKRTIIAKPVASRPTISNFGSFSDILPGAINISPSTEPTVTAIRPKTLRFKPVMSSPNVEILKSDHTSPFLYNPLAKTVSRTTISLLANMGTCNTSHVQTATQNLESMNPPKLKSDDKRLQSHTNNSDRPSYDGYNWRKYGQKQVKGSEFPRSYYKCTHPNCVVKKKVERSINGEIAETVYKGEHNHTKPRLPRRHAFDGTIKDSSSQLPSNQLKNDNKLNEVVGSSLRLTNVVKVHEEFDASCMPTLEPITSKRRKITSERYGEGLKEGGVVVHDGIDSEAIADGFRWRKYGQKVVKGNPYPRSYYRCTGVKCDVRKHVERASDDPNVFITTYEGKHNHEMPMKKLN >cds-PLY69467.1 pep primary_assembly:Lsat_Salinas_v7:6:44113010:44115233:1 gene:gene-LSAT_6X32361 transcript:rna-gnl|WGS:NBSK|LSAT_6X32361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMIWPQGDYIELHRKRNGYRHDHFERKRKKEAREVHKRSQTAQKALGIKGKMFAKKRYAEKAQMKKTLAMHEESSSRRKVDDDVQEGAVPAYLLDRETTTRAKILSNTVKQKRKEKAGKWDVPLPKVRPVAEDEMFKVIRTGKRKTKQWKRMITKVTFVGQGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLEMIGVKKNPNGPMYTSLGVVTKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >cds-PLY93954.1 pep primary_assembly:Lsat_Salinas_v7:8:110382762:110384203:1 gene:gene-LSAT_8X74461 transcript:rna-gnl|WGS:NBSK|LSAT_8X74461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRSNPSDLDVKKSDIDRIKRAPTSKPPFTLADIKKAIPPHCFERSLIRSFSYLVADLTAVSIFYYLATTYIPQLPHPLPYLAWPVYWYVQGCVFMGIWLIGHECGHHAFSDHVWLEDCIGFVLHSCLLTPYFSWKISHRRHHANTGSLDHDEVYVPKTRSKLGSSAFYLDNPIGRTLTLIVKLSLGWYIYLSINAAGRPYDKFASHYDPRSPIFSDNERILILMSDIGLIGFSTLLYKLATIHGFATVFCAYGGALMVMNAFLVIITYLHHTHASLPHYDDSEWNWMKGAFATVDRDYGVLNKVFHNITDTHVLHHLFSYIPHYHAMEATKAIRPIVGEFYQKDSTPFYLALWRESKNCLFIEPDESDEKNKGIYWFRSQY >cds-PLY98579.1 pep primary_assembly:Lsat_Salinas_v7:1:39066943:39067272:1 gene:gene-LSAT_1X33500 transcript:rna-gnl|WGS:NBSK|LSAT_1X33500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSRGRQGRKGEEAKFCSSLPATRCEVWALQAALVAKTSLLTSVVDGNLRGRKRGESGSDGSPVGSHSSQVRTSVVFARKSLNRKNAVHLMIDSGDDGRHGGFLLLV >cds-PLY67264.1 pep primary_assembly:Lsat_Salinas_v7:5:135276746:135279875:1 gene:gene-LSAT_5X58981 transcript:rna-gnl|WGS:NBSK|LSAT_5X58981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSPEPIEEEPTTNREYEGKRVSVGYLFFRGCIALLLSIFFLGLVAFTLVVLAVIFSNLSISYPISVPCQCKIVSSSVDLKSAKVCELGLLNYKAKHVFYPSEKKKFRCRYDYYWASVFEVEYIDHSGHPHIAFAEAPNEALPPNCRPTFNAAWMAKDKFKVNETYDCWYTLGISKLNLYYDEFFNCQADHPSMMEMLKRYLILSTEMLMTWLSQTGRAQGRRFRWEVVFGAITGFLTSLITIALGRMLYLLKSRIVNMSRVKMVYLLRLKRVCFLVAYCSFVSWVAIQYWGKLGLLDVFDGYK >cds-PLY64560.1 pep primary_assembly:Lsat_Salinas_v7:6:34565525:34566856:1 gene:gene-LSAT_6X25181 transcript:rna-gnl|WGS:NBSK|LSAT_6X25181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSMSFVVGVIAVLMLLHAAYSTIQYRALLKITEDEFSGPPFEVLLELALVLVLSLFAGLTVPGNFRSILPDSDENRVVSLPANMNFMIFNHRGKAFPTETGLKLN >cds-PLY96057.1 pep primary_assembly:Lsat_Salinas_v7:8:21466503:21467794:-1 gene:gene-LSAT_8X16540 transcript:rna-gnl|WGS:NBSK|LSAT_8X16540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKGKGVMGSRKWAVEFTDNSSTPSSRDIPDPPGFTRATHDQDDSTLSRQKKDAEANWKSQKAWEVAQAPFKNLLMMGFMMWMAGSTVHLFSIGITFSALWQPLSALQGVGKVFEPYKDSKVDLNAPKLLFIALNLGGMLLGVWKLNTLGLLPTHASDWVSSLPPAHEVEYSGGVSGVILAMKAAIIGL >cds-PLY72188.1 pep primary_assembly:Lsat_Salinas_v7:7:58844252:58846197:1 gene:gene-LSAT_7X43121 transcript:rna-gnl|WGS:NBSK|LSAT_7X43121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLKSAQASSYRDRTHEFSSISERLRKSISPSNAVAGINSSGGGAGGSTKIEGSRSTVAFQSEFNKRASKIGYGIHHTSQKLARLAKLAKRTSVFDDPTMEIQELTAVIKQDITALNSAVVDLQLVCNSQNQSENVSSDTTTHSTTVVDNLKNRLMSATKEFKEVLTMRTENLKVHENRRQLFSATSSKETANPFVRQRPLSNKSTAGPSPSNSPPPWANESSTSSPLFQRQQQQMVPLQDSYMQSRAEALHNVESTIHELGNIFTQLATMVSQQGELAIRIDENMEDTLANVEGAQGQLMRYLNSISSNRWLMIKIFFVLIAFLMIFLFFVA >cds-PLY67969.1 pep primary_assembly:Lsat_Salinas_v7:2:12771117:12777109:1 gene:gene-LSAT_2X5621 transcript:rna-gnl|WGS:NBSK|LSAT_2X5621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVASEITKGVVQVLMVPVKKQLDYLVSYKKYVDDMHTKKKDLDVARLGVESQKKQNRERRLEVPAQVGPWLIEVEHMNEKVAHFPSEVPGCLDLKSRHKLGRKAFKIFKEIESVMGRCPEIQGTELQIPVGRIDSIMASTSKPSSDQNDFHSRELTFMKALEALGPNHSSHMVALCGMGGVGKTTMMKKLKDVVVGKKMFNHYVEAVIGEKTDPIAIQQAVAEYLGISLTETTKPARTDKLRTWFANNSDGGKKKFLVILDDVWQPVDLEDIGLSRFPNQDVDFKVLITSRDQSVCTEMGVKADLVLKVSVLEEAEAHSLFLQFLEPSDDVDPELHKIREEIVRKCCGLPIAIKTMACTLRSKSKDTWKNALSRLQHHDINTIAPTVFQTSYDNLQDEVTKATFLLCGLFPEDFNIPTEDLLRYGWGLKLFKGMDTIREARYKLNSCIERLKHTNLLIEGDNVRHVKMHDLVRAFVLDMFSKAEHASIVNHGSSKPGWPEIENDVSSSCKRISLTCKGMIEFPGEFKFPNVSILKLMHGDTSLKFPRNFYEEMGQLQVISYDHMRYPLLSSSLERSTNLRVLLLHQCSLTFDLSSIGNLPNLEVLSFAGSEFNRRPSIIGNLKKLRVLDVTGCYGLHIDNGVFKNLVRLEELWAFGRGISITGDDFNEGAKHWKNLSALEFEFHKNNAQPKNLSFENLERFKISVGRSLNKEYSMERKYSFENTLKLVTKKGELLESRINELFEKTVVLCLCVDDMNDLEDVGMKSSLCPLSSSFCNVRMLVVSECAELKYLFTLGVANVLSNLEFLVVFNCFAMKELIHVESGGEERVTFPKLISLSLKGLPQLLSLCGNVNVIALPHLMELKIKEIPNITSIYSKNNSATSCFLRGENTLFPPDLIHSFHNLRDLQLMRYEGVEVIFEMKSTPPSQDNQHRILPNLENMEISNTESMSHVWKCNWNEFLTLHGKTTESTFHNLTTIHLYRCKRIKYLFSPLMAELLSNLKRVHIEDCYGMEEVVSNRDDEYEEKITSTSTSTHTTRTTLFPLLDSLILDDLQNLKCISGGGAKGGNNEISFNSTITTTSFLDQYRFSQGDGVSWSLCQYAREISISYCHAMSSVIPYYAAGQMQKLQVLRIYSCHGMEEVFETKGINKSVIMLELANLKIVTISHCDLLEHIFTLSTLESLIQLEELMIESCKAMKVIVVKAEEHGVEKTTMASSSKVVVFPRLKRIKLIDLQELVAFFLGANEFQWPSLEKVEVDGCPQMKVFTACGSTDLSLKYVGRWVRQT >cds-PLY75187.1 pep primary_assembly:Lsat_Salinas_v7:2:204059535:204060258:1 gene:gene-LSAT_2X125820 transcript:rna-gnl|WGS:NBSK|LSAT_2X125820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVVQQNQHGNGFPSSALNPNAPMFVPASYRNVEDFSDQWWSLVRSSPWFRDYWLRECFSESQFDFHSSDNFDAFFPTEDSLPDVDCKIRGTEDEQREVRKDLILSRVSNWRKARAIESPRNYEKAPKIVNVKVNPRPIHQPR >cds-PLY64304.1 pep primary_assembly:Lsat_Salinas_v7:5:83950088:83950417:1 gene:gene-LSAT_5X39340 transcript:rna-gnl|WGS:NBSK|LSAT_5X39340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENSHSATIMNPNMPARLYAEIVRGTSKNNKENKEVEGADPPGESIKLLSFSGSKEAMQNTLVGELENFQALMNVKAFQEVXREINVPIKRKRDLATVVQKDHSMGSGM >cds-PLY98125.1 pep primary_assembly:Lsat_Salinas_v7:1:139946116:139946727:1 gene:gene-LSAT_1X101420 transcript:rna-gnl|WGS:NBSK|LSAT_1X101420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEELYKTLPQHTYSWLQGRATLYKYEGSWNAQNFPKKSILAQSYEAQPGDVLLCSCPKTGTTWLKALSFAILTREKFDHASTSPLLTTFPHDCVRFLENLHLEKLKENPSDLPLLGTHLPYSSLPKSVIASNCKIVYIYRNIKDVIVSYYHFFREIVNLSEENAPFEEAFDDFCRGISIFGPYWDHILEYWKASQERPDRFFS >cds-PLY87714.1 pep primary_assembly:Lsat_Salinas_v7:1:4097283:4099681:-1 gene:gene-LSAT_1X3360 transcript:rna-gnl|WGS:NBSK|LSAT_1X3360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSQLLLMLQILLMGFMNATQCKPTCSDKCGNVSIPYPFGIEEGCYLDESYYIHCNSTTGIPHLNISSIFTVMFGYWSHPLEVVEIKIDGNLIRINLPIAYRCYNEWGKLVSGSTTTINTSRFPFSSTQNWFTRIGCDLEANIRLHNPSVAMKCLLDCNYFHGSVRNGSCLGHGCCQASIPQGMTYAEIDIGLPENKTSMVGNLSRCGYAFIVEKDKYYFNTSELRNMRNNMSFPVALDWSVGDTKCEEAQKDKVTYMCQENSVCYEGETGVNGYRCKCSDGYTGNPYIQNGCQDVNECESSELNDCLQGYCKNTRGGYNCICPNDHQGNAKKGGECTPVEPQSKPRSVIEGIIEGVAGAVVVMIFVYCGAKRRIRLKEELKKATDNFNETNIIGQGGYGTVYKGILANKTVVAIKKSKVIDQGQIKQFVNEVIILSQINHPNIVKLLGCCLETHVPLLVYEYITNKTLCHHIHRHPILTFEKRLKVAAETAEALAYMHSTTQIIHRDVKPSNILLNDEFTAKVSDFGISTFVPLGETHLSTFVKGTIGFGVVLLELLTGTKIHSLEIPLTVYRGAAAYFTSLLECDLLVQVLDDQLKGDVYVEVVKRFTKIAINCLDLEGKTRPTMKEVKHELEQLRCVLLSIEAQSI >cds-PLY79068.1 pep primary_assembly:Lsat_Salinas_v7:3:9068875:9071109:-1 gene:gene-LSAT_3X8120 transcript:rna-gnl|WGS:NBSK|LSAT_3X8120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDMMGLPVGGEDINELPLCDKDNQILEEWRGQYCCDKFNGGAYLRRIQATTKDTLMFKLNFLTLFVNNFIESMLMGTNQIKVVRKLVLVEDFSKLNWCKYMLDCLGLRKKLWKRDDKSTYYSGPITPVILVYVYNMKYSIKIDKRLPFIGHINGAKLLEIQQLEISLGGFGRQFWDEHDDVDMRDETGGEEQQLLSFKGDFGDEEEYTAVIEHSYGVILIEKSTMEVALKDGLEKFPDSVVLNEWMEKMNVLFKEVQEGASNKKVHEPECCNELNMNDVGDGGEGNSSPVQGLILTEVNIEKEVNYSTPVDTNSLTMTQFHRLPGVNEEMIKLLDETELQVYRKKQLMSGSSGDNVVGRNIGEVVDNAAEDDDNDKREKRIPKKAKIFRSPYIERIVKTEEISNELKSEDLKCRLFATLLRIYINKFDVKPSFRDVALVFFPIVDDGKYYLLIFDLRSSLYYIVDHVKRTETLERKYGMIPNLVLR >cds-PLY63536.1 pep primary_assembly:Lsat_Salinas_v7:9:25183041:25183460:-1 gene:gene-LSAT_9X22620 transcript:rna-gnl|WGS:NBSK|LSAT_9X22620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKTMERLGARVEYLEGELPKFLKTQHLPSIIPKNLIEPQLLIRPFSEHFDLRSFCCWLEGVEDAFDYCFVPEDEQVDVVSCKFLPGGEASKWWKRIQDLSMQVDKKHRINWHQMKRLLMAKFISPDCLLDNKKSQDSF >cds-PLY74561.1 pep primary_assembly:Lsat_Salinas_v7:7:34083328:34083942:1 gene:gene-LSAT_7X25441 transcript:rna-gnl|WGS:NBSK|LSAT_7X25441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSPSGGGIIPSNSGSSWTEDSFEIKVLMEPSPEPEMEGTSARSAIPRVDEAGPPPLTHNCSLESSMRNRIARLEGDGSSYLLDKEKGEYWSDIKLALGQAPSQQEYQRLLEFENRDLQIRELKHECLRLFQKVLTQNPTLAAQAPYNPQEAFNDFLGQHRDRLDRRELEVDVGERDQEEIKFLDLLRQRLKKDGPAYVTYIFK >cds-PLY93998.1 pep primary_assembly:Lsat_Salinas_v7:2:71020306:71021457:1 gene:gene-LSAT_2X32040 transcript:rna-gnl|WGS:NBSK|LSAT_2X32040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTYDQTTRFRYNPTEDSIEFSGILEIFIHHARNIHNICIYENQDVYAKFSLTYNPDETLSTRIINGGGKNPEFNEKLAIKITQFDAVLKCEMWMLSRAKNFMEDQLLGFSLVPLSLILGKGELTQDFSLSSTDLFHSPAGTVRLSLSLNTNFPFDSSLISSNNSSITSEVILLDRKISEVALDIEFPDINVARENQQMVSEYFGLSQNGCSGSTRNVHSGQNHNQPGPTRIVGPGSFLCLGASDQVDDYEMTVNSGSISPQDSIQNSGFFSSTMTSLSDDRNSADSSEKKMTLIGESSNSPKIDNLNDKKEANEEPAMQQQIVDMYMRSMQQFTESLAKMKLPLNLEKIEGEDRGDVIQRPKNNVEKKKEGGRVFYGSRAFF >cds-PLY68198.1 pep primary_assembly:Lsat_Salinas_v7:8:118816295:118818017:-1 gene:gene-LSAT_8X82421 transcript:rna-gnl|WGS:NBSK|LSAT_8X82421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METEMSESEDVRIEIQDNQPATNNVNHNVVREWVVCIQGNETTQRFERKRQMEKVPPLLLKGEKGGRNRRYYEPSVVSLGPYHHKREDLIAAEKYKLITLEEYRLRCKKTMDSLYNKVFEVVYDARKCYIDGSTDEYNDEKFNRMMLRDGCFVLFFIECIASQDNMLMLNNEYLGALGFAHVARDIFLLENQIPFVVLKVLLDLRFLDGGEEILKKFFNYLNYGEMSTKEENVLNNKQPLHLLELYRSYFISLPCSLGLASINARTRQTCWKKVDNADEDWNYVKRNRSFASVTELKAKGIFVKCTYDESSNEDIKFHSRCCYGELELVRRAVSSNSKAIYLNMIAYEMCPHNPNDFRVSTYIRVMKSLVIHHDDVKELRNNNILLHSLGRDEEVVKMYDEIEAPAVNLYMFNQLRQGIEKHCNNRYKTWAAELINVYFSSPWKTVALLVATAILFTSFLQTYFAIRPLPDDSSGDIAKLLRRCIHFKPHSPPA >cds-PLY87646.1 pep primary_assembly:Lsat_Salinas_v7:1:122266586:122269060:1 gene:gene-LSAT_1X92880 transcript:rna-gnl|WGS:NBSK|LSAT_1X92880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKEPLLPTNTSTPKTPTLLCALPEDNEISIPLSLTPSELKDILIFGSPKEPSSTIVDALVSTLNNPPQRSFSSDFTSISDQQPISTPQSWLIDPNYSFGKTNLHRSKTAPAMAAINDLEPPSESKPPQSSSSSIVRQAVLLLILYLSLGVIIYWYNRDHFVASETHVVVDALYFCIVTMCTIGYGDITPNNLVTKLFSILFVLIGFGFIDILLSGMVSYVLDLQENHLLQSLKNGNDQDHQSYIIDVKKGRMRIRMKVGLALGVVILCIGVGVVVLHYVERLDWLDSFYLSVMSVTTVGYGDRAFRTMAGRIFASIWLLVSTLAVARAFLYLAEARVDKRHRKMAKWVLDQGLTVSQFLAADIDNNGYVSKSEFVIYKLKEMGKVSEKDILQICKIFDRLDAGNCGKIMLADLLYTHHN >cds-PLY91149.1 pep primary_assembly:Lsat_Salinas_v7:4:161924016:161924420:1 gene:gene-LSAT_4X96901 transcript:rna-gnl|WGS:NBSK|LSAT_4X96901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSKSLGSSMVSLIFIFIILLSHPMHPQSDAAMLTSRQLFQDPRYPPCLCCQTDAPPPTHCYCACFVTHSEKP >cds-PLY89630.1 pep primary_assembly:Lsat_Salinas_v7:8:220354287:220355185:-1 gene:gene-LSAT_8X134000 transcript:rna-gnl|WGS:NBSK|LSAT_8X134000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKVAVEAINKNLGDERMVCLNQGGAEVPFILVDRGKWSQSDYLTIKLDGSKHPLVVTTVYHNL >cds-PLY76199.1 pep primary_assembly:Lsat_Salinas_v7:4:55557387:55558905:1 gene:gene-LSAT_4X37660 transcript:rna-gnl|WGS:NBSK|LSAT_4X37660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTLSIHKSYQYDVFLSFRGEDTRKTFVDHLYAALERSGIHTYKDDERLHKGKKIDEELLKSIEDSKIFIIIFSLNYASSSWCLRELVKIMECQKSNDQQFAYPVFYDVEPSEVRKPNGAFAEALSIHKMEEATVKWREALDEAANLAGWELKDTANGYEAKVIKISVEQILLKLRSIHFSDDENLIGMHHRVQDVESSLQIDNLNVVRMIGIKGMGGSGKTTLARSIFDKVSTQFDGISFVENVREVSKVHGLQSLQKQIISDILHYQGYIVNSVHDGRKMLSERLCYKKVLVVLDDVDHISQLEALGGATKWFKLGSRIIITTRDEQLLKAHGVDLIHNVNLLTNVEAVTLFNRYAFGTVLLFKGYEKLSLEVVRYAAGLPLTIKVLGSFLCGKDELEWMDALKRQENST >cds-PLY86688.1 pep primary_assembly:Lsat_Salinas_v7:4:318659439:318660539:-1 gene:gene-LSAT_4X158521 transcript:rna-gnl|WGS:NBSK|LSAT_4X158521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSILYTNMYLENPKPWWLYTHELENKISRLEEENERPRRQKEQNLPGFLLSLSGELAHDEKPVDSRKLAGLILKNALDAKEQHRKYELIQRWLSLDVGVKSQIKTYLLQTLTSPVHEARSTASQVIAKVVGIELP >cds-PLY67231.1 pep primary_assembly:Lsat_Salinas_v7:6:136760097:136762207:1 gene:gene-LSAT_6X82400 transcript:rna-gnl|WGS:NBSK|LSAT_6X82400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVQCGVYRRKSFMRWRDRFLFCAETIFKSQAETGEIKGHYLNATAGTCEEMMKRAIFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGYVFNTILKSTN >cds-PLY77928.1 pep primary_assembly:Lsat_Salinas_v7:1:25732127:25734474:1 gene:gene-LSAT_1X21720 transcript:rna-gnl|WGS:NBSK|LSAT_1X21720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSSSSPLSDPFGSSSDHIELDERRLSRKRKAVELGQSSSGNGIGSSDMFQRAESGSITWHGSEATPTSESIIPRLGLSVGGGNENSRVENTRRNVLRITSSHQQDLLPSNSDNREPDVSGLYPSLRLNPVDFLTPPVAVTSDDLSSQSGQPALRIPALRRNIQSTSRWSRNPSSRSNRSSSNLVISDRNEPDSISDHPLFAQPSDARTAVQTELNWSANGGGGAVNNVGIGVSDSSRASPNSGPIPTSAAPNSVPPRGSPHYHPRRLSAFLRRSLLSASDSEAGGGPNSNLFPRLPPAITTSELGIPIPPVVSGPGQHHQPHSRSSVLLGRQLDGAFGIPYLSRTMTGGSEGRGRLVSEIRNVLDLMRRGEPLRFEDLMILDQSVFYGIADIHDRHRDMRLDIDNMSYEELLALEERIGNVNTGLSEEAISKHLKTKQYVAEIGQPDAEPCCVCQEEYHNGDDLGTLDCGHDFHHGCIKQWLQHKNSCPICKSTGFAKL >cds-PLY86804.1 pep primary_assembly:Lsat_Salinas_v7:5:16140307:16141999:1 gene:gene-LSAT_5X8340 transcript:rna-gnl|WGS:NBSK|LSAT_5X8340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGDVAANSPSNTADETAENQQQEKPWHSYIYEDLPRTVQESVDSAVRSAWSXPPFLRLVAAAEFVHSYSNSLGTLSISKSHVRDHVVQYTTQYRSYEDLVFSKIKDQLTIIREHPTLSAGIAITAALLLIRAKESSWSRSTCCQSGLFCGQEVGSQARIS >cds-PLY63848.1 pep primary_assembly:Lsat_Salinas_v7:2:84728407:84731808:1 gene:gene-LSAT_2X38181 transcript:rna-gnl|WGS:NBSK|LSAT_2X38181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYINLIDLARNMFPGSSNFNSSNGLADVVLRSASETPVSNIVEPAFFSSLQSSMCNLSAKLTPPFLFQPSRVHESGERCVQGQHLWGDRSSDINNELYAGYKKGNSEKKINEILAAAQNGSGSSTASTPIQAWVQGANNNSNTSDGAPNTNVNNNNTNTNTTPNPIT >cds-PLY79833.1 pep primary_assembly:Lsat_Salinas_v7:8:18430357:18431934:-1 gene:gene-LSAT_8X14501 transcript:rna-gnl|WGS:NBSK|LSAT_8X14501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKENLAFPHHFASPYSTESESDDEDVVALTRLFSRSASFTEPLYNNLKEKRVFSGSPESTLGWLGSVHSQAPSLPPITRSDEDAWNMIYAAAGQVARMKMRMSLSNTGLPGVVPYCDGEEFFRQQQSCRNRCLSGSESVGGGCGGRPPSFPQTAWPPPQQRLQHRSNQQKPCNAPVMKGVVIGGSGGGCDGGGATAAKRECPGTGVFLPRRYCNNPPESRKRQACSPANLPAKTIQYSNNTLVPITGQPQTQARINVGLVPHYEMLMGRRNAMSLAAQQLRSNIHGHGGVMESPVNNPEMLLPQEWTY >cds-PLY75495.1 pep primary_assembly:Lsat_Salinas_v7:9:32125070:32125297:-1 gene:gene-LSAT_9X28941 transcript:rna-gnl|WGS:NBSK|LSAT_9X28941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKYVEILDLGVRMAARFHSHCPQTARLYYHPPSNSVDSSCRSHAPSALEESGKIRRFQASMSFNTREIIFSSAM >cds-PLY92409.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:850810:855015:-1 gene:gene-LSAT_0X10420 transcript:rna-gnl|WGS:NBSK|LSAT_0X10420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLWISKVKEGQHLSEDELQLLCEYVKDILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTIDQIRVIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINKLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFSENMEREVKFFTETEENNQMRGPRTGVPYFL >cds-PLY88200.1 pep primary_assembly:Lsat_Salinas_v7:3:211693412:211694032:1 gene:gene-LSAT_3X124501 transcript:rna-gnl|WGS:NBSK|LSAT_3X124501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSTLIEPGSMAQNIQSTFIETSPVIQERLSSFPELTSMDQDVQSPIVEEVIPLEGAQASGSLFETPELDISKGKSKLPESEFVNVIQLQNRVFDLEQNSTEKDLIIGKHDIQISNIEKESSDKYSKISELQANLGGLIALFFDLKQCLFQKSCDEFQPLSAEGEKITASSSGPSDPTSQSSNERTARLTQDANLDSFLSSVSLSS >cds-PLY83825.1 pep primary_assembly:Lsat_Salinas_v7:3:51939406:51942614:-1 gene:gene-LSAT_3X39960 transcript:rna-gnl|WGS:NBSK|LSAT_3X39960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:External alternative NAD(P)H-ubiquinone oxidoreductase B4, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G20800) UniProtKB/Swiss-Prot;Acc:Q9SKT7] MRGSYYTFYQRASHAFRDYPSLSKLVVICTISGGGLAAYSDVRPFGNVYADAAQPAIKKKKVVVLGTGWAGTSFLKNLKDPSFDIQVVSPCNYFAFTPLLPSVTVGTVEARSVVEPIRNIVRKNNLDFGFKEAECFKIDTANKKVHCKPTQNNSMGGKEEFTVDYDYLVIAMGARANTFNTPGVVENAHFLKEVEDALRIRRSIIDCFEKASLPSVNEEEKKRILHFVVVGGGPTGVEFAAELHDFLHEDLIKLYPDLEKYISITLLEAGDHILNMFDKRITAFAEEKFQRDGISVKYGSMVVKVADKSITLKERSSGETKHIPYGMVVWSTGIGTRPVITDFMKQIGQGNRRVMATDEWLRVEGVPNVYALGDCATINQRRVLEDIAAIFSKADKSGSGKLNVEDFNEVIKDIIERYPQVEIHLKSKKLKNFVQLLETHQGESAKQTTLDIEKFKSALSEVDTKMKSLPPTAQVAAQQGEYLAECFNRMKECDENPEGPIRFRDSGRHRFKPFRYKHLGQFAPLGGEQTAAQLPGDWVSIGHSTQWLWYSVYASKLVSWRTRFLVVGDWGRRFVFGRDSSRI >cds-PLY88017.1 pep primary_assembly:Lsat_Salinas_v7:4:178590478:178591823:-1 gene:gene-LSAT_4X105820 transcript:rna-gnl|WGS:NBSK|LSAT_4X105820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVMRRLSQRLPSKPLTGECLRLGRTTSFLHIRTLIVQSQNKPISNPGFPSSNPAFNANFITASRGFSSPSTVINPSSWLGGLVKKKKVIDLPCIVKAGDPALHQPAREVSLEEIGSDRIQKIIDDMVQVMRRRPGVGLAAPQIGIPLKIIVLEDLKEYIAHAPEEENKAQDRRPFDLLVILNPILRKKSNKSAFFYEGCLSVDGYRAMVERFVEVEVRGLGRDGKPMKVDACGWKARILQHECDHLEGTLYVDKMVKRTFRTIENLDLPLANGCPKLGVR >cds-PLY78050.1 pep primary_assembly:Lsat_Salinas_v7:4:183218735:183221257:-1 gene:gene-LSAT_4X106941 transcript:rna-gnl|WGS:NBSK|LSAT_4X106941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFGSLLGMKMIDVPLKIVYYVLDHFNFESLKVEFDNCEVSVDSKSVQEMLGLPSGGSLLSNMDYISENNEESCMFEWKKQYENIDKLRLKQLKNELVQTSVADDNFRINFLVLFINTFCESTSMGKCNLNPLYLIRRDTDLSSIDWCDYIVDCLVRTKKVYNPEKESSFFYGPAAYLMVQKIRFLEDILQESGGFGCGHVNEAYVEEEFQESEYNEEESGGDEVESDGEEDLCDEDEEDFDDNKVSDVEKMEDLKMDLAVKIDEGVLKFHQDYGNDFLNDDENVEDYDQGKCSGGQGDESGPHEGNIGKNHVEGKGDYDEDDKQGSGSGCNKEEDMNLKYVVENVTKSVGLIDSQEDVSFSQFICDPVDESFLKTLDQVEDDVNLNLTGIDDGTVNLGEDDHKNKVISDRTVDKIIIAKKNGEENAEDCSNKNKHSNETRSLKNDLAPSFSLGFSQDSEGSKKSSQSQISSEPMTKKKIKDRVILGNPSAGPECVTPNVDVIDASPVSFAPPLGTLEGLSKSVSGKHKDINEEATSVVDVKGKRQMKFSYVYKSPFKERLIDFKPSLTK >cds-PLY69405.1 pep primary_assembly:Lsat_Salinas_v7:5:301438060:301438933:-1 gene:gene-LSAT_5X162500 transcript:rna-gnl|WGS:NBSK|LSAT_5X162500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSGKLIGYIKISKKGDVFHDLFRHNPNEIAVISPDKIHACELVDGDRGTVGSLVHWHYSHEGKKKIDKAVIEAVNEENHMIVFNVVGGDLVDDKFKTMKVILHTEKKGDAQVITLTLEFEKLDTSVPYPTSFMDWFCHMLKDIDAHGKTE >cds-PLY71278.1 pep primary_assembly:Lsat_Salinas_v7:MU043991.1:33655:34191:-1 gene:gene-LSAT_0X38241 transcript:rna-gnl|WGS:NBSK|LSAT_0X38241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKFXKLVFSELYEASKQTVNPWIFEPESPGKSRIFDGRTGDPFEQPVIIGKPYILKLIHQVDDKIHGRSSGRYSRLTQQPLKGRAKKGGQRVGEMEVWALEGFGVAYILQEMLTYKSDHIRARQEVLGTIIFGGRIPTPEDAPESFRLFVRELRSLALELNHFLVSEKTFQLNRKEA >cds-PLY68258.1 pep primary_assembly:Lsat_Salinas_v7:3:189100999:189101280:1 gene:gene-LSAT_3X113561 transcript:rna-gnl|WGS:NBSK|LSAT_3X113561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPILFEFLVEENNKRQWCRSVALSISLNQFTAMKTFKVVPYGAYVRFGINPRLDVKTLNYPMDIKL >cds-PLY66413.1 pep primary_assembly:Lsat_Salinas_v7:9:38129372:38129736:1 gene:gene-LSAT_9X34141 transcript:rna-gnl|WGS:NBSK|LSAT_9X34141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQYETFMTSIEAKICRGRMLSSNCVKAEDCKGMCKEIQYYESTCEKIDGTLRCICQRPCG >cds-PLY96128.1 pep primary_assembly:Lsat_Salinas_v7:3:98659082:98663971:-1 gene:gene-LSAT_3X74560 transcript:rna-gnl|WGS:NBSK|LSAT_3X74560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPASMEWNENSLHEKGFIRDEDERPKVPHNKFSDEIPVISLEGIDDLEGSDGGVKSRRAEICEKIVKACEDWGIFQVVDHGIDAKLLSEMTRLAREFFQLPAEEKLRFDMTGGKKGGFIVSSHLQGETVRDWREIVTYFSYPIKARDYSRWPDKPEEWRPVTKEYSEVLMGLACKLLEILSEAMGLEKEALTKACVDMDQKVVVNYYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDGGKSWITVQPVEGAFVVNLGDHGHYLSNGRFKNADHRAVVNSTTSRLSIATFQNPAPEATVYPLKINEGEKSIMEEPITFVDMYKKKMSGDLELARLKKLAKEKQENLEKMKSDKNILV >cds-PLY81046.1 pep primary_assembly:Lsat_Salinas_v7:6:132110784:132115076:1 gene:gene-LSAT_6X80201 transcript:rna-gnl|WGS:NBSK|LSAT_6X80201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKTAEGGNDLCLYSTNNFIGRQIWEFDPNHGTPQDRATVEQAQTNFWNHRHRVKPSSDVLWRMQFLKEKEFKQTTPQVKIEDSEEISCEKATTTLRRCVSFFAALQASDGHWPAENAGPLYFIQPLVICMYITNHLDVVFPEEYRKEILRYLYCHQNEDGGWGFHIEGHSTMFGTALSYICMRLLGEDPDGGLNGACTKARKWILDHDSVTTIPSWGKTWLSILGVCEWAGTNPMPPEFWILPSFLPMYPAKLWCYCRLVYMPMSYLYGKRFVGPTTPLILQLRDELYSQPYNEINWKSIRHLCAKEDLYYPHPLLQDLMWDSLYICTEPLLNRWPFNKLRKKALETTMKHIHYEDENSRYITIGSVVKSLCMLACWVEDPNGVCFKKHLARIPDYIWVAEDGMKMQSFGSQGWDASLSIQALLATDLTEEIGATLMKGHEFIKASQVKDNPSGEFKSMHRHISKGSWTFSDQDHGWQGSDTTAEALKCCLLLSTMPPEIVGEKMKPEKLQDAVNIILSLQSKNGGVASWEPSGSSEWLEIFNPTEFFADIVIEHEYVECTSSAMQALVLFQKLYPQHRRKEIEGFLTCASGYLEKIQMQDGSWYGNWGVCFTYGTWFAIGGLTAVNKTFENCHAIRKGVKFLLKTQLEDGGWGESYKSCPEKKYIPLEGGRSNLVHTAWAMMGLIHSQQMERDSTPLHKAAKLLINSQLENGDFPQQEITGVFMKNCMLHYALYRNIYPMWALADYLKKVLLKI >cds-PLY68326.1 pep primary_assembly:Lsat_Salinas_v7:3:232525291:232525782:-1 gene:gene-LSAT_0X41801 transcript:rna-gnl|WGS:NBSK|LSAT_0X41801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGICRKSHPFIYVMLHLSFFIHVSYSVLKAKDPPASKPFEPYRIFITNRDVETMVVQCPPEGDERGLDVMKPGNTITWRFRRDIFDSTRYDCNFYSLKEDNQVMKSITLAVFNNRIAGRCGRNLFSMNRCYWIVTKYGFYLSKHNQTFPNLYDWQVMYVWDTI >cds-PLY71871.1 pep primary_assembly:Lsat_Salinas_v7:7:122444610:122446869:-1 gene:gene-LSAT_7X72860 transcript:rna-gnl|WGS:NBSK|LSAT_7X72860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKKFTSEEVSGQNQVKASVQRRIRQSIADEYPGLELVMDDLLPKKSPLIVAKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPTIMKKLQVDRGAIKYVLAGANIMCPGLTSPGGILDEEVGAETPVAIMAEGKEHALAIGFTKMSAKDIKAINKGIGVDNMHYLNDGLWKMQRLD >cds-PLY97544.1 pep primary_assembly:Lsat_Salinas_v7:5:237166550:237216038:-1 gene:gene-LSAT_5X113561 transcript:rna-gnl|WGS:NBSK|LSAT_5X113561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMLATAFMNSYPFHAMPSGKLGLHTGNLLAHTMINTKHVSNWGLQYKAPTKVNAKKLGALDSNILNQEKEGPNMVSDLGLRKMIQDGFIFQEKVCIRYYEVGPDQTASVETVMNHLLETSVNHMKTIGLMHDGFGSEEMSKNNLTWVVAKTHVVVDRYPTWGEIVQIDTWKSAHGKNGVCCNLTFCDCKTGEILVRASSIWVMLNKNTRKLSKFPNMVRAKLEQCFVDTLPTVREDTRTWSGEAENIYEHICKGLMPRWSDLDINQHVNHVKYIGLVIESVPKIIVENYEIHSLTLEYFRECTKDNVLQSLTSILGNHKGIIANVDFVECHHLLQIGGGGGNIMKGRTRWRLKHKKSQGSGALISSLANGSV >cds-PLY93654.1 pep primary_assembly:Lsat_Salinas_v7:2:200461891:200462250:-1 gene:gene-LSAT_2X121341 transcript:rna-gnl|WGS:NBSK|LSAT_2X121341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITIAVPSLGGRICSVVIEDKSNEKGSSKALWEDFALSSILALPASTTSPDSLRLKSPHSSAREKTMEANFLKHYGSHLYSLFVLRCFDSSTTFAQKLDISRSVAYGSESFHLTSKSRR >cds-PLY68467.1 pep primary_assembly:Lsat_Salinas_v7:2:214711671:214714417:-1 gene:gene-LSAT_2X136301 transcript:rna-gnl|WGS:NBSK|LSAT_2X136301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKEQQAATIPGGVSLVLKANGVGEGSPSNFNKKHAMNILYQKQNIEAILTKNTISGYVSYGGLRSCIVDLLYGLLKFDPEERPSAEEALDNPFFRNTHAMLNSRSWNNGQISKDDHGSVDMAPRPSYNFTPDAKLGKILLDQSSVLSESELTPCSTSFSSIASNATPN >cds-PLY77520.1 pep primary_assembly:Lsat_Salinas_v7:2:163589238:163590768:1 gene:gene-LSAT_2X84300 transcript:rna-gnl|WGS:NBSK|LSAT_2X84300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNISSNGEIFGQKNRRQNLSSIINTLDRVYSLDLITRSSKNGCSTAIGFKWMLNQGLMEHRKEILHYFAKKGVSVIFFLRRNMLCRLVSILANSFDKHAKLLNGMHVSHVHSPKEALTLSKFKPTINVSSLKSDLGEMESTAMKALEYFNSTRHIIVYYEDLVKNPYKLIQVEDFLDLPRMELSSRQVKIHNGPLSEHIKNWEDVKKSLTGTPYERYLRVDY >cds-PLY96671.1 pep primary_assembly:Lsat_Salinas_v7:7:43914128:43915990:1 gene:gene-LSAT_7X30060 transcript:rna-gnl|WGS:NBSK|LSAT_7X30060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSISAIFMWLAPYILSMAAFLIFLEQINYLKKKRSVPGPSLVLPFIGNAISLVRNPTEFWDLQSAMAKSSDMGFSVNYIIGRFIVFIRSTDLSHKVFANVRPDAFHLVGHPFGKKLFGEHNLIYMMGQEHKDLRRRIAPNFTPKALSTYTQLQQYTILKHLKSWMESPESNTKPFPLRLLCRDMNLETSQNVFVGPYLSGDSRKRFEVDYNFFNVGLMKLPIDLPGTAFRNARLAVSRLIETLGVCAEDSKAKMKTGEDPTCLVDFWMQDTLREIAAAEEAGEKHPPPHSNSTEIGGHLFDFLFASQDASTSSLLWAVTLLDSHPDVLERVRTEVSSIWTPESDTLITAEQLREMRYTEAVAREVVRYRAPATLVPHIAGEDFPLTDTYTIPKGTIVFPSVYESSFQGFIDADRFDPDRFMEERQEDRVYKKNYLAFGAGAHQCVGQRYAINHLVLFIAMFTSLFDFKRDRTDGCDEITYVPTICPRDDCKVVLSLRCRRFPNLS >cds-PLY63269.1 pep primary_assembly:Lsat_Salinas_v7:4:200428456:200430245:1 gene:gene-LSAT_4X112141 transcript:rna-gnl|WGS:NBSK|LSAT_4X112141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSLCTRIYRSFLSTNPIANHHKSLLISLHHFSTEIPSGSDSDSTVAPELDPDPLSQSSDSNSRQREFGDRPLENGLDVGVYRAILIGKVGQNPIEKKLRSGRTVTMLSIGTGGMRNNRRPLQNEEPRDYANRSMIQWHRVSIYPERLGALVVKNAVPGAILYLEGNLETKIFNDPITGLTRRVREIAIRRDGRVVFLEKGGDAQEDRAEIKGVGYY >cds-PLY96460.1 pep primary_assembly:Lsat_Salinas_v7:5:108961910:108969931:-1 gene:gene-LSAT_5X47180 transcript:rna-gnl|WGS:NBSK|LSAT_5X47180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin interactor EPSIN 1 [Source:Projected from Arabidopsis thaliana (AT5G11710) UniProtKB/Swiss-Prot;Acc:Q8VY07] MDFMKVIDQTVREIKREVNLKVLKVPEIEQKVLDATDDEPWGPHGTALAEIAQATKKFSECQMVMNVLWARLTETDRNWRHVYKALAVIEYLVANGSERAVDDIIEHTFQISSLSCFEYVEPNGKDSGINVRKKVETIVALLNDKDKIQAARNKAAATRDKYVGLSSTGITFKSGSAASFSSSNFQKSDRYGGFGSSKDGETFKDSFKEREKSGEDRFEPGKYKPRTPGSASASNSKKGSSRHGSTIQDSSASGASKASVKSAADKNSSIRLQSVSAPSNDNDDDFDDFDPRGTSNSKAVAASSPQVDLFGQSLIGDLLDATENSNGNGNSKSEEVDLFADAAFVSAGSQTKVDLFASPPPASCAAIPAMDFFAAAVQPQSQPEINKPSESYTTTPTKIVDPFAAVPMTDFDNSDFFGSFSSHTETDSLNNQNLKTPPPPPPLSSKKDGFQVKSGVWADSLSRGLIDLNISGPAKKVDLTDVGIVGGLSAGPEEKEKEKGLPNSLFMGQAMGMGSGLGKSPPAFTSSSSSTDIDDFFSSLSSTANQNHFGSFQK >cds-PLY77456.1 pep primary_assembly:Lsat_Salinas_v7:4:47896992:47897397:-1 gene:gene-LSAT_4X30781 transcript:rna-gnl|WGS:NBSK|LSAT_4X30781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADAIGPRLYGCYNCRNHVAFHDDIVSKNYVGRQRRRAFLFSHVMNVVVGPKMDRLLITGLYNVADVSCSDCGEVLGWRYEKAYIEAQKFKESKTVLEKFKIVKEDW >cds-PLY81559.1 pep primary_assembly:Lsat_Salinas_v7:2:127329683:127334603:1 gene:gene-LSAT_2X59921 transcript:rna-gnl|WGS:NBSK|LSAT_2X59921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVWRILGGIATSLLFSAFESWLVAEHNKRGFEQQWLSITFSKAIFLGNGLVAILAGLFGNLLVGSLAMGPVAPFDAASIFLAIGMAIIISSWTKNYGDSSESKDLMTQFRGAAVAIASGVSKRAKECYGNMENALKALRAAVFADGVVADEARAA >cds-PLY63749.1 pep primary_assembly:Lsat_Salinas_v7:8:294460691:294461194:-1 gene:gene-LSAT_8X162420 transcript:rna-gnl|WGS:NBSK|LSAT_8X162420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGQNRTEVELQDMINELEVEGNGTIDFPEFLNLLTRNVNTQRGAIFTEIKNNVNKIAKWNTPAIVTIANMMKMGYTTRVHPCDHFDLVILAIVGNKQKGIDGQIGTKFDDQFTLHVKFLLLPLNLKIAKLKEKLTTSREIQASLVEIEDRNTSFNGLPKSDWCL >cds-PLY61710.1 pep primary_assembly:Lsat_Salinas_v7:5:215427607:215435622:-1 gene:gene-LSAT_5X99201 transcript:rna-gnl|WGS:NBSK|LSAT_5X99201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWNSAENLSARSSSFREDGDDEEALRWAAIERLPTYNRVRKGIFKNIVGDSKEVEVNKLEVEELKVVLDRLLNSADDDWKRFFDRMRRRFDAVDLEFPKIEIRFQNLNVETYVHVGSRALPTITNFIVNMTEAFLRQLKIYKGKRRKLTILDDVSGIIRPSRMTLLLGPPSSGKTTLMLALAGRLGHHLKMSGKITYNGHGLKEFVPQRTSAYVSQQDWHVAEMTVRETLDLSARCQGVGFKYDMLMELTRREKIAGIRPDEDLDIFMKALALGGHETSLVVEYILKILGLDTCADTLVGDEMLRGISGGQKKRLTTGELLAGPSRVLLMDEISNGLDSSTTYQIIKYLRHSTRALDGTTLISLLQPAPETYNLFDDIILICEGHIVYQGPRNTALDFFSFMGFQCPQRKNVADFLQEVVSEKDQEQYWSAPDRSYSYIPVKKFAEAFRSYRVGKNLVEELSVPFDKKYNHPAALSTSRYGVKRSELLKTSFNWQLLLMQKNSFIYVFKFVQLIFVAITTMSVFFRTTLHHRTVDDGGLYLGELYFSMVILLFNGFTEVSMLVAKLPVIYKHRDLHFYPCWVYTLPSWILSIPTSLIESGIWVVVTYYVVGLDPNIVRFLKQFLLFFFLHQMSISLFRLMGSLGRNMIVANTFGSFAMLIVMALGGYVISRDSIPSWWIWGFWISPLMYAQEAASVNEFLGHSWAKRDNQSMMTLGEKLLKARSIFPQSYWYWIGLGALLGYTILFNVLFTFFLSYLNPLGRSQAIVSEEDPKDKDGKKNSESVVIQLREFLEHSGSFTGKNINQRGMVLPFQPLSMAFSNINYYVDIPLELKQEGVIEDRLQLLVNVTGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGVIEGSIHISGYPKSQETFARISGYCEQNDIHSPCLTVVESLLFSAWLRLPSDVDIKTQKVFVEEVMELVELTPLKGALVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFEAFDELLFMKRGGQLIYAGPLGPRSCKLVEYFEAIEGVRKIKAGHNPATWMLEVTSLAEEARLGIDFAQVYRQSKLFIRNRDLVERLKKPRNDSKELHFPSKYSRSYTDQFATCFWKQYLSYWRNPQYTAVRFFYTSIISLMLGTICWKFGSKRETQQDLFNAMGSMYLAVLFIGITNATAVQPVVSVERFVSYRERAAGMYSPLPFAFAQGAIEFPYVLAQAVIYSTIFYSLAAFEWSTWKFIWYMYFMYLTMLYFTFYGMMTTAMTPNHNVAAIIAAPFYMFWNLFSGFMIPHKRIPIWWRWYYWANPIAWTLYGLVVSQYGDLDNPVKLSDGVQSMPIKQLLNVVFGYKHEFLGVAVFMVFGFCFLFAVVFAFAIKSFVFQKR >cds-PLY84155.1 pep primary_assembly:Lsat_Salinas_v7:6:188586690:188588603:1 gene:gene-LSAT_6X114360 transcript:rna-gnl|WGS:NBSK|LSAT_6X114360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHNLETRSLMDEVRSFNSAGGLFDFGHPLLNRMAGSFITAAGIGAVQAVSREACFTALENASGDETRISSKRKFRGLHGETSRNSVEALVTSTGKESIQWGLAAGMYSGLTYGLKEARGVHDWKSSAVAGAITGAALALTSDDSSHEQIVQLAITGAAVSTAANLLTGIF >cds-PLY74493.1 pep primary_assembly:Lsat_Salinas_v7:7:36857453:36857689:-1 gene:gene-LSAT_7X27281 transcript:rna-gnl|WGS:NBSK|LSAT_7X27281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFGGAHDYEQQETAMTAPSSTYGFPNGRDTHTITTCSAEEIRKWWWRSGREAAATPAAGFTSARPDTVAGYSDSNGG >cds-PLY80662.1 pep primary_assembly:Lsat_Salinas_v7:5:247222883:247224431:1 gene:gene-LSAT_5X123220 transcript:rna-gnl|WGS:NBSK|LSAT_5X123220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSIGLFLFVGFLVARTKAGPIDVKTKGAKGDGVANDAEAIMTAWKEACDSPNPCQVVIPPGTYMVSSPLTLSGPCKNPIEINANGATLKAPSDPTGIKGPGWIMFKNVDKMTLSGGIFDGQGQEAWKTNNAAKTGKCDLPYNFRFDMVKASFIRGITSMNSKHFHMNFLGCDGTTVEKVTIEAPAESLNTDGMHIGRTNGLNITDCTIKTGDDCVSIGDGSSNIHIEKIKCGPGHGISIGSLGKYPDEAPIDGIFIKGCTMTGTDNGLRIKTWPGSPPGKAVNMHFDDVQMENVGNPILIDQEYCPYVGCKPETNSSKVKIADVSFKGIRGTSSTKAAIRLLCSKDIPCEKVELADIDLKYEGKEGAGAISECKNVKPMTTGKIIPEACAAPVEPFKEKGGEADSSS >cds-PLY75390.1 pep primary_assembly:Lsat_Salinas_v7:6:180147773:180148072:1 gene:gene-LSAT_6X110280 transcript:rna-gnl|WGS:NBSK|LSAT_6X110280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKLEQLLRARIQYVIHARRTIEVLRARFEEGERLHQQVENELPMSSPYFNFAMATVALRKARHIYSNTESNLLALGEELQQTVTALRWMARDVPDQ >cds-PLY88505.1 pep primary_assembly:Lsat_Salinas_v7:2:154879505:154880506:1 gene:gene-LSAT_2X79621 transcript:rna-gnl|WGS:NBSK|LSAT_2X79621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carboxylesterase 17 [Source:Projected from Arabidopsis thaliana (AT5G16080) UniProtKB/Swiss-Prot;Acc:Q9LFR7] MATISLSQMSTNFRIQNHSPVVEEISGLIRVHKDGYVERPPVVPHASCMVPSELKVVAIDVTIDKFTNLWARIYSPSNQQYFSSKLPVLVYFHGGGFCVGSASWACYHDFLTNLARVACCVIVSVNYRLAPENRLPAAYDDGVNAVLWLKQEGLKGTNELKRCDLSSLYLAGDSAGANIAYHVAKRLSSKSVLSPKGVILIQPFFGAESRTMSEKQSAQAANSALTLSASDTYWRLALPVRATRDHPWCNPFAKGAPRLSDPKLFKTMVCVAELDILKDRNIELASALAGVGASVQTVIYKDVGHAFHVLHNYPMARARNHEMMIHLKGFINQ >cds-PLY69626.1 pep primary_assembly:Lsat_Salinas_v7:5:112169647:112171054:1 gene:gene-LSAT_5X49680 transcript:rna-gnl|WGS:NBSK|LSAT_5X49680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISATQCFHGNVSECVNASKSRFSNVFVCTLEACFEVNVSTKFLSPDTAYTVNLVFNIMGTKDPEKAYYWEYATCWAYVPFRYKLDEWNEYQNSCDPSVREDGWLMVQLYTSRNKNKKHNLRIEFMAPRDPRIFKYILEGIELRPI >cds-PLY93074.1 pep primary_assembly:Lsat_Salinas_v7:9:26574484:26575208:-1 gene:gene-LSAT_9X23341 transcript:rna-gnl|WGS:NBSK|LSAT_9X23341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAESRPPLGLNITKALIDGHNFNVVASILSASGVVQEFESEAGGAGITLFVPTDDAFADLPATANFQSLPADKKADVLRFHVLHSYYPLGSLESIVNPVQPTLATEDKGAGSFTLNISRVNGSVAISTGIVEASVTQTVSDQNPIAIFGISKVLLPKEIFGKKETVVDAPAAAGAQSPVMSPPPLNSPPEFYGQSWPPGLREEVHSTAGVGIVNLGLLMCTGLLYVLI >cds-PLY61642.1 pep primary_assembly:Lsat_Salinas_v7:2:47314805:47325537:-1 gene:gene-LSAT_2X21380 transcript:rna-gnl|WGS:NBSK|LSAT_2X21380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase CTR1 [Source:Projected from Arabidopsis thaliana (AT5G03730) UniProtKB/Swiss-Prot;Acc:Q05609] MPGRRTNYTLLTQIPDDDHLQPPQPPPPSIFSGGTGSGGAYDSSHHSHSGEKKRNERAGFDWDLMNMTTDQRMQQPRQTAFPASIGGLQRQSSGSSYGESSISGDYFLPSLSAAVNSGGGDPDAYAHLPDGGGGEVLRLKSSETAGGGGGGGSYSSKSWAQQTEESYQLQLALALRLSSEAMCADDPNLLDPVTEETAGSRSSGSTTSAEGLSHRFWANGCLSYFDKVPDGFYLIYGMDPYVWMMCCDLQESGRIPSLELLKAIDIANESSIEVILIDRRNDPSLRELQNRIHSISCNSITTLEVVDQLAKLVCNRMGGTTLSGEDELVSMWKECSEDLKDCLGSVVLPMGSLSVGLCRHRALLFKILADTIDLPCRIAKGCKYCKRDDASSCLVRFGVDRELLVDLVGNPGCLCEPDSLLNGPSTISISSPLRFPRFRQVEPMVDFRSLAKQYFADCESLNLVFEDPSIGDGDIVDAIYPKQSEKSHVDIIRNSPSNSNDGSRLPPSKAALRPKGHDRSSHLPKVYAQNIMGTSQSYVVSSDTRMEVPSNQIQRFENSGQSQPHKDRGNFTMDVEDLDIPWSDLVLKERIGAGSFGIVHRADWNGSDVAVKILLEQDFHPERLNEFLQEVAIMRRLRHPNIVLFMGAVTQPPNLSIAVAKPGAKEALDERRRLSMAYDVAKGMNYLHRRNPPIVHRDLKSPNLLVDRKYTVKVCDFGLSRLKANTFLSSKTAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELATLQQPWGNLNPAQVVAAVGFKCKRLEIPRDVNPQVAALIEACWANEPWKRPSFSAIMDTLRPLTKPPTPQTSQTDVSLLA >cds-PLY95048.1 pep primary_assembly:Lsat_Salinas_v7:5:227425958:227428428:-1 gene:gene-LSAT_5X107181 transcript:rna-gnl|WGS:NBSK|LSAT_5X107181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVERVGIIENMEDQELEMLLGEIPHATSSLSLHHHPSYANGHHHHHHHHHVVNGNPRGNLSFVAPTMNRMMYGMYNDDDDSSSSYNQNTYTPPATAFPSMFYNAHSHSSSDASLSPSSSSTIPTLHDPIPNPHIISRNPDENLIDELGRLYINQDRINSTKFGASDDYLAINPHFPRTPITPDTEIHPAFFGFQQNYGVVPSTQSSNFDRSLTNYCYQWGIPAQIGKTGPKAKGNTNHGAHRFHHMAAGGSPNIRITRGGPGLSASDDSLIIQGEDLSRVRSKRFESPCENGRTKVYLSCSSHLTEAQRHIFMMAKDQHGCRLLQKIFDDGNSQHVQIVFNEIIGHVIELMINPFGNYLMQKLLEVCNEEQRMQILIAITREPRELVQISLNTHGTRVVQKLIETLKTRQQIKLVVSALEPGFLALIKDLNGNHVIQRCLQCLSNEDNKFIFEAAAKHCVEIATHQHGCCVLQRCINHSTGEHREKLVSEISANGLLLAQDAFGNYVVQYILELQIPSAVSKLMSQFEGNYVHLATQKFSSHVVEKCLAVLDGQIRSTIIRELISATHFEQVLQDPHANYVVQTALRVSEGQLHNALVNAIESHKAISRNSPYSKRIFSHKLLRR >cds-PLY66691.1 pep primary_assembly:Lsat_Salinas_v7:6:79077246:79079477:-1 gene:gene-LSAT_6X54701 transcript:rna-gnl|WGS:NBSK|LSAT_6X54701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSHHKRSIKVFNVTLPVFVFFLLICTTCYKGFVLMQGDKLDMQWVLCLKDNFKGNNERGEVGHAMGPEDIKNKDEHEDSFWYLMSKVLNHL >cds-PLY69039.1 pep primary_assembly:Lsat_Salinas_v7:9:141601252:141601440:1 gene:gene-LSAT_9X91260 transcript:rna-gnl|WGS:NBSK|LSAT_9X91260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREPIGGKRNCVLLHSQNNIITRISLTDPLKLQSFHSHRFIYSSSVVHKPIVVASSETSFGV >cds-PLY65757.1 pep primary_assembly:Lsat_Salinas_v7:5:271839727:271840050:-1 gene:gene-LSAT_5X141521 transcript:rna-gnl|WGS:NBSK|LSAT_5X141521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKAVMAMGESPSDIGAMNVGRHAQQRRSLARMLNSLSFNPEGVVVILDDDVYQFEGNQGAFKQPTVQANNSLKPTPHPFLIKWSSRGFVSSNSSTGGFLGYCVL >cds-PLY78377.1 pep primary_assembly:Lsat_Salinas_v7:9:10034166:10035018:-1 gene:gene-LSAT_9X7721 transcript:rna-gnl|WGS:NBSK|LSAT_9X7721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHNPQLYNFARYRLPSPKPSKNDKNHSNTNKARKSQLLETHLAKLFKQKMEIFTKVQHTQVTQLSPKILKSEGVPVYRASQCCGEFIVTFPRAYNAGFSCGFNCVEAVNVALVDWLEHGQGVVEVYSQQRRKTSISHKSLLARERIRALWEA >cds-PLY97100.1 pep primary_assembly:Lsat_Salinas_v7:4:73934141:73935835:1 gene:gene-LSAT_4X49741 transcript:rna-gnl|WGS:NBSK|LSAT_4X49741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g28690, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G28690) UniProtKB/Swiss-Prot;Acc:Q1PFQ9] MGKLSLLKSSISSSRIISNPNHALIQTETSSSSHGHPPTAASLSLLLQSYINSDTPWHGQKIHTNIIKTGYRSNTNISIKLLILHLKCSCLLYARQVFDDLRQPTLTAYNYMIAGYTKHGEVVESLNLVRRLVSCNEKPDGYTFSMILKASTSDSILPIGCRIGKEVHAQIVKSYVVVDDVLSTALVDLYVKSGRVDYARRVFDFMNEKDIVCSTSMISGYMRQGYVEDAEEIFNKTTHKDVVVFNAMIEGYSKSIETSKKAMNIYINMQRFDFKPNMSTFASIIGACSLLSASEVGQQVHGQLMKTEFSTNIKMGSALIDMYSKCGKIEDARTVFDGMNVKNVFSWTSMIDGYGKNSDPNEALELFDRMQNVYHITPNHVTFLSAISACGHAGLVSKGQEIFRIMEKDYLLKPHMEHYACMVDLLGRAGRVNQAFQFVMGMPKKPNSDVWAALLSSCRLHGDVEMASIAANELFKLSSDSRPGAYVALSNTLADVGRWDSVSDIREVMKMRNIIKDSGSSWIGTGTGTETDDGL >cds-PLY99693.1 pep primary_assembly:Lsat_Salinas_v7:9:55302921:55303764:1 gene:gene-LSAT_0X9841 transcript:rna-gnl|WGS:NBSK|LSAT_0X9841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADVQEVDSAFFTPKTKKRTVVEALDDDDDTPLRPIFCLKRKSAIKEFDDKEDCFILDFNPEEDSVDLSKKDVENGHQNNPHDSPDVSLIHEKGQVACRDYPHSRHLCVKHPFTKTPHENCCKLCYCFVCDVPAPCMYWTGSNGHCHAIDNEGWKSMKDVFRRKSMKTH >cds-PLY67093.1 pep primary_assembly:Lsat_Salinas_v7:5:280587582:280590556:1 gene:gene-LSAT_5X147080 transcript:rna-gnl|WGS:NBSK|LSAT_5X147080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKTAIAKDITELIGNTPLVYLNNVVEGCVGRIAAKLEMMEPCSSVKDRIGYSMISDAEAKGLITPGQSVLIEPTSGNTGIGLAFMAAAKGYKLIITMPASMSMERRIILRAFGAELVLTDPAKGMKGAMQKAEEILAKTPNAYILQQFENPANPKIHYETTGPEIWKGSDGKIDAFVSGIGTGGTITGAGKYLKEQNPNIKLYGVEPTESPILSGGKPGPHKIQGIGAGFIPGVLEVDLIDEVVQVSSDEAIETAKLLALKEGLLVGISSGAAAAAAIKIAKRPESAGKLIVAIFPSFGERYLSSILFDSVRREAETMTFEP >cds-PLY89426.1 pep primary_assembly:Lsat_Salinas_v7:4:63222188:63223406:1 gene:gene-LSAT_4X42841 transcript:rna-gnl|WGS:NBSK|LSAT_4X42841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRKQNHDDTSSSSRKKRFKTYDHGGVAPWLELNHDLLFLVMMQLGVIDFVAFSGVCKSWRSLALNNKKIFMASKPPMLMSISDPSYKKKECYCFLEDFEGRKFKTMIPNSSGRTCIGLTCGYLILLGEETKDLWLVNPITRHQLHFPCVPFNAPLFPNGVKAILVFSRTINGWVFVVLNQSQREICFSISGKAAWNHVSTSYILDLHAFKGKIYTIDVYGVVCEMVLTPNPKLTLLKIKDMLKPQFLYPGFVSWDEKLYVMDIFLEYSNMVQLLDLDEMKWVTQKERAIGELAFFLSVWRYDAAIKPESWVDSVDPLLKYERYERFIDTSDKSQKHRFFAVDKWYFPDRCLNVDHINE >cds-PLY65681.1 pep primary_assembly:Lsat_Salinas_v7:5:270887691:270888560:-1 gene:gene-LSAT_5X141361 transcript:rna-gnl|WGS:NBSK|LSAT_5X141361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAQHQIVEHVVLFKVKPDVDSSKVAAMLSGLNGLTSLDLTVHLSAGQLLRSRSSSLTFTHMLHSRYRSKEDLREYAAHPEHVRVVTENIKPIIDDIMAVDWISNDASVSPKPGSAMRVSFLKLKENLGENEKARVLEVIGGIKDQFQSIEQLSLGENFSHDRAKGYTIASIAVLPGAADLEALDSNAELVKSQKEKVRDSIESVLVVDYVIPPPQVANL >cds-PLY63997.1 pep primary_assembly:Lsat_Salinas_v7:3:245562522:245582137:-1 gene:gene-LSAT_3X136240 transcript:rna-gnl|WGS:NBSK|LSAT_3X136240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSKTVLEFDHDDNMASNIKRHDFPNDFFFGVGSSAYQIEGGWRANGKGYSIWDCFCLRHPDKIEGGANACYADDNYSRIKEDVQLLKKMGVNSYRFSISWSRILPGGKVSMGKSMEGINHYNKLIDELLANDIKPFVTLFHWDLPNALEEEYMGFLSSKIVDDFLNYADICFWEFGDRVKNWVTINEPHIFTTFGYVQGQGAPGRGGENEDGDPQTEPYIVAYNILNCHAAAYRRYSEDYKDTQKGKVGITLNCSYFQPYRGDSYKKDVQAVKYAYDFTVGWFLEPLTRGTWPDNMEKFVATPSTDHPNGRLLPKFSTNQSKKLIDSYDFLGVNYYTAYFTQYQGPSDAIPLGYSTDCHYAVSGQDPSGNYIGEPSYQGSRVYLCPQQLTELLIYIKRTYNVTKDMIITENGSSDKNEAGKTYDQVRDDEYRIKYIKEHLKALRLARENNINVMGYFIWSFMDSFEWVSGYSIRFGMIYVDFMKDLQRYPKKSAIWFKKFLGEDKIIPVKRSITASEDEDNFEKNLKKAGKPIEASQKMKKART >cds-PLY91215.1 pep primary_assembly:Lsat_Salinas_v7:3:74463063:74463669:1 gene:gene-LSAT_3X62341 transcript:rna-gnl|WGS:NBSK|LSAT_3X62341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPPRIVEELFEEGSAMLAERLLGNEESGGSSTTGLFMCAVCQVDLGASEGISVHAGSIFSTSSKPWQGPFLCADFVIRKMPWKESDRVELNC >cds-PLY70409.1 pep primary_assembly:Lsat_Salinas_v7:9:123959454:123959744:1 gene:gene-LSAT_9X83381 transcript:rna-gnl|WGS:NBSK|LSAT_9X83381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGSVEAEEGITTSIDRGSEVTILMAPEIGADGGSEEHNNMKPSPPRVLVFLGVLDFVPLFSPMKNTKEPKVWVLFLFSLMKNSESTHRAIVNSPA >cds-PLY85725.1 pep primary_assembly:Lsat_Salinas_v7:1:47397725:47398386:1 gene:gene-LSAT_1X41321 transcript:rna-gnl|WGS:NBSK|LSAT_1X41321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIIGLHRGPPLSSQDTVARTPTAACCFPAIIGLLFPPNPLRRPTTLHHRSSHHRSPAPSNLSTTTSCNGCVSEIDFILGLISEIKFLNQIVKGLESSSVGWGGAIDPTEAYLHRETTMVGNGTKIPNCRYNCDDIWSNLGVFFLCKKILVAITADHW >cds-PLY62865.1 pep primary_assembly:Lsat_Salinas_v7:9:131585341:131587193:-1 gene:gene-LSAT_9X85621 transcript:rna-gnl|WGS:NBSK|LSAT_9X85621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPHTGEIVPGLHIMLASLMMAGLLRYSTLSQGTLQPLGKSLIFTIFLTVAGGMQNVRFLAYFITKAGVGGQLVPTIGILLSIRPPTYGRRCH >cds-PLY93942.1 pep primary_assembly:Lsat_Salinas_v7:1:158734626:158735002:1 gene:gene-LSAT_1X109820 transcript:rna-gnl|WGS:NBSK|LSAT_1X109820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSAKSSFQGGPTLRKKRIVRCGCGDVCKVSVARTPENYGKKFYGCPNYKVEEEDCGFFKWYNEEYGHIVNPNHTKQK >cds-PLY90792.1 pep primary_assembly:Lsat_Salinas_v7:9:113783762:113785562:1 gene:gene-LSAT_9X79840 transcript:rna-gnl|WGS:NBSK|LSAT_9X79840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPASSKHEDNSKRFHPTDEELVLYYLKRKMCGRSLKLDIIGEVDVYKWDLEELPGYRQWFFFSPRDRKYPNGGRSSRATMNAFLSNCFYD >cds-PLY76853.1 pep primary_assembly:Lsat_Salinas_v7:3:4707335:4708654:1 gene:gene-LSAT_3X2320 transcript:rna-gnl|WGS:NBSK|LSAT_3X2320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDHYPVRSAFSVLNMVKHPYHFHLKNKWSFRRSRTIQADSTQPWPYLNEALTKFLATMTPPNQFTKIDKEMQPNIFSLFFYKNLRSSHFRIMSQRLVGMTEAYVGLSAKAAQHH >cds-PLY71824.1 pep primary_assembly:Lsat_Salinas_v7:3:59924729:59925759:1 gene:gene-LSAT_3X47620 transcript:rna-gnl|WGS:NBSK|LSAT_3X47620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSKKIVLKCSDGETFEVDEAVAVESQTIKHMIEDNCAGSCIPVPNVTSKILSKVIVYCKKHVDTPKTDDKTAEDDLRAFDAEFMNVDQGTLFDLILAANYLNIKGLLDLSCQTVADKMKGKTPEQIRELFNIKNDFSPEEEEEVRRENAWAFE >cds-PLY73718.1 pep primary_assembly:Lsat_Salinas_v7:8:168868796:168872998:1 gene:gene-LSAT_8X110041 transcript:rna-gnl|WGS:NBSK|LSAT_8X110041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQLINFIIRPPRAEYNPKNDLLDPEFMLKGKWYQRKDLEVINSKGDVLQCSHYMPTVLPEGKPLPCVIYCHGNSGSRADASEAAIILLPSNITVFTLDFSGSGLSEGEHVSLGWYEKQDLKAVVDHLRADGNVSLIGLWGRSMGAVTSLMYGSEDPSIAGMVLDSPFSDLVDLMMELVDTYKYRLPKFTIKFAIQYMRKAILKKAKFDIVELNTIKVAKSSFVPVLFGHAVDDDFIQPHHSDRIYEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVRRSLIDTSLSYLDKEFDMSEDEDNLIFAPQASSSTEEVIDQVRHKRPMSKIEVPCEMSSTYKQCNVEEEESTKGGVSSLSKMINFEFCNGSSYEHDDLTSIDDDEYVEYPLHNVDDIPCNKEEEERMLMEAVLLSLNQDATPLGDQQPIDPTACRSDESPSEVKSRCNATSSPSNATASVEDSGSNSRTSAGTIAASSSDDMADGIKATVTVVKSPSISIMDSFLRGWDLNFFKTR >cds-PLY65240.1 pep primary_assembly:Lsat_Salinas_v7:3:218785126:218785848:1 gene:gene-LSAT_3X127281 transcript:rna-gnl|WGS:NBSK|LSAT_3X127281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKESSMKTNQEFLKKLETSCFNDELDWPLTLEDLDLSFTHDIITDVTSPITTYSSDDQNIASKVFPITNIAAVFPQHQSANFPAMGTSLPAVMDFTDVALNWDFTTGQKVVSGGEVHQVLPLVNEDFTPSLPQRKYRGVRRRPWGKFSAEMRNPEKKGRRLWLGTYDTAEEAAMAYDRAAFKYRGCQAVLNFPHLIGSHNVSPEKYTIERRLLKSGCSSSSPSPESPRNNNRKRRKSTAV >cds-PLY67711.1 pep primary_assembly:Lsat_Salinas_v7:4:2466955:2468198:1 gene:gene-LSAT_4X300 transcript:rna-gnl|WGS:NBSK|LSAT_4X300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSLAVAPRSDPDETFTTSTADSKTQHHRQQEQTVVGGIMGSLRVIELQLVAFIMVFSASGLVPLFDLAFPVFTTIYLLLLSRLAFPGSTSTASKEIFQGNRVFRAYVIFGTTVGLFLPLAYVLGGFARGDEHAVQSATPHLFLLSCQILTENIISGLSLFSPPVRALVPMLYTVRRIFVILDWVQDVWLNKTLSPNAQIQDVAWFWFGRGLAVANLGYFSINMFVFLIPRFLPKAFDQYFRERNEIQRKIGEDKQFAGVRRDKKSD >cds-PLY64956.1 pep primary_assembly:Lsat_Salinas_v7:8:162139319:162145321:-1 gene:gene-LSAT_8X107961 transcript:rna-gnl|WGS:NBSK|LSAT_8X107961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVQQDLVVPSGLIAEEPWEPAPQSNPWFIGIHRWNQAEKAAEGIISKFQPTIVAEGKRDAVINYLQRLLKENLDCEVFAYGSVPLKTYLPDGDIDLSAVGVNTHDLINEIASLLDREGKNNSAEFVVKDVQVIGAEVKLVKCMVQNLVVDISVNQIGGLCTLCFLEQVDRVIKKDHLFKRSIILIKAWCYFESRTLGAHVGLISTYGLETLVLYIFHVFHTSLDGPLSVLYKFLEYFSTFDWDKYGISLMGPIRLSELPRIVVERPPNSNDLLLEVGFLRHCSEKFSVPMRPGDLFYKKHLNIVDPLNDHNNLGRSVSQGNFFRIRSAFSYGARKLEQILMAPEDKLSNELLSFFSNTLNMHGSGQRPDLPDHIPRAEKSFPTSQTTRTSNDENGSPGNPKSNEEKDVSALVNEGEVAIPFYAPHLLFNYSKLVNKTEKDEIVDENESKEIEEGVNLGQSEPLDLTGDLMSHLVCLDHVRWWNTYVLGPLPPMMGPPPPPPPILLSMIASEHGGMNGVVRHPRFHHHPPPPPPPPFVPNDRRGAISYFPDTNNRSRHGYHTSTSNATNTKTGPTDFTTPTESVTRPMQQPREPLSHRRRHQNPNPNRNGSPRPRPVSGMDRFNVQSSYHLKDEEDFPPLS >cds-PLY83234.1 pep primary_assembly:Lsat_Salinas_v7:9:122214747:122219115:-1 gene:gene-LSAT_9X77141 transcript:rna-gnl|WGS:NBSK|LSAT_9X77141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSRAGEKKVDLWSQIAATESDNELQQQQTAIVYKRKQTQNESLPTTKQLSSIQKNRVSLVPGKRISWNRSLFIRGRTSIAVAACVEYGPKKEKQIRKQKPPLSRAKGAPPQNFDKERAYFEEVDAFELLEESPSPQCKTWVMGNKNYVPQSSHISSVLKKWLLAHKPDHSCGPSTSLSRILDTPLGDSIHDGVLDLSSLKTSLETVSQANKGFKSNQNKIVGSDVLQSHTSSTKRIQNLSESDSEEIEYVIRNLSLSSQNSLNEQQWDPLLALLAVCGQSSPSTFSDVLSQYCDLQSIAKVGEGTYGEVFIAGGTVCKVVPFDGDSLVNGEVQKKAEELLEEVMLSLTLNQLRGHDNHIHNVCPTFIHTLGLRVCTGAYDDEMIRAWVEWDKKHNSENDHPSEFSEKQRFVVFVQEHGGQDLESFVLLSFSEAQSLLVQVTVALAVAEAAFEFEHRDLHWGNILLSRKGCKTLEFVLDGKKMHVKTHGLVASIIDFTLSRINTGEDILFLDLSLDPGLFEGPKGDEQSETYRKMKEATEDCWEGSFPKTNVLWLQYLVDVLLRKKVYDRTSKDTRELRSLKKRLNSYESAKESIGDPFFSDLIVNE >cds-PLY76689.1 pep primary_assembly:Lsat_Salinas_v7:3:140089731:140092905:-1 gene:gene-LSAT_3X92360 transcript:rna-gnl|WGS:NBSK|LSAT_3X92360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTIVSGDGEMLRLQYSRLCCLIHNSLHPFTAKSTESISKEQEKSLLITLSQVSNQIKLWTDEFASGDHVGASFGNPLNDISSNSKSTIVSSICHSSASECVLKIINELVFLLAIQSPYVRHLSGNVLVAISKFVVSMSSENHLKEFIKSLCLHFKLIIHKAISPDHDSPASSIILVLRNILKHLKHEEDDEILEVYLNTLSSCLQDIPWESCNTVSNEFCGHLLQLFCSIVSNSDDKNPMILEIFNIFPRFLTWYQSNQKAENHSYVRISQYIQHKILVLMMRLSSIIELDCEMIVSWLNLIDKHFQDLLFESLTQSHTNQDDCLKDSPFLLDETCHHQHLQRRVVFLYLKCSFHLIRLQETKGFIAIHEWLKNHLVKCNQFAESFVQLYIHEDDMLFEILLLLTDMPFCHKQQKDLTLDVFEKDIIVLLLDIFDPVHLLHIFLSEIHYDHQVLLDYLISKDTGATCAECLRIICDKWDPFLNFSICKEVGSQSSQKRRKVMNDSFETDRKNQKSFNEPFHDARDCLLLLKKSIESLHHKNLFPYNPKVLLKR >cds-PLY84066.1 pep primary_assembly:Lsat_Salinas_v7:6:187173460:187173723:1 gene:gene-LSAT_6X114541 transcript:rna-gnl|WGS:NBSK|LSAT_6X114541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGLPDDPEDKFRNEDPEELHEVPLHPHEDPLHLAGDPYFTQDAPNELDEEDSEEEPEKDPKDDEDPRDEHIPRLPPGPNCSCFPTH >cds-PLY76600.1 pep primary_assembly:Lsat_Salinas_v7:5:223022861:223024057:1 gene:gene-LSAT_5X104580 transcript:rna-gnl|WGS:NBSK|LSAT_5X104580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEISRRSSLRFLLFFSSISLQFFAGFSEDSNSKDVTKVDAHSSSSSKKGSTILITCITIGAFAGLSFFLYRLWQKKKRDEQYARLLKLFEQDDELELELGLRD >cds-PLY77735.1 pep primary_assembly:Lsat_Salinas_v7:9:20158000:20158968:-1 gene:gene-LSAT_9X18601 transcript:rna-gnl|WGS:NBSK|LSAT_9X18601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAPRRQRSLVVPVHALMVLIQNLRGRCEGGCCPPDHRITLPPVEVFLTEAEEEGRRGETNCVLILEYTIGGSTFARGISPNLEIESCFDAHQALAKMLQLNDISSFIVFYQKRIFFMISMNIFC >cds-PLY79069.1 pep primary_assembly:Lsat_Salinas_v7:3:6658762:6663393:-1 gene:gene-LSAT_3X5240 transcript:rna-gnl|WGS:NBSK|LSAT_3X5240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:Projected from Arabidopsis thaliana (AT1G12430) UniProtKB/TrEMBL;Acc:F4IC87] MANNNSFKNGGVKTKSISSSSSSYSSGYKSKPSVTSGGRRSSTGSTGNSAGALHKDTPGVSGRVRVAVRLRPRNTEEQSTDADFADCVEVQPELKRLKLRRNNWDSDTFEFDEVLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTYTLGRLGDEDTSARGIMVRAMEDIFAEISPETDSISVSYLQLYMETIQDLLDPTNDNLSIMEDPKSGDISLPGATLIEVRDQPSFVELLRLGEAHRFAANTKLNTESSRSHAILMVHVKKCVKERDGENGGKAHMSRTMKAPVVRKGKLIVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSSHVPVRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETSSTIMFGQRAMKVENMLKIKEEFDYKSLARKLDVQLESLIAEHEREQKAYQDEVQKIRLDAKKQMSDAEKSYKDAFEKERLKYQRESMESIKKLEVQLAANQEKQGDKRVKVGFKDEGVRSSTNAELIEAKKLLQNEILLRKAAEEEISNLRNQVVQWKRSEATGNAEISKLRKMLEDEVHHREKLEEEISMLQNQLLQLSFDADETRKQLEIGHGVDMSGEMGSLVSPVRQQQMAYSGEQKGSVAKLFEQVGLQKILSLLEAEDPDVRIHAVKVIANLAAEATNQEKIVEAGGLTSLLSLLTTSEDENIHRVAAGAIANLAMNETNQELIMSQGGISLLAMTAENADDPQTLRMVAGAIANLCGNDKLQLMLRAEGGIKALLGMVRCRHPDVLAQVARGIANFAKCESRASTQGIKTGKSLLIEDGALPWIVKNANNEASPIRRHVELALCHMAQHEVNAKDMISGGALWELVKISRDCSREDIRILARRTLSSSPTFQLELKRLRLNTG >cds-PLY81659.1 pep primary_assembly:Lsat_Salinas_v7:2:25346976:25348002:1 gene:gene-LSAT_2X14620 transcript:rna-gnl|WGS:NBSK|LSAT_2X14620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQTVGRNVAAPLLFLNLVMYCITLGFASWCLNRLINHQTNHPSFGGNGATEFFLEFAILASVLGIVSKFAGGNHLRAWRNDSLAAAGSSSLVAWAVTALAFGLACKEINVGGHRGWRLRVVEAFIIILAVTELLYVLLVHAGLYSSRYGPGYRDTDYGMGTHPADTGVKGTTGVGARV >cds-PLY88374.1 pep primary_assembly:Lsat_Salinas_v7:5:62589199:62592017:1 gene:gene-LSAT_5X29281 transcript:rna-gnl|WGS:NBSK|LSAT_5X29281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGPVGRRGTNASQSIRGSRIAVAIVIGVLLGCIFAVLYPHGLFSDISNPTSHFRSRRLSKSNPKIESTSCESPEEVSKLKSEMTDLSNKNDELKKQVRDLTVKLQASEQKNGQSDSDQQIVPVSTPPKPGPFGTVKGTTINPTILPDPTINPRLAKILEKLTTQKEVIVALANSNVKSMLEVSVSSIKKAGIPNYLVVALDDGIVDFCKENDVAFYKRDPDEGIDSVAKSGGNHAVSGLKFRILREFLQLGYSVLLSDVDIVYIQNPFNFIYRDADVESMSDGHDNFTAYGYNDVFDEPSMGWARYAHTMRIWVYNSGFFYLRPTIPAIELLDRVAERLSKPPPAWDQAVFNEELFFPSHPGYVGLYASKRTMDRYMFMNSKVMFKEVRKDENLKKLKPVIVHVNYHPDKLPRMKAVFEFYFNGKQDALEPFPDGSDW >cds-PLY98825.1 pep primary_assembly:Lsat_Salinas_v7:7:22768832:22773042:-1 gene:gene-LSAT_7X18081 transcript:rna-gnl|WGS:NBSK|LSAT_7X18081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSFIIPCLLLLLLQFLCLAAGQVNYFPIFRCRDDGNFTRNSTYETNLKTAFASLSATAATGYGFYNTSAGVSPNAVTAIALCRGDVGSETCRDCIRNSIVLLRQNCANQLEALIWSSNCSVRYSNRTYTSVVDVRPNARVSSSINASDIDVFDKALRNLEGRLRVEAAGGNSLRKFATGDVGFGPDSSKIYALMQCSPDLSSFDCNSCLSVVYRESQGCCDGEIDVGVFYPSCSVRYSNASFYNDPPAIKLPSPPPSSPESPAIPGGTGNSRKSIYVIVPVACVLGGILVIIGLCLFIKRRRKNNIKDAAKKESGTAFSSLVMNENQNNSANLAQAGSAEMEMGAVGSLQFDLFTIEAATNSFFDGNKIGQGGFGPVYKGVLANGMEVAVKRLSKSSGQGSQEFINEVILMAKLQHRNLVRLLGFCLDADEKLLIYEYVSNKSLDYFLFNPNRHGQLDWPKRYKIIGGITRGMLYLHEDSRLRIIHRDLKVSNILLDFDMNPKISDFGLARIVGVDQIEVNTNRIVGTYGYMSPEYAMHGHFSVKSDVFAFGIVVLEIITGKKCSRFYNEDDHQDLSHFAWKSWIEGRAMELVDPTIVETCSEDEVMRCINIALLCVQEDVDARPSMAYVLNILNNYSIDLPTPTRTPHYLPKRHDSYSSNSKSVSKSTDESLITNVYAR >cds-PLY68153.1 pep primary_assembly:Lsat_Salinas_v7:7:70787077:70787472:-1 gene:gene-LSAT_7X50741 transcript:rna-gnl|WGS:NBSK|LSAT_7X50741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCVNRRIEREIGLENKPRRRYEQEIEVPVGNLAAIDDNRLRRWWDSALEANRGWESSLEPNHRWKKVVVARDTTIDRRLSIFNKWEQMESREDELELKGKGPLAGTRKGLLAATSWREIIHALSGDSQPLD >cds-PLY70643.1 pep primary_assembly:Lsat_Salinas_v7:4:227012506:227016992:-1 gene:gene-LSAT_4X124060 transcript:rna-gnl|WGS:NBSK|LSAT_4X124060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLYRIFIIRTTFNNHRRRHVSNFSIRRLFSSSPSANHHENSTGSNKNLKKLQNAGPLSSLPGFHRLRHYPLSRFAIAASLISAAAAASCMSFFDDDEFEKMKAKKQKKKRVFRDFTIERSNESFRRMLETVKHTGVAVSVLWKSLSSILSSANDEVRSGFEVRVAALLADIVAGDESRRAAIVGAGGGLVVDWLLEAVALKGGGNYGTQAESARALAYLIADSNVSEKVLRRPRAIPNLIRFILSAHPHPWEQQSRHNSFDSADPLNGRSMLVTAIMDIVTSSCDNVEKVHLKPMLSAKATMRDIATALQVVEEGGIYLDESPGLKGITGYGKSTPKTRKIFFNKVSDNYPSRQTVIPGLWDDLQSQHVAVPFAAWALANWAMASPVNRSHIQELDHDGHAIMTALVAPERSVKWHGSLVARFLLEDKNLPSDDFVSDWSSSLLSTVSQASKSDDVPLTRVALSAFLLSLERCPGAQKKVMDKSLDLMRETAKRMKKHESVQEALAKGLESLCSGNIHLPLHEGQKWSSILLRWVFGDSSSDATRSSGIKILSHVLEDHSPSSIPISQGWLAILLTDVLNHRKSSLKGSNQPKDKVKTQIDQANVVSGTQAADKLATAVVNLAVNQLRNGKKDSSALEDLLSLEPFVKPFKNIKKDSIPKVNAADSTLATLKGIKTMSEICSDDSFCQARIIDHGVISLLRRLLLRDDYEKLAGIEAYKSSLNTESKDQQQSGSDSNDSSPHTRVPLAAHIRRHAARFLAVLSVHQKVKKMILNDKVWCDWLEECANGKIPGCKDLKTQSYSRATLLNILCNDEDTVNSSGNGSGRCPHFTEMIYLINPNLPHWKYKHPVKQKQESTMETPMVDKNKNKNPINPFLELHEGDYDYESSSSSSSSSQLTRNLDNTDIETDTDTDSESVGPTQPSLDVVFVHGLRGGPFKSWRLSESKLSSKSGLVEKIDEEAGKHGTFWPAEWLSADFPHARLFSLKYKTNLTQWSGASLPLQEVSAMLLEKLITAGIGDRPVVFVTHSMGGLVVKQMLDHARKENRDSLVSNTIGVVFYSCPHFGSKLADLPWRMGFVLRPAPTIGELRSGSPRLIELNDFIRRLHKQGALDVLSFCETKVTPIVEGYGGWAFRLEIVPIESSFPGYGKLVVLESTDHVNSCKPISKSDPSYCETLRLLNKLRARIHEKTS >cds-PLY94886.1 pep primary_assembly:Lsat_Salinas_v7:4:111537356:111538045:1 gene:gene-LSAT_4X69940 transcript:rna-gnl|WGS:NBSK|LSAT_4X69940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINFQRTIVLASIIVVVGVGKFFLYLAFMFLAFTYFTFYGMLAIGLAPSQQMADVVSAAFYSLWNLLAGFLVPKPLIPGWWIWFYYLCPIAWTLQGLIGSQLVDVEEPITGPGGFQGTMKGYLKEALGIESNMIVHPNIEVSDFDFTSV >cds-PLY67441.1 pep primary_assembly:Lsat_Salinas_v7:6:69717305:69729349:1 gene:gene-LSAT_6X49680 transcript:rna-gnl|WGS:NBSK|LSAT_6X49680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVVPQEFGKIGAVLIENKHHSEMYLKNVVLGDDEITFTCESWIHSKHDNPDKRIFFTNKQSYLPSETPDGLKSLREKDLSSLRGIGEGERKTFERIYDYDMYDDLGDPDTNSDLGRPVLGGKKYPYPRRCRTGRKMTSTEPWSESRTTLPFYVPRDEDFSEIKEASFGARTLYSVLHAVVPTLDSILTESNKGFSSFKDIELLYDEGGDDIPMENGLLSCLPRLVKTVADSTQNALQFETPRTIERDSFSWLHDEEFCRQTLAGVNPYSIKLVTEWPLMSKLDPKVYGPAESAITKEIIEQKIGGLMTLDEALEQKKLFLLDYHDLLLPYVNKVRELEGTTLYGSRTLIFLTSKGTLRPLAIELTRPPNNGKPQWKHVYTPCWDSTGAWLWKIAKAHVLAHDSGYHELVSHWLRTHCVTEPYIIATKRHLSKMHPVQRLLCPHLRYTMEINSLARLALINAGGIIESSFSLAKYSMQLSSDAYAQQWRFDHEALPDDLISRGMAIEDESAPHGIKLTIEDYPFANDGLLLWDAIKEWATAYITFYYPQPNLVESDEELQSWWTEIRTVGHGDKKNEPWWPNLKTQQDLIKVVSTIMWVSSAHHSAVNFGQYDYAGYFPNRPTIARVKMPNEDPTEEEWQTFLNKPEDVLLNCFPTKIQATKVMAVLDVLSSHSLDEEYIGANMEAEWGEEPAIKAAFEEFNRRLKEIEGIIDSRNCDPNLKNRYGAGLVPYELLKPFSEHGVTGKGVPYSISI >cds-PLY67289.1 pep primary_assembly:Lsat_Salinas_v7:5:133593396:133593899:-1 gene:gene-LSAT_5X57700 transcript:rna-gnl|WGS:NBSK|LSAT_5X57700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFQFVEEGKWAKEAEIIKLKSHFGEAQAREFIFLSKLLFKLFIIHINMILRNIIFKKKGNLHLLFYTLAVFNRFASKENDTWVRTILKDLTVEAGSGLGILDPVDISAGFTSVKDKTNISLISTDIDFYCVRKTLK >cds-PLY69542.1 pep primary_assembly:Lsat_Salinas_v7:8:83578974:83579574:-1 gene:gene-LSAT_8X58761 transcript:rna-gnl|WGS:NBSK|LSAT_8X58761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVPPDLEPDVIMKRKGKNANPRNKQKIDSREASKLPSDPLKAKSVTLLVNMFESEVKSPVIKGSSDVSKSEGPRSGVNLVDSFLDVGCDAISIENKGLGLSNGSKGAVMGSKISSKVVMANIAGSPMVNHVIHNPIKKEDGVIEGFKIGQGISCHY >cds-PLY89887.1 pep primary_assembly:Lsat_Salinas_v7:3:226548830:226550108:1 gene:gene-LSAT_3X128941 transcript:rna-gnl|WGS:NBSK|LSAT_3X128941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTYSRKDKRDLKPMIETKNLIDFKPSKSPPLSIVSVKRASIGLNTKSAKYMKQTRESSCGTNSNKDKTDLYTKRLKFKHGKLTRSKTCFSSLFWRR >cds-PLY70140.1 pep primary_assembly:Lsat_Salinas_v7:3:12653671:12654182:1 gene:gene-LSAT_3X9881 transcript:rna-gnl|WGS:NBSK|LSAT_3X9881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVPHPGTVLMHKLNFNAKTEYHMIQNYKVLGDVFNKLKIAKHIEVNKVVKGRRLDNLEFMQWMKRYRDSVCRDANQK >cds-PLY71491.1 pep primary_assembly:Lsat_Salinas_v7:7:189966885:189967256:-1 gene:gene-LSAT_7X112781 transcript:rna-gnl|WGS:NBSK|LSAT_7X112781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLHNPFGGLNLSPSSLSSLCHLHHHLLSPLHHRDHHLHQHLHRRINPASYPPSSSPKNTIIFTLLPLSQPPSKSTINHHQKPSLTLFKAATEQSQPPSSLPAKKKNQPTLSDFDLERKREII >cds-PLY81939.1 pep primary_assembly:Lsat_Salinas_v7:4:275984288:275985118:1 gene:gene-LSAT_4X141561 transcript:rna-gnl|WGS:NBSK|LSAT_4X141561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEPTRFPGDVSYPNRNGSVPALPTATVVNGSGGGLYSQHQYVMLSGGKEDMDALAMFEDSVKRLKSPKSLPYHTLKKSQIDSSLKLLTNWVYENCGSDPFSSLEHPKFNSLLNQIGLPAVTRSDFVGERLNSKYKEAKRESEAWIREARFFQISLDRWKSNSNNHHPSEFANLVNLSVNLPNGTGVFRRAVFTSGYVFPKYTEDVLMETISEICSNNLQQCVGIVSDKFKSIILRNLEDQHHWMINICWQFQGVYSLIKLKTNSLSMKFCSVSKR >cds-PLY68486.1 pep primary_assembly:Lsat_Salinas_v7:2:215009039:215011335:-1 gene:gene-LSAT_2X135140 transcript:rna-gnl|WGS:NBSK|LSAT_2X135140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQNYILGAFKPACNISISFADAKTRKQVPLKKENGQTILVPLFQSQENIAGKICLEPSQGKKVEHNGIKIELLGQIEMYFDRGNFYDFTSLVRELDVPGEVYEKKTYPFEFSTVEMMYETYNGANVRLRYVLKVTINRGYAGSIVEYQDFVVHNYTPAPDINNSIKMEVGIEDCLHIEFEYNKSKYDLKDVIIGKIYFLLVRIKLKNMDLEIRRRESTGSGANTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTHRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITIFRTPPQSP >cds-PLY74301.1 pep primary_assembly:Lsat_Salinas_v7:4:345449991:345450824:1 gene:gene-LSAT_4X170661 transcript:rna-gnl|WGS:NBSK|LSAT_4X170661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIINGQRSPISFTTAMLSASIMMMMMATMVKECDGWMTPCNGSTTVECIHVVEFDKEIEFQMDTEINRWIMGEAKNTDGHIGYQGMQQNQHIGGDTGSRSYNVGNRKDCKAHPNYC >cds-PLY76901.1 pep primary_assembly:Lsat_Salinas_v7:6:29441984:29442850:1 gene:gene-LSAT_6X22601 transcript:rna-gnl|WGS:NBSK|LSAT_6X22601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLAEQIQHVIVLLVKMKNASLNIANLEEEKSLVKGCISEINRYLLRLVDTHDCSFTLSIRQNLSEKLQLVFTMLNQLQGVLGSRVSMKHGGDKEGQKKNETDRKDNEASKSGKDKGKGISEEGNDGNPKFSESERITREERDKELDDLNALRWNFNVEEAEAKNMKLVLETHKSLFPVWSYEHLQKEAIDDLNIYWLGPTISCNYETWNLKRIMVLNIGLLIQIEDFLNIQFKGF >cds-PLY61889.1 pep primary_assembly:Lsat_Salinas_v7:6:62043709:62046291:-1 gene:gene-LSAT_6X45841 transcript:rna-gnl|WGS:NBSK|LSAT_6X45841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein 2 [Source:Projected from Arabidopsis thaliana (AT2G28380) UniProtKB/Swiss-Prot;Acc:Q9SKN2] MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKAVVNFNGDSFESPNYFSTLRQAEHAAAEVALSSLSSHSLAARILDETGVYKNLLQEISQRVGAPLPRYTTYRSGLGHLPVFTGIVELAGITFTGEPAKNKKQAEKNAAMSAWSSLKHLAKQDASSSTEPENNDEQEQIRIARALMNYKLKQKIGKVNYVDHHPIPFDKKFTTPSPRPPSPQRAPLTSSKILPFLYQKHEPSSSSSSSSPSSSSSLPSPHQNQNRPTCPAYVPMRYYRPLAPPVTMRTAVPVFSAPPVRQVVQSRPVKVAPPICVRQTVPVFSAPPPPTLVKKEEGLKVNESEAVKCLENLKL >cds-PLY94106.1 pep primary_assembly:Lsat_Salinas_v7:8:29548063:29550432:-1 gene:gene-LSAT_8X23841 transcript:rna-gnl|WGS:NBSK|LSAT_8X23841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSMAPALSSSLCSTPQKSSIIPFLPSFQTSISLQFNFKFRKPISKFKQKNTITLTAITCLKSGSKYAAEETNSSFAVTAPSLAESNGQRNWIVVVKAPPSQQAVSKPDVIDYYVKILERVLGSEKEAQGCIYNAFCDNHFSFCCDMDEETSQKLACLPEVLSIKPDPDFNSVQKGYSNEKTESNSFNKIFSPLFPSQSLKHWLVRVEMPASGVLTRAHLVDYYTQILTKVLGNEKDAQMCIYHISLHFDYGFCCELNDEYAKELSSVPGVISVRPDESFDSDDKDYRDYNIQDSSLTNQPTNIKTKKLFVTGLSFYTSEKTLRAAFEGFGELVEVKIIMDKISKRSKGFAFIEYTTEEAASAALKEMNGKIINGWMIVVDVAKTNPPKYSRGRPRPPQ >cds-PLY91223.1 pep primary_assembly:Lsat_Salinas_v7:3:77878212:77879996:1 gene:gene-LSAT_3X57281 transcript:rna-gnl|WGS:NBSK|LSAT_3X57281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGILKQNEVNSKSSTKMESPIPIPMTNGMMPPPPRPRKFNSTAKDADEEDFGDEDDEEKDHQAIDILLAEIDIYELFAFKHCWGRRLKLALCEDLPFTIDFLIEALYFENVNSYLLSMYDPPRTGKTQTTFGLLSAILHTN >cds-PLY80392.1 pep primary_assembly:Lsat_Salinas_v7:3:238804978:238812205:-1 gene:gene-LSAT_3X132580 transcript:rna-gnl|WGS:NBSK|LSAT_3X132580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVEAIQGADAGNLLEYPEVNRKEPVNNGMLLLENEEFDKETGGYQSESEDAEDEKLEDEPSPIQTTSKKIKASKTSRSLKKKKHRVMSGDEGEVRDEICNIDDDKSKTISKNKIKPNEEANMGDAETRIVLHPSNLCRSEEYLEDNVQGIEQASTTPDGDKKGPSISARRKKAKRQWKRELTKISQKPDTNTHLEGTNDHPKGHEKSLTEEEERNCNGNTDTQLVACVVKPGYIRFELLNEGMHSWFALNPISPAIIEVKGVHQFDWLTRDNVPVLEAVENHNGIRNYYHGNHISGTNVGDTVTPRSFASKLQARIPKYISLLNHLRIYLPEIKEVKDTSTNIVLEPVSLVQQYISSAKISRERLSSSISGRVCQNPKNDKNAYIYTMGIATS >cds-PLY69170.1 pep primary_assembly:Lsat_Salinas_v7:5:284740075:284742450:1 gene:gene-LSAT_5X150921 transcript:rna-gnl|WGS:NBSK|LSAT_5X150921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSTFSSLFKLSTFILCLWVLFGTTSGQLSANFYARTCPNFRSVITRAVNSAVASEARMGASLLRLHFHDCFVNASILISNASLGLGCDASVLLDDTANFTGEKNAGPNSNSIRGFNVIDTIKTQLERQCPGVVSCADILSAAARDSVVALGGPGWSTVFGRRDSTTASQSAANSNLPSPASSLSSLISSFSNKGFTANEMVALSGAHTIGQARCSVFRNRLYNENNINSSFATSLRANCPSSGGDNNLSPLDASATSFDNRYYNDLISQRGLLHSDQELSNGGSADAQVRTYGSSPSVFFRDFAAAMVKMGNLSPLTGSSGQVRTNCRRTN >cds-PLY68950.1 pep primary_assembly:Lsat_Salinas_v7:4:363374615:363375120:-1 gene:gene-LSAT_4X179640 transcript:rna-gnl|WGS:NBSK|LSAT_4X179640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNGTNTSGFGYDSSTHFVTAEPSVHKEVGKWRNKIFPHYEDLCIIFGKDGAQRNKAKYFAQMEEDANNEEQSE >cds-PLY94365.1 pep primary_assembly:Lsat_Salinas_v7:6:8819308:8820854:1 gene:gene-LSAT_6X5540 transcript:rna-gnl|WGS:NBSK|LSAT_6X5540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPFSQSGPLSIAFSHNNHNFDKPNHHSSTNVVTIDVGGQLFQTTKQTLTLAGSNTLFSNLFSSYDQIPFIDRDPDLFSILLSVLRTGNFPSKAKSFDIQDIISEAEFYGIDHLLVQSHSNPSQFDAFNLQKSTILPLSGRDSPSVIATTPYGSAHVAHGSKITSFDWSLQRKSTILTPFTAIDSLLSLSSNVVAAGATDFSGLQVINLDMGSVVQSLNWENMTRSSSTVQAIGSSPEFLFTSFESGRRNSNSIMVYDINDGFRVVSEIARNEIFGADLDSAIPSTKLSWVSSLNLLMASGSHSGASGVSGNIKFLDIRSGDIVWEIKEKSDCFSDITVSDTLSAVFKIGVNSGDVSYIDFRHIDSDNSWNCLGDTKKTIKGKKEGFGCKIESHGNQVFCSKEGELEVWSEVFMGGSKNGKNERVFRKNVLGRTKDLGGNRVTNLGFSGNKMFVTRKDQQSVEVWESSRKGF >cds-PLY65663.1 pep primary_assembly:Lsat_Salinas_v7:5:268781598:268781834:-1 gene:gene-LSAT_5X139701 transcript:rna-gnl|WGS:NBSK|LSAT_5X139701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEAMQLEQETKAPQANPTAAAGKGIRVVAVWSGGEASLMVGRGKRGCVVLGKTGNTRALIFFRCNHMSEKLRGVFLI >cds-PLY78470.1 pep primary_assembly:Lsat_Salinas_v7:4:262244363:262247253:1 gene:gene-LSAT_4X136181 transcript:rna-gnl|WGS:NBSK|LSAT_4X136181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFITTLFFCVLPLFYAPFQGCYSAATPPGDHTSSVVLPVTGNVYPTGYYHATLSIGNPPKSYFLDIDTGSDLTWLQCDAPCTKCTPAPHKPYKPNKELVECMDPLCESVHWPETHQCQSPKEQCDYTVQYADDGSSIGVLVKDSFSLQYINGTVAKSILAFGCGYNQEVPASMDPPYTDGILGLGLGKASILHQLRELGVTRSVVGHCLSAKGGGYLFFGDQLVPSSGVVWTPMSTTEIEKHYSLGTAELFLGGKTTRMKGLPIVFDSGSTYTYFSGEAYKALYSMLMNDMKGKKVYITNEDKSLPVCWKGSKPFKSIYDVKNLFEPIILKFKKSKFQLNPESYLIISKNGNACFGILNGSEVGLENINVIGDISFQDKIIIYDNEKQQIGWTPANCNRLPKS >cds-PLY98049.1 pep primary_assembly:Lsat_Salinas_v7:2:19975274:19976416:1 gene:gene-LSAT_2X11561 transcript:rna-gnl|WGS:NBSK|LSAT_2X11561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDEIPFHIQELIIKRLPIVSLLQFRAVSKTWKSLIDNSNFVAAHSVTESQHLLIRYEDKESDTVGKYLSFVDDDIFPHQRFAHTLPHSIKLLKNANIVGISFGIVCFHGYNLGTEMVVLWNPSIRKSIIVPMPNKFNLNPETNLCFGVSPVTTDPKIVEITQFHKTSYHCEANVYTMSSGNWRNLSNNLPSKPFHIIWPQVVVDRFIYWCAFDPMNVDSELPNHNFILSFDITNESFGVVELPDSLSRHSPKQLCISMVRESLVMLEYDSYQKRACSVWMIENGVEKSFTKRFTVEAPQYWSMSITTLGFRKNAKPMVEVENAHMCYKQGALMVYDPNIECFKYLGMYGKPGTFFVHSYIDTLVLIGQSDRNIEVEDDV >cds-PLY75987.1 pep primary_assembly:Lsat_Salinas_v7:1:42072557:42073522:1 gene:gene-LSAT_1X35681 transcript:rna-gnl|WGS:NBSK|LSAT_1X35681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQHKQKIKRGLWSPDEDEKLISYITTHGSHGCWSSVPKLAGLQRCGKSCRLRWINYLKPGVKRGNFTSQEAMLIIDLHRTLGNKWAQIAKHLPGRTDSAIKNFWNSNKKKKLLHHGNSSGHTIIAANLKNNKIQVPGSNEQDQEGLCSSNVNRNIPLIKHHQEDEIEMQLDELPPFPPSFMDDSYTVLDDHQPEDFDSILDQNWETTHIPLL >cds-PLY66153.1 pep primary_assembly:Lsat_Salinas_v7:8:302888199:302891138:1 gene:gene-LSAT_8X165301 transcript:rna-gnl|WGS:NBSK|LSAT_8X165301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGIYLKTGFIGITTPITAAGEIRKNTTQITTSAVSRTTASAISSEKPSVSGSSAPRTTGPWGFSLKFPLPSLFVGNRNRFDALAVDDAVSVDRNEETKEESESNKENDNWVLKILHVRSIWNERKDGVIEEEDREGRNPLDHQDDVPLDDAEECDACSVDDYDDTQIEFDKASFSKLLRRVSLAEARLYSQMSYLGSLAYSIPQIKPGNLLKHYRLRFVTSSLEKKAQLAAKAEKEKALDEVIQEGEDDAKKKEDQTSKADGVEEDDEVEQTTDTQNKVENKEENRGGNLINASTAYHIAASAASYLHSQTRSFIPFTNNKSDNVGMTKAEVASLMATTDSVTAVVAAKEEVKQAVADDLSSMHSSPCDWFICDDDDEGSNTRYFVIQGSESLASWQANLLFEPIEFESLDVIVHRGIYEAAKGIYEQMLPEVHDHLQRHGDNAKFRFTGHSLGGSLSLLVNLMLLIRNQVPRSSLLPVITFGAPSVMCGGDRLLHKLGLPKSHLQAITLHRDIVPRAFSCKYPTRVAELLKAVNGNFRNHPCLNNQNLLYAPMGEFLILQPDAKLSPSHDLLPSGSGLYILRSDDVNFLEAEKQMRAARTVFLNTPHPLEILSDRAAYGSEGAIQRDHDMVSYLVSVQSVIRQELKRVRKVRREHRRRAWWPLVVPRGGIDMVPDGHGQNQATKSNLLGSEALKRFTSLIASQHMNLLVILLLPARLLLVEASNAIRLG >cds-PLY66416.1 pep primary_assembly:Lsat_Salinas_v7:9:38450705:38452789:-1 gene:gene-LSAT_9X33800 transcript:rna-gnl|WGS:NBSK|LSAT_9X33800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34640) UniProtKB/Swiss-Prot;Acc:F4IHY7] MYNKYSSTTPASNSTETNTVLDQEDDLSEDLDLDLNEGFEESKDEFSDFVVYQKESEMEKLSGYELDKKIGNPHPFIDPQKRKPIKEPLTSEELWWNWRKPDKEQWSRWQKKRPDAETVFLKAMAETGQIKLFGEEPTLTETALYRARKHLYKEERLQAERERLQKEGPLAYYSEWVKAWKKDTSREAVEKHFEETGEDENTQLIEMFSCQTAAEYRIMMGTDHRISRDPLAMRMREDQIKQIWGGDPVYPTVNYIQDPDQVIDFRGPDFHEPTPNILAYLQENGNITSKEEIDKILASEKVEKVKVKSPVSDDEAMAKAVDIGEKEDSDDEGKFEDDENITRNWSVLKTTPELRKSKPKPTKGKGKMTLEEAIADSENLTDFMLDFDQDKDIGT >cds-PLY65002.1 pep primary_assembly:Lsat_Salinas_v7:8:89555094:89560134:-1 gene:gene-LSAT_8X62021 transcript:rna-gnl|WGS:NBSK|LSAT_8X62021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYPWHYEGCPLLSVEVIHHFLKSSESWLSLGQHNILLMHCEVGGWPVLAFMSAALLLYRKQYTAANRALEMVYKQAPSGVSSQLLSPLNSLASQLRYLQFVLKRNADEQWPPAKKPLTLVCVVMRMIPDFDGKGGCCPIFRIYGRDPLLHVEKSSKLLFSTPRRSKNVCSYNQAESEVVKIDINCHIQGDVVLECLNLHDDDMAKEDIMYRAMFNTAFIKSNTLVLNRNEIDVSWHIKDQFSKDFKVELIFSEMNATASTVPVDLSCFEEEGLPMEAFAKVQDMFSSVDWLVPKSDAALNRLHNMALSDIVNEMLGIQSIESSNLLQTLPKKNQGKEGTQVSHTKSKSSFLGDEKTSSGILGPRSVSLPRHLPSSKQLQASLDGGAKAFSSFKSMSPHIILTCTHPFVSDKLEACLHDGGASSLPPFVPASSPPPGPPQPPPSAPPKSDGGDKPPPAPPPAPPPPVQPPPKSNPAPPPPPPRKSGPPPPPPPVNGNKEGGAGPPSPPPASIGGGVTAPSPPPPINKPRVLSRTSAVKTQPAKRMKPLHWLKINRVGQGSLWAESEKSGEAARAPEIDMSELETLFSASNPNSDKATKAKSKAANKPERVQLIDHRRAYNCEIMLSKVKSPLHELMEHVLNLDESAMDVDQVDKLIKFCPTKEEMELLKGYKGEHGMLGKCEQFFLELMKVPRSEAKLIVFSYKIQFSTQIRSSVKLRRVMQTILSLGNALNQGTTRGAAVGFRLDSLLKLNETRARSNKMTLMHYLCKVLADKLPELLDFCKELGSLEPASKVIFVAFTF >cds-PLY85755.1 pep primary_assembly:Lsat_Salinas_v7:1:47320405:47320979:-1 gene:gene-LSAT_1X41360 transcript:rna-gnl|WGS:NBSK|LSAT_1X41360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVLRNEQADERSDIYSYGVVLWEITTEKIPWGDLNSMQVMEAVGVMNQGLDIPKDVDPQWASLIQRCLWRFILHNQLEYLHRLITNPFKFFI >cds-PLY84251.1 pep primary_assembly:Lsat_Salinas_v7:7:78486670:78487797:1 gene:gene-LSAT_7X56200 transcript:rna-gnl|WGS:NBSK|LSAT_7X56200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFDTFGFTAVEVARKQVEKEKKNERCLIDAENGTASWSLNKEFKNWFTLWMVRWVSPLMMMRRTACASSYHQKQPLINLHVPLRVTIKAMAKAFGVTVDFIDLGKQGAITIHCSREASLQDQQSSRCFGNQPPRCKEWSLSNLSIVIL >cds-PLY81396.1 pep primary_assembly:Lsat_Salinas_v7:8:31810336:31821006:1 gene:gene-LSAT_8X24921 transcript:rna-gnl|WGS:NBSK|LSAT_8X24921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKMGNASQSVRVCFITTNLRKNRDKREKTRLHRGVIEQCHFHKQRDSEETEVRTAVNIIVGSHVWAEDPAQAWIDGQVVKIKGKDVEIQTSEGKTIVSNLSKIYPMDLEAPAGGVDDMTKLSYLHEPGVLQNLRTRYELNEIYTYTGNILIAINPFQKLPHLYDAHMMQQYKGAPFGELSPHVFAIADTSYRAMVHEGKSNSILVSGESGAGKTETTKMLMRFLAYLGGRKATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKHGRISGAAIRTYLLERSRVCQVNDPERNYHCFYLLCAAPPEEVEKYKLGSPQSFHYLNQSKCFELVGVNDGLEYLATRRAMDIVGISKQEQEAIFRVTAAILHLGNIAFAKGKEVDSSVLKDDKAKFHLKMTAELLKCDPVGLQDALCKRVMITPEEVIKRSLDPLSAAFSRDGLAKTIYSRLFDWLVDKINKSIGQDPNSKCLIGVLDIYGFESFKNNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYKKEAINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFANKLYQTFKNHKRFIKPKLSRTDFTVAHYAGEVQYQSDQFLDKNKDYVVPEHQDLLGASKCPFVAGLFPALEESSKSSKFSSICSRFKLQLQQLMETLNATEPHYVRCVKPNNKLKPSIFENLNILQQLRCGGVLEAIRISCAGYPTRRAFFEFINRFGLLAPEALAGLAGSSFDEKMVCQKILEKMGLSGFQIGKTKVFLRAGQMAELDARRAEVLGSAAAIIQRRIRTHIAHRQINALRNSSIFLQAFCRRSLACRKFDQLKRIAAAIKIEKHVRKWRAWVAYTRLRVSVLAVQTCVRAVEARKRFRFRKETNAAIKIQTRWRCHKHSAYYKRLKKGSLVTQCRWRGRIARRELRKLKMTDLEEAKAQEALKFQNSLEGIQKKLDEANALAVKEREAAKKAIDEAPAVVEEKEIVIEDTKKIESLTAEVDELKAKWESEKKRADELEECSEGRRKKLEETEKKVVQLQETMSRLEEKLNNLESENKVFRQQAVSMAPNKFLSGRSRSIMQDPHSPSMHQRDLAEIDDTPQKSLNEKQMENQELLIRCIAQHLGFAGNRPIAACIIYKCLLQWRSFEVERTTVFDRIIQTIGNAIEKKQDNNDILAYWLSNASTLLLLLQRTLKPSGSASGMAPQRRRSSAALFGRMTSVMSFRGTPQGIELPSFVNGDLSSGVEGGYTKVEAKYPALLFKQQLTAYVEKIYGTIRDNLKKEISPLLGMCIQAPRISRASLAKGSSRSVSSSAQQTLIAHWQGIVKSLGSFLNVLKTNHVPPVLVRKVFSQIFSFVNVQLFNSLLLRRECCSFSNGEYVKAGLAELDHWCFNATDEYSGSAWDELKHIRQAIAFLVIHQKPKKTLDEISHDLCPVLSVQQLYRISTMYWDDKYGTQSVSPDVISNMRSLMTEENTVVSNSFLLDDDSSIPFSVDDISRSMDNIEISDIEPPPLIRENSGFSFLLPRIESH >cds-PLY90678.1 pep primary_assembly:Lsat_Salinas_v7:6:50055005:50055935:-1 gene:gene-LSAT_6X36341 transcript:rna-gnl|WGS:NBSK|LSAT_6X36341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKTDRETHDFMNVESFSQLPFIRPSPLKEKGIRLFGKEFGGGGDSAAMISDDFDSTIDASAATHEESKEIGESSRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQSAMVHRSFSEAQMYGLMNYHRYVTTTANPSPPYYHQATGAASTITNYNNRFYGGNSSYTSHQTPINGSPLALWRYPNAQPSTFNYDNSINTSSLISSNDGLRASRIPPSSSYMYDSKPSVQDQVSLDLHL >cds-PLY62024.1 pep primary_assembly:Lsat_Salinas_v7:5:130929901:130937846:-1 gene:gene-LSAT_5X55660 transcript:rna-gnl|WGS:NBSK|LSAT_5X55660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASCPPFDFSGKYYQTSGDACLRQSNFFGGKAVLNQGVGYSVILGFGAFFAFFTSFLVWVEKRYVGARHTSEWFNTAGRNVKTGLIASVIVSQWTWAATILQSSNVAWEYGVSGPFWYASGATIQVLLFGVMAIEIKRKAAHAHTVCEIVKARWGTAAHVVFLVFCFMTNIIVTAMLLLGGSAVVNALTGVNIYAASFLIPLGVIVYTLAGGLKATFLASYIHSVIVHVVLVIFVFLVYTASSELGSPSVVYQRLMEVSSRSRTCQEPLTHNGQACGPVSGNYNGSYLTMLSSGGFVFGIINIVGNFGTVFVDNGYWVSAIAARPQSTHKGYLLGGLVWFAVPFSLATALGLGALALDLPLTASEASRGLVPPATAIALMGKGGSVLLLTMLFMAVTSAGSSELIAVSSLCTYDIYRTYINPDATGKQILKVSRAVVFSFGCFMGILAVILNKVGVSLGWMYLAMGVFIGSAVMPLAFMLLWRKANAFGAILGTISGCLLGIITWLVVARVEYGRVNLDTTGRNAPMLVGNLVSILTGGAVHAVCSFLKPQDYDWETTRQITVVEKEKSGIAVDEYKEEKLIAAKRWIIKWGVGFTFVIVILWPVLSLPAKDFSKGYFTFWAIVAIAWGTIGSAVIIVLPLAESWRTIQNVMIGMFTNDRLVEKIEELNLKLETIIKAVPDAERIYQIEKGKIKKNETSQLA >cds-PLY74762.1 pep primary_assembly:Lsat_Salinas_v7:6:117071128:117073760:1 gene:gene-LSAT_6X70580 transcript:rna-gnl|WGS:NBSK|LSAT_6X70580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEELKQYHSQIIKLGLSYDNDAIGRIIKFCAISKNGDLGYALKVFDNLPKPDAFIYNTIFRGYLQFQHPKECISLYSQMLQSSVTPNKFSFPPVTRACSLHNAIEEGKQVHAQILKFGYSSDGFSQNNLIHMYVTFKNLQEARKVFDKMPRPDPISWTTLITGYSQLGYINEARKVFDKMPERNPVSWNAMISAYVQSNRFHEALQLFNKMQSNHIKLDKFVAASMLSACTKLGALKQGEWIHDYIKRNGIQIDPKLASTIIDMYCKCGSLEKALETFNELTKSKSNSDSDSDSVSVSISSWNSMIGGFAMHGKGDSAIDLFKKMETESVSPDYITFVNLLSACAHSGLIEQGRYYFKHMVESHGITPGMEHYGCMVDMLGRAGMFDSAIDLINEMPMNPDVGVMGALLGACKIHNNVELGEKIGEKVIELEPHNSGRYVLLANIYANSSKWESVAKIRKLMNDRGVKKEPGFSQIEIEGSINEFIAGGRVHRDSKQIYEKVNEMLTSIKSMGYEPESENVLHDISEEEEDVENPVFYHSEKLAIAYGLLKSKPGEVLRITKNLRVCKDCHEVSKLVSKCFEREIIVRDRSRFHHFKDGVCSCNDYW >cds-PLY64600.1 pep primary_assembly:Lsat_Salinas_v7:6:38564826:38571434:-1 gene:gene-LSAT_6X29301 transcript:rna-gnl|WGS:NBSK|LSAT_6X29301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLHRYSNPSSIVSPKHNLQNTSFDLNPFLFSNLILNCISSRIIQLLVKFFSGLRNTQFRIHDFHGAFDFEGREQFFQNCQDKLKDLPTRLCTVSELRVYFTSFLKNAGEKKSVSQSHFLGPNRNCNLTSWSQGCEAGWASTVNPGQKYDYNETDPKKMPFRTTDSKPCCEGFFCPQGLTCMIPCPLGAHCPVAKLNPTTGICLPYHYQLPSGQKNYSCGSADMWVPVQMGNEIFCAAGYYCPTTIKKIQCPRGCYCRMGSRVKYRCFPGTKCDKGTEIPSMKVYGILLIALLTAILIVVYNCSDQVISTRYEKQAKSREEAVKYAREIQARQKSRASKDASGWKPSGLQGIHQTLSRKFSRTKTKGSPSLPPSGPLGNKKEICPLDDNTEVDKGLNLENGDKTKHERKASKELHTRSQIFKYAYGQIEKEKAMEEMNMYMSDVDVLRKRPRIEVAFKELTLTLKGKNRNIMRNVSGKILPGRISAVMGPSGAGKTTFLSALTGKISGCTMSGMILINGKNESIHSYKKIVGFVPQDDIVHGDLTVEENLRFSARCRLSADLPKADKVLITERVIEALGLQGVRDSKVGTVEKRGISGGQKKRVNVGLEMVMEPSLLILDEPTSGLDSASSSLLLRALRREAMEGVNISMVVHQPSYSLYKMFDDLILLAKGGLTVYHGPVDNVEEYFEGLGITIPERVNTPDHLIDILEGIIKPGGNVTAQQLPVRWMLHNGYRVPPDMLHLCDQNSSASPPSHEPNNKDQREVEKYNYFSTPDLSGRVTPGVFRQYKYYLGRVAKQRMRDARVQAADYLILLLAGACLGTMAEVSDVSFGYTGYQYTVIAVSLLCMIGALRTFSQDKLQFKRESASGMKSLSYFMAKDTMDLLNIVMKPLVYLCMFYFFSYPRSSFVSNYLVLLCLVYCVTGISYTLAISLEFSQAQLWSVLLPVVLTLVANQDKKSFASLVAKFVFPRWALEAFVVANSKEYNGVWLLTRCAALKKFDFDIHNSKKCLWYLVGTGVGCRVIAFVCLLTRSK >cds-PLY97256.1 pep primary_assembly:Lsat_Salinas_v7:1:43529656:43532559:1 gene:gene-LSAT_1X38241 transcript:rna-gnl|WGS:NBSK|LSAT_1X38241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTIALYASPPTGVYSTPYPCQINSHTSYDFDLSSRPSSSSTATVSSSKPTVGGLSSLFSSSSSFSGGGSEELPSMRGGEDLSSSFSYAPFGSYLKRDHIHQSPVSVFQGPVSSSSTSPLRFSPERDGSLRAGSKRLFKGFVTHALSSCVEYDSRSSRVQDDFAEELTFMMDDTTLKESDPEPYAKDLLLDAQLKHKIFNDNLVIKAFYEAEKAHRGQMRASGDPYLQHCVETAVLLASIGANATVVAAGLLHDTLDDSFISYDYILQTFGAGVADLVEGVSKLSQLSKLARESNTANKTMEADRLHTMFLAMADARAVLIKLADRLHNMMTLEALPLSKKQRFAKETMEIFAPLANRLGITSWKEQLENLCFKYLNPDQHKDLSSQLLKSFNEAMVSSAEEKLKQSLQDESVSYHVLYGRHKSLYSIYCKMLKKKLAMDEIHDIHGLRLIVENEDECYKALDLVHKLWSEVPGKFKDYIKHPKCNGYQSLHTVVMGEGSVPLEVQIRTKEMHSQAEFGFAAHWRYKEGESKHSSFVVQMVEWARWVVTWQCENMSQEEYKYKPPCTFPFHSEDCPHSYKPSCGSEGPVFVIIIENDKMSVQELAANSSVKDVLNISGSRFISVKEELRPRVNHEPVRDLGCKLKMGDVVELTPRIPDKSLTEYREEIQRMYDRGLSVTNSNRWGR >cds-PLY62986.1 pep primary_assembly:Lsat_Salinas_v7:3:119385406:119389521:-1 gene:gene-LSAT_3X84080 transcript:rna-gnl|WGS:NBSK|LSAT_3X84080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMRVAVGIHKDDIDSVIKTYELTSQSWFTHASPTLLNAGTPKPQGKAKKVVKAQKLWYEVFKSQIETGTPYMLFKDSCNRKSNQKNLGTINSSTLCAEIIEYTSPTETAVCNLASIALPQFVRVKGVSAESQPSKLVGSIGSGNRYFDFDKLAEITLVVTTNLNKTIDVNYYPVETAKMSNRRHRPIGIGVQGLADAFILLGMPYDSPEAQQLNKDIFEAIYARCDEEDNTNDVFLDEL >cds-PLY96093.1 pep primary_assembly:Lsat_Salinas_v7:3:97970718:97971780:1 gene:gene-LSAT_3X73341 transcript:rna-gnl|WGS:NBSK|LSAT_3X73341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPKKKGRPRKKVQSDPNQASGSKFVKSKRKLGIKRGGDIVEDRVLLDEHDGLDGHVEGRGCEEQMKDFFDKEDIDDDSLVDMMCTFEASLSQAKYNYQKGDGLQEAMDAIIQSIHHANDEKGVEDVEPDMTKILDEDVNEVEDIPYTDGEMEGNEGEGDADDAGEVAGEGEGEGDGHGAGEGDGAGEDDAADLEGNDADDEGHVPPRRTRKPSERIILQKLKKPCFDKDGRGSTSSYPIDLE >cds-PLY72857.1 pep primary_assembly:Lsat_Salinas_v7:5:169392364:169394548:1 gene:gene-LSAT_5X73321 transcript:rna-gnl|WGS:NBSK|LSAT_5X73321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVKSNEKYGCRPVAKKSKFSVVVFSVGEVNEKYGCRPVAKKSKFPVVVFSVALVGVMLALAWIIRIFFSIQKRKLMKLREKFFEQNGGVLLKQKLNSQDDTYTMTVYSIEQLRKAIDNYSNERIVGRRGFGVVYKGVLSDKRVVAIKKSISVGKTEKEQFINEVLVITKIIHGNVIKLLGCRLEDKVPILVYEFNPNNTLFHHIHNEEGGTSWSSWETRLRLVAEAASALAYLHSHATTPIMHRGVKSANILLDDDFSAKLSDFGISMLFSIKEENVNTVVQGTLGYLDPKYQHTHTQYKRKEACV >cds-PLY86218.1 pep primary_assembly:Lsat_Salinas_v7:8:226016187:226016888:-1 gene:gene-LSAT_8X138100 transcript:rna-gnl|WGS:NBSK|LSAT_8X138100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRFCPFKEYDIEEEGQRDGASNQIEDKNGSVATKKLKDLKRKAGAERLL >cds-PLY75467.1 pep primary_assembly:Lsat_Salinas_v7:7:75154427:75155175:1 gene:gene-LSAT_7X54140 transcript:rna-gnl|WGS:NBSK|LSAT_7X54140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWNKLILLSVVALTFNYLALAYEPSPLQDFCVADPNSSVKVNGVTCKNPMHVQAEDFFFSGLHLRGNTSNQLGSKVTPVFATQLPGLNTLGISMVRIDYAPWGLNPPHTHPRATEILTVLEGTLQVGFVTSNPDNRFINKVLQKGDVFVFPVGLVHFQRNVGNGNAVVIAALSSQNPGAITIVNAVFGANPSIPADILAKAFQVDKSVVDQLQAKF >cds-PLY70687.1 pep primary_assembly:Lsat_Salinas_v7:3:104966686:104967387:1 gene:gene-LSAT_3X76901 transcript:rna-gnl|WGS:NBSK|LSAT_3X76901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMICDSKLQTCMYILIISLQHCKSTDILTKDSPISLEQILVSSNQIFELGFFSPDQPPQAFIWRGSKAYWRGGPWDGGKFIGIPEQQAVYSIQMTIMP >cds-PLY64161.1 pep primary_assembly:Lsat_Salinas_v7:2:20712673:20714132:-1 gene:gene-LSAT_2X9000 transcript:rna-gnl|WGS:NBSK|LSAT_2X9000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCVQKQNEAALSYNSMLKEQLPVLASSLPQSRIAFVDFYNPLINTINKPQQYDLAQHAQTTLNISYGIVSTYQRLDVTSLLMRVYRVWWKICSIIMFLNNLLTFCWDNRFDPNKEFHTTRIQSIRDVTFCVDKTFSDDIRQATLGVAAKGIGRISVGDMSLSILALGSDHDPTDRTIRSRLHRPIII >cds-PLY65025.1 pep primary_assembly:Lsat_Salinas_v7:1:117252834:117257462:1 gene:gene-LSAT_1X86780 transcript:rna-gnl|WGS:NBSK|LSAT_1X86780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHNSDSEIVIDKLVDIDASKESQNIRVQIVKIWKQTYKNNPNMVNNLDMILMDHEGTRIHVTIKKNLINAFQALLEEGAVRQITNFGIAKNEGDYMLVAHKHKINFYKTTIIRVSTPFVDRIDPFNFVSFHDLTARNFDTRVAFDFIGQVLSTEPMRMIKKNTRETWLLIIVAQDLRVRVVLRVQDETWSASFVLFNRHVKDLIHRVGAVFKHSPAYAENSIHFDGTPINKSIKEKNVSVEGDNINVVELDAVTPETTSLKRPIEIITTHESFEWSSSKDGVAPHALKIPKMEKLE >cds-PLY87331.1 pep primary_assembly:Lsat_Salinas_v7:3:111982288:111983051:-1 gene:gene-LSAT_3X80700 transcript:rna-gnl|WGS:NBSK|LSAT_3X80700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYNPRVSSSRRKCRKAHFSAPSSVRRVLMSAPLSTDLRSKYNARSIPVRKDDEVQVVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGQTVNVGINPSKVVVTKLKLDKDRKSLLDRKAKGRAADKSKGKFTVDDVAAGESLQEID >cds-PLY87792.1 pep primary_assembly:Lsat_Salinas_v7:8:222679711:222682232:-1 gene:gene-LSAT_8X137201 transcript:rna-gnl|WGS:NBSK|LSAT_8X137201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDNHLDQGNEKNPSSKVRSKAIVWEFFEKIKGDDGLQKTRCTNCNKVYNCAPKSGTSTMRRHLRKCSPQPLTQLSPEAIQLSNTNGDNAELANEGSAKKKLKYVIEDIHLKTDRELMEFIWMNKRNIGLLEQKVPDKAIAIKQAIKCYEDEVNRRAKPQSPKENSPGVTNTVTVKIEVDDHLVAQYENSGENMTTETQADVNQRTSPHLENGKIPSKVVADDQSVPENGIFDGNTTEEKLQIVAINGDENQASEQQHNELRKVSSVLSLLTSPNSSYIPQNNPLDEKTEQAKQSLIQLLEKDFRTLVGSPDEQTLKSCIKILIKSLHKLPKFQARVIETLNSQFESACENWSTWNKVIEESIAFEMKEGGNLVVLEEWQEKDLEVESKILKVDADIERLKAELREKELTRESLVKRKFDLFNETKISIGEAKKILQEMVSVKVRSDVAVDNIKDLNTKWEQIRENFLFK >cds-PLY64268.1 pep primary_assembly:Lsat_Salinas_v7:7:2112470:2114597:1 gene:gene-LSAT_7X880 transcript:rna-gnl|WGS:NBSK|LSAT_7X880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRTANRCFVQEDAKRAPKLACVPPASSSSLFKQADTTTPSTNGNGVDDFAPPTANSMYSNLSSDSRWWLHLQPNPSNYMYQKEQVSSTLEFVDIMDSQSSVKGYYNLGEESYEFVEMDSGSKQVDEFSLGSEFPHWMERESENSHEELPLPWWHKDDLASFVAQRSQDFMENCDLPQPQSQNTSFTCFGLSKPQNSASIHGTAVRPLSSTTTTTTVAVDEGGEMDPSKRKLLEALCHSQTRAREAEKAAKQAYEEKQHVVKLIFKQASQLFAYKQWLYLLQLENLYNQIKANKIPSASPWNVKPAKRKTPKPGYKDNDDDDDLIGKYAVAFAVGLGLVGAGLLLGWTVGWMFII >cds-PLY65190.1 pep primary_assembly:Lsat_Salinas_v7:7:60026538:60028460:-1 gene:gene-LSAT_7X45300 transcript:rna-gnl|WGS:NBSK|LSAT_7X45300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVTNKYVAIKANIDGAPQESDFELKNGSFSLLVKPGSKDVIIKNLYVSIDPYQINRMKIASDSQTTSVFATMIDPGKVIDAYGVGIVIASGNPEFEKDDYVVGLLSWGEYCVYNGPYLNKLDAMGLPLSYYVGALGLSGLTAYAGFFEICKPKKGEKVFVSAASGSVGNLVGQYAKQFGCYVVGCAGSPKKVKLLKEKLGFDEVFNYKEEPDLNLALKRYFPDGIDIYFDNVGAEMLEAAILNMNLHGRIAVCGVISEYTDNGKRAIPDMLSVIYKRITIRGFLAGDHMGMFPEFFSTTIDHLNTGKMQVLEDVSFGLEGVPSAFVGLFRGDNVGKRIVQVSND >cds-PLY88065.1 pep primary_assembly:Lsat_Salinas_v7:6:182430026:182431221:1 gene:gene-LSAT_6X111101 transcript:rna-gnl|WGS:NBSK|LSAT_6X111101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDDYYKILNVSKTVNDEDLKKAYRNLAMKWHPDKNPNNMYEAEAKFIQISEAFEVISKTLLLAFSLSSQILNSEFQFQVLGDPQKRLLYDQHGEEDLKETPPSDDSKTENGFSGRTAEDIDILEEFCSRCGFDFDTKRGGRNDNSNVDNRFKGSTMLKKPPPVENTLPCSLEELYNGSTKKMQISRTFVDKNGQLTLVMETLTIDIKPGWKNGTKITFPDKGNQQKAKELPADLVYVIHEKPHQVYKRDGNDLFVNYRLTLAEALKGTTINITTLDQRELAIEVKDIITPEYELVVSKEGMPIPNDSDSRGDLKVRFEIKFPKKLTSQQKTALRHALGG >cds-PLY66860.1 pep primary_assembly:Lsat_Salinas_v7:7:17579458:17581686:-1 gene:gene-LSAT_7X13320 transcript:rna-gnl|WGS:NBSK|LSAT_7X13320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFTYAHMLFYIASVCVKHTYVDLETVQEMMLGSEIFKKTIQKHGLSGGVISLSEEYSFKESRMAARSRNTCSTMQDSYLKPMFSWLQEILDQCISYISYKFITYTL >cds-PLY68242.1 pep primary_assembly:Lsat_Salinas_v7:3:103001745:103002131:-1 gene:gene-LSAT_3X77041 transcript:rna-gnl|WGS:NBSK|LSAT_3X77041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAACGVTKFNESTQPLVLVSDDNHDSVSRKQKRTISNRESARKSRIRKKKHMEDLVGQVSQLVSENKCMAINLKDTTQMFVKMESDNLVLKAQLAELTHEFESLNEISNGFNLVMSDVDYENKAWCAW >cds-PLY94294.1 pep primary_assembly:Lsat_Salinas_v7:7:166054455:166057489:1 gene:gene-LSAT_7X98221 transcript:rna-gnl|WGS:NBSK|LSAT_7X98221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPYMNHFNLLQTIFLLYFSFKLTCSGSSLSPDEECSALYQFKQSIIHQDDPACAASWLQTFNSWKPTNNASDAGFDCCSWYGVECRNDHEYSHVIGLDLSESFLCGGINSISTLFSLVHLQSLNLAWNDFSESQIPSEIAHLKQLRSLNLSASGFSGQIPNEISQLIQLSSLDLSQNLLKLQSPSGLKNLVQNLTGLEELHLSGVDISSSVPHFLANFSSLRSIRLQNCFLQNEFPAAIFQLQKLIRLDLSFNTNLTGALSEFRNSSLLELVNLRSTSFSGIVPESISNLNNLRVLSLGDCSFSGHIPGSLSNMTKLTYLSLGNNKFTGFVPSLVSLSKLIILDLNGNRFDKGVLPNWLGMLAELNQLDTYGMNINGEIPSFLANLTKLTVVSMGKNSFTGHIPSWLFNLTQLRSLDLQHNQMQGPISSSFSNFKNLRFLQFNYNNFSGKVGLDMFLGLNKLESLILGNNKISLVPTNNFTNSTLPELKHLSLSSCNLKEFPAFLRFQNKMELLFLDRNKIYGMVPVWIWNNSRETLQVIDLSNNYITGFDQHPIFLPWKSLQAFSIKNNQFQGQLPVPAQNTVVYSAENNNLTGEIPASICEVKSLQVLDLSSNNMSGTLPPCFGDLINSLLTLDLGRNNFHGTLMMNVFMHGSQLESIDLSENHFTGQLPRSLTNCTNLEVLSLGDNSFDDVFPFWLGTLAKLQVLILRSNKFYGPIQGSKTVCSHFPKLRIIDISNNGFDGELHENFFQNCNAMRVGYGELSVMESGMSFEHFMSSVPYTMTLIHKGVRTKYEKILTIFTSIDLSCNHFEGEIPLSLQDLRGVQALNLSNNRFTGRVLPSLGYLINLESLDLSRNALSGEIPQLFVQLNYLSIFNVSYNHLEGRIPQGQQFSTFENNSYEENPGLCGKPLSKECGNLKASRLPPARNASESLLPSERIDWIIIFCGVGSGLVVGIFIGNSLHTKYSERFTKRKDRWVRPLRSTRRSNQVQ >cds-PLY85750.1 pep primary_assembly:Lsat_Salinas_v7:1:48078264:48080396:-1 gene:gene-LSAT_1X41900 transcript:rna-gnl|WGS:NBSK|LSAT_1X41900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDIAAQQQHEAELAAAASQPLPDDDDDAFE >cds-PLY76230.1 pep primary_assembly:Lsat_Salinas_v7:4:55267937:55268632:1 gene:gene-LSAT_4X37440 transcript:rna-gnl|WGS:NBSK|LSAT_4X37440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSRNQLKVTHVQSQEGVKVCDCVVPAKERTCWKITNPGRRFWNCQNSMTRLRKCSFFEWKDEEQADGYYKNLLYSLKQKLDAKDEMSEMNNLRRRIAEVEFLLSQEQYKVAKIEKEVHDAMKAIGRYRMIVALLVGCLTLCVLKLGGSM >cds-PLY82763.1 pep primary_assembly:Lsat_Salinas_v7:2:145552337:145553313:-1 gene:gene-LSAT_2X71801 transcript:rna-gnl|WGS:NBSK|LSAT_2X71801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSLMWCKGRENKAGEIEDANVKLMAEKLVEHETQIKDGDVKLNPGMDAMSLVFGKDNGGFLKGVGTGVTASRYFNIPRTNGSSKEQITDLKFELRNERLELQKKDEELQALSTKVREQDKTLKLVLAHLESQGTMIPNLPSHPNESPTQVFSVDKNVESHVTPITNTIIEKAPMTDKASTNEPVTRVMAKSTKITVESKSATTNSHPKTKDTHSPKLP >cds-PLY81238.1 pep primary_assembly:Lsat_Salinas_v7:4:306940373:306940870:1 gene:gene-LSAT_4X155461 transcript:rna-gnl|WGS:NBSK|LSAT_4X155461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLTTSVDVNKVWRRRSYDVNVEDSPDRRKNMKTVRFGESHGPFSKIKKLFKGSNNEQGSSRDKKAHQSAKVAASTNAFQSRLLLEIHKNTSSSYELGSM >cds-PLY64102.1 pep primary_assembly:Lsat_Salinas_v7:1:2373166:2373412:-1 gene:gene-LSAT_1X1600 transcript:rna-gnl|WGS:NBSK|LSAT_1X1600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALGYTIAAILKRSSHVFFEEKVCVKTDWLEQLNLTYVQVQGRDHLYVKYK >cds-PLY67326.1 pep primary_assembly:Lsat_Salinas_v7:4:20221060:20221392:-1 gene:gene-LSAT_4X13520 transcript:rna-gnl|WGS:NBSK|LSAT_4X13520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTRNFRMDQVFQEDQGVKSRKIMKGFRPTAPVVFLIWLLLLFTLFPPSTAYDHDRSVFSSRKAKFFQTSLPRFHLVSSEPRIGFGAPGEGSLYDDDKRIIHTGPNPLHN >cds-PLY79763.1 pep primary_assembly:Lsat_Salinas_v7:1:180345857:180354951:1 gene:gene-LSAT_1X117161 transcript:rna-gnl|WGS:NBSK|LSAT_1X117161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKEDAQLSTAKRAYRNASEEGNRQEEARWANVIGDIFKNRGEYVEALRWFRLDYEVSIKYLPEKQLLPTCQALGEVYLRLQHFKDALIYQKKHLELAKDSNDVIEQQRATTQLGRTYYEMFLKSDNDHTSLRNAKKYFISAMKLAQTLKENPQSNKYTFLKEYIDAHNNIGMVEMDLDNLEEAEKILTKGLQICDEEEVVENDDGRTRLHHNLGNVYMELRKWDKARKHIEKDIVICKNIGHCQGEAKGYINLGELHYRVQKYEEATLCYQKAMKLAKSMDDEHALANQVDQNIEIVKEATKVMEDLKTEEQNLKKLTRNMVLARGTSGERKCLLQQNASLDCLIEKSSIIFAWKKHCEFAKKKKKVANELCDKEKLGDSYLVIGESYQKLRNFNKAQKCIALQANLISVQLSALENMHYSYMIRFDNAEEARRLQLQIDELKNSNNDLEPLNIPKDCCSETDTEGDDDISLMKSDSDMNYSPKLNTSKSYGVEDLSDDEVPLVSFLRSSQHQSKSKGSKVTKHSASTKSLEASPRKSTCSQTLNRKRGRLVLSDDEDENKEVGCPQRRIHEYPEEHVATSNEFKSGQDLSSHHVHEYQDMSPVASKCAISVNLEESTSSHKGKSSKVATQTFRCSKGNESFNDSHFGVNGVRCDSGVSENICQKNGPSGPFFDACDDHNCKHMIFKVEDDFVHIESSSCKLSIENMKVEVACLYFLKLIKENRSKGLLPMIKHLKCGGEVLESLDSLNIPKDYMSGKCWIEASIDRWVPKRLVKLYVDCCSDLSEPPNLKLLKKLYNLEVSEDEIVVSDCELQDISAAPLLNALNSHKTIALLNLSHNLLGNESMEKLKQVFMSSSQKYGGLVLDLHCNRFGPTALFQICECPVLLSRLEVLNISGNRLTDGCASYLSTILQNCKALYSLNIQQCSITSRTIQKVTDSLDSSLILSELFIGYNTSISGNAIVNLLDKLSTLNSFSQLNLNGIKLNKNAVDSLCKLVKTSGLSDLMIGDTSIGSERAIELMDSWNNENRELIKLDLSCCLLTSDYVIKLQTNPSWINGVLELNLAHNPLMQEGATALASVLKNPNCCLKVVNLTKCQLGLVGILTILQALSHNTSSIEELNLSENALQDPDSTVKPDHEKVNKSQHELFSVNADFTDLEVADSEDESDEPKVKVKVNTSSSRSMPSSHDGSCVSLCENDLLKSKSKSKSMFVQALWYAVSMAKGVRVLDLSGNGLEEAGDTLYGGWSTDSRGGLTKKHVEGSILHFSVDPYQCCNNETCCKRF >cds-PLY72314.1 pep primary_assembly:Lsat_Salinas_v7:4:60553762:60554801:1 gene:gene-LSAT_4X42281 transcript:rna-gnl|WGS:NBSK|LSAT_4X42281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAKENCALKCLSPACYELIYESDPLEEGEKDYVRSQEYKYCMHRLSLGESLDGVRGSFD >cds-PLY66178.1 pep primary_assembly:Lsat_Salinas_v7:4:34455389:34458011:1 gene:gene-LSAT_4X24200 transcript:rna-gnl|WGS:NBSK|LSAT_4X24200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSGRKRMMWDIGSLSNMVATSVSQQVEQLQCIEIFCHTFININDKAIAELKKKVSASKNLYISHIEALENVVRLHKESANGSLEDIAAMASSNVCSVEEIWDARIGEAVYHHMEHERWTWSVDFSQVDPMKLASGSDDYTLKLWSINEPKYHEILMPPLIAK >cds-PLY62136.1 pep primary_assembly:Lsat_Salinas_v7:6:47293243:47294823:-1 gene:gene-LSAT_6X35500 transcript:rna-gnl|WGS:NBSK|LSAT_6X35500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFDAADNSPPSRSHFKKSVSLRDWWLTKPQSDDDRNTIGVSGLTSTSQLNRAERCFSSAPIVKAYDFFELETVDGVCVILQGYINKEKTLGSGFSSEVFDHFVIGFPSYWEEFSTSCPQRRSSDECVSTAHEDEKHSIEQHGKFHDMNTRLEDCKDEVLSSKSTSLSPHGPTETECYSGKRSRSGRVLLPSLEFWRNQTVVYDAGLDRQVTGVSVAWDHGISRGRVRGKNI >cds-PLY74501.1 pep primary_assembly:Lsat_Salinas_v7:7:33386685:33391414:-1 gene:gene-LSAT_7X24220 transcript:rna-gnl|WGS:NBSK|LSAT_7X24220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G18780) UniProtKB/TrEMBL;Acc:A0A068FHR7] MMHPEMPICNTCGEQVGFVSNGSGVFVGCHECNFPICKACLDYEIKEGHNACIQCGTPYDGSLTNVAEKEPVTHTTMACHLNHSQDAGLHARNISTVSTVDSEMIDEDGNPIWKNRVESWKDKKNKKKKSVAKVAKEVQIPVDQQMGEKQGSTEANAMQPLSQIVPIPKSQITPYRVVIIVRLIILGLFFHYRITNPVESSYGLWLTSVICEIWFAISWVLDQFPKWCPINRITFTDELSARYEREGEPSQLAAVDFFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLSFESLVETAEFARKWVPFCKRFSIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAMKRDYEEYKVRVNALVAKAQKTPEEGWTMQDGTPWPGNNTRDHPGMIQVFLGHSGARDIEGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCFLMDPLVGRDVCYIQFPQRFDGIDRSDRYANRNTVFFDVNMKGLDGIQGPVYVGTGCVFYRQALYGYGPQSLPALSKPSSSSSWCCCGPKKPKKDLEEFQRDSRRDDLNAAIFNLKEIESYDDYERSLLISQMSFEKTFGMSSVFIESTLMENGGLAESANPATMINEAIHVISCGYEEKTAWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPVRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGWGGGRLKLLQRLAYINTIVYPFTSLPLVAYCTLPAICLLTGKFIIPTLSNLAAVWFLGLFLSIITTSVLEIRWSGVSIEELWRNEQFWVIGGVSAHLFAVFQGFLKMLAGVDTNFTVTSKSADDLEFGELYMIKWTTVLIPPTTLLVVNLVGVVAGFSDALNKGYEAWGPLFGKVFFAFWVILHLYPFLKGLMGRQNRTPTIVILWSVLLASVFSLVWVKIDPFVSKGDSSLTQGCIAIDC >cds-PLY94586.1 pep primary_assembly:Lsat_Salinas_v7:8:180243181:180246458:1 gene:gene-LSAT_8X117661 transcript:rna-gnl|WGS:NBSK|LSAT_8X117661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin-cholesterol acyltransferase-like 4 [Source:Projected from Arabidopsis thaliana (AT4G19860) UniProtKB/Swiss-Prot;Acc:Q71N54] MAVLLEDIVKAVEAWLKILKEPEPYVDPNLDPVLLVPGIAGSILNAKDAETGKEERVWVRIWEADREFRAKLWCKFDPDSGKTVSLDPNISIVVPEERDGLYAIDCLDPDMVIGRDNVCYFHEMITEMTSWGYQEGKTLFGFGYDFRQTNRLKETMDRLAAKLEAIYTASGGKKITVITHSMGGLLVKCFMSLHSDVCEKYIKSWIAIAAPFQGAPGYVTSTLLNGMSFVDGWEAYFFVSKWSFHQLVIECPSIYELMACLDYEWEHAPLLQIWKEIQDSNGNSTAILESFTPVEAVSIFTQALSFNELSIGGIDIPLPFNKEILQWANKTREILSSTKLPPNVKFYNVYGTGRDTPQSVCYGSVDSPISDLQELPLCSATYVNVEGDGTVPLESAKADGLDAEARVGIPGEHRGILCDKHLFRIVKHWLRADHDPFYNPVNDYVILPTLFEVERHHDNGKGIDVISLKEEWELVSKDDQEENKNDIQPMIGSISASCEGDEARATLIVHPQSNGKQHIELNAMSVTAGGA >cds-PLY89674.1 pep primary_assembly:Lsat_Salinas_v7:3:184829610:184832110:1 gene:gene-LSAT_3X111080 transcript:rna-gnl|WGS:NBSK|LSAT_3X111080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTKEQKLHGNVLTIWVDKFHGRSHTTFDYLCHVPFNFHGWSFKIRFQMELYRFESSVEEVVLILPMANEQQQRIVLMDI >cds-PLY73124.1 pep primary_assembly:Lsat_Salinas_v7:4:361949895:361950300:1 gene:gene-LSAT_4X179421 transcript:rna-gnl|WGS:NBSK|LSAT_4X179421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISRGRKQKEGGLRSLAGREGQTKADQKEAFIGQGREEGSAGNIVRIGGVWVVVFDRRRGVCGFSVDCQRRRKRLVRWSWVIPTPLAVKEEEQMVFCLGCYLL >cds-PLY95231.1 pep primary_assembly:Lsat_Salinas_v7:6:97291761:97298662:-1 gene:gene-LSAT_6X65161 transcript:rna-gnl|WGS:NBSK|LSAT_6X65161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPEPNNNASGSGNPKEEANLKVPSKDPKKKDDKKDEDLSEEDLALKQQLELYVERVQDADQGLQKVALESMRQEIRTSTSSMTSVPKPLKFLRPHYGTLKSFYDTMPVSDLKKLLADILSVLALTMSAEGDRESLKYRLLGSEGDIGSWGHEYVRNLAGEIAQEYAKRVNEEASVDDLIELVEQIVAFHMKHNAEPEAVDLLMEVEFLDLLVKHVDSTNYKRTCLYLTSSARYLPGPDDMSVLDIAYTIYTKFEEYPSALQIALYLDNMQHVKQVFTSCPDLLRKKQFCYILARQGTSFELDEEMCGDDDEREALQEIINNTKLSEGYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTVPSEASSGGSSGNWLFKNKEHGKASAAASLGMILLWDVDAGLAQIDKYFHSNDNHVIAGALLGVGVVNCGIKNDCDPALALLADYLDKEDASIRIGAITGLGLAYAGTQNEQIRDKLTPILGDPKAALDVIAFTAIALGLVYVGSCNEDVAQAIIFALMDRSESDLGEPLTRLLPLGLGLLYLGKQDSVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQHFLGQCAQHLEKGGETFQGPAVLGIAMVAMAEELGLDMAIRSLEHLLQYGEQNIRKAVPLALGLLCISNPKVHVMDTLSRLSHDADTEVAMAAVISLGLIGAGTNNARIAGMLRNLSSYYYKEASLLFCVRIAQGFVHMGKGLLTLAPYHSERFLLSPTALAGLVVLLHACLDMKAIILGKYHYVLYFVVLAMQPRMLLTVDENLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATEKYIPLSPILEGFVILKENPDYREEA >cds-PLY74616.1 pep primary_assembly:Lsat_Salinas_v7:7:33105234:33105701:1 gene:gene-LSAT_7X24521 transcript:rna-gnl|WGS:NBSK|LSAT_7X24521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRSVNISKGKFDEDLIEKIFSGSPLLETLVLDKCYGYRRLDITSKSVKNFVLSGKMYAKDKYAEDIDDVIEINAPHILSLTIKGRLWKLLLVNVASVVEASLCYTNFGRWIPPKEIEEERLKGLILNLRHVKELKIEGFCSKVKFLLLSSLCLS >cds-PLY64671.1 pep primary_assembly:Lsat_Salinas_v7:7:135905126:135909240:1 gene:gene-LSAT_7X82060 transcript:rna-gnl|WGS:NBSK|LSAT_7X82060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPCASRWVAMWGVQPQSMLSVSSSSKSHVHMPCFSTKTRAFASPCSSFFSQGSMHAIYDASSFSNSRKRRGGRLIVRAESDFYSILGVSKSASKADIKSAYRKLARSYHPDVNKEPGAEQKFKDISNAYEVLSDDDKRSIYDRYGEAGLKGAGGMGNGDFSNPFDIFESLFDMGGMGGGRSSRNMATEGEDQGYNLVLNFKEAVFGVEKEIEISKLDTCQTCKGSGAKPGTTASRCSPCGGQGQVISSARTPLGIFQQVTTCSSCNGTGEISTPCATCSGDGRVRKSKRISLKVPPGVDSGSRLRVRSEGNAGRRGGPAGDLFVILDVLPDPVLKRDDTNILYTCKVTYLDAILGTTVKVPTVDGAVDLKIPAGTQPGTTLVMAKKGVPVLGKGNRRGDQLVRVQVEIPKRVSGEERKLIEELSNLKKGKVPSASR >cds-PLY65131.1 pep primary_assembly:Lsat_Salinas_v7:2:75719824:75720310:-1 gene:gene-LSAT_2X33220 transcript:rna-gnl|WGS:NBSK|LSAT_2X33220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVTNTRQWHKMVGVTNAFRPLEKRFNLMFNFSNRIPRRKKGEVPSRIDSLGQVSGVLGCQWGDEGKDKLVGILPKHFDFVACYQIDDKHLSKVTSFMNFSIMNFVERVLRMRDASNLEKYSLTCRISSYAS >cds-PLY62599.1 pep primary_assembly:Lsat_Salinas_v7:9:74690250:74691048:-1 gene:gene-LSAT_9X62281 transcript:rna-gnl|WGS:NBSK|LSAT_9X62281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANDGSQTFHWQDDDLDDDNFQIHGRTLLYIVVLFSIILLITFIFFLYARCVVRSRSSSADNAVSTSSLTRNSPPSSQPQGLDAATINSIPITIYHRSPTTTGLSSSETNESSECSICLGVFEEGDKVKVLQSCCHCYHCDCVDKWLITHSSCPMCRASVRVESPV >cds-PLY92153.1 pep primary_assembly:Lsat_Salinas_v7:8:5468223:5469883:-1 gene:gene-LSAT_8X4361 transcript:rna-gnl|WGS:NBSK|LSAT_8X4361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCSKSSKWLNRLRSSRGFPDSDNINLEHFLSNSLDKIDPQTSTDPSLPDKRPKLDKRDDSGAIQGREVMNNVLSELFQMGEFQDLSRIKRKKSCRKQQCPRICIVSTNSNVQNVPVGKDRVSSPPPSPSPFPLTLSNRRTAKEVNRELTVTGHVEEEEKGHWDLTAYSQTEVTVIDTSVPSWKFEKMLYRRKNVWKVGDKKGKGLMTSDRKKRKERLNENGDVEKKKLKLCSSLSKSGNAEQGGENKKKKKKKKKKLKMCNSSKYEDKEESMARSKSPQGHEKAKTMVANHEKKQDNSSHVQEKSSFKKQIDGGSSVILIKSIPTTNKKDISGILKSCVKSMQK >cds-PLY73667.1 pep primary_assembly:Lsat_Salinas_v7:5:206634495:206637039:1 gene:gene-LSAT_5X91860 transcript:rna-gnl|WGS:NBSK|LSAT_5X91860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSPYWERWGKRAVLTFEGKKVPFLSILKREAPTEGLKLKKVLLHPISGGSIVSHSSLSPSAMIMGENDDTDTPVIKSSVLAGSKVTMGQGKIRTISSPSNPSIEVLLDDDSTYKSLVGHKWSAGETGDPYRMVKVPLVNTDPLPVVTFKYGLHKGCSEMKNNYLSVCESVAPMYIYRNALNQAQNWFKALVVVEFVFLKDLKEGKVGVTELKLLVQARES >cds-PLY91971.1 pep primary_assembly:Lsat_Salinas_v7:7:125763384:125764118:-1 gene:gene-LSAT_7X75301 transcript:rna-gnl|WGS:NBSK|LSAT_7X75301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEPITTLFSSQSTEKSFQGDEADDEDGMVSFAGIQFNPKEENIPNELIMPGKKFKIMNSKINSLLQITADTRGKNYVTGVEIDYLLKAQESRLQNLIECVNKKQEERLATHSKSFYYEIQKLCDVAKEIHEHFMEKVNATKEPLDLNVAEIQYLISKEFKKLEENYNLLHGKVDVIAGVITCLLEFNNEYTKDFKLSLERMKRCLRKWRNFCLGLKTPYQRWFFKINLPSLKNQYQRWFRLLI >cds-PLY77387.1 pep primary_assembly:Lsat_Salinas_v7:7:9507183:9508014:1 gene:gene-LSAT_7X9481 transcript:rna-gnl|WGS:NBSK|LSAT_7X9481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHTILSLLTLFLAIFSLNPTVECHSRARAYIEQQCRSTMYTELCIRTLLPFASKTNAPAPEQLARISLTTCLVKARITKAYVNMVANEYFNKTKNARYHEAVTDCLHQINDGVNQITQSVKEQQRMVHDGEKNFSWHESNVQSWVSTALTDVTACLDRISDKAIGGKEKGMIKARVLNVKHLASNALALFNKFTTRHRGSRVIKTP >cds-PLY71716.1 pep primary_assembly:Lsat_Salinas_v7:3:44900470:44901855:-1 gene:gene-LSAT_3X33780 transcript:rna-gnl|WGS:NBSK|LSAT_3X33780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKDHYNLLSLLHKHHCNRRSIQQIHAHLVITAAIIQTPPPPVSLWTTILHHYSLGNSPDEAFLLYKHHLHPTSLFYGDSFTYAFLIKSCANSHLPMSGSQLHSLTFKFGFESHVYIQTALVNMYVVCGHLLECRKVFDEMPERNLVTWNVLVTGLAKLGEIVLARSFFDIMPIKNVVSWSGMIDGYTRANQPKEAISLFRHMLALNDNTKPTEITILAIYPAIWNLGSLELCQSIHAYGEKNGFYMIDIRVTNALIDVYSRCGSVESALRVFEGITSEMRNLVSWTSIISVFAMHGMAREAVDSFKRMEEIGMKPNRVTYLSILSACSHGGLVEEGLMFFKKMVDESGIVPDIKHYGCLVDMLARAGRIEEAEKVALKVPKDLDNDVIWRVLLGACSSYNNVETGKRVTRRIFEKERGYSSDYVLMSNIFSSVGDYVDSEKVRRKMDQLGVSKLPGRSSI >cds-PLY68276.1 pep primary_assembly:Lsat_Salinas_v7:1:27690571:27692097:1 gene:gene-LSAT_1X23361 transcript:rna-gnl|WGS:NBSK|LSAT_1X23361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRDRGYHISNSEIEFTLQQFQDLHGQAVDVDRLRINASHVSEPDNKCVALLGKFIPVREPNIRYLGLENMTRMMMVTDVQDIIKRQQDQIITSLKDPDISMQRRALDLLYGMCDVSNAKDIVEELLQYLGTTDFTMCEELTLKAAILADKFAPDLLWYPPYAALKAREYPDKTAIHETMVKASAYLLEEYNHLLSRRPGCSPKDIFVIIHEKLKKISLEQH >cds-PLY79395.1 pep primary_assembly:Lsat_Salinas_v7:5:125210283:125211755:1 gene:gene-LSAT_5X54581 transcript:rna-gnl|WGS:NBSK|LSAT_5X54581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVDMERTLIRYHHENIPIEKLELLIDIDNQESASVTERWIQSVATKSCLKELSLDIRLFGASLRLPDEILSGENLTKITISASSVKSTVFGLRVIRVPPKMVNHFVSMKTTHHPKCLSLRELHLSSVIITEVVLHDILSSCRLLEKIELLHCSQGLKAIKVENLPWLYKLHIATFDGNSTSLEVNHVPNLRFFSCSVDLIPWSKRPLKNAHLISLGSNVTELTLCSILFRNKASLDKIINSGLHFIESLTLDLTCWTSRSFRFTCASMKRFSLFVSPLVDVVHVIAPKLHCFSLTSRIMPRLLFPDSTLKQIRFWLRLAITDIDASFFLKMRKALKLARVCEVHIKMSLKNHDVQPFEIDIDDLRSRLPFRPAINVEKMFFIATEDECMWERSPFFDAFFEICHPKDVYAFPDSQQLKHNNHFCRLMLKEVLGKSKNKETIKAYWPSYLKHVQMKRVDHEKRETLTDSHKSFLDGPGPLPVKFILYWH >cds-PLY64285.1 pep primary_assembly:Lsat_Salinas_v7:8:222586224:222586810:1 gene:gene-LSAT_8X137081 transcript:rna-gnl|WGS:NBSK|LSAT_8X137081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQAIPYKPWTIHSALSQPLNHHQTTQFHGSAKGAVRDAVSENAVIVLARRGCCMSHVVKRLLNGHGVNPSVFEFDEGEENDVVKELEMIEAENDGKDSRSLQFPAVFIGGRIFGGLDRVMATHITGELIPVLKQAGALWL >cds-PLY93330.1 pep primary_assembly:Lsat_Salinas_v7:9:59262873:59265760:-1 gene:gene-LSAT_9X52321 transcript:rna-gnl|WGS:NBSK|LSAT_9X52321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNRRERRISVRRPTVPVDRRWSCSSSHHDSQLLFAGTPIPPWFLIHADQSSQSSTIFCPSSILSTRCVLIFSAQIPTSTSDLQARVEQMEDRLKEDILLEAARWSNGGSMGASHTDSVKTSLQVYLEIQTRKFLVDYERIPATDEKSPKEHDFDTLVDRISRADLKTEIIFNCQMGHGRTTTGMVIATLIYLNRIGASGSFKGGLGRPVFWHNMREEPVIYINGKPFLLREVERPYKNMLEYTGIYCERVERMEARLKEDILKEPERYGCKVPKALFKGGSVVYMSLHVIAFSLLPIKVLRTVRFIAFMKDWKSNDLVVSMSLGHLCMSPEELIISFVAEQEGKEILDQHASWSGKFI >cds-PLY76657.1 pep primary_assembly:Lsat_Salinas_v7:4:115771269:115773287:-1 gene:gene-LSAT_4X73821 transcript:rna-gnl|WGS:NBSK|LSAT_4X73821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSSSSATHLITFFLALSFLSKGVFGATFTFVNKCDYTVWPGILANAGSPALDTTGFELPEESSRTFQAPTGWSGRFWGRTNCKFDRSGSGTCQTADCGSGQVECNGAGAAPPATLAEFTLGSGGADFYDVSLVDGYNIAMIVEASGGSGLCATTGCVNDLNQQCPSELRVESGQGCKSACEAFGSQEYCCSGAYNTPATCKPSVYSEMFKSACPRSYSYAYDDPTSTFTCTGADYTVTFCPSMPSQKSSRDTSPATTTTDGNTDTNTNTNATPGGGGEDSFSGSGVESGSGYGSTGGSESLSGSGSGSGSGSEALEANGSWLAGLAMGSGSIRVQPFGASLCFIVLLCFFLG >cds-PLY87701.1 pep primary_assembly:Lsat_Salinas_v7:MU040372.1:124:2181:1 gene:gene-LSAT_0X46020 transcript:rna-gnl|WGS:NBSK|LSAT_0X46020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARQATAAVMASMDDTAVFVTVVGQKNIHLGQKFFPLTVNYQERTYAAGRIPGGFFRREGRPSENEVLTARLIDRPIRPLFPKNFLNEIQIIATVVSVNPQINPDIISIIGASAALSLSGIPFYGPVGAARVGYIDNQYVLNPISEDIKNSSLDLVISGTQNAVLMVEAESKILSEEQVLGAIVFGHQQQQVVINNIRSLSNEASKLPWAISYPEINKQLELKVMDLSEKDISNAYFIFNKQERVEKLNSLKENIIKLFLEENSNVDVLEIEEIFQKIEKKIVRERILNNQTRIDGREKDMIRALDVRTGVLPRTHGSALFTRGETQSLVSVTLGTSRDAQNLDELLGDRIDNFLFHYNFPPYSVGEIGMVGSPKRREIGHGRLAKRSLLAVMPKLDDFPYTIRVVSEITESNGSSSMASVCGASLALMDAGVPIKSAVAGIAMGLVKEGNKYVLLSDILGDEDHLGDMDFKVSGTEEGITALQMDMKIEGITNEIIHAALNEARLARLHILNVMNQALNKSRSEISEFAPRIHTIKINPEKIKDVIGKGGSVIRMLTEETGTIIEIEDDGTIKISSTVREKARHAIRRIEEITAEIEVGRIYSGKVTRIVDFGAFVSIGLGKEGLVHISQISDKRVDKVSNHLKIDQIISVKVLEIDRQGRLRLSIKEIESSVISNKSINNTII >cds-PLY87622.1 pep primary_assembly:Lsat_Salinas_v7:8:112538382:112541145:1 gene:gene-LSAT_8X78760 transcript:rna-gnl|WGS:NBSK|LSAT_8X78760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAPPETWLPPATTTPTTASRKKMSKQVTGKRDDTPLHTAARAGNINAVMEILGDCYCEEELISLLSKQNHAGETALYVAAEYSYVDLVRLLIDQYDMATASIKANNGFDALHIAAKQGDLETLMVLMEAHPELSMTVDISNTTALHTAAMQGNIEVVNYLLEIESSLASIARSNGKTALHSASRNGHVLVVKALLEKVPGISSRNDKKGQTALHMAAKGQNHEVVEELIKADPSLINMVDAKGNTSLHIATRKGRVQIVKMLLARNEINTRVVNRSNETAFDTAHKMGHPDIGCILEEHGVPSARVLKPSTTPARELKQTVSDIKHEVHDQLEHTLQTRRRVQGIAKHLNKMHAEGLNNAINSTTVVAVLIATVAFAAIFTVPGQYVDDPSNIPEGFLLGEAHIAPQPAFIIFFVFDSVALFISLAVVVVQTSVVVIESKAKKQMMAVINKLMWLACVLVSVAFLALAFIVVGKHEKWLAICVTIIGTCIMVTTLGLMCYWVIMHRIETSNMKNMRRKSMSGTESRSGSRSRTWSISVLSDTDPMNTEFKNMYAI >cds-PLY95598.1 pep primary_assembly:Lsat_Salinas_v7:6:138091313:138093100:-1 gene:gene-LSAT_6X83440 transcript:rna-gnl|WGS:NBSK|LSAT_6X83440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQDHLLRSISNRIRRHIKCSNNLLSDNHGVMIGTTEVGQIFMPMLEKETLRRMLTASSLSTADRYTATGGLHCDVAVEEPLRVDEDDDMSFVKVGSAEFYCHRFLSRPRLRFFCRRAIAAIALSSSGCGCG >cds-PLY91150.1 pep primary_assembly:Lsat_Salinas_v7:4:162475558:162479016:-1 gene:gene-LSAT_4X96600 transcript:rna-gnl|WGS:NBSK|LSAT_4X96600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDFLFEQLQHWLFPLQLGIWYGHTKNKGLHDIESFKGYPRGERDTNEQEIKNLRNSVRILKERERNLEIELLEYYGQKEQETAVMELQNRLKLNNMEAKLYALKIESLQADNRRLQAQMIDYTKVVTDLEAARAKIKMLKKKHKSEAEQNKNQILDFQQRVQKMQDNEHIRVVKVDPDFELSQNKVKSLEAEVEDLRKSNHNLLLENSDLERRLDCVQMIATSVLEEGENEKLKEESENLKKQNEDMSQEIERLRAEKCADVEELVYLRWINACLRHELRNYNPGPDKTSAKDLSKSLSPKSEDKAKKMILEYANKEGGGEMNINITDIDSDRWSKSSMMTDSMELDESFINDSLPRKNNNKFFGKLIRLLRGKSRNSSRSSDNRKLRTCTSSVGSSNRSSIDSRRLTRRHSDVCFYKQIDSIDEEDDDDDGGRLCSSSSCSKDKELAKYAEALKDTRASSNLKLHRSSLSLDFI >cds-PLY76071.1 pep primary_assembly:Lsat_Salinas_v7:9:31609953:31611789:1 gene:gene-LSAT_9X27621 transcript:rna-gnl|WGS:NBSK|LSAT_9X27621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTKETGCQAPEGPILCINNCGFFGSAATMNMCSKCHKEMILKQENAKLAASSFDNIVNGGGGNGNDTTGKDVVADAMKAATAQSAAPVELNVVPMKAKADSSSSSSSEVVPEVKVGPSRCATCRKRVGLTGFNCKCGNLFCSAHRYSDKHECPFDYRGAGRDAIAKANPVVKAEKLDKI >cds-PLY98568.1 pep primary_assembly:Lsat_Salinas_v7:1:38477627:38479041:-1 gene:gene-LSAT_1X34341 transcript:rna-gnl|WGS:NBSK|LSAT_1X34341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDSGVPSALVDAPQTGFSVNNNGATTDRDEQQAVYDIMKATGNDWATDIPDVCRGRWHGIECMPDKNNVFHVVSLSFGALSDDTAFPTCDPTRSYISPSITKLPHIRTLFFYRCFTDKPQPIPPFLGLMGPTLQTLVLRENGHVGPIPTQLGNLTGLRVLDLHKNNINGSIPVSFSRFTGLKSLDLSSNKLTGSIPSFAFPELTILDLNQNHLTGSIPTEIGNCDSLMKIDLSRNRLSGVIPDSIGGLTSLILMDLSYNSLSGPTPTSLNNLDSLQALILNGNPISSMIPSTAFDKFKSLMILILSDTDSYGPIPESIARLPNLRILHLDRNRLNGSIPTSFRNVNGLSELRLNDNQLMGPIPFDKEIVWRMRRKLKLENNLGLCYDGRNGFGSDLGSLSESGIDHCDMPRPGPAQMVQHVATTNEGEPGLANGEISYSVGLVQLLTVVVFSLFLL >cds-PLY76581.1 pep primary_assembly:Lsat_Salinas_v7:5:223483972:223486890:1 gene:gene-LSAT_5X105901 transcript:rna-gnl|WGS:NBSK|LSAT_5X105901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLTSPVTEEELTLTVKWSGKEYTVRVCADDSVGELKRRICQLTNVLPKRQKLLYPKIGSKLADDSTLLSGLPLKSSLKMTMIGTVEDDIIVDQVDAPEIVDDFEIGQDEAVDIKDKEIELRNPCRKGKKLLVLDIDYTLFDHRSTAENPLELMRPYLHEFLSAVYAEYDIIIWSATSMKWVELKMGQLGVLSNPDYKITALLDHLAMITVQSDSRGIFDCKPLGLIWAHFPEFYSSKNTIMFDDLRRNFVMNPQNGLTIKPFRKAHANRDNDRELVKLTQYLLAIADLDDISILDHKKWESYDEANVKRRRHT >cds-PLY69382.1 pep primary_assembly:Lsat_Salinas_v7:3:254824653:254826351:1 gene:gene-LSAT_3X140680 transcript:rna-gnl|WGS:NBSK|LSAT_3X140680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGIISSGLLSTLLLLLLSVSANSLSYQTLTPHSLPQPNKSPFTDSSDSQTLTSSNIENENENTISLDLHHLDTLAVPTNATAESLFKHRLLRDSIRVKTITSLAAVKGHANATRPGRSKADFSSSVISGLAQGSGEYFTRIGIGTPPRYAYMVLDTGSDIVWIQCAPCRRCYTQSDPIFDPSKSKTFGGVACGTPLCNHLDSPGCNQRKKCMYQVSYGDGSFTVGEFSTETLTFRKTKVNNIAFGCGHDNEGLFVGAAGLLGLGRGKLSFPNQAGRQFGSKFSYCLVDRSMSAKPSSLVFGNAAVSRVARFTPLLNNPKLDTFYYVGLTGVSVGGVRVPGISSSLFELDNSGNGGVIIDSGTSVTRLTRPAYVALRNAFLSGASHLKRGPNFSLFDACFDLSGKTEVKVPTVVMHFTGADVSLPASNYLIPVDSSGNFCFAFAGTSSGLSIIGNIQQQGFRVVYDLAGSRVGFTPRGCA >cds-PLY73673.1 pep primary_assembly:Lsat_Salinas_v7:5:202971450:202975099:-1 gene:gene-LSAT_5X94140 transcript:rna-gnl|WGS:NBSK|LSAT_5X94140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKLWMGGFFLFFTFATTNLTSGCFEKERAALLRFKHSLSDPSGRLSSWNGNNCCNWQGVDCNNATGYVTRLDLGTDSSDEKLEGNELNSSLAELSHLSYIDFSGNYFGGSPIPEFIGSLTKLRYLNLSSMGFSGIVPHSIGNLSNLRVLDLSNMELVVDDFTWFWSLLSLKSLDLSELSIVKAPNLHKVLLCMISSLRELILSGCKLSNSHFDRMHLELNLTHSIIQKLDLHSNLFHGKFPLFLRNLSSLQVLDLSFNELNSSIPFVNYIQDTGICHLKWLDLSHNSMEGRFTGPSTNVSECALFALETLNLNDNQLVGEIPTSLGRLTALRELNLAENKLTGNIPEFVGNLTTLRELNLYGNSLKGSIPTSIRNLQLLQKLDLSVNLLNGTIPFCLGRLSNLEILSLAANLFHGIQNSGIWRLCQLKQLDLSLNFIEGEFTGPSTNVSECAQFDLETLDLSHNNLGGKIPTSLGRLTSLRELNLGQNELTGTIPEALGNLTSLQVLYLASNKLTGSIPTSIGKLLLLRELHLSWNLLNGTMPFSLGRLLNLENLFLSYNWLSALPLSLGNLSKLGYLDISNNHLQGPLPTIGRLSKLDSLDISNNSLSGVVTEAHFTNTSMLTSLDATSNYRLSFKISPNWNPPFQIRNLRLGSCKFESEFPQWIRTQTSLQILILSNTSISGPLPDWLGELPIWFLSLSHNFLNGPLTNLVSNQSTNYSVLLRMLHLKNNLFSGSIPDSLCNFTDLAILDLSGNMLSGTFPDCLGNLNNLKVVILSSNRLSGVIPSSLGNLGSSLRWLALNNNSFHGEFPKTLANCTKLALLDLGENRFFGNIPKWIGENITFLVVLRLHKNSFTDPIPIELCECSALQIMDLGENKLTGSIPRCFQNFSAMTRVLNSDSMAADDYNLFTAGTFEQSLSLVMKGVVLEYTKTLRYVVNIDLSSNKLVGEIPKELALLFGLLGLNLSNNHLTGRIPDRIGDMNSLMSLDLSKNHLSGMIPQSLSSLTFLSHLNLSHNNLSGRIPTGSQLQTLTDPSIYDGNNELCGSPLPINCNHDEVPETGRNAEEDEDDDGDEKILIYGATGGFTTGFMGIVGILVLNNRWRLAFFNFVGYYIGKKL >cds-PLY94359.1 pep primary_assembly:Lsat_Salinas_v7:6:9848322:9850769:-1 gene:gene-LSAT_6X7081 transcript:rna-gnl|WGS:NBSK|LSAT_6X7081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKFFKSLFSFKASDTTHHQPPSRPDLNKQPKRRWSFIKSNKDQLHHHFSDTDTFNPPLSRPRDTPLHHQSYYQTAGDDEKTRTTSHAIAVDADTTASADAAVFSAHAAAEVVRMTTRATARYGLRGEWAALKIQTYFRGYLARKALRALKALVKLQALVRGHILRKQAAVDFRRLQALLRVQARARAGRFPITDSPHSSAKGPPTPEKFEHVVRTRTKHDQPSILKNNVYKSYSDERILEMDTVKHHLLPPRRRSLFHSQSQSLTNSQGSTIHPSGFGFGFSPSESCEVNSITTPFEEEVSFCIPQNSPTICSKVNGSMKVGPFTPAKSDSSRSCLSG >cds-PLY77553.1 pep primary_assembly:Lsat_Salinas_v7:2:164557886:164559025:-1 gene:gene-LSAT_2X86760 transcript:rna-gnl|WGS:NBSK|LSAT_2X86760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCIFSTNSTNNHASASLSASSSSMSNSLHNPDLDSYQDACRTNPKLQSFDSTLQDRTSRVINTLADGVDVRSLSLDSLREVTGSLLDMNQEVVKGKVDKKLKSMKTLRRLSNVIFVTTFSTILIFSVVTVAVVAPPLVTTLVAAAPMPLGSMGKWVNSLWKKYEREFKDQGEMMSTMQIGNYIVIKDLDNIKALVDKMGTETEGILQNADFAMREEGEKAVGMVMEEMKNIVSVFAKTIEDLSEHSSKCIREIRRARTVVLQRIIKHPSDSL >cds-PLY63635.1 pep primary_assembly:Lsat_Salinas_v7:4:129736523:129737964:1 gene:gene-LSAT_4X81500 transcript:rna-gnl|WGS:NBSK|LSAT_4X81500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLARLSRKALTSSLSSHRHLLSRALATEAAGKGTKSSPPPAQSITECPDRVKWDYRGQRQIIPLGQWLPKIAVDAYVAPNVVLAGQVNVLDGSSVWNGAVLRGDLNKITVGFCSNVQEKCVVHAAWSSPTGLPAETMIERFVTVGAYCLLRSCTIEPECIIGQNSILMEGSLVETQSILEAGSVLPPGRRIPTGELWAGNPAKFVRKLTHEETLEIPKLAVAINDLSKEYFNEFLPYSTVYLEVEKLVKSHGISI >cds-PLY94035.1 pep primary_assembly:Lsat_Salinas_v7:7:99287331:99288719:-1 gene:gene-LSAT_7X66960 transcript:rna-gnl|WGS:NBSK|LSAT_7X66960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKINTDEDHGSAGTTTGSASSPGNLLFSPSSLLDAPYEHSNYSTTGTEDGDLEKTCRTKKHPRRKTSSFAYRVRDHVKMGPKFSETVKGKLRLGARIIQKGGRENIFKEIFGEIEGEKLLKASQCYLSTTAGPIAGILFISTQKIAFYSDRSIALPSQNGDPIRKPYKVLIPVNKIKEANESENVENPAQKYIQMVTDDGFEFWFMGFVRFEKAFRNLKKALSFVAK >cds-PLY93569.1 pep primary_assembly:Lsat_Salinas_v7:2:174597293:174604433:1 gene:gene-LSAT_2X99000 transcript:rna-gnl|WGS:NBSK|LSAT_2X99000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPSSFSATPFALIPLAFNHGNLSPEGFELSRFLFLGSLLLSPGGGSGGDGAAGGMDFSKVGEKFLSSVRSARSLGFLPSTSDRPEVPERAAAAATIARALASIPPHQRHNISSSSKELTSIYGSEPHDQVLEELEEEFYEEEFDPVQHTLENLPSDENDLPYLEGKAALRLLQLDRVTERLSRQVMENHEVMVKGMDLVGELEKDLKVANVICMNGRRHLTSSRNEVSQDLIVNTYSRKKQNLLDILPVLAALLRAKDMQVELETHVNEENFSKAFQVLSEYLQLLDSLSELSAIQEMSRGVEIWLGRTLQKLDSLLLGVCQDFKETSFLTVVDAYALIGDVSGLAEKIQSFFMQEVISETHAVLKNIMLEDLEAAEIQSTRLTYSDLCTRIPESEFRKCLLETLSVLFKLMCSYYTIMSFHSEYKVSMETQKQDDDDASVSESRDDGSEASSSGSGSPWFHLRNDATRHVSQTLQRGLRNLWQLTTSRVSVLLSSTAVSSTSMHQFLKIYEDLNTFILAGEAFCGTEAVDFRQKLKSISENYYLAFHKQNIHALKMVLEKESWFMMPPETIQVVSFAGLVGDGAALTSQTATSANLVKTRSKQGGFSHWIKTGNPFCSEACEKTNGVSVSVSHKNDSSHSNGDVNYSNGHGHADTSEDENEDLLADFIDEDSQLPSRISKHKHSKNHSLSEDIRAHTGSSICVLRLMDKYARLMQKLEIINVEFFKGICQLFGIFFHFVFESFGSQNTNLNYRLKSSLSRISQDCNQWINSPSPPDSLNKSSFPLMDITPTNPFSTHVPTTSFGLKERCTGADTISLVARLLYKSKPHLQSILLANKSSIVEEFYSNLVDSVPDLTDQIHRTTAKSLLHISGYVDRIANAKWEVKELGMEHNGYVDLLLGEFKHYKTRLAHGGIRTEVQDVLIGYGLENISQVLVEGLSRVKRCSDEGRALMLLDLQVLMTGLQHFVTINVKPKLQSVETFIKAYYLPETEYVHWARAHPEYTKSQMVGLINLVATMQGWKRKSRLEVLEKIEAGGV >cds-PLY90640.1 pep primary_assembly:Lsat_Salinas_v7:6:52903480:52904861:1 gene:gene-LSAT_6X39061 transcript:rna-gnl|WGS:NBSK|LSAT_6X39061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMTNSKIVLLAVGVIFFNLVGHCYGSLGYGGLQYGFYNGKCRTSDVEDIVRRTVYSKYLTNRKIAPALIRMQFHDCFVNGCDASILLDGPNTEKTSPPNLSVRGYDVIDAAKAAVENVCPGVVSCADIIVMATRDVVSFSGGARYSVQTGRRDGLVSLAQNALTLPPPTSSVSSAIRAFALKGFTATDMIYLLGGHTIGIAHCSLFKDRLYNYKNTGKPDPSMDLSLLSSLKSKCPQNTTADPTANLDQNPFSSAVVDKSFYSQIIMGRGVLQFDQDLASDRLSKSTVAAIARSSDFNARFGQAMVKLGATQVLTGKQGQIRKSCRAVNVVPTLTSFFN >cds-PLY99677.1 pep primary_assembly:Lsat_Salinas_v7:9:56154678:56156008:1 gene:gene-LSAT_9X47660 transcript:rna-gnl|WGS:NBSK|LSAT_9X47660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVTWISAILVGAGCLALGFFIGARKPGRKFLLSKAAEILDGSIKGQTKGKGKGKPPLEIEKLAEIIEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKILHRAPKALNRWEMCGQVKVVVKIESEDDMLVLQEKAKSMAIPTHIVIDAGRTQIAPNSRTVMAVLGPADMVDDVTGGLKLL >cds-PLY75432.1 pep primary_assembly:Lsat_Salinas_v7:7:72454836:72455906:-1 gene:gene-LSAT_7X52621 transcript:rna-gnl|WGS:NBSK|LSAT_7X52621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENKSIPQSHSSLGFFQIIKESFKTTSRNGKVLVPIMLFVFVSFSMLDFAEKYLLAPVVKDIVLQLAIHPNMIQDLSNSIDQNMYAGALNDVREIVLVNLLIMASSSIITLTFLVAIVSSSYEAYTAKVISSKDLNSIIMKSWKRPLESSFYMGLLSLGIVFLYFISFCITAMLAVNSWALLFFGVIILTIPVCYIYMATLWMVSMVVSVLEEGYGGVKAIGRAAELMKGKRLQASLMMVLFSVAYCVVNQMAIPLTSYNLSMSTQLAIRIPFTNGLFCLVTLFMFVVYTIFYHERKTSHDKKEGKGFYLPIAAGEV >cds-PLY81271.1 pep primary_assembly:Lsat_Salinas_v7:2:27386420:27390936:1 gene:gene-LSAT_2X12620 transcript:rna-gnl|WGS:NBSK|LSAT_2X12620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNKLPVVLHLFFFFFFFFFILLHSSNGFSDLDALLKLKQSMAVAPERSGLDDWVAPLPNSIVSNSHCSFSGVTCDENSRVTSLIISNVALNGSIPPEIGVLNKLVNLTLTSNGLTGELPVEMCNLTSIRFINFSTNAITGEFPGEIVAAMAELEVFDVYNNNFSGMLPLEFVKLKNLKKLFLGGNFFSGEIPEDYSEFQSLQSLGLQGNALTGRIPWSLSRLSTLEELCLGYFNSYDGGIPPEFGSFKSLKLLDIGGCDLSGEIPESLGNLKMLHTLFLQFNNLTGEIPPDLSGLVSLKSLDLSSNNLTGGIPESFSELKNLTLLNLFLNHLSGPIPPFIGDLPNLEVLQLWTNNFTFSLPPNLGSNGKLLILDVDGNHLTGTIPQDLCKGGKLQILVLMVNYFFGPLPEKLGDCKSLTKIRIMNNFFNGTIPAGVFNLPELTMLELDNNYFSGELPAEMYSESLQIVSISNNRLTGIIPPAIGGLVNITKLSLQSNNLIGGIPYEMFNLKRLYAINFSDNNLTGEIPADIGNCSDLTSLDLSRNELHGEFPRGILSLSSLNILNVSRNKLIGEIPAKLGHMKSLTVLDLSYNQFSGRVPSDGQLKDFNDTVFAGNPKLCSPHLPHCESITNHKHHSVSLNKSKLFLSIFIPITITFSILIFFLLIKQKNKILKSKSWKLTTFQRLDFKAEDVIVCLKDENIIGKGGAGIVYRGSMPNGIDVAIKRLMGRNHGFEAEIQTLGTIKHRNIVRLLGYVCNRDTNLLLYEFMSHGSLGEILHGPKGAHLQWETRYKIAIEAAKGLCYLHHDCSPLIIHRDVKSNNILLDSDYEAHVADFGLAKFLRDAGGSECMSSIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELISGKKPVGEFGDGVDIVRWVRDTISEIPPPPETAVVVAVVDCRLKGYVLESVVDMFKIAMMCVEEESTRRPNMREVVQMLTNGNL >cds-PLY94419.1 pep primary_assembly:Lsat_Salinas_v7:6:9718893:9720830:-1 gene:gene-LSAT_6X6941 transcript:rna-gnl|WGS:NBSK|LSAT_6X6941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSHPHTFSCSTSHLTKHYLNNGAPLKTLASLRKPLSGAGVIIRRFPSGLKQINRRGAINSAAEPQNSSNNAEKTRKVVEHICLLKAKVDLSDKDEKDMLDFLYTCQYQMRGILAISLGRISNQNLENYTHAVFMRFQKREDLVKFYENPFYLGVLKDHVTPFCHELTYVDYESQVEDDILPIFRKGEEFNFGVEFILLIGFKDSSLMEAANDALTSFEKLLMEFPSLIVQSTRGLNFNHGSKDYTHAVVIRFRSSDAFDIFMGSSEYRDIWSSKFEAIIEKKLSISFSVDPVGNELM >cds-PLY84883.1 pep primary_assembly:Lsat_Salinas_v7:MU041095.1:412659:413535:-1 gene:gene-LSAT_0X39801 transcript:rna-gnl|WGS:NBSK|LSAT_0X39801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKKHQVDGESLEGKKWVIAGITMRAPLKSLSIKKAKEEDDDDDNTISGNTTPTSKDSRIPEALPCPPPPRKRRPVSTCHNNGNMEFFTSPELDSLFKLLSKAS >cds-PLY86552.1 pep primary_assembly:Lsat_Salinas_v7:3:256506887:256507940:-1 gene:gene-LSAT_3X140521 transcript:rna-gnl|WGS:NBSK|LSAT_3X140521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRAVVVDDQRVQLMYASRMLRTSSFEVIAAVESGEAALEFLGLTGENDVKVDVDLILTDHDMTGISGYELLLELKKSELSNVPVVILSADANEERMKKCMDGGALMFLEKPLQAKDVANVYNAIVE >cds-PLY92264.1 pep primary_assembly:Lsat_Salinas_v7:2:210340245:210340664:-1 gene:gene-LSAT_2X130681 transcript:rna-gnl|WGS:NBSK|LSAT_2X130681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEILPELLADVYRLSVQLKFEILLSMESLHPNEVVALSPSPFFSVYATIKKMSLNKERMDKGFQGASSLSFVEFTSYTLSACLLLPVSLALFLDLHTDRYPGKGLELYSL >cds-PLY64081.1 pep primary_assembly:Lsat_Salinas_v7:8:95009811:95010101:1 gene:gene-LSAT_8X65901 transcript:rna-gnl|WGS:NBSK|LSAT_8X65901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALKNKFITIKSRIEDEPQEFMFEIKTQTILGLLEPESNDVIVKCLYISIDPAQINRMKKQSSSQTSVAQASEITPGMVMYGHFTIIHTCLNTRS >cds-PLY84898.1 pep primary_assembly:Lsat_Salinas_v7:8:67598952:67609499:1 gene:gene-LSAT_8X48341 transcript:rna-gnl|WGS:NBSK|LSAT_8X48341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSQPWEYHVFLSFRGEDTRQNLVGHLYKALVEKGIYTYKDDETLPRGESISPALMKAIEGSQLAVVVFSKNYADSSWCLEELSHIMECKETRGQIVMPIFYDVDPSYVRNQKEKYGEAFAKHELKNTDKIKSLVQAIIIDPCGWFFAPFERKREYDEEYTKQHSENKTKVESWRKAIVDTSNISGWFIANRDQSKAVEEIVETISQKLHPTLVEDDNLIGMKVRMQDLMSKLQIGFGGKRMIGIWGVGGGGKTTLASSIYDDISRKFDGCCYLKNVREESSKTNGLENLQEKILCGILKQNQVGVGRVEEGRRMIRDRLQHKKVLIVLDDVNELEQLEELAGSHDWFGEGSRILITTRDEHILTGHKVDVIHNISLLNDDEAMKLFCKHAPQGYRRIKDYEQLSKDVVSYACGLPLALRVLGRFLCDKETNEWRSALARLKEIPETDILEKLKLSFDVLTHLERELFLDIACFFRGVYKDERIMMKLDARGFHPVIGIKVLIQKALITISDGRFDMHDLVQEMGHYIVRGKHPKNPEKHSRVWKVEDVLKICAMDATTNLDKIEAINLLYLRSDESHVYHIVANMKKLRSISLEYYEALFETPLLIIMPGNFPPRELCCLTLRFLNAKQLWEGYKYLPNLRMINLEHLRNLIKTPDFNGLPNLERLMVYDSPLLEEIHPSFGQSENLVCVEIRSCDNLQMLPPITQMKHLETLVLSGCSTVNNLSKIQQNMEKFVPQNMNYIGLWFSSECLRKLDLRWFKLADGVIGSPAVWELPNLQELNLTGNSFVRLNFSLLRVPQLQYLNISQCYNLVELSDLPSSIAVVIADGCRSLETLGDISNCKCLWKVSLSEENNLGPHFGDMLLDSMLQGNAKHYFINITISDIDIWMGLPVVWVDWVKTYNMFLPHDWYNHFSGILMFVKSEAIYMEINISMKHGLEEDFQSQLSKESNERPDAHSRETCVGYVSFRSLRHSGCLDSTYNTISFSLCNEYLYGYGCRFRAILVPKDDPMQTADSSEFWDAEEVYERRYLITK >cds-PLY94667.1 pep primary_assembly:Lsat_Salinas_v7:1:41042501:41046401:-1 gene:gene-LSAT_1X36421 transcript:rna-gnl|WGS:NBSK|LSAT_1X36421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVKWQKELYRDVEIDTTQPPYVFKCQLYDLTGVPPERQKIVMKGGLLKDDSDWSKMGVKEGQRLMMMGTADEIVNAPEKSPVFMEDLPEEEQVVAVGHSAGLFNLGNTCYMNSTVQCLHSVPELKSALIEYPQSGRSNDLDQSSHLLTVATRDLFSELDKNVKPVAPMQFWMVLRKKYPQFGQLHNGSFMQQDAEECWTQILYTLSQALRRPSSSENLDTVKELFGIDLVSRVHCAESGEESSETESVYSLKCHISHEVNHLHEGLKHGLKSELEKVSPTLGRSAVYLKDSHINDLPRYLTIQFVRFFWKRESNQKAKILRKVDYPLELDIFDLCSDNLRKQLEVPRQMLRDEEGRKAGLKLKEQSSIAVDNDVKMSDTEGSSEKKNRLTGVYDLVAVLTHKGRSADSGHYVAWVKQENGKWIEFDDDNPIPQREEDITKLSGGGDWHMAYICMYKARTIAM >cds-PLY71860.1 pep primary_assembly:Lsat_Salinas_v7:3:58982307:58982516:1 gene:gene-LSAT_3X46360 transcript:rna-gnl|WGS:NBSK|LSAT_3X46360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASITEVMRHLGIDRPPFPRLGPTVPPLYQPRGIDHDGAGTSGTHPGDTDDDSNEGTEDEEAEYESSDE >cds-PLY91567.1 pep primary_assembly:Lsat_Salinas_v7:1:11965160:11969923:1 gene:gene-LSAT_1X10080 transcript:rna-gnl|WGS:NBSK|LSAT_1X10080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 9 [Source:Projected from Arabidopsis thaliana (AT1G35510) UniProtKB/Swiss-Prot;Acc:Q8H1E6] MHGYSRLGRSSTGSGSISPSPSPPSSPRFRRNKGSSGGGGGGFRGGGGGGVDVKLQSFVERWVYVVISAVYRRRGILLFAPLLYISAMLLYMGTMGFDVGMIRKGSESSIGKTAPVGSLYRSPQVFEHLWPYMEAENNRSNDLMMNVWNMKTRQSWKPCIPLQNSEAELPKSNGFLIIEANGGLNQQRLSICDAVAVAGLLNATLVIPMFHLNSVWRDSSKFGDIFDEEFFIYALRSHVNVVRELPEDVLTRFDNNISNIVNLRVKGWSSPTYYLQKVLPKLVELGAVRIAPFSNRLAHAVPSDIQGHRCLSNFGALRFSEPIRTLAAKMVDRMIRNSSSSGGKYISVHLRFEEDMVAFSCCIYDGGEEEQKEMDIARERSWRGKFRKKNRIIKPGAIRMDGKCPLTPLEVGMMLRGMGFDNNTSVYVAAGKIYKAEKYMAPLKQMFPRLETKDTLASPEELAPFMGYSSRLAALDYTLCLHSEVFVTTQGGNFPHFLIGHRRYFYEGHAKTIIPDKRKLAQLFDSPHIRWESFQKQLREMLHHSDVKGSELKKPTASLFTYPMPDCMCKQATTKNSTKLRR >cds-PLY88779.1 pep primary_assembly:Lsat_Salinas_v7:4:165904368:165904646:-1 gene:gene-LSAT_4X98520 transcript:rna-gnl|WGS:NBSK|LSAT_4X98520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSQIPRFVHRLSIPFICYRFINDRSPNPKPSTKIHGLLRQLAPLFNHSPSSASLRPLITGPPSDSSIDPIDMETTFQSHLRFNSLADQL >cds-PLY73861.1 pep primary_assembly:Lsat_Salinas_v7:4:206669242:206670497:1 gene:gene-LSAT_4X116761 transcript:rna-gnl|WGS:NBSK|LSAT_4X116761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEGVVIGCHTVDQWTEQFDKHVGSTKLVVVDFTASWCGPCRVIAPVLAELAKKIPHVTFLKVDVDELESIAQKYSVEAMPTFLFFKNGEIVDKVVGAKKDELSACVAKHAGEATVSA >cds-PLY80302.1 pep primary_assembly:Lsat_Salinas_v7:3:208093516:208094405:1 gene:gene-LSAT_3X122500 transcript:rna-gnl|WGS:NBSK|LSAT_3X122500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEENGSMSESNMPKDYKTGGRATNKTINIKDKKALVDSCLESSTGQAKFLDGGDLLGEFPWPPRSYTCTFCKREFRSAQALGGHMNVHRREKARLRQITPPKYLGFLPAHLNLDRNPNPNPNMSTTFALKTASFPSMLRSPSSVQTYSSSLAFSAPRFNKLCPSARANFTAMRLQKSDLTVDGFYDFCHEEESLIVKKPENGSFVDSKFDDLDLELRLGYS >cds-PLY67836.1 pep primary_assembly:Lsat_Salinas_v7:9:145327361:145328602:1 gene:gene-LSAT_9X93021 transcript:rna-gnl|WGS:NBSK|LSAT_9X93021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWKRGPVIGRGSYATVSVATTTTGDLIAIKSTELSTSESLQKEQHFLSQLSSKYIIKYMGFDVDYDDNKPMYNLFMEYAVDGTISDVITKQGGSLDESVIRSYTHQILLGLDHLHCNNLVHCDIKCRNLLVCKDGVKIGDLGCAKLAENGEATTSQLSGTPVFMAPEVARGEEQGFPADVWALGCSVIEMATGSNPWPEMNDPVSALYRIGFSGDIPEFPKWLPDEGKDFLAKCLKTDVKERWTIKELLQHPFVSNMNSCPETRRSPTSTLDQSFWESLSVSEPSQEPAQMVDFSGESPVERIRQLAEATPSCLPNWEDEEDWITVRRYRIDEMDADDGDLDYTELFSFSNLIVEVDEELESSVSEEDFGIFEYPLVSRVHDFNLIINDNFLKIKNPSVMLLDLYLSSLTFY >cds-PLY93291.1 pep primary_assembly:Lsat_Salinas_v7:4:303283870:303284061:-1 gene:gene-LSAT_4X153200 transcript:rna-gnl|WGS:NBSK|LSAT_4X153200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRAEGGSNPSSTAAATKRRLLVVLFGSSVFNNDGAMAAGGKNPKTLEDCPLAPDGLQFLRSW >cds-PLY84544.1 pep primary_assembly:Lsat_Salinas_v7:1:28841151:28841465:-1 gene:gene-LSAT_1X24301 transcript:rna-gnl|WGS:NBSK|LSAT_1X24301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDIGSIGLHVISGQCFMVFICLFIMSVVGATYIFIIYSRDIKSSLVYDQSILNLLSTFKDGGDIGIIFTLINEISPTWVVILLSIDINFFGYFQDLDGSDQKY >cds-PLY82990.1 pep primary_assembly:Lsat_Salinas_v7:1:19111992:19112836:-1 gene:gene-LSAT_1X16621 transcript:rna-gnl|WGS:NBSK|LSAT_1X16621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGGPENASCTYKGVRQRTWGKWVSEIREPNRGSRVWLGTFNSAREAAIAYDAAARRLYGPNAHVNLPDETAPAPPPPPAVTEAAKEARYHRAIEQMKKQQEFERQIQIYMHQQQQQQQQHHHHQIKIESTTIPEIPDLNAHTVANYHTFHNHKISHEARTGENYVHHKPSNGNLNANLPEFDDSGLWTEAASTMDYQSQAIDPGIDASSFNDTIGIELNHPLMV >cds-PLY85958.1 pep primary_assembly:Lsat_Salinas_v7:5:108142210:108147223:1 gene:gene-LSAT_5X47401 transcript:rna-gnl|WGS:NBSK|LSAT_5X47401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTFKDLQLTGVGGGDRDSLAIDVGGDLEDVRLLDSYEDGYDNTADSGKSGHGLEEGGMKRIQVKVTGMTCAACSNSVEGALMSLNGVVSASVALLQHRADVVFDPNLVKEDDVKNAIEDAGFEAEILQEKSTSGTKPQGSLVGQFTIGGMTCAACVNSVEGILRKLPGVKRAIVALPTSLGEVEYDPLVISKEEIVSAIEDAGFDATFVQSSEQDKIILGVVGVSNELDAQTLESIVCNLRGVREFGFNRGSRELNVLFDTEILGPRSLVDAIVRESCGRFQMHVKNPYTRMVSQDEEESSKMYRLFTSSLFLSFPIFLMRFICPHIPLVYALLLWRCGPFQMGDWLSWILVTIIQFGIGKRFYVAAFRALRNGSTNMDVLVALGTTASYFYSVYALLYGAITGFWSPTYFETSAMLITFVLLGKYLESLAKGKTSDAIKKLVELVPATALLLLKDKGGKNIGEREIDALLIQPGDVLKVVPGTKVPVDGVVEWGSSHVNESMVTGESAPVLKEVDSLVIGGTINFHGLLHVRATKIGSDTVLSQIISLVETAQMSKAPIQKFADYVASIFVPMVVGLSLMTLLGWYVSGSLGAYPEEWLPENGNHFVFALMFSISVVVIACPCALGLATPTAVMVATGVGANNGVLIKGGDALERAQKVKYVIFDKTGTLTQGKATVTTVKLFTQEMDRGDFLRLLASAEASSEHPLGKAIVEYARHFQFFDPNATTKDSHKDNESLMSGWLLDVSDFSAIPGRGVGCYIDGKQVLVGNRSLLTENGITIPTNVEDFVVELEENAKTGILVACDTQLIGVVGVADPLKREAAVVVEGLKKMGIRPIMVTGDNWRTAKAVAKEVDIDDIRAEVMPAGKADVIRSFQKDGSIVAMVGDGINDSPALAAADVGIAIGAGTDIAIEAADYVLMRNNLEDVITAIDLSRKTFTRIRLNYVFAMAYNLISIPIAAGVFFPWIKLKLPPWVAGACMALSSVSVVCSSLLLRRYKKPRLTTILEITVE >cds-PLY86211.1 pep primary_assembly:Lsat_Salinas_v7:8:226182025:226186122:-1 gene:gene-LSAT_8X138261 transcript:rna-gnl|WGS:NBSK|LSAT_8X138261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFAPRKNDLLFSGSKGVSSKMVSECCLHGWVRIVASGKESIVAVALEPLTASISEEITLAELRHLKYLDLSQNDFGGSRFPTFIGSFKQLRYLNLSYAGFQGIIPPYIGNLSNLKVLDLSWNDDMSWTFGLSSLEHLDLSWVDLGGAKNMGMVLYNLPSLKELSLHGCGLSNAHLGPFLNSSRILPNIKHLDLGFNSFKGPLPGFFRNMTSLAFLDLSKFNLSLAWNFANVLNMIPSLSELHLSLCMLSNIQHLDLSWNSIEGIFPSVFSNMSSLRVLDLSRNMLHSSVPIMANLLELDLSVNQFKNIEDVGIWRQCHLKTLAAYGNPFEIERIDPPQNVSECSQYALERLDLSGCLNGTIPEAFGRLTNLRHLDLSMSSLTGPIPESLGRLRFLEVLDLHDNQLNGSIPESFGNLAALESLYLQSNHLTGPIPTSLGRLVSLQAIRLSSNLLNGTIPVSIGQLAKLNELDISDNSLEGVVSEAHFANLSMLSYLEASSNTKLTCNFSHKWMPPFQLDGLDLSSCNLTNGLPQWLRNQFLLSELVLSNASISGPLPTWLRKMPVIAFLDLSHNKLSGPLTNLPETAKPKKKHKAAEESIKVWWFYPDIMSGFATGFWGVIGVLLLKKHWRWKIFRFVEKIMDKIYVAVMVTVVAKIKRGREAV >cds-PLY94252.1 pep primary_assembly:Lsat_Salinas_v7:8:69929977:69932411:1 gene:gene-LSAT_8X50221 transcript:rna-gnl|WGS:NBSK|LSAT_8X50221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSMLLERAHLKSRFLTNLSRLIQQIDVNVKNLYWDDSKNPKRRNMKRKFLVVLWWETKIHHYQTLRYAYFLASSLYKATNVLIDLNQTFFMMFHVLKTAKGNFTTTVAQSHITESSKKCPEKLS >cds-PLY92322.1 pep primary_assembly:Lsat_Salinas_v7:9:178076393:178078468:-1 gene:gene-LSAT_9X110240 transcript:rna-gnl|WGS:NBSK|LSAT_9X110240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIVFLLSIHFSLFISVHCYGGNGYDPLENVLRIQRSKRSVHDPIRNKELKTEYSYSPVYVGPQDGLKDFDKISSLPGQPTGTDFDQYSGYVTVDPNHGRALFYYLAESPFNSSTNPLVLWLNGGPGCSSFGNGAMMELGPFRVNADNVTLSHNKYAWNNVANVLFLESPAGVGFSYSNTSSDYETGDIQTAQDSYTFLVNWLERFPEYKSRDFYITGESYAGHYIPQLAQLIVQNNKITNQTVINLKGIALGNAYVDSETENTGMYDYFWSHAIISDEIHEGILSNCNFSEAAGTITETCEDYLSQAKAARSNIYFYDIYAPLCSSSSNTTPSISEFDPCTGNYIDSYLNIAAVQQALHAKPVYWESCKQSLEGYAVYSLAGDSGSNFIGNQRLDIQVGGYVVGYQNLTFVTIRGAGHFVPSYQPARALAFFSSFLEGKLP >cds-PLY94119.1 pep primary_assembly:Lsat_Salinas_v7:8:29814080:29816293:-1 gene:gene-LSAT_8X24161 transcript:rna-gnl|WGS:NBSK|LSAT_8X24161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKTLLTNEELPRFQNWPDLNVASSLSPDSRRSTPPPRALQPSSPSHTSSATVAQQRLPSVAMFSPTYNEIGYEVDYLLGEGTGISCKRRNKRQRNTTSFTKVQNSDKKMKKVSRNKKKRDGEPPESVISTSHVNEGKNIAGLSDKKNKLKKKKRSKENSDHEGVPHDNVGEDDVNQQNDNMDDANSPKKMKKAARNKKRKGMETSEVAPLPTPDDVKTSDKKKKKLKKQKKKGKEDGVIEHILHSNQQISKSKSISQIKPDDNEDGVYEISSGDEDETTGMKRWIGEYHGGRPGAEVLLQRINDFLVDHWAQKEKERKEKESEAAEGGWTVVTHMKGRKKTTDVESGTTVGSVAQAAVVDNISKKKKKQNQDQVGLTFYRFQRREAQRNEIMMLQSKFEQDKKRIQQMRAERKFRPY >cds-PLY89899.1 pep primary_assembly:Lsat_Salinas_v7:8:68653549:68655529:1 gene:gene-LSAT_8X48920 transcript:rna-gnl|WGS:NBSK|LSAT_8X48920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRKLQGEIDRVLKKVQEGVDVFDSTWNKVYYTDNAYEKDKFEADLKKEIKKLQRYRDQIKIWLQSSEIKDKVSASYEQVLKDARKLIERGMERYRICEKESKRKAFSKEGLGKQPKTAQNDKDKSETREWLNDTVSELEFQIDSFEAKMEELFVRKGKARLPRLTHLGSSIARHKAHIMKLELILRLLDNDELSPEQVDDDKDFIDDYVERNQEDFDEFGDVDILYTTLSLDKIEVLEDLVTIRLPGLVKVHNICC >cds-PLY88509.1 pep primary_assembly:Lsat_Salinas_v7:2:154479385:154480563:-1 gene:gene-LSAT_2X79121 transcript:rna-gnl|WGS:NBSK|LSAT_2X79121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPKTLHTTILCSPGIGHLVPTLLFGHRLVTQHNLQVTILAVTATTLTARSQLLTPFTDDIHLPVVQIPAADISSVVSPDAKVVTKICAMMRETIPTIRTIISSMDPRPDVFIADIFSTDSWVIAEEFGMPKYVFITSNAWFTGLFTYSPVLDKKVVGQYVDQTKPFEIPGCKPVRPEEVVDPMLDRDDEDYRFYLNQAIGVTLADGMLINTWENLEPQSLHALRNNEILRSMVKNKPVYTVGPITKNYKPVGLKSEVIEWLDEQPERSVIYVSFGSGGTLSSEQITELAWGLELSQQRFVWVVRPPAGHIKDGSFFEFGHSGELNGQADYLPEGFLNRTKKMGFVVHSWAPQVEILNHASIGGFLTHCGWNSTLESISSGVAMIAWALYAE >cds-PLY76076.1 pep primary_assembly:Lsat_Salinas_v7:9:29619008:29620953:1 gene:gene-LSAT_9X26100 transcript:rna-gnl|WGS:NBSK|LSAT_9X26100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRFLSLSAAITAPPSSDPHGGDHQLHKHQQPLLLVQQQVHPNGNLRVLYDNTQSQPFDPPLNHRVSHLPPPSSDHSTQIGFQTTAWTPSGAGSVAKHLIVSPHKEPESEKEETIKTSDTCRKESIVCAEASSNVHNTASLPPPPTTSHLVLKGWLEGDRLIPIKKRRGSFGKGSANHGLEEDNEMIIDEGTMKPKPKKYARYMATCKEVNTSTTYQKNKKNGKRGNVIMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMNSVRGRAQKVKVKEQDEEVEMTSMDHLGFEDDKDWEEESVSSMEGMKKLTKKKKLGVVKARSLSSLLSQIGS >cds-PLY73641.1 pep primary_assembly:Lsat_Salinas_v7:5:207197495:207198337:-1 gene:gene-LSAT_5X96800 transcript:rna-gnl|WGS:NBSK|LSAT_5X96800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSNAPLSSPVSVIGSQFMAPNQFDIIVDIITTGNLVVTDIDHKIMLQVKSCDTSFHQQRVLVDADGKPIVLMRGKIMSEHDRWNVFRGNSKSKSDMIFTTQSAHMIQFKTNVQVFLAKKTGKKNVCDFKINGSWTNRNCTIYMGDTSTPIAQMSKMQSSEDVTNKFMVTIYPNVDYAFVVVLIAIVEVMKMNNSVIKEKFAREVIGGLSNIIVGALLL >cds-PLY84304.1 pep primary_assembly:Lsat_Salinas_v7:5:188477511:188479489:-1 gene:gene-LSAT_5X84081 transcript:rna-gnl|WGS:NBSK|LSAT_5X84081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIICSGCRSILLYPRGASNVCCALCNAVTPVPPPGMEMDQLICGGCRTLLMYTRGATSVRCSCCHTVNLAPVSNQLAQVNCGNCRTMLMYPFGAPSVKCAVCHYITNVNMSNGRVPVPVPMRPNGTTTSGSMPPVSTATPHSHNQTVVVENPMSVDESGKLVSNVVVGVTTDKKKAIK >cds-PLY76761.1 pep primary_assembly:Lsat_Salinas_v7:7:98989494:98990693:1 gene:gene-LSAT_7X67181 transcript:rna-gnl|WGS:NBSK|LSAT_7X67181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARPTPTSLHLLFLGILILSSGNILSDCARVFTITNDCDETIWPAITPGESFGGGGYALKSKESRVHTAPVGWSGRIWGRTKCSFDSSGNGTCLTGRCGSSLQCTASGETPSTLAELTLTPLEFYDVSLVDGFNLPMSVRPVNGKGNCSVAGCVGDLRQNCPSELSVKAGGKVVACRSACDVFNTDEYCCRGIYGNAATCKPTYYSKKFKNACPTSYSYAYDDPSSIFTCTGADYIITFCASKNRPQCTYHDNKLTCSGSAKGFFQNWILSTMMALIVGCLSVML >cds-PLY61845.1 pep primary_assembly:Lsat_Salinas_v7:6:62092412:62094087:1 gene:gene-LSAT_6X45900 transcript:rna-gnl|WGS:NBSK|LSAT_6X45900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPFEHGEVDLDLGNYERFLDLRLTRDNNITTGKIYQVVPHITNAIKDWIESVSAIPVDGKVGPTDVCVIELGGTVVISDQKKRSMYDAGFYDPQDEEDEEKSYSFGELQSMFKEMEQSFNHLDSFGFDYSVEYKEPVWSHEVLTSDDDSRAKRKMIF >cds-PLY74191.1 pep primary_assembly:Lsat_Salinas_v7:9:24610947:24612882:1 gene:gene-LSAT_9X22261 transcript:rna-gnl|WGS:NBSK|LSAT_9X22261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAISRSGRTTTELHHMSKKWKDPRSAQRSPEHTKVWTEPPPNNNRPRRVAVVYYLSRNGNLEHPHFIEVPLSSSDGLYLRDVIDRLNSLRGKGMAALYSWSSKRSYKNGFVWHDLAENDYIYPAHGQEYVLKGSELVVAGGASLNSKSETHVSSMSKNTLSPDYGKSGDDDFPVVRRRRNQSWSAIDLHEYKVYTGDSSAGKLAADASTQTDDKRRRRRSIIREEEEEEEIKEEESKASFHENESSELSREEIISPPPSDSSPETLESLMKADGKLLILRPETEDHNQKTDPTANNKIKASSVLMQLISCGSISFRDCGPGAYGKDNPGFSLVSHYKSRMLPRVRNTGSEEATVEDAVVLRKNRRIKKQIVTEDKEYFSGSLIETKREPLPALKRSNSYTGNR >cds-PLY71546.1 pep primary_assembly:Lsat_Salinas_v7:7:30301643:30303429:-1 gene:gene-LSAT_7X21980 transcript:rna-gnl|WGS:NBSK|LSAT_7X21980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLEQSLGGASELFEAQAHIYRHILNYINSMVLGCALELGIPNIIHGHQKPITLQELVSKLNISVEKTLHLKRLMRLLIHSNFFSVAKFHDEEGEDEKEGYVLTVSSKMLLKNNSSPNIPNLLPFVSLMVDHVYVSTFQSLGRWFNRNELNAFETAHGTHIWEFADKNPRFNQLFNDAMASNSEMMKLVVKENKEIFEGVDSLVDVGGGNGANAKILLEAFPHLKCIVFELPHVIADMVDTKNLKYVGGDMFHSIPSADAIILKNILHDWSDEDVLKILERCKEAIAVAPKDGKKGKVIILDMVIGDSHDHERHEITEAKLFFDLKMMALATGKERTKEEWEKLFLQVNLDQYKITHISGLMSVIELFP >cds-PLY81707.1 pep primary_assembly:Lsat_Salinas_v7:3:34112796:34115406:1 gene:gene-LSAT_3X25461 transcript:rna-gnl|WGS:NBSK|LSAT_3X25461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSQAPADVPAGRSGGKILKARRIAARKTPYDRPTPPQPEPPLLQSESPSWLGGLVFPAKFVAGGASKILSSFWNPKTWAAASSSSSSDSDSESEDDSRDDANPSDGAMELNQNQQKDSSSQKGEILHLVEQLLMIERYSREECDKLIEIINSRVVDYAGPSILHSRSNNETQAIIEARKWVAESKVRSGKKSDLDNGLYALKYIMTPQATEEEGSPVEVAKSYMRTRPAWASPLRRDNSLSPSPLTDLFKERTPYSSDAGISFQSTKRDYLSGGSWNIEDEIRKVRSKASEDLLNSHHSSMKHHLQHSLDNDKPNEIVDLTAEGNKKEMRGVSEGNGMENEEGNGVENDNENDEVENNCFLSTESVEVPVNNSQDIFNGEDESLVAKEKADGMAENRMLTRGRGRGRGRGRGRAK >cds-PLY64130.1 pep primary_assembly:Lsat_Salinas_v7:1:1027022:1042057:-1 gene:gene-LSAT_1X380 transcript:rna-gnl|WGS:NBSK|LSAT_1X380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPVYCLIGEAKRETQMESSDREAPVVKQIARRSSRPPTTICGESVSRVSHKSLLCARKKLEVGARCLTAQAATALRESEPMFRYEEYADIDWNNLGFGLTRTDYMYTAKCKENESFQQGQLRDYGNVELSPAAGVLNYGQGLFEGTKAYRGENGRVFLFRPDQNAIRMQMGAERMCMRSPSVQQFVDAVKQTAFANKRWIPPPGKGSLYIRPLLIGTGPILGLSPSPEYTFLVYASPVGNYFKEGTAPLNLYVNDEFHRATRGGAGGVKSITNYAPVLQAISKAKAQGFSDVIYLDSVHKKYVEEASSCNMFLVKGNVISTPATVGTILEGITRKSIIDIARDSGYKEYKTGDGLVSKDLYKTLVGIQLGKIEDKHNWVVQLD >cds-PLY97264.1 pep primary_assembly:Lsat_Salinas_v7:1:44030584:44035655:-1 gene:gene-LSAT_1X37740 transcript:rna-gnl|WGS:NBSK|LSAT_1X37740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEFSGEIDHHRSSTSTHRPHHPTPSRSHQSPSLSFYSPHTYPFPSSQRTRHNNHRVPPTTPFASDNDRSWQAEISWQFEPQNNRSTAALGAALSPWAGPTPSHSPSPAPTPGNRVFRQSANEFYLSRTSNSYDVNFRNFTNPHYEYSTVLSPTPGRIELQSFVDNHQPHSTSFNAGYTKSPTFAKMGNRTPRKSNAESFISDYDDDDIENETGGNKQDPRWFSVSRAYVDHDRMGGYDYDGQNSPYGISFRSHDYNSNHSQYLQSYVEEGDEVDEDEIVAPKSIGVFGLFKYSTKFDMFLVVIGCLGALINGGSLPWYSYLFGNFVNKIALDNDKDQMIKDVRKVCVLMAGLAGIVVFGAYLQIGCWRLVGERSAHRIRTKYLRAVLRQDVSFFDTDITTSDIMHGISSDVAQIQEVMGDKMAHFIYHIFTFICGYTVGFLKSWKVSLAVLAVTPLTMFCGIAYKAVYVGLATKEVNSYKKAGSIAEQAISSIRTVFSFVAEENLAARYDAVLDESVPVGKKLGFAKGIGIGVIYLVTYSTWALAFWYGSILVSRNELSGGAAIACFFGVNVGGRGLALSLSYLAQFAQGTVAASRVFEVIDRIPAIDPYSTLGRKLDNVRGKVELKGVSFVYPSRPNVPILNSLNLVIPSQRTSALVGASGAGKSTIFALLERFYDPNEGLIMVDGEDIRSLQVKWLRSQMGMVGQEPVLFADTILENILMGKENATKKDAIAACVAVNAHKFISDLPQGYDTQVGDKGSQLSGGQKQRIALARAMIKDPKILLLDEPTSALDSNSESLVQYAIDNISKGRTTIVIAHRLATVRNADMIVVLEQGSVVEIGDHNQLMTREGAYFSLIKLASEAVSMSPVAEKGEMGIINHEASGNYDLLKSNHVYEISLSGYMKSAQEANQVETEKVSSYGISQVWKLQKPEASLLFVGVIFGILAGAILSLFPLVLGQALKVYFNPEKSKLKKDVGYLCLALIGLGFGCILTMTIQQGFCGLAGTKLTKRVRDVLFRSMLKQEPGWFDSDQNSTGILISRLSVDCISFRSVLGDRYSVIFMGLSSAAVGLSVSFYLEWRLALLATILTPFTLGASYFSLIVNIGSKLDNGSYDKASGIASGAVSNIRTVATFATQEKIVQSFEKSLLEPKATSVRRSQLTGIALGFSQGAMYSAYTLVLFSGAYLVKEDYTTFGDVYKIFLILVLSSFSVGQLAGLAPDTSMASTAIPAVFNILNRKPLIHGKDKTMERSRPYDVEFKMVSFAYPCRPDVTVLRDFSLKVKGGTMVAVVGGSGSGKSTVIWLTQRFYDPIRGKVLMGGVDLRELDLKWLRLQTALVGQEPALFAGTIRENIRFGNPNSSMSEIEEAAKEACIHNFICGLPLGYETEVGESGIQMSGGQKQRLAIARAIVKKSKVLLLDEATSALDLESEKHVQEALRKITKRTTTIVVAHRLSAIREANLIAVVQDGKVSEYGTHDTLMASHVDGVYASLARAEMEANVFG >cds-PLY86141.1 pep primary_assembly:Lsat_Salinas_v7:6:156666469:156668739:-1 gene:gene-LSAT_6X94601 transcript:rna-gnl|WGS:NBSK|LSAT_6X94601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFILLIFLRLFFLLITQGSQFTSHNITLGSSLSPYSNPAWVSASGLFAFGFYQEDNGFRVGIWLATKPEIIVVWTANRDDPLVSSNSTINLITNGWLLLHTNGEDKNITTQRVPATSASMHDSGNFLLYDDSGVAIWESFEHPCDTVLGGQELATYDHLVSSVSPSHHMSGNFVLNLQNNGNLVAYPLYAGSRLDDDSYWSTKTSDLGGYVSMYLNHTGSLNMVKDGVTQRVLNPVILSSGSRKRNEIVIFRATLRWDGNFVLYSHRFISNSTRMIMKKEWEALHDPCEAKGICGSNSYCVSNGGNFSCHCFPGFLAFNETRNGNFYSCYRNFTDEEACNGKREGLKLSYNITSLENIKLRDHYSYSVMNLSKEACRQSCLDDCNCWASLHANAFSCKMLKVPIIYAVRNKSILSTVFIKTSFPYDPPEYPLRNETKKLVYILAITLGCLAFMCTIMAFFSFFFYRVHAHNSFESISGNTDHLEVFRDQFRLRAFSYDDLHKATDGFKEMIGRNSYKGFISEGKKAVIVKRLERLFEGEGWFREEITAIAQTHHRNLVGLLGFCIQGSTKLLVYEFMINGSLEDLLFNAETPPGWEERVRVTLDVARGILYLHEECEAPIIHCNITPRNILFDEFWTAKISDFGLSSKLWRSNQRGSKVDIYSFGVVLLKILCCRNDMEIDVLTTWVYNCFVNKDWNRLIEDDEVDVWMLEKMVKVGLLCIQNEPDSRPSIKNVILMLEGTTDIPIPPSPTPPLI >cds-PLY98196.1 pep primary_assembly:Lsat_Salinas_v7:2:83741139:83744019:1 gene:gene-LSAT_2X36420 transcript:rna-gnl|WGS:NBSK|LSAT_2X36420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 3 [Source:Projected from Arabidopsis thaliana (AT1G14740) UniProtKB/Swiss-Prot;Acc:Q94B71] MIKERENYSSDGVNGGQNSESKLTDLTSDENRGNLDEKTGFSDKNNVFSRSSESKPSKLGISQELTLSYLCDNSTLAQNPSDNGRSLLNSFDKVKGKLVVSEDHNNPNPDENRWVERDFLQLSENSSKREADDDEMYQMNRDKKPKLETLDLSLALPDTSMSLAASNRLQDGDPSVSLKPSRSFQSLGISNSNNTQTTFSNDFTTGSMSYSYSQQFSHNPSCSMTRNSTDNYDYSMGSHRRECDQIWNGGEGTNGSVHSRFRPVGDGGVALVQSNPSNSNTNLSFFPSELPARMKMDTQSGDSRGRGSENTKGMEGLDFGRSRKPSRPERILREIVSESIQTMAQITQELPDETLESTKDYLKKLISIPEKRDELVRLQLRLERRSDLTSESLSKANKNQVLLLVSIRTGLETFLSPQSRLPTNELIEIFLSERCKNVNCKRLLPVEDCECKICSTKKGFCSECMCPVCLNFDCASNTCSWVGCDVCSHWCHAACSLQKNLIRPGASLKGPTGTTEMQFHCLCCGHASEMFGFIKDVFKSCAQQWGLETLIKELDCVRKIFRGSGDFKGQKLHLKAGELMSKLENKVMSPSDVCGFILQFFDSLDAEIMPDFPISNPPIQTSYNMNMNMMGMGMGMGMGSSSNPHDNNHKPLPHMMNSNSNKIVIEDEWSVKSSKKDKDAFDSVESLVRIKEAEARMFQNKADEARRESEGYKRMIRTKIEKLDEEYTDKIGKLNLEEIEEQRKKKAEEVKAKEKDHHEYYKMKMRMQAEIAGLLERMEKTKQQWV >cds-PLY76103.1 pep primary_assembly:Lsat_Salinas_v7:9:31177229:31178579:-1 gene:gene-LSAT_9X28441 transcript:rna-gnl|WGS:NBSK|LSAT_9X28441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLIASNLYQIVCLSDLESDYMNPYESSSRINAVVVPEMVLHGVCSVLLLVTGYWFMFLLTLPITIYNSMLYMNRQHLIDVTEVFRYVDAEKKYRIAKLAVYLFLFILVVIRSMIAGKFSTILEPFHPTNEDLDILSHVLEF >cds-PLY89971.1 pep primary_assembly:Lsat_Salinas_v7:8:207506989:207508188:1 gene:gene-LSAT_7X96380 transcript:rna-gnl|WGS:NBSK|LSAT_7X96380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGNNHLSSHYQTDFQQQQLARSSLISSNNGHWSEGSGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIQPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGGYQQHRHLRYHSVINKAPLKALHHLPLPLLP >cds-PLY88652.1 pep primary_assembly:Lsat_Salinas_v7:5:141487034:141487402:-1 gene:gene-LSAT_5X61480 transcript:rna-gnl|WGS:NBSK|LSAT_5X61480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQPQTHLNVADNSGARELMCIRIIGASNRRYAHIGDVIVAVIKDAVPNMPLERSEVVRAVIVRTCKELKRDNGMIIRYDDNAAVVIDQEGNPKGTRVFGAIARELRQFNFTKIVSLAPEVL >cds-PLY71599.1 pep primary_assembly:Lsat_Salinas_v7:4:199439118:199439783:1 gene:gene-LSAT_4X112621 transcript:rna-gnl|WGS:NBSK|LSAT_4X112621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERGEKEVQAILKSRMTLFPKWTLNRIQNDVVGLPSQYSLEPVPSFDIQNTQDSQLDLPLNHNAFRFRALVKVANTPFIDSGANQMLFSFYLKNTKPQYETWSAHKIVVVKVTRPIETESFPNAKFKVTRGSACESYEFTLADLPCFNPNEWMVVFNMLQKEKAKYEPVMSHLQLMIKSYIQEVGLLDLDIATHLKKKPNVVPKEAPKDFENLKPGRIYKE >cds-PLY61831.1 pep primary_assembly:Lsat_Salinas_v7:6:60380930:60384259:1 gene:gene-LSAT_6X43881 transcript:rna-gnl|WGS:NBSK|LSAT_6X43881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKAGYGHDGIFRSLRQPVVIPAKQHTSMISFLFRNVSSYPNKPALIDSDSGETLTFSEFKTTVAKLSQALNTQLGISKNDVVLFFAPNSIQYSVSLFSVIALGAIATTVNPQYTVGELSKQLQDSKPKLIITIPALYQKVESFGLPVVFLRSESPRKGCFSYNDLISTSSSVSELPNVSIGGDDTAALLYSSGTTGVSKGVVLSHMNFISNSQMITSDQRLMGEKDYRFICVLPMFHIAGLATILYSQLQEGNTIISMGKFDFEGLLKNIEKYRATHLWVVPPVILALAKQDAVKKFDLSSLKQIASGAAPLGKELMEECAKKFPHVTVIQGYGMTETTGLITMESPIIGPRNSGSTGILIPGIEVKIVSVDTDKPLPINQMGEIWVRGASMMQGYLNNAQATDLMVDKEGFVHTGDLGYFDDHGQLFVVDRIKELIKCKGFQVAPAELEALLLSHSEILDAAVIPFPNMEAGEVPIAFVVRSPNSSLTEEDVKKFIAEQVAPYKRLKRVTFVSSVPKSAAGKLLRRELIEKVRSET >cds-PLY71920.1 pep primary_assembly:Lsat_Salinas_v7:3:24414827:24415139:-1 gene:gene-LSAT_3X18221 transcript:rna-gnl|WGS:NBSK|LSAT_3X18221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSSKVVEVQGEQIRRRNDWMMLVGGPSSPQVVVGRSSNDNEELVSQLLGVHLATSQEKFTTHGGSMA >cds-PLY97476.1 pep primary_assembly:Lsat_Salinas_v7:1:194456149:194459733:1 gene:gene-LSAT_1X126981 transcript:rna-gnl|WGS:NBSK|LSAT_1X126981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESRGSETSRKRKANDLNQKSPLVLSECPLVVSETLAEFFAENKNIAGFDNVLRNLPGKSLLSTVRELVENALDSAESIGELPRVEVTIEEINRSMIDDDVETVKDSEKRLGKNSALGKKTAAKPMKGPSGYYRVTCMDNGKGMPHDAIPNMFGRGGMENWHGAEIKVVIEGNWTAYGSKILEYMRQMAVITPYAEFKFRFVAVTPDENGNGVVEKSYPRLTEEMPPVPVETKYHPLAVDSLHIIQRLIGQTKNQNLLEFLQHEFVNIPKAQAKRLIAKMGPDVTSETQVNSLTLQQIACMHQLFQHTKFDDPSSNCLAPLGENYFGESIYKVLQPNVVATYMAKRAQVYQGHPFIIEAGVSLGGEYFKQGINIFRFANRVPLLFEQDADVVTTTAMKRINYIDQVSTAHANILEIRRQLNGSNTEVVTKEKLAQDLHEQAKRAGLI >cds-PLY76085.1 pep primary_assembly:Lsat_Salinas_v7:9:29482625:29483756:-1 gene:gene-LSAT_9X25981 transcript:rna-gnl|WGS:NBSK|LSAT_9X25981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSSEEVTPSEAAVLITPRTDPIRGDDRNGNLNPISTASSLRAEWPTIDGPLGLSEQDSVGYARKFFGFGFILLPMLWAVNCYYFWPVLRNSRSFPRIRPYVVGSAIGVTIFTAMLASWALTFTIGGERLFGHTWDELVMYNVADRYGLTGWI >cds-PLY64241.1 pep primary_assembly:Lsat_Salinas_v7:7:2368542:2370392:-1 gene:gene-LSAT_7X1281 transcript:rna-gnl|WGS:NBSK|LSAT_7X1281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQCQSKIYQVIAKLLDGKSRTLNFETPRIPVSSIKVQIQNLTSIPVHYQLLLSNGKTLFDDGVLYHGNSSPSTVHLLLRLRGGKGGFGSLLRGAATKAGQKKMNNFDACRDMSGRRLRHVNAEKKMEEWLAEEKERKLEKVAEEFIKKKVKTVKKAGGGDGAEKYVEKYRKDSAKCMEDVDKSVRESLAGGFRKSKRTVAGIDVEDGSGSKSKKLKIWMGKRKVDDSDSDSDEMDEEDSDDENEKSVVTNNENQSDSNKEADGSLASVTGGKLEGESSVGCSSESASEEEKESVAEGQVRSIKDSNDEPPHHEGVDASMIHEESIVNETTVLGVESEKSTKAEFGDLEEKECELPSASNVQNDVEEKISDSKLGVLEKPINFEEFNSAVEMEVVGMERLKSELQERGLKCGGTLQERAARLFLLKTTPIERLPKKLLAKK >cds-PLY77851.1 pep primary_assembly:Lsat_Salinas_v7:1:24506664:24509175:-1 gene:gene-LSAT_1X20261 transcript:rna-gnl|WGS:NBSK|LSAT_1X20261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAELDYNYSNNGRGGRGVSKCCSYKRTTVIICSINIVVALYVFQNLYTSLYSYSYRDSHSAVTYTPDQIRNMEESMRIRKQSEPRKLIETVKLIKQKVDRREDMVELPQPLKQKLTNEIIELLSGLNQNGGANSTLQHEAVEDWRLQKLEEVKTITSGQRKTSKSNSTILPDEAGILARMLEFNWAELSHEIGLWIPVNVINNEHNDKPEGEDDFDDSILAGRRLPPECNTELHTDYGGQAVKWGLTHQKESAYECCQACLNQAKNARPGEMKCNIWVYCPAEQGCHSPDIYQHKLEECWLKYAETPKVSFKDKYSESYRRNHPNAPLVVPWVSGVISS >cds-PLY67927.1 pep primary_assembly:Lsat_Salinas_v7:5:299530299:299533890:-1 gene:gene-LSAT_5X160741 transcript:rna-gnl|WGS:NBSK|LSAT_5X160741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGLYSSMKYSPSSSSLSQSSQAFTSRILLLLTLLPLSLAAFAFVLQWRGEISDPTIRWSSINRLDFPGMDTTTSSTVTSRTSSSCADILGQSRSVSFPYFRDWKFNYESDLKPKICITSSTSAGLEQILPWIFYHKVIGVTNFFLFVEGKAASPGVSKVLESIPNVKLIHRTKELEEEQAKSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAITMSEETDMDWILHLDTDELIHPAGTREYSLRQLLSGVSENVDTVVFPNYESSVERDDIKEPFSEVSMFKKNFDHLMKETYFGNYKESTRGNPNYFLTYGNGKSAARVQPHLRPNGAHRWHNYMKTPVEVKFDEAAVLHYTYTKFSDLTSRRDRCGCKPTKEEVKRCFMLEFDRAAFIIASTATEEEMLNWYREHVVWTDKELQKKLMKKGILIRIYAPMVIMQGLREAGVYASLIASVQTNLSKDTFLSNNEIINNSSKGFEGVSSRNIGNEEPRMAVAARNVLQIEDANLWAVPPLSPPMVDDIDHYI >cds-PLY75332.1 pep primary_assembly:Lsat_Salinas_v7:5:53644987:53646854:-1 gene:gene-LSAT_5X25381 transcript:rna-gnl|WGS:NBSK|LSAT_5X25381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERLSLFFFLLLSASIAVAVAIDESTADPLIRQVVPEEETSADHLLNAEHHFTLFKSKFGKTYATADEHDYRLSVFKSNLRRAKRHQQLDPTAEHGVTKFSDLTPSEFRKTYLGLKNPLKYPADANKAPILPTTDLPEDFDWRDHGAVTAVKDQGSCGSCWSFSTTGALEGSHFLQTGELVSLSEQQLVDCDHECDPEEKNACNAGCNGGLMTSAYEYILKSGGIQKESDYPYTGRDGTCHFDKSKIAASVANFSVIGTDEDQIAANLVKHGPLSIGINAAWMQTYVGKVSCPYICSKKRLDHGVLLVGYGSAGYAPSRLKEKPYWIIKNSWGANWGEEGYYKICSGYNLCGMDTMVSAVVSTNT >cds-PLY67065.1 pep primary_assembly:Lsat_Salinas_v7:5:283306098:283313694:-1 gene:gene-LSAT_5X149321 transcript:rna-gnl|WGS:NBSK|LSAT_5X149321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVLQRWPEPVVRVQSLSESGIEAIPDCYVKPIMDRPSVDVEESNEVNIPVIDLSGLNSDDAALRKATLELISDACREWGFFQVVNHGVSHQLMAETQKVWREFFHLTVEEKQKYANSPETYEGYGSRVGVVKGARLDWSDYFFLNYLPVSSRDENKWPSQPSTCRELVAKYNEEVLKLCGKLMKVLSINLGLQEDYLENAVGGDQIGASLRVNFYPTCPQPDLALGLSPHSDPGTLTILLPDDHVSGLQVRKNDGWVTIKPIPNAFTVNLGDQLQVLSNGNYKSIEHRVLVNSLKERVSLAFFYNPRGDMVIEPANQLIMKDDPTQYQPMTFDEYRIFIRTKGLHGKSQVESLKSFG >cds-PLY95468.1 pep primary_assembly:Lsat_Salinas_v7:9:160772982:160774834:1 gene:gene-LSAT_9X100561 transcript:rna-gnl|WGS:NBSK|LSAT_9X100561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICPKTFRLHQIRTLLNPNLHTETHLIPFINTKSSSPSSIFSRSVSLSSQFQHKFATQEANGKSKKPLEILFAEEVGLSKADTKNSEDEKGKLKKSLRKLEVELGRYKKDSTKETKCLSSIFSKPLDTLFTEAVGLSKTGEQDHIDDQESKKGVQILSSLFMSTDQRGKSKTESKKVEKSMEFKKLSPDMAMFANFLHSKGYLSNANFLLNNKFDVSCFENNYGRDFLKFAAEKFAKDHRDIYRWLSNGDLKKVAQFGCPSLGRKNVFSSKAMRHSFGIQEEPVCSKCALKDSCKFVNQSVWKKGAKNVDLTVVMRVIILYALEAVPNELKVPDDIKNTVNRLLKEVVRLSEIES >cds-PLY77167.1 pep primary_assembly:Lsat_Salinas_v7:8:25269865:25304341:1 gene:gene-LSAT_8X19240 transcript:rna-gnl|WGS:NBSK|LSAT_8X19240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQEVGEVAEVGDTPKDYHDPPPVSFIEPKELIKWSFYRAVIAEFVATLLFLYVTVLTVIGYKGQIDKGADPCGGVGILGIAWAFGSMIFVLVYCTAGISGGHINPAVTFGLFLARKVSFNRAFMYIVAQCLGAICGVGIVKGFNPTYYNNYGGGANELADGYNKAAGLGAEIIGTFVLVYTVFSATDPKRSARDSFVPVLAPLPIGFAVFVVHLATIPITGTGINPARSLGAAVIYNKEKAWDDQWIFWVGPFIGAAIAAFYHQFILRAGAAKALGSFRSSGHV >cds-PLY72676.1 pep primary_assembly:Lsat_Salinas_v7:6:27610886:27612481:1 gene:gene-LSAT_6X21220 transcript:rna-gnl|WGS:NBSK|LSAT_6X21220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTPSKDHRVDFSDFGYNRKTPFEINRPSSPFSISTTSSSFPPLLPAKRSSWRSLSFGKFSSKPIRLSILKLDGSSFDIVVTNRATVAKVKKAIEAAFCDIPTQGDAEISWSHVWGHFCLCFESMKLFRDRDSITRFGIKNGDQLQFVRHTPMYEVAGERLEKITIDSNQTNGSSSKRSLNGNMKKFCGNNRSNSNHNHVTKEVNRSSWACRMRGLFLHRKTSSPKIGTARLGRWFPSSDDEEDDDDDDANISCRSSASSSSYSPNPFSEFAGGFGYPTGYCIK >cds-PLY81372.1 pep primary_assembly:Lsat_Salinas_v7:4:36305496:36306841:-1 gene:gene-LSAT_4X22960 transcript:rna-gnl|WGS:NBSK|LSAT_4X22960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANKRATYSMLAFPVHLLVISIAALVLFWLIGFREGFALSSSIKMKIFNLHPLLMVLGFLIFSGEAMIAYKAIPTPRTVVKIIHLTLHFIALVSGIFGVYAVFKFHDELKVPHMYTLHSWIGMSTIVLFGLQLILGFVTFLFPGAESATRARFSPWHVSAGVLIFFMAIVTTETGLIEKFIFQQLKRGQEALLVNFIGLLILLFGISVIVTVVLPIRRK >cds-PLY62972.1 pep primary_assembly:Lsat_Salinas_v7:1:210506528:210510295:1 gene:gene-LSAT_1X128600 transcript:rna-gnl|WGS:NBSK|LSAT_1X128600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPGTTAVMDPRYQQQWMMMNQHQVPPPQQQFHQQQPQHMYTYNQQPPPAAAVLPQYSVAATPTGAGPNHQPASADEIRTLWIGDLQYWMEEQYLVSCFAQSGEVLSAKVIRNKQSGQSEGYGFIEFVNRGAAERHLQTYNGTLMPNVEQNFRLNWASLGVGEKRADGSPDFTIFVGDLAADVTDYTLQETFRAHYQSVRSAKVVTDRMTGRTKGYGFVKFGDETEQIRAMTEMNGSLCSTRPMRIGPAANKNTTGGQQNPKASYQNNTSQGSQSEEDPNNTTIFVGGLDPNVTDEHLRQVFSQHGQLVHVKIPAGKRCGFVQFADRSCAEEALRMLQGTQLGGQTVRLSWGRSPSNKQGQESQYNGGGYYGYEGYGGYAPAPPVVPQDPNNMYYGGHGGGAYAGYAAAYPQQQPHHQ >cds-PLY95638.1 pep primary_assembly:Lsat_Salinas_v7:7:101400923:101401414:-1 gene:gene-LSAT_7X66540 transcript:rna-gnl|WGS:NBSK|LSAT_7X66540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSVAAMETVEDGPKIPESCKKSWRREKTIESVSCRIACFYKSCLVYPVQKVPLEQVHFRSDGQIFGVSFSSLVFIHIEDNQPWSDFVSCVDKTLVQCRQVKLKKFEVDATYDTGFESQVNNWIRYATRCNVEELYLTLWDMDSEEECLVDQFFIHKFMFY >cds-PLY97353.1 pep primary_assembly:Lsat_Salinas_v7:4:286556582:286559760:1 gene:gene-LSAT_4X145960 transcript:rna-gnl|WGS:NBSK|LSAT_4X145960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAISNLPLPDAFLEFLNENGIDPSIYTATDSTPRYIRLKPGCEEQLEAIEADIKCKLEKVEWLPNFYSLPPHIQIASSKSYQEGKIYGIDAASGAAVSALNISPGDHVLDLCAAPGAKLCMVLELLGNSGSVTGVDVAKHRLAACRTLVQKYKLGDHCRLFVADGASFSLIPIRVHSDFKSVNREFGVEKDEFLYKEWTSRRPWKERKRANKEIKNGFLELIQDPELIYYGRCSGVVGMSKIELFKTVSRHEISQYGYDKVLVDAECTHDGSIKHIQKFENWGWSTMERRVLDAERTDDLTVLQFRLLTNGFKLLRVGGNLVYSTCSLTHAQNEDVVERFLSLNPCAELLVIDDSESWPCKSGRIPKTLRFDPLTSRTSGLFVAKFTKLAKDNRC >cds-PLY91964.1 pep primary_assembly:Lsat_Salinas_v7:7:125336335:125338228:-1 gene:gene-LSAT_7X76161 transcript:rna-gnl|WGS:NBSK|LSAT_7X76161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMMVVVVHMMMSEGQGQWCKRKNDGRMQPNNPLTVNSLLNELMQSHSLKLPLTLSCQAVSTSGLPSIYCSHDTGEWLNPDAVVEAFEARAARMVNRNLIKLESGTLTSIKSNMPLKMPNIDTRVGRNTKALITSVAERRDRHPDTMDLLITSALNSHPQSSFPDYISTNDGQITSLAMIQ >cds-PLY62977.1 pep primary_assembly:Lsat_Salinas_v7:3:118936837:118939477:1 gene:gene-LSAT_3X83500 transcript:rna-gnl|WGS:NBSK|LSAT_3X83500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAEDFWPGGLVMADPLRGGNGNDPLSFLNMSPTVNRVMYLFRGDFGNMLYTCDFRWKVSSKISEMGKNMLLSALNNHKVDTLYIDNTYCNPSYSFPSREVAAQ >cds-PLY82865.1 pep primary_assembly:Lsat_Salinas_v7:4:18001457:18002343:1 gene:gene-LSAT_4X12520 transcript:rna-gnl|WGS:NBSK|LSAT_4X12520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML25 [Source:Projected from Arabidopsis thaliana (AT1G24620) UniProtKB/Swiss-Prot;Acc:Q9FYK2] MGLKNLFNKKKKKKTNDDNSTHERQHESESENENENENENENENENENENEPTVPPTTADKPTSETTRVNSLNARVRIEEELEQIFKKFDVNGDGKICSSELGSIMASLGHQPTEEKLKNMIKEVDRDGDGFINLQEFIELNTKDVDPTEVLENLKDAFSVFDIDKNGLITAEELRNVLVSLGEECTIADSQKMIAGADRDGDGMINFDEFKVMMKMGTHFDSMGLQQKQVPFNKDEIN >cds-PLY71414.1 pep primary_assembly:Lsat_Salinas_v7:4:239359964:239362023:1 gene:gene-LSAT_4X128340 transcript:rna-gnl|WGS:NBSK|LSAT_4X128340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKTEKGKEGATVDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLFSKEATGGEKQFSSQGHQGNFSKNEGSGVFAGTVDLFFQAPHHPSHANHSSVKLHEQIENGYMANHQAFIGTTMKSSQIDEEKEYVECMALLTKWNPNFKKFVNKPTSNFRAKSYQQPQHYPQNSENFQGGSNSEKRFNSQNSNSESYNSQRMNTRV >cds-PLY81628.1 pep primary_assembly:Lsat_Salinas_v7:2:1511247:1513361:-1 gene:gene-LSAT_2X1140 transcript:rna-gnl|WGS:NBSK|LSAT_2X1140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSTGDLETQNRFPSPPSFNISHDDDFDLTIVHETPRNDDNALVHEYEPPIVKETPIKNSYPATLESISESTIIQSKFPTRSFSSPCSTSASECMNTTTPKKQQIPQNNSPLDSSVNSHFHKRRKSSAFASVKMSQFDSPKPKPTFPVKETKKDLKISCSLCKNPLGLVENDYSVPCSSMSLSKMHLVSSWKGREKGGTSVAVVVSDIGCVDGRIWKRNGEEGIWSKEDGCVFNTAFCPFCSDQDNCLGLHVVATDSSNVQLLNKVLFYSDRLDIQHIHTSTTNKEESPSIVTSLSKSVLQNPFEKFAYTSPQTNSIGWRTTKSKMRLPKKVLASTTKY >cds-PLY93136.1 pep primary_assembly:Lsat_Salinas_v7:3:55617565:55618503:-1 gene:gene-LSAT_3X43060 transcript:rna-gnl|WGS:NBSK|LSAT_3X43060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGFQSLLLAGKYGVGDDNFSALANSDDAYFNRDLSFQSFNFDKSMLSLMQYPYGSSTPDANLQSSKIGECSKEKSKWRCVEREEKPKRVKNEPSMEQFNFSPAIMFGQLPELQSVEQMRQTAERRFKQSYSPIATTTNPHMLEPTLSPFQLTPNYITPVPLRQIKPPIPPLAKFSNREQARRRRNTLSDKTRTLQKVLPWDKKMDTATTYEETYKYIKFLQAQISALESMPVASTSSSPLGSENPRQMMNQYGGLGKLNRQQLLEVLVNSPSAQTALYSKGCCIYSLEQLILFKDISEKNFFLSGSSVFS >cds-PLY78901.1 pep primary_assembly:Lsat_Salinas_v7:5:308142127:308144227:-1 gene:gene-LSAT_5X167281 transcript:rna-gnl|WGS:NBSK|LSAT_5X167281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLYTSKASSPSYSYAQSPTRSSKASSPTHSFAQSPARTPRSSMSTPSHHQTFSASIIEEDLLYAEQIIRRWDLDSPFYTKVDYLFTGDRREARLFVKSVKNLQSAMHSFVSESASSEKIIHAQKLMQIAMKRLEKEFYQILSANRDVLDSESVSNHSSRARSSVSDDDDASEEDDRISSSGNTVSDGERVSVSEIVMADLKSVADCMISSGYAKECVKIYKIIRKSIVDETLYNLGVEKYSLNQVNKMEWEVIEPKIKTWVHAEKVAVKSLFYGERILCDYVFSSSEKIRESCFSDICKEKAIQLFEFPEFVAKSKKSMERMFRTLDLYNAISEQWPDIEMIFSFDSMVVVRTQAVTSLVKLGDAVRAMLTDFEAAIQKETSKTPVHGGGIHPLTRYVMNYLVFLSDYAVALSDIVADWPLQIQSPLPESYFSSTGSEESSSISARFAWLVLVLLCKIDASAELYRDVAQSYLFLVNNLNYIISKVHSSNLKLLIGEDWLVKHEQKLKQYAANYERMAWSKVMTSLPENPNNLPIEAVRECFRRFNLEFNYACRKQATWVIPDSKMRDEIKISVAKKISPVYRTFYDRYRDVFRGVDSVVRYAPDDLGNHLSDLFHSTGGPGSSGTGTPSHSSSSSSPLSRRR >cds-PLY98555.1 pep primary_assembly:Lsat_Salinas_v7:1:39956441:39957460:1 gene:gene-LSAT_1X35180 transcript:rna-gnl|WGS:NBSK|LSAT_1X35180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQGGKAKPLKQPKSEKKEYDEVDKANIQKKKDEEKALKELRAKAQKGALGGTGLKKSGKK >cds-PLY82681.1 pep primary_assembly:Lsat_Salinas_v7:4:62654513:62655514:-1 gene:gene-LSAT_4X43480 transcript:rna-gnl|WGS:NBSK|LSAT_4X43480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLCPFRLSTKTTINHRLPSVAPLLHRVDFPFFVRFRSELSSEAILVIDFFSQFYVYPAEEGGAGASHMIKEGALGDSEGIFGMHVDFTIPTRTIATLSGPMLDVVCFFKAKIEGKGGHATEPHNSVDPMLHHQLF >cds-PLY81428.1 pep primary_assembly:Lsat_Salinas_v7:3:217636424:217642131:-1 gene:gene-LSAT_3X126201 transcript:rna-gnl|WGS:NBSK|LSAT_3X126201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADASSKKKPMGLNQLHNRFLTPSHSKASPYDFLSEMKNRERQEDFDAPSFNITDFDSPSDTPPKVMNDDENSYVEQADDGKNSAFHDESLNPKLDITGSGSSSSAVSSGAEKISINEDEFPTSKRCENNVSLDEPDSSLVDDLTSEHDSGKHQKVKIQGRRRLCKVVVKDDEEEDDKYHDVESDFPAEFSEFDSPVPVKNTENKHDSGDEIRDILSNLSSRLEILSIDKGRRPTKHLQSSSSFVKNEKINQHKPVEISSATSNDISKKTYCPPPIRKDYHSGIHVKSEKYEVIGDDDDDDCVVTSGNKFVQKVESKHEKVYTLNDDTNDTISGVEGAFSLSNPKFNFSLPSKIATMLYPHQREGLKWLWSLHCKGKGGILGDDMGLGKTMQICGFLAGLFHSNLIKRVLVVAPKTLLPHWMKELGVVGLSGKTREFFGACSKARQYELQYILQDKGVLLTTYDIVRNNVKSLSGDYDEMEEDAVTWDYMVLDEGHLIKNPSTQRAKSLLAIPCGHRIIISGTPLQNNLKELWALFNFCCPELLGDKKCFKEKYESAILRGNDKNASDRDKRIGSAVAQDLRNCIQPYFLRRLKSEVFCDNDATNTAKLSKKNEIIVWLRLSKCQRQLYEAFLNSEIVLSAFDGSPLAALTILKKICDHPLLLTKRAAEDLLEGMETGLNEEEQGIAEKLAMHIADAAEEYDIGETHDKLSCKIFFIMSLLEKLIPEGHNVLIFSQTRKMLNLIQDTLDVRGYKFLRIDGTTKASDRLKIVDDFQEGIGAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSTDNQSVDRAYRIGQKKDVIVYRLMTCGTVEEKIYRKQIYKGGLFRSATEHKEQIRYFSQQDLRELFSIPKQGFDVSLTQQQLHEEHDCEHKMDASLKDHTKFLESLGIAGISNHSLLFSKTAPVPVVQDEELTRIRQSTYVGNSSSYNSREPNMDAGAQFAINPKDVVVKRKNVSPSVSNKLTESEIKDQIKRLSNVFANRAMVERLSDKGEKIRKQISELNSELAKLRGETETIDLDLDDVVDDFNRVMNV >cds-PLY87473.1 pep primary_assembly:Lsat_Salinas_v7:2:134978253:134979155:1 gene:gene-LSAT_2X64981 transcript:rna-gnl|WGS:NBSK|LSAT_2X64981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMFQLAGLTIGDLFASLLAFLPTGWAILQIAQACRPAVRVLGMWGSVKALGRGYEYLMGVLIFTPVAILAWFPMVYDFQTRLLFNQAFSRGLQIQRILAGGKKNH >cds-PLY87235.1 pep primary_assembly:Lsat_Salinas_v7:4:194433771:194434589:-1 gene:gene-LSAT_4X113740 transcript:rna-gnl|WGS:NBSK|LSAT_4X113740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTPYTLMRCRMKDLISCFKACKVPIEEEENESDYQNPKPSSIDHHQNRQRDKGVLELGTTAEKGGVVVVGGGEESPTSWQSCESEEDEYIVFYFRDDDAGVDVIEERGLENSISRNQNANPVDRRKKHETRRIEVDSKSIPSTTKEMNDWSKHESQEHKDTLGESSDSSSTSSFAFPTIQSEWTGSPVLMPRPEGHNKTRSVCIQCCKF >cds-PLY94485.1 pep primary_assembly:Lsat_Salinas_v7:2:157487867:157489497:-1 gene:gene-LSAT_2X80541 transcript:rna-gnl|WGS:NBSK|LSAT_2X80541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSAKATCNSHGQDSSYFLGWEEYEKNPYHQLKNPNGIIQMGLAENQLSFDLLESWLKNNPDSAGFKNDNHSLFKELALFQDYHGLPAFKNSLADFMSEIRSNKVTFDPNNLVLTAGATSANETLMFCLANPGDAFLLPTPYYPGFDRDLKWRTGAEIVPIHCSSSNGFRITESALAEAYEEAQKQNLKVKGVLVTNPSNPLGTSLSLHELNLLVDFVSSKNIHLISDEIYSGTVFCSPGFVSIMEVLKNRDLMNTEVWKRVHIVYSLSKDLGLPGFRVGAIYSNDNMVVSAATKMSSFGLISSQTQYLLSEMLSDKKFTRNYLSENRRRLKQRHEMMEKALQKTGIRCLKGNAGLFSWVDMRHLLSSQTFEGEMELWKKIVYEVGLNISPGSSCHCSEPGWFRVCFANMSKETLLIAMQRLSSFVDSMAKKNNQSGRRRQPLNGNLRRSKSSLPKWVFSLSFTTSKSGKERF >cds-PLY83560.1 pep primary_assembly:Lsat_Salinas_v7:1:64691649:64693019:-1 gene:gene-LSAT_1X55960 transcript:rna-gnl|WGS:NBSK|LSAT_1X55960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSSASYIHMVHRLIEECLIFNMSKEECMEALSKHANIMPAITSTVWCELEKENKDFFEAYKNTRKEHQTQMVSSSSQKVIPP >cds-PLY99750.1 pep primary_assembly:Lsat_Salinas_v7:4:271574893:271578683:-1 gene:gene-LSAT_4X139461 transcript:rna-gnl|WGS:NBSK|LSAT_4X139461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSNRPLPDRSSSTSSKNSDNISIANLHQQQRPDTLQNSSSQQSIKSNSWRATSVNFGSKGVRHGSRSGADSEGFSMSRREINDDDARLVYINDPLKTNETFEFSGNSIRTGKYSIFTFLPRNLFEQFHRVAYMYFLIIAILNQLPQLAVFGRGASILPLASVLLVTAIKDAYEDWRRHKSDKIENNQTSSVLINDRFQHKKWKDIQVGEVIKILANETIPCDLVLLSTSDPTGVAYVQTINLDGESNLKTRYAKQETLSRIPEKDIISGLIKCEKPNRNIYGFQANIEIDGKRLSLGPSNIVLRGCVIKNTDWAVGVAVYAGRETKAMLNNSGAPSKRSRLETHMNKEIILLSIFLVGLCTIVSTCAGVWLRRHREDLDLMPFYRKKDYSKQEVENYNFYGLGMEIFFSFLMSVIVFQIMIPISLYISMELVRVGQAYFMIRDDKMFDSTSNKRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQFASISGVDYSGEKSEFYGEEQGYSIQVQGQVWRPKVKVQVDRKLLKLSQTRNDTKSSKEIYDFFLALAACNTIVPIVVDTSDPNEKLIDYQGESPDEQALVYAAAAYGFMLIERTSGHIVIDIQGERQRFNVLGMHEFDSDRKRMSVILGYPDTTVKVFVKGADSTMFKVINKTLNVDTLKSTEAHLHSYSSIGLRTLVIATRGLTIPEFDQWQSTYEIASTALMGRAGLLRKVAINLENNLSLLGASAIEDRLQKGVPEAIESLRMANMKVWVLTGDKQETAISIGYSSKLLTSEMIQIVINNNSKVSCKKSLEDALIMCRKNDASSVALIIDGTSLVYILDSELEEQLFELSSNCAVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMSYMILYNFYRNALFVLVLFW >cds-PLY95021.1 pep primary_assembly:Lsat_Salinas_v7:5:226975540:226975902:1 gene:gene-LSAT_5X106780 transcript:rna-gnl|WGS:NBSK|LSAT_5X106780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYISHVGEEIQWPLARHEACIKLDQSNYFFSLRAPKEKEDEAMMLNYRLTFASKGQEMLLKEMDELLEHCSSFSVQKEEEKKGALDLTMVERMSPSDLKMEANKEQMEQICRAYWTTLD >cds-PLY92713.1 pep primary_assembly:Lsat_Salinas_v7:7:5343193:5347049:-1 gene:gene-LSAT_7X4460 transcript:rna-gnl|WGS:NBSK|LSAT_7X4460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSEAEAVIEFLGDVPALQRLPSSSIRKIAQHVIVKHHNSGDYVFKEEGESGGGTYFIWEGVVEVSSQFPESNHDSQVFEWKKFDYFTQSECGGQDVIALTKLTYLMLPYKYSDLMNPKSIWSADMNLETWAPVEHILSLDPLDVNTFRGVTLKGAPKSAKVYGGQFMGQALAAASKTVHFLKILHSFHAHFLLPGDVNVPIIYEVDRVHDVHNLATRRVNAVQKERIVFFLIASFHRGEEGFDHQEPTMPSVPDPEELLPKNGLEEGVKLSRHHLRSQVSTPTFVPWPTEIRPLDPNIYTRHTTRPASVSYWLRAKGRLPDDQALHRCVGAYYSDLLFIQISLNPHRREGMLPSSISLDHSMWFHRDFRADEWLLYVIDSPTAYNARGFSRGQMFNRKGELVLSAMQVGVVRKIINTQSSISATAASKL >cds-PLY89575.1 pep primary_assembly:Lsat_Salinas_v7:4:365042734:365044217:-1 gene:gene-LSAT_4X178141 transcript:rna-gnl|WGS:NBSK|LSAT_4X178141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB30 [Source:Projected from Arabidopsis thaliana (AT3G28910) UniProtKB/Swiss-Prot;Acc:Q9SCU7] MGRPPCCDKLGVKKGPWTPEEDIMLVSYVQQHGPGNWRQVPTNTGLRRCSKSCRLRWTNYLRPGIRRGDFTEDEEKMIIQLQALLGNKWAAIASYLPERTDNDIKNYWNTHLKKKLKKLEMGSEDHDLLHTNSKDRFSSSTSSSSSSHCISRGQWEKRLQTDIHMAKQALNDALSIDHKPIFVPQATKSSSHPPAYPSSTDNIAKLLKGFMKNSAIYTKTCSNSSTRESSGEVNAPSSESKNNSGIDLSEAFESLFGFDQSFGSPNNSDFSQSNTSPEGSIFQEESKAELRFTMFENWLLEETTGGVVDQGKEDLSNFSFDENPNFFDN >cds-PLY77590.1 pep primary_assembly:Lsat_Salinas_v7:2:164878009:164880099:-1 gene:gene-LSAT_2X86320 transcript:rna-gnl|WGS:NBSK|LSAT_2X86320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRVAFVLIDGLGDVSIPRFGYKTPLEAAKVPNLDAIASAGVNGLMDPVEVGLGCGSDTAHLSLMGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEKTGVVTSRRADRHFEEEGPILCAALDKMKLPSFPEYEVRVRYATEHRCGVVVKGPKLSGNISGTDPLKDNRLLLQAQALDDTEEAKHTATVINELSKEISKILVAHPLNEKRAAQGKNIANIVLLRGCGIRIEVPAFEKNHGLRPCMVAPTKIIAGLGLSLGIDILEASGATGDYRTLLTSKAMAIANALSAPTKSCPNVFVPGEDEHKPGPSDGYDFGFLHIKAIDDAGHDKASVFKVKGLEAVDKAIGQLARLLWEAESSGEFQFSVCVTGDHSTPVEYGDHSFEPVPFTLCRLKDYVGAVGGESVVLQTSLDTFPLPVIKSGEDLSDDVVEEEEGSQQNRAFSGDSVWKLDELAVARGCLGRFPGSEMMGIIKKFVQLDV >cds-PLY62919.1 pep primary_assembly:Lsat_Salinas_v7:3:144188584:144198621:-1 gene:gene-LSAT_3X94440 transcript:rna-gnl|WGS:NBSK|LSAT_3X94440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICIRVNTQNTTTEAVIEKILEIQCDKRLQDTVKNGDIESLSVVKCEIPRLDYVVFVKGSGPHDIQYDYQQERPPKEIIVSYPQYLERDGLYIGQGTTTMSRAGIFLGLSGLGVDMTDRVFRFISFNDVMKGDIFLQNLPSIITAHIHKKEKGEVIAVDRSHDKVFEIYNLVAELGLTSIKAYKLDAACSALGLRPRLFAGELVRLGGVLVYSTCTINPRENEALVRYALDTYKFLSLAQQDPRIGGPGLVGHCELSNGYFEEWLRAAEENLVQRFDPSGPHDTIGFLIAKFIVVPKD >cds-PLY64744.1 pep primary_assembly:Lsat_Salinas_v7:6:127603142:127604843:1 gene:gene-LSAT_6X75661 transcript:rna-gnl|WGS:NBSK|LSAT_6X75661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKHMLLGGKYEKGKLIGTGTFAKVYHGREVSTGESVAIKVINKDQVRDQGMVEQIQTEISATRLVRHPNIVELREVFATKSKIYYVMEYVSGGELFAKVSGGGRLKEDVARKYFQQLISAVDFCHSRGVSHRDIKPENLLLNGNDDLKITDFGFSALPEQKRYDGLLHTQCGTPAYVAPEVLRKKGYDGAKADIWSCGVVLYVLLAGFLPFHDENLMNLYRKIFKAEYEFPPWFSPETRKLISKLLMADPERRISIEGINRVPWYRRGISRNSSFRSKRERVSSPDPTPGLKKSISSPSFFNAFELISSMSSGFDLSTLFESKEKKKVASMFTSKFSAAAIVERIEAAARGMRFIVETEDDFKVRIDGTEEGRKGPLAVTAEVFEMAAEMTVVEFTKDSGDTLEYERFCEEGIRPALKDIVWTWQGDVGSSNGAGEVTVNSG >cds-PLY73027.1 pep primary_assembly:Lsat_Salinas_v7:9:37266093:37266703:1 gene:gene-LSAT_9X35301 transcript:rna-gnl|WGS:NBSK|LSAT_9X35301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVALVVGLNPTLRHELTTVMHHLCSRSRRHPSLSRGFTTCQALERFFALHQIKPHASPLMRAPINSFEFNSCKRGILNVLATTLHGSIHTSPSIHRLRLGLLGYLIPFAPQAFVSQCQCRPSRVLLPLVFFPMSMHYTAPPEIPSTPTILQLGSFHRLSRVYPCDLTADLKSHLKMLYAKNFGITLASSVLSRLLAQS >cds-PLY68243.1 pep primary_assembly:Lsat_Salinas_v7:3:103369928:103371528:1 gene:gene-LSAT_3X77360 transcript:rna-gnl|WGS:NBSK|LSAT_3X77360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRLRGLSFPAMATPLSLLLAYAPQYAPSVMLLLTMYNLVPTQILKYVVNAVRFYWKPKSSKLTLLFDQRDGVSPNQMFDAAEAFLCTRMKPDSNCLRITKTVKEDHINVKFAKWEAIQDSFEGISLAWRYVIVPPQEKSNGGETPEKKYIELKFDKEYKDKIINSYLPWILEKAKEIENQKNVVKLHNLQFERSGYNRTEKESVKLDHPSTFDTLAMDPTMKKTIMEDLDLFLRRKDFYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLQLNSVGSDSSLKKLMLSTSNRSIIVIEDIDCSIQVHDRKGTIPSKYIHDKPKHSPQFSLCGLLNFIDGLWSCCGDERIIIFTTNHKERLDPALLRPGRMDVHIHMSYLTIDGFKTLAANYLNIHDTHLRFTEIEELINGTNVTPAEVAEELMKSDDQEIVLEGVVNFLKRKKTEEDTAKEQSDGGNDEVPEAKKAKVIS >cds-PLY69454.1 pep primary_assembly:Lsat_Salinas_v7:6:121752104:121756387:1 gene:gene-LSAT_6X73421 transcript:rna-gnl|WGS:NBSK|LSAT_6X73421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATFSKPQLNNSHSSTESSNFETSDNQPMSHDWYSEDTGVYNSRHPRINLPSDPFLDVVSCVFSHKHNGKTALIDAASGVSISYAELQPLVKSMANGLHHQMGVSKGDVVLILLPNSIYYPIVLLGVLYLGAVVSTMNPFSSFSEIKKQTLGCHVTLAFTFPDKADELNSIGIESILVPETAKFDSNQIRFAPFFNLISSDHDLVPRRTVKQDDTAAILYSSGTTGASKGVVLTHRNLISGVELFVRFEASQYAYPPEDNVYLAVVPMFHIYGLTLFTMGILSLGTTIVVMRKFSVDEMVRSIDRYGVTHFPGVPPLVTALSRMGKGSSGKSKTLKSLKQVSCGAAPLSTKTIEEFMQYFPQVDFIQGYGMSESTAVGTRGFNTRDVHNYASAGLLAPNMEAKVVDWVTGLHLPPGKTGELWLRGPAIMKEYLNNVEATSLTIDKEGWLHTGDIVYFDKDGYLHIVDRLKEIIKYKGFQIAPADLEDVLASHPAILDAAVTGARDEEAGEVPVAFVVRKPGFEVTESSIIDFVAKQVAPYKKVRKVMFMNVIPRSAAGKILRRQLKDKLYSRI >cds-PLY94793.1 pep primary_assembly:Lsat_Salinas_v7:2:179467708:179470913:-1 gene:gene-LSAT_2X101421 transcript:rna-gnl|WGS:NBSK|LSAT_2X101421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKLEVVSPVPADIDIANSVIPLHIAEIAKDLNLNPDHYDLYGKYKAKVLLSVFDELKEREDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTTLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITASNNLLAAAIDTRIFHENTQSDKALFSRLCPPNKEGVRTFNDIMFRRLKKLNITKTKPEDLTPEEVNKFARLDIDPDSITWRRVMDVNDRFLRKISVGQGPDEKGMVRETAFDISVASEIMAVLALTTSLSDMRERLGKMVIGNSKSGDPVTADDLGLGGALTVLMKDAINPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGPGGYVVTEAGFGSDIGTEKFMNIKCRYSGLKPQCAIIVATIRALKMHGGGPLVTAGKPLDKAYVNENVGLVEAGCVNLIRHIENTKAYGVNVVVAVNKFSTDTDAEIAAVKNAAIGAGAFDAVLCTHHAHGGKGAIELGIAVQKACESATQPLKFLYPLDISIKEKIEAIARSYGASGVEYSEQAEKQIEMYSKQGFSGLPICMAKTQYSFSDNASAKGAPTGFVLPIRDVRGSIGAGFIYPLVGTMSTMPGLPTRPCFYDIDLDTETGRVKGLS >cds-PLY84772.1 pep primary_assembly:Lsat_Salinas_v7:5:230410621:230412686:-1 gene:gene-LSAT_5X110661 transcript:rna-gnl|WGS:NBSK|LSAT_5X110661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLNFAGYVCFLALIVQFRGSEALISAPDINQQHPKAIADLKEAIVKGLGLQSDDFKISGFDARDALVGRSLAYEFDIEIDDKILPFKLMEDVNRWEYVDLPIFQMQDQIINAGDENGLVEKKVLGERLPVLAPFQLAGPMELWIQDAKDMRLSLPHDVDAGELRKIILADGAVVTVKGARSVSLRHPIELPLPLNTTQNGFASGLLTLAERLRHASQTQTQLLSLRIVGPTSLTSPTPSSPSSKLKLKLKRLAPGLVELSSVSKTAISTVDHLQEDAPTLLTPDHFTTLWPVTSINGSHSNLVGLERLLTSVLGSKASKEGSFKLLKADVSARTFVKIGFRVEKKLSGAGNLSEWESQRVGQLPEWRTKPETMKMHFEVVAKVDGDKFVPERVVQIQPVAVQHTVAQSVFVGNTSMSKQPLVYTPFSPFAL >cds-PLY94957.1 pep primary_assembly:Lsat_Salinas_v7:4:109598912:109607455:-1 gene:gene-LSAT_4X70841 transcript:rna-gnl|WGS:NBSK|LSAT_4X70841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSVCPTTLKRICRQHGIMRWPSRKIKKVSHSLEKLQVIIDSVQGADGMIKLGSFYNNFPELNPPISPNPKPKVNNRVNILKESVTPSDSPSSCNHGSSSSSGNVVHNENAHLSQKKMFLVSYSLLELAKTQLSKNRKKIAPHDIPGMFQSSQSLMEHG >cds-PLY66751.1 pep primary_assembly:Lsat_Salinas_v7:1:167789947:167790872:-1 gene:gene-LSAT_1X112481 transcript:rna-gnl|WGS:NBSK|LSAT_1X112481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIGHAIPSNFIPHIDKCFMEAANSWCFSCCGYDIAKFSEGGYFGEWTLLGEYVDSLHIVALGDVVCVVLTKEKFELVNAHNLAQENGVNDFLPLGNLEKVVKEIDDQPLPLVDGIEDQLVEFSLAMRSMIAFIRVK >cds-PLY90873.1 pep primary_assembly:Lsat_Salinas_v7:9:161786372:161787532:1 gene:gene-LSAT_9X101401 transcript:rna-gnl|WGS:NBSK|LSAT_9X101401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISRSIVTKLPKINLKWYRSTASLNSSIKLDPLELHNHKDWLSPTEVIKIFETLKDPNSTLTVLNQLSKRKDYNPNEALYTSVVNNLSEAKNFDGIEEVMKRIKVEKRCRLSDGFFYNVIRIYGLRAGRINRAIETLFDMPNYSSWPTPKTFNFVLNLLVNTKQFDVIHEVYMGAGKLGVEIDACCLNIMMKGLCKNGDINAALQVFDEFPKQNCKPNVRTFSTLMHGLCKLGRVEEAFSLLHKMETEGVEPDTISINILISGLRKNNRIKESIDVFEKMLLKGCEPIPSTYQEVLYALIDSKEYIKAMNLAKKMSSMKMVPSFDSYKLIIHGLCEKKIVEDIDLVLKHMIENGYLPKLSMWKQILQCILRN >cds-PLY90362.1 pep primary_assembly:Lsat_Salinas_v7:2:198395168:198398515:1 gene:gene-LSAT_2X119400 transcript:rna-gnl|WGS:NBSK|LSAT_2X119400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTRARAGAGAGGAASKAEESPKVVEKAASETEEQVDFEEEAVEEEVEYEEVEEEVEEEEEEEVEEEEEVEEDEEEEEEEEEEEDADDEADRQKAGEDEEMENADADADEEKKYAELLALPPYGSEVYLGGIPLDVSEDDVKKFCESIGEVTEVRIMRGKDASENKGYAFVTFRTKELASTAIKELNTKELKGKRVRCSTSQAKHKLFIGNVPKSWTLEDMEKVVRKVGPGINSVELLKDPQNSRRNRGFAFIEYYNHACAEYSRQKMLNPKFKLDDNAPTVSWADPKNAESSASSQVKAVYVKNLPKNVTQDELEKIFEHHGKIIKVVLPPAKAGHERSRFGFVHFADRSSVMKALKNTEKYELDGQVLECSLAKPQADQKSSGGSSNNQNKAALLPNHHPPRVGYGGLPPLIYGRGPSTAGMAMMPMLLPDGRIGYVLQQPGMQPHSPQAMQQQPRGGGGRSGGGGGSGGGHRRGNDSGGRGGGGRRYNPY >cds-PLY92686.1 pep primary_assembly:Lsat_Salinas_v7:3:117547552:117548094:-1 gene:gene-LSAT_3X82380 transcript:rna-gnl|WGS:NBSK|LSAT_3X82380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPPNNLFEAEVESEITTPCSRLITNPTLRIEPPMTLDLTLGFTNTAVQLFSCNYCRRKFYSSQALVGHQNAHKQERRIQKRAMTLKMLSERYASLACLPLHGSSLPLHGSSSQTLGIEQHVALHQGVQANRGREIFDRSYLGIPVNMEVDEAKPFWYDSFQRINDAGCSSATPDLTLKL >cds-PLY85608.1 pep primary_assembly:Lsat_Salinas_v7:9:97843290:97845237:1 gene:gene-LSAT_9X72801 transcript:rna-gnl|WGS:NBSK|LSAT_9X72801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFYDKRISQEVNGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLVRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGDNDLPGLTDVEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTNKAGKEVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAAEYQKLLASRLKEQREKRSESLAKKRSRLSTASKPSIVA >cds-PLY65657.1 pep primary_assembly:Lsat_Salinas_v7:5:274736344:274738017:-1 gene:gene-LSAT_5X145621 transcript:rna-gnl|WGS:NBSK|LSAT_5X145621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRKCSHCGNIGHNSRTCTSYNRGNVNSTILIGGGGGGGLRLFGVQLDTPHSMVIKKCLSMDCLASSSPLQASTSHSPSSSLSSSRVSASDLKSMSLGYASDGLRAQERKKGFPWSEDEHRLFLTGLEKLGKGDWRGISRNYVTTRTPTQVASHAQKYFLRQASLVKKKRRSSLFDLVRGNNKKNVVANQENSYNMSRTNSFDEGHQDRSNNISLIDFSSLKQENVYYNPTVKSYETTSMCSHVHASPCGTIGSKSGTLDLELTLAAPKPVENNKSSATTSLQIGPIIRVI >cds-PLY63543.1 pep primary_assembly:Lsat_Salinas_v7:9:151523016:151529413:-1 gene:gene-LSAT_9X95861 transcript:rna-gnl|WGS:NBSK|LSAT_9X95861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANFLAQFLSIKNSCERVVIAVEDVSDLWPLVKKGFEDRLPIRRATLNNKTRNPLLVDNLPAEYILTTDSRLRSRFPQEQFLFSFREPYATVVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSRAPPHNDQASKMAKKVYAKLEVDFSSKKRERCCKLDMHGPETTFWEDLESKITECIRNTLDKRIQFYEEEIRKLSEQRFKPVWSFCNFFILKESLAFMFEMAHLHEDSLREYDELELCYLETVNIAGKQRDFGGMEHGDDQAALLNPGKKPLTQIVQDDSFREFEFRQYLFACQAKLLFKLNRPFEVASRGYSFVISFSKALALHESILPFCIREVWIITSCLSLVNATAAHYSNGLVGPEIEKEYYRVRGELYSLCRAKFMRLAYLLGYGSAIERSPVNSASLSMLPWPKPAVWPSVPSDAASEVLAKEKMILQATPRVKHFGIQRKPLPLEPSFLLREANRRRASLSAGNMFELFDGRSNFMDGSLSPSPKLQPTSMSRTNSTPGNFESSIDRPMKLAEIFVAAEHALKKTISDHGLWTSFSSLADFEKKYLELSKSAAENYHHSWWKRHGVVLDGEIAAVCFKHGEYDLAKKSYEKVCALYSGEGWEDLLADVLPDLAECQKILNDQAGYLSSCVRLLSLDKNLFLKKERESFQSEVVRLAHGEMDNPVPLDVSSLITFSGNSGPPLELCDGDPGNLSVTLWSGFPDDITLDSLSLTLTATSNADEGVKTIRSSGATILNPGRNTITLSLPPQQTGSYVLGVLTGQIGHLRFRSHGFSRGGPAESDDLMSYEKPTRPILKVFKPRALVDLAAAVSSALLMNEPQWVGIIVKPINYSLKGAALHIDTGPGLRIEESFPIEMEKYGLEDKKNHNDSVKEITKLTLKNGSIELPDWARAVSSGRPSVVDGLRTVALKLEFGVSRNQKFDRTIAVHFTNPFHVSTRVADKCSDGTLLLQVILHSQVKANLTIHDSWLDLKDGFTLADEAKDMNPDSDTILNIKYKISGDRNHGSHTPMFEDESSQMLTFKSALVLQRPVLEPCLAVGFLPLPPEGLRVGQLFTMKWRVERLKYLEDEQYDEVVYEINANSENWMIAGRKRGHAPLSTKQGSRIEISILCVPLVAGYMRPPQLELPDIGEGNISCNPAGPHLVCVSPPPLSSSFCIPIPIPA >cds-PLY93885.1 pep primary_assembly:Lsat_Salinas_v7:4:270325796:270326167:1 gene:gene-LSAT_4X138761 transcript:rna-gnl|WGS:NBSK|LSAT_4X138761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQPANSDSFMIGSLDIGSHETKVVKIGENLTPSARDNLTATSPIKLISTPTYLKRNLATCIDLDEMENLSISKTARLSPPDEQPTPLLVPKKEK >cds-PLY71931.1 pep primary_assembly:Lsat_Salinas_v7:3:23621183:23622100:1 gene:gene-LSAT_3X17560 transcript:rna-gnl|WGS:NBSK|LSAT_3X17560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWNTNSVVINSQEEVDSWEVKAFAEDTGNVMGSTWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLHQAQPNFNSPNISSSRPSSTLLIPSQELVGNGGLCLLYSLPNPNAIFNPSSLNNVSDNPSTLLSISPHPNTDNFMNFPTTMSPHSFNSSLSNSYNTEASTSTNHKSNQEVSIGNKLQKNDSAIEDIDLELRLGCSSWAS >cds-PLY63379.1 pep primary_assembly:Lsat_Salinas_v7:7:150763476:150764546:-1 gene:gene-LSAT_7X89500 transcript:rna-gnl|WGS:NBSK|LSAT_7X89500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSFGEGWKKNKHHVHKASIDIVHASSRSDSHSFYITNFPDYIIHSDIWRACSRLGKVCDVFISKKLSRMGKRFGFIRFLGNINVDYMIKNLCEVWFGYHKLFAFVPRIQKKATSPYMEPPKEVKNREKLHVSYANVVRGGNSDISSSDKDETAIILESGNFVIDNTNLACLAKARDFNTLPNLGMLCHDEGFDDFIIRYVGGLWVMFEFKSKDACKIFLTSDAVNHWITEKRKWDKNFVPSDRIVWVDVEGLPLRAWSKNSCRLILAKYGSVAHIYDNIGEDVYKSRVCIITSFLGIISEVIKVSIDGNIFHIRIKEAPGWNPTFVCEFNNIDNDSVDATHRFKQDEMVAIATIR >cds-PLY91477.1 pep primary_assembly:Lsat_Salinas_v7:7:141777416:141783576:1 gene:gene-LSAT_7X84820 transcript:rna-gnl|WGS:NBSK|LSAT_7X84820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIMGLLFILFLLASSTNFIAYSHTIVETLPGFPGPLPFKLETGYIGVGEDEAVQLFYYFVESEGNPEEDPLIIWLAGGPGCGTLRAFFFEIGPMQIQYGNYMDNVPALQLDPNSWTKVANVIYLDAPTLTGYSYTKTPEAVRSSDTASASQTAEFIRKFVKTHPKFLKNPMYVTGISYSGIVIPIITEELYKGNDEGLEPFVNIQGYMGGNPLTNKTGDINSRLEYAYRMALISEELYESTKKDCNGDYAEADSNNLQCMLDINEVNKRVGDINIQQILDPDCDDATNLVRGGNPVTKENRRILRGNPVNLRPAQSMLTDTFCRGDNYNYATLWANDENVMKALNVREGTVDEWLLCNLDMKYNYGKPSMPLYEFNVQNSVVYHEKLSKRNCRALIFSGDHDMMVPHVGTRNWINSLNLTITDSNWDAWYVNGQDAGYKTTYARDNYTLVFATVKGAGHTAPEFKPKECFEMVKRWFAYRPI >cds-PLY63736.1 pep primary_assembly:Lsat_Salinas_v7:9:86024477:86024938:-1 gene:gene-LSAT_9X68121 transcript:rna-gnl|WGS:NBSK|LSAT_9X68121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTDRIMLVSHLRRPAPEKAFASDQEKVEEGQQTKNESQELAGMVPKTETAGERSIGTGNDDQVPPSIPLNGFGGTPAIDDESQGGTYATLGQPHDMQFESNDSGVRDVEAVSQESSGSGATLGKSLQSLDVEIRSAEGHDDGPTRRTNVLPE >cds-PLY81608.1 pep primary_assembly:Lsat_Salinas_v7:1:50547361:50554116:1 gene:gene-LSAT_1X43561 transcript:rna-gnl|WGS:NBSK|LSAT_1X43561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRSHSDTREENPPASRYESFSLDQKNTLLVIVILIATASYQTALTPPGGVWQDNYHPSANPPASSVDDSVALRHHTSGTAIMSTNKYQGAYIVYVISNSLGFYVSVFMIFRLTYDFPLLLELHLLLLLFSMAGIAPEGSQSDARQFDSKMSELLSTDGQDFFTLYHEVYDSFDAMGLQENLLRGIYAYGFEKASTIQQRGIVPITKGLDVIQQAQIWNKRLRTWYTREK >cds-PLY99016.1 pep primary_assembly:Lsat_Salinas_v7:1:146278276:146278614:-1 gene:gene-LSAT_1X102880 transcript:rna-gnl|WGS:NBSK|LSAT_1X102880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSDEGMRCTGRSERVSVSPANSSSCLAGSPLKLLGDERWLGRWQFLMITSLGFVGCKWRLAMIEWSCRFGDVVAIEGSWSSKLSRRSRLVASCGLALVSGDGWRSPRGDDR >cds-PLY64925.1 pep primary_assembly:Lsat_Salinas_v7:8:133471100:133471531:1 gene:gene-LSAT_8X92560 transcript:rna-gnl|WGS:NBSK|LSAT_8X92560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFLHKLTKSRRKDIYFCLPHESLGLGIHTLVNEGDYKEFLDWVYANDKRMNVYVDHHNEPIFDWIEAEESESENEDLDEDEDSVIQDSYSVDHEKDDVTYPFPANKTAHDRFLNILCEPTESEDQDDEYVPPQYPVYDERQP >cds-PLY65260.1 pep primary_assembly:Lsat_Salinas_v7:4:353254014:353254531:-1 gene:gene-LSAT_4X174781 transcript:rna-gnl|WGS:NBSK|LSAT_4X174781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTIKPRPPFWTFDSPLIYIFGGITLLLVLIMVALLIVIYTDRNRRLAAGGDRNLEGGGGSLKTVYEAGDEADVSPKIVVIMAGDELPTYLATPTDVLRNATHIS >cds-PLY88911.1 pep primary_assembly:Lsat_Salinas_v7:4:64135243:64136259:1 gene:gene-LSAT_4X44940 transcript:rna-gnl|WGS:NBSK|LSAT_4X44940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEARGSERRPESSIAREEVVIDIRGDVKVIAGGGSRDVPSSGESEEENSGGRGGLGGRGGGNGVLGRGGGRGRWGENGVFGLERRGQRGGLLWRGGRGGRGGGDDVEEDEENGGGGGGDGGGGGHEENPEEVIDTKIVTLAIFVFTVSSGAHFLLADAIGGISNNDSGHVDKTPYLKDTVYFKYWMNFNVASLYLAMCVVLTEVTHLEPMISKRLKRWVKYIGGWSIWLAVVSMLIAFDRSDSIWLLQGGEEQRCNNIDKVWGFGLQHFYSDNGCFEAVGDHLGILQLLLQNLQKENGTQWRVSYMVRPSGIPSTRKVVVYVAIEVHLASFCSVFLSI >cds-PLY80697.1 pep primary_assembly:Lsat_Salinas_v7:5:219076475:219077836:-1 gene:gene-LSAT_5X103561 transcript:rna-gnl|WGS:NBSK|LSAT_5X103561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEIQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVHDVNVMKSFESLSNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFECIAKNALKNEPEEEMYIPDTIDVARERQQKSSGCEC >cds-PLY71250.1 pep primary_assembly:Lsat_Salinas_v7:1:154330176:154332748:1 gene:gene-LSAT_1X107421 transcript:rna-gnl|WGS:NBSK|LSAT_1X107421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGRIRSSVPRLTKALMSDSVSTQRLNVERALICPNFTDPQSRNFASASPTKEPKIKVPVAMFGGSGNYASALYIAAAKAKTLEKVEAELLDLVAASQKSPTFSQFMKDLAVPADTRVKAMTQICDQAKFSEITKNFLVVLADNGRLRHVDTIAKRFSDLTMAHRGEVKAIVTTVIPLPAEEEKELKDTLQQILGKGKKVKLEQKIDPSILGGLVVEFGQKVFDMSIKTRAKQMERFLRDPINFDA >cds-PLY86068.1 pep primary_assembly:Lsat_Salinas_v7:3:195084696:195087940:1 gene:gene-LSAT_3X116581 transcript:rna-gnl|WGS:NBSK|LSAT_3X116581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDHVEDTEVEVLSSTWPEDINEAGKKFSVEKPGLHEDMLEDVAFIEEPSIVDFTRLVELTSYSEKGSSQLAYLVKNWEYKQENAVRLLREELENLRKQQHEVKLKNLDIFEQNRFEGDKRPVSVLDEGYENFQDVPEKINDVIAEDKRVEIDAEYDTVIYWKQKALHLGKLLEESVEREHILLEKLEESIENLEKQSSPVEELSQILKRADNFLHFVLQNAPVVIGHQDNELRYRFIYNHFPSLQEEDIIGKTDEEIFKGGGVKESQDFKREVLERGLPAKREITFENELFGDKTFLLYVEPVFSKDGETIGVRRREKMAKLREEIAVQKAKEEELNKTINITEETMRTKQMLATMSHEIRSPLSVVISMAEILSTTKLDKDQKQLLGVMLSSADLVLQLINDILDHSKGESGVMKLETTKFRPREVINDVLQTATASLKKKLILEGHVADDVPLEIIGDVLWIRQILTNLISNAIKFTHQGKVEINLYVISDPYPQHEPKKINTDDSSISAKNNGHEENEPDSHETVVWIRCDVKDTGIGIPDDMADNDESEDDDITCDVGAEKSRN >cds-PLY96733.1 pep primary_assembly:Lsat_Salinas_v7:6:76766504:76766851:1 gene:gene-LSAT_6X56121 transcript:rna-gnl|WGS:NBSK|LSAT_6X56121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSFYHGSPTIEDQHEINSSIRCQCGMLAKSMTSWTQSNPGRRFYACHNSLDKIKRCGFFRWIDPELPNKRYKAIMYYLHMKLKSTEK >cds-PLY97359.1 pep primary_assembly:Lsat_Salinas_v7:4:286957260:286959257:-1 gene:gene-LSAT_4X146261 transcript:rna-gnl|WGS:NBSK|LSAT_4X146261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLVKQILAKPIQLADQVIKLADEACINKQDCAELKSKTEKLAGLLRQAARASNDLYERPTRRIIDDTEQVLEKALSLTLKCRNNGLVKRVFTLIPAAAFRKMSSQLENSIGDVSWLLRVSAPNNSTDEYLGLPPIAANEPILCLIWEQIAILYTGSLDDRSDAAASLVSLARDNDRYGKLIIEEGGVNPLLKLVKEGKPEGQENAASAIGLLGRDPESVEHMIHAGVCSVFVKILKEGPMKVQAVVAWAVSELVAHYPKCQDLFAQHNIVRLLVGHLAFETVEEHSKYAITSNKPTSIHAVVLASNNTNGSNNNNSNNNSNGVHHQNPSNKSTNEDEDKSRVPHPSGNNNQPFKMHSVVATTMGMKDGQKPSNLNPNQNQKTENITIKKQNIHHNSSLSLSLHKGRESEDPATKAYMKSMAAKALWHLAKENSTICRSITESRALLCFAVLLEKGPEEVRYNSAMALMEITGVAEEDSDLRRAAFKPNAPACKAVIEQLLQIIEKADSNSKLLLPCIQSIGNLARTFRATESRMIQPLVQLLEEREAEISKEAAIALAKFTCKENYLHLDHSKAIIAAGGTRHLIQLVYFGEQMVQIPALVLLCYIAFHVPDSEDLAQAEVLTVLEWASKQSQLIQIEKVENLLQESKGRLELYQSRGSRGFH >cds-PLY84851.1 pep primary_assembly:Lsat_Salinas_v7:1:60011407:60013479:-1 gene:gene-LSAT_1X52460 transcript:rna-gnl|WGS:NBSK|LSAT_1X52460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDREWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPHKRAVNLRKTVKIGRPGYRVTKQFDPETKQRSLLFQIEYPEIEDLTKPRHRFMSSFEQRVQSFDKNYQFLLFAAEPYEIIGFKVPSTEIDKSTPKFFSHWDPDSKMFTLQLYFKTKPPETNKPQSGGTTNGTSAPGVPPPRPLAPPPQAPPPPPPSSQGSLPPPPPPPMGNGPRPMPPGGNLPAPPPPSYGGGGMQNFTPGGRPGMPPPPPQGFQGHPPPPPPQ >cds-PLY72604.1 pep primary_assembly:Lsat_Salinas_v7:5:44511653:44513249:-1 gene:gene-LSAT_5X21300 transcript:rna-gnl|WGS:NBSK|LSAT_5X21300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHQFESKADAGASKTYPQQAGTIRKGGHIVIKNRACKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLKLPTDDALLTQIKDGFAEGKDLVVSVMSAMGEEQICALKDIGPK >cds-PLY79170.1 pep primary_assembly:Lsat_Salinas_v7:4:218674471:218676671:-1 gene:gene-LSAT_4X123001 transcript:rna-gnl|WGS:NBSK|LSAT_4X123001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRLTRMSTTPSALVASSIQTVLRTDTLRLRFTCVAAGFGSENGQLTGDIERKPVLVTKAATSMVAALGGTVTVVTAPTQISRRVDLATLLLIMKTMVRRKLKVAMRPRPWSLIIESLIEKFIMDSRFFTMFAVAGTLLGSVLCFLEGVFLVMESYLGYFQAISHHSDHGHIMHLLIEALDVFLVGTAMLTFGMGLHEFPSWAGMKSISQAKSKIGHALMLLLQVGVLEKFKSIPLVTGLDLACFAASVFVSSAGLFVLSRLSVDTKIT >cds-PLY94321.1 pep primary_assembly:Lsat_Salinas_v7:7:164492757:164494396:1 gene:gene-LSAT_7X96341 transcript:rna-gnl|WGS:NBSK|LSAT_7X96341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVCAPVLKLANDGLSPADFSAWVPGWIPVGERSLNLGRIECWLAKVAGRLGRVEKRVAFTDVLVKKWVGSLRFHEVDLVDKDTEHRHAAVESSRSASIDPMSVYISVVSSGNKMGEDEFVEDSKEGVLPLVNKRNASWQNASEQKVDGLALVRCLCP >cds-PLY79817.1 pep primary_assembly:Lsat_Salinas_v7:8:15545671:15550179:1 gene:gene-LSAT_8X13481 transcript:rna-gnl|WGS:NBSK|LSAT_8X13481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPAFEAYWCGSWKPVECLRIRNGVVSMLIINEGETTEETLPMSDLRIRSRKANLADCTCFLRPGIDVCVLSTIPSTEEESSNENPKPVWIDARVSSVERKPHEEDKCVCEFHVSIYMKQGPVGLIKKAVHKETKMLHIDQMSILQKLEGKPCESNHYRWHLSEDCNSRRIFKLFTGKFSSDISWLIVASVFKQLVFDVGSVDNQIVYQIWDGDSEKSFPNSENHSTAVNFKLENGNSIPFLVPFIKPQEEKKAICGVDEFASSSYFDLMGLRRSKRRNVQPDRYLGDDEVSEAEVDLSRVGLYRPNSSKYEQVPVAFSIQDDHSFTDENKLEYFRKVYKQEGYLGRQNDTSKSKEVRTEVPYKQDPGEHKQNHKHSNSMASQSHSSRPYVYADSYKPGASDDEGGDINISDIWAKYFSMQGSSKIHRKKYKAPQMDYDSLGGGLWKGNIVNKRGRKKRGSSGKESIYDSRTSFRKSVCASVYRELMSRCMRNIDKSINIEQPAVIDQWKQFQLGKSLELRENNQVPLKEEEEEEEEEEEMTEEKELEMLWKEMELALATTYLMEETEGLNEMQKSKSQEPKCRHDYRLNEQFGIICRLCGHVRSEIKDVSPSFLPGVVWTPSKETRTEEDDSEQNTQDADTRLEIACRPASSNMSVSDQNENVWALIPNLRDKLRFHQKRAFEFLWRNLAGDIIPSEMEAASKRRGGCVISHTPGAGKTLLIISFLVSYLKLFPGSRPLVLAPKTTLYTWYKEIIKWEIPIPVYQIHGGQTYREQVLKNKLKLAPGLPRNQDVMHVLDCLEKIQKWLTTPSVLLMGYTSFLTLTREDSNYAHRQYMAKVLRQCPGILILDEGHNPRSTKSRLRKALMKVDTPLRVLLSGTLFQNNFGEYFNTLTLARPRFVNEVLKKLDPKFKSRKKGVLSKFSLENRARKLFVIKIAEQINSNVQKDRQQGLNILRSLTSKFIDNYEGGSAENLPGLQCYTLMMKSTTIQQEILQKLQDRRPVYKGFPLELELLITLGSIHPWLIQTTACASQYFEPAELEALNGLKFDLKLGSKVRFVMNLVPRCLLRKEKVLIFCHNIAPMNLFIETFERFYGWKQGVEVLVLKGELELFERGRVMDKFEEPGGPSKVMLASINACAEGISLTAASRVILLDSEWNPSKSKQAIARAFRPGQDKVVYVYQLLATGTLEEEKHSRTTWKEWVSSMIFSEELVEDPSHWSAPKIEDELLREIVEEDRASLFHAIMKNEKASNMVVRGRE >cds-PLY89127.1 pep primary_assembly:Lsat_Salinas_v7:3:20353316:20353612:-1 gene:gene-LSAT_3X13340 transcript:rna-gnl|WGS:NBSK|LSAT_3X13340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRTWTVVGFGGSSRVPLSGILGEEDQVGPCEQLEALSAFNPFSSTLGWHNLKIKGEVQTRKGLRWIPRHLETRKGVVSDKMLWEVENKHRSGDSRIG >cds-PLY74077.1 pep primary_assembly:Lsat_Salinas_v7:9:14101659:14105509:-1 gene:gene-LSAT_9X11060 transcript:rna-gnl|WGS:NBSK|LSAT_9X11060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPSDSDKPPYVARVEKLEADHRNSVKVRVRWYYCPDHYDMQSAHTIQGKCIVHSFKNYTKLDNVGTEDYFCGFEYKATTGGSTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKLDFWKSYIRKPMLLVGPSLGAAVDFVVNHPEAVLFDMGEAISKELELISFHTVSKAFLGECGQRGGYFEMTNIPPQDDQNVEGLKIATGHVAANTIRLLIYGCQAGGLIGVSGQNIGQLRKSFGVT >cds-PLY77002.1 pep primary_assembly:Lsat_Salinas_v7:6:63560007:63560663:-1 gene:gene-LSAT_6X46540 transcript:rna-gnl|WGS:NBSK|LSAT_6X46540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSSQSQSSSSSSNSRPGPVGVAPRGSPAATAGLRRRRLATGSSSSVSNGTGGNTGGNMLRFYTDDAPGVKITPTVVLVMSVCFIGFVTALHVFGKLYRRSSVEA >cds-PLY81325.1 pep primary_assembly:Lsat_Salinas_v7:4:37590752:37592770:-1 gene:gene-LSAT_4X25081 transcript:rna-gnl|WGS:NBSK|LSAT_4X25081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIRIQHAMRSQGIKGPSYMFFHGNTKEISNMRLISMGRPMDHLSHEIFPRILPHVHSWVNLYGRNFLNWYGPQAQLVVTEAELIKEILYNKDDVYPKIELEGHAKKLLGDGLSSTKGDKWSKLRKLANGVFHAESLKSMIPAMISSTETMLERWKEYNGKEIEVFQEFRILTSEVISKTAFGSSYLEGKKIFDMLMKLTLIVSRNVHKIRLPGISNLIKTNDDIESEKLEQGIKDCIVEIIQKRDQERNLKTIRSDFLGELLEASRDKDDLKRISLDDMVDECKTFYFAGHETTTSLLGWTILLLSMHQDWQEKARKEVFEFFGETNPNQDSITRLKTMNMIVEESLRLYPPVPAIKRKVAKEVRLGEMTLPANMELYISPLAIHHDPRIWGDDVHMFKPDRFEGGIAKATKNTPAAFLPFGFGPRTCVGLNFALVEAKIALVMILQRFRFKLSSNYVHSPVQLFMVRPQHGVQIFLDAI >cds-PLY82437.1 pep primary_assembly:Lsat_Salinas_v7:2:183461217:183461756:1 gene:gene-LSAT_2X105800 transcript:rna-gnl|WGS:NBSK|LSAT_2X105800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRAYITTLASSIQAAENSREKVKGSRFRSTTRITDSNGLGEGRRAILLATLAAVNDSKTELLQKYLKKSEENKTKNDKERLDSYYKRNYKDYFAFEEGTLRQKKELTETEKGILDWLDANK >cds-PLY96835.1 pep primary_assembly:Lsat_Salinas_v7:2:109158513:109158809:-1 gene:gene-LSAT_2X48600 transcript:rna-gnl|WGS:NBSK|LSAT_2X48600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIHMPRIIQARQILKRSLSNGTRTTETDLPKGYFAVYVGEQEKKRFVIPVSLLSQPSFQDLLRETEEEFGYDHPMGGLTIRCSEQTFYDLASGLGAF >cds-PLY76412.1 pep primary_assembly:Lsat_Salinas_v7:9:126399844:126400311:1 gene:gene-LSAT_9X82481 transcript:rna-gnl|WGS:NBSK|LSAT_9X82481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCVGTTREYRPDSTEESQGQVRIQGDTLTDQSQGKNPPPLRTNEEVILFHVSPPLSAEKHLCELYQSPSETPPAKSQCCTASEVVYQSNRHYCRPKRKIPPTDESPAIGNPLEGGNRPWLKGGADSSSSVQDRTTDTTTREPKKGGGKDSTIRNS >cds-PLY81143.1 pep primary_assembly:Lsat_Salinas_v7:9:67605866:67606745:1 gene:gene-LSAT_9X57001 transcript:rna-gnl|WGS:NBSK|LSAT_9X57001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVFSMLKFWRYAGGGDPDSASSFDDEESFFDLVFTNPGDENDNECDASPDVNLFGGDSKSSFRFSYPDEVYSRNNRKILPLGSPKSPLRALIPGFQNTKSRLDKETFEIEEVKIGSLLKRDNSLRHKLQTEKLLDSDQVPSKRFSKDVVNKYLNLIKPSYVKVSKRNNEKSRLQEKSITPSSSPASSVFSPRKDEKRGGGGRGAVFREVRKHLGKSRSASAILQTPATKSDDSALEQQDSIRNAILHCKRSYNSPSKGTH >cds-PLY73068.1 pep primary_assembly:Lsat_Salinas_v7:2:29731396:29733128:1 gene:gene-LSAT_2X12261 transcript:rna-gnl|WGS:NBSK|LSAT_2X12261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G73840) UniProtKB/TrEMBL;Acc:Q8VYM7] MAGNQATGDGLSVNIAGMSKNQLYDIMSQMKTLIEQNQDQARQILIQNPLLTKALFQAQIMLGMLQPPQEIPNIQPTGTHHPQPAPPPAPPLQNQPPQQNHTTISTPPPSSVSPSLPPLQPPQHGRAQIKPQAAPMAAHPSHYSTQPPPLPPASTPLQQPLHISNISHLPLQPPLQPPLPPQPRPPSMQTFPHQNYSHMGPTSSFQHPGGPQLHHSQQPMFHSGSRPPTSMGPPFSQSPNQQLSQGLYQGGGSHVGMDFNQMGVPSQQPERGSNWMPENAVGPTATSSFLPAHMTSATQLPRPPSLTADMEKALLQQVMSLTPDQVNQLPPDQRNQVLQLQQMLRQ >cds-PLY67486.1 pep primary_assembly:Lsat_Salinas_v7:6:73674198:73674757:-1 gene:gene-LSAT_6X52080 transcript:rna-gnl|WGS:NBSK|LSAT_6X52080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGTVDFFYREAQRLGYVARSAFKVVLLVLGFRSPSFDLSDGFIGWLDQNYMAKEGRINCIRRDRYGDFLTEGYFGNKVKSSMAVVVGWFLLTQISRHRLKKMGGFIRI >cds-PLY72403.1 pep primary_assembly:Lsat_Salinas_v7:3:188376617:188379310:-1 gene:gene-LSAT_3X112361 transcript:rna-gnl|WGS:NBSK|LSAT_3X112361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKIVKSRKKDADASLFSRLPDEIILQIVNKLIDLKTLCFCYLVSKRFSSIVLQVDTISFTAPLDPNIPDKNTISDVDPSRPNPPKLSSFFGESFLSANGFLNTFTGVKSLYIEFPSFGHRDIDNNCLFKWKVKLGHKALSFIFLSPSSICDKNGLYLNGNVGEEEDLELLSDLLKKKLAISFQCLQDVIAWNVMLLHLLNNLPTLEQVSITDSGRRGRLSLSGKKLSEVKEWVHSASEIVFDRVEVPDMVSKCYIPVLTLPVSGYVMKGVFCALMEMKDLHGENDGLLDSEDGFEDKEEAAYIEAMKEVLEKDTGMMQRFM >cds-PLY65353.1 pep primary_assembly:Lsat_Salinas_v7:6:40652976:40654092:1 gene:gene-LSAT_6X30301 transcript:rna-gnl|WGS:NBSK|LSAT_6X30301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQESVVEAKGFNPGLIVLLVVGGLLITFLVGNYVLYMYAQKTLPPRKKKPVSKKKLKREKLKQGVAVPGE >cds-PLY61885.1 pep primary_assembly:Lsat_Salinas_v7:6:60210286:60210450:1 gene:gene-LSAT_6X43921 transcript:rna-gnl|WGS:NBSK|LSAT_6X43921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALIEFKVKEVWMVKIGVPSLTINREVSKGNSKAFKIDMFYCIWIMSFIVYGL >cds-PLY96470.1 pep primary_assembly:Lsat_Salinas_v7:2:124945857:124948813:1 gene:gene-LSAT_2X58240 transcript:rna-gnl|WGS:NBSK|LSAT_2X58240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEVTKDLSPIIHEDSIPSSNTANLSKNWEIPKDAVVISSLNSDLNQVKSHMNNDVIDKDGEGFTMVTKKAGNARTATVKGYGTGDIHPNVSRSKGSNWNGGNNKRGSYNSVNKGNKGWNNRSHSGNWNRGSVSHWNHQKNQEFVAANNKSFIISVESCPASYLLVNNSDSGQTEATESKCNTRVNSNLGNHDCLNPMNDIPDTMKSRKMAPPYRVMLHNDNYNKREYVVQVLMKLTRIGRDAVLYVESLIESIMGGLEGLINILDYEGGFGSLETQRSWEPSDKVDLHFVYKDVEGASTQWDDIQRKLGNLPPKPSAFKPDPFTLAEDEDSKPKTKSRIDNKTEELIDLEDDLDDSCFLEEYKYLQLP >cds-PLY99465.1 pep primary_assembly:Lsat_Salinas_v7:5:332554534:332557383:-1 gene:gene-LSAT_5X184701 transcript:rna-gnl|WGS:NBSK|LSAT_5X184701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLWQEQNSGVGFQLPESPRKPMEFLSRSWSDAPLQVSKTLHSISPPSPLIPKAGITANRNTTNSNTTSENNGGVFGVDAAEESVVMTTAASLSGNTFSFASSATSQLVLERIMSQSDISPLASGRLSHSSGPLNPSHMEEIDSPHISPSDEYDDVVKFLRANNTLQPLFANGRSGHGCSTTPAGKTVGRWLKERREKKKEETRAHNAQLHAAVSVAGVAGGAASSLQKDEHIAKTNMAVASAATLVAAQCVEAAEAMGAEREHLVAAVSSAVNVKSHGDILTLTAAAATALRGAATLKARALKEVWNIAAVIPVERGGLKESKSQGKNNVYCEQPPLPPEENFLGICNQELLARGSELLKRTRNGDLHWKVVSVYIHRTGQVMLKMKSKHVGSTITKKKKNVVLEVVKNMAAWPGRHLFDGGEERRYFGLRTVGRGLVEFECRTQREYDMWTQGVSRLLSMVDERKFNK >cds-PLY95455.1 pep primary_assembly:Lsat_Salinas_v7:8:193142137:193143054:1 gene:gene-LSAT_8X124840 transcript:rna-gnl|WGS:NBSK|LSAT_8X124840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTEHVILMLCTVVIAIPLVQSVSEGPKAVQNWFKELPLKKQKSTNLHFYFHDTIAGPGQTAYQVFDSNITSTSMSQFGLGFMFDNPLTVAPEASSMRIGRGQGLFGSASLEVPRFLMNLNFVFTEGTFNGSTLQVLGTNPILSPVREMSVVGGTGVFRLARGIATAQPYFRNDTSTILEFDLVVLHY >cds-PLY98062.1 pep primary_assembly:Lsat_Salinas_v7:4:78456922:78459060:-1 gene:gene-LSAT_4X53460 transcript:rna-gnl|WGS:NBSK|LSAT_4X53460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIKAGRKMAWCSHCGRICNTSHDYPTNNTSCLDCGKILFEGNLTTNESIYNNRISHATRHYKGRETIWCSHCIRKSHIAHDHTSGIIQCVDCGKVLLEDKNVKNATGGKDKRPKLAQTKKKRSSSKMNYDVINTLLGEKPIPMKEEDECSKSDGNEWKGYDNEHESCSYDYDYDYNYDGGDENNDY >cds-PLY96951.1 pep primary_assembly:Lsat_Salinas_v7:4:173873498:173878808:-1 gene:gene-LSAT_4X102000 transcript:rna-gnl|WGS:NBSK|LSAT_4X102000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTGRQMVTARDLIDEAKKRIVFLSMCVIGLSYLMSLTSASVLINLPAALSLLIVLRYFTLDYDMKRKAASYNKNPSTTHVFSVKKPPLDPKSISGKSDWRKKVNSPVVEDAIDQFTKHIVSEWVTDLWYSRITPDKQGPDELVLIINGVFGEFSSRMRNINLIDLLTRDIIKLLCTHLELFRACQIKIMKQEPLTIAQRDIELKTVLYAENKLHPALFSSVAEYKVLQHLMDGFISLTFRPEDLNCSLFRYIVREMLACAVIRPVINLANPRFINERIENVVQNSSRKPEKVSNNTEQVNSQSKPNGSVKVYTQSVSLDPSAKGVELTLLKKDNYDSATNNPTIKDPLLSIDTKVDTRKQHVSGEWGDKLDIVTRKKTEALAPENFENMWAKGRNYKTKDGMIIQQSSSATSANVVNQSKALTSQEVKHGSTKGDNKSFLYDEEEDEEDETRSNSSVYSSEDEESGDITGLNSPGTKVWDGKSNRKQTVTHIHHPLESFHGQKVKKTGKGASPKRNPGRKRSRVSNINNQKEEIWHEVERKSFLLGDMQDVLSSSKGYSKSVESSDESDKDSLARTNSGTSVSSLFPSIPESNMSSSTNAQKHSQLDDSFFRLRCEVLGANIVKSGSKTFAVYPISVTDVNNVSWSIKRRFRHFEELHRRLKEYPEYNLHLPPKHFLSTGLDVPVIQERCKLLDIYLKRLMQLPTISGSIEVWDFLSVDSQTYSFSNSISIIQTLSGEKSRDARFVGDPSRREVLVSDAVMKNSSSSEAKNNSINDGSKIYKKNAGFSVAKPEVVKVIGKALEDSDSDHEIVASSHIIKKSEEESLKGLEDNIVNPASGIGILTDDGVSEFPSEWVPPNLSVPILDLVDVVFQLQDGGWIRRKAFWVAKQVLQLGMSDAFDDWLIAKVQLLRKGSIVASGIKKLEQILWPDGIFLTKHPRRQQPPPATVKPPQDSHDRQPPPPPPTNEQTLTHEEAQEQEAQRRSKLVYELMIDKAPAAVVSVFGRKEYEQCAKDVYYFIQSSVCLKLLAYDLIELLLMSAFPELDSVFQQLHEEKQKFGALEH >cds-PLY98585.1 pep primary_assembly:Lsat_Salinas_v7:1:37889935:37896327:-1 gene:gene-LSAT_1X31200 transcript:rna-gnl|WGS:NBSK|LSAT_1X31200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METDAVLPPPSQDPPRIQRLDESVVNRIAAGEVIQRPVAAVKELVENSLDAGSTSIHVVIKDGGLKLIQVSDDGHGIRYDDLPILCERHTTSKLSAFEDLQSIKSMGFRGEALASMTYVAHVTVSTITSGQLHGYRVSYRDGVMEHEPKACAAVKGTQVTIENLFYNMIARRKTLQNSADDYPKIVDLLCRFAIHHKNVSFSCRKHGAGAVDVHSVAMSTRLDAIRSVYGVSVARSLMKIEASDANPSTSVFEMDGFISDSSYSAKKTTMVLFINERLVECTALKRAIEIIYAATLPKASKPFIYMSLILPPEHVDVNVHPTKREVSLLNQEVIIEKIQSVIELKLGNLNESSMYQEQQAVDCSPVSSVSANKASCVNTSTSGSQKIPVNKMVRTDSQDPSGRMHAYLQVKPSRHHESSCGLNSLRSSIRQRRNPKETADLTSVQELIDEFERDCHSGLLDTVRNCTYVGMADDDFALLQHNTHLYLANVVNLSKELMYQLVLQRFGYFNAIQLSEPAPLQELIMLALTEEDPDSEGIENDDLKVKIAQMNAKLLSEKSELLDEYFGIHVDPQANLSRLPVILDQYTPDMDRAPEFLLCLGNDVTWNDEKVCFQTIAAALGNFYAMHPPLLPNPSSEGGSDFYKRQGKGNVSGGNDMVEEGVDEELLSEAENAWAQREWSIQHVLFPSMRLFFKPPTSMATNGTFVQVASLEKLYKVFERC >cds-PLY62608.1 pep primary_assembly:Lsat_Salinas_v7:9:76748982:76752304:-1 gene:gene-LSAT_9X60700 transcript:rna-gnl|WGS:NBSK|LSAT_9X60700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESNSHTTPNFSTKSTPFDQSNIPFKKKEKWKKNTNNTHCFTLLLLLLISILVHPLPVSSQSWDGIIVTESDFQALQSFKQELIDPNGFLKSWNDSGYGACSGSWQGIKCAQGQVIVIQLPWRGLGGRITPKIGQFQALRKLSLHDNAIEGSIPKELGFLPNLRGLQLFNNRFTGSIPPALGSCPLLQTIDLSNNSLVGVIPESLANCSKLYRVNLTLNSLTGSIPVPITKLNSLMFLALQFNNFSGVLPDSWGNDKNGVKSMVKSLTFDHNFFSGPLPVSLSKLTELQEISFSHNKFIGTVPVEFGELSNIKSIDFSYNSINGSIPQSFSNLSSLNSLNFAHNNLTGEIPTFLGDRLNLTSFNVSYNNLSGSVPTTLSSKFDSSAFIGNLDLCGYSSSTPCPTSPPPSTTPPPPPNHGGNKLSTKEIILIAAGALIAVLLLICCILLCCLFRKRGGGGAKPKDAEGGAAGGKEVAPPQAEVAGEAGGKLVHFEGTLGFTADDLLCATAEIMGKSTYGTVYKATLEDGDQVAVKRLREKITKNQREFEIEVNLLGKIRHPNLLAMRAYYLGPKGEKLLVFDYMPNGSLTTFLHARGPETPIDWTTRMRIAKGMSRGLISLHTHHNIIHGNLTSSNVLLDQNINPKIADFGLSRLMTAAANSNVIATAGALGYRAPELSKLKKANTKTDVYSLGVIMLELLTGKSPGEAMNGVDLPQWVASIVKEEWTNEVFDLELMKDASVIGDELLNTLKLALHCVDPSPSARPEVQLVLQQLEEIRPETAATSSGDDGGAGPSMSE >cds-PLY83982.1 pep primary_assembly:Lsat_Salinas_v7:8:35585509:35590443:-1 gene:gene-LSAT_8X28160 transcript:rna-gnl|WGS:NBSK|LSAT_8X28160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGIVKKPLQYPIARRDESVVDNYHGVAISDPYRWIEDPDSEEVKEFVAKQMKVTESVLRNCNSRDRLRDKLTKSYDYPRYGCPFQKGNKYFYFHNPGLRSHPILYIQDSLDEDGEVLLDPNGLSEDGTVALRVFEVSHDARYLAYGLSSSGSDWLTLQVMNVDDKTVQPDKLSWVKFTSISWTHDTKGFFYCRFPAPKETQKKDVGTEVNVNYNHQLYYHLLGTKQSEDILCWNDLENPTHILEARLADDGKYLLMNICKGAARLNKFYCCDLSTLPNGVESHRGKGILPFVKVIDNFEANYEAIVNNDTIFTFLTNKHAPRYKLARVDLKKPSIWNEVLKESEKDVIDSVLPINGNQLIVSYLSDCKHVLQIRDLERGDLLHTLPIDIGSVNYISARRQDTMFFVKLSSFITPGVVYQFDLKTMVPQVKVLREIVVPGFDQAAYHANQVFVHSKDGTQVPVFIMARKDLVLDGSHPCLLFGYGGYGVSLTPSFDITRVVLAHHLGVVFCIANIRGGGEYGEEWHQAGSLGNKQNCFDDFISVAEHLISSGYTNPSKLCIEGGSNGGTLIGACINQRPDLFGCALAHAGVMDMLRYHKFTIGHAWLSEFGCSDKEDDFHYLIKYSPLHNVKRPWEDAPIRSIQYPSTMLLTADHDDRVVPLHTLKLLATIQHELCTSVKNSRQINPIIGRISSKSGHGCGTSTQTMIDKRVDCYSFIAEALGAPWID >cds-PLY75031.1 pep primary_assembly:Lsat_Salinas_v7:1:50374845:50376742:-1 gene:gene-LSAT_1X45420 transcript:rna-gnl|WGS:NBSK|LSAT_1X45420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIKEFAHLKISLEAIKLATNNFGDSCYISEGGFGKVYKGELFHFGDQVMVAAKRLDSRFGQGTPEFWKEIMMLSRYRHENLVNLLGFCDEGGENILVYEYLPNQSLDKYLSSSNLSWIQRLNICIGAACGLEYLQNPDETTQRVLHRDIKSSNILLDKSWNAKISDFGLSKLGPANQEFTFVITHAVGTPGYCDPLYGDTGFLTKESDVYSFGVVLFEVLCGRLCVANCDDNHRFLSKLAQSCYEEKKLQTVVLDCLQEQIYPDCLEMFSKIAYQCLHKDHNERPLVAEIVKQLKDALKCQVEYMVEKEKKFIFYTAFYAEVNMHMHDELEQVSSNYEEDMDMQIIQHNDMSEEELKAYDGTDPRKPVLLAIKGQIYDVSSARMFYGAGGTYGEWSGKDASRAIAKFSFEEEDLNRDLTGLGKVELEALDDWDIMFRSKYVKVGSIENPKKNLPAWIYKKFKEKSHYYKKSHRTRHIAPAMECIFQGLCWLHMAIVATGPLAVTTLGVVNLLNPTPSKNVSCNTHRR >cds-PLY70575.1 pep primary_assembly:Lsat_Salinas_v7:1:89008421:89011365:1 gene:gene-LSAT_1X75121 transcript:rna-gnl|WGS:NBSK|LSAT_1X75121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKPLGHKEKYSNRYEISQSHSIDGTAARTRPYSFDEIMLRRKNKKLSVNVEDQRLASEIVYPKEAESVSGRVESDRHRQRDEQPAPNEPLTVSSRTKENKSMREDQKRVEGEHKESGDLQRRSKAGSDNTKDRRKERGVHDFGNEHEKRHGKDSSVKERDRNVERNRGKSEHVNRLNQNGYDERNRDKKLESRKRHEREKRRSNSPSPKGNKPRLYDVKDHEELLSSSHSSKAGRFDPNLDRKRVSNNGSINKPKRHDSSVSRLCGYSPRKRRNEAPSPTESSPVKIESKTPNPLTSFLPNLMSLNKIELPNMVPLTPSVPIPKPTFGLLSFPSLMNTSVDSVQLTQATRPKRRIYVENLPSSASEAAVVEWLSGYLRPYGLNHLQSTNPCISCIVNKDKCQALVEFLTPEDASTALSFDGRSFNGNILKIRRPKDYVEATTGVLEKQPVAVAGGAFSVKNIVQDSPNKIFIGGISKIITSQMIMEIASAFGPLKAFHFEHNSNLDTTPCAFLEYVDQSVTIKACVGLNGMKLGGQLLTVTQATPDNTSSMEKNHGDNDHPFYGTPAHAQPLLQKQTQVLKLNNLVDPQSLSSLSEQEFEEIVEDVRLECSRFGMVKSVNIVKPAKVLSTSSDKEPPPEDVAAPTGGGDGGGAVVEERFEGGCVLVEYKRIEASTMAAHCLHGRVFDGRIVSVEYVGHDVYCDKFEK >cds-PLY76910.1 pep primary_assembly:Lsat_Salinas_v7:6:30070597:30071003:-1 gene:gene-LSAT_6X22640 transcript:rna-gnl|WGS:NBSK|LSAT_6X22640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRYRSICRPASTIFKSMATPSPKPTSIPSLAPRSSPTTVTRSFSRIGCVQSLLPLHSAVSSARLTSCLGIDSKGSRSLSQGMLSSANPGV >cds-PLY94646.1 pep primary_assembly:Lsat_Salinas_v7:1:41624615:41630598:-1 gene:gene-LSAT_1X37081 transcript:rna-gnl|WGS:NBSK|LSAT_1X37081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G52150) UniProtKB/TrEMBL;Acc:B3H4G8] MMSMSCKDGNGAMMDNGKYVRYTPEQVEALERLYHECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSHLVYENGCFRQHTQNTTLATKDTSCESVVTNGQHRLTPKHPPRDASPAGLLSIAEETLTEFLSKATGTAIEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVALEPSRVVEILKDRPSWYRDCRAVDTLNVLPTANGGTIELLYMQLYAPTTLATALDFLLLRYTSVTEDGSLVICERSLNNTQNGPSIPSVPNFVRAEMLASGYLIRPCEGGGSIIHIVDHMNLEAWSVPEVLRPLYESSTVLAQKTTMMALRQLRQISLEVSQSGASNWGRRPAALRALSQRLSRGFNEALNGFTDEGWSLIANDGVDDVTIQVNSSPEKLMGLNLPFANRYPPVTNSVLCAKASMLLQNVPPALLLRFLREHRSEWADNNFDAYSAASVKLGPCSFAGSRIGNFGGQVILPLAHTIEHEEVLEVIKMEGIGHCPEDALMPRDMFLLQLCNGMDENAVGMSAELIFAPIDASFADDAPLLPSGFRIIPLDPIKDTSTPNRTLDLASALEIRGSGSKISNDRNIASGNSRSLMTMAFEFAFESHMQENVAAIARQYVRGIISSVQRVASALSPNTGPNVGLQFGAPEAHVLARWICRSYSCYLGVELLKCGGEGSEYILKSLWNHPDAIMCCSLKAMPVFTFANQAGLDMLETTLVALQDISLEKVLDEHGRKGLFAEFAQIMQQGFACLQGGVCSSSMGRPVSYERAVAWKVLNEEENAHCICFRFINWSFI >cds-PLY80225.1 pep primary_assembly:Lsat_Salinas_v7:4:359483420:359487752:-1 gene:gene-LSAT_4X176160 transcript:rna-gnl|WGS:NBSK|LSAT_4X176160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRETRSMQKRKNAKDKKETKVPKKMKHQSRKSPSKRKTPEKQQLQDSDSDFESSHPSKKAKKRSHQSKKKKKTMVKEFYSLKNRCSPEALLSIILGMGKEQKESVRSMGFGALLKMKITDIPLKLGFYVLQKFDSERIVIDIKGKELKVTAESVHDMLGIPIGGTKLTQLDQWPKDDTSYDEWKQQFKKDSIIRLSAIKNVIVSTTQADFNFKLNFLVLFVNTFCESTSMGRCNLFPLSYISRKTDISNIDWCSYVLDCLVRTKNSYIPYSDNSFFVGPSAFLVLFYADNIHSEALTVTRKRPTICYWSSEKIRYREAFEQEKGKFGLGEINEEFVDEQDEGDTDLEDNDYDKDEDHYVEAYESKISKMINSFERMKEKLNSKLNDAITKFPEKESFRIFKENMTNMIVEEKTESTALFNFPIDETGVEGINLTPIMGQKTNDQTKNEDKEGNGEEDSDKGASQPEVDYLLDSNEANNEEIKNDADKNQKEGEIGVKEKDGKRNENENDEEEKDDHAEETKNHEETIQQTENENLLDKVVDNIVDNVLGIGISSLNSQEDEIWNHPEMRTIFDNTDIGSPMSTGKTNTRRCMNKEQKLKKQREMIHERKTLKIEINEEQKLRTRKMEVKKNIQLRKEMQKIKERKSQKMKTRKEKKLTRQKEIKEVFFPVVRSAHIFVIVFNLKKPSIEILDNSPVKGDYEGKYGVILKPLKNFFVRYFKEINHPRANAISKESIKPQRLEMSWRTFKNKVDCGVFAMRHMETYMGQPL >cds-PLY87966.1 pep primary_assembly:Lsat_Salinas_v7:3:177569650:177573115:1 gene:gene-LSAT_3X106960 transcript:rna-gnl|WGS:NBSK|LSAT_3X106960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronate:xylan alpha-glucuronosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT3G18660) UniProtKB/Swiss-Prot;Acc:Q9LSB1] MIRSLFSWKWGGGTDDQRYVSHLEIKWDEISSVLDKLSGKNQKIDGNIGFLNFNETEISDWKTHITQTNENQMVILHLDPVDKNVTWDSLYPEWIDEEQEEEVPSCPNLPKLELVQRKRLDLIVVKLPCETEGNCSRDVGRLHLQLAAAGLAASSRVKHLLFVTDFFPIPNLFPCKELVVRRGNAWLYEPNLKVLREKIQLSVGSCELALPFKPRDGEYIGNVRREAYVTILHSAHVYVCGAIAAAQSIRMSGSNRDLVILVDETISDYHRSGLELAGWKIRTIKRIRNPKAEKNAYNEWNYSKFRLWQLTDYEKIIFIDADLLILRNIDFLFGMPEISATGNNGTLFNSGVMVIEPSNCTFKLLMDHINEITSYNGGDQGYLNEIFTWWHRIPKTLNFLKNFWIGDDEETKEKKTRLFGADPPELYVLHYLGLKPWLCFRDYDCNWNSDIFQEFASDVAHERWWKVHDAMPTELHQFCLLESKQKAQLEWDRREAQKAMFKDGHWKVKVRDPRLKKCIDNLCSWKSMLKHWGETNWTDDRSFNPTPPMITKLKVDR >cds-PLY78535.1 pep primary_assembly:Lsat_Salinas_v7:1:106184610:106193589:-1 gene:gene-LSAT_1X83321 transcript:rna-gnl|WGS:NBSK|LSAT_1X83321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 7.2 [Source:Projected from Arabidopsis thaliana (AT4G21680) UniProtKB/Swiss-Prot;Acc:Q8GXN2] MTNVVVTAPSMSFCCSATPLPTTTRPPPPPPSFHVASSQVRCRRHHVAIASGCRSPPRKDGCGFVSKIGVDCRLTPVHGGAVWVVPHMGRNFEEKTLKKNEECTQDGSVDRKGRPAVRERTGSWFAAILILVNQGLATLAFFGVGVNLVLFLTRVMGQDNAEAANSVSKWTGTVYIFSLLGAFLSDSYWGRYKTCAIFQAIFVVGLISLSLSSYIFLLKPEGCGNEKTPCGTHSGFATAMFYVSIYLIALGNGGYQPNIATFGADQFDEDHPEEGHGKVSFFSYFYLALNLGSLFSNTIIGYFEDRGTWALGFWASAGSAIVGLILFLVGTPRYRHFVPSGNPLSRFSQVMVASFRKWKVAIPSNGDELYEIDGKENPNNGSRNILHTQGFKFLDRAAVITSKENSFEKEDIKSPWHLCTVTQVEEVKCILRLLPIWLCTILYSVVFTQMASLFVEQGAAMKTNISSFHIPPASMSSFDILSVAAFIFIYRRVLDPLVARLKKSSSPRGLTELQRMGVGLVIAILAMIVAGTVEHFRLKYKDDDCPTCEGSSTLSIFWQVPQYVLIGASEVFMYVGQLEFFNGQAPDGLKSFGSALCMTSISLGNYVSSLLVTIVMKISSSERMPGWIPGNLNKGHLDNFYFLLAVLTSADFVVYLLVANWYKYIKFEGRNDKVEGCQHV >cds-PLY62552.1 pep primary_assembly:Lsat_Salinas_v7:4:355748147:355748829:-1 gene:gene-LSAT_4X175441 transcript:rna-gnl|WGS:NBSK|LSAT_4X175441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFERLKVSLKIRFRSLKIWKPNDDSYNKIEKSDSMRVEIRSRKARKLIEETLRVADSPKCTKAYSF >cds-PLY66721.1 pep primary_assembly:Lsat_Salinas_v7:6:78448244:78509790:1 gene:gene-LSAT_6X56941 transcript:rna-gnl|WGS:NBSK|LSAT_6X56941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVAGGISGLVSAYVLTKAGLEVVLYEMNKCLDSGSKTISVNGVEVDLSFMPFNQVTYPNMMEFFTKLGVHMEISDKSFSVSLVEGNGYEWGSRNGLSSMFAQKGNMINPYFLKMLWELTKFKNDVLRYLEEFQHNQDISHNDTLCDFIKSHGYSELFQKAYLVPICSSLWSCPTHVVMRLSAFSALSYFHNHHLFQIFNGPQWYTLRGGSQTYIKKIKEELSSSGCQIRTGCAIKSVSRRDDGCLLLCEDGSKERFGGCIIDADTHQTLRLLGEEATNEERRIFGAFNYVYSDVFLHNDISLMPRNRTTWSALNFLGTKDNKVCLTYWLNVLQNIDENELPFLVTVNPSRTPKSTLHKWSLGLSIPSVAATKAVLELAYIQGKRRIWFCGGYQGHGILKDGVKAGMVAANGILKRTCEILNNPKTMVPSLMEIGARSLVVGFLQEFIAIGTLILLEEGGATFTFEGTRKKDSIKVYVKVQNPRFYWTLATEDELGLIGAYIKGDFSFVDKTNGLLNLIVILIVNYELKNYSSMSYKRGWWTPMFSTAIIASAKYFCHHVLMHNSVTQARRNISHHYDLSNEFFSLFLDETMSYSCALFKSEDEDFKAAQMRKVSSLIQKARVDKYHHVLEIGFGWGSFAIEIVKQTGCKYTGITLSEEQLKYAETKVKEAGLEDQIKFLLCDYRQLPDTAKYDRIISCEMIEHVGHKYHEKFFGCCDSVLAEDGILVLQFTSVPDGRYDDYQRSPGFIKEYIFPGLCIPSLTRLTSAMAASSRLCVEHVENIGAHYYQTLRHWRKNLMQNQSKILALGFNQEFIRTFECYFDYAAAAFKTKTIGNYQVVFSRPGNVATFGDPYKAIISAY >cds-PLY96632.1 pep primary_assembly:Lsat_Salinas_v7:7:44183555:44189857:-1 gene:gene-LSAT_7X30301 transcript:rna-gnl|WGS:NBSK|LSAT_7X30301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKKAGEQLKKISIDLEQKKLQLEEHEKVLRALEARNEIEQKKLENEKKMEVVEVCSLSIHKASKLVAKRSQMDGQLFLIKHLLILREQITPSDIEFSVTHKELDFSQLLEHLRRILRGQTSLFDWSRSTSLARTLSPRVLESQIDTNKELEKNLKATCESLSCQSLS >cds-PLY75260.1 pep primary_assembly:Lsat_Salinas_v7:7:65093142:65097495:-1 gene:gene-LSAT_7X46720 transcript:rna-gnl|WGS:NBSK|LSAT_7X46720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSASSSSSSLLNHTCCHDVFLSFRGEDNRNSFTDHLHAALKRAGVRTFRDNDNIDRGLELKPAIERAIKESRASIVVLSEKYANSRWCLDELLLILEQRQSINHFVLPVFYHVDPSDVRNQRRSFAIEVEEGVEGSKWTEYNVNRWKAALAVVADLTGMVCSGSETDFITEIVDTVNRKLDMKLVSTPAHLIGMDTRAKGINTWLKNEQSGANVLAICGMGGSGKTTLAQFIYNSNRQNFESSSYLEEIGKHSKQSDGLLGIQKQLLTDVLGGSNIGSISSVSAGTRKVEDALQVKRALIILDDIDEHDQLDALLGTRASHTQSKIIVTTRLLDIRAWFRSISWRCEVQKSELLNDDESLQLLSFHAFGSKILMEGFKELAVQLAEYCGGNPLALKVLGSSLFSDAEEPREKNSMIGVWGSTLNSLNSLKGDLDCKIQGILQKSFDSLPHAINKELFLHIVFFFVGEYEGYVAKILEHEWHAKAGIRTLINRCLLTISPSKKVMVHQLLQEMARNIVLKESRDPTTRSRVSQNDESYRLLRNGEGSKTIEGLALDMQKLKEGMPSNPSTLKTASLAKMDKLKLLKLEHVELKGSYNNFPELRWLYWTNFRLKKIPSGLFGSSLVAIHMSYGWLEKFEPPMVLNSLKILNLTWSFNLVNISHLSRLPNLETLILSYCFSLSLTHVLETIRGLKKLSLLDFGGCNQPWKVESNKKPQPLLVPLADSLKLLFLYRCSNPLMLLTNLKMLRVLDVSSCPNIKSLLCLPSTLEELCTSWCSSLKKITFESARFRLRKFERLHCYELCEIEGLFKLVPLANHDEADLGHMKWIKAYQDTKVLYEYGIMSTYLPGINYQSMPMSEYMSSTAFLSFCVPSCPEKSRIQGLNITATYKRSGTSEKGEDTWALFTKVSNTTKGLTWMYNPVIYAAKDAVWLSYWPIGNVLDAGDEVNVSIFMGDRWMVSRCGASIVFIDDDDDEVELEYYKDYSKEEEVIGGDLSEFELTTGAYYLCRRDYFKSTTPDWLNMLVGDTVPRKGKFLIELMLFLKIKLQVLTPIYITLS >cds-PLY69372.1 pep primary_assembly:Lsat_Salinas_v7:4:114910499:114911619:-1 gene:gene-LSAT_4X72781 transcript:rna-gnl|WGS:NBSK|LSAT_4X72781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVDNASFVLQTRNGRAERFYSPISAAEIMKLHPGHCVALLLTTTFYSSPPSSSSDVDHHHHRHPNNNPANTNQPLRVTRIKLLRPTDNLVLGHAYRLITNQEVMKGLKAKKNGKLDNIKDFLPPESAGKTSANSDSEAASTRSTQSGKSHQQMRKTDKHRQRTVGPANSASTGIKPRGWHPSLNSISEATS >cds-PLY69206.1 pep primary_assembly:Lsat_Salinas_v7:1:79827333:79829501:-1 gene:gene-LSAT_1X68301 transcript:rna-gnl|WGS:NBSK|LSAT_1X68301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISRKLTQSKLKNLLALSPFTTYAYTQSVSNSSFTSIINPPKISDLLNPAKTLATPNLHFRLFSSNHTSVPPPTLSRDGNYDETTSQSRRICPGCGIQMQDSDAKQPGFFITPSVKDPTYKQQIDKNPLADETHIPDSLKKGILIEPDETLENPAPKSPERPVVCARCHSLRNYGKVKDQTVENLLPDFDFDHTVGKRLNSVSGTRTVVVMVVDAVDFDGSFPRKVAELVSNTIDVHSRSWKEGKSGNLPRIVLVVTKIDLLPSSLSPTGFEHWVRTRAREGGATKLTKVHLVSAVKNWGLKNLADDMVSLAGPRGHIWVIGAQNAGKSTLINAMGKSIGGKMTILTEAPIPGTTLGIVRVEGVLPGGTKLFDTPGLLHPHQITTRLTTEEQKLVHISKELKPRTYRIKVGHSVHIGGLMRLDIEESSVDSIYVTVWASPHLPLHMGKTEKASTMVEDHFGRQLQPPIGKQRVEELGRWVRKEFRVRGNWWDSSCVDIACGGLGWFAIGLKGEAVVGVWTYDGVDVTLRNALIPHRSHTFEVTGFTVSKIVSKADKSLNKQRQKQNENENEKKRKVGDLSCELFIIK >cds-PLY78875.1 pep primary_assembly:Lsat_Salinas_v7:5:305498266:305498949:-1 gene:gene-LSAT_5X164760 transcript:rna-gnl|WGS:NBSK|LSAT_5X164760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNHQEHQQDQEVLMVSMEYSQENPNLETTHNHRHHHVIAPVDSQKKSHLETTHNHHHVRDVPMDSQKNPNLESTRHHSPPSPSSSSQDFKRTHHQLIMSHVVKKTDHVDQGCMVSKNAPQGITKPYVSCSSLAAGGDMTTPAPPPPPQPQERLAVVEESGREKLKRHRLEMAGRVWIPDIWGHEDLLKDWIDCTVFDSSLGNNNIMSARAALVKERRSTLRIDNRC >cds-PLY71464.1 pep primary_assembly:Lsat_Salinas_v7:7:190949836:190950674:1 gene:gene-LSAT_7X115581 transcript:rna-gnl|WGS:NBSK|LSAT_7X115581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSPTPATTYTTIPISGTDVIFRSFQNLSSFLSLHRPWSEFISGADSFDRPASLTLTGTRLGVNSKYFGVNYGIIITICAAVSLIGDPTTLLVFASVFTLWLVLYFFREDPMVVWGHHVHDHLVTAALVLVTGVSIWIRGFVTSLLIGIAVGILFSVVHGVFRNPQGIYLDEQDAASDGLISPPSTSPRDYKLNFSN >cds-PLY85037.1 pep primary_assembly:Lsat_Salinas_v7:7:6684850:6687331:-1 gene:gene-LSAT_7X5841 transcript:rna-gnl|WGS:NBSK|LSAT_7X5841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTTAVEAKIAPSMLSSDFANLASEAERMVSFGADWLHMDIMTVDVNLQDGHFVPNLTIGAPVIASLRKHTKAYLDCHLMVTNPLDYVDQLGKAGASGFTFHVEVSKDNWQEVVKEIKLKGMRPGVALKPGTPIEDVYPLLEGENPVEMVLVMTVEPGFGGQKFIPDMMNKVRTLREKYPKLDIEVDGGLGPSTIDEAAAAGANCIVAGSSVFGDPEPARVISLLRTSVNKCLKPNFI >cds-PLY70240.1 pep primary_assembly:Lsat_Salinas_v7:9:1942284:1944606:1 gene:gene-LSAT_9X3521 transcript:rna-gnl|WGS:NBSK|LSAT_9X3521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGGPSMAKRPCCSDPSVAKTQSTMLSESDNDAVLKSFLGVFDYDTINTSYDNLIESRSSDSDENDLIQRALHLDSLLLEAGKRSDRKRSSSHKVAVWPLPPDLTIKVRAGNALQQGKESPILTRSCWSSLSANGGALGARLRRLHLYNMERIDNTALLASLSACPSLLDLEMVDLDDDLKYPTCNEFVRSCPSITALSLKGFKLHDYKARMLVNGLHKLKYVDLSTSYSCTGT >cds-PLY97968.1 pep primary_assembly:Lsat_Salinas_v7:3:18437063:18444466:-1 gene:gene-LSAT_3X14201 transcript:rna-gnl|WGS:NBSK|LSAT_3X14201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILGNLIAIAQTSMKRQIGYVIIGIIVGDSNDGYELLLALSYLAYVSELRTFKIMQDHTRKILFWLSLCNGLGLRDLTRRVQEQTRRVQGNLHPTRRVVLPTRRVHAQLHPTRRAVHPTRRVFFPFLAFKTLGVTLFSSMSLIPRRSSSTSSFFRKTLFILVWMASGPIFLGFNKTPYKR >cds-PLY78957.1 pep primary_assembly:Lsat_Salinas_v7:3:70235618:70242514:-1 gene:gene-LSAT_3X55840 transcript:rna-gnl|WGS:NBSK|LSAT_3X55840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRAPTASGGGGGVPLTSITTQSPNPTDMGDQSHHRAAAARAFQFHPARPAIIDLFNLYLGRSGREKSDDLVREPPNKTQKRVTALNRDLPPRNEQFLLDFEQLQNQFPDREQLRTVSESVLISLVIQCSNHAPRAEFILFALRNLYTIGYINWDTFLPSLLSAVSSAEMSVSVSSTASPPPPITSTSLSQTGMLPSTTIPNSSNFQSSSPASPLISVHGIGSPSQSANEPSTTAISPVKSSDMNNGQMSRSNVLTRDNAISSLRQLCCKIIFSALEASLKPCTHADIFNHMMNWLVNWDQQQQGSDDVDGIKSWKRDKALFEWLHNCLDVIWLLVDDNKCRVPFYELVRSGLQFLQNISDDEALFTLILEIHRRRDMMAMHMQMLDQHIHCPTFGNQRLLAQATTTISGEAAINMRYSPITYPSVLGEPLHGEDIAVCISRGSLDWERALRCIRHALRCTPAPDWWRRVLLVAPCNRQHPQAPTPGAVFTSDMICEANIDRIVELLRLTNSEVNCWQEWLIYSDVFFFLVKSGCIDFVDFVDKLVIRLSEDDHQILRTNHVTWLLAQIIRVELVMHALNADSRKMDTTRKILSFHKEDRSSDPNNPQSILLDFISSCQNLRIWSLSSSSREYLNSEQLQKGKQIDEWWRQVTKGDHMMDYMNLDDRSIGMFWVVSYTMAQPASDTVMSWLTSAGHAELPGPNLQSNERISMMREVHPVPISLLSGFSINLCLKLAFQMEEAMFSGEHVPNIAMVETYTRLLLIQPHSLFRSHLSHLHQRNPSILNKPSATLLVLEILNYRLFSLYRYQGKSKTLMYDVTKILSTLKGKRGDHRVFRLAENLCMNLILSLREFFFVRKEGKGPTEFTETLNRITVVNLAIIIKTRGIADAEHLLYLQTMLEQILATSQHTWSGKTLRYFPPVLCDALIGRMDKRGLAIQKWQLAEGTVINQCNQLLTPSANPTYVMTYLNTSFPEHRRYLCAGAWVLMHGHPENINSANLGRVLREFSPEEVTENMYAMVDVLLHNMQLELQHGHPLQDLIMRACANLAYFIWNHELLPLDILLLALIDRDDDPHALRIVVNLLERQELQQRIKFYVANRGKPEHWLQTGIFKPARLLPVIPLIIYRLIENDAAEAADRVLQFYSTFLHYYPLNITFVRDILAYFYGHLPAKLIFRILNVLDIKKMPFSESFPQHVHSSNAMCPPLDYFGSLLCNIVHNVIPQCKIDTSANGIKSNRNPTVSQTGSTNTFEGQKAFYQIQDPGTYTQLILETAVIEILSLPVTASQIVTALVQIIIHIQPTLTQSNHGFHQASTSSGQGSALPTSPSGGSNDSLGRSRQNGSGFLSRSCYASQQLSCLMIQACGLLLAQLPGEFHVQLYAEASRVIKESWWLSDGKRSVSELDSAVGYALLDPSWAAQDNTSTVIGNVVALLHAFFNNLPQEWLDKTHLLVNHLRPIKSVAVLRIAFRIVGPLLPRLANAHNLFSKTLELLLNMMVDVFGRNSQPSTPVEASEITDLIDFLHHIVHYEGQGGPVQPNSKARPDVLALCGRAIENLRTDVQHLLSHLNTDANASIYAATHPKLFQSPS >cds-PLY73382.1 pep primary_assembly:Lsat_Salinas_v7:6:111743607:111749732:1 gene:gene-LSAT_6X69101 transcript:rna-gnl|WGS:NBSK|LSAT_6X69101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKWTGGVLIIGLACILLVSYSFIQKQPEKQSSYEFFHPNQEHTNFSNSEQPKGEKLQTFVKRPRLVNLDGLNYLFNSTHMPKEEEGKPLLAWGQMRLLLSRSDSLPMTAQGIKEAAVAWKELQSTIDENRASKFVHNKERNCSFSVISMNNATSFSIPCGLIEDSSITVIAIPKGNQDGFQIEFVGLEGKEEADVILSYNVVLSGNNFTKEPFIAQNTWTYEFGWGKEERCPSHGSPNNAKVDGLVKCNEQLMGSSLEENSTSKQLIVNNKNKSSNGSDVSGHDNHMVSNFPFLDGSLFTATIWVGVEGFHATVNGRHETSFAYREKLEPWLVSGVRVKGGLHIISTLAKGLPVSENLDMAIDLEELKAPLISNKSKRLLLLIGVFSSGNNFERRMALRRSWMKYEAVRSGVVAVRFFIGLHKNKEVNFELWREAQAYQDVQLMPFVDYYSLLTLKTIAICIMGTKIFPAKYIMKTDDDAFVRVDEILASLKTKTSDGLLYGQVSLDSKPQRDKDNKWYISTEEWPHESYPPWAHGPGYVISRDIAKFIVRGHHERSLKLFKLEDVAMGIWIEEFKKHVREVQYENDERFYNAGCEPNYILAHYQNPRMVLCLWEKMQKEHKPDCCD >cds-PLY72208.1 pep primary_assembly:Lsat_Salinas_v7:7:57271239:57271466:1 gene:gene-LSAT_7X41580 transcript:rna-gnl|WGS:NBSK|LSAT_7X41580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKWVSAENITGEYAFFLSRLSSCVAIKPESWPETRTPYRGCGYFLDDNKSQQCMFFYEWMWYFPHDCFSVNVLDE >cds-PLY69975.1 pep primary_assembly:Lsat_Salinas_v7:8:64113253:64113671:1 gene:gene-LSAT_8X45181 transcript:rna-gnl|WGS:NBSK|LSAT_8X45181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSAISLTYASTLSGSLSRSTTPEPQIVARLTNPHIPAAEGRVNSAATEHSDLAAGMILSADGLTNHENEIDDQNTHIKHPYMTNKDYICIGAGGGNLVSRCNA >cds-PLY72878.1 pep primary_assembly:Lsat_Salinas_v7:5:167796645:167800026:-1 gene:gene-LSAT_5X74021 transcript:rna-gnl|WGS:NBSK|LSAT_5X74021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSQVQINGISLEPDVRTVECELGIVYERRSLAYLTWEELRVVVSSSEWNTGKDGCKSILSGVSGYAKPGEIVAIMGPSGCGKSTLLDSLAGRLASNTRQTGRILINGRKQRLTYGTLAYMTQEQVLMWTLTVKEAVYYSAELQLPKMMPRSEKRERADRTIREMGLQDCVNTRIGGWGVKGLSGGQKRRVSICLELLTHPKLLLLDEPTSGLDSATSYHVMNQIVKLTRQYQMTVLAAIHQPSSHVFRLFDNLCLLSLGKTIYFGPTLAANKFFAVNGFPCPDLESPADHYLMTINIDFNEDTVSEKVRDEHVINKLAESYKSSAIYMEVKSEISTICGEEGDLILREGRLQANFITQCSVLSQRSFINMHRDPAYYWLRLALYIGFGLSLGTVFFQIDTGFSSIHNRVSLFLFVSTFLTILAIGGFPSLVEEMKVFQWERLDGHYTVGSFVISHAISSMPYLLLVSIIPGAITYFLIGLQREPRLFIYFALVLFASMTLVECLMMIVATIVPNFLMGIISGAGIQGLMILGAGFFRLPNELPHVFWRYPMYYISFHRYVLQGLYKNEFEGLKFPEYSGGPPTIDGETILKSALQIEMRYSKWIDLGILFGMVVAYRIILFYTIKIIERMKPIIKDFMVFSIFGK >cds-PLY78833.1 pep primary_assembly:Lsat_Salinas_v7:5:304892484:304893491:-1 gene:gene-LSAT_5X165561 transcript:rna-gnl|WGS:NBSK|LSAT_5X165561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGVVGAPGFRFYPTEEELITFYLKHKIQGTTRLLQHIDRVIPRLHVYDFYPWDLPQYAGERCQGDLEQWFFFIPRQEKEARGGRPSRLTSSGYWKATGSPSIVYSWNNRAIGIKRTMVFYNGRAPSGTKTKWKMNEYKAFQEVPSSNSNPRPELMEELSLCRVYVKSNCLRAFDRRPSGNEHVPPFQFN >cds-PLY67657.1 pep primary_assembly:Lsat_Salinas_v7:8:261467753:261469274:1 gene:gene-LSAT_8X152241 transcript:rna-gnl|WGS:NBSK|LSAT_8X152241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDLVNDNDDGSDEANEEVVRILKQASEEVIDSVDLSSQQLRFLPEAFGKLTSLIHLNLSNNHLQVLPDSIAGLVKLEELDVSSNLLESLPDSIGLLTSLKILNISSNKINTIPESIAFCKSLEELYASFNNLIFLPTNFGFGLISLKILSISLNEIRFLPTTISELESLKYLDAHFNKLHGLPSSIGKLSNLEVLNLSSNFSNLTELPDTITNLKNLKELDLSNNQIKSLPESFGQLQNLTKLNLDQNPIVVPPMEIVMEGTEAVKGFMVNWRLANIAAEEQRRVVENDENQGGWMAWGTNMVNVYLGQGKSSTSKDSYLDQLL >cds-PLY83615.1 pep primary_assembly:Lsat_Salinas_v7:2:48648:59636:1 gene:gene-LSAT_2X261 transcript:rna-gnl|WGS:NBSK|LSAT_2X261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELVSAIISPIVESLIAPVKKQLGYLFSSTNHVRNMNTKIKLLDDTSRDVKKHMETNNRSNLEIPTHVTGWLEEVEKTKEKAQSIPSIGSGCCNLKMRYLVGKKAFKTTEEMESLIDENSKIIWTNAQRPLGKVNSEIASSSAPSDGDAQNHFKSREKSFKNALESLQQDHKSKVIALCGMGGVGKTTMMEQLKKAAEDKKMFDYVVKVVIGQQINMLSIQQDVAVYMMGQSLIELSKEARADRLRITFGNLLEGRRKVLLILDDVWETIDLKDIGLSPLPNGFKLLLTSRNENICKQIAVEANSESTLVRVDVMEEPEACSFFWQIIGVSKQYDKDLKQIGSEIVRRCGFLPLAIKLIAKTLQFQEVFVWRDTFQRLKKKNLDENVQEVIKISYDYIKTEEEKVIFLLCGLFPDDFNIPIEELTRYAWGLQLLSEVSTLGEARDRTKMCVQNLRNANLLMDSDCIGCVKMHDLVLAFVINTVSKGHHPWIINHGDISKWSRAEVRESCKRLSITCTGMSEFPGDSKYPNLSLLRLMDGDKSLKFPEGFYEKMENLEVVAYEKMQYPLLPRSLECSTKLQTLILHQCLLMFDFSVIGELMNLEVLSFAHCGIRKLPSTIGNLKKLKLLDLTGCVNLRIDDGVLLNLVKLEELYMRVDDRKAIRFTDSNRVELAELSNHLSALEVEFFDNNGTPKNMLFTELKRFRISMGCGLGDNTHKNMHSFENTLRLVTNKDELLESTMNELFEKTEVLYLEVDGMNDLEEVLMESVHLPQQAFNNLRVLDVFKCENLRYLFTVPIANGLVKLERLTVSECSVLEVLAHGENGGAEKIKFQGLKFLGLDRLPKLIGLCNTANVIELPQLVELELDGLPNFNSIYPKKTSATSSMSSNDSAIQPLFNKQVLIPKLEKLRIWRMDKLKEIWPYQVISSKDVDACVLRKIEVRGCDNLVNLFPTNPMSLLGCLEVLDVSNCGNIEVLFNIDMSCVGEIEEHSSNLRQIEVSNLEKLRELWRMKGESSSDILIRTFQAVESIEIEECKRFVNVFTPTVTNSDVKTVMNVSIDGRRPSEETRSNIELVQNSQEINVISKEEIISEVDGNIPDVESSIHPKPFNVNHLQVLGCKDVEVVFEIESSSNSNSTTDFTTSLHKYNHQPPPLLLPHLKSLYLINMERMSDVWKCNWKKLVIHQNQSQSYSFHNLTIIHMEKCHTIKYLFSPVMGKLLPNLKDVWIHECDGIEEVVSNRDINDENEEIISSTHTNTISSFPLLDSLYLFNLPSLKSIDGGTTITTTSIHDQFQCSQVGVASWFLCQYSKHIHIWNCPALSRVFPSNVVGQLNKLEVLSISDCKSMVEIFESKEINKDGVDSTTNVGDGSDDTCTAITIPRSANMTLLQLSNLTILRIYDCEVLEYIFTSSTLESLKQLKELMVVKCKAMKVIVKEDGDLPELKGFFRRLKSLTLVDLPDLKGFFLGKNEFRWKALEKVKIYGCPQMMNFTSGHSMTPKLNYIHTGLGKHSLECGLNFNLTNVTQETQLPMCSIPDMIMLVQFPWSFSNLVEVDAQGSDKLLKSHIIFPCKELLNLKNLEKLSIITSKYGESKIEEVFEVAEGTNEDVDIETQSVVVFEKLKEVTLGRLNNLKHMWKSNRWIVLNFPNLTKVSIESCKLLGHVFSSCMVGSLLQLQELQISNCESMDVIVKQVEDSKTRPTTKVVFPCLKSITLEMLPNLKGFCLGKEAFEWPILDTLEIKDCPKITVFTNGQSTTPKLKLIDTTFGLCHATEDPNSFIKTKQEEGWEF >cds-PLY69958.1 pep primary_assembly:Lsat_Salinas_v7:4:67310565:67312561:-1 gene:gene-LSAT_4X46740 transcript:rna-gnl|WGS:NBSK|LSAT_4X46740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPAKLQLLHNFSLTHLTWNNNRSGRSKLAGEVSSTSPPPSTPWRESPPLKSHTHAPSHRRQTSPSSVSQRPSLLHKQSPMRDLEFEANLNYDASQFMEKTDKRIMSESSTKYVENNNKGRRSNKISIRFRENSKQHDGVAEENRSSTPMDDSIPPQAAASAEDESLPKTWNLRPRRPPMNHKQSTGGPPKIGTSRLQEIKTSHETNIHKQLSELNTNNNSDPRKSKKQKFSIPLSRSEIEEDVFLLTGSKPSRRPKKRPRAIQRQLDNLFPGLWLDSITADSYKVSEAPTKG >cds-PLY66761.1 pep primary_assembly:Lsat_Salinas_v7:8:72496081:72497008:-1 gene:gene-LSAT_8X52240 transcript:rna-gnl|WGS:NBSK|LSAT_8X52240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKTYVTKGYSNHINIPARGGGNEHGTKNPVNQYAVESKTIERVRGLATGCKDFVGSPSKIELLKEYVYSPTMSSSQNSGYDPNHGLNYENSVPPKFHNNRNWSTKTSHFSSPNKYHPSSAIHGYHSAEAHPMQFGPSFAVDGHVRTGNIYGPNKSWQSAGPLLTNHPLSTSTNNINEALGFLEHVNHSSRSEPRQRGVLDELSLRPQPLEPQKRYPRPAFVAKRYDLYKKHY >cds-PLY67661.1 pep primary_assembly:Lsat_Salinas_v7:9:98303919:98304311:-1 gene:gene-LSAT_9X73281 transcript:rna-gnl|WGS:NBSK|LSAT_9X73281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHNYLNQRKTYDVERKGYLSFLRKSRSMAFVSENIVEIDGKQKKSNGFWSKLIGSKRSDAQKRSMEESSSSRLMHSKTMREMLTTSV >cds-PLY68373.1 pep primary_assembly:Lsat_Salinas_v7:7:931022:933065:1 gene:gene-LSAT_7X161 transcript:rna-gnl|WGS:NBSK|LSAT_7X161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLNVFKLCSGLKFLGYLMILLVAAIIVVSYYVVIVLTWGPQLSLGGFRSFLSFTIILLFHILLVLLTWSYMMVVSQDPGSVPENWKPVASEENLESGGSDHIVPDTSASSTPLDVPETRQAQASGYCRYCRNGKPPRCHHCSVCQRCVLKMDHHCVWVVNCVGAHNYKFFLLFLLYTFLETTMDTIVLLPNFMKFFKDAKDHSNSPSDLALTFLTFVLNLAFALSLLCFIIIHASLLSSNTTSVEVIMRTHHFHFNCLHSILWTLG >cds-PLY93610.1 pep primary_assembly:Lsat_Salinas_v7:2:174453952:174456124:-1 gene:gene-LSAT_2X96701 transcript:rna-gnl|WGS:NBSK|LSAT_2X96701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGKALEFYNEDNDWFCNAVLPSDLTIVIDGVNFHLHKFPLLSRCGKIEKLMKETENNNKGTSTIILEDIPGGATSFLAASKFCYGVHIELTPTNIVMVYHMSDYLEMTDEYGDDNLFSKTETYFHKNILKNWKDCMVALQSCETIVTKSDNLQIISKCLNAMSAMVCTDPSLFGWPMMMMYGCLQSPGGSILWNGIDTGARIQTSESDWWFEDVSHLSIVLFKRLIKTMESKGIQPEKLTGAIMYYSGKCLHGLGRWQSGQFSKTRSTTKYDIVDQRVVLESIVDILPQIKDESFCRFLLGLLRVGLMLGVSNKCQESLERRIGMQLEFATLDGIMIPSYIDSDTLYNTDTVERMINYFITCEEMGFTTLKNVSKLVDSYIAEIASDVNLKPDKIHSLAKSLPESSRSLHDGLYRALDIYFEAHSWLHEKEKEGLCKIIEWEKLSIDACAHASQNVRLPLRVVLQVLFFEQMHIKTALAGCLHVLDDENNPTYHPLGLVHENELLRVGMEKMRSRVSELEQEFNKLRQEMTRMSGTHLCK >cds-PLY82590.1 pep primary_assembly:Lsat_Salinas_v7:2:188227859:188232545:-1 gene:gene-LSAT_2X110001 transcript:rna-gnl|WGS:NBSK|LSAT_2X110001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVMDEEGTKYQSRVFHQKFSRFRDLLKEDVIGLIVSFRPLETTNHNPSKHYMKMTISNLEYVHLNVTIFGSQAHEMSHYLKSNTTITCVVIVMHSKSGKVSCYGDDVYDVEDDGDANSIKSNRESLGEDTPVWVPKVEK >cds-PLY65005.1 pep primary_assembly:Lsat_Salinas_v7:8:89365612:89375542:-1 gene:gene-LSAT_8X61781 transcript:rna-gnl|WGS:NBSK|LSAT_8X61781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAELETPFSASNPNSEKPSKAKSKATNEPVKIQLIEHRRAYNCETMLSKVKIPLPELMCYVLDFNDSAMDVDKVDNILKFFPTKEEIELIKVYLYKGERENLGKCEQIRSSIKLKRVMQTILSLGNALNQGTTRGAAVGFRLDSLLKLNETRGRNNNMTLMHYLCKVLADKLPEVLDFSMEIGSLEPASKIQLKNLAEEMLAITKGLEKAVQEKKLCKKDGHMSKRFRKTLKKFLASAKPEVMALTSIYSMVCKTVDALIIYFGEDPTRCPYEKVVETLLLFVRMFNQAHEENCKQIEAESIWAPEIDMSELETLFLESNPNSDKASKGKSKAANKPKKVQLEHVLNLDELPMDVDQVDRLIKFCPTKKEMELLKIRSSVKLKRVMQTILSLGNALNEGTKIGFAVGFRLHTLLKLNETHVRSNKMTPLHYLCKVIADKLPEVLDFSKELGSLEPATKILKEFLAFAEPEVRSLASLYSRMGKSEYSLIIYFGEDPKKCLYENVVETLLKFVRMFDLAHQENCKQIKAESIWAPEIDMSKLETLFSASNPNSDKASKGKFKAAKKTKKVQLISHSRAYTCEIMLSKVKTPFPELMEQVLNLDELAMDVDQVDSLIKLCPTKEEMELLKGYKGEREKLGKCEQFFIELMRIPRAEAKLTVFSYKLQFSKLLISSVKLRRVMQTILSLGNALNQGTTRGAAVKFRLDSFLKLNTTCAWSNKTTLMHYLCKVLADKLPEVLDFSKELGSLEPASKVILLGFTFFLKLKLC >cds-PLY84352.1 pep primary_assembly:Lsat_Salinas_v7:4:215412717:215415166:1 gene:gene-LSAT_4X121381 transcript:rna-gnl|WGS:NBSK|LSAT_4X121381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELYFSFSTTVAAIFLTIVLTSLLQILKGKRGNKGKNREPPLAKGQWPLIGHLHLLGGSTPPYKVFGDMAEKYGPIFTIKLGIHQALVVSNAEIVKECLTRNDKVFASRPKSMASELMGYNYAMFGLAPYGDYWRQVRKIVILEILSQHRVEMLGHVRASELRASMKDIYQAWVTNKESESLDMVKVDMQQWFGNLILNVIVRIISGKRFSHMDEEGVRFQNVTKKLFELLGVFVVSDFLPYLKRFSLGGYEKEMRTTAKEMDDIFEGWLEEHKREKESAHQQEGNQVFIDVLISILEGASKEDFPGFDHDTIIKALCLNILTAALDTTSVTLTWALSLLLNNPRELKIAQDEIDEHVGRKRPVEESDMKNLVYLDAIIKETLRLYPAGPLGLPHESMEDCIVGGYNIPKGTRLVLNLWKMHRDPNIWSEPYEFQPQRFLTTHKDIDVKGKHFELLPFSGGRRMCPGYHFALQALRLTLATLIQQFVISKPSNEPVDMSECFGLTTSKATPLEVLLAPRLSLDMYPIGV >cds-PLY83545.1 pep primary_assembly:Lsat_Salinas_v7:1:65023575:65028156:1 gene:gene-LSAT_1X55661 transcript:rna-gnl|WGS:NBSK|LSAT_1X55661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAPVLVLNDSLTRESGTKVHHANIQASKAVADIIRTTLGPRSMLKMLLDATGGIVVTNDGNAILRELDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAENFIEKKYHSTVICRAYNKALEDALAVLDKISMSIDVNNRSMMLGLVKSCIGTKFTSQFGDLIADLALDATTTVGVDLGQGVREVDIKKYIKVEKIPGGQLEDSKVLKGVMFNKDVVVPGKMKRKIINPRVILLDCPLEYKKGENQTNAELVREEDWAVLLKMEEEYIMNLCAQILKFKPDLVITEKGLSDLACHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTGAGLFEVKKIGDEFFAYIVDCQDPKACTVLLRGASKDLLNEVERNLQDAMSVARNILKHPKLVPGGGATELTVSATLKQNSSSIEGIEKWPYEAAAVAFEAIPRTLAQNCGVNVIRTMTALQGKHANGENAWTGIDGNTGVIADMKELKIWDAYNVKAQTFKTAIEAACMLLRIDDIVSGIKKRQAPGSTQPSKPTIEQEGDADTEQMIPE >cds-PLY86348.1 pep primary_assembly:Lsat_Salinas_v7:8:27581630:27584933:1 gene:gene-LSAT_8X17860 transcript:rna-gnl|WGS:NBSK|LSAT_8X17860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDSGYASSNEGAPAAKSPTHKSQSLPPSDGIDTTYLRFLASNAEAGSIIGKAGTTISDFQSRSNARIQLSRNYEYFPGTSDRVIMVSGTTDEVLEAVELILTKLLNEFYAEDGDEGEPRSKVRLIVPNSSCGGIIGKGGSMIRSFIEDSGANIKISPQDHNYIGLNDRLVTVIGTLQQLVQAINLILFKLSEDLYYLQSIGPPFPYAAPYNAPSNYGPNGGGGKFQNNRFQNNKEDMSNSVTVGVADEHIGIVVGRGGRNIMEISQISGARIKISERGDFISGTCDRKVTMTGSQRAIRVAEAMIMHKVASASTPPPPADMNPDHLSVENLSVQ >cds-PLY86596.1 pep primary_assembly:Lsat_Salinas_v7:1:133089543:133092769:-1 gene:gene-LSAT_1X98100 transcript:rna-gnl|WGS:NBSK|LSAT_1X98100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAVTALITVLCEKLLSGDLMKLARSEGIDSQLKKWKKNLPMIQAVLTDASQKHIKERAVQLWVNNLQDLAYDIDDVLDDLATEALRRKLNQENSSTSKVLKFVPNCCTNFTPCNFMYGRKMSSKLDEVTAKLRDLVEQKNDLGLNVNVERSNITEKRLEQNSLVDESKIMGREGDKEALMGKLLAKEECDENGNRNGDEWEKLLKSEIWDIDDGSEILPALRLSYYHLPPHLKQLFAYCSLFPKDTEFKKNELVLMWMAEGFLSQSKSMESLGHRYFEELQSRSFFQHSMNDKFEYTMHDLMNDLATSVAGEFFCRLDGEMDVSDTNEVFEKLRHFSLIASSSGSYRKLKQLQKSTRLHTFIADYVHDELLHKLQFIRVLGLSGLTIREVPESIGSLKHLRYLNFSFTSITCLPEQVSDLYNLQSLLVHHCYKLSSLPKSFAKLINLRHLDVRKTPNLKKMPLGMGGLMSLQTLTKVFIEEGNGFKISDLKGLLDLQGRLSIKGLDTVKNSIEAKDANLHEKKGLDVLEMEWSDVFDDSRNEMIEYEVLKELRPHPKFKKLKIFNNNGMRFPSWVGDPSFDQLTEITLCGCRSTHLPTLGLLGSLRKLVVESMSEVKSVGFEFLAPVSSFIGIGFPSLEILEFNDMEGWKRWSINGGDGYETPTSFPRLHQISLINCPELAEVSIGLIPSLRVLYIEECSEAVLRSMVGLSSSLVKLKILNVKGLTQLHGEDLMHLGAIEDLEIKKCDELRYLWEREPEAWQSLVSLQKLEVWWCKNLVSSAEKEDNFGISMESLKYVQFYNCEKLESYNCTNTVERLLIRRCDSMTSLTFSAVQEHPSPLTELIVSDCGNIQPKPIPVKDFLFLSMSRLTSLTVFNCKNLKSFPHEHFQSLTSLEEMEIYDCPSMDYSFPCGVWPPNLTKLTIGGLNKPMSEWGPQTFPTSLVALHLHGHNSGVVSFIVAEDVKNNTITTPSSSSSFLLPPSLVSLTLWKFTDVESFSEVLQHLPCLKRLDILSCPKIRDLNTTFDPSNVTIYV >cds-PLY94734.1 pep primary_assembly:Lsat_Salinas_v7:8:50609831:50611033:-1 gene:gene-LSAT_8X37420 transcript:rna-gnl|WGS:NBSK|LSAT_8X37420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHVGSSRKHEYLNLIIDPNKDDGHEIPNSSHQEEPPFFLGFPSPFFDDIDTIPILANNHTHQHQCFNITEPTPSESSKRLKKRNVGKKDRHSKIHTAQGLRDRRMRLSLHIARKFFDLQDLLGFDKASKTIEWLFCKSNKAIKEVADNFDSKHTNQSMTDQVIDRIDWRECEMGFSNEIKVATNKPKNYQEVEIKNKERKRIQNNTSSKDTRDQARARARQRTRERLMIKELEKSKFLFERNPNDEIHKLGLGYMVSSDNQNIDKLGYTSIASEPIQQQSLSPSDFSSTHHLLRELQLANINDDILKSYSGSIVSAPAYCTTLMNHNPPAGWLNSSFGFIGVPGEYDADNIIPESYNQAIVSRTDSLTGAHINYEQNPSSFFMSTTNNFHHFQTQNQGK >cds-PLY86192.1 pep primary_assembly:Lsat_Salinas_v7:2:130315669:130318579:-1 gene:gene-LSAT_2X61861 transcript:rna-gnl|WGS:NBSK|LSAT_2X61861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGDVIIPYPFGIGVNCSVSERYNVDCNRSTPYLPALNNVQVLEVNLEYQTVTVNVSMISDCHNLIRTSSQILGIELGESSPFLFSRLHNLFVVEGCGNAVILDQGTAVTGCSTTCRNESVGVKDKCLGITCCQTRLPYYLKSYSMDLTGLERQGGDEACGSAYLVDKKSYDEGRFSSKSVAGDNTYIPISLLWTLSERESSQIECCFSGFNIFKADTGNGGSIKSWKCVFPMSNKGNPYLVDGCYGISISIGVLVLLAISYASYKVINEAIARRRRMRYFKRNGGQLLEQQEKSDPSSVGKTMLFSLRELEKATDCFSEKRILGVGGQGTVYKGMLLDGRTVAVKKSERVVESQQEKLINNQFINEVVILSQIIHPNVVKLLGCCLETKVPLLVSEFVSNGTLYDRIHKEANEFPLSLKMRIQIATEVARALAHLHSGSGTSIPIYHRDVKTSNILLDDNNIAKISDFGTSRNISPDKTHLTTMVIGTVGYLDPEYLQTEHFNEKCDVYSFGVVLVELLTGEKPIFQTTSGQKTQLAAHFISAMEEGCVISIFDKMVINEGTTDELLALANLAMRCLCIKGKDRPTMMEVEHELESIRTSHVPSRVEANIDHVMGKGKQVLTMPTTAESSSTPFKSFNEPSTSQ >cds-PLY69485.1 pep primary_assembly:Lsat_Salinas_v7:6:44117708:44119794:-1 gene:gene-LSAT_6X32340 transcript:rna-gnl|WGS:NBSK|LSAT_6X32340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSYCRRAVNGSVSLITSRISSSQMRSKHSISRAQNVSSERPLRQFVTGKDKSAGRNSKGRITIFHRGGGAKRSQRTIDLKRNTSSVGVVERIEYDPNRTSRIAVVRWVEGAAVDRPKKVNSLQKNFTPSPKILPSISIKGQFSFSSIPGMLEDKKVESLRPKTDHVVVGLGLSKGSRTLTSQSQSQTGTQMRNVKDVFLSAFSSSNGKRRHNAPYSFVNALGVPRMAVAGAKPEFFVPRMKDDVKENESLLLNEVKRWDKDSVVWGHKLKRKAAVSWGSLRQRGILGVVNESKSKSKVRESEKVKKDGKFGVDRAPVSYILATHQMEAGKMVMNCDLTTRSNDEFTY >cds-PLY87716.1 pep primary_assembly:Lsat_Salinas_v7:1:5807044:5810033:1 gene:gene-LSAT_1X4741 transcript:rna-gnl|WGS:NBSK|LSAT_1X4741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMLDSFFSKGAFKGSKCKTLLKLTIPRIKLLRNRREIHIKQMRRDIAKLLETGQEATARIRVEHIIREEKMMAAQEIIELFCELVVVRLPIIETQKECPLDLKEAISSLCFAAPRCADLPELIQVQMAFAGKYGKEFVIAATELLPECGVNRQLIEHLSVRAPPPDVKLNLLKEIAEEHEVDWDPTASETELLKPHEDLLNGPSQLATTKLPLPEEKHEEPQEQTHNEESDSDQEFDILDFPEVPNSSIQPSSALEFDSRPEMLPFPESALSDLNNNESETPPESQHKQFLPFISPPSATTTAKEISPSPVTKTTMNDEFQTTVPKETRSLPTTKPGVHDDLQDVLAAAMAAESAERAAAAARAAASLAHLRISELMKKQNELESPENPFSGDNHQPHTPEENRNLSHQDSIKDENENGVYGSLSSQESLSSSHHQPQRLTSMEDGFMSYPNLFNSRSPGEQSFADSSRSGDER >cds-PLY76700.1 pep primary_assembly:Lsat_Salinas_v7:3:139991531:139991942:1 gene:gene-LSAT_3X92401 transcript:rna-gnl|WGS:NBSK|LSAT_3X92401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLGPEFLSLKLYQLCTNEDRELGKILIRPGSLFLKDLATAKHFTEGRFGSVKRAFIICDEDIAIKEEFQRWMIENNPVVEVKELNGVDHMPMLCDPKQLSVCLLDIAHEYA >cds-PLY77737.1 pep primary_assembly:Lsat_Salinas_v7:9:18897323:18901135:1 gene:gene-LSAT_9X13960 transcript:rna-gnl|WGS:NBSK|LSAT_9X13960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolycopene isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G06820) UniProtKB/Swiss-Prot;Acc:Q9M9Y8] MQVSSFSNSKAGTVGFPNSQFFLAFKASQLGNPGFLYEPICTKKAKALNYSVLYEPRGSKQSVSCSKPLKTGNYSNQEMGFLKSVKRNNNLFSVRAKSVMDVSKVVEKDDVTEGKSKDSGGGGYDAIVIGSGIGGLVAATQLAVKGAKVLVLEKYVIPGGSSGFYERDGYTFDVGSSVMFGFSDKGNLNLITQALAAVGCKMEVIPDPTTVHFHLPNSLSVQVHRGYDDFISELTSKFPHEKEGIHKFYNECWKIFNALNSLELKSLEEPIYLFGQFFKKPVECLTLAYYLPQNAGSIARNFIKDPEVLAFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPVGGVGGIAKSLTKGLVDHGSGILYKANVTSIIIDNGKAVGVKLSDGREFFAKTIISNATRWDTFGKLLKKQDLPKEEENFQKVYVKAPSFLSIHMGVKAEVLPPDTDCHHFVLEDDWKNLEEPYGSIFLSIPTILDSSLAPKGRHILHIFTTSSIEDWQGLSTKDYEAKKELVADKIISRLEKKLFPGLKSSVEFKEVGTPKTHRRYLARDSGTYGPMPRGVPKGLLGMPFNTTAINGLYCVGDSCFPGQGVIAVAFSGVMCAHRVAADVGLEKKSPILDAGLLGILGWLRSLA >cds-PLY87596.1 pep primary_assembly:Lsat_Salinas_v7:8:111516971:111517749:-1 gene:gene-LSAT_8X77640 transcript:rna-gnl|WGS:NBSK|LSAT_8X77640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEEKKTPAAEKAPAEKKPKAGKKLPKEAGAAAGDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >cds-PLY96366.1 pep primary_assembly:Lsat_Salinas_v7:4:356348521:356351344:1 gene:gene-LSAT_4X175840 transcript:rna-gnl|WGS:NBSK|LSAT_4X175840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEERDPQKLKKIAAAAYDYDNDSRWADYWSNVLIPPHMASRSDDVVNHFKRKFYQRYIDPDFVVEPMTTNTTSQPARSSTSSSPSPPSSSSSSSSSAPRSSGSTTRTTTGTPPPSSTPLRWDRQTIQFSVNAWVFVVAVLAMFPLIPKSLSNRAYRLSFMGTACSSLYSLYSLYGKPRAWNLQEVQVWLQSVISTKDFIFFIYCLTFVTSNLHLTFALLPIGCRALEHSAKFIRRNFSRSSFYRKYFEEACVWVESNTTTLSILSSQAEIGIGFLLIISLLSWQRNIIQTFMYWQLLKLMYHAPVTAGYHQSVWSKIGRTVNPLIHRYAPFLNTPISTIQRWWFR >cds-PLY90020.1 pep primary_assembly:Lsat_Salinas_v7:5:185449733:185450268:-1 gene:gene-LSAT_5X82561 transcript:rna-gnl|WGS:NBSK|LSAT_5X82561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAETKIMSDQLTEDAMKLVEDGDYNVYDEKQEVFQREAEGFENLACARGEGTSSSSGNKQPNSSGMSYIKPLFFLVFGYIQCC >cds-PLY71147.1 pep primary_assembly:Lsat_Salinas_v7:9:82697784:82699633:1 gene:gene-LSAT_9X66101 transcript:rna-gnl|WGS:NBSK|LSAT_9X66101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASILASFTLKKLRENPNSFLSLKKEKKKGARRRIVEQRFKGKQDRGDTGAAAVSPQSYSLSSQFTRYFRKQREELGMMASHTPQLQFSSWELIMFWILI >cds-PLY79808.1 pep primary_assembly:Lsat_Salinas_v7:8:15221567:15233286:-1 gene:gene-LSAT_8X11341 transcript:rna-gnl|WGS:NBSK|LSAT_8X11341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIFLYLLHFVNSTSYLYYDPSVLHLSSSRLPTVALYCVFFKWEPFSLGLHSNFLYKDYPDKLCCLCSYPPNENKRSELLRNDIGSPLQTGGRGSQAEAEIAATPTGAGPNRHQSASADEIRTLWIDDLQYWMEEQYLVSCFAQSGEKQRPLLIVVEDVETEALATFILNKLCAGIKVSAIKALGFGENINANLQDLHTLTGGQRNLFHTRAAMKAIMMNKSLLQHGWKLLLEFRYTMTSSSQYIKMVILVCYNTPFCVNDGCICKSNK >cds-PLY83273.1 pep primary_assembly:Lsat_Salinas_v7:8:191312944:191313768:1 gene:gene-LSAT_8X123401 transcript:rna-gnl|WGS:NBSK|LSAT_8X123401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYAYVQMFMEKLKQLIYSNDIPVIKDNPSIVRERPQLQLLYEELGSMIQIFFNHEDQDLHNFEEVRKLKKRFKAAAEEAEDIVDIFISSVHVRNNGYFTISDVFQTSLNLEDVMRSIKSIKVEFITTRIDNMKIDSSQRTESAAGTSNPTNSLGPKKVLEEMVVGLGGDVVQMQSAGTSHSRSSLGSKKVAEEMVVGIDGDVEIIRDKLAEDGKHLDVVSIVGMGGLDKTTLAKKVFTDPYVVYHFHVRGWVTVSQTYDKRDLLIQVLSSIDD >cds-PLY62008.1 pep primary_assembly:Lsat_Salinas_v7:5:116059735:116062117:-1 gene:gene-LSAT_5X51261 transcript:rna-gnl|WGS:NBSK|LSAT_5X51261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVDYSKQAVGGIAAPKKRSVTALKDTAGSQNGSPQFCNRIGCCGRLNHTKVAKNKCLEKPKASSSKPSLRSSSLKEVTGSSSKTCSSVTNVKKPPPESEKKKIERIPKDSSSLPKESGIQERKLKSRNTEVASSSSRVKKVYTQSGSSVMENSQNESNNGRRRRFFQGESSSSGKGKRVIGVSANEGRPVVSTSGISISDPKNSRNWTACRANSVSSVRTKRSLKMDPSKESIDLSSVNSADVVSDSSHVETLSSSEETSVTSSDHPVVRFVNQNGTRSYNIDGIADVLLALDRIEQNEELTYEQLLSLEANLFLGGLNLYDQHRDMRLDIDNMSYEELLALEEKMGTVSTALSEEELSKCIRKSVYESDEKMRHSLGAEDNKCSICQEEFVRGDEIGRLGCKHGYHNSCINQWLALKNWCPICKSSPKPSSSSSLL >cds-PLY90928.1 pep primary_assembly:Lsat_Salinas_v7:6:122887949:122888850:1 gene:gene-LSAT_6X75601 transcript:rna-gnl|WGS:NBSK|LSAT_6X75601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVMQEEHLEALLEPLDKHLLNRLLEEGRFSEVGIILIDEVHMVGDQQRGYLLELMLTKLRYGAGESRLEFSKGECSGSKSGKSDPTHGLQIVKMSATSPNVNAVANWLQAALYQTNFRPVVAAGYTSE >cds-PLY99067.1 pep primary_assembly:Lsat_Salinas_v7:6:150546411:150549476:-1 gene:gene-LSAT_6X90381 transcript:rna-gnl|WGS:NBSK|LSAT_6X90381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSVNASPVLADPLLPMNQTRLGFHSSVFPLLIPKKKPGKVDDVRSNGWLDAMRSSSPPCKRMVKDVEDELASDENDLIYYSWKLKYPSALKSFDHIINRANNKKIVIFLDYDGTLSPIVDDPNRAFMSIDMRAALKGIAKYFPTAIISGRSRNKVYELVGLTELFYAGSHGMDIMFPMSINHTNYIRSTDKQDKEVNLFQPAREFIPMINEVFRILVNVTNDIRGAFVENHKFCTSVHYRNVDEKSWAMIAQRVHDVLKDYPRLRLTHGRKVLEVRPIIDWDKGKAVEFLLQSLGLSNSDEVLAIYIGDDRTDEDAFKVLREGKRGYGILVSSVPKESKAFLSLRDTLEVKDFLKSLVSWKEQNYGNDK >cds-PLY70269.1 pep primary_assembly:Lsat_Salinas_v7:2:90849483:90849810:1 gene:gene-LSAT_2X39280 transcript:rna-gnl|WGS:NBSK|LSAT_2X39280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKASFKVAFISMLVLSTCVLGLVDDVSTKGVVTHVGKQIRNTAINGRRCTRTAHCIAFCPPGKSAFCYFGYCICV >cds-PLY81406.1 pep primary_assembly:Lsat_Salinas_v7:9:95645111:95646503:-1 gene:gene-LSAT_9X73101 transcript:rna-gnl|WGS:NBSK|LSAT_9X73101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds-PLY85275.1 pep primary_assembly:Lsat_Salinas_v7:3:71177466:71180622:1 gene:gene-LSAT_3X55041 transcript:rna-gnl|WGS:NBSK|LSAT_3X55041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 17 [Source:Projected from Arabidopsis thaliana (AT2G01450) UniProtKB/Swiss-Prot;Acc:Q84M93] MLGKEFFTEYGEASLYEIQEVVGKGSYGVVAAAVDTHTGEKVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPCRREFKDIYVVFELMESDLDEVIKVNDDLTPEHHQFFLYQLLRALKYIHTAHVFHRDLKPKNILANADCKLKICDFGLARASFDDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGRNVVHQLDLVTDLLGSPSAEIIARIRNEKARRYLNGLPNKTKVPFSHKFPNADPLALRLLERLLAFDPALADPYFYGLANLETEPSKQPISKLEFDFERRRLTKNDVRELIYREILEYHPQMLQEYLHGEEHISFMYPSGIDRFKQQFADLEEQEGKEGRNSPLRRQYTSLPRERICVPIEEAVDEMNEFERRTNASIATSLRSPPRSSERSKNVKKDSPKNIPNSNKNARSLSRSNSICNTTPKYVGPTNKSCKETFEQGDEVSGVLSQKLAALSS >cds-PLY80258.1 pep primary_assembly:Lsat_Salinas_v7:6:125694560:125697524:-1 gene:gene-LSAT_6X77061 transcript:rna-gnl|WGS:NBSK|LSAT_6X77061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFITITMLFSLFIIVSSFFLFTSAADGRRKNLPLPPGTLGWPYIGETFELYSQNPNVFFTSKVKKYGSIFKTHILGCRCVMISSPAAAKLVLVTKSHLFKPTFPASKERMIGKQAIFFHQGDYHFKLRRLVLRAFTPESIKHMVSHIESITIDALQSWENRLINTFQEMKTFTFNVALLSILGNDEVLNRDDLKRCYYILEKGYNSMPINLPGTLFHKSMKARKELAKIIAKIISRRRETKEQHNDLLGSFMEDKEGLTDEQIADNVIGVIFAARDTTASVLTWIIKYLAENPAVLEAVTDEQQAIKMSKDECNEDKGLSWVDTKKMPITSRVIQETLRVASILSFTFREAVEDVQFEGYLIPKGWKVLPLFRNIHHSEENFCDPEKFDPSRFMVAPKPNTFMPFGSGIHSCPGNELAKLEILVLVHHLTTKYRWSVVGAQNGIQYAPFALPQNGLPIKLSLK >cds-PLY75458.1 pep primary_assembly:Lsat_Salinas_v7:7:75261008:75264918:-1 gene:gene-LSAT_7X54301 transcript:rna-gnl|WGS:NBSK|LSAT_7X54301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dethiobiotin synthetase/7,8-diamino-pelargonic acid aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G57590) UniProtKB/Swiss-Prot;Acc:B0F481] MQAEKLKIGNNFSGEVRTSKNGFGDFGYYEERRLGIGEELGSELICKTMYAWKEAISPHLAAEREGANVEDSDVLALLKRCLEIGVGSKRNGGSTVLSLVETAGGVASPGSSGSLQCDLYRPFRLPSILVGDGRLGGISGTISAYESLTIRGYDVVAIVLEDHGLKNEVPLLSYLRNRVPVLVLPSIPKDPSDNLMEWFVESKTVFDSLNVIMMSSHQKRTDRLHEMPKKAQEIFWWPFTQHKLVSEEKVTVIDSRCGENFSVHKVKDDGCITEMFDACASWWTQGPNAALQIKLARDMGYTAARFGHVMFPENVYEPVLECAELLLQGVGKGWASRAYFSDNGSTAIEIALKMAFRKFLVDNELLLDTDENSIELKVVALKGSYHGDTLGAMEAQAPSPYTGFLQQPWYTGRGFFLDPPLVCMCDGVWKISIPGNMKADNVILEDTSFKSRNEVFNKSRDDSSLAEVYSSYISQELKLNSRSKKLSHAGALIIEPGAGGMLMVDPLFQRLLVKECQNRKIPVIFDEVFTGFWRLGVESAAELLFCQPDIACYAKLMTGGIIPLAVTLATNDVFESFVGDSKLKALLHGHSYSAHALGCAAACNSIKWFKDSQTNPNLIPQQNLLTELWDTELVQKISFHPKVERVVSLGTLFAVELQVEDSEAGYASVCATSLLLKLREDGIYMRPLGNVIYMMCGPCTSPHVCLQMLETLYTRLNGFRHDKIEKDSEIQS >cds-PLY74196.1 pep primary_assembly:Lsat_Salinas_v7:9:24785369:24787839:-1 gene:gene-LSAT_9X22080 transcript:rna-gnl|WGS:NBSK|LSAT_9X22080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLRFYLLSRRETLTLSRRPHQRHRHTTLTLTLGHAKPHHKKMEFWYLNSQIVDFILNKSRIPTPCTDQEHILMSFMCFVNMMLNCDEQLQID >cds-PLY62354.1 pep primary_assembly:Lsat_Salinas_v7:8:113318104:113324690:1 gene:gene-LSAT_8X77061 transcript:rna-gnl|WGS:NBSK|LSAT_8X77061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSSRSLIQRVAPIFAARIRHNSRLLSSTSSSVLAKEPQSSSPSPTVQDSVYLSDNCVRRMKELQADEAKEKLLRLSIEAGGCSGFQYNFALEEKPNNDDRIFERDGVKLVVDTISLGFVKDSRVPVFTKTTGQRSADLTFNFIRQPPNTGFDSTSAMTLLEEESLKLTEVQRLEGHTDRVWGLAWNPATGADGVPAVLASCSGDKTIRIWQQRSPSSTSFDCKAVLEDTHSRTVRSCAWSPSGKQLATASFDATTAIWEQNGSDFECVSTLEGHENEVKSVSWNASGSLLATCSRDKCVWIWEVLPGNEYDCVSVLQGHTQDVKMVQWHPTVDVLFSCSYDNTIKVWADDGDSDDWHCVQTLGESNSGHSSTVWALSFNSTGDKMVTCSDDLTIKVWDVDIISLQSGDGNASWRHVCTMSGYHDRTIFSVHWSKEGIIATGAADDAIRLFAQSQDHSVDGALYKLLLKKEKAHDMDINSVQWSSTGDRLLASASDDGTIKIWKLESF >cds-PLY94271.1 pep primary_assembly:Lsat_Salinas_v7:1:156695854:156697320:-1 gene:gene-LSAT_1X108420 transcript:rna-gnl|WGS:NBSK|LSAT_1X108420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSEPSVGSRGGVDFKEVDDGRRSEEVIDALHGRRIISVMDPARSWAARWLRIGKYVPGCYTLAVSEALSEDLQVLGFYQIQNILYYICHMQMYIH >cds-PLY99198.1 pep primary_assembly:Lsat_Salinas_v7:4:368145053:368146424:1 gene:gene-LSAT_4X180400 transcript:rna-gnl|WGS:NBSK|LSAT_4X180400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSNSQKELLNLIRDVSTEKSQGERKIVNLKREIQQLQSELDSVNLELEEAKRLKECTEQELKGYEVELAMSESSIQALEGRISLIQDEISAVGSDLEALKNEERALRDDFIGKMFDLNAKIRKFQQSVDSATIEAFNSDTNSQNETEEAKRDLEDKLAQIISETNIEEDKFQSEQILYMQVIITN >cds-PLY67754.1 pep primary_assembly:Lsat_Salinas_v7:9:166478357:166481297:1 gene:gene-LSAT_9X103540 transcript:rna-gnl|WGS:NBSK|LSAT_9X103540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKILLKNPKYSSSVHQNAIKRSISSSSTLISTSTKQNHRLLTEIKSILQSDNWESTLPVSHVPGKLNPHVIQLLLRENQAGIQSNSKTMTLFAIALCNSNLYGCVSLVIDAMITTGLSPISIWDSLVSCYNEKFDSHSNLNPKVFEVIVDRFRVKGLLDEAGEILLRNDNFVPSPLFFSSFLKDLINVKKLELFWKVCEVVLKKIGSLDVYVYTNMIKAHCMVGNVEDAKKVFLEMGEKGCNPSLVTYNVIIHGLCKSGRINEAIEIKKNMIHKGLVPNVFIYNTLLHGYCRMKRFEDAKMILKEMSDMGLDPNFVMYNALVNGFMSNGDVDSALRVKDKMIGSCVGPNLVVYNTILHGLCKGHMMEKADEIVNEMNKMGAKPDFRTFSLLIEGYCKERQMVDAFRVFEEMKRVDLEPNARIYGVLIIGLCHIRDLEKAYTLLKDAKNLEIDDMVYEVLLSYVSKENDYENTIRVFEAMKEEGKKPNVFCYASVIGSLCREKKMVEARSLMDEMIENGLEPNACIFGAFIKGYCNLSELKIANKYFYTMIGRGLVPNIEIYKVLMEENCKNGDLIDTFSIFRCMLSKKVFSDIYTYKIFLDGLIKYGKLEAVSRVLVEFKNKGFVLDVSLCNSIVLNLCKKGKVLEAWKLLEGTGFSSSIHTYNVLLKGFFKIGDKERAKDLFDEIKEKSLIPNDVTYGTMIIGSCKFKDLDTACGLLNEMVEKGVLVNSFLYNLLVECCYNIGDLEKAKELSREMTKKGFVLSSRFDLLTSKDQEPERSQMGM >cds-PLY79728.1 pep primary_assembly:Lsat_Salinas_v7:8:124645554:124648271:-1 gene:gene-LSAT_8X86420 transcript:rna-gnl|WGS:NBSK|LSAT_8X86420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGSRNQKTFRPKKSAPSGSKGAQLKKHIDATLGSGNLREAVRLPPGEDLNEWLAINAVDFFNQVNLLYGTLTEFCTPSTCPTMTAGAKYEYRWADGVTIKKPIEVSAPKYVEYLMEWIETQLDNESIFPQRLGAPFPHYFLDVVKTVFKRLFRVYAHIYHSHFQMIMSLKEEAHLNTCFKHFVLFTWEFRLIDQGELAPLYELVESILKL >cds-PLY95875.1 pep primary_assembly:Lsat_Salinas_v7:5:317724911:317727828:-1 gene:gene-LSAT_5X174140 transcript:rna-gnl|WGS:NBSK|LSAT_5X174140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVFIFALLLKVSTALSSQNMAHLFPAVLIFGDSTADSGNNNYINTPFKADHPPYGEDFPGKIPTGRFSNGKLVSDFWASLLGIKQTVPPFLQPNISDFDIRTGVNFASAGSGYDDMTAQISQVIPVTKQLYYFKEYIKRLKKVVGIKEANRIIEGALVSISAGTNDFTISYYDLPSRRDDFSMDDYQDYILKKLQNFVNGLYKLGCRTMVVSGLPPMGCLPIQMLSRFSRTCLTDQNTDARVYNQKLMNLLPQIQSSLKGSRIMYADIYNPMTEMIRNPRKHGFTHTKVGCCGTGLLEAGPICTYLTPLCTNPSNYLFFDSIHPTEAAYRYVTKTLLNKILRHLNTSGHVF >cds-PLY97997.1 pep primary_assembly:Lsat_Salinas_v7:4:192511885:192514484:-1 gene:gene-LSAT_4X110360 transcript:rna-gnl|WGS:NBSK|LSAT_4X110360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQKRHLAEKEYDVSPKHLKLEHSCELLPFLQFTKEDAPFSSDKDEACFFKPIIEVDYGFLSDKFTDHDPDTTTKYYDYTLPHRLSTSSSSSITTSEEDLKSEQPQRLLIQKENKYSYLLQHPPLKKTPIGPQYQAEIPDCYELNPQHDDNEIKFIGSCVIQMPKSLTSHDKTVGRGRTDCFCKNTGSFKCIQQHIKEARETLKGTIGNKKFEDLGFGNMGESVANKWSEEDEHLFHDVVYSNPVSFGKNFWNHLSEVFPSRSIHEIVSYYFNVFMLRIRAEQNRFDPMNADSDDDEWQGSDESEETDRCDSGERRNGIEDSVVDEFFEPSDHRVWDVGYFSCSRTKSDFLPTGSMIEEVFGVESWNFDDDKNSN >cds-PLY97469.1 pep primary_assembly:Lsat_Salinas_v7:6:91695857:91696393:1 gene:gene-LSAT_6X62841 transcript:rna-gnl|WGS:NBSK|LSAT_6X62841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGFTPDPIATSQLMQSPAISISFSVSFQTHTTRIWFLASSTLQFQKLNPHENPLQNEQQSSYFDGKWVFDDSTHPLYKEYECEFLTEQVTCMKNGRRDSMYQKWRWQPKDCNLPKFRGKLLVEKLKNKRLMFVGDSLNRNQWESMV >cds-PLY85536.1 pep primary_assembly:Lsat_Salinas_v7:2:195321721:195323146:1 gene:gene-LSAT_2X115940 transcript:rna-gnl|WGS:NBSK|LSAT_2X115940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGDATKKGDAKSQALKTAKAVKTGSTFKKKAKKIRTKVTFHRPRTLKTDRNPKYPRISAPPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >cds-PLY98574.1 pep primary_assembly:Lsat_Salinas_v7:1:39619051:39620401:-1 gene:gene-LSAT_1X34061 transcript:rna-gnl|WGS:NBSK|LSAT_1X34061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLQQLDAFREKHLDLNSIKELPESYAWSSEDNCPSSSNSEPVPVINLKDSNAMKHVGYACKTWGVFQVTNHDIPITLLNNMEASGRKLFSLPFQQKLKAARSLDGVSGYGVARISSFFPKLMWSEGFTLIDSPHQHAQKLWPQGSGNFCDVIEEYNKEMNKLSNRVMWLILGSLGIKKGDIKWANQEGEIKEACAALQLNSYPSCPDPDRAMGLAAHTDSTLLTILHQSNTSGLQVQRGVGWVTVKPIAGALVVNIGDLIQILSNGLYSSVLHRAMVNRTQHRLSVAYLYGPPSNVRIAPLSKLTDNVHPPLYRPVTWSEYLGTKAKHFNKALLFVRLCAPITMSGFVDASTDNHNSVLVG >cds-PLY65897.1 pep primary_assembly:Lsat_Salinas_v7:5:38371190:38372882:1 gene:gene-LSAT_5X19220 transcript:rna-gnl|WGS:NBSK|LSAT_5X19220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLCLVQVDQSTVAIKETFGKFDDVLQPGCHCVPWIFGRRLAGHLTLRLRQLDVKCETKTKDNVFVNVVASIQYRALADKASEAFYKLTNTSTQIQAYVFDVIRASVPKLNLDDVFEQKNEIAKAVDRELEKAMSAYGFEIVQTLIVDIEPDERVKKAMNEINAAARMRLAAIEKGEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVAAQIRDGLLMGSATT >cds-PLY73552.1 pep primary_assembly:Lsat_Salinas_v7:9:77215480:77219182:1 gene:gene-LSAT_9X63301 transcript:rna-gnl|WGS:NBSK|LSAT_9X63301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIGNNIQGEGEDAIVAMEQEEREGVDQSMSGFKNFLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGIILQVFYGLLGSWTAYLISVLYVEYRTRKEKENVSFKNHVIQWFEVLDGLLGPHWKAIGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFVPSFHNYRIWSFLGLGMTTYTAWYLTIAAFIHGQDKGVVHSGPKTMVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKYIYLFATFYVFTLTLPSAVAMYWAFGDKLLNHSNALSLLPRNGFRDAAVVLMLIHQFITFGFACTPLYFVWEKVVGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRKASARQNAAEKPPFFLPSWTAMYMVNIFIVVWIMVVGFGFGGLASMANFIKQVDTFGLFAKCYQCKAAPPPPMTPTSPPHH >cds-PLY73740.1 pep primary_assembly:Lsat_Salinas_v7:8:169938142:169940867:-1 gene:gene-LSAT_8X110781 transcript:rna-gnl|WGS:NBSK|LSAT_8X110781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGGQIFLTESPLARDLCALAFSTCFALSLLRIFEETAKRGVFHKKLNRKLVHVTIGLAFMLCWPLFSSGSQGAFMAAVVPGVNIVKMFLIGNGIVKDEATVVSMSRFGDYRELLRGPLYYACTITLCCAVYWRTSPIAIAAMCNLCAGDGFADIIGRRFGKKKLPYNTDKSYAGTIAMALAGFIASVGYMYYFSIFGFVENSFEMVRGFLVVSLTSALVESHPISTTLDDNLTVPLASLLIGTFVFS >cds-PLY93687.1 pep primary_assembly:Lsat_Salinas_v7:2:201670203:201670883:-1 gene:gene-LSAT_2X122841 transcript:rna-gnl|WGS:NBSK|LSAT_2X122841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSGSSSDNHTVLATRNRDNDLCACRHPKLSVERMSMSDKNPTRRFRNCVDSLVEMAAEKCKYFKWIDDELTPHYKNAFNNPKYELKLMKDTSYAARLERRVALLENLNAESIAAKEIVDGELAMAVEEKKQLRGELKFMRLKFRIAMMFLVLLDSMLMMQKAKVVG >cds-PLY99663.1 pep primary_assembly:Lsat_Salinas_v7:1:198564396:198564994:1 gene:gene-LSAT_1X127981 transcript:rna-gnl|WGS:NBSK|LSAT_1X127981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKEKSPGVKILWIWTIGTAAVLVANVATSRVRDMNKLLDSQEESSSPHDIANPQTTDSIITDKVNDSSLDQEN >cds-PLY76454.1 pep primary_assembly:Lsat_Salinas_v7:5:198851687:198856471:-1 gene:gene-LSAT_5X89421 transcript:rna-gnl|WGS:NBSK|LSAT_5X89421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSASPKLLCPYSFSFTKYPNYQLPFSPKIRFLYPHKPLIRCARKGSKRTGKSRYPSEKKKLKSIQQTQTDIDKFKGFWRLFKLGVPIDRDAGKDFLDVSDALLEEVAKVLEFPVASMLPPEAFTVLRKSFDARKSQKEPKFVYTVEMDVDKLLNLEPRTWDFISRLEPKIGVIEHTPHEKVIGDLINIIHNNKKLNQDKVKGENGHTSSLNSYKLPSTKKAKIAVVGSGPSGLFAALVLAEFGVDVTLIERGQPVEKRGRDIGALVVRRILQTESNFCFGEGGAGTWSDGKLVTRIGRNSGSVLSVMKTLVRFGAPESILVDGKPHLGTDRLIPLLRNFRQHLQDLGVNIRFGSRVDDLIVENGHVVGVNVSDSSGRLGFSSEKLGFDAVVLAVGHSARDVYQMLLSHNTELVPKDFAVGLRIEHPQESINIIQYSKLANEVRSGRGKIPVADYKVVEYVKREDNNDTPQRSCYSFCMCPGGQVVVTSIDASELCINGMSFSRRASKWANAALAVTVSPKDFNDLGFHGPLAGVDFQREFEQRAAIMGGGNFVVPVQNVKDFMDNKLSGVKAANLHELFPVHITEALQHSIMAFDQELPGFISNDALLHGVETRTSSPVQIPRNPDTFESMSLRGLYPVGEGAGYAGGIVSAAVDGMYAGFALAKSLDLYKADDIESLFGRTQSSGFNKY >cds-PLY67923.1 pep primary_assembly:Lsat_Salinas_v7:5:298996801:299000663:-1 gene:gene-LSAT_5X160981 transcript:rna-gnl|WGS:NBSK|LSAT_5X160981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRRITSSDDATQHDLVSEIRALDDSNRRRKLALYILARLAHCAYNSAKSKKKFTLWGSSWRHGDSLLFLYDVLSHVSHTPPVRVRTGGFQDPGPSHQGESSENNTVTEIYDNLCKVFDGGSRSYPVQTTIGSSPDPTSSTGSFVYDVETETPATFFQDRHGFGFDNSGALSDILEVDDADVDDRELAFIEEDVIGGSPKKLIQRIDVHVKSKSLVLRSQNERYCPALFRKILMKELDEMEKVNVRLSVAVEEVKFRIFIYHTTYRFLSFLTPPQTQEGMLVLVCCN >cds-PLY78068.1 pep primary_assembly:Lsat_Salinas_v7:9:25312115:25312270:-1 gene:gene-LSAT_9X23061 transcript:rna-gnl|WGS:NBSK|LSAT_9X23061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSVFVFTFFFQLFSYTRGRGYHVNVIYIRSKLSNYDRYKNVLCVCSFRWL >cds-PLY87330.1 pep primary_assembly:Lsat_Salinas_v7:3:112151398:112152051:-1 gene:gene-LSAT_3X80620 transcript:rna-gnl|WGS:NBSK|LSAT_3X80620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPVGPTSLSLTATTTTVAATVILKLAIINQEATIIREIKPKNRQIMGVGGPDEEEQKWPPWLKPMLRESFFVQCKLHADSHKSKCNMYCLDCMNGPLCSLCLTHHNDFWFLPSMLSGGVESPELELNKMR >cds-PLY63032.1 pep primary_assembly:Lsat_Salinas_v7:8:74952187:74953192:1 gene:gene-LSAT_8X53980 transcript:rna-gnl|WGS:NBSK|LSAT_8X53980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDMDDDQSTQIASKSAETPLKDSLNVKQQSINVGDSINLHEDKIEEQDDISVTTKSQSKIDDTVDDTKTKKESDSKKLMEGKGDDGNGVETNNSEKVEVASLKES >cds-PLY80000.1 pep primary_assembly:Lsat_Salinas_v7:9:46238144:46245937:1 gene:gene-LSAT_9X42720 transcript:rna-gnl|WGS:NBSK|LSAT_9X42720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSESIAAVTVSKSSSSSGEKCFPPGFRFHPTDEELILYYLKKKTCGRSLKLDIIGEIDVCKWEPEELPGKAKWKTGDRQWFFFTHRDKKYSNGGRSNRGTKHGYWKATGKDRLIKHYSRTVGIKKTLVFYEGRAPSGKRTDWVMHEYSMEEEELKRCKNVQEHYTLCKVFKKSGPGPKNGEQYGAPFVEEEWSDDDNCLDVESLLVKNINNTSVNQPKLTSEPEIVEPLAQSHPVNSANNPSHVQLEVHPGSDLAQSAKEPSVITCVEEVPLLLPLPQPLVINEDFLELDDLQGPQPSFQSSMFDDFQFGGVDEFCALEFYNNATQFNEVNPFKSQPFYTSNLDFGIENSGLHSYSNDYNSSLWTHNQETEDSTDVTIFAPSGINDKSGIPDSGVNQNQKTGEDDGGTDSWFSSAISLALALALALESKALTNKAFDLIPSFGRISNADGAVTHVTRRVKRSLRPKGICLFFCSWSVVCYIMCIFRDIYRVTSKMHIVKHSYGLDEGGESEKGVILNHGVKYVNVNLSYSSHSCAAYNLSSALRLLHFVTAIVRRASSQVRKVDMLDGVTVVRYKKKCVMKKKDIQKFLVMKNKDIQKFLDGNYVSNKGKIEFCDN >cds-PLY73999.1 pep primary_assembly:Lsat_Salinas_v7:1:32628810:32628980:1 gene:gene-LSAT_1X29441 transcript:rna-gnl|WGS:NBSK|LSAT_1X29441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGRSKLWIKDGGRGGGGRRSAGELEGDEVAESSNVVAGEFCAGIVSGCDVEELKG >cds-PLY77459.1 pep primary_assembly:Lsat_Salinas_v7:4:50078063:50080098:-1 gene:gene-LSAT_4X34220 transcript:rna-gnl|WGS:NBSK|LSAT_4X34220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLSRALYSSDASTIEVSPTDAAKELYDKLLKSVKEQRTAPPNNLLWSLIEKSANREDIKLLFNILENLRKFRLSNLRIFENFNDNLCREVSKACVRVEAIDLGKKTLWKHNVYGLTPSVASAHSLLLYAKEHKDVDLMVDVMKLLKANDVPLQPGTADIVFSICYETDSWELMCKYAKRFVKAGVKLRRTSFDTWMTYAAKLGDIDNLWKIEKLRSGLMKTHTIGSGFSCAKGFLLEHKPEEAAVIIQVVTQTLPEAKRPDIMAELEKMVSEWPLEVIKSRKDEDKMALAVGLQADIPVMIDSLSRLGVKTNVKVDDLTKIAF >cds-PLY69659.1 pep primary_assembly:Lsat_Salinas_v7:5:211907168:211907675:1 gene:gene-LSAT_5X98121 transcript:rna-gnl|WGS:NBSK|LSAT_5X98121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHANKSQVLAGDWYCCCEAHNFANRTECYRCGAHKEYIMMMTYATSSYPYDASILPGGKNGDWMCNRCRGHNFASKMECYRCKSPRE >cds-PLY98418.1 pep primary_assembly:Lsat_Salinas_v7:7:90086899:90089598:1 gene:gene-LSAT_7X62620 transcript:rna-gnl|WGS:NBSK|LSAT_7X62620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSARIDDTGSSFVLPEHICQRFTLSEIQSATHNFDEASVIGRGGFGNVYKCSSKIGSIREVAVKRLHSLSNQGAQEFEAEIKLLSKLRHANLVSLIGYCNEGNEMVLVYDFMPNGTLEDHLRKADSVLSWLQRLKICIGAGRGLDYLHTGTSTQHGVIHRDVKTSNILLDANFAAKVSDFGLAKVGPIDQIRTHVSTCVKGTFGYMDPCYFYTGKLTRKSDVYAFGVVLFEVLSGRQAVDSTLDEEQWSLAAWAQDQIKEGNLSKIIDSRLMGQISKKCLKEFANIAGHCLHSHPKQRPTMAEVVIKLESILSQERERTNFAVDEGRFIYKLRSFFTGKVDVMSDGVVESIYLMPDNTVGSESDFRALRIQLAKNQRLKHFTYAELVSATSDFKHKEHSHTLNEPIYKVWVDETTYAPTECGVGLEIYVRKKKIDAAKPELDFEEFNHPNLIKLLGYCWHWQEFYCFYELIHGASLDKYLFGDPGTMSLSWVARLKIAVGAAQGLAFLHLRKLAAYTQFKTNCILVDTDFNARLSDYEVENLFATPEWHFYQEYRIDGIRRCEPEDGPGVKSEIYAFGVVLLEILTGMKADDVQRPLTTQNLVKWATPLLVNEVKLRTIMDPQLQRNGYTPKGAFKLAKLVSKCLQKKLDDRPSMEEIVQALCRCYEEEIKLVCAPT >cds-PLY63811.1 pep primary_assembly:Lsat_Salinas_v7:6:26215995:26222373:-1 gene:gene-LSAT_6X18500 transcript:rna-gnl|WGS:NBSK|LSAT_6X18500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTENLSGSVHPYERHVFLCYKTREDWPARVESSDSDMLPKRLAGAIKERKNDIVVKRVETKSNSDNEEENPTKNNKIPRKGRMVISEDKYVEGVKLRHQTLFHRLVDWVGILKSRRIRDFDNYATRLVGKFESVDTYYRHCSSAPYVTKVVIPLLCISALDDPVCIWEAIPWDERGNAAKVPDILIVPSDLTYFVKVLSLEGKSEGGEEVKSMCVNLGRLARGVGGGHFVELNFHGTPGSSSASVIQTSRDETMRRQSTMVKFHSSNSLLTNALVTWMMGTNYKATLIPQSIRDTIHGWGKDARRRRRWLGIYGDDSMFHTDTSTVISVEELELREPVPTCVYMYPFHTMKPLIILLHLS >cds-PLY68509.1 pep primary_assembly:Lsat_Salinas_v7:2:212720217:212720764:-1 gene:gene-LSAT_2X133761 transcript:rna-gnl|WGS:NBSK|LSAT_2X133761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMMIRSPLRKASMSSKPRCWMKCLNETSLTDLFTWSGNSAANSPMTRSSSRVQLLVSFYAYC >cds-PLY96120.1 pep primary_assembly:Lsat_Salinas_v7:3:97618076:97622508:-1 gene:gene-LSAT_3X73200 transcript:rna-gnl|WGS:NBSK|LSAT_3X73200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEADEGKSLVETPTWAVASVVSVMVLVGFFMNAALRHIEKWLYRTKRKALFAALEKIKEELMLFAILSLLMGHWIVYISKICVKSSAISSRFYPCSIDKSFIVTEAVDDRISFNNHSNYSVSRQLLSHTHTQICPEGSSSFASKESLEQLHRFLFALGVTHVTYSFVAIALAMIKIYSWRIWENQAKSMAQPPPQGMRRLSTFIYRNTAHPWSQHNILVWLLCFSRQIWSSINRTDYLALRLGFITTHNLPLSYDFHNYMLRSMEEEFRDIVGISIPLWIFAISCVLLGFHGTYIYFWLSFLPAIFIVLVGTKLHRIVIKLAVETKNTSQSQTTETHRINLRDELFWFGRPRLLLRLIQFISFQNAFEMATCLWSLWEVNETSCFTKDHSVLMIRLTFGIVSQFWCSFITFPLYVIVTQMGSQFKKTIVSENVRESLHTWRRRVKEKHRHDSTFALLPATSSTMSLDSMVDDDYDEIVPISSPDDFFEESQSQVLEVSTHDENAQLVPGRDDDNDCDEIDISCDSERFEPVILKS >cds-PLY78907.1 pep primary_assembly:Lsat_Salinas_v7:8:2039402:2043545:-1 gene:gene-LSAT_8X781 transcript:rna-gnl|WGS:NBSK|LSAT_8X781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYGAGGEGDGSASGSHHDSSDKKKRYHRHTALQIQILESTFKDCPHPDEKTRMQLSRELGLAPRQIKFWFQNRRTQMKAQHERADNCALRAENDKIRCENIVIREALKNLVCPTCGGPPIGEDCYFDEQKLRFENAQLKEELDRVSSIAAKYIGRPMLQLPPVQPVHMSSLDLSMASSYGGGPVNRMVMMNSGPSLDLDLLTGSAIGASSSNIVNPDPPFHNIVHLSDMDKSLMADIASNAIDELMKLVQTNEPLWTKCQKDGMHTEVLNLDNYKRVFPRSNDHNLKSPNVRIEASKASGVVMMNSLQLVDMFVDSTKWMELFPTIVSRARTIEALEARLLGSLSGSLQLMYEELQVLSPLVSTRQFYVLRFVQQIEQGSWAVVNVSYDLPQHNAYPHSRCKAHRLPSGCLIQDIPNGYSKVTWVEHMEVEEISPTDRLYRDLVHSGLAFGARRWLACLQRACERSASLMMASTSSHDIGGVIPSAPGKRSMMKLSQRMVRNLCSGINPSNGHQWTSVSGLNDQFEVRATLYKTTDPAGHPNGMVLSASTTIWLPVPPQNVFDFFRDGRTRPQWDVLSNQNPVQEVAHIANGTHPGNCISVLRAYTPSQNNMLILQESCTDASGSLVVYCPVDLPAINIAMNGEDPSYIPLLPSGFIITTDGRHEITSQGQGGASTSSNNNSDLGAADHCGGGTSTGSLVTVVFQIQVSSLPSAKMSPESLTTVNNLITNTVHQIKASFNYASTTT >cds-PLY95594.1 pep primary_assembly:Lsat_Salinas_v7:6:138190941:138198526:-1 gene:gene-LSAT_6X83340 transcript:rna-gnl|WGS:NBSK|LSAT_6X83340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPPLHTYKHMPCTVFNRLFAIIYICAIVALIYHHFLTLSHSTTFISVAITTSLLISDLILAFMWATTTSFRLRPILRQVFPENLEKVLDRKDFPAMDIFICTADPYKEPPINVINTALSLMAYDYPPEKISVYVSDDGGSELTLFAFMEAVNFAKIWLPFCRDNNIMDRCPEAYFSSERHGRAELESEEIKAIYESMKMKVENVVERGEVCPDYIMNELQRQTFNKYRTPGFARSHHPPIIQVLIDGAKEKDKKGHSMPNLVYVSRGKNINVPHHFKAGALNTLLRVSAVLTNAPIVLTQDCDMYSNDPHTPKRALCYISNPSVRPQLGYIQFPQRYHGLNKDDIYGGEFLRLFVANPVGMDGLQGPSYVGSGCFFRRRVFFGGPTSMVLPEIPELRPDYVVEKPITSEAIIELAHHLGECNYENNTKWGFELGFRYGSLVEDYFTGYRLQCEGWESVFCHPSRPAFLGDVPISLIDAVSQTKRWAIGLLEVGFSKYTPMISGSRYMGHVMGLCYAHYAFWPIWSIPIVIYSFIPQIALLNGLYIFPEVTNPWFLLYVFVFLGAYAQDCYDFILFGSTFKRWWNDQRIWLIKGLSPYLFGLAEYIAKHLGIASQGFNVTSKVQDDEQSKRYDQGLMEFGVHSVMFLPLATASIVSLFSLVVGIIQMLSIWEVEKLFGQLFIAGFGVLNSWPIYEAMILRSDKGRIPIKTTISSICLAILLCGGAFLVL >cds-PLY96575.1 pep primary_assembly:Lsat_Salinas_v7:4:369193616:369194796:-1 gene:gene-LSAT_4X181800 transcript:rna-gnl|WGS:NBSK|LSAT_4X181800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIHCANLNIMNKLTINWSKIYSKFLVSCFNKARKTHEGQDFSPVDLAALFGEVVGACLISHAGSLTNLSKCSSFTLQILGAEKALFRNLFIQL >cds-PLY94941.1 pep primary_assembly:Lsat_Salinas_v7:4:113655689:113657064:-1 gene:gene-LSAT_4X71740 transcript:rna-gnl|WGS:NBSK|LSAT_4X71740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSKVIVLKSSDGETFEVEEAVALESQTIKHMIEDDCADTSIPLPNVTSKILSKVIEYCKKHVETPKTDDKAAEDDLKSFDAEFVKVDQGTLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENAWAFE >cds-PLY74626.1 pep primary_assembly:Lsat_Salinas_v7:7:37811087:37811617:-1 gene:gene-LSAT_7X27941 transcript:rna-gnl|WGS:NBSK|LSAT_7X27941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEFIESRTKLKMGQIHRPPILSKTQIGFLLAAFLISLPFLFKRLLAGETLLHDKRVWLSDSVFIYFFSVSGTMHNIICKLVFFYQGSGMLQSRIAQRTIMIIAMIISVWAVRKVVYLDNWKSGYAVHAYLDNRLFTDDEINIELLF >cds-PLY71167.1 pep primary_assembly:Lsat_Salinas_v7:8:123752793:123753609:1 gene:gene-LSAT_8X85500 transcript:rna-gnl|WGS:NBSK|LSAT_8X85500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATFAALFLILYTLSVATTVEPSPSPDLVRSSCLHATFPKVCVTSLTLYKGPVTTPRELAQAAIKVSLSRASKASDFLSGLQKEANKREIGAVKDCASQISDSVDDLRKTLGELKQLRRGTFRWQMSNAETWVSAALTNEDTCLDGFKEIEGRVRSDVKKKITNVAKVTSNALYLINRLDHP >cds-PLY82533.1 pep primary_assembly:Lsat_Salinas_v7:2:183767518:183771811:-1 gene:gene-LSAT_2X106221 transcript:rna-gnl|WGS:NBSK|LSAT_2X106221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVGMMKWRPWPPLSSKKFETKIIIRHLQGLPENTATTNDGSQDLSRLAVEVKWKGSNKSNNPLSFKRRSVRRNVTKKGSLKDDGVIEWNEEFVTVCNFLGLKDGGFHQWEVAFTVFDDLNQEPKKGYSSIATGLLDLVEFSSSAEQNEIDISIPLSMGSGITEAAGPILHVSLSLQELRIAHENTEQAQRSIIPLPSTPLNGELSTTEKEKDEPTGLKVKIFRAISSANRAKKPCHEEEGSSDGKSSGTSYDADYPFDTDSLEGDEVDSEEVKDAESTARKSFSYGTLAYANHAGGGLSYFNSSSSEDEDWIYYRNYKEKSEYPTESIIDPLEKETPPKRSIIFPWRKRKLSFRSPKIKGEPLLKKDSGEEGGDDIDFDRRMLSSSDESSYGVKKSNEDRSSISEFGDDNFAVGKWEKKEVVSRDGHMKLETQVFFASIDQRSERAAGESACTALVAVIADWFQNNPNEMPIKSQLDSLIRDGSLEWRNLCGNEVYRARFPDKHFDLDTVLQAKIRNLSVNPEKSFIGFFQLEEGEGDLHFLDGAMSFDGIWDEISNIDGMNMNMNMNPLVYIVSWNDHFFVLKVEHDAYYVIDTLGERLYEGCDQAYVLKFDKDTVIERVVVQVDAQKSNEKTEGEKVDECESKSSEETSSVEKSEKEFVCKGKESCKEYIKSFLAAIPIRELQTDLKKGLMASSTPLVHHRLQIEFHHTQCLVEAKTETMAITV >cds-PLY73314.1 pep primary_assembly:Lsat_Salinas_v7:8:260046597:260047887:1 gene:gene-LSAT_8X150540 transcript:rna-gnl|WGS:NBSK|LSAT_8X150540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFDVSRFCFRIIRDKIQERRGIFEPPSLHAGSEISEIEDLACTQVGKLGLPLVSFAAQVRSLQKGSNDQLAITIDSHSECLLNNKSETFEDKGHLNTNQLMVVLIFHLYKNDIDIAAPTLRLIMTTT >cds-PLY63845.1 pep primary_assembly:Lsat_Salinas_v7:7:102171005:102174374:1 gene:gene-LSAT_7X67660 transcript:rna-gnl|WGS:NBSK|LSAT_7X67660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVLYIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIYNFILFLLYRIALKLDTLGREILSIALPASMALAADPIASLIDTMFIGRIGPVEIAAVGVSIALFNQVSKVAIFPLVSITTSFVAEEETIEKMNIKAIELENQKNATEERTLDDVKLQNMENGSKENSEKNASDAPEDGSKMFPCNQTTMECTTDAPKLKKLKRKIPSASTALLFGLVLGVLVTLLLVFLSKPLLALMGVKSGSPMLKPALKYLTLRSLGAPAVLLSLAMQGVFRGLKDTKTPLYATVIGDVANIILDPIFMFACNLGVGGAAIAHVLSQYLIMLILLVKLMNQVNLLPLSTKALQFNRFLKNGSLLLFKVIAATIPVTLAASLAARLGATPMAAFQICLQVWLTSSLLSDGLAVAGQAIIASSFAEKDNEKATATASRVLQMGVVMGLGVALLVGVGLQFGSGVFTKDINVKHIISIGVLFVAGTQPINSIAFVIDGVNFGASDYAYSAYSMVGVFPS >cds-PLY85524.1 pep primary_assembly:Lsat_Salinas_v7:2:196995285:196998203:1 gene:gene-LSAT_2X118160 transcript:rna-gnl|WGS:NBSK|LSAT_2X118160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGFGSLKSPIFVKTAGFAFVALAFFYLGKHWSDDTYQQLIFFSSNSNPNAKIPSISISPNYNKSFDIKSLINDTKEVETVADHTLPAIPPQPLLSPPPPPPPAVQRLGVVDENGVMRDEFEVGEYDPDVVENRNNETKAVEDDNGTVRVLKFETCPVSMREYIPCLDNEDAIKELKSTEKGERFERHCPEKDKGLNCLVPPPKGYKAPIPWPTSRDEVWYSNVPHAQLAEYKGGQNWITVDKDKFKFPGGGTQFIHGADQYLDQISQMIPEIAFGNHTRVALDVGCGVASFGAYLYSRNVLTMSVAPKDVHENQIQFALERGVPAMIAAFATRRLLYPSQAFDLIHCSRCRVNWTRDDGILLLEVNRLLRSGGYFAWAAQPVYKHEPLLEEQWEEMMNLTTRLCWNLVKKEGYIAIWQKPLNNTCYLSRELGTLPPLCDKKDDPDDVWYVDLKPCISRLPEDGSGANITDWPDRLNNPPARLQSLKLDAYVSRNDLFKAESKYWKEIIESYVRALRWNTYKLRNVMDMRAGYGGFAAALIGNQLDCWVMNVVPVSGPNTLPVIYDRGLIGVMHDWCEPFDTYPRTYDLLHAAGLFSIEQKRCNISSIMIEMDRILRPGGRAYIRDSVSVMDELEEIGKAIGWRVAVRDTAEGPHASYRVLTCDKNLPR >cds-PLY93466.1 pep primary_assembly:Lsat_Salinas_v7:9:64018493:64021301:1 gene:gene-LSAT_9X55061 transcript:rna-gnl|WGS:NBSK|LSAT_9X55061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCKSSANQNLKHKKGLWSPDEDQKLKDYIINHGLGCWTSVPINAGLQRNGKSCRLRWTNYLRPGLKRGTFTTHEEQIILTLHGMLGNKWSQMSQHLPGRSDNEIKNHWHSYLKKKVAKLQSITPLDTLNEESCSSSTSLKSTRTGSSIESNDVEPLDYSKVTGLRIPPKILFADWLSLDQFQLFNNNNSDELSYSMDAFNHTCSSQETVINGGSYSSGIQEGSRAECQNVEHDFYDLIFEEIIGPNFNINAL >cds-PLY94210.1 pep primary_assembly:Lsat_Salinas_v7:5:321317062:321330915:-1 gene:gene-LSAT_5X176701 transcript:rna-gnl|WGS:NBSK|LSAT_5X176701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKILESIHLFLLIYLSFTSFLAQSAPQNAIVSQVPGFNGILPSKHYAGYVTLNENHGKKLYYYYVLSERDPSKDPVVLWLNGGPGCSSFDGFVFGQGPFDFEKTESMPKLHINPYAWNKVSCVIFLDSPVGVGMSYSRNRSDYITSDVITTLDSHKFLLEWFKLYPEYLSNPFFIAGEAFAGIYIPLLSDQVMKGLEAGDKPTLNFKGYIIGNGLCDEASDHNAIVPFAHGMGLISDELYQDVTKVCEGKFYKPPSLECEFQLDKVYQHLDGLNVDNIIKPCFIGKKIRHENTKLPLSFRKLGETERPLPVRTRMFGRAWPFKAAVKPGYAPSWLELLNATQIRGSPCSDDSVAIKWLNNEAVRKAIHADPISKAGKWEICTGRVKYNSELITVIDYHKKLTAGGYRALIYSGDHDLRVPYTGTEAWTRSLGYKVIDEWRSWKVDGQIAGYTQGYDKNLTFLTVKGAGHRVPEYKPKEALVLYSRWLEGKKI >cds-PLY71157.1 pep primary_assembly:Lsat_Salinas_v7:9:81068912:81069674:-1 gene:gene-LSAT_9X64661 transcript:rna-gnl|WGS:NBSK|LSAT_9X64661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGASFSCGACKFLRRRCTNECVFTPYFCYSQGADHFAAVHKIFGASNASKLLMKLPLQDRSEAAISICYEALARVQDPVYGCVAQIFALEQQVAGLQEEIDQLSNYMTYVSFGLPNCMTYVSSEINGTSISDHLNQQTPPLFAAPQASSPSLAILDGQNSHSHSLLDHPLMESFEFHGELIT >cds-PLY80752.1 pep primary_assembly:Lsat_Salinas_v7:8:137706298:137706914:-1 gene:gene-LSAT_8X95580 transcript:rna-gnl|WGS:NBSK|LSAT_8X95580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGHVNISVTTTPSPRGGKEDVGGGNSGGGGGNGGSSNSPRGQLCLCSPTTHQGSFRCKFHRQGSTTSGWFSRSKSMPPARNDNTNNASNFSPKSVEST >cds-PLY80751.1 pep primary_assembly:Lsat_Salinas_v7:8:138292373:138293270:1 gene:gene-LSAT_8X94901 transcript:rna-gnl|WGS:NBSK|LSAT_8X94901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENRLKLKISKMFQTCRSKHTSDVSDQPFFFPENQHHRQLIDLFSPKPKSFCKTKCHVDDEPKTNLFHPKITDQIASFPAYADCRKPAKKKPHYRKPKKVEDFSSTTDNYYYGWRSSDEEDESDDETVLFSSRSFSSDSSGSFRKYRAQRKSKKKSKRTCGDGDGNSCGCKSQRISDETPLEKSGKLVKDSFAVVKKSSNPHEDFRVSMVEMIVEKQIFGAEDLEDLLECFISLNSEEHHRVIFEVFTEIWDTLFSASV >cds-PLY83903.1 pep primary_assembly:Lsat_Salinas_v7:3:51372581:51374207:-1 gene:gene-LSAT_3X40600 transcript:rna-gnl|WGS:NBSK|LSAT_3X40600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDPNLTVLEHSQISPPPATIGHRSLPLTFFDITWLLFPPVHHIFFYEFPHSKSHFMETIVPNLKHSLSLTLQHFFPFVGNLIVFPNPNNSGVIRKPEIQHVEGDSVTLTIAECDLDFDDLSGNHQRKCENFYPLVPPLGNAVKASDYVSIPLFSVQVTFFRDAGIAIGMTNHHSLGDANTRFGFLKAWSSIATSGGDESFLATGSQPVFERLIDFPKLDENKLNKTNLDTFYQPPALICSSDKVRATFVLTRTNINQLKKRVLTQQPELEYISSFTVTCGYIWSCIAKARVKMGEKKGEDELEQFILTVDCRSRLDPPVPATYFGNCGAPCIATIKNTVLAGENGSVIAAKFIGEAISKMVKNKDGILKDVERWHDGFKIPARKIGVAGTPKLDFYGIDFGWGKVKKYEAVSIDYNGSVSINSCKQSTQDVEIGLCFSSVEMEAFANIFNGGLEN >cds-PLY95295.1 pep primary_assembly:Lsat_Salinas_v7:4:299683542:299685561:-1 gene:gene-LSAT_4X149801 transcript:rna-gnl|WGS:NBSK|LSAT_4X149801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMVGTVGPAVPNLDACLESVPKMNYDTLSSKPQGEICIRGNVLFAGYYKREDLTVEVLVDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVIVENLENVFGPVSDHDSIWIYGNSFESCLVAVANPNKQAIEHYANAHNISGDFEALCENPKIKEYVLGELTKVGKENKLKGFEFVKAIHLDPVPFDMECDLLTPTFKKKRPMLLKYYQVLASLIIRLALAETFCLNLDVPNAESLVAALVRVDESK >cds-PLY86413.1 pep primary_assembly:Lsat_Salinas_v7:5:338799242:338802455:1 gene:gene-LSAT_5X191561 transcript:rna-gnl|WGS:NBSK|LSAT_5X191561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ protein ERDJ3B [Source:Projected from Arabidopsis thaliana (AT3G62600) UniProtKB/Swiss-Prot;Acc:Q9LZK5] MAHCRSNFFFLIYLSILLIASAAKSYYDILQVPKGASDDQIKRSYRKLALKYHPDKNQGNEDANKKFAEINNAYEVLSNSEKRSIYDRYGEEGLKQHAAGGGGGGGMDIQDIFKSFFGGGGDREEEERVAKGDDVIIDLDATLEDLYMGGSLKVWREKNILKPAPGKRQCNCRNEVYHRQIGPGMFQQMTEQVCEQCPNVKYEREGNFITVDIEKGMQDGQEVVFYEEGEPIIDGEPGDLRFRIRTATHERFRREGNNLHTTATITLAQALVGFEKSVTHLDEHLVDIGTKGITKPKEVRKLKGEGMPLHYSNKKGDLYVTFEVLFPTSLTDDQKTKIKAILG >cds-PLY77016.1 pep primary_assembly:Lsat_Salinas_v7:6:63364729:63366820:-1 gene:gene-LSAT_6X46660 transcript:rna-gnl|WGS:NBSK|LSAT_6X46660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKERPTDKGMSWLVKTQYISPLSTDATRLPFTEEQAKELRERRGNTLLDSHNSRKWKIKDIKVSFEACKSHPIHVTNKKLHPVEIFPLFPDFERGWTGDLRKVVKHIRSRSLGVPFFTTGKSLGANMMIVLLLGAKLQVIITKMWLGIQERGEVVKGPLVEPTSYEND >cds-PLY95772.1 pep primary_assembly:Lsat_Salinas_v7:3:28152184:28152792:-1 gene:gene-LSAT_3X19980 transcript:rna-gnl|WGS:NBSK|LSAT_3X19980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRVHELVAWKVEITEEVDVNNVEGIILHYKVDYSEDDVSLTDDVQVMGENRTGDLGVPKVVVLSNDLEIIFDSISDDKSDGHIIHVESNPKQHKGEQQKCVQADDDEPTVTTGVFTTTDMHKRKDKDINVEVEMKGNDLGHLYENQGGMHGKGSTTKCYTIFPRSRKRAKSRVDCGLLIVNDLQALIENGGVMGYDIGDLS >cds-PLY70306.1 pep primary_assembly:Lsat_Salinas_v7:2:91656680:91660488:1 gene:gene-LSAT_2X40301 transcript:rna-gnl|WGS:NBSK|LSAT_2X40301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENREANGSCFWLEETNDHEQTPIPMKHKRKVKAKPEFVGWGSKSLIEFLQSIGKDTDEQLSERDVNAIIIEYVHTLNLFHQTKKKKVVCDERLRSLFGKKSIPRIKIQDLLKSHFADNHQSSEDDYLYSSDEYEDSNITYKKQKVSDLEKKPQASSQKKKTPMSSFAAIIPENIKLLYLKKSLIQELIKHPESFEEKLLGSYIRIKCDPNDYSQKNSHQLLPITGVKLVTGNTDEQQDILLQVPNMVKDITINMLSDHDFSKEECDDLCQKVKDGLLKRPTVVEVEKKAQLLHEDIIKHILGKPLLASALTLYEYLERRNLLQKPSEQSKLLTEVPKVTPDVLDPQDIQDLCSPDAADAEQVVDLDEANEGNQQSVENRGIKVISHVNSGEKVTTGGFEWVESVKICDIKSPSDTLVELNNNVTNVIELSDDEDDDNNNNNNNNNNNNNNNNNIKTQGNIIQEENVCDVSLQQWFYLDPQGKIQGPVSRIELKCWSDAGYFQPDFKVWKDGQVPENAVLLTDMLSGSF >cds-PLY67495.1 pep primary_assembly:Lsat_Salinas_v7:6:69158118:69158564:1 gene:gene-LSAT_6X50540 transcript:rna-gnl|WGS:NBSK|LSAT_6X50540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSGSSISTYSSNHRVDKEVLCDCELPTRIRTSKTKDNPGKKFRVCPNPLKPGKKCKFWEWIDEELENMKPFAEDTLSDVANYLIQVLEDVESVREEVKQLKVMVCVLLLLIIVKVMFWG >cds-PLY78913.1 pep primary_assembly:Lsat_Salinas_v7:8:2408996:2414852:1 gene:gene-LSAT_8X380 transcript:rna-gnl|WGS:NBSK|LSAT_8X380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSQGFNSQTNGPRLGITEPISLGGPSEYDVTKTNELEKFLADAGLYESQEEAISREEVLGRLDQIVKIWVKKVSRARGLNEQLVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHASRDEDFFGELKRMLSDMPDVTELHPVPEAHVPVMKFKLNGVSIDLLYAKLSLWVIPEDLDISQDSILQNADEQTVRSLNGCRVTDQILRLVPNIQSFRTTLRCMRFWAKRRGVYSNVAGFLGGINWALLVARICQLYPNALPNMLVSRFFRVYTQWRWPNPVMLCAIEEGSLGLQIWDPRRNHKDKYHLMPIITPAYPSMNSSYNVSSSTLRIMTEEFKRGHDICEAMELNNGGWVELFEPYRFFEAYRNYLQIDIGADNDEDMRNWKGWVESRVRYLILKIERDTFKMLQCHPHPGDFSDGSNPYHCSYFLGLQRKEGVPVNEAKKFDIRLTVDEFKANVGSYSSWKPGMEINVTHIKRRDIPAFVFPGGVRPARPPATTRRPHTASETNTTTTTTTTNTSSGIGIGIGMIDREDDARKRKREDDDTEASTKLLIDEGNGFTTATATIGIGGPSNATDTNQVVGTSVVGLLTSKEAEAEKLAIEKLMSDPYQTSPQPQPPPQLEELEELDDNCHMEMESSTSTNKEFAVPVTISNATGASSSLQLNGSLEELEPPELVVPLPTASHATPASQKKPIIRFSFTSLAKATGNST >cds-PLY80850.1 pep primary_assembly:Lsat_Salinas_v7:4:325457146:325457403:-1 gene:gene-LSAT_4X161961 transcript:rna-gnl|WGS:NBSK|LSAT_4X161961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYLNDLHMILFDCGEKTAEQKGQVERTKEEMGRDYLHSRVDVLNMQQSFEHVKKQIKAIALLVVGVVVVMLLLMIFIIHLIINK >cds-PLY95739.1 pep primary_assembly:Lsat_Salinas_v7:6:31899:33012:-1 gene:gene-LSAT_6X1121 transcript:rna-gnl|WGS:NBSK|LSAT_6X1121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNISVILVAMVVFLAILEANASRPTLEVRKLLDYKKTAVPSPSHDRGSGKNDIPHLDIKEATFTTDKKWSGASGMTESNGQEKDSSTDTHHYFPCAKQSQCGNEIHA >cds-PLY85747.1 pep primary_assembly:Lsat_Salinas_v7:1:47230103:47231328:-1 gene:gene-LSAT_1X41500 transcript:rna-gnl|WGS:NBSK|LSAT_1X41500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSPENLIIVKKDSDGIAYVTINRPKSLNSLTKPMMTDMARAFKSLNADESVGVIILSGSGRSFCSGVDLTAAEDVFKGDVKDVETDPVAQMELCRKPIIGAIAGFAITAGFEISLACDILIASKDAKFIDTHARFGIFPSWGLSQKLSRIIGANNAREVSLTATPLTAEKAEKLGLVNHVVEGSELLKKAREVAEAIMKNNRDMVLRYKAVINDGVKIDLHHALLLEKERAHEYYNGMTKDQFKKMQEFIASRSSKKPASKL >cds-PLY84103.1 pep primary_assembly:Lsat_Salinas_v7:6:187607995:187614094:1 gene:gene-LSAT_6X114760 transcript:rna-gnl|WGS:NBSK|LSAT_6X114760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEGSSVWLGVSKGRRPEKSSDEKHDDAGLSVAFALTGEEEMCERRGCCLDGSSKHHYSRWFMTEDEETGRKSRKKGLRRGCKRGWS >cds-PLY94039.1 pep primary_assembly:Lsat_Salinas_v7:7:100436069:100437468:1 gene:gene-LSAT_7X66201 transcript:rna-gnl|WGS:NBSK|LSAT_7X66201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Psb27-H1 [Source:Projected from Arabidopsis thaliana (AT1G05385) UniProtKB/TrEMBL;Acc:A0A178WJM6] MFHLFDDSCAKDKHKPQSTCHIVCKDTWCNNNNRRHVIASGGVSILAFNYGLPLAWAENEQEEKDESLVGAFKSLFDPNEKTKSGKVLPKAYLNSAREVVKTLRESLNEDPNDMAKFRRTADAAKASIREYLGSWRGEKTVINEESYVVIVKAIRALASFYSKAGPSAPLPESVKSEIMSNLDTADKFL >cds-PLY73518.1 pep primary_assembly:Lsat_Salinas_v7:4:22200254:22202529:1 gene:gene-LSAT_4X15520 transcript:rna-gnl|WGS:NBSK|LSAT_4X15520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRLPPEDIDISQARALSTADLISDDDRSIAADSWSIKSDYGSTLDDDQRHADASEALAAARFCAASDYSSDKEEADAETFTFTSMLGYQSYWDATYADELTNFREHGDAGEVWFGADVMEMVASWTKGLCIDISQRQLQNQHNDLDDDSVSESVSQDLAGWSVVDVGTGNGLLLQELAKQGFSDLTGTDYSEGAINLARSLANRDGFTGIKLLVDDVLETKLDKKFELVMDKGTLDAIGLHQDGPIKRIMYWESISRLVAPGGLLVITSSNNTKEELVQEVENFNQRKIGEVEEEEEEGKGGLLWYLDHIRSYPTFMFGGSVGSRVATVAFCFR >cds-PLY99498.1 pep primary_assembly:Lsat_Salinas_v7:4:56935506:56937090:1 gene:gene-LSAT_4X38180 transcript:rna-gnl|WGS:NBSK|LSAT_4X38180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHHKKSEVQIGKDSSGVSSDFNPTPTIQNQSPHKLSIFELNQHSSGSSLCSSSSLLSTQSPDNDVTTTTTSTSPKYEHQILIDDGDFDYDSLAGPTPRSTPHKRSVFSQTTPTSFSNSLPKSPNYSFSSKNRRFYNTKSTVIHLLNRLRHLRRLRTHLRLILLLSLPFFYFLVSHPSHSFFLDFLSAFAFSAALLFSLNLALPRLPSIRLFLARSFPIKLTLSDKVSKSPLPVFWSIGSNSKTKGDHIHKWNSGCWVQAYNNGDVYEGEFHKGKCSGCGVYYYYMSGRYEGDWVDGKYDGYGVETWARGSRYRGQYRQGLRHGFGVYRFYTGDVYSGEWSNGQSHGCGIHTCEDGSRYVGEFKWGVKHGLGHYHFRNGDTYAGEYFADSLHGFGVYNFANGHRYEGSWHEGRRQGLGMYTFRNGETQSGHWQNGILNIPSSHTPTQSHPSSPSTVAVYHSRVLNAVQEARRSAEKAYDVGKVDERVNKKT >cds-PLY65947.1 pep primary_assembly:Lsat_Salinas_v7:4:135905968:135911551:-1 gene:gene-LSAT_4X84620 transcript:rna-gnl|WGS:NBSK|LSAT_4X84620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD1 [Source:Projected from Arabidopsis thaliana (AT5G61980) UniProtKB/Swiss-Prot;Acc:Q9FIT8] MQQEAMMYFSKLDDSPMFRQQIQCLEEIAETLRERGSKFYKGCRKYTEGLTEANERDAAFASSLESFGGGPDPISMAFGGPDMIKFAVVLREIGTYKESLRSKIEHTLSDRLIRFTNMELQDIKEARKRFDKADAVYSQIRDKFLSLRKSTRTEIAAAMEEELYNARMTFEQARFNLVSALSSVETKKRFEIVESLGEAMDAHLHYFKQGYELLIQMQPYIQQVLLHAQKARQSYYSEQQTLNERVQEYKKHIDVGSRISSNISVGNGDMAQQYPRNSHKVIHAVMQSGAEGKVQNIKQGYLSKRSSNLRGDWKRRFFVLDNRGMLYYYRKQLARPPGSGVQRGNTAEPGPGILSRWLSSHYHGGVQDVARHTVNLLTSTIKPDAEQSDLRFCFRIISPMKIYTLQAESSLEQLDWIEKINGVIASLLSSQTPEMVGFKRHLCTSPTSEGSLGGEIPMSQDRKTSAECSSEKDRSSRNMMRACKSSQQLRYGGRNEKPVDALRKIVGNDKCADCGAPDPDWASLNLGVLICIECSGVHRNLGVHISKVRSLELDVKAWEPSVIKLFQSLGNVFANSVWEKMLTVKRSHQADDELSWRSMESDKSGESFGKPSCDDHISTKEKFIHAKAKKRYIQKVKDPSHLMTLEQQLWENVRCNDKKAVYLLIIICNADVNATYGVSITHSQDLTTVEVDHDHEYECDYLKSYSTDSSSSRSSASIKGTNDPLTNEALDGCSLLHLASQTADCTMVELLLQHGANINACDSKGQTPLHHGIMRGRLAIVKLLLTRGADPLAADMEGKTPRQLVSELGIDDIQLLALLRAPKR >cds-PLY93995.1 pep primary_assembly:Lsat_Salinas_v7:4:274252813:274256378:-1 gene:gene-LSAT_4X140960 transcript:rna-gnl|WGS:NBSK|LSAT_4X140960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKGTKRKAAQATRKDETASTSTEPVTTIEEQHQQQNKEPTKIGRPTKRSRVLKPPESEPEFFDEQRELEDLWKQVFPVGTEWELLDLLSEYKWNFSNLEDAFEEGGVLHGKKVYLFSCTELGGKSGVILIPTVVAVVSPFPPSDKIGVNSVQREKEAILDMKRMKMDWVPYIPLGKRGSSVERLKSQIFILSCVQRRAGLKQLDEYRVKDFEYCLPYFYHPFKDDETEQSTIVNFMYPTEPKPVVCEFDWELDELEEFTDDLIKAEELSEDQKDAFKEFVKEKVREGKRANREAREKRKNARESMSEEQKAAFESIKFYKFYPVNTPSTPDSECLKMINSLCLSSPFRDIC >cds-PLY87774.1 pep primary_assembly:Lsat_Salinas_v7:1:46150318:46154831:1 gene:gene-LSAT_1X40161 transcript:rna-gnl|WGS:NBSK|LSAT_1X40161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSYPTIYVRLHGHIQKGNEDGLFISSVASCQALCALIMDAGTGFTHQVCELCFMFVNKDCIIEKCENNYYISAITGANNGSSLVQLTQVMVIQPAFPSRKLLRERVSESSAPTTESAIRLFDSAIDVAYSASTTEDSNESAPTALFAYQN >cds-PLY93476.1 pep primary_assembly:Lsat_Salinas_v7:9:63615583:63617003:-1 gene:gene-LSAT_9X54280 transcript:rna-gnl|WGS:NBSK|LSAT_9X54280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:Projected from Arabidopsis thaliana (AT5G07250) UniProtKB/TrEMBL;Acc:A0A178UBV1] MMSGDDLEGRGRSKNSTRTTENYHSSYAESYWTSWLIPVFVVVNIAVFVVLMYVNDCPKHNRSRIYGKCVARFLGRFSFQPLRENPTFGASANSLEKLGALQWRKIVHGNQGWRLVTANWLHAGLIHLVANMLSLVLIGIRLEQQFGFLRVGLIYLLSGFGGSILSSLFLQNNISVGASGALFGLLGTMLSELITNWTIYSNKAAALITLIIIIVVNLAVGILPFVDNFAHIGGFLTGFLLGFVLLPRPQFGWLERHNLPADVRVRSKYKVYQYVFGLIALVLLVAGFTMGLVMLFHGENGYKHCHWCRYLNCVPTSKWECNSS >cds-PLY92141.1 pep primary_assembly:Lsat_Salinas_v7:8:4960939:4962373:-1 gene:gene-LSAT_8X3801 transcript:rna-gnl|WGS:NBSK|LSAT_8X3801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin family protein [Source:Projected from Arabidopsis thaliana (AT5G17170) UniProtKB/TrEMBL;Acc:Q9FFJ2] MSTTTAIGAGGAFATRNALSIKTTTSYPLASPHQKTTFQGLSIQDAKRGVSTSFLGSSDKTIVSVKGGRGLDIKARKTAGASKEIEVEVDKPLGLTLGPKPGAGGGVVITGVEGGGNAAKAGLKAGDQVIYTSSFFGDELWPADKLGFTKTAIQAVPDSVYFVVSRGADVDVKRLPKRPAPPRFGRKLTDAQKARATHICLDCGFIYTLSKPFEEQPDGYLCPQCRAPKKRFTGYDPVTGKPIGGTLPPIGVIIGLVAGLAGVGALLVYGLQ >cds-PLY99041.1 pep primary_assembly:Lsat_Salinas_v7:6:149886048:149886720:-1 gene:gene-LSAT_6X90661 transcript:rna-gnl|WGS:NBSK|LSAT_6X90661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLFQTIDGGVVKVYRIEELVEEKGDHVSKLQEMSQLMKLLQPMLVKHPKKVQKRGNFSMKISSPRVRSKCQREDEYESDGAQIMEVCGEY >cds-PLY89472.1 pep primary_assembly:Lsat_Salinas_v7:8:91638114:91640186:-1 gene:gene-LSAT_8X64920 transcript:rna-gnl|WGS:NBSK|LSAT_8X64920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLKQRLKTDEEMKMNTNSVVITKTKRTRKTVPRDSPPRRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQVYLGAYDDEDAAAHAYDLAALKYWGPDTILNFPLMTYHKELKDMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAVAYDMAAIEYRGLNAVTNFDLSRYIKWLRPDNNGTVITPPNPNINIIDIDTNLASTTDINDVVGLNFHHNHNHQENQPPEPMVSPTMSYSSRSTTATSALGLLLQSSKFKEMMEMTTAAEFTSTTLTCDSSPPPLPPSNKFPEEIQVHFESQDFGGYNNGGDDFIFGDMNFMNNMMHSNFDK >cds-PLY64731.1 pep primary_assembly:Lsat_Salinas_v7:9:191351460:191351866:-1 gene:gene-LSAT_9X116700 transcript:rna-gnl|WGS:NBSK|LSAT_9X116700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKLAIFLAITMIVLMAFTSSGTMAQEFEAAPAPSPSMQSAGIALQVPALLVAIVSLVACLF >cds-PLY71417.1 pep primary_assembly:Lsat_Salinas_v7:4:239636168:239637700:1 gene:gene-LSAT_4X128420 transcript:rna-gnl|WGS:NBSK|LSAT_4X128420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGWAIAVHGGAGVDPNLPTERQEQAKQLLARCLNLGISALRSSASAIDVVELVVRELETDPIFNSGRGSALTEKGTVEMEASIMDGPRRRCGAVSGLTTVKNPVSLARLVMDKSPHSYLAFSGAESFAKQMGVEREENDYFITQDNVGMLKQAKEANSIVFDYRIPTNGFESCGVEVESPIQMNGLPISVYAPETVGCVVVDSQGRCAAATSTGGLMNKRTGRIGDSPLIGAGTYACDLCGVSCTGEGEAIIRATLAREVAAVMEYKGLGLQEAVDFVIKERLDEGKAGLIAVSNKGEVAYGFNCVGMFRGCATQDGFMEVGIWE >cds-PLY63211.1 pep primary_assembly:Lsat_Salinas_v7:6:86813381:86819476:-1 gene:gene-LSAT_6X60160 transcript:rna-gnl|WGS:NBSK|LSAT_6X60160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTYNYVIRDLPIYKAALLDDWESVSQIFKQDPDLMTKQIGYWSETPLIIAVGTNRSQRFVKKLVERIIEVGAADKLFTATWGGSNPLHYAAKVGNLMATMLLVEQKPSMTRVPNPYGNTPLKLAAWHGNKKTLQYLLTVTPDLPPPGSEEGTGPYTGVAGGDLITLTIMAGFYDVALKIIDMHPNIVLESDRNSETALQALAMKPENFLSGSRLGFWGRLIYSLIPIKKSQDARKVNIFLSIFNKLVAGFWRGLHFVAPAIKNIHDSKAMHAQSNILVKRICEILIGTEDHDKVWKILGSGITTAVKYGAYELIEECILTYPGIIWYSVEGLYMFHAAIKERQERVYNLIYQMSDHKVFVATQLDKENENALHMAAKLAPPHRLNVVTGAALQMQRELQWFKEVEKFIEPSYKEALNTEKQTPRMVFTDKHKDLLKEGQEWMKDTASSCTVVAALTVTMAFAAAFTVPGGNQDNGKPLFLDDGVFMLFIVSDAIALFSSTTSVLMFLGILTSRYAEGDFLYALPKRMTIGLVSLFLSLVAAMIAFSATITLVVRDKVTWIAAPVVIATSIPACLFALLQFPLLVELVYSTYGPSVFRKQNDRWMH >cds-PLY96407.1 pep primary_assembly:Lsat_Salinas_v7:2:88566866:88568456:-1 gene:gene-LSAT_2X37841 transcript:rna-gnl|WGS:NBSK|LSAT_2X37841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSLVLRGTMRAHIDWVTAITTPIDNSDMIDTSSRDKLVNHKYDPLLEMRSFEAVKIMSSSYPKTPRYAIQTRGNVYMAFNNKDASMAVPLLLPVLLPMAQISFFLKLQ >cds-PLY90838.1 pep primary_assembly:Lsat_Salinas_v7:6:155677755:155685718:-1 gene:gene-LSAT_6X94081 transcript:rna-gnl|WGS:NBSK|LSAT_6X94081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGVRRRWNLKTYSGKGRCRAVVWRSVWRDRRNLKKGYLIVINDLRNRWGQIIGRWETKVREVYYDWMKRAVGLKKKKRETEKERKRSNRADTYLSGGKQLATHVTPNLLNPCDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLSEIDRYASENVNKLLVGNKCDLTSQKVVSYETGKAFADEIGIPFLETSAKSSTNVEEAFMAMTAEIKNRMASQPSMNNARPPTVQIRGQPVNQKSGCCSS >cds-PLY68050.1 pep primary_assembly:Lsat_Salinas_v7:5:293442298:293445247:1 gene:gene-LSAT_7X62000 transcript:rna-gnl|WGS:NBSK|LSAT_7X62000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEPWILSAKLLFGIILMHTCLNGVNSIPVADEVVKLPGQPPVTFKQFAGYISLDEAKPRSLFYYFVEAESNSSSKPLVLWLNGGPGCSSVGEGAFVEHGPFKPSGSVLVKNEYSWNKEANMLYLESPAGVGFSYSVDKSFYLSVNDVITARDNLNFLEKWFENFPEYKNRDFYITGESYAGHYVPQLANLILKSKAKINLKGIAIGNPLLEFNTDFNSRGEYLWSHGLISDATYDLFNKVCNYSTIRRQAQYKSMTPKCSQVSNQASREVGRFINSYDITLDVCLSNVFSQSQVLLNNFQGTETKIDVCVEDETIEYLNRKDVQTALHARLMGVSQWGPCSEVLNYDMHNLEIPMSPVLTSLLKSGIRVFVYSGDQDSVLPLTGTRSVVNSLAKELRLNTTLPYRAWFNGNQVGGWIQVYGDVLSFATIRGAAHEAPFSQPERSLALFKGFLGGKPLPQANEINKKDDRVNTVNRVL >cds-PLY98481.1 pep primary_assembly:Lsat_Salinas_v7:5:208595963:208598890:-1 gene:gene-LSAT_5X94941 transcript:rna-gnl|WGS:NBSK|LSAT_5X94941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSCIDVAAASEQLCYIPCNFCNIVLAVSVPCSSLFDIVTVRCGHCTNLWSVNMGMAAAFHQSFSNSNSSSQDCTSHHQHGQAPNHTPPNYRVDLGSASKYNNRMPMRPSPTSITNDPKINRREFIDSSLFE >cds-PLY94114.1 pep primary_assembly:Lsat_Salinas_v7:8:29461253:29462713:-1 gene:gene-LSAT_8X23721 transcript:rna-gnl|WGS:NBSK|LSAT_8X23721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESFLTFLALALSTLLFLILLKRQLYCTCDVCHCYLKSQWSTNYNNLCDWYTHLLQKSPSQTIRIHVLRNTITANPTNVEHILKTKFHNYPKGKQFSMILRDLLGNGIFNVDGDSWLFQRKMASLELGNVSNAFANVCYHVQSRLLPFLSSATRERDGGLVDLQSVFRQFSFEYICRFSLGLDLNSPELPFHISQFSDSFDLATTLSAKRALSASPLIWKANRILNMGSERKLKEAVQNVNFLIEQVINQKKKVGFTKNQDLLSRFMVVSDDHTFLRDVIINFVLAGRDTVASALTSFFWLILNHPEVESKIRLELDQLVNENQEFATFEQVKKLDYLQAAIYESMRLYPPIQFDSKFAVEDDRLPDGTLVKKGTRVTFHPYAMGRMETIWGKDCKEFKPERWLKGGIFNQESPFKYPVFQAGLRACLGKEMALMEMKTVVLCLLPRFSFRLVNSDGELQFEPALTATVKNGLPVIVSERNHVASH >cds-PLY99114.1 pep primary_assembly:Lsat_Salinas_v7:8:205126506:205128325:1 gene:gene-LSAT_8X130100 transcript:rna-gnl|WGS:NBSK|LSAT_8X130100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSDKDIVALSGGHTLGKAHADRSGFDGPWTRDPLKFDNSYFVELLKGESEGLLKLPTDIALLDDPAFRPYVELYAKKNHKSKPIYYQKIGPDEKRKKEEAYLRRRGRRLQKIGTDEKRVVDDWLLREKKIGVEVGEETMESLISSFGRARV >cds-PLY94644.1 pep primary_assembly:Lsat_Salinas_v7:1:41270407:41272418:-1 gene:gene-LSAT_1X36661 transcript:rna-gnl|WGS:NBSK|LSAT_1X36661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMQILLPTPPPPPPLLLPPEHRSSLYAGDLHPDITDNELYHLFSMIGPVYSVRICRDRFSRKSLGYAYINFYLHSHAAVALSRLNHFELRGQPIRLMWCQRDPLTRKTGVANLFVKNLDPFVTDAKLEKIFGKFGRIHSSKIAKDDNGNSKGFGFVQFDSEESANDALTALDGTTFEGKIISVAKFLKKSERKEPEFTNVYVKNLESDFTESLLREKFSEYGNVTSAVIMSDADGKSRGFGFVNFESHDSAKKAIEALNGVIIGSKELFVGKAMKKSEREGFLKLAHKKDTKKNTSNLYVKNLAASVDEKTLEETFGAFGNVVLAKVVRYKNGISKGFGFVCFSNQEEATKARDSLNGKFYHGKYLNVGIALSKEECAQKLQARFGSQYIPSYHMPSFVRTPVVYDPSYWQSNAYGRMQVFPNYKPKEGVYSSYDKDQKIQNPLINYEAYFPKGSSANKANHDQVGLSIYLISF >cds-PLY97091.1 pep primary_assembly:Lsat_Salinas_v7:4:75184301:75186994:-1 gene:gene-LSAT_4X50621 transcript:rna-gnl|WGS:NBSK|LSAT_4X50621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTARDGGDNSLSERNVAEEVSRLVEQSKELQESASTLISRNSQEESSLRQRALALDSNIKMLRTFIGSSVKKGNLDSKHAEKLAEELSRASFTLGEGDAATFLPCKSHGRFLRMLLGPINVRANRQDVQLKVKEEYYSFRDRTAYLFLFFPSLLLVLRSCLWDGCFPALPVQIYQAWLLFLYTGLALRENILRVNGSDIRPWWIYHHYFAMAMALISLTWEIERQPDCAQKQKGIQLFLRWAIMQGVAMLLQNRYQRQRLYTRIALGKARRMDVVWGETAGVKGQLWILLPILFVLQAFEAYVGVLLLKTAVVGVISEWQVVTCGILLIIMAVGNFANTVQTLVLKSRFKAKMKKGKSRSDLTTSTSKDE >cds-PLY97426.1 pep primary_assembly:Lsat_Salinas_v7:4:14778022:14780144:-1 gene:gene-LSAT_4X9820 transcript:rna-gnl|WGS:NBSK|LSAT_4X9820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSSCTDAGDGGRAVDENGAVDDEEAPLLTTAECRICQEEDSVDTLETPCACNGSLKYAHRKCVQHWCNEKGDITCEICNQAYQPGYTAPPPCPRLEETTIDIGGGWQISGTPMDLNDPRLLAIAEAERQYLEAEYEDYNASNASGAAFCRSAVLILMALLLLRHAFTVPESEGDGDEDAATFLTLFTLRVAGFLLPCYIMAWAISILHRRRQRQEAAALSASQFAFVWQAGQRRGLHFAIASAPPPAAAATPAVAPQEDIV >cds-PLY67565.1 pep primary_assembly:Lsat_Salinas_v7:3:54459897:54461025:1 gene:gene-LSAT_3X42221 transcript:rna-gnl|WGS:NBSK|LSAT_3X42221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNWFIELVDTSSDYFTLHTLYVRFCSSQLERIRRELLGYKSMSTSIGDAHNSVELVSFSLRRVLKATGSFSIENKLGEGGFGSVYKVSLPGNREVAIKRLSARSSQGREEFMNELRIIAKLQHKNLVRLLGCCVEEDENILLYEYMPNRSLDKFLFGALFCLFIHSDYISRCLIYIFYLSSSLDPSESVNLDWSKRFNIIKGIAQGLLYLHKYSRLRVIHRDLKASNVLLD >cds-PLY62133.1 pep primary_assembly:Lsat_Salinas_v7:6:46950183:46950440:1 gene:gene-LSAT_6X33580 transcript:rna-gnl|WGS:NBSK|LSAT_6X33580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETDDRIAIEKLFNGGRCEGRQSTILRSSPIVGNKEDQGEDPPVLIFVGLRRRNAIGIRNREAPPMLHRFEGGGGIYRFPVSLP >cds-PLY68125.1 pep primary_assembly:Lsat_Salinas_v7:8:34443303:34443956:-1 gene:gene-LSAT_8X27541 transcript:rna-gnl|WGS:NBSK|LSAT_8X27541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIYDDALQIAKFQAIVAISATIPGYFLAVYMIDYVGRVEIQATGFFFMAVSLFTIAFVNKSTWGSEPESDSGIGFMILYGLTFFFANFGPNTTTFIVPAELFPARFRATCHGVSGAAGKVGAIIGSVGFLWACRDPPNGLGVSHTLRVMGLVCVLGFFVTYFLTRETMGRSLEENENVDEFIGVCFIRFWPHKVWAKRFDETIVNDRLHLSLSNAM >cds-PLY84271.1 pep primary_assembly:Lsat_Salinas_v7:8:115419414:115421721:-1 gene:gene-LSAT_8X80221 transcript:rna-gnl|WGS:NBSK|LSAT_8X80221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNISSVKLETNPSATAFRSLNGNVRGYTTTGLPHVSLHHHSYHRRNASIACSASPIVTLEERKGEIPSRIGSLGQVSGVLGCQWGDEGKGKLVDILAKHFDVVARCQGGANAGHTIYNSEGKKFALHLVPSGILNEETMCVIGNGVVVHLPGLFQEIDNLESNGVSCKGRILISDRAHLLFDYHQEIDGLREAELAKSFIGTTKRGIGPCYSSKVIRNGIRVCDLKHMDTFPDKLDLLLSDAASRFQGFEYSPEVLKKEVEVYKRFAERLEPFVCDTVHYMNESISQKKKVLVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVLGDVIGVVKAYTTRVGSGPFPTELLGEDGDLLRKAGQEFGTTTGRPRRCGWLDVVALKYVCQINGFSSLNLTKLDVLSDLSEIKLGVSYKHTNGTPMNSFPADLTDLEESKARFWNEVVEYESMPGWQADISSVRSYVDLPKAAREYVERIEELVGVPIHYIGVGPGRDALIYK >cds-PLY81791.1 pep primary_assembly:Lsat_Salinas_v7:3:32406464:32409744:-1 gene:gene-LSAT_3X24241 transcript:rna-gnl|WGS:NBSK|LSAT_3X24241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGDVAMTPAGLDWKFSQVFGERAAGEEVQEVDVISAIEFDKTGDHLATGDRGGRVVLFERSDQIDHGVLRRVLEGMDCSSSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANSSLFLLSTNDKTIKFWKVQEKKIKKICNFNIDPSNHLSNGFISSSNVHTSLRLPMVTSHDSSLLARCRRTYAHAHDYHINSISNNSDGETFISADDLRINLWNFEINTQSFNIVDMKPANMDDLTEVITSAEFHPSHCNMLAYSSSKGSIRLLDLRQSALCDNHSKLFEEHEPQGSRSSFTDIISSISDIKFAKGGRYILSRDYMTLKLWDINMGPGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGQRVASGSYSNLFRVFGCSSGSSEATTLEATKNPTRRQIQTPPRFLRSPANYTHAVGSRRGLDNSGVDINGNNSYDISTKLLHLAWHPNENLIACAASNSLYMYYA >cds-PLY61820.1 pep primary_assembly:Lsat_Salinas_v7:6:62318483:62320501:1 gene:gene-LSAT_6X46140 transcript:rna-gnl|WGS:NBSK|LSAT_6X46140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFKLLKWQILRGTLAWRLILRAMFFVLAMVLLSLTHITNEIRTNEPILLNFDKCSLNIGSIANTQLKSSDKVSIPCVDGMNLTVSVIKELMNKEMLNMEANSLCVGDGADSVAFTLRELGFSNALGVHRNPLLSLLQKQFQHKLDFDSNSFDFVFSRTLNRVSVPALLVLEIERVLRPGGVGAMLVGSSTFRMLNLVRSATPISLLLRSSEILHVCGIDPFTLIVFKKQLDSVTFFENYKLPSECPSISKNNPLIQYIEPLSDPNLSYLPKLVNISSRNRLININMGGHKFDPHYPIHPDSFNVYVVDHDISALISHVKKPGVTFVYHPGLTEEDKTIPSLMSADYLEAPLHEEEFEFIDWFKETAKDGDFVVLSMNSEVAQLKVLFELFESGAICHVDELFLRCDDGVDCRSGYCKDCMSLYNGLRNAGVFVHRMLGE >cds-PLY76145.1 pep primary_assembly:Lsat_Salinas_v7:4:52672163:52672438:-1 gene:gene-LSAT_4X35821 transcript:rna-gnl|WGS:NBSK|LSAT_4X35821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQQSFSYVGFRVSDLDVPTDYELHAMETQVQIEEMRDEMRQQLDEFREEIRYLKKIVTVMAAVGVAVMSLIGVCVCVESSGWGFLVGVV >cds-PLY97073.1 pep primary_assembly:Lsat_Salinas_v7:4:75180335:75181668:-1 gene:gene-LSAT_4X50601 transcript:rna-gnl|WGS:NBSK|LSAT_4X50601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNNFTRSQKLLDLSQRFRQSKSVSPISDDPSIGQSNQSQRSKNPNRAAVLICLFEEGDDINVILTQRSSKLSSYSGQVSLPGGRTDEEDTDDIRTALREAEEEIGLNPALVDVVTVLEPFVTKGNVSVVPVIGILWDKQAFNPIPNTEEVESIFYAPLEMFLKNENRGEKEWELGGHKYLLHYFYHKTNDSRVYEIWALTAGILIAAASIVFRRPPEFQQRMPKFWNRNHSKF >cds-PLY80596.1 pep primary_assembly:Lsat_Salinas_v7:6:13342095:13343740:1 gene:gene-LSAT_6X9800 transcript:rna-gnl|WGS:NBSK|LSAT_6X9800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRTIATSFFQSSSFSTFVRTCSTHGPRIRPPICFITRPISYAPIRCAATSDSGGGGHKKASARMSQVHQLLHEAEERAAGHNEPIPTITLDHVSVSFARSGGPGGQNVNKVNTKVDMRFSIKDAYWLSERVRDKILQMEKNRINKDGELVISSTKTRTQKGNIQDALEKLQEIINAASYVPPPPSQEQVKKINKIAAISEAKRLQSKKVLSQKKAFRRSKDSYD >cds-PLY94995.1 pep primary_assembly:Lsat_Salinas_v7:5:5362846:5363833:-1 gene:gene-LSAT_5X3160 transcript:rna-gnl|WGS:NBSK|LSAT_5X3160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKGIHPQMQWISYVTQSGRLMHVMMTKIHQAGKVYHFRARRQMAESVGQVAKFKRRYGQMEEEKEDEAK >cds-PLY68895.1 pep primary_assembly:Lsat_Salinas_v7:2:194277848:194282273:-1 gene:gene-LSAT_2X116181 transcript:rna-gnl|WGS:NBSK|LSAT_2X116181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNEEEKRCPLCAEEMDWTDQQLKPCKCGYEVCVWCWHHIMDMAEKDATEGRCPACRTPYDKDRIVGLEANFQRVSANSSSRKQKQPKAKQKPNEPRKDLSNVRVIQRKMAYIIGLPLSIADEDLLQRKDYFGQYGKVTKVSLSRTAGGTVQQFVNDTCSVYITYSKEEEAVRCIQSVHGYVLDGRFLRASFGTAKYCHAWLRNMPCNNPACLYLHNIGAEEDSFGKDEIAAVHTRNRVQEIVGAAQYLHKRSGSTLPSPVDQHLNNHSSSPDGLKVVDYATAASCNDQSQLPRSSKHMTTFVDIVGRSCNSGSDKDVTEGRMVNLCSEMSSICMNKAESSDLVSLKSSYPVDGVTNSKSVEVKDSNIITKEESDSDDQGWEDCIASSQKGYLDPCEAIKKRYDWWNMESCSVNNKYAGDEGVRQSGACTNSVLSEKEGLGKSDSDRIYRSSKAFSNEEIVEHLRRLGDDDSFVNDDDDENSAAVESSIISNILSMDFDGSDDSVLPGMGLFERKDGWQYQSRFSFANQDLDKLSLLQNQNQNQNQDNIYKPQYQASRGVQNLNLTPPGFSKPPPGFSSSMTIRSEHQAVSATPGSYVTNSFSNNHHYRTPSIGNISNSSDDLIDPAIMVVGRGKSSSFDMRSNSNSNSNSFDEESKLWLLMQQQQQSATSAAAYHHHHHHHHHHNHDPQYSQTSLMPHQFSSSYQDDYYGELNSRVVDQQHQHQRYLQQHKFGNGGGHGHEVQQLNSRSDLGLVGLDKLLPGYGDVYTRVFGM >cds-PLY62264.1 pep primary_assembly:Lsat_Salinas_v7:5:162792415:162795653:1 gene:gene-LSAT_5X72621 transcript:rna-gnl|WGS:NBSK|LSAT_5X72621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIDAALSFVTFLRLTKHHHLLPCPEPPTVTPSRQQAAASNAKLLRKQPRAAVADKASFFPTRNFGSPVFRKSYIYVFPASKFFHEKEQANNLFSSTMEKPDDLKFEAGQLAESKTFEDGFRGAWFRCKIKDINLNQILAEYFDFVDKVVIEWTKIYELPHYGRKSKQIKKQLMVRPPYPKMYLKNEMPPVNSITEVCVVIDGEWKVGDLIDWCKDDCYWSARIIKILSDDEVQIELPMPPAGQGGIYNAFCKDLRPSLNWTPLEGWTFPTMRGQASCSAQLIFPSQQGMDIESREEEVASPQNASSTSQISVISLATPIEEEEALQSQEVKTSGDDVEKVSSSDNISTLRVEENKTDDDDAWDDVDHNMIDLNIMHEETLEASILDLEELANRIKWLKSILDNSRSNSGSWKFEGES >cds-PLY86968.1 pep primary_assembly:Lsat_Salinas_v7:5:257751366:257753491:1 gene:gene-LSAT_5X133000 transcript:rna-gnl|WGS:NBSK|LSAT_5X133000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPNTPPQATPPPFFWGDTPEEEYYKSQGVRNSKSHFETPNGKIFTQSWLPLDEDQPVKGVVFMTHGYGGDISWCFQEICIAYAKWGYAVFGADLIGHGGSDGLPGYIGDFDKAAATSLSFFVSVRRSEQYRNLPAFLFGQSMGGLITMIMYFQSEPDMWSGLILASPLLIIPEVTSPSKFHLTMYGLLFGLADTWAAMPMRPRANGVRDPEKMKLIGMNPKRYAGKPRVGTMREVARCTKYVVDNFEKVTVPFFVGHGTADGMASHTGAELLYEKAATPKEDKELKLYEGLYHSLIQGEPDESAAIVLGDMKAWIDEKAQKFGPTCFKRNWDEFGKKQRKEADAMAIMPNKNQRFIKYQYALSNVAVHPMTPGYALPSVAIRPMTPRYALPNVAVRPMIPRVRLALATTKAIMKMKLINW >cds-PLY82406.1 pep primary_assembly:Lsat_Salinas_v7:2:185085729:185088452:-1 gene:gene-LSAT_2X108501 transcript:rna-gnl|WGS:NBSK|LSAT_2X108501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTPATTCSLWKAPVNCSNRSTVESGAVVNARSLKISSNRKYAGCFKLKVVNSLSNNGNGSASGGAPVTLPFSPTNNGAASPLSSKVKRHTISVFVGDESGIINRITGVFARRGYNIESLAVGLNKDKALFTVVVSGTEKVLQQVVEQLNKLVNVLKVEDLSKEPQVERELMLVKLNVNQTTRSEIMWLVDIFRAKVVDTTECSLTIEVTGDPGKMVTVQRNLAKFGIKELTRTGKIALRREKLGESAPFWNFCAASYPDLEAPTPIPPASSITHPVDENLSVVSGGDVYPVEHYDRFSMNQVLDPHWGVLYEEDSTGHKSHTVNILVNNAPGVLNLVTGVISRRGYNIQSLAAGAAEMEGLARITTVIPGTDESIDKLVQQFYKLVDVHEVKDITYLPFAERELMLIKVAANASARRDVLDIACIFRAKPVDVSDHTITLELTGDFNKMLALQRLLESYGICEVARTGRVALVRESGVNSAYLRGFSFPV >cds-PLY68341.1 pep primary_assembly:Lsat_Salinas_v7:8:298553910:298557760:-1 gene:gene-LSAT_8X164740 transcript:rna-gnl|WGS:NBSK|LSAT_8X164740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIVQAYRRISGGSVIVADSLRFTTCWRHFSTAFREERDTFGPIQVPSDKLWGAQTQRSLQNFEIGGDRERMPEPIIRSFGILKKCAAKVNMEYGLDPSIGKAIMQAAQEVAEGKLNDHFPLVVWQTGSGTQSNMNANEVIANRAAEILGHKRGDKFVHPNDHVNRSQSSNDTFPTVMHIAAATEINSRLIPKLKQLHTSLQAKTNEFSDIVKIGRTHTQDATPLTLGQEFSGYTTQVKYGIERIICTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVADETKLPFVTAENKFEALAAHDAFVEASGALNTIATSLMKIANDIRFLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEAMTMVCAQAYGNHVALTVGGSNGHFELNVFKPMIASNLLHSIRLIADASASFEKNCVRGIQANRDRISKLLHESLMLVTSLNPKIGYDNAAAVAKTAHKEGSTLKEAALKLGVLTSEEFDQLVVPEKMIGPSD >cds-PLY87452.1 pep primary_assembly:Lsat_Salinas_v7:2:134154063:134154965:1 gene:gene-LSAT_2X63801 transcript:rna-gnl|WGS:NBSK|LSAT_2X63801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKIPPRIAQIRLVSSHPQVYAPCDDSYALVDALLADRKKLINHRPTICMEIGCGSGYIITSLALILKSESNSHSPHFFATDVNPHATNVTRETLEAHGVHAEILTTNITSGLEKRLAGMVDVMVGGGELSAAWAGGENGRSVIDRILPVADNLLSDKGWLYMLFLAANDPLQICLEMRDKGYGAKIVVQRSTEEETLHVIKFWREFDGQLEGNEAGSTPKSAPQRGWDFIFSQISRLSFRETK >cds-PLY62242.1 pep primary_assembly:Lsat_Salinas_v7:5:166414536:166414858:-1 gene:gene-LSAT_5X75720 transcript:rna-gnl|WGS:NBSK|LSAT_5X75720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQGDIYENGLVEYEEGRKGSLQVVTMVDIEPLDTHPNPVNNVEDEDDDLNFLDDGLSDS >cds-PLY63026.1 pep primary_assembly:Lsat_Salinas_v7:8:184726276:184728196:1 gene:gene-LSAT_8X120880 transcript:rna-gnl|WGS:NBSK|LSAT_8X120880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGVGMGMVGSRVIAIHHRHHRTLIPTPQFNLHFNLPSIHSHRPSIMSATAATPSTAVNNPVLQQNTASTDSTSGCWPEFASNISGEWDGYGADFTIDGHPIELPENVVPDAYREWEVKVFDWQTQCPTLAQPENPSAIYKLIKLLPTVGCEADAATRFNVDERTIGGPTNMVSAFAYQSSGSYTALWSTQKAGILELEHCLIDPRDKESRVRIVQIMGFEEKSKLVLKNIKVFVEQWYGPFRNGDQLGGCAIRDSAFATTKALDVSQVLGMWQCVNSKAYFQDSPNSVLQELIPVDGVEKSLRDKEHLVLLPKNLWSSIKETEDGEKTWCEVGWLLESGRAITSKCIFSRNGELKEIITSSETTEVGV >cds-PLY79039.1 pep primary_assembly:Lsat_Salinas_v7:3:8755694:8758086:-1 gene:gene-LSAT_3X5320 transcript:rna-gnl|WGS:NBSK|LSAT_3X5320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G63160) UniProtKB/Swiss-Prot;Acc:Q9CAM7] MASSSASLSSSHGYEIPWVEKFRPTKVADIVGNEDAVSRLQVIAHDGNMPNLILAGPPGTGKTTSILALAHELLGPNYKEGVLELNASDDRGIDVVRNKIKMFAQKKVTLPPGRHKVIILDEADSMTSGAQQALRRTMEIYSNSTRFALACNTSAKIIEPIQSRCALVRFSRLSDQEILGRLMVVVDAEKVAYVPEGLEAIIFTADGDMRQALNNLQATYTGFRFVNQENVFKVCDQPHPLHVKNMVRNVVEGKFDDACSGLKQLYDLGYSPTDIITTLFRIIKNYDMAEYLKLEFMKETGFAHMRICEGVGSYLQLCGLLSKLSIACITAKAA >cds-PLY82485.1 pep primary_assembly:Lsat_Salinas_v7:2:188244392:188244655:-1 gene:gene-LSAT_2X110041 transcript:rna-gnl|WGS:NBSK|LSAT_2X110041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPTRGKDKGQRLRNFDEGLVTVAAGAAPTAPVASFPAISSSGKGQGTIRSPQTSSVVNLGQEIVFLGWCSLGTTTTPPSPSHAFFF >cds-PLY62374.1 pep primary_assembly:Lsat_Salinas_v7:8:113428419:113430622:1 gene:gene-LSAT_8X76881 transcript:rna-gnl|WGS:NBSK|LSAT_8X76881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPVEVIGNILSRLGAARDVVIASATCRKWREAWRIHLHTLSFNSNDWPVYHELTTSRLEILITQTIFQTTGLQSLSIIMDDVDEFSAAPVIAWLMYTRESLRQLHYNVRTSPTINIIEKCGRQKLEILNLSHNTITGVEPSYQRFPCLKSLSLSHISISALDLSYLLTACPKIEILTLLSLDIAMSDAQTSMELNSPSLKDLSAEAISLEKFILEADNLEKLQLKDCTLEVFELIGKGTLKLLKIDDVSVIHLDIGENTENLEVVDVSNFTIMWTKFHHMISKASKLRGLRLWGVVFDDEDEVVDMETISVCFPQLTHLSLCYDLKEGSLQYSLQRCFELKNVIMLELGWTVITDLFSQWVGGLLERCPNLKKLIINGVVSEAKSHEECQVLANFTTSIVGLMRRYLHVDVQFEYE >cds-PLY69343.1 pep primary_assembly:Lsat_Salinas_v7:7:82156268:82157954:1 gene:gene-LSAT_7X57600 transcript:rna-gnl|WGS:NBSK|LSAT_7X57600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLSYIILQERNHEKVKLLDKIKSIKDKTVISNSQQSKHHKLPPLVSALKASSEQKVASFHFPGHNRGRAAPSSLSNLIGLQPFHHDLPELPELDNLFTPVGPILDAQKQAAELFGATETWFLVGGTTCGIQASVMATCSPGDTLILPRNSHISAFSSMVLSGVIPKYITPEYDSDWDIASGVTPSQVEKAMKELETKGQKPSAVLITSPTYHGICTNLQQISSLCHSQNTPLIVDEAHGAHFAFHNSFPPSALHQGADLAIQSTHKVLSSLTQSSMLHVSGNIINREKICQCLQTLQTTSPSYLLLASLDASRAQISENPKIFDKAVEIAAEAKSLIKKIPGIGILDSGVNIGIDPLRITVGVWELGISGFEADDILYENYGVVSELVGTRSITFAINLGTRRDDVVRLVSGLKYLSESRIPIPIPIPNDVRVFMGMCSGMRLSPREAFFASKKKVSFRESIGEICGELVCPYPPGIPLLIPGEVITEEVLRYLVEVKNNGGFISGAADSSLSTIVVCS >cds-PLY80270.1 pep primary_assembly:Lsat_Salinas_v7:4:225481778:225483346:1 gene:gene-LSAT_4X124421 transcript:rna-gnl|WGS:NBSK|LSAT_4X124421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEILEILFAIVTCSLFCCLWWTHKSTTSRRQKTLPPGPPGWPIVGNLFQVILQKRQFMHVVGDLRKKYGPIFTLQMGQRTLVIVTSSDLIHEALVQKGSNFASRPPDSPIRLLFSVGKCAINSAEYGPLWRTLRRNFVTELINPTRIRQCSWIRKWAIEEHMKTLESENSQHGFIEVMNTCRLTICSILICLCFGARFSKEKLKNIESIVKDVMIISMPKLPDLLPVLLPLFRRQLVAAKELRKRQMECMVPIIRARREFLEKSEDNMKTPTVNDLKVDDLEMVSPPGAAYIDSLYNLQPPSRGMLGEEELVTLVTEVILAGTDTSATTIEWAMFHLVTNQEIQEKLYKEIVGKVGVNGVIEESDVENMEYLDAVVKETFRRRPPGHFTLSHAATQPTELGGYVIPTGVNVEFYTAWLTQDPDAWEDPEEFRPERFLEGGEGASVDITGMKGVKMLPFGTGRRICPAWSLGTLHINMMLARMIHAFKWVPVPGNPPDPTETFVFTVVMKNPLKAMIMPRQKS >cds-PLY85125.1 pep primary_assembly:Lsat_Salinas_v7:9:145993439:145994562:-1 gene:gene-LSAT_9X93581 transcript:rna-gnl|WGS:NBSK|LSAT_9X93581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVGKRMDALLIPLELLSCVSRTEFSDKKAYIRWQKRQLNMLEEGLVNHPVVGFGESGRKASEMRILLARIEESESFAPSVGELQCIECLRSLREIAIALAERPARGDLTGEVCHWADGYHLNVRLYEKLLSSIFDVLDEGKLTEEVEEILELLKSTRRILGITETIHHTCYAWALFRQFVKAKLLVIMYFI >cds-PLY97401.1 pep primary_assembly:Lsat_Salinas_v7:4:17086742:17089239:1 gene:gene-LSAT_4X12180 transcript:rna-gnl|WGS:NBSK|LSAT_4X12180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSPIPLLFLLFFTLFIHPSISKSPSLFLSSNSLTKSNNSVTIRWSGVDSPSQLDWLGIYTPPNSSLHHYIGYLYLNTSSTWQSGSGSVTIPLINLRSKYKIRIFRWTESEIIPTRQDHDNNPLPQPKHLLAETEEFEYEQAHGPDQIHLALTGEVGEMRVMFVSGHGKKSVVKYGLDSGRMDHAVETRVGRYEREDMCDSPANQSVGWRDPGFIHDGVMINLQPGRRYFYKVGSDSEGWSNTFDFVSPDNNSGETIAFLFGDMGTATPYNTYIRTQDESISTIKWIARDIEALGEKPALISHIGDISYARGYSWIWDHFFNQIEPVASKVPYHVCIGNHEYDWPMQPWKPDWAMYVFARDGGGECGIPYSYKFNMPGNSSESTGTRAPPTRNLYYSFDFGVVHFVYLSTETDFLKGSKQYEFLKSDLESVDRVKTPFVVVQGHRPMYTTSNEVRDRPIREKMLEHLEPLLVDNKVNLALWGHVHRYERFCPINNYTCGSGPVHVVIGMAGQDWQPIWEPRPNHLTVPIFPQPARSVYRGGEFGYTKLIANREKLTFTYIGNHDGEAHDVVEILAPGEVINGEITKIVTNEAGPSENLKGKDGKTSVLWYVQVAGLLLFCMLVGYFIGSMSRKKKEGVTNKDWTPVKTTEET >cds-PLY82711.1 pep primary_assembly:Lsat_Salinas_v7:2:146000628:146001412:-1 gene:gene-LSAT_2X72161 transcript:rna-gnl|WGS:NBSK|LSAT_2X72161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVVLLPKEYSYIILTIITYCFVNLYMLLQVNKARKKYHVSYPALYATEADTKDYKLFNCIQRGHQNSLESLPIFFVLMVLGGFKHPVICSCLGLVYTVTRFFYFKGYSSGDPKGRLPIGGFNGIALLGLVIVNITFGISLLITA >cds-PLY94392.1 pep primary_assembly:Lsat_Salinas_v7:6:9314905:9316638:-1 gene:gene-LSAT_6X5940 transcript:rna-gnl|WGS:NBSK|LSAT_6X5940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDGENEPFLTLEHEPKPQPDCFASTKSRKLKRCRSAPMVELPQGSKIERLLENPKSIFDQLNPHLTRVAIYLFIYLSISTLCFYLMRNQISGDKTNGILDSIYFCIVTMTTVGYGDLLPNSATTKLLACAFVFTGMALVGIILSKAADSLVENQEILLVKAFHLRKKAGALETLREMETNRVRYRCLILSILLIVLIASGTIFLTTVEKMEFVDALYCVCSTITTLGYGDKSFSTIYGRVFAIFWILMSTICLAQLLFAFAELYTETRQRSLVKWVLTRRTTAADLEAADLDDDGVVGAAEFAIFKLKEMGKITQEDVLVILEEFKSLDVDDSGTLSASDIYLAQS >cds-PLY89187.1 pep primary_assembly:Lsat_Salinas_v7:3:20933444:20937265:-1 gene:gene-LSAT_3X15561 transcript:rna-gnl|WGS:NBSK|LSAT_3X15561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKPSEAEKNLVQSTTTAAGVAGGVAYAQGARKLYVGNLHYIMKEDQFRHVFESFGVVELVQLPTDETGNCKGFGFIQFARLEDARVAHCLNGQLEIAGRKMKVSAITDQSGMQEMGVNPGDFDDDEGRGVVGRPHRKEEEGYR >cds-PLY82922.1 pep primary_assembly:Lsat_Salinas_v7:1:19232023:19233039:1 gene:gene-LSAT_1X16680 transcript:rna-gnl|WGS:NBSK|LSAT_1X16680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFSNFILISSLVSLFTDLPIIKDVLEIANVLNSKWKRQVELMYIITYDILFGQDSSLTGDPEKYLILRKSQLQSALAKILLKKGAKRIEDLMSQYKIPADVKKPRYVRVNTLKLDVETAVSELSKDNMVEKDDMIPDLLVLPPAIDLHNHPLVTNGSVFMQGKASSMVAVALGPKPGWETL >cds-PLY77646.1 pep primary_assembly:Lsat_Salinas_v7:5:174332502:174339840:-1 gene:gene-LSAT_5X77001 transcript:rna-gnl|WGS:NBSK|LSAT_5X77001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 33A [Source:Projected from Arabidopsis thaliana (AT3G23590) UniProtKB/Swiss-Prot;Acc:Q9LUG9] MAVSLGTFSYNNRLWDSVLDLTKKSQDKGSDPLIWAIHLSSQLNSAGVSLPSTDLADLLVSHICWENNNPISWKFLEKALIFNIVPPLLVLALLSTRVIPMRRDQPAAFRLYMELLKRHAFKLKSHVEFQNYEVIMNSIDNVLHLSQIFGMQVKVNDSGSLLLEFIFSVVWQLVEAALGDEGLLEERKFRWNVAQDMELEVGYDGKRNEYHEMLQSRNTIMAIELIGLFLQNKVTSRILLLARRNMPTHWRSFIQDIEVLVVNSSSLRNSKVITPEILLQLISDDRKVVSEENKSSYLQEIHTVMSSSAGLSHKISGSSLWIPLDLVLEDAMDGSQVDTTSSIEIVTRIVKALHALNGNTWHEIFLGLWMAALRLVQRERDPIEGPMPRLDTRLCMLLSITTLVVSDLIEDDETPLQHKLNNQTIENNKETRRRDLVSCLQSLGDYQSLLTPPPLVVSDANQAAAKAMMFTSGINIGSSAYLDFIDIKDMPINFSGNLHHLIVEACIARNLLDTSAYFWPGYVTGHMNQIPRGVPTQVPGWSSFMKGAPLTPIMMNALVSEPASSLAELEKVFEIAVKGSDDEKIAAATILCGASLIRGWNVQEHSAYFITRLLSPPLPVNYPENDSHLIAYAPMLNVLLVGIAPIDCVQIFSLHGLVPQLAGSLMPLCEVFGSCVPNISWTLTSGEEISAHAVFSNAFALLLKLWRFNHPPLEYGVGDVPPVGSQLTPEYLLLMRNSQLVSSGYEDRNRRRLSAVAKTSCPNPIFLDSFPKLKAWYRQHQACLASTLSGLVHSPVHQIVDGLLNMMFRKINRGQPLNSVSGSSTSSGPGSEDLSLRPKFPAWDILEAVPFVVDAALTACDHGRLSPRELCTGLKDLADFLPASLAIIVSYFSAEVSRGVWKPVFMNGTDWPSPAANLSKVEEHIKNILAATRVNVPSLLAGISSPATLPLPLAAFVSLTITYKLDKASQRFLNLAGPALESLAAGCPWPCMPIVASLWTQKAKKWSDFLVFSSSRTVFLHNNSAVAQLLKSCFTAALSHSGVGALLGHGFGSNFYGEISPVAPGFLYLRVYRSIRDIMFLRDDIISLLIESVKDIASNSKTKGRYGQVSLAASLTKVKLAAQLGASILYISGGQGLVQSLINETLPSWFISVHKTEVEESSNGAMSMLRGYALAHFTVLCGAFAWGIDSRLSASKWRPRFLESHMEFLGSAVNGKITLGCDSVTWRCYVTGFVRLMVGCVPNWVVEVDREVLMRLSKGLRLCNEEELAVGLLEAGGVGTMGAAAELICQIDS >cds-PLY83449.1 pep primary_assembly:Lsat_Salinas_v7:9:88224723:88226042:-1 gene:gene-LSAT_9X69240 transcript:rna-gnl|WGS:NBSK|LSAT_9X69240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHPIAEANETSPFGKRTPEEFYAHHSLVHTTDHLINPRGLKLFTQSWTPLPPTKIIGVICVIHGYTGESSWFVQLTSVYLAKAGFIVCAIDHQGHGFSDGLRLHIPDINPVVDDCISFFNSFREKHAPSLPSFLYSESLGGAIALLITLRRDGKPFDGLVLNGAMCGISEKYKPPWPLEHFLSIAAAVIPTWCVVPTRGSIPEVSFKVEWKRKLAMASPRRSVARPRASTAKELLRICRDVQSKFEEVDLPLLIIHGSDDIICDPACVEDLHRRAASKDKTLKIYPGMWHQLIGEEDDDVELVFADVVEWLKTRATSGGDS >cds-PLY94872.1 pep primary_assembly:Lsat_Salinas_v7:2:177159964:177160939:1 gene:gene-LSAT_2X98261 transcript:rna-gnl|WGS:NBSK|LSAT_2X98261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSHFQHSFQFLLLISITLLCTTITTTIAQSAPPPGPPGPTNITKILEKASQFTTLIRLFAITKVGDQINTQLNNSKQGMTVFAPTDNAFSSLSVGTLNSLSDQQKDELVQFHVIPTFISTSQFQTLSNPLRTQAGDSTTYNFPLNITTSGNQVNLTTGVVNATVANAIYTDGSLAVYQVDKVLLPMSLFGPQPPAAAPAPEPLKDKKKKSGDEDTTATSDGKASANSVGRIGLRRDLHGLTAGAIAIIIMFYL >cds-PLY86340.1 pep primary_assembly:Lsat_Salinas_v7:8:27269026:27271021:1 gene:gene-LSAT_8X17560 transcript:rna-gnl|WGS:NBSK|LSAT_8X17560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSCRRKSNYDPKTGIYHSLIQLEGSHKIPTTANLDTATFVLSQFPSLDQSDMKVALIDSTTNRRLTYRELRRSIHSLAAGLYQRFGVRKGDVIFVLSSNSIIYPTICLAILLVGGVLTTSNPINTESEITKQVLDSGAKLAIAAPDAMHKLLSTGIPTIVTTRDPLNSTEVSVEELINSCDQSMELPENKQTQSDTAAVLYSSGTTGTSKGVILTHGNLISTITLLKWAAETTAAKDDIFLCFIPMFHIYGLVFFGLGLLCANTTTVLMNRFELQAMLEAIQTHKVNNIPAVPPVILAMVKYNGGGYDLSSLRSVGSGAAPLSKHVATRFREKFPWVDLKPGYGLTESCGAAAFFVSSEEAIARSAASGGLFPSFSAKVVDFESGIAQPPYSEGEIWLKGPTVMKGYLGNEAATSATIVSDGWLRTGDLGYFDEDGYLFIVDRIKELIKHNGYQVAPAELEAILLNHPHILDAAVIPLEDEDAGEIPMAYIVRASGSELTEEQVMQFVAGQVAPYKKIKRVAFINEIPKSAAGKILRKDLIAQSKQTVKSKL >cds-PLY76992.1 pep primary_assembly:Lsat_Salinas_v7:6:66475042:66476312:-1 gene:gene-LSAT_6X47200 transcript:rna-gnl|WGS:NBSK|LSAT_6X47200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYYIGGLNVIIKFINSKSAKAFYDNEHNWKCWFKWLKWGFNDDLIPERITWIKILGLPVRFRSNENFSRIANTFGTTLEIICVDWKAFDISTGEKDHVPHVNDDIDDEAEDDMEVNDSKEDKGGKQDSKEDAISKTWLGIVQQDDAPEDGEIVEESDDYVAPTTVALMSEDIDNLKVALSVDGKSPQHINAGNIHDIGDTRDDRDGTSVKGGQLLEDPVNNSIKPIKTSHEPNHNTSLRPIYTIPLLRGEKWPNTPTENHIANIAPVFGKGESHDKRRRVMVVKMLSDNNTVRALQSSNYHWNAHPLATTNTPVPPFDLNTYSTESNSKEDHSIDDSGSSSCELTKLVKVGCDVGFQFSKDDPIVTEIATLGVGIKMQT >cds-PLY65184.1 pep primary_assembly:Lsat_Salinas_v7:7:60024568:60026369:-1 gene:gene-LSAT_7X45320 transcript:rna-gnl|WGS:NBSK|LSAT_7X45320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLNVASESYIMVGSFYWYPAIFQMIRKTLEIFSNATLKTLSEKCKTLNQFKEIHAHLIICHLPENPVIIGPLLSVLATSNNASFFSYARLIFQHLRFRNTFMYNTMIRGYLQNKDQVFAFFCYIDMLRFGLVANNYTFPPLIKACCSGSSFRNAKLIGCSVHGHVLKLGFEDDQFVGSSLVDFYSANSEIGNARMLFDEIPIKDVVLWTALIDGYGKNEDIVNARKLFDEMPERTVISWSAIMAAYSRVNDFHEVISLFTKMQKLNIKPNDSILVTVLTACANLGALTQGSWIHLYAKKHHLLSNPILSTSLVDMYSKCGCTNLALSVFETISFKDTGAWNAIISGMAMNGEAKTSLKLLDQMVSIGIQPSGPTFVAILSGCAHVKMVKEGVDLFDRMEKVYKVERKFEHYACVVDLYARAGMLKEAMEFIEVKLGGVGGRDVNVWGALLGGCRSYGNVVVGNGVWRRMMEMGIGMSDYGVCVVCYKMYVEAGWKREAEEVRNRIREMGIRKTPGCSVVEVNGVVEEFVSGGVCHHKGLEISKMLDLLFNVDALMLS >cds-PLY89023.1 pep primary_assembly:Lsat_Salinas_v7:3:250231945:250234244:1 gene:gene-LSAT_3X137680 transcript:rna-gnl|WGS:NBSK|LSAT_3X137680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVVESSSATTADQSNVKGVLTHGGRYVQYNVYGNLFEVSRKYVPPIRPVGRGAYGIVCAATNAETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMEHENVIAIKDIIRPPQKENFNDVYIVYELMDTDLHQIIRSNQPLADDHCRYFLYQILRGLKYVHSAHVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEILTRQPLFPGKDYVHQLRLITELIGSPDDASLGFLRSDNARRYVRQLPQYPRQQFSARFPNKSPGALDLLEKMLVFDPNRRITVDEALCHPYLAPLHEINDEPVCPHPFSFDFEQPSCTEEHIKELIWRESVKFNPDHPNH >cds-PLY84450.1 pep primary_assembly:Lsat_Salinas_v7:1:144988105:144989366:1 gene:gene-LSAT_1X104180 transcript:rna-gnl|WGS:NBSK|LSAT_1X104180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPQSSRSSAALFGRIFCGTPQGIELPSFLDGDLCSGVEGGYTKKAEVALSSF >cds-PLY86824.1 pep primary_assembly:Lsat_Salinas_v7:1:16288654:16292038:1 gene:gene-LSAT_1X13741 transcript:rna-gnl|WGS:NBSK|LSAT_1X13741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFFVSLFLFLASISAISAQYVQESELVINGTLPISHTDANYICATIDWWPDDKCNYNHCPWGSSSALNLDLSNPILTKAVQAFEHLRIRVGGSLQDQVVYDVGGISGPCHPFIKLKWGLFGFSKGCLRMDRWDELNQFFVKTRSLVTFGLNALRGRHQIRKGVWGGSWNSSNAHDFIKYTVSKGYQIHSWEFGNELSGNGVGAMVHAEQYGNDLIELRGIIDNLYRRIQPKPLLVAPGGFFDKEWFAKLLKETGSQIVNVMTLHMYNLGPGIDPNLVKKILDPHFLSRASITFSDLHQIIKTNGPWASSWIGESGGAYNSGGLHVSDTFVNSFWYLDQLAMAAKFHTQVYCRQSLIGGNYGLLSKTTFAPNPDYYSALLWNRLMGTGVLSVERRSVGPHLRTYAHCSKGKAGITLLLINLSNQTEFRLSIHNILNTNLVTPKVTKVSFFHKLKSTFSWIGSKSTDAKLTREEYRLTPQGGDIKSKTMLLNGIPLMVTKTGEIPQMKPAFVNVNSPVSIAPLNIKFIQFPNFQASGCK >cds-PLY89898.1 pep primary_assembly:Lsat_Salinas_v7:8:68435755:68437504:1 gene:gene-LSAT_8X49161 transcript:rna-gnl|WGS:NBSK|LSAT_8X49161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPAQGPSPRLSTIAVHKETPDLQPFEQDLPNNVTRSDHVFQSTSALEILRETVRILRYNLSGFMAIGALLICPVSAVLLSNVLVDPSIVKRLAIRLLLVSKSSGLPLRPFVEHSCQKFSEMAISTTMCFPLYVTLLLISKAAVVYSVDCTYSRKMFDSSKFYLILRKIWKRIVSTYLLVCTVIVGCLTSFLVLLIGVSSLLSVIGFSPNLIAYSAIIFGLFFSVFFANAIIICDLSMVISVLEDISGSEAILRSTVLIRGQTQVGLMIFLGSTIGMAFIEGLFEHRVKTLSYGDGSSRIWEGPLLVIMYSFVVLINFMMSTVFYFSCKSYSLENGSSVECQSVLEALTVSPVGGVDQ >cds-PLY68176.1 pep primary_assembly:Lsat_Salinas_v7:8:119056119:119056681:-1 gene:gene-LSAT_8X82901 transcript:rna-gnl|WGS:NBSK|LSAT_8X82901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQQFYFFPTDFLDTIRHHPSLLPLTANDGDAGDDGKRQLETVMGFRGSFEKLKDNYKNVKIISSDPMPRSVVAILKQKPKVDL >cds-PLY62987.1 pep primary_assembly:Lsat_Salinas_v7:3:119268499:119271947:1 gene:gene-LSAT_3X84241 transcript:rna-gnl|WGS:NBSK|LSAT_3X84241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable hexokinase-like 2 protein [Source:Projected from Arabidopsis thaliana (AT4G37840) UniProtKB/Swiss-Prot;Acc:Q9T071] MRKEVIVGASVATVATVVVAGVLLARWKKRDERRWRQTQRILRRFARDCATPVPKLWHVAFDLVSDMQTSLSDQSQTDFVMLPSYTSSLPNGNEEGLYYGVNLREDNFLLLSARLRGKHEPITDLQREEVDIPTEVISGSLKELFDFIALKLAVFISTKTKTDPIAPKGKLGFTVSFPLLEGPAAAATNKNVIRWKSFSVNDAVGKELAHEVNEALGKHGIDLRVSALVDATLGDLAGGRYYNRESVAAVTLGLATNAVYVESAQLSPNAGESKLGEMVIDMQWGNFNTPHLPITEFDTALDSESSNPGCRMFEKLIGGIYLGEVVRRVLLKMARETAIFGESVPAKLKTPYTLRSPDMAAMHQDTSENRDAVHEKLLEVFGIGYTSPAVREVVAEICDVVAERGARLAGACIVGIMKKRGRINDKKSLVIIEGGLYEHYRVFRNYLHSSVWEMLGSELSDNVLIEHSHGGSGAGAIFVAAAHSQCEEDDAISPSFVAAAAATMVDDDDDMDDRKFMAAAGTLNDDDEPSEWKDDDHTETASWRGDQVDSTAETTDQSDEDDE >cds-PLY78053.1 pep primary_assembly:Lsat_Salinas_v7:4:183764689:183767023:1 gene:gene-LSAT_4X107501 transcript:rna-gnl|WGS:NBSK|LSAT_4X107501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMAIWLTVVMGVVPLVLWLLWWWNNAWYWLVITTLRSSKRGTMLPPGHMGLPILGETLTFLWYFKFLRRPEDYITSKRQKYGDGIGMYKTHLFGRPSVIAFLPSTNKFVLRDNENFKYGWAMVELVGKTSLTGVHGKAHLRLRSFVSRSINQPNALRRIGLAVQPRMISALQSWTKCHNITFYDEMKKVTFENIGMYFASIESGPTLDNLNKYFAGMISGLRAYPLNIPGFTFHHALQCRRKIQAIFKEVLDERRNNYNGDDQPINDLMDGLMNLKDEEGNRLSDTEVLDNMTSILLGGYESIAVVTMWAVYYLAKYPEVLQKLRNENMALKKSKSEQLVTSDEILKLEYTIKVVDETIRLANIAGFLLRITTKDLEYKGYTIPKGWNMILWLRNVHIDPKNFDDPLCFNPDRWNGSMLPENFQAFGYGPRICAGNMVARLQVALFLHHLSTGYKWKLVNPDAKVKYLPHPKPEDGLQITIEKL >cds-PLY72101.1 pep primary_assembly:Lsat_Salinas_v7:7:58207097:58221107:-1 gene:gene-LSAT_7X40840 transcript:rna-gnl|WGS:NBSK|LSAT_7X40840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDPPLCEDMRHKHQVKKEKNVTCEGRRNRRSKKEERGKRNLAQSVLEEEGGCDFGQGLASIVGLERQPSKVNGDVPCILSHGIAKLVDKLVDESSFFEANCYLQIICVDFGRRYDCEMMNVEFNMSLHETELHLYCPTRVQKMEAAFSALFCKNYLSEFGFPLMSVDQLRTLVDRAAVMVLLRVVMMGMASLEMYGLWYEAGGIVVVVFRIMDTEKKRTNLAMFQRTKRLFKRSIETFKSYFSEGYQKLPKTPSYNVGFSPTNMYSEFVDQWEAADRIMAVAYENNTDNLKHKKSSMLINQHEVNRRKQHKHEDTTLKGEEKRYMVTRRLKELELLDGNNVDHIHDIQEVLHYYSRLSCPTYREMVDKFMMDIYSEVLSLPSRS >cds-PLY81585.1 pep primary_assembly:Lsat_Salinas_v7:2:17392015:17401453:-1 gene:gene-LSAT_2X9101 transcript:rna-gnl|WGS:NBSK|LSAT_2X9101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAAETKERKLVGGPRHHQQRGAAITLDGGPHEATKDHHSHHRTAAKEFRQPTTNSEATEKPPGTTGTSPQPPPIYPSSITDHPTTDKSFLLLPSRQTRQNRKVKAAGLPSLPSVVAAVESCRTPSTS >cds-PLY89689.1 pep primary_assembly:Lsat_Salinas_v7:8:42163831:42165642:1 gene:gene-LSAT_8X32400 transcript:rna-gnl|WGS:NBSK|LSAT_8X32400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFTDSSTTTIPRPPPPPAPAASSESSLLLSDSTLQNASSREDHYVASITEEHEVTCWGCGLCLILSPYTPIFKCGWCGAISDHKAIKKDNKYIWWIRLRDRCLLCVLILFMFFIIGGGIWAIYPVIFSKSYLFGILHMTIAVLLSITTITTFALSAFRSPGTPPLIPWGSYPAVGKNGLAGYTFCKYCSKPKSPNSHHCRSCGMCVLDMDHHCPFIGNCVGAGNHRVFIYFLISSVISSFYVSLISSFTAFCILPPVRHIPMAALSGPGDYMLIVAWVKEVGFSFYDSVESLSVRGIVVIYLCVASVAVEIGLSVLLWQQLSYIYQGKTYLSLLSSRGTNRTSKKDCQNFVRFFGCPYSATRCLLGSWNSRKTHKK >cds-PLY71369.1 pep primary_assembly:Lsat_Salinas_v7:4:229729538:229731123:-1 gene:gene-LSAT_4X125640 transcript:rna-gnl|WGS:NBSK|LSAT_4X125640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWSIHSALHKHRIILTCAKFKNGKTEFKNPSTFPSSLLHTSSILKNNRKLPLIQYHYHHPLRCIMSNGDEEELSDEDEELCPVECVREIKKDEELLSVLEKAKEANSLVVVDFYRTSCGSCKYIEQGFAKLCRGLGDEEAPVIFLKHNVIDEYDEQSDIAERLRIKAVPLFHFYKNGILLEAFATRDKERIKGAIEKYTAPASSTPAAAAQNS >cds-PLY62273.1 pep primary_assembly:Lsat_Salinas_v7:5:165056928:165060601:1 gene:gene-LSAT_5X72841 transcript:rna-gnl|WGS:NBSK|LSAT_5X72841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENMNEEIDLGLSLGATNQQRVESCSDSGAGVNADLGSRIDTTNTNTKPFVRPHPLTELVWSTQNGLTIKYTGCSPCFAHTKDDERVIDASFFRSTLPLAHTGRQNRRTADLLPLLSNEPEKLEIKTENSDRFTMDVGLPLKMTQEHTNVKRGEELKEEGSSSAPFLEKMEETAENDVIVKDLENSEKDQKGGENREEGCKEDDDESHKSMESCNSANLSSKKNKGWRFEEQLIIGSKRIKKQSQEYSPVVKQDSSFMNWISTMVKSVKPYQEETPRPFDDDQNKRLGFQTVFQSLYSQDPKRLETKTDIDDKSVDASKEIILFDKTASDHNPYDNLNQKAFGNLWITRLFPKIPSNVNTLVAKDSSTIDASAPCGMNQFEKPVEKSKCFFCGKTGHELRDCLQINENGNVFFKKKVSNINEIASTSGNNKLFNEEKIHRIPKGMFDTIRSLRLSRTDILKWMNSHLPLTNLDGYFLRLRLAKWEEGVGEAGYYVACITDENPSKGSKKPIRVNIGGVECLVESRYISNCDFLEDELIAWWQRTLTSGQVPMEERLTFKLEEREKLGF >cds-PLY92081.1 pep primary_assembly:Lsat_Salinas_v7:8:262546950:262549420:-1 gene:gene-LSAT_8X153161 transcript:rna-gnl|WGS:NBSK|LSAT_8X153161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNSKFHSGCTAEGSLNNSKFNDPMPWIGIYVAAASLICAVAMAMDAMHGLRYKKFWFPCKFFTLNATTLTIIATAIKLSVDLNAAMPRCQDQLAKVSSSAFICMVMGNILPSLGIMEDTELVLNIIALGILVITAITNICIQMGTGVIFEFWVEHAVIMLLMLILLAIFWSLALGIPSTKYYLDIAYEKKAREAHKECFTQRNLSIAERLSEDLGKYWMMAYTSSPQFVIGRSAPCSASGAFCLFNMLILAEAILRSHFMPWCFRFCSGDSDYKWSTTLVLISQSVAVAVGTISPAFRWFMTINFRCPKKAERACVLELVVERYWIKRLLIWQVQPLDLKICNRSCRKLVDGAKFKMFGLCIWIQKGLIFSCKMIRFVSIFFVGRFLRLRRFVSGHNSVSSNDPEMEFRHAHNMKLSQYVIYLDGEDGLVDLMMENNKDATAHWIRMGEKQQPRNLIKLLEQSNYVNTFTGVQEFDSSKVPSLACGEPPNCWALPIVTLTSIAVAIPNIHHQRIQQLVCGVDEGLKYVSEIENHLDNKKDLKHVTKAAEVVWSGVELYNKWLDVDLGKLAHQREPATEIEIIKLLSDISKEKFKEFSDIDMMHMNDFWKEVPSRWPIRVLAANSMYRICETLMLAKQQQTSERLFEKIYLMICNILTASLTNLQYVITSKCHQCKIEEREKSIRSAVLLFGKTKKILQVIDAKRLEDSDHGKLIHIDDWHQVNTQIDPLSSVSSLADQDTAVSSPSDLYVSID >cds-PLY66558.1 pep primary_assembly:Lsat_Salinas_v7:6:166247085:166248574:1 gene:gene-LSAT_6X101740 transcript:rna-gnl|WGS:NBSK|LSAT_6X101740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIGGGGEGWWLEEAMNKMNEEKYEGGLEKVGMELGKRKVKAPLYIIVELGVVGVSLVDHRPKELAYFYLERVFVSYSTGYDSGTTSRFKLILDHLKLDNQLPLTYMHVLLAPEEASYMNHPVFKMTITTCNETPDGIQVYPYVYIRLSLDME >cds-PLY66055.1 pep primary_assembly:Lsat_Salinas_v7:2:206688173:206689546:-1 gene:gene-LSAT_2X127120 transcript:rna-gnl|WGS:NBSK|LSAT_2X127120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRKEVFLTPSVLKECRRIVSDSDIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLMDVQTSNDPEGLRIFYYLVQDLKCFVFSLISLHFKIKPI >cds-PLY62304.1 pep primary_assembly:Lsat_Salinas_v7:5:166463019:166464642:-1 gene:gene-LSAT_5X75660 transcript:rna-gnl|WGS:NBSK|LSAT_5X75660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSKRSKVSQRKDGVDLISNMPDAILLLILSRLPSTKEAIRSSILSRRWRNLWTAIPSLDIRYRKKFKKTKFKEFVYWVLANKTVDLDRFRLCCDDYYSMSRVWRWTHMAVNRNVKQLDVSFYPKDETKAIEFPHCLVTCGSLEVLSFHGSYISSRLSLPRFKGFLALRFLKLTDVDLLDDRVLVEDFLESCPSLEDLFLSDCVLCKLDLLCISCPKLKTLSIDCEDEDGRCCDIKLSCPKLVDLDLTGHIRCNSFNERLDSLKQAVIEPKLAGNTISVLFPGISGVESLWIDLCFFSKCIDAAHDPSLPNLKILWLTTTMDDFTMENFNQVLKYYPKLKCFKMNIEKDFHGKYQWLGEAKTKRILSRDVKRVEFFKFNGEQPKLVIDWTIDILLLFFTWVLG >cds-PLY70335.1 pep primary_assembly:Lsat_Salinas_v7:4:98912993:98914234:-1 gene:gene-LSAT_4X63981 transcript:rna-gnl|WGS:NBSK|LSAT_4X63981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILSDARRQLMLSFRHLIEFVRKMTGYGLTGPVNRKEYIDYSSTIDIEKTNAKEEKQRRKNLKECLFV >cds-PLY78544.1 pep primary_assembly:Lsat_Salinas_v7:1:103162628:103164104:1 gene:gene-LSAT_1X84001 transcript:rna-gnl|WGS:NBSK|LSAT_1X84001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRRLIQAACSGDVDYLVKEIDNNPFTLHAVALEGGETLLHIACFAGHVNFAAAVIKMRQEHCRELNQDGFSPLHIAAACGHVDIVKELLNVDFGLCLIKGKNRKIPLHLAVIKGKTDVVTELLFASCDSVECVTAQNETPLHLAVKNNQFESFQVLIQYLKQVKKEYLLNAKDYKVNTILHLAVSRNQYEVVNFLLNGQVISMETIELNSLNKGGLTPLDMLRRFQSEDADDIEECLLQAGAIKSEDLQSTENPQQEERPNLRNTIRANPPSPARQLVNDFFKYNPVRDSPVEVRNALLMIVVLITAATYQPAVTPPGGTWQDNSTPSIRNNTISSATATKPHTAGEDIMGSNKPIAYTIFMFANSLGFYTSIHMMYILTAAFPLRLEFNFLIIALVTSYSTCMGTMLQKGFLTYAFIGISVALLIIIQFRTVVFGKYLLGPRNVSPYTVQETDRAHTMDIY >cds-PLY82244.1 pep primary_assembly:Lsat_Salinas_v7:1:69105337:69105540:-1 gene:gene-LSAT_1X60760 transcript:rna-gnl|WGS:NBSK|LSAT_1X60760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFTLTKPLQKPNVNAGIRRGNPRTPPFGMATPFGNPGNKNKAMGESGSGSSDHYSFTQGGSGQDGS >cds-PLY79313.1 pep primary_assembly:Lsat_Salinas_v7:4:522963:528960:1 gene:gene-LSAT_4X1580 transcript:rna-gnl|WGS:NBSK|LSAT_4X1580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHFAKLDDSPMFRKQMQCLEESAELLRDRSLKFYKGCRKYTEGLGEGYDGDIAFASTLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHILNDRLLQFVNIDLHDVKEARKRFDKASLLYDQAREKFLSLKKGTKNDVATVLEEDLHNARATFEQARFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALNERMQEYKRQIDRESRWSSNSNTNGSNGSPNGGDGIQAIGRSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQSSKPSGSGSQISGQRNSSELGHGLLSRWLSSHHHHGGGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESTLDQMDWIEKITGVIASLLSSQVPERCLSGSPMGSSHHRSASDSSSFESSDFDHTAVEEYASERERPAYPNPGRLSRISHHHHHHHQQQQQSGLKPEKPIDVLHRVCGNDRCADCGASDPDWACLNLGVVVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVITLFQSLGNAFANSVWEELLQSKGAYKTELVPTGFYKSSSDKPQLLYFCKPSPSDSIAVKEKFIHAKYALKVFVRKPKDRSLVTQHIWEAVRANDKKAAYRLIVNFEADMNYIYMQDSCNSSLTLAKAMLLQEQTTPPGPGGGDSISISSMAATGTSEAQGLSMEEFEGCTLLHLACETADIGMIELLLQYGANVNVPDSRGQTPLHHCILRGKSAFARLLLTRGADPHAANSAGKTPLELAAESNFRDNEVLSLLSDSNG >cds-PLY73473.1 pep primary_assembly:Lsat_Salinas_v7:2:100443072:100444344:-1 gene:gene-LSAT_2X42941 transcript:rna-gnl|WGS:NBSK|LSAT_2X42941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSVDEFVKTQRAEGPATIIAIGTATPPNCVLQSTYPDYYFRITKSEHKTELKEKFRRMCEKSMVKKRYTCFTEELLKQKPEFCAHMAPSLDERQEIAIVEIPKLGAEAAAQAIEEWGQPKSKITHVVFCTTTGVDMPGADYKLIKLLGLPPSVKRVMMYTQGCFAGGTVLRLAKDMAENNKGARVLVVCSEITALTFRGPDEKHVDSLVGQALFGDGAAAIIVGSDPLHVVEKPLFQIVSASQTILPDSEGAIEGHLREAGLTLHLLKNVPSLISKHIEKSLVEAFQPFGIFDWNSLFWVPHPGGRAILDQVEESLSLTPDKLRATRHVLSEYGNLSCASVLFILNEMRHSSVNDGSKTTGEGLDWGVLFGFGPGLTVDTVVLHSVSI >cds-PLY67003.1 pep primary_assembly:Lsat_Salinas_v7:6:140366698:140368142:1 gene:gene-LSAT_6X84421 transcript:rna-gnl|WGS:NBSK|LSAT_6X84421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENEPLVPCDSDATDEDCRYKWRLKDVMGASVGVVGETSLGLVEKVVFLDGTYNVLKRFRMVCVRRREFGRRVSRLAAIGRRCDYLVQMKAYLYSKRFKFVVCDYYPMGSLYDLLTVEVPDTGQRKPPSPILRCEPLSQKNDIYNFGIIMLDILGGSKALELVKWCFERKEEIKAKECECFEFPFEGKDQRKILKVWSMALACTNRSNDARPSIENILLHLGK >cds-PLY67796.1 pep primary_assembly:Lsat_Salinas_v7:9:167981133:167981972:1 gene:gene-LSAT_9X104021 transcript:rna-gnl|WGS:NBSK|LSAT_9X104021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRSEEVFKPKQIWAIYDEEDGMPRLYCIIRQVISVKPFQVHITYLNSKPEPESANSGISKSCGSFRVSHSDIVDQVNIFSHLLGREKTGRGGCITVYPKRGDVWAVYRNWSRKTAKARRQYEMVEVVDDYSDKVGVCVTPLVKLQGYKTVYQRGPTKNLISKKEMMKFSHQVPACLLKGQALNLPDGCWDLDPAATPEQLLKAAMEVVEEEEGKRGGCFGNLV >cds-PLY74162.1 pep primary_assembly:Lsat_Salinas_v7:9:13694004:13694968:-1 gene:gene-LSAT_9X12001 transcript:rna-gnl|WGS:NBSK|LSAT_9X12001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSMASAATGFVVAPNVTSNTTMAKCTMVKFSSNSKNTKSSRLVVVKAAEEAASAAPPAATTEAAPKPPPIGPKRGAKVRILRKESYWFKGVGSVVTVDQDPKSRYPVVVRFNKVNYANVSTNNYALDEITEV >cds-PLY86895.1 pep primary_assembly:Lsat_Salinas_v7:5:263887372:263893416:-1 gene:gene-LSAT_5X135681 transcript:rna-gnl|WGS:NBSK|LSAT_5X135681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPQQVAQPRSSANGFARRRGEKETGTRVDNKSQPGKSNFNRMTTSGGVESPSRDRLVYITTCLIGHQVEVQVIDGSVFSGIFHATNAEKDFGIILKMARVTKAGSSRGQKNISDSVQKPPSKTLIIPAKELVQIVAKSVSVTRDGYQNEVQHEEMQDIMIDSSISQSRHVDLERELSPWVPDDDNPECPELDNTFDRHWNRGWDQFEANAALFGVKSTFNEELYTTKLDRGPQMRELEREALRIAREIEGEDTHDLHLAEERGIHFHDKFDLDEETKYSSVFRGGVDDSGYDESEDVFDSQNSETFGDVVNENELYPSGPLDVEIRIQDNQSNQQHPDTEKHMLYEQRQSHGSKAEESNKEKESESGDKGLNATATAYAPPSKFKSKSKSKGQEEKTTTSTSTSTCSQVSEGVKTPQTGVGVSRARPPTSASSESGNASPASAPATGLSPTSSVASLTSEKSTLNPHAKEFRLNPNAKSFVPSAAAAAPVRAASPPVSDGSFYYPPHMHGMPLGIGMGMGPAFPAHQPVIFGPQGTPLQSPQTYFHSNAPQYGQQMLVGQPRQVVYMPTYPPEMPYKGREF >cds-PLY99792.1 pep primary_assembly:Lsat_Salinas_v7:9:52919934:52921296:-1 gene:gene-LSAT_0X8120 transcript:rna-gnl|WGS:NBSK|LSAT_0X8120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKSSNEGVLSRVSSTISESPIVNKGKQVASDTGYVVRKLIRSTGKAAWIAGTTFLILVVPLIIEMDREAQLNELELQQASLLGTPSVAAPQK >cds-PLY85720.1 pep primary_assembly:Lsat_Salinas_v7:1:47800627:47801104:1 gene:gene-LSAT_1X40961 transcript:rna-gnl|WGS:NBSK|LSAT_1X40961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNQVIDEVVEGKSKSRKLSEILDDVEDGINEILRDIQEKEPEFLKGNVDNVIPKKIQLNEEDVAMLLELGYNIGEIKGSQGITMPLDDMSPELEFQEGHPDDVEVFVDDGGVDAGEEAWSEGDDE >cds-PLY62772.1 pep primary_assembly:Lsat_Salinas_v7:4:199246051:199247367:-1 gene:gene-LSAT_4X112740 transcript:rna-gnl|WGS:NBSK|LSAT_4X112740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPQILLIYITFLCIFGNLVSSQFTNFSTLVLPAGVTGPESAAFTGRPVNGPFTTVTDGRIMRWRGPRIGFVDFAFTSPTRTKRRCDGTTDPNMGPTCGRPMALSFHPATNRLYIADAYHGLLVVGPLGGLATQIVGGLKFTVGVDVDLLTGNVYFSDASMNYTIRDVTQPGFMPDSTGRFMRYNPRTRQVSVLLSGLSGGGGPAVSSDGAFVLVPELTGNRISKYWLAGPKANTAEPLLNVTNPNKIKRAGGLGEFWVAVSDGFMPPTPLITPQGVRFDSNGVVLQTVSFAKEFINKTISLVQEQDGKLYVGSRFTNFIGVYSN >cds-PLY63217.1 pep primary_assembly:Lsat_Salinas_v7:6:89017251:89019719:-1 gene:gene-LSAT_6X61180 transcript:rna-gnl|WGS:NBSK|LSAT_6X61180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAIKTSTPPPPPTERNYAIHDEIFVNAPWKIKKLLRGRQQFMVSQIALLYPVKVVSGHTREQELE >cds-PLY75226.1 pep primary_assembly:Lsat_Salinas_v7:MU043036.1:858503:858751:1 gene:gene-LSAT_0X12421 transcript:rna-gnl|WGS:NBSK|LSAT_0X12421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKSNILLFVIMFLIFTSLFTSIQSRKLKVPKHRHHHKSMVVKNSGKVVKSRYEQVLVLRGIKSSGPSPGEGHSSVNGAHN >cds-PLY62550.1 pep primary_assembly:Lsat_Salinas_v7:4:355485649:355485972:-1 gene:gene-LSAT_4X175201 transcript:rna-gnl|WGS:NBSK|LSAT_4X175201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFVRSGIAPTPEEFKSLQFRFGFMPEHGVQFLSKRSTIYQPLAGKVDIPVAIFETGFRLPASNFFDEVMREYGFSVHELTLNAVNKIVGFELGCRASGVLPHLQVF >cds-PLY67107.1 pep primary_assembly:Lsat_Salinas_v7:4:243656334:243659366:1 gene:gene-LSAT_4X129001 transcript:rna-gnl|WGS:NBSK|LSAT_4X129001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNLYAVSETLSTETDKQALISIKSQTFTHPPEALATWDPASSSPCNWTRVLCDDRNGRVVGLDLSSLQITGPVSPFIGNLSFLRSLHLQNNQFYGILPETITNLFSLQVLNVSFNRIQGTIPANISHCIDLRVVDFMQNKLSGSIPESLTVLKNLRTLNLARNNISGFIPPSIGNLTSLSTLRLCTNKLSGRIPSELSALRNLKILDLNINKLTGILPPSFYNMSSLEVFAVASNDLWGNIPYNVGETLPNLLDFNFCLNRFTGTIPGSLHNLTNIRSIRMQHNQLHGTLPRGPWNLPMLTRYNIGQNNIVSAQGEGLGFLNSLVNSTKLGFLAIDGNNFDGFIPESIGNLSKILRIMFMGSNRISGIIPSSIGQLKGLALLNLGYNSISGQIPPELGQLEDLQKLVLGNNRFTSYIPNSLGNLRKLTKIDLSSNELGGNIPISFTNFKRLTSMDLSMNKFNGSIPREVLNLPSLTIILNLSSNLLTGSLPQEVHSLEKVVTIDLSNNNLSGDIPNSIHNCKSLEQLIISKNSLSGNIPNSLGELRGLLVLDLSSNQLSGSIPLELQSLNAIKILNLSFNKLEGNVPSNGFFSNLTRVHLEGNPKLCYDSKCTRGETNKAVVTMVLLISSVLATFISIALFFTFRKTNVLMMESPGSFKGRHQMVTYNQLRCATGNFNEENLIGYGGFGSVYKGHLNLKGRSQEIAVKVLDLETPGSLPSFMAECAAFRHLRHRNLVKLITSCSSLDPKNKEFRALVYEYMKNRSLESWIGKEMGFQERLRVAIDVACGLTYLHHECVVAPVVHCDLKPSNILLDEDFTAKIGDFGLASILVEKDQYVDVLKGSMGYIPPEYGMGENPSTKGDVYNYGIMLMEIFTGKRPTDDIFVGGLSLKIWVQSAFPSNLDLVLDPYLIQELEELWSEELRLEEWYSDGHSMNQKMPHDCLTIIIGVALSCTNDSPHGRIVISEALDKLKIVQDMLRKS >cds-PLY64669.1 pep primary_assembly:Lsat_Salinas_v7:7:139092355:139095162:1 gene:gene-LSAT_7X82781 transcript:rna-gnl|WGS:NBSK|LSAT_7X82781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKLKNEAMEILGLCQVLPRLVVFDLDYTLWPFYCECRSKREMPSMYLHCKDILNALKDKGVKMAIASRSPTRDIAETFLDKLGIKSMFVAQEIFSSWSHKTEHFKKIQLKTQIPFDKMLFFDDENRNIEAVSKMGVTSILVNNGVTFGAFRQGLTEFSQGPTPSSSKRDKKK >cds-PLY90026.1 pep primary_assembly:Lsat_Salinas_v7:5:185462390:185472034:1 gene:gene-LSAT_5X82580 transcript:rna-gnl|WGS:NBSK|LSAT_5X82580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRENSSTNLEKSYFDVLGLCCSSEVPLIERILRPIVGVHDVSVIVPSRTVIVLHDPVLVSQHEIVKVLNQASLEANVRVKGETNYRNKWPSPYAVACGVLLLLSFLSYFFSPLKWLAIAAVVVGILPIILKSIASLRNFRLDVNTLMLIAVAGSLYLKDFWEAGTIVFLFTTAEWLESRAGHKANAVMSSLMNMAPQKAVLASNREEVNVNEVMVNTKIAVKGGHVIPIDGIVVEGRCEVDEKALTGESFPVSKEVDSSVWAGTININGYITINTTVLAEDCVVARMAKLVEEAQNNKCKTQRYIDECAKYYTPAVVIIAALLAVIPTAMKLHNLHKWYHLALVVLVSSCPCALILSTPVATYCALSKAATTGLLVKGAEYLETLAKVKIFAFDKTGTITRGEFSVSEFRSVKDDIGLDKLLYWVSSIESKSSHPMAASLIDYAQSHSVKAQPDNVEEFENYPGEGVYGKIEGKNVYIGNQKIASRAGCSTDLDFNSEGKSMGYILIGSSLVGVFSLSDSCRTGAKEALKELKSMGIKTAMLTGDSKAAANHAHDQLGGALEILHAELLPQDKAKFIKDFQKIAPTAMVGDGINDAPALATADIGISMGISGSALATETGQIILMSNDIRKLPIAVKLARKTRRKIFENIFVSVIIKAAVIAVALAGYPLVWLAVLADVGTCLAVILNSMLLLRTKTVSKSGKKHSHSSHDHKHCHSSHKHDKGESRNCQSKKGCCGHDGKVHEAKHGSCGHDDDHVHKVNHSIHGHDCAHDGHIHEVKIIVEESKDHQSCCVHDDGDAHDVKGIVQETGAKHGCCSHSKEEIHEVKEVVQQKESSHGCCAHGDEVHEVKQSEQVHESHGCCPHDDDSHAHDEVHKVKYTVGVIENKYGCCGHADNEAHDYMDSDVESQLKRSSSCGNVIKKNGDCCHDQITHKKSHSHHETHRNSSDDHHGHVKEYITKEELGKVVRHCCQKHEVSGCCKSFRKECCVHVGFNGEGGLSEIVVE >cds-PLY76268.1 pep primary_assembly:Lsat_Salinas_v7:8:32999330:33002200:1 gene:gene-LSAT_8X26180 transcript:rna-gnl|WGS:NBSK|LSAT_8X26180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNALLLPPPSATTARFLRGLNFSQPSLSASSYKHSPLRSSVTSLSLSEDDVVTEDDGVSPYSHRRYDFAPLLQFLSNHIPDDNDLAYSDPTDSKLAESYRLVPASLWHYLLKSLASSPSSSTSISTSYALVDWLHRHNLCFSYELLYSILIHSLGHSEMLYEAFLLSQKQTLTPLTYNVLIGACARNDDLEKAVILMNKMRGEGYQSDFMNYSLIIQSLVRNNQIDSNLLEKLYEEMISDAIELDVQLLNDIVIGFTKSGDVDRAMQFLGMIQGYGLTPKTSTVVSIISELGSLGRAEEAEAVFEEIKDGGLKPRTRAYNAVLKAYVKNGDLRDAEWIVNEMERNGVSPDEHTYSLLIDAYGNAGRWESARIVLKEMEANNVKPNSYVFSRIIVSYRDRGEWQRLFQVLKEMQKCGVKPDRQFYNVMIDTFGKYNCLDHALGILERMRNEGIEPDNVTWNTLIDCHCKSGDHNKAEDLFDEMQQRGCLPCIATYNIMINSFGEQERWEGVRSLLRKMKTQNLQPNVITYTTLVDIYGQSGRFMDATECLEDMKASGLKPTSTIYNALINAYAQRGLSDDALNAFRMMRSDGLKPSNLALNALINAFCEDRRDVEAFAILRSMKENDLKPDVVTYTTLMKALIRVEKFDEVPGVYEEMIMSGCTPDRKARAKLRSALKYMRQRLKSQTL >cds-PLY70470.1 pep primary_assembly:Lsat_Salinas_v7:1:76062811:76066632:-1 gene:gene-LSAT_1X63561 transcript:rna-gnl|WGS:NBSK|LSAT_1X63561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEQFVTDTGGIKEMTEVAKLLYIVVEEDEETKGNEESSFRYTRPVLQSTLQLMGCKARHAFKISQRVFEIMRNKCLEESLADIGVIQWGKDFLKVHPLSDKMNGSNHVVSEKDGTTKSKPFESYKKRTTIVIKRNRFIDVVCDALSEYKYIGPNQRADFVLACRIRERKESVTVLLCGTSGCGKSTLSALLASRLGITTVISTDSIRHMMRSFVDEKQNPLLWSSTYHAGEHLDPVAVSEAKAKKKATKEFDSTSKTEPVPKEGSSLTTVDLISPKQMAIEGFKAQSEMVIDSLDRLITAWEDRKESVIVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHMERFAVRAKYMTLDPAKNKYIKYIKNIRTIQEYLCNRADKHLVPKINNTNVDKSVAAIHATVFSCLRRRDSGEPLYNSATNTVFVIDEEYRNQCVANSIGSKGMFQLIQRQGSSRHLMALLNNDGSVAKAWNAEDGMGIPMYGAVRIGKSESVNLQFGNFGISAWPNELGCTSHASSVDEVMENGSRHYSSCCSSPKFSEGHAKELKEDLSVNGSDEEVDDTQALDTDEDLSDDAKENMHEEMEGSVDEESTKSDEEYDDLAMQDIQENGYLNAEFETKINVSGVKQNVDCVLRTKSEPLMDKRLASRVRGRSYSISGGSHG >cds-PLY73591.1 pep primary_assembly:Lsat_Salinas_v7:6:128647813:128648661:1 gene:gene-LSAT_6X75760 transcript:rna-gnl|WGS:NBSK|LSAT_6X75760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSIFMVAPITVFKRNPAFPIRCMAQTPQSGETGSSKQAITPNMTSTPPPPPAPKVSSKFSDVLAFSGPAPERINGRLAMIGFVSAMAVEVSSGQDVFAQIGNGGVAVFVGTSMVLTLASLVPLFKGVSVQSKSSGLMTSDAELWNGRVAMLGLVALAFTEYVKGSALV >cds-PLY91427.1 pep primary_assembly:Lsat_Salinas_v7:7:133819324:133821283:-1 gene:gene-LSAT_7X80140 transcript:rna-gnl|WGS:NBSK|LSAT_7X80140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNNSLALVSCNGDTTLSLDCFGYGGNTTTASGYEISREKPTSPPAADDGCRLVLGLGPTPSIYSNDYFSTGTITKKPTNGSKSNRGMECEGDSVLKLGFSDHSVTDLNPSFYSHKTCFDDEGSTSAKKSGGYMPSLLLAPRMKTNVFEAGENSFHGPQVSSEPSAISDYSMGTISDHQTSNSKKCKFSGCTKGARGATGLCIGHGGGQRCQKPGCSKGAESRTAYCKAHGGGRRCQHLGCTKSAEGRTEYCIAHGGGRRCGHPSGCSKAARGKSGLCIKHGGGKRCKVDGCTRSAEGQVGLCISHGGGRRCQFQGCNKGAQGSTMYCKAHGGGKRCVFAGCTKGAEGSTPLCKAHGGGKRCLYDGGGICPKSVHGGTNFCVAHGGGKRCSIAGCTKSARGRTDCCVKHGGGKRCRSENCTKSAQGSTDFCKAHGGGKRCNWGGEGTCEKFARGKSGLCAAHTSMVQERENKKSNFGIGIGPGLFHGLVPAPPASASTVVSSFENTYSSSGVSIVSDSNDSLENPAKRQHLIPPQVLVPSSMKSPFSSSFQLSSDGRQGGESGRRSELAVPEGRVHGGGLLSLLGGNLKNASIDGV >cds-PLY97459.1 pep primary_assembly:Lsat_Salinas_v7:6:90933195:90936992:1 gene:gene-LSAT_6X63061 transcript:rna-gnl|WGS:NBSK|LSAT_6X63061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNSTQSSSTTTTLYIILTITTFLFLLFFLSPFPSSPPPPSFSDPYLFPHRPSTAQYHNHQLLFLNNNKTTNQSPPASPTIAYFISGSSNDSARIIRLLLSIYHPRNQYLLHLDRSAPQKERDFLALSVQSIPVFWAAQNVNVIGKSDLVSPKGSSSISSTLHGAAILLKLCPDWDWFINLSAADYPLVTQDDLLHILSYLPKDLNFVNHTSYIGWKESRILKPVVVDPGLFLVEQSEIFYGTQRRPLPDAYRLFTGTPSSILTRKFVEFCILGTENLPRTLLMYLSNSLSSQSVYFPTVLCNSPHLNKTVVNHNLQYSAYETKHEPRILNSNDFNDLINSGSAFGSPFLHDDPVLDWIDQQLLNRGQGKPVPGGWCLGESGDEACGIWGDADVLKPGLGAKRLEERLVQLLSNDTFYSHHCIFE >cds-PLY99817.1 pep primary_assembly:Lsat_Salinas_v7:MU037944.1:272031:274299:1 gene:gene-LSAT_0X21060 transcript:rna-gnl|WGS:NBSK|LSAT_0X21060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVRMMKWSPWPPISSRKFEAKILVRCLQGLPEKTTSGDPRDFSRLGVEVNWKGSTTSSNRLNFKRSSAKRNLTKEESLNDDGVVKWNEEFVTVCDLVEFRDGNFQQWEVAFRVFDGLNQGAKRRVHTIATGSLNLAEFAPSKQEKTPINIHLSMPISIDCSPILSLSLTLHEYAVPLPLSLRDDPSGLKAGFKKVKIFKVVSPKKICHQEEGNCRFGPNSLDGVDSDVDNADSNVTKSLDYGTLAYANHIGRFSNFNSSSSSEDDDWTYRHYKSKEDDSHSERRISMRGIFPWRKRKLGFRSPKPKGEPLLKKDVKEEGGDDIDFYRRMLTSSEGSTTSRSSISEFGDDNFIIGKWENKDLKSRDGQMEVKTQIFFASIDQRSTRASGESACTSLVATIADWFQNSNQEMPVKSELDTLILEGSLDWRNLCENKLYTKNFPDKHFDLETVLESKTRNLIVVPEKSFIGFFQLDEGVFDFLHGAMSFDNIWDEIDRIESEKPLVYIVSWNDHFFVLKVDRDAYYIIDTLGERLYEGCDQAYVMKFDKDTSIKRLPYEKEEIVVSKGKESCKEYIKNFLAAIPLRELQTDVEKGLVDASSPLVHHRLQIEFHYTECCA >cds-PLY86649.1 pep primary_assembly:Lsat_Salinas_v7:4:278922662:278922934:-1 gene:gene-LSAT_4X142920 transcript:rna-gnl|WGS:NBSK|LSAT_4X142920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRRNTTSARPIEGGRKRGVAAKPLPAVYGSEAAPATLGCPFFGPTAKAKGKGETSSNCDDFRGYLACSDQQQRGCYLFDFTERKIHFVG >cds-PLY92871.1 pep primary_assembly:Lsat_Salinas_v7:8:40786613:40788502:1 gene:gene-LSAT_8X31921 transcript:rna-gnl|WGS:NBSK|LSAT_8X31921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSLSRRTKTIYRLFTGTTPSPTPNLRFISPPPSSLHHLFPAFSSQSLLSSASPTISSSSTELINDISRILSDYRSPRHDIVSALTPFADAITTDLVEQVLKRCNNLGVSAHRFFLWAKNLPGFVHSKDSYHILIDILGRSKQFPLVWDFLGEIKQSQSCEITSVIFWMIFSAYCKANLPSDAIRAFNNMVDYGIQPSINDLDQLLYVLCKRKHVREAQSFFDKIKHEFNPTVKTYSILVRGWGYIGEASEAQKVFDEMLVRGCSVDVHAYNSILESLCKGGNVDEAHKLFRDMRSKGLEPDAFTYSIFIHAACDANDIHSAFRVLDRMKRYNLIPNVFTYNSIINKLCKSDKIEEAYQLLDEMLERKVKPDVWSYNAILSFHCNRLEVNMATKLVSRMDTDSCEPDRHTYNMLLKMLIRVGRFDRVTSLWEKMEKNGFHPSASTYAVMIHGFCRKKGMVEEACRYFEMMVDEGIPPYSSTCEVLRNKIIGLGFAEKVEILAEKMERSSSCSIQDLSDIMRGNMKNIKSKKEENSSEESDY >cds-PLY74118.1 pep primary_assembly:Lsat_Salinas_v7:9:11228705:11229383:1 gene:gene-LSAT_9X9241 transcript:rna-gnl|WGS:NBSK|LSAT_9X9241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDHEDEADHQEQDHVVSGERLRLYECIFCKRGFTTAQALGGHMNIHRKDRAAKGSPNNDLSNNSKLHRDPSTCHVVPRFYQPVFSTPSDLPCFSSTSSTHVRPINHGNNNHQDVHGVITSPSSLRLQFGYRSHDQNPKRTINGGGNEEDELDLELRLGHDP >cds-PLY72550.1 pep primary_assembly:Lsat_Salinas_v7:2:139583251:139584685:1 gene:gene-LSAT_2X65621 transcript:rna-gnl|WGS:NBSK|LSAT_2X65621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFFWKRQRASRLAGKKLLSKQNSQLELTIPTHFRCPISLDLMKDPVTLSTGITYDRQSIEKWIQDGNQTCPVTNQILTSFDQIPNHMIRRMIQDWCVENRAHGIERIPTPRTPLTSFDIVEICTKMMGAASKGDEKKFLDLVGKVHVWANESEHNKNLIKDNGLGYVLAASFESFSSISYEKHEDLLKEIMFLLTWMFPLGIEGRSMLGSTESLRCMTWFLSGDDLLLKKSCVLTLKELLSTDKTHVQTLINIDGLLEALIKLITTPDCPSAKKSSFAVIYYILSTPVARSKVSSRFIELGVVESSLEALVEADNKGLSEMALGVLDCLSDSKEGRERVQKHALSVPLLVKKILRVSSLATDFCVSMLWKLSNNDDGDTLVEALHIGAFQKLLLMLQVNCGEETKVKATEMLKLMNQYKNKLDCFDSANYKYLRKSY >cds-PLY72199.1 pep primary_assembly:Lsat_Salinas_v7:7:54659894:54662964:-1 gene:gene-LSAT_7X39420 transcript:rna-gnl|WGS:NBSK|LSAT_7X39420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHVGRKICDALTRDELRSFDHKHIVRSCSFSEVNVVCGGSFRRGKASCGDMDISFSLKTVADGQNSVNSQALKILFSWLIFNEKMKVISVFLLDTTIVSDSVLLLFGGSISKGDIVILLPFLTPFLFDSIPFHDMKQDGHLKMLGGYLEFFMEPSLAKLYHITLRKDLDELFQYKFFDTSFMVVGFHVQLMKEHHHCKLKKSKHGILISVAVKPQASRANSVADTFSEFKHLLLVYNFNSKFSTFLCISSIRRQTYF >cds-PLY79741.1 pep primary_assembly:Lsat_Salinas_v7:5:178349973:178358166:1 gene:gene-LSAT_5X78880 transcript:rna-gnl|WGS:NBSK|LSAT_5X78880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYQANYSIWDGLYYHPHLFGGIMLTAALLGLSTSYLSGIACFPTIPYMLPYLGNLQKQKSGKKRIRVYMDGCFDLMHYGHANALRQAKALGDELVVGVVSDEEIIKNKGPPVLSMEERLALVSGLKWVDEVIANAPYAITEDFMNSLFKEHKIDYIIHGDDPCLLPDGSDAYALAKKVGRYKQIKRTEGVSSTDIVGRILASMEDKEVNGESNEMSRRSIESHSKSKHASNFLPTSRRIVQFSNGKGPGPNARVVYIDGAFDLFHAGHVEILKSARQLGDFLLVGIYTDETVSQQRGAHFPLMHLHERSLSVLACRYVDEVIIGAPWEITKDMIATFNISTVVHGTVSESQSTLNGMVDPYSVPKSMGIFRILESPKNITTTSVAQRIKANHEIYEIRWSREMQSTGNRDCCLAGPICISAEQKRVRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFYQQRHGPGQRSLYNVLKAYSVYDREVGYVQGMGYLAGLLLLYMSEEDAFWLVVALLKGAVHAPMEGLYLEGLPLVQQYLFQFDRLMREYMPKLGEHFTEEMINPSMYGSQWFITVFSYSFPFHLALRIWDVFLYEGVKIVFKVGLALLKYCHDDLVKLPFEKLIHALRNFPDDAMNPDTLLPLAYSFKVSKRLEELKQEYEKIHGKVAESKSKQKQLQLA >cds-PLY88743.1 pep primary_assembly:Lsat_Salinas_v7:4:165497776:165499315:1 gene:gene-LSAT_4X98701 transcript:rna-gnl|WGS:NBSK|LSAT_4X98701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRQMMRKNIEGLALTHPSTYHPPTTKDDVDQMMHTNRLVSPRKDASVKSNVEETRNSYVTVHTSNMDTNIINCEMSSTSVLESTKVITPQGPTTKSNMEEGRYSIIIENLSNKVSNVNTDFVDLVTKEVKKLDKFCLGIQHNVDVILAASRTLIEDIRAFHKDYEEEIKLKKEYDENTFRGNKNSLTSFHDRLLKFNVQTTTSISEEQITTIVSSIDSCFKTHLALILDLVLQLPTNAPHPSMLVSQGGIRVVHRNMDMNTKVK >cds-PLY66295.1 pep primary_assembly:Lsat_Salinas_v7:8:217397294:217398399:-1 gene:gene-LSAT_8X134881 transcript:rna-gnl|WGS:NBSK|LSAT_8X134881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKLWNEEEKSPLGEGYLVETVKDTYRTCECAREKFLRSCRPDHQPPLHLPITSELGGGSEDKISTDTPSSGHDGMSQEGILEFILKEHLPKSTPVTRIGQGHETSSFKSNFVSWSAASATSVPEENRGKVAVLLKQQGAFVKGQTKTAPVEEDVLPLLGENGKIEVSDQKLVATFRFNVER >cds-PLY72430.1 pep primary_assembly:Lsat_Salinas_v7:2:140921564:140923455:1 gene:gene-LSAT_2X68820 transcript:rna-gnl|WGS:NBSK|LSAT_2X68820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGILVARSGRQLQRYNKGQRIVVGCIPYRLKGGMKASSKNIEDALEVLVISTQRKGKGVLFPKGGWELDESIQDAARRETMEEAGVLGTVESELGKWCFKSKGNDALYEGHMFPLLVKEQLDLWPEKDIRQRYWVSVSKVKEQCPYVWMKEALDMLVTRLKSTPLKMEDLSY >cds-PLY77620.1 pep primary_assembly:Lsat_Salinas_v7:4:275306458:275306712:-1 gene:gene-LSAT_4X141340 transcript:rna-gnl|WGS:NBSK|LSAT_4X141340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPATYSYQPILLTDRTTAETQAANQPLMSIDLPENSQFSPTYSTDYQSPVAITDLAKQPYTTQLLTRELRPASTSNLHKSTYQR >cds-PLY84750.1 pep primary_assembly:Lsat_Salinas_v7:5:230003006:230006477:1 gene:gene-LSAT_5X110160 transcript:rna-gnl|WGS:NBSK|LSAT_5X110160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEPINSPPTIAAATVNGGPKRYKPKQPPLTVHAGCTCFRLLCHASRIGGVIGKSGVIIKQLQQETSAKIRVEDPPPDSDDRVITVIANSAANKTISFIEHNNQLNKNLDEGSEYSEVSAAQEALVKVFERILLVAAEADGGYFAPGGVASCRLLADKSVIGSVIGKGGKVIEKIRKDTGCRVRILVQDKLPSCALPTDEMVEIEGDILAIKKALIAVTRCIQDCSHAQSQKTRAVVGRTHPTAPWDLIPNGISNSHTHTHSHSDFDLPPPRSQGQAFHLHPHPPTSKDADLESQKEIVFRILYSSDKVGSLIGKSGTIIQAIQNESGAHIAIGAPVSDCDERLITISAMESAESRKSAAQNAVILVFNRSVESGFQKGMDMASSGAQVSARLVISQNQMGCLLGKGGSIVADMRKVTGAFIKIVGDHQVPKCAPETDQVVLMTGEMINVRDALYSVTGRLRNNLFSNKMSNSHGTGTTKGTYTHHQSSVATSHYPPNQHNTNLTQTMDNLKLSSSSISIDRPVTPGQWHLPMGHDISTGSTSVGRSAIVSNMSVEILVSQTVIALVYGENGSNLTRLRQISGAKVVVHEPRSGTSDHIVVISGTPNETQSAQSLLQAFILADQS >cds-PLY65098.1 pep primary_assembly:Lsat_Salinas_v7:4:5201373:5201878:-1 gene:gene-LSAT_4X2761 transcript:rna-gnl|WGS:NBSK|LSAT_4X2761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAINRFVVLALIFAAMVAFVSAADTAAGDAADATANAASDVAGAAGAPAGGPGAAEGPGATSAAQESQGDASSLKACGVGAIVAVALTGFFF >cds-PLY86039.1 pep primary_assembly:Lsat_Salinas_v7:8:296701761:296706478:-1 gene:gene-LSAT_8X164240 transcript:rna-gnl|WGS:NBSK|LSAT_8X164240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHELLLSLLGYTGDLIVDERDRQLSVGLSPDDPISNQCTFKLASDISFVEPSERDIIEKTIALGFYYRELDRFATKSRNLSWIRSGNESPMPYTSVFPKEKMENQSVYRRAIANGITEILSVYRSAILHMEQKLLSDHLPILATVTQGLNKVQLLWHGHQVMYNQLASWMVYGILHDQYGEFFISEKEGNSDMNMTEKLTRMSVNDGTLSDWHLGFHISSDMLPEYIPLHVAESILFAGKAIRVLRNPSSAFRSVDAKHTKLMRDELLPQSESDKIESMLQNLKESSEFHKRSFEGSVDSIRAIAASHLWQLVVVRADLNGHLKAIKDYFLLAKGDFFQSFLEESRQLMRLPPRQSTAEADLLVPFRLAVTKTISDDDKYFSRVTLRMPGIGTKGDVSKSKYVDGDSSLLSDTSMEMSLDGWDGIALEYSIDWPLQLFFTQQVLSRYRRIFQYLLRLKRTQMELEKSWESVMQQDHSNFSKRVNGSTSTSTSQQRRQCFRPMWRVREHMAFLIRNLQFYIQVDVIESQWNVLQTHIDESRDFAQLVDFHQEYLSALISQSFLDIGSVSRILDGIMKLCLQFCWKIENQETNASTIELERITEEFNKKSNSLYTILRSSRLAGSQRAPFLRRFLLRLNFNSFFEQTAQGVMNVIRPRQTIPVLQR >cds-PLY85477.1 pep primary_assembly:Lsat_Salinas_v7:3:42759423:42761577:-1 gene:gene-LSAT_3X33081 transcript:rna-gnl|WGS:NBSK|LSAT_3X33081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRSIGDIGEKLQAKKPVFLSKSEREQLALKRRQDEFEERKRQSGQLLLQNNRNSSSSKPPPSSDDASRNNRFSRDYDRDCYRRDRDIDRDRERERERERDSERRNRDRERDEENKARERACLEKLAEREREKELDAIKEQYLGSKKPKKRVIKPSDKFRFSFDWENTEDTSRDMNILYQNSHEARLLFGRGFRAGMDRREQKKLAAKNEKELRDKIRKKCGIEERPEEAAAHRLKEEAADMYDTFDMRVDRHWSEKKLEEMTERDWRIFREDFNISYKGSKIPRPMRSWVESKLSTELLKAVERAGYKTPSPIQMAAIPLGLQQRDVIGVAETGSGKTAAFVLPMLSYITRLPPISEENKGEGPYALVMAPTRELAQQIEDETNKFAHYLGIKVVSIVGGRPIKEQAFEISQGCEVFIATPGRLKDCLKNQYAVLNQCNYIVLDEADRMIDLGMEPEVVEVLDAMPSSNFKPENEDEELDEKRIYRTTYMFSATMPPAVERLARKYLRNPVMVTVGTAGKANDLITQHVMMVKESEKMPRLQELLDEVVDKKAIVFVNTKITADHVSKKLEISGYCVTTLRGGKSQEQRETSLEGFRTKRFNVLVATDVAGRGIDIPDVAHVINYDMPGKIEMYTHRIGRTGRAGKTGIATTFLTLHDTDVFYDLKQMLTQSNSYVPPELARHEASKFKPGSIPDKPTRWNNTVFTH >cds-PLY83100.1 pep primary_assembly:Lsat_Salinas_v7:1:155469664:155473745:1 gene:gene-LSAT_1X107660 transcript:rna-gnl|WGS:NBSK|LSAT_1X107660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKMQSILSQFTKEHMSRYESFRRSAFADEIGIPILETSAKSSTNVEEAFMAMSAEIKNRKRGVNKKVLKVPLIEHKMVVTGGACDGSQRCEGFCGGSSSWVVRCGCYWRNKVVSGVGFQRKSWRYKVGEEDGWCWVWRKRGSSLPNGSSLLVIPRILLKSASQQLSLAS >cds-PLY88643.1 pep primary_assembly:Lsat_Salinas_v7:5:140561479:140568099:1 gene:gene-LSAT_5X61861 transcript:rna-gnl|WGS:NBSK|LSAT_5X61861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTRVHPLRMLRTKTPNPSSFLSLHTLHICRVQSYFSFFCDQAKRTQIRFSSAALGGETTVSSTAATSMTNTNPEVPLSEEELERKKKKEEKAKEKEMKRLKAAQKAEAAKLKAQQGPSISKASKKKTVRADASEENPEDYIDPETASGDKKKLSQQMAKQFSPVAVEKSWYAWWEQSGFFEANSSSSKPPFTIVLPPPNVTGALHIGHALTAAIQDTIIRWRRMSGYNTLWVPGMDHAGIATQVVVEKKIMRERKMTRHDVGREKFVEEVWKWKEEYGGTILKQLRRLGASLDWSRECFTMDEKRSNAVTEAFVRLYKEGLIYRDLRLVNWDCVLRTAISDIEVDYVDIKEKTPLKVPGYKNMVEFGVLTSFAYPLEENLGEIVVATTRVETMLGDTAIAVHPEDPRYTHLHGKFACHPFNGRKLPIICDSILVDPKFGTGAVKITPAHDPNDFEVGKRHKLEFINIFTDDGKINSNGGSGFVGLPRFEARVAVTEALKSKGLYKGEEKNEMRLGVCSRSNDVVEPMIKPQWYVNCNGIAKEALDAVMDENNKKIDIIPKQYAAEWKRWLENIRDWCISRQLWWGHRVPAWYVTLEDDKLKELGAYKDHWVVARDEKEAEGEAKRVFSGKKFQLTQDPDVLDTWFSSGLFPLSVLGWPDNTQDFKTFYPTAVLETGHDILFFWVARMVMLGMKLGGDVPFQKVYLHPMIRDAHGRKMSKSLGNVVDPIEVICGITLEGLHKRLEEGNLDLNELKVAKEGQKKDFPNGIPECGADALRFALVSYTAQSDKINLDIQRVVGYRQWCNKLWNAVRFAMTKLNDNYTPPKTIDPKNMPFNCQWILSVLTKATSKTISSLNSYEFSDASSAVYSWWQFQLCDIFIEVIKPYFFGDDAASKAYAQDTLWVCLDTGLRLLHPFMPFVTEELWQRLPSPKHCEREKSIMICEYPSVVESWRNEKIEYEMEVVESAVKSLRSIRANFPAQERNERRAGFAVSRNEQTTELLRRHEMEVSTLANLSSFTVLSENDAAPAGCAVSVVNQSLSVYLKLQGAIDVKKEREKLNAKLTELQKQKDSLNKAMSAKGYEEKVPEHIKEENMAKLTMLMQQLMSCEEATQHFEREVASKAEI >cds-PLY93376.1 pep primary_assembly:Lsat_Salinas_v7:9:58477958:58479684:1 gene:gene-LSAT_9X51680 transcript:rna-gnl|WGS:NBSK|LSAT_9X51680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKAIRIHEFGGPEVMKWEDLNIGEPKDGEIKVKNKAIGINFLDVYMRKGLVPGLSPPLPFTPGMEAAGVVTAIGPGVNTCKIGDIVAYAGYPVSAYAEEQILPADRAVQVPPSIDPIVAASVLFKGLTAEVLVRRCFKVEPGHTVIVHAAAGGLGSLLCQWANALGATVIGTVSNKTKAVQAKENGCHHVIVYKEENFVDRVMEITSGEGVEVVYDGIGKETFEGSAACLKFRGFLISLGGPSGSADPLSFKLVAQKSLYFTIPSVMLYTEKREDLLAASEEVFSNVEKGVLRVRVNHKYPLSQAGQAHFDLENRKTTGSIVLIPDA >cds-PLY88015.1 pep primary_assembly:Lsat_Salinas_v7:MU040244.1:1835591:1836019:-1 gene:gene-LSAT_0X1500 transcript:rna-gnl|WGS:NBSK|LSAT_0X1500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSCITDVIGMLSYILETRDSILTITFHKHLLEKVWPIFAILDRLEAVPESDFILKQGGEGASKQVDLKIPKNEPKVHAKPVSPVQPIVKKESKSKEKLFSEEPIIDNEEEEDLDEEELKRRKAREAELDDYQQIIQEAKVK >cds-PLY74624.1 pep primary_assembly:Lsat_Salinas_v7:7:41967461:41969973:-1 gene:gene-LSAT_7X31260 transcript:rna-gnl|WGS:NBSK|LSAT_7X31260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVRTSTPLLCRSKLLCSSLSYLFLSLFFAFYTSLSPTTKCHFRSCPFDPLQSPLFIYPQSYGQQKHPIPTIKSSCNSPVFFSDYSFVLDEIRRVIANSSSDSNGLRYVQGNKNGEKFGNNYTTQKRLSYYDYHDDGIEIPCGFLKKFPISDYDRIAMESCNGVVVVSAIFGNHDKIRQPQGLGYNTLDHVCFYMFVDNVTIKQLYFHNLLSRKTKENRIGVWRIVEVSSEELYENPAMNGVIPKYLVHRLFPNSKYSIWVDAKMQLVVDPLLLLHSLVIMEDVDMAISKHPLYVHTMEEAMATARWKKWRDVDSLRIQIETYCENGLQPWSSKKHPYTSDVPDSAIILRKHGVGNNLFSCLLFNELEAFNPRDQLAFAFVRDQMNPKMKLNMFDVEVFEHVASEYRHNIKQHGSDVTQSIRTKRANPGLLANGGIRNKCEKYLEKMWDE >cds-PLY95697.1 pep primary_assembly:Lsat_Salinas_v7:2:111889749:111892874:1 gene:gene-LSAT_2X50481 transcript:rna-gnl|WGS:NBSK|LSAT_2X50481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMWERLCNSVIRVIPILHANFGSQEYNKDVNWSSFNESCSSSSKNTSFGSKNWDSIYLESTPQKVAELGLNFPGVDEVMSEKNLQDENTEPAPMLISLVLQNYRGHVDHWVESYQVPVPALKEHISSVTAVLSEQQCLIYRGKVLKDYHLLSAYLLHGKVMHKVGVVSIGVGGLKLTEYLKEQLRLRDLHVSSLYTVRSLKEKYNGSTSGSGRLH >cds-PLY97732.1 pep primary_assembly:Lsat_Salinas_v7:1:20861401:20861790:1 gene:gene-LSAT_1X18860 transcript:rna-gnl|WGS:NBSK|LSAT_1X18860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEERRLKNKENCALQNVHLDHSSSPTALTTHGSGQPSNRNNNSHNYRGDRSDSHGNQGVRNYRCGDRGGDRYSQPNFVMTSWGWALIAYDSVSTGSRIDGSFHMYPQSHDLLPTPQHSVHQFHHGSNSL >cds-PLY89878.1 pep primary_assembly:Lsat_Salinas_v7:3:252423983:252424768:1 gene:gene-LSAT_3X139021 transcript:rna-gnl|WGS:NBSK|LSAT_3X139021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWWPANLAQLTLFRSPIKSPTTLSWDIHGQFHDLAELFRISGKCPDTNYLFMADYIDGRYYLVETVTVSVTPILFCKLMRAFL >cds-PLY77681.1 pep primary_assembly:Lsat_Salinas_v7:9:19840411:19841620:-1 gene:gene-LSAT_9X18361 transcript:rna-gnl|WGS:NBSK|LSAT_9X18361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PCK1 [Source:Projected from Arabidopsis thaliana (AT2G30130) UniProtKB/TrEMBL;Acc:A0A178VRK1] MGSGSSPCASCKLLRRRCAKDCIFAPYFPPDDPHKFAIVHKVFGASNVSKMLQELPVHQRADAVSSLVYEANARMRDPVYGCVGAISYLQNQVSQLQMQLAVAQAEILCIQMQQEPHELPTTHPSMMDCDAKSLLLAGSNTSDFSDQMPQFLSYTSSNTNNHVIQDPLKRESLWT >cds-PLY90160.1 pep primary_assembly:Lsat_Salinas_v7:7:14484989:14487922:-1 gene:gene-LSAT_7X11881 transcript:rna-gnl|WGS:NBSK|LSAT_7X11881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPKNNFSFQSHNYFQRLSKALEFAVSEWLLIFLLFIEACFTYLIAKFASYCQLQGPCLLCTRYDHLLSKDKTGIYWDSICNHHKSDLSSLVLRHVHDKNLLHASSIHNASESLSENGEHCKNEKNIFVDKSLESCRSSDLQKVQISHSLEVEYQKVAVNSDNESELLVSDNETTREVLLKSDSQIEVPDLQDLPATIVARDAEELKWQNDEKKEDMPLMTEQNSLEEAPSANIAETPVDASLEIDDPPKTNEAIKDPITETETEPCTTTNGHQMSNYLDLGDAYKLAISTKARQLSGKFLDQKSFKESSTKVSEDLKILLSHRSNDNLISPKLSFNNDELSGIQFLQRRISLERNESNISLDGSIVSEIDGESVVDRLRRQVEHDKKLMGALYKELEEERNASAVATNQAMAMITRLQEEKAELYTEALQYLRMMEEQAEYNSEALQNANDLIEEKDKQIQDLEDMLRKMSEDIKE >cds-PLY95783.1 pep primary_assembly:Lsat_Salinas_v7:3:27150126:27150539:-1 gene:gene-LSAT_3X20861 transcript:rna-gnl|WGS:NBSK|LSAT_3X20861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIEGIDEEGIEQEGMDQEGMNQDGMGAQGLDQEGINKNGIGEEGMEQEGMDQEGMNQDVMGAQGLDHEGINENGIGEEGMEQEGMDKEGLVMEDMDMRPKLRKRKTSERITKIQLKKLVVVKNGKGVSSSNPLSLD >cds-PLY77384.1 pep primary_assembly:Lsat_Salinas_v7:7:9567859:9572044:-1 gene:gene-LSAT_7X9300 transcript:rna-gnl|WGS:NBSK|LSAT_7X9300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGTDVTESGLSCVKCGKPALLQCPKCVELKLPREGAAFCTQDCFKASWSSHKSVHLKAKLSSVGASNPWELNIASPSDGWLYCIRKGQSRTAQIPHFDWTGPLRPYPISKKRIIPAGIELPDWAVDGTPKIEPISDLQHVVEIKTPEQIERMRETSRIAREVLDAAARVVRPGVTTDEIDAVVHEATIAAGAYPSPLNYHFFPKSCCTSVNEVICHGIPDGRKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASLQLVKCTYECLEKAIAIVKPGVRFREIGEIINRHATMAGLSVVKSYCGHGIGELFHCAPNIPHYARNKTVGVMKAGQTFTIEPMINTGVWRDRMWPDGWTAVTADGKRSAQFEHTLLVTETGVEVLTGRLPTSPKVFPWLSS >cds-PLY67364.1 pep primary_assembly:Lsat_Salinas_v7:4:60077775:60078326:-1 gene:gene-LSAT_4X40580 transcript:rna-gnl|WGS:NBSK|LSAT_4X40580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNFGFVLFLVLLATTSLEINAQRCKPSGGIRGRKPPPGECNRENNSDCCVQGKFYTTYTCSPPVTGDTKATLTINSQGKFYTTYGPSECDNQYHSDDTPVVALSTGWYKGGDRCHKYITINGNGRSVKAMVVDECDSTMGCDGDHDYQPPCPNNIFDASKAMWKALGVSEDNWGDLDITWTE >cds-PLY87486.1 pep primary_assembly:Lsat_Salinas_v7:MU040441.1:72663:75397:-1 gene:gene-LSAT_0X40701 transcript:rna-gnl|WGS:NBSK|LSAT_0X40701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNNDVELVARGSIRRNVQFLLDYKIERRDLENQRLPSIFMVPKLYRDISPISFTPRLVSIGPLHRKDTNLQKFEVQKSIYLHKLLALCGSNKKQTLEDCMRKVTMKIHDIKACYEVSTTYEDEEELARIMVIDGCFILYFTHLLSGKAGRFMGNRSTIPLIVNDMLLIENQIPFFVLKDIFEATFLQFNRNASLTDYLKILLKGYNPFHENKVTDNINQSTRHDHILGLLHNCLVPAHLEGSENFLRKGGPAFEQERHSAMELDRAGVNFRANNDVNWPMAMKLELPRFLCFPWFWCKPTLLMPKLYVHDSTELVLRNLIQYELSSLVPEYITSYMWAMDMLVDTLEDVAKLVKSGVLVNHCGSNENAANIINNICQDVSLDCFYYHQDWEDLDIYYKSCWPNAAASLKRKYFSSPWTIIALFAAIILFVLTLVQTIYTVKPQSP >cds-PLY95381.1 pep primary_assembly:Lsat_Salinas_v7:9:190050017:190053813:1 gene:gene-LSAT_9X117100 transcript:rna-gnl|WGS:NBSK|LSAT_9X117100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSALGTRRFAAFEYKSVGGSLLLQWWDEIDESPLWQEGVFYALCAAYALVALIALVQLIRIQLRVPEYGWTTQKVFHLMNFVVNGLRALQFGFYWFVFHNEPKVLDIILLDFPGLLFFSTYTLLVLFWAEIYHQARSLPADKLRPAYFIVNGAVYFLQICIWIYMRFSPVGVEIAELFFSVISFCAALGFIIYGGRLFVMLRRFPIESRGRQKKLHEVGFVTGICCTCFLIRCVMVAISAFYEEADIHVLDHPILDFIYYMIVEIIPSALVLFILRKLPPRRMSDHYHPIK >cds-PLY91100.1 pep primary_assembly:Lsat_Salinas_v7:MU039484.1:195528:196066:-1 gene:gene-LSAT_0X6260 transcript:rna-gnl|WGS:NBSK|LSAT_0X6260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDKLDISLGVAIGSSTQISMFVIPFCVVVGWIMGHPLDLNFQLFETTTLIMTVLVVAFMLQDGTSNYFKGVILVFCYLIVAASSLYI >cds-PLY88398.1 pep primary_assembly:Lsat_Salinas_v7:5:62448920:62449111:-1 gene:gene-LSAT_5X28400 transcript:rna-gnl|WGS:NBSK|LSAT_5X28400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSFSLQKDITATRAEVREFCEQQAAMERRIIEAERQIARARISTTTYPGPQLPTRSEKKAYF >cds-PLY84293.1 pep primary_assembly:Lsat_Salinas_v7:6:5954489:5962270:-1 gene:gene-LSAT_6X2400 transcript:rna-gnl|WGS:NBSK|LSAT_6X2400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSISSSSTTTITTLSPPPVLEHIPPSSADQLCYVHCTRCDTVLAVSVPSTSLFKTVTVRCGHCTNLLPVTMRGLLLPPPAVNQFRVGHFPMNQNIYPNDTSTTAPGRIFDLPKPPVINRPPEKRQRIPSAYNRFIKEEIQRIKARNPDISHREAFSAAAKNWAHFPHIHFGLMGDQTTAVNKSSMRQQETGGGLMEGSFFASANLVYHLITTNFNSSLSWIL >cds-PLY75853.1 pep primary_assembly:Lsat_Salinas_v7:9:195856969:195857277:-1 gene:gene-LSAT_9X119720 transcript:rna-gnl|WGS:NBSK|LSAT_9X119720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSSDYKRLFSHFDRYGNNMISPSQLQYCVRLIRDGDILLEEVEFVAELSNGNHENLFVGLMESAKEDEKIEDLRKAFTMYEMDGTDCITPKSLNLMLNRLG >cds-PLY62258.1 pep primary_assembly:Lsat_Salinas_v7:5:162474582:162476743:-1 gene:gene-LSAT_5X71221 transcript:rna-gnl|WGS:NBSK|LSAT_5X71221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGILNDSKNDRLTQLKAFDDTKSGVKGLIDAAAGGPVDIPEIFIRPPDELAEDLELTRTSLRVPAIDLNGVDDMVGSSRREKIVEEVRHASEKWGFFQVVNHGIPMKVLEEMMKGVREFNEQDVEMKKGYYSRDPDRMVKFNTNYDFYMSTAANWRDSLLVDMTSSYDLDPQDLPSVCRDSTVDYLNHLKNLVDTLFELLSEALGLEPDHLKRLECEKSRTLACHYYPACPNPDQTLGINKHTDASFITILLQDEVGGLEVLHRNQWADVEYIPGALVVNIGDLLQIVSNDKFKSVIHRATGNATQTRMSVACFFHGVSSTPKIYGPIEEVVMRGSPPVFREFTVRDYMMKFYSRGLDEKSGLNHVRI >cds-PLY84135.1 pep primary_assembly:Lsat_Salinas_v7:6:187655498:187656825:1 gene:gene-LSAT_6X114840 transcript:rna-gnl|WGS:NBSK|LSAT_6X114840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHMWFSKLFPLSTGGGSLQCCNSGSGFRYKNSKHRVDAIHISNLSVSYTIFEGDALLGIIVSVTHHVKFQPSVDGGSVYKHTTEFNCNPDAQLPDGILNFLKEAFKNTFKAIEAYAIAHPEAY >cds-PLY63099.1 pep primary_assembly:Lsat_Salinas_v7:8:74472077:74477414:1 gene:gene-LSAT_8X52100 transcript:rna-gnl|WGS:NBSK|LSAT_8X52100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVPSPNLDLDMTLEHIVEVTGLSPRATDQDVYNFFAFCGAIEHVDIVRAGEYACTAYVTFKDAYALETAVLLSGATLLDQTVCITRWGQDVDEPEFWNQQSFSHDDGSSSNIHADQYAYTAGEAVTLAQDVVKTMIAKGYILGKDALGKAKQFDESHQVSASAAAKVAELSERMGLTDKVFAGVEAVRSVDQNYFSKGALWMSGALSRAAQAAADLGSHGISK >cds-PLY71900.1 pep primary_assembly:Lsat_Salinas_v7:MU043812.1:158492:160237:-1 gene:gene-LSAT_0X23500 transcript:rna-gnl|WGS:NBSK|LSAT_0X23500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQILSISHPSSSKSLAIGYCVALSDAQSINLTRRRRQESSHSWNSLQRDLKSDGRIYCLFSDNRSKDQAKKALESALGGKKMEFEKWDKEIKKREEAGGGSGGGGGGWWGRWFGGSDGEHFWHEAQQVSLTLLVLAVMTLILAKGDVLFAVVLNPLLSFLRAPRNGLRYITSKINKNGSPQQETSYVATTSAKESVISKWASDS >cds-PLY95744.1 pep primary_assembly:Lsat_Salinas_v7:5:248778679:248780632:-1 gene:gene-LSAT_5X123841 transcript:rna-gnl|WGS:NBSK|LSAT_5X123841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCSTSTNENEKEEECVDFKGNPADMSNTGGWVAAGLILGTELSERICVIGISMNLVTYLHGSLHLSSSKSANIVSNFMGTLNLLALFGGFIADAKIGRFLAILIFSFICALGMVLLTLATTIQRLKPPSCETSGGWKCIEATGSQLAMLYIALYTVALGGGGIKSNVSGFGSDQFDKSTPEDEKKMVYFFNRFYFCISVGSLFAVTVMVYLQDKKGWGVGYGVSAGTMGIAVFLLLCGTRFYRYKKPKGSPLSVIWRVVFLAMKNRRLPYPNHPSLLNGYDSSKVQHTEKLRCLDKAAIMATNEANEDLWIVSSVTEVEEVKMVINLIPIWSTGILFWTIYSQMNTFTVEQANIMNRKHGRFNIPPGSFSFFLFISILLFTSLNERLIVPFAKRITNYPKGLTSLQRIGIGLVFSVAGMVAAAICEIRRKSDMKNGVKTNAFWLVPQFFLVGAGEAFAYVGQLEFFITEAPEKMKSMSTGLFQTTLAMGFFVSSLLVSLTNMATHERWLKNNLDQGELNNFYWMLAILGVFNFFGFLILASRHQYKSQHYENEIETRRIDDI >cds-PLY86788.1 pep primary_assembly:Lsat_Salinas_v7:5:14303253:14303656:-1 gene:gene-LSAT_5X6521 transcript:rna-gnl|WGS:NBSK|LSAT_5X6521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIRFVFFSLVKXIYLRNTIVKAIENPGGLLKGFEVCLATHVQPPVSTMSAIVRSTGGNVIRSFEKAKDLGKTISVASEEGMEEALSAVKKGIATFSNDWFMNCVMK >cds-PLY89611.1 pep primary_assembly:Lsat_Salinas_v7:9:39784889:39785774:1 gene:gene-LSAT_9X37441 transcript:rna-gnl|WGS:NBSK|LSAT_9X37441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATEAKDLRKLNLDAPLLSTRRPNSLTSHVNSRRASWDSRNRVPFSWELSAGKPKDAGADQVPDDFPIPPPPGRKLVENDQYDGDDDFSDAIDTFSLSAAIDMVESAEMAKRSTGNMLAGMSLEPCGNESPSFIIQRFLSDAKALAISSGLPIAKNISNQQEKSCKPQIIHTSPKGCGLGLDALFPWRTKHRPRPRPPCGVKSPVRVTAASVKSQWGFKPKPK >cds-PLY90520.1 pep primary_assembly:Lsat_Salinas_v7:2:43179821:43180256:1 gene:gene-LSAT_2X20640 transcript:rna-gnl|WGS:NBSK|LSAT_2X20640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRQKIFFLKRFDSELLYVQGSILKKFQRSESNSNDLKHFFLHYFGNPRTQSYGYVKYRISNPSRKRRETDTQFKVSKQNSILDLIDTYRILRKAVFDESRMYGLEGDLSYLSRSTLQYGVKKPK >cds-PLY85816.1 pep primary_assembly:Lsat_Salinas_v7:8:173014009:173019419:1 gene:gene-LSAT_8X112941 transcript:rna-gnl|WGS:NBSK|LSAT_8X112941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILTELPEEQEAAITYDVFLSFRGKDTRLGFMDHLYQALVNENISTFLDEEEVETGEELKPELARAIKSSRASIVVLSKNYASSTWCLDELVMILEQRRVSDHFVLPVFYNVEATHIRKQESTFGEELLKHKQRIESEKDAEKKIQGARKLEMWRKGLTEIADLKGKDATGRRETVFIEELVKEISSRLELHTRTKIPHLIGMDMSILSISSWLKGGSSKSAEILTIWGMAGIGKTTLAKYIYRLHCHEFERSSFVEEIERKCAEQTYVLLDLQKQLLGDILRKRMIEEHDVDACTSKIEKALFNKPTLVVLDGVDNSEQVDVLIGTKGFHPGSKIILTTKDGPLTEKSAIFGIKVPPKHTKHELYGLSATESLRLLCWHAFGQYDPKEGYEEEAIRASKFCGGHPLALKVLGSSLNNEDVATWSDTFEMLETGEFHAHVHKVLQISFDSLPSESCKELFKHIACFFVGKDREVTETILKECGIRTSYGIKKLIDRCLLMIGGRNELRMHQLLQEMGRDLVRKESPEKPWKRSRVWNHGESLNLLKEDKGTGKIQGLVLDMKMLEKEPLRGLSVAGHELEDNDPNMIFGIGPSNHSILKFPSSGCKKIELRTNALRKMDKLNLLQLNHVKLKGSYKNFPEGLRGLCMHGFQLKYVPSDLPMENLVALDMSYSNLTQLWKKPKILVSLKILNLSYCKFVRIGGFPGLPALERLILVGCERLTEVCESIGGCDELAFLDMSYCKELQKIPSSIGKLKKLKVLSINGCIGASAFSSEMKVLNADDISMKTDNSFSSLTNCIPASSKSLLLSLAPSLLSLSLKNNNLRTESFPKDFSSLSKLKELYLDGNPIDSLPDCVRSLIRLDKLSLTGCSKLTSVCCPIISTLRDLRVDDCQSLVKVTFHPGKPPYSCNYLMSDSVTEVEGKIKIQDLAQIDEKILCSLGWTNLQHVKCLDLTIWNSDSWISAKKLPIQMYFEFGIFSTCFPGEELPNWFGNRSPGSSLSFTIPSSPVNKSLQGLNIGFVHMFPGTGRVSVLGIKVRNVTKNRSWTYYGVVFAIQTENGGLVWLSHWMFANNELEAGDEVSINIIDDEEEDVEQDGVIVTECAISPVYTTGDKEEDPLGYYKSWKVMVGEYVPCFEFTIGNYLITRRIKYIYPRGEHGHRWRGIKPMHRARYRYGVLR >cds-PLY66606.1 pep primary_assembly:Lsat_Salinas_v7:4:313876140:313876334:-1 gene:gene-LSAT_4X157841 transcript:rna-gnl|WGS:NBSK|LSAT_4X157841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVVAEATSPTEAKAEERSLEEWWPADGGTDGHLVAVRRCSGGDSAVCVEREEGTVKSEGQTWI >cds-PLY72813.1 pep primary_assembly:Lsat_Salinas_v7:6:22830403:22830825:1 gene:gene-LSAT_6X18441 transcript:rna-gnl|WGS:NBSK|LSAT_6X18441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDTSLHVAYNYVSGLHTCRPDRATWDLIAMVENGKHEGPATYSVTFVWNGHEGENVELIRGFAGNWKEPVKAIHKGGPQYEPEVRLAQGKSYYKCIANGNWRHSTTSPT >cds-PLY75278.1 pep primary_assembly:Lsat_Salinas_v7:3:158180510:158181115:-1 gene:gene-LSAT_3X99201 transcript:rna-gnl|WGS:NBSK|LSAT_3X99201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S4, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG00380) UniProtKB/TrEMBL;Acc:A0A1B1W4U6] MSRYRGPRFKKIRRLGALPGLTNKRPRAGSDLRNQSRSGKKSQYRIRLEEKQKLRFHYGLTERQLLKYVRIAGKAKGSTGQVLLQLLEMRLDNILFRLGMAPTIPGARQLVNHRHILVNGRIVDIPSYRCKPRDTIAARDEQKSKVLIQNSLDSSPHEELPNHLTLQPFQYKGLVNQIIDSKWVGLKINELLVVEYYSRQT >cds-PLY74478.1 pep primary_assembly:Lsat_Salinas_v7:7:39031717:39034971:-1 gene:gene-LSAT_7X28260 transcript:rna-gnl|WGS:NBSK|LSAT_7X28260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEPSITRWSFQDFKMFYDIKFGRKKESTPKDAAANGQPSSNGNSSNGTSNGNGHPKSTAELSIYEQYRQERENSAANGVTLLDAEKPKKSLLPAFESAEMRTLAESLSRDIIRGSPNVKWDSIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECNTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGESRSEHESSRRLKTELLIQMDGLTKTDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEAEARKAMFEELLPSVAEEETLPYDLLVEKTEGYSGSDIRLLCKEAAMQPLRRVMAILEQHDILPDDELPKVGPIKHEDVENALKNTRPSAHLHAPRYDRFNSDYGSQILQ >cds-PLY90274.1 pep primary_assembly:Lsat_Salinas_v7:7:115597625:115597912:-1 gene:gene-LSAT_7X72101 transcript:rna-gnl|WGS:NBSK|LSAT_7X72101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDGELDFSNHEMFVGDIPSSGSMNNFFDEIFNDTHACTHKHTCNPPGPDSSHTHTCYHVHTKILPATSDDDKTPTEDTAESSDKKGKNRPSGNR >cds-PLY87773.1 pep primary_assembly:Lsat_Salinas_v7:1:46524445:46526725:1 gene:gene-LSAT_1X40741 transcript:rna-gnl|WGS:NBSK|LSAT_1X40741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSATIGQMITSVFLLLLLLAPVTQNAKSMLPRTDRELLEFSLNLEYLEAEFFLHGSMGRGLDHIQPGLAGGGPRPIGARIANLSPLVRNIIMQFAYQEVGHIRAIKSTVPGFSRPLLDLSVKSFAKVINKAFGRHLFPPFNPYANDLNYVLASYIIPYVGLTGYVGANPILKSNTSRKLVAGLLGVESGQDAVIRTLLYERATLIVVPYGITVAEFTHKISKLRNKLGRAGLKDEGLVVPTYLLGRGVLTGNVLAGNTNSLAFGRTPKEILRIVYGSGKEQVPGGFFPKGGNGLIAKSYLKKKKLDNLPSLV >cds-PLY89438.1 pep primary_assembly:Lsat_Salinas_v7:8:31175794:31176093:-1 gene:gene-LSAT_8X22601 transcript:rna-gnl|WGS:NBSK|LSAT_8X22601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRERGTGEKWGKKKSTTFVIDYGKPVEDKIMEIASLEKFLQKRIKVGGKAGNLSDSVTISREKNKISVTSNNNFSKRYSSFLKLVQLNFSTFMIFSFK >cds-PLY76516.1 pep primary_assembly:Lsat_Salinas_v7:5:12378328:12383378:1 gene:gene-LSAT_5X5541 transcript:rna-gnl|WGS:NBSK|LSAT_5X5541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLVDGKGFFLFIMYLSLSIQIACGWSDTFTSAIPLKDGNRNTIVSSGGIFEIGFFSPMNSKNRYIGIWYKNIPPKTVVWVANRDTPLTNKSGMFKLTDSGILSILNDKNTIIWSSDNTSRTTNNPIAQLLDSGNLVVRDMNDVIWQSFDYPSDTFLPEMKIGKDLVTGHENYITSWKSDDDPSKGDYTYGCDLHGYPHQVIKKGQILLYRSEPWNGIDFGGISVLPQNAIYKFDMVFNQKQVFFTYKMINSSMISRLTMNRSGVLQRWVWSDQVNNWVVYFSKPTPDGCDMACGASGSCNTDSFPKCGCLDKFVPKYQNEWNGDNWSKGCVRRKPLDCKTDGFIKHQNVKLPDPQNSLFYGNLTLVECEKLCVKNCSCMAYANIFKKGFGCMIWMGDLVDIQEAPSYTFEVYIRVAFSELGSRKKKEKVIPLIMALVGGLSIILGLILFIWRELMKNSVPKGEDERMGKDTDQLYKNESQKDDLELPLLNLSEIAKATHNFSFDNKLGEGGYGPVYKGVLPDGKEVAVKRLSKTSNQGLDEFKNEVICISKLQHRNLVRLLGCCIQGDEKMLIYEYMPNKSLDYFIFDESRRKLLDWAERFNIMNGIARGLQYLHEDSRLRIIHRDLKASNILLDFHMIPKISDFGMARSFIGNEIQANTMKVVGTYGYMSPEYAVDGLFSVKSDVFSFGVLVLEIVTGKKNRGFFHHDHHHNLLGHAWILYQEGRSVELIDENLSESWHLYQVLRSIEVALLCVQRNPEDRPSMSSVVVMLSSEXLPQPKQPGFFYTEHLPQPQDDMSSTTRAPSSTTAITITLVHAR >cds-PLY74510.1 pep primary_assembly:Lsat_Salinas_v7:7:37145981:37146549:-1 gene:gene-LSAT_7X27621 transcript:rna-gnl|WGS:NBSK|LSAT_7X27621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSRMARFVSEVAPPQFVSLMRRRTTKMLDTISEDEREVCMHEREAKIAAAAVQHSSFSSGSSQQAQAASGNSMHFFKQTQMKFRVLGN >cds-PLY62883.1 pep primary_assembly:Lsat_Salinas_v7:9:131833739:131840840:1 gene:gene-LSAT_9X85720 transcript:rna-gnl|WGS:NBSK|LSAT_9X85720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNRLCEWVLILSIGFGVFGNLVGGYEFDETEYHFNTTETLSLLEASSAAAAAPKPLLVGLTLIPGAAAKGAVCLDGTLPGYHLHRGFESGSNSWLIQLEGGGWCNTIRSCVYRKTTRRGSSNRFEKQLAFTGILSNKAEENPDFFNWNRVKVRYCDGASFAGDSEDKANDLQFRGQKIWLAAMEELMSQGMRNADQALLSGCSAGGLASILHCDEFHSLFSGSTKVKCLADAGMFMDAVDVSGGHTLRNMYEGVVTLQGVAKNLSPTCLNQLNPTSCFFPQHVVPNIKTPMFILNAAYDSWQVLSSLSAPSADPSGVWKPCQKNPGNCSPSQMNYLQDFRNQMLDALKGFSKSTQNGLFVNSCFAHCQTERQDTWFADDSPVINNKAIALAVGDWFFDRSSVKETDCPYPCDKSCHNLLFR >cds-PLY79346.1 pep primary_assembly:Lsat_Salinas_v7:9:54906222:54906827:-1 gene:gene-LSAT_9X49820 transcript:rna-gnl|WGS:NBSK|LSAT_9X49820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVEGNKQTILSNDQKNTTYKKSNPKLTLLPLIALIFYEVSGGPFGVEDSVKSGGGALLSLLGFLVFPIFWSIPEALIXKLPENGSYVIWISSTFDPFWGFQEGFWKWFSGVMDNALYPVLFLDYLKQSLPIFDQLYARIPTLLAITILLTHLNYKGLHIVRFSDVRLASFLLLPFAVMGILSIPKIRPKRWITLDFKKVQ >cds-PLY80989.1 pep primary_assembly:Lsat_Salinas_v7:9:175646629:175646826:-1 gene:gene-LSAT_9X108321 transcript:rna-gnl|WGS:NBSK|LSAT_9X108321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVISEVDTRKMRVFKSEVFQEVVEAELTYGGGIYWRCFLVVVVFMFSGYGGGPTSNGVRLAVVV >cds-PLY80916.1 pep primary_assembly:Lsat_Salinas_v7:8:134075337:134076581:-1 gene:gene-LSAT_8X93060 transcript:rna-gnl|WGS:NBSK|LSAT_8X93060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVMCHGGEGSDEPPHPFSGGFGDHQKDANGNWPLKIVFDINTNMSIGELYECFIREVGSYMWRDIGFDKDTWTNIYEAERVGMFQHLSNALVVEVALQTYHIADFGGDPDTIDWIAIFERELGTRRGHVRGIRPKPSSAVGTSAPS >cds-PLY72198.1 pep primary_assembly:Lsat_Salinas_v7:7:58409218:58409652:1 gene:gene-LSAT_7X40601 transcript:rna-gnl|WGS:NBSK|LSAT_7X40601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIKMSRSSTVKPDRVREMNGESSGSSKSVLKVIWKKLTREKKKFLMRLPSKHVRVSYDEYNYAQNFDQGSEWRNEIDILSRSFSVQYTNRPSIII >cds-PLY82640.1 pep primary_assembly:Lsat_Salinas_v7:8:276127636:276128094:-1 gene:gene-LSAT_8X159600 transcript:rna-gnl|WGS:NBSK|LSAT_8X159600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKKEIRTLCWVSWSHLKSTSLDNVIQDGRGGGGLPAAAKRWWRYVAGGCSSILLLLYFCFCSSEFHKKGYGEAKTCGWRLCGWFIERRTTVKWWRFCGSGWQPYYWLFLLLVVHNTKGKGKAVIGFQGCLSTCLIEKESKEQSVVVYGGGA >cds-PLY87876.1 pep primary_assembly:Lsat_Salinas_v7:3:43435057:43435332:-1 gene:gene-LSAT_3X33981 transcript:rna-gnl|WGS:NBSK|LSAT_3X33981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGEGEETTTRGVWREAKLILDCVGPFRLYDEPVVAACVEAGCDYLDISGEPEFMERMEAVYHEKAMEKGSLVISACGFDSIPVEIGLMFN >cds-PLY65524.1 pep primary_assembly:Lsat_Salinas_v7:9:203072511:203074441:-1 gene:gene-LSAT_9X124300 transcript:rna-gnl|WGS:NBSK|LSAT_9X124300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRECSRAAASNYLKEAGDAHGTVKIQISIGDGPGTGLAWGCDLSYDYVKINYATSLGPGSLDKCWSSKCSHGYQDVIDCSYALSNPILVYMSKVDGRFNFSPISVNFLTEVAKVIFALVMLLIHARNQKIGEKPLLSVSSFVQAAHNNVLLEIYYKNSTGGSELSTN >cds-PLY83934.1 pep primary_assembly:Lsat_Salinas_v7:MU041291.1:710976:713866:1 gene:gene-LSAT_0X32100 transcript:rna-gnl|WGS:NBSK|LSAT_0X32100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galactinol--sucrose galactosyltransferase 5 [Source:Projected from Arabidopsis thaliana (AT5G40390) UniProtKB/Swiss-Prot;Acc:Q9FND9] MTPTLFKIESDDTDMLDDVHPTPMVKLVGSNFMANDHVILSDVPSNITTCYTASNGCFVGFDASESNSRHVVPIGKLSGIRFMSIFRFKVWWSTHWVGSNGRDLEQETQMVMLEKSDSGRPYVFILPILEGPFRASIQPGNDDLVDVCVESGSTKVSDTSFKSVIYMEAWYDPYALVKKAMRVVQEHLGTFKLLEDKTPPGIVDKFGWCTWDAFYLTVEPQGVFEGVKALVEGGCPPGLVLLDDGWQSISHDDDPVDSEGMNRTAAGEQMPCRLTKFVENYKFRDYKSPKPENPNTGMGAFVRDLKEDFKSVDYVYVWHALCGYWGGVRPNTPGLPESSVVQPMLSPGLKKTMEDLAVDKIVNNGVGLVPPEKVNELYEGLHSHLASVGIDGVKVDVIHLLEMLSEDYGGRVELAKAYFKALTSSVKNHFKGNGVIASMEHCNDFMFLGTEAIALGRVGDDFWCTDPSGDPNGTYWLQGCHMVHCAYNSLWMGNFIQPDWDMFQSTHPCAEFHAASRAISGGPIYISDTVGKHNFDLLKTFVLPDGSILRCQYYALPTRDCLFEDPLHDGKTMLKIWNLNKYTGIIGAFNCQGGGWCRETRRNQCASEYSRILTSTISPNDVEWQNGKPQMSVDSVDTFALYLFHQKKLILVKPSANIELSLEPFDFELITVSPITILAQKSIQFAPIGLVNMLNSGGAIKSITFVSEVDGVCIVQVKVKGAGEMVIFASEEPSECHIDGKNVDFKYVDHMVIVKVSWSTTSSCIIEYVF >cds-PLY88945.1 pep primary_assembly:Lsat_Salinas_v7:8:130534715:130534933:-1 gene:gene-LSAT_8X90461 transcript:rna-gnl|WGS:NBSK|LSAT_8X90461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRGSKLLFVRLLIGNSSIRGLLISSSRVQRVPRGSRLSGGAPLRGSKRPSHQQVQEVQKGRLQRARCSS >cds-PLY84229.1 pep primary_assembly:Lsat_Salinas_v7:7:78294514:78294765:1 gene:gene-LSAT_7X56060 transcript:rna-gnl|WGS:NBSK|LSAT_7X56060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVETTMVGHHGGPSLVSLAKRAFSVEQQPQPTLQHVSYGLKHTKIAPSIYRMATGTVEEELGGCDYVRYRRSEKKEGSEHEWQ >cds-PLY63549.1 pep primary_assembly:Lsat_Salinas_v7:9:151410945:151411286:1 gene:gene-LSAT_9X95720 transcript:rna-gnl|WGS:NBSK|LSAT_9X95720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEDTKDIFDSPSNCHHNDQPPLLPPPSPNVNNPPAPFQPHHPSNPPPTIPSPTLNTSSRSPPGSPPHADDAKKGENDKEIVVHADNAYNFEDVDDQPDIGDDQHFLDIDFMS >cds-PLY99450.1 pep primary_assembly:Lsat_Salinas_v7:6:20261745:20262884:-1 gene:gene-LSAT_6X15401 transcript:rna-gnl|WGS:NBSK|LSAT_6X15401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEFSFTDSDDERAVEDVLSQAMDHSVLEQIAAINCSSFSTSDNLPSHLETRFRKLKSLPPTTTITASAAAGSRFPPSKSKSLGPRPGDDSNVEEPNRDFCSYVDLKQIPDAKKSPESSPSTENQEEHSDENIDEKKGTKSNTKSNSKACKKERSKSNSRSDSWSLSSPESESETRSPPRRSIGCLWCSPKKEKSVPRKQGKENRLFSSSSSSWGNDAEFLKTFSVEEQKKIMKKAMKEEEKINREAEKIVKWAKQASARMMDVSGLDDELSDFENTSK >cds-PLY84531.1 pep primary_assembly:Lsat_Salinas_v7:1:28928149:28930269:-1 gene:gene-LSAT_1X24441 transcript:rna-gnl|WGS:NBSK|LSAT_1X24441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDYPMLTKLESACSDLKTLLKSSANLQTNLEKLDDNFDTLQETLTVASRRLAPLQSLSIASKALETRINRAVSPALVLIDGFRISESLQRKLDDISTKLPAQKSQNKRLRLLIKYVDCVDKLNIAINLLSQEGGPAIQRLQEVVEFLSRTKATDQFRTHRLRETLVALNALYETEVDSMKFDGLLDEALLNLQDEFEGILLQLRHHNIGGSDDDGEAEAATAATELGTEMEVEVLRRISETLTANDCLDICIDIFVKVRYKRAAKALMRLNPDYLRTYKPEEIDEMEWESLETSITLWIQHFELAIRTVFISEKTLCHQVLGNIMEGAIWQECFVKIADKIMAVFFRFGEGVARSNKEPQKLFKLLDMFDSLEKLKSEFSNVFEGEAGVDICSRFRELEKLLVHSSSKVYWEFGLQIEDNQDGLPPPQDGSVPKLVRYAINYLKYLTTVNYSQPMARVLRTEQIWKSGTGITDSDEDLLKDAISNVMEALHRNIETKRSGYKDKVMYHVFTMNTYWYIYMRTRNTELGKLLGENYMRKNYKVVAEEAAYLYEKQAWGGLVRLLDKEDIGDEGIGDESIIKGKLEAFLKGFEEIAQRHTSRYSIPEADLRAQIKEATVKLIVPVYSDFLEVFSGVINVKLYSSPESVESLLGQIFSGNGRSSSMGARRREINRMEGRNSVSSEIDSIPGRRSVDRFQRNRSNAGDD >cds-PLY66608.1 pep primary_assembly:Lsat_Salinas_v7:4:282529795:282532217:-1 gene:gene-LSAT_4X143840 transcript:rna-gnl|WGS:NBSK|LSAT_4X143840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5G66055 protein [Source:Projected from Arabidopsis thaliana (AT5G66055) UniProtKB/TrEMBL;Acc:B9DF91] MFLLNPQLHQQTTISPLLPTTSSTSLCKSHHKTQPLFFRKPTLSFPQFSLRHHPQQQSYTNVNRDDEGYEEEEDEQVLGDCLVFEEGIFEDPFIQNEIQLEAPKPSKRVTKIVVQPEDLVPANWKDVQTEINITKKERRKMAQEMEFGSRLQKRKDGLKPIPMGYESMKEYKAAKLRELKPVVLDNPQFLVEKNEGDDTEDEIDEGEGTRGIRVTPRNPKMAVYGRNLEDISRYLNSGMYDPEAAKDPEGPRKLFSKEEKVLMNRRTPDLATATSEKWQPLHTLAASGEFYLVDTLMKYNVDINVPNQEGLTALHKAILGKKHAICNYLLRNSANPFVRDKDGATLMHYAVRTASTQMIKILLLYNVDINLQDNYGWAPLHLAVQLRRTDVVRLLLIKKADKTLKNQEGLTPVDLCLYSGRDTRTYELIRLLKLPPKPRKYVELME >cds-PLY88271.1 pep primary_assembly:Lsat_Salinas_v7:8:152751913:152754215:1 gene:gene-LSAT_8X102841 transcript:rna-gnl|WGS:NBSK|LSAT_8X102841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQIMGKFGSYWFSNKANKELNSVGDDINSLQSSISGGATWFVNKMKGKMQKPLTDLLKEHDLPVGIFPRDATNYEFDEQTKKLTVFIPAICEVGYRDSSVLRFSTTVTGYLEKGKFTDIEGLKTKVMIWVKVTCIASEGSKLHFTAGLKKTRTRSGYEVHRDGITVEKF >cds-PLY78269.1 pep primary_assembly:Lsat_Salinas_v7:1:130040063:130043798:1 gene:gene-LSAT_1X96721 transcript:rna-gnl|WGS:NBSK|LSAT_1X96721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein G [Source:Projected from Arabidopsis thaliana (AT2G34470) UniProtKB/TrEMBL;Acc:F4IHW4] MASHNHDDHHHAHDHDHDHHHHHDHDHHHANGKSNGDSSFVGKDGKVYHSHDGLAPHSHEPIYSPGFFNRRAPPLVTRDFKERAFTIGIGGPVGTGKTALMLALCRFLRDKYSLAAVTNDIFTKEDGEFLVKNGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKADILLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAQAVGADLGVMERDALRMRDGGPFVFAQVKHGVGVEEIVHNILQAWEATTGTKRR >cds-PLY81394.1 pep primary_assembly:Lsat_Salinas_v7:8:31958518:31959006:-1 gene:gene-LSAT_8X25121 transcript:rna-gnl|WGS:NBSK|LSAT_8X25121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKSSHNYPYSSNPSSSSSSSSSSSNSQSQSHSSITTHSLLASSIDSSSFQSPSPSRCFGLNLLVKAIHQVTAGSVVGVPYIQRRIVIRRRRPLQFNNLLLTTTELLSKSGSRSRSKLKPKPKAKRQRRVNMTMPSKYRDSVLQPLTPKRRMETSSAVKITG >cds-PLY96707.1 pep primary_assembly:Lsat_Salinas_v7:6:76874543:76875388:-1 gene:gene-LSAT_6X56040 transcript:rna-gnl|WGS:NBSK|LSAT_6X56040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDCRSLIEFCRAFEQHKNMTPTMNNNNNHSRNNRKYGSNSNSNSNSSSNSINPLYHPFCERSPFAALDILMLILVLGSLGFLIIPYMNTIYHEAIEILPLVFEVIGDIISDAPIAYVVGLVAAFSGVIASIAAWEILEVKSRKCGKPDCKGLRKAVEFDIQLESEECVKYSSSGRTTDYGVKPLELGKDHKELEAELKKMAPLNGRTVLIFRAPCGCPAGRLEVWGAKRIRRIKK >cds-PLY88901.1 pep primary_assembly:Lsat_Salinas_v7:4:64387722:64389641:1 gene:gene-LSAT_4X45060 transcript:rna-gnl|WGS:NBSK|LSAT_4X45060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMFLSFDLSPFPLIPIIIALLLFIPYKRFLSATKTHKNQPPSPRKLPIIGNLHQLLGSNPHHSIRALTQTHGPLVLIQLGSVPVLVASSAEAAREILKTHDVIFASRPKLSIIDALTYGSKTIAFSPYGEYWRQIRSIAVLGLLSSRRVQSFKRVREEETRLMIDTIGEGCGSLVDLGELLNSLTNNIVCRVAFGRKFNGVTFSRLLERFVYLLGAFSVGNYIPWLSWVDHLSGLKAKTKRTAQEFDEILEVVLEEHINKRRAVDGDVGGLSNENQDLVDVLLDAQRENSEDTTNFTLHRDFLKAAIMDIFAAGTDTTFSAMEWAISELIRHPKVMKKLQQEVSEIAQGKSMISEEDLEHMYYLQAVLKETLRLHTPLPLLISRESTQNVKLMGYDIAAGTQVIINAWAIGRDPSLWEEAEKFKPERFLDSSVDYKGLHFEYLPFGAGRRGCPGIQFAIVIEELALANLVYKYDLALPDGLRGEELDMSELTGLTLHRKYPLVVVPNPRF >cds-PLY95237.1 pep primary_assembly:Lsat_Salinas_v7:9:21326622:21331185:1 gene:gene-LSAT_9X20280 transcript:rna-gnl|WGS:NBSK|LSAT_9X20280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSFQWCGGASFAPTHPTSLSSTKNPPTFAILNFHLFLRDKLKTGMPCYSFSCQPSYLALMAQDDFDFNLCIYDGHNSMIYFVDQIGPSPLPLRDVIFVSEKFEIGVGYDCNPMVFAVDGIGSCQHLLLQDEKKSTNLWDVGDQQQSFDKVVPSSFHELGLVDLVSVYSELSASEKPPPILDVAHLQQDPEIVKVVSLVGAQKAQLHPDDMLLLANFVMSFESFRQDGKAYEAVQECEELKKKCLYL >cds-PLY95632.1 pep primary_assembly:Lsat_Salinas_v7:4:196221901:196223381:-1 gene:gene-LSAT_4X114000 transcript:rna-gnl|WGS:NBSK|LSAT_4X114000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGCCFILKLKGSLFLIQKDQIVFEQLLPAWQLQVRPPGHHAGVRQAMGFCLHNNATIAAGAKKVLIVDWDVHHGNGTQEIFEQNKTVLYISLHRHEGGKFYPGTGVAHEVGSMGGEGYCVNVPWSRGGVGDHSHRIRQSSHDPRSKRLDPKRGTIAYRSPTETPSGTSCLRSHQFFKSIPET >cds-PLY93473.1 pep primary_assembly:Lsat_Salinas_v7:9:62769828:62770205:-1 gene:gene-LSAT_9X54581 transcript:rna-gnl|WGS:NBSK|LSAT_9X54581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAARAHDVAAFAFRGRLACLNFADSVWRLPIPKSSSIHDIQKAAAEAAEAFRYTKEVKEVVEIVETIELPEIQLYVDEEDIFEMPGLFASMAEGLMVAPPERQVGYGSYGDHTMEFCDDESLWSY >cds-PLY93864.1 pep primary_assembly:Lsat_Salinas_v7:5:332420958:332421347:1 gene:gene-LSAT_5X185760 transcript:rna-gnl|WGS:NBSK|LSAT_5X185760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAKLNANDEKHTIKSWSRASMIIPEMVGYRIAIHNGKRHRPIQIKDAMVGHKLGEFALTRARKPQKPQNVKKPAKKGK >cds-PLY99492.1 pep primary_assembly:Lsat_Salinas_v7:4:57660691:57662024:1 gene:gene-LSAT_4X38640 transcript:rna-gnl|WGS:NBSK|LSAT_4X38640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPGSLRWPVTEPQLQLYFLLGLVYSTITPILLPFIIVFFKFAYMFIHHQVRARAAKFNLGCGEGEGNLLEGRDIHIHFPAGAVPKDGPSVGVTLVTYNGNQVVSFMSMSLLVDERCDMELDEKLLDNLTSQPSLKSRGPNTGYKTRYDDVNLIKIRENTEGEYSGICYIIKCKDLT >cds-PLY81359.1 pep primary_assembly:Lsat_Salinas_v7:4:35663628:35666108:1 gene:gene-LSAT_4X23401 transcript:rna-gnl|WGS:NBSK|LSAT_4X23401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein [Source:Projected from Arabidopsis thaliana (AT1G14750) TAIR;Acc:AT1G14750] MKKFRSKLPRRKRSKISPILRSSPALKFNYSSIIDSSSNLTRDVGFACDSSSVVSTNNKSVKKRSFADGNAAVASEFRRITRSYSKRMEIMAEKEVEVSESSSCVEMLNSSSKSTIRRQPEVLKDVKAENDDDVSITVCGIENSEVTTRSECSIFPVELTDRRDELQGNEEIDASVSSRLASPREKFGMNSHFKLSDTTINEGQSAYSEAKDYAVSVASRPKSQPDPASANITSYISKSDTSKTVKDPQAENCGESKLISADFDLTCSESLSCEDDEFDHSSAECTDANRGSSEIEFSSDYTPSTWDMSGSQFSERSFGDTTPSPTFQLFLLYRQKFCKSEVSEKVTSTGNNNEPPNTTIKLLRPEDEEHEESYQMMRQRERRQVYLHNYADEYCGTKEYGHLVVQQRLQMVHWIIEQSANKEFQKETMFLGVNLLDRFLSKGYFTNEKELQIVGIACLTLATRIEENQPSNSIRQKSFHIGSNEYSRSEVVAMEWLVQEVLSFQCFLPTIYNFLWYYLKAARANQDIDKTAKYLAVLTLLGNEQLCFWPSTVAASLVIIACLANNQHSSHQQIAWV >cds-PLY67236.1 pep primary_assembly:Lsat_Salinas_v7:6:134680637:134682261:1 gene:gene-LSAT_6X83201 transcript:rna-gnl|WGS:NBSK|LSAT_6X83201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKSRGDTGAAAVSFQSHSHSSQFTRYFRKQREGLGMMASHTPQPQFLSWELISYLIYS >cds-PLY98521.1 pep primary_assembly:Lsat_Salinas_v7:1:36440413:36443481:-1 gene:gene-LSAT_1X30761 transcript:rna-gnl|WGS:NBSK|LSAT_1X30761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWAASCLASCCAACACNACQTVVSGISRRSARIAYCGLFALSLIVAWILREVAAPLMEKIPWINHFHQTPDREWFETDAVLRVSLGNFLFFSILSLLMVGVKNQKDPRDSLHHGGWMMKVICWCLLVIFMFFLPNEIVSFYESTSKFGSGLFLLVQVVLLLDFVHSWNDTWVGYDEQFWYTALLVVSLVCYVATFSFSGLLFYLFTPSGQECGLNTFFIVMTLILVFVFAIITLHPTVSGSILPASVISLYCTYLCYSGLASEPRDYACNGLHKHSKAVSTGTLTVGLLTTVLSVVYSAVRAGSSTTLLSPPSSPRAGGEKPLLPLDKRDEHEEKENVAKPVTYSYSFFHIIFSLASMYSAMLLTGWSTSVGESGRLVDVGWPSVWVRIITSWATAGLFIWSMVAPHLFPDRDF >cds-PLY75023.1 pep primary_assembly:Lsat_Salinas_v7:9:193446199:193446814:1 gene:gene-LSAT_9X119101 transcript:rna-gnl|WGS:NBSK|LSAT_9X119101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLVVNWTIIRLVRQILPLVHQDGEAYAYLLNVLAPEHCSPRTLDTKDPTERANLVLEHAEKWIANDTWLLKISLKALQI >cds-PLY71136.1 pep primary_assembly:Lsat_Salinas_v7:9:82096625:82103719:1 gene:gene-LSAT_9X64841 transcript:rna-gnl|WGS:NBSK|LSAT_9X64841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 5 [Source:Projected from Arabidopsis thaliana (AT3G12380) TAIR;Acc:AT3G12380] MPFISQTQRQSDYNLIPASCPIVIDNGASYFRIGWAGESEPRIIFRNIVQRPRHKITGETVTIVGDHDPALLKYFDCTRSGPRSAFDNDVVFQFEIMEYILDFAFDRLGADESPINHPILITECACNPVQSRSKMAELLFETYGVPSIAFGVDAAFSYKYNQKLGICDRDGLAICSGFSTSHVIPFINGEPVYEACCRTNVGGYHVTDYLKQLLSLKYPHHMARLTWEKVEDLKMEHCYITLDYASEVRLFQKGGKEAEEKTRCWQLPWTPTPVEEPPSEEEIARKAALREKHGQRLREMAEAKRSSKINELENELKGLEFLIQQLRHVNGNDIPSFLAETGYISKQEIESAIVKVTQSLRKAKGEQDEIEEKQDPSATEKYTLIDIPDNMLTPEQIKEKKRQLFLKTTSEGRQRAKQKRFEEELERERRNKEDEQRRLENPELYMEQLRIKYNDLSEKVEQRKRQKTNGNNHGNENNNVSGGVGRGERLNAAQKERMRLLTTAAFDRGKGEDTFGIKDEDWQLYKKMSKDNDDEDEGPNEDEAELTRVASRLREIDPTFFPKSEASSSGSEPPRFRPLTQEDFQILIGVERFRCPEILFHPNLIGIEQAGLDEMAGVSMQRLKSRAQRLDLEEEVIGITNSVLITGGSCLYPGMSERLEAGIRMMRPCGTPIRILKASDAVLDTWRGAASFASTMHFSRQVFNKMDYYEKGEDWLRQYQLKYTF >cds-PLY98538.1 pep primary_assembly:Lsat_Salinas_v7:1:38128709:38129194:1 gene:gene-LSAT_1X29780 transcript:rna-gnl|WGS:NBSK|LSAT_1X29780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDSNSAPATTFVQADPSNFRAVVQRLTGATPQEPFFPPRPIGEVPPRRSGFKLHERRQNTGKLEITLNDGFRPFGIMSPSSRQRSLTVGEMMMSSPVSTLDVYGRGSPRTPVEEEERAIAEKGFYLHPSPLSTPRGSEPELLALFPLCSPKDNPSSSSF >cds-PLY78225.1 pep primary_assembly:Lsat_Salinas_v7:MU042329.1:76055:78443:-1 gene:gene-LSAT_0X28161 transcript:rna-gnl|WGS:NBSK|LSAT_0X28161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQEYEEGIEKEVGVLKEEVKKEILASLNDQIEHLNLLKLVDAIQRLGIAYYFEKEINQALQQVFDAYGDHNWNGVGTSLWFRLMRQQGFFVSSDTFKTYKDKDGCFNESLKNDLQGLLHLYEATYLRMPGEVILDDALDFSRKCLVDIARNHLLSDPVVSAEIHEALKQPLLKRLPRIEALRYIPFYERETFHNHSLLKLAKLGFNLLQSLHKKEIFQISKWWKRYDVPTNIPYARDRLVECYLWSTLGVYTDPQYSVGRMWVARAFALLTLIDDTYDAYGTYDELVIFTEAIERWSITCVDGLPEYMKLIYQMLMHLDEEMKEFLVGMGKVHQHKYVKETMKEYIRSYMMEAKWKHESYIPTMEEHAEVTYISTGYKFVLAASFAAQDDVIADETFQWLFSYPPIVKASCGICRLMDDIVTHKKEQERKHVASVIECYMKQFDATTEQYLYGLFSEKVEDAWKEINKESIMCKDVKMPINRRVANLGRVMDLLYKDKDHFTYVGDELINLVKSLFVNAIII >cds-PLY62661.1 pep primary_assembly:Lsat_Salinas_v7:1:35149379:35150382:-1 gene:gene-LSAT_1X30041 transcript:rna-gnl|WGS:NBSK|LSAT_1X30041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSPMLLAFFFILLCAQFLSNLALESQEEKGTPSLSENGYNGDDGLKRDQLMLSKASKGKGTYGGQNDRPPSTKKSRAVSILSKPPVYISNTGIGGVVVSMILVFGL >cds-PLY62395.1 pep primary_assembly:Lsat_Salinas_v7:5:309705503:309706341:-1 gene:gene-LSAT_5X168641 transcript:rna-gnl|WGS:NBSK|LSAT_5X168641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAKSKKGAGGRKAGGPRKKAVTRSVKAGLQFPVGRIGRFLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNASRDNKKTRIIPRHLLLAIRNDEELGKLLGGVTIAHGGVLPNINPILLPKKTAAKEPSTPSKAAKSPKKAKKAE >cds-PLY72363.1 pep primary_assembly:Lsat_Salinas_v7:5:6386592:6387880:-1 gene:gene-LSAT_5X3841 transcript:rna-gnl|WGS:NBSK|LSAT_5X3841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEIRERKGKHENQMEALKVERTTVVRWLGVRCCFCCVVLETEVSPSDVVCAINPETTPNSAALNSNLLPNPWGAPSGGFQPTRAPNVSALGCLGLPGADRTGGMPDIS >cds-PLY77922.1 pep primary_assembly:Lsat_Salinas_v7:1:22578823:22580623:-1 gene:gene-LSAT_1X19741 transcript:rna-gnl|WGS:NBSK|LSAT_1X19741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYSVELILLLIFLVFLFYVVVVRRIKSKSIVGEAPELGGAWPIIGHLHLLGGDQLLYRTLGAMADKYGPAFNIRLGTRRAFVVSSWEVAKECFTINDKALISRPKTAAVKHMGYNYAVFGFAPYTPFWREMRKIATLELLSNRRLEMLKDVRSSEINYGIKELYARWAENGRLPVAVELNKWLEHMMLNIVVMMVAGKRYFGVGGGGQEADRCKKAINNFFRLIGIFVLSDTIPFFWWLDLHGYEKEMKKTAKDLDLVLGGWLDEHRQRRKSDAERNKDDVKDFIDVMLSLEDEGQLSGFEHDSDTSIKSTCLALILGGSDTTAGTLAWAISLLLNHPDALKKLQQELDDEVGKDRQVEESDIKNLVFLQAVIKETLRLYPASPLLGPREAMEDCMVSGYNVKAGTRLIVNVWKIQRDNTIWTDPSEFNPDRFMGVEYEHVDLRGQQFVLMPFGSGRRSCPGATFGLQVLHLTLARLLHSFHLDLPEGLPVDMTESPGMTLPKKMPLEVLSTPRLPSELYA >cds-PLY98248.1 pep primary_assembly:Lsat_Salinas_v7:7:173789541:173789957:1 gene:gene-LSAT_7X103081 transcript:rna-gnl|WGS:NBSK|LSAT_7X103081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELDVCNMDFKDFKTYLQNLINNRCRDMYYCLKNQSLVDGLREVRNEDDYVRFLDVGFYDDDNQISVYIDDYHEPLLDWIEEEKVEEGDSGTETYEDDVDSVLSDDLSVDHEADDEDIQWLEVVDPFCLRRILFQKKA >cds-PLY69863.1 pep primary_assembly:Lsat_Salinas_v7:6:3057564:3061678:-1 gene:gene-LSAT_6X4220 transcript:rna-gnl|WGS:NBSK|LSAT_6X4220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERIVGMAALGSRCSEGQRSHQRKMDQMERICDMKKNRSITHMNGGSGGHSIRQQRTTQRSFSTSGLKNFKFWISNFSMIVQSLPLLFFIRLASLRGVCNSQSEMGPFKQTGEGEKAVLDGARNAGAS >cds-PLY84077.1 pep primary_assembly:Lsat_Salinas_v7:6:187368659:187369786:1 gene:gene-LSAT_6X114640 transcript:rna-gnl|WGS:NBSK|LSAT_6X114640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRLILFVFLTIINFPFDNSEIVFSKPQGVRAAYWPSFTGYPASSIDTSYFSHIYYAFVLPSPTTYALNIMSDDVEKLLEFTNATSLWNPPAKTVLSIGGGGGGALPGIFSSMASEQYSRANFINSTIEVARDYNFDGVDLDWELPSNESDMSNLGLLFKEWREAIEYEAHATGRPRLILTSAVYYASTVSFDGGPRSYPTVEITKYVDWVSPMCFGYHGSWENFTGLGSALYDPNTNLSTDFGIGSWIQAGVPSEKIVLGMPLYGPTWSLQDRNDNIIGAPTIGTGPGSGLLVYSQVIDFNKENNSTIVFDNTMVSYYSYSGDSWVSYDDVRSIEMKVRYARNRALGGYFFWALGQDLDWAISSAASETWLN >cds-PLY76092.1 pep primary_assembly:Lsat_Salinas_v7:9:31097036:31097637:-1 gene:gene-LSAT_9X28361 transcript:rna-gnl|WGS:NBSK|LSAT_9X28361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKNHRLLEDARIHLLAYLQFYLGRSTLCLDYLSLDESIEKVVEFMDAYFISQENFDSVMLMSRSQGRLNLLEVMQLAVKAALIKAYNKGSKTRVIRRADLITLPGIKSFLHDDL >cds-PLY72426.1 pep primary_assembly:Lsat_Salinas_v7:3:190908265:190908762:1 gene:gene-LSAT_3X113341 transcript:rna-gnl|WGS:NBSK|LSAT_3X113341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATDEKSKDGTAIYFHIQATVKFEEKRHSFHYRYGLEPTMVECAKATLLFFLLAYNV >cds-PLY75548.1 pep primary_assembly:Lsat_Salinas_v7:9:34346288:34346809:-1 gene:gene-LSAT_9X31741 transcript:rna-gnl|WGS:NBSK|LSAT_9X31741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFYKCSEISSCGFVLHEWCARLPSQIQHHPGHPEHVLVLMSKNPQRLMGLFFCYSCRLYGNSFAYGCTACNYYVDINCAFLPKEIAHEAHPGHLLSRIDASPADVSKKLCNSCYCYLTNCNIAFHCPSCDFYLDTECALLLPGMMRHKFDDVIIHFACLKHQKIFSVGITLL >cds-PLY86052.1 pep primary_assembly:Lsat_Salinas_v7:3:195000458:195004796:-1 gene:gene-LSAT_3X118121 transcript:rna-gnl|WGS:NBSK|LSAT_3X118121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADVIVSAFFTVFFEKLASEALQKIARAKGIDSELKKLKRSLSQIQALLYDASQKEITQEAVKEWLNSLQHLAYDIDDVLDDLATEAMHRGFTDQSGVITNKVRKLLPTCCTNFSLSTRIHRKLDDISTRLQELTEGKNNPGLSVTTNEKPKIKRNDGRVDEIGIVGREAEKKALIQKLLGGKDESCNQNFSIVPIVGMGGVGKTTLARLLYEEKEVKDHFEVRAWVSVSYEFDISNISKVIYENVSGENKIFKDLNLLQDALKEKLKNKLYLIVLDDVWSESYGDWEKLVGPFLAGAPGSRIIMTTRKEQLLRQLGYAHLDPLQSLSDDDALSLFAQHALGVANFDSQPTLRTLGVGFVKKCDGLPLALRTLGRLLRTKTDEEEWKELLNSEIWTLGKRDEIVPALRLSYHDLSASLKQLFAYCSLFPKDYVFDKEELILLWMAEGFLHQSTTSKSMERLGLEGFEDLLARSFFQHAPDDKSLFVMHDLMNDLATSVAGDFFSRLDIEMKKEFRKEALEKHRHFSFVCEEYMVYKRFEAFKGARNLRTFLATYVGMKESWRTFYLSNMVLDELLHESPLLRVLSLCQLNISEVPKSIGSLKHLRYLNLSRTKITHVPDNVCNLYNLQTLIVSGCESLKKLPETFSKLKNLRHFDMRDTPLLKKMPLGILELKSLQTLYGIVIEGENGFSITELKDLKIIQGKLSIKGLEKVSGLTHAQETNLSEKRLTDLELEWSDVYDGSRKEALEKEVLTKLKPHNVTLKELKIVSYGGIEVPSWVGDPSFSRLTCVSISGCKKCTSLQPLGQLPLLKELVIEGMDEVKVVGLELLGSGLSFPSLENLTFRDMDGWEVWSTSIGVVSTSFPCLHELHIESCPNLVRVSLEALTSLRVLTIIGCGHEVLKGLVGVALSITELDIIAISGLNDHVWGGVIEYLGAVEEVRMRECNEIRYLWESEVDASKFLVNLRTLFVSNCSNLVSLGEKEDEESCGSNLTSLTSLSVLGCDSLEHCSCPNSLMTFYIENCNKLLEKELVGAREKPVINSNILMLDTLCTVDWPNLKSIAELSSFNHLRQLVIINCPNLESFPDHELPELNVLTHLTIIDCESLDASFSLGLWPPKLCSLRIGGLKKSMSKWGPQTFPTSLVSLCLTGGQSGDVSNFSQLSHLLSSSLTSLEIYEFEKVESISMGLQHLTSLQLLIVSECPKTRDLPEMLLPSLLSLEIYGCLILKERSSKRGSYRPLISRIPFVEISDVEGHQ >cds-PLY64205.1 pep primary_assembly:Lsat_Salinas_v7:7:3832955:3834465:-1 gene:gene-LSAT_7X2601 transcript:rna-gnl|WGS:NBSK|LSAT_7X2601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLLDKAKQFVSDAVASMEKPEASVTDVDLKEVSLGSVTYLAKVKVLNPYSVSIPIGEIRYVLKSSGSEIASGTVPDPGSLKGDGETMLNVDIKVPHSVLVTLVKDIGRDWDIDYDLKVTLVVDLPLIGNISIPITSTGEVKLPTLSDFFT >cds-PLY84415.1 pep primary_assembly:Lsat_Salinas_v7:4:290088521:290090281:-1 gene:gene-LSAT_4X149860 transcript:rna-gnl|WGS:NBSK|LSAT_4X149860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEQKDGESRGEKTLKVCGGGEPINAGEEVEVANNGRPRVDPVGPNLIVQKQSLKANSFVFLSLLLLFSIASPKVSSDDRYCDDGWESQWLKYERKQDEDMVEEDLVWQEFGRDTNRLDYFRVAAFDVHEDNHVIGNGSYDYGGGRREHGVVMRPNLEQERDDNLNDMTQQVPNGIIANDGSDSGGSFVQIWKPESDGGGGEVGGDLLVAGWWEKWWVWLALWKIV >cds-PLY68940.1 pep primary_assembly:Lsat_Salinas_v7:9:195046311:195058379:-1 gene:gene-LSAT_9X120820 transcript:rna-gnl|WGS:NBSK|LSAT_9X120820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHDEEKKKPKKSKKKDGGSSEKVVKAEKKKKIPVIETREENSLDAARGVVYLYEDSHPIYENFHVKAFKALLTSAPSEDLFINTSDAYQVKSLKLEILSSIATDESIPVIFQELQVYDTDNANQKEKFEANLKKEIKKLQRMSLEKKLRQEDFPGANFEELLAEMTYGMRRKRKAAIPRILNDMKEVFFTRTLSILKNTSDICFRKIQEIPCLTCPEKPQGAMAIMVKLNVSLLKDISDNIDFYFKLAKEESVILLPGLTVGLKKLDSCNFCCRPIFS >cds-PLY86585.1 pep primary_assembly:Lsat_Salinas_v7:1:133261517:133261978:1 gene:gene-LSAT_0X19900 transcript:rna-gnl|WGS:NBSK|LSAT_0X19900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDDLRLEPDQRQPPPTPAKESTCNRHSLAATVGARLLDLSPTFETKEQPIIAYCSNTTRRPLPTTTNASPLPFVSSQHSMSKHFQRHPPATLPEPPVDHNNLNINDFCIVATTSIDRQPSQWNHHFQQPTFSPMESPSDGDNKEWLETFDSK >cds-PLY79176.1 pep primary_assembly:Lsat_Salinas_v7:5:57741697:57743519:-1 gene:gene-LSAT_5X27201 transcript:rna-gnl|WGS:NBSK|LSAT_5X27201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATEEPIVATEPTAPEVVSTDAAPQEEKPEVPAAEEKPKKAKKPSANRKPKSPSLHPTYFEMIKDAIVTLKERTGSSQYAITKFIEGKQKNLPANFKKLLYTQLKKFVAAGKLVKVKASYKLPAKATATGAPAKKKAAAKPKTAAKKAPAKKKAAAKPKAAPKAKTAAKPKKAAKAKPAAKAKPAAKPKAAAPKAAAKPAAPKAAAKPAAKPKAVAAKAKPKAKTVAKPAKVAKTAAKSTPGKKAAAAPKPAAKKTPVKKASAKSLKPKPAIAKKVTATRKAKK >cds-PLY92129.1 pep primary_assembly:Lsat_Salinas_v7:8:206562974:206564120:1 gene:gene-LSAT_8X130160 transcript:rna-gnl|WGS:NBSK|LSAT_8X130160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDDSSSTGGPTSESLETEIFEDRLSQLRLCNQRGKGKKVDPWKSMKSGKKPGGSSGSRANIEWRPIHKRFKELRLRWKRMSNWRSEHSSLSLHKYILYYGLSHDFLLQNQNFQLIDLLFSFLEPTCSHSALLVGYFSKVVICLMLWKTVPEVV >cds-PLY84063.1 pep primary_assembly:Lsat_Salinas_v7:6:187370036:187372893:-1 gene:gene-LSAT_6X114661 transcript:rna-gnl|WGS:NBSK|LSAT_6X114661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQHQLRGSFSIINDCSFRVSEFDMLPGSSHVHWWGALGDNYENLTSGFVISDEQLNTTTYKNDTFIVNLMKNITWDQIRVVSVWDTSMSSDFGHVLLQNSEEIPPDPSNSSLEIHQEPTMFENCKVLSDTYRLRWTLREDKNVIDIGLEGAIGIQNYMAFGWTEPNREHDHMLNADVAFTGFTEEGVPFSDDYYITKYSECIKNENGQFEGVCPDFRYNHSDSDSDSDSDPNSDSVNNTNLVYGHRKDGVSFIRYQRPLKSVDKKYDWDIDVNTERTCIWALGLIKPPDSIRPFYLPQNHGKTFGHVHINVSGRVNDCFGPLDAEEKEDQELVIANQKEPLIVTSGPSLHYPNPPNPSKVLYINKKQTPVLRVERGVPVKFSIQAGHDVAFYVTSDPLGGNATVRNASETVYVGGPMAQGVQSDPQELLWSPDRNTPDQVYYQSVFTQKMGWKVEVVDGGLNDMYKNSVLLDDQQVNFFWTLSEKSISIAARAEKKSGYLAVGFGSEMENSFAYVGWIDLNGSGRVDTYWIDGMNAQSLHPTNENLTYVRCKSESGVITLEFTRPLDPNCDPKTRPECNNIIEPTTPVKLIWAMGAKWSAGHLTQSNMHSVKSSKPVRVSLIRGSAEAEEDLRPVLAVHGFMMFLAWGILLPGGILAARYTKHLTGDIWFKIHVYSQYSGLAITFLGLLFAIAELRAFHLESLHVKFGILTILTGCIQPVNAYFRPKKPANENPSSKRAIWEFVHSYTGRSAIVMGIAALISGMKQLGNRYDDKNTIGLTWALIIWVGLCGLTVLYLEYMEQNRRRGSESGLGGNWVLGNGEDEDADLLGNGNGNHREKESESERMEIQLEPVSGRYTVI >cds-PLY87119.1 pep primary_assembly:Lsat_Salinas_v7:5:259125758:259130624:1 gene:gene-LSAT_5X129380 transcript:rna-gnl|WGS:NBSK|LSAT_5X129380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNHHQHSASLFVPQPSIPNVKFCVVASAPFFLKPPHTLMSVGLDDSLEDERDLDQIMADRRAAEIELDTMEGVASRGREMKRSLKMSPWSTDLLLVAPLGIRSDYFHWCGGVMGKYDAGELKPPSLVNGAGVILSVCDEEVACYETATLSAAVVPALLLPPPTTAMDEHLVTGLPALEPYARLFHRYYAIASPSATRRLLLGLLEAPPSWAPDALDAIVQLLELLRAAEDYASRMRVLVDMILLPDWVFESAAQELRVMEQDATPHHPRIYLSDAQVDVAKFNTMVRLQHLKLP >cds-PLY69295.1 pep primary_assembly:Lsat_Salinas_v7:4:105085230:105085397:-1 gene:gene-LSAT_4X67240 transcript:rna-gnl|WGS:NBSK|LSAT_4X67240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHRKRGRDSIASAALAVVLSGGEGSSGISVWILLLFSPLSITLVWVGLPLTSSN >cds-PLY95115.1 pep primary_assembly:Lsat_Salinas_v7:1:96094210:96094693:1 gene:gene-LSAT_1X80061 transcript:rna-gnl|WGS:NBSK|LSAT_1X80061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWINDKPVLSRRQQTFYRGHDYFKIDIDIHRFSYISRKVLDAFQGRLKHEILGIGLTIQAQHPEELPEQVLCCVRLNKIDFSDHGQIPRILIPNSD >cds-PLY72108.1 pep primary_assembly:Lsat_Salinas_v7:7:59521187:59523889:1 gene:gene-LSAT_7X42401 transcript:rna-gnl|WGS:NBSK|LSAT_7X42401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSISLKSPVFAGNPIKSKTPKSTDPFSHTSAFETLKTLLVGQTQIQEPSSLTFKILPFRKGRPLAGSTGDLVKKWHLGWFNLVDFRDVLEGSEVKLSEDLLVYLGHESEGEDANVVYWGIDVSEGESLVEKFGSQQLCFVQLTTLMVATDWADDNAMGQLAIAGHARALLEWHNTSRFCGSCGGKLIPIEAGRRKQCVNESCKKKIYPRIDPVVIMLVIDKENDRALFSKQTRFVPRMWTCLAGFLEPGESLEEAVKRETWEETGVEVDDVVYHSSQPWPVGSSSMPCQLMIGFFAYASSLEINVDKKELDDAEWKSREEVKRALTFAEYGKAQKTTAIKVDKICKGVEKGQNLAADFSVESGELASMVVPGPFAIAHHLISSWANQV >cds-PLY99719.1 pep primary_assembly:Lsat_Salinas_v7:9:57285135:57288982:1 gene:gene-LSAT_9X48740 transcript:rna-gnl|WGS:NBSK|LSAT_9X48740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQCPSTNSFRFNSSLCACNPGFLYNATANICSPFTVSGADEFLVGTGVDYSINFPETILSFDSIKKYTQSQGVFLGATLVMIVTWLLFCFFVRFGDLGDGRTPWYKIRWAISRLDICFATRHWLDDQKVLKKRKTELGGAFSIASCILFIGLFAALLYQIISKRTIEVHSVVAANAPDLTSFHNDMEFNITTISTMSCSNIRGLGTLYTGNPGFLDFRTNPLSTFANFSCQNTTKGPKVTLKCNNCQLLRDNFYTSWHFLDLPNTPASAVGFEFNLTAKDHEREHHMSFVSGILKNGSGNNGKFVTFRGRDPNILQFNLFPRIYRNKRDLKLIQPLLHEFLPGSSFDEINQLRGSLQSSNDGFVNITFHLNFLSSYIVEVDNQNVSGPVGFLADLGGLYCISIGLFFYLLVQFEYRFKKFRHEDSIMRRIRSQRKAQERWDKLRKYVIFTWGRGSLPPENEGSSKKRKHHIRKDTISFNTKVSIPDEKKFSQEKGEARGVACCFGNSGSIPVERISSQVAQNEGSGDVKYEKEKVTNNDIPLPPSLEIKPGSELSASEIQKNLQNLYEYNVMLREELIVAQSMLHTLTSKASAS >cds-PLY86372.1 pep primary_assembly:Lsat_Salinas_v7:8:28937188:28937717:1 gene:gene-LSAT_8X23540 transcript:rna-gnl|WGS:NBSK|LSAT_8X23540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEWASRLSPLKQLTDAVGVEDVTTPAELANNVIKMVISTAYEAHLFHRSLSPFQQIFKSDNRKIDETLVWSRFIVSKQVEEELLQ >cds-PLY81333.1 pep primary_assembly:Lsat_Salinas_v7:4:36910406:36912308:1 gene:gene-LSAT_4X25801 transcript:rna-gnl|WGS:NBSK|LSAT_4X25801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLKPIRLRTRQISQYASAASQELSAPKAVSTTPPKGLNFYISTLQTCASVDQLHKLHAEILKTHFSRNVFLLTRLAHAYLKFGCLNIGERFVVSIIKNPPLFLWNERIKCYARKGRYRESVDLYYEMVRSGYKPNAFTFTFVLPACAGLKSVKDCRRVHTDVLWFGCEYNEFVITALIDVYGKCGDLSSARQLFDEMPVKKTASCNALMAGFVLDEKFDDALSLFNEMKKLGIPSDTITMVRVLQSCASLGALQQGRWVHEQIIRTQMVVNVQLSSALINMYARCGSIEEAHHVFEEMPKKDLIAWTSIICGYGMHGLAHLSESLFLRMVSHGLRPDAITFVGVLSGFSHNGMVEKGWYYFKKMSDEFNVKPVLEHYSCMVDMLGRAGRLNEAENLLKHITVKPDSMIWGGLLTACKIHKNVEMAERVVSKILELDPTNAGWHVLMSNIYATCEKWDQVAKMWGKIKDLKLEKQPGWSSIEVTGQIHTFLVFDQSHVMSKEIYSYLKYVKERMKVEGYVPETSVVFEKVDEETKEEMVFCHSERLAIAFGILSTLDGDVLRVMKNLRVCVDCHNVIKFISRIECREIIVRDAKRFHCFKDGVCSCGDYW >cds-PLY77770.1 pep primary_assembly:Lsat_Salinas_v7:2:169769692:169772617:-1 gene:gene-LSAT_2X91720 transcript:rna-gnl|WGS:NBSK|LSAT_2X91720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFVYVILGGGVAAGYAALEFTKKGVSHGELCIISEEPVAPYERPALSKGFLLPEAPARLPAFHTCVGANEERLTPKWYKEHGIELILGTRVKSADVRRKTLLTATGETISYKFLIIATGARALRLEEFGVSGSDAENVCYLRDVADATRLVDVMQKSTGGNAVVIGGGYIGMECAASLVINKINVSMVFPEPHCMGRLFTPKIASYYEEFYKSKGINFVKGTVLSSFVFNAEGKVTGVNLKDGSYLPADLVVVGIGIRPNTSLFEGQLTLEKGGIKVNSRLQTSNTSVYAVGDVASFPVKTFGEIRRLEHVDAARKSARHAVSAIMEPEKTPEFDYLPFFYSRVFSLSWQFYGDNTGEAVYFGDFSGTSFGAYWVNKGQLVGSFLEGGSKEEYEAIANVTRVKPEIEDLSELERQGLGFAVAVSQQPVVVPPVDVGRSGSLVVLEKAVYPWHATAGVVVAASIAAFAYWYGRRRRRW >cds-PLY87512.1 pep primary_assembly:Lsat_Salinas_v7:8:95342123:95345474:-1 gene:gene-LSAT_8X67480 transcript:rna-gnl|WGS:NBSK|LSAT_8X67480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNPTPLTASPGSVRNWNDDTPRVKILCSFNGGILPRPQDGKLRYVGGETRILSVPRDIAFKELMSRMKELFDGATVLKYKQPDEDLDALVSVVNDDDVTNMMEEYDKLGSGDGFTRLRVFLFSNSELDDPLHFLDTDGRDSERRYVDALNSLHDSPEYGKQQMSEFQGMSPMDDSQLTEYSFNQLNLDGGIHNPRNYDTHMPPINLHHLTIPHMGPSQHQQPLSPAYYSPRHPGNDFPTSPSCSYYHSPYGEPAAEDYYRHHHVNHLSPIDHQSQLPIPNTNMFEGNNVFDQCTPPFSRNQTPELPNTGNGFHQFPHPYYIREQNEPRTFYNGPTGYPDSYPIPSPYNISRDDRTHYIRHRHEFGNEMFHDQITRYGNFPYAYGPENIQHSSSNNGHIQNSYWRDVQSPMHGSPSNETPISPQQQENGIPRLRIEVEHLNPLVDPTHKLTRYNESDVSDYYPVRPLKLLPNSYNLEDHNIAPEPVIPVQSNDSVLGFDQSPSFVDHKSLSLNTMNRKTTQNTNDNTEPLIKIDGRNGSESLKESEQATTNSLGVNASHSHEKGEAKGEDLNFVPQFVESVKKAALECVEEVKGEVEEVVDSALEKVVEVKGEPTQELDVVDAPEDLELDSDTGTESISKIEPTKAEEEAIARGLQTIKNDDLEEIRQLGSGTYGAVYHGKWKGSDVAIKRIKASCFAGKPSERERMIADFWKEASMLSSLHHPNVVSFYGIVRDGPDGSLATVTEYMINGSLKQFLKKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPHRPICKIGDLGLSKVKQQTMVSGGVRGTLPWMAPELLSGKSQMVTEKIDVYSFGVVMWELLTGDEPYTNMHCASIIGGIVNGTLRPTIPTWCDPEWKSLMERCWSADPHERPSFLEIAQKLRTMAAAMNVK >cds-PLY68868.1 pep primary_assembly:Lsat_Salinas_v7:3:63445437:63447505:-1 gene:gene-LSAT_3X50160 transcript:rna-gnl|WGS:NBSK|LSAT_3X50160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSHADVDRQIEQLMECKPLTESEVKNLCDQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNPPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRLTILRGNHESRQITQVYGFYDECLRKYGNANVWKHFTDLFDYLPLTALIESQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIASQFNHTNGLSLISRAHQLVMEGFNWCQEKNVVTVFSAPNYCYRCGNMAAILEIGEHMDQNFLQFDPAPRQIEPDTTRKTPDYFL >cds-PLY88635.1 pep primary_assembly:Lsat_Salinas_v7:5:141185178:141195205:-1 gene:gene-LSAT_5X61540 transcript:rna-gnl|WGS:NBSK|LSAT_5X61540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDALKEFQHLKIVLNDIRSATANFHVWKVIGEGGFGKVYKALLSYSEGRNQVACKRLDPDRTHGQGDAEFWKEILLLSRYKHKNLISLLGFCSEEGERILVYELASHGSLDKHLSSSTLSWRQRFNICLTAAKGLCYLHDPNGTQQRVIHRDIKSNNILLFEELSTSHHESWHAKIGDLGLSKLGPANQSHSFAPTNAVGTDGYIDPTFENTATLTKESDVYSFGVVLFEVFCGKLCGDYSSGRFENLVPKWKLSCKEVKSDDIIFRELKYDIDPSSMKTFLDIAYRCLEESRENRPKMSEVVEELDIALRLQEIYDEGEPPIDYEEISKTATPCLVYKSEEELKMLLSKGVFLNSGKTWFLLNADGEHCEMISSQGCLIPIEYLSSVYGKKSRFAVDYRYGAYFCDESKMHLRAQFLSPHVTYTINLVFDFYDTTLDNLGIHYKLAGEKKSFTSYLVDEGEDGWLISELFQFTSHRRQLDLEITFECKNAIVVDAIEFRPLERVEHQLLENEEVDMPETYWEQKLPSDYEDIIKWSKYNVQQATKKELYSILCQGFLINNGEEAGMQSQNGLESLECKARNRNE >cds-PLY87155.1 pep primary_assembly:Lsat_Salinas_v7:5:254867939:254870703:1 gene:gene-LSAT_5X128181 transcript:rna-gnl|WGS:NBSK|LSAT_5X128181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGTTDRNKEAVGMMALHEALRSVCFNSDWTYSVFWTIRPRPRVRGGNGCKVGDDIGSLMLMWEDGFCRGRMADCLEDMDAGDDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSECDQSISNYWQSSFDALPTEWNEQFESGIQTIAVIQAGHGLLQLGSCKIVPEDLHFVLRMRHTFESLGYQSGFYLSQLFASTSSNPLKQSTVPIHSPPTAAFNWAAQKPMQSPNFQNPTRLGFAPKDETHMFLLPHSSEPQMGNMMGSDHVESDIKWPNGLSFFNALTGRSDDAKLLFNAEEGLGGGKQPDGGQNLNHDKHNPEAAMYTDVMENFLE >cds-PLY86599.1 pep primary_assembly:Lsat_Salinas_v7:1:132878315:132879115:1 gene:gene-LSAT_1X98321 transcript:rna-gnl|WGS:NBSK|LSAT_1X98321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAKLLYNEEKVKDHFELMAWVCVFEEFDVFNISKAIFQVVTGKNEDFANLNLLHVAFKEKLSKKRFLLVLDDVWNEDHSKWELLQSHLLVGAHGSKVIVTTRSTKVALVMDSELSYGLDVLSSEDALSLFAQHALGDNKNFHKHPTLKFLGEGIVKKCGRLPLALKALGRVLKTDRNSDAWEKLLKSEVWNIKDARGILPALKLSYYHLHPHLKLLFAYSSLFPKDYVFVKNKLVLVSQGLCIFVPIKVNGEFRSSVF >cds-PLY61823.1 pep primary_assembly:Lsat_Salinas_v7:6:57738854:57744141:1 gene:gene-LSAT_6X43021 transcript:rna-gnl|WGS:NBSK|LSAT_6X43021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANGTDGEEYVFLSSIRPGLKREFAFAMKSQSENYGFCGRTRARRAQMSPEPSPINKKSKTADLGKGKEEKIEVCSEKLVAETISNTDEEESKSDVVDPGRAVIEPMNEVKSEPMDGSVKSTIISSDLKPGKSDGNEDDKIAEKDGNVISSIVTPSNGNNDKKLEIKMSKKIVLKRFPTKLKELLETGLLEGLPVRYVRGAKVRGNSEKGLPGVINGAGILCFCEACGGKEVVTPNQFELHAGSANKRPPEYIYLGNGNTLREVLNTCKTAPLEGLEETILKAIGCSSEEKPTFCLNCKGSIPESGSGKTMLLCDSCMDLKESQPPPLIQTQCSPKLPNNSRDRSASPVSAPHSTARVAITSTGRSKGQGRLTRKDLRMHKLVFEEDVLPDGTALSYFARGEKLLEGYKKGAGIFCYCCNTEVSPSQFEAHAGWASRRKPYLHIYTSNGISLHELSIKLSKHRKFSADDNDDLCSICADGGELLCCDNCPRAFHTECISEAVPNGTWYCKYCQNMFQKEKFVERNENAVAAGRISAIDSIEEIKRCIRVVENFEPGEPGVPAKKGAPACVLCRAHDFSKTGFGPRTVIICDQCEKEYHVGCLKDHKMDNLKALPKGEWFCCSNCNEIHASLESLVAGGQKMLPEASMGAIKKKQAEQGLEPSADLDIRWRLLCGKMGSDDTRVLLSKAVAIFHDRFDPIADSTTSRLDLIPHMVYGRSLKQQDYGGMYCAILTVNSTVVSAGIFRIFGEEVAELPLVATSSECQGLGYFQALFSCIEQLLASLNVKILALPAADEAESIWINKFGFQKMPDSELKQYRRKYQLMVFQGTSMLYKPVQKE >cds-PLY75923.1 pep primary_assembly:Lsat_Salinas_v7:9:198535518:198536292:1 gene:gene-LSAT_9X122680 transcript:rna-gnl|WGS:NBSK|LSAT_9X122680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKRFYLPCADDDGNPNCYMCGANFPSMKFLYHHMLLHTDSDWFDILPSEPLKSTVIVCRTLLSIITSRNSDPLDDDLKVNDEKGFNDDGEIVDLMKFLPSWKLTGKRGRPAVTGRRGCPAA >cds-PLY75667.1 pep primary_assembly:Lsat_Salinas_v7:1:100930426:100930990:1 gene:gene-LSAT_1X82060 transcript:rna-gnl|WGS:NBSK|LSAT_1X82060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYASSSNARNRLQVTHVFVKDDVNNYDYDVPAKEHTCWKLTNPGICFWNCNNNLTRLRKRDYFEWKDVALEDGYYKNLIYSMKQQLDSKEDLGVVKKSTTKNVELEFLLSKEKSIVASMKKGMCDSKKSIRIYKLLVVVLVVGYVCFVLS >cds-PLY91274.1 pep primary_assembly:Lsat_Salinas_v7:3:74326883:74327671:1 gene:gene-LSAT_3X62481 transcript:rna-gnl|WGS:NBSK|LSAT_3X62481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQVLKQQILLFTLLVIFNGSFCLDPFYEDCVPKHCGDGMSIRFPFFIRGLHEPHCGYPGFELVCNDDGLPVLSISGNNYSFENIDYKAGSMRLLNYPTITCNSSITNVTLGGNLFWMYGSSTSELVFLTDCTKEVPKNLTRYMIGSCDPAVQLVMLSDDSNLGSAKEVCTQVVVALVEEQDGERRKKVVVDGGNYAEVVKRGFTLFWSAQECVDCERSGGRCGYKWFPFRFLCFCPQSIQPASCPNGKVSSFVQLGIGDAK >cds-PLY67213.1 pep primary_assembly:Lsat_Salinas_v7:6:137040457:137040941:1 gene:gene-LSAT_6X82500 transcript:rna-gnl|WGS:NBSK|LSAT_6X82500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSENTMWHIHEYEVKNNFLFLPSVPGPVERQDLEKVVKIYRKTINFWQVDRGNQIPLGLPRSHYGVPFQNERANRAYMEGLAKELTQKQKSVELDSTLLYERSIASLPTTLL >cds-PLY85021.1 pep primary_assembly:Lsat_Salinas_v7:4:222805249:222805624:-1 gene:gene-LSAT_4X123800 transcript:rna-gnl|WGS:NBSK|LSAT_4X123800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNLVYLDAIIKETFCLYPAGPLSVPHESMEDCIVSGYNIPKGTHLLLNLWKIMPERFLTTHKDIDVKGKHFELLPFGSGRRMCPGVFFALHALHFTLATLIQQFVLKKPSNEPIDM >cds-PLY78947.1 pep primary_assembly:Lsat_Salinas_v7:8:1947306:1950597:1 gene:gene-LSAT_8X720 transcript:rna-gnl|WGS:NBSK|LSAT_8X720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVEIKLSRSNRTYRPHEPLQGKIITKFPSSVSHRGIHLTVNGSVNLQVRGGSAGLIESLYGVLKPIPILKKSIEVEPCGKISAGTTQIPFSIILKHKEEDTKFYETFHGANINIQYLLTVDVVRGYLHKSFSATVEFIIESELGGLPEKPVSPETVFFYITQDTQRHSLLHELKSGGFKVSGRICTQCLVGDPLVGEVTVEASAVPIYSIDIHLHRIESIMVGEKIATETSVLQTTQIADGDVCRSICLPIYVILPRLLTCPTVFAGYMTMVGGGGGGWWRWLWVVVAAVVKAVVVGGGSGGGDGGIG >cds-PLY66255.1 pep primary_assembly:Lsat_Salinas_v7:3:47870110:47870455:1 gene:gene-LSAT_3X37640 transcript:rna-gnl|WGS:NBSK|LSAT_3X37640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMDKNKESRTWNLNDLHEIGCLDSVHLAAKHGVWVMQLDLNPMVVVALWQYGPCLQSYKLKLPNSTY >cds-PLY79122.1 pep primary_assembly:Lsat_Salinas_v7:2:16263949:16266207:1 gene:gene-LSAT_2X11081 transcript:rna-gnl|WGS:NBSK|LSAT_2X11081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNLTQLEHLDLSGNKFSGTLPSDQLWSLKNLKFLDLSHNWLTGSIPQSFDYMVNLTQLEHLDLSGNYFSGNLPSQLWSLKNLKFLDLGHNQLTGPILTFFGSKLDLTRLVHLNFSGNNFSGTLPSQLGSLKNLEFLDLSRNRLTSPIPPSFGFMINLKLLDLSTNQLRGPIPQELCNLQKLETLNLSMNSITGSIPPHIEYLKNIKQLDLNHNQLSGVIHLEFRNLSSLSYLDFSSNRFSGNVSFQSTCTLQYVDLSDNFLTGYNGLNNCYYLSYLDLSDNIFVGEAVNCSDFLWLEYNNLDMSGHYREYCTETDFLRKPSKEHKHILLLEILLPMIVGFCFLVIGYVLYRNKKATTEKSQLEIQKHGDVCSVLNYDGTLAFEDFIKATEDFDLKYCIGTGGYGSVYEAKLPNGKTFALKKLHRFEAKQPAFDKSFKNEIQVLTNLRHKNIVKLYGFCLHNQCNFLIYEYMEKGSLFCALNNNEFAVILDWKTRVNIIKQVAHALSYMHHDCSPPIIHRDISSNNILLNKEMEGFVADFGAAKLLDPDSSDQTVVVGTLGYIAPELAYNIIVNEKCDVYSFGVLALETIGGKHPGDLLTFLNYSNREGATLESIFDKRLPYPTDDRRIKMDILRVYDVALACIRMDPNSRPTMRNVSQELSK >cds-PLY92291.1 pep primary_assembly:Lsat_Salinas_v7:2:210066672:210067215:-1 gene:gene-LSAT_2X130401 transcript:rna-gnl|WGS:NBSK|LSAT_2X130401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRAPLSYADAAQWYCIIFLVLLVLLNSFTEDNSLIRKVNPASGHGCEEIYVVGEGETLHTISEKCGDPFIVEENPHIHDPDDVFPGLVIKITPFGPRN >cds-PLY66158.1 pep primary_assembly:Lsat_Salinas_v7:4:255923845:255926296:-1 gene:gene-LSAT_4X135561 transcript:rna-gnl|WGS:NBSK|LSAT_4X135561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLSELWAIFGPGFAGAVFGAGWWFWVDAVVCSSVQVSFLHYLPGIFASLAALMFNCVRREDIDYSPYEEGEWRLKLWLFLAYVVSFVSLAASVGLLIQDALVPEGPSAWTGTAGVLQCVLVLISGLVYWTSHSE >cds-PLY84201.1 pep primary_assembly:Lsat_Salinas_v7:7:77653049:77653973:1 gene:gene-LSAT_7X55181 transcript:rna-gnl|WGS:NBSK|LSAT_7X55181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPLFHVLNVLPFSFLRPPRLRLKLPTITLPSPMTVFSLVLLTYFMVVSGVVYDVIVEPPGIGSTQDPRTGTVRPVVFMSGRVNGQYIIEGLSSGFMFVLGGMGIILMDLAISPNRAKSLKISFAGAGISFVVIAYVMSMLFLRIKIPGYLR >cds-PLY68024.1 pep primary_assembly:Lsat_Salinas_v7:5:293756178:293760950:1 gene:gene-LSAT_7X62300 transcript:rna-gnl|WGS:NBSK|LSAT_7X62300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-interacting protein 111 [Source:Projected from Arabidopsis thaliana (AT3G56690) UniProtKB/Swiss-Prot;Acc:Q9LET7] MPPKGKKTTKSKKISNSDATSPSSLSSSSAYSNLNPSYNGEDDEQSLLRSLEETSTKYPSFIGTSALICRSVEDASGGIESKTCKIWLSEASMIASSITPGSVVSVSLASLRRDFSVHLLSSLVDECAGHYGFNDKTANEIGNYFILATVFPSRKVQKNEVRLSSTLSSAMGYPVSGRIIFVYPVNHGNNSFPIYKCKDLHLSLVSSKNSFTTKSNKLFNFPFEHNNQHENGNISSPKTPQLTRSKLNSPVSSQPTSPRHTETVSDQSFNPCNIREVLEDEVGKKLLQTCTSSLLNSRHLLHGNLVVIPILSAICLFKVVNAVKISEDNDNDDKESKAFLIDNGTKVHLFSQSSEVETCEKMNFDLYSEDCKDNIVTDIPKLGGLSKEYTVLKDIIVSSSIKNSLSSMGLRPTKGVLLHGPSGTGKTCLAKLCAHDVGVSLFSINGPEIVSQFYGESEQALHSVFDSATNATPSVVFIDELDAIAPSRKEGGEELSERIVATLLNLMDGISRTEGLLVIAATNRPDSIEPALRRPGRLDREIEIGVPSPNQRYDILLTLLKEKEHHLSDTEIHHLAMSTHGFVGADLASLCNEAAFVCLRRNIHKIRDKIHPDNEMNVSMCSDDTKGLFSENNLECSSLSNSELQLEINESDWLARNLSVSLEDFEKARIKVRPSAMREVILEVPKVSWKDVGGQEEVKMQLMEAVEWPQKHQDAFRRIGTRPPTGVLLFGPPGCSKTLLARAVASEAKLNFLAVKGPELFSKWVGESEKAVKSLFAKARANAPSIVFFDELDGLANIRGKENDGVSVSDRVMSQLLVELDGLNQRVNVTVIAATNRPDKIDSALLRPGRFDRLVYVGPPNEKDRKEIFRIHLQKMSCSFDVSIDELATLSKGCTGADISLICREAAVAAIEEKHDALEVRMDHLRSAIEQVPPSDVESYEELTEKFQRLVSTATRKDDFVPESAATGLSSVPNR >cds-PLY91718.1 pep primary_assembly:Lsat_Salinas_v7:7:26654353:26656632:-1 gene:gene-LSAT_7X19020 transcript:rna-gnl|WGS:NBSK|LSAT_7X19020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKENSGLSFDLIQLNCTDLLLFLRHKEVEHHKKVFVNQTDMGWSCFGSKRVQKKEINTHPELEGFPLDNIRNFSYRELRLATDNFDRSAKIGRGGFGVVYKGILNNGTQIAVKALSAESKQGVREFLAEINAIANVRHRNLVELIGCCVEGTHRILVYEFLENNSLDSALLGKKMHIAELDWKRRSEICIGTARGLAYLHEELEPHIVHRDIKASNILLDKHFTPKIGDFGLAKLFPDTITHISTKIAGTTGYLAPEYVLGGQLTLKADVYSFGVLVLEIISGRGSSTSGWGPSQKLLLEWAWELYEEEKLLELVDPELKTYDSEEVIKYIKVAFFCTQATASRRPIMSQVVDMLSRNIRLNEKQLTPPGFFQDSSGNKKVSSDASTSRQITSFPTTITQVTPR >cds-PLY87340.1 pep primary_assembly:Lsat_Salinas_v7:1:40462467:40463956:-1 gene:gene-LSAT_1X34581 transcript:rna-gnl|WGS:NBSK|LSAT_1X34581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEPNFFMGDYFGAGECSFGHQKTTDMINNNNNQFIIDDLLVDFPNHDDVVLNDAFFDNVIGNSADSSTVTAVDSCNSSVSGSEAPFSGNPSSRSFSESKFSGDELCLPYDDLAELEWLSSFTKESFPTDDFQNLQIVSTENINPATDTSSSAFSPEFQRIPVVGNRVNSQIFKRDVFVPGKARSKLSRAPPCDWTSRIIHLKQLSSSNTEIRLQKMLPTKRRQREIPESSITRCLHCGSDKTPQWRTGPMGPKTLCNACGVRFKSGRLVPEYRPAASPTYVSAKHSNSHRKVMEIRRQKELRNAEHQFINRSPISIESNGVDDCLIYRQSGSSFMHMI >cds-PLY68639.1 pep primary_assembly:Lsat_Salinas_v7:7:131470836:131474645:-1 gene:gene-LSAT_7X78320 transcript:rna-gnl|WGS:NBSK|LSAT_7X78320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSKTKHMIEGFVKDGSLKWLNKSHNPLHDEFEEIKRSSSSSNKKWINDLSAVANVVIRRCSKILYISTDKLWENFEAEASDVTKHPIRYARNFLEFACFRALSQSTQVNGYMEDKRFRRLTFDMMLAWEIPDSASQQTINIDEDPTVGIEAFSRIAPAVPIIANVIVSNYIFEFLTASTGGRLQYSTYEKYLSALEKSIKKLKSHSESSLLSSQRAKRGERILEVDGTVTTQPVLQHLGISTWPGRLTLTDHALYFEALRVVSYDKPTVYELADDLKQVVKAELTGPWGTRLFDKAILYKSNSLSDPVVMELPELKGHARRDYWLAILREVLYAHKFIRKFKIKGVERDEALLKAIFGIIRMQALTHMNSTIPLCYEEGLMFNACDQLPGGDRILETIASMLTSKEPERNNNNTGGKSGNGKGMYSVSATTMASSLGSVFGANSNNQSLITVGEITVGEMTPLEKAVGESRSNYKMVADAQATVDGAKVEGLDTNLAVLKELLFPLSELGFHLLRLLYWDEPTKSFTFCFVFTFIIYWGWLAYVFALLINFFAINMVMARYFSGRPVDELRVVVPPSMSKMEQLLAVQNAISQAEELIQEGNVVLLKIRGLFLSIFPQATNRFAGALAGFALFLTFVPFRYVVLVMFLEEFTKYSPMRKAQTERFMRRMREWWFSIPAAPVLLEKEEDKKKK >cds-PLY73119.1 pep primary_assembly:Lsat_Salinas_v7:9:23182232:23184874:1 gene:gene-LSAT_9X21141 transcript:rna-gnl|WGS:NBSK|LSAT_9X21141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCFFCSGGYSSAKRSPQNKRKNNTKNNQSHPSPDNSNLNPSSELKKDNPKESNQSSVANENDDKIKSPNNKESNKTKARKFTFAQLVTATNNFKAANFLGEGGFGKVYKGKLEDTHEIVAIKRLDLDGSQGIREFVVEVLTLSMANHPNLVKLIGYCAEAEQRLLVYEYMPLGSLEDHLHGVRVNRKPLDWNARMKIAAGAARGLEYLHDRMNPPVIYRDLKCSNILLNEEYHAKLSDFGLAKVGPLGDKTHVSTRVMGTYGYCAPDYALTGQLTFKSDIYSFGVLLLELITGRRPIDNTKPTSEQNLVTWARPLFKERKKFSRMVDPMLEGKYPVRGLYQALAIAAMCLQEQPNMRPLVADVVTALNYLATQKYDPLIHPVQRSRYWSNLDQDGVVSP >cds-PLY74792.1 pep primary_assembly:Lsat_Salinas_v7:6:121248772:121249324:-1 gene:gene-LSAT_6X73641 transcript:rna-gnl|WGS:NBSK|LSAT_6X73641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIPLLIIRFGILWYIKKRKSKRKVSESFKDINQLENNGGKGNDLEDYVVDEKLQSKGFREHRRKGSWNSRMN >cds-PLY95068.1 pep primary_assembly:Lsat_Salinas_v7:5:226599862:226600188:-1 gene:gene-LSAT_5X106481 transcript:rna-gnl|WGS:NBSK|LSAT_5X106481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVQNDLCIPDLKDKSEQEYGVSVEEEFDYTKRGQWLCAVKQDVGAMVPTGFAGLVAGVCSMTIGEFVSVYSQRYIEVAQVKRETAIVENEKSIHLMYRSSYYMFYLI >cds-PLY81177.1 pep primary_assembly:Lsat_Salinas_v7:9:116303386:116306099:-1 gene:gene-LSAT_9X78940 transcript:rna-gnl|WGS:NBSK|LSAT_9X78940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQTNLEEDADLNDITKKKNKRSSKSLIMKKLSCFRSSDYGHPTIEAVDNHGNVDMESASTVADKDHCPTHLVVMVNGLIGSARNWRFAAKQFLKKYPKDLIVHCSRRNSALATLDGIDVMGSRLADEVISVIARNPNVEKISFIGHSLGGLISRYAIAKLYTQNQTYQDRSGKIAGIEAINFITVATPHLGSRGHRQVPMFCGVRGLEKVGYHSSVVVRRTGRHLYLKDKANGQTPLLVQMANDSQDLKFISALQSFKRRVVYANVHSDHLVGWSTSSIRHQIQLPKIKNLVRSGRYPHILKEDAENITKQETSLDPQPKTHKKTKTATATMEEMMIKGLSKISWERVDVSFKGSKQRYLAHNTIQASIMFLYISISFFIIIIIIIIIVVQT >cds-PLY73061.1 pep primary_assembly:Lsat_Salinas_v7:2:30653529:30658695:-1 gene:gene-LSAT_2X14960 transcript:rna-gnl|WGS:NBSK|LSAT_2X14960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITLSDFYHVMTAVVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYKMNLRFIAADTLQKLVVLAALAVWSNVSKRGCLEWSITLFSLSTLPNTLVMGIPLLKGMYGGESGSLMVQIVVLQCIIWYTLMLFLFEFRGARLLISEQFPGTAGAIASIHVDSDIMSLDGRQVLETEAEIKEDGKLHVTVRKSNASRSDVFSRRSQGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMAGGGGGGGARNSNFGANDVYGLSVSRGPTPRPSNYEEEGSGSVPNKSRFHHGVPSSNYPAPNPGMFSPSTGKPTVPLTKKANGQKTDEGSNDLHMFVWSSSASPVSDVFAAREYGALDHPTTKDVKTPISPLKGNGEEYLERAEFSFGNNNINIEGGKGKGVGGTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNVEMPAIIAKSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVATFAMAVRFLTGPAVMAAASIAVGLRGVLLRIAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYILLGLEK >cds-PLY81075.1 pep primary_assembly:Lsat_Salinas_v7:6:130924519:130926521:1 gene:gene-LSAT_6X79301 transcript:rna-gnl|WGS:NBSK|LSAT_6X79301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQWQNHIHRHSRSRRHRHRHRLQPPSNNRPCLGHDFGPKESQEPFERKHVVIVMDATKEFSVETLKWVLKNIGLRTCCTITLLGVKPWLTFAFSCKTDTDIWTLNIEDLLSMKDTEEWKNDKCFIHRHHNKKHIEYIIAKKVPCNILVMNNKGEADMIRGRSTTFESNDEIPTFTPSTIPTPTLMLSDEYKKILNIKSP >cds-PLY87013.1 pep primary_assembly:Lsat_Salinas_v7:5:261611593:261612182:1 gene:gene-LSAT_5X134381 transcript:rna-gnl|WGS:NBSK|LSAT_5X134381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSELPIKRGLSQFYHGKSESFTSLAKVVSIEDLPKKLKNPYTRMRKMKTNNKSYGGGLDNYKLHTLRKSTISKKLSPFLRQRSFARS >cds-PLY68653.1 pep primary_assembly:Lsat_Salinas_v7:5:156199622:156201302:-1 gene:gene-LSAT_5X68120 transcript:rna-gnl|WGS:NBSK|LSAT_5X68120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTEGSEVVRSMAADTRGKHRISAALKRLEQEAHSLEEELEQLENMETVSDVCNTIFNDVESRPDPLLPITNGPTNALWDRWFEGPNDKSDCKCCIL >cds-PLY73434.1 pep primary_assembly:Lsat_Salinas_v7:4:181011102:181011806:-1 gene:gene-LSAT_4X105000 transcript:rna-gnl|WGS:NBSK|LSAT_4X105000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANHSQNLIAHHNPIPNHFADQFTNQGYGAYPYQSSSMAQQYNQNPYMSTQQLLQIVDGMNTRVQDNKLKSHEDNKVIRCHNCQGEKHFTKDCKMKTKKVKEKACYIQKAEQIKKQSKDKAFMVMETPSVEVWETDDEVEQPE >cds-PLY65433.1 pep primary_assembly:Lsat_Salinas_v7:9:184628881:184629129:-1 gene:gene-LSAT_9X113240 transcript:rna-gnl|WGS:NBSK|LSAT_9X113240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRAFIKVANIPFSDSTADQLSFAFYLKHMKPQYEAWSASNIIVVKVTGPIETESFPNAKFKVPRRSASQAYEFTLTDLACF >cds-PLY89219.1 pep primary_assembly:Lsat_Salinas_v7:5:310584000:310584640:1 gene:gene-LSAT_5X168220 transcript:rna-gnl|WGS:NBSK|LSAT_5X168220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIIPSFFGGRRSSIFDPFSLDIWDPFKDFPISSSSDHVSRETSALVNTRVDWKETPEAHVFKADLPGIKKEEIKVEVEDDRILQISGERNVEKEDKKDTWHRVERSSGKFTRRFRLPENAKMDQVKAAMENGVLTITIPKEEVKKPDVKSIEISG >cds-PLY80533.1 pep primary_assembly:Lsat_Salinas_v7:3:200696808:200698982:-1 gene:gene-LSAT_3X119581 transcript:rna-gnl|WGS:NBSK|LSAT_3X119581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVGMIESWTTFYLSNKVLDDLLHELPLLRVLSLSHLSIKEVPEIIGSLKHLRYLNLSHTTITHLPENICNLYNLQTLILCGCCFITKFPDNFLKLRNLRHLDISDTPGLKKMSSGIGELKNLHTLSKVIIGGENRLNELKNLQNLHGKISVWGLGDVQNAMEAREANLSQKKLSELQLDWGYGDYGLNVSRKQTHDKEVLNELKPDNDSLKKLEILSYGGTEFPNWVGNPSFLILTHVSINFCEECTCLPSLGKLPSLKELFILGMSKVKVIDLELLGTGVAFPSLEILSFRHMSGWEVWSTDNGGVVDTAFPCLQELHIEFCPNLVQVSLEALPSLRVLEIKGCGHGVLTTLLHVASSVTMLEIDNISGLTDELWRSVFKYLGKLEKLYISGCNEIRYLWQSEVEASKSLVNLRNLNVSACSNLVGLGEKVEDNCGSIQTFIRMLSIARCESIEHCSCPNSIEFLNIHDCDSITSVSFPTGGGKKLKSFAIEGCQKFLEEDLGGEKSRLLIKSSMQMLESVDINGWVNLKSIIELSYCIHLTTLMITKCPKMESFPDHELPNLTSLTILVIAKCPSIDASFPRGLWPPKLSYLGIGGLKKPISEWGPQNFPTSLENLMLNGGIYDDVKNFDQLSHLFPSSLASLSIMGFQKLESVSMGLQNLTFLQRLFVSKCPKMLHLPEKLFPSLLSLRIDGCPNLNERSIRRSSYWPLISLIPDSTFDE >cds-PLY74581.1 pep primary_assembly:Lsat_Salinas_v7:7:40850071:40850490:-1 gene:gene-LSAT_7X32200 transcript:rna-gnl|WGS:NBSK|LSAT_7X32200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKSNSSWSGTSRRKNREIIRCSCGDICPIYVSRTPENPGRKFRGCPNYQDEDGGCGHFKWVDEEEDEFRAFKKQLNLQHKDIESVMLLKLIVGLLVSILVCLVVVVIKM >cds-PLY70885.1 pep primary_assembly:Lsat_Salinas_v7:9:16123510:16136137:1 gene:gene-LSAT_9X17620 transcript:rna-gnl|WGS:NBSK|LSAT_9X17620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFCLAGLYFLSSLVKRYLCQLDAPRAAGNLNFRLPMANRRLFLFLILMLLSFQTLLTTAHEDPSYKEVQVGVLLDMESWVGKIVYGCITQAISDFYTENPHYRTRIVFTKRDTQGETLRALSAALDLLENSKVKAIIGPESTAQARFLEVLGDKANVPILSFSTTPFSNQNPYFLRIAEDETTQFKGIAAMVESFEAKNVIVICEDTTDGREMAAYMFSAFQEKGIQVKHTSLISTSASKEQVGKDLHKLQTMQTMVFVVHTQPSLTAHLFSRAKELGMMGEGYMWIITSKTTNLLNSMDAEAIKSMQGAVGFRSYFPASRKLHNFASKWREEHYALNPFKEFKEVDSYGIWAYDAVYALATAVEWVKTKEFVSKDLDIVGTSLLDEMLRLNFQSLGGEFRLLNGRTISKAMEVVNVIGKGDRRVGFWMMANGGEFVKEIGKPNSSSNHGLESIIWPGGSETSPKRRMLQTNDKKLRILVPDFATFPNLLQLTVDPITNLSGVSGFCGDVFNAAFNALDYGVGVEIIPLPYKDGMSYDDVIEKISLKEYDAAVGDITITANRSLYVDFTLPFTDLGIGTLVHNSKKSTWIFLDPLSADLWITSACFFLFLGFVIWFIEHRTNEEFQGSARQQFGTTLWFSFSTLVYAHREKLQSNLSRFVVTVWVFVVLVLTSSYTATLSSLLTVQQIGMNQISIGFLGVSPLGGLVFNKLNFGGPKIEKLYSPEAYAKALTSESVDAIINEILYLKTVIAMYPSSDLSLIATASTTNGFGFVFQKDSPLAGEMSTEIAKMRQDGTLKTLEDKWLKRDSGHAVIPKDFSSPSPKILNLYGFRGLFLISGGTMAFSLLVSMDSVSICTLVKVLLCLADEPRAAGNLSTVLPMSNYCRLFGILILMLLSFQSLLTAHATSIFLKSLKLQSNGKKLRILVPDFGTFPNLVQLTIDPRTNESSASGFCWDVFNAAFKAIDYGVGVEIIPYPYKDGRSYNDLIDKVSLMEYDAAIGDITITANRSLYVDFTLPFTDLGIGTLAQNSKKNIWIFLDPLSADLWTISACFFLFLGFVIWFIEHRTNEEFQGSPTQQIGTTLWFAFSTLVYAHREKLQSNLSRFVVTVWVFVVLVLTSSYTATLSSLLTVQQIELNERSIGFQGLSNIGGVVYNNLNLADTKFETLYTPEAYVNALTSGSVDAIVDEILYLKSVLAIYPAADFSLIATASTTNGFGFVFQKGSPMAGEMSIEITKMREEGTLKALEDKWLNRESAVTLKDFSSPSPKILNLYGLRGLFLISGVSMALALLVSTVGPVSKKWNIKNKMKILRCILIRSPEIHADSDVESTV >cds-PLY65108.1 pep primary_assembly:Lsat_Salinas_v7:4:4968528:4970465:-1 gene:gene-LSAT_4X2401 transcript:rna-gnl|WGS:NBSK|LSAT_4X2401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYHKQSHSNSSLFRESIKTLEAHIHHANALASALPPDDEGDSVRMKLSYGTFAPFLLYLIDWMDYSCTDSLPNYLGLLHILVYKVYVDGIQTMSSQERKATLREFYAVIYPSLKQLEDYLIELRDDDDDDDDKKSHCKDVESDDECGICMETCDKMAMPNCGHSMCIGCFRDWNMRSKSCPFCRGSLKKVHSGDLWVLTNESDSVDLITLAKENLRCFYLYIESLPFVVPDTHFLLYEYLI >cds-PLY63574.1 pep primary_assembly:Lsat_Salinas_v7:9:149612050:149616057:1 gene:gene-LSAT_9X95420 transcript:rna-gnl|WGS:NBSK|LSAT_9X95420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIRTHFQATVIRSSPIRERENTLNLSDLENAALSDTLVPRKNTRLSNIKLTFPSLIYMLKIKLESTDDKQ >cds-PLY80069.1 pep primary_assembly:Lsat_Salinas_v7:4:349544456:349548295:1 gene:gene-LSAT_4X172260 transcript:rna-gnl|WGS:NBSK|LSAT_4X172260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQEIAFYDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNLTTAFIGLGFMFSSSWKLTLLALVVVPIISVAVRYFGRYLRELSHATQAAAAVAASIAEESFGAIRTVRSFAQESYAISTYSEKVDETLNLGLRQAKVTGIFSGGMSGASTLSVITVVIYGAYLTITRAMTAGSLTSFILYSLTVGSSISSLSGLYTTAMKAAGASRRVFQLLDRVSSLAKPGTQCPVGDPDGDVELDDVWFAYPSRPNHMVLKGITLKLRPGSKVALVGPSGGGKTTIANLIERFYDPSNGKVLLNGVPLPEISHEFLHKKVSIVSQEPVLFNCSIEDNIAYGFDGKATSSEIENVAKMANAHDFVSSFPEKYQTVVGERGLRLSGGQKQRIAIARALLMNPRVLLLDEATSALDAESEYLVQDAMDSLMQGRTVLVIAHRLSTVKSADTVAVISDGQIAESGTHEELLNMNGIYTALVRRQLQVTQNGEV >cds-PLY80513.1 pep primary_assembly:Lsat_Salinas_v7:2:136087477:136089737:1 gene:gene-LSAT_2X65240 transcript:rna-gnl|WGS:NBSK|LSAT_2X65240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANVAFQLQPNFNFNPLFQQTFRSPPLRSLPIKLSFAFKLNYSSYKMIRYSPKLRVECFFMSPKPKGENVIGGIGSLEDNDHNHSCTALAASSGVGSTVGLQPSVGDELGFGASMMMLGSILTVVAFCLVVFNTERKSVLKLQVGLSGTSRSLQKDLNRIAKTANRYSPEGLSSVGLSYLLQETTLALLRHPDFCISGYSSITIIVAAEGGYNLPTINSSAKLKEALIKLATIPSSRIKALVVLLTPPNENDAVTEQKFLEDYSLLHPL >cds-PLY83965.1 pep primary_assembly:Lsat_Salinas_v7:8:38846224:38852198:-1 gene:gene-LSAT_8X30420 transcript:rna-gnl|WGS:NBSK|LSAT_8X30420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSDKAVVVSESVGVPSSAASQSDKVKQDSYKKPNYVQISVESYSHLTGLEDQVKSYEDQMKSYEDQVKSYDEQVKRYEDQQLVYEDQIKNLEDEVKELNEQLSEAHSEMTTKENLVKQHAKVAEEAVCGWEKAEAEAATLKNHLESVTLLKLTAEDRASHLDGALKECMRQIRNLKEEHEQIIHDVVLAKTKQWDKLKLEFDTKVSNLDQELMRSAADNAAISRSLQERSNMLIKMSEEKSEKEAEIERLKSDIESCEREINSLKYELHIVAKELEIRNEEKNMSVRSADVANKQHLEGVRKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVDSLGRDYGESRVKRSPVKPPSPHSPHSQHSQHSQSFSSLPDFTLDSLQNYKKENEMLTERILGMEEETKMLKEALAKRNTELQASRNICAKTVSKLQSLESQVKGYSGQIQNASNAPSVASFSEEGNDDEVSVTGSWATALISELTHNKKDNNNNKIPESPQRSENANNLELMDDFLEMEKLATDSVSKETPETEELNPKGINVISHDDQELGDAISGIYNFVMTVEKEAKSVVGIEENGFVQKVEGFSVIYKEIEDKKVKLQDFVVSLSGVLGKAGELRFTFVNDAETSSPDCIDKIALPENKVDYSNSTQFSDSTSDPDIPHENENSVPTSETTVSPWKCSFEEFEELKLEKEKIFMDLEKCNENLENTKLQLSETELTLTEVKSQLTNAQKSNGLFETQLKCMAESYNSLEVRANELQNRVNVLEEKITMLDNELEEEKKNHKETEEKCKDLLEQLQRIETENTPPPVAETDGKSNQERELAAAAEKLAECQETIFLLGKQLKGMRPPTTEFMGSPIRETSQKSQTFTEEDEEEEDYEVTTTSNGMNNNNNNNNNNNNNLVHHMGNESPSPAHLDPWDSEGNNLLKSPITSRTSKHRPTKSGSSSSSSSNPTPEKNNNGRGFSRFFSTKAK >cds-PLY93691.1 pep primary_assembly:Lsat_Salinas_v7:2:201876366:201882129:1 gene:gene-LSAT_2X123180 transcript:rna-gnl|WGS:NBSK|LSAT_2X123180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRENYEVNKHAGSKSKFAFAATPLSAGAGAATIGQSDDPVAFLKIYIALPRPFISPFPLLCNDCLRGVIPQCLGNINSFLQFLHLQSNQIQGQFPTSVCNMRNLVSLDVSDNSISGVIPQCLGNIISSLWMIDMGNNSFHGIIPDVYEDCGELEGLILNGNQLQGEVPSSLSNCQSLMVLDLGNNNIKGTFPHWLGDLPKLQALVLKSNKFHGPIETPSSKENTFPSMRVLDLSHNWFAGHLPQKYLKSFNAMKNVVKNSTTPTYLSMGGMYYSVTTAVKGRDLEFPKISVDYVIVVLSNNRFEGEIPGIIGCLVSLKVLDLSHNSLTGKIPSVLGNLLEIESLDLSWNQLTGEIPQSLAHLTFIGFLNLSQNHLVGRIPSGRQFSTFEASSFGWNPELCGLPLPTKCERRQETQLEIDGDGDGDGESGFTWRVVILGYGCGTLLGLVMGYVMLSTGRPKWFNAIADAGEHMIRTRHKKRRYVYIGK >cds-PLY79806.1 pep primary_assembly:Lsat_Salinas_v7:8:19092163:19094626:1 gene:gene-LSAT_8X14940 transcript:rna-gnl|WGS:NBSK|LSAT_8X14940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPLLLLLHLSFSIPPSFSQSFFYNGFKNCDSTNITMNGVAEITDDGILRLTDVRSRLIGRGFYPDPIRFKSPTTGTSLSFSTSFVFAVVPKYRQLGGHGLAFTISPTKDLVGAQPSQYLGLLNCSLNGNSSNHLFAVEFDTVQDYEFVDIDDNHVGVNINRMRSVNSTRAGFFVDGDSTKQEICLHSGKKIQAWIDYDADIPQLNITLSIYSKKPSTPILSLPVDLSPVFQDFMYVGFSASTGLLASSHYVFGWSFNMTGKAKSLNLHCLPSLPPFKKNKRGFIIGLSVSVILAFFIIATGGAVYVFKQFNHVDMVEDWELDVGPQKYSYKDLKDSTKGFHEKEMLGYGGSGKVYKGVLPNSTTQIALKRILKESKHGQKEFASEISTIGRLRHRNLVQLLGWSRRKGEFLLIYDFMSNGSLDNYIFNNPKTILSWEQRFKIINDVSNGLLYLHEGWEQTVLHRDIKAGNVLLDSELNGRLGDFGLAKLYDHGSHPTTTKVVGTLGYMAPELTRTGKPTTSSDVFAFGALLLEVVCGRRPIELKASPEELILVDWVMDKWREGRLLEVVDSRLKGEFDKVEVMMVLKLGLMCSSDEPSFRPSMRQVIRYLEGEVPLPEILAPPSEKGGFVVGFDDFAASLKKMDTWSVGYREGDADLEAGSGLPELVSG >cds-PLY65198.1 pep primary_assembly:Lsat_Salinas_v7:7:59579765:59580022:1 gene:gene-LSAT_7X45781 transcript:rna-gnl|WGS:NBSK|LSAT_7X45781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGLVLPRSDVSFRRIGSSGIVWEDKLSSEELKPKVKTESDPKPYRTLVVEPTMDPPSPKVSGCCAMFSKTTTTKKPNKRGHRKS >cds-PLY86544.1 pep primary_assembly:Lsat_Salinas_v7:2:208396620:208399028:1 gene:gene-LSAT_2X128961 transcript:rna-gnl|WGS:NBSK|LSAT_2X128961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFCSKLLSWFHIGLILGGFCSAGDPFVNYELEFSYITASPLGVPQQVIAVNGEFPGPTLNVTTNYNVVVNVKNKLDESLLITWPGIEMRRSSWQDGVLGTNCPIPPNWNWTYRFQVKDQIGSYYYAPSTHFQTAAGGFGGFVITNRKIIQLPFNTPDGDIIITIGDWYTRNHSALRATLDAGKNLGIPDGVLINGNGPFKYNSTVPDGINHTTINVDQGKTYRIRVINVGVSTCLNFRIQSHNLVLAETEGHYTTQQNYSSFDVYVGQSYSFLVTMDQNATTDYYIVASARFVNQSNWQRVTGVAILHYSNSKGQASGPLPNPPNEDSEYSFALNQALSIRMNNTASGARPNPQGSFHYGSINVTDTYMLRSVEPMMIDGKIRASFNGISFGNLDTPVRLADDHHVKGVYKLDFPKIPLNGPPRVGRSIINATYKGFVEIILENNDTVVQSFHMDGFSFFVVGMAYGNWTENSRGSYNRWDAISRSTIQVFPGGWTAIYVYLDNVGAWNLRTMNLDRWYLGQETYIRIINPEDHHQKTELPVPDNALFCGDLGHLQKYV >cds-PLY98751.1 pep primary_assembly:Lsat_Salinas_v7:1:8808837:8809373:1 gene:gene-LSAT_1X7320 transcript:rna-gnl|WGS:NBSK|LSAT_1X7320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLCFCGKFAVVKCSWTPKNPGRRFYACPQKDSACRFIGWVDPPMCERSKVIIPGLLRNMNRMQAWCTALKIMLLASWVFFVILFIRSV >cds-PLY62432.1 pep primary_assembly:Lsat_Salinas_v7:7:112055368:112056885:1 gene:gene-LSAT_7X70581 transcript:rna-gnl|WGS:NBSK|LSAT_7X70581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLYSLDMLLLSVLLFVSISVFLYRRRSHPATNWPVFGMTPHLILNAYRVHDFATDLLKQNHGTFMFKGLWFGNMDMLVTSDPTNIHYMLSKNFPNYPKGPEFHKIFDILGDGIFNSDHEVWEIQRKTTMSLLKHPDFNSHLEKNIRNKIEKGLLPLLDLISHNQQAIDLQEIFQRFTFDAICALLLDYDPETLSVHLPYNACEKAFTDAEEALLWRHVLLERVWKLQQRFGMGKEKKLTEACKVFDEFIYKCLSRKEEFGNDGIVEKEETTGLLESLMTSFQGQTGISGDSRRFLKDTILNLMIAGRDTTSTVLSWFFYLLAQNPSIESKIRREIESQVGGSDWKYCLGVKELKSLVYLHGGLCEALRLYPPVALEHKSPYEADVLPSGHAVNENSKIILSFYSMGRMEWIWGKDCMEFKPERWFSGGKGGIKYVPSYKFTAFHAGPRTCLGKEMGFIQMKMVAIAIIYHYHIEVVEGHKVCPSDSIILQMKHGLKVRLSPIN >cds-PLY72048.1 pep primary_assembly:Lsat_Salinas_v7:2:204488603:204489202:-1 gene:gene-LSAT_2X125460 transcript:rna-gnl|WGS:NBSK|LSAT_2X125460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTTKGTTPKALQIKLQSEATHSNNGVGESSFRVLYYGTASAGSVPFMWESQPGTPKHALTESSLPPLTPPPSYNQFTQKYNSSMQMINHSPKTSSFLRAIFLSSSRKRNMKVAPPSSFSTCSVSSSSCSSSQSTPMRKTDGWRRTSVVKFGLEEDIASGAGSDSPTSTLCFGGGLKKGYKIKKVKKAMLSFVRHGKAS >cds-PLY77441.1 pep primary_assembly:Lsat_Salinas_v7:9:107908248:107908565:1 gene:gene-LSAT_9X75560 transcript:rna-gnl|WGS:NBSK|LSAT_9X75560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPAEMESIIHMLIGQAEEELAALTNLESDFYFNQEMKNELLENMSRRPKYTNYLDMKELINNSTYVASKRIMAIYSLKKETETTIQELRKLLKTLPEDDQPYMD >cds-PLY71087.1 pep primary_assembly:Lsat_Salinas_v7:1:145598790:145599332:1 gene:gene-LSAT_1X103181 transcript:rna-gnl|WGS:NBSK|LSAT_1X103181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLALDWEEETTALVVICRGVKLNIINKFRHSVHRGYLSLKASDIKQVFISTPTLDWEDETTALAVICRGVKPNIVNKFRHNIHRGYLRLKALEIKQVFISKLAPDWEEEMAATRTTIIISKPHSTTNGISNTSYMGSVFLPRTLRSEAQVVQTRAHLPTDEKLGPNHIKIRGPISTRLIL >cds-PLY96232.1 pep primary_assembly:Lsat_Salinas_v7:MU038486.1:56759:57967:-1 gene:gene-LSAT_0X32400 transcript:rna-gnl|WGS:NBSK|LSAT_0X32400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYYLENLKSNASLLSSWYARLEPLNNSSRNPKSLAQDLHSPYYRGCGVYHPSKSRASSLKLLRTRQGFKEQLSLIHSVMDDNYVASGSTDAIGLNLDTTVMFPESPFTAFDIIRWFEAGYFSIDFQIRLTNAPNDLPFPSLGDVMPHWCTNALTTGFIAAKENQIKEESSIPNTEVENRDVNDQSGKSNFTHTMRRNGTGFQQGVAREAEKGISESVTIGNKGEGNLDMSTFHGKEEKNYQGMSWFTPPNDANAKSEHCYIPKRMMQTWNGHTKGVLAIRFLLDNNHLLLLAGMDTKVKIWENFNSDKYEELMEIDVIDPMKMVISYWEYVAETSFISDLVEQVSGTYVGIGIADHGKAQGKTKRSPGAFGCYTISIHLEDKVVLLGVEYCYASTSAIYDR >cds-PLY65954.1 pep primary_assembly:Lsat_Salinas_v7:4:139493063:139496889:-1 gene:gene-LSAT_4X87100 transcript:rna-gnl|WGS:NBSK|LSAT_4X87100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYICPVSELEQQLRETGEQLALHPSSTSELLDILDKTEQLLTFVSQAPSLKMQGALVPSMGALISNELLKHSDVDVKVSVASCLCEVARITAPEPPYKDEIMKDIFQLNVMAFGELSNVTGRNYYKAIHILQSVAKVKSCLLMLDLECDALILEMFEQFLSRIRTNHPQSVFSDMESIMTMVLEESDEIASQLLSLLISHVRKENQNVSPAPWKLAEKVLRNCHDTLKPYSSTLVELIEADSDDYAEIVSTLCQNELMEKKPTKSNNFHKRDANTLMHSHSKKQKHIDTQKVSKKIEDYHKNQIKGKDLEASQNLNSPHETHSSKGRPKNKKVNSSQDSKPKLVQDSKEDLRKTRFQDKASNSAKLKEKSQGMKKSLTSNSSVIKKEDDIIYKGLGNGKQLVGHRIKVWWPLDKMYYEGAVSSYNPVDKKHKVLYADGDEEVLDLRVEKWIILDKSSPHKEKSSDLPTPMTTSSTKRLKQKGKRKLEFSPMEEDNMNSPKSSSLKTKPTKEDDITNSSTNEVVEINDASSTEKMDPIPTTEVEEETKIKTERLEEL >cds-PLY84294.1 pep primary_assembly:Lsat_Salinas_v7:6:6533352:6535293:1 gene:gene-LSAT_6X2121 transcript:rna-gnl|WGS:NBSK|LSAT_6X2121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKKGPWTAEEDNKLINFILTSGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLTESEEQLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLIKRGIDPVTHEPLEKESPDTKTSSCPGEHSSESENNSLSPLLESINATGNSEENSTSSPPENCSTLSDEPHKLFDSLCEDEKLLSYLLGDDEPPLVDTTTWELPNTAQNFNNFSSWDDCATWLFDCQDFGVHDFELNCFNEVEMNILDRLETGKKQQK >cds-PLY75452.1 pep primary_assembly:Lsat_Salinas_v7:7:74746472:74747168:-1 gene:gene-LSAT_7X51861 transcript:rna-gnl|WGS:NBSK|LSAT_7X51861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFPPLAFALFLNLLISTSNAITDKHQLSKNVINDFLYLQNKARSALRVPPLVWDTKLAQYADSYASERQQDCLLKHSNGPYGENIFWGSGDGWSPAQAAAAWVAERRWYAHPSNSCNGGQDCGHYTQIVWKATKKIGCAKVTCFEGRGVFMTCNYDPPGNFIGEKPY >cds-PLY68293.1 pep primary_assembly:Lsat_Salinas_v7:1:26975344:26976139:1 gene:gene-LSAT_1X24001 transcript:rna-gnl|WGS:NBSK|LSAT_1X24001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMSEFAPIFIYLIISPLVSLIPLGVPFPFASNSSTYPEKLSAYECGFDPFGDARSRFDIRFYLVSILFIIPDPEVTFSFPWAVPPNKIDPFGSWSMMAFLLILTIGSLYEWKRGASDREGKIGAKDKRKKERPMPTLNQLIRHGREEKRRTDRTRASDQCPQKQGVRPRVPTRTPKKPNSAPRKIAKVRLSNRHDIFAHIPGEGHNSQEHSIVLIRGGRVKDSPGVKSHCIRGVKDLLGIPDRRKGRSKYGAEKPK >cds-PLY72115.1 pep primary_assembly:Lsat_Salinas_v7:7:59501450:59505627:-1 gene:gene-LSAT_7X42480 transcript:rna-gnl|WGS:NBSK|LSAT_7X42480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKKRRDHSASTDKSRRSPYKCNTKNKNPSEKDQNLKEWEEARCPVCMEHPHNAILLLCSSHNNNCRPYMCDTSRRHSNCFNQFRKFFVTPATAAEPPSTATVQESKMVCPLCRGQVKGWVVVEAAREFMNAKSRSCSSGTCEFSGTYSDLRRHARVVHPLVRPSEADPGRQQDWRRLESERNLGDLLSILESSMESWGFSMTTILRPGNGSGVVEKTMPKARRDNSVSTDKSRHSPYKCNTNTGHSKRLEKDQNLKEWEEARCPVCMEHPHNAILLLCSSYDNNCRPYMCDTSLRHSNCFNQFRKSFENPATTGEPPSTATVQESKVVCPLCRGHVKGWAVVEAAREFMNTKSRSCTSETCQFSGMYSDLRRHARVVHPLVRPSEVDPRRQQEWRRLEGESDLLDFLRTLESTLRAYVVSMSRPGNGSRRSRARARDAVVGES >cds-PLY85492.1 pep primary_assembly:Lsat_Salinas_v7:3:42823008:42824664:-1 gene:gene-LSAT_3X33101 transcript:rna-gnl|WGS:NBSK|LSAT_3X33101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRTSLPRGLSDRQNSNVDAPSLRLYQVWKGNNIFACGGRLIFGPDARSLNLTICLIVVPVILFCSLVSQSLLVHFPDTVGLVLVAIPAVFTVYILILIFLVSGRDPGIIPRNPPCPEPDDDWDASSISTDWATGHSGRFLPPTKSINVNGTIVRVKFCNTCLIYRPPRCSHCSVCNNCVDRFDHHCPWVGQCIGKRNYRYFFMFVTATAQLCLYVFTMCWVNIIMTMQSDKNTVSEALLKSPVSIALITYTFTVSWFVGGLSTFHLYLVITNQTTYENFRYRYERKKNPFNHGCAHNFKETLFSKTPRSQNNFRGFVKPEVYMQFNSSKYFGYAFSLNFSKKSYDTDISEDSNDFELERCETYGMDHSSKWGSAPDLHRLASKFITENVSRDKEKRIEGDSRE >cds-PLY80742.1 pep primary_assembly:Lsat_Salinas_v7:3:85249695:85250523:1 gene:gene-LSAT_3X66220 transcript:rna-gnl|WGS:NBSK|LSAT_3X66220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTHGTIRNGLDIGGGVGTFAVRMMERNITIITTSMNLNGPFNSFIASRGVVPMYISVSQRLPVFDNTLNIVHSKILRPGGLFWLDHFFYVGKELEGIYVPLLASVRFYKVKWVVGKKLDRAPELNEIQTLTTGITQEINSPAVSLVNCGLAMSVGHSPVVWDYGLRFRDPSVVSWPIRPNI >cds-PLY86234.1 pep primary_assembly:Lsat_Salinas_v7:8:57263873:57265350:-1 gene:gene-LSAT_8X42320 transcript:rna-gnl|WGS:NBSK|LSAT_8X42320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKPVAYEEVQSNDHDKEHVDEHKRTNDQLVEDDKYYKKIFDTKKRGFHGRVEENNRQAQTLPPFNSLGLVDGIERIVMESDMFVGETQSLLDMTVNLLDVLDDDLINGPW >cds-PLY79670.1 pep primary_assembly:Lsat_Salinas_v7:5:251953464:251962538:1 gene:gene-LSAT_5X126740 transcript:rna-gnl|WGS:NBSK|LSAT_5X126740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIVLDCKAYDALNNYLAYTIHKMSDDEGVVGVVFKSSPAYEQNNIHSDGTPINKRVTDKSVSVDGDNIDVVDLDAVTPTTTSVKRPTEIVTTTKYFK >cds-PLY75711.1 pep primary_assembly:Lsat_Salinas_v7:8:195545766:195546550:1 gene:gene-LSAT_8X125840 transcript:rna-gnl|WGS:NBSK|LSAT_8X125840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPTRTTNSSIFEAFSLTPLPYPVLLILSLIFFFLGFQWYSSYEEAIEATEESFNWVLLITPLILLFAVKWLSNVEHPEKFFGFGLSPWERRRWLAYQSPEEGGSPWGVAALILLVLVLMQFHSTVLESWF >cds-PLY68273.1 pep primary_assembly:Lsat_Salinas_v7:1:26917759:26921895:1 gene:gene-LSAT_1X24041 transcript:rna-gnl|WGS:NBSK|LSAT_1X24041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MININSRELNYLVYRYLHESGFTHTAFTLGYEAGLNKSTIDGNLVPPGALVTFVQKGIQYLELEANLTCNDSDIDEDFSFIQPIDLITKDVYELQKMIKEKKESLQKTKNREKNKEHEQEHAREKEKEHVKEREKEHEQENARERERERGHAREKEKEQERQHREREKRIEQEQHREKEKEKQQREKERELEKEKIERERERERDNKGKHKEKEKKNEDLEDKIRVQPEENVIAEGAEPMEIDTSSTALPSVIPNSDVTILEGHTSEVFVCAWSPTGSLLASGSGDSTARIWTIGDGPCSSNLQKGPLDVAVLKHYRGRTNDKSKDVTTLDWNGDGTLLATGSYDGQARIWSKDGELMSTLTKHKGPIFSLKWNKKGDYLLSGSVDKTAIVWDIKTGEWKQQFEFHAAPTLDVDWRNNVSFATCSTDNMIYVCKVGDNRPVKTFVGHQGEVNAIKWDPTGTLLASCSDDSTAKIWSVKQDTCLHDLKEHTKEIYTIRWSPTGPGTNNPNQPLVLASASFDSTIKLWDVETGSLLHSLVAHGDPVYSVAFSPNGEYLASGSLDKCMHIWSVKEAKVVKTYVGSGGIFEVCWNKEGDKIGACFSNNVVCILDFRM >cds-PLY73976.1 pep primary_assembly:Lsat_Salinas_v7:8:62517710:62519346:-1 gene:gene-LSAT_8X44241 transcript:rna-gnl|WGS:NBSK|LSAT_8X44241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit L, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70760) UniProtKB/Swiss-Prot;Acc:Q9CAC5] MSSSLSFNIPKALPSLPQCKFQPLSTQLNKKQPRIFTPHKKSYKLTIVNQKKSEDGFTLNKSHLAIQVGALLTTIVSEPAFAITGVNYEEDIVWVLIQSAISAFFYFLVAPPFIMNWLRTRWFKRNLLEMYVQFMFVFLFFPGVLLWAPFLNFRKFPRDPDMKYPWSVPKDPSKIRNNYKKFPWATIDDYEV >cds-PLY81644.1 pep primary_assembly:Lsat_Salinas_v7:1:111224493:111226609:1 gene:gene-LSAT_1X89661 transcript:rna-gnl|WGS:NBSK|LSAT_1X89661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METVLVKAESKPHVVFVPYPAQSHIKCMLKLARLLHHKGLNITFVNTEVNHKQLLKSGGPNSLDGEPGFQFKTIPDGVPEGTPNFMYAVTASILVNFLDPFLDLMRRLESPATCILADGMMPFTVEAAEKLKVPIIHFWTFPACAFMGYYQAPVLIEKGLIPLKDESCITNGYLDTVIDCIPGLEGFRLKDLPGFIRTTNPNDADYNYVIESIKATRKVPNIILHTFEELESTVLKALQLMIPRVYTIGPLELLLNPINLEEETKKLDIKSYSLWKEEDGCLKWLESKEPHSVIYVNFGSLISVSLEQLLEFGWGLANSNHYFLWIIRPNLIIGESASFPPELKEMINERGFIANWCSQEEVLKHPSVGGFLTHCGWGSTIESLSAGVPMLCWPYLWDQPTNCRQMCKEWEVGMEIDSNVNRDQVERLTRELIRGEKGKRMRSKAIEWKKKIEIATSAKGSSSLNIEKLANHINMFSRK >cds-PLY96086.1 pep primary_assembly:Lsat_Salinas_v7:3:98639896:98640231:-1 gene:gene-LSAT_3X74600 transcript:rna-gnl|WGS:NBSK|LSAT_3X74600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLPQSVVLGAKDLPRTILSDHIESRLFGKLKHERLERTRFYGKTYDEVPGAEALVVRVVSSVDKKLEVKQQFLEIFQ >cds-PLY64819.1 pep primary_assembly:Lsat_Salinas_v7:8:265198131:265198505:1 gene:gene-LSAT_8X154961 transcript:rna-gnl|WGS:NBSK|LSAT_8X154961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLPSFFYVLIRFSPHLLRFRSQELGDSESGSVDRISMLNQEEVKAYVCWLTGMLGYGSPVVCDVGGVKGYVQDDGTEEQNVRMAD >cds-PLY75612.1 pep primary_assembly:Lsat_Salinas_v7:9:32666257:32667924:-1 gene:gene-LSAT_9X30661 transcript:rna-gnl|WGS:NBSK|LSAT_9X30661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAEIRGSVDGHGAQLLVDFVSKSSPSPFLLKTYMLVDDPATDHVVSWNSDGMGFVVWQPAEFARDLLPTLFKHSNFSSFIRQLNTYGFRKTSTTRWEFCNERFHKGRKEQLCQIRRRKSWINKPRPIAQLTLKESDEDQRSSSSNSLSSGYNILVDENHKLKKENKVLGLELVSIKKKCKELIDLVGMYTSSSSSKKEEEEDERPKLFGVRLEVHGDKERKRKRIEEVGESARRILLSVNVNK >cds-PLY62053.1 pep primary_assembly:Lsat_Salinas_v7:5:331203485:331203760:-1 gene:gene-LSAT_5X185120 transcript:rna-gnl|WGS:NBSK|LSAT_5X185120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYADFIAITYECGVILPMYVDHVGNSNMQEWLDEHKEEVVNNIVEEVIDGAGLIKEIETGHLDEDEGEDEDEDDHGAEDVDADEDKDDYM >cds-PLY80978.1 pep primary_assembly:Lsat_Salinas_v7:9:175150289:175154051:1 gene:gene-LSAT_9X107760 transcript:rna-gnl|WGS:NBSK|LSAT_9X107760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEKCSSDNEDPEKKQKEKEDVTADPEFFSCMLQPCSANSDPNYIGARRLLLSRKARSGVLQRKDWRCNGKGYVGYRNFITKLENRMKLQSPSHLSSPGTSGQWAPSSGPASITHDIDSWSFSRDPSESQTVSRTNSIRSNLSETDHSRRKTEPAYSFVGMHCIFDECKSMVTVIKFGNMSSDLLAFGATDGTLSVCTVSEPPSVMKKLIGHSKDVTDFDFTMNNHYIASSSLDKTVRVWDIPKGLCIRVIYGVAPQLCIRFHPVNNNFLSVGNANREISVLNFSTGRLTDKIVVDSKVTAMDYDNTGQFIFCGDAHGYLYTVSVNSHQGTLSRSHRARNSSKSKSEITTIQYRTFSLMTRGPVLLSFSRDGTLSFFSVSLELQGYLTLLCSLKLAQRIHSIRASFCPLLSLEKGEFIVAGSEDASVYFYDLTRPKHACVNKLQFSFGDQKSKPCHKDDFCKKIPLQFTVVFIYSLGTIIVMDMDVRLSGLPGIMVRIFWPPRTTVALSLCGREQRQMTKSRHMSE >cds-PLY69732.1 pep primary_assembly:Lsat_Salinas_v7:8:117700486:117700848:-1 gene:gene-LSAT_8X80900 transcript:rna-gnl|WGS:NBSK|LSAT_8X80900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISSQASTRKHDLKHASIREIQALNSSPCSGDNVNTMSSSTSEVAGSGCRFLATERKQEKKENRSSVGRASRGHRWPCRSKHECEGGGGSCLQPQLRNTGGGGKRLAAAEEVRWVKMVVG >cds-PLY94011.1 pep primary_assembly:Lsat_Salinas_v7:8:100022894:100023184:-1 gene:gene-LSAT_8X69860 transcript:rna-gnl|WGS:NBSK|LSAT_8X69860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGSKFGNLSSSHVQEEGEMFGVRGDKGQSMHQSAPMGGSPFGEETMAWYFDQMSFSMNWIGGTMDNMIRHFNFTHPPHLGDNYPVCPTWSKYRTR >cds-PLY77407.1 pep primary_assembly:Lsat_Salinas_v7:9:201023147:201024183:1 gene:gene-LSAT_9X125000 transcript:rna-gnl|WGS:NBSK|LSAT_9X125000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSTHLHLLISRKKLSNLSPEQNELRKMLLETGQVHLFENWPDPGVDDEDKKALLAQELELTIICLIVILSSIGTAQETITDKRKIQGQKEITRIYAFIKGIKNALQMWRDKRDKRV >cds-PLY71302.1 pep primary_assembly:Lsat_Salinas_v7:8:103028838:103033327:-1 gene:gene-LSAT_8X71560 transcript:rna-gnl|WGS:NBSK|LSAT_8X71560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKNEAASCCQFSMAEVQGTAAETGLIPVYPTLTFPNHYSIATGLYPAYHGIILNRFTDPITGDNFTTRSREPKWWLGEPIWETIANQGLKAATYFYPGFDVKKGSWDCPLNFCPPYNASVPFEERIDTFLHYFDLPNEEIPAFMALYLEDHDSQGHLVGPDDPQITEAMQDTPKVIKPNSPIDHCETSTQTSFPFTLRSGNDVIVFVTAAACDIRPFAAYSFSIAKPQRSSPSLPPLRPDRPPTRLPFAYNRSEVIRSLVASCFLRDLARSDEEET >cds-PLY98527.1 pep primary_assembly:Lsat_Salinas_v7:1:39312204:39315349:-1 gene:gene-LSAT_1X33841 transcript:rna-gnl|WGS:NBSK|LSAT_1X33841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSVYCTLLLFLILYITVLNARLQSVELDEPTKAFEYDRIDEVMRECSSILPPDFDHKPYGNKLYRLPEKLSFVNGDWWQDLDKAPLIPYDDTLNGFSDQSSPLNLISFWITDVDKTHRSNNSVSINGVLQLSIMTEQLFYSETFGRYPIFNIYPGKSELRMSFQGIVTYTEENNGEIVMCLLGNAVLPSRGPDPNNPWGWVKEPGYINQPPHIYDDRVLLVIHYPDKFTVKKRGIHGSLKSLNPKSSQKYFDQIHISASLSRSANYEFSSQKHVSNANFANKDLGTFKGAKFCGILKRLLNSDPLTVVPNWRCNETVEFCNKLGPFDSDASIKGSFKGVALHLQDIRCEKPSLDLQGYKHGGLVKVAMVIRMGPPSDALYYTTRQRTGLKKMTLSAEGVWDPSIGQLCMIGCRGIANEEGDGCDSRICLYIPLLFSIKQRSIILGSISSVEESNMSYFPLAVKKPVYYSDQLLPNQYYEYSKIHLARSVLEKYEHFSFGIVIKKWFLTFPKVKHAESLDSFQDGLSRLAIDLRLQHPVVSSSETEVLFEILSLGQMFGKNWSLQKDSIIGEDSHLNVSARLSLTGAQNGNFSDLSVEGLYHPLVGKMYLVGCRDVRAYSNLEDGFDCRIEVVVSYPPTTNLWFVNPTASVSIASQRNQHDPLFFRSIKLQTVLLIFQQQHADISSSSRGVEGTLQVLTPLVGICCILSQLFYMKQNLDSVDYVSLLMVSIQALGYGFPLVTGLVESNQSSPTYMMNQMIHDIDYWVKISVLISFLLTLRLYQKVWRSRIRLLSHHVPSDLPILLITFFIHVFGFGWFLIVYKLQSWLFGLDEYLGLVQDLFLLPQVIGNLIWQINCKPLRKSYFVGLTVIRLLPHVYGYRSSAIVYPSSQIVQQGAIGIPAIAIFLAIVIYVQQKWSYEKLNRTFTLGKFRFLPRGSMAYVRLLPVETEAEVNSGCDGMMKTLQRRRGRK >cds-PLY72978.1 pep primary_assembly:Lsat_Salinas_v7:8:64972535:64975364:1 gene:gene-LSAT_8X45460 transcript:rna-gnl|WGS:NBSK|LSAT_8X45460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEQTLFLWFSIFYLLFSVLSISAANSIIYVECSQLYFTMATSYESHINSLFTSLSESSSISNFNKFQICPPGYSQSDVVYGLYQCRGDVSSTTCRNCVANSLSQLKTSCPMSTGGQIQLEGCFVKYNNTSFFGVEDKMEMSKSCGPSVVYNMQALNRIDDALTYLTAGNGQYFRKGAFENVQGVAQCVQDLTVSECEDCLLEARARLRSECETSAWGNMYLGKCFIRYADQDNNNDANNDTYDYNDYDDDDDRDRSKKKKKKKSKTNLKQVRRWTITIGATVGGITSTAALGITVTVLYKKYRRDRCDGSPIIKSPPQTSTSPIITPPPPPPTPPQLTPPPSPPRGHYCNVVGGCTCPIPPPFNEAFFGARNEAYGIPWLSNYRW >cds-PLY71783.1 pep primary_assembly:Lsat_Salinas_v7:5:122850017:122853264:-1 gene:gene-LSAT_5X55040 transcript:rna-gnl|WGS:NBSK|LSAT_5X55040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSARKQFEHLKIQLEAIRSATNNFAHDHLIGIGGHGKVYRGELIHSKGHTTVAIKRLDRPFGEGDVEFWKEIMTLSRYSHENIVSLLGYCEDGGEKILVYEYSSKRSVESQLSSKNLTWTQRLKIAIGAARGLSYLHQGRILHRDIKSSNILLDDSWTAKIKDLGLSKIGHDSKPHKLVVPHVIGSLGYIDPQYFETGILTKESDVYSFGVVLFEVLCGKLAIGKNYKHQPFPQWVGECYTKNNLDEIIYKDIKVEMNPRSLEEFTRIAYQCLRDFKQRPTMKEVLAKLESALEYQIIETTSFPEEFEDLKIQLEAILLATNHFSNENCIGEGGFGKVYKGKLVHSKGQITVALKRLDYTFQQRNLEFWKEMIMLSLYRHENIVPLLGFCDDRGEKIIVYEYVSRSGLDVYLDSNDLSWVTRLKICIGAARGLSYLHSDVGTNRTILHRDIKSSNIVLDESWNAKIADVGLSKFGRSNRQYKYLVDNVVGTVGYCDPVYFETGSLTKESDIYSFGVVLFEVLCGRLCLVKNDKHQSFTVLVRKCYKQNNLNEIIYGEIKDEIHPSSLEVFARVGYQCLKRSRDERPIMKEVVKILETALQFQVPPLPPPKHIPTYSPLSSNNSTNVSQLTDKRSLKTLHWEKTRATVGSIWDLPHKQGNLSRVPEIDKKELESLFWEA >cds-PLY99213.1 pep primary_assembly:Lsat_Salinas_v7:4:367397967:367401345:-1 gene:gene-LSAT_4X180181 transcript:rna-gnl|WGS:NBSK|LSAT_4X180181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAKVAIVVVGGFLGWIYTRIKPPPLRVCGSPGGPPITSPRIQLNDGRHLPYREWGVSKDKANYKIIVISYCPPTETDLSSGKKLVVVVYNSRGWKRSHVIRLPVVSENIAVHDSNGKEITASVKQSYSFYAGFDGTTGEQASGAYISRPNGTYSIDTQEHIRDYREDWDLEVNQPIVGNYYLVNLGIYLKDETSELLLLVDRSVGGSSIVDGQLELTLHRKLLYDDGKGVAEALNETVCVGNDCRGLTVQGKYYLRIDPIGEGAKWRRSYGQEIYSPLLLAFTEQDKKTRTNFQVSTFSGMDSSYSLPDNVALLTLQVGEEKDLLVMTSVELHKLFAKRKIKAQLHSLMKNRSYNFV >cds-PLY66492.1 pep primary_assembly:Lsat_Salinas_v7:5:39869631:39869996:-1 gene:gene-LSAT_5X20201 transcript:rna-gnl|WGS:NBSK|LSAT_5X20201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLQLPPPSFPIALPPCHPIEAEDPVSDVVAARNRRKTHRGASLSLLQIRWTSPLRRSATVQTTDNRCLLSVFFLSFSVSARCYDAVGVPMSIPSFFPFECFTPPHGWPGLVRTRRWICTF >cds-PLY85699.1 pep primary_assembly:Lsat_Salinas_v7:7:157982961:157983812:1 gene:gene-LSAT_7X93441 transcript:rna-gnl|WGS:NBSK|LSAT_7X93441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIALALAFTALVAFASAHSTIITTTIEEESTFSKQQCSRQLQGQRLNQCQRYLAQGQSLYEEDDRSQKQGGLQLCCQELQFVDEQCQCEAVKEAFREAQKMQQQQQGQQGGSFGSKQIRQMMQKAQNLPNQCKLQTRQCQVGKISITTFTTITEDTTYSRRGSQQQCEHIRSRQFNQCQNFIQRQMGSYATLLMSVSRQGQQPQGLEQCCNELQNVEEECQCEAMQEVYRQAQRQQQQGSQQRSRRGGQPQTQDLQQIVQSLPNQCKLEVQQCRIPSTMF >cds-PLY66177.1 pep primary_assembly:Lsat_Salinas_v7:4:34365087:34366657:-1 gene:gene-LSAT_4X22580 transcript:rna-gnl|WGS:NBSK|LSAT_4X22580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGLNMRNWGYYEPSFKEHLGLQLMSPLTDHRDTKSFLSSRDNPLMMNQNGASSMAAAYHHRPQNCVVSEAPIPLHYMRDSWIQRERLLHMLPGNPNFSLLPDASASNSMHMMQQQQQQQQPIDLPKDTLDDNGGGVGTGGDTGGSGPVKKRSTTNPKTPRAKKPRKPPGVPKENGGNHHGQRSKVVKRSMDVVINGIDMDISGIPIPVCSCTGAPQQCYRWGSGGWQSACCTTTISMYPLPMSTKRRGARIAGRKMSNGAFKKVLEKLASEGYNFANAIDLRLHWAKHGTNKFVTIR >cds-PLY73404.1 pep primary_assembly:Lsat_Salinas_v7:8:114683230:114685352:1 gene:gene-LSAT_8X78280 transcript:rna-gnl|WGS:NBSK|LSAT_8X78280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKLKLQRLFTVNPSIEGPKFCSVASSLQFLDDGGQTNHQIHEHNTSILSTQIQQTPSMDPRFYVSALMNCKHLQQIKSIHAQLSVNGLLSDLLLINKLLYMYVRYNSLDDAYSLFDKMPERNPASWSVMIGGFAKAGNYTNCFETFREYIKSGEHLDVYTLPSVIRVCRDRKDLKMGRLVHHIAHKFGLHKNTFVCVALVDMYAKCGIIDDARKLFDIMPERDLTTWTVMIGACAASGNANESLVLFDQMRESGLVPDKVSMVTIVNACAKLGAMHKAKLIHDLIQTQYRSPDVILGTAMIDMYAKCGSIDSARQVFDEMPQRNVITWSTMISAYGYHGQGQKALELFLIMCKNRIAPNKITFLSLLYACSHSGLVKDGLQIFSQMQDEYFIKPDVKHYTCMVDLLGRAGRINEAFTMIKNMKVEKDEGLWSAFLAACRVYNQVEMAQKAAESLLEINPHNPSHCIMLSNIYAKAAKWDDVAKIRVQMNGKNVKKTPGLTWVEAHNTFYKFSSGDRTHCESNAIYEKLEGLIGKLKVNGYVPDTDFVLHDVSEEVKLGNLYAHSEKLAIAYGLMSVPEGNPIRVTKNLRVCGDCHTFIKVVSLVEGREIIVRDAKRFHHVREGVCSCGDYW >cds-PLY65921.1 pep primary_assembly:Lsat_Salinas_v7:4:139528774:139529121:-1 gene:gene-LSAT_4X87000 transcript:rna-gnl|WGS:NBSK|LSAT_4X87000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METGDDWLAYDKLQHFLLCFSITFISSSLASRTPYALLRRRSISLGCILSLLVGAAKELADELGFFKSAGASAKDAVADIVGVIVAALVLSLCNVFCFRRDRSVTLGQTRAIEMV >cds-PLY75679.1 pep primary_assembly:Lsat_Salinas_v7:1:99653136:99656235:1 gene:gene-LSAT_1X81141 transcript:rna-gnl|WGS:NBSK|LSAT_1X81141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQASKNGSWVLPDELVSRSSIYSRGYDILDRQAEVFIPFIFHSLCLLLALQLSLQNFENKVDVEQQELTVGPELSHDMMNPEMYFEACSKLEDLNLEARKTSLHKVKENLDGDSFRRQDDIHVCIHEVIFSAVDKWLLVAPMDNMDTPACIASPSNVDFLTYGDEKHHGQYIIAVAFMNLNPSPNFPPLKDCFHTISSLVYSTSIFLTYPLSDCNVIRTSSLISLDFAPLAIMATFNSSFGSTPSSRRYRVHVLVLLLWIREHP >cds-PLY74140.1 pep primary_assembly:Lsat_Salinas_v7:9:12876897:12878421:-1 gene:gene-LSAT_9X10681 transcript:rna-gnl|WGS:NBSK|LSAT_9X10681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSPTYAITFFISLLIIRISGVNSQPFLNSVEQESVYRVLEALNSDIPWRSLFPDDLCSSAPHGVVCGYSIMPTATNPGTVNILELSFGYVSDHNPNPPCGPNSTLQDPFIFSAFPYLRKLFFYNCFTQQPVSLQAFSHVGSSLEELVFIENASLFGSLTESIGNMTSLRRLVITGTNVSGDIPVGFGTLQNLEEATLSRNRFTGTLPENVSNLKKLRILDLSQNGFEGNIPAAIGELQNLIKLDLSLNLFSGNFPVSMKGLKNIELLDLSYNRFTNFGIPIFLSEMSKLKEVYLSGNELGGLIPDIWKNLRGIIAIGFSGVGLVGNIPSSIGVFLGNLTYLALDNNKLTGRVPLELERLTMVNELNLKNNSLSGKLPFSDKFVSRVGNKLRVQGNPELCVDGGVIRSFHKSSGVLGQLKVCNSNSNRVANTRSDILHVSSSSFMGHQVSYLVIVFLLFLIM >cds-PLY80243.1 pep primary_assembly:Lsat_Salinas_v7:6:124163697:124164743:1 gene:gene-LSAT_6X74680 transcript:rna-gnl|WGS:NBSK|LSAT_6X74680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALLEEYNYLNMQLEAIKSATCNFDDRKVIGKGGFGKVYEGILSHSKGNATMVAFKRLDRNYGQGVPEFLKEILMLSRYKHENLITLVGFCDENGEKILVYEHASNGSLDRHLSSTTLTWRQRLKICIDAAKGLRYLHDPKETQQRVLHRDIKSSNILLDENWNAKVSDMGLSKIGPANQMHTFLVSNVVGTPGYIDPLYMDTYSLTKESDVYSFGVVLFEVLCGKLCFEYNNGRWQSLVQFWKKCYKQKKLTEIIFEDLKQHIDPSSLETFSDIAFQCLQKSRERRPKMSNVVEKLEIALLFQEISEDCEEIGKRVVPPLVYRYNEELKMRLSEGFLFNGGKTVIS >cds-PLY94338.1 pep primary_assembly:Lsat_Salinas_v7:7:166245021:166246210:1 gene:gene-LSAT_7X98101 transcript:rna-gnl|WGS:NBSK|LSAT_7X98101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVVKVTMNTEKKIRKALKIAVSLSGVESASFVGSDKTQIAVTGEDVDSVELTTLLRKGVGYTELLSVGPVEEKKPAAAKESNPAVASLNVNPYEYYYGSYGMPYYAYQI >cds-PLY79154.1 pep primary_assembly:Lsat_Salinas_v7:4:218666691:218673428:1 gene:gene-LSAT_4X122980 transcript:rna-gnl|WGS:NBSK|LSAT_4X122980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSEDEAGVSVENDLVSQAEEEDIEALLGTYVMGIGEAEVFSERLKRELHALEAANVHAILESQHLVDEVLKGLESATTRVEDMDEWLALFNVKLRHMREDIEAIESRNNKLEMQSMNYKALSEELDNLLERLHIPLELSDCLAGASFDDASLDKHIEACDQLRDALRGFEPPAMDPSFSKIRAVREKRAELDIIKLTFARKACQYLRDYFANSVDSMMNDKSFFSQRGQLKKPDHTNFRNKCKKYARLLHHLKVLDKNSMAPLRKAYCGSLNKLLRHEAREFSNELRAGTKAPKIQTVWFEGPTPNQNVDTSNISEAYTKMLDVFVPLLVDESVFLSRFLRFEVSSPDDNGSDDDDDDLDMENDEKGIPLEMGTLNESLRDLLDGIQEDFYAIVDWAHKIDPLLCISMHGITEQYINDQKSEVAGYVRLLLDAMEDRMDTLFIRIGIMFVTLDKISQSDLKHLDIFLLENYAAFQNSLYDLANCVPTLAKFYHQASESYEQACAHHISVVIYYQFERLFQFARRIEDLMVTNAPEEIPFQVGLTKTDLRKVVKSNLSGVDKHIAAMHKKLVKNLTCDELIPTLWDKCKKEYMEKYETFAQLASKIYPSENIPSVAEIRDVLNSI >cds-PLY93906.1 pep primary_assembly:Lsat_Salinas_v7:7:180344145:180344408:1 gene:gene-LSAT_7X107020 transcript:rna-gnl|WGS:NBSK|LSAT_7X107020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQADVPIVPLNVVYPDSSFEGEIPQGSNNHMDSDDDQLNPLKRKTSFSGGAHDVKVRSSSTTGDPSTPPPSKKSKLNVDLNEFANV >cds-PLY65826.1 pep primary_assembly:Lsat_Salinas_v7:5:136366333:136369445:-1 gene:gene-LSAT_5X60420 transcript:rna-gnl|WGS:NBSK|LSAT_5X60420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNATSKDTKWLCESPIRSDVEAKLRRLLVELANADRILGIQVCAYKDGKVIIDTAAGVMGKDDPRPVQHDTLFPVFSVTKGVTAGMIHWLADKGILKLDDNIANFWVEFAVNGKDKIKALRGFMSAMLQVHHVLDHTSGLHNALASITEDHVSFCDWDECLKRIEMATPETEPGREQVYHYLSYGWLCGGIIEHASGKKFKDVLEEAFVRPLNLEGELFIGIPPNVESRLATSSYDTSEFSSPPPPTAAPPVNKNPYAAMPSSLVPEVIPALVNLCNIVEGRRAIVPAANGNCSARALARYYAALVDGGTIPSPHSATTDSHTTTVTKIFSNPKSKIHDAFLGTGDYKDLALPDGKFGLGFKRIKSTEGLMIGFGHAGLGGSTGYGDINNRFSISVTVNKISFMAFTTAEVIRFVCSELDLPVPEDYAGSRQLHEKPVMN >cds-PLY77403.1 pep primary_assembly:Lsat_Salinas_v7:9:200545912:200547222:1 gene:gene-LSAT_9X123981 transcript:rna-gnl|WGS:NBSK|LSAT_9X123981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFLQQEEFPHPLSRVKQSVGCRLSYQIGDSLICLGIRDMINDVWRKKLNLRPITYFSGFQGSKTDIPHRYIWSPHLVPKPKDWGPKIYVVGFCFLDLTSNYKPPEELVRWLEAGPKPIYIGFGGLHQGHVWTGFGAEWFNSSEKGRQMVGETGSSQSGKFTIFNCLHGNLGTLACIVKESVKLYTYNIRTLHVEVARNKAIEASPDLPDLFV >cds-PLY76088.1 pep primary_assembly:Lsat_Salinas_v7:9:31781612:31782226:-1 gene:gene-LSAT_9X27400 transcript:rna-gnl|WGS:NBSK|LSAT_9X27400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVDLDTLPPILLYLDDTPIRAYIFYHIDFVELEDHSVPDHDTVLRGLQNYHPIHYPYEWLLYENGAVIVNYDDINEYYIRQPQSSSSTAAFQTMSETERHIDEYDEVSFIQVAINESFEQAANNVPCSGLTKKLISENLQVTKYCEEEEEEEGELCVVCQVEFESNERVAVLPCKHRYHPRCITQWLVRQNVCPVCKGQGLSV >cds-PLY83655.1 pep primary_assembly:Lsat_Salinas_v7:4:40852764:40853123:-1 gene:gene-LSAT_4X28380 transcript:rna-gnl|WGS:NBSK|LSAT_4X28380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEDALQVFDEMKKAGVCPSLETWNCLLLSSIQEGYTNLVWELYGEMMQSGIVADLDTASCLIQAFCLDRNVTEGYQLVRLFLNKGYVPHKSAFDKLLFEFILDQKYDRVPALLRRKVC >cds-PLY84095.1 pep primary_assembly:Lsat_Salinas_v7:6:192970141:192971670:1 gene:gene-LSAT_6X118320 transcript:rna-gnl|WGS:NBSK|LSAT_6X118320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGVVLRQAPCFSSSGGDAFNSLHLHSFPKMKPARSFCLKQSFNVKSLVASVGFDEFSDKSHLQYYNGPMMAVKEKDIASKKKDMKKKLKLLKGLSKNLSTFSDMGFGLNPDDGLDHQVKGQMISEATEVLLGQLQKLKAEEMESKRMKKEEKAKKKAAKMMMVCNESSSSSESSCSSESDCENMVDMKQLKTVTTPKVILQEVTPSPSPSPSLSITEIPGLIPSDVLVSVDEGINKIEVCMGGKCKKSGAEMLLENFQKAVGGGEAAVVGCKCMGKCRDAPNVRVGNGGPVCIGVGVEDVDSIVSNFFGGSRRCGSDMVPAMYGV >cds-PLY74534.1 pep primary_assembly:Lsat_Salinas_v7:7:41813059:41815635:1 gene:gene-LSAT_7X31481 transcript:rna-gnl|WGS:NBSK|LSAT_7X31481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTATTEDDKESQPSTSSSSIEWSQPCIHFKFSDILLATNNFDESLEIGHGGFGKVYKGNVIKGSSLVVAAIKRLDSMSSQGEAEFWAEIEMLSKFRHCNLVSLFGYCNHEEEKILVYEYMPNGTLEDHLHRHGTPLSWLQRLKICIGAARGLHYLHSGTGIEDGVIHRDVKSSNILLHESWAAKISDFGLSKIGPTIQPLTYVNTLVKGTFGYLDPHYFSTGRLTRKSDVYAFGVVLFEVLCRKHAVDKSLDLEQWGLVAWAQSSIKEGNLKSIIDCDIRGQVSTKCLKEFIRIAERCLLCNPKQRPTMAEVVVSLESALSLQEKSNGSLQAAGRSIFGRVLDMFPYPSNEDNSAHGDSKLSSNRNVGDTLEAESKEFTILFPSLRVLKFTDLKRATKNFSQDLLLGKGRFGEVFLGWVDKNTFAPSTEGDGIAVAVKKYSQGLPEWKTVVSVLGWLAHPNIISLLGYCDDKKHKCLLVYEYMQNRNFGDYLFGDILDVDKLLSWERRLLIMIGVAHGLAYMHSSKDQVIHRDVKTSNILLDQDFNAKIGGFGMARFGPEIAKTGVTTRIIGTLGYLDPGYLSNGHLSVKSDIYSFGVVLLEALTGQQAWQRHRYYSGLVKWAIPFLEDKNELKKIMDPRLGQNYPLEGAFECATLALRCVAKNPKDRPSSEEVLQNLEHIYVVNK >cds-PLY90709.1 pep primary_assembly:Lsat_Salinas_v7:8:143339741:143341108:-1 gene:gene-LSAT_8X98361 transcript:rna-gnl|WGS:NBSK|LSAT_8X98361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELMVVTLIIGFLLSLLFLAPRFIKSDQTKKVQSDANIKTVKSYNKAAVSLHNKRTDCWIIIKEKVYDVTPYVEEHPGGDAILAHAGDDSTEGFFGPQHATRVFDMIEDFYIGELEK >cds-PLY98202.1 pep primary_assembly:Lsat_Salinas_v7:2:83776998:83793027:1 gene:gene-LSAT_2X36480 transcript:rna-gnl|WGS:NBSK|LSAT_2X36480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDALSFLLSDVKKDPLYHTEVNLKGLHVCLYTCIFITSWNCNRSRSRLLPSTTKAIICTQNWLWAGIKGCVIDGDDVHSDEDDEGLEVFKSGPLFISSKGLGWKSWKKRWFILTRTSLVFFKNDPSALPQTQRGSEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALSQAPNAALVMGQNGIFRNDTTDSTEGPFYQWSNKRPIKSLVVGRPILLALEDIDGGPSFLEKALRFLEKHGSKVEGILRQAADVEEVDRRVQEYETGKTEFSSDEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAYKIDQKESRVNAMRAAILETFPEPNRRLLQRILKMMHTISLHTSENLMTASAVAACMAPLLLRPLLAGECELEDDFDNNNNNPNNSAQLLAAANAANNAQSIIATLLEEYDIIFDDDAMLRCSMSADSRDISGSEDSSDDENVEIKNNVYHDAQNEADQETDDDEEEDDPERVFSGKLSESSGYAGSDLYDFKAYGGDESDVVSPKNNINSAEKSNSLLAESQQHLGDSIVEINEQQMKQNRANDNESCAGTPLSKLTTASNNNSRRSTIWGRNNGRKTPSMESIDSSGEEELALQKLELTKNDLRQRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQVSGSRNMDSKTRAELEEIALAEADVARLKHKVAELHQQLHQQRQHHYGSLSNASDRFQQRGFQQGFDSTIAIINHERKQRSEETSLGAELRNIKGQALMNGNKSRKTTTHKPFLDSQSLSDSKTSTQASTSLSMDDFGAVDSVGIMPSTSKPAEVNDYPRQQLATSSTLVELTTRLDFFKERRSQLMEQLHNLDLNYGSTTQDLTYKQPSPGWNILKRS >cds-PLY83781.1 pep primary_assembly:Lsat_Salinas_v7:4:38991041:38993196:-1 gene:gene-LSAT_4X27000 transcript:rna-gnl|WGS:NBSK|LSAT_4X27000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEPVKDSNSIWSREQDKAFENALVDYPDEDDENRWEKIAGDVPGKSVEEIKRHYEMLMEDLDRIESGLVPLPCYSSSLDDDSESHAGNDGGSGTSKKAQNTNESNHNHGGKASKSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNYVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNNGDVSVPQPPTNAKQAPGVSVNMYGGTTIGQPVGPAVGTPVSLAPPPPHMAYGAPPPPNMDPRASRHR >cds-PLY77873.1 pep primary_assembly:Lsat_Salinas_v7:1:24822690:24826596:-1 gene:gene-LSAT_1X21181 transcript:rna-gnl|WGS:NBSK|LSAT_1X21181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGEILPDRKIDTSAYDLLQTTKTSVEDIVAQMLFIKKEGGGGSDSTKSQLPELVTQMLLNFVNLRKANRSILLEEDLVKSETEHAKAPVDFTTLQLHNLMYEKNHYVKAIKACKDFKSKYPDIELVPEEEFFRDAPEDIKNSVQSNDSAHDLMLKRLNFELFQRKELSKLHEKLEEQKKVLQDTIANRKKFLSSLPSHLKSLKKASLPVQNQLGILHTKKLKQHQSAELLPPPLYVIYSQLLAHKEAFGESIDLEIMGSMKDAHTFARQQANKDNGISPSIENSKIEDDVVDEEDDGQRRRKRPKKIQVKDSLDPARIYQTHPLKLTLQIHDDEASDPKSTKLIVLKFEYLLKLNVVCVGIEGSNEGPESNILCNLFPNDTGLELPHQSAKLWSGDGSIFDEKRSSRPYKWAQHLAGIDFLPEVSPLLTPGGESINGETTKQSAVISGLSVYRQQNRVQTVVQRIRARKKAQLALAEQIELLTKLKWPGLTFQTVPWFSHTRVCSLQSWTIKPLANPSAAAIEEQIQAPQQAETVGEPDTSKPETENIREDGELPSLNPATIANEISQITITPLKGSGIEHSKRLSLITKSMASPMSKGKSPSFRRLDEELDLMVSDSEVDEPPQTEPETDEVMVPRGIKVIDDTWIACGTREYRLLLTRKVNNGDGYMKLEAKIEISMEYPLRPPFFSLNLFRAANLETESETETEANEWFNELRAMEGEVNIEVVNMIPWEEEKHVLGHQICCLGMLFDFYMNDGGVESEKGRCSTTSVVVDVGLCKPVNGRLVARSYRGRDHRKIISWKHNGCTPGFPC >cds-PLY86429.1 pep primary_assembly:Lsat_Salinas_v7:1:10400781:10402824:1 gene:gene-LSAT_1X7501 transcript:rna-gnl|WGS:NBSK|LSAT_1X7501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSKSKSNRGKPKNFKFVPKPKQQWRVKEQKRNWLELPSDVMANILYRVGVHDILENAQKVCTTWRNICKDPAMWRIIYMENIYTDPNARSRLREMCKNAVDRSQGQLVDISMVNFTDDELLEYVANRVGVHDILENAQKVCTTWRNICKDPAMWRIIYMENIYTDPNARSRLREMCKNAVDRSQGQLVDISMVNFTDDELLEYVANRSSRLKRLEIACCYNEIYGSFNEAMKKFPLLEELSLYTTNISEESIATAGRCCPMLRTLKINQEADRYWYGYDGDEGLNILNEIPIYIGENLHELRHLELIGMNISNYELQVILDGCCHLESLDLRQCLYVKLDGEFGKKLSEKIKCLKLPNDSVEGCPYIYKNGVNFSTAPRGSDYDDSDYDDSEVCYHCEVYDDSDDFTVHHAFGVYADDDDDDDDLNDFEDLELLLL >cds-PLY74553.1 pep primary_assembly:Lsat_Salinas_v7:7:38851929:38852514:1 gene:gene-LSAT_7X28481 transcript:rna-gnl|WGS:NBSK|LSAT_7X28481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGALTASLIAIVGLALSWITIEIACKPCLDQGREAIDRTLNPDYDPDDDDPRLPLNPNPTPSDQTDSSSTIVKTV >cds-PLY81896.1 pep primary_assembly:Lsat_Salinas_v7:8:119677165:119677869:1 gene:gene-LSAT_8X83541 transcript:rna-gnl|WGS:NBSK|LSAT_8X83541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSITMTKVVTIFHITFILLGTSSMALPNPTDEYISKTKSMPTQICYAGGIGACSAECFTQCCDQKCKDRFYDGGFCVKVLPTGLWLCQCSYKC >cds-PLY68188.1 pep primary_assembly:Lsat_Salinas_v7:8:118983546:118987441:-1 gene:gene-LSAT_8X82801 transcript:rna-gnl|WGS:NBSK|LSAT_8X82801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKVELEERCLENKQSAAASSSSISEGSSGIVLPKSPRTSSPTATSPPHRRTSGPIRRAKGGWTPEEDETLKKAVGVFKGKCWKKIAEYFPDRSEVQCLHRWQKVLNPELIKGPWTQEEDEKIVELVKRYGPTKWSLIAKSLPGRIGKQCRERWHNHLNPDIKKDAWTLQEELALMNAHRVHGNKWAEIAKALPGRTDNAIKNHWNSSLKKKLDFYLATGNLPTVSKHDGDMSKISSTGKLITCSVTTARSSPGPAEQRKVEPDSMDDDNNIECSTPSQDIDASSAFLQSGSTDSEAVGIRSHPSKIDLSHLSRNLVPKFESCSTIPIPIPVRDGINQETLNATPIQSVIPTYGSLYYEPPQIGSFMPTELGVLYMPWNSRDYNSIPMISPRCSSTPPYADKCGSGGLHAQTPESILKIAAKSFPNTPSILRKRKDQSSLSFHKIPKGDDVARVLSADNSRKGNNDLEESESESHGVGTVNTTYNASPPYRLRYKRKSTSKSVEKQLEFRFDEELCKSETRSADLGVKDNSVTNAACSNAS >cds-PLY89680.1 pep primary_assembly:Lsat_Salinas_v7:8:42125267:42125941:-1 gene:gene-LSAT_8X32361 transcript:rna-gnl|WGS:NBSK|LSAT_8X32361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKPITQPSLKISKKEPNHQFNSRVKVLKPKVYITHSSNFKTLVQKVTGNGSSSSSISSFSPPTNMAMVSTQPPHVIHIDDYGSPDHSLDLSTDHSLDNTFMSFDYSYCSSEDLGLSSNVSSFSPPSAMHVVSSEPTQVIHIDDHDSLDPNSNSTYIASDYSYCSTEELGIQWPQDHGLDYVDEIQLKNLESWLLDFDQSSYIHDEPFVPMNTYEYGYNLSSII >cds-PLY62277.1 pep primary_assembly:Lsat_Salinas_v7:5:166449457:166450777:-1 gene:gene-LSAT_5X75680 transcript:rna-gnl|WGS:NBSK|LSAT_5X75680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSEQPKASKREDGVDLISNMPDPIEDAIRTSILSRRWRYLWTSGNFKRSKFKEFVHWVLVIRSVDLDILRLCCSNYYGMSLIGRWIHIAVMKNVKELDLICTPKEEEDVEMPHCLATCGSLKVLRLNLDGCGLILPNFMGFPALRVLDLTCVDLLKDRDLVKDFLQSCSSLEELSLVECSIWKLDLLCISCPNLKKLSITNLENESLCGGVKICCPKLLFLDLKGCLYLI >cds-PLY87653.1 pep primary_assembly:Lsat_Salinas_v7:1:123227227:123229275:1 gene:gene-LSAT_1X93280 transcript:rna-gnl|WGS:NBSK|LSAT_1X93280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGRLYFIKGGNSKWMATLVQTAGFPIMYPFIFLFSPSKTPQYHQQIVKRPSLTTLVILYTTLGIFMAADCMLFTFGLNFLPVSTFSLISASTLAFNAFFSYFLNGQKFTPFITNSLVLLTFSSTLLVFQSDSEETGKISRSKYIIGFVCTVAGSAGWGLMLSITQLAFQKILKSTSYKVVFDMTVYQNMIASIGILMGLFASGEWKDIKGEMRGFESGQASYIMNLVGTAVAWQVFTVGYVGLIFEVSSLFSNVIGTLGIPIVPVLAVWFFDEKMNGVKVISMLLAIWGFVSFIYQHYLDDLKEKTNARLINLDHEVNLTGTSSISQ >cds-PLY77813.1 pep primary_assembly:Lsat_Salinas_v7:3:35185238:35188860:1 gene:gene-LSAT_3X26081 transcript:rna-gnl|WGS:NBSK|LSAT_3X26081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAITEHRDIEKGDNKGLEDLVEPFLDNSSKNVKGSEEEEIDQNHSIGMVLLSTAVAVCGSLEFGSCVGYSAPTQSAISMDLNLSVAEYSLFGSIVTIGAMIGAITSGRIADTIGRKGAMALSAVFCIAGWFAIFVSMGALLLDMGRFFTGYGIGVFSFVVPIYIAEIAPKDLRGGLTTLNQLMIVTGSSISFLLGTVVSWRTLALTGLVPCILLLVGLLFIPESPRWLAKVGRQVESEYSLRKLRGAKANVSAEADEIHESILTLQSLPKVRLLDLFDAKYIKAVIIGVGLMVCQQSGGINGIGFYASETFETAGLSSGKAGTIAYALIQIPVTMLGVVLMDKSGRRALLLVSSSGTFLGCFLAGTSFFLKGQAIFLEWVPLLAVSGVLIFIASFSIGMGAVPWLIMSEIFPLHIKGAAGSVVVLVNWLGAWVVSYSFNFLINWSPAGTFWLFSGFCILTVVFVAKLVPETKGKSLEEIQASINSNSNSK >cds-PLY80143.1 pep primary_assembly:Lsat_Salinas_v7:3:40426996:40428682:-1 gene:gene-LSAT_3X30860 transcript:rna-gnl|WGS:NBSK|LSAT_3X30860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFKFLLSELPWLPDIVKDFVLPTGFPGSVSNDYLEYMLLQFPTNVTGWICHTLAVGLDNSSGTTTAASASAIGWVSKDGIGAIGHFFIGRFSSKSHKWIQKHQIYALTMMDLPFTGGRFGNLFDDDPKQWRMYADFIGSAGSKGSSEMHREQYEDNNAETKVEIENLKKLVETQREQYEDIQQKYEDVQQKYEDA >cds-PLY86485.1 pep primary_assembly:Lsat_Salinas_v7:9:183770308:183770709:-1 gene:gene-LSAT_9X113080 transcript:rna-gnl|WGS:NBSK|LSAT_9X113080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFESESDSDFESESDVEANVPEVEVDVPFVQDNLVGEIQDDIQVDANIEVDVPEVDLVPEVEVDANIEDEIQANIEVEHEIEVQDNVEQEIQHNAENQVRKRTRKTSERITKIQIRKNIRRKEGSSSDHPLEI >cds-PLY68442.1 pep primary_assembly:Lsat_Salinas_v7:2:60462918:60466093:1 gene:gene-LSAT_2X27900 transcript:rna-gnl|WGS:NBSK|LSAT_2X27900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLQNPFVGAAFQSSLKPRNVNCLGYLGNKFPRKPRYDIIPRAKKNDWISHGIRFSQSFGENVEILWKNMGLRSGFVVKSVKEPFTRSKAIVRSLSTVWEEGLLLFQCSVFYAVISGVCLLLWYSQLKANTLIESKLFPSVCTTLSDYIQCDLHFCKAQSVSPLSITLESCWIGPHKEEFSCGEVPTLKLRFHPFSSLRTGTIVIDAVVYNRTLLAAQKRKYLWLGIPFTDGVLQKHLSTEEGIDNRTKIRRNAREKTAAQRYQPGQVRAFGMIAGGSGITPMFQVVRAVLENPSDKTKVHLVYANVMGKVGPGGHPSAIETGTKFYISNLDYSISNDDIKVFEIDNMKAWKSVLIFATSYALGLFMIGKSPWYFLPLVWAWTETAVTGVKL >cds-PLY93261.1 pep primary_assembly:Lsat_Salinas_v7:6:160394264:160395280:-1 gene:gene-LSAT_6X98420 transcript:rna-gnl|WGS:NBSK|LSAT_6X98420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSRFKLNVLIREILKVQCTSLVDTNSPLQTREKMYLLAVGYYRIAPNWMQALNLKRTIEDHIKKDGVIGIGIAATTIGVVFGGIVAAMALEIRRCTSVTQEKFDKKKLLSCNQIT >cds-PLY85238.1 pep primary_assembly:Lsat_Salinas_v7:4:234315308:234316721:-1 gene:gene-LSAT_4X127120 transcript:rna-gnl|WGS:NBSK|LSAT_4X127120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVHQFARGGGFWGDDDQSSSSGLTLGVCKRLHPLTPKLTTSDSTDTCFTMNNISVNVSNSSSSNSHVTPATFDLKSFIRPESCPIQLVSPDHKKDSPQVETHPGGTRWNPTQEQIGILEMLYRGGMRTPNAQQIEQITSQLCKYGKIEGKNVFYWFQNHKARERQKQKRNNLGLNHSLRSTPASTMINSISLNPRGEVVESPYKKCRSWSFECLEKDEEDNKTLELFPLHPEGRSRSS >cds-PLY90882.1 pep primary_assembly:Lsat_Salinas_v7:1:56117095:56117995:-1 gene:gene-LSAT_1X47641 transcript:rna-gnl|WGS:NBSK|LSAT_1X47641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMKLYVSVVLILAGTFAQGTLARKTNGLDIGECLDQWYHGKPCNVYECYNECQRVKGPTAGGRCNIEKTLCLCVYPC >cds-PLY97034.1 pep primary_assembly:Lsat_Salinas_v7:2:69679717:69682753:-1 gene:gene-LSAT_2X31121 transcript:rna-gnl|WGS:NBSK|LSAT_2X31121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 18 [Source:Projected from Arabidopsis thaliana (AT1G08500) UniProtKB/TrEMBL;Acc:O82083] MDQSKVLMVVLFFMVSLNINGRGGVAGYKNYTVGDDLGWFDKLEKTTVNYQKWASSKTFSLGDFLVFNTDNNHTVVQTYNSTIYNLCDDSNALDNDTFQYASPDPSASIVHPVSVAVPLLKVGPTYFFSSDYDGEQCENGQRFSINVTYGQGLPPSLRTPPPGAPGPVGQQSGDDTVPETTVPANFDHPKDISDDGDDESDDGKKKKSMATVIGSSRWVFSWSLILVGLLYTCL >cds-PLY88395.1 pep primary_assembly:Lsat_Salinas_v7:5:62638090:62638512:-1 gene:gene-LSAT_5X29881 transcript:rna-gnl|WGS:NBSK|LSAT_5X29881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTVPTSGQCRGGVQPEIQMQLRKLQLYSTDPMILINNEKLEESDLYVFYLLILINCNHRMMISMVIFLESTTQEAQRSFGDLDDEEDDIFGSEKVFSRERN >cds-PLY94428.1 pep primary_assembly:Lsat_Salinas_v7:6:9217052:9217582:-1 gene:gene-LSAT_6X6320 transcript:rna-gnl|WGS:NBSK|LSAT_6X6320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQHRRVSRPSTKQGKYSNGYGGQHVLDAYNFKDSPCREYNVLGVLNVDGGPEVVKSSSASSASCNSNHNNKSFFFSNSHVYSIESVDDKSEYEKDKAETAIIQGVVVDCDTPKGVVESSSLSHQRVNDIDDDYRIPHVIQSIYTHPISDVNRALLMVVVSLLQLIRDDDFRSLFS >cds-PLY88793.1 pep primary_assembly:Lsat_Salinas_v7:4:92087146:92090726:-1 gene:gene-LSAT_4X60560 transcript:rna-gnl|WGS:NBSK|LSAT_4X60560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLKKGCQILPFTSYTSRSEFTKLKHNFVWGAATAAYQIEGAACEGGRGPCIWDTYCHERPASIVNGDNGNTAVNSYFKMKDDVQMLKKTGLNAYRFSISWSRIFPGGRPNRGVNREGVDYYNSLINELKSNQIEPFVTLWHFDTPSCLQEEYGGFLDERIICDFKAYAEFCFWEFGDRVVNWITLNEPANHCQYGYDMGGVAPGRTQNPTTEPYIVAHNMLLSHATVVELYRQRFQASQGGQIGITLDSLYFEPLNPHKKEDKDAAIRAIDFHFGWFMEPLVRGKYPDTMIKNVGGRLPEFTKEEANLVKGSYDFLGLNYYCSYYATIGKPDDVGSITKDSNVHSQPEDLDDKPIGEKGGVYWFYSYPPGLHKLLVHIKKAYGNPVIIITENGKHFFFTTSLVYFFITCSKNNDATWNIFVGWPDEANNHVKLEEACVDKKRIDYYNAHLQSVLQAMKEHVKVVGYFAWSLMDNFEWGSGYSVRFGLFYVDYKDGKYTRYPKNSAIWFMNFLKNPKKLHDTRKRNAESESIDSGPQTKK >cds-PLY92554.1 pep primary_assembly:Lsat_Salinas_v7:7:159854712:159854945:-1 gene:gene-LSAT_7X95100 transcript:rna-gnl|WGS:NBSK|LSAT_7X95100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSMHMVYKIDGIPKSQGTKPDVGVLSSISFSGTPFTILNKEKKYRAYMKNTHNRLHHRRSSAIFKSCVISGLIP >cds-PLY72490.1 pep primary_assembly:Lsat_Salinas_v7:2:143030535:143031737:1 gene:gene-LSAT_2X70840 transcript:rna-gnl|WGS:NBSK|LSAT_2X70840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH131 [Source:Projected from Arabidopsis thaliana (AT4G38070) UniProtKB/Swiss-Prot;Acc:P0CB25] MMQYLPTHGYELSNCSSIIRNLVYDHGGGELSAVTEAQSAEEKATAACNRHSEAERRRRKRINGHLATLRSLLPSNVKTDKASLLAEVVRRVKELKKMAAELEPKGTDQSDDTTRNMIPSENDELQLTYIGEDSSTRKMMIKVMMCCEDRGELIVELTRALGLVRGKVMRMEIATLGGRIKCVLWVQVFGATREQGLHELRRALKVVMDRGAFLDMPRNKRPRIPGCI >cds-PLY91338.1 pep primary_assembly:Lsat_Salinas_v7:4:246139196:246140725:1 gene:gene-LSAT_4X130401 transcript:rna-gnl|WGS:NBSK|LSAT_4X130401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKLAQLLHHKGLQITFVNTEIIHKRLVSSGGAHTLDGSDGFRFATIPDSIPRSSEEEPAIDVLLHHIETSFLAPFLELATKLPTPPTLIISDGFISAFTIDAAQKLGIPIMLYWTVAACGFMGLYQTKSLIEKGFVPLKDESYLTNGYLETIIDWIPGMKGIQLKHFPSHIRTTNPHDKILTFCTDATQKAHSVEYNIIHTFDTLETSIVDALSSMIPPIYTVGPIQLVLNRIPAEEKQNTMSNFNGYSLWKEEPECLQWLASKEPKSVIYVNFGSSTIMSLEDLTEFGWGLANSNQYFLWIIRSGVVVGESSVLPPEFEEYIKEKGFIATWCPQEKVLEHPSIGGFLTHGGWGSTIESLSAGVPMICWPYGWDQMTNCRYICKEWEVGLEMVKDVKREEVSKLVHELMLGEKGHRMRKKAMKWKEKAYAATAPSGSSSLNVHKLVEEIVMLSRN >cds-PLY92246.1 pep primary_assembly:Lsat_Salinas_v7:2:209224920:209228179:-1 gene:gene-LSAT_2X129481 transcript:rna-gnl|WGS:NBSK|LSAT_2X129481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIKAVKARQIFDSRGNPTVEVDVTLSDGTLARAAVPSGASTGIYEALELRDGGSDYLGKGVLKAVENVNSIIGPALIGKDPSEQTKIDNLMVQELDGTVNEWGWCKQKLGANAILAVSLAVCKAGASVKKIPLYKHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYEDKGKTYDLNFKEENNDGSEKISGDSLKNVYKSFVGDYPIVSIEDPFDQDDWEHYAKMTSEIGEQVQIVGDDLLVTNPKRVEKAIREKSCNALLLKVNQIGSVTESIEAVRMSKRAGWGVMASHRSGETEDTFIADLSVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGAKFRAPVEPY >cds-PLY88047.1 pep primary_assembly:Lsat_Salinas_v7:6:183461749:183462892:-1 gene:gene-LSAT_6X112040 transcript:rna-gnl|WGS:NBSK|LSAT_6X112040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSDLKSAALLDQMKTHFSTDAGKALTKKIGLVYQIHIAPKKMGFNEEIYVVDLKKGEVTKGPYEGGKPDATFSFTDPDFFKIATGKMNPQIAFMRGKMKVKGSLSAAQKFTPDIFPKPSKM >cds-PLY87496.1 pep primary_assembly:Lsat_Salinas_v7:8:97681252:97682495:1 gene:gene-LSAT_8X68260 transcript:rna-gnl|WGS:NBSK|LSAT_8X68260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATL43 [Source:Projected from Arabidopsis thaliana (AT5G05810) UniProtKB/TrEMBL;Acc:A0A178ULT1] MIFLVSTTPSITTSSPLPPPPRSLPPPPSSTLKPSMTIIVGVVTTIFSITFLILLYAKHCRRSNNDYPPRGDVTAGRSTDRGNSGIDRTIIESLPVFRFGSLSGQKDGLECAVCLSRFDPSEVLRLLPKCKHAFHVECVDTWLDAHSTCPLCRYRVDPEDIFLVLGENDIEKSPEEVKAAEEEREIAVRRVSGRHSSAGENGTGLQISVEPSSPLPAAVAMAGSRRSLDSWSSKKCKKSTKKTKKKEDTAPLKAVSEVCVDGQHRKDGNLLAAVEEERRRKRRSFEKRFEHRIVVGTTTGPHRWSDVQPSDLLYLRSEMILSDVRRLKRSRPSVLHEGNNVWWQSGRGVINSRSVSEMTGLSRFGSNEEAGVLSRWLARLSEPKSGRNSSSSNV >cds-PLY95627.1 pep primary_assembly:Lsat_Salinas_v7:4:196445002:196446259:-1 gene:gene-LSAT_4X113860 transcript:rna-gnl|WGS:NBSK|LSAT_4X113860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLISNQNICSHPTAINGENPISDQQEHVHNKLNEKVNGLVATLPEGKGWRGPEIFLHKGFWLPQTVFKSLLTIHEYFHPNPTDIFLAAFMKCGTTWLRALMFATANRHRYKISDNPLHQTGPHGVFPSLDAQIFLDQYSVRKFDNLPSPRLFATHFAHDLLPTSMTSSSSTCKFVYVCRDPKDALISKWHFMSKIRSKELTPISFNEAYELFCNGVSEYGPFWEHVLGYWKASQESPEKILFLKYEDMKKEPSVELKKLAEFMGMPFTTEEEEGGVVEEIVKLCSFENLSNFEVNKDGVQMFGAEVVVENRNFFRKGKVGDWENYLTEEMRDRIDSITETRLKDSGLALGLTQKA >cds-PLY68960.1 pep primary_assembly:Lsat_Salinas_v7:4:363095433:363098049:1 gene:gene-LSAT_4X179761 transcript:rna-gnl|WGS:NBSK|LSAT_4X179761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPKFYLFVVLRFFSGLYVRLNRGDVTPNAQKDYHRFHFLVFGKIEQIVDIPGCLYTQVTNNKRVVSNISTADFGKVAFVANGATIVGNIILTKKKGDYVQKGDKFGYFSFGGSTIICVFEKCVSLVILKKLHQSLSYLLREEPITRWDAGQTSGGSALVEALSALVLVVLFLVQKFGTARVSFLFSPIMGAWTLTTPLIGIYNIIYHYPSIFKAISPHYIYQFFSRNGHEGWLLINGMIRFFHKMASRLALVTGGEKLISGVLEAERPTIRLLNGFFNYLLER >cds-PLY77997.1 pep primary_assembly:Lsat_Salinas_v7:9:44480414:44482237:-1 gene:gene-LSAT_9X39560 transcript:rna-gnl|WGS:NBSK|LSAT_9X39560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNEPCKSSKNNSPSPVSPLDVNIPLDHVEFDFSDVFGPLPPHASNEQASHHEDFEKPAVIYSRSHPSPAHVISKLTIHEKDDLYDEEASVDHHKVQSVGLEDFEVMKVVGKGAFGKVYQVRKRDTCEIYAMKVVRKDKILEKNHAEYMKAERDILTKINHPFVVHLRYSFQTKYRLYLVLDFVNGGHLFFQLHRHGLFREDLARIYAAEIVSAVCHLHANGIMHRDLKPENILLDADGHALLTDFGLAKEFDTNARSNSLCGTVEYMSPEIILGKGHDKAADWWSVGILMYEMLTGQPPFRGGNREKIQQKIVKEKMKLPAYLSSEAHSLLKALLQKDPSKRLGNGAMGSDEIKRHKWFKPINWKKLENREIQPSFRPEVAGDHCVANFDKCWTDMPLTESPASSPNGSTTLFQRFTYVKPAVSFLESQSSVIHYTDVR >cds-PLY69838.1 pep primary_assembly:Lsat_Salinas_v7:6:2755258:2755512:-1 gene:gene-LSAT_6X361 transcript:rna-gnl|WGS:NBSK|LSAT_6X361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCLWRSIFRRLEFDGNRINIVSSYFTTGWSWTSHNLSQITTGESFRRLDTWIVDDVLWNVIMAVESLVLALMLGCYFVFCGCTL >cds-PLY73917.1 pep primary_assembly:Lsat_Salinas_v7:3:38872520:38875407:-1 gene:gene-LSAT_3X30200 transcript:rna-gnl|WGS:NBSK|LSAT_3X30200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKEEDKPAQPPPPPAAAATAGGGGGGKIYSRSVSWAGRSLTNPTPGNPKSLWNSKARACLPPLQPLSVSRPKAEEWPRAGSDDLGVWPNVTATTPGARPRPPALPLNLGKPPLEFEFKKDKLAFFDKECSRIIDHVFLGSDAVAKNRDVLRQNGITHVLNCVGFVCPEYFKTDLVYKTLWLQDSPSEDITSILYDVFDYFEDVREQNGRVFVHCCQGVSRSTSLVIAYLMWREGHSFEDAFQQVKASRGVTNPNMGFASQLLQCQKRVHAVPVSPSSVLRIYRIAPHSSYAPLHLVPKLLAKPSQNALDSRGAFIVNVPSAVYVWIGKNCDPLMYDSARIAASQVIRYEKANGPALTVKEGEEPDEFWDAIGNEGDKGIPGKREIVEYDIDFGVFTKAANSGVVPPLSISGKNDSEMCLPARRSGWERLRKKFTTGIMKELITSSKVVESPESESESQSQCDSPDSCSSFSEVSVSRSWVKATPGIGSKGSEDDNNSECISPDSFSSFLVKDPRKFDDNNNTSPLISPSTSDYSNSFSFSPTSSNWSDLSLSAQPSPSGLESVNLIPNRPKVREISPPKNLLRTWSFSMEDQSMEDAEEESSSDADEHESMFEAEFVLYRWPSMEKVEMGSNMGIPDSRGVYITYIADVVYIWVGRTCGEDDDNQWQIVGDDFIVRKGLATSSIVQIVREGEEPEQLWKHLHCFSFQNTGEKH >cds-PLY81435.1 pep primary_assembly:Lsat_Salinas_v7:5:334526150:334527824:-1 gene:gene-LSAT_5X184101 transcript:rna-gnl|WGS:NBSK|LSAT_5X184101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADTKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRPNVSKAELKEKLARMYEVKDPSSIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLVRNGLDTKIEKSRKQLKERKNRAKKIRGVKKTKAGDAAKKKK >cds-PLY62290.1 pep primary_assembly:Lsat_Salinas_v7:5:161597021:161597548:-1 gene:gene-LSAT_5X70541 transcript:rna-gnl|WGS:NBSK|LSAT_5X70541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKHIRFSTTTLSSPYTHDVVQRGSTPPVLETVEPMIQGETSPKRASPSPQAETIPPMPTPIKTITQSLDKRLTNIENDVTDKKQIMALDDDDADDMVVNDTPPNSLGDNPPPSTNLHPPPPPLPRNPSPPPGSLAKSDAATKEENN >cds-PLY80813.1 pep primary_assembly:Lsat_Salinas_v7:5:240187680:240196859:-1 gene:gene-LSAT_5X118541 transcript:rna-gnl|WGS:NBSK|LSAT_5X118541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGHDGSLAAGGRHRPRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDKWETEIAENLRSESLYR >cds-PLY72578.1 pep primary_assembly:Lsat_Salinas_v7:3:198261582:198264066:1 gene:gene-LSAT_3X117001 transcript:rna-gnl|WGS:NBSK|LSAT_3X117001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALCGGITESKGAENSLEIETLARFAVDEHNKKQKGKEEKLWMKGHYMSVPYKKNALLEFVKVVSTKEQVVQGKMYYITLEAKDGDENKTYEAKVWVKPWEDFKELQELKPVDAAASA >cds-PLY81456.1 pep primary_assembly:Lsat_Salinas_v7:5:334597125:334600139:-1 gene:gene-LSAT_5X184621 transcript:rna-gnl|WGS:NBSK|LSAT_5X184621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITLVKIWDVRSSTSQRNSNTRRYLSPKNPRFLHKCSTSISSIKCLTPEILQLSKKKIKEKLKEVDQNVVQPLKLDTEDCMENSLSTYLVHLGKIKGKWCGSNNMIFGRLISECFTLMLLSLL >cds-PLY84112.1 pep primary_assembly:Lsat_Salinas_v7:6:187216004:187217272:1 gene:gene-LSAT_6X114521 transcript:rna-gnl|WGS:NBSK|LSAT_6X114521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSHKRSSSSPITAHRRTPLHHSTAPPPPPEPPHLPSKPNLTTTLYHTHIGVFAVTWSRTLFGRSLYIHLLPFSTAPTTSDDDITTTSTSTSTSTSTSSFHLQIKPFIFWNKYGSKKISIPSNPNDILHIYYDLSRAKFGSGPEPISGFYIAAIFSGQMALLVGDSTKHAYSKVKSTNPDKTQITILRREHVYGIANKKYNTKATFRGKTRDITIDCTRIAGGDDSRLCFSVDNKRVLVVKHLNWKFRGNERVEMDGVHIQISWDVYNWLFEEEVDDGYALFMFRFEKSGFDYHEDDKYLARLNASGSGLMGFGSGLGFGLEKRKMKKGMLRTAAGSSSSSSLSSASSGCGSVMEWESVEENELKGPSGFSLLVYAWKS >cds-PLY74071.1 pep primary_assembly:Lsat_Salinas_v7:9:12016595:12016846:1 gene:gene-LSAT_9X8441 transcript:rna-gnl|WGS:NBSK|LSAT_9X8441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDTQGTRESGTTQVTGEKGNVVLPQVKRRKKCERIINKKLATRLIGKNGEGNTSEKPVNLMSFLHGNCMGCVLYYAGFCM >cds-PLY80202.1 pep primary_assembly:Lsat_Salinas_v7:7:112698302:112706572:1 gene:gene-LSAT_7X70840 transcript:rna-gnl|WGS:NBSK|LSAT_7X70840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIPEGEEGGGFSELKGYCLELLGLLRNPNNNNKGSISHLLHFIRRSPPHALQPFLDYTLLPLLLLLEAAVNCRSPFALNDKLKEKSPKISDVVAEDALQCLEELLIKCHLGSVEQMAVVLKNLTNAALLSPSEASEEFREGVIRCFKAMLLGSCLCSNKSCNCNQINVLPLPLLLEKRSFMEANPKECLLAFLRSQSAIVTVGHWLSLLLKAADAEAGRGHVGSSKLRVEAFMTLRILVAKVGTADELAFFLPGIVSQIGKVLHVSKTMISGAAGSMEAMDQALRGLTEFLIIVLQDDANLSSLVDDDIDINTNKSSLSFLEELRRFPGKKQDQGQIVAIKSTTQEVTINSNTNNTPSQSGFKHTKSLYVERTKDWIATTSSHVNKLLSSAFPHLCVHPAKKVRLGTMAAIQGLLSTCSRTLKGSRLMLLECLCALVSDEDEEVSSAAQMFLGNLFSSSGKHHIERDLVDIFNRLFEKLPEVMIGGEQSHSHCQKLLVLIYYSGPQLVRDHLLQSPVTAARFFDTLTLCLSHNSVFSGSLDKLLLERSSSSTSSSSSSSSSSSSVGYLRSITEMKATSFFSNEKKESNYEDPNNSFKIQNEYDLPRMPPWFSSSGTHKQLYHALAGILRLASFSLIAGSQSGGNLSIIKDIPLSYLRKLIADVRNKEYIKESWECWYKRPNSGKLVRQATTAVCILNEMMFGLSDEAIHNLKTKFHKSSSSESLKDDVGGWNVSLTKDTRSQLIECIGSILHEYLSPEIWNLPLQQSDVNVHFFHDNAMLHQVIIDGIGIFDMCLKSDFVSSGFLHSSLYVLLENLICSNFQVRRASDAVLHVISATSGYPTVGHLVLANSDYVIDSICRQLRHLDLNPHVPSVLAAILSYIGVAHKILPLMEEPMRSISKELEILGRHHHPQLTISFLRAVAEIGKASKLEACSLPCEAEIYKKDQWEEIFFKLKDSKSYRQTVGSISSSCITAATPLLTSIKQTACLVALEIVEDGIIALAGVEESYRHEMKTRELLTEALQSNSLHDLADTLEAENDDVTQENRLLPAMNKIWPFLIACIRNGNPLTTRRCAGVISRVVQICGGDFFSRRFHTDGPHLWKLLSASPFEKKPMNFKSKESRLVQLPYRRGDDDDDPRAEISDLKVQVAVLEMIAEISGNRKSASALESVIKKVSGVVVGIACSGVVGLLDASVNALRGLASIDSDLIWLLLADVYYSKKREIFSPPVPVAADLPEVLPPPLSSKSYLYVQYGGQSYGFHIDFSAVEFVFKKLYS >cds-PLY78812.1 pep primary_assembly:Lsat_Salinas_v7:9:172529063:172531760:1 gene:gene-LSAT_9X105821 transcript:rna-gnl|WGS:NBSK|LSAT_9X105821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGVVEGTEKLFDRRRPEEMAEVAKAGQLVPESVLKKQKRNEEWAVAKNQEAEALKKKNAANRKLIFNRAKKYSEEYEAQQKELIQLKREARLKGGFYVNPEAKMLFIIRIRGINAMDPKSKKILQLLRLRQIFNGVFLKVNKATLNMLHRVEPYVTYGYPNLKSVKELIYKRGYGKLNKQRIPLTDNSIVEQGLGKHGIICVEDLIHEILTAGPHFKEANNFLWPFKLKAPLGGMKKKRNHYVEGGDAGNREDFINELIRRMN >cds-PLY91767.1 pep primary_assembly:Lsat_Salinas_v7:2:14514492:14518411:-1 gene:gene-LSAT_2X7420 transcript:rna-gnl|WGS:NBSK|LSAT_2X7420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPVCILPCWVFLLPDVSPKAASQLLLGCWRRYETCHFTDIFYIALLLLHTTHPAFTGGIWNVSSDSSNHDFCNCEGLKKKNDTEGVKDEELHKHLGYIWHGEREEVKEREDKIALTMTTQTMVVICLVTLVINGLLKFPMLSGLLT >cds-PLY83772.1 pep primary_assembly:Lsat_Salinas_v7:4:38606133:38607776:1 gene:gene-LSAT_4X27361 transcript:rna-gnl|WGS:NBSK|LSAT_4X27361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIPCVRGTKGEQERSRIVTLDHPLPPGTTKSRRQHPPSCSLGLPTQPTPTHYHCCCRGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGLVEIFFSRHSPVWYGYKGGNLKWLERLSYVNTTVYPFTSLPLLAYCTLAVVCLLTGKFIMPEIGTLASLFFISLFLYIFTTGILELRWSGVSIEEWWRNEQFWVIGYQSWGSLFGKLFFAFWVIVHLYPFLKGLMRKQNRTPTIVVIWSILLASIFSLLWFRIDPFVLKTKGTDVYIQNKEDESRPDVCIIQNKEDERGERPIIDSDGDIRWVSVDCEENMETVDRL >cds-PLY90587.1 pep primary_assembly:Lsat_Salinas_v7:6:52607929:52613831:1 gene:gene-LSAT_6X39361 transcript:rna-gnl|WGS:NBSK|LSAT_6X39361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQISMAISSSFHDPHFYSLSISEWREEYQWQMVLNFINCNKQCACFHKAFEIRKHAFNWETLEFWGFIFVMLAAVMEERDERSPSRSPVRCQYFRFSCPACGILSLEIYLGLFSFLDIYDINATGAVIEELKRLIEPTEDRKYSYSAKVTYNVLSFGAKANGRLDSRSAFLKAWGLACSTTNPAIIYVPIGRYLIGSAITFSGQACKSKAITMKIDGTLVAPSTYNAIGNAQIWIKFYRTNHVTISGGTLDAQGSSLWACKSSGKTCPKGATTLGIYHSQNIVIRNLRSLNSQMFHILLYACTNAKLQGVSVSAPRLSPNTDGIHLSSSTGVTILNSKISTGDDCISIGPGSSNVWIEKVVCGPGHGISIGSLGWDVVEAGVQNVTVKTATFIGSDNGLRIKTWARRSNGFVKDVVFQHASMVNVKNPILIDANYCPNNENCPNQVSGVKISNVLYEDVHGTSATRVAVKFDCRKGKPCTNIRLKDVNLKYGGQPAVSSCSYAAGTASGLLQPTSCL >cds-PLY88482.1 pep primary_assembly:Lsat_Salinas_v7:1:150049392:150053858:1 gene:gene-LSAT_1X105761 transcript:rna-gnl|WGS:NBSK|LSAT_1X105761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEVQQQPIQSVGEDGENEMMSNGSSMTHETQQDDEPEIVMEGSSFVHGGASEDDKGPPKVDSQVEILHEKVTKQIIKEGHGVKPSKYSTCFLHYKAWTESTQHKFEDTWQELQLVELVLGKEKKEMTGLAIGLSSMKSGERAVLHVGWELGYGEEGNFSFPNVPPKANIIYEVELIGFDETKEGKARSDMTVEERISAADRRRMDGNSLFKEEKLEEAMQQYEMAIAYMNDDFMFQLYGKYQDMALAVKNPCHLNIAACLIKLKRYEEAIAQCAIVLVEDQNNVKALFRRGKARSELGQTDAAREDFLKARKFAPEDKAILKELRVLDEDDKIVYQKQKELYKGLFGPRPQPKDTKRVTNWLVLVWQWLVLLFYRLFGGKMVKTE >cds-PLY93842.1 pep primary_assembly:Lsat_Salinas_v7:6:147984746:147985628:1 gene:gene-LSAT_6X88800 transcript:rna-gnl|WGS:NBSK|LSAT_6X88800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDANKMNDGTSFKPSEPAPCANGCGFFGSAATMNLCSKCFRDNRIKEEQAASAKAAVDKLVNKVVSFPHQTPSSSSSSSGSEPLPPPATAAAVEIKEKVRNRCLTCNKKVGVMGFICKCGDTFCGSHRYPEKHDCEFDFKKTGKDAIAKANPVIRADKVDRI >cds-PLY65770.1 pep primary_assembly:Lsat_Salinas_v7:5:272912671:272913551:1 gene:gene-LSAT_5X143041 transcript:rna-gnl|WGS:NBSK|LSAT_5X143041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDFRSKSYNGNKMQIEAYNTHNPPNIQDFRCHSASYASSSQTQIENTYNNNQTGMEFKKGNSGGSISRIWSLTDPELQRKKRVASYKAYTVEGKVKGSIKKSFRWIKDKYSKMVYGLRT >cds-PLY74358.1 pep primary_assembly:Lsat_Salinas_v7:MU043233.1:22449:23585:1 gene:gene-LSAT_0X33141 transcript:rna-gnl|WGS:NBSK|LSAT_0X33141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSSGATRKADTKLSVKKTAAKGKVAKDPNKPKRPASAFFVFMEDFRKQFKEDHPDNKSVATVGKAGGAKWKSMSDSEKAHFQAKADKRKKEYENNLDTYNKKLAGGGNDDDDEDSDKSKSEVNDEADEDESDDEEDDD >cds-PLY85849.1 pep primary_assembly:Lsat_Salinas_v7:4:120526242:120530901:1 gene:gene-LSAT_4X75800 transcript:rna-gnl|WGS:NBSK|LSAT_4X75800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALLKSTRYSCAICIIILGLLCMKGDCDGSHLFIKLLNTPEPISNLNSPTFAFQVGNADSSSCSNCTSSCKLDDLPSSNCSSGEVSYTKLQDGNHTFEVCSNNGSNQLRCASYNWTIDTVPPTASVAAASSFTNAWNATVYISFSEPCGGVGGGFRCLSANECSLIVYGAGQVIPKTLKTIKPNLKYSILVNLSPIVEYGRVVLVTDKGFCTDAAGNQFTRTANSSFFLHFDRRSVYVDMRTHIPEQLLQLDNSVRSVQATNKQKNLKLFLYFTEPIVNTSTQVLKSLQISEGSLVSATGNNDSLGNRRFGFQLVNISDIAIVTARLDSRLVFSRQGTPVSPIAPVTFLFDSQRPHVRLSTTSHMRTRQEHIPVTIKFMKPVFSFNSSHLSISGGVMQGFREISKSVYSLEIQPVEDMVLVQVPENVTTDVAGNKNLASNTLQLLHYSIPCASLALSCTATAVFVLTAVVATVLTICTASLQNYGAFATPSPLLTSSPARILFRIVCHIQVFALSGWLAVPLPIEYSEFVKGLRWSIPYFRLPWETEYVQPVWPTNPHSYNPTTYNSASQSMQLKAMTGEKPDSVYGLPLTAMEYKSFFESQNEIPEADYIMDPNDSNGWRDFNRSMFWLAIISGGLIILHILILLLLKLRKKKEKENNYISIVFPRFEIFLVILAVPCVSAASAALLKGGSASGISVGVLLLGSVFFLILALFLFLSAGISFGKLLQYKEVHQEDQDIHWYQSLVKVTLGPGKRGQWTWINTSNSKWLSILGPLFEDLRGPPKYMLSQITGGVDYRTTGGSIIASDDENEDAEAPFVQKVFGILRIYYIFLESIKRVTLGILVGTFSRGGYSETPTKTLICVTSFQLFFMVLKKPFIKKKVQLVEIISVSSQLAIFAICLVLLRNDFSTHEQMKIGIAMLCLFLFAFVAQILNEWNALICQLKQLDPDNKSLYLGLKIASWGILLLFVPIKFMKNIESRFPLKSQAAVAGVSVARFRSSSGTPDRPWMKQLRDLAKSSFSREGSSGTPQTDPSCSRPKWSGFWSGKRSGSSSQATSMDSKSKPKGMYKDFEAIFGSK >cds-PLY68587.1 pep primary_assembly:Lsat_Salinas_v7:2:21093687:21095001:-1 gene:gene-LSAT_2X10380 transcript:rna-gnl|WGS:NBSK|LSAT_2X10380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEYIPFEIQVDIIKRLPVKSLLQFRSVSKQWKSLIDSSEFIAGYRFRQTHPQRLLVWYKDPVDLKQKYVSFVDDDAFAQQELAPTVPVLSEHLFKLEVLGSSQGLLCLSGFYKDPDQPRYKVATKLIVLLNPSIRKSVLIPVPGFSGCSEIVVGFGVCPITNDPTIVKITNVGTEVSSPMVEVFKLSRGSWRTPCSNLPKKSIEVILSEVAIHSYIYWVAFDNDFQMQKHLIISFDMTTEEFRVIDLPDSLAYATFFISKLWDSLVVLKVFDVWIMDNDVLHSFTKLFTINTRYESITILGFTNSGEPMIEVQGEDYEEPASLVVYEPNSEHIKDIGIHVENVSSFISSYMETLLLHDQSDCIGLL >cds-PLY88185.1 pep primary_assembly:Lsat_Salinas_v7:5:220963848:220965816:1 gene:gene-LSAT_5X102741 transcript:rna-gnl|WGS:NBSK|LSAT_5X102741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILIRQFTVNIALIPKLVFICLCLMVPKRPFSGEEEGNSSGFSNQESKGIGSSNYARNVLQRVSREELEATLEPLIRGVVQDGVQSAFQKIFGTSPRSTSDALDTRSTTTSLQLRFLKRLLPTFFTGNRIESEDRSGIKVGLFDAISNEIVSSGPLSSQKIVVVPLDGDFPFDDNEDWSESDFDSKVVSARDGKRPLLTGDLVLTLKDGVADLGNVVFTDNSSWRRSRKFRLGAKVQNATPGVRIREARSQAFIVKDQRGELYKKHHPPSLSDDIWRLEKIAKDGVLHGQLALLKIYTVKDFLQVYNTNESSLYGVLGGPNNNNWKAIIKHAKACVLDERVYMYRCVADGIGILFNSVMEVVGATFDGEYHLSINELTDFQKSMVEALKQQVYKDLEGMLPMDDLSVIETNLHVDPVATSGLDQLQRMAELDPFSNFSE >cds-PLY64655.1 pep primary_assembly:Lsat_Salinas_v7:7:137805229:137805714:1 gene:gene-LSAT_7X82600 transcript:rna-gnl|WGS:NBSK|LSAT_7X82600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKRPVTIVMILHMDNSLIYFSFTTKYFLCGSIKKHVSNIFIPKAFPQSGNEMYDLYKSFHFSSRSNRFVRRAIYSIADISGTPLTKGQIVNFERTYCQPLSDMNLSDSEGKNLYQYLNLNSNMDLIHTPCYEKILPSEKRKNEVFV >cds-PLY80072.1 pep primary_assembly:Lsat_Salinas_v7:4:348405778:348408093:-1 gene:gene-LSAT_4X172561 transcript:rna-gnl|WGS:NBSK|LSAT_4X172561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPGLNIILFFSIASVLEHLVNKIQCRGLFSTNYHHLALEYQQTDTVSLCHMACQVGDGDGGVEDVTFLYKLTLGACPKSCGVNVAGLAGKFPPSLPLSHFYCNPNLIIL >cds-PLY79569.1 pep primary_assembly:Lsat_Salinas_v7:8:118373873:118374973:-1 gene:gene-LSAT_8X81940 transcript:rna-gnl|WGS:NBSK|LSAT_8X81940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEVKLYGAGGSPFVCRVKIALKMKEIKYENFEEDMSNKSADLLKYNPVHKKVPVLVHNGSPIAESLVIVEYIDDVWKGVPILPQNPYEKARARFWAKFVDDKCMPAAFKVFGSNGDEQVIVEACEQLQMLENELKVKGTKFFGGDNINLVDIAADFIAYWLGIIEEATEIKFFTKDKFPKLTEWADEFVKCEVVKETLPPRGNMVAFFKKRFGKA >cds-PLY70113.1 pep primary_assembly:Lsat_Salinas_v7:3:10695481:10699661:-1 gene:gene-LSAT_3X6360 transcript:rna-gnl|WGS:NBSK|LSAT_3X6360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDALVCILTPRRSIDILRNVHVSKEQRKPYVVVFVGVNRVGKSINLAKVAYWLQQHDINVMMAACDTFHSGAVEQLRTHARRLQQPYRQWRRLLAFHHISDTADDLPTSMSTFPAKGESMIKLRRGGSQMEWRRLG >cds-PLY66225.1 pep primary_assembly:Lsat_Salinas_v7:1:175570128:175573343:1 gene:gene-LSAT_1X116020 transcript:rna-gnl|WGS:NBSK|LSAT_1X116020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYSKSSDAAVEVPEASDAAEKYTGAKSENHMILDDDEVGGLNLNLGGQVYPITEDDLEIWEGKSGRRRFCQQCSRGIFAANIFDMGSAQDSNVEVESGESMYFPALNVGLDKPSTLVSIQYHHFVKKTKIVPPMLPSETCVLFCVVVLDGWAN >cds-PLY92091.1 pep primary_assembly:Lsat_Salinas_v7:4:106561721:106562174:-1 gene:gene-LSAT_4X69060 transcript:rna-gnl|WGS:NBSK|LSAT_4X69060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLFFSDRVFCFGCSVNTTKPEIISADDCISRRRTNQRKSTKHWRPVLKAIEEDGVLRRSPESTAVARSEKNLLNKPKSARRTRSEPFHDNYWKSSHEMIAVPVFSPTPFMF >cds-PLY79152.1 pep primary_assembly:Lsat_Salinas_v7:4:218709008:218709370:1 gene:gene-LSAT_4X123020 transcript:rna-gnl|WGS:NBSK|LSAT_4X123020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKGSWEFITQRFPSCVTEIFEPEGVCVDGHDGYLHWLGCIGEDGDRQTIVAFDLGLETFIEMSLPDAILEYRGPDSLGVLGGNLCFVTWVSDGVCEVWVMEESWVKCHVFSQFSDNACL >cds-PLY82951.1 pep primary_assembly:Lsat_Salinas_v7:1:18606314:18607365:1 gene:gene-LSAT_1X16160 transcript:rna-gnl|WGS:NBSK|LSAT_1X16160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds-PLY95083.1 pep primary_assembly:Lsat_Salinas_v7:1:94156149:94157817:-1 gene:gene-LSAT_1X77541 transcript:rna-gnl|WGS:NBSK|LSAT_1X77541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHMCSAFNRCSSISLNQETLYFCPKFVPGNIRTTIATVSAKSSGNKDGDGGGSAVEDAKGSGTTARGRRLLKVREEKRKREYERLHNYPSWAKVLEDAAKNDIELRNVLGDTIGKPEQMRQKVEDRIRKKGRDFHKAKTGSVVAFKVTFRDFSPVGSHIWFKLYGPPSDRDVDLIGSVIQSWYVMGRLGAYNSSNLQLANTSMEYNPLYDADKGFNVMPSSFHDVGDVEFQDNWGRVWVDIGTSDYFALDVLLNCLTVLSSEYLGIQQVVFGGRKIGDWEEGMKNPLDGYKYFKI >cds-PLY97552.1 pep primary_assembly:Lsat_Salinas_v7:5:239105261:239107180:-1 gene:gene-LSAT_5X117460 transcript:rna-gnl|WGS:NBSK|LSAT_5X117460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPCKSFDLIVLKHLNSTTIFGTTQGQYAFPFSSLSICQTKSPIAKTPHIPPVTPQSLQKSISSSQWHFIEQISDTLTPTTISTALYNLRTSPTLVLQFTEYLNPNNTDIESYCLSIAIICQLPSPKSSLQFIKTLISSRRFSYNDVFNGLVAARERLGISSTIVFDLWIKGFCELKRPDEAFKWFYLMKRKGVLPKIETCNNMLSLFIRSNHTHSTWVLFAEMFRLKINPTVYTYNIMVNLLCKEGKMKKAKEFIANMETLGLKPNVVTYNTIINGYCAKKDLDGAKRVFNRMKAKGIQPDTYSYGALVSCMCKEERFNDASELMSKMEEIGLVPTAVTYNTLIDAYCNKENLEMAFHYKDEMVKKGIQPSVSTYNSLIHALLFEGKESEAEDMLEEMRISKLIPDAITYNILINGYCRSGNAQKAFALHDEMITKGINPTHVTYTSLIKVLNKRNRMTEADNLFSKIIERGVLPDVMMFNSLIDGHCANKNMERAVFYLKEMDRVKVCPDEVTYNTLMQGHCREGRVEEAIRVFEEMKRRGIEGDYISFNTLISGYSRRGDMKEALKIRDVMVSKGFNPTLLTYTALIQGLCKNNEGHYAEKLYKEMVSKGITPDDNTIISLIEGMESVDKFLENDL >cds-PLY85124.1 pep primary_assembly:Lsat_Salinas_v7:9:148643619:148644870:-1 gene:gene-LSAT_9X94900 transcript:rna-gnl|WGS:NBSK|LSAT_9X94900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Organelle RRM domain-containing protein 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G54580) UniProtKB/Swiss-Prot;Acc:Q9FIU6] MAMRTATTVAAAGPRGLRSLFSTLSTNFPFPSQTANQPQRPQAEPSTNLFVSGTLKISYLLMLNTGLSKRTTDEGLKECFEKFGEVVHARVVKDRASGWSKGFGFVRYSSLEGAAAGIEGMDGKFLDGWVIFAEYARPRDSPPPPSPPSTYGNSQYGQR >cds-PLY64518.1 pep primary_assembly:Lsat_Salinas_v7:6:34296833:34305674:-1 gene:gene-LSAT_6X25500 transcript:rna-gnl|WGS:NBSK|LSAT_6X25500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNHARGVFDGTVKVNHGVNGLLFPKENIKALTQITLQVVSKGKLSSLATNIASTGEDTAKNMMALDSIEGYASLIENILHLPSEVASPREISEIPSDIKTKWQWHLFEAIEDREYVNRTLRIHHLLDKVEGQRNRAPRAISEIPTNDSFIYDLWEEEKRDQIMKARRAREDDEVRDKSEQPRGTWEEVYKNAKKADRNKNDLHERDDGELERTGQPLCIYEPYFGQGSWSFLHTNSLYRGIRLAGECLKREKDRVSHYLHSNSEPKLLEVVFGNRTVDVVVVVVIVVVPHGIGGAEGPDRIFVGGLPYYFTEVQIRELLESFGPLRGFDLVKDRDTGNSKGYGLCVYEYLSGEEMVVNRGDDLDQRRRGSGNIERSSLGATATWKARICNGGMDQGCGSDEQRVYGIKDSLITNKFSNLVIDKCPYKSKLRHVD >cds-PLY87034.1 pep primary_assembly:Lsat_Salinas_v7:5:265803198:265803701:-1 gene:gene-LSAT_5X138060 transcript:rna-gnl|WGS:NBSK|LSAT_5X138060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKFIKKLEAGVREISSISIHPGDGKLCWFDMDLSSKPYKVLRSHNKYITNVAFHRNYPLFTACSDDSTAYVFHGMVYSDLNQNPLIVPLEILHGHRDTNGRGFF >cds-PLY62307.1 pep primary_assembly:Lsat_Salinas_v7:5:162504158:162505672:-1 gene:gene-LSAT_5X71261 transcript:rna-gnl|WGS:NBSK|LSAT_5X71261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELSTMCPCTYTCVSTAMVKQECLDWHKDDCYWSARVKRVLNHEKVEIELTIPPEGEGGIYEAFYAQTYIGLQEKAGVCLPGYLSFLILIFRVNLFLN >cds-PLY86739.1 pep primary_assembly:Lsat_Salinas_v7:2:197128106:197130082:-1 gene:gene-LSAT_2X118780 transcript:rna-gnl|WGS:NBSK|LSAT_2X118780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLPLFTPSLSFSFIFNGFKTPNPHNITINGAADITPTGILKLTNDTSRLMGHGFYPDPIRFKDPTTKKPISFSTSFVLAILPGYKNPGGHGLAFTVSPAKDFAGAQPNQYLGILNVINNRNTSNHLFAVEFDTVLDLEFGDINDNHVGVNINSMSSKSSTKAGFFIDGNSTKQDLDLESGKKIQAWVDYDGLKSQLNVTLSLYSQKPNTPILSIPLNLESVFHDFMYVGFSASTGVLASSHYIFGWSFNTSGKAQSFDLNSLPSIPTAKKNHKSFIIGVSIAALLTLVMVAIVGVVFVIKKMKNKDEIEEWELDVGPHRYSYKELNRATKGFREEELLGFGGFGSVYKGVLCNLPDSKTLVVAVKRISNESKHGMRAFVSEISTIGRLRHRNLVQLLGWCRKRDDLLLVYEFMANGSLDKYIYDDPIITLNWEQRFKIIKDVAHGLLYLHEEWQQTVLHRDIKAGNVLLDSELNGHLGDFGLAKLCEHGSNTSTTKVVGTLGYLAPELTRTGKPTTNSDVFAFGALLLEVVCGRRPTEPKALPEELILVDWVWDKWRQGVVLEVVDKRLKGEFDEVEVLVVVKLGLMCSSNAPSARPPMKQIVKYLEGEVPLPENLAPPCDGGDKGSYGIESEDYVHSYPSSSALDNVSNGSVRSEN >cds-PLY64863.1 pep primary_assembly:Lsat_Salinas_v7:3:17727955:17729647:1 gene:gene-LSAT_3X12740 transcript:rna-gnl|WGS:NBSK|LSAT_3X12740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMNIDSVLSPEDDDYEGYNFSLSGKLSSIRIQELLTYLVALAPPVSEEAIKFVDKVGGFEWLIKKYKMDGAAAMKLDLSLETPIIVVPRDSNGTELVVLPFQLQFVHNHIICLVTYENGLSLFLSWMQFLGSEGSLHIVVSDKEYGIITNCLAMNLGEQPDYLKMMWLRIDFLRSFLELGYNFLFTVLSKAKKITESRMAAKAAQDAELAITSNTSLEGVWCS >cds-PLY70429.1 pep primary_assembly:Lsat_Salinas_v7:1:72702401:72704685:1 gene:gene-LSAT_1X64901 transcript:rna-gnl|WGS:NBSK|LSAT_1X64901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLLFPTPPTTTTNTCLFPSLPLHHTKTIILRSHSSSPPSSRRKNLLPPLRVAAPPSPSTTDTSIDPEFEESETSSSSKFSWRDHWYPISLIEDLDPNLATPFTLLNRDLVIWFDKTNNQWVAFDDKCPHRLAPLSEGRIDENGHLQCSYHGWSFEGSGSCSRIPQASSEGPEARAVQSPRACATRFPTMVSQGLLFVWPDENGLERARATQPPMLPDDFENPEFSTVTIQRDLFYGYDTLMENVSDPSHIDFAHHKVTGRRDRAKPLPFKMDSTGHWGFSGENEGNPKISAKFIAPCYYINKIEIDTKLPIVGDQKWVIWICSFNVPMSPGKTRSIVCSARNFFQFTMPGPAWWQVVPRWHEHWTSNKVYDGDMIVLQGQEKVFLSQSMEDVNKNYTKLTFTPTQADRFVLAFRNWLRRHGKSQPEWFGQPNIQSLPSTYLSKRQMLDRFEQHTLKCSSCKKAHERFETLKKVLIGGCVVLCAAAGVPSEIQIRVVFAGFAVLSAGLAYYCHELQKNFVFVDYVHADID >cds-PLY75104.1 pep primary_assembly:Lsat_Salinas_v7:4:11006258:11007388:-1 gene:gene-LSAT_4X7600 transcript:rna-gnl|WGS:NBSK|LSAT_4X7600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDFRFCHRSLIDSVVVVLLFNLFLSFPFTCLGIRSFPTNGEIISDDLYGYMEAPEYRNGEDCRPYTIHVAMTLDSEYLRGSIAAVHSVLRHASCPENVFFHFIAAEFDPASPRVLTRLVRNTFPSLNFKVYIFREDSVINLISSSIRVALENPLNYARNYLGDILDPNVNRVIYLDTDVVLVDDIQKLWNITLRSNRVIGAPEYCHANFTNYFTDNFWSDPVLSRTFGSKKPCYFNTGVMVMDMRKWRKGNYRRKIENWMEIQRKRRIYELGSLPPFLLVFAGNIEPIDHRWNQHGLGGDNVKGSCRSLHSGPVSLLHWSGKGKPWVRHDEKRPCPLDHLWKPYDLYEHNDEHHQSQSLDFSISSSFLGYSNYLI >cds-PLY78443.1 pep primary_assembly:Lsat_Salinas_v7:2:167670288:167671704:1 gene:gene-LSAT_2X88861 transcript:rna-gnl|WGS:NBSK|LSAT_2X88861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFRCVQCGHHIKTLYVQYSPGNIRLMKCQNCKAVADEYIECEIMILLIDLILHKAKAYRHLFYNMFDHEAINFEGLMWKLSFGFLLLDVYRISILSTNEEGSGSDPSLVWECGKILLDVLVGNLLFISILLFGTRFLLTMSTEVSGYKNTWVAILASSYLKFFLIAMMVWEFPSLVIIIIDIFVMSSNTLALKVMSESTKAKCFGVCFCAHFVKFLVSLALNIHLPDLITRLISL >cds-PLY68813.1 pep primary_assembly:Lsat_Salinas_v7:3:61346396:61347346:-1 gene:gene-LSAT_3X48800 transcript:rna-gnl|WGS:NBSK|LSAT_3X48800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGATTSTTSSDLQLCPASPDAQEIFRPTLDTSDISVGHIQPITTIRPHQQNPRKKRTKMIRFHNSKAIVGVAGGSSTSGNHSGIITKKKPDPSAPKITRPCTECGKRFWSWKALFGHMRCHPERPWRGINPPPNLHHPPAPDNHDFTNVTTEEDEYVAACLLMLANSTTTIAAHPSTSHHHHHQDPDTRFECSSCKKVFGSHQALGGHRASHKNVKGCFAITRNDEVEDGEFECVDNNMNMVMVLGSGQQHRCSICSKIFSSGQALGGHKRCHWEKDDAVNNTPITTPFRFDLNSPPPNDHHSTFPISDLDLRLSL >cds-PLY86217.1 pep primary_assembly:Lsat_Salinas_v7:8:226129079:226132174:-1 gene:gene-LSAT_8X138040 transcript:rna-gnl|WGS:NBSK|LSAT_8X138040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSWVGKDCCQWERIHCDSLTGTVDSLNLRGDYYFGEGESYLVSYEVNSSLAELRHLKYLDLSQNDFGGSRFPEFIGSFKQLMYLNLSAAGFQGIIPPHIGNLSNLKVLDLSWNDELMSDDMSWTFGVTSLEHLDLSDVDLGGAKNMGMVLYNLPSLKELSLRGCGLSNVHLGPFLNSSRILANIKHLDLGSNSFKGPLPGFFRNMTSLEFLDLSGFSLSLAWNFANLLNMIPSSLSELHFSSCWLDNKTFLSSAHFNISMLSNIQHLDLSRNSIEGIFPSVFSNMSSLRVLDLSGNMLHSSVPIMANLLELDLSVNQFKNIEDVGIWRQCHLKQLFALRNPFEIERIDPPQNVSECSQYALEWLDLSGCLNGTIPEAFGRLTNLRHLDLSMSSLTGPIPESLGRLRYLEELYLSHNRLTGPIPTFLGNLSRLDLSYNQLNGSIPESFGNLAALESLYLQSNHLTGPIPASLGRLVSLQEIRMSSNLLNGTIPVSIGQLAELSLLDISDNSLEGVVSEAHFANLAMLSALDASSNTKLTFNVSCEWIPPFQLRFLYLGSCNIANGFPQWLRNQRELSELVLSNASISGPLPTWLRKMPVIAFLDLSHNKLSGPLKNLPEIEWELILANNIFNESIPRSLCRWTNLKYLDLSRNRLTGKIPKCLQNLLWLETMRFGSNLLSGVIPSYIANNHSSLYWLTLNGNNFIGELPRELGNLRALRVLDVGDNQLFGNIPHWIGEKLTDLMVLRLHGNKFTGEIPESLCKMSKLQILDVAHNNFTGIIPHCLRELSAMVKGAEQRYNDTLDPNENVIQVMKGVDLEYTKTWDIVFNMDLSSNKLVGEIPVNLTALSMLIGLNLSNNHLSGMIPENIGNMTRLESLDLSGNKLTGMIPPSMAALTFLSHLNLSHNNLSGRIPTGHQLQTLIYDPSIYAGNEDLCGPPLPNNCSDHQDPTTTAKPKKKHKAADKSIKVWWFYLDIMSGFATGFWGVIGVLLLKKHWRHKIFMFADETVDKIYVAIVVRVAKMKRGQEAT >cds-PLY77642.1 pep primary_assembly:Lsat_Salinas_v7:5:174087383:174089079:1 gene:gene-LSAT_5X76060 transcript:rna-gnl|WGS:NBSK|LSAT_5X76060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAECSSSLDVNQEFRQLTISEIHFVTKNFDESLVIGRGGFGMVYKGTITNGTTHLVAAIKRLDSTSKQGEAEFWAEVEILTKLRHCHLVPLIGYCNDGEEMILAYEYMPHGTLEDHLHNFQTPLSWVQRLKICIGVARGLDYLHTGTGIKHGVIHRDVKSSNILLDESWNAKISDFGLSKLGPINQPSTYVNTVVKGTFGYLDPDYFTTGRLTRKSDVYAFGVVLFEVLSGKRAVDKSLGEEHLGLARWAQESVKEDRLEQIVDLNIKDEIMPKCLKEFARIADWCLDRNPKQRPTMAEVVVCLESVLAIQEKASNKLHHARMRKFEKSKLKSEMIKEKFVNQIQQMYDDSQLVKIAKEQTMHSNLLTQSLGVVSKKLRKTEEKQPIVRDRTQGYQDQNMQEDTL >cds-PLY64586.1 pep primary_assembly:Lsat_Salinas_v7:6:38669495:38669959:-1 gene:gene-LSAT_6X31220 transcript:rna-gnl|WGS:NBSK|LSAT_6X31220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEAGFEPDLTTFNIRAVAFSKMSLFWDLHLSLEHMKHNGIFPDLVTYGCVVDAYLDRRLGKNLDFALRKMNVNDSGVVLTDDLVFEVLGKGDFHSSSEPLLEFNRGKKRWSYKELIATYVKKKYRSNQIFWNY >cds-PLY87079.1 pep primary_assembly:Lsat_Salinas_v7:5:255453884:255459488:-1 gene:gene-LSAT_5X131521 transcript:rna-gnl|WGS:NBSK|LSAT_5X131521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIEEWTEVRQRRRRHQEDHNLTKSMAISFFFQNFPEDWDEKALWLTFQRYGTIVDLYLARKRNIKNKRFGFIRFIRIENISEFEGKLNGIWIGNYKLRVNLARFQRKSTANPRSTMPPIGHGQNKQPYGYPHLHSFQTNNQDAQKCTKSYAEVVAGDKMPKETTNPTPIRMSSFEETREFMKRALVGEVENFQALMNVRAFPEVEECPTVVMRYLGGLKMLVEFESEAAKSKFLVDGRQIWKPWFKTMYNWNPKENFNVRIASIMIFGVPQHAWCEEAFSVIASKWGTVIIPEECATDNPNMAFGRVGILTSHPNLINQSITISVDESPFSITVLEDLLESTRLSPVVASNEFALSPNSSPKWFENPYWEDLHESSVEDIAEEGEGEINSSDGEDIVCSPVQDTNSKTLSNSSAIHSREDKEKSPMNNNCSHNSQGSPRIPRSPDAAREENEEPLDHMGNFSPQSLQNPGSLQNNTAIGNEAQSKSPNGPTQEGSTSLISPRQKSPVKYNQIDLNSAPVSSDNAIPLLSQNQHVSQNTHQVGIEKSNTEVEVSQTIEIGERIGFQVQGFLSNYTYVLAVVALHIAGHLNCPHWSWRNLMQEMRLPYLQLEDSQGTN >cds-PLY67506.1 pep primary_assembly:Lsat_Salinas_v7:6:69437813:69440277:1 gene:gene-LSAT_6X49500 transcript:rna-gnl|WGS:NBSK|LSAT_6X49500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESLRGNGQGDRKSSDRIYDYDVYDDLGAPDLSLSLARPVLGGNDHPYPRRCRTGRPLSTKDPLSETRTLLPFYVPSDEDFSEIKEVNFGARALYSVLHAVVPTLDAIITDKDKGFPLFRTIDMLYDQGVNVPAPDNGLKTVLPRLVKGAVDTVDAVIQFETPATIDRDTFSWFRDEEFCRQMLAGLNPYTIQLVTEWPLTSKLDPQVYGPQESGITKEIVEQEIKGFMTLEQALAEKKLFMLDYNDLLLPYVNKTRELNGTTLYGSRTLMFLTPTGTLRPLAIELTRPPSDDKPQWKHVYTPAWDATGAWLWKMAKAQVLSHDSAYHQLVSHWLRTHCVMEPYIIATNRHLSQMHPIRRLLLPHLRYTMQINALARLALINAGGIIESTFSPGKYSMQICSDAYDQLWRFDQESLPADLISRGLAVEDPNSPHGLKLTIEDYPYANDGLLLWDAIKQWATSYVNHYYPKANLIESDVELTQWWDEIRTVGHGDKKDEPWWPQLKTQEDLIGIVSTIMWVGSGHHSAVNFGQYDFAGYFPNRPTMARTKMPNEDPTEEEWQSFIKRPEDALLKCFPSQIQATQVMSVLDVLSSHSPDEEYIGGYIEPAWAAEPAIKAAFEEFRGSLEKLEGIINSRNVNPKFHNRSGAGLVPYQLLKPFSGPGVTGRGVPNSISI >cds-PLY64697.1 pep primary_assembly:Lsat_Salinas_v7:7:139100880:139102247:-1 gene:gene-LSAT_7X82740 transcript:rna-gnl|WGS:NBSK|LSAT_7X82740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMFPWLAFGHMLPFLELSKKLASHGIKISFVSTPKNLKRLPSIPTNLSTNIKLIEIILPKVNGLPENCEATIDIQQEETPYLKKAHDNLQKSFEKILENDPPDFIFIDFSSFWVPKIAAKFGAKTAFFSVYTAATLAYMGPPEELRWGHKRKTPAAFTVAPEWFTFRSMVAHRPDYAPTMLRNLHVPDASGKSSGQRISQVIEESEFVIIRSCKEFEGEYIDLLEKLYEKTVLPLGVLPPVRKKEEVIESTWLTTFKWLDAKRAKSVLFVGFGSEYKMPVEQIHELAHGIELSGVSFIWVLRKPKEVGSLDILPKDFESRVSEKGVICLGWVPQMEILAHPSIGGCLFHSGWGSIVESLGLGHPLILMPMVADQGLNCKLLVEKGIGCEVERNEDGSFRREKVAESVKLVMESEKGEWIRKKGLEMKKVFGDESLHEGYISSFVSYLNRFKTTLS >cds-PLY94183.1 pep primary_assembly:Lsat_Salinas_v7:5:321470781:321471293:-1 gene:gene-LSAT_5X178181 transcript:rna-gnl|WGS:NBSK|LSAT_5X178181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTPVTLTFQIVPFDNCEKSIRKVRKTLFKVADVSIVSLNPNNGEVTIRSTSQSVEAIRYALERAFPRKQVFILQEVVHQDAPSTLRRQQNPNQSTFDLASMARSLPIASDNFDGLQHVETDYSRINQSSTFTSASSFNDTPPPTEPSPHIQQSGNYYSWIYKIEDVDDD >cds-PLY83992.1 pep primary_assembly:Lsat_Salinas_v7:8:36212197:36213344:1 gene:gene-LSAT_8X28861 transcript:rna-gnl|WGS:NBSK|LSAT_8X28861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07860) UniProtKB/TrEMBL;Acc:A0A178V8D0] MKFPLSLSSSKSINTDMTEPTSSSIEDDNVVLEYNNNNVKKAKLNSTLAALLDDPVLADVPKNPTLSDVDTLISLELGSAMRVSVIKMDNTSFDVAVMNSAKVKDLKLAVEKKVNEMEQSKMGHRHISWKHVWRNFCLSYHNEKLLNDDGILQDHGIRNHSQVHFMAYIMTRASNRHSRRRKHRFFHGLNRRE >cds-PLY95042.1 pep primary_assembly:Lsat_Salinas_v7:5:227589167:227595685:1 gene:gene-LSAT_5X107340 transcript:rna-gnl|WGS:NBSK|LSAT_5X107340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERHKKLGKKMVEEEESLRLSTSKGNGGLSSMDSIESYRWVFQDEDDSVVDDDGDDDLPLRNGMDSEDEDNADHKLIRTGPRIDSLDVETLEVSGGQRNDLEDVTFRKSVKLAFQTLGVVFGDVGTSPLYTFSVMFSKAPIEGNEDIIGALSLVIYTLILIPLIKYVLIVLLANNDGEGGTFALYSLICRHAKVSLLPNQPASDTRISSFKLKVPSAELERSLRIKERLEASLGLKKLLLMLVLAGTSMVIADGVVTPAMSVMSAVRGLKVGVPMCEEDHVVMISVAYLIILFSVQKFGTSKIGLVIGPALFVWFCSLGGIGIYNLVKYDSSVLKAFNPLHIYYYFKRDSTKAWYSLGGCLLCATGSEAMFADLCYFSVTAIQLIFAFLVLPCLMLGYLGQAAYLMDNNSDAQQPFFSSIPYGCFWVMFLIANIAALIACRAMTTATFSCVKQSIGLGCFPRLKIIHTSRKFMGQIYIPVINWFLLAAALLLVTFIASTDEIGNAYGVAEVGVMMMTTVLVILVMLLIWQINIILAMSFFVIFLGLELIFLSSVLWGIKDGSWLILVFAMIVFFIMYIWNYGSKLKHETEMKKKMSMDVMRQLGSNLGTVRAPGIGLLYNELANGIPTIFGQFLATLPAVHSMIIFVCIKYVPVPSVPPTERFLFRRVCPKSYHIFRCIARYGYKDIHRENHQIFEQLLIESLEKFIRREAKERSLESDGDDDSDSGSNSDSESKRVLIDTNGSLYSLGAPLLSKNRNKTKAATVITEASTSRPREPEPETEKDSGSNSNSVQDKSLENELAVLRMAKESGIVYLLGHVSIRARKDSWFIKKLAINYFYAFLRKNCRRGIATLSVPHTRLMEVAITHMV >cds-PLY97457.1 pep primary_assembly:Lsat_Salinas_v7:6:91718344:91718553:1 gene:gene-LSAT_6X62781 transcript:rna-gnl|WGS:NBSK|LSAT_6X62781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLIKVEKDVAEMKQFMALGDDDDGDMVVYDTSPNSPGNNPPPPQPPSRNLPPLSHPPSPFWSSSLI >cds-PLY72159.1 pep primary_assembly:Lsat_Salinas_v7:7:57093813:57095970:1 gene:gene-LSAT_7X41360 transcript:rna-gnl|WGS:NBSK|LSAT_7X41360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEQTTNNKVKTVDANNQQSGKKRKKQKEVAIFGNYRNYYTYRIDQGLEEDPRIKIMKKEWFEGKTCLDIGCNSGLITITIAKNFGCQKILGVDIDSARIEDAHWNLRKIVKMSESKKQHSGDSLSHLFDIVSFKKDNFVQSWSTPGEKYDTILCLSVTKWVHLNWGDEGLITLFSKVWRLLQPGGVFILEPQPWTSYVSNRQVSEVAATNYKMLEIFPENFQEILLDKIGFREIENLSASLSGSKTGFNRPVLALWK >cds-PLY86342.1 pep primary_assembly:Lsat_Salinas_v7:8:28548866:28555646:1 gene:gene-LSAT_8X23200 transcript:rna-gnl|WGS:NBSK|LSAT_8X23200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLLDEECDYLFKMVLVGDSAVGKSNLLSRFSKGEFHLDSKPTIGVEFAYRNIKVGDKLAKAQIWDTAGQERFRAITSSYYRGALGAMLVYDITRRGTFKNLKKWLHELREYGNRDMVIVLIGNKSDLVDSREVEAEEGQSLAQLEDLCFLETSAKENLNVEDAFLQMITKIFEIASQKSLEAKENDATKKVVDARKEIIYVVDEVTATKQTSCCTS >cds-PLY77871.1 pep primary_assembly:Lsat_Salinas_v7:1:24628437:24629143:1 gene:gene-LSAT_1X20420 transcript:rna-gnl|WGS:NBSK|LSAT_1X20420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSPYLGLRRETSRWVLFVSGFPLSCFPGHLCFSLYRLIADESPLSLSLSTDSHQKETSRRLILMMIQVSPGNSMLQHLAHQVISYTQVGVLVLISS >cds-PLY66624.1 pep primary_assembly:Lsat_Salinas_v7:3:65069505:65072257:1 gene:gene-LSAT_3X51481 transcript:rna-gnl|WGS:NBSK|LSAT_3X51481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSGEEKSVESWHVVKSKGKNNQPKKTDAICRETDEGTTVGCWNRWKFIGSCISSRSKVDNSISGISTTPGESKSTNDVSKDQPVVPAVSSTTTSTGESVSSTQKLEEELKIASRLRKFAFNDLKMATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNYLGDLIHPNLVKLIGYCIEDDQRLLAYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAKRPVIYRDFKTSNILLDAEYNAKLSDFGLAKDAPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLGERRRFYRLIDPRLEGHFSIKGAQKAAQLAARCLSRDPKVRPLMSEVVDSLKPLPALKDMASSSYYFQTIQSDRVGSSPNGRNGSRVPGGSVLRNGSQNPRSLSVSNSTRASPYHQQFSPKPTEKE >cds-PLY69682.1 pep primary_assembly:Lsat_Salinas_v7:5:211130346:211142582:1 gene:gene-LSAT_5X95800 transcript:rna-gnl|WGS:NBSK|LSAT_5X95800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGTLHATIFEVDKLKSNLLHKIVDGLEHVVGLKNNAASLYATVDLEKARVGRTRMLEHDSNPRWFESFHIYCAHMASNVIFTVKEDDPIGATVIGRAYVPVIKLLNQEVIDEWLELVDDRGKSIHGHSRIHVKVHFFKVERECQWSRGIQSVKFPGVPFTFFPQRNGCRVTLYQDAHLPDNFTPKIPLTGGKYYEPHRCWEDIFDAISNAKHLIYITGWSVYTEISLIRDLRRPKPGGDMTLGELLKKKASEGVRVLMLVWDDRTSDGLFKNGFMATHDEDTGAYFRGSEVNCILCPRNPDDGRSLVQNIQISLMLTHHQKIVVVDAPLPNNHEKRRIVSFIGGIDLCNGRYDTPFHSLFRTLDSVHHDDFHQPNFPNSSVKKGGPREPWHDIHCKLEGPIAWDVLFNFEQRWLKQGGKDLLNDVRDLSHVIIPPSPVMLPDDHDRWNVQLFRSIDGGAAFGFPEKPEDAARAGLISGKDNIIDRSIQDGYINAIRRAKNFIYIENQYFLGSSYGWNSNDIKDEDINALHLIPKELSLKIVSKIEAGEDFRVYVVLPMWPEGEPEGASVQAILDWQRRTMQMMYTDIVHALKVKHIVANPRDYLTFFCLGNREIKKPNEYIPSEKPDQDTNYQRAQEARRFMIYVHAKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAFQPCHLSKRQQARGQIHGFRMSLWYEHMGLLDDCFSCPESLDCIRKVNQISMKYWDLYCSERLDHDLPGHLLSYPVGVTEEGDVTELPGSEYFPDTKARVLGTLASYMPPILTT >cds-PLY93187.1 pep primary_assembly:Lsat_Salinas_v7:8:267087018:267091369:-1 gene:gene-LSAT_8X154480 transcript:rna-gnl|WGS:NBSK|LSAT_8X154480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESILINFIILLLIVTTSEGAPRNEMIQLSCNNQIENNPILFSSNFIQTADKIGTQVQSSLLGTANSGTGPDGNFGLSQCYGDLSTSDCIICYDSAHYLVSRCFPYTGARVYLDGCFMRFQNYSFFDEYSGPNDTAVCGNTTRNSNPFQDSVRQAVLKSVTDALTNVDYFAREVTVSANGNESVYVMAQCWKTLNSSSCRSCLDTASNLMLQCLPWSEGRALNTGCFMRYSDVNFLNPVASRRSNRGRIIAIITASVSSMLILVVALLIGLYIWRRRVIEKKRTGSYDAKKLAKILTDSSLNFKYSTIEKATGNWNDSNKLGQGGFGIVYKGVLPDGREIAVKRLFFNNKFRAADFYNEVNMISSVEHKNLVRLLGCSCSGPESFLVYEFLPNMSLDHFIFDAIKGKELNWEKRFEIIIGTTEGLVYLHENTKIRIIHRDIKAANILLDSRLRAKIADFGLARSFQQDMTHISTAIAGTLGYMAPEYLAFGQLTEKADVYSYGVLLLEVVTGMQNNRSKDSEDSDSLVSIAWTHFQQGTVEEILDPNLMFNNTYPKHSFKKEAVKVVHVGLLCTQEAPSLRPSISMALKMLVKDDEPLPTPSNPPFIDRNEFNELEEKLQRYHDDDDLGSVATVSHSRFLPR >cds-PLY96826.1 pep primary_assembly:Lsat_Salinas_v7:2:172058010:172058881:-1 gene:gene-LSAT_2X94600 transcript:rna-gnl|WGS:NBSK|LSAT_2X94600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAELNSNEEPHVLPSKRKQTATDADVESTKKHQVESCAVKDASAAAEEKVINDNGEEEEEEEEDYDGEDDVDSEDEEDDDDEDEVEHSNGGAEIDRKGKGIMKDDKGKGKLIEESEDDSSDDDGASESDGDDDDLSDDPLAEVDLDNILPSRTRRRTAPPGLRISNDKFTNDKDNDA >cds-PLY80484.1 pep primary_assembly:Lsat_Salinas_v7:2:136784039:136787664:-1 gene:gene-LSAT_2X67280 transcript:rna-gnl|WGS:NBSK|LSAT_2X67280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPYSFNRFLVFLALLRCLAYVNGDVAEAEQLLFFKSTLQNPSRLPDWLKGNNPCTFTGVSCKNSTVSSIDLSNIDLSVDFGMVSSSLLTLPSLESFVAKNCNLTGTLSWGSRSQCSKLLSSVDLGVNRITGSVSDVSSLSGCQKLKSLNLSRNSMEFTGVSKPIGLSLQVIDLSFNRISGSEVLPWILSEGCGELLEFNVSGNNISGTIPESLKACSSLQLFDISRNNFSGVFPMDTLMNLSSLKTLMLAFNNFVGELPESLSEMINLEKFDVSSNKLTGEIPAGLCQSSSLKVLYLQNNLLTGTIPSSLSNCSQLVSLDLSFNSLTGVIPSSFRYLSKLQDLMIWMNLLSGEIPEELTYIQTLENLILDFNYLTGSIPASLSNCTNLNWISLSNNRLGGEIPAALGQLSNLAILKLGNNSFSGNIPPELGDCKSLVWLDLNTNQLTGTIPPALFKQSGYIAAAYLTGKPFIYIKNDGSKQCHGAGNLLEFGGIRREDLDRISSRHPCNFTRLYLGITEPNFNHNGSMIFFDLSYNKLEGGIPKELGLMYYLFILNLGHNDLTGPIPDELSGLKTIAILDLSHNRLNGSIPNSLTTLGLGDADLSYNNLSGSIPESAPFDTFSPDKFSNNSGLCGYPLPLCKHDPNGKSNSRSKSNRREASLAGSVAMGLLFSLFCIFGVIIILVEMRKRKRKKAAAALEAYADNGNSYSGGGAAHTSTAWKLTSTREALSITLAAFEKPLRKLTFADLLEATNGFDNNSMIGSGGFGDVYRAQLKDNSIVAIKKLIHVSGQGDREFMAEMETIGKIKHRNLVPLLGYCKVGDERLLVYEYMKFGSLEDVLHDRKKTGLKLNWNTRRKIAIGSARGLAFLHHNCIPHIIHRDMKSSNVLLDENLEARVSDFGMARHMSAMDTHLSVSTLAGTPGYVPPEYYQSFRCSTKGDVYSYGVVLLELLTGKQPTDSPDFGDNNLVGWVKQHAKTKISDVFDRDLLKEDPGLEIELLQHLKVAVACLDDRPWKRPTMIQVMAMFKDIQAGAGIDSGSAIAAGDAHFSTVPEVEMTIKEDGEQGKQ >cds-PLY70009.1 pep primary_assembly:Lsat_Salinas_v7:8:145497556:145498549:-1 gene:gene-LSAT_8X98760 transcript:rna-gnl|WGS:NBSK|LSAT_8X98760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADYTFGPHKIDHNDVFYTTDLLYAFVNLRPVLPEETSEMWITAKRIGNMLENYHKASSLTFTIQDGPQAGQSVPHVHIHIVPRKVGDFENNDDIYDAIDESDKNLKKKLDLDKERKDRSSEERVQEAEEYRKLLSFEPES >cds-PLY72486.1 pep primary_assembly:Lsat_Salinas_v7:2:141826247:141828863:1 gene:gene-LSAT_2X69781 transcript:rna-gnl|WGS:NBSK|LSAT_2X69781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGAATRGAINDEFGQKAATLLEDVIFALGSFVMASAWNPYVVIFGGLLVGLGIGVASVTALMYIAEAAPSETRGGLVSTNVIIIPSGQFLSYLVNLAFTEGNRGSWTDAGLILMVQVKLRWITVMGLNGPVHVLLCTTLIIKT >cds-PLY72005.1 pep primary_assembly:Lsat_Salinas_v7:8:147730746:147733884:-1 gene:gene-LSAT_8X99481 transcript:rna-gnl|WGS:NBSK|LSAT_8X99481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGPINGGMLYHEVQESKLCAVHCVNTVLQGPFFSEFDLAALASDLDRTEHQMMLEGSAASGGDAFLSEESHNVSMDGDFSIQVLQKALEVWDLQVIPLHSPVAEPAQVDPELENAFICHLQDHWFCIRKVNGEWYNFDSLYAAPEHLSKFYLSAYLDTLKGFGWSIFLVRGNFPKECPITSGEASNGYGQWLLPEDAERITKSCNSGNRHQQHHRDPPTPVAVEDDDLNAAIAASLRENSGGGGEVGISGNDDDDLEAAIAASLAVNNVAPPSPVTLAVPAAAAGCGGASESESKDSGLQDK >cds-PLY66949.1 pep primary_assembly:Lsat_Salinas_v7:7:18489994:18491388:-1 gene:gene-LSAT_7X14701 transcript:rna-gnl|WGS:NBSK|LSAT_7X14701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVPSFMVRLDSYKHIDFSLSSPFKGGRPGRVKRKNQKAAAKKALGGDADEVVSVLSRNEPPLFLAKFFLELMKVPHVESKFCLTPKGHLKKYIRKLYKSKNGTEEHIWQRLHLYSLLQRKTQWNTSINVHFALLK >cds-PLY80402.1 pep primary_assembly:Lsat_Salinas_v7:8:171784289:171790621:-1 gene:gene-LSAT_8X112480 transcript:rna-gnl|WGS:NBSK|LSAT_8X112480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVANTDQFESYFKRADLDQDGRVSGAEAVSFFQASGLPKSVLAQIWTIADQNRTGYLGRQEFFNYLKLVTVAQSKRDLTPDIVKAALYGPASSKIPAPQINLSALPAGPAPPQMGSTPIRAPSPQGYPPQQSQTMRPPQSTLPTTTFPSQHGGMMLAPSGSSLSAPPRPQGPGPTNLLQNPAPKPNGTNLSASGNGFVSSSAFGPPSQAKNNPVVTVSPPSIPVSGGTQLPNKPAQLLQGNVPHQNHQMQPSFRPNQQVPVQNSSSFSNQPSQPWPKMSQSSVQKYTKVFMEVDTDRDGKISGDQARNLFLSWKLPREILKQVWDLSDQDDDSMLSLKEFCIALYLMERFREGRPLPKVLPPTIFEGSTLPPSGQPQPQPPAATYGAPLWRPPPGVQQAHGMVGPRQVTGGAPRPPRPVPVPVTENDEDMQPRQRKPTVPILEKHLVDQLSTEEQKSLSSKFQEATEADKKVGELEKEILEAKQKIEFYRNKMQEIVLYKSRCDSRLNEITERVSSDKKEVESLSKKYEDKYKQSGDVASKLSIEEATFRDIQEKKMELYRAIVKLDQDGKPEEIQARADRIQADLEEQVKMLNERCKMYGLRGKPTSLVELPFGWQPGFQEGAADWDESWDKFEEEGFTFVKELTLDVENVIAPPKPKSLPVQNKSTFQFQDNNTSSSALEANDNKPKKLTVSVEENNNESNEQKEKEKEKEKKDTSSPETPKSPPKIFHDGKTTSEDGSPHAVKTHSENLDTQSVVSSEKGFDEPGSGWGFDTHYDSDASAAWDLNAATTKPNKDTDQGSKYENSFFDSDSWGGLNPIRTEIPKKSTFTFDSVPGTPAYSYAGSPPADNLFQNRAPFSSSFPDSVPGTPAYSFAGSPRSHSNFNSNQPFASVFADSVPSTPMYTNSPRRYSDGPEDNSFSNNFSRFDSFSSNAPHDLARDPFSRFDSFRSTAQDSEYDQGFNEQQTTLSRFDSMRSSADSDFGHSLFQTRESESFSRFDSMQSRNGNANGNESSDFGHGFPAFDDGDPFGSGDPFRSHHDPFKSETPRRDSVDGWKAF >cds-PLY79620.1 pep primary_assembly:Lsat_Salinas_v7:2:165943746:165946573:-1 gene:gene-LSAT_2X89380 transcript:rna-gnl|WGS:NBSK|LSAT_2X89380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPEWEEAYMNYNYLKTILKEILTFRRRRQQNQTPPAHFSSPKTYVLKRRSFYRAFSGLTNRYNNEDEAYNNEDEAILVSETEMEEQPAECRSHQTHVLHRSSEEGAENELVFFKVLDDEFKKVNNFYRGKVEEVVMEAEELNKQMDALVALRVKINDPHFQTSSTAFNSGPLEEIDELEERPEKEHNKMVSLEILNSVKINVIQESAISTLKNILIGTKSDLSFTKEELRNAQTKLRQAFVEFHRKLRLLKSYSFLNQLAFSKIMKKFDKITSRNASKTYLQMVENSYFGQSDDVVKLMERVEAAFIKHFSNANRRQGMRDLRPRSKRDKHRITFFIGCFFGCSIALAVAVSLTIHIRELLVSEGRDQYMTNIFPLYSLFAYIILHMLMFAITVYFWKRFRVNYAFIFGFKPNTELGYKEILLLASGLSVLTLAAVLSNLEMEMDERTQSFKAITELVPLGLVIVVLAITFCPFNIIYRTNRFFFITCIWRCVFAPLYEITFPDFFLADQLTSQVQLLRVFEFYVCYYGFGDFKRRSNTCTQSDVYQILFILVAVVPYWFRFLQCVRRVCEQKEYNQTANALKYLSTILAVITRTIYVQNRGMSMRIIVATSSGVATIFNTYWDIAKDWGLLCRNSKNPWLRDRLIISNKSVYFVAMFLRFVHDFVGIKCDTKTCVDANGVGFP >cds-PLY70433.1 pep primary_assembly:Lsat_Salinas_v7:1:71427207:71429847:1 gene:gene-LSAT_1X62020 transcript:rna-gnl|WGS:NBSK|LSAT_1X62020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLSKVDDLWKDFSSADTARQVVKLKAFSKFENTSEALSAATLLIDSKPSKDLRKFLRAHCDGETLAVADSKLGNAIKEKLQIDCVHNQTVMELMRGVRSQLTELITGLGSQDLAPMSLGLSHSLSRYKLKFSPDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIVSDNILYAKAVKLMGYRTNAAKLDFSEILSEEIETELKESAVVSMGTEVSELDLTNIKDLCDQVLSLSEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLASKTALAIRYDALGENQDNSMGMENRLKIEARLRNLEGRELNKTAGSTKGKPKIEFYNKDQKTGGGAMITPAKTYNVAADSVLGRIEAEADVATEGKKDKKKKKKKGGVEEEVSVVDDGEEKEKKKEKKEKKKKKEVEEVAEVEKEEEKKKKKKRKHVEDDVEETETPSKKKEKKKKKKTEE >cds-PLY61703.1 pep primary_assembly:Lsat_Salinas_v7:5:215061143:215064002:1 gene:gene-LSAT_5X98641 transcript:rna-gnl|WGS:NBSK|LSAT_5X98641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACLRFPVIPLNHTSPVSKSPRPQTHLIKPQDNEKHHQILYNSYFKHISSVCKEGKLQDAVNILFELESQDFEIGANVYGDLLQGCVYERNLSLGKQIHSRVIKKGESLVKNEYIETKLVVFYAKCDCLDVASSLFRRLDQKNVFSWAAIIGLYCRMGYLQNTLLGFCAMIENGFEADNFVVPNVLKACGGLSFIEFGKGVHGHVVKKGFEGCVFVASSLVDMYGKCGALEDARKVFDNMPERNVVTWNSMMVAYAQNGMHEEAIRVFHDMRTEGIQPTVVTMVTFLSASANLYALEEGKQGHAIAISTGLDSGNIMGTSLINLYSKSGLIEDAEKIFNKILNKDTVAWNLMISCYLHNNQIQKTINLCHKMLSQRLKFDSVTMTSITTSAGNTQNLKLGKSAHCHIIRTNLMSDVAVSSSLVDMYAKCNKIHDARTVFSLTGTKDLVLWNTLLAAYAEIGSSGEALNLFYKMQLEGVPPNTESWNSIILAFLKNGQVKEAMDSFSEMKSSGLEGSLVTYTILIFGLVQNGFVDQSISIFQEMQENGIKPNNISIVGVLSACKTRASLQLGRAIHGYVLRHEMDINVILATSLVDMYAKCGSIDYARKVFDMIVVKGLPLYNAMISGYALHGCAVEVIAVFRELQNDGFDPDEITFTSVLSVCRHCGLVNEGLGIFVDMIRKYGVKPSMEHFGCVASLLSKCGNDEVFQFVKCMRFEPDSHILGSLLESCRGTRTDTDTDIGTGTETNTDTDIETGTETNTDSVKYLMENLMKIDPGNSGNYVAVSNAYAGKGMWNEVSELRNLMREKGIKKSPGCSWIQIGKEVHVFVANDRSHSRTDEIYSTLALLRKEMLGNRDP >cds-PLY83194.1 pep primary_assembly:Lsat_Salinas_v7:4:288423396:288426221:1 gene:gene-LSAT_4X146761 transcript:rna-gnl|WGS:NBSK|LSAT_4X146761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTQMKLPLFYQILSYLIIGIIVPADVTLGIRFPNPISQVSGDPPDELLKTAVFALGSFWRSESVFGCLDGVVRTTVGYAGGSKSNPEYRSLGDHAESVHIEYDPRVINFRQLLEVFWSSHDSRQVFGQGPDVGNQYRSIIFVNGTEESRLAVVSKEREQTKSRGSIVTTQIQQLENFYPAEPEHQKFELKRNPFLVQVIGNLVEEELEKSRLAAKLNGYAAELCPPRIQTRIDGKLNEIIRKGWPILTQV >cds-PLY85381.1 pep primary_assembly:Lsat_Salinas_v7:5:243288829:243293334:-1 gene:gene-LSAT_5X120240 transcript:rna-gnl|WGS:NBSK|LSAT_5X120240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGGGWFPPMDLFRSEPMHLVQLIIPIESVHSTISYLGDIGLIQFKDLNADKSPFQRTYASQIKRCGEMARKLRFFKDQMSKAGTTWSLKPNILADIHLDDVELRLGELEAELIEINTNNEKLQRSYNELTEYKLVLIKAGEFFKAAQNIATAKQREFSSNKLPAESLTIPLLKDDESENDLSKQVKLGFLTGLVPKEKAMAFERILFRATRGNVFLRQAPLEEPVVDPTSGQKVQKNVFAVFFSGERVKSKVVKISDAFGANRYPFAEDLAKQSQILKEVSGRISELKMTIHAGLLHQGTLLQKIGEQHEHWNQVVRKEKAIYHILNMLSIDVTKKCLVAEGWSPVYATKRIRHALRKAAIDSSSQVGSIFRVLHTKKLPPTFFRTNKFTESFQAIVDAYGVARYQEANPGVYTVVTFPFLFAVMFGDWGHGICLLLATLYLISKEKKFYGQKLGDIVEMTFGGRYVILLMSLFSIYTGLIYNEFFSVPFELFGPSAYACRDDSCRDATTIGSIKVRDTYPFGVDPIWHGTRSELPFLNSLKMKMSILIGVAQMNLGIILSFVNALYFRNSLNIWFQFIPQMIFLNGLFGYLSLLIIAKWYTGSKADLYHIMIYMFLSPTDDLGENQLFNNQKTIQIGLLLLSLIAVPWMLFPKPFILKSQHNLRHGDIDEYELLEDIDDSLHGEANVDSEGDHEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSAVFYEKVLLLAWGYNNVVILIIGIVVFIFATAGVLLVMETLSAFLHALRLHWVEFQNKFYGGNGHQFRPFSFQLLGNEDEST >cds-PLY64318.1 pep primary_assembly:Lsat_Salinas_v7:4:22590002:22593009:1 gene:gene-LSAT_4X14820 transcript:rna-gnl|WGS:NBSK|LSAT_4X14820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSAALRRVCFQLPFRRLPPLPSLRLTSVVRVRAFSSDETEPEISISGEKVSNKPPICTADELHYVSVNNSDWRLALWRYHPSPQAKSRKHPLLLLSGVGTNAIGYDLSPESSFARYMCEQGFDTWILEVRGAGLSMHGSHPKDIEQSAHAISNQMEAVAVSGAETVISASQSSSNNTKESPIPLESEIPVELPTVWEESILVTKLTETLINLSDRVSGFLSEGQSRLISAKLFDQFSKLIGDSFLAERFNEIKKNILGLLELKQTSSVASQIQDLSQKLINIIEEGQRSISPPLFDLQERLTTTMEDFQKQLDMIVKYDWDFDHYLEEDVPAAMEYIKAHTKPEDGKLVAVGHSMGGILLYSMLSRFGAEGREPGLAAIVTLASSLDYTSSKSTLKLLVPLADPAQVLNVPIVPLGAMLTAAYPLTSRPPYVLSWLNHMISAQDMMHPELLKKLVLNNFCTIPAKLLLQLTSAFREGGLCDRSGKFFYKDHIHKINVPVLAIAGDRDAICPPEAVQETAKLIPEGLVSYKLFGEPDGPHYAHYDLVGGRLAVNEVYPCVVEFLSSYDEIEK >cds-PLY61819.1 pep primary_assembly:Lsat_Salinas_v7:6:57936619:57945164:1 gene:gene-LSAT_6X43381 transcript:rna-gnl|WGS:NBSK|LSAT_6X43381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSINHIPMKKTRSMVTLALTFLTSSQAILIVWSKRAGRYDYSVITTNFLVEALKCALSLLALARIWRTEGVTEDNRADFPQLANQGSWAKNTPGKETSGGAMCRQKSGSGRPVEASLSSSPSLKGKRDVMAKHSGWSSLEPTRDSAENVMTRIFEEELYDILLLIIFTGGAVPSKGFSQFIMLLCMLVQILKMFSLFEVSNNLENGFKVMEVLILLQGTQMETIDPTTSYMLQSDARAAKVKLGTTSVDVGSTPLLLRADFPQLANQGSWAKNTPGKETSGGAMCRQKSGSGRPVEASLSSSPSLKGKRDVMAKHSVLPKDLKLVLVVYLCKLVLSVFMQGKASSMVAVALGPKPGWEMMEELFLHLYAQNSALPGMVQIIAGFAISDAFRCWCQACWQYSVEYPLSSILDSDVT >cds-PLY85851.1 pep primary_assembly:Lsat_Salinas_v7:4:120484612:120489317:-1 gene:gene-LSAT_4X75761 transcript:rna-gnl|WGS:NBSK|LSAT_4X75761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIAEFFRDTGILELETGSVKLEKNLDGVSSQQIQNHTDVTLNKRSKIHGVRQETEENRSSSGTSVLDQEQSPADDANLCSTSPICAAPLCRQFWKAGAYNDDLTPKSTIKSGSSYLHIHPKFLHSNATSHKWAFGAIAELIDNAVDEIQKDATYVIIDKTTNQRNGTTALLIQGCDTKVHLLKLDDGGGMDPEAMRRRSDGNGFKTSTMRLGADVIVFSRYLTDRTLTQSIGLLSYTFLTQSGYDRIVVPMVHYNFNFVTGCFDPIQTSNSNLSVLLKWSPFSTEEELLKQFEDVGSHGTKVIIYNLWLDEDGNMELDFDSDPEDICIAWDGKGKVKEGSRMAVNEQHIANRLKYSLRAYLSVLYLKLPDTFAMVLRGKVVLYHNIATDLKHTEFIMYKPHTDGRSEGTVITTIGFDKDAPNVNVHGFNVYHKNRLILPFWPVVIFADSRGRGVVGVLEANFIEPTHNKQDFEKTSVFQKLVSRLKEMTWEYWDYHCGLIGYQIKKKARPPSETPGSSNFVHQHVIDQCVPRKRLSESGAGPTKKPPLIATVNNKAGYYSNSSFLKPVELIPNFPKGFEQVANQKRREQRERFQPEARMGTRNDLVNNGEAMNVIEENKRLKSQCLEFEKAEKELEHKVMRLKRELGDAEREFAGLLAELQHLEKVKGEN >cds-PLY95872.1 pep primary_assembly:Lsat_Salinas_v7:5:318187545:318193223:-1 gene:gene-LSAT_5X173760 transcript:rna-gnl|WGS:NBSK|LSAT_5X173760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVPLLLGLMLVPLRMWFLHLLFVVLNNLNSSFETDYSFIITGWDLNVSWIRRLQLVSESNYLNFQEIEILLEMLPGVTLAERSNLISVFDVVYFSLNKGGNDNDVSSASSFSGMRGQNGIVVNQYMKLPKHAYDETISELHTLKEESYKDTILIMQLLRDNITVWTYDIKKEGEDSRKHDGSCRTDGQMDNVEEAQLRKEKTNGYKLDKAHVFSVSMFDEINSFMTVLNELAHLEIMP >cds-PLY84735.1 pep primary_assembly:Lsat_Salinas_v7:5:229800195:229804216:1 gene:gene-LSAT_5X109800 transcript:rna-gnl|WGS:NBSK|LSAT_5X109800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-like-specific protease 2A [Source:Projected from Arabidopsis thaliana (AT4G33620) UniProtKB/Swiss-Prot;Acc:Q0WKV8] MGTSYSSKLFSEFPQFVFDESDELAEMKSRWNPTESGKKKFRSGYSPVDNYTFLQSLAGGKERKGKKLRDETVIIHEDDDAVKERIVRSDTALQSSSSDYNSKSFAGSKSSDFITPKPLKAPTQRFCLADNEPVSLISDEDSDTSSEMSSNDLADTQGSSGEQSLVQEITSHEMEPSVVLHPKYTTYEGNCYWSAKLTFLPSSIKLNVSEEEAPMGPLTYEWKTVDLICIESKWLDPFPTADVCLHFKLEHPKQPISNQKSGIMKVNFTVCDPNWDSMQELIKSLDEQYKEKWEVDLDSYELFEDITYRGDCDCISISKRDFQLLHPEKFINDTIVDFYIEYLKKINPADERVHFFNSFFFRKLADFDENQLQMFDAKESFQRVRKWTKKVDIFQKDYIFIPVNFRLHWSLIIICHPGEVVSFEDEELEVSVKVPCILHMDSIKGSHRGIENCIKCYLWEEWKQRSSNRAEDISTKFKNLRFLRLEVPQQQNSYDCGLFMLHYMELFMKQAPSSFNPLSNFISKDWFFPTEASLKRGRIKRLIIELAKSRSEQVLSPNCNDEFSSEDKDVIEEESEDVEILNETCHGRSSTPLMVQPLRSEPYKSDCEESGDDEDSLIDDDEQNHGKLVLYDPSVAVLSPTKESKAKEEVDNEKKQVAIVSGKNESESFMTCLDKSLNLLQLKERNQPEEDVDVFVVEKKEDESDDDVFETCVVEDSDSDDSGRHLPLPCYRRKSASSSEGRIIRKNNVMSLQQQAPKRRHDVVSQAQKRLRRNSSADLQIISLCE >cds-PLY83247.1 pep primary_assembly:Lsat_Salinas_v7:4:147092414:147093277:1 gene:gene-LSAT_4X90621 transcript:rna-gnl|WGS:NBSK|LSAT_4X90621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSYHDSNVVELAGKILIVSIVVLSVVLIFVFFIHLYTKWFWHRREENQNGSNTLRRRRRGDFTAGHQEQQSGVTVLRRGLNPSFLKTIPVIPFDPKDFKDGLECAVCLSELDEGEKTRILPKCCHGFHVECIDMWFHSHSTCPICRNPVSDQIEISVEHLLEIRQTQEESTENGDSQTFPTNILFWGDETEVSTLTSQFEEANNHHQTPISTSEPSSSSPSNAINNQLRPVLVIDIPQQIDDDEDQKSPVSYRMRSLKRILSGSRRFINPFGPATTNVEQRTRSDL >cds-PLY66438.1 pep primary_assembly:Lsat_Salinas_v7:6:152916886:152922368:1 gene:gene-LSAT_6X92441 transcript:rna-gnl|WGS:NBSK|LSAT_6X92441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSAKDYDSIPIDGHFITVASLKEKIYESKQLGRGTDYDLVVTNAQTNEEYLDEDMLIPKNTSVLIRRIPGQPRMPIVAAPVSEQPEQKMVNELEVVQSAKSNFSGAGLSFPNYLDGSEYDEFGNDLYEIPEVIPVVSSNQLQDAPAPSKADEDSKIQALIDTPALDWQQQNFDGFGGGRGFGRGMGGRMGGRGFGRGGGGGGFERKTPPPGYVCHRCKTPGHFIQHCPTNGDPNFDIKRVKPPTGIPKSMLMATPDGSYALPSGAVAVLKPNEAAFEKEIEGMPSTRTVGDLPPELHCPLCKDVMKDAVLTSKCCFTSFCDKCIRDHIISKAVCVCGATNVLADDLLPNKTLRDTINRILESNNSSAENGGSAFHVQDMESARCAPPPQPKIPSPSRSAASKGEQAPPAQNGEATNMQQAEVAAAAAEHTPAAEPPVSGKGKVMKAPDVSEATYDSKSMMEPASQGSARPVDDEVQQQQKQVPGEAGKWNLFLEGMESVKGIGICKSCNMSCVTLVGRKWNGVQSHSFAMIEAMNRRVCVLMSKKKKKKKVNMPNAAEMQWRASQEFAAENYMMPPGPSPYNPYWNGMQPGMDAFMGPYGGGMPPYMGGGYGFGPMDVPFGGGMFPQDPFGASGLMMPPPFIPPPQRDLAELGMGMNGGGPPIMSREEFEARRAELKWKRDFEKRGPILTCCYYSSRELQKERDFNREPRGHGHGHEDAPPMKSKSRPIPPPSSDGHHRHRSDRPSPDRRHSRDDGHDVPPHPSSKRRSSRDRNDYEDRDDSHHNRHHDHQSQPHKSHRRSESSSTTTRKPPQAPPTSDSKSAAPAAPVAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQFFSTLCSPTATTTTTTTATATVAAIDYESSDDDRHFKRRPARYESSPVRERERDRGREREREREREHYSKHR >cds-PLY76832.1 pep primary_assembly:Lsat_Salinas_v7:3:6161266:6161709:1 gene:gene-LSAT_3X3281 transcript:rna-gnl|WGS:NBSK|LSAT_3X3281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSRRPLNTKGKGSNGPIDRTKVDVRGCCWLDNHSKEKTGEVEEAAASCSLTRKQPLLGVVLLLDGDSKTECKGGCLELVYLIKCRWKEPRMDEAATSLLGSRFMLPREEMDRGVQ >cds-PLY93380.1 pep primary_assembly:Lsat_Salinas_v7:9:58257255:58258442:-1 gene:gene-LSAT_9X51481 transcript:rna-gnl|WGS:NBSK|LSAT_9X51481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVITRSKMKKRQMHSGALIGSNDDLLIEILLRLPVASVLRFKSVSKHWRSLLSQRPFTLIYKNGSISRGLFVQDFYVPFDDENRNPPPFHNLDFYPDPRGIRIIQSCNGLLLCCSMHGIQRDFRYYVFNPTTKQFALIPSVPGGGNIRRTISFMGLAFHQTDCPHYKVICIRYIKRDEDRFQIQIYSSETGKWKISDQSFSAPYYTSCSSGVYWHQAIHWAQSSGNPSYFKLDTEELQTMQSYFTIDTKNFIGEKSLYFGESRGCLHLVNRVHRIRRESRLQLNVYEMLNHHSGWFLKYRVKLDELLNARNLAPSFLDQYFIQVFDVVRGEEEEDETFFIVIQTPGRIIRYDFHEKSFKLILDHSTGPLWHRGAHRYIETIVSL >cds-PLY66880.1 pep primary_assembly:Lsat_Salinas_v7:7:18962465:18963731:1 gene:gene-LSAT_7X15100 transcript:rna-gnl|WGS:NBSK|LSAT_7X15100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMDYYEKHTERNDKGVATAYHGRAAIGEGRLAKYNAKAPIVSRFSSRGPNVIDKKQDPIDLLKPDILAPGHLIWAAWSPMSVKNPMLEGCNFGLVSGTSMATPHIAGVAALIKQRYPSWSPSMIASTMATTATTYDNRGEPIMAQGREMYKLHRSTPFDHGAGLVSATNALDPGLVFTSGFDDYMSFLCSIPNTNPKFIKTVIGEPCSHSFHAPSDLNIPSVTISSLKGSQLVRRTIKNVAEKVETYVCAVMPPHGVSVELNPPWFTIIPQGTQDLEVKLIVTQANDSFSHGEIILTGSLKHIVRIPLSVLPISM >cds-PLY77374.1 pep primary_assembly:Lsat_Salinas_v7:1:207877475:207879979:1 gene:gene-LSAT_1X124241 transcript:rna-gnl|WGS:NBSK|LSAT_1X124241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDFTLIRDLDVLKDSFTMKLRIIRLWTLEDYYKKDEIFAIQLILMDEQGKKIQGYVPNAYIYKFRKVLKEGEAFFIKNPNLAKMDEGKFQLTDQMQKLTFTRETTVAPCLDFSGSINGFAIIEYHPIIVGTVPLNISLDVIGFVVAIGEIDARNEDRKRHKMRLQIQDVNGSQLDVNLWGDYCYKLNEYIQKNPNILRIVIILQFAKINLWQDRRYVNTYYDVTKFIINTEIDEIKVFKKSLNQDGPHENSSSTFSYMKSNRSSDKDDFVLNHELKTIADIFEPIEIKKYVIVATIKGILQHTSWHYPACTNCNTKAVPKNPSNEPSLTGSLKNETYECRNPKCTKTETLTVPRFMIPVRVQDHTGSMTLTMFEQDAKKLLKISAKDLVAKTAKLGFCTNAYPSDINVLKDMKLAFIVSVSKYNVQRNTNQYTISRISDDEILIEELEKKFVVAEGVNSQSFEHGTTDCESQDNIFIKDAISQTDDNVTPMNVFKSTATSPKKN >cds-PLY91532.1 pep primary_assembly:Lsat_Salinas_v7:1:11647882:11651261:1 gene:gene-LSAT_1X9920 transcript:rna-gnl|WGS:NBSK|LSAT_1X9920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRHKRTYIKLTKRTFCSPGVPSLQQLTYRRRFCQPILNLEDEAYTRLLRICLQNCKQIQSRRLFDELPQRLEHASKAVKLIHAQSLLLGFDSQGKLGNAIVDLHSKCGNMAFAQKAFERLERKDTLSWNPILSMYSRRGMLEKVGQLFCDMQVSGVYPNQFTYAIVLSVCARLTDIVFGKTVHAHVIKTGFMCDSFCEGSLIDMYSKCGLVHDACEIFDGSVCPDTVSWTAMIAGYVQVGFPEKALRLFHDMLKLGHIPDQVAFVTVISACVESGHLDDARHLFDKMPNPNDVAWNVMISGHAREGYYDLAIDYFQNMTRFDLKPTRSTLGSVLSAIANTYDLDCGSQVHAQATKRGLSSSVYVGSALINMYAKCQEMGSARNVFDALDEKNIVLWNTMLGGYAQNKDSDQVISLFINMRHSGFQPDEFTYTSVLSASSSLKNPEIGKQFHSLVIKNKLHMNLFVGNALVDMYAKSNSLHDAIKQFNMIKNRDNVSWNAIIVGFVQEEEEELAFSMFQRMRNDGFAPDEYCFASILSASANIQSLNKGKQLHSLLVKYNMETSLYAGSSLLDMYSKCGVVSDAQKVFDSIPVKSVASINALISGYAHSNIEVSVNLFRNMQFQGLIPSEVTLASILDGCNEPSKLNLGRQIHNLAIKYGFENEFFSVSLLGMYFKSRAESDSMVVLSELSIPKSTVLWTVAISGFAQNDSGDEALAIYQQMRRHNSMPDQATFVSVLKACATSASLPDGRGVHSLVFHTGFDSDELTGSGLVDMYAKCGDITSSSQVFKEMVTKKDVITWNSMIGAYAKNGYVENALEVFDQMRKSTVKPDDVTFLEVLMACSHAGKVSEGREVFDTMTSFYKIKPRMDHVSCLIDLYGRWGYLKEAEEIIDELEFKPNAVVWATFLGACRIHGDKRRGKRASEELLSLEPESSASYVLLSNIYAASGNWEEVNLVRREMKEKGVKKHPGCSWIKLGGETHLFVSGDELHSSSGEIYGVLKELKGVMKDEIWCS >cds-PLY74682.1 pep primary_assembly:Lsat_Salinas_v7:5:177190281:177193023:1 gene:gene-LSAT_5X79561 transcript:rna-gnl|WGS:NBSK|LSAT_5X79561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSPNRPPKIPNPETLTRRKTLARSIYSKPKSRFGEQPLIDHNMFDEMHEPVGQTSNSPMRISARASPRVAGNTTTASPAATPRTLSITPKTPLMASPGAAGGDESDNEEEIYKKVNIRKQLKLKRVKLKVLFQWVVLLFLVGCIVASLMIPKLKNYKIWSLELWKWFVLITVIICGMLVTNWLMHFIVLLIELNFLLRKKVLYFVHGLKKSVQVCIWLIVVLVTWTSLFNSKHVGRSKNAAKVLDYLTWTIVALLVGSILWLVKTFLLKILATSFHVSNFFDRIQESIFLQYVLHTLSGPPVMESLQNVGASTSKSQFSLQVKKNGKDKKTKKEVIDVSKLHQMKREKVSAWTMKMLVDTISNSGLSTFSGELEESAYYGEGIGSADKEITSEMEAIAAAYHIFRNVAQPGFTYIEDIDLRRFMIKEEVDIVFPMIDVAEKGQIDRKTLTEWVVKVYNGRKALAHALDDTKTAVNELNKLVTAVLVVIIIIIWLLLTEIATTKILVFLSSQLVVAAFIFGNTCKTVFEAIVFVFIMHPFDVGDRCVIDGVQMVVEEMNILNTVFLKFDNEKIYYPNSVLATKPISNFYRSPDMGDKVEFSIDFATPFEKIGLLKDKIKKYLEKNPQLWYANHNFVVKEIENVNMIKVALIINHTMNFQDYGEKNKRRSEMVLELKKIFEELKIKCSLLPQPVHLQHLETPTPTGPTK >cds-PLY93771.1 pep primary_assembly:Lsat_Salinas_v7:6:146575681:146576933:-1 gene:gene-LSAT_6X88920 transcript:rna-gnl|WGS:NBSK|LSAT_6X88920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLETGLLEGFQVSYNLKVIVPSKFEFHVCDQYRHAIKYICLENGKSLLELLAICKSSALESLEVAFENVITSLPVKEVKGRRQ >cds-PLY98679.1 pep primary_assembly:Lsat_Salinas_v7:5:303818507:303820362:1 gene:gene-LSAT_5X163720 transcript:rna-gnl|WGS:NBSK|LSAT_5X163720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDQSPQNTMNLDLNLGPVVHPSPDDQPHAMSFEDWLLGSMREVVSNRPMGRQRLRSVWRHMPVSMEARNTSLGLTDDDDDEEQPQDIIKTNENGDKYLENEAMGNTNLEKNNGNEGSFFDCNICLDLASEPVVTCCGHLFCWPCLYRWLFVHSDSKECPICKGEVTMKTITPIYSRGNRTRVNKEIIDSNLKIPNRPQANRIESWRQAIQRNTLNLPMFEMIRRLDNRFDLTSDNTQEPSNHSLLNRIFTSRGMRRGLDPVTAAPEHATVDPHPHPPRGGSLNSVEGFVESYERNLEQIPLMEDRHSMSSIAAVIQSESQTETDSRVSVSTSSRRRCESSSSRVEDVNSGESRSRRRRLQ >cds-PLY82411.1 pep primary_assembly:Lsat_Salinas_v7:2:186456025:186459000:1 gene:gene-LSAT_2X106441 transcript:rna-gnl|WGS:NBSK|LSAT_2X106441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLCIPLSKPQLLKYYFHRISKPLHRFYSSAVDKPPYEETPNPKTYIATSGSQVGAIENGKLRIPGEHSPNPDKVRDAICIMMEDDEWSTRLQTSIRNLVPLLDHNLVSSVLNRYPSRALEFFQWVEGSGFKHDRETHYKMIEILCTDRRLEDAKRILLGMSKKGIDYDEDPFVLLIHGYSKVNRKWDIVKNCVKIFSKMEDLGVPRTIKSYNSMLEVIISEKKYTMAEKFFDKMLSEGVVPSKHTYTLMISGFCRSSRMETANCFFEDMKSQNYLPDIAVYNTMINGYVQAKKMEEVEKLLMEMKERKMEPSLVTYNSVINGYAKVGKMEDSEKLLKEMKARNVEPSLITYNTMINGYTVVGKMEDIQKLVVEMTGRNIEPDLVTYVTMIKGYVTVNRIDDGLNLVKEMKRKRFGKNVDVYSCLSRLKDDFEAEKMSETQRNVLQEVEDHVQNLELLALRDDARELSQLANQIANHRSMRY >cds-PLY66447.1 pep primary_assembly:Lsat_Salinas_v7:5:321109640:321113260:-1 gene:gene-LSAT_5X176240 transcript:rna-gnl|WGS:NBSK|LSAT_5X176240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQTMDVHEDQDLDGLPNVPSSRYRNSDVHDANIEDGNPANWIGKDFDDQDYGEDSEEDYIEETPA >cds-PLY87321.1 pep primary_assembly:Lsat_Salinas_v7:3:111866005:111867519:1 gene:gene-LSAT_3X80941 transcript:rna-gnl|WGS:NBSK|LSAT_3X80941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNGFSQISWISYLASGRMKIWLGVKSVDRDWKFKDHIAPTFEYVQQFQLHGFKIIQMKAFGNSAEKEARAKRQLIPTDLP >cds-PLY79482.1 pep primary_assembly:Lsat_Salinas_v7:3:248898795:248899653:-1 gene:gene-LSAT_3X137301 transcript:rna-gnl|WGS:NBSK|LSAT_3X137301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNFLDEDLVSKQQLELYVERVQDGDQGLQKVALESMRQEIRTSTSSMTMSAEETEAYCRSVEFDYDVEKENTKESGTTILDDFV >cds-PLY99035.1 pep primary_assembly:Lsat_Salinas_v7:6:149106020:149107690:-1 gene:gene-LSAT_6X89760 transcript:rna-gnl|WGS:NBSK|LSAT_6X89760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLLWWWEVDNELDKLARTLLTISVPTLLLLWYKWISYYRKHIQLLPPGPYGLPVVGYLPFLGSNLHESFAKTAHRYGSIFSLRLGSKLHVVVNSMELVKIVTRDLDHIMANRSPPLAALTMSYGGNDIAWSNSDTHWRNMRKILANQLLSHKNLKGCQSFRTYEVRRLVKEVDSKLGTKIDINEIAFNTQVNVVTSMLWGSSKSTGDGNDSSSIGDGFREVEFKIVQLSTASNISDFLPMLSRFDLQGRQREMQKLWEYVDRIFESIIQGRMEANSRKNEAEAEEGQRKDFVQVLLELKEQKDAAISLDIIKIKALLMDIVLAATDTTSTMVEWVMAEILKNPGVMKKVQDELTEVIGMNVVQESHLPKLTYLDAVIKETFRLHPPVPLLVQRCPDESCTVGGYTIPKGTIVYMNVWAIHRDPKNWTNPLEFKPERFLIDKWDYHGKNSKFLPFGSGRRICPGISLGEKMLVYILASLLHSFDWNLPEDEEFELSDEFGLVTKKRKPLIAIPSQRLSDASLYSF >cds-PLY85787.1 pep primary_assembly:Lsat_Salinas_v7:8:250265594:250266304:1 gene:gene-LSAT_8X148240 transcript:rna-gnl|WGS:NBSK|LSAT_8X148240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIKYLEAALSQDIQFFDTEVRTSDVVYAINTDAVMVQDAISEKGEIGMAFGDLLKTLWAPGATVVPPRTFKSKLAHLRFPDFKELQTSGKGSCKHPGSNRKNRTIQNVHTCILF >cds-PLY93566.1 pep primary_assembly:Lsat_Salinas_v7:2:174652395:174653724:1 gene:gene-LSAT_2X99080 transcript:rna-gnl|WGS:NBSK|LSAT_2X99080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 66 [Source:Projected from Arabidopsis thaliana (AT5G51890) UniProtKB/Swiss-Prot;Acc:Q9LT91] MAKLSISFSQSKMIMSTIVAYILILSVVPFSEAVLSAHYYDQTCPQAENIIYQTVRNASIYDPKVPARLLRMFFHDCFIRGCDASLLLDSTTGNKAEKDGPPNISVRSFYVIDDAKTKLEKACPHTVSCADVIAIAARDVVAMSQGPWWNVLKGRKDGRVSLANETINLPSPFSNTSTLIKSFAKRGLGVKDLVALSGGHTLGFSHCSSFSARLHNFSTENFSDPSINSEFAMNLKKKCPIHNKDHNAGEFLDSTSSTFDNDYYKRITMGKGVFGSDQALYGDQRTRSIVDSFAKDRNLFFSEFAISMVKLGNVGVIENGEIRVKCSIVN >cds-PLY90535.1 pep primary_assembly:Lsat_Salinas_v7:1:212933659:212934601:-1 gene:gene-LSAT_1X129921 transcript:rna-gnl|WGS:NBSK|LSAT_1X129921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSFTLRSQFYTPTHINLIANNPSFLVSSKTKSPSLLIKKPKSSSQITKSPSRIKLSNRPLLIESETVKITEKPNDSSSIEKLSGDEELHLLDLSAETEIFIPFGDNSRYVIVGAVSLGFMMLLMGSDNHQTALAFGPEGPLMEDFWDNMRRYGLYALTVSTGVLYAVFQPLYELLKNPISAILVLTILGGGFYIVTQVVSAMIGINEFSYDYYY >cds-PLY99611.1 pep primary_assembly:Lsat_Salinas_v7:5:242101368:242102609:-1 gene:gene-LSAT_5X119401 transcript:rna-gnl|WGS:NBSK|LSAT_5X119401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALFPILGRRSYEVVVAATKTMGIGKDGKLPWRLPSDLKFFKNLTMTTSDPAKKNAVIMGRKTWESIPLQHRPLPGRLNVILTRSESFHIGSMEKNVVISGSMISALKLLASSRYRLSIENVFVIGGGQILRESLNARECDAIHLTEIETDIDCDTFIPAIDVTQFQPWCSSLPIVENGIRYCFTTYVRVRDSTAISKG >cds-PLY73582.1 pep primary_assembly:Lsat_Salinas_v7:6:128386052:128386797:1 gene:gene-LSAT_6X76700 transcript:rna-gnl|WGS:NBSK|LSAT_6X76700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSSIFMATPITLLKKTPTFSVKCMSQTPQSGEPEVSKPATTPTLPQINIPPPPPPAPKVSTKFSDVLAFSGPAPERINGRLAMIGFVSAMAVELSSGQDVFTQIGNGGVAVFVGTSVVLTLASLVPLFKGVSVQSKSSGLMTSDAELWNGRVAMLGLVALAFTEYVKGSALV >cds-PLY62644.1 pep primary_assembly:Lsat_Salinas_v7:3:36965406:36966833:-1 gene:gene-LSAT_0X37221 transcript:rna-gnl|WGS:NBSK|LSAT_0X37221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKKILVSVAPLIPTFTPTFLRNASTIPVFFPSQFDSYFQPNPRDTVYTCNRDIQRYMKLGSIDSALTLFDGMPIRDAVTWNLIISGHKHNGFSREALYFYTQMVSQGFIESSSTFSTVLGVFSDEGLYREGLQVHGRAIVLGLSLNVYVGTSLIDLYMNMGLVSFALRLFNDLPERNIATWNQLLRGFRVFGRSQKLELYYRMKSEGIVPNPLTFCYLIHGFGNERLINEGKELHSYAIKVGWAESEFFVSNALVDFYSACGILTDAKRSFQIIPTNDVISWNSLVSVYAYNGLASNALEIFSTMQTCGKKPSIRSFVSLLNLSSGSSNILLGKQVHCFVLKLGFDHGSIYIQSALIDMYGKYGEIESSVSIYENVPVKTLEVCNSLMTSFAKCGVVEDVIELFGLMVDEGIGFDEVSLSTTFNALSKSCFGSLTNCKLLHNCAVKSGFDHHPAVSCSLLSSYSKFGRVKSIGS >cds-PLY70619.1 pep primary_assembly:Lsat_Salinas_v7:8:34962405:34967494:-1 gene:gene-LSAT_8X27720 transcript:rna-gnl|WGS:NBSK|LSAT_8X27720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNLNPNKETRIPVPTVLSVPSPSPSQFHSPSPANSIPMTPKSPFITRVMTPLASPMKKAMASMQGYLEFTKLNPHDEWLPITESRNGNAFYAAFHTLSSGIGVQALVLPLAFTALGWVWGIMSLSVVFVWQMYTLWLLIQLHESSSGTRYSRYLWLSMAAFGEKLGKLMTLLPTIYLSSGTCITLIMIGGATMKLLFNTIIVNTWEKNDETPLSMIEWYLVFTCSAVVLTQLPNLNSIAGVSLIGAIASVSYCTVIWVVSVVEGRPTGVSYEKLEEVSQVARVCDVLNSLGIVAFAFRGHNLVLEIQMPTTGGLLTALYKYHGQSTSRVILGLTSALVIINCLTSFQIYAMPVFDNLELRYVTKANGPCPWWVRAGSRVFFGALAFFVAVALPFLPSLAGLIGGIALPVTLAYPCFMWIVIKKPNVYSKMWWLNWILGCLGMILSILLVLGAIWTIVTRGIEVHFFNPK >cds-PLY97625.1 pep primary_assembly:Lsat_Salinas_v7:5:239904380:239907028:1 gene:gene-LSAT_5X118440 transcript:rna-gnl|WGS:NBSK|LSAT_5X118440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPNSILNETQFLDYNPLERYDYEQVDNQFPAPEIENQAVDEELPPLEDVNNTINQFDAQRLSVQVPDLDNHDQTPFLIPEFDQLTKGIANSKLKSKSANRDLNSPASLELLSFSRSKFKRLPDEIVPDCAGKLSTVEIIELAAEKFIKFSAQQVNGYTIFTHPYGSSAFTSLSIDETREVELVFQLLTAAENVSRKQFDVASKFIARCGWVACDSGSPVERLVFYLCEALQKRIGKEIDIPVATKLEKQCLKNENHMALGTNMTFLAVYQALPFNQVLHFTGIQTIIDQVGTSKQVHLIDIHIRSGVQWTAMMQALANQSSQIELLKLTAFATTSDVQEVGETGKRLESFATTLSLPFSFKMLVLSDITEVEEKQFEVQDGEVIAVYCHMILRTMILKPQRLENMMRAIKTINPSIVVIAEVEANHTSTSFVKRFTETLFFYGAYFDCLDACMSGDNVHRSVMEGVHFADGIQNIVASEGDERMSRSVKMDTWKSFFARFGMVEIELSDSCLYQAQLVLQRFSCANSCMLENNGKCLIIGWKGTPLHSLSTWKFI >cds-PLY84983.1 pep primary_assembly:Lsat_Salinas_v7:3:122248289:122252187:1 gene:gene-LSAT_3X85020 transcript:rna-gnl|WGS:NBSK|LSAT_3X85020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDALRRPNLDLSNSSPLSSIYTKTPLTLTSSTFPYKYRLSSSNFNGYPSKCKVPTPPQALPKANSNESVESNRKLIDSIAKGWIGLAVAVSVCLDLPALAKSLTIAFPASRTHERTLIETWGLIKEIFVDPTFNHQANNGGNASLRIVDAAYSKIKGMISTLGDPFTRIISPKEYQSFRIGSDGNVQGVGLFVNTEPETGHLVVLSYVEGSPAARAGIHIGDELIEINGERVKGISGEAAAQKLRGHVGTSVTVKVHNGKKLAIDSSFREVKLPREFIRLSPISSVIIPHRTPNGHVSKTGYVKLLAFSQTAATDMKHAIRELENQGVESYILDLRNNPGGLVKAGLDVAQIWLDGDETLVNTTDRDGNMLPISMINGHALTCDPLVILISIITGTFMLQNKVVVIFASSVL >cds-PLY79994.1 pep primary_assembly:Lsat_Salinas_v7:9:46664145:46664369:1 gene:gene-LSAT_9X43280 transcript:rna-gnl|WGS:NBSK|LSAT_9X43280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIATSAITEAYVMRKHFQEKMKKTTTLDAHGTTKQSILDKEHHHVSSISCFPSLFKKIHPATTASAQVSDSRR >cds-PLY88963.1 pep primary_assembly:Lsat_Salinas_v7:8:128565392:128566216:1 gene:gene-LSAT_8X89160 transcript:rna-gnl|WGS:NBSK|LSAT_8X89160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTKSFLYGIQSLSVSSSKPLISSLPPHISFKFNNTHVKISQISIRTPRITTKVSASASASTAANGYCIPAQVKETLYDLLGISENGTLSEIKQAYKQLALKYHPDVSPPERMEEYTVRFIRVQEAYETLSDPEARSMYDSCMAKGLHLAFSGKSGTRFESRSDDKSRWKETWKVQLSELRRRSRVSSSRVDSATGMSWAARIRSQGSKPSANGADQAQ >cds-PLY98341.1 pep primary_assembly:Lsat_Salinas_v7:7:174007626:174011054:1 gene:gene-LSAT_7X102981 transcript:rna-gnl|WGS:NBSK|LSAT_7X102981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNFPKIAFYSSFLFILIALKVSGRLISDGKDDVAQGEEGSSFLQLKGIDSSEEEHCEQMYGFLPCSENILGHFFLIIVYEYLLYHGECYVSSGGKRIFKILGPGIFGASAFQVLGFLPESLILLVSGLFNTQEVAQEYVLTGVGLLAGSTIFLLTLLWGTCVIIGSQEFECEYSSNTSLAPTRNHFKKFFSFLTRCGVTTDKETSSTAKIMLISLIPFLFLLIPKLFGMQYTSHGYIFIITLPISVTFLLVYFIYQVFEPSIQKRRLSYVKHEHLVLDILKHLQEHTADKILTEDGLANLPAIKGLFTKIDQDGDAYISFPELKGLLQDIKFRQLTWDKEQTIEEVMKEFDYDGDTKVTIDEFTDRFTKWLDETKNAVNKPYRSVSSWKDLYQVVQPWVQTKKKEREMMKVLVSEIIRHDKNTPFGNFYKQDGKPNVSAIKRLFKSLDVNNDNSISLTELKQLMMNVDFGQTSWNVDEATSHIMEDLDKSGDNQIDEEEFIDGFKDLLNTNDQLLTPTTPGPKNISRKPWERYENDDVDRSLWGWTKAVMLLVLGIAMLALLAEPLIHSVQNVSNSATVPSFFVSFVFVPLATNARAAVVAIQTASQRKERTTSLTFSEIYDGVFMNNVLGFSVLLAVVYFRGLIWHFTAELLIVFIVCIIMGTTAGFRSKLPIWTSITAYLLYPLSLIFVYFFADF >cds-PLY92157.1 pep primary_assembly:Lsat_Salinas_v7:8:5087783:5088115:1 gene:gene-LSAT_8X4161 transcript:rna-gnl|WGS:NBSK|LSAT_8X4161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEKGVVRVIDKVFESVEFSSGIQGIREACEALRFENEKQLSGCSTSFGEPEVLDPGRVARWDEEVDVALSSLAERDFAGLFCLGKLDYDGFCQFCRMPSPRGSSLDSKG >cds-PLY74322.1 pep primary_assembly:Lsat_Salinas_v7:9:186640709:186641347:1 gene:gene-LSAT_9X114281 transcript:rna-gnl|WGS:NBSK|LSAT_9X114281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCCAGKCGCGSGCKCGSSCGGCKMYPDTISGENTTTETLILVGVKPEVQRRPARMEDASAVHAHVSHATANEEDDQSPMAMGILF >cds-PLY73077.1 pep primary_assembly:Lsat_Salinas_v7:2:30182368:30186158:1 gene:gene-LSAT_2X12101 transcript:rna-gnl|WGS:NBSK|LSAT_2X12101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease APCB1 [Source:Projected from Arabidopsis thaliana (AT1G49050) UniProtKB/Swiss-Prot;Acc:Q9M9A8] MASGDDEDCSQLKGVVFITLPPPDNPSLGKTITAFTLSNQPHQSPPLPPSAPQSAPPSHLPITLPHARRSLFGHPRRRISLTLMGIALFAILTFCSLQSPQSLLKLTSPGSLNELDQDDKDDDKKPNSFIFPLYPKMGSGEMSKSRDREVKLGKFTMIGSKSAVLPVDDGGLPIKVVTSSSAVLPINGGTFLDGLYYTQIHVGSPPKPYFLDIDTGSDLTWIQCDAPCISCSKGAHPYYKPTRGNLLSSKDSFCFDFKHTSKSGSCESCTQCDYEIEYADHSSSLGVLSRDEIRLVNINNTSIDSKIIIGCAYDQQGMLLNSLTKTDGILGLSRAKVSLPSQLAKQGVIDNVIGHCLTSESIGGGYMFLGVDFVPQVKMSWVPMLNDASMNYLAEVSRVTYGSKQLGLHHQKKGNGQIIFDSGSSYTYFTKQAYYDLTTMLKDISLDGFIEDDSDTTLPICWRFKTPLRSIKDVSKFFKPLNLEFGRKWWSISTNLRIPPEGYLVISNKGNVCLGILDGSDLLDGSHIVLGDISMRGNLVVYDNVKHKIGWMRSECVNPKQSNMYLPSL >cds-PLY76908.1 pep primary_assembly:Lsat_Salinas_v7:6:29943372:29943826:-1 gene:gene-LSAT_6X22760 transcript:rna-gnl|WGS:NBSK|LSAT_6X22760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGKLLQWSNQTKNSVSGEASDDSPSEEGRCKGLFPIGGDRVKGLFVWCLTSKEEGISGFWWLLHLTGKRMRGMRTDVQPLVLLLLWLKIHKREGKIRYHFFLIDLYFHLLLKIKENLIISSF >cds-PLY94333.1 pep primary_assembly:Lsat_Salinas_v7:7:165477471:165484002:1 gene:gene-LSAT_7X97301 transcript:rna-gnl|WGS:NBSK|LSAT_7X97301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRVTIPNNVRKTIQNIKEITGNHSEDEIYAMLKECSMDPNETAQKLLLQDPFHEVKRKRDRKKENPSKESTEPRWKPGMQGRGNRGGRGNYSSRHMSNDAGGGRTALSAKENGIINKGAEEGANQSIPQGQKSKETSVESDKKQSEVTSSASSSKMEGQVPKVVPVESSKIPAVALGSSESGHVPIMLTSKNTSASKTPSVSPSPSPGVYLSEKDPILMPSQDSRLSVGTIRREVGSKVAAVEQIQETPLVIKSTASGSEQGKVGPGELQGVGKNVVPESSSRPGSSSHVSFPISRPSSNYNNRMQQAIGPQKVGPSMEWKPKPIGQSQGSIKVPVAVVPVEAHTPTTVSSASSTNLDSKEDELEKKLKESHISDDKQHVIIPNHLHVPEAEKLGFCFGSFDATFGFNKTSSNSNGPVSDKTSDETIEEEQMQSRNESADGEEDHLERPPTTSSSNVPESLPDMSSNAGPEYREPKQETSSSSSSLPTPSHQYPVVHTSPNPNFSFGFMPPMIGSQVTSFENTESQARDASHVPSFVVQQPFDPASYYAHFYRESDGRMSPFHSTTKYNGNVPQPSHSSQEVGNSLMLSTTSPTPTAATQTMQSSISVTQQPLPVFRQPPGVHLPHYPPNYIPYGPYFSPFYVPPPAAIHQFLSNGTFPQQPQQGGGMYPAPPPVAASNSKYPLPQYKPGSNNSGHIGSYGPYASPPPAGYNPGSAAANSTSNEDLGGSHSQFKETNVYITGQQSEGPGVWIAGPGPGRDMSGSFYNLPQGGQVAYTTPTQQPNHGHAAAFANIYHPPQPVTTGAVHPLAGGGPGSVDMVGPAAAAASVYQQQQPQPTQINWPNNY >cds-PLY89427.1 pep primary_assembly:Lsat_Salinas_v7:4:62993387:62996001:1 gene:gene-LSAT_4X43041 transcript:rna-gnl|WGS:NBSK|LSAT_4X43041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLGGAKDSQIRDSSNPKVHPQPMEETANQNPEAVEALVSKIFTNISSLKSAYIRLQAAHTPYDPDKIQAADKLVISELKNLSELKHFYRENNPRPTYISPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIHNKDSEIVQLQQHIQDATLKRAKLEKNLKLRGLSSSSKDSEGGSIDGNENIPVLSPELFKSAVELASKAIHDFSKPLINMMKAAGWDLDAAANSIEPDIKYAKRAHKKYAFEYHICQKMFTGFQQENFGLNNENKDKDKDTFFREYLALRDTDPLDSVGQDPDSEFGKFCRGKYVIVVHPKMEASFFGNLDHRNYIMGGGHPRTPFYQAFLKLAKAIWVLHLLSHSFEPVIKVYQVSKGCEFSEVYMESVVKNFVVDESGEKPKVGLMVMPGFGIGGSVIQCRVYLTGIKEIE >cds-PLY65297.1 pep primary_assembly:Lsat_Salinas_v7:8:105646548:105648792:1 gene:gene-LSAT_8X71260 transcript:rna-gnl|WGS:NBSK|LSAT_8X71260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:STP2 [Source:Projected from Arabidopsis thaliana (AT1G07340) UniProtKB/TrEMBL;Acc:A0A178W5X1] MPAVMSVNGSSSGGPGDIPAKLTAQVLVCSIIAAFGGLMFGYDIGISGGVTSMDEFLEEFFPHVYVKKHHAKEDNYCKFDDQYLQLFTSSLYLAAVVCSLFASKCCKRYGRKLTIQLASLFFLAGVILTACAVNLAMLIFGRLFLGAGVGFGNQAVPLFISEIAPARYRGGLNVCFQLLVTVGILCASIVNYFTATHPHGWRISLGGAAIPALFLAIGSLLIVETPTSLIERGNPQQGLETLQKIRGVQDVQKEYDEIVNATEIAKQIKHPFKNLMKRSSRPQLVVSMVLQLFQQFTGINVIMFYAPVLFQTMGFGSDASLLSAVTTGCVNVLATLVAVFGVDKFGRRFLLIEASIQMLIAQSITGGILAAFLKSTNVLPKKYSYLVLFLICFFVSGFAWSWGPLGWLIPSEIFPLETRTAGFFCAVSMNMIFTFIVAQAFLTTLCHMRAGIFFFFCGWIVIMGIFAYSFLPETKGVSIDEMNDKVWKKHWFWKRYFREDPDQHDKP >cds-PLY83787.1 pep primary_assembly:Lsat_Salinas_v7:4:41660989:41662277:-1 gene:gene-LSAT_4X28020 transcript:rna-gnl|WGS:NBSK|LSAT_4X28020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVSSSRNERNSAYTTISEATCLLDYERDDLSRFCFDEYMLLPFLEDSIESNSQHEDDSSNTHPKPVIASEESSIFVAIHQLKEYEYDMNSFPEWDPLECLNPQMFIRNFLDFTEIPQESSRETKSVTLVLDLDETLVHSSLEQCKDADFSFQVVSSLKEHTVYVKERPYLRAFLEKVSEMFDIVIFTASQSIYAKQLVDILDPDGKMISRRAYRDSCIFTDGSYTKDLTVLGVDLAKIVIIDNSPQVFRLQVNNGIPIKSWFDDPSDCALISLLPFLESLLHVEDVRPIIANRFGNNVPY >cds-PLY87405.1 pep primary_assembly:Lsat_Salinas_v7:4:11907963:11918780:-1 gene:gene-LSAT_4X7680 transcript:rna-gnl|WGS:NBSK|LSAT_4X7680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKFLQVFIAYMGFLPEGEYSASLHHYEILKNITSHRFASKALIRSYQKSFNGFAAYLSEEEKQKLAGYEGIVSVFPCQKLHLQTTRSWDFMGFPTTIKRSPVGESNTIIGVIDSGIWPESESFSDEGFGPIPEKWKGECQGGTNFTCNRKIIGARSYIVGDSVRDTKGHGTHASSIAAGSHVYEASYYGIAKGIARGGVPSARLAVYKVCGAFCEVRDILKAFDDAISDGVDIISISVGQDHQVDITSDPIAIGAFHAIQKGILTVQAAGNAGRLFSVTGFAPWIFSVAASNTDRRIINKVLLGDGSVLEGTSVNAFPSSQEEVPLVYGRQVTSICSETEARQCSAGCLDGSLIKQKVVICDKNNNADLVKEAGALGCIVPNHGRYNYSDVGPLPVAALGINDMNLVKTYQNSTKKPQVQIFKSQAIYNPAAPLIASFSSRGPSKFIPDIIKPDVTAPGVEILAAYSPMASPSESFIDKSSVNYTILSGTSMACPHVAAAAAFVKSFHPNWSPSAIKSALMTTAWEMDPSQNLDAEFAYGSGHIDPQKAKDPGLVYDISEEDYQMIWCNISHSVNASCHAKFPLRQLNYPSMVARVDVKSAFVLSFPRTVTNVGDANSKYVASIQGDSKLNIRVDPNILQFTSLNQTMSFVVTVEGKGIKSPLTIKSGSLLWTSDKHKVRSPVVVYTGNASTSSGGVSTPSTFCATSLGYVLMPSSPVGVGQRLWVDDGPVSDGESQHQFPMDFEAVGKETVEDRISV >cds-PLY72835.1 pep primary_assembly:Lsat_Salinas_v7:6:22480836:22486030:1 gene:gene-LSAT_6X17600 transcript:rna-gnl|WGS:NBSK|LSAT_6X17600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSILQKPDDIRILQKLDQFRIPFEGIKQATNNFGPENVIGLGGYGMVYMGELVHSRTRIKIAAKRVDRRFNHGVTHFLNEIKVLSSYKHVNIVSFIGFCDEHDEKILVEKFVAYGSLDNYLSSAKLNWMNRIQICLGAAHGLNYLHNGLEGHTVLHRDIRSRTILLDTNWQPKIGGLGLSMMDPMLQIISDGFGANGYVDPMFLKTGIITKESDVYSFGVVLFEVLCGRLVYETSEDEKQFLGPLAHQLYEEGKLNEIIDPILREQMNPDSLETFSAIAYQCVEKSRWQRPSMIQIVQKLEQVLRLQQGFENALALQQVAQSLKGKQEDEDCYKVKNLEHMKIPLEQIKLATRDFHDDFLIGRGGYGKVYKADLFHFDVQKYIKENVYREVSMIELSGFERRKSTVAIKRLDRRYGQGTAEFLQEISVLPYFKHQNLVKLVGFCDEGHERILISEYASNGSLDKYVCKNNHTWAQRLQICLDAAHGLEFLHNGVGEYHRIIHRDIKSSNILLDRNWVGKISDFGLSRIGPANLQATFVMTQVAGTLEYVDPQYHKTGMLTKGSDVYSFGVVLVEILSGRLAYFPRSKDDPEFLPYMAKRCFEENKIIEILDPKLKKEFEKGSSSTFDDEICPDSVIIFAIIAYKCLQENRDDRPAMPEVVEELEKALKSHVKGVERLRTSLDAIRFATNDFSDVMEQGVHHTEYRGELSHSKGHHDVIVKRLNPIVTSNGNKFYKEITMLYSYSHKNIIPLLGFCEEAHERIVVFEHMVNGSLKRHVKNTSLTWKQRLKICIDVAHGLAHIHSGADTQYSVHGDIKSSIILLNHDWKAVISDFIIPKGVGTLGYYDPLYATTGNLTQKSDVYSFGVVLFEILSGRLAIETQNIDEKQSIHGGEDDRVIFLSQLAVQCFQNNQLEDIIFHDMKGKIDAKSLVVFSTIAYQCLQERLEERPTMAEVVKELEKAFVCQDEWEWEQKLPRDYEKIIHVSNYPISNLSSKKDLHSLLSSGILIPKEKLWFSISMNGVNNVVISATKFSYKNVKWRSIRKSRFAKVAKIPDLSNLNIQINIKTQFLTPGVMYRAYLVFKFCDRRKVSSRPLYVNLKYKKSDETLNAYFAEWKTGSNWLTIELFRFWNNDKESAIEFDVLLESFSRYYCGSGGIFVEGIEFQAIHHVDANSNEELNNERNIGPLKPMSNMDLVEQMTIDHCQEIINRSEIENNIRSSSKEELHNLLFKGILIDKGEKREDGWMEVIVWETISSDDSGSISMDLKLISFEGTMSGLMVCGIEFRPI >cds-PLY86185.1 pep primary_assembly:Lsat_Salinas_v7:3:82537351:82537710:-1 gene:gene-LSAT_3X63541 transcript:rna-gnl|WGS:NBSK|LSAT_3X63541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCCFQVGRAGLSLTEEQKIRFLVARAVLSNPCILLLDEVTGGLDFEAERSIQEDLDLLMLGRSTIISARRISLIKNADFIAVMQKGQLMEIGTHDELIASDGLYADLLRCEEAAKLPKR >cds-PLY76629.1 pep primary_assembly:Lsat_Salinas_v7:4:116265568:116265804:1 gene:gene-LSAT_4X74680 transcript:rna-gnl|WGS:NBSK|LSAT_4X74680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPIYKPHPKILPPPIPIYKPHPKILPPMIPIYKPHPKILPPFYKKPCPPFAVPKLPPLPSFPPKHFHHPLIPVPPHN >cds-PLY90577.1 pep primary_assembly:Lsat_Salinas_v7:6:53428503:53433762:1 gene:gene-LSAT_6X38701 transcript:rna-gnl|WGS:NBSK|LSAT_6X38701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TGA9 [Source:Projected from Arabidopsis thaliana (AT1G08320) UniProtKB/Swiss-Prot;Acc:Q93XM6] MASHRVGETGLSDSGPSHHHHHHQHLPYSVFPAFNPPNTSFINQEGSSFDFGELEEAIVLQGYNKIHGDETKLPLYTTVPRPAATLDMFPSWPMRVHQTPRGSNSSTDSGSAVNVAIASKPESHSHLEPESPISSNSKKTSSNPQQDQHQQQQQQAIFRQQLQNLQIPTQNLEMESEGGSPVTTGGSSHSQQTPKPFSEKKKGIGSTSERTLDSKTLRRLAQNREAARKSRLRKKAYVQQLETSRIRLTQLEQDLQRARSQGLFVGGGGAGGNLSSGAAMFDMEYTRWLDEDQRHMAELRKGLQSHLSDGDLRVVVDSFVAHYDEIFRLKSVAAKSDVFHLINGMWTTPAERCFLWMGGFRPSELIKMLAIQLDPLTEQQVVGIYSLQQSSQQAEEALTQGLDQLHQSLIDTIASGSVNDGVHHMAVALGKLTNLEGFVRQADNLRQQTLHQLHRILTVRQAAKCFLVISEYYARLRALSSLWASRPREALMSDENSCQTTTDLQMVQSSQIHFSNYG >cds-PLY91615.1 pep primary_assembly:Lsat_Salinas_v7:5:297499148:297499999:-1 gene:gene-LSAT_5X159261 transcript:rna-gnl|WGS:NBSK|LSAT_5X159261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLIKLDRLDKFQSFFVDNILSPRMQKRVWFQFFNLGSRSTQTDQNSAPESDGSYIFIGDHLLQRLHTGLKGISAQNESHTIVHSNRNDEISTRCTFRNVSELVDVGIHFKPSRRMSLDTVEFVELWWWWFSAYLKLPPITVDDSTKLMVLNLIAYEMCSDDAHAWVTSYICLLDSLIDHPEDVKALRNAGVLDNSLGSDQEVATLFNEIANGRGLVPNNVAYSKVMYQIQKHYEDLRNTWCSQLKHEYIKSPWSFLALVGAVMALFLSAVQTYYTVWSPKGE >cds-PLY69582.1 pep primary_assembly:Lsat_Salinas_v7:1:13177612:13178238:1 gene:gene-LSAT_1X10721 transcript:rna-gnl|WGS:NBSK|LSAT_1X10721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKDEATKINPEDLNSQGYVKESTNSKNVMQGQNGNCVKISSVFSVVRVSDVSKPTHVPDIATLPGSVLAGPIVPDLAHVPASHLAAPPPTAESLADGAVVPASVPTASPVASFSTISTFSIRLAGFSGGFGHMEGVHMSEDSLIHPPRFSNKICRGNVTISERSVNGTYLVNEADKTLELGEKIGFNMDGCYSHVESVIEGEVFNKM >cds-PLY75720.1 pep primary_assembly:Lsat_Salinas_v7:5:137271896:137272312:-1 gene:gene-LSAT_5X59781 transcript:rna-gnl|WGS:NBSK|LSAT_5X59781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLNHPWRTNDCVGKYGLHLLVRVDDLKNLQKQMFFVVTGEVGSTQSYGLKVQLMLMQIFFCVIWEYWVLLGGGG >cds-PLY73663.1 pep primary_assembly:Lsat_Salinas_v7:5:206554381:206555806:-1 gene:gene-LSAT_5X92800 transcript:rna-gnl|WGS:NBSK|LSAT_5X92800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENVIVESDHDSDGTDTDTGGSFHTSPRKDTFVKSTFKETSTPDVNTHVSNMDVNINSGEQPSTTILEKTKVTPHGDLHTESVKEEVEIPNITMNLFDKGTNVNMGEGMQKIKISTIVSLDSIYAMILTIQSSFKTELGPILNLVLRLPTNAPRPTYVLQRGESAVGSSKGSVEDKGVVVGRFLSMQIPTSILMKPFDSSLTTTSTKTNPFKENVDLSKVQKKGISIN >cds-PLY67443.1 pep primary_assembly:Lsat_Salinas_v7:6:68649176:68653664:-1 gene:gene-LSAT_6X50061 transcript:rna-gnl|WGS:NBSK|LSAT_6X50061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific demethylase JMJ30 [Source:Projected from Arabidopsis thaliana (AT3G20810) UniProtKB/Swiss-Prot;Acc:Q8RWR1] MAGHNTAVNSNDVLETPVLDVEAHSLLQSISEEGGYAYVSMSTRAADGDIRAAEAAREVAWEQLHSGPWHSVLPVWRDAYSMACLHVAKFHYANGEFKEALRVLDMGLIMGGMVLRKDLESAVGKATERANAILRVSEESVNGSANAKLVTTQNAINLIETLQILPKNSLSCKHVGKRSSLSLEGFMRDYFLPGSPVLLTDSMTHWPAITKWNDLNYLKKVAGYRTVPVEVGKNYLCNDWKQEMLTFSEFLERIQSNSCDDDHVPTYLAQHPLFDQIQELRNDIVTPDYCFAGGTEMKSVNAWFGPAGTVTPLHHDPHHNILAQVVGKKYVRLYPASFSEELYPHTESMHKNSSQVDLDNIDVSEFPKIQDLEFVDCILEEGQMLYIPPKWWHYVRSLTTSLSVSFWWAAPTSPASPTSSF >cds-PLY73107.1 pep primary_assembly:Lsat_Salinas_v7:9:22376008:22376295:1 gene:gene-LSAT_9X20701 transcript:rna-gnl|WGS:NBSK|LSAT_9X20701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGELMDGSSYFFWQLMQTSSKVGMVPAEPSFCFVASSSSPMAILKKLFDHPGCIAVIKEGDDVAGDVGVAGVKGASWQNDCTKSRTRLFTRMGYM >cds-PLY81388.1 pep primary_assembly:Lsat_Salinas_v7:4:34888212:34890855:-1 gene:gene-LSAT_4X23800 transcript:rna-gnl|WGS:NBSK|LSAT_4X23800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDGVTGSEPLLTSPSSLTDESPTVNGYLECASPATPYSYACTSSLEFSDMTFVEFSKQKLGAAKNCSATQKGNHKLTDVVLPLTIGGKQEFTTGGLEAAYAQASGKSASLYEQQLVDYARDFNNFGCNGGLPSQAYEYIKYNGGLDTEESYPYTGKDGVCKYKSENVAVKVIDSVNITMGAEDELNHAVGVVRPVSVAFQVINGFHQYTGGVFTSDVCGNDPMGLLKENANGSKYWAYGGDFGDTPNDLNFFLNGLIWPDRTPHPALNGDYYNFFA >cds-PLY91120.1 pep primary_assembly:Lsat_Salinas_v7:3:82992298:82993194:1 gene:gene-LSAT_3X65081 transcript:rna-gnl|WGS:NBSK|LSAT_3X65081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLGDPFRRFFFNPPIYRTGSVTTGLMDWFETPQAHFIKINVPGYSKEDVKVQVEEGNVLVVRGEGGKEKEKEKGTVWHVAERGGGVEGVGFSREIELPEDVKVDQIKAQVENGVLTVVLPKDLSPKASKVRNIHVSSKL >cds-PLY96928.1 pep primary_assembly:Lsat_Salinas_v7:4:9485411:9486840:1 gene:gene-LSAT_4X6521 transcript:rna-gnl|WGS:NBSK|LSAT_4X6521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTTLRRGVAGASRLNASRFFSSSLHSGGVAGDLAADDLVLDDVPVSAPVTSVKSDTIPTLLQPRVVIYDGVCHLCHRGVKLVINADKDRKIKFCCLQSKAAEPYMRICGVDREDVLRRFLFIEGPEAYHQGSTAALKVLSYLPLPYSALSSLMVVPIPIRDAAYDYIAKKRYDWFGKDTNCLVLKEKELLERFIDRDEMIHKKWSD >cds-PLY88259.1 pep primary_assembly:Lsat_Salinas_v7:8:152756000:152758204:1 gene:gene-LSAT_8X102821 transcript:rna-gnl|WGS:NBSK|LSAT_8X102821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G01600) UniProtKB/Swiss-Prot;Acc:Q39101] MSMNTAISSSSRVLLKKPDVDLDSVPKNTIGSVKIPCFSSSPSSVLSLMSKVRRGAVHCASMVEEKTPVLSSGVLFQPFEEVKKEEFLVPISPQTSLARQNYFDDCEAAINEQINVEYNVSYVYHALYAYFDRDNVAMKGFAKFFKESSDEEREHAEKLMKYQNMRGGRVKLHTIVGPPSEFEHEEKGDALYAMELALSLEKLVNEKLLSLHSVADTNNDPQLADFIESEFLAEQVEAIKKISDYVSQLRRVGKGHGVWHFNQMLLEEGV >cds-PLY65286.1 pep primary_assembly:Lsat_Salinas_v7:8:104837339:104846261:-1 gene:gene-LSAT_8X70961 transcript:rna-gnl|WGS:NBSK|LSAT_8X70961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSAVEEATFQEKPKNSVDKTSVKRWLTGAEKDEGEEECDTEAWETLSKSFKEAQSVLDQNRLLIQQVNENHQSKIPDNLVKNVALIQEINGNISKVDNLASQTKTIIGHNLEPTPWHLFPAKTFNNETKIGRASKIVRCSYLSCLTKSNIGQTTFTRESEKCPDFYRWIHHDLEPWSHTRISYANLMEAKKFASFRVVIISGKLYVDYYYNCVLSRTLFTIWGILQLLKRYPGSIPDVDMMFDCMDRPIVYKRDHSAMPLPIFRYCTTPDHFDIPFPDWSFWGWVEVNLGPWEEEFESIKKGSQTIPWEKKLPYAYWKGNPYVNSPVREKMLLCNDTKKWGAQILRQNWAHEISVGFKHSKLSSQCNHRYKIYAEGYAWSVSLKYILSCGSVPLIINPLYEDFFSRGLFPKQNYLPISPDNICPSIKNAVEWGNSHPSEAEAIGKAGQGFMEELNMERVYDYMYHLITEYSKLLDFKPVRPESALEECVESLLCYADETQRGVLERSATFPSSAPPCKLPPPNAEIIKKQIEEKRKVILNKTQLIT >cds-PLY75996.1 pep primary_assembly:Lsat_Salinas_v7:5:98329763:98331940:1 gene:gene-LSAT_5X44781 transcript:rna-gnl|WGS:NBSK|LSAT_5X44781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTQLSTNHFGATKPIYAPEPSDVGRLLESEVISDRLSITLTTFGPIEPALSMKRQLGNYVEALVRNHDTEFNAKCRETGEIVAIKKVLQDKHYNYRELQVMQMLDHPNVVALKHSFSSATEKEELCLNLVLDFVTETICRALAYIHNFIQICHRDIKTIEFTFVLNLICYFLVNPHTHTHTSIQSFKLPLVHRLVAIAKVGHVDSGKSTLSGRLLHLLGQIYQDAKLPCCGAGFTRA >cds-PLY84905.1 pep primary_assembly:Lsat_Salinas_v7:6:15054188:15055888:1 gene:gene-LSAT_6X11160 transcript:rna-gnl|WGS:NBSK|LSAT_6X11160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHEHPLPFFQSSFLIKRISFTNIMEFLRFLSFLSLAMVVSHAAVAPETYWKSMLPNTPMPKAFTDLLNDDKSTDVQVGVGKGGVSVHAPGTNVGVGKGGVSVHAPGTNVGVGKGGVSVHAPGTTVGVGKGGGVSVHAPKSKPEGDCEKVYVGKGGVVVRCHHKGKPTNVHVSPFSYKYAANDDQLKDDPNVALFFLQKDLHRGNSMNLHFTKTTTPSSNFLPRKVADSIPFSSEKLPELYTRFAVKPDTVESESMKKTITECEQKPMEGEKKLCATSLEAMVDFSTTELGKNVKAISTEVNSDKKRTTPLKYTIEGAKKVTAATRAVVCHKQSYPYAVFYCHKTTTTEAYVVSLVGEDDTKGKAVAVCHTDTAKWNPKHLAFRVLKVKPGTTPVCHFLPEDHVVWVPY >cds-PLY98786.1 pep primary_assembly:Lsat_Salinas_v7:7:22702255:22702587:-1 gene:gene-LSAT_7X18021 transcript:rna-gnl|WGS:NBSK|LSAT_7X18021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLPDNQKGSLVNKPDQFDKALSDLMKKLQVQAAGGTDKKSAFGTADYENKLVYGAMQCTADLSKELCTKCLQKIIVAHRSCCSGRRAARMLSPNCFFSYSGDDYPKWKP >cds-PLY85481.1 pep primary_assembly:Lsat_Salinas_v7:3:43236047:43240144:-1 gene:gene-LSAT_3X34220 transcript:rna-gnl|WGS:NBSK|LSAT_3X34220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTGFHHEQALSSALNRHAISFQTSAINSTTEMLMMGDYYRMNNTTTTPDMMFSGNSGMLNNSSGFAKVRTSSASSLSDTAIPGLKHDAGLAVEWSVEEQYKLEEGISKYGDEPSIMRYIKIAATLRDKTVRDVALRCRWMTRKRRKLDELKMGKKLKDKKDNLMESSSKPSVSSITTLNVAPFSVTMNNRFQSGGIPFEALSLSTRHLLEQNKQVFGQISANISALKLRDNVDLFSHAKNNITTILNDMRYMPGPPLPVSLNEDLANTILPTTSQTMTFGSSSRMHMKQEPDY >cds-PLY95641.1 pep primary_assembly:Lsat_Salinas_v7:7:192107367:192108891:1 gene:gene-LSAT_7X113201 transcript:rna-gnl|WGS:NBSK|LSAT_7X113201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIKVLRGSKTPPNLPPGPRKLPIIGNILDVVGPFPHHTLRDLAQKYGPLMHLQLGENSTIIASSAETAKEVMKTNDINFANRPFLLAAHIISYKSTNIMFSPYGDYWRQLRRICNSELLSAKHVQSLGPIREEEVSHLITTISKHSGSPINLSEHIYALTYGILTRSVFGKKLKQQEALISLINEALGISGGFSLTDLYPSSKLVAFLSGLRPKLEKIHERFDVMLDDIIEEHKAQQVGKGKVDVNHHLLFDVLLKLQEHGNLELPLTMDNIKAIILDIISAGSDTSSTVIEWAMSELLKNPEIMDKAQNEVRKAFSTKTTVDESGIQSLEFLKLIIKETLRLHPPAPLMLPMENREKCEINGYEIPVRTKVIVNVWAIGRDPKYWKDPERFHPERFLNSSIDYRGLDFEYIPFGSGRRICPGISFGLANLELPLASLLYHFDWKLADSSRMNQDLDMTEAFGVTVKRKTFLNLIPTLRYPPVY >cds-PLY75478.1 pep primary_assembly:Lsat_Salinas_v7:7:74021920:74022246:-1 gene:gene-LSAT_7X51521 transcript:rna-gnl|WGS:NBSK|LSAT_7X51521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRVFGANVAELPIVATNETNQGKRYFQLFFNCFEKLLRYLKVMRMVIPTAEDVKSMWIQKFGFQKVTPAQVMFYYY >cds-PLY81047.1 pep primary_assembly:Lsat_Salinas_v7:6:130875566:130884731:-1 gene:gene-LSAT_6X79340 transcript:rna-gnl|WGS:NBSK|LSAT_6X79340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-7O [Source:Projected from Arabidopsis thaliana (AT3G10180) UniProtKB/Swiss-Prot;Acc:F4J2K4] MERINVAVRARPLSSEDAKSSPWRISGNSILFANPTTKFEFDRIFSEDCRTVDVYESRTKAIVSAAIGGFNGTVFAYGQTNSGKTHTMRGSSVEPGVIPLAVHDLFDKIQQETDREFLLRMSYMEIYNEEINDLLAPEHRRLQIHESIERGIFVAGLKEEIVTSPKQVLEFMDFGEAHRHIGETNMNLHSSRSHTIFRMIIESRDKVEDELSESSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQIHADETKSSLQFASRALRVTNCVHVNEILTDAALLKRQKKEIEELRAKLQGSHSEHLGDEILNLRNTLLQSELERERIALELEEEKKAQAERDKMLQVQAKKIQNLSSMVISSSRDEVPYYHKKEKRRDTWCPGKLPKKMMNELPSTIPTQASAMKPVVRSERERGPLLPFEELVNDNTSNACNQERDRKSVSFCDFGLPDQRSLMHVTSRKKASNRKKSLPMESVELTEVKAEYEKLLMEFEAERTTNEIQIDYLTRRLADALDNEHKIKYTSDTDKSSMEIEAILVIKQLQEKISVLEMEKEKASSLENLDPVVDLETEKDKDAIDKYEKLYKELLVAQEEAHLAHQQLTSSMDEDSEALAKLSTNIQEITFEIQQSKALFESEHFQNHSALSDLIAEVRSFSSHDFAQIKRLLGDYEKVHSCMKAKVDELECEKISVLEMEKASSLQNLDSVVELEKQKDKYEELYMELLAAKEDANYAHQQLTSLMDEDSEALAKISTNIQEITFEIQHSKPLVESISISITSMMDEHLQSCSALSDLISDIGSFSSHDITQIKRLLGDYEKLHSCMKLKIAELEHEKMENLDSDKYASNKHEEVYMELLASQEDTKLAHQQLTLSMDEDSEALTKLSTNIEEITFDIQQSKTLVDSITSMMDEHLQSCNTLIDDVRSFSSHDFTQMKTLLCDYEKLHSCMKAKVEELEHEKLLMCNQSEDLQKRLEEACLSGENSSQALTELSERYETETNELITEIRTLENEIGHLSTSVLAKEKESMRKDLEKTKAKLKETESKLRNTIQEKTKLEGEKASAEREIKRLHSQKMILERDMNKRESRRDSVLDRSSNIFEPRKGKGLVNHVDQEEYRKLEVLAFDMERTIVSLEEQLATANDESQQAVIRSESLVQEVEELSDKLEYSNSELERFEEMVSSLRANLEEAAIKNQNADSSISMLMEEKEEMAMQLTDALLAIEEERAIWSTTQKASIEAIESKSKSYNAEIALLTDKMSEVRNELEACRETYNIINEKLAVSEEKVEVEKSCSMEKSLEIDRLKNDLILLDDQKKTSEDKLLNQIVEITKERKELVAQIEEQQLLMETCNDKLLNAKAKVEELTMKLSTLEAKTHSGNIEKAKLRMRLNGAQTRLDGMRVRCKEEMEEKEFMNKKFEEATNKLKEQLVCCRTENMKLKKHLLLKE >cds-PLY97198.1 pep primary_assembly:Lsat_Salinas_v7:4:241562903:241564553:-1 gene:gene-LSAT_4X129800 transcript:rna-gnl|WGS:NBSK|LSAT_4X129800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSFFITVALLVAAFLFASQFRRKSSNLPPTLFPTLPVIGHLHLLKKPLHRTFARISAKHGPILLLRYGSRRVLLVSSPSAAEECLTKNDVIFANRPRMLAGKIFGSNYSSLGWSSYGDHWRNLRRISTIEIFSSHRLNDFHDIRADEGRLLILKLISQSSSPVNFTLVFHELMLNLIMRMISGKRYCGGDMEEEGKRFQEIIKETVLLEDTSNLGDHLPIMKWFGMKGLEKEMIALQKKRDAFFQGLIEQLRKEEGVEPENKKKNTMIEVLLQLQKNDPGYYTDELIKSFCVNLLTAGTDTSASTMGWALSLLLNHPHVLKKAQNQIDSHVGKTRLVDESDMSSLPYIRCIINETLRMYPVVPLLVPHESSDDCMVGGYDIPRGTMLLINQWAMHHDPKLWSDPERFYPERFEGTKDGYSFMPFGSGRRSCPGEGLAMRMVGLALGLLIQCFDWERISEEMVDMSEGSGLTMPKAQPLVAKCRPRLITQNLLGLNM >cds-PLY62953.1 pep primary_assembly:Lsat_Salinas_v7:2:212381473:212384650:1 gene:gene-LSAT_2X132241 transcript:rna-gnl|WGS:NBSK|LSAT_2X132241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKLWVGGIFLFFIFATTNLCSGCLEHDRRALLQFKSSLASSDSTARRLPSWTGNKCCQWKGVSCDNATRYVTRIDLGSDLLGSLEGNELNSSLAELTRLTYLDLSGLYFRSSPIPKFVGSMTQLRFLNLSSAGFSGDIPHEIGNLSSLRVLDLSDMDLVVDDVTWLSSLLALEHLDLSGLSVGEVGNFDKVLLYMVPSLRSLRLSGCDLSNSHFNRTHLDSNITLSTIQTLDLSRNSFQGNFPLFVQNLTSLRVLDISYNSLNSSIPVMNGITELNLAGNRFPGIQVTGVWRLCRLKRLDLAFSSIRGRLVGPSSNVSACAQFALETLILNDNKFSGEIPSSLERLTALRGLYLDYNELTGSIPESLGKLTSLQELVLSGNQLTGSIPTSLGNLMGLGRLDLSWNLLNGTIPFSLGRLSNLEILYLNSNSLSSIQLSPGNLNLSQLRFLDLSANLLQGSLPDTIGQLSKLEFLDISNNSFSGVVTEAHFTNTSMLKHLAATSNHHLSFKISPDWNPPFQIRNVFLRSCKIESGFPQWIQTQRSLVILDLSNTSMSGHLPEWLCELPIISILDLSHNFLEGPLANLPSNLTTDSSSSFPFIERFADYKTLGRFLLLKNNLFNGSIPDSVCNVTDLIILDLSRNILSGTIPDCFGNLQELSNMILSSNRLSGVIPSSLGNLGSSLRWLHLNNNSFHGELPETLANFTSLDVLDLGENRFSGSIPKWIGEKLKNLVVLRLHKNNFSGQIPVELCECTDLQIMDVGDNKLTGTIPQCFQNLKGMMGGNSNLYFAGGFEQSLVQVMRGVQVDYTTIMVYVINMDLSSNNLVGEIPENLVLLSGLLGLNLSNNHLTGRIPDRIGDMNSIFSLDLSGNNLSGTIPQSISSLTFLSHLNLSHNILSGRIPTGSQLQTLIDPSIYAGNSELCGSPLLVNCNRDQVPENGGNAQEDEGGDDSEKIWIYSATSGFTTGFLGILGILALKDRWRVALFNFLGGCIGMKL >cds-PLY83822.1 pep primary_assembly:Lsat_Salinas_v7:3:51298761:51300602:1 gene:gene-LSAT_3X40701 transcript:rna-gnl|WGS:NBSK|LSAT_3X40701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDQIPLLTPYKMGNFQLAHRIVLAPLTRFRSYNSIPQSHAILYYSQRTTEGGFLITEGTLISLAAQGYKNAPGIWSKEHIDAWKPIVDAVHAKGGVFFCQLWHLGRVSDQSYEPNAGVPPVSSTDKQCNPVVDSNGQVLFEFPPPRRLKTKEISGIVNDYRVAARNVMEAGFDGVEIHGAHGYLIDQFLKDEINDRTDAYGGSIENRCRFAMEVVEAVINEIGANRVGIRLSPFADYMGSGDSNPEALGLYMVKSLNKYGILYCHMVEPRMKLLEERRECTVSLGAMREAFNATFIVAGGYGREDGDDAITQKHADLVGYGRLFLANPDLPKRFELDAPLNKHNRETFYTEDPVVGYTDYPFLKTTV >cds-PLY78991.1 pep primary_assembly:Lsat_Salinas_v7:3:8791316:8793880:1 gene:gene-LSAT_3X8461 transcript:rna-gnl|WGS:NBSK|LSAT_3X8461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQEYTSDIIKTLKENNYEYTWGNVTVKLAEAYGFCWGVERAVRIAYEARKQFPDKKIWITNEIIHKPTINKVWNTVEKHKKGDYTSIIHGKYSHEEIVATTAFAGKYIIVKNMDEVRLRCGSKVEVADIDEPSRCE >cds-PLY78837.1 pep primary_assembly:Lsat_Salinas_v7:5:305450986:305453354:1 gene:gene-LSAT_5X164801 transcript:rna-gnl|WGS:NBSK|LSAT_5X164801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLLEEEGLQLREVQRLEGHTDRVWGLAWNPATGTAAAMLASCGGDKTVRIWQQRTASSTSFDCKAVLEETHTRTVRSCAWSPSGKKLATASFDATTAILEQNGDDFDCVSTLEGHENEVKSVSWNASGSLLATCSRDKCVWIWEVLPGDEFDCVSVLQGHTQDVKMVQWHPTVDVLFSCSYDNTIKIWAEDGDSDDWRCVQTLGESNSGHSSTVWALAFNNTGDKMVTCSDDLTIKVWGVDISRLQSGDDNASWRHLCTLSGYHERTIFSVHWSREGIIATGAADDAICLFVESEDHSVDGPSYKLLYKKEKAHDMDINSVRWSPVENRVLASASDDGTIKIWKLDSIH >cds-PLY83348.1 pep primary_assembly:Lsat_Salinas_v7:1:63287939:63288199:-1 gene:gene-LSAT_1X54140 transcript:rna-gnl|WGS:NBSK|LSAT_1X54140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDLSDPPVPNATNSKRNSEDPITNVFHKCPIKPESEMKRVEDEEEVSHLGKSEWETVSGGLPEKIPENPLLEKEDPKGLSAAVVF >cds-PLY76839.1 pep primary_assembly:Lsat_Salinas_v7:3:4810148:4810914:-1 gene:gene-LSAT_3X2521 transcript:rna-gnl|WGS:NBSK|LSAT_3X2521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERVGLYLLVLIATLPYTTVNGGAASPTAMDFIRASCKTTLHASLCVTCLSSYAGSIKGSNADHLLAKAAISVSLSNAKSASIFISKLGRVSGIKPREHQAVKDCISTMSNSVSSLSQSVQELEKMARTKGQDFEWHMSNAETWVGSALTNQNICSRGFTDRSLNGPVKDAVIKRMVYVSQVTSNALALVNRFAVRHRKGIHKP >cds-PLY64577.1 pep primary_assembly:Lsat_Salinas_v7:6:38626310:38628422:-1 gene:gene-LSAT_6X31300 transcript:rna-gnl|WGS:NBSK|LSAT_6X31300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEHPTPPPPPPPRRIVVLPTATAVLTRTTSIFFTRKNFLMFLVLSILLSFQRLNIDMATNTLTSYIDNQSSIQSFFSRTHEPPNPTTITAVRRPFLQLPLVGTLDNDFFTEDDEFDRRSLPNPQSNSTSFILDSSDSQLGFSNSVSNNDINASEINDSAPIDETAESKSDSQSLKTSDLERHGFTALFFPFGAFCASYGYLVLGFVSTYAYIHGVIFVLVLNDFCMRYNSFIGTYLNGCSLGLKRLCRNILMRWIIRSVFSLLLGICFFGQIEDKHTLVKIFVRLIFMPYSIFSPWVKGFEKEREDFILPWLFVDFLTSFALTLDPWIVMADPRRNWREVVQEGWNLLSLSLHLAYNLKSFESIVCGPYVRWVFARTFGDFFARALHCFMEVYFMVAWLMYYLSVKSIHANSSGQPFGQRELEAMLGDVR >cds-PLY93061.1 pep primary_assembly:Lsat_Salinas_v7:9:26425458:26430385:-1 gene:gene-LSAT_9X23321 transcript:rna-gnl|WGS:NBSK|LSAT_9X23321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTLPIVTPPLATSILESALRVVVFAYGATADEARVAGADLVSVEDLIEGIKKLVESMLSKVMEEFKNRITSQIKLVKHLSYVFPNFLAWFFKGRYDLRRTPAENINLPPVLLPRFDLLWLILDKADMDNDLEMVFTIYYLHYYVHQNRESPALGFSPGS >cds-PLY86522.1 pep primary_assembly:Lsat_Salinas_v7:4:198354647:198358843:-1 gene:gene-LSAT_4X112441 transcript:rna-gnl|WGS:NBSK|LSAT_4X112441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPIKSEPIENPPEIGINCLPDIKSEIPDYPPDVKLESIEYTHEIPPTKRLKTLSSASPSPSPSSKGKSKAVKEEDDDSLWDQQLDTCGVCLLEEGMSRRGFIDSCDHYFCFVCIMEWAKVESRCPICKRRFSTIRRPQDGVFLSERIVNVPVRDQVYYHNGNATLTPSDPYSQVKCSICSLSSDDHLLLLCDLCDSASHSYCVGLGVTVPEGDWFCQDCNFSKNEHSKVETDPTFENDTTYPSTSSSNPLHFTQSKVSINDIVRESNSSSSSMAMADSFGQHKSAPHVDRISNSSNVSNARTLKRCVNVHARIKVLRENWDGFRGGALNFSSSFSNGNKESQQESTSNVDADKAWKMMDMAAKALGKKNHVGKKDSKVHLKKERLLNDACKVKLNINYLGSFKKHDDNGGASRNQDNVERVVPCSRKIVAKNWGYNGQNVTRKDCGGVTSKSNVVGCSDRLLIGEGKLCGKKEIFDSGFKEKGSVKKETQIDNNIKKVEDAKSEIQSLVKLNLKLLSRNKKLDVDVFKEVARHATHSIMATCGIEQPKSRFRSFEKLVCDHHETRKRPTSTLMPTSCRECFFIFVKDVVNTIAFDTITSFKI >cds-PLY78409.1 pep primary_assembly:Lsat_Salinas_v7:3:236293081:236293898:-1 gene:gene-LSAT_3X131940 transcript:rna-gnl|WGS:NBSK|LSAT_3X131940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLELEQECLDAYRRKVDQANRFRAQLRQAIADSQAELASICASLGDRSLPIIQIEPGSLIKELADIFPQVEMMQKKKNERKVLFTEILDQIQNLSKELFTSTKDKSCLPILDESDLSLTRLEELKIELHALEKEKSDRLKQVLDHLTTIHSICGVLGMDFKIKINGIHPTLENPGSKKSITDDTMEKLSNAVCRLNEDKSKRLKKVN >cds-PLY99636.1 pep primary_assembly:Lsat_Salinas_v7:6:82868186:82871580:-1 gene:gene-LSAT_6X58881 transcript:rna-gnl|WGS:NBSK|LSAT_6X58881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVQDQSKHSIPSGHESHGVYLCRRCGWPFPNPHPSAKHRRSHKKICGTIDGYTNLIHSEVVSDDENHLDDDKDKTPSPKIQKKTSIGGGGGAIGGSFSRSEDESFLDAVTEFADTTSTGSANKTLDRDLFFSFKDAEHGDFKVDSSEAKSALLETNGKSADDLVKDGVVEPTLKQSDISQEAQSIDDVGAGVKLESVTSDGVNEEIKHEKLESESEPVSEVVKEAEIVHGAENINEEIKLEKFEMEHEHASEAVKEPETVQSVSVLTQKEDLGASECSKEQILEVEKEPDMVLTQIKEQIQEVVKEPESVSSEKDDLCAPESEKELSHEVVEEPESVLIEKEDLGAPKLEKDLKEQIQEDFNESIAILTENKNLVAPKLENCSTEEIEEVVKEPKSVLIEKEDLGAPESETHSNKHTHEVFEEPESVLTEKDDLGAPILEKSSKEDTFEVVQEPNSTLIKKEELETPKLENGSTKQIQESVKEPESILTEKQDLDAPESEKCSNEHTHEVVEEPKTVLTEKDDLGASILEKNSKEHTFEAIEEPNSSSIEKEDLGAPNLEKHSNDHTHEVLEEPDTVLTKKVDLEAQNLEKLPKEATKEPKVDDCIVNDKNTSGVVSEPIIGKLITNQDSGVDLSIDSSNSLEANWGSVSVLSTASIDDKPKLNSGKPDNHSGSSDVFEPPSFMTLVQPEGKDQKPCSSEVQDAQKAGWIPTIANVSNESEERKKNEEVIAKVTNWSTGKHSAPLKNLLGEAKSPSVKESVTVVHKDEGATEKVNQELLSSPPKLIEDGKKAGKKVKGRGLWMPFGCCSSVNVVN >cds-PLY62915.1 pep primary_assembly:Lsat_Salinas_v7:4:327109166:327111395:1 gene:gene-LSAT_4X162801 transcript:rna-gnl|WGS:NBSK|LSAT_4X162801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRLHFSQFSSNGFEFSNSKLDVSVSGFSLLSGFTTGNTTVIREFIFPIGSERKFRIEFTPSRGSSSAFVNAIEAFTTPSNLFRPASSFPRISPTGKLADLEKLTSDYAFNPIHRVNVGGQTINIARDTLRRTWTPDDSFIFNNGPARNVTFDGRINYVDGGSTSFDAPDDVYKTAKQLNNSLVNITWNFNVNKNAMYLVRAHFCDIISTALVDPNDAFNFFVYSHHREEIQPGNTVVALQAPFYLDLVVESTDSDRLNISIGAIRGNNQPVFLNGVEIMEMLKNTGVRDPAKKKGKSVFIVVGCVVAGVAFVLVLLAGFFIGSRCGKRKQVVVGAKSESHVVPSYGRSTSYTDINVDFTVNNPSPVLDLNLRVPFADIVEATNNFDETLMIGRGGFGKVYKGTLHGTKVAVKRGEQGHGQGRPEFVTEIMVLSKIRYKHLVSLIGYCDENNEMLLVYEFMEKGTLQDHLYDSDLPRLTWERRLEMCISATRGLHYLHTGSEGGIIHRDVKSTNILLNEHYVAKVADFGISRLDNMDEDDHSDVKGSFGYLDPEYVRCMKLTQKSDVYSFGVVLLEVLCARPALDHRLPAKEVNLADWAIKEIKNGNLEKIIDPFLAGTINQDSLRKFVEITERCLKETGDERPSMVDVLWDLEYVLKLQLMSVDRESYDDTTINTSFQLPMSIIDRLPSRVDDDYDVNDSSVSSYPSESQVFSQLKIDEAR >cds-PLY73009.1 pep primary_assembly:Lsat_Salinas_v7:9:36184247:36186894:-1 gene:gene-LSAT_9X33140 transcript:rna-gnl|WGS:NBSK|LSAT_9X33140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSTMVCLLFPVGILLVFLSLCLSTTSAAIVETSFHVKNLTTSRLCQNHVIVAVNGSLPGPTLRVQEGDTLIVHVFNESPYNLTIHWHGVFQMRSQWADGPEFITQCPIRPGNNYTYRFNLTGQVGTLWWHAHTQWIRATVYGALVIRPRDGQKYPFVKPYREQTILLGEWWNANVIDVENAALATGVAPNNSDAYTINGWPGDLYPSCPSNKTYTLQVVPGKTYLLRLINAALNGQLFFKIANHNLTVVGADAAYTNPFQTDVVVMGPGQTTDVLLTANQSPGLYYMAARPYLSAIAVTINNSTTTAILAYENATQTTPVLPVLPALNDTPTAFRFESNMTALVTSPFWSPVPQTVDESMYVTMGLGISECGNNQTCGGIFGQRMSASMNNHSFVLPSTISMLEAFYRNVSGIYTTDFPDQPPVVFDYTNTTLSFNQPLMTTPKLTSVKQLKFNSTVQLVFQNTALVGIENHPMHLHGMNFYILAQGFGNYDPINDPRKFNLVNPQERNTIGVPTGGWAAIRFRANNPGAWFIHCHLDVHMPWGLGTAFLVENGGTPESTLPPPPADFPRC >cds-PLY78797.1 pep primary_assembly:Lsat_Salinas_v7:3:168519264:168519771:-1 gene:gene-LSAT_3X105781 transcript:rna-gnl|WGS:NBSK|LSAT_3X105781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNFLTNIPEYFSKPPSSVSNNSTSDEADTQQNDIIGERKRRRMISNRESARRSRIRKQRQLDELLSQLVHLRTDNQSIMEHLKQLAERHEKALEENERLKEETTDIRQKLDEIQQPNISSEIIS >cds-PLY96157.1 pep primary_assembly:Lsat_Salinas_v7:3:100680436:100680936:1 gene:gene-LSAT_3X73661 transcript:rna-gnl|WGS:NBSK|LSAT_3X73661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDDIQGNTWMMEDNPDEKIVEDRNTNSHAPIKVSDAPTDMALVASPNHGPPPISKVWDNPCSTLKKDNSPYSEAVPDFEIGDSLVKRRKVDRSLSFSSSITPRRIFEHSSPSRPVSNVSYRPKSQDNSPSIDLNKSLEPLDNHNNNSNDESSVLNEIDHMVKVGE >cds-PLY83724.1 pep primary_assembly:Lsat_Salinas_v7:4:42657919:42658774:-1 gene:gene-LSAT_4X29220 transcript:rna-gnl|WGS:NBSK|LSAT_4X29220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSENTNQKNQQALFHLWKGEVLLDGENIKNLKLEWLMSQIGLVTQEPTLLSLSIRDNIAYGRDATSLQIEDAAETDHAHTFISSLEKGYDTQKGQLMEIGTHDELIAADGLYAELLRCEEVAKLSKR >cds-PLY87950.1 pep primary_assembly:Lsat_Salinas_v7:4:339114285:339119471:-1 gene:gene-LSAT_4X168021 transcript:rna-gnl|WGS:NBSK|LSAT_4X168021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTSPSSPSPSPVNWEALDSLVIEFAKSENLIEDSSPPASPSSSSPSSCSSSSYQYRVLILQIRRLLQFGDIDSAIDLLHLHAPSVLDDHRLLFRLQKQKFIELLRKGTEEDRNSAINCLRTALAPSALDAYPEAYEEFKHVLLAFIYDKDDQTSPVVNEWSERRLFELAGLMTSVLRAHLHAYDPLFSMAIRYLISIHKGFCFRQGISSPITDLTERLLLEERDLPATPQEAFLEAPPFDEVDIQALAHAVELTRQGAVDSLKFAKGDLFQAFQNEVCRMRLDITMLDELIHEYCVYRGIVSSGAPNPSCEGMKIGHEPSESESTLSVEARSGSNKLADADMDSPGTEERYPCGTMSNNHEDCSTSGIYKHKASKVLQRNKTRGILERNKRKRWKGRQDLQDSFPQVLNQQGSGMSSTVDVNVNKEENYEIMLAIKELTTKGMAAEVVEEINDMDSAFFTQNHILLFQLKRIEFLKLVNSGDHSRALKVACSHLGPLATKDPALLKPLKETLLTLLTPNEKFVPQSLPFHALSTSLQVEIGRRLGIEEPQLMKIMRATLHTHTEWFKLQMCKDRFEGLLKIDVLKEVNSPLLTDTISKSSTDICNNSSSQGTATSSSRMHEDGSSPAQVSSTGDVANDETAILKVMEFLALPRADAIHLLAQYNGNAETVIQQIFA >cds-PLY65140.1 pep primary_assembly:Lsat_Salinas_v7:9:158814468:158814656:-1 gene:gene-LSAT_9X100300 transcript:rna-gnl|WGS:NBSK|LSAT_9X100300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKEQLGQDYIVCMIDYISLQHKLDDHDQKFKFVGVTMGGMMVGMLLLLVVVLHLLLKLG >cds-PLY76955.1 pep primary_assembly:Lsat_Salinas_v7:6:62522777:62524254:1 gene:gene-LSAT_6X46961 transcript:rna-gnl|WGS:NBSK|LSAT_6X46961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSFILVAFLLILVSIGATTVGADDNKPDDKKTPAAPAPAPKYQKPAPAPAPKEEKPAPTPAPKEEKPAPTPAPKEEKPKPAPAPKEEKPAPTPAPKEEKPKPAPAPKEEKPAPTPAPKEEKPAPTPAPKEEKPKPAPAPKEEKPKPSPVDEDTTNYDDETPDPKTGSERAKCKSKGACNKKTLTCPAECPERKPKKNKKNKGCFIHCGSKCEATCKWRRPQCNGYGSLCYDPRFVGGDGVMFYFHGGKGRDFALVSDTNLQINAHFIGNRPNGRKRDYTWVQSISIMFDTDILLLSAKKVQQWDDSVDVLLVKWNGQQVNVPFTGDAEWKINTGVREVAVERTDETNTVRVTVGGLVEIDMKAVPVTKEDEKAHNYQLPSNDAFAHFETQFKFSNLSDNVEGILGKTYRPGYVSPVKRGIAMPLMGGEDKYETPSLTSPRCKLCIFQSNYESAPPANGLFQY >cds-PLY99432.1 pep primary_assembly:Lsat_Salinas_v7:4:103167151:103167510:-1 gene:gene-LSAT_4X65640 transcript:rna-gnl|WGS:NBSK|LSAT_4X65640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCLSSSCASSVSEERCEGGGSKGGCRLQCLLRGNSHFGGGFRLGNEDEMGDERGKGSSDQNSLQEGSSVTTIDGWKGWSNKGMSPRSLVGIGHHPKEKTGEVEEAVASCSSFLWQQQK >cds-PLY84116.1 pep primary_assembly:Lsat_Salinas_v7:6:186156592:186159524:1 gene:gene-LSAT_6X113721 transcript:rna-gnl|WGS:NBSK|LSAT_6X113721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transcription factor KAN4 [Source:Projected from Arabidopsis thaliana (AT5G42630) UniProtKB/Swiss-Prot;Acc:Q9FJV5] MFLSSNFSPMTNNEATTFPDLSLQISPPFAADEYNMTGCIIKRPPSTSSSSSDLSQENTFNHHHYQQLIAADMDGFVNHGLQFDQQQPQLTLGPEMGALNDCHPNHHYHPRGPRQIYSYDFKRSSRMVNSVRRGARAPRMRWTSSLHAHFIHAVQLLGGHERATPKSVQELMNVKDLTLAHVKSHLQMYRTVKSTDRGGAVALTDTQVINPRAPISLFEVEGDISPSTTLHISQRRSFESSLETNDLSYTMKKNVSSDYPISIHTSEADDEHEVTLSLSKKIKMLENNRTYSSSERLLNLEFTLGRPCRQLDQIF >cds-PLY88205.1 pep primary_assembly:Lsat_Salinas_v7:5:122654177:122654455:-1 gene:gene-LSAT_5X53281 transcript:rna-gnl|WGS:NBSK|LSAT_5X53281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQRWRFDVSFFFLCKIDKQVSSCRYEMMIGDDFLRIRVAMGIDFGVRLLRKAVTNKSIAMQAKVNSIAQADQQSLDSLYGTRLRKERNQLW >cds-PLY96508.1 pep primary_assembly:Lsat_Salinas_v7:5:337386290:337387036:1 gene:gene-LSAT_5X189641 transcript:rna-gnl|WGS:NBSK|LSAT_5X189641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKIKSLVSENGVVIFTKSTCCLCYSVTILFQELGVNPLVYEIDQDPQGREMEKAFQKQGCNSHPVPAVYIGGKHVGSTNEVMSLHLSGSLIPLIKTYQSLS >cds-PLY76319.1 pep primary_assembly:Lsat_Salinas_v7:5:296787663:296789384:1 gene:gene-LSAT_5X159621 transcript:rna-gnl|WGS:NBSK|LSAT_5X159621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVAADSQFHVLAVDDSIIDRKLIERLLKTSSFQVTTVDSGSKALEFLGLHEEDDEIHNMNQPSVSPNINQEVEINLIITDYCMPGMTGYDLLKKVKKSSSFKDIPVVIMSSENVPSRISRCLEEGAEEFFLKPVRLSDVNKLKPHMMRTKIKEIDHIDHQYNQEHEKHQEQNVLETKEDESTQQSNGNKRKSMEDGDLTRQNKTQIQWWPYCRFD >cds-PLY81510.1 pep primary_assembly:Lsat_Salinas_v7:8:157398839:157403232:-1 gene:gene-LSAT_8X103981 transcript:rna-gnl|WGS:NBSK|LSAT_8X103981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 3 [Source:Projected from Arabidopsis thaliana (AT5G01720) UniProtKB/Swiss-Prot;Acc:Q8RWU5] MKKQKCIQEDTETKINPFDFLSEEIVFSILDYLTPNPFDRKSFSLVSKSFYSIESRHRKTLKPFPRTSNDHRHDQLKKLLKRYPFVTHLDLSLCPRITDGCLSYIANSCGKALKSIDLSRSRFFTQVGLSYLVSKSVNLVDIDLSNGVHLNDTAAAAVASCRSLERLSLTRCKSLTDIGIGCIAVGCSNLRVLSLKWCLGVSDLGVALIGVKCKKIRSLDLSHLLISEKCLPSLLKLEFLEDLILEGCCGINDESLVSLKQGWKSLKMLNMSYCDNVTHVGISSLTNRANCLQNLNMSYGPLVTLSLSESLQKLSQLQSIRLDGCQVTCSGLKGIGNWCVSLKELSLSKCSGVTDDGLSSIVKKHTDLKKLDITCCRKITQISIAHVTKSCGSLVSLKMESCTLVPFEAFFLIGQNCHFLEELDLTDNDVDDEGLKFISKCSQLSVLKLGICMNISDEGLISIGNGCPKLKELDLYRSIEITDRGISSIAHGCPSLEIINISYCENITDSSLISLSNCSKLNTLESRGCPRITSLGLKAIAIRCKQIIKLDIKKCHNVNDSGMIPLAQFSHNLRQINLSYSSVTDVGLLYLASLGCLQSMTILHMEGLTPVGLAAALFGCGGLMKVKLQSSFRSLLPRLVLEHLEARGCVFHWRDKVFQAELDPKCWKLQAEDIE >cds-PLY67222.1 pep primary_assembly:Lsat_Salinas_v7:6:137506653:137506974:1 gene:gene-LSAT_6X82620 transcript:rna-gnl|WGS:NBSK|LSAT_6X82620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIRPKPLHFAIVDELDYVLIDEGRNPLLIRGEASKDAARNTVAAKVAELLMRGLVFYFSALLFPF >cds-PLY85193.1 pep primary_assembly:Lsat_Salinas_v7:9:148296859:148297450:-1 gene:gene-LSAT_0X24701 transcript:rna-gnl|WGS:NBSK|LSAT_0X24701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKSIKSAAVAKKSTSRSSKAGLQFPVGRIARFLKTGKYADRIGGGAPVYLAAVMEYLAAEVLELAGNAARDNKKTRVVPRHIQLAVRNDEELSRLLGAVTIANGGVMPNIHAHLLPKKTSVTSSKGDE >cds-PLY79073.1 pep primary_assembly:Lsat_Salinas_v7:3:9216390:9219986:-1 gene:gene-LSAT_3X7860 transcript:rna-gnl|WGS:NBSK|LSAT_3X7860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVSFQLICVCLGLFLATSDAVLPTEVHALTVFKEAIFDDPLLVLSNWNGLDSDPCNWVGVYCSDDHVRKVNISGFSIKGFIAEELFQLSFLHELILHGNNLIGSIPKEIGTLKHLKILDLGMNQLSGPIPSQIGDLVSVIKINLQSNALTGKMPLELGNLKYLQELRLDRNKLEGTVPGANSTDFASTMRGMYASNTTALGFCRATPLKIADFSYNYLVGSVPKCLEYLPKASFQGNCIKYKGITTRAPEQCGFTSTAIPRKPEGMKHRPEVDHKHKHKPHPSKLTWLLALEVVTGVIAGLVFLVALLMALHRCKEKPLSIIPWKKPGTDKDCMTIIVDTDMLKDVRRYSRRELEFACEDFSNIIGSSSDSIVYKGTMKGGPEIAVISLSNQEENWTSYLELYFQKEVADLARLNHQHIGKLLGYCIEGIPFTRMLVFEYASNGTLSEHLHYEQGCQLSWTRRMTIVIGIAKGLKYLHTEIDPPFTISELNSSAVYLTDDFSPKLVDFESWKTILTRSENNSRPISSEGAMCVQPCSLEARHLDIQGNIFAFGVLLLEIISGRPPISKDKGFLVDWAKDYLEDPEKMASVVDPALKHLREEDLEAIREVVTICIHVRPRDKVTMQEVCAMLERKLDISGSSELMASSLAWAELALSS >cds-PLY82798.1 pep primary_assembly:Lsat_Salinas_v7:1:87302434:87306100:-1 gene:gene-LSAT_1X72381 transcript:rna-gnl|WGS:NBSK|LSAT_1X72381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLKSRSELLQEQSKTMDLMDVNQVLEVPDTPDRQQVSATHDSDFKERRNNASTNNQKPSKDYINGRMRNQQIENGKSATVSGNRRLFIHPYSSTSFEHPKPSVDTTRHDKGKGKSLCNSDAQKLAHQEGGSFVGVSKQNGIGHSGISRVNAFTKGVLPLNRIPAVESLDRSSKSCKVDNTFKNVAHHKAESSIQPCSSIKVPHKRMLVRNGCISPHNIAKSKHIEKKDETGIVVKEGENGSISNTVDIKDMVTEDKDSHRCKGKGVSVSHSHHPLKEPDRKTIHKEQSTESERWRTTHNHRKQRDIEQQKKGLMQRDHRNEKDFVNLVESERVSSSSSSVSTSRNLGKRSVSLVDDFNGETSNSRPKRNKNLNGVGPSNPVVEPITCNNEDSGVRALQVEADEMLARELQQQLYDEELTPVLEVNEMDSNLPFAMPAQQDNSTRQAGGRRPYRRQAPSSLNARQPNTSRPSSIQRRLQPQARASSRMSQLRTRFQTINRRNSIFPPNMDVDVRMQILEMLEADGDRRRRNDVSRIRREFNEDDYEMLLALDDDNHRHGGATPAQINNLPESTVQAENLQECSICLETPAIGETIRHLPCLHRFHKECIDQWLRRKTSCPICKSSIE >cds-PLY78876.1 pep primary_assembly:Lsat_Salinas_v7:5:305364065:305366429:-1 gene:gene-LSAT_5X165000 transcript:rna-gnl|WGS:NBSK|LSAT_5X165000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKRRRLKLLSVTFKNDTKVSTKGDIEQEEEEEAWSFLPVELLEFIISQLTLKDNIRTSAVCKRWLSVAVSVRVVNKPPWLMYFPKLGHRFEFYDPSQRKTYSLELPELHGCRICYNKDGWLLLYKPRTQRVLFFNPFTREMIKLPRFEMTYQIVAFSTSPKSPNCIVFTVKHVSPTVVAISTCHPGATVWTTVNYHNRLPFVSSIWNKLVFCNGVFYCLSLTGWLGVYDPHELTWTIRIVPPPRCPDNFFVKNWWKGKFMAEHKGDIFVIYTCYSENPIIYKLDQGNKEWIEMKSLEGVTLFASFLSSHARTDLVGMMRNSVYFSKVRFYGKRCISYSLDHCRYYPRKQCHDWGEQDPFESIWVEPPEDVSKFSWED >cds-PLY96805.1 pep primary_assembly:Lsat_Salinas_v7:2:171275020:171284708:-1 gene:gene-LSAT_2X94181 transcript:rna-gnl|WGS:NBSK|LSAT_2X94181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSPRCFVGVEDDWFWRRRSVELVGGAISWLTKTRSRGSSTGVSPPVRQRPCHLDWLLKYGGGEIVKVKKNTEDLTHQHVDARSKARCKKVS >cds-PLY61804.1 pep primary_assembly:Lsat_Salinas_v7:6:60207049:60209295:1 gene:gene-LSAT_6X43941 transcript:rna-gnl|WGS:NBSK|LSAT_6X43941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGYGNDGIFRSLRQPLVSPADPNTTMIPFLFRNVSSYPNKPALYDADSGDTLTFTQFKTTVAKLSHALNNHLGITKNDVVLIFAPSSIQYPICSYSIIALGAIVTTVNPEYTIRELSKQVEDSKPKLIITVQELYQKVENFGLPVVFLGSKSSRNGCFSYKDLISKYGSVSELPKVSIRGDDTAALLYSSGTTGVSKGVFLSHKNFIATSQMMTSDQRSMGEKDYVHLCFLPMFHIFGFAVILYAQLQEGNTVVSIGKFSFEAVLKNIQKYRVTHLWAVPPVILALTKQDVVKKFDLSSLKLIISGAAPLGKELIDECAKKFPHVLVLQGYGMTETTASTSLGSPIMGHQHSGSTGTLLPGIEAQIVCVDTNKPLPPNQTGEIWVRGACMMRGYLNKPDATKLTIDKNGFVHTGDLGYFDDEGQLFVVDRLKELIKYKGFQVAPAELEALLLSHSEILDAAVIPFPDAEAGEIPIAFVVQSSNSCLSEEDVKRFINEQVAPYKRLRRVIFVNNIPKSASGKLLRRLLVEQFRSRM >cds-PLY64699.1 pep primary_assembly:Lsat_Salinas_v7:7:138772889:138773200:-1 gene:gene-LSAT_7X83801 transcript:rna-gnl|WGS:NBSK|LSAT_7X83801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLLILCCLVALTLSYSMEAAMARRLLNIPGFPSMGNTFPLPTLQSPPLPTLQSPPLPTFPPYSATPSTGTGLPFFPFPTPSTPSFPRIFTPPATGTTNP >cds-PLY76093.1 pep primary_assembly:Lsat_Salinas_v7:9:31750887:31753034:1 gene:gene-LSAT_9X27481 transcript:rna-gnl|WGS:NBSK|LSAT_9X27481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNITSLRLDTNPITAPRRAFNGAGGSCLNTLPRRIAVLNHHQWRKSGPISCSASPPVAVEEQTSNNSSRIEALSQVSGVLGCQWGDEGKGKLVDILAKHFDVVARCQGGANAGHTIYNSEGKKFALHLVPSGILNEETMCVVGNGVVVHLPGFFKEIDGLESNGISCKGRILVSDRAHLLFDFHQEVDGLREAELAKSFIGTTKRGIGPCYSSKVIRNGIRVSDLRRMDTFPQKLDLLLSDAASRFKDFKYTPDMLREEVERYKKFAERLEPFICDTVHFMNESISQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRALGDIIGVKAYTTRVGSGPFPTELLDKAGDLLRFSGQEFGTTTGRPRRCGWLDIVALKYVCQINGFSSLNLTKLDVLSDLPEIQLGVSYKNPDNTPINSFPADLYVEYETMPGWQTDISSIRNYSDLPKTARQYVERIEELVGVPIHYIGVGPGRDALIYK >cds-PLY65983.1 pep primary_assembly:Lsat_Salinas_v7:4:140768401:140770122:-1 gene:gene-LSAT_4X86161 transcript:rna-gnl|WGS:NBSK|LSAT_4X86161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISSSSSFSGSYSFSKSNIDQEGWVKTMRKSIVEPDDEEISKIPVCIYTVPKVLLATDPESYIPQQVALGPFHHWREEVYDMQRYKLEAARRTQKFMNTSFECIVESMMKQDEARIRASYHKFLEMSGEVLVWIMAVDMAFLLDFLRVYSMKEQRRTLEKVTSSMSHLVDASGKKLSHMAILRDLVMVENQIPLFLMKTMLEHNLRDNEKKSAAETLKLMLMGLYHELSPFKEQELPDIDIDDCDHLLDFLYHMTVPNNKELHIEAIEVEYEGITEEAGDGDQEKESFAKPSDLRKFMDFIWKILSKSNAALLKIFKKIIFGKPVTVVMKLPWKILSNLPILKLFKEPVENMLRNFRGGGEEKSKDDSNDSKVPLIEEIKIPSVTEMAKAGIIFSPINGGIFGISFDNATSTLYLPVVNLDVNTEVYLRNLVAYEACVAAGPLVVARYTELMNGIIDTEEDAKLLSKRGIVLNHLKSEKEVADLWNGMSKSVKLTKVPKMDKVIEDVNKRYAKTWRVKLSKFMKKYVFASWRILTLLAALFLLFLSTVQALCSVYSCARVVNQLPEIPEGTVE >cds-PLY87212.1 pep primary_assembly:Lsat_Salinas_v7:4:309396466:309398767:-1 gene:gene-LSAT_4X154640 transcript:rna-gnl|WGS:NBSK|LSAT_4X154640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPHLTTTTSVSPAVVTADHTPTAASITHTLTLIHDNNHDPFTKIQAAKEIRRLTKTSQRCRRQFSDAIVPLVSMLRSPSLEAKAAALLALLNLAVQDETNKISIVDAGALEQIVSLFELKNTNMQEHATALLATLSASVAKRSTIGKSGAIPFLVKIIDKGTPQAKFDAVLALSNLSSESDNLKIILESKPIPNLVQILKTSKKSSKISEKCTALLEALVGFEDGRVALTSEDGGVLAVVEVLERGSPQNREHAVGTLLTMCETDRCRYREPILKEGVIPGLLELTVQGTLNSQKKAHTLLRLLRESPYPRSEMEPDTLENIVCDIISQIEGEEQSGNAKQMLADMVQVSMEQSLRHLQQRALVCTPSDLPIASNCGSKVSLKYK >cds-PLY91891.1 pep primary_assembly:Lsat_Salinas_v7:8:196525371:196526098:-1 gene:gene-LSAT_8X126180 transcript:rna-gnl|WGS:NBSK|LSAT_8X126180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDGIEASTLLKFGVVIGIGLYAAGNKFYKVEGRHCAIVFSRIFGTNFGRTSGGLNIITSYDYDAPLDEYVLLSQPRWGHLKELHAAIKLC >cds-PLY81041.1 pep primary_assembly:Lsat_Salinas_v7:6:133214232:133216178:1 gene:gene-LSAT_6X80961 transcript:rna-gnl|WGS:NBSK|LSAT_6X80961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEINHFSHKNHPLKLINSETIVGSSFDGGDKKPQVIGCYACEKPISSGFAYACLQCRYFLHKSCALLPPTINDPSLYHHPLTLTDLKHIKPRSWFCNMCGIQKKPSGFAYTSVEQHNTLFKFIACIDCCVVEIARRAEADAIKEEAKIKVQHEGHPKHTLTLQLRPASFLCDACNAKDEGLFYECDTCDFWIHKSCVSLAPTIILPHHPNHPLVLVYSLPEKFFNFLYYCEICNKYIRRNLWLYQCANCRYFVHIKCALNAVDQPSTLRDSASASIDDEEVDNFLHFPMLEAFTDPLKLVLFEKTAQYNDDDDDKTKINHWCHQHPLTLNVESQPNNMCCSSHPIEVCFGCVRPLSLPYYTCKDGCNSFSLHKYCAELPLKLQHPLHPDHSLALINTNRLLSLINTRGHGKYNQCNGCGSFCNTFLYKCETCEFKLDVSCAFLPNTIKHKSHKHPLIQVIDPVPVCNACNMWSDYISYACNACNFILDMYCAMRVPRSLGHRYCKGHEIPLTYPPVMDHPEDFFCDICEMEMHPKFPLYYCHKCKNSFHLHCISRIDLYANIFHEGTFNVPYHKHPLTFVRRKKTPKYICSNCNQDINGSLILECRSKVCNFNICLECAYNKVMGP >cds-PLY77799.1 pep primary_assembly:Lsat_Salinas_v7:2:170152364:170153440:-1 gene:gene-LSAT_2X91280 transcript:rna-gnl|WGS:NBSK|LSAT_2X91280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRIRCIFQSLELKTFIVLLVLLPSAVAGIYFHGQKVTYFLRPLWQSPPKSFIHIPHYYHANVSMASLCKLHGWELRDYPRRVYDAVLFSNEVDMLIIRWKEIYPYITQFVLLESNSTFTSIPKRLNFAINREKFDFIESRLTYGTIGGRFRKGENPFIEEAYQRVGLDHLLKIAGIEDDDLLIMSDVDEIPSAHTIDLLRWCDGPPPIVHLNLNNYLYSFEFNLDHKSWRASVHQYQKGKTRYVHYRQSDYLLADSGWHCSFCFRKISDFLFKMKAYSHTDRVRFSHYLDPKRIQDVICNGADLYDMLPEEYTFRELIGKMGPIPHSYSAVHLPSYLLTNVEKYRYLLPGNCIREDG >cds-PLY66001.1 pep primary_assembly:Lsat_Salinas_v7:4:139508323:139509172:-1 gene:gene-LSAT_4X87060 transcript:rna-gnl|WGS:NBSK|LSAT_4X87060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCIHICSEDGVVPVETPAPTPAPPALGEPMDTMTALQLVLRKSLAHGGLVRGLHEAAKVIEKHAAQLCVLAEDCNQPDYQKLVKALCADHNVSLITVPSAKTLGEWAGLCKIDSEGKARKVVGCSCLVVKDYGEESEGLHIVQEYVKAN >cds-PLY65519.1 pep primary_assembly:Lsat_Salinas_v7:3:212618:216844:-1 gene:gene-LSAT_3X960 transcript:rna-gnl|WGS:NBSK|LSAT_3X960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPIQLARSISNSSQQFYFNPNYTSDYLLDYIIIWISRCHLTAFSAATERYEELGLFVVNEEDNETLLMHRICSDDIYHKQEDESYHGANNELRELSAVEVVVDGSVTDQPCVTELILHDFYSTVLKSLRKYLVMNSSWILLGVCNLTIKTETSAIWIFVDVLSTQPPGQYDGEFRVTATKADAEYATQFLGKSEKHQLYRELKNCLDVIEPIDGKTVEEVVERVKYANTTLRRVLLSFSFSEFFSENCPLDMIDEDANLSICVLGLCSSGNALASNCHRFILKSSNLISDTVIEYRYSVKHGSAKWYDALEQHFKWLLQYKISPYFCKWGNSMHVLIYTSPWLTSSW >cds-PLY99582.1 pep primary_assembly:Lsat_Salinas_v7:7:189900039:189900356:1 gene:gene-LSAT_7X112821 transcript:rna-gnl|WGS:NBSK|LSAT_7X112821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSYLYIFAIALFSITTNEMCFASRNLLQATTFPTLPQPQIPTIPTMPQPQIPTIPTMPQPQMPTIPNMPKVSLPPLPSMPTIPNFPTTLPNIPFFAPPPSKK >cds-PLY96346.1 pep primary_assembly:Lsat_Salinas_v7:1:28438874:28446908:1 gene:gene-LSAT_1X22980 transcript:rna-gnl|WGS:NBSK|LSAT_1X22980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVFPLYLSYSYLLICYAGVHLASAAVGKNIFLLSGQSNMSGRGGVVNSTWDGYIPPESSPNPAILRLTANLTWEPAAEPIHRDIDIAKVCGVGPGMAFANYLLRKNPSIGVVGLVPCAIGGTNISEWVRGGYLYKQMMRRAAAAVAGGGTIRGLLWYQGESDTLTLEDAEAYKNRLRRFFFDVREDLRLPVLPIVQVALASESGPYTIVVREAQLEMKLANLKTVDAMGLSLQQPENLHLTTPSQVSLGKILTKSFLQVCHYHNL >cds-PLY83874.1 pep primary_assembly:Lsat_Salinas_v7:3:49312401:49312748:1 gene:gene-LSAT_3X38001 transcript:rna-gnl|WGS:NBSK|LSAT_3X38001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLQPPLPGTRSDGVVHEQRIFDAPVALKMVEDVVADAIPPSDVVDALVADEDDEQEPSSSFLSSRSHKESQWQPTMVVAAENTVVTAVSRGCRCHLVPSSPPYASKWVARLIYA >cds-PLY73253.1 pep primary_assembly:Lsat_Salinas_v7:8:211359257:211361279:-1 gene:gene-LSAT_8X131981 transcript:rna-gnl|WGS:NBSK|LSAT_8X131981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVQETGDDENKGTKNKYRRMDSELTDYGNKDPEVVQYNQTQRQRSKRTRKYVFACAVFASLNNVLLGYDVGVMSGAIIFIQEDLNITELQEEILIGSLSVISILGSLAGGRVSDAVGRKWSMGLAAIIFQIGAAVMTFAPTFKFLMIGRLLAGVGIGFGVMIAPVYIAEISPTISRGSFTSFPEIFINLGILLGYVSNYAFSGFSSHTNWRIMLAVGILPSIFIAFAIFIIPESPRWLVMQNRVDEARSVLMKTNEMDSEAEERLSEILASAGAKTGDTAVWRELLTPTPSVRRMLFTGIGIQCFQQITGIDATVYYSPEILQTAGLHDKSRLLAATVAVGISKTAFIMVAILVIDKVGRKPLLYVSTIGMTCCLCGLAIALSLFNGTSIGVELVILLVCGNVAFFSVGIGPICGVLTSEIFPLRLRAQAFSLGAVGNRVCSGIVAMSFLSVSHAISMSGTFFIFTMVSGLSVIFVYKFVPETKGKSLEQIESLFGNEHDSLENEVELSDTQRLVPNQEKSPFII >cds-PLY62585.1 pep primary_assembly:Lsat_Salinas_v7:9:74413427:74421957:1 gene:gene-LSAT_9X62220 transcript:rna-gnl|WGS:NBSK|LSAT_9X62220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPITMKEALTLPSIGINPQFITFTNVTMESDKFICVRETSPQNSVVIIDMSMPNQPLRRPITADSALMNPVSRILALKAQLPGTTQDHLQIFNIEMKAKMKSHQMPEQVVFWKWITPKMLGMVTQTSVYHWSIEGDSEPVKMFDRTANLSNNQIINYKCDPSEKWLVLIGIAPGSPERPQLVKGNMQLFSVDQQRSQALEAHAASFASFKVQGNENPSILISFATKSSNAGQVTSKLHVIELGAQPGKPAFTKKQADLFFPPDFADDFPVAMQISHKYGLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEASSVGGFYAVNRRGQVLLATVNESTIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTKGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKVGYSPDYLFLLQTILRSDPQRNLIREATAFLLDVLKPNLPEHAHLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDSDLWEKALNPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADQSRVMDYVNRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIQSIPRAVEFAFRVEEDAVWSQVAKAQLRDGLVSDAIESFIRADDATQFLDVIRASEDTDCYHDLVKYLLMVRGKVKEPRVDSELIYAYAKIERLSDIEEFILMPNVANLHSVGDRLFDEALYEAAKIIYAFISNWAKLAVTLVRLQQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEFYQNRGCFNELILLMESGLGLERAHMGIFTELGVLYARYRHEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPEAWDHMQFKDIAVKVANVELYYKAVHFYLQEHPDLINDVLNVLALRVDHTRVVDIMRKAGQLPLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDKVYKDAMETASQSGDRELAEELLVYFIEQGKKECFASCLFVCYDLVRPDVALELAWLNNMIDFAFPYLLQFIREYTGKVDDLIKDKIESIKESKAKENEEQDVIKQQNMYAQLLPLALPAPPGMGGGYGPPPPMGGGGMGMPPMPPFGMPPMGSY >cds-PLY62103.1 pep primary_assembly:Lsat_Salinas_v7:6:96238187:96240252:1 gene:gene-LSAT_6X66261 transcript:rna-gnl|WGS:NBSK|LSAT_6X66261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQASRARLFKEYKEVQREKVADPDIQLVCDDSNIFKWTALIKGPSETPYEGGVFQLAFSVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDVRGFQSMAKMYTRLAAMPKKG >cds-PLY99796.1 pep primary_assembly:Lsat_Salinas_v7:9:52974692:52975194:-1 gene:gene-LSAT_0X8040 transcript:rna-gnl|WGS:NBSK|LSAT_0X8040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAQQLMLLAMLLFSFCSPASFSLISNNLVSEKIQDNSIYVNAVRGGGGGGGGGQEAGDGGQANSSPQGGGTGVVPVYAAGAAAGQRRNHKGAASTCNTCWKWRFAILIATSAFLLLHITRR >cds-PLY83841.1 pep primary_assembly:Lsat_Salinas_v7:3:49240609:49240909:-1 gene:gene-LSAT_3X38100 transcript:rna-gnl|WGS:NBSK|LSAT_3X38100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSFENQVKERAEELKKFFSKGAKIVGDSCKKGWHKVKHIRNYNDISLSLRVWNPDRSDIF >cds-PLY80869.1 pep primary_assembly:Lsat_Salinas_v7:8:127826863:127827473:-1 gene:gene-LSAT_8X88501 transcript:rna-gnl|WGS:NBSK|LSAT_8X88501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSCSDYADNYVRLTKYTPNNISPKIIVANFYFLVTGTLAVTPLAPYSPDYSSYYRHWCYNGERRDQILAKTTTDSKLRNTNYIYGAPATPPAAAHKQAID >cds-PLY73727.1 pep primary_assembly:Lsat_Salinas_v7:8:170909282:170917074:1 gene:gene-LSAT_8X112021 transcript:rna-gnl|WGS:NBSK|LSAT_8X112021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTELPEEQEAAITYDVFLSFRGKDTRLGFSDHLYQALVNENISTFLDEEEVETGEELKPELARAIKSSRASIIVLSKNYASSTWCLDELVMILEQRRVSDHIVLPVFYNVEPTHIRKQENTFREALFEHKQRIESEKDVEKKLQGARKLELWTKGLTEVADLKGKDANGRRETVFIDEIVKEISSRLELHTRTKIPHLIGMDMSILTISSWLKGGSSSKSAEILTIWGMAGIGKTTLAKYIYRLHRHEFERSSFVEEIERKCAEQTYALLDLQKQLLRDILRKRIIEEHDVDVCTSKIEKALLNKPTLVVLDGVDNFEQIDVLIGTKGFHPGSKIIVTTKDGSLTEKCSLFRMKFPPKHTEHALYGLSATESLRLLCWHAFGGYDPKEGYEEEAIRASKYCGGHPLALKVLGSSLNNEDVATWSDTFEMLETGEFHNHVHKVLKISFDSLPSQDCKELFKHIACFFVGKDREATEIILKECGIRTSYGMKKLFDRCFLTIGGDNMITMHQLLQDMGRDLVHKESPDKPWKRSRVWKHEESLDLLKNDKGTQRVQGLILDTNLLRKEHNFQNDDVNKSFRADQPIQMIYEFFLRIWLFFARLLLMLSSSHCKKVELRTDALRKMDKLKLLQLNHVKIDGSYKYFPKGLRWLCMHGFHSKFIPSDLPMENLVALDMSYSNLTQLWKKPKLLGSLKILNLSYCEIVRVEGFSWLPALERLILINCKSLVHVCESIGGCDGLVILDLSYCNNLSNVPISISKLKKIKSLSLNGCLGASEFLMRMKDMESYASSSIIPKTPKSILLPSLITLSLKGNNLSNESFPKDFSSMPMLKMLYLNGNPIESLPDCVGSLSRLEFLCVGECSMLKSVLCLPRTIKYLSTSMCSSLIKITFPQEMSAPPFVHYDNSESLTEIEGIIKFQAIAQIDEQILCSLGWTDLQHVKDQKMRIWDSHRWFHLNSLPIQMNYEFGIFSTCFPGKTVPDWLPHKSKGSSISISIAMPSSSMNKKLQGINISFVQTGKIGHLSIKVENVTTNRTWIYYGHIFAVPETDEDIVWLSHWMFGDNEIKNGDEVCVTMLKGRGGDRVMKASMSQKDLQLRIEIKRLLKEAAALSQPSTFAQAAKLRRTAAAKEKELAKSQESLNKDMKTSFGSYERILMISKIVVYTLLSMWHWRVPVASISKELVQPFGWFLSWTAIGSFSDKVMIGIVPWLILSNKVGRYFVKKVFKMA >cds-PLY64048.1 pep primary_assembly:Lsat_Salinas_v7:8:94253640:94253801:1 gene:gene-LSAT_8X66761 transcript:rna-gnl|WGS:NBSK|LSAT_8X66761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLGVIMFHVRCLEYGLIKELLSITCIFCPRRSSKSNDYPAKENNLQYERV >cds-PLY67135.1 pep primary_assembly:Lsat_Salinas_v7:5:291386176:291386940:1 gene:gene-LSAT_5X155401 transcript:rna-gnl|WGS:NBSK|LSAT_5X155401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Steroid 5-alpha-reductase DET2 [Source:Projected from Arabidopsis thaliana (AT2G38050) UniProtKB/Swiss-Prot;Acc:Q38944] MPSDQAFFHYSVISYFLIAPPTFISCQFTTAPYGKHHRKGWGPTISPPLAWFLMESPTLWFTLLLFPLGQHRSDPKSIILISPFIFHYFHRTIIYPLRLRRKLSNGYPISVALMAFTFNLFNSYLQTRWVSEYADYINDGWFWWRFCVGLAVFLGGMAVNVKSDMELMKLKSAGGGYKIPRGGFFELVSCPNYSGEIVEWLGWGLMTGSWVGLGFFVYTCANLVPRARANHKWYMEKFGEDYPKGRKAVIPFVY >cds-PLY90704.1 pep primary_assembly:Lsat_Salinas_v7:8:143223200:143224770:1 gene:gene-LSAT_8X96760 transcript:rna-gnl|WGS:NBSK|LSAT_8X96760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLTHPLGINLWRHQIPKYFTISCHLNHSLTTLDPFRNASNGYGYTSQDWVKAISTHITNCTNIQQLNEIYAHVIRVHMLEHQRAPFYWNTIIRAYTRLSSPSKALYVSIAMSRAGVHPDTYTLPVILQSVSQKNEISIVRQFHSVAIKHGLSTNLFCESGFIRLYSKAGDFNNALKLFDESPERNLGSWNAVIGGLSQSGRARETVDMFLELKRSGLAPDDVTMVSITSACGSLGDFNLALQLHKCVLQAKTLEKPDLLMLNSLIDMYGKCGRMDLAHIIFSRMQERNVSSWTSMIVGYATHGHVNEALECFRAMRETHVRPNGVTFVGVLSACVHCGVVEEGRYYFNMMKNEYGIKPCLEHYGCMVDLFSRCGLLDEAREMVEAMPMEGNVVIWGSLMGGCEKYGNVKMGEFVGKKLMELEPWNDGVYVVMSNIYATNGLWEDVGKMRKIMKEKRLAKVPGYSLGV >cds-PLY65117.1 pep primary_assembly:Lsat_Salinas_v7:4:5205960:5208204:-1 gene:gene-LSAT_4X2781 transcript:rna-gnl|WGS:NBSK|LSAT_4X2781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQLDYGDEEFGGSQKMQYHGDGAIPALADDEIGGEDDEYDDLYNDVNVGEGFLQMQRSEALPSTNIGNGGFHDQKVNVQEPRSEAMVSQDINTNTNTNSNTNINMNIPGVANESAYPKEGMTVDLLQKRMAPLPQVSNDVQMGFRGPQKIPVDPVNITNEPPPSMLNSNPGHQMNVTRPVITENQARPPGETGATTLFVGELHWWTTDAELENVLSQYGRVKEIKFFDERASGKSKGYCQVEFHESASAAACKEGMNGYLFNGRPCVVAFASPQTIRQMGAAYANKNQGPVQSQEAGRRPMNDGGGRGAGGGGAGGGGGGANFSGGDSGRNFGRGNWGRGGQGVGRGVGNMRGRGGMGPKNVNAGPGGMWGDTGMGGQWGGGGGGEEHGQRTRESSYGGEDGGSEYGYTDTGHEKGAQRSNPGREKERGSEREREREREWSGSSEKRHREERDYEKDYKYKEEKDTNNNHNNNNNNNYREHHHRQKEREDDWDRGQSSTRSRSRSRAAPEEDHHRSRSRDADYGKRRRMRSD >cds-PLY65144.1 pep primary_assembly:Lsat_Salinas_v7:9:159430040:159431521:1 gene:gene-LSAT_9X100061 transcript:rna-gnl|WGS:NBSK|LSAT_9X100061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDKGFNEPDDMYNGSQKVLGRVVTVKTNLSWSSEIKGRSEIGEGSSRGGRGGGSGGGGGVGGGGGVLLPSDSQSAPAAPPQLSRYESQKRRDWNTFGQYLKNQRPPVALSHCSYSHVLDFLRYLDQFGKTKVHLQGCVFFGHPDPAGPCTCPLRQAWGSLDALIGRLRAAYEENGGLPEMNPFASGAIRVYLREIRDSQAKARGIPYKKKKKKRNTPILKANEESSSFRMQQS >cds-PLY94977.1 pep primary_assembly:Lsat_Salinas_v7:4:109067088:109068927:-1 gene:gene-LSAT_4X71221 transcript:rna-gnl|WGS:NBSK|LSAT_4X71221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIFLYLGKQDSVEATAQVSKTFNEKIRNYCDMTLLSCAYAGTGNVLKVQHFLSQCAQHLEKGGESFQGPTVLGIGMVAMAEELGLDMVIRSLEHLLQYGEQNIRKAVPLALGLLSISNPKVHVMDTLSRLTHDADTKVAMRKNQPAYEIFIRLIERFASAYDFTFGYNYLIWLRVYLKTYALDQMVQAASSSAGLRTIKRVEQFLQELKVSYYPFLYTFFNARFLLLSSVVYYLSHTSVWNCERRY >cds-PLY86159.1 pep primary_assembly:Lsat_Salinas_v7:6:156781641:156785484:1 gene:gene-LSAT_6X94760 transcript:rna-gnl|WGS:NBSK|LSAT_6X94760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLSEQEVDLFGDLEFENEDTHKKEEDSQQSSSSSSSSSSGGSSSSYSSSNRSSSGGAGSGSGSDSSGGGSVSGGAAGGDGGGRAVAGAEEVEEEEDNGEVKSGYYNQQYGYDDDYNVVEEDKDLFGSDNEEYIKTQIASPFPVPVLPQPPPRNTNNPSRGGFGRGGRWQNDRGGAGILPRPGGPGPFAQRQNYGYGSKFYAPRNDERFVSELKFSKSEETLSRKVIAFQEPSELGCYSRVESGEVYFDDSSLRLFKRLITEDVGADLNQGFDTFIEKKDLGSQGFGDLLACIRSKNIPLQNMHFVTYRNNLNKILATAYLRHEPWEMGVHKRKGVVYLDVHKLPERPKSELDRRRCYWGYCFETLATEDPTRDDGEGIHDVNANVEYCSVIKTKLGAHRILMGAEMDCCDSTDEGRRFYVELKTSRELDYHTEERFEREKLLKFWIQSFLAGVPYIVIGYRDDSGRLVRTERLRTKDITHRVKMKNYWQGGVCLAFADEVLCWLYGTVKENEDYILQFAAPFTRLELLQAQSCPQEITDHVQQL >cds-PLY68105.1 pep primary_assembly:Lsat_Salinas_v7:8:34069508:34072758:1 gene:gene-LSAT_8X27040 transcript:rna-gnl|WGS:NBSK|LSAT_8X27040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFSTALIFLLTVLDILVYYLQKQHEEDNGEQKKSSLSSSSSDQQLSLHEEEHDQRKDLSSSRLLDKRCRCFSLAEIKLATNDFDDAFVIGKGGFGKVYKGKIDFGEEGIDVAIKRLNLDSNQGVTEFKAEIEMLSKFRHSHIVSLLGYHEGSDKREMIIVYEYMPNGSLEDHLHKRKVNGSNSSLLTWVQTLQICIGAARGLDYLHSGTGVRSRVIHRDIKSSNILLDENLAAKVSDFGLSRIGPANLVGTTNVYTDQIKGTFGYMDAEYFSTCRLTRKSDVYAFGVVLLEVLCGRPALDFTLDEQQHSLAVWAKSCIKEGKIDQIIDQCLKGQTTGNCVKEFGRIAYECVLTRSKDRPTMSQVVARLEFVLAWTLQSGPSARDRKQIGRAMFIEKAWSLFLIKSPKSRMSSPTKKLGQSIYNKKTSVATGSQKVLLPNANTKIPILKMFTFSELQSATKNFKQEMFLGEGGYGKVYKGWLDSVTFAPRKPGDGLAVAIKRSSPNRTQGLNEWQAEVKFLGTFSHPNIVKLFGYCWENKEFLLVYEFMQKGSLDMQLFREGVEPLPWDTRIKIATGAAQGLAFLHTTENNVICRDVKSSNILLDGEFNAKLSDFGLAKSGPVKGESHVSTDIAGTYGYMAPEYIATGRLYVKSDVYGFGVLMLEIITGLRASDYNQDGMKQNLVEWATPFLTDIKKLEKIMDPRLGQNYPSEGASKAAELILSCLDSDPKNRPSMEEVVLGLQVIGSVKMEPGRSTSSTRGSMSPCSEQSCPWSPLRGKQGRAVWSMSTRLLGLNVCLGLGSKEDRTWMETNCSKTRKWGN >cds-PLY75868.1 pep primary_assembly:Lsat_Salinas_v7:9:195471820:195483522:1 gene:gene-LSAT_9X120201 transcript:rna-gnl|WGS:NBSK|LSAT_9X120201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKLPADGAAFVAFQSIGCGYDISLDLRLKYVKGAYIGTNPYRMCRLIEIDEDEGRDIMLPGGILVLNVPKSIKCDKGERTRFQSGVLPFQQKFLQMSEQFNHELSLAGIIPSGLFDSMFGFRGNWQKDALRTKTLALDGIFISLYSVALEKTRVLLCDHVKNDVPSSWEPALLARFIENFGTHVIVGVKMGGKDVIYMKQQHASSLEPADVQKRLNEMADKRFLDSYEQLVIDLEHISQDDKDVISICKRRGGSDDRNLKHNEWLHTVQSEPDVITMSFIPITSLLNGVLGSGYLSHAINLYLCYKPPIEELRQFLEFQLPKQWAPVFSHLSLAFGPQPQRDYQNTFSFSGPELHVNTNPVDVGKRPVTGLRLYLEGKRSNCLAIHLQHLSSLPEIFQLEDSVTGIGIYNSDSENRRYYEKVQWKHFSHVCTAPVESEDEQSIVTGAHLHVGFKKVLFLRLHFSKLVGCIATKIPEWDSSPGLESQTTEEVNINSAIYPGGPPCEAPELSEYVDTMEMTRGPQESPGYWVVSGARFVVDKGKISLRVKYSLLTVIFPDDDDDEVSYF >cds-PLY82414.1 pep primary_assembly:Lsat_Salinas_v7:2:184948742:184953098:-1 gene:gene-LSAT_2X108321 transcript:rna-gnl|WGS:NBSK|LSAT_2X108321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVVGLVSGGKDSCFAMMKCLQYGHEVVALANLLPANDSVDELDSYMRHDLSYNMTTGDEVEDMFILLKEVKKQIPSVTAVSSGAIASDYQRLRVENVCSRLGLISLAYLWKLDQSLLLQQMIETGIVAITVKVAAIGLDPSKHLGKEMSYLWSHLLKLNELYGSNVCGEGGEYETLTLDCPLFKYARIVLDEFQLVLHDSNSIAPVGILHPVSFHCEDKPKSDSVSDTNSNSNGFCLENMGSVIEVQSESLETVENKCHPSHSHVPLDLSQLETRKLHTSRTRKDNTFSISCWLQDSETSVDLKEDLKMILLKIESEVNEAGFSWENVVYIHLYISDMNMFGIANETYVNFITQEKCRFGVPSRSTIELPLSEAGLGRAYVEILVTNDQSKKVLHVQSISSWAPSCIGPYSQATLHKEILHMAGQLGFDPPTMTLCGGGPIGELEQALVNSEAIAKSFNCSIMTSAILFVIYCSKSTSKLDRISLQEKQNSFLNQTKRLLNPVFLYVLVPDLPKRAFVEVKPMLFVEDNNNTETEEVVNDLKYEENHFDSCFKPEKWHDECVQTCLVHDRICAVVLSITSENFNCFNVDNAEEKMGKVAKFCIYRLDKVLSQNYYSWDDVTNLRIYFPTSSNISHEKLSLMFKTRFDEFAETTKRLKICNEPIFNIVPVIGAGSCATSMDDIITCELLARKS >cds-PLY97472.1 pep primary_assembly:Lsat_Salinas_v7:6:91679894:91680286:-1 gene:gene-LSAT_6X62860 transcript:rna-gnl|WGS:NBSK|LSAT_6X62860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSGSKRMMWDIGSLSNMVAASVSQQNEQLQCIEKFFHAFININDKAIAELKEKVYASKNLYISHIEALKNVVSLHKASANGSLEDISAMASSNACSVE >cds-PLY72167.1 pep primary_assembly:Lsat_Salinas_v7:7:53094107:53096099:1 gene:gene-LSAT_7X37981 transcript:rna-gnl|WGS:NBSK|LSAT_7X37981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLPEILVFEILSRVDDSAAVACCRAAWKTFNTLSPGLHSINLQWPLSGCIESRSRVSNASSSSQITSPLKTIFLNLLSNLTVVESVRIGVKNQPRDLLHVDVEDKANDLHLTDWDFIMEWLPRVSGSLKTLSISDFWAQSFHRRSNVLFLVSAYCHNLVELEVKNAWLSVDNLNQMLMVTSLTLESMRLNDKELTQLNKSFPNLQVFNLIDVRGFKLPKIHLLHLKTCHWTITDAPPFINVIAPNLITLRIECKKRAAIRVEAPLLTHFHLSIPHADPLLLRRYGSIKTAWIEASLIYPLLAQLRYTDTLDHLTLDSLGLIRGPFGIFKFTLQNLINIFPNMTSLCFRSRAWSAFQTRASIFGIGMEGLKTFCGYLMIVDLSSTLYSVAYVLDQCYNLVDVSLLIHRNVSSNVSKDFMRTCMLRWPKLNWRWGTWEEGKEDSWISDEDLMQISSYKKPELRCVKKQRR >cds-PLY91022.1 pep primary_assembly:Lsat_Salinas_v7:7:179109258:179116224:1 gene:gene-LSAT_7X106240 transcript:rna-gnl|WGS:NBSK|LSAT_7X106240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSNEMQGYIKEAITLGKAIGSDEGKEQSGGTTDSLGDALRIAQHHDGVSGTEQQHMANDYSKRLAIGQKECSLLNISYCPPTKMDLSFGKKLAVVVYNSLGLKRSDVIRLPVVSENIVVYESNEKEVEYQLLPIDFCGVLDQELGLILKLRDMMIGWIHDGF >cds-PLY96299.1 pep primary_assembly:Lsat_Salinas_v7:8:156231492:156235744:-1 gene:gene-LSAT_8X104520 transcript:rna-gnl|WGS:NBSK|LSAT_8X104520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNALHGGCTFGLKIRKVFRTFPLWQIWVIHSSIDFPSSVISLSMTIDILDCPRCCPLLLPQFCICAFTGLWLLSASMALFQDIEDVGGGNICQIGLMLLKCMMIIKRKNELHEDSMYDFYTLQVAHVIYSCKFGMPYIDYQSFCRYFDQEFKGLYESRGEFDMSENGNGDYKKLQGIVNGGWLLIRRAPSSQNFDDQRRVIAIREQVRVMEHLRYVVVVLVGGRVLMERTNY >cds-PLY82447.1 pep primary_assembly:Lsat_Salinas_v7:2:185022650:185023569:1 gene:gene-LSAT_2X108440 transcript:rna-gnl|WGS:NBSK|LSAT_2X108440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNSPCAACKFLRRKCQPECVFAPYFPADQPQKFANVHKVFGASNVTKLLNELHPHQREDAVNTLAYEADMRLRDPVYGCVGVISLLQHQLRQLQMDLTSAKSELSKYQNHHLCHMNGAATHGLIAPAATTTHHHHHDLGINLINVDDNDVISQDHHLYHHPAEFFPPRDHQHTAAIRGLDVKCTYDATGGLGQHMQYQQHRAAGSGNDDRRTPIDPS >cds-PLY99043.1 pep primary_assembly:Lsat_Salinas_v7:6:149015291:149017105:-1 gene:gene-LSAT_6X89780 transcript:rna-gnl|WGS:NBSK|LSAT_6X89780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLLWWWEVDNELDKLACTVLTISVPTLLLLWYKWITYSRKHIPPFPPGPYGLPVVGYLPFLGSNLHERFTEMAHRYGPIFSLRLGRKLHVVVNSIDLVKVVTRDLDQTMANRSPPLTALTISYGGNGVVWSNSNTHWRNMRKILATQLLSNTNLKDCQGFRTYEVRRLVKEVYSKLGTKININEIAFKTEVDVVTSILWGCSKSGEGNDSSSIGGGFREVEFKIVKLLGAPNISDFLPVVSRFDLQGRQREMQRQLEYVDRIFQSIIQGRIETNSRKNEGEAEEDRRKDFVQILLELMEQKDGSISLDIIKIKALLMDIVLAATDTTSTMVEWVISEILNNPGVMRKVQDELTDVIGMNVVQESHLPKLTYLDAVIKETFRVHTPVPLLVHRYPDESCTVGGYTIPKGTIVYMNVWAIHRDPKIWTNPLEFMPERFLIDKWDYNGNNFKFLPFGSGRRICPGIPLGEKMLMYILASLLHSFDWRLPEDEEFELSDEFGIVTKKRKPLIAIPSQRQSDASLYF >cds-PLY95359.1 pep primary_assembly:Lsat_Salinas_v7:5:267446032:267446367:-1 gene:gene-LSAT_5X139220 transcript:rna-gnl|WGS:NBSK|LSAT_5X139220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSGVPNFCVVLLALNDLGYKAKGIRLDFGDLAYLSCDKRKFFETIGMEFGVPRFGKTGITAGNDLNEETLDALNKQASFL >cds-PLY65299.1 pep primary_assembly:Lsat_Salinas_v7:8:104077704:104080943:1 gene:gene-LSAT_8X70480 transcript:rna-gnl|WGS:NBSK|LSAT_8X70480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTSEEWKSLWSISSVHSPPLLLSPATTTDGVKKIGPLIFNPSPKSQTHNHLFTSPSVPHIPPPVSNLSLSRFLHKSSSILPSTTTSIASQLPSSYSNPETLLAFNSLQLLRCPGSNSTLAFFPTGSNSDKIGYVMLSVKNSQLKVRGDHGGTEAFTTKSALNHRIVKISVSPLVDCDCNPGDSTTIGYLLVSTMYSVHYYDIRITMENSGKVTPLLKLVADNLFKRSVVVHACWSPHLPEESLVLLDNGDLFLFDLDSFSRPSLPSPRLKGEKVKVSWDESIVSEKGGWLSCDFSWHPRVLIVAHSTVVFLVDSRPEKCTIIPLLKLGIEHATNDIFLAFSISAPDRFYFTLASTNTVFLCDIRKPMIPLLRWTHYLANPSYIIVSSLSNFRSQSEDTTYNWASESGYAILLGSFWNCEFSLFCYGPDVRTPSSSSSSSSGNCLYAWGLPSDLSLLPNECRCGSCIVKEEFSKDRLPSWINWQQKKEFVLGFGILDSEISSQLFEPDGFGGFTLITLTSLGNLESHRYCASWDYSTQASENGHGKHSQDLEDSFLYETGEEDYKFKKQFQYLKLDWLDGYLKSDLSRILSRELVKNLNKETQKNVSFGDDFHEVICQKIKTFRCGGSLNIHDVFRDVSLPTSIHEIALRRMWANLPKKYLRFGFSTYSNLPDLPMKLKHLPLEFLEVQCHQSHLPPFFFRSPSFRSSKWSDKKKPSNSLVGPVVPIPFLLTFHKTHMLKADNMSADSEIDRECDEVMKVANEVIASESESEAYNVTAVSLADDNEDVLYGSQNQEMFGSYKLKMEDSDFEDEKHTKVVFRIGQKDAKEIFDSNCPLKFKFNEEVTSFGPKEMKSYKLLKRQYSNFKKSFSCYQDYMAKSNIHK >cds-PLY63442.1 pep primary_assembly:Lsat_Salinas_v7:7:150286037:150289755:1 gene:gene-LSAT_7X89841 transcript:rna-gnl|WGS:NBSK|LSAT_7X89841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQEADIGIGISGVEGMQAVMSSDIPIAQFRFLEQLLLAITSSGTKKGEQFLADVNTQLKRNNITTDIKVDTNSNLSTTIVIDEPAPGLKAILSFKVPDQRSGKLELQYLHDYAGICTSIGLTANPIVNFSGVIGNYVTAIGTDVAFDNNKHKHYFPFPVHP >cds-PLY94666.1 pep primary_assembly:Lsat_Salinas_v7:1:41174704:41176211:1 gene:gene-LSAT_1X36620 transcript:rna-gnl|WGS:NBSK|LSAT_1X36620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPFSWYNLHDHDNHEEQSFNFDSESLFLNQIHDQLAPTDWGDEAMAADLASSNSLKEMKNEISISTTANPTTTVIATATSIECLLSGTNGSTDASDEIFSDGKSLWRDNIVTGNNNVSGVSSGDSVTDDGAISQCTSGKLPICGVDAAPKPKRYRSNVGRSTSSNINFQQPGNSSETDMEAIAQMKEMIYREAAFRPVNFTAETVVDKPKRKNVRISSDPQTVAARQRRERVSERIRALQKLVPGGNKMDTASMLDEAANYLKFLRSQVKALEQNSTTITANSISPIITHPSMGIPSPYQNAFSMQLRFPFPHENLYPSPPPE >cds-PLY81084.1 pep primary_assembly:Lsat_Salinas_v7:6:131359555:131359841:-1 gene:gene-LSAT_6X79720 transcript:rna-gnl|WGS:NBSK|LSAT_6X79720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAPKIAPPDMICRDKLLVQSTYVPEGTKEGDVTSNMFVRDGKVVDEKRLKVILISPPDSPESSHVPTV >cds-PLY95174.1 pep primary_assembly:Lsat_Salinas_v7:1:169461004:169462314:1 gene:gene-LSAT_1X114101 transcript:rna-gnl|WGS:NBSK|LSAT_1X114101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFVDPLVENHYTQHIVDSGGDSDSIYWIAIFKNVLGARKGHMRGSGCKCCIPVNVTVTSTATNTAFVMAIKDIIRSFKNQVKNEENNDGEDEDT >cds-PLY84818.1 pep primary_assembly:Lsat_Salinas_v7:8:24103852:24107011:-1 gene:gene-LSAT_8X20820 transcript:rna-gnl|WGS:NBSK|LSAT_8X20820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLOWERING locus D-like protein [Source:Projected from Arabidopsis thaliana (AT3G10390) UniProtKB/TrEMBL;Acc:A0A1I9LNW6] MNPSNETHQQQILPNVHNPLQFTIVLPHSDTNSNPNSSLSNPSNVNDLLTLGIPRKRRRGRPHDTLSSNQVYNLPPEFPNNHQTTQNSFGSNHFDRNPNIGSNQAAQVGVSDEIIVINKEATSEALIALTAGFPADVLTEEEIDAGVVSVVGGIEQVNYILIRNHIITKWRENVFNWVTKEMFLDVVPSHCSKLLDSAYNYLLSHGYINFGVSPLIKDKILAEANTKGNVIVIGAGLSGLAAARQLMTFGYKVTVLEGRKRPGGRVYTRKMEGGNKVAAADLGGSVLTGTHGNPLGILARQLSYPLHKIRDKCPLYRLDGTPVDSKTDTKVEIAFNQLLDKASKLRQLMGEVSQDVSLGAALETFWEVDNNSSNSEETSLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNWRLVHALAENVPILYEKTVNSIRYSSDGVQVAVNGGQVFEGDIALCTVPLGVLKSGFIKFTPALPQRKLDGIKRLGFGLLNKVAMLFPNPFWGTDLDMFGHLSENPSTRGEFFLFYSYANVAGGPVLMALVAGEAARSFESMPPTDAVKRILQILRGIYSPQGIEVPEPIQTVCTRWGCDPFSLGSYSNVAVGSTGDDYDILAESVGDGKLFFAGEATTRRYPATMHGAFLSGLREAANIYHFDTNRAIRGKIEKNPSKNAYVCGSVLADLFREPDLEFGSFSVIYNKKDLGAMCVLRVGFVKKGQESWKEGQLYSNKLIFQQLQSHFNQQQEVHVYTLLSREQVLELREVKGGDEMRLNYLIEKLGVKLIGRKGLGPGADDVISQIKAERSKSKPAVTVKSGTLKPKSAMKQKLVRKAKILRKNNNQLLPVKGVGGNDVEVKVGGSSVSGMTKLVVNESIPSVNMNVGEGLIGNFSASASASASDPPNVEGLGVGVGAASHDWDLVELDGEML >cds-PLY98667.1 pep primary_assembly:Lsat_Salinas_v7:5:303165481:303168772:1 gene:gene-LSAT_5X162860 transcript:rna-gnl|WGS:NBSK|LSAT_5X162860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWVIKTQSLEPEDISPPPDEDCRRAEGGTNFYKAVLYMFLFLVVVERIKNNVIGDKIDPKAVLNLDPGLGVLDAFFASLSMILVSEIGDETFIIAALMAMHHPKSIVLSGALSALFVMTILSTGLGRIVPNLISRKHTNSVATGKVGGRKEYEAT >cds-PLY62057.1 pep primary_assembly:Lsat_Salinas_v7:2:56265954:56266578:-1 gene:gene-LSAT_2X25560 transcript:rna-gnl|WGS:NBSK|LSAT_2X25560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDRWIVGFLILDFPSISALHPSSLIRSLGLIGKRNLLTVTQLLFLVLFLWICVTLTSFTTTLFPPQVNNYGIGGLMEIMKLLEIQYHCFIKEKNERRNLSPPPLSFVSMHAES >cds-PLY74611.1 pep primary_assembly:Lsat_Salinas_v7:7:41637281:41639493:-1 gene:gene-LSAT_7X31600 transcript:rna-gnl|WGS:NBSK|LSAT_7X31600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRRTAKTLVGKLSSVSEQTRTESLCELRLMSKNDPETRTLIAEAGAIPYLSEILYSPSAIAQENATATLLNISISSREPLMSTRGLLDALSHALRNPASPSTAQSAAATLFSLLTVDTYRPIIGSKRDILYALIDIIRNPNSHPRSIKDALKALFGISLYPLNRATVIELGAVPALFSLVVKDGRLGVVEDATAVIAQLAGCEEAGDAFRKVSGVGVLVDLLDLSTGSSARTKENAVSGLLNMVQCGKKDVGEYVKEMAYIVCNGISDVADNGSSKGKNKANELLKLIDGASGMSRSQEMQPDTENLENGRWSWSNSSV >cds-PLY86571.1 pep primary_assembly:Lsat_Salinas_v7:9:4886444:4890979:1 gene:gene-LSAT_9X2460 transcript:rna-gnl|WGS:NBSK|LSAT_9X2460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPPTLYIFTSYLGSITTTMSSTGGSRRHSNMTTPGNSKRNSNIVNIEGEEEDHDYQIHSHQTPDPLLSENPLPGLKRHDSLDMESAKIQGHHGHHGTKDWGVILRLAFQSIGVVYGDIGTSPLYVFSSTFTNGIKHEDDILGVLSLIFYTITLIPVIKYVMIVLHANDNGDGGTFALYSKLCRNAKVGLLPNAQAEDREVSNFELELPGNANTISSRVKKSLENSEFAKYCLLFGAMLGTSMVIGDGILTPSISVLSAVSGLKEATDSMTEGRIVVVSVIILIILFMVQRYGTDRVGYSFAPIICVWFALISGIGVFNFFKFDPSVAKALNPKYIVDYFKRNNKDAWISLGGVVLAITGTEAMFADLGHFTVKSIQISMGCVVYPALITAYSGQASWLRKHQDDVADTFYKSAPDALYWPVFVVAVMAAIIASQAMISGTFSIIKQSLSLGCFPRVSVIHTSDKYEGQVFIPEMNYLLMVGIAVIFAETLTSSFMVVIMLVIWKTNALLVLLFVLVISSTEYIYLSSVLYKFGEGGYLPFSFAVVLMFIMCTWNFVYRAKYNFELDNKVSREVIKDIVTDTSISHMGGLAIFYSELAHGIPPIFKHYIENVPALHSVLVFVSIKSLPVSRVAPEERFLFRRVKPNDLYVFRCVVRYGYTDVRNEKESFEKILIERLKEFVESDYGVLEERQQGRVSDEDVVKLDQAWRSGVVHLVGEHEIVSRAGSSIGKKFLIDYAYNFMKKNLRQSYSVFEIPHKRMLKVGMTYEL >cds-PLY63944.1 pep primary_assembly:Lsat_Salinas_v7:4:76715323:76716088:1 gene:gene-LSAT_4X51900 transcript:rna-gnl|WGS:NBSK|LSAT_4X51900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAVAARSVFRSASTFTTVGRAASRISAGAKPNATSSARSPFRLPSQNPLSHRIFRSPVEMSCVSIESMLPFHTATASALLTSMLSAAPRTCGWTLEEEANMFLFCVTNVHR >cds-PLY68755.1 pep primary_assembly:Lsat_Salinas_v7:2:211662337:211663744:-1 gene:gene-LSAT_2X131220 transcript:rna-gnl|WGS:NBSK|LSAT_2X131220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNEQRFAIGGQERLSRSNGYLSELAAAAFVCRGGVQRVHLLDGSISGVLLKELFQRDGVGIMVASDLYEGTRMGKVDDLPGIRQIFKPLEDSGTLVKRTDEDIL >cds-PLY70762.1 pep primary_assembly:Lsat_Salinas_v7:8:8621418:8622775:-1 gene:gene-LSAT_8X6781 transcript:rna-gnl|WGS:NBSK|LSAT_8X6781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKVLESLNPNPHPTLDLDKVICFMEIANAVDCELEKAMPAYGFEIVQTLNVDIEPYERMKKAMNEIKIATWMRLAGIEKGEAKKIVQIKRAEGEAESKYLSGLGIARQRQTIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMREIGVASKSSTMFIPHVMAL >cds-PLY93401.1 pep primary_assembly:Lsat_Salinas_v7:9:58697222:58703484:1 gene:gene-LSAT_9X51940 transcript:rna-gnl|WGS:NBSK|LSAT_9X51940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCHTHMEIDIGDRVNFITGQNGSGKSAILTALCIAFGCRAKSTDRATTLKEFIKTGCSYALVHLEIKNQGEDAFKHGIFGDVIILERRITESTSTSVLKDQQGKKVATRKEDIRELVEHFNIDVENPCVVMSQDKSREFLHSGNDKDKFKFFFKATLLSQVDDLLKSVKDNLDKANSEVVELERSIAPIEKELNELQGKIKSMEHIEEISQKVQLLTKKCAWSLVYDIDKQIQEETARIKKFEERIPQCQARIDQQIAKVADLQDCLDKKKAQSAVMMESTSKARKRKSELEQKLSLATKERIELEQEYGRRRNNVAKMAKRIKLLEQQINDVSEQHMKDTQAEEHEMENKLKELQEEINVAESDCLRLKNIEDDLSERLAIARDELKEITSEIDEYEKKISDSQRRIRDLRLNQTNKVTAFGGYKVTSLLKAIERNHHKFKKPPIGPLGAHVALLHGDKWAVAVENAVGKLLNAFIVTDHKDSLLLRSCAREANYHHLQIIIYDFSIPRLQIPNHMLPQTNHPTAISVMQSDSPTVVNVLVDVGGAERQVLVRDYDMGKTIAFDQRIPNLKEVYTLEGQRMFSRGSAQTTLPPNKNARSGRLCSSYDDQIKIYEREAIHMQEEAKQIRGKKRTFEERVKNLQDEFQNAKRQRMNSEKCVMSKNLGLKDLKNSLAAEAEAAASSVSNVDELHQEVSKLQNDKNQFEMSLEKIQERLQEAEAKANELKVSFEELCESAKADISALDKATGELTQIEEDLRAAEAEKRHYEGLMQQKVHKGIETAKQNYKALESERKASYEKASIICPESEIESLGGCDDTSSDQLQAHLTRLKQRLQQESQRHQESIDELRMMHDKKQRKIIKQQKTYKAFRDKLSAIHTALDKRWSKFQRNATLLKRQLTWQFNGHLRKKGISGLIKVSYEEQKLSIEARGERSFSTLCFALALHEMTEAPFRAMDEFDVFMDAVSRKISLDTIVDFALAQGSQWIFITPHDISMVKHDERIKKQQMAAPRS >cds-PLY99303.1 pep primary_assembly:Lsat_Salinas_v7:7:179396442:179399491:1 gene:gene-LSAT_7X106621 transcript:rna-gnl|WGS:NBSK|LSAT_7X106621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACRNEHSDAYTETTDEEEEVAEKEYEENIYSVCSPSGRARIDPRSPWVQEWNRVFLLVCAMGLFVDPLFFYTLSISESCMCLFVDGWFAVTVTVLRCMTDALHLWNIWLRFKMKRSSPLDERRFSTDESIVRNVLTRLMTEARNRFSLDIFVVLPISQAVGACWYLLGAQRTSRCLKEKCMETNGCMPRVLTCENFMYYGTNKLVIRDTWRLLWGESRSTRTTCLQGSDSFSFGAYKWTVQLVTNESRLEKILFPIFWGLMTLSTFGNLESTTDWLEVVFIIIVLTTGLLLVTMLIGNIKVFLHATTSKKLAMQLKMRDIEWWMRRRRLPQEFRQRVRNYERKSWAAMRGVDECEMIRNLPEGLRRDIKYHLCLDLVRQVPLFQHMDNLVLENICDRVKPLIYTNGEIITREGDAVQRMLFIVRGHLQSSQYLRDGVKSSCMLGPGNFSGDELLSWCLKRPFIERLPSSSSTLVTLETTEAFGLDAEDVKYVTQHFRYTFVNEKVKMSARYYSPGWRTWAAVAIQLAWRRYKHRLTLNSLSFIRPRRPLSRCSSLGEDRLRLYTALLTSPKPNQDDFEF >cds-PLY70193.1 pep primary_assembly:Lsat_Salinas_v7:9:387592:390384:1 gene:gene-LSAT_9X5281 transcript:rna-gnl|WGS:NBSK|LSAT_9X5281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIALDLANHGAKTSIVVRSPIHIVSRWSVNIGLMLLKFVPLYLVDSLLVLLSKLTYGDLTKYGIQRPKEGPFFLKVRDGKYPVINVGTFKKIKSREIQVLPALKSITGGGNEVVFENGKCHQFDVILFATGFKRSTHLWLQGYDCLLKKDGLPKLIFPNHWKGENGLYCVGLARRGLYGAAMDAQNIADHIFNLISK >cds-PLY65308.1 pep primary_assembly:Lsat_Salinas_v7:8:104067754:104071015:1 gene:gene-LSAT_8X70460 transcript:rna-gnl|WGS:NBSK|LSAT_8X70460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLSNQGASAIDKKLITTGKTIRLNPDAAEFVPFALRSPSASVAAPDASSSFGNFGATTPGKAVLDRSESSVSNNSDDEAHQYWRHQLPDDITPDFHVAGEEDPQGINTLPFSTLSLADVNETNGNSFNKKMGYPISSSSASFQPAKPWDIHGDQLHNGPSYNGDPVHGYLDDMLNEQQMEGTEVNPLEFLASQFAGFAAESLADVYFANGGDLNLTIEMLTQLELQVDGGGLNQNLNSKALSSPNLSAMDFPALSPTDDQNGVQQHINPYRPLEKENLLMFKSSSGGAPLPSGGATDFASAVRKMASQDSSIWKYNRNPSQDSTIGSSRSSHVLASAYNNGGHGRVSYGDRLGGRSSNRSAPVWLETGDAVANMYSEMRGEARDHARLRNAYFEQARQAYLVGYKALAKELSVKGQLHNMQMKAAHGKAQESIYRQRNPVSPSMQGRGGGGGGGSQERLIDLHGLHVTEAIHVLKRDLTMLRNVARSADEHVQVYICVGTGHHTRGTRTPARLPVAVQRYLLEEEGLDYSEPQPGLLRVLLY >cds-PLY77986.1 pep primary_assembly:Lsat_Salinas_v7:MU042443.1:602861:604823:-1 gene:gene-LSAT_0X13881 transcript:rna-gnl|WGS:NBSK|LSAT_0X13881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEELQMQEAGKGPRKRNIGHKKGKGKKKMKVFHGSGQQKVKMDGKTKKLFRKRARDYNSDDSEDDDNDIEEEEEEAAPPLKFEKKQVHIEDEEDEEEDDEKSSDEEVDVGNDVSDDEDGEIQPGIMKFFEGNTSFKKAFKKIIKRSGTDDVLGPVLSAHKKLVVKKLAEDAAEKKVKGDAKKEKTLLGEKGHVKPDAFSVPHEKLLIGVATKGVVKLFNAVNKAQSSQKGLNPSRSKDAKVIQKRRKEAFFSELGKPSSTVSTSGGGGDGEGPAWAPLRDNYMLTTSKLKDWDKAADAPEATDDFGRQDDSSSDEDD >cds-PLY83506.1 pep primary_assembly:Lsat_Salinas_v7:4:127568440:127568712:-1 gene:gene-LSAT_4X80500 transcript:rna-gnl|WGS:NBSK|LSAT_4X80500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQIHRPPILSKTQIGFLIAAFLISLPFLLKRLLAGETLLHDKRVWLSSSVFIYFFSVSGTMHNIIRKMPMFMSDRNDLSKLVFFYQGSS >cds-PLY61643.1 pep primary_assembly:Lsat_Salinas_v7:2:47012105:47012473:-1 gene:gene-LSAT_2X21560 transcript:rna-gnl|WGS:NBSK|LSAT_2X21560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAQLNSPMKEFSSPQLNVAASQKEPNTPLPFALDTHQKKKKYCSISPFFHSSNEVISCDSELPSSSSLKIDCSHPFFSRTEHPSVVYALFTSVNRGNKMVMIYGCGLSDFFTRWMLSLGLP >cds-PLY66617.1 pep primary_assembly:Lsat_Salinas_v7:4:283081566:283082204:-1 gene:gene-LSAT_4X144340 transcript:rna-gnl|WGS:NBSK|LSAT_4X144340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNRWHGRVNRSFVEVVVGGNKSRKWAPPESQKKLDPNRIIDDSLLKGWMRSRKTLIGELHSFDHLEKAQYSFKNCDGSECELKYLGGLRIGDKFINEISRDAFMSGWTKWFRSVDSGDVASFNFERVAWLKILGLPPELWSEENFLIIVKSVGRVIVTFEVDQSNTNLAYNKVGVLTNTLSTLSGEPIIEINGRTMKIKIIEVDLDWTPSQ >cds-PLY89168.1 pep primary_assembly:Lsat_Salinas_v7:3:22213935:22217381:1 gene:gene-LSAT_3X16460 transcript:rna-gnl|WGS:NBSK|LSAT_3X16460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRGRRSEAFWPSMVMKKWLNIQPQHNDFSEDEVDTETESEDDVCSLRNERIHFDKKCARRTQRNMSSCTSHTLDGMPGKGVIKHKRGKSETLRVHYIKPKDVRMTIGTWNVAGKLPNEDLEIDEWLCMDQPSDIYILGFQEVVPLSAGNVLGAETRRPISKWEAIIRKALNKSPEPVAIPKSFSAPNSPISNDNGELSSIKINLPETKVINKLDWPEKALDLKPKVLSAGAKLRRVLSSNARVGSSDWLAPQDNTFTGGLKRVHHSSGDLGMLWTEQEERTDMVDSFDDVSEQVLEEEDDFFGDTTELEYENSPLDDQVNSHHRYVRIVSKQMVGIYISVWVRKSLRRHINNLKVSPVGVGLMGYMGNKGSVSVSMSLYQTRLCFVCSHLTSGHKDGDEERRNSNVSEILKRTRFSSVIDPDQPQTIPCHDQIFWFGDLNYRINKQDAEVRKLVAMKQWDELLYSDQLCKELRRGHVFHGWNEGAIDFAPTYKYEMNSDKYVGENPKEGEKRRTPAWCDRILWLGKGIKQLCYNRAEMKMSDHRPVSSVFVIEVEVFDPRKLRRALNLTSAAVHPEIILDEGLEL >cds-PLY70313.1 pep primary_assembly:Lsat_Salinas_v7:4:100704040:100708087:-1 gene:gene-LSAT_4X64720 transcript:rna-gnl|WGS:NBSK|LSAT_4X64720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPMVLRTPPVKCYSKNSSPVALPPGSFSSGGGGGGLDYIEHTVSKFDTLVGVAIKYGVEVVDIKRMNGLIADQQMFASKSLKIPLRGKHSPSPIMSNRSTKHVVICDSDTHSDLFDSIKSLKLSAYCQLDNSLCMNNFRIYYGSKPNDGNDISESLEMSVYRKESSYYFENEHKTSQTSNSFVGVHQKTKIVVDAVLETDDMSITSSKNDGFDKYFEKLVRRRNGKITIH >cds-PLY89194.1 pep primary_assembly:Lsat_Salinas_v7:3:22607385:22613252:-1 gene:gene-LSAT_3X16881 transcript:rna-gnl|WGS:NBSK|LSAT_3X16881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRVLDLSRNRLHSLVPVMPNLLELDISYNKFKRIEHRWCHLKVLSASNNHFDAEIIDSPKYLSQCSQNALDLHEGLNGTFLQPHGRLSNLRGIDLSSSGLTGPIPESLGRLRFLEVLDLSDNQITGLIPESFGKLAALIDVYLEFNRLMGPIPTSLGRLGLLQTFWAYSNFLNGTIPVSIGQLTKLDSLRIANNSLEGVVSEAHFANLSMLKELDTSSNTKLTFNVSREWVPPFQLVKLQLSSCNVGNGFPQWLHNQRKLEKLVLSNASISGPLPTWLQKMKIIPFLDLSHNKLSGPLTNLPNRGYFGGLSPLLLENNLFNGSIPSSLCTRKDLDYLDLSRNRLTGKIPKCLWNQERLTTMILSSNKLSGVIPSYIALTSLVRLSLNDNNFIGELPRELGSLGRLTVLDLGDNKFSGSMPEWIGENLTSLMVLRLHKNNFTGRIPLSLCKSSHLQILDVAYNKLTGTIPRCLGELNFMAEGNPLFWHSSSIDYDENVIQAMKGVDLEYATTWDQVYNMDLSSNKLVGEIPVQLTGLHMLLGLNLSNNHLSGGIPDDIGNMTQLFSLDLSRNELTGRIPPSMAGLNFLSHLNLSYNNLSGRIPTGNQLQTLVDPSIYVGNKDLYGDPLPKNGSNHQDPITDESTKIHQDKAGDEPRNVWLFFLDIMYGFATGFWGIIGVLLFKKQWRQKLFMFVEETMDKIYVAVVVRVAKMKRGREAHERIALLKFKHSVEDNSGMLSSWISSDCCRWERIHCDAITGNVKSLHLRGNNDDSEGGHYLVGNEVNSSLAELRHLKYLDLSGNDVGGIRIPEFIGSLKQLWYLNLSRSFFHGIVPPQIRNLSNLKVLDLSENYELMIDDMAWTFGLSSLEQLDLSFNDLSRAQNWDMVLYMIPSLKKLSLSSCGLSNVDIRLSLNSSKILPNIRHLDLGFNSFKGPLPPFLQNMTSLAFLDLSGFNISLAWNFANLLSMIPYLSELHLSSCELNKTFLSSRHLNFSTLSNIQHLDLRFSSIGFMFPSVLTNISSLSVLDLSGNRLNSSVPIMPNLTGELPGKLGNLRNLGDINFVEVTQQQLHGWNSTIFVQSFIPSDSGSCTQ >cds-PLY63495.1 pep primary_assembly:Lsat_Salinas_v7:9:137145586:137147139:1 gene:gene-LSAT_9X88301 transcript:rna-gnl|WGS:NBSK|LSAT_9X88301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLADASVTDEPEVQSEEEKLKHLDFVEDAVQQVVGIASSVYDYVKDKVGAIPGVETIETTVKNVVGPAIEKFQDVPGEVLKFVDRKVDEVLPSSVKDATTTAKSFSTEVVSDVKNNGLVETAKELLVKIEPVAEEYASSAWETLSQIPLLSKIVNAFAPAATLVADKYNETVQQTAEEGYKVSSFLPLVPTEKIAKVFTIPEAEPAKAAGGEEAAEVAGGEEAAEVPAEEGETVQEE >cds-PLY83899.1 pep primary_assembly:Lsat_Salinas_v7:3:52681382:52681866:-1 gene:gene-LSAT_3X39421 transcript:rna-gnl|WGS:NBSK|LSAT_3X39421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVARPVILDKNLKNPESFFDNDRGYLIPGIGRGIKPKCKDGFNPFTYNPVTGGNDGFLGDVPTVPSVGGGNYVPGGDDTFVPNPGVEVPNPGNNGGISPGPSSK >cds-PLY79665.1 pep primary_assembly:Lsat_Salinas_v7:5:252062759:252065869:-1 gene:gene-LSAT_5X126901 transcript:rna-gnl|WGS:NBSK|LSAT_5X126901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNMIVKEKTESTTLFNFPINEIGVEGINLTPIMGQKTNDQTENEDKEGNGEEDNDNGASQPEVDYLLDSNEADNEGIKNDADKNQKEGEIGVKEKDGKRNENQNDEEEKDDHAEETNNHEETIQQTENQNLLDKVVDNIVDNVLGIGVSSLNSQEDEIWNHPEMKTIFDNIDIGSPMSTGKTNTLAEKEKSEGVHEQGTKVEKTKGDDTGKENSEDRNEGGTEAKNTKDGGEEKQTEIEKGNAEDRVLYLSGKKKSENQNKKGEKADKTKGNKGDTLPSFSLGLSQDSNQKKSENQNKKGEKADKTKGNKGDTLPSFSLGLSQDSNQTSSKKSNESSPKKSLTKKQIKDDHHKNNRHKTQTDTLGGIVCEWLFNLQGNTSDVLFQTKYGQIAERAVMESLYANTEIFGEVLDTWSDLLNHQELERDFGNSPYRLFLKVGVSTAYLTSTLSDKRKYENFKENFHDSTNGYKKILNIKDIDMVFFPVVISAYIFVIVFNLKKPSIEILDNGAVEGDYEGKYGVIMKPLKNLFVRYFKEINHPRANAISKESIKPQRLEMSWRTVKNKVDCGVFAMRHMETYMGQPLSKWKPGLHKESAVQQTTLEKLRQRYAHIMLTSEINMLKAKVLDLAEKYQKVEFKVRTDHVYKAMQTIQKRLKEY >cds-PLY69948.1 pep primary_assembly:Lsat_Salinas_v7:4:68180642:68181315:-1 gene:gene-LSAT_4X47760 transcript:rna-gnl|WGS:NBSK|LSAT_4X47760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEEFQESELIFPEKPSGNGKELRYPKVDKRKSDKKKPKKSSVPMSIREEFSGSSWIRHYLQTHSIDDDDADVDERVPPHVILERRIAGKAAFSLCSGNGRTLKGRDLSEVRNSILRMTGFLES >cds-PLY88042.1 pep primary_assembly:Lsat_Salinas_v7:6:183678124:183682051:-1 gene:gene-LSAT_6X111860 transcript:rna-gnl|WGS:NBSK|LSAT_6X111860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVSTSFLFFIGAFVLEMSIVHANHENFQNCWKSQHAGIGVMVEKSSRIGREQTIAMEMAIHDMCRITKSACSCPILHVKDSQGNPARSVYEVMDLMDHKQVHAIIGTISPEEATLVAEFDKATKNIPIISLTPTATTSFPQSAALTSFVQMSHDITTHTQCIAAIIGHFNWRKVTSIYEDHGTFSSSQSLLTHLSDALQLVGSTIECHFTFPPLHYLSNPSIFIEEKLKKLKMKGNKVFILLKSSLASCILLFEKANQLGMMEKGYVWIISDDISSLLDSVDQSVILSMQGVVGFKTSFKDTSESFRDFKLQFRSKFRTKYPNEEYSNPSIYAARTYDATWAVVKSIQASNGLSSSKDILESILQTEFSGVSGNISFEDGKLAQPPTFTIINVIGRSYREIDFWSPDFGFSKHKLGNNGEGSLDLIYWPGGTQKIPTGQIWSGNEGKPLKIGVPAKGAFNQFVKVSYDQNTNKTSVTGFSIEVFEAAVKQLPYSLSYIYIPYNGSYDEMVAEVYNKTLDAAVGDTEIMADRYEYAEFSQPYIDSGLVRVVPIKSNSMKEGFIFLYAFTAKMWIILLAMTIGTVSIVWFNEHVHGNEDFEASSSLECISRMLWFAVAVLSLAHREVIKNNLSRLVLSTWFCVNVIVAACFTATLSSIMTVSTFQQSLDHLGNNDVVGCNGNSFIVRYLVNVLHYKPENIRNINSIEDYPEAFKKREIAMAFFVSPHASVFLGKYCHDYEKIGPTYKLGGFGFVFQKGSTLVEDISEAVLKLTQNGEINTLNENMLRSSSNCSLLAQESNGPRGTLGPKPFTGLFMISGSISALVFFTTLGRLVADHQASIWSLTLSTLIMRRIGKWLMLLLIQIRVIQCGPNVEMTPNQETA >cds-PLY73736.1 pep primary_assembly:Lsat_Salinas_v7:8:171108992:171109900:1 gene:gene-LSAT_8X111880 transcript:rna-gnl|WGS:NBSK|LSAT_8X111880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFCIEVGYFDTMKEIKEKVTKYHGIPVHEQTLMFKGRILPDDLNIHNSNIFDSSHLKLMINSPTKSTTTTTSPTTDPQQPPPHITTTAITITKVKIEETFSPASCSSSQKIKLFLKTFGVAIEMDPNDSVLKLKEKINEMEGIPFSRMIIHANGNELHDHKTLHECQIVDGSEVEITLKPPTPTPMMTITTTTTTTTATALCNLSMNLMGHNNCSKKLKVNVMSKCGDKITLEVNPLSNVGELRKELQKVRSQGVGFRLPEEGYFFIYKQNVMEEDQSFRWHQVAQGDTIEIFNGCVTGGS >cds-PLY65679.1 pep primary_assembly:Lsat_Salinas_v7:5:271449800:271452284:1 gene:gene-LSAT_5X141980 transcript:rna-gnl|WGS:NBSK|LSAT_5X141980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPASFPQYVTQAITGPTPDPPGVKLHKEGITAKHPVVFVPGIVTGGLELWEGHRCMDGLFRKRLWGGTFGEIYKRPLCWIEHMSLDNETGLDPPGIRVRPVSGLVAADYFAAGYFVWAVLIANLARIGYEEKNMYMAAYDWRLSFQNTEVRDQTLSRMKSNIELMVATTGEKVVVIPHSMGALYFLHFMKWVEAPPPMGGGGGSDWCGKHIKAVMNIGGPFLGLPKIVAGLFSAEAKDIAVARAVAPGVLDLDIFGFQTLQHAMRMTRTWDSTMSLIPKGGDAIWGTLDWAPEEDHGCVAKNLKKNDTQKTSGNGLKSLNYGRIISFGKDVAQLHSSKIERVDFMGAIEGRNYVNRSCGDVWNEYHEMGFGGIKAVADYKVFTVESVLELLQFVAPRMMKRGSAHYSYGIADNLEDKEYQHYKYWSNPLESRLPNAPEMEIFSMYGVGLPTERSYIYKFSPSSECYIPFQIDTSAEGGTHQSCLQGGVYSVDGDETVPVLSAGYMCAKGWRGKTRFNPSGIKTYVREYNHAPPATLLEGRGTQSGNHVDIMGNFALIEDIIRVASGATGNDLGGDRVYSDIFRWSERIKVRL >cds-PLY93934.1 pep primary_assembly:Lsat_Salinas_v7:6:6673014:6674438:1 gene:gene-LSAT_6X4381 transcript:rna-gnl|WGS:NBSK|LSAT_6X4381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDAFTIQISGNLVKQLADNTNKVKKKTRKPKPKTPQQHPIPPPPPPPPPPTAATWPPMYLPIPPPSPPEVNAIRSVLEDSERVLEKLQKQEDAMLFEVTQKAKELHEKEFKLPEAKPMPCLTDLTACLDCYKENVKDPLKCSTLVKNFADCARTIRQQIRELK >cds-PLY94103.1 pep primary_assembly:Lsat_Salinas_v7:8:29578278:29579484:-1 gene:gene-LSAT_8X23901 transcript:rna-gnl|WGS:NBSK|LSAT_8X23901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQKWQEASNHVLCANNCGFFGSPTTLNMCSKCYKDHCMKEQQMSTAKIAVEKSLTHPQHESETSLAESSSSSSSAVASVSVLPDQPISNPVDADLVSPSSNDVKPQQRNRCGSCKKRVGLTGFTCKCGTTFCGTHRYPEKHDCSYDFKTVGKEAIAKANPVVKAAKLEKI >cds-PLY73460.1 pep primary_assembly:Lsat_Salinas_v7:4:180259997:180262426:-1 gene:gene-LSAT_4X105500 transcript:rna-gnl|WGS:NBSK|LSAT_4X105500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPLYMAVLFGHHDVAKYLYESSHKLGHTCWTPQNRKWLLHKCAESNMFDIALQIVKDRQELGSTGSVLQVLARKTDAFAETESNIIMRTINWLFRVMHPKMGVSEKENKAKALELLKIVWQNIAEKPKVEIDGILRGPPDNPINQDDKPAADKDNQTLQLLKLIADNVVKMQKNKEPVATASEGLNAVSEHNVKTKYSSRILFVAAEMGNTRFLVELIRKYPDLIWKLNDDGQSIFHIAVKHRHEGIYNILYEIGSMKDLITPLKDKNDNNMLHLVGKIAKKKQLEDVSGVALQMQRELLWFKEVEEMIPPSYREMKNKDGLTPHDLFTKEHKDLVKQGEEWMKGTASQCMVVATLIATIVFAAAFTVPGGYNQDEGIPFFYRKRTFIIFVLAYALSLFSASTSILMFLSILTSRYAERDFLESLPKKLMLGLATLFLSITTMMVAFSVSFFVLYHKDLKWIPILITLFATMPVLLFATLQFPLLKDVFQSTYGSRYLFLPRKHVLYYENSFKHRWFPFTVPFISRCTSKIWKLLQVQ >cds-PLY96933.1 pep primary_assembly:Lsat_Salinas_v7:1:142799560:142800672:1 gene:gene-LSAT_1X104961 transcript:rna-gnl|WGS:NBSK|LSAT_1X104961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQKATLFKGQQKRKTIPPSRHGKAPKTRKGKRVVKPATVTKEMDSDRDLSKFINYCNEVKAATAANKEGGQLSIVKHDTEGAKKK >cds-PLY66038.1 pep primary_assembly:Lsat_Salinas_v7:4:284309433:284312571:1 gene:gene-LSAT_4X144080 transcript:rna-gnl|WGS:NBSK|LSAT_4X144080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFGSNLILVFVGLIFVGSRLIHGFGTFGFDIHHRYSDPVKGILDIDDRHLPQMGSVDYYSAMAHRDRLFHRRRLAGAGDATVESSLAFVDGNETYQLPSLGFLHYANVSVGTPSLWFLVALDTGSDLFWIPCDCRSCVKGLVTRSGRLDFNIYSPNTSSTSTRVPCDSSSCRLRKQCSARPEICPYQVNYLSSNTSSTGILIEDTLHLTTEDTSLKAVDAKIKFGCGMIQTGSFLDGAAPNGLFGLGMENMSVPSILASNGLTANSFSMCFGSDGAGRINFGDTGSLDQGETPLNLDTPHMTQTVVGDNVTNVDFNAIFDTGTSFTYLNDPAYSIISESFASQTEETRSQPSDLPFDPGQQTFEAPPLNLTMKGGDQFSITNPFVNVPLEDGGSVLCLGIVKSEDINIIGQNFMTGYRVVFDREKNILGWKPSNCYNAIESNTLPISPRASPKGSPSMSVGPEATARNGSPSSSQMQPGNGAYGLMTSSYTYFIVIVANFFMVLL >cds-PLY91901.1 pep primary_assembly:Lsat_Salinas_v7:8:203222781:203225534:-1 gene:gene-LSAT_8X129441 transcript:rna-gnl|WGS:NBSK|LSAT_8X129441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIFRIVPSNIKDLCLIISSLSLFYLLSHPLTTPIPTTPTAISVVTPPPTTIRHILFSIASSHKSYNNRKPYLRLWYKPNSTNAIVFLDRPVTDSNSDSDLPPVIISGDTSRFPYTFPRGLRSAIRVARIVKEAVESNESEDIRWYVFGDDDTVFFTENLIRVLAKYDHERWFYIGSMSESYEQNFQHSFNMAFGGGGFAISRSLGRVLARVLDSCLMRYPHVYGSDSRVYSCLVELGVELTHEPGFHQVDIRGNMFGILAAHPLSPLLSLHHFDLVDPIFPGLTKLEAVKRLFEPVKHDPARILQQTVCYDPSNSITVSVSWGYVVQVFEGNQFLPDLIQIQKTFGSWRRKENAFSRLHMFNTRDYKDVCKGPDTFFLEGVVYDTDKFHSLYKRNVSVNCSRSNAIRDLIEIKVFSRKLDEESQEVTTPRRQCCEILPPYNETMVIALRRCEKDELIAMHK >cds-PLY93174.1 pep primary_assembly:Lsat_Salinas_v7:3:257586651:257589719:1 gene:gene-LSAT_3X140821 transcript:rna-gnl|WGS:NBSK|LSAT_3X140821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGSKMIIQPLSHSLHATSRSDNPLLSDPFSKAAKPITTSSPFLGSTRTLRLNQSVATAQRQAASRIVAVSDVFKEKKLKSKTNLLLTKEEGLEVYEDMILGRAFEDMCAQMYYRGKMFGFVHLYNGQEAVSSGFIKLLKKEDSVVSTYRDHVHALSKGVPARAVMSELFGKKTGCCRGQGGSMHMFSAEHNVLGGFAFIGEGIPVATGAAFSSKYRREVLKEADCDHVTLAFFGDGTCNNGQFFECLNMAALWKLPIIFVVENNLWAIGMSHLRSTSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAKEAIGRARRGEGPTLVECETYRFRGHSLADPDELRDPAEKAHYAARDPITGLKRYLIENKLASEADLKAIEKKIDEVVEEAVEFADESPAPSRSQLLENVFADPRGFGIGPDGSYRCEDPKFTQGTAQV >cds-PLY79795.1 pep primary_assembly:Lsat_Salinas_v7:8:275456161:275456858:1 gene:gene-LSAT_8X157461 transcript:rna-gnl|WGS:NBSK|LSAT_8X157461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKGNGGIGRRTMRGLVSQSNIHKTISFGLFIEYMECKFGDTIAHYQEQKQT >cds-PLY87959.1 pep primary_assembly:Lsat_Salinas_v7:3:178010755:178019004:1 gene:gene-LSAT_3X107981 transcript:rna-gnl|WGS:NBSK|LSAT_3X107981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDLDPKMENNDLPTDDIINGNWVLKRKRKKTSSGSVKSNGNTTDSLASESHTTTSSKGKLKPDTSSDRTPVKEKGNDGIDDEKHEGDQGHPQKKEVVLAVESVTKSLKRKWKVPSDDVKKKPRKHEAKEHLESSSSQVKQVKSKAIKHAKAKSLSKNIRSENLDIKFKDEVERVLGCRIQANETNPSTNDIPNGGTEITENSEENVTDSMNQVMDDSNKDASNDLAKPTEDVDGKISNDLAKPTEDVDGMISNDLAKPTEDVDGKIDVNISSDLAKPTEDMDGKIPKPIEDVEGKVDVKIEAFESHEKKESLPSISYELLVKWAGKSHIHNTWVPESELKAMAKRKLDNYTAKYGRTLINICEEKWKIPHRVIALRSSKDQSPEAFIKWTGLPYDECTWEKTDSPIMTESRHLIDLFNQFEQQVAEKDGGPARARGPTHGDVASLIEQPKELGGTLFPHQLEALNWLRKCWSKGKNVILADEMGLGKTISASAFLSSLYFEFKARLPSLVLVPLSTMPNWMAEFSLWAPYLNVIEYHGCARARTLIREYEWHGNDPNGKKKNTNSYKFNVLLTTYEMVLADATHLRGIPWEALVVDEGHRLKNSESKLFSLLNTFSFQHRVLLTGTPLQNNLGEMYNLLNFLQPDSFPCLTSFEDKFSDLTMAEKVNELKKLVAPHMLRRLKKDVMQNIPPKTEQVVPVELSSIQSEYYRAILTKNYHVLRNIGNGAPRGPQQSMLNIVMQLRKVCNHPYLIPGTEPELNSGPIEFLHEMRIKASAKLSLLHSMLKILHKEGHRVLIFSQMTKLLDILEDYLNIEFGPKTFERVDGSVSISDRQMAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLFVRASVEERILQLAKKKLMLDHLFVNKSGSQKEVEDIIKWGTEELFDGENSGVDLEQKNKKRSGGLGDVYQDKCRDGSNKIVWDETAILKLLDRSNVDSSLTDNGEGDMENDMLGSVKSLDWNDEPTEEQGGTESPSTIIDGSTAPQNSEKKEDNNITTDENEWDRLLRVRWEKYQSEEEAALGRGKRVRKAVSYREAYPPLHPTDQTGAEEEPEPEPEPEPEREYTPAGKALKLKYCKLRARQKQRLAQMKIFRESIPPPAQEKNGEKELVKEKQSVVVLGLCAPNAPTKLMESCSSRPPGPPGLDYFPFHLAPCSQNTPPFTSHTFVPPLGKRVQASENSADALYLHHEMMMAAAAALPKLPFNIPSQSQSQSHLKNLSSHPQTDFLASLSLGRSSASFPTIPMFPNFRFHKDNLQETDHPPPPPPPTMFPENHPMLPENHRRVLENIMMRTGSGSSNPVKRKLVKDFWSEEELDFLWIGVRRHGRGGWEAMIRDPRLKFSRFRTAEDLAARWEEEQLKILDIPPPKASKSSGFPVISDEMMKRALHKIRFAPPPPPPGLQPHLTQMKLGLPENHHFMQIPAWNLDRFPLNFLQQQNLKMPNQKGENVDGAGGSGSGSGLPENKLPHWLRNAVGDTGTGGGGVSQAPEPQLPPTVSAIAESVRLIYGDEPPTIPPFIPPGLPPSRPKDPRQMFKKKKKKKHRQLLPDATHQPEEQEHAAASTSGIQPDLNVPAPLQPPPPPPPPADQDPPSLPPESKSSLQEEVVSSEGTVSDHHGSEDEC >cds-PLY74428.1 pep primary_assembly:Lsat_Salinas_v7:6:11341938:11347829:1 gene:gene-LSAT_6X8920 transcript:rna-gnl|WGS:NBSK|LSAT_6X8920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter F family member 3 [Source:Projected from Arabidopsis thaliana (AT1G64550) UniProtKB/Swiss-Prot;Acc:Q8H0V6] MTEVASNVVHEVLGPRAQDLDQPIIDYIINVLADEDFDFGFEGEGAFDAIGELLVDSGCVTDFDECHSICSRLSEKFGNHGLIKIKPAVRSLATPFRMNEGMDEEKAPKRKPEPVDGPLLTERDKIKLERRKRKDERQREVQYQMHVAEMEAAKAGMPVVSVNHDNTVEGPSVRDIHMENFNVSVGGRELIVDCTVTLSHGRHYGLVGRNGTGKTTFLRYMAMHAIEGIPKNCQILHVEQEVVGDNTSALQCVLNSDVERAQLLQEEARLLKIQRDAELEAEEKKDPNGLNVDDGMAQKLEVIYKRLEFIDAYTAEARAASILAGLSFTPEMQNKPTKAFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLEAYLTKWPKTFIVVSHAREFLNTVVTDILYLQGQKLTAYKGDYDTFERTRAELLKNKQKAFESNERSREHMQSFIDKFRYNAKRASLVQSRIKALERLGHVDEVVNDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPILFRNLNFGIDLDSRVAMVGPNGIGKSTILKLISGELQPISGTVFRSAKVRIAVFSQHHVDGLDLTSNPLLYMMRCFPGVPEQKLRGHLGSFGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLVLFQGGVLMVSHDEHLISGSVDQLWAVSDGRVTPFNGTFQDYKKKLQSS >cds-PLY90222.1 pep primary_assembly:Lsat_Salinas_v7:8:274588457:274588828:-1 gene:gene-LSAT_8X157180 transcript:rna-gnl|WGS:NBSK|LSAT_8X157180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNCFLFFGSSKPQVKSENDRRKPLVIIKDNDYDTAAAGDEVHNPTAAMALILQSWLSGHRLRYLILLLCSPLLIPFICAMFPFLCAAEVFVRLCRRRRRSKPAPPQNPLPPSXXRYGGVRTM >cds-PLY62697.1 pep primary_assembly:Lsat_Salinas_v7:6:56720026:56722447:-1 gene:gene-LSAT_6X42641 transcript:rna-gnl|WGS:NBSK|LSAT_6X42641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSDFIDCAIQACIRVSFFPTASVKSPLGIDRHLLSNNTPTNTTEDGFNSSAYRSSIFFPTLFYNHFRPATAFFHCLCLTSSPPVLAATLSSPFRLTDPRRPLLIVATNTTLMSKFVQGNLIPEGHKVLIFSQSCIMLDIIQGFSEFVVMAADTEDFEMISHIPFLTKYKHDTTKDCIMLKIIRVLPPAVPSRQVKANSSTWEASGETLNNIDHEAMAVDPALVLTIQVMLTDFGVAKQFDESTRLNSMCGTLEYMTPEIVQGRGHDKAADWWSVGILMYEMLSGKPSFRGGNRQKIQEKIVKDKIKLPGFLSSEAHSLLKGVML >cds-PLY68418.1 pep primary_assembly:Lsat_Salinas_v7:8:23037958:23042298:-1 gene:gene-LSAT_8X18440 transcript:rna-gnl|WGS:NBSK|LSAT_8X18440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHQSRKSLSKRKTSEKQQLQDSDSDFESSHPSKKAKKRSHQSKKTKKKPMVKEFYSMKNRCSQDALLSIILGMSKEQKESVRSMGFGALLKMKITDIPLKLGFYVLQKFDSERMVIDIEGKELKVTAESVHDMLGIPIGGTKLTQLDQWPKDDTSYDEWKQQFKKDSIIRLSLFYADNIHSEALTVTRKCPTICYWSSEKIRYRETFEQEKGRFGVGELNEEFVNEQNEGDTDLEDSDSDKDEDHSVETYESKISKMINSFERMKEKLNSKLNDAMTKFPEKESFRIFKEKMKNMIVEEKTESTTLFNFPINEIGVEGINLTPIMGQKTNDQTENEDKEGNGEEDSDNGASQPEVDYLLDSNEADNEGIKNDADKNKKEGEIRVKEKDAKRNENQNDEEEKDDHAEETNNHEETIQQTENQNLLDKVVDNIVDNVLGIGVSSLNSQEDEIWNHPEMKTIFDNIDIGSPMSTGKTNTLAEKEKSEGVHEQGTKVEKTKGDDTGKENSEDRNEGGTEAKNTKDGCEEKQTEIEKGNAEDRVLYLSGKKKSENQNKKGEKADKTKGNKGDTHPSFSLGLSQDSDQTSSKKSNESSPKKALTKKQIKDDHQKVVIRLAKKNVLNPNPISVSIPTEVGPSKHDLDQPREKKLADAFKSPFKCRITDTKPKLTHQESIVCEWLFNLQGNTSDVVVQTKYGQIAERAVMESLYANTEIFGEVLDTWSDLLNHQELERDFGNSPYRLFLKVGVSTAYLTSTLSDERKYEKFKENFHDSTNGYKKILNIKDIDMVFFPVVKSAHIFVIVFNLKKPSIEILDNSAVEGDYEGKYGVIMKPLKNLFVRYFKEINHPRANAISKESIKPQRLEMSWRTVKNKVDCGVFAMRHMETYMGQPLSKWKPGLHKESAVQQTTLEKLRQRYAHIMLTSEINMLKAKVLDLAEKYQKVEFKVRTDHAYKAMQTIQKRLKEY >cds-PLY87196.1 pep primary_assembly:Lsat_Salinas_v7:1:135265847:135266860:-1 gene:gene-LSAT_1X98641 transcript:rna-gnl|WGS:NBSK|LSAT_1X98641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPTTSGSGVTTLDKKTLGRIAQIIGPVLDVAFPPGKMPNIYNALVVKGRDTAGQPINVTCEVQQLLGNNRVRAVAMSATDGLTRGMDVIDTGAPLSVPVGGATLGRIFNVLGEPVDNLGPVDTSTTFPIHRSAPAFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEKNIPESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKEGSNTIGLNDKGIVEKKMAFPG >cds-PLY87058.1 pep primary_assembly:Lsat_Salinas_v7:5:262640694:262645141:1 gene:gene-LSAT_5X133681 transcript:rna-gnl|WGS:NBSK|LSAT_5X133681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQEDKMKKLEKGSDAAEKVVVAVKASREIPKTALVWALTHVVQPGHCITLLVVMPTQTSGRKLWGFPRFTGDCASGHRRSNIGTSSDQKIDITDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGSVAAEAKKIQASWVILDKKLKHEQKRCMEDLQCNIVIMKKSQPKVLRLNLVGSPKTQQPEPDPSPPSTNQTSHEKQTKNKNLDSIRLSVATPTSSPEVFTATEAGTSSVSSSDHGTSPFFLPQTNHSLLKKEKGKENHNDLIESSSDSESENLSSTSSSLRFQFQPWMVDIITQNQNRTLSKVDRDSNHGSHRSEVEFSGNVRDAISLSSRNIPSGPPPLCSICQHKAPIFGKPPRWFTYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDGRRLLVYEYICNGSLDSHLYGRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGEETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEDAIDELIDPRLGNSYSEEEVYCMLQAAALCIKRDPQLRPRMSQVLRILEGDMIMDSGHGYDVGNRSGRIYMDHQHVQHNGNEMSEIYEGFGGKVSVDSTRASYWQREKARRTSSLCEDLR >cds-PLY64206.1 pep primary_assembly:Lsat_Salinas_v7:7:2585664:2586178:1 gene:gene-LSAT_7X1400 transcript:rna-gnl|WGS:NBSK|LSAT_7X1400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCAAGAGVGDAVSIGSVREVKVKSGLPATTSTERLELLDDKDHILGIKIVGGDHRLKNYSSILTVHPEPEVLEGRSAGSGTLVIESFVVDIPDGNTKDETCYFVQSIINCNLKSLSHVSQRMAMRSPQLT >cds-PLY91033.1 pep primary_assembly:Lsat_Salinas_v7:1:203408666:203411142:-1 gene:gene-LSAT_1X122600 transcript:rna-gnl|WGS:NBSK|LSAT_1X122600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCIDTLKPNQNFTDGFTLISSNQRFEFGFFTPGNGSYGSRYLGIWYHNLPLTVVWVANRNNPVRDLNGKVVLLENGVLILYNHSLATIWSTNNLPIKTTFNPVLQLSNSGNLVIRDDGRNNTIFWESFDYPTDTVLPDLKLGWKLDVGLQRLIRSWKSIEDPSDGEYSFSLDPPEAPQLVLRSGSRKLFRWGPFDGTSFSGSNILNVNPLFRSTYVSNSLELYYQYEMLDESVFSRLVVSSLGFLQYFTWRVNISKEWNLLVSFNTDPCDSYGKCGYYGICYSLSSCKCLSGFTPTSPLDWGLFSYSGGCKRKHELECGHGDGFVKYDGLKFPDNPTVWSNYTDHECGTKCLENCSCMAYANVNVYRNGSLCVVWIGDLIDMKNFPNGGEKIYIRMSRSELQSIADAKRKRVTVKVVSIVTVLGSLLLFSGAVWYLSWVTRVKRKDRISMNSKGQRSGSFQYVEDNQDEGFQLPIYDLDTILLACNNFSERNKIGQGGFGSVYKGQFSNGQEIAVKRLLEKSIQGVEELKNELILIAKLQHRNLVKLLGCCIEGEETMLVYEYLPNKSLNNFIYALDIVENLRINIQKSLRVFGKTK >cds-PLY61898.1 pep primary_assembly:Lsat_Salinas_v7:6:57397580:57403735:1 gene:gene-LSAT_6X42101 transcript:rna-gnl|WGS:NBSK|LSAT_6X42101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPSNFHASPLALIPIAFNHANLNPEGFELSRFFFLGSLLFSQGGAGDCGGGMDLSKVGEKVLSSVRSARSIGFLPSTSDRPEIPPRAATAATLARALASIPPHHRQSLSSSSEELSSIYGSRLPRGPVVEELEEEFYEEMFDPVRHTLEKLPPEENELTYFEAKAALRLLQLDKVTESLSRQVMDHHEVMVKGMHLVKELEKDMKVANIICMNGRRHLTSSRNEVSRDLIVHTNSRRKQALLDILPVLAELRHAQDMQVALETHVNEGNFFKAFQVLPEYLQLLDGFSELLVIQEMSRGVEVWLGKALQKLDSLLLGVCRDFKETSFVTVVDAYALIGDVSGLAEKIQSFFMQEVISETHGVLKNIVLEDLNTADIHNTRFTYSDLCTRVPESKFRECLLATLAVPFQVMCSYHAIMNFSSNSKVPLGHLSPTMHKQHERTNSFKNLQRADSIPKEDNDSLTLSMSNSVSEPTDRNGITNSMESRDDGSGASSSGSSWFQLRSDATTFVSQTLLRGRRNLWQLTTSRLSVLLSSDAVGSTSIHQFLKNYEDLNTFILAGEAFCGAEAIEFRHRVKAICESYYMCFHRQNIYALKMVLEKESWFIIPPETIQTVSFAGLVGDGAALIIQTASTNTSSSSQKPPDLAKINTKQSGFSHWINTGDPFSPKIASKKFDDSLSVFPDSPKGNFSEGCYRNSLSVDNSDVNHSNGHGDLEDENEDLHADFIDEDSQLPSRISKPNRSRNQSSPWSNEEMEAHTGSSICVLRVMDKYARLMQRLDIVNAEFFKXXCQHFGIFFHFVFLSFGQVNTNPSGNKGLHDTLNLRLKTALSRIRRDCDQWIKSPPHSFSTSSSTHLNMPLSHSDNSHNGMSSNLNHITNTSFGLKERCAGADTISLVARVLHKSKAHFQSILQQNNAVIVEDFYVHLVGSVPDLIEQIHRTTARLLLHISGYADRIANAKWEVKELGMEHNGYVDLLLGEFKHYKTRLAHGGIHKEVQDTLIGYGLENLSETVVEGLSKVKRCTDEGRALMSLDFQVLITGLQHFLTINVKPKLQRVETFIKAFYLPETEYVHWARAHPEYTKSQMAGLINLVATMKGWKRKTKSEILEKIEAGSSGL >cds-PLY74473.1 pep primary_assembly:Lsat_Salinas_v7:7:34215438:34216665:-1 gene:gene-LSAT_7X25320 transcript:rna-gnl|WGS:NBSK|LSAT_7X25320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDDPLTDTIVSWSHNDRSFIVWNPPEFSGELLPRYFKHNNFSSFIRQLNTYGFRKIDPEQWEFANEDFIRGQPHLLKNIHRKKPVHSHSMQNLYIHGASSSSIASPLTESERIRYNEEIYRLHYEKQSLLLEFQTQQQEQNEIESTARGLTERIKAAGKNQKDLLCTLDQILQKPFNTCDFAPQVPDNNDRKRRLSAEPHNDQSCPCNIPISETLTTNTLLALDLELVEQLDSSLMFCEKLLTEVQETLDRKKWLPESDQEPASSELNTVAGSEEEEGSGKRGIEHVSVNDGFWEQFLTENPGGSTTENDRRGLDQYGKFWWNMRSVNSLADQMGHLAQAERT >cds-PLY91444.1 pep primary_assembly:Lsat_Salinas_v7:7:132916417:132917806:1 gene:gene-LSAT_7X80581 transcript:rna-gnl|WGS:NBSK|LSAT_7X80581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDNRNVSLPPGFRFCPTDEELVVHFLQRKASLLPFHPDIIPDLDLYPYDPWDLDGKAMVEGKKWYFFSRRSQNRVTANGYWKSCGGDEQIVSSNGSKRIGMKKYYVFNLGEAPEGIKTNWIMQEFRVSDGANSSRSRRRDNSKMDWVICRVYEHDYDNDDHDDDGMELSCLDEVFLSLDDYDEISYPN >cds-PLY99412.1 pep primary_assembly:Lsat_Salinas_v7:4:102231948:102240024:-1 gene:gene-LSAT_4X66820 transcript:rna-gnl|WGS:NBSK|LSAT_4X66820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLKVKEFRVFFGLLWFVLSIFQPVAGLRPLRERARSWGDEWLVARKDENEVGPFSAWNITGTYRGAWKFIQSSNTSSRFPDFKKSSGNSVLELMTRPTKINGVHYVQGVMIFHDVFDNEPEVGRVQIRVEGVYIWPFRQLRMVANSGREGEFGQEEDYILSNPYHLLGVFSSQVFQESPRDKIWKSKQSPITDMDKHCNVEISAQISRVSSTQSDGEHDRYYLEGLMESPSADDDGDCFSPMLMNATSVNIEVYYNKAVNYTLMVTFISFLQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKAGRSANNGEGWEAMRRELSVLYSRFYGILLGGILIMYEFHRYLRPILLVLHSFWIPQIVTNIVSDSRKPLHPHYIIGMSLTRLAIPLYVFGCPHNFMRIEPDKNWCITLGLLVSFQAAVLILQHYLGSRCFIPRQILPEKYCYFRKVDQDSTHATDCVICMTAIDLTQNSNDCMVTPCDHFFHSGCLQRWMDIKMECPTCRRSLPPA >cds-PLY74305.1 pep primary_assembly:Lsat_Salinas_v7:9:186634132:186637308:1 gene:gene-LSAT_9X114321 transcript:rna-gnl|WGS:NBSK|LSAT_9X114321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAVEKGSDKHSIDIEIDNDSADTSWFTPWRLLGLFCVINLITYLDRGVIASTGVNGVPETCKPSGECSSGTGLQGEFHLTNGEDGVLSSAFMVGLLIASPIFASMAKSMNPFRLVAVGLLIWTFAAIGCGISVGFWSITVCRMLVGVGEASFISLAAPFIDENAPPKQVGGSYGWRYAFLSEAVMMVPFVILGFVMKPLHLKGITNAKNAAGSSKDKLGADAQTTSIFQDIKELMNEKIYIVNVLGYIAYNFVIGAYSYWGPKAGYSIYHMSNADMMFGGITIVGGIVGTLTGGFVLDKLDSTIPNAFKLLSSVTFFGAIFCFSAFCFKNMYVFIVFFLIGEMLIFATQGPVNFVSIHAVKPSLRPLAMAASTVSIHIFGDVPSSPLVGVMQDHIGNWRTSTLILTTVLFLAAGIWCIGIFIPFVDKDDEDIENPKKSNKTNPFDD >cds-PLY64038.1 pep primary_assembly:Lsat_Salinas_v7:8:94604490:94608270:1 gene:gene-LSAT_8X66301 transcript:rna-gnl|WGS:NBSK|LSAT_8X66301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKIVGTLGTKSRAVEIISSCLQGDIEFHQETLENLKMDIKSLKKLRVVFFVFMLSDTSNYDSHMKMVIVGLGGRYAISSVNKYNQSQFKSVLSINWKFWMSTKNFRLRWNTVKSFLDLKTTTKIHSSCGTCLDKGSYRQSEKGTMKDLETKIH >cds-PLY82409.1 pep primary_assembly:Lsat_Salinas_v7:2:188198497:188199919:-1 gene:gene-LSAT_2X109921 transcript:rna-gnl|WGS:NBSK|LSAT_2X109921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAITLSTIAPITRTYLSPANNSPPSCTSSSCTYNLTLSPSVTANKTVLASSSHTRRPVSAAVSSSTGTAESSIGIGEETGSLLERVEVFDLNGNSILISDLWKDRKAVVAFARHFGCVLCRRRADMLAAKKDTMDASGVALVLIGPGSVDQARTFSEQSGFKGEVYADPSYASYKALSFVSGVSTTFTPGAALKIIEAYMEGYRQDWKLSFEKDTRTKGGWQQGGIIVAGPGISNISYIHKDKEAGDDPNIEEILKACCS >cds-PLY94274.1 pep primary_assembly:Lsat_Salinas_v7:1:156458141:156459846:1 gene:gene-LSAT_1X109980 transcript:rna-gnl|WGS:NBSK|LSAT_1X109980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSKHSISRAQNVSSEKPLRQFVTGKDKSAGRNSKGRITIFHRGGGAKRLQRKIALKRNTSSVGVVERIEYELNRTSQIAVVRWVEGAAVDRPKKVNSLQKELHPTPKILPSISIKGQFSFSSIPGMLEDKKVESLRPKTDHVVVGLSKGSRTLSSQSQTGIHMRNVKDILLSAFSSSNGKKRHDAPYSFVNVLGVPRMAVAGAKPKFFVPRMKDDVKGNESLLLNEVKMWDKDSVVWGHKIKRKTDVSWESLRQRGILEVVNESESKSKVRESEKVEKDGKFGVDRAPVSYILAT >cds-PLY99657.1 pep primary_assembly:Lsat_Salinas_v7:6:81623601:81625030:1 gene:gene-LSAT_6X57821 transcript:rna-gnl|WGS:NBSK|LSAT_6X57821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVVIPTRKIGCQGLQVSAIGLGCMSMSQTPKSQQEMIELLHTAIDSGVTFLDTSDVYGPHANELLIGEALKGGRREKVQLATKFGITSISLAEGLAVTGDPTYVKEACAGSLKRLGVEFIDLYYVHRIDTTIPIELTMEALKELVEEGKIKYIGLSEASPETIRRAHAVHPITAVQLEWSLWTRDVEEEVIPTCRELGIGIVPYSPLGKGFFSSGLNLAENLALGDFRRNIPKFQGENLEQNMILLERVNKMAKKKGCTTSQLALAWVLQQGDDVSPIPGTTKIENFKENIGAVSVKLTHQDMDDLETIASSVKGERYPDAVMKSNWNNANTPPLSSWKAK >cds-PLY78263.1 pep primary_assembly:Lsat_Salinas_v7:1:131825557:131827053:1 gene:gene-LSAT_1X97040 transcript:rna-gnl|WGS:NBSK|LSAT_1X97040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLPISIDILSTPLLSTTTTSSSSNTSSDADESPATSHRFIPYNLPKTPSSCSSYRSLSTLTGHDGSVSSLAICGEFILSASHGKDIIVWQQPDLRQFTKFGQGDGSVKALVTAGNKVFTAHQDSRIRVWKVSRSSENIFRLVDTLPTTKDYLGKFMKQSNYVQTRRHHKRLWIEHADSISCLSVHDGLIYSGSWDKTLKVWRISDLKCLESIKAHDDAINSLISSKGIVYSASADGKIKAWGRTEPKSSHSLKGILEGHKDISINSVVVSDDGTVVYGGGSDGYIMGWLGSKDFDSWKSVCEVKAHNMAILCMCIKGEILCSGSTDKSICIWKREIKGLTKIGVVKGHGGPIKCLQASPNSVGSGFLLYSGSLDKSIRVWWIPYYEDGVKTDDSNSSVESTRMIKSV >cds-PLY71837.1 pep primary_assembly:Lsat_Salinas_v7:3:60301218:60303893:-1 gene:gene-LSAT_3X47280 transcript:rna-gnl|WGS:NBSK|LSAT_3X47280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPSSYEETVNNNSRSNSERCCDESYSLSADVSESESSSSFSGRGYNCGATSSSLTSSPLGAAFRTVSVTDSIFPPTPFAFPLFSGKDDVIGWEKKQHRKQHDTDLSEIDMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSASVFGELWRLEPLAPQKKVMWQREMEWLLSVSDAIVDLVPSIQHFPGGGTYEVMVPHPRSDMHMNLPALKKLDAMLICMLDGFHDKEFCYVDRGIVLAEAETCDTHAYPSNVSAARPSVRQEEKWWLPYPKVPSKGLSEDARKKLHQCRDCANQILKAALAINSNVLSEMEIPNAYLETLPKNGKECLGEIIYRYISADKFSPECLLDCLDLSSEHHTLEVANRIEAAIHAWRLKEYKKHPKSKRSSSTWSKKVKGLLADNDKNNSLAQRAETLLHSLRRRFPGLPQTSLDMSKIQYNRDVGQSILESYSRVTESLAFNIMARIDDVIFVDDDASKRCVVSESLSIFNKRGGLGGTPVQKRMSPSPFSIQHSSPFATPTFCLSPAETDRPWTYAAGKATVNAPERH >cds-PLY93581.1 pep primary_assembly:Lsat_Salinas_v7:2:174497182:174499468:-1 gene:gene-LSAT_2X96761 transcript:rna-gnl|WGS:NBSK|LSAT_2X96761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASTARLDLDGNTIKPITICMIGAGGFIGSHMCEKLMSETPHTVFAVDVHNDKIKHLLEPDSLPWAGRIHFHRINIKNDSRLEGLIKSSDLTLNLAAICTPADYNTRPLDTIYSNFIDGLPVVKYCSDNKKRLIHFSTCEIYGKTIGSYLPNNSPLRQDPAYYILKEDTSPCIFGSVEKQRWSYACAKQLMERLIYAEGAENGLQFTIVRPFNWIGPRMDFIPGIDGPSDGVPRVLACFSNNLLRREPLKLVDGGESQRTFVYIKDAIEAVLLMIENPGRANGHIFNVGNPNNEVTVRQLAEMMTQVYSKVSGEDSIKTPTIDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWNPKTPIWEMLESTLTYQYKTYAEAIKQTIAKPVAS >cds-PLY91487.1 pep primary_assembly:Lsat_Salinas_v7:7:144474251:144478263:-1 gene:gene-LSAT_7X85660 transcript:rna-gnl|WGS:NBSK|LSAT_7X85660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGHLSVKASCHKLHEVLSGLNGLVHDIFSTTSFSYLLDLPAQSGDRLLIHGLLLHMLRPTVETNATERLYFRFSRRTLSFGPEEFCLVIGLYMGRCPTSRIEFSTMYKHGYGENTFRSRVFPYRTNTSLLVEDLEGNENVVGSPHKSNRVNEDDDVNNPSLFDSRKQTQIVKKKKKKKKVVASEIVDAENHILGPPIVKESHSVRQLKPSQYLSSPYVLVQNAPRYRACGVIHNEPPPPVFVSDHPTLLLEPYDNPGCNAPALYMGNKLAVFLKNRLYNEKMEAKFWDLLFYASELRFLDEMWAESATGNPFDPLDGCKSWLEVDRVYFPICINPHHWVLGEL >cds-PLY69521.1 pep primary_assembly:Lsat_Salinas_v7:6:44161977:44166557:1 gene:gene-LSAT_6X31340 transcript:rna-gnl|WGS:NBSK|LSAT_6X31340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSPVLVLKDSLTRESGSKVHHANIQASKAVADIIRTTLGPRSMLKMLLDATGGIVVTNDGNAILRELDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIDKKYHPTVICRAYNKALEDALAVLDKISMSIDVNDRSMMLGLVKSCIGTKFTSQFGDLIADLALDATTTVGVDLGQGIKEVDIKKYIKVEKIPGGQLEDSKVLKGVMFNKDVVVPGKMKRKIINPRIILLDCPLEYKKGENQTNAELVREEDWAVLLKMEEEYIQNLCVQILKFKPDLVITEKGLSDLACHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTGAGLFEVKKIGDEFFAYIVDCKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNILKHPKLVPGGGATELTVSATLKQKSSSIEGIEKWPYEAAAVAFEAIPRTLAQNCGVNVIRTMTALQGKHANGENAWTGIDGNSGQIADMKELKIWDAYNVKAQTFKTSIEAACMLLRIDDIVSGIKKRQAPGASQPSKPTIETEGDADNEGMIPE >cds-PLY74101.1 pep primary_assembly:Lsat_Salinas_v7:9:11034463:11038752:-1 gene:gene-LSAT_9X9480 transcript:rna-gnl|WGS:NBSK|LSAT_9X9480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAFPVEINWNAALLGFGEVSNMAIVVFKDYEVKSGESFHVKVPEDKVVLLTKAYLKEDTERVDKNKTIYLRLRVDGRRLVAGSLHPQRRREQVLRLTIDKDFQISHSLSNGSVHFSAAIADKTCGYRYPFLKKGNSFVKMVSTFIISGCLIVAISVMGRIFLPRFQNGQNRFSGNQQPQSSHTDSVRLWSMDPTMKVEAVYGWSGEIRKESGGGVSTGQLPGYLTRLIQVDNNNATSASSTITPTDKTDHDLLLASGHKVASYQIVISSEGKIVGFQPTSLLAVNNWASNPLTQELYGRKKLSPGFLEPSLKIKQPKEVVLLELVMSEISGSHFVLVRPVNVEI >cds-PLY99058.1 pep primary_assembly:Lsat_Salinas_v7:6:148983025:148984482:1 gene:gene-LSAT_6X89841 transcript:rna-gnl|WGS:NBSK|LSAT_6X89841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQRYGPIFSLQLRRKLHVVVNSMDLVKVVTRDLDQTMANRSPPLAALSMSYSGNDIAWSNSDTHWRNMRKILTTQLISDKNLKACQSFRTYEVRRVVKEVYSKLRTKININEIAFKTEVNVVTSMLWGCSKLSDDGNDSSAIGDGFREVEFKIVELMIASNISDFLPILSRFDLQGRQREMQKQLEYVDRIFENIIQGRMEANSRKNEGEAEEDRRKDFVQVLLELKEQKDAAISLDIIKIKALLMDIVLAATDTTSTMVEWVMSEILNNPGVMRKIQDELTDVIGMNVVQESHLPKLKYLDAVIKETFRVHTPVPLLLHRCPDESCTVGGYTIPKGTIVYINVWAIHRDPMNWTDPLEFKPERFLIDKWDYHGNNFKFLPFGSGRRICPGISLGEKMLMYILASLLHSFVWSLPEDEEFELSDEFGLVTKKRKPLLAIPSKRLSDDSLYI >cds-PLY75900.1 pep primary_assembly:Lsat_Salinas_v7:9:199774400:199774639:-1 gene:gene-LSAT_9X123281 transcript:rna-gnl|WGS:NBSK|LSAT_9X123281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQWYNSCALLSRMKDAKEGGSVWVWVSNGRRPEKSSDEKNDVARGSVAFALTEVVMTSGGDGGDVMAAGGFAASSLLC >cds-PLY83147.1 pep primary_assembly:Lsat_Salinas_v7:3:95860653:95862803:-1 gene:gene-LSAT_3X72061 transcript:rna-gnl|WGS:NBSK|LSAT_3X72061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNSFPTDYVPTVFDNFSANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENISKKWIPELKHYAPGVPIVLVGTKIDLRDDKQFFADHPNAVPITTAQGEELKKTIGAPAYIECSAKTQENVKAVFDSAIKVVLAPPSSKKKKGKAQKGCSIL >cds-PLY67555.1 pep primary_assembly:Lsat_Salinas_v7:3:54629499:54633601:-1 gene:gene-LSAT_3X42641 transcript:rna-gnl|WGS:NBSK|LSAT_3X42641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDVGVVAGDRRVLKEQQSETSGLMVRRWRHRFPVFDGMRKQEQLCVFMKFEETEEAHQVSLRDPIRKGADDNDLRQIIGAAASESIKKEYDRKCEQLRHQLAKDLKPHVIDKTQATAKDLHSRIWVALHTVDSISKRIEKIRDEELQPQELIQGCIPLQSVCRP >cds-PLY97096.1 pep primary_assembly:Lsat_Salinas_v7:4:75466167:75469087:-1 gene:gene-LSAT_4X51081 transcript:rna-gnl|WGS:NBSK|LSAT_4X51081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRSTPNPDGFYPIVELNFKGVFLRIPFSYNHGINFTFNDHDFSGMTYGECITFLERFMQESIKKLYYYEPGKPLISGITAIVNDEDYGGFIFHAYGTDGKICMYVDHDGQGIKDWFGSEIEEEDGDDSCIDGGENEDEIDNLIDVDVDFNEDIGVAKALNQDDEGNQSNAVNDGGAVNDGGEAVNELHVQQDYDEVELTPLELDALANGEPSQVHVQEQEARETPLATLLKKIRRKKSERIIKLKLGKKVGGNDAPGNSEAKPVTLE >cds-PLY64210.1 pep primary_assembly:Lsat_Salinas_v7:7:1683040:1687555:1 gene:gene-LSAT_7X2761 transcript:rna-gnl|WGS:NBSK|LSAT_7X2761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MKKQRLELEGVLRKSWYRLRLSVRCPSRVPTWDAIVLTAASPEQAALYEWQLKRAVRLGRISDSTVTLAVPDPEGHRIGSGAATLNAILALANHYNASQVANNCSDGCYVVPDESSENEVSTSMVNLIRKKHILLLHAGGDSKRVPWANPMGKVFLPLPYLADDDPDGPVPLLFDHILAIASCARQAYNNEGGLFIMTGDVVPCFDASAMVLPEDTSCIITVPITLDIASNHGVIVASKTGNSDEICSVSPVANLLQKPNMEELTRQHAVLDDGRTLLDTGIICVRGKAWEDLAMLSCMSQPIISQLMSLYEDLVAAWVPSRHGWLCSRPFGEQLVRSLGKQTMYSYCAYDLLFLHFGTSSEVLDHLSSRLVGRRHLCSIPGTTMSDIAASAIIVSSKISPGVSIGEDSLVYESSVSDGIQIGSLCIVVGVNIPEKKFVLPDRHCLWEVPLIGGIGLGCSERVIVYCGLHDNPKNPLSISKDATFCGKPWEKVLHDLGIHENDLWSSQEKCLWNAKLFPVIPYSEMLSLANWLMGLEKYENNQRFHSLWKMARRLSLEELHRSIDFPQMCLISSNHQADLAAEIGKASLTFGLLGRNLSQLCQEVLQMKDSGDKICKHFLSLQSQNSKIVPRSRAFQVQADLLRACGNHEEASQIQHQVWASVAEETASAVRYGFKESSNNNSKGGNGKKKKNKKVKVELPVRVDFVGGWSDTPPWSLERGGCVLNMAISLENRLPIGTMIETTETGIIEITDDASNELHIQKENICPPFHDNDPFRLVKSALLVTGILHDSNIMVMGLRVKTWAHIPRGSGLGTSSILAAAVVKGLLRIIDGDESNENVARLVLLLEQIMGTGGGWQDQIGGLYPGIKLTTSFPGIPLRLQVLPLLASPTLVTELQERLLLVFTGQVRLAHQVLQKVVTRYLQRDNLLISTIKRLAELAKSGREALMNSNIDEVGEIMMETWRLHQELDPHCSNQFVDALFRFAHTYCCGYKLVGAGGGGFALLLAKNVQTARQLRHALALEQNRKIFDVKVYNWSLS >cds-PLY70694.1 pep primary_assembly:Lsat_Salinas_v7:3:105698194:105698966:-1 gene:gene-LSAT_3X78320 transcript:rna-gnl|WGS:NBSK|LSAT_3X78320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSHGSGKHPQLMPSTPRWAVTDDAGVILSLCDEEVARYETATLTAAVVPALLLPPPTTTMDEHLAAGLPSLETYARLFHRYYAIASPSATQRLLLGLLEAPSLWAPDALDAAVQLVELLRAAEDYAFGMRVLVDMIRLPDWVFESVDQEMRVMEQDAISHHPRIYLSDA >cds-PLY82507.1 pep primary_assembly:Lsat_Salinas_v7:2:187401848:187407445:1 gene:gene-LSAT_2X109300 transcript:rna-gnl|WGS:NBSK|LSAT_2X109300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTYAKTWSLALISNPMVVPTSAVAASSQVEAELHSTDTLSSHLCVIGLSDGNIGGVRSARRGAWILLEQLGSLFDGLAVQRLLSLPLATTLRYVILFGMDDVFKLAGLENVKLDGKGPKHPNFNKSLLGPYSPIPTLGVQPMIGISTVLILALISPGQLSCLRHALHHTFGELQTIPGMEGSIDIASSARGLPQMAMKTTQKGKNLNKLKQMLSLFDVAMEMEDQVFDIPSLWADVFSGRGQEHQADSSKDLSSKISLAHFSSVMPFLLVKLPICPFRSAWNTRPPLVSVT >cds-PLY90539.1 pep primary_assembly:Lsat_Salinas_v7:1:213013625:213014560:1 gene:gene-LSAT_1X130141 transcript:rna-gnl|WGS:NBSK|LSAT_1X130141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:Projected from Arabidopsis thaliana (AT5G47630) UniProtKB/TrEMBL;Acc:A0A178UB54] MQSLRDSMLRHLRTTLSTRQLILTKSVINRQLCTSTHTHSNHDQVIARVIGLVKKFDKIDTTKVTGLADFQKDLSLDSLDRVELVMAFEQEFSIEIPDEEADKLKCCADVAQYIISAPKQDS >cds-PLY90279.1 pep primary_assembly:Lsat_Salinas_v7:7:105155968:105156369:-1 gene:gene-LSAT_7X68801 transcript:rna-gnl|WGS:NBSK|LSAT_7X68801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase epsilon chain, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG00470) UniProtKB/Swiss-Prot;Acc:P09468] MTLNLCVLTPNRIVWDSEVKEIILSTNSGQIGVLPNHAPIATSVDIGILRIRLNDQWLTMALMGGFARIGNNEITVLVNDAEKSGDIDPQEAQQTLEIAEAALRKAEGKRQTIEANLALRRARTRVEAINAIS >cds-PLY91859.1 pep primary_assembly:Lsat_Salinas_v7:8:203028820:203032153:1 gene:gene-LSAT_8X129061 transcript:rna-gnl|WGS:NBSK|LSAT_8X129061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVDTRNVVFFTVFFFVVHYLLIRWREKIRNSTPIHVVTVSEMAAIITFVGSCIYLLLYFGTTLVHHTHFSDDEEAEETKNHSAIGCKFDVLLTHAEKKETTTGEDEEVIQAVVSGKTPSYLLESKLGDCKRAVFIRRVALERITGKSLEGLPVDGFDYESILGQCCEMPVGYVQIPVGIAGPLLLDGMEFSVPMGTTEGCLVASTNRGCKAIYVSGGATSVLLKDGMTRAPIVRFATAKRAADLKFFLEEPLNFQNLASVFDRSSRFAKLRKIQCAIAGRNLYIRFTCSTGDAMGMNMVSKGVQNVLEYLQANFPDMDVIGISGNYCSDKKPAAVNWIEGRGKSVVCEAIITEDVVKKVLKTTVASLVELNMLKNLTGSAMAGALGGFNAHASNIVSAVFLATGQDPAQNIESSHCITMMEAVNGGRDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGANKEWAGSNAQSRMEVDKNDGKDGHETKKNRLLQELKEVQQLLSLETRSDGKGAKSEKGKNVENLSDGSYDEIRSF >cds-PLY77803.1 pep primary_assembly:Lsat_Salinas_v7:2:169920443:169920730:1 gene:gene-LSAT_2X91561 transcript:rna-gnl|WGS:NBSK|LSAT_2X91561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTATKPVHYLPGSDCFRDFSLFSGSCTQLHSARTLDNCNIVCYFCLDCDLHCDSFLSVGESHLCHGRLDHHSDHLSPGLFLFLVNTHHDCLLGLT >cds-PLY66155.1 pep primary_assembly:Lsat_Salinas_v7:2:19285499:19288147:-1 gene:gene-LSAT_2X8801 transcript:rna-gnl|WGS:NBSK|LSAT_2X8801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQGNNNHIKTIFKANFHPYGMDFTNGNPTGRFSNGKTLADFFAKGFGVKEYLPAYLDPLIQHKDLLTGVSFASGGAGYDPLTSKLSNVMSLSSQLEMFKQYIQKLKGNIGQEAAMNRITNSVFLVSAGTNDFLVNYFTFPMRRLQYDVPAYGNKLVKLASNFLQEIHKLGARRIVVFSTSAIGCIPIERTLAGGAQRICVDKYNKAAQFFNSMLKLELQVLASNLPQTRIAFSDFYKPLMNIIENPQQYGLEVTNRGCCGTGELETSYLCNKRMTRTCHNDSNFFFWDSLHPTEKGCDIFVNLVLPDMMKKLF >cds-PLY95935.1 pep primary_assembly:Lsat_Salinas_v7:9:42007754:42008353:1 gene:gene-LSAT_9X37961 transcript:rna-gnl|WGS:NBSK|LSAT_9X37961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPPSSGSSTYTLSPTPPSSCPISFNLWCHFPLLFKSQPSLMRSPIAGDRQRRLPCPEISKTVGCIGSDGRCGRFWWRCEHHSREPRPLNALCFAIVASGNPMVAIGGIGSIDVWEVIDGVLAAASLQPLKIKPLVSDLGSMLLLRRYSNEMYRFQSLLLGVCSDRRHCRHSKAPLLWPPTLLPSTTAVRRCLVCVFHV >cds-PLY80468.1 pep primary_assembly:Lsat_Salinas_v7:2:136932517:136934367:1 gene:gene-LSAT_2X67081 transcript:rna-gnl|WGS:NBSK|LSAT_2X67081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRRILFSSLSRSSSNYTSELVYNTNHKWISTTIHLNQSWMNKIKGAFTGKKPTSPANEDVTSQSFTLFRFADELKNARKLGTFKQYMVGRSSEATFADAFEKQEAIIRFLGGFDPSGENIQPSQKQEAAKCCNCTIADVENTLAKFTWAKEAQRKIEKMKEEGKPMPKSLAEVQKLMGSTPMDLAQSNMAKSGQISRNALCPCGSKKRYKRCCGAEGSTSIKN >cds-PLY97688.1 pep primary_assembly:Lsat_Salinas_v7:8:7025586:7027954:1 gene:gene-LSAT_8X4941 transcript:rna-gnl|WGS:NBSK|LSAT_8X4941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRWLPTKPQSEFMSKSRHDHVDGGYNMPNEPITIDQNYISWLKEHPSALTSFQRMINASKGKQIVVFLDYDGTLSPIVSDPERAFMTDQMRTAVGDVSDHFPTAIISGRSREKVFDFVKLKKVYYSGSHGMDTMGPAPKNVSYDNKYLHKISDNEGNDFVVFQPAQDFLSTIEKMLIEVKERTRNIKGVTIEDNRFCLSVHYRHVKDEVLREGGIEGYPIIVSSTPKETMALHSLRNPSEVQSFLMRLGRWGFNNATTNNHGHYPTS >cds-PLY64493.1 pep primary_assembly:Lsat_Salinas_v7:3:16337812:16340933:1 gene:gene-LSAT_3X11980 transcript:rna-gnl|WGS:NBSK|LSAT_3X11980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLHSSERLSKTWLNRIFAIIYATVVSFLLLRHCRNLIYYPIPIALFLADFVLAFMWFTSQCFHWNPIHRRVFPENLQQFVEEREYPALDVLICTADPTKEPPVGVVNTALSVLAYDYPTDKLSVYISDDGGSEVTLYAFMEGAKFAKHWIPYCKKHSIVDRSPEVYFENDPAWFPETDEIKAMYERMKSRVEKVVQSGGVCLDEVKESEIRDAFSKWTPNFSRRHHPTIIKIILDNTIDKDVAGGVMPNLVYVSREKSNNKPHNFKAGALNVLLRVSGLLTNGQIVLVLDCDMFSNDPETPLRALCYFMDPNADSKLGFVQFPQRFSGINTNDIYASEFKAETQILSLGMDGLLGAQFMGTGGFFKRHVISNDSCFGFIKDTIMQKNISIPICTKDVLVLAHQAASCDYEDDTKWGSEIGFRYGTLTEDTYTSFRLHCEGWKSILCNPKRAAFLGGSPSNLNDALTQTKRWYMGFLEIFFNKYCPITYGIRSMNPLHALCYTHYTLRSFWSIPIIVYAFLPQISLLNSFPMFARVSEGGFSLHAFLFLGAYGKDFYDFVVFGGGSKQRWWNCQRMWLMWGLSSYPFALLEWSLKSFGFSTSGFNVTSKVIEEEQKKLYEQGVFDFGVESILFFPFSVASLINLFSFVKGFMEVFINGRLQEFFVQMFISGVVVVNSWPIYEGMVLRRDRGRMPLKLTLASLSTAFAIFLASSLIF >cds-PLY92804.1 pep primary_assembly:Lsat_Salinas_v7:2:148491215:148496397:1 gene:gene-LSAT_2X74420 transcript:rna-gnl|WGS:NBSK|LSAT_2X74420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GRIP [Source:Projected from Arabidopsis thaliana (AT5G66030) UniProtKB/Swiss-Prot;Acc:Q8S2T0] MSEGGDDVDKRAENHVGDAIQPYAKSNDMSPQDIGNSKEDSILSNGNPISDDTQDHLMQTMAELKFQNEYFKSHFHDLKNLYTDSTGSIQQTKTINQDETHEDSKELHDKIESLNKELIEERQTRAAAEAALEHLRIEYSEADAKCQELAAKLAEVEKNLEQQVKERDEKNSELDSKLNRLHKRAKQRIQEVQKEKDDIEAKYKEVNEKSEQASLQLSGLQQELDRTRQHANEALKAIDVERQQLRSANNRLRDNIEELRRSLEPKENTIETLQQSLVEKEQMLENMKGLLQGAEEKRQASMAELSSKHQKQIANLEAQLADSSADRTKATETISSLQKLVAEKESKLAEMDAASSGEAARLKAAMETIKGEITHLKNEHEKEKEKWEATSQALSRKLEIAEANCIRAEIEAAKMKSQMALELSVLSQQSNTKESELITAKEEIKRLESEFASYKARAHALLQKKDAELASAKDNEQLKALEEALKEAENEIVIVSAERDKTLHDLENALTHHDKELSARDEALGLVEQQVKNMEMKLSSAISIHRSEKEIWEKNLQNVEETWQLRFQALKAEIEQQKSTPDETLQKEVQDVQTRYKKLKEEHDSFRELADKMIEEKDMEISRLVDNNKNLVRSLSSKPSGNNNENGHNTGFTGQDSPNTSVAEQQILILARQQAQREEELAQSQRHILALQEELEELERENRLHSQQEAMLKEELRNMERSQKREGVDMTYLKNVIVKLLETGEVGALLPVIAMLLQFSPDEFQKCQNAYRPSTDVPPSPVASESPGSGLSLFSRFSFS >cds-PLY66783.1 pep primary_assembly:Lsat_Salinas_v7:3:67086902:67088197:1 gene:gene-LSAT_3X52821 transcript:rna-gnl|WGS:NBSK|LSAT_3X52821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQSFVSKNDSQEKQPSENNRGLELTLGYGGFPVVPPPQDDANNSKNVGHDESNKNHGLDLGLGTLLVPLIPPSQPVNHLAPGLDTASLINSLGRDISITCLLRCPRTTYGSIASLNRSFRELIRSGEIYNLRQDNKVIEYWVYFSCHFAKWEAFDPSTKTWMKLPVIESDHCFQYYDKESVVVGTKLLLLGKDIMGHATYIYNLLTNSWSLGTNMIEPRCLFGSASLNHIAIFAGGINQAEEIVDTVEVYDSRSGNWEMLPRMIKPRKMCSGVYMDGKFYVIGGVGGGGISLTCGEEYDFDLKKWTEIPNMSPVDANGGWSAPPLVAVVDNELYAGDWGEMELKKYEKERKQWDVIGRLPERAHSVNGWGVAFTGCGNRIIIIGGPRKDDNSVVEIYSWIPSRGVPEWSLIGQKRWSYNNFVYNWGVMGC >cds-PLY67983.1 pep primary_assembly:Lsat_Salinas_v7:2:13663555:13666477:-1 gene:gene-LSAT_2X6661 transcript:rna-gnl|WGS:NBSK|LSAT_2X6661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSDTPSTQQSTPLSRIAESPSNYGMLSPKEVRVSFHDEVIEPQPLRQRSNGSGSGCGGGGGGAGGGGGEEVLICSSNASFKRKSSLMRTKTKSRLMDPPEIDQRSGRQSKSGILGKGGSEIDEDDPFLDDDLPDEYKQIRYNKWTLLQLFGLILILAALICSLTIPYFKNKEIYDLVLWKWGVMMLVLISGRLVSGWGIRVLVNLIEGNFLLRKKVLYFVYGLRKAVQNCVWLTLVLIAWQCIFDKKVERMAHGKVLPYVTKIWICLLVGTIVWLLKTLLVKVLASSFHVSTFFDRIQESLFNQYVIETLSGPPVIEIQQVQEEEDRMMAEVQKLQNAGAILPPDLKANIFKKSGRFIGTSRTSTPMAGKSGKFSEANTPKKTEEGITIDHLHRLNQKNISAWNMKRLMNIVRTGVLSTLDEQLEGATGDEDESAVQITNEKQAKIAAKKIFCNVAKQGSKRIYLEDLMRFLRDDEALKAIRLFDSDCETKGISKALLKNWVVNVFRERRALALSLNDTKTAVNKLHQMLNIAVGILIIVIWLLILKVASTHFFIFLSSQLLLVVFVFGNTCKTTFEAIIFLFVMHPFDVGDRCEVDSVQMVVEEMNILTTVFLRFDNQKIIYPNSVLATKPIANYYRSPDMGDAVEFCIHVSTPSEKITLMKERITSYIEKKSDHWYPAPMIVLRDVEDMNRLKISIWLSHRMNFQDMGERWQRRALLVEEMIKVFRELDIEYRMLPVDINVRNMPNVTSNRLPSTWNTLAN >cds-PLY91644.1 pep primary_assembly:Lsat_Salinas_v7:8:12504335:12506493:1 gene:gene-LSAT_8X8901 transcript:rna-gnl|WGS:NBSK|LSAT_8X8901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASINFISSCCLYVFILSSSFNLLIQATEESGGDINWWCQTTPHPNQCNYFLGRGRGHHTPPKHRADFRMRVLQAAIDNANEAQGCAKNLGEMCSTTVTKAVWNDCYQLLNNTISQLNQTVIGLKNNRSSDFDAQTWLSAALTNLQTCFTGCVELNVTDLVTPIRSSNLTEMISNSLAINHLFLKQKSSSDQTDDFPGWVTRHDRRLLTTATIQSIANVTVSKAAGSRFPTIQSALDYAGSIERLNQRFIIYIKSGVYNENILIGSDFNNIMFVGDGIRYTIISGNRSVAGGSTTYSSATVGVDGSGFFARGITFRNTAGPEGAQAVALRSASDLSVFYACSFEGYQDTLFTVSQRQFYKLCYISGTVDFIFGNAAVVFQNCVILVRKPLNGQANMITAQGRGDPFQNTGISIHNCRVVPSPDLIPVVGSVQTYLGRPWQEFSRTVFLKTYMDSFINPKGWSPWGNTDFAFSTLYYGEYSCFGSGAALENRVNWTGYHAQMSLSEAYDFTVEGLIAGRQWLPATGVSFTAGM >cds-PLY65631.1 pep primary_assembly:Lsat_Salinas_v7:7:27349833:27350245:1 gene:gene-LSAT_7X21520 transcript:rna-gnl|WGS:NBSK|LSAT_7X21520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLVLLLSELLIKHHDAETCYTSSSSSSCSSRPCLSSVAATAAADVAAKRVIGKSWRNGFGGYRREEDLVEEDEAESMVSVEFV >cds-PLY65139.1 pep primary_assembly:Lsat_Salinas_v7:9:159958286:159960360:-1 gene:gene-LSAT_9X99900 transcript:rna-gnl|WGS:NBSK|LSAT_9X99900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTHLTDLGSIANVDLTELGAGKEGWLDNPNLLCALDTNSLAIANRYYILVLEWSNASGTASFRVKIRPNLSPIEAEYVSALEWLVFDDIRVIAIGTSCGYFLIYSLGGDLIHKQLVYPGRILRLRVRGTKRDITEDTSSFEEVCVVIAGIIARFDGSDLQKVLQKWYQETQNQFWNSIKDPEEESENSFTRIPYQVWNVNGYGSCTDAAITGVMSPPLLELQSSDRYYCAVTIGVDAAISAFRLSEDRSRSFVGAILSKVMPVTFSTIASFSKLVWRSQQPAKKPEPKPQPFARALPLTCLKDHPRKGEKLTLSPSGTLAAITDSLGRIMLLDTRALVVVRLWKVTDCD >cds-PLY74050.1 pep primary_assembly:Lsat_Salinas_v7:3:179027416:179032071:-1 gene:gene-LSAT_3X107780 transcript:rna-gnl|WGS:NBSK|LSAT_3X107780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTPTATRWRMTATAESSRWRQQRGLRRRRGRRLVDARCSLGGLEHTTPEYSGAPPTICDLDNEVAVAKRWQSAPGDATAAGEISYGRRPFFYRNDNIIVVAPDGMHIPLGDPLFVHLMMLHEVRSQPIFEMGRFMFDIQGTQLDFGETEYILICGLKVGPYVDLLYDEKGQSNSQLRAQLFPDISDSRLRLKDLEDLIMSPNHSALQDEDAVMLIQLVFMLKGLHGQDVKTGIPVAVYKFADNIDDWNRFAWATYFWKYTSRMMRGMFEKIEEFRQFKQANPESKKGHKYTVPGFMLPFKPNNQPINVMADPEELMLPFYVRYVNWTLNPVESPPRQHSPIPNSPPHVDSPARRRMYKFEIETSTTESATNASSSLHLETSYMSNDTSRLTKKKKTSTKALVKRLIGVVAELTSKVDRALQKKDVPDTNVEPDRGFQEEEEMINEEEEEKYQHHTYFDYDDIGTHGLEGEFGPTPTHVEQSSDVGEDHTKEMTPIGRPQRKRGVQWFQRTPFTVSPEKPNEDIVNEESNDVSNHLLLDSVEAASTLSFWKEWNSISSNLITKHRLHTLTLDVDFWSRLLAVTDAGWLLSLVVFPLIIPHVHWFLAVLNLDTWKVDIYDSTRCMNHFTKYYAGGEFKSFGDSIIEELDAIEYWKDFPDGHKDYTVVEFIDIVDAPQQEYISERGDCGVFVCMFMERIASGVPVKSDKPCRDAGFLYRNRMTNIIWDTK >cds-PLY73871.1 pep primary_assembly:Lsat_Salinas_v7:3:39664352:39666596:1 gene:gene-LSAT_3X29500 transcript:rna-gnl|WGS:NBSK|LSAT_3X29500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGADARNDRRTAEEKAIDDWLPVTSSRNAKWWYSAFHNVTAMVGAGVLSLPYALSQLGWGFGITVLVLSWVITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLYVVVPQQLIVEVGTCIVYMVTGGKSLQKFQQSICPDCKPLRTTYFILIFASVHFVLAHLPNFNSISGVSLAAAIMSLSYSTIAWVASWKRGAHDDVDYSPRSKTPVGQMFGMFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWLGCVVAYIIVAICYFPVAIIGFYVFGNTVDDNILITLEHPPWLIASANMFVIIHVIGGYQIFSMPVYDMIETFLVKSVKLKPSGVLRFTVRTLFVVITTFIAITFPFFGGLLGFFGGFALAPTTYYICIVIGVLIMILAPIGGLRSIIRSAGSYKFYS >cds-PLY75415.1 pep primary_assembly:Lsat_Salinas_v7:7:74153633:74154274:1 gene:gene-LSAT_7X51560 transcript:rna-gnl|WGS:NBSK|LSAT_7X51560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVQATTSTAPSSPPAAFHYSPWHSPVPYLFGGLAAMLGLIAFALMILACSYWKLSAELENSEDVDRDLESGNSDGDSKPENYKVPPVFEEKYLVIMAGQENPTFLATPVSSRATSFGSSSCRSSSTENTSTSEMEMMEEKEKQGRSTEEVEVGIIGNHESSDQAS >cds-PLY93057.1 pep primary_assembly:Lsat_Salinas_v7:9:27061045:27061664:-1 gene:gene-LSAT_9X23701 transcript:rna-gnl|WGS:NBSK|LSAT_9X23701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRRQLLLPLDHSSNSYEQQENEAVAATVDLHYIPPPLQSTTIALLPLSKYEVLIPSSSSYFIQKLTQIKFLLGLKSCHQKPASGSVSDDRWWWGLPTTVTAAINGSTAASLLPSSQYKSDRRGKKEQGLGFFWGCSDQQKRKRDGVRLEWFYGGRRCSASR >cds-PLY95103.1 pep primary_assembly:Lsat_Salinas_v7:1:94503007:94505126:1 gene:gene-LSAT_1X78641 transcript:rna-gnl|WGS:NBSK|LSAT_1X78641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASCFHSPNIEDDTAALFTASDNSPEQSTTPSSSSRHSPPPPPYPSKPSYKLTVKNLSYTIKSSHQFTLPWLLNKPKRINILKSVSFIAQSSEIMAIVGPSGSGKSSLLHFISGRVRDNTLDPKTTISLNDFPITSHSQMKKICGFVAQEDNLLPLLTVKETLMYSAKFRLTEMSSKEKEHRVECLIHELGLVHVRDSFVGDENERGISGGERKRVSIGVDMIPDPPILLLDEPTSGLDSSSALQVIELLSNMATSKQRTIILSIHQPSYRVLQYISNFLILSNGSVIHNGSLESLEGTINELGFEIPAQLNALEFSMEIKETLETSYNSKEPVATFQELIEPSFATVWAEQTNGTFQELLRRDQSVRPKARYNEILILCTRFWKTIYRTKQLFLARTMQAVVGGLGLGSVYVKVENNENGIAERLGLFAFSLSFLLSSTVEALPIYLQERRVLMKEASRGAYKTSSYMIANTIVFLPFLFIIGLLFSIPVYWLVGLDPSATAFAFFVFVVWLIVLMASSLVLFLSAVSPDFISGNSLISTVLGAFFLFSGYFIPKEVIPKYWMFMYYVSLYRYPLDSWLVNEYWGRREVCFSGEGSGSTCLMTGDDILKGRGLEWDTRWMNVGVMFGFFVFYRVLCWIILARKVSNTTI >cds-PLY84925.1 pep primary_assembly:Lsat_Salinas_v7:6:15177371:15184087:1 gene:gene-LSAT_6X11320 transcript:rna-gnl|WGS:NBSK|LSAT_6X11320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKVDEDANLEFKWLIKKGRGGKNKEVQFYGSFIYDGVEYVLYDCVYMYKEGLREPYIGKLTKIWENADKSKKVKVHWFFRPEEISKWLGDTKTLENEILFASGEGVGLTNISPLEAIAGKCNVVCVSKDSRNPQPSDEELKAADFIFYRTFDVESCTILDNMDEEKIGGIEIKHIFNRREDESISTLPETNTTSTYKKEENHNTITLTTSSEPKQLLLTKTLPNTNNLKDDDAQDKNIPILAAETTSVALLEKTNPKIDEKADKDAMEANKRKKSSTTGSDKLHEQPSKKMKLDDKNPPKEKQVEVVEVDRDSVGLKDVMPSKKSKVDNNVRTGGKNSDIGKTDTSVVKTDKDNLKSSKEKDETKVSKVSKERKKTPMESDKLDDRPLKKMKSKLVANEKQKQVEVEGEKIEKLTDLPSKKSKVEDKSAPNEKQKQVEVEGEKIEKLTDLPSKKSKDEVKSPRNEKPKQVEVEGEKIEKLTDLPSKKSKDEVKSPRNEKPKQVEVEGEKIEKLTDLPSKKSKDEVKSPRNEKPKQVEVEGEKIEKLTDLPSKKSKDEVKSPRNEKQKQVEVVGEKIEKSTGLPSKKSKDEVKSARNVNNLGTSGTQEEKANGNNNNNNKGKISDELEGRRLKKTKAEGSFKIPDNKKNSSIINSNGSNGKNLLVASEGKSKSIVVGTNNNINKENQSESNENKKKLSMSQSPKDMYKEFVVGPKPNADESGWFERLPWEQSLKSAYDQGSAILLHNVDPDYTSGEVEDLVWHAFTENCEAKILPHSVISSPHCYQALVLLKTKEAAQRVLAKLDEDCLMLSNGRPLVGTPCPPIIPRKTCKFFGHLSIDRIKINQREMEEAVSTSHFSQPNTIEYDMAMEWFLMQSKSKKWWEMIYKVRICHHIYTYTVYHLFYIFVH >cds-PLY93362.1 pep primary_assembly:Lsat_Salinas_v7:9:61207227:61209154:-1 gene:gene-LSAT_9X53021 transcript:rna-gnl|WGS:NBSK|LSAT_9X53021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIDCMIKTPSCMSSLKEDLKEDQQRSLVFDASVLQHETNIPQQFIWPDNEKPNSKKSKDLEVPLIDLGGFLSGRSSSTKEASKLVGNACQKHGFFLVVNHGVDANLISDAQTYMDLFFELPLSEKQRAQRKAGESCGYASSFTGRFSSKLPWKETLSFRFSAEKNSADIVKDYFENTMGEEFVRLGKVYQEYCNAMSRLSLGIMELLGLSLGVNRSHFKEFFEENNSIMRLNYYPRCQKPELTLGTGPHCDPTSLTILHQDNVGGLEVFVDNEWRSITPNSNAFVVNIGDTFMALSNGRYKSCLHRAVVNNKTPRKSLAFFLCPKKDKVVSPPKELVDENNPRVYPDFTWATFLEFTQKHYRADMNTLQAFSNWVEQKTSTT >cds-PLY87438.1 pep primary_assembly:Lsat_Salinas_v7:2:134050565:134052679:1 gene:gene-LSAT_2X63940 transcript:rna-gnl|WGS:NBSK|LSAT_2X63940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRVNSERRLFNGVCNTHLNSLLKQRNFSYKPSEEPHTDWFPPPRPPSHPQSSSRSVVRNVCSLVCQSYYQQQTHFKSTPTQLTLPIASDSLTTEEAITVVASLADEAGSMVALSFFYWAIGFPSFRHFMRFYVVAATSLIENGNSERAHEVMRCMVKNLAEIGRLKEAVTMVIEMRNQGLEPCTQTLNCLLGVATEMGSIELARKVFVEMSERGAFPDSSTFKILIISYCKTNEISQVDQWLIAMLDKGFLLDNATCTLVLSTFCEKGHINRALWFFHKMIGNGLTPNVINYTTLINGLCKRGSIKQAFELLEEMVRKGWKPNVYTHTVLIDGMCKKGWTDKAFRLFLKLVRSESYKPNVLTYTAMINGYCKEGKVNRAEMLLTRMQEQGLVPNENTYTTLINGHCKNGNLNRAFELLHEMQKEGSPPNMYTYNAIIHGLFKKGRIEEAYEQLEICLKQGLQPDEVTYNILIMEKSKESDIKHVLVILSKMIKIGVKRDIHLYTTLISRFSWMKRMDESERLFDDLVKNGLIPSKETYTSMICGYCREGKVENGRKMFEKMSENGCLPDSITYGALISGLCKESKLNEAKSYYDAMIEKGLVPCEVTRLTIAYEYCKVNELSKAMEVVDRLEKKLWVRTLNTLVRKLCSEKKVEIAVPFVHKLIDKEKNLDRIMLMGFVTACYDSNHYALVSDISERISKGIG >cds-PLY65649.1 pep primary_assembly:Lsat_Salinas_v7:7:27703553:27712388:1 gene:gene-LSAT_7X21720 transcript:rna-gnl|WGS:NBSK|LSAT_7X21720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIAAFPVVIHAQNCTTCVEDRVALLAIKSKIKEDPKDLMISWNDSLHCQWEGVTCNPTHQRVMALNLSNGGLLGSLSPSIANLTFLREIQLQNNFLSGEIPPEVGRLLLLQELSLYNNSFEGNIPASLSNCSSLQVLDLSQNILVGNIPASLSNCSNLRSLVLGQNNLVGKIPDELGSLLMLNQLILPVNNFSGGIPRFIGNLTSLEILSLGRCGLGGSIPDVFHRLSNLTFIVLVENKLVGSIPPSLYNLSSLEILLLDDNRLTGRLPTNLGSMQPHLQALSIPDNHFSGPLPTSVLSSSNLRILDLSRNNFSGKLVITSREACNLEVLYLGSNHFGSGEDDEMTFIEALSICKNLRMLDIGYNQMKGFLPYSLGNLSNTLSFLSFASNAFSGGLPSSVGNLSGLTSLDLSNNKLTGTINQVNFSNLGSLQKLDLKNNSFSGSIPGSLGNLSLLIELDLGLNEFNGSMPSSLGNCKRLIKLVIDRNNLTGEIPRKLFELSTLSISLNLGGNRLSGELPQEVGNLKNLNEIILANNRLSGYLPTTISSCSSLVNLDISSNFFQGTLPSSLRSLRALQTFDASHNNFTGKIPTYLEEIPLENLNISFNGFEGEVSSKGVFANASTVSLVGNSRLCGGVPELRLPKCHSTNPKRKNWRRFAVILAIIFVAVLIGIAVVVFFVFYRRKSEIKDDVSHEPMSRESLIQVSYEMLYKATDGFSTKNFIGEGSFSSVYRGNLDADSGIVAIKVLNLHRRGGSKSFIAECEALKNARHRNLAKVITCCSGIDFQGNEFKAIVYEFLPNGTLDQWLHDVQNKLPRLSLLQRVCVALDVAYALEYLHRHAGKTIVHCDLKPSNILLDEDMVAHVGDFGLSKILQPEYKIRQQSSSTGVRGTVGYAAPEYGLGSHVSPSGDMYSYGILLLEMITSKKPTDAMFGDGLTLHHYAKKSMGVGALEIVDPLLLNDEDENLALRKNERGIVEKTCYMKRQNCLHLLLEIGVSCSLESPQYRMDAKCVVEALRIVKDDILGTSTLVSSSI >cds-PLY76752.1 pep primary_assembly:Lsat_Salinas_v7:7:60958261:60960498:-1 gene:gene-LSAT_7X44561 transcript:rna-gnl|WGS:NBSK|LSAT_7X44561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSISPTISKFSNLSDLHHLNRGRYSRHSLSHLVPPPPSTHIRNTKTLRLRHNFRISAIDAAQPFDYESKISDHIAKSKTLKIAIVGFGNFGQFLAKTLVRQGHTVLAHSRTDYSAIAAEIGVSFYSNADDICEEHPEVILLCTSILSTDKVLRSLPLQRLKRSTLFVDVLSVKEFAKDLFLQILPLDFDILCTHPMFGPESGKNSWKDLPFVYDKVRIGRDESRVSRCEKFLDSFAREGCIMKEMTCAEHDQHAAESQFITHTVGRILEKLDLDSTPINTKGYERLLDLVENTSSDSFELYYGLFMYNKNAMEQLERLDLAFESLKKELFGHLHEVLRKQLFGTKERSLGLLQEPRALSKLPPNGNGSSLPPQSDSSN >cds-PLY97374.1 pep primary_assembly:Lsat_Salinas_v7:3:244786243:244787128:1 gene:gene-LSAT_3X134801 transcript:rna-gnl|WGS:NBSK|LSAT_3X134801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVLLNLLTVMVMGNFSMYMAIQISQFNLENNYLAYTVDKLTDDESVLAKVFKRSPTYEQQYEKQVVDDDSTFVNMTNKVVDLESLTPSPNAGTHPIDIVATTDSLEWFSSKPGAGPTTLKIPKMES >cds-PLY93092.1 pep primary_assembly:Lsat_Salinas_v7:4:106957272:106958730:1 gene:gene-LSAT_4X69021 transcript:rna-gnl|WGS:NBSK|LSAT_4X69021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclin-D6-1 [Source:Projected from Arabidopsis thaliana (AT4G03270) UniProtKB/Swiss-Prot;Acc:Q9ZR04] MEFDIQNPLSQDLQQSIFAMESDHLPLLNSDLDSDHHLRRQIINLISIFSQKLNHPFVSYLAINYFHRFHAVHSIPGDKPWILKLVAVSCVSLAFKMIGGDSGVQKDDELMFDIGIVERMEFMILGALQWRMRSITPFAFIKFFVSFFKLRMSSFDDDNANDDDQYHHNHHHQALKDRATEIIFKAQIDLKLLGFKPSLIAASALLCASHELYPFQFPYFKNSISSCSYVNEDELLKCYSVVQELVMDGYESMLSCKTPINVLDLDYSSSEDTHSKTDEDEKRGLKRLKMSTFGKTPFQLS >cds-PLY74131.1 pep primary_assembly:Lsat_Salinas_v7:9:10798643:10802994:-1 gene:gene-LSAT_9X9820 transcript:rna-gnl|WGS:NBSK|LSAT_9X9820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSFHPSLIVPSWKFYTSSRQRNAQICGLSSLLSTKDYIKEFEEKKSELQAKVIEIYEASADEIKIVVKEKKEGALKKVFTGVEKFLEELSKIVDEACCKFGATLVKGPIFFVFEKVSTFIVVEEKKERLHQHRKQHQKQQLGWKKQPAKRRRLWWRKRRNKRWWWRRWRLRRHRKPPQSRQRKYP >cds-PLY78849.1 pep primary_assembly:Lsat_Salinas_v7:5:307666522:307667550:-1 gene:gene-LSAT_5X167001 transcript:rna-gnl|WGS:NBSK|LSAT_5X167001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDGLLNDSLVSSLEKVRRKQGSSHGSTSFPFKRLLRTSHFKKSRKHKTKSKIFGGAGSVGGHDSGDGYIGGEK >cds-PLY73034.1 pep primary_assembly:Lsat_Salinas_v7:9:35729781:35732134:1 gene:gene-LSAT_9X31521 transcript:rna-gnl|WGS:NBSK|LSAT_9X31521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGGTGQWFTIGLVSSWYASNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIVWMKMVPLQHVRSRAQFIKISVLSLVFCASVVSGNVSLRYLPVSFTQAVGATTPFFTAVFAYIMTVKREAWLTYLTLVPVVTGVVIASGGEPSFHLFGFIMCIGATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPVAVVILLPATLFMEDNVVGITIALARKDVNIVWYLLFNSAMAYCVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFKNPVSVTGMLGYLLTVLGVILYSEAKKRTK >cds-PLY80999.1 pep primary_assembly:Lsat_Salinas_v7:9:177039634:177042910:1 gene:gene-LSAT_9X109621 transcript:rna-gnl|WGS:NBSK|LSAT_9X109621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVHRFDNTMETQTRYENGIGIGNGHYSPRHNSYHSTPKHSLSWLDLRVCYIRISKCDINNESTPEHLTLNHVPLNRDTLLEVNGVRTSIYSDCVSTLLRRDRLDKKSEEVTFVSTDSFRTTGSVKFEVFDKDVLLVSGVLDLCHGNGIHGESASDGCVSKWRMECETDMVAGNGFLKGVELETPVIEVCLAGSFGGNPIILTKSLQVLYRKKQTRKGVLQAIPENEAIVNQGEGSSGLSVKAADYPNYKQEHEYHSLYSGMEYVEGEDGELSWFNAGVRVGVGIGLSVCLGIGIGVGLLARTYHGTTRNFRRRLL >cds-PLY97593.1 pep primary_assembly:Lsat_Salinas_v7:5:234940785:234941708:1 gene:gene-LSAT_5X115361 transcript:rna-gnl|WGS:NBSK|LSAT_5X115361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVESFEAKNVIVICEDTTDGREMAAYMFSAFQEKRIQVKHTSLISTSASKEQVGEDLHKLQTMVFVVHTQPSLTTHLFSRAKXMVESFEAKNVIVICEDTTDGREMAAYMFSAFQEKRIQVKHTSLISTSASKEQVGEDLHKLQTMQTMVFVVHTQPSLTTHLFSRAKELGMMGEGYMWIITSKTTNLLNSMDAEAIKSMQGAVGFRSYFPASRKLHNFASKWREEHYALNPFKEFKEVDSYGIWAYNAVYALATAVEWVKTNEFVSKELDIVGTSLLDEMLRLNFQSLGGEFKLLNGEPSPKPWKL >cds-PLY98910.1 pep primary_assembly:Lsat_Salinas_v7:7:51581567:51582178:-1 gene:gene-LSAT_7X37481 transcript:rna-gnl|WGS:NBSK|LSAT_7X37481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLIRQTLILIFIFAPFFFFFISCVEAASASALAPTPTXTPTPTPSSSISEPPSPGPSPEGSTSLKLGLSDIGIQNKALKASQIEGSKLARKQLQAIEQRIDEFKAILTKRLADPKTSGKTQQCLAQCEDNFEDAIDSVKMSIESINKQDLPKANVDVSAISTDVDTCNDCFKEMIGEDKQIKTFDNWIRGVTGDCLENLQKH >cds-PLY72893.1 pep primary_assembly:Lsat_Salinas_v7:4:273659560:273661171:1 gene:gene-LSAT_4X140021 transcript:rna-gnl|WGS:NBSK|LSAT_4X140021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCFNKKPVLAQPAHESTQTQSSVTQDNEIDNEEILYMKLLEDNPKSVEALKSVFHAKMKRGKTKEAIKYVERLISIEPKEVEWRLLQALCHEMIGEYSKAKSYFKTILKERPLLLRALHGLAMVMHKNHEGPAVFEMLNKALEIARQKKKVSEERNIRLLIGQMHVVKGGFDEALKLFKDLIDENPRDFRPYLCQGIVYSLLDKKEEAEEQFLTYQSLLPDEFPQRGFLDDVVLAAKNEIRQHGKKMMKPEFSYKK >cds-PLY81183.1 pep primary_assembly:Lsat_Salinas_v7:4:296938850:296940592:-1 gene:gene-LSAT_4X152340 transcript:rna-gnl|WGS:NBSK|LSAT_4X152340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAREREGLGMNHNNPSQANRVISPSIFSYGGLNSSNATRNESSDQRRLHLHQRNDYGGLLQEEQMEAYRERRREFDPNPDPDPAPSPAEPVSETRPPPPPLPPPDVAVRYRECLKNHAASMGAHVVDGCGEFMASGEENTPEGLKCAACECHRSFHRREVEGEPSATRVPSMSIHNPPPRSTTMQPPHHQQQHLRYHHHRQTPPIMVAFGGNSVAPTESSSEDLDIYRTHAGRHMMMEQPSKKRFRTKFSDEQKEKMHDFAERIGWKIQKQDEQEIREFCNEVGLKRQVFKVWMHNSKQAMKKKQP >cds-PLY95064.1 pep primary_assembly:Lsat_Salinas_v7:5:227095967:227097521:-1 gene:gene-LSAT_5X106881 transcript:rna-gnl|WGS:NBSK|LSAT_5X106881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPTEVVVSPPFVFLTSVKSELRPEIQVAAQNCWVKKGGAFTGEVSAEMLANLGVPWVILGHSERRALLNETNEFVGDKVAYALSQGLKVIACVGETLEQREAGTTMEVVAAQTKAIAGNYFKTKLASTVCQKSKILFYL >cds-PLY90265.1 pep primary_assembly:Lsat_Salinas_v7:8:14621096:14631323:1 gene:gene-LSAT_8X10740 transcript:rna-gnl|WGS:NBSK|LSAT_8X10740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMAASHLTSAFSGFSRSLQCPQRQRRCNRKTVHQKISASIDLKPPPYALDALEPHMSKQTFEYHWGKHHRAYVNNLNKQIEGTELDKMTLETIILSSYNKGDILPTFNNAAQIWNHEFFWESMKPNGGGKPSGELLELINRDFGSFEGLINELKSAAATQFGSGWAWLVYKEDEKKLAVVNSPNAINPLVLDYHPLLTIDVWEHAYYLDFQNRRPDYISVFLDKLVSWEAVSLRLEAAKILVAAKEK >cds-PLY87808.1 pep primary_assembly:Lsat_Salinas_v7:4:293159153:293160949:1 gene:gene-LSAT_4X148860 transcript:rna-gnl|WGS:NBSK|LSAT_4X148860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEQTFHSEEPHPQFKPESSSPQSSVQSQPPQPRPPTEEFSSASDISHIVTSNPSKIPIRPQKIRKLSPSTATENIHPQTTIAEADASKAIVLSATTTPVATKNRRRNPSRSAIDLQKVIKPLSAQGEITAALRHLRSADPLLANLIDNHPPPAFDSHQPPFLALTKSILYQQLAYKAGTSIYTRFVNLCGGEDSVVPETVLSLTHQQLKQIGVSGRKASYLYDLANKYNNGILSDESVVKMDDRSLFTMLSMVKGIGSWSVHMFMIFSLHRPDVLPVSDLGVRKGVQLLYMLDELPRPSQMEQLCEKWRPYRSVGAWYMWRFVEGKGTPAVAALVNIGQGQQQLGQSEQVQQQQQLQLQLLEPINSIGNLGACIWGQ >cds-PLY68825.1 pep primary_assembly:Lsat_Salinas_v7:3:63499224:63501442:-1 gene:gene-LSAT_3X50120 transcript:rna-gnl|WGS:NBSK|LSAT_3X50120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPPMMMNGGGFRSGNTGSSLCNLPEIWPFQINLGGGGGNAVAFGMGQLGDNSGGSGAFVDNRDHVAVDDPMVVDQRGNSNQSKKRREDDDSSKGVSTSSRSNGNSMLDSDGKRLKSLALENESESKPKPEPERSSGKKAENSGKPSDPSKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQQQVEFLSMKLEAVTSRSHPSPQGFTSKDFGQQTFEMTGVPFGAQPTREFSRGSSPEWLHMQIGGNFERTS >cds-PLY86630.1 pep primary_assembly:Lsat_Salinas_v7:1:3797828:3803134:1 gene:gene-LSAT_1X3120 transcript:rna-gnl|WGS:NBSK|LSAT_1X3120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKPVGFSGLKSFDQFKSSLGSSSSATAKSFQISTRQPSYSNSSGSFANLKLTAEKLVKEQASAKTDLDLANSKLKKLSEHIHALEEKLQNAYNENAKLKVKHQEDEKLWKGLESKFFSTKTLCDQLTETLQLLSDQVQDGEKDKVSFANKLSETSLALDNLHGQMKSLSLKLESSEETIRNREKELEELVVSKENVEKQLKDEQCKVLSLTDEKDSLIKNFEETVAANESTIESLTYKLSELQLELTSKEDRLNDMQNLNERKEKENTDLIACNKKLAEHLDKAMQENQSLENFVKVLTSQLADLDRQSVAFCEKVVKANAFVDSCFELLQEEKRLATQKAQQRYDWLHGQLLNTTSEKDALQMVNQELNNKITELQNDQQSTIAQHAEECRIAEEKVLKLESETEVLASKKTEMENLIITLEDKIRSLSEASRLSEMQMQDFQLKHSESETENKEIIKNLQSEIQKKEEEIDNLQKEVVNHEQNEDSQEKQLNQLQSLLQEKEQVVLELKEKEKQIEDQLTEAQKSLVEAEDKLAEAKKQYNQMLESKQLELSRHLKEISQRNDQAEKAVIDMEKKSEQKVSDCKEEAKQQLLKIEREHTALINKIRMENDKKEESLKSNHSEELKRMQLQAENELKEKTKLLKNQHEAELKELRSQHEEDCKHLEEELNIQKTKEERQKALLQMQWKVMSDEPQQDQEATSRKDLAYVNIAETPVPKMLKKPDKSVISIPKHSKKVTHHEYEVETSHGTITKRRKTKSTIMIQDPRKSKRQTPKVNTPRNAIMKMKGGSQPNPANIGDLFSEGSLNPYADDPYAFD >cds-PLY82934.1 pep primary_assembly:Lsat_Salinas_v7:1:17990727:17991287:1 gene:gene-LSAT_1X15660 transcript:rna-gnl|WGS:NBSK|LSAT_1X15660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSTLLPESQQPQEQEPIAQGDVHSSSGSIGPFFAVMSVLMVLTILSCVFGRIYGRQAAEAPLDKVIKSRDCFGWLKGRLSHCMVGCGGAGGGHVITLAGKGESRKAEEGVV >cds-PLY62785.1 pep primary_assembly:Lsat_Salinas_v7:4:32191918:32195830:1 gene:gene-LSAT_4X21520 transcript:rna-gnl|WGS:NBSK|LSAT_4X21520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNQSGKGKKVDPWKSMKSGKKFGGSSGSRANRYLPLPENLLLDSQGNLKISDFGLSALPAERIGIEEVRNDEWFKKNYVPTRVVEYEDVNLDDVNVVFDDSEELESNSLGTSMQFDTFGFTAAEVARKQVEKEKNERMVHWVSLLMMMRRTACASSYHQKQPLINLHVPLRVTIKAMAKAFGVTVDFVDLELSRFIAAGKLHCKINKVAGVLETNRPDAKNGLYQIIV >cds-PLY93014.1 pep primary_assembly:Lsat_Salinas_v7:4:193906337:193910068:-1 gene:gene-LSAT_4X110741 transcript:rna-gnl|WGS:NBSK|LSAT_4X110741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRWEKLEIQRGGPGKRWGHTCNAVRGGQLLYIVGGYGEDNAQTNKVHVYDTTTRTWSEPEMQGTLPVPRDSHSCTTVGDKLFVFGGTDGNIPLKDLHILDTSTNTWMAPYVKGEGPEAREGHSAALIGKRLFIFGGCGKSFDTPVEEYFDDLYILNTETMLWKRVATTGIPPAKRNSHTCVSWKNKIIVIGGEDTQNYYMSDVHMLDADTLTWTKLVTNGELLPPRAGHTTIALGKNLFVFGGFTDAEDLYNDLYMFDLETFTWTKVMTIGEGPSARFSMAGSSLHPQHGGVLIFIGGCNKKLEALDDMFYLFTGIVTENERDERKLEKLSLRKQLRLKSQEQQGLTLTPVCDTDTPPMLTLETDKPMAMPSHIQFPPNRQNVYVNEYHNPNGKRTFQAKVTKNITNCYTIETVIDGKPLRGVLFSNNIKKTAADDLRRKRVAVESGKKGFEHVMMDTTKSPEPEQHHTPGATSAASDMKTPAASNASPPHEVSGGVKSSLEVNKLNPSTAAEDTANSIPNPNHGHDGESEALTGEEHGVLAPTQQV >cds-PLY92694.1 pep primary_assembly:Lsat_Salinas_v7:8:109712193:109712794:-1 gene:gene-LSAT_8X74660 transcript:rna-gnl|WGS:NBSK|LSAT_8X74660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNEEDETYYHGTKLDDDDISTHGLEGKFGRTPTHVEPSPYVGEYHKKTVTHVVRLQRKRGVAWYQRTSFTVMQSTPKLKKITKTREKVVENPEKAKEDVMYEESNDVSDHLLLDKLHAASTLGFWKEWNMISSNLKTTHSLHILPLDVEFCSRLLAVTDSRWLISSVTFVKF >cds-PLY88513.1 pep primary_assembly:Lsat_Salinas_v7:2:154704594:154706187:-1 gene:gene-LSAT_2X79261 transcript:rna-gnl|WGS:NBSK|LSAT_2X79261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPKKLHAAILCSPGVGHLVPVLLLGRRLINHHNLHVTVLAVTTTTSTAESQLLNSLTADIHLPVIQIPAADISSIVSPDAKVVTKICAMMRETIPTIRTTISSMDPLPDILIGDIFSTESWTIAEEIGMAKYVFITSNAWFTGLVTYSPVLDKEVVGQYVDQTEPFKIPDCKPVRPEDVVDPMLDRDDEDYRVYLNLAVGVTLADGILINTWENLEPQSLHALRNNEILRSIVKNKPVYTVGPITKNYEPVGVKSEVIEWLDKQPERSVIYVSFGSGGTLSAEQITELAWGLELSQQRFVWVVRPPAGHIKDGSFFESGHSGELNGQADYLPEGFLNRTKKKGFVVHSWAPQVEILNHVSVLGFMTHCGWNSTLESISSGVAMIAWALYAEQRMNATMLTEELKVAVRPEVLPTKKVVGREEVEKMVRCLIDGEEGKAMTEKVKRLKESAEEALSVNGSSYISSCKFVEDCWSRVHLSR >cds-PLY67738.1 pep primary_assembly:Lsat_Salinas_v7:9:167567959:167569711:-1 gene:gene-LSAT_9X104260 transcript:rna-gnl|WGS:NBSK|LSAT_9X104260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPVHVMVDILSRLPVKTIIHCKCVCKKWLHLVSDSYFADIQLSRSPVGLMIYHYSEKELMGRPKLRVLKWVEVKDDVNHHHLHHDPLMSLDLNLAPIFQDSQILLMGSVNGLICLWQVCVKSDNIYICNPITREYMILPRQQYHGKGYAINVHCFGVSLLSHEYKVIRIFQRVLILPRNITSSSSSSSQPSLLEAEVYTLGTGQWRSLGHVVYKINGFHGPYLNGHAHWSIVVDQDSLEEIYAFDFDKETFKLFPSPPVETIQGSRFHFRTLAVVKGCLCQSDTFDSQFTMWVMKEYGVKKSWEKEVIIKKSISPSIDWLMSGPIYPIERLNDGTILMVYYQDKLLIYSPKGRTIANSELFDNCVSGMPYCPSFHKLQNFESERVYVF >cds-PLY69148.1 pep primary_assembly:Lsat_Salinas_v7:5:286175924:286176297:1 gene:gene-LSAT_5X152421 transcript:rna-gnl|WGS:NBSK|LSAT_5X152421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALGGKATLVLLLCVMVANAVEGEVVTCQMVVSSIKPCVGYLTIGGPPPPSCCNGVTYLNKAAATTPDRQTACKCLKQAATMVPGLNLDAAASLPVKCGVYIPYEISPDTDCAT >cds-PLY70032.1 pep primary_assembly:Lsat_Salinas_v7:5:202327306:202330726:-1 gene:gene-LSAT_5X90461 transcript:rna-gnl|WGS:NBSK|LSAT_5X90461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGYKQKFNKSKNRKPLKPSPFLEGGLLSDCSPVVDSPPPRGKSNNGNSGNYSNASKNKSTARFAIGSGSGGKSKASSSNSRNVEAQKPKMNAIGYIYPQIDSLLDENGDGSNKLDDSDPLVLVNTNESNLVAYIDQTPVKEPETVEYSYESVTETSLEVDDSFHRGLGFRDDEEEEEEDPSVIEASPFIENKEGSTSDSSCSDEIETDEEEEEEEEEEEEEEDDIPDEEESLDSSESEDSSNNSSETDPDIDEEIAKDYVKGISGSYKDANLDTNNGIYGVDINDTLKKLRGIAIQDASMEYGKKPSSKKKSQTKSNKFGSGTDDWSALDDIMLVKDPRIRSSQKKKHAAKFPQSWPSKAEKSNHSRRLPGEKKKQRQETIALKRRERMIRRGVDLEQINLKLEQMVKNGGDIMSFQLMHSRDCSQVQRLASIYRMRSVSQGSGQRRFVTVASTKNTGMPSSNDKTRLHKATIDTPSSSNRSKKTSKLTTGLSQLDSRLTKSKSCSEQIKKKKIDKDTKTGTYATQPVSFISHGNMEPEPVVTTIAVDEPKPRDQTAGSSSYGAFEMHTTGFGSRMMAKMGYVDGGGLGKDGRGIAEPIQVIQRPKALGLGAEGPENALVDATPPQRSNRVTGESCRGKSGNVQFRSFEKHTKGFGSKMMAKMGYVEGMGLGRGSQGIVDPIFASRLPKSRGLGAKG >cds-PLY83260.1 pep primary_assembly:Lsat_Salinas_v7:4:146628319:146628702:-1 gene:gene-LSAT_4X90900 transcript:rna-gnl|WGS:NBSK|LSAT_4X90900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEANKERREQKEMEEKNKKKKEDVDQMGKAREVIRETIICNKDGNAANDPDDILAYSRSVQNVDSSLE >cds-PLY70019.1 pep primary_assembly:Lsat_Salinas_v7:8:145422427:145427988:1 gene:gene-LSAT_8X98661 transcript:rna-gnl|WGS:NBSK|LSAT_8X98661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDFGMLARDFGFRPQGKSAPMKSDGGDFRSRPPPSSSPSPSPFVADENPIFNDVFGGPPKFTNNTRSTPAMSDIDYDSIFKNSSSTNNNEAKNKSTSSNLPVYDKPVYDDDIFDGLPGMKSKSMHSASARYEENIFASMTSSPPKRSQQSDHFDDLLGNLGRAEKVEPPKQKASKSSRGFDDLLPGFGSGGPSSSSRSNSESVPASTGSTKVTSKATDDPFVVLESASTPVTSPPSVFIDPLETIHKMNKSGSTNAGVSRGVFDFDDIDPLHGFGKPAPALPTETNNKAKDQSPSKEGLRSSIRKDPTEKSSFRFPETQSEKAPVEDFQESQETVFDMPPVSKTSHRSVDQTTSPPSYTETSFQEHEQEQEQPADDIWLTVSEIPLFTQPTKAPPPSRPPPPIPRHTSKSERGYSGKYPQSHNPFQASPLDEFENFAMGGGGTQDNGVDHVNGEEMDSNSAAAAMKDAMDRAEAKFRHAKEVREREHAKASRNKESVTVKVQRDEMSTEEDSSKREEQEREKSRLEKERMREIEREKARQAVERATREARERAANEARDRAAAEARLKSERAAVQRAQAEARERAAIDAKGRAERAAAEARERASAEAAEKAAAEKASVARAEADARRRAERAAVERVAAEARQRAAVDARDRAAAAARVNQQKNENDLDSFFSGGSRPNSAPRPRTASSDSVPDPLSQNRRGQEGAPRTWNSSSGVSSNSNMKKASSTTNIVDDLSSIFGAAPSSSGSGMFQDVEGETEDRRRARLERQQRTQERAAKALAEKNQRDLQSQREQEEKDRISDSLDIEIKRWAAGKEGNLRALLSTLQYVLWPGCGWQPVSLTDLITGANVKKAYRKATLCIHPDKVQQKGANVQQKYVAEKVFDLLKEAWNKFNSEELF >cds-PLY80051.1 pep primary_assembly:Lsat_Salinas_v7:4:349056233:349057948:1 gene:gene-LSAT_4X171960 transcript:rna-gnl|WGS:NBSK|LSAT_4X171960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNILNTRESDDEKSTNNGKNIIPNPNLTTSSWTKLRDPRIVRVSRAFGGKDRHSKVCTVRGLRDRRVRLSVQTAIQLYDLQDRLGLNQPSKVVDWLLDVAKHEIDELPPLQMPSGNFGQNLQSMINVASHSENLESVRTNTNGLNWEDYWNPDKSKENKDHFFVQSSTQSSSLPVVCNNVVPNGSFLKLNPSNLSLSQFGSYGSMAHHQENASDNFNHIAYPLPSHDHNVRYQFDPKQLTFEMLSSSSSSSHQQPLSSSPLYTINQGMVRPLHLSMNPKLFPSEENGHS >cds-PLY99823.1 pep primary_assembly:Lsat_Salinas_v7:4:256842835:256844829:1 gene:gene-LSAT_4X134160 transcript:rna-gnl|WGS:NBSK|LSAT_4X134160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSYMKLKGSQNLRQRLLLSTLASTPILIEDIRADATWPGLLPHEVSFLRLLETISDDCHVEINETGTKLKYKPGIVMGGGYLEHDCGLSRSIGYFLEPLIVLGLFGKKPLSIKLKGITNDPKDPSVDTFRSTTLPLLKRFGVPSEGLELKIESRGVAPKGGGEVTLSVPIVHNSLKAVTWTDEGMVKRIRGISFSTRVSVQFENTMIHAARGIFNRLLPDVHIFTDHKAGAQAGNSPGYGISLVAETTSGCFISVDTTNSYARGDNDDNEMDVDEKKELMPPEEVGEQIASLLLGEIEQGGVIDSSHQGLLFLLCALCPQDVSKIRVGKLVPYGIETLRNIRDFLGVKFVIKPDPTTGTVMLKCIGCGLTNLSRKLS >cds-PLY94224.1 pep primary_assembly:Lsat_Salinas_v7:8:230333676:230333993:-1 gene:gene-LSAT_8X139840 transcript:rna-gnl|WGS:NBSK|LSAT_8X139840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQLHTSLHFHLTPIVMINGSSRRDLLLNSQNLCRSIPAGAENPSLSRLCYRRLWGSRNRRALILGWAYYLDAFSSYPLRTWLPSVYRGHDNWYTRGASFPVLSY >cds-PLY71146.1 pep primary_assembly:Lsat_Salinas_v7:9:83156478:83157630:1 gene:gene-LSAT_9X65401 transcript:rna-gnl|WGS:NBSK|LSAT_9X65401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSFHELKKQASVFLKEKIKTARLVLTDVTPAQLLTEEATDEDSWPSDTRTMGLISRAAFEVDEYWRIVDVLHKRLWKFDKENWRGSYKALVLLEHLLTHGPERVSEEFQCDKEVIKEMSIFQHVDEKRFNWGLRVREKSERILKLLEEDRSFLKQERSKARELTSGIKGFGSFTQRSIEKRLGESRSQIYFRCNFNNDGDEGNKDLNYRNRDVGDDDEHQDRASLLSTYV >cds-PLY81695.1 pep primary_assembly:Lsat_Salinas_v7:3:29536554:29539321:1 gene:gene-LSAT_3X19700 transcript:rna-gnl|WGS:NBSK|LSAT_3X19700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMAIGGSGGVAGGEARRAHAAMTMVQLFAGGSHVITKVALNFGVSRLVFCVYRDVLALLILAPIAYFREKRTRPPITRRFLLSFFFLGLTGIFGNQLLFLYGLGYTTPTYAAAVQPSIPVFTFIFATIMGTETVNILRTEGQAKVGGTFVCVCGAIFMVMFRGPVIFGYSENDLSHNEISAKGQPEPAGWLLSIFIGLGFDNWHLGVLCLIGNCMCMAAFLAIQAPVLARYPASLSVTAYSYLFGVMFLVSTAFFMNNESTDWSLTESEFWAVLYAGTISSALNYGLLTWSNKILGPALVALYNPLQPAASAFLSRLFLGSPIYMGSIVGGLLIISGLYLVTWATYREKQQAASGGRHVNKPSESPLINHIFAGPPPPLLPKIVD >cds-PLY61988.1 pep primary_assembly:Lsat_Salinas_v7:5:160455012:160463485:1 gene:gene-LSAT_5X71801 transcript:rna-gnl|WGS:NBSK|LSAT_5X71801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTITKNSNPVDAKEDSEIDDREMDVEAAVDDQERCRVGGVVDCEPSSLPSSHTLSLNHEKVVETNIMSLCPATTITKNGNLVVAEDGGNVNDLLMDIEDAVDDQERCCAGVDVSGVPSSLPSSHTLPLNHEKVEHNTISSCSDVEHSTKGLLAEGIENQETKTERSDSINGDGGEEQNGIYESERKVGLRKKRGWPRGRKRGPKRDNENSNTATKSQEKNIKPRGRPKQAVTTEDVRKMTSGVVKSSDDDNKSPEETEEVMADAQIVLAAPDREENVTTEIVNNKEDKIDSGRPKRSSTKNKINYAIFEYDFDDEEDVRKKIHQLSFPKKKKSGEEEQDKDNGDGRIEQNGGTKSEAEGKEGVQNKGRKRGRKAKNENTGIATKSPEKKIKPRKKLCVSAKEAREMTSGVVRSSDDGNKSSEETEEGMVDAETAIATSDREGNVTNEVIINSKDVKKESGRSQRRTRKVDISYGEILKLNKGSQKASKKENTSEGEQDKRTQKASSSGKKDGSGPKWYAKHKVPDENGNLVLVPSVMCHQCQRNDKGRVVRCQKCTTKRYCVPCMTRWYPNMTEEMFAECCPVCLDKCNCKSCLRDVRPKVKDKINFKPNDDQKIRYSVYILHVLLPFLKRLNEEHIKEKAIESEIRGCFLSELHLKKAKCSQDERMYCDCCKTSIFDLHRSCPSCQYDLCLQCCWELRDGNPKGNTEEVILEFKDPGLDYLHGGKESVGESKNKRDTRNVVSNFVNAAPKEKQSHEWKSLDDGRIPCPPESMGGCGCGILELMHIKPLDTVSKLLEKAQELLKMHKLEEDMRDMPEKWCTCSSNGGDQQLRKAASRENSNDNYLYCPRAIDIKPGDLKHFQWHWSKGEPVIVSNALETTLGLSWEPMVMWRAFRQISNLNHDQLLDVAALNCLDWCEVDINVRNFFNWYTEGQYDEVGWPKILKLKDWPPSSLFDERLPRHGVEFITCLPFKEYTHPRDGYLNLAVKLPPKSCKPDMGPKTYIAYGVHQELGRGDSVTKLHCDMSDAVNVLTHTADVTPDLEHRKLIKELKKLHKAQDDKELFGLAVRTQPDVDNTKATTEKLNKLKEKRRRLVKKLAESKVGKNTSDDNVEETSDHVNSGGKRKSGHETDSCLGTSTSDQSDECALKVESCEEAVSDTGKRKASMKKDEGQSGNKGSKKRGRKEVISSDSKENSNNEETEALEELDNLDDISGSCVDGFDLGDGGALWDIFRREDSPKLEEYLRKHFKEFRHIFCRPVEQVIHPIHDQTFYLTMEHKRKLKEEFGIEAWTFVQKLGDAVFIPAGCAHQVRNLKSCIKVALDFVSPENVGECIQLTEDFRVLPQNHRAKEDKLEVKKMALHAVEAAVMDLEKLIPKLGVSMDSGYLFLELEPPRTVGFGTGTEVTS >cds-PLY83029.1 pep primary_assembly:Lsat_Salinas_v7:5:50447869:50449400:-1 gene:gene-LSAT_5X24841 transcript:rna-gnl|WGS:NBSK|LSAT_5X24841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHSTHLLVYPFSSSGHIIPLLDLTDTLLRRGLTITVVISPLNLQLLDPLLSSHPSTLHKLLSPDPEINLSSHPLISKVISTQKQFDPIVKWFRSHPSPPVAIISDFFLGWTSELASQLGIRRVVFNPSGVFGISIIQTMWRDAAENNAYNGVDKDDNSLVSFPEIPSSPEFQMWQLPTVSRNFKKGDPDYESVRKGMLSNMTSWGSVYNTFEDLEKTYMDHMKKQMGHDRVWAVGPLLPKDGTARGGASAAPPHELLTWLDNKPDESVVYICFGSRTTLNENQMSALTGALELSNVNFILCAKGSDSAFKERVGDRGFIVEGWAPQLAILRHRAVGSFVTHCGWNSTLEGISAGVMLLTWPMGADQYADEKLLVDELGVGKRACEGRPDNVPDSVELARLLDESMSGEILERVKVKELSQAAKKAVNGGSSIRDLDMFVKLICEL >cds-PLY88584.1 pep primary_assembly:Lsat_Salinas_v7:7:8538437:8540195:-1 gene:gene-LSAT_7X6501 transcript:rna-gnl|WGS:NBSK|LSAT_7X6501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAGKKTANAVGGKTARACDSCVRKRARWYCAADDAFLCQSCDASVHSANQLAGRHERVLLETASSKLFGSGIASPEPTWHQGLTRRARTPRLRTKSSLKLDRKSDLVNSSAPLVPEIGVLDPSSLDEEEEEEELLYRVPVFDPFETELFNTSDEIGRSLTFVVENKQEETCDLDDLQGFDLPTDDMELLEFAADVESLLGKGYDDTSCRIEELGLTNYDFKDEDNTNIIIGTCFDENKVKVEDDEREAILGFDLDTTRETLDWDFGHESTMMIKEEEKKVVVGVKEHMIMVSNDECKEAMVRKSSITLRLNYDEVISAWADQGSPWTNGTRPELNLDGCWPDFMGLQWMGNNTPLCGGLGGSDGGREARVSRYKEKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKREGNL >cds-PLY81801.1 pep primary_assembly:Lsat_Salinas_v7:3:30400444:30401066:-1 gene:gene-LSAT_3X23020 transcript:rna-gnl|WGS:NBSK|LSAT_3X23020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPSELPECLVCLQPFDSESTIPPVIACGHSTCDTCLIDLPKPPFPCIIRCPTCQLPKVMVSRGQWTFRGTGVGNARMPLSDVAAP >cds-PLY63393.1 pep primary_assembly:Lsat_Salinas_v7:7:147094469:147097063:-1 gene:gene-LSAT_7X87121 transcript:rna-gnl|WGS:NBSK|LSAT_7X87121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGGGGTFYWVRNEEETSSASKLEGIVVIFAWGSLDECRLKNYVDLYSSIGWNSLVVLSDFLNPFFPEKATALAFSILNELLEDLRSRPCPLVLASFSGGSQACMYKLLQIIEGTCEAHLNMDDSRLLMTCISGQMFDSGPVNVTGDLGARFALHSSILGSSKLVSLFAKGVTSGLDALFLTRFGSHRSEYWQTLYSSVGLGAPFLILCSENDDLAPYPVICSFAQRVQGLGGHVRFIGWKDSPHVGHYEHNPIQYRTAVTEFLDDSISIFNKKLQKLFERNGMEGMHDKICELICDLQNAAVDSNQSLRRVAVGPTDHFFLPSSLEYQSKESGSLQDEQKERRNGNGNGGGVHVASPPCLNPHSVLGQVLFDACVPKNIEGWDIKFDGRTSFGSGRKRLSLNGLKRIRSRL >cds-PLY89482.1 pep primary_assembly:Lsat_Salinas_v7:8:92114264:92116002:-1 gene:gene-LSAT_8X64640 transcript:rna-gnl|WGS:NBSK|LSAT_8X64640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEEAKKRCEAVISTLETLSLNPSCKHTLLRLIQSELSFLSRVSSNDFTPNSDPSISVNIGHFEAVVHVLQHPDISGVTRVCKTIKFQPRRHNELHKGAHVDIVCTFNGNPVWFIVSDRNPKYISWNTHQESLKNKGLQAKIHLLLEAAHTSVALKPTSIIFFFSNGLDRFTLDNFLNEFQPVDMGSTFSNFDINFSKELEDGWIDVLSRSYQYASVLEIKLDSPPVIKEPFVTNPLVKPSDVKFEGSFGDLVSQMSSPDNENYINFDTTALIAIVSGISNGGTQKLLDTPEDELRSQFKGNTEFVISQVMSEIKDPIHVEIGKVMFGRKGIVCESVFEEFKDLVLMCGGVNEKLRAGELVKHVVVVKDSPSTRMMSLPTTRKLALKNKIVFGTGDYWHAPTLTANMGFVRAVSQTGMSLFTFEHRPRALTGD >cds-PLY71796.1 pep primary_assembly:Lsat_Salinas_v7:3:175421824:175422159:-1 gene:gene-LSAT_3X105340 transcript:rna-gnl|WGS:NBSK|LSAT_3X105340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMLTVYAFLYGRLYLCLSGLEKAIMKSAKMQGDKALKAAMASQSVVQLGLLMALPMIMEIGLERGFRTALGDLIIMNLQLSAVFFTFSLGTKLHYFGRTILHGGAKYRAT >cds-PLY86307.1 pep primary_assembly:Lsat_Salinas_v7:8:56815644:56817492:1 gene:gene-LSAT_8X42581 transcript:rna-gnl|WGS:NBSK|LSAT_8X42581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTVTPEHGRQRKPRIKSHSATPNSTHVTESRERLPPRKNLFGFLSLGCGRCSSPAAAVHPPGRILPENTRRRRRKKKKQRRNSGSRQENMVVIVPDVCCTPPGIGFTYDVAQSTSADAHRRNHRQRSRATRQAADLQQPWSTTEVDATAFIRSNVSDSRQYTRLQRRSPGGISEIVMLELNPLLDGRLEGSDRFGAWRINADIMSYEELVELSDRIGYVGGGLQEEEILDCLRRPKKSFLKSCDFNSKVKDSKCSICQEECKGDEDLGKLECGHYHHVDCIKQWLLYKNECPICKTVAKPDK >cds-PLY73881.1 pep primary_assembly:Lsat_Salinas_v7:3:38307269:38310656:-1 gene:gene-LSAT_3X29021 transcript:rna-gnl|WGS:NBSK|LSAT_3X29021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDKEKDNSINDTTLNSITKEGEGEILDCIKDTEEEEDREYSSETVKVDHQPTEKLETEAHHIQEESSKDVSHVTSAEDGEKIGDDLNNHEDISKSSLSEKTEDNQTDPKDNTCSNKEEGLVVDGTWEPIMEANPGKASVLTKFVKLKSLYAVSNVFHRLSRKTDVQNDSDENNKNIKEVKTDERESQETTLEKDQDEGKNKKEASLSLESPKSIAMKGRVVLYTRLWCADCKEARLFLRKRRLRYLEINVDVYPGRKLELEKMTGSSDVPRVFFNQFPIGGLNELKGLDESGKLQEKIEYVTSQGPSPKGPLPPFSGEDDVSSRGIVDELAVIVRKMKESIVVKDRFYKFRRVTNCFLGSEAVDFLSEDQLLEREEAIEFARKLAKELFFRHVLEENTFEDGNHLYRFLDQDPVISQCQNIPRGIIQSKRQPLVEVSHRLRFLLYAILEAYISEDGRHVAYRTIHGSEEFARFLRIAEELQRVELSRTAKEERLAFFINLYNLMAIHAILVWGHPEGALDRRKLFNEFKYVIGGCAYSLSDIYNGILRGNQRPPYTLIKPFGIYDRRFKVSLPYAEPLIHFALVSGNRSAPALRCYSPKNIDVELVEAAHDFLQSGAFVLHVDSMTISVTKILKWYSVDFGKNAVEVLKHAANYLEVEKTRTLLELLNKSQLKVVYQPYDWRLNS >cds-PLY81484.1 pep primary_assembly:Lsat_Salinas_v7:8:157518258:157519514:-1 gene:gene-LSAT_8X104121 transcript:rna-gnl|WGS:NBSK|LSAT_8X104121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVRSEERTWRKSGGVCDGRCLAMSFDGEEEKEGIAPGDCRYVDGATEAPDVNELKAPDVSELKVDNILLEFLRN >cds-PLY97541.1 pep primary_assembly:Lsat_Salinas_v7:5:239114277:239114819:-1 gene:gene-LSAT_5X117420 transcript:rna-gnl|WGS:NBSK|LSAT_5X117420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTKLLLLSLLHLLAVHDDARGFVGGRRTTINGWSNTSTLNNAYIALQSWKSTIKDDPKGILNSWVGSNVCDYKGVFCQDSSITGIDLNHGGLEGILVKELSLRKDMTLLHLNNNSLIRTIPDSLKDLFALIELDLNNNQLSGPFPTINLQIPNLVYLDLRFNLFSGPIPNLVFTKES >cds-PLY75092.1 pep primary_assembly:Lsat_Salinas_v7:2:93299434:93299953:1 gene:gene-LSAT_2X42001 transcript:rna-gnl|WGS:NBSK|LSAT_2X42001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPTPAELYVEMEDQASTMEMDVDDAEALDIFREGPLDTADHHRLTDSDFFNSFEDDFNDADIN >cds-PLY91777.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:66788:67393:-1 gene:gene-LSAT_0X33040 transcript:rna-gnl|WGS:NBSK|LSAT_0X33040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSISESELHCITNPNDFTFCDTREGKYHQKQDGNENPLCFSSPLWSKNVPSSYTCPSSPTKSIAEGRKELMEMINSNLPESCYELSFEDIVLKDRSNSVSMMEERVDMKLDLEKKRKGTKKSQISRSVSLDTGVFLLKTFVPGSFGPKKHKVSQSTSDGDLRKPHVDVKRWKTWPFGERLWKLYGCLCTSKPTNQRGCIFF >cds-PLY71542.1 pep primary_assembly:Lsat_Salinas_v7:7:30387761:30388446:-1 gene:gene-LSAT_7X21840 transcript:rna-gnl|WGS:NBSK|LSAT_7X21840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEPHVSGTKSFARLAHEEALKNGVYPTRGQIYLKTRTRKNGNIVNEKVAQVMTSLEAIASDSSNTQGSNTLGSVDDFSNDDYSKVKGPAKRGYIRCVGRMPTVKEKVVSCSNDPSVEQLKTM >cds-PLY72775.1 pep primary_assembly:Lsat_Salinas_v7:4:373359669:373363117:1 gene:gene-LSAT_4X184360 transcript:rna-gnl|WGS:NBSK|LSAT_4X184360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDVAGMLDQSKELDRLRKDQEEILIEINKLHKKLQSTPEVVEKPGDNSLSRLKMLYIQAKELSESEVAVSTQLLVQLDALIPSGPPGQQRRRMEGNEQKKKRLKADPDVPRLSPSLRNHLEHLASLKGEQVAARVTQEDADKDEWFIVKVIHFDRETREFEVLDEEPGDDEEPAGQRKYKLPMSHIIPFPKRNDPSTAQDFPPGKHVLAVYPGTTALYKATVVNTRKRKTDDYVLEFDDDEEDGSLPQRHVPFHKVVTLPEGHRQ >cds-PLY99614.1 pep primary_assembly:Lsat_Salinas_v7:5:240704405:240705438:1 gene:gene-LSAT_5X119120 transcript:rna-gnl|WGS:NBSK|LSAT_5X119120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASADVEYRCFVGGLAWATTDQSLEEAFSQYGEIIDSKIINDRETGRSRGFGFVTFKEEQALRDAIEGMNGQSLDGRNITVNEAQSRSGGGGGGGGFRSGGGGGRREGGYGGGGGYGGRREGGGGYGGGRDRGYGGGDGGARYSRGGGDSEGNWRN >cds-PLY69131.1 pep primary_assembly:Lsat_Salinas_v7:5:285056308:285058044:1 gene:gene-LSAT_5X150421 transcript:rna-gnl|WGS:NBSK|LSAT_5X150421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLQVDRNAKDDDLKKAYRKLAMKWHPDKNPNSKKEAEAKFKTISEAYDVLSDPQKRAIYDQYGEEGLKGQVPPPGAGGFSGMPSDGGSTTFRFNPRNADDIFSEFFGFSSPFGGMGDMGGSRAGPGGHFSRNMFSEDIFSQFRGGGGGEGSASMPPRKGAAIERPLPCSLEDLYKGTTKKMKISRDATDATGRPTTVEEILTIEIKPGWKKGTKITFPEKGNEQRGVIPSDLIFIIDEKPHAVFKRDGNDLVVTQKISLAEALTGYSAQVTTLDGRSLTLPINSVISPTYEEVVKGEGMPIPKEPTKKGNLRVKFNIKFPTRLTSEQKSGIKRLLTSS >cds-PLY90790.1 pep primary_assembly:Lsat_Salinas_v7:9:113817345:113819036:-1 gene:gene-LSAT_9X79861 transcript:rna-gnl|WGS:NBSK|LSAT_9X79861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITFGKGIYVFSSIVKVLIIIFNYSARLGLRAPQGSVASITGNKTGQKLIGMSHQPNEQLQKLSEVQNCNPDINQPNEQLQKLSEVQNCNPIVEESSTPGTIIEVASTSGPIVEVPLSPEPIIEVPTTPEPEQIQQELDMEDFCEEDGEEIPMIKLNMEEFTQKLPTYMERHMELGEGDLSKALVALTSEAVAIPVPKLKNVIQLRTEYQVWTQERLMILAHTFLQFGHLIPYRAAMRGSFPLNGTYFQVNETMSAVDGQSSLIHSFGFHRDNS >cds-PLY83524.1 pep primary_assembly:Lsat_Salinas_v7:8:188616099:188617686:-1 gene:gene-LSAT_8X122881 transcript:rna-gnl|WGS:NBSK|LSAT_8X122881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSEINSKEEEAAVKEIWKYVLGFTPMAVVKCAIELRIPDILQKHDTPMTLAELASELGCSSSLLYRIMRFLIQYKIFQEKPISDTSIGYALTPLSRLLTRHGKHSMADLVLLESTPVMLAPWHKLSSMVLGSQNTPFEAAHGVDLWKFAAANPSLSKLINDAMACDARNAVRAVIEGCPEVFEGLKTVVDVGGGDGTALGLIVEGCPWIKGINFDQPHVVSVAPAWKGVEHVGGNMFDHIPKADAAYLMKVLHDWGDDECIDMLRKCREAIPQDTGKVIIVESIVGLEENHDFEDVVLMLDMVMMTHTSTGKERTLKEWSYMFDEAGFTRYTIKQITSYHSVIEVYP >cds-PLY97704.1 pep primary_assembly:Lsat_Salinas_v7:8:8313398:8314786:-1 gene:gene-LSAT_8X6481 transcript:rna-gnl|WGS:NBSK|LSAT_8X6481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDEWDLHAVVRSYTTISTDATTAASGTNTTTSDDGFDMVETVASGNEIYQFSYSNTGENQSEGLEEVYKEGCSQRLGTTATAVVSGDDEQLPVFDGSCDSFSNETPSRKRKNQQKKVVVELTQEELCSDTWAWRKYGQKPIKGSPFPSTTKACGARKQVEQSHVDPTVFIVSYSGEHMHPRPTHRSPLAGSTRSNKSTMAHLPPPISDDCP >cds-PLY87822.1 pep primary_assembly:Lsat_Salinas_v7:3:85687566:85690333:-1 gene:gene-LSAT_3X65760 transcript:rna-gnl|WGS:NBSK|LSAT_3X65760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIPIIKMIGANSSSKKRKMKSAKYYGLQNFLQPGCPISLSGSFRDNVRRFLQECGQPEDYKVEGMPIWCTFLVHENRGFVLPLYTFEECVNNSLQPLCDHCRCSGWSHHFVSKRKYHFIIPIDNEWNKPIKDGVLDLQTHILHGLIHCNGFGHLLCINGIEGGSNFICGREVMDLWDRICTTLHTRKISVVDVSKKRKMDLRLLYGISYGHTWFGRWDYKFCHGSFGVTKEKYEQALQILSTLELNNIIQDLKNCSIKKTISRYRDLSDTQLATIRDLFRFMISLKFRTPQIKDSKPKPRLTSINKSTMKEKQARCRKFSNLAAKLDSRWHVRRLEHVAKVVVDALKEKKSENRNGMSRQEVRDTARQHIGDTGLIDYVLKSMNNVIVGSYVVRRAVNSTTGVLEYSLQDTLENITQEPEETDRVDSVIPKYKTGSGSDVYRDLTFLYYHLLLNSDSDVVVFAVRTVLDSKNFSKEWPFQDDADEYLRFICRVIPLVTTELNLETGLYKRKNSVGEELVVPLHATVHDLKAAAEAAMRDTYCIMENLKVREIVELEGVDEDEVIFGALESGSEISVRGSGVDLLTTSDLNYEGGADNWVVNCKCGAMDDDGERMVACDLCEVWQHTRCSGIDDSEVVPPLFMCYKCCDSIGPHMKHDGTGFTDWMMMPVVTNAHSNLFY >cds-PLY96318.1 pep primary_assembly:Lsat_Salinas_v7:5:192814688:192816183:-1 gene:gene-LSAT_5X86361 transcript:rna-gnl|WGS:NBSK|LSAT_5X86361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTDKTSDDGENEKLRYGVSSMQGWRTSMEDVHAALLDLDNSTSFFGVYDGHGGQALSKFYAKYLHQQVLKQEAYATGDTGTAAQKSFLRMDEMMCGQRGWRELAILGNKMDQFSGMIEGLIWSPKGNDLKGLNDNWSTEEEYKMMTCLPSLSQKEILVKLFQSAGTYNTYPGWIPFTCGPIS >cds-PLY71565.1 pep primary_assembly:Lsat_Salinas_v7:3:53695172:53697607:1 gene:gene-LSAT_3X41140 transcript:rna-gnl|WGS:NBSK|LSAT_3X41140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFTESNSLGRILVLCFSLTISLSSRGNTISANNFLSGNRTIISERDEFELGFFKAGNSSNYYIGIWYKRAASNPPTIVWVANRETPISDRFRSELKIIDGNLVLLNESKFQIWSTNVSTTLKSSVAVLLDDGNLVLGDSTSNSIEPVWQSFDHPTHTWLPGAKLAYNNRTKKSQFLTSWKSKEDPGVGLFSLELHPSSNMYVCKWNGSQQYWTSGAWNGKIFELVPEMRLNYIYNFSYHMNENETYFTYSLYNSSLVSRFIMDVSGQLQQQMWSESTAEWAMFWSKPKEVCDIYGLCGAFGTCRPTEFPLCNCLTGFKPRSESDWNQTDFSGGCVRKTDLQCGRNTEKQDFLMIRVKNLPPNNSVAVGSAGECHTTCLNDCFCNAYSLVDNQCSVWDGDLLNLEDNDSGKIIFVKVAYKDLPLSSKDPLHHKKSISVTMGAVVGFGGVVVFVLGVILFLIFRKKRISVGKTRMVGSLVSFVYKDLQIATKNFSNKLGGGGFGSVFKGVLHDSSIVAVKKLESISQGEKQFRSEVSTIGTIQHVNLVRLRGFCAQGNNKLLVYDYMANGSLDTHLFHGKQVLNWETRYQIALGIARGLVYLHEKCRDCIIHCDIKPENILLDAEFCPKIADFGLAKLVGRDFSRVLTTIRGTRGYLAPEWLSGVAVTTKADVFSYGMMLFELVNGKRNAEQSEDSRSPFFPCLVSNVLKVGGDILSLVDSRLNREASVEEVSKICKVACWCIQDEEDRRPSMSSVEQILEGVMDVNMPPIPRTVTLFVDNTERIVFFTESPSKGSSEVYSNCSPQSTSSSS >cds-PLY70246.1 pep primary_assembly:Lsat_Salinas_v7:9:531208:531987:-1 gene:gene-LSAT_9X5060 transcript:rna-gnl|WGS:NBSK|LSAT_9X5060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGKAKKSAGGRGKPKSTKSVSRSSKAGLQFPVGRVSRYLKNGRYAKRVGSGSPVYLSAVLEYLAAEVLELAGNAARDNKKTRIIPRHIQLAVRNDEELSKLLGRVTIANGGVLPNIHSNLLPNKKAKGKDVIGSVSQEF >cds-PLY81923.1 pep primary_assembly:Lsat_Salinas_v7:8:119943898:119945053:1 gene:gene-LSAT_8X83421 transcript:rna-gnl|WGS:NBSK|LSAT_8X83421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEVKLYAVAGSPFVCRAKIALNMKGIKYENFEEDMSNRSAELLKFNPVYKKVPVLVHNGNPISESLLIVEYIDEVWKGVPILPQDPYEKAVARFWAKFIDDKCIPAIFKAFGPDGNEQSDAEAIEQLQILENELKAKGTKFFGGDNINLVDIAADFIAYWLGIIQEAAEKTLVTNDKFPKITVWADDFVNLELVNQVLPPREHLLAFFKKRFGKA >cds-PLY65081.1 pep primary_assembly:Lsat_Salinas_v7:6:102432497:102433841:-1 gene:gene-LSAT_6X67620 transcript:rna-gnl|WGS:NBSK|LSAT_6X67620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METETTCNNLPNFVSSFVDTFVDFCVGGIFLPEPPSPPVFQTSFPSPERLIAIGDLHGDLLKSKQALRLAGLIDSNDRWSGGSSTLVQVGDVLDRGGQELKILYFLEKLKRQAVKSGGNVITMNGNHEIMNVDGDFRYVTLSGLEEFTNWADWFCIGNNMKSLCDGLEKPRDLYEGIPLSFPRVKQEYVKGFRSRIAALRPQGPVATRFLSKNLTVVVVGESVFVHGGILPDHVVYGLDRINEEVRDWISGLKEKVASSLVRGRNSLVWLRKFSNEVAKDCDCSMLEHVLSTIPGARRMIMGHTIQEAGINGACDNRAIRIDVGMSRGCINGLPEVLEINENSGVRMRILTANPNPNPMYHNNNHDSGGKESIGFLIPQQVEVEVEA >cds-PLY77172.1 pep primary_assembly:Lsat_Salinas_v7:8:25868842:25870239:1 gene:gene-LSAT_8X19860 transcript:rna-gnl|WGS:NBSK|LSAT_8X19860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54890) UniProtKB/TrEMBL;Acc:A0A178VBT7] MASNTLMSCGIPAVGRPSLLSSSKSRFATAVPLSGVTTNASRISMSAEWMPGQPRPPYLDGSAPGDFGFDPLGLGEVPENLERFKESELIHCRWAMLAVPGILLPEALGLGNWVKAQEWAALPGGQATYLGNPVPWGTLPTILAIEFISIAFVEHQRSMEKDTEKKKYPGGAFDPLGYSKDPKTFTEYKVKEIKNGRLALLAFVGFCVQQSAYPGTGPLENLATHLADPWHNNIGDVIIPKGIFPN >cds-PLY86382.1 pep primary_assembly:Lsat_Salinas_v7:8:283651617:283652765:1 gene:gene-LSAT_8X160121 transcript:rna-gnl|WGS:NBSK|LSAT_8X160121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPSPNSINSGEDINYNVLHSIFCDCGPKITENQTEMKRLKEQLRQEYIVCRIDHISLQHKLDDHDQKFKAVGVALGGMMLGMLLLLVVVLHFLVKLWYIMKNMECGCGAEAIIRTSCTTNNPGRPFMHXLCMPEKANTWNQDARSGFIAWVDEMECNNEGLNINGLYKSNKKLQAEVFKVKVYLVCSWVFFFLFNNVQVVMKVSWTSCLVMYLVMHFDVF >cds-PLY97858.1 pep primary_assembly:Lsat_Salinas_v7:2:216466201:216466550:-1 gene:gene-LSAT_2X134940 transcript:rna-gnl|WGS:NBSK|LSAT_2X134940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFLCCRSLSSQAKVFQPHTGHILTREEHSYRATTREVPYLLVCAHLKILNRHVVSTKVEPNHMSLGHVFYKY >cds-PLY64015.1 pep primary_assembly:Lsat_Salinas_v7:4:296115075:296115653:-1 gene:gene-LSAT_4X152740 transcript:rna-gnl|WGS:NBSK|LSAT_4X152740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGIHESQSTTIANGVESLKISKRKVALITGITGQDGSYLTEFLLDKGYEVHGLIRWCSNFNTQRIKHIYFDHHNVHKARMKLHYADLTDASSLCHWMNTISPDEIYNLAAQSHVAVSFEIPDYTADVVATGSLRLLEALRCHIATTGRCHVKYYQAGSSEMFGSTPPPQSEDTPFHPRSPYAASKCAAHW >cds-PLY94101.1 pep primary_assembly:Lsat_Salinas_v7:8:9946884:9948546:-1 gene:gene-LSAT_8X8621 transcript:rna-gnl|WGS:NBSK|LSAT_8X8621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESQLHRTDYGRHYGDSLHPAGTDVHVHDEHEHDGETKSAMKKVKEKMDRLKDTIKHGHGHHEGEGHHDEAKSVNDSPPVRSGLMEPTVMGTPVLAEDLYATHSDIVDPPVRSFAQWEEEERHGAPPPLSTGMYGTHLTDFSQVGHDHVYSQDVNTEQIEQLTGGIRESTGMEEDPSAPDLTTTVPPANYQTKVTDPTNTGRYPIHKAQGTLFCKIAKDQFFYGDLTGGREAVGITDVLKSFNKMGVYDEPYSKSSKSDQDEPKVYTGSHDQFAPEPVQNPPLDTIAADPSNPRSSYAQKVTSATTAIADKAAAAKETIVSKLGFSGEDKSETTTTGSNKTNKNSSFSTDFAHKVADTMTGTLVPVYDKVVSVKSTVMSKIQGSGEDDDGGIPASSSPESKKVTVREYLVDTFRPGDEDKVLSEVITNAFHRGKGNAPQETSSDVRDEGERRLHDSSN >cds-PLY77497.1 pep primary_assembly:Lsat_Salinas_v7:4:50815230:50816400:-1 gene:gene-LSAT_4X34140 transcript:rna-gnl|WGS:NBSK|LSAT_4X34140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSETRILEINLISAQGLKIPPSTKRKTHTYAIAWVDPTVKLRSSLDPVGGDNPTWNEKFIFRVTPDFIYGDTSAVQFEIYACGYIRNYLIGHVRYLLSSSSLTSSKTGAMIGTPAFSAVHIRRPSGRVHGVLNIAATVYEGSDFAAFNGMSAVCFRDLIGEKENDDKRRRERRLSWHPSRDGSKRSQRSSGAESCDSPSVEFSDGNDSTTSSSSSSLTTAAFKDLNGVRSTVQVAGKKNLKSDGGGLLCGLMLQRRFSYCPSDQNLLTLTGFRDRKI >cds-PLY74497.1 pep primary_assembly:Lsat_Salinas_v7:7:41300391:41303496:-1 gene:gene-LSAT_7X31880 transcript:rna-gnl|WGS:NBSK|LSAT_7X31880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNVLKAWEVKVKKSQASAKKRAATMFTTMSVAHVDEEASDIQVSHVEKIFSNGDIYIGQWVAENYPHGDGKYLWSDGCMYVGEWCKGKSNGKGKFSWPSGATYEGQFKNSYIDGEGTFTGSLNDTYKGFWVMNKKHGKGKESYGNGDHYEGNWRKGLHDGQGTYQWNSGHQYIGQWKKGKMNGNGIMIWSNGNRFDGNWEGGLPSSHKDLDPLLLFSVEMSECVIHEAESPSVFPSEKMFSWSKGESKHHKHHKQQIRINSKNENKGRRQSVSVDERVTNHEECIRGLGINLQPVERQGVTICKGHKNYELMLNLQLGIRHSVGRPAPSKSVDLKATGFDTEEKLWTKFPPEGSKHTPPHQSCDFRWKDYCPFVFRTLRKLFNVDPAEYMLSICGDEALRELSSPGKSGSFFYMTHDDKYMIKTMKKAEVKVLKRMLPSYFEHVKAYENTLVTKFFGLHCVKLSGTSQKKVRFVIMGNLFHTNVRINRRFDLKGSSHGRITDKPESQIDANTTLKDLDLNFIFRLQNDWFQDFCRQVEKDLEFLEHERIMDYSLLVGVSFRESNQELENSNSEPNSTGTSPRVSSADTDTSITSLNPTRWASVRLGMNMPARVESTVRSHQNQLVGEATGEFYDVILFFGIIDILQNYDINKKFEHAYKSLQFDPTSISAVDPIQYAKRFRDFIFSVFKEDD >cds-PLY85179.1 pep primary_assembly:Lsat_Salinas_v7:9:149093987:149094491:-1 gene:gene-LSAT_9X94660 transcript:rna-gnl|WGS:NBSK|LSAT_9X94660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLPTINLNEISHESAMGLVKRVLDMGYLLTEVYVDILGDPEKYIVKLSTRFPSVKFVVAKKADNDTVDKGPSIEKLGL >cds-PLY71426.1 pep primary_assembly:Lsat_Salinas_v7:MU043955.1:122298:123250:1 gene:gene-LSAT_0X15021 transcript:rna-gnl|WGS:NBSK|LSAT_0X15021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQQGDIEGSGSSPSFSFYASDTSTSMAIAKVIREEARFNEFGDSDEDDFRFSLDLSEEEVSAKEIDSRGWIVFPLFNRDLVVKDEVKSKDNEIHASDSITSSLRKLFIDEPEESSSCSSSEADELEALPSGTYCVWRPKTEGGSSAVMTKIKKSSSTGSLLKKWKLRYMLRRSNSEGKDPVILLTPKKKGIPVRFPKSPVSRRLKLLFMSYFTCEKEQKMKSERGNHFYLIGKWVCSQMSMEWGRCFRSRIAKSNFV >cds-PLY69764.1 pep primary_assembly:Lsat_Salinas_v7:5:232042150:232046497:-1 gene:gene-LSAT_5X117220 transcript:rna-gnl|WGS:NBSK|LSAT_5X117220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLRSFETKETLKLEVPNPCTLHHLTQLLSQKLPSSSSRSSAIYFSLNQKDNLTTSSPEDSIQSTGITSGDLIYFTTNPNGFSTFPSVSPPPPSPPPSTSEQSQHSQTPNPNPSNPDKTLNPNPEETEDSMEIDDDGNSVSEPGKSFSVPGFLRKVFTEELGDDNGLNHKLLAIAVRAVLLESGFLEIDPVSKTLKSSNNFDIQRNWHLTSFHFTLPDLITTGNIESVKIRFQSLGKYCKVYGSLANGIVHSVLLDEDKLVPFLNVVWANCGKVVETMGDNNRVSTVEPEREVFEFWRKTKDGIAIPLLIDLCEKTGLELPPCFIQLPSELKLKILDSVSGVDVANMSCVCSELRYLASSDELWKQKYVAEFGDCKGSGSFKERFAKAMESRKRMRRVIGGRSGRSGVNLMRIRNPYGRFGPPGYFPPIRGGDHDIWMNVNVGNGVPRLRNMNVIPNCNLEF >cds-PLY68542.1 pep primary_assembly:Lsat_Salinas_v7:5:92555567:92555989:1 gene:gene-LSAT_5X41481 transcript:rna-gnl|WGS:NBSK|LSAT_5X41481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDELFLLNGVFNIGDSIPWIDFMDLQGYVKRLKTVSKKFDRFLEHVLNKHNERRKTAGEKFEAKDMVDLLLQLADDPNLNVKLERHGVKSFTQGGGLDWDGF >cds-PLY67622.1 pep primary_assembly:Lsat_Salinas_v7:5:23934271:23937114:-1 gene:gene-LSAT_5X12360 transcript:rna-gnl|WGS:NBSK|LSAT_5X12360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWNLKIPSWDFTEFEQGTIPNIDSTSGSSSYGGQGIKGNFCVDLKLGQVIDSGNELKSTSKMALSPSASSKRARPINNTIPAATCLVDGCNADLSNCKEYHRRHKVCEIHSKTAQVSINGQKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQPDTSRSASLFSGHQGATMLQFSSPHVYQTTSLTNHLWTTMVKSEEQTYSTRHSTMAHKHNPFPESSLGGKKLPGKQQFSLFHGSHLKLNHQTSPPKVSQQSQSQTQPQTVVTFEGNSSGSYEKLFCDGYPPPAAAARVVQPVVQSSDCALSLLSSSPSQTSCTTLSHVMHPSNSYGAPPNPMDPGAGAGYGGLESIMDPNGNGNDCDGMIQMGIHHHHHHQHQHQHASPDNGAPQTLPFYWE >cds-PLY85034.1 pep primary_assembly:Lsat_Salinas_v7:7:7236565:7237680:-1 gene:gene-LSAT_7X8020 transcript:rna-gnl|WGS:NBSK|LSAT_7X8020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKPKKTTRNQNHDDASSEKRVKTCGNGGWGHWSDLNHQLLFVVMMQLGFFDYLSFRGVCKSWRSFAISNKKPFMDSKQPMTLHVTWRSYKKPCYLEDFEGKKFKTILPRFAGGVCVGLTSGYLIFFARTSREFWLVNPITRHQLHFPDFPTEFNYPSELDVKGILVFSRSLHRWVFLVIRKFWSKIWFSVAGEGDWDSACFTDLSFTDLRAFKGMIYTLNFNRSSNSHELHELRLNPEPELTLVETKNSLKSPRFHEMEVVSSGENFYVMDCLRPKDNPNDIYRFQELDFDEMKWVTREKTVGENASFLSNLKHGVAVTSLFWGSGGQCLLLGHNAGDKLKLMVAERPNSFTTHMWYFPHECLNIDRVDD >cds-PLY96676.1 pep primary_assembly:Lsat_Salinas_v7:7:44173858:44175238:1 gene:gene-LSAT_7X30260 transcript:rna-gnl|WGS:NBSK|LSAT_7X30260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKNALIALMAPLPSIFFYISFLNHYQNDQHLLSPLWNWCYRHPLILANTIFFLNIDVGFWLISLLQSSNWMIDLYWTVIPVLLAHYFASHPDGEYNMWRSAVVVGLTWVWAVRLTHNYLRREKWQWGAREDWRFTDMAQQHGQNWWWVSFFGVYLIQQVFLFGVCIPLYIVHTVNKPVNIWDVVASIVCICGIIYAYIADTQLYTFVTKNEKLKEEGKALVPNLNKGLWYYSRHPNYFGEQLWWWGLAIFGWSLGGTWVFIGALINTLCLAYVTTLVEKRMLKQEYRVESYKMYQKTTSIWVPWFKSSPIQVFKDKDC >cds-PLY63422.1 pep primary_assembly:Lsat_Salinas_v7:7:150423134:150425197:-1 gene:gene-LSAT_7X89660 transcript:rna-gnl|WGS:NBSK|LSAT_7X89660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKCSLRSSNERLQWLVKSENQKEEEGEAQAVIIGGMVLDIHAIPSIPPNPRTTVPGKVRYAAGGVARNIAECVSKLGTKPFMISALGSDIAGNMLLEYWKSTGLSTEGIRMGNEIQTPVVSIVFDTEGESAAAVASVESLEQFLTPKWIQQFKSNISSAPIVMVDANLSPLALEASCQCMYYITFTSPNEDELIAMANALSPKHTFSPIQKEASTITSLFQQLKPAISILLEKGIKVVILTLGSKGVLLCSKKHFNFLHSICPKRNNNNKRLQEAINLVCPVGRFSGGGGGGVVHFPAVSLAAVVRLTGAGDCLVGGVVASVCGGLDLMQSVAVGIATAKAAVEVETNVPLQFDFDHIAEDARSVYLGAKVVFSQSML >cds-PLY90575.1 pep primary_assembly:Lsat_Salinas_v7:6:52009081:52010103:-1 gene:gene-LSAT_6X38161 transcript:rna-gnl|WGS:NBSK|LSAT_6X38161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPRHHHNAYQPTPPPPQPAHHLPTHHQPSPPPPQHHAPAHHQPSPPPPQPSHHVTSHHQPSPPPPQPAAIVHHVSHQTTHQTPKYTDNKPTVRFYSKIKTNYSLTIRNGEVVLAPTNPSDHHQHWIKEEKFSTRVKDEEGFPSFALVNKATGQALKHATGAAKPVQLTEYNPDKLDESVLWTQSKDLGDGFHAVRMVNKIKLNVDASIGDTGIHDGTKILCWEWTKGDNQRWTTAPFCKFLNTNC >cds-PLY87387.1 pep primary_assembly:Lsat_Salinas_v7:4:12129405:12129728:-1 gene:gene-LSAT_4X7901 transcript:rna-gnl|WGS:NBSK|LSAT_4X7901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWQNKHTWTTEEDAKLIEALLELHASGKYGGADNGFKPGYLKAVQQLLDVSLPNSGLKAEPHIKSRMKTWKNHFNIVHDMVYGTNTSGFGWDTDKYCVTADVEVHT >cds-PLY80217.1 pep primary_assembly:Lsat_Salinas_v7:9:191824378:191825782:1 gene:gene-LSAT_9X118240 transcript:rna-gnl|WGS:NBSK|LSAT_9X118240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHQISPPLPLTANFPLLQRSSCFIDNLVFLSSPIKHRSTYSSPRRSSLSYHKPSCHRIEKNARLQRARVSFFQSTKSDGFENNLKQELLETIAPLDRGADATLEQQERVDQIARKLEAVNKVNEPLKSELVNGKWELLYTTSQSILQTKRPKILRANGKIYQAINVDTLRAQNMETWPFFNQATANLVPLNARRVAVKFDAFKVLGLIPIRARGSGRGQLEITYLDEELRISRGNEGNLFILRMVDRTYKVPL >cds-PLY93048.1 pep primary_assembly:Lsat_Salinas_v7:9:25838323:25840002:1 gene:gene-LSAT_9X23080 transcript:rna-gnl|WGS:NBSK|LSAT_9X23080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSVPPYLDHHNWHHQLQQSNNHGSGGVGGGVSENPNLPPPPPPSQPCGGGGGGGEGLTRPGSMVDRARLAKLPLPEPGLNCPRCDSTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSSKSRSSKSPSQSGAPKSLSVSPPRSSSENMTSSSQLHHPPSLHLPFMSSLSQYGGAAGNLSSSIGGFQPPSEIGNFQIGTGSSNHFNNILSIGGNENWRLPNFLPGFEVTNNSSLFNYQNEGVIEAQSSSMVGGDISLKNSRIDTNSQQIDPPVKVEDNRGLNLSRQFLGMLENANQQSWAGNSWAEFSGVSTTTPTTHFL >cds-PLY74569.1 pep primary_assembly:Lsat_Salinas_v7:7:35101743:35102027:-1 gene:gene-LSAT_7X26841 transcript:rna-gnl|WGS:NBSK|LSAT_7X26841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNEVMQKVMETLIHQDRQIKSALMLLYKQLQLVVELVEKLMKEAASTPSLSKALIMKPRMLFVDMMTTLLNLMEGIDKSITRCQDIIENMADD >cds-PLY63483.1 pep primary_assembly:Lsat_Salinas_v7:9:168230439:168235647:-1 gene:gene-LSAT_9X104801 transcript:rna-gnl|WGS:NBSK|LSAT_9X104801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSPGTKKSNFSSRKDRTVREHPPPVGNGSPVTPPIENRNPVTENTIPERPSTGTPAPWASRLSVLARIPTAKKSDKVDDVDPIQPVYAGEVPQVVRDEQAKMLQKRASGDTNTYGGMDKGTSLAWIICGSRLFIWSYLSSAASRKCITLELPSSILEDGDINKNLTSPWLLCFLDWDHTQKVHQQRTSTGVLLCNRKTRSLIYWPNIYSSIPSVTHIDRSKSNSYNSLIASAILEKQNSCIALACGSQGQLSKFICTPAGIHCQETITVGQIPSPKGYPRSLIWHTPYLKESKRKFLLLTDHEISCFSVDLFQDFTMSKMWAHEIVGDDGDVGIQKGLAGQKKIWPLDMQIDNNGKVMTVLVATFCKDRATSSSYTEYSLLTMQYKSGFEKVLEKRSPIEVIIPKARVEDEDFLFSMKLKVGGKPSGSSVILSGDGTATVTRYSRNTSRLYKFDLPYDAGKVLDASVFPSDDGEDGAWGVLTATAGVWAIPEKAVLLGGVEPPERSLSRKGSSKEASTHFSHFAPRRVSSEARDTGDRQRAAVFAGVTHRTAQDEESEALLTQYFHGFLQSGKVEGVNDTLQKKGVFERDGEINVFSRVSKSIVDTLAKHWTTTRGTEIVALGVVSTQLLDKQKKHQKFLQFLALSKCHEGLSSQQRKSLQIIMEHGEKLASMIQLRELQNMIRNQSSTGILYSDSDSINELSGSIWDLIQLVGEKSRQNTVLLMDRDNAEVFYSKVSELEQVFHCLETKLSLIINEEMPFPFQIQRACELSTMCVTILTTSMNYKDENHMWYPSPEGLTPWYCQTVVRSGMWTLASFMLHLLNDMGTLDRSSKLEFHSHFEVFVKVLLEAYSGAVTAKVEREEEHGGLLEEYWNRRDTLLDSLYQQVKVLTQGLVKEQEQEQNSEISQKLSSNLLAIAKRHEGYQTLWNLCFDHNDLELLRSLMHDSMGPKGGFSNFVFKQMYNNKQFSKLMRLGEEFPEELTIFLKDHQDLLWLHEIFLHQFPSASETLHALSLSNIDNSTPEVNQPTLTDRRRLLNLSKIASMAGNDYDYDYNTKLKRIDADLRLLKLQQEILKLLPEEKDEIAHKLLPSADLIHLCLGIQNKKLALYPFEVFAWSSVSFLKGNTGILEECWKNAANQDDWEAINEMCVTKGLSDEEIMEVLKDTTLFEASRKCYGSERSCFESGGFEDVMPLRQDGVEGASVEGILMQHRSFPDADKLMVMAIMLGSVVGDHHDDGGVGDAMCD >cds-PLY85840.1 pep primary_assembly:Lsat_Salinas_v7:3:188964079:188964421:-1 gene:gene-LSAT_3X113860 transcript:rna-gnl|WGS:NBSK|LSAT_3X113860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNGNPYSSSLDSRRCLGHEAMASSTLFRPDAVKHILSSSLFPVELSVKDMVKKWVNLFLKFDKV >cds-PLY72887.1 pep primary_assembly:Lsat_Salinas_v7:4:272969904:272970975:-1 gene:gene-LSAT_4X140521 transcript:rna-gnl|WGS:NBSK|LSAT_4X140521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLILLFFLLSPAVAVTERCHPDDKAAILKFKNSFSNGPQLLPMWTSDRDCCDIFDCDETTNRIIDFSLTNSNLAGTIPDVIGDLTYLKTLRMHKMPFLVGEIPRGVTRLKYVTFFDISWTNVSGHVPSFLEELKSVMILDLSFNNLSGPIPSSLATLPNMIGLDISRNRLTGSIPESFGHLVSPALQGLSLSHNMLSGEIPTSIGKMKIYQIDVSRNNLSGDASMLFGAMKSTSVIDISRNNFEFDFSRVSFMEETLVTLDISHNKIYGKIPTQILKVFMLQFLNVSYNRLCGEIPSPWKLRYRSEGFDKTSFIHNQCLCGSPLAQCK >cds-PLY70845.1 pep primary_assembly:Lsat_Salinas_v7:8:117365013:117366818:1 gene:gene-LSAT_8X81600 transcript:rna-gnl|WGS:NBSK|LSAT_8X81600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAYCSDCKKSTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANEAGDNDPVRVGGPTNILLNDGGLSTVISKPNGVTSDFLSSSLGRWQNRGSNPDRSLILAFKTIATMSDRLGLVATIKDRANEIYKKVEDQKSSRGRNQDAILAACLYIACRQEDKPRTVKEICLVANGATKKEVGRAKEYIVKQLKLEMGQSVEMGTIHAGDFMRRFCSNLGMTNQTVKAAQESVQKSEQFDIRRSPISIAAAVIYIVTQLSDDKKPLKDVSLATGVAEGTIRNSFKDLYPHLSKIIPTWYAQEEDLKNLNSP >cds-PLY64077.1 pep primary_assembly:Lsat_Salinas_v7:8:94415273:94419408:-1 gene:gene-LSAT_8X66500 transcript:rna-gnl|WGS:NBSK|LSAT_8X66500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFPGYVTQPNGMGNLEMTWLPVFAGAVGALGAAGALGATYCSPYITMDGAYHARPSGQTSTLPPTTERVQESSEMLDELSKHGLIHQVAYLIDLINHTTLSYSVHTGLIGLLVKLASGSMVAVKTLFDLNISSILKEILSIYDLSHGVPSPRTIDGHYNQMHEVLKLLIQLLPVVSRNQEVPLAAEKEAFLVTHPDLVEKFGNDLLHVLIQVVDSGVDLYICYGCLSVVDKLLYYSNSDMLLDLLKSTNISRGHNLEFLILNYTFFYQISLQF >cds-PLY70437.1 pep primary_assembly:Lsat_Salinas_v7:1:75799548:75799864:-1 gene:gene-LSAT_1X62880 transcript:rna-gnl|WGS:NBSK|LSAT_1X62880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSVLLVVKKEKILPLLPPKRTQFDLVVKPPASCKRKPSDAWDLENLGTYEALRRLLTTSPVLLRISKSSLLKPSTF >cds-PLY72338.1 pep primary_assembly:Lsat_Salinas_v7:5:97361372:97362773:-1 gene:gene-LSAT_5X46681 transcript:rna-gnl|WGS:NBSK|LSAT_5X46681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMALIRDGFTLISLKSCYGSGVNMSKTNSEKIAGLEAGVAALEQDLTKLREEADLAKKENVAQFTEVLQAINNMTKTIKGKLIQEEEKDKEDPEFEHEFGSFTKGPKDDKNKGGGRQMGARMTRLAVRILQPANLARAMTLAVMIDENKFSIGTPKTSGGVIRSNNSGSSRPGGASTNMGRIII >cds-PLY84767.1 pep primary_assembly:Lsat_Salinas_v7:5:230508386:230511880:-1 gene:gene-LSAT_5X110881 transcript:rna-gnl|WGS:NBSK|LSAT_5X110881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRIVMLPASEVDLTKVKYDPGEIEAPHITGLLLKFFVKLVEMPLIGSLLIGHLKKQNKMNQMLRDTLIPEPPMFRPEFPPQEPESGVVFLKEDGKSEDRVESAVECLPQYDPASNSNHSSDSTPFRYWKIRDYAYSYRSSLTTPSIVAEHVISAIEGFSNKTSPTPLLISFDADDVRKQASSSTQRFQEGKPLSILDGIFIAIKDDIDLYPHPSNGATTWLHELRSVEKDAVSVSKLRSCGAILVGKANMHELGMGTTGVNPNYGTARNPHDLDRYTGGSSSGPAAIVASGICSAALGTDGGGSVRIPSSLCGVVGLKTTYGRTNIKGALCDGGTVEVIGPIASSVEDIMLIYAAILGSSPADKISLHPSVPCLPDLSSSHENVSVTGSLKLGKYSDWFNDVFSPDISNKCDDILNTFSETYGCKVVEIVIPELDQMRTAHVVSIGSEAAASLSPDIQIGMDKKLTLDSRINFALFNSFTAADYVAAQRLRRRIMYYHMEIFKKVDVIVTPTTGMTAPVIPKTALTYGESNIKVTGNLMRFIIAGNLLGLPAISVPVGYDKEGLPIGLQIIGRPWAEATVLRLAAAFEVL >cds-PLY99113.1 pep primary_assembly:Lsat_Salinas_v7:8:204599624:204601330:-1 gene:gene-LSAT_8X130720 transcript:rna-gnl|WGS:NBSK|LSAT_8X130720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEPNRSRNKLLLTCSLIITFVIPPELPMELSIAVNTSLIALARHGIFCTKPFSYTICSEVDICCFDKTGTLTSDDMEFSGVGGLSADLDLETDTKKIRTRTLEILLFVHNKLVGDPLEKVAIKGIEWSYQSDEKAMPKNGSNSSKASLCIPLEKNDSCYSYRRAVLCVCEGCTRDHSRKIK >cds-PLY77960.1 pep primary_assembly:Lsat_Salinas_v7:1:25142090:25142653:1 gene:gene-LSAT_1X21380 transcript:rna-gnl|WGS:NBSK|LSAT_1X21380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLKNFSELQGSLPQIVPVDTETPSGSDLEDSTHALLPRKRKRRNPRPGVKITDPVQNRSTLIEPSHMDQNIESTFTESSPMIQEISSPLPESTPMDQDFRSPIIEEVIFPCKGLRLLTSFEAPELDISKGKSKLLESEFMDVALLQNRVFDLEQSSAEKDLIIGKQDLRISALKKENSIKDAMIS >cds-PLY99118.1 pep primary_assembly:Lsat_Salinas_v7:8:71092464:71093960:1 gene:gene-LSAT_8X51261 transcript:rna-gnl|WGS:NBSK|LSAT_8X51261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITTTSSHNQEDDEDEEEQEELDLNLSSGAGCTFNNGKMMIKPTKTCARGHWRPAEDAKLKELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRKAFTDEEEERLMTAHRMYGNKWALIARLFPGRTDNAVKNHWHVIMARKYREQSNAHRKRRMFITQTVSGSDTPSATPPPPPPPQILIPTDAPPAYNGMFHQQPPLGFISGGKNNNQMMMMMMDHILSSKNKCWDTLSSSSPSSNTSWMMGNSTSTTNNFYDHQHQYHHDDDRHSDQNEHALPLMMMTAGINHQYYHHHQGYNSSSSPFSSVADNSAIGTTHSVTTVTPPPQVLQSQQFSEANIDISPPLIDFLGVGAT >cds-PLY85033.1 pep primary_assembly:Lsat_Salinas_v7:7:6779603:6780559:-1 gene:gene-LSAT_7X5961 transcript:rna-gnl|WGS:NBSK|LSAT_7X5961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAVKPMMKKFVGVRQRPSGRWVAEIKDSSQKVRLWLGTYDTPEEAARAYDEAARALRGENARTNFAPLVNSVISDSLSESDSRRALSFSSLKARLSKNLQSIMARTTENNKSSPKSRVSDHFTFASIFNFKANYPPQNSVVADMEKLVQPSVIVPPQTVADHDGATCTYNSSWESSSVSADSSNEWVGFSSLQHGLDSDGSDTCEGSYFGDQMIGGWMSSPDISDGGSRSKRFKVSSSVMVPPKFSGQTTESGFPW >cds-PLY92173.1 pep primary_assembly:Lsat_Salinas_v7:8:5599323:5600370:-1 gene:gene-LSAT_8X4541 transcript:rna-gnl|WGS:NBSK|LSAT_8X4541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACTTSGISSSPLLSNNISKPSTKSIRPTCCALRFFPPSRTRSRLPVVRAQAEKDTSVDVQVSSNQGKASANGSVERRPSSTTISPFGLLDPLSPMRTMRQMLDTMDRIFEDSMAFPTRTGTAWNIRSPWDIQDSENEIKMRFDVPGLSKEDLKVYVEDDMLVIKGEHTQDDQNEGGDKSSWTRQNYSSYQTRIDLPDNCEKEKIKADLKNGVLLISIPKKTVERKVIDVEIQ >cds-PLY62907.1 pep primary_assembly:Lsat_Salinas_v7:4:327593271:327595981:1 gene:gene-LSAT_4X162700 transcript:rna-gnl|WGS:NBSK|LSAT_4X162700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSILCFFLLVLFSSLSLASSQDVLPDHYFINCGSNSDIDFTNQKFVGDVNPSTFSISGGHVAAENNNPATDTPVIYRTARIFTKKSWYELEADDIKTFVMVRLHFSRFSTNGFEFSNSKLDVSVSGFSLLSGFSTGNTTVIREFIFPIGSERRFRIEFTPSRGSSSAFVNAIEAFTTPSNLFRPASSFPRISPAGKLADLEKLTSDYAFNPIHRVNVGGQRINVDRDTLRRTWTPDDSFIFNNGPARNVTFDGRVNYVDGGASSSDAPDDVYKTAKQLNNSLVNITWNFNVNKNAMYLVRSHFCDIISTSLVDPNDAFNLFVYSHHKEEIQPGNTVDALQAPFYLDLVVESTDSDRLNISIGAIRGNNQPVFLNGVEIMEMLKNSGVRDPVNGKKGKSVFITVGCVLAGVAFLLVLLAGFFIGSRCGKRKQVVVGAKSESHVVPSYGRSTSYNSIIGDFTVNNPSPVLDLNLRVPFADIVQATNNFDENLMIGRGGFGKVYKGTLHGTKVAVKRGEHGHGQGRPEFVTEIMVLSKIRHKHLLSLIGYCDENNEMILVYEFMEKGTLQDHLYGSDLPRLLWEQRIEICISAAGGLNYLHTSSEGGIIHRDVKSTNILLNEHYEAKVADFGISQLDNVDEGKMTDVKGSFGYLDPEYVRCMKLTHKSDVYSFGVVLLEVLCARLALDNGLPAKEMNLADWAIKQIKNGNLDKIIDPFLAGKINQNSLRKFVEITERCLEETGDKRPSMDDVYWDLKYVLNLHLKSLDQQPYEDSTINMSIQLPTLITDQFSSRFNDDSEVNDSSIFSYPSESQVFSQLKVNEAR >cds-PLY95089.1 pep primary_assembly:Lsat_Salinas_v7:1:93295618:93298639:-1 gene:gene-LSAT_1X77001 transcript:rna-gnl|WGS:NBSK|LSAT_1X77001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:La-related protein 6B [Source:Projected from Arabidopsis thaliana (AT2G43970) UniProtKB/Swiss-Prot;Acc:O80567] MAQEPPSSSQTLESVIHHDDLQQPASTVTSSSSDTNNPTLTRNVSSSRLNAKAPEFVPRTTTAASSPSSSSTTTSTTVTTRADLSQPRLVISPPPTSPGIIHVYPSPNSPFHPPIPTHVPVSVPVQNHHPHHHHHHVPLQYHHHQHHNHTHNHNHPRPFNGATGFLDHKDVSVQAQRTVLGDPDSKDGLTDEATQKILNQAGLCPYLYLLLHDSDDINLATTDHLMRFINKDPEGYVPISVVVSFKKIKALVNSNSQLANILRNSTKLMVSEDGKKVKRQHPLTESDMEELQSRIIVAENLPEDHCHQNLMKVFSVVGSVKTIRTCQPQASNGGPSSNSRTAKADGMLFSNKLHAFVEYESIELAEKAVMELNKEGSWRNGLRVRLLRRPVQAKAAQARGKKSGQEDEAAQKEDDTSTSDQQHVEDTNQQSDVQSHEQIIVEDQANEKEGGHKKGRNRGRGKGQGQGQGQGRGRSQYNNHHNNRMAHVVAPVLGNSINTPEHGGGGGKQPPGPRMPDGTRGFAMGRGKPLVVSVSD >cds-PLY99789.1 pep primary_assembly:Lsat_Salinas_v7:9:53433437:53437812:-1 gene:gene-LSAT_0X7340 transcript:rna-gnl|WGS:NBSK|LSAT_0X7340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch, beta-propeller domain containing protein [Source: Projected from Oryza sativa (Os03g0835800)] MHHWVRASPSDFGGPLPTPRSGHTAVNIGKSKIIVFGGLVDKNFLDDISVYDIENKLWFQPQCTGSGSDGQMGPSPRAFHIAIAIDCHMFVFGGRLGTKRLGDFWVLDTDIWQWSELTSFGDLPSPRDFAAASAIGNHKIVMYGGWDGKKWLSDVFVLDTMSLEWRELAVTGTLPPPRCGHTATMVEKRLLVYGGRGGGGPIMGDLWALKGLIEEDNEAPGWTQLKLPGQAPAARCGHTVTSGGHYLLMFGGHGTGGWLSRYDVYYNDCVVLDRVSVQWKRLATNTEAPAARAYHSMTCIGSRYLLFGGFDGKLTFGDLWWLVPEDDPISKRSEVIQETRNDFQSETKENDMEGSAISELQKRLNISVSFSGHNLQIVHESEDKEFLELASRINGNKSFNNKQAVDALREHWQNSSPKSIPLKELNPLLRDYKRLITRHHLSKVGSNLQSENEKDAFRFYHITNASQLRMSDIPNLLTEYKQLPLD >cds-PLY97670.1 pep primary_assembly:Lsat_Salinas_v7:8:6275479:6279102:1 gene:gene-LSAT_8X5221 transcript:rna-gnl|WGS:NBSK|LSAT_8X5221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIRPNVLSVQVPSAMNYTGQNDTSNAARDGSENGAESLVNPSKKLENDMLLLGKKIKQHEENIKYLRTHKNSLDDVITDMQVTLGKYHSSSAPKIEDGDLSQMQTEEATIENIMKNEKSAAAIVCQLKRHRNQATHIKDVLGVVATLGKVNDDSLSRILSEYLGQDNMLALVCMTYDGVKALESYDKEGCINKSSGLLGLGTAIGQILEGRYNVICLENMSPYYGEFLANDAQRRLALSKPTLPNGETPAGFMGFAVNMIHINSEHLFYLTNKGNGLRETLFYTLFSRLQVYKSRAEMLQALPCIHDGAVSLDGGIIRSCSVFSLGTTREEEVKVRFAISSGVSYLPEEYIEVEKEMKEFKWKRERMMEDIQREEAMLAHVKFNFEFKKQEFLGFMAQSSPYTMQAHLGSPSPPISTDSLLSPVASMRGSVYLHPSPSILCPTLPICDQLEACFSTFSGD >cds-PLY72566.1 pep primary_assembly:Lsat_Salinas_v7:3:198411148:198416642:-1 gene:gene-LSAT_3X118241 transcript:rna-gnl|WGS:NBSK|LSAT_3X118241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSMKKITHKFHDFVTSTDAIDLNSANSAKQKLAEFISESGNMYCADCGTPDPKWVSVSFGASICIKCSQAHRNLGVHISKVLSVNLDELTHEALKQCPYAKKHSNNVLMPSISHAFRNSWKKKDNDHKPPPKTSNSMIIRDLDHLATEELKQLHGRVAAYRMTKKPPPVRHSHYVSGVLRPLKLAAKSLRSGQVFVEGERAMTYLTEDRRGKLIEGAAVKIIGRYNDLATDIVNTTTTIVKELKDLQEVVMKVEDGEENRKRKFDPEEHIDAHLSVRVNEQRVNNLLQ >cds-PLY98948.1 pep primary_assembly:Lsat_Salinas_v7:7:52010914:52011219:1 gene:gene-LSAT_7X37840 transcript:rna-gnl|WGS:NBSK|LSAT_7X37840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVEMFGDRSEEYHIEGCFSQRNYTIYDSAKETMAEIKHKVNASTNVMLGKDVFSLTLKLVRIESQSSSPKSIYSLQFLCVFMVGEEEAGVGCVFDRSLRG >cds-PLY73612.1 pep primary_assembly:Lsat_Salinas_v7:5:206354258:206358476:-1 gene:gene-LSAT_5X91781 transcript:rna-gnl|WGS:NBSK|LSAT_5X91781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVFATQFLELKSLLSSCANINSKSEKSSLYSTLLQLQEHSTTDPSLLQSLTDSSHVLLSSMANDISDDDEEIAAQALKCMGFMIYHPSIVTGILGVWCISVQQFNPSFLNAHIDSLVRAIVHALDNPTGSLSTTFEAMQAVMKLVTQLNEKMRETSNLWAPPIYRRLVSSDKREKDMSERCLLKIKSTICPPPSSLSKVVIVDVKRKLLPAMEELLKKGMKIQAIQSWGWFICLIGPYSIKNRHLINQLLKIPEQTFSDSDPQVKIASQIAWEALIDTLVILPTQEYTTNEDTNTNGVIEANGLTKSIKLIMTPLIGIMSTKCDSSVHLSCLNTWNYLLHKLDTFVNHSSVIKTVLDPMLETVFRVGPDNRNIWSWNFCLDLINSHAGQLGQPGDHPVKWMTWNLNRFDFFIKMVHVFINHGELAYDSALKLFRSSIKGVQLCLKNTGVSFSDIMSCIETIITCLKTLSEGQIGQTFLEFIEIVIEELQPLILGSPLYTMKFDEKNTVSPVAYLVLLYFHETVNLTDSVGQLRGCCKNNSFAARKLSDYVSLLLVSYDAYDIIDTLIFSLEKYVTPNNLNLWITIANCVKDYLKGKKSEVDDTWNLVISRFLAYPFCVFQIPQNKVDVEEIVEQWIAIYKSCECCESLFPVFDRFLEEYSKVDQKSYEFLSLCGDVITCVFEDITKSSHPCGKNNDVVGDKRIRCIKTSLHCVARFLSVSYVDAKANPKILDTISRVFSTLIRFLESFVFDEIINIIIFIEIISIPLLQWLSDHETQHENTIYQLQQLWITILKCLQTHSPSIDFNSTFLKLQSTLIQATLDHSNPLISNPTITFWNSTFGAQIKLDYPQNLLPVLDKLFRNGKINLNRKSHSRTNSEITPPQKHKVTTALNRCVKRVELMEDHGKGLGFKRKKLELTEHQKEVRRAQQGRSRDCEGRGPGVRTYTSVDFSQGMEEESQEESQDLRNTEAILEMLKRV >cds-PLY78288.1 pep primary_assembly:Lsat_Salinas_v7:2:111049811:111054114:-1 gene:gene-LSAT_2X51461 transcript:rna-gnl|WGS:NBSK|LSAT_2X51461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVTAGGGRPLRASLWPIVIAFTVFAVANLVAVSADPYIYSSPPPPYIYKSPPPPVHSPPPPYEYKSPPPPVHSPPPPYIYKSPPPPVHSPPPPYEYKSPPPPVHSPPPPYEYKSPPPPVHSPPPPYEYKSPPPPVHSPPPPYEYKSPPPPVHSPPPPYDYKSPPPPVHSPPPPYEYKSPPPPVHSPPPPYEYKSPPPPVHSPPPPYEYKSPPPPVHSPPPPYEYKSPPPPVHSPPPPYEYKSPPPPVHSPPPPYEYKSPPPPVHSPPPPYEYKSPPPPVHSPPPPYEYKSPPPPVHSPPPPYEYKSPPPPVHSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPVKSPPPPYYYTSPPPPVHSPPPPYYYKSPPPPVKSPPTPYYYKSPPPPTKSPPHYYYTSPPPPTPYHPAPHPHHNKAIVKVVGKVYCYSCYDWKYPIKSHAKHHLKGAVVEVTCKAAGEKEISVYGKTKINGKYAITVEGLDYSKYGGAKGCIAKLHMAPNGTKCNIPTNLHGGLKGAELKVKSKNAYEIVLQAKAFAYAPKTPSKICEKPKPKPEPTPSPYYYKSPPPPPPTYLYKSPPPPVKSPPVYHYTSPPPPKKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPKYYYTSPPPPKKSPPPPYYYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYYYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYYYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPTPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYYYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYISPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYTSPPPPVKSPPPPYYYISPPPPVKSPPPPVYIYGSPPPPVHY >cds-PLY84341.1 pep primary_assembly:Lsat_Salinas_v7:5:188760489:188762627:1 gene:gene-LSAT_5X85801 transcript:rna-gnl|WGS:NBSK|LSAT_5X85801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLVDAAISPTSLSINEDTSSTNHHRSALFFLLGGLIFLLSLLILLFIFWKYIQQEQLRKSGEPENSCKASKDYYRGNIKTISYFKFQALKKATMNFHECNFLGKGGFGPVYLGKLQDGRQVAIKKLSLEKSQQGDEEFLAEVKMITSIQHKNLVRLHGCCSEGSQRLLVYEYMKNKSLDLIIYRKSEEYLNWSTRLEIILGIARGLQYLHEGSHVRIVHRDIKASNILLDAKFHPRIGDFGLAKFFPEEQSYLSTTFAGTLGYTAPEYAIKGELSEKVDIYSFGVLVLEIISCRKHTDLTLPSEMQYLPEYAWKLYARSKIVDLIDPRMRKGGFVEKDVMQTINVALLCLQPQANVRPPMSEIVAILTWKSKMVKAPIKPDFFDGGCRVKDENVSWEAISDYYPSPLESKSYNLIQPPNSGEFIESRSYNLIQPPNSREFIVN >cds-PLY62467.1 pep primary_assembly:Lsat_Salinas_v7:1:82262591:82264447:-1 gene:gene-LSAT_1X71221 transcript:rna-gnl|WGS:NBSK|LSAT_1X71221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEDRESTRYWCHQCNRPVVPIIEVLTIKCSSCHGEFMEEMDSVTSDHHHHHREDVPDRGQSLWAPVFLGMMNNPRRRRRFSHIDFDEDNEEEDRHRSYNFREGGDSDLDREFESIMRRRGRSSAAILHLLQGVRSGSENNTSQERDHDRERVILINPFNQTIVVQGSGGGTHPIGSLGDYFSGPGLDELLQHLSEIDPNRHGTRPAQKQAVEAMPTVKIDENSVQCSVCLDDFEVGNEAKEMPCKHKFHSKCILPWLELHSSCPVCRFELPSDETRPGQETNGSGGSEDGDDRNLRRLSLPWPFSTLFGPTTGPQPGSMPSASSSGSSPWARRAYGEDEEQ >cds-PLY72051.1 pep primary_assembly:Lsat_Salinas_v7:2:204659971:204661710:1 gene:gene-LSAT_2X125261 transcript:rna-gnl|WGS:NBSK|LSAT_2X125261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGTKVQKKERNNIKYLCVLDKDTVVLPYTNSMLLFSIYLQELVMESLRKEFNLDGNMIVPVSGIHEG >cds-PLY76750.1 pep primary_assembly:Lsat_Salinas_v7:7:60894725:60895780:1 gene:gene-LSAT_7X44480 transcript:rna-gnl|WGS:NBSK|LSAT_7X44480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSETSESDRLLLTSVQPCRHFSLAEIKSASKDFDDKLIIGQGGFGKVYKGFMYIEETSHVVAIKRLDSKSEQGALEFKAEIEMLSKLRHCHLVSLIGFCDDNNEMILVYAYMPNGTLYHHLHKSETPLNWVQRLKIAIGAGRGLDYLHTGFGTQQGVIHRDVKSSNILLDENWAAMISDFGLSKLGPINQSLSFIDASVKGTFGYLDPEYFYTRRLTRKTDVYAFGVVLFELLTGRLAVDEQNVYDQCSLVRWAQKCVKARKLDQMVDSSIAGTVFPKCLRGFAHIADRCLRSHLKERPTMAEIVVSLQALLELQERYDNSSEPSGISGFTWKIHKYLVSATKLNAGIHT >cds-PLY71870.1 pep primary_assembly:Lsat_Salinas_v7:1:191280773:191281317:-1 gene:gene-LSAT_1X125940 transcript:rna-gnl|WGS:NBSK|LSAT_1X125940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGLCYSNVEKVREFIKSVYVDKKFFASKASEKPPRDTLIIQEVVELTRLDPVPNRLPIRRSLGSVVSVEKSQEII >cds-PLY85294.1 pep primary_assembly:Lsat_Salinas_v7:3:71236312:71236515:1 gene:gene-LSAT_3X54921 transcript:rna-gnl|WGS:NBSK|LSAT_3X54921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNDVIRTKVEKLQQWSELARVNLKEMNLSDSILALERHFVLPLAFWEDVEAVQVSMGLVGFQGEPQ >cds-PLY91233.1 pep primary_assembly:Lsat_Salinas_v7:3:77707700:77708851:1 gene:gene-LSAT_3X58200 transcript:rna-gnl|WGS:NBSK|LSAT_3X58200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLDPPHYQYYKNEHNLVLLKQMTSCIESLLKYYWQYKESNSLNVSGSICLRLNSLSLSRIGSFERWAIIDLSAGPFSWEPAVGGEVVYLLLKLGQTL >cds-PLY84178.1 pep primary_assembly:Lsat_Salinas_v7:4:369463423:369465157:1 gene:gene-LSAT_4X181561 transcript:rna-gnl|WGS:NBSK|LSAT_4X181561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVANVKLSSMRYQIYKEDGFIGYQQSMPSPPSSSSSFSPPFTVTFHKTSSPSSSPKISPAVLFIIVILAVLFFISGLLHLLVRFLTKHNSQSHSNQSNRFPDGSPSDTLQRQLQQLFHLHDSGLDQTFIDALPVFMYKEVVGAHEPFDCAVCLCEFSENDKLRLLPTCSHAFHISCIDTWLLSNSTCPLCRNTLFDPEFSMDNPIFDFDDPREADEIRVSSKTIDPEQTPIEKGVFSVRLGKFRKLTESEGETGGETSSSNLDARRCYSMGSYEYVVRDTNLRVPLNHHQKDLPNLKFVKGVEERIADHRMDEDIEGKKINIGAKTDSFSVSKIWLWSKKRKFATSSENHHMHNLSAVDMELPRIGRIQGT >cds-PLY98862.1 pep primary_assembly:Lsat_Salinas_v7:5:20593929:20598728:1 gene:gene-LSAT_5X10481 transcript:rna-gnl|WGS:NBSK|LSAT_5X10481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLGKRATPDHRPKSRSGEESLAKQNVKQVVSSGVRGNRKDGSVERIRQSIGEVPAPDRHRPRPEYTLKTSQGWPSWLCDVAGEAIKDWTPRRANTFEKLDKIGQGTYSNVYKARDLITGKIVALKKVRFDNLEPESVKFMAREILILKKLNHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLSGLSAVQGVKFTEPQVKCYMKQLLSGLEHCHKNGVLHRDIKGSNLLIDNDGILKIADFGLASFFNPQNKQPMTSRVVTLWYRPPELLLGASYYGVSVDLWSAGCILAELLAGKPILPGRTEVEQLHKIFKLCGSPSDEYWKKYRLPNATLFKPQHPYRRCTIETFKDFPPSSLPLLETLLAIDPKDRGTATSALNSNFFNTEPYACEPSELPKYPPSKEIDVKLRDEEARRQRGLSSKSHAVDGNRRTRTRDRVSRAVPAPEANAEIQSNLDKRRVVTEANAKSKSEKFPPPHQDAAVGYPSNNGGPASFCTNDDNSFGSSRSVKEPGSMGGSSRQKKGKKERVSHNFIRTFLPSLSMDLGFRSKESVSEVFGRR >cds-PLY99105.1 pep primary_assembly:Lsat_Salinas_v7:5:138622714:138626252:-1 gene:gene-LSAT_5X59241 transcript:rna-gnl|WGS:NBSK|LSAT_5X59241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGTNFDFPSYSTQLKSVLEITQVELNYLSMASDFGKLFGWCSGVLLLYLPTWVVLFMAAFLGLFGYGLQWLLIQRVISLPYLTVFVLCLSAGCSITWFNTICFVLCIKNFPNNWPLAVSLTVSFNGLTASLYNLIVTKTTSHNTNSPYLILNAFLPFVTSILALLPIIQQKELKIDGKNDTYTFTFLYTIAALTGLYLFVLDTQSQNIFVVAILLLLPLVSPKMMQLAVRYHIIGAFGVYLGEGPSYNLVEINNYEELYEESLEVKSCGDSAFGKLMEKDRIMVLGEEHSVKLLVARFDFWLYYVAYFCGGTIGLVYSNNLGQIAQSFGYVYKTEALVRIYSTCSFFGRLVSAVPDLVCCFYPSETYTKSSTTRTGWLTLSLVPMPIAFLVLIFIGTESGLGVATGLIGVSSGFIFSAAVSITSELFGSKNSGINHNILITNIPLGSLLYGVLGGVVYDKNIESSGSNICMGQKCYTETFIWWGCFCVLGLASSFLLFLRTRLAYREETITQRKQILLNDIPT >cds-PLY98420.1 pep primary_assembly:Lsat_Salinas_v7:7:89418051:89420442:1 gene:gene-LSAT_7X61421 transcript:rna-gnl|WGS:NBSK|LSAT_7X61421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFGVFPTSRKRTNNSLDYVFERQVRHRVPSSELENLSFMYTNPQEYAKVKRRVAELYKEQKKEIEEFNGIDSMDIPENPNYLFFSICMSDEYDLLNFDKLGQHVRRAAVLALSIACHNKPNLIKGLLPELLPLLYDQTVIKKELIRMVDVGPFKHTVDDGLELRKAAFESVDTLLDNCPD >cds-PLY95859.1 pep primary_assembly:Lsat_Salinas_v7:5:64282049:64283500:1 gene:gene-LSAT_5X29660 transcript:rna-gnl|WGS:NBSK|LSAT_5X29660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIRNLEHTTFKAATTQECKLLIFALAVDCVLLYGLFISSTQGEVGRKVLADINNSQGNLQKNEAFNGFKSGEHMLEARVKLLKVVLHRVEKLYMILTEKQYVCERKAAVGLFNWEEDIYVARAPGTLDVIGEIADYSGSLVLQMPIREACHIAVQKIQPINRGYGSKCAY >cds-PLY79294.1 pep primary_assembly:Lsat_Salinas_v7:4:370428569:370430253:1 gene:gene-LSAT_4X182180 transcript:rna-gnl|WGS:NBSK|LSAT_4X182180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMNNDEIRTIVGIIGNVISFGLFISPSPTIWRIFKNKSVEEFSPDPYIACVMNCLLWIFYGLPINHPDSTLVITINAIGLALELIYLSSFLLYGNNSHRKKIFGFLAAELVGLGVIAGLDLGLFHTHDARSTFIGIFCIVFGIGMYTSPLTIMWKVIKTKSVEYMPFYLSLAAFLNGCCWTTYALLKWDWFILIANGIGALSGFVQLVLYAIFWRTTPRKSKTPATEVQMA >cds-PLY88936.1 pep primary_assembly:Lsat_Salinas_v7:8:129488459:129493379:-1 gene:gene-LSAT_8X89901 transcript:rna-gnl|WGS:NBSK|LSAT_8X89901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFHWCFFTSFLFLSYPNLISSNQEGFWSLSCGRATNFIDSSNISWVSDYGYITKGNTTTLDFNDSIRFFPNPKNRNCYKLPINYTYSSLILIRVSFMYKNYDGLQQPPMFSVSLGTAVSATINLSFKDPWIEEFIWQIDKETLLLCLNSIPNGGIPVISSIEIRPMPQGGYYNGMEDFTNKLLRKRYRINCGFTNGSIRYPLDEYDRIWDPDEDFSPFHTSIGFSNPETFDTWSLNERPPLPVVQTGRVLARREGLSYNFALQNLGDYYLVVYFAGILPVSPTFDILINGEVVESNYRVKIWEVGSLYFLRKGIQNLNITFKDITFYPLVNAIEVYEIANIPPESSTTVVSALQVIQESTGLDLEWEDDPCSPTTWEHIQCEGSSVTSLELFDMELRSISPTFSDLFDLKTLDLHNTSLVGEIENLGGLKSLEYLNLSFNKLISFGTDLDGLISLQVLDLKNNSLEGLVPESLGAMKNLHLLSLENNHLQGTIPKALNKDSLEIRTSGNLCLSFTMSNCYNTTPNASIDAPKVSVFDKKKEKLHSHLAVVLGGGGGVLFVLITVSISVFLYIRSRRNKEQSKEREGEQADLELRNWNAAKTFSYREIKAATRNFKKTLGRGSFGSVYLGKLPDGKQTAVKVRFDKTKLGADSFINEVSILSSICHQNLVTFEGYCDESKQQILVYEYLPGGSLSENLYGANSKKITLNWVRRLKIAIDAAKGLDYLHNGSNPRIIHRDVKSSNILLDANMNAKVCDFGLSKQVTQADVSHVTTMVKGTAGYLDPEYYTTQQLTEKSDVYSFGVVLLELICGREPLKRTGSPDSFNLVLWAKPYLQAGVFEIVDESLQGTYDEESMRKTAVIASMSVDRDPSQRPNMSQVLAELKEAYSIQLSYLATIELPT >cds-PLY81235.1 pep primary_assembly:Lsat_Salinas_v7:4:304982298:304986144:-1 gene:gene-LSAT_4X154001 transcript:rna-gnl|WGS:NBSK|LSAT_4X154001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMEINRKYDGDLVRLKCAVQNYDWGRTGHESLVARLLLRNSIDEIEESKHYAEFWMGTHASGPSFLVDDKGIGNVSLKSWISKNPEVLGDTVVDKWGVDLPFMFKVLSVARALSIQAHPDKELAGFLRMLKPNVYKDANHKPEMVLALTEFEALCGFITFEELELVLENVPEIKEVVGVAYAYQVLPLNEQDKGAKEMQFIQSIFIKLMSSESDVISAVVSKLITRLNMEKKTRQLTSKESLALKLEEQHPSDIGVIASFLFNHVKLKPGEALYIASNEPHAYLSGDCVECMANSDNVVRAGLTPKNRDVKILCAMLTYKQGSPQILKGVPLNAYTTRYNPPFEEFEVERCILNQGASVVFPPVPGPSIFLIHSGQGSMYTSASMSSSPSSLLSPSSSLSPSSSSASSPSSTSSSEDMVCGGDVFFAPANTEVHVSTESELHLYRAGVNHLMFSKLST >cds-PLY95007.1 pep primary_assembly:Lsat_Salinas_v7:1:189878911:189883952:1 gene:gene-LSAT_0X32021 transcript:rna-gnl|WGS:NBSK|LSAT_0X32021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQSLHIMFKPIASKGNDFSLSSGDFPTLGSEKDAKGCEPRDNESHVHPGTASGRTAPFKARNEMSQHDSRSGTVETWTREGPPHHHMVLQILLINLAHFLSYYAEHELISKKPAQNLKPCKGILLFGPPGTGKTLLAKALATEAGAKFISITSSTLTSKVTCLLERLRGASSASEPRSQKVEGQIIYLQPHETAMVIDFCMHLLQLYSSHNIGNFTLLTTLARVEMMLLTKLQRKEEIEIH >cds-PLY70838.1 pep primary_assembly:Lsat_Salinas_v7:4:58594339:58596098:-1 gene:gene-LSAT_4X39920 transcript:rna-gnl|WGS:NBSK|LSAT_4X39920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLVGLLRQLGDLAEFATEIFQELHEEVTATATRCHGLLVRAQQLELEIPSIQSELNLEFLSYKPVQKIPPMWNRLSWP >cds-PLY74880.1 pep primary_assembly:Lsat_Salinas_v7:7:66872376:66874042:1 gene:gene-LSAT_7X48340 transcript:rna-gnl|WGS:NBSK|LSAT_7X48340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKCDARSDGSEPVILANATDVSHFGYFQRVTVKQFIVFVGRTVAKRTPPDQRQSVQHEEYKVHSYNRNGLCAVGFMDDHYPVRSAFSVLNKVIDEYQKCFGDSWRTIQADSTQPWPYLNEALTKFQDPAEADKLLKIQRELDETKIILHKTIDSVLERGVKLDSLVEKSSDLSAASQMFYKQAKKTNQCCTIL >cds-PLY65805.1 pep primary_assembly:Lsat_Salinas_v7:5:269537400:269541334:1 gene:gene-LSAT_5X140320 transcript:rna-gnl|WGS:NBSK|LSAT_5X140320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHLDEEVIRLTVDSAVEHLCHFFEPVQLLHHFNYNSLIIVAKKLSKLALTVHPSGGLVIIALIHNILRRHPSINCLVHQEDDGETETIKSGIDHFNNEETDLLKTNAMTRCLHVQGDKRPTMKEVAMELEGILASLIQKHPWVQSTSNEEEVEYFLKGTPDDYECTKGATRSSSTFDSMSKQLMFLFLVPFLSPNSTDSS >cds-PLY74338.1 pep primary_assembly:Lsat_Salinas_v7:6:833095:834717:1 gene:gene-LSAT_6X921 transcript:rna-gnl|WGS:NBSK|LSAT_6X921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKINKNISYFLPIEGKRLSKSYLPKCYLWLQACSLFGEYERTKLESEECGVASFEGYLFDDNALALSFAPKDEHEAQTEFALVRGCNFCCHKFVLSFEGYLFDDNALALSFAPKDEHEAQTEFALVRGCNFCCHKFVLSFEGYLFDDNALALSFAPKDEHEAQTEFALVRGCNFCCHKFVLSFEGYLFDDNALALSFAPKDEHEAQTEFALVRGCNFCCHKFVLSFEGYLFDDNALALSFAPKDEHEAQTEFALVRGCNFCCHKFVLSFEGYLFDDNALALSFAPKDEHEAQTEFALVRGCNFCCHKFVLSFEGYLFDDNALALSFAPKDEHEAQTEFALVRGCNFCCHKFVLSFEGYPEAHNLLHQTISSPC >cds-PLY96915.1 pep primary_assembly:Lsat_Salinas_v7:4:9141607:9145119:-1 gene:gene-LSAT_4X6361 transcript:rna-gnl|WGS:NBSK|LSAT_4X6361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-D1-1 [Source:Projected from Arabidopsis thaliana (AT1G70210) UniProtKB/Swiss-Prot;Acc:P42751] MSLSCSDCFSDLLCGEDSGILSGEDQPECSYDFEYCADFDDSIAEFIEQERKFVPGIDYVERFQSQVLDASAREESVAWILKVQRFYGFQPLTAYLAVNYLDRFIYCRGFPVANGWPLQLLSVACLSLAAKMEEPLVPSIVDLQIEGAKYIFEPRTIRRMEFLVLSVLDWRLRSVTPFSFIGFFAHKIDSTGTYTGFLISRATQIILSNIQEASLLEYWPSCIAAATILCAASDLSNFSLINAEHAESWCDGLSKEKITSCYRLVQGVSRLTTKVSKMLPVQVRVMTTRTCTATSGDSSSSSSSPYKRRKLNNCSWVDDEDKRSSI >cds-PLY80715.1 pep primary_assembly:Lsat_Salinas_v7:1:203960046:203960327:1 gene:gene-LSAT_1X128061 transcript:rna-gnl|WGS:NBSK|LSAT_1X128061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHMPALTEIFGDDYVLQFGGGTLGHPWGNAPGVVVNRVALEACVQARNDGHDLATEGNEIIREATKWTPELDVACEVMKEIKFEFQEMDTLNQ >cds-PLY88366.1 pep primary_assembly:Lsat_Salinas_v7:9:18027436:18027899:1 gene:gene-LSAT_9X15580 transcript:rna-gnl|WGS:NBSK|LSAT_9X15580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPEISEAATIQNMTWVPNKRGTNEIPHEIIEVSQDELMKNRPREDKVKSTGITFGPSYQSPILDYFQSASSGKGKPTKLHKRKHQIGSLYFDMRSKEMELAEKCSKGFLTKAETQAKYR >cds-PLY70785.1 pep primary_assembly:Lsat_Salinas_v7:3:136503152:136503520:-1 gene:gene-LSAT_3X91101 transcript:rna-gnl|WGS:NBSK|LSAT_3X91101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHNAPRQGHNHHYTVAGGGRIVNTHGDNKIATIPTPRSRSRSSHPPGGVHRTEATPTPTIDNIGGRWIWEAATSAANGSSSCPSSLPTSHRRSTSSVTTELAPTWSLTEMGSGRSVAMKQQR >cds-PLY97607.1 pep primary_assembly:Lsat_Salinas_v7:5:238626605:238627767:1 gene:gene-LSAT_5X113300 transcript:rna-gnl|WGS:NBSK|LSAT_5X113300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVQLIKPSPAIDFNYDSTSTSPYVTAPSSPQSTIPFTWEEKPGIRKQNNDDNCEEEDVENDSDFAFGHLERHSISAADELFDGGKIKPLKPPPRLHFSNDSHSPRSPKSPKLRFKEALSPRSKKKDFDPFSEALKQTSGEQTNPEENPPVRGREQTTKSTTRRKTSRSLSPFRVSDVLNNQKNSTTGQSSPTGLTWYNKWNLKNLLLFRSASEGSVSTRKDPLKKYTMLKKSDRDVKTTFSFRSTDSGGSMNVSADDTAAADQEEIGRKTTLPYKSGLMGCLRFHQNAGSVHEISRGIDSLMKQ >cds-PLY62355.1 pep primary_assembly:Lsat_Salinas_v7:8:113294388:113294929:1 gene:gene-LSAT_8X77181 transcript:rna-gnl|WGS:NBSK|LSAT_8X77181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIIRQNKVMQADWEISKHKEPMKAHPVVPVVLYPVAIPVIKAESERDNGVVRIKVVISKQELEVMLRKGGVSVGELVSHMKKERFDVIDDDDDDEDDRNRGRWKPVLDSIPELN >cds-PLY70396.1 pep primary_assembly:Lsat_Salinas_v7:3:180409293:180416126:-1 gene:gene-LSAT_3X109100 transcript:rna-gnl|WGS:NBSK|LSAT_3X109100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGSEKENGSSPAPPSQKAEVKKKYGVMNPISYAGPTEADLHRNSLLEKIIKDWVKQLTRQKGYTEKMVEEANGIVRTFGSYRLGVHGPNADIDTLCIGPSYVTREHDFFVILHNILLEMEEVSDLQPVNDAHVPVMKFKFQGISIDLLYASVSLLIVPEDLDISQNSVLYNTDEKTVRSLNGCRVADQILKLVPNNFCTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVAQVCQLYPNAIPSMLVSRFFRVYTNWRWPNPVMLCPIEEDDLGFNVWDPRKWSRDRTHLMPIITPAYPCMNSSYNVSASTLRVMVEQFLFGNKVCEEIELNKANWSCLFEPSLFFESYKNYLQVDIVAADADDLLAWRGWVESRLRLLTLKIERDTDGMLQCHPFPNEYIDTSKPCAHCAFFMGLQRQPGVKVQEGQQFDIRATVDEFKQGVNSYNLWRPGMDIFVSHVRRRQLPSYIFPEGYKRQRQLRHTTATPEGSSSPSSEKRFKRKHDASSGHGKPKKTSFGSPECVGIGGSSIPVNSGIEDLACESSITQKVVLESEDGANPESMQPSAIRLSLESTA >cds-PLY73823.1 pep primary_assembly:Lsat_Salinas_v7:7:69980054:69981344:1 gene:gene-LSAT_7X50360 transcript:rna-gnl|WGS:NBSK|LSAT_7X50360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METTGKPPQSSLSLPWKPRITLSVLSTVIDAACRKNGTINRPILTLIHIRIPSLSKSINGVASHDVIVDKTRNLWFRVYIPTQHAGEDLPVIVYFHGGGFIMLSPDVFPYDGMCRRFARKVPAVVVSVNYRLAPEHRYPAQHDDCFDVLKFLDDEENRSKSLPENANLLHCFIAGDSAGGNLAHHVAQRACEFNFRRLKVTGVVAIQPFFGGEERTDSEARFAGTPIVSVKRTDWMWKAFLPEGEGFNRDHPIINVSGPQAVDISEIKLPPVMLVVGGFDTLQDWQKRYYEWLKKSGKEVYLLQYPNVCHAFYIFPELPESGQLIDQMKDFIHKVSSNVAPIWTNSNFG >cds-PLY82706.1 pep primary_assembly:Lsat_Salinas_v7:2:145880734:145887895:-1 gene:gene-LSAT_2X72001 transcript:rna-gnl|WGS:NBSK|LSAT_2X72001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTGIYKGQELIDAVFSWSLADVLNRNLYNGKVTEIPKTFSSVSDYTKSFFYPLLEEIHADLLSKILEVNRSPIAKIVSLKNSTGLLYTIMLKRYQGSYVPVVGDLIALTNVRPKSVDDLKRPNKSFLIAFVHDCILMKKSECQLLVLSSKPINQQEDEDTYRRKGVEYYAVHLTTLQETGLVGTTIVVSKEDCAECSIDTTRDMTLSKMREALKSFQLNSSQEAAVLSCIATRECSHQKTLSLIWGPPGTGKTNTIGWLLFMLLSMKCRTLTCAPTNIAVVGVTKRVLSLVKDSLPFGTYGLGDIVLFGGERMKIDDCKDLSNVFLEFRVKILADSLREWKDISEWMISFLEDPQKKYHFCSTEKQNVMPFEQFVMKEFSFYGNRLISCIESLYTHMPTSVISAEAAKQMNVLVHSLKVLEELMTQTVICEDLNRLYDSSTGVIVSLDRCIMSCLEILVYLRSTLRFPKFEKDYKIKKFCLANACLVFSTASSSINLSYGRTKPLEFLVIDEAAQLKECESLIPLQLRGLKHVILVGDERQLPATVQSKISEEAGFGRSLFERLVSLGHKKHLLNVQYRMHPSISQFPNREFYDKQIFDGVNVKSSGYGKRFLQGSIYGSYSFINVSSGREEFDKSHSMRNLMEAAIVAEIISNLYKESVSRKQRVSVGCISPYKAQVNAIQDKLGNKYMDSEDYFSVNVQSIDGFQGSEEDVIIISTVRCNSRASVGFLSDHRRTNVALTRARYCLWILGNGSTLMNSGSIWKYIVVNAKDRGRFYNASEDKNLAQAAMFALVELRQFNNLFHKDSFLFNGVKWQVIKIWDVLPATKIEQLAKILMEKVYGNYTVNMMNRCMEKHVDGKLMLPITWPMNSDSDLSWSFKNNLDATRATSVW >cds-PLY89375.1 pep primary_assembly:Lsat_Salinas_v7:4:122463460:122466241:1 gene:gene-LSAT_4X77220 transcript:rna-gnl|WGS:NBSK|LSAT_4X77220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSRPLIGSSLIIQNSKYRFEFDHVTEVFTELKQQLMLAGPFILVSFLQYSFLLTSIMFIGHLGELALSGASMATSFASVTGFSFMMGMGSALETFCGQAYGAKQYHMLGVHLQRAMAILMLMGIPISLIWASTGQIFTYFGQDLEISEQAGNYARWLIPSIFPYCLLQCQIRFLQTQNNTKPLMISTGFASLFHVLCCWILVSKSGFGSSGAALSVAISYWINVLILGLYIKFSHTCERTWLGFSIDGVRDLAGFLVLGIPSALMVCLEYWSYEFLVLLSGILPNPKLETSMMCISLSTISLAYRIPFGLGSAVSTRVSNELGAGKHEAAELAVWVALSLVVTEVLLIGLLLVVAGGVWTKLYTDEAEVVSYMSVVMPVLAVSNVMDGIQGVLSGVARGCGWQKICTLVNLGAYYLVGIPFAMALTFVYGLGGKGLWMGIICGSGVQAALLLIITACTNWEKEAKKAMNGVYDSNIPTRLVS >cds-PLY90950.1 pep primary_assembly:Lsat_Salinas_v7:9:169990717:169990911:1 gene:gene-LSAT_9X105561 transcript:rna-gnl|WGS:NBSK|LSAT_9X105561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVGVELRRNKVEFILLEATTKATSSSTNRTPMKPIEAVVKESGGYESGEQEDRSFERHPTSSI >cds-PLY70949.1 pep primary_assembly:Lsat_Salinas_v7:5:151206277:151211230:1 gene:gene-LSAT_5X66521 transcript:rna-gnl|WGS:NBSK|LSAT_5X66521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHVIGGKFKLGRKIGSGSFGELYLGVNVQTGEEVAVKLESTKTKHPQLHYESKLYMLLQGGTGVPHLKWFGVEGEYNAMAIDLLGPSLEDLFNYCNRKLTLKTVLMLADQLINRVEYMHTRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYPTEFTQYFHYCRSLRFEDKPDYSYLKRLFRELFIREGYQFDYIFDWTMLKYPHVGSSSRGRTTAKLPLNPGISAERAERTPVKQEVRDRFSGAVESFTRRNGSSSGLHGDQSRHRSSENVTPSKDAVHGESDRGRISRNGSSSKRAVMGSSSRPSSSGEPSKRLGSGSGRISTNITSSTTQRLHSQPGFESKSSSFTRPVVSRGARGDDALRSFELLTIASGKRK >cds-PLY90011.1 pep primary_assembly:Lsat_Salinas_v7:3:84087386:84091696:-1 gene:gene-LSAT_3X64980 transcript:rna-gnl|WGS:NBSK|LSAT_3X64980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKFLFFSLALLIIALFFIPNFTSASLDETNALLKWKASLEIPKNSLLSSWIPLTVNSSASISCTSWFGVVCNADGSIQKLNLTSSGLKGTLHRFSFSSLHNLTHFDLSLNNFFGPFPPEIQLLSKLVYLDFSSNKFSGVLPTEIGNLHQLTILHLFSNNISGPIPTELGNLKCLTNLDVSTNQLIGSIPSSLGNLTSLNVLYLYQNELSGPIPIELGNLKSLTDLSLRNNQLNGSIPLSLGNLTSLNVFYLYQNQLSGPIPTELGNLKSLTNLDVSTNQLIGSIPSSLGNLTSLNVLYLYQNQLSGPIPIELGNLKSLTDLSLSNNQLNGSIPLSLGNLTSLIVFYLYQNQLSGPIPTELGNLKSLTNLDVSTNQLIGSIPSSLGNLTSLNVLYLYQNQLSGPIPIKLGNLKSLTDLQVGNNMLSGYIPSSLGNLTSLNVLYLYQNKLSGPIPIELGNLKSLTDLQVSNNQLSGYIPSSLRNLASLNVLHLYQNKLSGPIPIELGNLKSLTKLEVSNNQLSGYIPSSLGNLTSLNVLYLYQNKLSGPIPIELGNLKSLIALAVHNNQLNGSIPSSLGNLKSLNRLYLFQNQLSGPIPVELGNLKSLTDLVVYNNKLNGSIPSSLGNLTSLNLLYLYQNQLSGPIPVELGNMKSLTHLEVGINQLSGSIPSSLEKLSNLQWLDLIENKLSGPIPSEVGKLKSLTHLYVSRNQLSGCIPSSFGDLTSLNFLYMDHNELAGPIPGELGKLKSLVDFKVNNNQISGSIPPEFGNLTKLQRLDPSSNHLVGEIPKEFGKMKSMLELYFVGNQISGAIPLELGFCELLEVLDLSKNRLNGSIPTSIGQWAHIHYLNLSNNKLNEKIPSEIGKLVHLTELDLSHNFLTKEIPSEFQSLQSLQKLNLSHNRLSGSIPDVFTNLPRGIDINLSFNKLSGPIPLCANFVNASIESNPDLCGNITGVKFCPSQIMKKKNDPFHHKLILVIMLPLIGAVLLGVCTYGLIAYQQQKKKSPQKPLDEESGDYFSITSFDGKVVYVDILKATNDFDEVYCIGTGGYGNVYKAELQPNNVVAVKKLHSGSENVDHNGFLNEVRALTNIRHRNIVKLYGYCSHVRHSFLIYEYLEKGSLGSILRSDVLAKELDWLKRVNIVKGVANGLAYMHHDCSPPIIHRDISIANILLDSDYEAHISDFGTSKLLKLDSSNWTAIAGTYGYIAPELAYTMVANEKCDVYSFGVVALEVMIGKHPEELITSLPTLSVEYLVAENVGDIRIPSPSSQVEKQVRLVLSLARACLNSNPLERPTMQKVSNLLMKDLL >cds-PLY91253.1 pep primary_assembly:Lsat_Salinas_v7:3:77725823:77726347:-1 gene:gene-LSAT_3X58261 transcript:rna-gnl|WGS:NBSK|LSAT_3X58261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGWKVVRRKQRPVNNQETTYFATNVPKEAKKGELWRVFEKYGKLSDVYMGKKLGKNKQYYAFIRYRGVDNVKELERRLDGVKVGGKTLAINVALHERKVTIRNQTQNEQYKRLNVEQTAMAKNNGMRIGTSLRDHRSYADMLRPVNTAQTCPVVHRFRYPSPFITIRPHTVG >cds-PLY68500.1 pep primary_assembly:Lsat_Salinas_v7:2:213607642:213609507:1 gene:gene-LSAT_2X134361 transcript:rna-gnl|WGS:NBSK|LSAT_2X134361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSACVNWTGITCNHDESRIIAVRLPAFSLHGPIPPDTLSQLSDLQILSLRSNGLTGPLPPDFSNLTNLTALYLQSNGLYGPLPVNFSNWNNLSILDLSNNGFNGSISPSISNLSHLTALNLANNSFSGEIPNLSIPSLQALDLSNNNLTGPVPQSLERFPSSSFVGNDLSPLNSPPPVLSPAAQPSSKKSSKLGEPAILGIAIGGCALAFVLLALIMILRYSNKKEVSGRPEKEEKVTKLRSGSQNVNGNGTLVFFEGSSLAFDLEDLFRASAEVLGKGTFGTTYKAALEDANTVVVKRLKEVAAAKRDFEVQMEVVGNIRHENVAPLRAYYYSKDEKLMVYDYYDQGSVSSMLHAKRGEDHRSPLDWDSRLRIAIGAARGIAYIHTQTNTKLVHGNIRASNIFLNPQGYGCVSDLGLAAVMTPLAPPVMRIGGYRAPEVSDTRKVYQASDVYSFGVVLLELLTGKSPTHATGGEEVVHLVRWVNSVVREEWTAEVFDVELLRYPNIEEELVEMLQIGMQCVARLPEQRPKMAEVVKLVENVRRPTNMDVSEVSTPATEVSASPVVAQQIGSSVIAM >cds-PLY75505.1 pep primary_assembly:Lsat_Salinas_v7:9:31982254:31984040:-1 gene:gene-LSAT_9X28621 transcript:rna-gnl|WGS:NBSK|LSAT_9X28621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVLQQIHSYILTRALPFSTLCFSLSKILSFCAISPVGNILYAERLLFQIPNPNIFSWNSVIRGFSQSHISATTQSVSIFKRLIRRGHPTPNTYTLVFVLKACSILPATQEGQQVHARVIRSGFSTNSFLQSSLINFYAKCENIRCARKVFDEIPDRNMIAWSTMIGGYAKLDMFNEALAVFGDMQKAGVVPDELTMASVVSACAGLGALDTGRWVHAYIKKQRILIDIKLNTALINMYAKCGCIEKAREVFDEMPTRDSRTWSSMIVGYAVHGHADDALRVFADMEKSQVKPNNVAFLGVLLACAHGQLVYEGQKHWDILLESGIQPSMEHYSCMVDLFCRANLFQEAYTFIKNMPIDPDPVILRTFLVACKKNKNMEKGESTGQQLLKLEPSNPENYALLSSFYATCSSWSKMGNVRKQMRDKGLKTTPGCSSIEVEGTLHEFVLGDWSHPEREEIMEVLSDVLRRVKAFGHKVDVSEVCHDVGDEVKVEALCEHSERLAIAYGILKTKAPVVIRVVKNLRVCGDCHEVTKIISKIYEREIVVRDRVRFHRFVGGICSCKDIW >cds-PLY89337.1 pep primary_assembly:Lsat_Salinas_v7:5:113484533:113485737:1 gene:gene-LSAT_5X50421 transcript:rna-gnl|WGS:NBSK|LSAT_5X50421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEQKWIHEGLITESLPNGMFRVHLDNEDMILGYVSGKIRRSFIRILSGDRVKIERSYNLSTLKQRFERLAIPKKVHVDILIHVRVHVKYQRGLFIFKQVSIIPLCTGASGFLVLCRYSGFRGTRRGTPFAAQTAIGNAIRAVVDQGMQRAEVMIKGPGLGRDTTLRAIRKSGILLTLVRDVTPMPHNGCRPPKKRQKITVSTRTLQWKCVESAADNKHLSYGRFILSPLMKGQQTQ >cds-PLY61867.1 pep primary_assembly:Lsat_Salinas_v7:6:59169993:59172764:-1 gene:gene-LSAT_6X44620 transcript:rna-gnl|WGS:NBSK|LSAT_6X44620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPCLFIILSFLLLLFPTATASQLITVGEGGDDRNDANMKKCLDKERDALLLFKAPLHDPYDTLSTWTADQHDCCNWSGITCSNQTGHVTKLELFGHGLGGEISHSLLNLTYLNHLHLSGNSFHGTIPTFIGSMTRLRYIDLSDNYHNGTIPRSIGSLTELRHLDLSYNSLYGTIPPEFGNLTNLQVLRLGNVERCRVEKVEWLSHLSHLEVLGMDGISLAKQNHWVDVVLSLCKLSHLSLNGCELSQVMYPYSSFLNSSSSSSSIEFLSLQSNNLTSSMYRWLFPLTSNSLQFLHLSSNMLDGIPKYLGNLCNLKTFYFSDNSAAVKFPDFLNNLSGCTSLSLQYLIAPGSQFTGSLLDDIQRFSSLNCLYLAASHLNGSISEKLWELPILEILDVSYNDLTIPSTIHNSSLSYVNLFDMRSCKVGPQFPKWIKTLKNLTSLDLSNTGISDTAPLDFWAMWPSRLIYMNLSSNNISGMIPDLSSNFAKKSAIDLSSNTFHGPIPNVPSTLLSLNLSRNKLSGGISFICQIVDGLLYFLDLSHNSLSGQLPDCLWHLKQLKVLNLGHNNLFGRLPPSIGSLIELEALYLFKNNLSGELPLSLKNCTSLISLNLGANKFSGTVPVWIGENLSRLYVVILRSNNFFGTIPVQLCQLANLQILDLSMNNLHGTIPSCLGNLTSMVEEGFSQDELYYTIGISENIDETETYVDHAMIEWQGDELEFFGSLRLLKSIDLSSNNLTGQIPYEITNLHDLIALNLSKNALSGEIPEKIGGMKKLLTLDLSRNNFSRRIPSSMSQMTLLNDLDVSFNSLSGRIPSSTQLQSFEASRYDGNTQLCGPPLTKKCPGDEESELLPIIGEIDEVERWFYIGGGMGFATGFWIACGALLLNRRGRHPFFQFCDSFIDWIYVKVVVFISSLQKARQT >cds-PLY96255.1 pep primary_assembly:Lsat_Salinas_v7:6:157820578:157822595:-1 gene:gene-LSAT_6X95721 transcript:rna-gnl|WGS:NBSK|LSAT_6X95721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 49 [Source:Projected from Arabidopsis thaliana (AT5G49060) UniProtKB/Swiss-Prot;Acc:Q9FH28] MDGNKDEAMKCVAIAKEAIASGNKERALKFIGIAQRLNHNLSVDDLLAACENLDSANPSSSNGKNHVENKPVNGKHVEGMNGDANYTEEHVQLVRKIRRNTDYYEILGVEKTCSVDEIKKAYRKLSLKVHPDKNKAPGSEEAFKKVGKAFKCLSDEGLRSQYDQTGLGEGEEYNNQYNVRRRRRRTGQSVFEDEFDADEIFRSFFGQGDMFRAAHVYRTRRAGAGAGGQAREDTGGATGPNLMLLLQLLPFLLIIVLACLPFSEPEYSLQRNYTYQFSKMTEEYGVEFYVKSSEFDHKYPVGSPARGNIEKSVIRDYKNILWRYCNVEQQRRTWNRNLPTPHCDKLRSLGVY >cds-PLY82124.1 pep primary_assembly:Lsat_Salinas_v7:1:14018119:14021936:-1 gene:gene-LSAT_1X12201 transcript:rna-gnl|WGS:NBSK|LSAT_1X12201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEDLLVGGGAAPPGFRLPITAAVGINPMKKKKNNKLEPSSLLQNSSTHQVPGTQTIFLKTFGCSHNQSDSEYMAGQLSSFGYAISDNAEGADLWLINTCTVKSPSQSAMDTLISKCKSAKKPLVVAGCVPQGSRDLKELEGVSIVGVQQIDRVVEVVEETLKGHEVRLLNRKTLPSLDLPKVRKNKFVEILPINVGCLGACTYCKTKHARGHLGSYTVDSLVGRVKSVVDDGVKEIWLSSEDTGAYGRDIGVNLPILLNAIVGALPSNGSTMLRIGMTNPPYILEHLKEIAEILRHPCVYSFLHVPVQSGSDAILTAMKREYTAGEFRMVVDTLTELVPGMQIATDIICGFPGETDEDFAQTVDLIKEYKFSQVHISQFYPRPGTPAARMKKVASNIVKKRSRELTSVFESFTPYNGMEGNMERIWITDIATDEIHLVGHTKGYVQVLVVGPESLLGSSAIVKITSVGRWSVFGDVIKILDESQGVVKKDTSNKENCSPSPCFDQQDACACSEAKPESCACGSNGCDIDANANANDSYPEAQKAQNIPSLLLRRKPSLTTVEMEDNGNQKVATSNMQDRDWGVVDKLLVGGIFLSLLTMVALILYVRF >cds-PLY66899.1 pep primary_assembly:Lsat_Salinas_v7:7:16837534:16839612:1 gene:gene-LSAT_7X13841 transcript:rna-gnl|WGS:NBSK|LSAT_7X13841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPGKLFIGGISWDTNEDSLREYFQTFGEVIEAVIMKDRITGRARGFGFVVFLNPVVAERVVKEKHIIDGRTVEAKKAVPRDDQQTINRSNNGSIHGSPSPSQTSSKKIFVGGLAPTVSELDFKNYFDQFGIITDVVVMYDHNTQRPRGFGFITYKSEESVDKVLLKTFHELNGKMVEVKRAVPKEISPGPIRNYGLSRVNSFVNGYNQRTDGRFSLVSGVRGGLPPFSLSNVGPNSDSGLGTNYGGNGIVNSGVGYGRVLNINPMFSVGSNRYAMGGGGTGNESLISSLNHNIWSNGSQNYRNGSIGDSFAPGIGFGSNGAIWSNLDSDQGGVGGGGNSSFIGRNPIGYRRNGGNSITPTSLYGEINGGGGGGGGGGDLFSVDPTWRPLSPELEVPGFFGYGFGNGSNRGISYMPSEVL >cds-PLY79756.1 pep primary_assembly:Lsat_Salinas_v7:1:180092050:180092487:1 gene:gene-LSAT_1X116821 transcript:rna-gnl|WGS:NBSK|LSAT_1X116821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFAFACPKVGDANFQKVFNSQTNLHCLRINNALDIVPKYPMVGYSDVGHELGIDTTKSTYLKTVGDPVSWHSMEGYMHGVAGTQGIKGGFKLEINRDLSLINKYSGTLKDEYGIPSSWWTEKHNGMVQNDNGNWELNDREVDDDQ >cds-PLY86966.1 pep primary_assembly:Lsat_Salinas_v7:5:265152835:265156506:1 gene:gene-LSAT_5X135901 transcript:rna-gnl|WGS:NBSK|LSAT_5X135901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTEFSKSVDTGIRLSKRIYYGKEYEPRMSAPKPAIRTKSPSPSPCSSPLPTKHHPTAPMVYAMITEPDIVDNPDIRSYQPHVYGRCNPPALIPLHMYEISMNMECYLDIAFVTVSGTWHVHCVTASACCDCCIAIPMGEQGSILDIKVESTKRSYFTKLIAHGGEKDSDRITKAKDGFLMKRNTYTLRIPQVDGGSIIHVKVRWSQKLVYQDNEFFLSIPFTFPSYVLPLKNKIPQMEKLLVNVNSGTGTEITCTSTSHPLKEVQRKPAGEASFSYEAEVPKWSTQDFYFAYYVCSNEIFGGLLLHRPSLHDYDRRDMFCFYLFPGANIIRKHFRREVVFLLDKSGSMRGDPFEKSKHAIITSLLKLNQQDLFNIIAFNEGIQSFSSSLELATKETIRNATEWMWKTLVAEGDTNLMCPLKQALEMVGKNGELIPLIFLITDGTIEDEREICNMMKFSHVDGCLSSPRIFTFGIGSYCNHHFLPMLAHIGRGYYDHAYDVDLIGDRLQRLFNNALSPLLTNVTLDSLETLKSYELYPSRIPDLLPRSPLIISGRYQGKFPELVKVRGLMTDLSSYVMDIKVRKTSNINLDRMCGMREVDILTSQAWLDQNIDMEKKVAKMSLQRGVPSEYTRVILVHKDKVKPATLQSLLLDKKCSRLGNEKVIYLRNPCVGFGNLKATAANLPPGMEDIKLNEATKMVVEAATSRWGMFINSWCWRCVKKMWSQLKSEHCTLAIAQICTALTCLECLDCCCDMCDLCSAL >cds-PLY85496.1 pep primary_assembly:Lsat_Salinas_v7:2:195755682:195757866:-1 gene:gene-LSAT_2X116561 transcript:rna-gnl|WGS:NBSK|LSAT_2X116561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSRPLLFLSVATGLLGESGTSNSKGTLSGSGADLTELSAQLATQDTRHVDKVAASLDMEDWLVKLYAELEKQGITIPERVSEDELHRFYAVSDGDFEKFLSSVKKTIQWRQKYTLLSPQELKAWGNLVFWHGSDLMQRPTLIICVGLAGSHLGSNGQAQFVKAVVSQVEFGVLNLLDVEHPQLTVLMDCHGLSPFGFPVQTFRSCAVLLQDHYPNRLGCLLVVRLPSVARIITQTLFQVLKPRTQQKLTIVGEDLQEVLSRHFEDLPPFLGGKCCCSKCASEVQTVEERTRIGGEDRHLKLTLSDSELCYGSRLDKDCKFLVSAMLGSFLLWLCLVYIIRSLS >cds-PLY82084.1 pep primary_assembly:Lsat_Salinas_v7:8:52280229:52281800:-1 gene:gene-LSAT_8X38921 transcript:rna-gnl|WGS:NBSK|LSAT_8X38921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKFDALLGRKFKTSKLKTTLNLAIPRLSQLKKHRLARFTIAHSDVIQLLRLNHHQQALLRVEQVIKDQNMLDVYDMIHGYCNLLIQRINLIEQVNECPKELEEAVSNLLYGAPRCGEFPELQEIRAILTSRFGKEFAEGASELRRNCGVSQMMIQKLSPAQSTLECRMKILMDIAKENGIILQLDIYSPEIRKEKVVEKKKIENKMNFSESKNYKDAAEAAQDAFESAAYAAIAARAVVELARSESFVSDSSDSNSDENESFHSAKDYITSQGQQVNLENKLNSTGIKRLHRQ >cds-PLY67382.1 pep primary_assembly:Lsat_Salinas_v7:4:211138040:211145175:-1 gene:gene-LSAT_4X117960 transcript:rna-gnl|WGS:NBSK|LSAT_4X117960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKTDFDSSLPNSQISRDVQGSDSSIPLSPQWLLSKPGENKMGTPTSEVPTSPFPPFANRLDFMKSPGTDDSQKKKDVFRPSVLESRERWRDEERDTNSFVRKDNRWREGEKELGDTRKVADRWTTDNNITPGKQHYGESRRAPSEKWGDTGNKDARESKWNTRWGPDDKDADVTRDKWTESGKDHDVQVSHEGGDHPRPWRPRGRAEPPHQQTPGVNKQTSMFVHGRGRGENPNSTFSIGRGRGGTHPMNNSSSSTHLQPLGSFPDMGGDNTSPMRYSRSKLLDVYKITNMKGGERMLDVPSLTQEEPLEPLALVSPTPEELFIMKGIDKGDILSSGAPQITKDGSSGVNMVDTQSRRTKLGSREDLPLASDNYSDYVEGLSHEKQGHSYETNFKPNLMEDRQSFIDYKSKNEAIGTSANSGANWRSLSMGQRAQPNTSNEWSSSLTNVTNVSNVSNVTNPGIKRQQSGNTMDREHEARILSQSQPSPEDLVLFYKDPQGSIQGPFTGIDIIGWFEAGYFGIDLQVRLANAPNDSPFALLGDVMPHLRAKARPPPGFTAAKSEINDESSIPNPNAMMKNDPRFQHGSTTEAENRFIESLMSGSGGSLEKFGLSEGMQGYFGNPGSIPAMEIAKLMQLERQKSMSSPYSLWSGPPKSDILQDPSVLPQNPPNPEFMSILQGLSERSNSAANSGVSNWSNFPVQTGLDPLQGKHFPLQTPFGAHQRLQGQNLPSLGPLTPEKLLASGLPHDQILSLLQQQHMSQIKPQIPTPQLSVLDEYLLLKQQQQKQEQLQQQQQQIMRQQLLSQVLIEQQSLQRFGEQQQQSVGFAPPHEVLQMGSQHQGLNTNTPHMAQFVPTPGLEDSIHLPHQFIDNRVEQKVVGDEIVHQKEPDVQEQDQPLMIDSRTDENVALISDPLVAVKNEVLETEFVDNVEVMPAVTEAPKVQKPSTNESSVVKEVKPVETVKKATEKKSKKQKSSKSQSSDMAKTVSKPQQQQPKEPETDLTKVNEVEMETSQVEETKSRVAMEEPVSMSSNVNQIPQDNNTQQVHIQRAWKPAPGFKPKSLLEIQQEEQRRAQAQAQAQAQAQAEMAVSDMSTSLGSMNISSPWSGFVANSDHKLTENKKDWATSEASQNQNNKSQLHELLTEVKTSEKNSASVTTTVQSDSIEEGNFIEAKESKKSRKKSAKAKAAAVSKVSVSPVADISTISSPNEKVKSSRQEKEVLPAVPSGPSFGDFVVWKGETATPTPAPAWSTDSGKIARHTSLRDILKEQEKKGGGSSVQQQQHQVHVATQKPVSSSAQTKNGPSWSSSASSPVQIMSSHGGTQSKNKVDDDLFWGPLDHPKQEAKKADFPQLANQGSWAKNTPGKGTSGGAMSRQKSGSGRPVEASLSSSPSLKGKRDVMAKHSEAMDFRDWCKNECVRLLGSKDTSFLEFCLKQSRSEAEILLKENLGSYDPDHAFIEKFLNYKDLLPSDVLEIAFQKTTGDVNSGSDGFWDSEVGGGKAAAVAAADGGSKAGGGGGGGKKKGKKGKKVSPAVLGFNVVSNRIMMGEIETVED >cds-PLY78972.1 pep primary_assembly:Lsat_Salinas_v7:3:70462178:70464263:1 gene:gene-LSAT_3X55521 transcript:rna-gnl|WGS:NBSK|LSAT_3X55521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRGQPQQDYNFNDGGGSSSAAPGGSSDWRSDVAGKSNMWDETGKQDGGDDELFAMLGYNLKSSDMAEVADKIQHLEEALVNDVELSQLASDSVHYNPSNLSTWLETMMVELNPTSQQLVTDDSAMNTATAIAIADVPANDNATAAVQPTSVFMGNLVSVPDVVAIQSPVKRMKPSRVSVASTSNNPNAVVLVDSQDNGIRLVHTLLACARAVHEQQIQMAENLVKHAGMLAVSQPGPMKKVAGYFSEALARRIYRVRPQTPLDSPAYNDRLQTHFYEAGPYMKFAHFTANQAILEAFSGKEKVHVIDFSMKQGTQWPALMQALAVRPGGSPSFRLTGIGMAPVDSIDNMQESGWKLGQLADAINVKFEYKPLIVQSLADIEPGMLDLRADEVIAVNSIFDFHKSLATPGAFERLLSTVKAMKPEIITVVEQEANHNGVDFLERFNESLNYYSTLFDSLESSCISSGSPVSYNKDKVMSEMYLGKQICNVVACEGEDRVERHETLIQWKTRFESAGFQAVHFGSNAFRQASMLLAIFAGCIGYKVQENNGCLMLGWHTRPLITTSAWKLR >cds-PLY82072.1 pep primary_assembly:Lsat_Salinas_v7:8:52636712:52640543:-1 gene:gene-LSAT_8X39020 transcript:rna-gnl|WGS:NBSK|LSAT_8X39020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVFVKTLKGTHFEIQVKPEDTVADVKKNIETVQGADVYPAGQQMLIHQGKVLKDGTTLDENKVAENSFIVIMLSKNKTTSGEASRSATAPKAAQPSAAAAAPVPPVAASPQPQAAPVTVTPPATAPPPSDTAIAPPENVYGQAASHLVAGTNLEGAIQQILDMGGGMWDRDTVIRALRAAFNNPERAVEYLYSGIPEQAEAPPATAAPPVQGPPAVIPQTTQPPAVLPSGPNANPLDLFPQGLPDMAANAAAAGGGGGGNLDFLRNSPQFQAFRAMVQANPQILQPMLQELGKQNPHLVRLIQEHQADFLRLINEPVEAGENPLGQLGAAVPQSVTVTPEERESIERLEAMGFDRALVLEVFFACNKNEELAANYLLDHMHEFEE >cds-PLY89299.1 pep primary_assembly:Lsat_Salinas_v7:2:50801605:50801832:1 gene:gene-LSAT_2X23201 transcript:rna-gnl|WGS:NBSK|LSAT_2X23201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVGYQPTLSTEMGSLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGKFFDRTIPTEG >cds-PLY68322.1 pep primary_assembly:Lsat_Salinas_v7:3:239635491:239636624:-1 gene:gene-LSAT_3X133761 transcript:rna-gnl|WGS:NBSK|LSAT_3X133761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMSDQFDDKKILERVPVGPPFSLSDLKKAIPAHCFKRSVIRSSYYVVHDLIVAYVFYFLANTYIPFLPPSLAYLAWPVYWFCQASILTGLWVIGHECGHHAFSEYQWIDDTIGFILHSALMTPYFSWKYSHRNHHANTNSLDNDEVYIPKRKSKVRWYSKILNNPPGRVFTLVFRFTLGFPLYLLTNISGKKYGRFANHFDPMSPIFTERERIQVLLSDIGLLAVFYAIKLAVAAKGAAWVTCIYGVPVLGVHMFFVIITYLHHTHLSLPHYDSTEWNWIKGALSTIDRDFGFLNRVFHDVTHTHVLHHLISYIPHYHAKEARDAIIPVLGEFYKIDRTPIFKAMWREAKECMYIEPDQASEHKGVFWYHKM >cds-PLY91258.1 pep primary_assembly:Lsat_Salinas_v7:3:77349537:77350555:-1 gene:gene-LSAT_3X60001 transcript:rna-gnl|WGS:NBSK|LSAT_3X60001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMFLEWLSAINFSGGGFCDAAIAEGLAEVLMMFPSLHGIQNHQRHCILVAASNPYPLPTPIYIPPDYIEMQSDSPLSDAETVAQYFKKCLVSLSVICPRQLPKLRAIYNAAKRNPSETDPTIDIMKNPNYLVLISEGFMVARDALTQPEITNLPSNQTPTETDVTPVSGPPQTTSTNDNLQNFTITKKPLNGNPTSSGEAESATLPGTSSTTMPTSEMDSTEVNDSVATTVGPTQQTSSALKSDSSRLYVKLWEVKFAFLM >cds-PLY83771.1 pep primary_assembly:Lsat_Salinas_v7:4:40853855:40855332:1 gene:gene-LSAT_4X28361 transcript:rna-gnl|WGS:NBSK|LSAT_4X28361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTNPVLFFIVCFLLNLNLAFSDPSNVPIGIHPLDEKYFASEVIKCKDGSNSFTRDRINDEFCDCVDGTDEPGTSACPAAKFYCRNSGSTPKFLFSSRVNDQICDCCDGSDEYDSSIICPNTCIMGGHSEYKTINYNSRINKFGSHSPHTKQKKVSQIGEDTIQKLQGMKAMIIVQVILIGFLVFLCLCRQRAKSRRRNSR >cds-PLY66995.1 pep primary_assembly:Lsat_Salinas_v7:6:140061473:140061694:1 gene:gene-LSAT_6X84501 transcript:rna-gnl|WGS:NBSK|LSAT_6X84501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVDTANADNPFEAEDHYDLPIRQMPPPFHDQRFGAHFEPQHEYQSYQQHNEPGPKFSLDKYNQLDALHCQGN >cds-PLY84480.1 pep primary_assembly:Lsat_Salinas_v7:7:127747086:127749227:1 gene:gene-LSAT_7X76661 transcript:rna-gnl|WGS:NBSK|LSAT_7X76661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALFSNSANSNKHLYHLFHLQHSRTFVDARVKWVRDTYLDLAVEKEKNLQQIISLKNLILSQPSKTIPLSSISLHKTLFKLPTTAINFFQKYPSIFQIFQSSKPFPLPQVKLTSQAVTIHNEESKILNSSHYKKDVAERLAKLLMLTRAKKLPLDIIDLLRFDLGLPHDFILTLLPEFPEYFQICNMGDKNSKGSEMFGVELVSWRENLAMSVLEKKVMEENGGIIPRMPIKFSINLPKGYDLEKKVRIWVHEWQNLPYISPYEDAFFLSPNSDQAEKWTVAVLHELMNLFISKKTEKENLLVLGDYLGFGIRFKKALVHHPGIFYVSNKIRTQTVVLREAYKKDLLVERHLLMGMRYRYLHLMNQMVKSDRRYRIRVNMGNYKMQ >cds-PLY69085.1 pep primary_assembly:Lsat_Salinas_v7:5:276773112:276773693:-1 gene:gene-LSAT_5X144481 transcript:rna-gnl|WGS:NBSK|LSAT_5X144481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNNVEDTFPTMATNNVEALAEITQSLIDEEIVELIPFNAMVQIKDHWGIVNLYGMLEEYCKNANKIISFAKTQLETQDPPYKLIDDMLDKLEVDSLK >cds-PLY84956.1 pep primary_assembly:Lsat_Salinas_v7:2:124214015:124215022:1 gene:gene-LSAT_2X57021 transcript:rna-gnl|WGS:NBSK|LSAT_2X57021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRDSQHLRVPFRDIEIATRNFTTIIGKGGYGHVYKGELLLSGKLTSVAVKRLPKNNHSGQGVKEFLTEIQLLSRYEHPNLVSLLGYCEEHDENILIYEYAEHGSLDCYISMANTRFRLPWKQRIKICIGAARGLDYLHNHVAENHRVIHRDIKSANILLDHNWKAMISDLGLSKIGRANENESYLITNVAGTHGYCDPAYMNTGILTKESDVYSFGVVLFEVLCGRPYIMKVNEECRFLTQLAQTCYEKGNLNDIIDTDLKKQMNSDSLKKFSKIAYKCLKNDRKQRPSMGLVVEKLEKALELQVSSFFFVKDFLYIVIKQLDRTHICIYRL >cds-PLY95498.1 pep primary_assembly:Lsat_Salinas_v7:6:14601948:14607594:1 gene:gene-LSAT_6X10701 transcript:rna-gnl|WGS:NBSK|LSAT_6X10701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPFYSSVKPFLRDLPPSFYSLFFACLSAPSYRNSDCNHPPSRPATTYAKDAVSADSEALWLPQSHRLCDLHSIFCDCGDKIVANQIEMQTLKDQVGKDFIVCRVDHISLHHKLEDHERKLKVIALVMGGVMVAMLGMMMVGVKVLMKLG >cds-PLY94701.1 pep primary_assembly:Lsat_Salinas_v7:3:151940800:151941352:1 gene:gene-LSAT_3X96621 transcript:rna-gnl|WGS:NBSK|LSAT_3X96621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSTIFICFTFLIFLPSIILLSINHCDASPSSWDQYADQCNGTVGECPMLVKDDEEFLMDTEEHRRILAQTVKRTLASQNRGNPACGNKCQGAYNVGNRPCTKGNYCDRG >cds-PLY80885.1 pep primary_assembly:Lsat_Salinas_v7:8:127220357:127221014:-1 gene:gene-LSAT_8X87340 transcript:rna-gnl|WGS:NBSK|LSAT_8X87340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKVAKQDKKKQPRGRAHKRIQYNRRFVTAVVGFGKKRGPNSSEK >cds-PLY70823.1 pep primary_assembly:Lsat_Salinas_v7:4:59355487:59356067:-1 gene:gene-LSAT_4X39681 transcript:rna-gnl|WGS:NBSK|LSAT_4X39681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESQTHGERGPGKNKRKWNEIKDAKLIETMVDILNSGSHFKAENGFKPRFFGAVETRLAVSLLDSGIKAKLHIESHIKTLKSDWSAVHDMMSWNNTSCFGWDDQNNMIEALQSVWQAYAQVHKNASKWRGNKFPHYWDLCLVFGKDCANGRDAQTAADIVS >cds-PLY67465.1 pep primary_assembly:Lsat_Salinas_v7:6:69711348:69711957:1 gene:gene-LSAT_6X49660 transcript:rna-gnl|WGS:NBSK|LSAT_6X49660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRDQIHRSHFFNLPLLGNSSIIKRSTYSPAVLRQPFPAKKHSNTRINYSCSNIKAKYSPSVGKSMTMKGVITVQPTISDSLVNVTVGLIKHVVDGVKDHLKRSFLLELVAANLDYTEILKT >cds-PLY88133.1 pep primary_assembly:Lsat_Salinas_v7:6:8194569:8194967:-1 gene:gene-LSAT_6X4560 transcript:rna-gnl|WGS:NBSK|LSAT_6X4560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDITVETKVIVGRLWEAMEKTTTIVMVEIRTIIQGMSVLNSVMVVMVVTWLSGTSTMEVTMATVGIRTTMVVIGEIKAIWVETGVTTTYGTTRISTMVATMIIMMVSRNKALGINIDGILMVDSTMKMEGNR >cds-PLY96625.1 pep primary_assembly:Lsat_Salinas_v7:7:46012949:46013591:1 gene:gene-LSAT_7X33641 transcript:rna-gnl|WGS:NBSK|LSAT_7X33641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVIIIDGSTVRAFVNDETQFKTSVDAQFDSLDVNNDGVLSRSEMRKAFESMRLLEAHFGVDTALPPEELTRLYDSVFLGFDEDQNGTVDLEEFRSEMKKIMLAIADGLGSSPIQMAVEDDDQSFLKKAADLEAAKISESS >cds-PLY65416.1 pep primary_assembly:Lsat_Salinas_v7:1:61023236:61023484:1 gene:gene-LSAT_1X51840 transcript:rna-gnl|WGS:NBSK|LSAT_1X51840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFAGLLGLGQLDVDGVKALCAFDEGEDVVEGLGVGLVGAGAFGGDAGGAGDGGVRTAGVGAGGVGDGVEYGADVSGADGGA >cds-PLY80653.1 pep primary_assembly:Lsat_Salinas_v7:5:247044252:247046127:1 gene:gene-LSAT_5X122960 transcript:rna-gnl|WGS:NBSK|LSAT_5X122960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSDLGKLFIGGISWDTNEERLHHYFSRYGDVLESTIMKDRATGRARGFGFVVFADPSVADRVTKEKHNIDGRMVEAKKAVPRDDKLTMSRNNNISSIKSSPGQTRKIFVGGLASTVTENDFKMYFEQFGKVTDAVVMYDHNTKRPRGFGFITYDTQDAVNNVLSKTFHQLNGKTVEVKRAVPKELSPGPTPTRAILSPYPYGLNRTNSVLNGPIGGYNVGMDSRFGLNSGYGIGMELNFEPNTLPYGRGLSPYYGDSSRFRSPVSYDGGNGNNISFFSSNPGNLWANGGVDPIRSLVSPQHGGNGGGFGRNTSGGGYDPPFSDVYGGGGGGSRDLTWGLEGDGIGLFGGFGYASFS >cds-PLY87216.1 pep primary_assembly:Lsat_Salinas_v7:4:308990897:308993382:1 gene:gene-LSAT_4X154921 transcript:rna-gnl|WGS:NBSK|LSAT_4X154921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSHLSVIKCVSLRELHLNRVRISEEALNNILSSCSFLVTIELSKIYADSCEGFKMLKFINLPRLYKLRIALEGWQSTSLEIRDVPNLGVFSYQLFHSARLWDPHPLPFNANAHSISLGSNVTQLMLGGVIADNAGLDMIKSGFPSLEGLTLHLTSWMLGSFHFTCASIKRLSLQSCPESLIDVQVHAPKLLFFDFGGDMLPSLLFPDSSLLLVKLSLFLNLPVDVDFFLKMREALTLSRNCDLRIITENNSKLPFNIDIEDLRRRLLFPPATNVQELEFETVEDECLWERSPFFDAFFEICHPNLVYAKPDMTYRDNNHFCRLMLREVLETTAGAAFWPHHLKRVQIKRHKKWKTLTNS >cds-PLY96803.1 pep primary_assembly:Lsat_Salinas_v7:2:171080223:171082861:-1 gene:gene-LSAT_2X93941 transcript:rna-gnl|WGS:NBSK|LSAT_2X93941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G14250) UniProtKB/Swiss-Prot;Acc:Q8W575] MNLNANSVDSLVAEIQGFSGSSQDVNHLNNLLKQSEDVIRSQAATFASCLTQLDPSIHSLGYLYILEACTAGPIPEAQANELVLSVVRFIDVCSVAQIRLVPDKFISICKRLHEQVMILGAPMRAVAPLLTAIRKIQPSSEHLTPLHPDFLQVCLLSKCYKTGYSILEDDVYEVDQPRDFFLYCYYGGMICIGQKRFAKALELFHNVVTAPMSSMNAIAVEAYKKYILVSLIHLRQFSATFPKYTSSVAQRNLKNFSQPYIELANSYSTGNISELEAFVVANQEKFENGNNLGLVKQVVSSMYKRNIQRLTQTYLTLSLQDIANTAQISTAKEAEMHVLEMIEDGEIYATINQKDGMVRFLEDPEEYKTCEMIEHIDSSISRIMKLSKKLTTMDESMACDPLYLSKVGRERQRFDFDDFDGVPQKFNL >cds-PLY83918.1 pep primary_assembly:Lsat_Salinas_v7:5:10304454:10307156:1 gene:gene-LSAT_5X5920 transcript:rna-gnl|WGS:NBSK|LSAT_5X5920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDIQIPSAFDPFAEANAEDSGAGSKEYVHIRVQQRNGRKSLTTVQGLKKDFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSSFLTQAGIVKKDHIKIHGF >cds-PLY65403.1 pep primary_assembly:Lsat_Salinas_v7:1:61477057:61480017:-1 gene:gene-LSAT_1X51960 transcript:rna-gnl|WGS:NBSK|LSAT_1X51960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCFKSSYSEKKPESPKTPTKSISVQSFSSIFTDRDMRQSGSDLNSQNVSDLSTESMGSTNFPSFSQKSNNLRAFTFSELKAATKNFSRSTKIGEGGFGCVYMGVIKNPKDSTKKLDVAVKQLGKTGLQGHKEWVTEVNVLGVVEHPNLVKLVGYCAEDDERGIQRLLVYEYMPNRSVEDHLSSRSEAPLSWSMRLKVAQDAARGLAYLHEQMDFQIIFRDFKSSNILLDEKWNAKLSDFGMARLGPQEGLTHVSTAVIGTMGYAAPEYIQTGHLNSKSDVWSYGVFLYELITGRRPLDKNRPKNEQKLLEWVKPYLDSKKFRIIIDSRLEGKYSLKSAQKLSIIANKCLSRNSKARPKMSEVLEMVNQLIGGPSHAPSHGPPVKGLDPVVPIEPKKVFVGQKTPENMKKDERESKVVEHTESGCVSLYCRPKLLTTC >cds-PLY84265.1 pep primary_assembly:Lsat_Salinas_v7:8:115244237:115244833:1 gene:gene-LSAT_8X80020 transcript:rna-gnl|WGS:NBSK|LSAT_8X80020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQVGPTTSTAVKVFLVAFFIAALSSSVSAQDVAMSPAPSPAAGAASSLPIPVILAGFAFILSALTQLRN >cds-PLY88845.1 pep primary_assembly:Lsat_Salinas_v7:3:193182407:193189812:1 gene:gene-LSAT_3X115281 transcript:rna-gnl|WGS:NBSK|LSAT_3X115281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVLPVCSNLCIFCPSMRARSRQPVKRYKKLLADIFPRSQNAEPNDRKIGKLCEYSCKNPLRIPKITDYLEQKFYKEMRNKNFISVKAVSLVYGKLPSSCKEQMPLFASSLLGIVRTLLEQTDNDEMRILACQNLVSFINNQVDGTYMFNLEGLIPKLCELAQEVGDDERALRLRSSGLQVLAFMVRFMGEQSHISMDFDNIVSVTLENFMDTPNTDHESSSLMDVNKMITNVVNFKTDVPMGDANKNPSYWSSVCLHNMANLAKEATTVRRVLEPLFHNFDTEKHWFPEKGLAFSILKYLQMVLEESDDKSHLLLSILIKHLDHKDVMKQPVIQMHIVNVATQLSQYVKQQASVPIVGAIADLIKHLRKCLQNLSEPSSPRVGPISSYMDLQCALENCISSLSHKVGDVGPILDLMAVVIENISATPTIARTTMSALYRTSQVISSIPNIAYYKKAFPDALFHHLILAMSHPDHETRVLAHHVFSNVLMPAVSQPSSGYKAAKSETIDEENHAMESSSRGGITHSLPNGKTISSSLRLSRHQVSLVLSSIWIQATSPENTPANFEAMAHTYSLALLFTVSKNSNHVALIRCFQLAFSIGSTSLDQQGGLQASQRRSLFTLASYMLIISAKSGHILELIPIIRSTLTKETMDPYLAIDEEDMRLHVTSTKHGEDNGYGSQRDETDAMKALSAIELKDQKLKDTLLYHLLSKLEDLSEEDELNMKTQLSEGFFPDDEYPLGGPLFMDTPIPCSPVAQTDFQAFDEVMPHADETDDDTFQDQYGSQSARKDSLSMNSLDILSVNQLLESVLETARHVASLPVSSTPVSYDQVKDECEALVTGKQQKMSVLQSFKKQQDNNTMLILSGENGKQDQITSYNKMELPEDVKLLTNGEQTDQLVSYTKVYSQQQSFRLPPSSPYDKFLKAAGC >cds-PLY99749.1 pep primary_assembly:Lsat_Salinas_v7:4:271566736:271574507:1 gene:gene-LSAT_4X139440 transcript:rna-gnl|WGS:NBSK|LSAT_4X139440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNNESSTSDVINGNWVLKRKRKKTSFGPVKSNGNKQDSTPSDSHTSTSSKCKANKDNSSDHSPSKKKGNDGSYFECVICDLGGDLLCCDGCPKTYHIGCLDPPLKRIPNGKWRCPNCCSETNSVDVIEKPDPTSKRARTKVTIGKSKSKSKTSKTDKVSRILRSTGVKKKSSTKVKSFPLSPKVDLSAIDGSLENSSSFVDIKKEKETDLEETKSSTPEKVIELEKKEEKPKKKRVKDRSKEKKDVDVSEGSTKKSTKRKRKAPPLDDVEKKLPDGSRKREKTDLEVSETSKSKKKQKSVKHAKAKTKSLSKNDMGSKVGDIELKDKMELEEDANPSHESHESDKVIELMKDSVHAHEKMQQVDKVLGCRIQVLENKSTESEENGTSEKNENPTTPKVTDAEIPTEDTKNDESNSDQMILENDTGVEKMNAPMVNDSMEEKNDLDSLATDEIKNDTSASKSEDLAKNPEKIPIKESIEATKAGELPSVLESVEGKKKDLDLSPKSLSYEFLVKWVGKSNIHNTWVPESRLKVLAKRKLDNYKAKYGFTVINICEEQWKIPQRVIALHSSKESTEVFVKWTGLPYDECTWEKTDEPIIAKNSHLIDLFNQFERLAIEKDSDKGKSQQKSQQSDVINLTQQPKELGGQLFPHQLEALNWLRKCWHRGKNVILADEMGLGKTISACAFLSSLNFEFKARLPCLVLVPLSTMPNWMSEFSVWAPNLNVLEYHGCARARSLMRQFEWHANDSNKKTKSYKFNVLLTTYEMVLADSSHLRGVQWEVLVVDEGHRLKNSSSKLFSLLNTFSFNHRVLLTGTPLQNNIGEMYNLLNFLQPSSFPSLTSFEDRFNDLSTAEKVDELKKLVAPHMLRRLKKDAMTNIPPKTERMVPVELSKIQAEYYRAMLTKNYQVLRNIGKGIPQQSMLNIVMQLRKVCNHPYLIPGTEPESGTMEFLHDMRIKASAKLTLLHSMLKILKKEGHRVLIFSQMTKLLDILEDYLNIEFGRETFERVDGSVSIADRQMAISRFNNDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSKRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILRWGTEELFNDGLGQNGKDVGENNKVEEIVGEHKSRRKVGGLGDVYQDKCTDGNSMIVWDESAILKLLDRSNVDSVLTENGEAEENDMLGSVKSLDWNDESNEEQGGTESLVDVIDDATAQNLEKKEDNSGNVVEENEWDRLLRVRWEKYQSEEEAALGRGKRQRKAVSYREAYAPRPIETLENGGDEEPEPEPEREYTPAGRALKTNTKLRARQKQRLAQRDAIKEALLAMEASGGGPHNNGLMWRSDQPITEKLPDDKPISKEGQNRNLLPVLGLCAPNATKLMESSSSSQKPNPKSSRKNKHSIGLEFPFHLAPCSNDTDTKSHLKIDENTLQQHGLPPHVLGKGVEASESSGGDALSHEKMVLPKVPFDESMMSKLSLQVKNLAHHHQQQHHHNHNHQQPEFFPSLSLGRSIGGGGESNSNLQDFCTIPFLPNLRFPQQELEAMGLPPMLGLGQFPPAFSSFPENHRKVLENIMMRTNTGSNNVLKRKLVKDFWSEDELDFLWIGVRRHGRGGWEAMLRDPRLKFSKFRTPEDLANRWEEEQVKILDLTGGQKKSNVAKSGKNPSFPSISDGMMKRALHRSRFASGPSGPPSFPPHLMDMKLGLDGPSTSGFDNLDPPPPPPPHRFQHGNSNFPPIPMWIPERFRGNFSGESSSSVGPTEPVLQNPFGPNTLGSLGLNGLGGVDLQKEPKFDVNVSNQEKDEIGGGGGGSENNNKLPHWLREAVNVNARPQESQLPPTVSAIAHSVRLLYGDEKPTIPPFVAPGLLPIPPKDPRRNLKKKKKSGGGGVHGGVQPPPDSEHGASTSGSQAVVTPPPPPPPSERESSWDEPDLNAPPPVVAEPQPSPPCSNPETKRSDIPVVVDSSPVVVDSSPVVVVVDDVSSEGTVSDDES >cds-PLY96592.1 pep primary_assembly:Lsat_Salinas_v7:4:6844402:6845469:1 gene:gene-LSAT_4X3941 transcript:rna-gnl|WGS:NBSK|LSAT_4X3941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQPRETNPHFQPRIQRPAQTDDHTDGSILPTRFRPSPPSPSPTVPILPRSQRPDSHLSILSPVPVPVPVSVPARGPPHSRPSPVSHQRFGVPQYPSPSLHVPPQRRTKRLTWLVAICCVFFWIIVILGGLILLIVYLAYRPHYPKFDIASASLNAAYLDLGYLLNGDMTLLANFTNPNKKVNVEFRYMVINLYFEGTLIAARYVEPLSVSPRGYKLRDVHMVSSQIPFSRRHVAQLNEQIQIGRIMFEAKSFLRTTSNLGGFFRYSYWLYGHCKFVVSGPPSGILVDKKCETKR >cds-PLY80611.1 pep primary_assembly:Lsat_Salinas_v7:6:13353492:13355173:-1 gene:gene-LSAT_6X9861 transcript:rna-gnl|WGS:NBSK|LSAT_6X9861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQLKPILSHVDNIPSAGKFKPDKSSLYYIHHRLRYQTSLPKLTIWSTIFLSLIVFFFFLSPPATPAPRRGLRTTTSYGGSEWESRIKLSAKPRSGTGHTVLVTGVAGFIGAHASVALKRRGDGVIGLDNFNHYYDITLKKSRKSKLLDRAGVFVVEGDINDGVLLRKLFDLVHFTHVLHLAAQAGVRYAMVNPNSYIRSNIDGFVNLLEVVKSSIAQPAIVWASSSSVYGLNSKVPFSEKDRTDQPASLYAATKKAGEEIAHAYNHIYGLSITGLRFFTVYGPWGRPDMSYFFFTKDILNRKQISIFEGPNHGTIARDFTYIDDIVKGCLSAIDTAKKSTGNGGKKKGEAQFRIINLGNTSPVSVHKLVSILEKLLKVKAKKKVVLMPRNGDVPITHANISLARMELGYKRTMDLEMGLKKFVKWYLDYYDAKKKSSW >cds-PLY72361.1 pep primary_assembly:Lsat_Salinas_v7:5:6547052:6550887:1 gene:gene-LSAT_5X3960 transcript:rna-gnl|WGS:NBSK|LSAT_5X3960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGSIVRRVIPSDNSCLFNAVGYVMDHDKKKAPELRQVIAAAVASDPTKYSEAFLGKPNEEYCSWILNPEKWGGAIELSILADFYGREIAAYDIQTSRCDLYGQEKKYPERVMLIYDGLHYDALAMSPMDGAPEEFDQTIFSVNPDTRTIGSYESLALNLVKDQQRKRSYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYK >cds-PLY61886.1 pep primary_assembly:Lsat_Salinas_v7:6:61435598:61438690:1 gene:gene-LSAT_6X45540 transcript:rna-gnl|WGS:NBSK|LSAT_6X45540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSLYFLLPLHNRSKVLPFEHPSQRFNIFIEPPCHMLIQDLFQDEVELPKFQSINADDLFKVKYNIEAKQIPETRIPEKRIEKHTRPSLKSKVLSRVFSEDYERVKKKILDPRGQSIRKWNKIFLVACLVSLFVDPLFFYLPSVRKNACIDIGFTLEVSLTIVRSVADVFYMIQIYMKFVTSYVAPSSRVFGRGELVIDSSKIAKRYIKGDLWIDFVAALPLPQLLIWVIIPSLSGSAMANTKNVLRFFIILQYVPRLYLIFPLSSQIVEATGVVTETAWAGAAYNLMLYMLASHVVGACWYLLAVERQEACWRSICVVEDPNCKDEFFDCERINEASRRSWFQTSNLTNQCVPTSEFYPFGIYGDALESEVTSALFFNKYFYCLWFGLKNLSSLGQNLSTSTYIGEIMFAIVIATVGLVLFALLIGNMQTYLQSTTVRLEEWRIKRTDTEQWMHHRQLPPELRQSVRRYDQYKWVATRGVDEESLLKGLPLDLRRDIKRHLCYDLVRRVPLFDQMDERMLDAICERLQPSLCTQGTCLVREGDPVNEMLFIIRGNLDSYTTNGGRTGFYNSCQIGPGDFCGEELLTWALDPRPSVVLPSSTRTVKAISEVEAFALIAEDLKFVASQFRRLHSKQLRHKFRFYSHQWRTWAACFVQAAWRRYKRRKSVRELQARESFKSVDYESGASSPVHGGGSRRPGGLKPGGGGGSLQKPTEPDFSVEERNDY >cds-PLY72910.1 pep primary_assembly:Lsat_Salinas_v7:1:208591752:208591994:-1 gene:gene-LSAT_1X120901 transcript:rna-gnl|WGS:NBSK|LSAT_1X120901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEDASNEEQSEQIEDVFEEQTTENEESPNTGSKKRKRVDAVIKGITIAAKVLGEKLEKAANSMNQAILGETEVQKKLHW >cds-PLY70940.1 pep primary_assembly:Lsat_Salinas_v7:8:228002952:228011330:-1 gene:gene-LSAT_8X138440 transcript:rna-gnl|WGS:NBSK|LSAT_8X138440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRSSSLSHEEECSALFQFKQSIIHQGDVACVASWFETFNSWKTTSNAPDARFDCCLWHGVECSNNHVYGHVVSLDLSESFLCGHINSTNTLFSLVHLQSLNLAMNYFDESQIPYEIARLKQLKSLNLSHSGFSGQILNEMTHLTQLSSLDLSGNPLKLHNPSLKNLIQNLTELEELHLSGVDISSSVPHFFSNFSSLRSIRLSNCSLSNEFPATILQLPKLKFFDVAYNTNLTGSFPEFHNNSLLEYINLRETGFFGIVPESISNLHHLTYLRLTKCSFSGGIPSSKFKKGHLPNWLNKMTKLSSLYVYNTDLTSEITPHLANLTKLSVLAMGKNSLTGHIPSWLFNLTQLTTLDLQQNQLQGPILSSFSNLKTLQYLHLGSNSLSGNVDLDMFLRLNKLETLGLGYNRISLTSTNNYTNTTLPELKLLSLSTCNLKEFPAFLQHQNTLEVLFLDNNKIDGMVLVWIWNNNKESLQVIDLSKNSITGFHQHPQILPWRRLQGFSIKNNQIRGQLSIPPQTTVVYSASNNNITGEIPPSICEVKSLKVLDLSSNNMSGTLPPCFGALSNSLLSLDLRQNNFRGMMMDLFMHGSQLKNIDLSENRFMDQLPRSLTNCTNLEVLSLGDNTFDDVFPFWLGTLAKLQVLILRSNKFYGSIQGPTTVCSQFPKLRIIDLSHNGFRGQLLGNYFQNCNAMTSVYDGESSVMKSDMSFKYFNSSMPYTMTMIHKGVKTEYKKILTIYTTIDLSCNHFEGEIPLSLKDLIGREALNLSNNHFTGRVLASFGYLKNLESLDLSRNELSGEIPQLLAQLNFLSIFNVSFNHLDGHIPQGQQFDTFENNSYEGNPGLCGKPLLKECQGLKLPRLPPTSNASESLLPSERIDWIIIFCGIGSGLVVGVVIGNFLYTRYSDLFTKRKDKWVRPLRNTRRNQETENCSSTENLSIFRNRKLFFNRNLLNLQKPKTVLQPKTFESSETENCSSTENL >cds-PLY94928.1 pep primary_assembly:Lsat_Salinas_v7:4:112755953:112758028:-1 gene:gene-LSAT_4X72000 transcript:rna-gnl|WGS:NBSK|LSAT_4X72000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVDIDHYTILGLPSGEEGSKLTEKEITKAYRLKALELHPDKRPDDPNAPSNFQKLQTSYQILKDEKARKLFDDLLRVKQQKIQRQSQHDSKRRRMMSDLEEREKNAFYHQDPTSKARNEEESIARKLREEISRIRAMHGNNKTGFTDTEESSRKKEKEDERKTSGVDTNMDKEKMLKVSWEKGGLEYSAERLRGLFETFGEVKDVVIRSSKKKGSALVVMASKEAAVAATGTVCGDLSNPLLVIPLQPAVANAFPTFREPVKSSHGQHLNEDLVGARYQAFEDSVLQKLQKAAERQK >cds-PLY66648.1 pep primary_assembly:Lsat_Salinas_v7:1:54455488:54457639:-1 gene:gene-LSAT_1X47221 transcript:rna-gnl|WGS:NBSK|LSAT_1X47221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSNQDKSSIDKELQTKLLLHSDHDDKGGMRTMPFIIVNEAFERVASDGLMPNMIFYLMEVYHMEAVTGTSILSIWSALSNGLSIFGAFIADSYLGRFRVIALGSLSTLVGMIFLWLTSIFPQLRPSSCDELNTICNPATPTQLALLFSSFGLLSIGCGCIRPCSMAFGADQLTNHPTQNNQRLIDSYFNWYYASAAMSMVVSFTLLIYIQDEYGWQVGFAVPVLLMVCSALMFMLGSSLYVKVKVGESPFSGFIQVLVVAFKNRKVNLSRDDCYNHSHGMDRVELTENLRFLNKACIIKDSNTDPWNLSTVEKVESLKSLIRIAPIWSSGILLFTTSSQSYPTLQAKTMNRNIISGIEIPAASFVLFLVLTLTIWIAFYDRILVPILTKHTLQPRGLHPKTRMGIGLVISFVAMVVSGIVETIRRHMARSGNDMSAMWLVPQYSLLGLAEAFNAIGQLEFYYSELPKSMSSIAVALFMVSNAFSGLFGSFLINVVDSVTREGGNVSWLSSDINEGHVDYYYWLLGFLSLLNFFYFLVCCRLHRIFSLST >cds-PLY97345.1 pep primary_assembly:Lsat_Salinas_v7:4:286633595:286634104:-1 gene:gene-LSAT_4X146021 transcript:rna-gnl|WGS:NBSK|LSAT_4X146021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVVMTSGGPLDLSTILLKNHITFVGRPINSQVVQRVISELVTIVIIDGKAYILFYVNFPRVSTYSMFTIYKCMYRIKTKVGAVALKLLQVNEHFLLVK >cds-PLY73390.1 pep primary_assembly:Lsat_Salinas_v7:9:153978661:153979335:1 gene:gene-LSAT_9X96301 transcript:rna-gnl|WGS:NBSK|LSAT_9X96301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKLPEDEGATAEKCSNKVLIHKSRKRKRDVFSRLTTSLLQKETSVDLRMAQPEYGTKITKQRSAYQEAREPLWPTIDGRAMPSQRVIRDSKQILADVKHKPKSYGMKEIGIRSNQTRGRMPPVLTRKPSYRSESTRTSDDLDDTPSDIVKSKINRCARNREWYSHQAAWRHSPRLQHALGKKEGKLHAPLEHERQNSALSRWAPVVKTRGNPNLSRSTCSR >cds-PLY70672.1 pep primary_assembly:Lsat_Salinas_v7:5:71182614:71185198:1 gene:gene-LSAT_5X33341 transcript:rna-gnl|WGS:NBSK|LSAT_5X33341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIIGDALRQAFMQKHEYESLRDEDKAWTKLQRPILICGFAFITFSIFISTIISLNIIFPNDPGRRPFCSDLRIQPLSINFSSIVAADVRIGGGEDRVGGAFYLTDQETVDYYWMVVFVPSAMVFVVSAVYLVAGISVSYTAPTRHGCLKVVENNYCTSRRGGVRCLSILNLIFAIIFGLLALFLGSTLLTLGSRCSIPLFWCYEISSWGLVILYGGTAFYLRRKAATVLDETEFSGRNLGLEMLEANTVEFTPDVERRVNEGFRSWMGSSYLSSDDEDEDENDPNGYMNLSRTNSSRQRV >cds-PLY72203.1 pep primary_assembly:Lsat_Salinas_v7:7:58625259:58627672:1 gene:gene-LSAT_7X42300 transcript:rna-gnl|WGS:NBSK|LSAT_7X42300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIISRLELGMEETSTSNSISNRYAKLLTGSWLTQFRHGSNPWMARYVYSLMFLLANLLAWAVRDYGPSALTEMKRLKSCQGGKDCLGTEGVLRVSMGCFIFYLTMFLSTAGTSKLHVRKELWHSGWWSAKIFLMIALIVLPFFLPTQMILIYGDVAHFGAGVFLLIQLISIISFITWLNDCCLSEKYAVRCHIHSMLLATVAYIVSILGIILMYIWYTPQPSCLLNIFFITWTLVLLQLMTSVSLHPKISAGFLTPGFMGLYVVFLCWSAIRSEPPDDKCLRKSEASRDWLTIISFVVAVLAIVIATFSTGIDSKCFQFKKDETQDEDAVPYGFGFFHLVFATGAMYFAMLLTGWNYHHPMKKWTIDVGWTSTWVRIVNEWLAVCVYLWMLVAPIVWKNRQVEQPA >cds-PLY79090.1 pep primary_assembly:Lsat_Salinas_v7:3:6985691:6986232:-1 gene:gene-LSAT_3X5060 transcript:rna-gnl|WGS:NBSK|LSAT_3X5060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAARRLYCSPTNPFSRFRLLPFCSPLLQESLLLSFPLATKMFQFARLSLACPWIRQQFERLTYSVISGSMLIFNSPKHFIAYYALPCLLVPRYPLSNHQIGKIGCFHIALYRLSSRVGDQRTRTANIRRRFFQIYFIQTQY >cds-PLY94795.1 pep primary_assembly:Lsat_Salinas_v7:2:180449702:180450256:1 gene:gene-LSAT_2X103900 transcript:rna-gnl|WGS:NBSK|LSAT_2X103900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIGMGYSVHRDKNKSVGADGAFKLISEAWSLLYDKSKRSTYNQRRKVPSASPGVGVNSFTKRAASKVQKSHSSTTTFWIVCHGCRMQYEYLKIYLNQTLLCPNFQESFLATESAPPVTFKKSVAHQHQQHLDSIKKYHSSSNTNTDVVYKESVNERLKREREELSMGNHSKNRKADDSDIK >cds-PLY71557.1 pep primary_assembly:Lsat_Salinas_v7:7:30075627:30078405:-1 gene:gene-LSAT_7X22140 transcript:rna-gnl|WGS:NBSK|LSAT_7X22140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMCGLIDLNTVNDDDTDEVSTSSSSSNASGSITVPAPAPPPVSSGSGSDSTPVVCCLELWHACAGPLISLPKKGNAVVYCPQGHLEQLQSSGDCPTSGDCNLPPHVFCCVLDVKLHAEAGTDDVYAQVSLIQDPQLEQKWREGGRKVESAEDDNGVAEKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELVAKDLHGTEWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVCGDAVLFLRGDDGVLRLGIRRATQAKVASSLPTFCSLQMNDFANVVNSISRRTVFNVYYNPRGGSSECIVPYNQFLKSLSVTFSPGMRFKMRLETEDSGDQRSMGTVTGVSDIDPAKWPGSKWRCLTVRWDSVEVPRQNRVSPWEIERLGPVSEIGSFVSPISKRTRTGFPVLKGTYFYLFLIFFNI >cds-PLY62018.1 pep primary_assembly:Lsat_Salinas_v7:5:131017358:131027684:-1 gene:gene-LSAT_5X55640 transcript:rna-gnl|WGS:NBSK|LSAT_5X55640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRHLMNNFGHLKIELEAIKLATNNFAEENCIGRGGFGKVYKGELVHSTGHIIVALKRLDPKFGQGNPEFWKEVIMLSLYKHENIISLLGFCDEGNEKVLVYEYAPRRSLDSYLNNGDLTWIRRLEICIGAARGLAYLHNPGETQQRVLHRDIKSSNILLDEKWNAKISDMGLSKFGPANQQYTFLVSHTVGTLGYCDPLYIESGLLTKESDVYSFGVVLFEVLCGRLCIIIENGVQESLIGLVRQYYPQNKINKISDLIFGNIKEGMNPKSLDKFVKIAYRCLERDLKDRPLMADVVSTLESALEYQHGVDSRLIKQPDGLPTSFVRDTWFKRIFAKFGRGSSDSSHNRNQSSRYDEENTMHDKSGKSRDHKGYKRNKEKSDEMPSSSTANSVNPSGSGYGTKVATPIAVPALQVDELKEITANFGSASLISEGTYGRTYYGVLKSGQAAAIKKLDSTKKADKNFVAQVSMISRLKHDNLVELLGYCVDGDWSVLAYEFASLGSLHDVLHGRKGVKGAQPGPVLSWSQRVKIVVGAARGLEYLHEKTQPPIIHRDMRSSNVLLFEDYVAKIADITLTSLTTSMEARVHSSRVLDTIGYHAPEYAMTGQMSSQSDVYSFGVVVLELLTGRKPVDHTLPRRQQNLVTWATPRLGEDNLEQLVDARLNGEYPPKEVARMAAVAALCLQYDAEVRPKMSIVVEALQGLWNAHA >cds-PLY90327.1 pep primary_assembly:Lsat_Salinas_v7:2:198692232:198693124:-1 gene:gene-LSAT_2X119841 transcript:rna-gnl|WGS:NBSK|LSAT_2X119841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLHHQKHHRTYITNYNKAIEQLDDAITKGDASTVVKFQSTIKFNGEGILMASSSGTTTSSGGSYPIQNSGSDEDLQQLMDQRRKKRMISNRESARRSRKRKQKHLDDLKSQLNQLRNENNQIISSVSITTQHYISVEAENSVLRAQVAELSHRLQSLNEMIAFMYQPVDTGCRFEDEQYGSGGGTEFVDEFMNNSLSYLYANQPIMASADMIQY >cds-PLY68988.1 pep primary_assembly:Lsat_Salinas_v7:9:139466360:139468320:-1 gene:gene-LSAT_9X89020 transcript:rna-gnl|WGS:NBSK|LSAT_9X89020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRSASPLKPEIHPKPRPPRDPDMEGSSLTDDPQIRLALYIAMAHAGVAFALLLLYGVSKILEYYLRALLWAVICSIPLRGIQQTLVSFWTRPLESGIPDTIMAVPASMFRAFIGTIIEIKDLFYKIIGRHKRSFNPPKRKRSGFSIVFRRLVSLWVFVMAYEQFGGLGATSLLVLGFMFTANYNVECMSVVSSFKSYSFKRTPRTSFLTRGILKKLQTIVAIGLILGMIVGSLVAAMFFSYKIGVEGRDAVYSIKLRVEESDYAEKIGVKQWMAENDVREMLAKYTTQFYGTVYEQIDSLAAEYNMTEIVDEMKNVMAEKSTNSNVTSTALTTPNPFAEKILNLRRHVKNGEWAAIYPEVNALFKEVQISREDIIEKAKEIAFQGKDILQRVLASGQSILGGSTKLLFVVIESIVTGAAGLFCFLSQSMVFIWVLYALITSDSKGVTEHVMLMFPIPKNARTRCVEVLDKAISGILLATAEIAFFQGCFTCLLLRLFNIHFLYVSTLLAVVSPIFPLLPYCLATIPAIIQLVMEGKYVVAIFLPIIHVVMIDNGDSEILEHIPGHNPYLTGLSIFGGAAIFPSAVEGAIVGPLITTVVIALKDLYVEFVLGERKGIKTA >cds-PLY66618.1 pep primary_assembly:Lsat_Salinas_v7:4:283369163:283372020:1 gene:gene-LSAT_4X144221 transcript:rna-gnl|WGS:NBSK|LSAT_4X144221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFYSYPSHSSPLSSNISSFSFVNSFLRVKDFFTPAVPVIIGNVFSAIFTFFFALVGTLLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLVLWKSDESGIGCLLYLIDVIVSLLSGRLVRERIGPAMLSAVQSQMGAIETGFDELHSIFDIGGSKGLPEYSLEKIPKIAITSDNDVDDSGERVSCSVCLQDFQLGETVRSLPQCHHMFHLPCIDKWLVRHGSCPLCRRDI >cds-PLY66562.1 pep primary_assembly:Lsat_Salinas_v7:6:166654037:166655387:1 gene:gene-LSAT_6X102560 transcript:rna-gnl|WGS:NBSK|LSAT_6X102560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVAFSPHADQWKEMRKVLVTEFLGSKRSRLFKKVVDTEVKGMLDSFSSLNTTIDLDERLFHLVTDIVSKVAVGKSYREETFRGKKLKDMLDDLVISLCGSVSDIYPGRLGLILDELLGFNRRLEKTFSNFDAFLQMVLDEHIDHSGTTDYEKDMVDACRSQLTTNEMKALLMNVLNGAIDTTTTTMVWTMSEIIKNPRVMQKLQEEIRRCVGRKSSVEEADVANMPYLKLVVKEALRLHSTVPFLLTRECVKHCQIGGYDIFPGTRVLINAWGIGRDPKVWTESASIFNPERLENLELDRSEMIPFGGGRRACPAASVATQIVEYTIANLFYSFDWQLPSGIKNEELDMEEVGSLIVVRKTPLSLVPVKHDWQD >cds-PLY84680.1 pep primary_assembly:Lsat_Salinas_v7:2:151422924:151425697:-1 gene:gene-LSAT_2X78180 transcript:rna-gnl|WGS:NBSK|LSAT_2X78180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWWLITVLSFLLGRVCDGGITSSYIREAAPSTEIPKKDFPPPSGYNAPEQVHITQGDQIGRSVIVSWVTPVNKHPKYMTYWEANDKDGIKRNRVRSKTTTYRYYNYSSGYIHHATINKLEYNTKYMYELGKGNNTRRFWFTTPPEVGPDVPYTFGIIGDLGQTHASNQTLEHYLGSRKGQTVLYLGDFSYADVHPYHDNEKWDTFGRFVEKSNAYEPWIYIAGNHELDFAPEIDEHSLFKPYKHRYHVPFRASQSTSPLWYSIKRASAHIIVLSSYSAFAKYTPQYNWLEQEFAKVNRSETPWLIILLHSPMYNSNNYHFMEGEGMRVVFEPWFVKYKVDLVFSGHVHSYERSERVSNVQYNITDGLSTPVKDPSAPVYITVGDGGNIEGIADSFTEHQPDYSAFREASFGHALLEIKNRTHAFYNWHRNQDNVSVSGDSIWFYNRYWFPKEESTYSSSTKTA >cds-PLY64921.1 pep primary_assembly:Lsat_Salinas_v7:8:132829100:132830340:1 gene:gene-LSAT_8X92000 transcript:rna-gnl|WGS:NBSK|LSAT_8X92000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLRSSSTPLLGSLLPPSESPNNHHDTGLHPHKSSPIHKFSFPSPGSQHFTPLSCSSSPISPLPSFSNGGMRRAQSEGNLESLISSSSSNGNDEEFSFFKQPKKVSRLHSSLLETIPSFSYHNSRFKSDEDESSGQEEQEEEDDGFHGFNIENKVLSLNKQMDLAIKDDYMRETGSQMYLAKGLGVDTHTGSGAAGGGRNLSRGGGGGGGGGGGGDNHDVEEHYRKMVNENPGNPLFLGNYAQYLYQSKKDLEGAEEYYCRAILVDPNDGEILSQYAKLLWELHHDKDRATCYFERAVQAASQDSYVHAAYASFLWEIDGEEDQEEEEEDEEGYYSKNKSPIFNNGTMASATA >cds-PLY92808.1 pep primary_assembly:Lsat_Salinas_v7:2:148921127:148923894:1 gene:gene-LSAT_2X74740 transcript:rna-gnl|WGS:NBSK|LSAT_2X74740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSVQLASIDEEKSLSQHKQQDIKINVASQTHTKPCPDLLNGPRKDYITTGIPLYEASIKGDWKAAKAILDKRLELVRYSITENGETALHVAASAKSTKQVEDFVENLIEYMEKTDLELENNSSNTALCLAAAAGNVKMVKIMVKKNKALVAITGSQQMTPLYMAALFGHYEVVKFLYDNSQNLHDDCWTPQNRGWLLLKCVETDMFDIALRIVQEHPDLRSSGSVLGVLAKKADIFAETESNIFKKTFNWVINPKKQVLERESKAKALELLTLIWENISEKPRNEIDAIIRGPPDPPVKPDDKSPSDKEEQTFELLKRISDGIDKMPFEIRNLIKDSPPVIRPNVQIRGNPTKKYSARIIFVAAEMGNTRFIIELIRKYPDLIWKVNDNNQSIFHTAVKHRHEGIYNLLYEIGSMKDLITPLKDKNDNNMLHLVGKSAKKKRLEDVSGVALQMQRELLWFKEVENMIPPSYRERRNKEGLTPHELFTREHKELVKQGEDWMKDTASQCMVVAALIATIVFAAAFTVPGGYNQDDGIPYFKRKGTFIAFVVSDAVSLFSSSASILMFLSILTSRYAERDFLESLPKKLMIGLATLFLSITTMMIAFSVSFFVLYHKDLKWIPILIAFFATMPVLLFATLQFPLWKDIFRSTYGSRYLFRPKKQVLYYEDCMSNHRWWFPFRVPFISKCTSKILKLL >cds-PLY69556.1 pep primary_assembly:Lsat_Salinas_v7:8:83823763:83825043:1 gene:gene-LSAT_8X58621 transcript:rna-gnl|WGS:NBSK|LSAT_8X58621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNSLCFTPLSSFKTRNKPGIIGGNPNSRKVIWVTNQNLKFKRFHSLEAKATDDAKNTTKVNSIVCGDCDGNGAIQCTQCEGKGVNTKDHFNGQFKAGGLCWLCRGKKEILCGGCNGAGFRGGFMSSFDE >cds-PLY87642.1 pep primary_assembly:Lsat_Salinas_v7:1:122135028:122137699:-1 gene:gene-LSAT_1X92860 transcript:rna-gnl|WGS:NBSK|LSAT_1X92860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFDGANNVFLSFSGEDTRHSFTDHLYYALKRAGISTYRDNEEIKRGEKLMPEIKRIIKESRASVVVFSENYATSTWCLDELLLILEQRTECNHFVLPVFYHVDPSDVRKHRKTFAIKVKASSRWTEHNVNLWKNALKEVADLAGMVFVWEIVDVIYNKLDRKEVNLPTNVTGMASRYAKISMWLNQPNVEFLAICGMGGSGKTTLAKYIYNLNWRTYENMSFVEGIGHKCEGPDGLRMLQEQLLTSILGGKKRKIPSVFEGTWEIKEALQTKRSLIVLDDIAEESQLIALLGNGMINAQTKIIITTTRENTDNWFKSTNWRCQDYKMELLNDEESLELLSHHAFGSKVPMDGFEELAFQAVQYCEGNPLALEVLASSLSDNNTILHWKSQLNLLEKEIDSRIQNVLIMSYKSLSFDLEKELFLHIACFFVGIDMDYVVKILQHDYPALFGIKSLSNKCLLSVSPNKKLMMHRLLQEMGKT >cds-PLY71339.1 pep primary_assembly:Lsat_Salinas_v7:7:185233915:185238835:1 gene:gene-LSAT_7X110901 transcript:rna-gnl|WGS:NBSK|LSAT_7X110901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCB3 [Source:Projected from Arabidopsis thaliana (AT1G16330) UniProtKB/TrEMBL;Acc:A0A178W969] MVAVKGLNTFKSRVSGELETRKSVIGGVRSFKVYTENEKVKVHSRDHVAAETTRKSMPVKAETSQIQATCLKGALNKLDKIHGKNINSTQGKVARKVLGDISNSQGNLQKNEAFNGSKSGKVKMEKVAYLQRASIATKSRNASSLSGKLSMGTRVGSQSEAVEGRVTQGRVGTKDSKVFDVNTRTRLQSKEAVGYVTRESTRNYRPPQRKSFPVLKHVEKTDTCDIKKGNLGNKAKNKDKFGFSVKPKVGTTVVPQVSNGRDHRLKNRVSDGSINMVSEDRSTGNTKRLSRRSMKPTVKTTIGIPKVSRRTTVFTKPTSSTLVLFKKKEETSATCVLSKKNEETSNPTANNGKSRRRKSYTSLLIEGSKMLKDLASVTKEEILPSIYDDRNQLEVSEYVDEIYQHYWVTEAHHQSLKNYMEIQTDITPQMRGILINWLIEVHLKFDLMQETLYLMVTLLDYYLSVVNIKKNEMQLVGLTSLLLASKYEDFWHPKVMELISISAELYTRDQMLKMETTILHKLNFRLNLPTPYVFMLRFLRAAHGSKEFENLAFFLIELSLVEYDALHFKPSLLCASAIYVARCTLHLAPPWTPLLSKHSHYQEHQIRDCAEMILRFHQSARKAVLKVTFDKYMSDHNYKVASIRPLNRLPSS >cds-PLY94986.1 pep primary_assembly:Lsat_Salinas_v7:4:110439221:110439725:1 gene:gene-LSAT_4X70460 transcript:rna-gnl|WGS:NBSK|LSAT_4X70460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKKWRRQAVKSGSMMADKGHFVVYTMDHSRFVIPLHYLNNNIFRELLKMSEDEFGLPTNRPITLPCDSSLMNYLVCVFERALTKEFEALLISVATNRCNLFDQGGENRKQMFLCGF >cds-PLY65809.1 pep primary_assembly:Lsat_Salinas_v7:5:274191091:274194325:1 gene:gene-LSAT_5X142241 transcript:rna-gnl|WGS:NBSK|LSAT_5X142241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGSIQVSVLDFKELPSSSNSVKVALGKVEHEAGEKETFSFPLTNLRDNLIISIQDSEGNQISHSGIRTMSIIEKGTWDDLFPIEGGGLIHMKLQFILNDEERNRIRSVREAAMKKKQAEILNSRLRKTESAKSLTSLLQRHEVSDVLRDTSSQYFQRSSSNNDAAKARSLNLLDDISLKAAESSHVIKEESFQKQSFTDPTDKKEETSFPPTIKTQASQTDLIKNTEAEKTDLSGDKLYEQNLEEKITSDINITNKETTSTPSLQETEVNTIKANEIQPSLQESVEDTNIHASESKPSNDEKLDKQNLEEKIKKSKLSTSSQETEVNTIKNNEKRLQETSSQDITSSRSIIAKKIKSFSPKVEGEQDKITPRNIKKMISVFESSISQDRTPLKPLSMKSYKLGTLRVKDNDQKLETSSSTRLRNSFSMSDLQKNINVTKEEQDGFENFVTPSETNDSNNEEKFSLMETNTKKLPETCEEDATVSGRKPLDNEKSIEHIENESVNGGMSSNDNHEDFEHDIDCKIEEKDHQEKIVASNSIPEIVVKGEVQCGNDENVKQGEEIVHILGNSSPKSVDEEASNGSVKQVIKIAIVVGFGVLVFFLRQREHRKGKKKENYRALKNQVFMNKRGSIEEQRVKFGVMKMS >cds-PLY98990.1 pep primary_assembly:Lsat_Salinas_v7:7:49522305:49524020:1 gene:gene-LSAT_7X34821 transcript:rna-gnl|WGS:NBSK|LSAT_7X34821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV2 [Source:Projected from Arabidopsis thaliana (AT5G20520) UniProtKB/TrEMBL;Acc:A0A178UB23] MVSYKSLLIYGVGGIAVAGMALLVAFQEKLVYVPVLPGLTKSYPITPDRLRLIFEDVWLTSSDGVRLHSWFIKFSPNSTGPTILFFQENAGNIAHRLEMVRIMLQNLHCNVFMLSYRGYGASDGYPSQEGITMDAQAALDHLSQRTDIDTTQIVVFGRSLGGAVGAVVTKNNPDKVAALILENTFTSILDMAGVLLPFLKYFIGGSSSNSKTPKILNFVVRSPWNTIDVIGQIKQPILFLSGLQDEMIPPSHMEMLYAKAALHNKRCIFVDFPNGKHMDTWLAGGDEYWEAVQTFLQENVLENGNGMF >cds-PLY77431.1 pep primary_assembly:Lsat_Salinas_v7:9:108920657:108921100:-1 gene:gene-LSAT_9X79221 transcript:rna-gnl|WGS:NBSK|LSAT_9X79221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKVGSLFMTVLLLFFMLKCAARPTKDTSPVTTPQLKDVEVEAKEEWLMRKTFDEAHLDYIYTQELPHSNRHHP >cds-PLY96800.1 pep primary_assembly:Lsat_Salinas_v7:2:170725397:170725793:1 gene:gene-LSAT_2X93560 transcript:rna-gnl|WGS:NBSK|LSAT_2X93560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSQPRPLPKFGEWDEKNSSADSYTVIFAKAGDEKRANSTGTGAQQKNNKGPPPSQPPPPKHEMEPTVDDNTRFPLFPFLVSVEIVG >cds-PLY79465.1 pep primary_assembly:Lsat_Salinas_v7:9:4208311:4212219:1 gene:gene-LSAT_9X3120 transcript:rna-gnl|WGS:NBSK|LSAT_9X3120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRKDQKIVVLTTEKEIEEASYSMLTKTQKKGTQTIFISESFSCCSWRVLEKFLVIIKNNKKKGHGTNLFIRVNRLLGKQVVSEQGGPSGELTPPLPSRLVAEDEIKSLCEAMKAFEVPNPVVIPGYGGKRKSELGNLDTHNYGRGKRAGEVRSYEEQWTEDEFEKMCQVKAPKLGFPSCSYLD >cds-PLY78668.1 pep primary_assembly:Lsat_Salinas_v7:9:47417391:47419259:-1 gene:gene-LSAT_9X44400 transcript:rna-gnl|WGS:NBSK|LSAT_9X44400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSSFIRRLYLERELEAHQGCVNTIAWNSKGSLLIFGSDDAHVNLWSYESRKLLHSIDSGHRNNIFCTKFVPETSDELVASGVGDTEVEPGNPNVVWSASEDATLRKHDLREKWSNLAMIHTRILEIPNQQLDRYFNSFSRPLSELRIAEELEAATRAKGEFKNQESEGEIHPNDGFTEAK >cds-PLY79838.1 pep primary_assembly:Lsat_Salinas_v7:8:17238938:17241301:1 gene:gene-LSAT_8X12020 transcript:rna-gnl|WGS:NBSK|LSAT_8X12020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLNAVIFVAALLSSVISLVNALGGSATTVSVTYGGAXXXXXVAGQPSQRIQCWRNGTVFNAFYNISFESIAGGRDVFCGVRSGGSTLVCWDSSLIPKRIYYNETVLLRNLAIGDSQICAITNSSDQNVDCWRSNAAIPSQNHQFRSISSGLGFTCGVTNTSEIICFGSNSASASNIQGNFTNFRLLTIAVGGDHACGVNTTGFLICRGNNDYNQTNVPDHSPFEFSSLALGANHTCALRRLDNSVVCWGGRGGALDNDTARVSFETIVAGLDFTCGLTTNNFSVICWGEGWVRSNSNPLGFELPLKGILPGPCVQSDCICGIYPQSEQLCSAYGSICRPCDITTWAPPIPAPPPPPIPAPPANPPRSPRSKRLTRGLLAFAIVGSVGAVAGICTIIYCVWTGVCFGNKKIHNSVQPTITNTNPYAPRPSANNPISRSSTIRRQFSRGFRRQRSGTSSKQATDKEEEFTFADLALATNNFALENKIGAGSFGIVYRGKLLDGREVAIKRGETSDKIKKFQEKESAFDSELVFLSRLHHKHLVKLVGYCQEREERLLVYDYMKNGALYDHLHDKNNVEKSNSLLNSWKMRIRIALDAARGIEYLHNYAVPPIIHRDIKSSNILLDSNWVARVSDFGLSLMGPVSDREYRPTKAAGTVGYIDPEYYGLNVLTAKSDVYGLGVVLLELLTGRRAIFKSSEVNGGAPISLVDYAVPIIMAGELAKILDKRVGPPEVNEAEAVELMAYTAIHCVNAEGSYRPSMTDIVGNLERALGLCSDSHGSISSGSD >cds-PLY75999.1 pep primary_assembly:Lsat_Salinas_v7:5:318469695:318471584:1 gene:gene-LSAT_5X175321 transcript:rna-gnl|WGS:NBSK|LSAT_5X175321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSTTISFAFLSFTLWLMIFTSSAQLTPNFYSKSCPKVFDVVRSVIRSAVAKEKRMGGSLLRLHFHDCFVNGCDGSLLLDDTPSFTGEKTAAPNNNSVRGFNVIDDVKSKVEKVCPGVVSCADILAISALESVLALGGPSWKVKLGRRDSKSASLAAANSGVIPPPQSTLSNLINRFQAVGLSAKDMVALSGAHTIGRARCTAFRARIYNDTNIDASFAKSRQSNCPRPSGSGDNNLAPFDVTTQDKFDNKYYTNLISQKGLLRSDQQLHNGGSTDSLVEQYSKNPKSFSVAFAAAMINMGDIRPLTGSNGEIRKNCRKVNS >cds-PLY96162.1 pep primary_assembly:Lsat_Salinas_v7:3:99491465:99492337:-1 gene:gene-LSAT_3X74140 transcript:rna-gnl|WGS:NBSK|LSAT_3X74140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCWYVVSSSCKLLTTMTFRTTAHSESKNMGGSKYLKLRGVVSAQPISTLAPTRKKGGKKEGRKKIWCGCQVGEKKWGRREEEEKDSTCHCRVTVPISTCKVHWMVLNRVSNAEWLTRPLRIGL >cds-PLY77464.1 pep primary_assembly:Lsat_Salinas_v7:4:49211498:49212231:-1 gene:gene-LSAT_4X33221 transcript:rna-gnl|WGS:NBSK|LSAT_4X33221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVGVRILWSVAITLLLVSWKPMVFAVPSCGRVTSRLTSCLSFINGHEPTNSCCSSVKGLTAMGKTKNDRVAICNCVKQVMKRLNNYDPKRITLLPKKCHVGLKFPPINQDYDCKKVEVDMLMWREQGL >cds-PLY91193.1 pep primary_assembly:Lsat_Salinas_v7:4:124802948:124803286:1 gene:gene-LSAT_4X78681 transcript:rna-gnl|WGS:NBSK|LSAT_4X78681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLNESNNGGDGSPPEIESSTQIESVASPQQWCMFIVLEATEEETTKIPRSHKVYKLKVTKMENPVRGKVSGSFNGGPISIKFPMRARMEVRYSSGRSGGGGRGETNGFIKA >cds-PLY81412.1 pep primary_assembly:Lsat_Salinas_v7:9:95627561:95629187:1 gene:gene-LSAT_9X73020 transcript:rna-gnl|WGS:NBSK|LSAT_9X73020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSAREENVYMAKLSEQAERYEEMVQFMEKVSAAVTDSEELTIEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNADHVSVIKDYRSKIEKELSDICDGILKLLESRLVPSAASGDSKVFYLKMKGDYYRYLAEFKSGPERKEAAENTLTAYKAAQDIANSELASTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAIAELDTLGEDSYKDSTLIMQLLRDNLTLWTSDMQDEGGDEIKESGASKQSEGGKQ >cds-PLY78898.1 pep primary_assembly:Lsat_Salinas_v7:5:308861900:308862831:-1 gene:gene-LSAT_5X166460 transcript:rna-gnl|WGS:NBSK|LSAT_5X166460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRNTESMKQVLQLLKIAYEERDEAKDQLQKLLIKIMPTNDQQIFNPANPSIPNCFINDQIQHHQGPLMIPAKANSSITESNSLSDAYNHSSSPVDSFFDPIPSPEFSNINVETPFVQDYNVPKVDQATLMMERMIRGKSLPQKGNLLQTVLEAGPLLQTLLVAGPLPRWRNPPPLQAFHIPPMVVSSDHIPTTQKQDVGSPFKLLMKESQPYAEMGCGSSSQMMAVSGGGGGGSGGGVLSFGDVNFGSNFQGSMIGCPGASSFGTIGKRQRLH >cds-PLY86377.1 pep primary_assembly:Lsat_Salinas_v7:2:5710837:5712332:1 gene:gene-LSAT_2X2940 transcript:rna-gnl|WGS:NBSK|LSAT_2X2940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIKEFGPSWLMPMLRADYFATCRFHGDSNKSECNLFCLDCCGNAICPYCLIHHKNHHTVQIRRSSYHNVVRVNEIQRYLDVSCVQTYIINSAKIVFLNERPQARPGKGVTHHCEICGRSLVDAFRFCSLGCKLGGMKRGDSKLSFTLKMNHIHGYEFHGELLRGCVSSGEEEGTNNMSPGTPPIFNHRNSSRRKGVPHRAPF >cds-PLY99641.1 pep primary_assembly:Lsat_Salinas_v7:6:81730762:81732430:1 gene:gene-LSAT_6X57741 transcript:rna-gnl|WGS:NBSK|LSAT_6X57741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKVPRIKLGSQGLEVSAQGLGCMGMSAVYGPPKPEHDMINLIHNAIDAGVTLLDTSDVYGPNTNEILLGKALKGGKVRENMEVATKFGIKYENGNYEVYGDPAYVRAACEGSLKRLQIDCIDLYYQHRMDTRVPIEITMGEMKKLVEEGKIKYVGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEHEIVPTCRELGIGIVAYSPLGRGFLSLGPKMAENLAEGDFRQNLPRFQPENLENNKMLYERVSAIAVKKGCTPSQLALAWVHNQGNDVVPIPGTTKIENLRQNIGALSVKLTPEDMAELESIASADAAKGDRYMDGFPTYLNSDTPPLSSWKA >cds-PLY98695.1 pep primary_assembly:Lsat_Salinas_v7:1:45095096:45096155:-1 gene:gene-LSAT_1X39341 transcript:rna-gnl|WGS:NBSK|LSAT_1X39341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDQRLVDSSVSKISGRVLMATIIALFIVLILVFLLHIYAKWLWHRRQQGIDAHNNHQHSGVTSLRRGLDASFLKTIPVIQFEAKDFKDSLECSVCLSEVEEGEKARILPKCNHAFHAECIDMWFHSHITCPICRNPVQEKTQVSVESLPENHQTQEQSTNGGDSHGDETEVSTLTSQLEEANNQHQVPILPFEPHSSSSSSSSLSSQTNNDRGKPDLVIDIPRQVVSDDDVEKTPVFSGMRSLRRILSSSRRFNPFSPGDNNAEGGQS >cds-PLY71612.1 pep primary_assembly:Lsat_Salinas_v7:2:104300758:104301900:1 gene:gene-LSAT_2X45680 transcript:rna-gnl|WGS:NBSK|LSAT_2X45680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSTIFVKQLVFAVMMVCISLYGFRLGRAQTGISGTGIGYLPQPGGGGGVGGGFGNGGGGIGGSGGIGGGVLAKALVCLTDKIYRGCGESYRLTESGELNVPPEYTNQFCGGPCFKETNLVLNCMNDALSSFLFYNRATVRDVKDTILSGCSSGPSRGDFNVAEHIQAYGSNSYKFSYSFLLWLVSFISISIILT >cds-PLY75401.1 pep primary_assembly:Lsat_Salinas_v7:6:179264077:179269883:1 gene:gene-LSAT_6X109201 transcript:rna-gnl|WGS:NBSK|LSAT_6X109201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGADNAAGKPQQGFELAAGDFAPQGPSKCFDDDGRLKRSGNVWTASAHIITAVIGSGVLSLAWATAQLGWIAGPTVLFLFSFVTYYTSCLLAACYRSGDPVTGKRNYTYMEAVQSNLGGFQVKICGFIQYFNLVGVAIGYTIAASISMIAVKRSTCFHEKGHDNPCGVSGTPYMVMFGVVEIFLSQIPDFDQISWLSMVAAVMSFTYSTIGLGLGISKVAENRKIKGSLTGISIGTVTQTEKIWQSFQALGAIAFAYSYSLILIEIQDTLKAPPSEHKTMKKATLISVATTTIFYMFCGCFGYAAFGDSAPGNLLTGFGFYNPYWLLDIANAAIVIHLVGAYQVYCQPLFAFVENTAAKYFPESKFINNTIEIPIPIGGYQPYKLNFFRLFWRSIFVCLTTVIAMLMPFFNDVVGILGAFGFWPLTVYFPVEMYIVQKKIPKWSTRWISLQILSAACLIISICAAAGSFAGVVHDLKIYQPFKTMY >cds-PLY95497.1 pep primary_assembly:Lsat_Salinas_v7:6:14636439:14637346:-1 gene:gene-LSAT_6X10560 transcript:rna-gnl|WGS:NBSK|LSAT_6X10560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKAVGFCAVVAVLGIIAAAAGFAGEITRVKASEVYILGNACVYPSSPALALGIVAAVFTIIARVYISVTFGGCSCFRNDPNSTPISKLLGVLSWVASVIAVILLLAAAGLNNREGGQVDSYGYFTCYVVKPGIFAAGAILSLLSAAFGIAAYVTLVPAATQTTVNPAVAFPVGPNVDPEKGGVPFPPQQYPPQQYPQQQY >cds-PLY80216.1 pep primary_assembly:Lsat_Salinas_v7:9:191845717:191847512:-1 gene:gene-LSAT_9X118301 transcript:rna-gnl|WGS:NBSK|LSAT_9X118301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSSIQIILLFTLLSRANLSNCMNLTIINNCRETIWPGITATNTTLPGGGFVLKPGETVIYAAPPGWGGRIWGRTGCNFDKNGNGTCQTGSCGTTLTCTTPGTPPASIAEFTLGDTAFYDVSLVDGFNLPLTVTPIEGKGECSIAGCDTDLRVNCPPELSFRLDGKTVACRSACNVFDSDEFCCKGMYSNPVTCVPTNYSKIFKTACPVAYSYAYDDPTSIITCSTTDFVVSFCSPRNQTQQCSYHDNKLVCNGSTRSKSTPYIWLFFMFFLPIVIQIRIIS >cds-PLY73982.1 pep primary_assembly:Lsat_Salinas_v7:8:62642913:62646094:1 gene:gene-LSAT_8X44380 transcript:rna-gnl|WGS:NBSK|LSAT_8X44380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSANNETTSRNSEDSNPMQMLLVNSMIKIKNCFREITKPCHSDAKGVEEYPEKVGAPQEQKIFTFQTLISATNNFEHKLGQGGFGPVFKGKLDDGREIAVKKLSQTSKQGKREFTNEAKLLARIQHRNVVSLLGYCAFPEKLLVYEYVANESLDKLLFKSAKRDVLDWKRRYSIINGVAQGLLYLHEDSHDRIIHRDIKASNILLNEKWRPKIADFGMARLYPEDKTHVNTRVAGTNGYLAPEYVMHGNLSIKADVYSFGVVVLELISGQKNSTFNLDPECQNLLDWAYKLYEKGKGMEIMDSTLATSADPDQVATCTRIGLLCTQSDPKLRPTMRHVVVMLSKKSGVLDEPTKPGYHGTMDRRPQRYSASSSSTATNSRSSKSTTTTTTTAAAGAASTWGNVASTSNPTQTDSRFVNSVLDPYGKRPMEG >cds-PLY77163.1 pep primary_assembly:Lsat_Salinas_v7:8:26265490:26265756:1 gene:gene-LSAT_8X19160 transcript:rna-gnl|WGS:NBSK|LSAT_8X19160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFCNLQSLEIMPVRPNNTTFMAKAMNVDNPHRETLHKFFECWICNQNSYLEELVSVSNNHNLTENDDVVLIPLTERVVRHYEQYYQAK >cds-PLY87874.1 pep primary_assembly:Lsat_Salinas_v7:3:44265766:44267338:1 gene:gene-LSAT_0X9040 transcript:rna-gnl|WGS:NBSK|LSAT_0X9040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLLSSSIFILVLTLSFSVSWAAISSAHGVTVTPRSEDFIHCIQSNSNNVTSISKLIFTSVNASFLPIWQVAVENTKFLKLSTPKPSVIVTPVDETLIQTALYCAKKHGYEIRIRSGGHDAEGRSYTANVPFVMIDLTNMRSVDMDLAKKTVWAQGGATLGELYYSIIQKSKTLFFPAGLCASVGVGGHMGGGGYGNLMRKYGTASDNVVDARFMDVNGNIFNRKSMGEDLFWAIRGGGASSFGIVLAWKLKLVRVPKKVTVFMLSKTLEEGATELFHKYQYVAPTIDKNLHIRTSWVLHGRPSSTPIEIVTNRSGIPKVNSKSKIDFVRTPIPKSGLRKIWRKIMESDGSELLGSNPFGGKMAEYSETAIPYPHRAGVLYQLFKNVNFYNQPSDTTTIALKRIAWLDSLEKLLTPYVSKNPREAYSNYADLDLGVGNATYEEASVWGERYWKRRNFKKLIQIKAKVDPQNFLRYPQSIPVF >cds-PLY82418.1 pep primary_assembly:Lsat_Salinas_v7:2:188139695:188142461:-1 gene:gene-LSAT_2X109801 transcript:rna-gnl|WGS:NBSK|LSAT_2X109801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANSTPTDSSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGSVAHVPPPRPKRKATHPYPQKAPKNVLLPLQASLGYASSLSGLASGYSPWDHDTSLLMSPSGRILPPQDEIEYDLHGYEGVHASDTGSKGTGRSSGIGSSNREMPSSEQGSVLYGTPDFSEVYSFIGSVFDPESKGHVQKLKEMDPINFETVLLLMRNLTVNLSSPDFEPMRKVLSSYDVNSKSIGVSACNVYQESEP >cds-PLY76980.1 pep primary_assembly:Lsat_Salinas_v7:6:64367835:64389419:1 gene:gene-LSAT_6X48141 transcript:rna-gnl|WGS:NBSK|LSAT_6X48141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFRFKFPPPLPPSSSISLRNLFIFFVCIIMSQKSNAQTPRTDPSEVRALNSIFQQWDVQIPMGQWNISGEPCSGTALGSDFDNENRIAAIQCNCTFDSNTTCHITKLKVSQLNRQGVIPEELAALTYLAVLKIDQNAFTGTLPPFLGNFSAMVVLSLAHNQFSGPIPKELGNLKELNMLAISSNNFSGSLPPELGNLVNLQQFYLDSCGAGGEIPSTFANLQNMIVMWASDSPFSGKIPAFIGNWTKLVSLRLQGNNFEGPIPASFSNLTLLTSLRISDLQNFSSSLDFITNLRNLTDLIIRNALVSGRIPTDINRLQNLETLDLSFNNLSGPLPRTLMNMSALTSLFLGNNSLSGSLLPDKSPNLQNIDLSYNELSGTFPQWALPSWAMRDLQLNLVVNNFKFDSTNISYFPGLNCLQRDFPCNRNTTTPYTSFAIKCGGSGVRSNNIEFDTENSTTLGPASYDFKEKWAVSNGGVVIDRINNDPSFIQTTSIRVNNTRYPELFTTSRKSPGSLRYYGLGLQNGPYTITLFFAETVFNLSTSVWKGHPRRLFDIYIQGQREQRDFDISKEAGGTGRALEKNYDVSVTQNHVEIHLFWAGKGTCCIPEEGDYGPIISAIRVTPGFKVKGNSSNNTGMIIGIIAGVGSVSLVFLIFGLLYLKRRKSKDMEEEEILGMGPKINTYTYAELKTATSDFSSSNLLGEGGFGPVYKGILSDGKIVAVKQLSVASHHGRTQFITEISTISSVQHWNLVKLHGCCIEGARRLLVYEYLENKSLDQALFGKRNVQIDWATRFNICLGTAKGLAYLHEESRPRIVHRDVKASNILLDADLSPKISDFGLAKLYDDKRTHMSTRVAGTIGYLAPEYAMRGHLTSKADVFGFGVVCLEIVSGRPNYEDKLDSEQKYLLQWAWSLYESNRSLELVDPSLTSFNEQEATRMIGIALMCVQASPSLRPAMSRVIAMLSGDIEISQVTTKPSYLTDWDFNDTTNTFYDEETPSLSFETATVTTNTTSTGIDSMSSPIISELINNGSLREGR >cds-PLY90931.1 pep primary_assembly:Lsat_Salinas_v7:MU039545.1:15257:18521:1 gene:gene-LSAT_0X40461 transcript:rna-gnl|WGS:NBSK|LSAT_0X40461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQPQRKKRSVTLIRGNPRNKVDLEIEFDELGQNIGATQSQFSNYCGVMVRTRISILILRWDEVPKAEVDELWLNIKKHWNIEDDSHKKQVLKICNQAWKAYKSRLVTEYLKKGRNPVPEYPYLDEPTWNAFVQLKTSPSFQEISSKATETARLNKYPPRIGPRGYRGMKPQWEKEMESGNSTEFHNIRSERARNFILARLKRDPTGMYSLPTNLYPLASDLIEKDTQLSHGDWFPGPGEDVLTEVLGPEHPGRTRAVGHNVGLRQSMPRVDKKKRKSHDKNTFEDMKEKMRIEVEAMMEAKMEAKIEEMNAQIEEMKTYMHRFLSKNEGRHITSPVLKKNSVVSTVSDELDGIKTPTACELMIPYGAMNQKCAKGMVFPYGNGQIHSVPLNANHLKVSIDKIYDQYDCIPLPVSTEEASKLYDVLHGIVQWPRNAIKIIQPSQIKLNVEQDKRCSSLPVATDPQPRITKSVPAISKGVVKKTKPAKESLIPHTSNNQVELEKMPLVMRRMYKRLMNREAPGDAIYVEAEPGILGAQKIETYIYPEEILRLLNKQWLDISVITWFQIMLHSMLETHGGNKVNKCAFISPSEIQATICESNGEGVVSYIVDAMRVHEDKEFFVAPYWQGLHWTLLVICPNKGTGYILDSLKNSNEKAVENYIVVKYVEEAVASLKEDIETTHPMNWTLVECNQQPSGWECGFYVMRWMFEFVLTRQYEFPNKSNWNDKNPFPDWVLNEIIVMWSSRFESKYLSQVPL >cds-PLY93192.1 pep primary_assembly:Lsat_Salinas_v7:8:109599562:109600953:-1 gene:gene-LSAT_8X74860 transcript:rna-gnl|WGS:NBSK|LSAT_8X74860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRHKTVSLKNLLHLHHINNRRVFMYIQIRQSCRMVVPPYYNLAVASGHAPPPYMWGPPQITKSAYDATLCCFLSAWRCLCTSCSSSCKNDGKEAKKGRLFFLSNWKIPTDLTRKRKKQKNDVVNLKEQSMLVSNANENATSLTEKGSGPLRLSAPAIHIGGCDAISGVLVTSSSALDLINKYKKIKNK >cds-PLY80462.1 pep primary_assembly:Lsat_Salinas_v7:2:135967787:135968155:-1 gene:gene-LSAT_2X65081 transcript:rna-gnl|WGS:NBSK|LSAT_2X65081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDSNPEALGLYMAESLNKYRILYCHMVEPRWKVEGENVETPHSLALMRKAFNGTFISAGGYEMEDGNTAVAENRTDLVAYGRLFWRIRICRNGFSLRLRLISTIRRHFIRLILLLTFYT >cds-PLY82126.1 pep primary_assembly:Lsat_Salinas_v7:1:14490490:14491783:1 gene:gene-LSAT_1X12940 transcript:rna-gnl|WGS:NBSK|LSAT_1X12940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat domain-containing protein PYG7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G22700) UniProtKB/Swiss-Prot;Acc:B9DHG0] MRCVSLAVNGRRTIQESASGKDARNSKKDLISMAVFSSGSMSLLTWSNSAAASEYEKMNPVYEVGELFELGIQLSYLLLLLGLLGVGTFFVIRQVLVRRELDLSAKELQEQVRSGDASATELFELGAVMLRRKFYPAATKYLNQAIDKWDGDDQDLAQVYNALGVSYVRDGKTEKGISQLETAVKIQPGYVTAWNNLGDAYEKIKEYKSALKAFEEALLFDPNNKIARPRRDQLKEKVQLYKGVVPLKSKQR >cds-PLY89029.1 pep primary_assembly:Lsat_Salinas_v7:6:98860461:98861660:1 gene:gene-LSAT_6X64620 transcript:rna-gnl|WGS:NBSK|LSAT_6X64620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTSHHLTVPPGLTSEDFLQLKSAVTEFHTYDLSSAQCSSLLAQYIHAPVDVVWSVIRRFDKPQIYKHFIKSCTMEEGSKMVEGCTRDVIVISGLPAATSTERLDLLDDERHVMAFTIIGGEHRLRNYRAVTTLHEIKAEGSLPSKTIVLESYVVDVPEGNTEEDTRFFADTVVKLNLQKMASVTEAIARGGGDAXXXXXESLTLPLLRNTQLKKNKKKKRQRILHFTLC >cds-PLY69254.1 pep primary_assembly:Lsat_Salinas_v7:2:128567875:128569387:-1 gene:gene-LSAT_2X60281 transcript:rna-gnl|WGS:NBSK|LSAT_2X60281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRAEVAIIATPSMGNLIPAVEFATHLINHHPSHISVVILAISMPQRPILDDYIQSRIANKQIRFIQLHHVDSPPPDQYSSGIEFISGYIENHKPIIKQTLNHLQTTVSDSEPVPLVGLFIDMFCTSMIDVANDLNIPCYLFFSSPAASLGFMLHLTTLPATESFVTELAVPSYANAIPSNIFPSFCIKKEEFGYSTFVRHTLRYKETRGIIVNTFQELEPYALDSFSSNYMDLPPIYPVGPIIDHVGPAKWHSNRSGHEKTIEWLDRQPESSVVFLCFGSMGTLTPVQVREIAKGLERAGYRFLWALREPAKAKLKLPNDYENLDESLFPDGFIDRTAEIGLVCGWVPQVSVLAHKSIGGFVSHCGWNSILESISYGVPIATWPLYGEQQLNAFEMVRELGLGVEIRLDSRDKGGDLVLAEDVERGVRELMDGGDGELRKKVKEMSEKSNKALMENGSSFKALGDLIDVLLSNI >cds-PLY94688.1 pep primary_assembly:Lsat_Salinas_v7:6:19700767:19702635:-1 gene:gene-LSAT_6X13640 transcript:rna-gnl|WGS:NBSK|LSAT_6X13640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGGPLRSKLRSSVSSFLHHDIIRIPILKHFISSYSSCPTPTSPLAFNHTTNTIYNTCHCQHQLHTCLRPRHYHDGRPRGSLWRGKKMIGKEALFVILGIKRVKDDEEKLDKFVKTHVSRLLKMDMVAVLNELERQEEVDLAVKMFWVIQKQDWYQPDVYLYKDLIISLSKSKKMDEAMKLWESMKKENLYPDSQTYTEVIRGFLRFGSPGDAMNIYEDMKQSPDPPDELPFRILLKGLLPHPLLRNKVKQDFEEIFPDQSIYDPPEEIFGLR >cds-PLY77910.1 pep primary_assembly:Lsat_Salinas_v7:1:22639316:22641618:1 gene:gene-LSAT_1X19180 transcript:rna-gnl|WGS:NBSK|LSAT_1X19180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMFHFFNCAILTFGPHAVYYSATPLSEYDTLGTSVKTAVVYLGTALVKLVCLATFLKVSESDSFDPSQELLKALIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGLEFTWDYILQGLEANANLMLSLSLAALGSLMWLRKNKPKTLIPIIYACAGIVATMPSITSYLRWGLKWHLPEVVGFQVLTSLTMALISWQLFSACQRPSSSA >cds-PLY91432.1 pep primary_assembly:Lsat_Salinas_v7:7:133267851:133270026:-1 gene:gene-LSAT_7X80360 transcript:rna-gnl|WGS:NBSK|LSAT_7X80360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILLFELIFGFSNAQLQVGFYNEVCPEAESIVTGFVKDAANSNPQIPAIMLRLHFHDCFVEGCDGSILIDNGQDSERFAFGHQGVQGYDVVENAKAKLESVCPGVVSCADIVSMAARDGVAFSGGPSYQVETGRKDGLVSNINLADRMPDVKDSIQLLKQKFIEKGLNDKDLVVLSAAHTIGTTACFFMEDRLYNFANTGGPDPRINPSFLPELTHTCPKNGDIMVRLPMDHGSGETFDNQILQNIRSGFAVLESDAKLMDDPTTKGIVDSYFGALNPVTFEEDFVNSIVRMGRIGTKDDSNGNIRRVCKAFN >cds-PLY81405.1 pep primary_assembly:Lsat_Salinas_v7:8:31928881:31931449:1 gene:gene-LSAT_8X24781 transcript:rna-gnl|WGS:NBSK|LSAT_8X24781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDWSKYSHSPAHLAVVRGDHTALKNIISILPRLAKAGDVTTEAESIAAESDADAVSAVIDRRDVPFRETPLHLAVRLKDPISAEILMAAGADWSLQNENGWSALQEAVCNREENIAMIIARHYQPLAWAKWCRRLPRITSSASRIRDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFMFLGDGFCSEDGKVSLPPGSLIVLSHKEKEITNALEGAGAQPSESEVAHEVALMSQTNMYRPGIDVTQAELVPHLNWRRQERTEVVGPWKSKVFDMLHVMVSVKSRRVPGAMTDEELFSTNDNERVTGNDEYDDVLTPEEKMQLDSALKGGNPDGICDDEEHENGHTGSSGNTESNGVTKDKKGWFGWNKKGSKNGGGGDDDHEDSKILKKFSKLNDSQQKSSSEDNGEGKKGKDKSGKKKKKKGSVTEGKHESEYKKGLRPVLWLTPDFPLKTDELLPLLDILANKVKAIRRLRELLTTKLPQGMFPVKIAIPIVPTIRVLVTFTKFEELQPPSEEFSTPLSSPAHFQDANKSKEAEGSGSWISWMKGGGQSSDNEASSSFRDETDPFNIPSDYMWVDANEKKRRMKAKKAKIKKHNKKQQSAARNLENGNGGERRVNEELE >cds-PLY78760.1 pep primary_assembly:Lsat_Salinas_v7:9:47299294:47303132:-1 gene:gene-LSAT_9X44560 transcript:rna-gnl|WGS:NBSK|LSAT_9X44560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPTPAAHHALSIDISDLQQKQSSEQEFHLKNQSSKWVLNSPDPPGFGQHMFDMIKKNVCPQRNNTCTPSSASLSPKKQPLSSHVISLLTFVFPILDWGRNYKASKFKNDLIAGLTLASLSIPQSIGYAALANLDPQYGLYGSVVPPLIYSVMGTSRELAIGPVAVVSLLISSMISKLVDPTVDPVSYRKLVFTTTFFAGCFQALFGLLRLGFLIDFLSHAAIVGFMAGAAIVIGLQQLKSLLGISHFTTKTDVVSVLEAVAKSLHTTWYPLNFVLGCSFLIFILITRFIGKRNKKLFWLPAISPVISVILSTLIVYLTRADEHGIKIIKHFKGGLNPSSVNQLEFNGVHLGETAKIGFICAIIALTEAVAVGRSFASINGYNLDGNREMLAMGCMNIAGSMTSCYVATGSFSRTAVNFSAGCQSTVSNIVMAITVFISLQLLTKLLYYTPLAILASIILSALPGLIDYNEAYHIWKVDKMDFLACAGAFFGVLFESVEIGLLVAVGVSFGRLILNSIRPGMEELGRLPGTDIFCDKAQYPGVLDVQGVFIIRLNSGSFCFANASPIKERITRLATKENEKEEGNKPTNGIILDMSSVMSIDSSGIIALEEIHKKLLLRNIHLAITNPRWKVIHKLKLAGFVDKVGTDCIFLTVNEAVDACLSSRSMDNCL >cds-PLY99287.1 pep primary_assembly:Lsat_Salinas_v7:3:93425824:93428591:1 gene:gene-LSAT_3X70120 transcript:rna-gnl|WGS:NBSK|LSAT_3X70120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSSNFLFFSLGLLIIILSPIQNFASASLEEANTLLKWKANLQIPNNSLVSSWIPLLLNSSAFVPCTSWFGVVCNADGSIRRLNLNSCGLKGTLHQFSFFLLHNLTHFDLSMNNFFGPIPPQIRLLSKLVYIDFSRNKFSGVIPPEIGNFHQLTSLYLYSNNISGPIPIEIGNLKSLVDLWVSSNQLSGSIPSSLGDLTSLNILSLYENQLSGPIPIELGNFKFLVLLAVEYNQITGSIPSSLGNLEFGSFRDLLQLDLSTNRLNGSIPKSIGNWAHIHWLNLSNNMLSEKIPSEIGKLVQLTKPDLSQNFLMEQIPSEVQGLQNLQILDFSGNRLSGSIPYAFASLPRGIDINLSYNELSGPVPPCANFVNASLQGNPGLCGNVTGMKLCESKSIKKKNDSFHDMVILVIIFTLIGAIVLCLFTYGLIAYRKQKKMSSLKSLDEKSGDYFTITSFNGKLAYNDILKVTNDFDDAYCIGTGGYGTVYKAELQPTNVVAVKKLHVSSDNVDHNSFLNEVHALTNIRHRNIVKLYGYCSHACHSFLIYEYLEKGSLGSILRSDVLAKELDWLKRINIVRAIANGLAYMHHECSPPIIHRDISIANILLNSDYEAHISDFGTSKLLKLDSSKSTTIAGTYGYIAPELAYTMVATEKCDVFSYGIVAIEVIMGKHPGELPTFSVDDVLLENVVDNRIPLPSPQVEKQLKSVLNLLRACLNSNPHERPTMHQVSKLLMKAS >cds-PLY77015.1 pep primary_assembly:Lsat_Salinas_v7:6:67491728:67493400:-1 gene:gene-LSAT_6X48420 transcript:rna-gnl|WGS:NBSK|LSAT_6X48420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSPELHLNNLRAIKVLGNGAMGTVFLAHNLLTDPSAHCPFALKVVEKCSPEAKQDADRRARWEVSVLELLNRKPHPFLPSLIGSFETEDILAWAIPFCSGGDLNVLRHRQIDRVFSPAVIRFYLAEIVCALQHLHSMGIVYRDLKPENILIQHSGHVTLTDFDLSRVLAPKSLKSLILSSEVPEIQPEVNKHHRKLPSFFPVMGREKTNEKTNVKLKKAKSARVSPMTRRNGSFSNGERSNSFVGTEEYVAPEVVRGDGHEFAVDWWALGILSYEMLYGTTPFRGNNRKDTFGRILMMPPKFTGKPTPLTDLITKLLSKEPTRRIGYTRGACEIQEHPFFGGLRWDLLTEVLRPPFLPSRDESDLTCNPDGINITEYFQKLRQLPSPLESPSRDDCGYNVSLTEF >cds-PLY85794.1 pep primary_assembly:Lsat_Salinas_v7:4:81387948:81388364:1 gene:gene-LSAT_4X54081 transcript:rna-gnl|WGS:NBSK|LSAT_4X54081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTASSFTKKTKDVIDPRTNKTMVNVMWSPTKQAKRIPLPKHLPEDFLDSMQLWVYDEATASVVIKLKKIQYRIVDPKYLLKFCERDIRTLSKFQVIFKNEFFEAVTKAFTRMVATIIEKELWVGAFDQADVHLVEKP >cds-PLY91790.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1623556:1625279:-1 gene:gene-LSAT_0X300 transcript:rna-gnl|WGS:NBSK|LSAT_0X300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQSYFHYAQPEFSPESCFLSPEYSSPPLQSHYSHYSDHHFLPFNENDSQDMFLFEVITDSTGEIHSPPEQVIDQEEKEWSYRGVRRRPWGKYAAEIRDSTRNGVRVWLGTFDTAEEAALAYDQAAFALRGSAAVLNFTEEVAYESLREIGYVYEEGSSPVLALKRMHSMKRKWELKKKKREEVKDEDVVVFEDLGSDYLEQLLGFEENCNTSKNW >cds-PLY67275.1 pep primary_assembly:Lsat_Salinas_v7:5:135166626:135167027:1 gene:gene-LSAT_5X58421 transcript:rna-gnl|WGS:NBSK|LSAT_5X58421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNLRQQQRNSIVTFFRSRRFWACLPGIFGMWARSCCKTAGPERRGEAGQGIKEFDTEIVMLGRLRHPNLMSLVGYCQHEDERLMVYEYMWGGCLGEHIFCIIILFLFC >cds-PLY97192.1 pep primary_assembly:Lsat_Salinas_v7:3:245392762:245393167:-1 gene:gene-LSAT_3X135820 transcript:rna-gnl|WGS:NBSK|LSAT_3X135820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVIKSTHKETFKKDTITNMQRAYSIIRSTRLIAKKSQGAGVTSEVALGKPLQERHVVIVLGESDEDLKTVDFVNPKKQTANINFKGEDGEQQDSLIEVM >cds-PLY95771.1 pep primary_assembly:Lsat_Salinas_v7:3:27245100:27248618:1 gene:gene-LSAT_3X20980 transcript:rna-gnl|WGS:NBSK|LSAT_3X20980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21300 [Source:Projected from Arabidopsis thaliana (AT4G21300) UniProtKB/Swiss-Prot;Acc:Q9STE1] MYQKNLFSFHRRFSQIASSINTNTSIEFFPNTKEDLISKLASVLQSCSTPNPGPQVVQQGQQLHAQIIVNGINHVGLLGSRLLGMYILCGKYNDAKTIFHQLDLFYASPWNWMIRGFTMMGCFDYAILVYFKMLGHETCPDKYTFPYVIKACGRLGAIRLAKSIHKTIQMMGFETDVYVGSSLIKLYAENGCINDAQDLFDKLPQRDDVLWNVILNGYLKHGDPKHVLLLFNQMRSSNVRPGSVTYACVLSACASDANIKLGTQLHGLLTKCGFVLDPQVLNTLIGVYTKCQRLFDARELFDSIQEAGSVTWNVIIGGYVQNGLMNEAFSLFCEMISIGMKPDTVTLASFLPCITESTAIDHGKEIHCYIFRHNVHLDVFLKNTLIDMYFKCRKVEMAKNVFTYTPGIDIVICTAMISGYVLNGMNFDALEMFRWLINHKMKPNPVTLSSTLPACAGLAALKSGKELHGQIVKNGVERCHVGTAVIDMYAKCGRIDLAHEVFVRMSEKDPVCWNSMITSFCQNGEPEKAIDLFREMGSKYDSVTISAVLSACANIPLLSYGKAVHGFMTRGVLKTDLYAESALIDMYAKCGNLETARTVFDIMEKKSEVSWNSIISAYGNHGRLQECVSLFRQMEESGFLPDHVTFLAVISACDHSGLVDDGFRYFKSMTEDYGITPGKEHYACIIDMYGRAGRLSEAFEAIKGMPFDPDAGVWGSLLGACRVHGDVELAEMASRHLFEMDPGNSGYYVLLANVQADAGKWEGVNKTRSMMKDRGVEKVPGYSWIEVNNETFVFGAADKGNTRSDEIYMLLKNFLLVIKDEGHVTTLQKHHNMIEFY >cds-PLY64267.1 pep primary_assembly:Lsat_Salinas_v7:7:2706996:2707247:-1 gene:gene-LSAT_7X1581 transcript:rna-gnl|WGS:NBSK|LSAT_7X1581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRCHGRQPKYCLHLRRKVYAMKCSTNTFVGKSLLYSTCDYILSITNTDMTESILQKSIIVSFALGSLKSCSWENSNNSYA >cds-PLY68992.1 pep primary_assembly:Lsat_Salinas_v7:9:138735286:138745088:1 gene:gene-LSAT_9X89621 transcript:rna-gnl|WGS:NBSK|LSAT_9X89621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PA200 [Source:Projected from Arabidopsis thaliana (AT3G13330) UniProtKB/TrEMBL;Acc:A0A178VC52] MHRYNAWLPPPVADATKKEKESFAGVVSSVKESYTKGDPESVYSTLKWISVIDLFVKAKSEVSLEDVSTLVEFGLQVFHSSQDKLYAQVRWGNVLVSLLNKFRKKLTLKVDWRPFYDTMIQTHFTRNTGPEGWRIRQRHFETVTSLVRSCRRFFPPNSAQEIWSEFKSLLENPWHNSAFEGSGFVRLFLPTNIDNKDFYSREWINACILQWDSIPNCQFWNSQWAAIIARVIKNYKSKDWEDLLPEIFSRFLNMFEVPVASGGGSYPFSIDVPRNTRFLFSNRSHTPSKAIAKSIVYLLRPGSLAQQHFEKLVNLLEQYYHPSNGGRWTYSLERFLFHLVYTFQKRLQREKENPDSSEQIEFCLGQPERESFVTTVLKLIDRGQYSKNEHLSETVAAATSILSYVEPSLVLPFLVSRFHMALETMTATHQLKTAVTSVAFSGRSLFLMSLSSSSMETDDVNDADMFKDLLMISLTNALLGMDANDPPKTLATMQLIGSIFSNMATLDDDTDNLSFMPAERFSEWLDEFLCRLFSLLQHLEPSSVLNEDAHSPATSGTFLVEDGPQYFCMLEILFGRLSRSLYNQAIKKVSKFLKTNILPGAIAEVGLLCCACIHSNPELAISHLVEPLLSSVISSLKGIPLTGFGGSGNFTSQSLNKERATLSPALETSIDYQLKVLSIAISYGGPSLLHYKDQFKETIHSAFESPSWKVNIAGDQVLRSLLGSFVLYYPVDQYKSVSANSSLTPLEEWISNKDFSNTEGFTGPKWHIPSEEEIQFANELLNLHLESALDELLKICQDKIHSDPGNEKDHLKVTLLRIDSSLQGVLSCLPDFIPSFKNGKVENPPFLIAGATGSSVGSIKLREKAANIVHVASKYLLEKKSDDSILLLLLIRIIEALGNYGSSEYEEWTNHRQAWKLESVAIIEPPVNFIMPSRSKGKKRPRWALIDKAYMHNTWRTSQSSYHLFRTIKNISPSESVNLLLDDLLNLSVHSYDTVRTLAGRSLVKMIKRWPSLIAKCVHTLTENLRNANTPEYMVLGSCAVLGTQTVLKHLTLEPKSFSSFLLGILLRQVSHHESLKSQKAINELFVKYNIYFSGISRNVFWKSENNSGTDFAALVSEISSMSFESTNLHWRYNLMANRVLLLLAMSSRNEPSLSSKILSEAAGHFLKNLKSQLPQTRILAISALNMLLKESPYKLSHEEQSDLQENTKSSLEGTLSNIFQEEGFFTETFDSLSNVHIISDTENSSSRSGHGNSSFQSLADKSITRFYFDFSSSWPRTPSWISFFGSDTFYSSFARIFKRLVQECGVPVLHSLKSALEEFVNAKERSKQCVAAEAFAGLLHADVIGLVEAWDSWMNVQLQSILLAPSVESIPEWAACIRYAVTGKGKYGTRVPLLRQRILDCLIQPLPQTVTTTVVAKRYSFLSAALIEVSPPRMPLSEVELHHKLLQELLDRMSHPTAHVREAIAIALSVLCSNIRLHASFNVDYYEKGNMGNGKHGDSWDQVLQKRATELVVSIQNANPSDNLEKLSETNTLSDSNSPDDVQWMETLFHFVISLMKSGRSAFLLDVLVGFLYPVISLQETSNKDLSILAKAAFELLKWRIFPDAHLRKAVSILLSSAEDPNWRTRSATLTFLRSFMYRHTFILSNDEKQKIWKTVEKLLTDNQVEASFVREHAAAVLAGLMKGEDGELSKNFRERAYNEATKLQKRRKQRSSSSGPSIASVHGCVLALVACVLSVPYDMPSWLPEHATLLARFVGESSPVKSTVTKAVAEFRRTHADTWSVQKDSFTEEQLEVLADTSSSSSYFA >cds-PLY75706.1 pep primary_assembly:Lsat_Salinas_v7:8:195711259:195712175:1 gene:gene-LSAT_8X125940 transcript:rna-gnl|WGS:NBSK|LSAT_8X125940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANPKVFFDMSVGGSPVGRIVMELFADTTPRTAENFRALCTGEKGKGTSGKPLHFKGSSFHRVIPNFMCQGGDFTRGNGTGGESIYGNKFADENFIKKHTGPGILSMANAGPNTNGSQFFICTAKTEWLDGKHVVFGKVVEGMDVVKAIEKVGSGSGSTSKPVVIADCGQL >cds-PLY79228.1 pep primary_assembly:Lsat_Salinas_v7:5:300581078:300582469:-1 gene:gene-LSAT_5X162100 transcript:rna-gnl|WGS:NBSK|LSAT_5X162100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEVVVLVVPFVAQGHLNQLLHLSRLLSSYNIPIHIVGTTTHNRQAKLRIHGWDPTSAINIHFHEFETPNFESPLPDPNASDKFPSHLLPLFHLPSTLREPFAKLLADIAPTTRRVIIIHDYLMSTVVQDVVSYENAEAYVFHYASAFTTFSYFWEGKGKPCLDDDDESYKQLTKVSAFDGSIPAEFIESMISHEVCNTFNSGNLHDTCNVFDRKYIDFLAKEGLSGSTKQWAMGPFNPVAISDKENLGKRHISLEWLNKQAKDSVIYVSFGTTTTLSDDEIRELANGLENSQHKFIWVLRDADKVDIFDGKVRRVELPKGFEERLGEQGLVVREWAPQLEILAHPATGGFMSHCGWNSSMESITMGVPIAAWPMHSDQPRNAMLVTEVLKTGISVMDWEHRGELVTSSTIETSIRKLMASDEGDAMRKRAAKLGDDVRRSVEKGGVTHMEIDSFISHITR >cds-PLY81523.1 pep primary_assembly:Lsat_Salinas_v7:2:126850572:126859096:1 gene:gene-LSAT_2X59260 transcript:rna-gnl|WGS:NBSK|LSAT_2X59260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISSTFNFSSTAIWKTLAFSTLIIIVLVIFSNQPNSLQTDIIHVATNQSFLPLNTTNVSHLVFGLVGSTKAWRYRKPYIESWWRPNTTRGYLYLDRTPTDDLLPWSQYSPPFRISDDNSKLLEETKHVAPIMVRMVHAVIEVFREEREGVRWYVMGDDDSIFFVDNLVDELSKYDHTKYIYIGGHSESIISNQIYSYDMGFGGAGLILSYPLAKMVQQNIEDCFRRYPYLNSADLILMTCVNDFGVSVTPHQGLHQMDIRGDASGFLSAHPRVPLLSLHHFDHIDPIFHSMDRFESTNHLMKAANVDQPRLIQQTICYNRNLNWSFSVSWGYSVHIYEKLIPRSILKIPLETFKPWILKAKPPLYIFNTRTLSNDPCATPHVFSFESIKNINDYESEIITSYTRFGSRGLPTCGFANNHSVDSVSRIDVVSPNRKPNQNGKTECCDVLEIDGMGVAKLNLRDCMNDELIA >cds-PLY68680.1 pep primary_assembly:Lsat_Salinas_v7:7:85969970:85972070:1 gene:gene-LSAT_7X58700 transcript:rna-gnl|WGS:NBSK|LSAT_7X58700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERVSESTSDNLGNACLTCFFVTGAWDHSKLHQSLKPYQNVEKDESMKSNPNLYFNSATVNTYLENYERALRGFEAAASKNPCLNATEEVQKMVNLFNKLDTLLKASLSNLLLLLLSFEKAIGKKPLGIIFYRDGVSDGQLMSRKQLL >cds-PLY92438.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:227899:230731:1 gene:gene-LSAT_0X14680 transcript:rna-gnl|WGS:NBSK|LSAT_0X14680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSIVFSSVILALLLTFSHKFLTGDGDFTLLSKGKVKRESFQDKVVWITGASRGIGEVLAKQLATLGAKLIISARNEPELERVKNQISALNLGKHGPEGVEILPLDLSSGEDAIREVVEKAISLFGGAGVDYVIHNAAFERPKSTALDVPEASLKATLDVNVVGPISLTRLLLPHMLKKGKGHFVVMSSAAGKAPAPGQAVYSASKFALNGYFHSLRSEFYQKGIMVTVVCPGPIETSNAPATSTSSKEKRVSSERCAELVLVATSHGLKEAWISYQPVLAVMYLVQYMPSVGFWLMDKIGAKRVETAAKKGNTYSMNLLFGRKKE >cds-PLY90883.1 pep primary_assembly:Lsat_Salinas_v7:1:57434668:57436687:-1 gene:gene-LSAT_1X48120 transcript:rna-gnl|WGS:NBSK|LSAT_1X48120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVKRKISAASARSHTRNSKSNSSSSFPSGFFILLVFIIGFLAWAYQSACPPEPIKLGSPDGLPITTPRIKLRDGRHLSYKEYGVPKEVAKYKIIYVHGFDSVKHYAVIATSASPDLIQDLGVYIVSFDRPGYGESDPDPKRTLKSLALDIEELGDQLGLGSKFYVVGFSMGGQVIWSCLKYIPHRLAGATLIAPVVNYWWPRLPLKLSQEAYSKQFIQDQWSLRVAHHLPSLTYWWNTQKLFPSLTVIAHSPYILSRQDKELVPKFTAGRAAVEGQVRQQGEYESVHRDLNIGFGTWEFDPMEIENPFPDNEGSVHIWMGDEDILVPVTLQRYIAQQLPWIKYHEITGAGHMFPYADGISDEILKELLIGKN >cds-PLY94654.1 pep primary_assembly:Lsat_Salinas_v7:1:41135839:41138218:-1 gene:gene-LSAT_1X36561 transcript:rna-gnl|WGS:NBSK|LSAT_1X36561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSRLVTMEEGKDPTTNSIKTNQSRVFPFRLLRFMLIFLGAGIILSIISIRMNRNLGFRTIIPGTQPCFQEPISLQNLIKPPSNYMHTMNDSELLWRASVVPQIKEYPFKRIPKIAFMFLARGPLPLSPLWEKFFEGNEGLYSIYVHSLPSYSPDFSTSSVFYGRQIPCQLVEWGRMSMCDAERRLLANALLDISNEWFVLLSEACIPLHNFSIIYQYISRSRFSFMGAMDEPGPYGRGRYNPNMLPEVNISQWRKGSQWFETNRNLAVDIIKDTKFYPKFEQFCRPACYVDEHYFPTMLTIQSPYLLANRSLTYVDWSRGGAHPATFGKNDMRKEFFKKILEDQKCVYNNQPTSVCFLFARKFAPSALDVLLEHSMEFFGF >cds-PLY83981.1 pep primary_assembly:Lsat_Salinas_v7:8:38716127:38716605:1 gene:gene-LSAT_8X30441 transcript:rna-gnl|WGS:NBSK|LSAT_8X30441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKKSSNKLSQAALVKHIMKRCSSLGKKQHYDDVPKGHFVVYVGENRSRYIIPISFLSRPEFQSLLRQAEEEFGFDHDMGLTIPCEEEVFQSLTSLLRF >cds-PLY65170.1 pep primary_assembly:Lsat_Salinas_v7:5:249968849:249969229:1 gene:gene-LSAT_5X125121 transcript:rna-gnl|WGS:NBSK|LSAT_5X125121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALDGEYDDDMVVDDTPPNSPGHNPPPPPPSSTNPPLPSPSPSHPPPKTPSPTPKSPPQSDATKKGENYQEGLQPMQMVIVSQPEMTERSEAKVDPLEPIVVADTLDNDAAFDQPIPDAFPDVDDQ >cds-PLY78678.1 pep primary_assembly:Lsat_Salinas_v7:9:51052400:51053189:-1 gene:gene-LSAT_9X46360 transcript:rna-gnl|WGS:NBSK|LSAT_9X46360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVESCIVSSKPEFLNGVDEKEGAKRGARSYKAWLMYHQVISYGQSPLLIKEPDEKSQEIRNINVCSTSSLNSYEHMYHLHQLRILQNFKKVHPIDPQQNEKAQESSSVKRLQDLLLEDQSDSPTSLYSGRCGFSDDDYEENAIEYDRSLSREQSQSKRWNGMLIPLYEIMKGMKWFIPL >cds-PLY86355.1 pep primary_assembly:Lsat_Salinas_v7:8:28986853:28990252:1 gene:gene-LSAT_8X23580 transcript:rna-gnl|WGS:NBSK|LSAT_8X23580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAFANLKGSGGNIWEVFEVLDDVRRAIFRDTVFGYFIDVPRLQGDALLFHKMFLHQIRPDPVLSPDGIKRLYFRVGNTKMVYGPEEFCLITGFNFGEYPKNIGRKGSEKLLSSKKRCLLRERLFPDHTNSSVKIGDLKRLILNRTFLALDDVDAVRVFWVKKLMIGCHKIGFFLLRIWISGTASLGIWIYEMIPAVRACGFASRKNKDLPRMKRWSGTKKLKWVDVNKIWSKMQEGLPPRQNMLPGDGEMTSFYYMSFQEYVYGEGKAVPSPVRDHFRRQDESSSIMPSSGRSHGRGRGSGKHNLDEALKRLHALEQHVFMNRQPTEVFVEEVNTEQFWNDITFDDPIVSQRNYDEQVVQDEVMNKNNTTQNVFGDTQDDKVLEERNEYAGNKFDDDVFDVNDYSEVKEEWEERNDNAGNKFDDDVPDEDELIITGNVDYFHDDDDDKEVTPDKPRSRKPSQFLCTPYTELHTTPKQKRRTKKKVGMKSTSPVPPPVFGVAHDFSMLRLQPYVAGGEDVIQNYVLHSYDVQHRLFNFVLDRDFWSSLFGHTHDGWLESAHITIWYRLLMERRFESDRHTIMPPNFFVSHALEEGQDWRAFMAGIATYPNFMVAWWDVDTVLLPIHSSPNHWLFGELRLASMEVHIYDSLGRGAYEKFQSEGIFSKFERRVANYLDKIKYWARRNIPRIPLNMQFIYEENVPQQSSHLGDCGVFLCMFMEQLVSGQPIRVLIDPKNAALEFRLRMAKIIWGSSLAPL >cds-PLY86350.1 pep primary_assembly:Lsat_Salinas_v7:8:28374605:28375234:1 gene:gene-LSAT_8X22980 transcript:rna-gnl|WGS:NBSK|LSAT_8X22980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAEKKPAEKKPAAEKAPKAEKKLPKDASTTDKKKKKNKKSVETYKIYIFKVLKQVHPDIGISGKAMGIMNSFINDIFEKLAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSA >cds-PLY84407.1 pep primary_assembly:Lsat_Salinas_v7:8:78610716:78612729:-1 gene:gene-LSAT_8X56261 transcript:rna-gnl|WGS:NBSK|LSAT_8X56261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTIHICNENEASPNKEKQNKHFALFNVWYLISKHHEQDAKRVIHSIKVGTALVLVSLLYLLDPLFEQVGENAMWAIMTVVVVYDFYAGATLSKGLLRGIGTILGGGLGCFTAILADKFGKTGNTIVVGNSVFIFGAVATYYRMIPSIKKRYDYGVMIFILTFNLVAVSGLRADKILELARERLTNIGMGFSVCIITSLLIFPMWASDELHRSTSSNFTKLASCIEECMEAYFNVSEKEGRKSINTSSCKAVLHSKSSDESLANFSRWEPWHGNFGFCYPWEKYLQIGEKLRELASIILSLQACIESPLQPSRTLQEPIKETCKNVGLSLGVTLRELGESIMKMRRGNEKVLVLPELQSTKLELTILSSSELQAFETVEALAVANFMFLLMEIVDKVKVLAKEVEELGEVADFQYK >cds-PLY89583.1 pep primary_assembly:Lsat_Salinas_v7:4:366805221:366808432:-1 gene:gene-LSAT_4X176880 transcript:rna-gnl|WGS:NBSK|LSAT_4X176880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQCFGCIQVGQSTVAVKEHFGKFDKVLEPGCHCMPWCCGYKLAGKLSLRVNQLDVRCETKTKDNVFVTVVASVQYRALSDKASDAFYKLSNTKQQVQAYVFDVIRASVPKLPLDDVFLQKNDIARGVEEELEKAMSGYGFEIVQTLIVDIEPDQHVKRSMNEINAAARMRVAASEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLAFSENVPGTSSKDVMDMVLLTQYFDTMKEIGASSKSNAVFLPHGPGAVKDIASQIREGLLQAESVEA >cds-PLY68480.1 pep primary_assembly:Lsat_Salinas_v7:2:214738894:214739717:1 gene:gene-LSAT_2X136340 transcript:rna-gnl|WGS:NBSK|LSAT_2X136340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNAGSSGPVLPSRQAVGVVSPARPLNSVPSCSFVGAQAGRVTAPVRKRRNACVVSSSDKETESNDVGLYLRKERRIVSMSRFLGSIGDVLGNKFSMSVQKNKVVIPDSATSPPLSFSTSSPINLSSESTFGSALGSPGASALMVTTANRVCRVGVTEARLRPLRNTIVSLKEELHDTEDECRMLSEHNCVVAC >cds-PLY82389.1 pep primary_assembly:Lsat_Salinas_v7:4:337311610:337314069:-1 gene:gene-LSAT_4X166080 transcript:rna-gnl|WGS:NBSK|LSAT_4X166080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDSPTSDEGSSDLQLANNELKTPNSLDALVTIAQLPPSLPFPFAPITPLTKLSGKRKRLKTSRYDFPSNNAEKKRSSSKHKAKHDPSDTPAQISPTMIRAGELQSSLGSDHPSFKKIMLKSHVVSCFWMGLPVPFCRSFLPKQETLMVIEDENGQQYKLKYIAHKTGLSAGWRNFAIAHKLLEGDVLVFQLVESNKFKVYIVRANESKQVDDAHNLLNHNTNKNHKTQAKLVNKRSEPPTLASELNVNSKAEGGPHKPDLPSKKVKSRLVYKRSEPPTQSSELNVNSDSEGGPHQPDVPVKNVKSKLVYKRSEPPTHLSELTSLVNSEAKGGPHQQALSSKKVKTFKDFRITVNKQCIDSELSEEIRMSYYKLCNAKKELLHDNLPEGLYYKLVAGMIGETVNIANAIKNCKHTTTKEEFDAWDSSLKSFELMGMKVGFLRDRIHMLEKAVFDSENVKKYMEAIEERNRNGITMKILEAKIADLKESNRKIDAILGSLKEKVEGNEVVFQEKVNEPW >cds-PLY79363.1 pep primary_assembly:Lsat_Salinas_v7:9:55187175:55190986:-1 gene:gene-LSAT_9X49580 transcript:rna-gnl|WGS:NBSK|LSAT_9X49580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G24300) UniProtKB/TrEMBL;Acc:W8QN76] METLLQSCSIFTPKPPVRSPVDRQLALSSFAINKQRSCNVKLKAISRSSQEGSSSIEDVRKDGMLLGADKDESGSVVGFRLIPQSGETEVSNSHDTISNGKEEVIVDDDSVQTTSAEVTYNIVFVTSEAAPYSKTGGLGDVCGSLPIALAERGHRVMVVSPRYLNGGPNDKKFAGALDLDCRVKVSCSGGVQEVAFFHEYRAGVDWVFVDHPSYHRPGNPYGDAYGAFGDNQFRFTLLCHAACEAPLVLPLGGFTYGENCLFLANDWHAGLVPVLLAAKYRPYGVYKDARSIVVIHNLAHQGVEPASTYSNFGLPPEWYGALGWVFPTWARTHALDTGEAVNILKGALVTADRILTVSEGYSWEITTPEGGNGLNELLTSRKIVVNGITNGIDLTEWNPSSDVHIPSHYSLEDLSGKIECKIALQKELGLPIRPDCPMIGFIGRLDYQKGIDIILSGTPDLLQDDVQFVMLGSGEKQYEDWMRATEATFKDKFRGWVGFNVPISHRITAGCDILLMPSRFEPCGLNQLYAMRYGTVPVVHATGGLGDTVKTFNPFADEGRGEGTGWGFKPLTRESMLDALRIAIETYRKHKSSWRGLMKRGMERDSSWNNAAVKYEQVFKWAFIDPPYVS >cds-PLY94227.1 pep primary_assembly:Lsat_Salinas_v7:8:229712070:229712965:-1 gene:gene-LSAT_8X140120 transcript:rna-gnl|WGS:NBSK|LSAT_8X140120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATVDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLFSKEATGGEKQFSSQGHQGNFSKNEGSGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIQPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGGYQQHRHLRYHSVINKAPLKALHHLPLPLLP >cds-PLY90005.1 pep primary_assembly:Lsat_Salinas_v7:3:84203795:84204485:1 gene:gene-LSAT_3X64721 transcript:rna-gnl|WGS:NBSK|LSAT_3X64721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSEPIDTTLGFVSQPLGRSNFRIQKPYDLPVDQRYSFIKGVHKLWVFKTDKPHSRKSKTNPRTEIRIQGYDYSSGVWQFEAYGYVPSGTTGVSVMQVFGSKPPYATTTMLTVYNNNLYYYRRNVILSNLYNKWFRLNVIHDVEGNSVKIYINGVLRLEDRPGRSGPNVHHYFKCGVYSQNDGSSYMESRWKDIKIFRLSD >cds-PLY84538.1 pep primary_assembly:Lsat_Salinas_v7:1:28982592:28988147:1 gene:gene-LSAT_1X24520 transcript:rna-gnl|WGS:NBSK|LSAT_1X24520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKKSVEAKAQQRLSGADRKKLKRTIKERFPAASDSDLDTLIPPKVEITVSKHPNRVLIYSLEGGLPIFFDVDSRGTTIFPTVYALWKVPHLLPSFILKGGEVSRYVIGGADLMFPGISIDSEGLPEFLAGQPWAVKVPGNPAPIAVGSTYMSSSEALKAGLRGKALKISHHYRDALWESAEGRYVPNAGFLEDVVFGDPSLSTTDAISDHENNADNQELEVANAIIDGLDDSSSNTNISQQVITDLDNLKVTENVESDKGTAAEDQNTLSVEDVDALLDKCLLQAFYTTMKDKDLPIAGSTLWANHVLPCRPSGIVLDIKKSSHKKLSKWLQSKSTEGLISSKEDKHKKEVMVLSINRKHPVYTSFKPEKQQVEKTEQTVDHPSNETDLNTTMEVIEIYKPSVHVNPIFTSVGADTRQLYTASEASEVVFAYVEKENLVKPTNKSIVVLDAILCDALFKGAIKKGSTYPSEIHKKDLAPTFINRMQAHHQVTRGKESVVRKGGLKAMQIMTERRQGNKKVTKLSGMESFLIDADPLASELQKKFACSTSVTEMPGNLDERVSDRVLYDILIQAGRVVDLHIPRDKETDKPKGFAFAEYETEEIADYAVRLFTGLVTLYNKTLRFGISGQDKDTLNLQAQSMSMLTYTSTFKSSFHEDVAMSSLSRYAQDEDLGLNKDKEV >cds-PLY82921.1 pep primary_assembly:Lsat_Salinas_v7:1:17722526:17723367:-1 gene:gene-LSAT_1X15481 transcript:rna-gnl|WGS:NBSK|LSAT_1X15481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAEPQVQKKVQTHDAFRDRRSYADVTSTGKRPGDAVNDNPTINIQSEEVIKRWIGKTTLIGEALSLNHLGHIPSLLALRNEWVKVGDGAELDFERVAWLRIVGLPSRFWGDSNFTCILKAFGIVIAPFDDIFNKVDMSCIKVGILTTIRRRINEEITILAVGRCINIGIIEFDEEWFPFKFDPSEKFSESDDEGDDEVDVDAVSNTWIEGKNEDGMEEGEIDPRDAKVNNNSVDHSAAIVDS >cds-PLY83602.1 pep primary_assembly:Lsat_Salinas_v7:4:272179272:272182945:1 gene:gene-LSAT_4X139881 transcript:rna-gnl|WGS:NBSK|LSAT_4X139881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G43980) UniProtKB/Swiss-Prot;Acc:O80568] MGGGGGGGGGGVRGILLDSSVILDSTSNDNETASLRSGVDYLLRKLRHSNIPTGICYAVGFPEAKVSLLEKLICEFSFERFIYNRSSIDDTVNVVSQAWENNGGSILHVVLNNFEGILPNASNFGWMNVVVNGDGDGLSKDPTGICIKKLEELPMIICELNKKSSEDNVVVGYIMKPSREADFAKRGAFPLNPTQNGLIFLPLTFDLPILSQLKKVDIIIHKATDEISSIERSNSCNSSSKIIYTTGMLELQRCIHELPDCCVIDPFDNIFPVVDRLRIQEILLGLVELKTESQCNIRGPYFLKVDNFEDVELEKRLHDAKLCLPSIVKPQVACGVADAHSMAIVFKAADFPGLNVPLPAIVQEYVDHSSTLFKFYVLGEKVFYAVKNSTPNAKILKNLSESNQFKPLLFDSLKSLPTDGNKKPSEMKEQNLDLDLVTDAANYLRSVLDLTIFGFDVVIEEGTRDHVIVDVNYLPSFKEVANDVAIPSFWDAIKMKYLLFRKRENENCV >cds-PLY72205.1 pep primary_assembly:Lsat_Salinas_v7:7:52867805:52870401:1 gene:gene-LSAT_7X38121 transcript:rna-gnl|WGS:NBSK|LSAT_7X38121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRLARRWKNVSSDGEDDSEDNFSLPTTSDFHPIDTEEQEELVRSLERSQAQQSRFWRRVFSGLLCCFMAFLIFSIHGQTTMPWELRYHAYFMYEMESWIVIAADWAGVFVCAMTIAGLLHCSRSHRWWLWFSCYSGVLLAVFWLYNMLKLAKFRWDIIWLPLGPLSGAGFGLYVDHLMNESSEEVKKLRNYMYSYKSR >cds-PLY98350.1 pep primary_assembly:Lsat_Salinas_v7:5:314438318:314440147:-1 gene:gene-LSAT_5X171741 transcript:rna-gnl|WGS:NBSK|LSAT_5X171741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGHTGGFANFANNISWYKSAPPPLTAIDRFLWGQTPTQIDQTQNLEISEALITADDVSGLSCLSGGVNAQSGICFAPCLPMATNDVFTNDIGECLTWEELKNYPNYDTKHGVNQVEVVKPVKISRDSTKQSKGGNTSKVLIKGQWTDEEDSKLIGLVNQYGITKWAVIAEKMTGRAGKQCRERWRNHLRPDIKKDTWNEEEERMLIDAHQKVGNRWAEIAKMIPGRTENAIKNQWNATKRRQNSSRKSKRNDAKNRKSQSSLLQDYIRSKTTNPNLASSSSSSSSTTTTTTTSGATPESSIIILPELTHPNPNDSDPSLEITNSYDDELSFMQTFFHTTTTNTSNESKSLTTNDRLGFSGNLRSGFCSSSSTLHDENSIMYLNNCPEDDILETPIGV >cds-PLY76133.1 pep primary_assembly:Lsat_Salinas_v7:9:29626659:29632199:1 gene:gene-LSAT_9X26120 transcript:rna-gnl|WGS:NBSK|LSAT_9X26120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTSVWVEEYSNLRNWYLPVQGVDGGDIISNLRSGVTTEKALAIMQERGFSNRRSRHRLTPGAIKYAAFRVLSLEGSHGLSILDVAEKIQVKALKCALSLLALARIWRTEGVTEDNSYLKDILVNATDKANCMLRAKAIECINLVGMAVGKEIFRDKVMEVLILLQGTQMETIDPTTSYMLQSDARVAKVKLGTTRSWAKNTPGKDTSGGAMCRQKSGSGRPVEASLSSSPSLKGKRDVMAKHSVLPKDLKLVLVVYLCKLVLSVFMQGKASSMVAVALGPKPGWEMMEELFLHLYAQNSALPGNVKDQHRPIFANGMLKDPLTPCFHDSFSLILSSIGSFIHMHLLSQILHLFLFLLLFFPAFRCWCQACWQYSVEHPLSSILDSDVT >cds-PLY68316.1 pep primary_assembly:Lsat_Salinas_v7:7:97154540:97156156:-1 gene:gene-LSAT_7X64781 transcript:rna-gnl|WGS:NBSK|LSAT_7X64781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFRASNVTDDKYKVPNGNVKTDERSKERIEHSYVGPTLGRQEKLHNLNLNLKHQVYLSKPRPESDSGNNGIGNNHISATSYLDKRPERHTRMPQVNREEQEEEYVEKSTTRKVQEKEEEPLLSSFASLEIHSAAMSDLQYWLCPPPPPLPRSNHSDEIEKVEVEPTVARVEEDDIFVGEGIDYSVPSQDMSQSPLSEDMEESPKRKERPSYFDEPAYGPVPPSDPS >cds-PLY85541.1 pep primary_assembly:Lsat_Salinas_v7:2:196552442:196557029:-1 gene:gene-LSAT_2X117381 transcript:rna-gnl|WGS:NBSK|LSAT_2X117381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQPEEQQNSVEDAKDMMFVCDSSLDHKGRLPLRSSTGTWKAAFFIIAIEFTERLSYFAIATNLITYLTKVIHEDLTTAAKNVNNWAGVTTITPLLGAFLADAYAGRFLMILLSSIVYLMGLGILTMSQFIPSLKPSKGKVHEVVFFAGIYLLSLGSGGHKPSLESFGADQFDDDNLEERKGKMSFFNWWNAALCSGLLLAVTVLVYLQDNISWGFSNIILTITMALTIIIFILGKPFYRYRAPQGSPLTPILQVLVAAFIKRNLPHPSTPDLLYEVPNSGRSLNHTNKLRFLDKACIIQDNETPEAASHWKLTTVTKVEETKLIVNMIPIWLTTLQFGVCIAQPTTFFVKQSSTMNRMIGKKFEIPPATVASLAAVGMLLCVVFYEKIMLPILRRVTGKERGITILQRVGIGMVITILGLAVAAIVEKQRLIVAEKEKGGNKRFLSMSVFWLSPQFLILGIADGFALVGLQEFFYDQVPDSMRSLGIALYLSVIGVGSFISSFLITVVNHVTEKNGKSWFGKDLNSSRLDKFYWLLAAMTGLNFGVYVILAKRHSYKSVQKM >cds-PLY79655.1 pep primary_assembly:Lsat_Salinas_v7:5:253603444:253606934:-1 gene:gene-LSAT_5X128721 transcript:rna-gnl|WGS:NBSK|LSAT_5X128721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQRNMVYTGPILETEHGHLHPEPYGGIPSFNPQRLPENHTILPPLPGNRTTFNPQHLPENHAPLPHTMTQYDHHHHNVYMTPPGARVFPVAINHGIPDQIPFSIRGRNDGQFMDGIGIGINGAFKRKNAEGFPGNIHYFYPAAAAGPSSSVPIPMNTEGGMMDGGSGSFAIPEFRGGNRGGGSGGIGVDPVLAHSSGHLIPGNYGVPAPWLDQQFCGNGGDSISGAFSWNHAPGLPYLQGHMNEAANIGLHGYGNRNSGAFVHGPHNLHHPPPPPMPPMQGHNMNMEFHSQLASTSLRLPANTATINPFQNGMEPPGPGPRFVGPTPTPPTGLRVYRPHRRELMLEATARHRGFPHLRVLPEDGVAILDMSGYHEVGGHSVDHHRDMRLDIDHMSYEELLALGEQIGSVGSRLSDDFVVQHLKTRVFTSSKSSNDQELNSCVICQTNYDNGEEIGVVDCGHEYHVECVKKWLVVKNTCPVCKSTALAGQPKESMSS >cds-PLY90540.1 pep primary_assembly:Lsat_Salinas_v7:1:213014693:213016209:-1 gene:gene-LSAT_1X130120 transcript:rna-gnl|WGS:NBSK|LSAT_1X130120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIMLFIFFIFLRPPTTTARNPLAEVSSDDFLRSAAGYGEEKLSTVVVGGSLLCDVFLDGISNLQSNPITGASMMVSCNTGKKTSKSDWTKGRTDEYGDFLIDLPSHLHAVPNIEKKCIVRILHLPKTSPCHQALNGHHTRIKLSSVKNGFRTYTTHNIHLRPKKHTSRNKAM >cds-PLY82133.1 pep primary_assembly:Lsat_Salinas_v7:1:13733827:13734511:-1 gene:gene-LSAT_1X11500 transcript:rna-gnl|WGS:NBSK|LSAT_1X11500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSIESRLLITLASCMLLIFSIILASANVSVSQRGPAVRDPGPDPSNGRRLLFENAMLV >cds-PLY94891.1 pep primary_assembly:Lsat_Salinas_v7:4:111706994:111711879:-1 gene:gene-LSAT_4X70061 transcript:rna-gnl|WGS:NBSK|LSAT_4X70061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNPGASKKPPESNASDQHEHPSGDAGTHNEVIVKIDSSDRNNSAHLMHLNSDSPVRGVINSDYIDTNRRASDSKQSFDTDDSGEKHYKESSDEEEDEFRLLNQRNRRVPSNLSYLHDHESEVLKCTSFQRRASLVRTKTQQSRLMDPPEIPYSTTFPKSGRYTPGRTGGSARMENDDEDDSLFDDDNPDDLKNKTLDALTIIQWISLVLIISILVCTFRFRRWKQKSFRGLYIWQWEILVLALICGRLVSGWCVRLAVFFIERNFILRKRVLYFVYGIRTPVQNCIWLGLVLIAWNSMFNEAVADLHIPFLEIINKFMVCMLVATTLWLVKTLIVKVLASSFHVNKFFDRIQDALFNQYVIETLSGPPLVEIHNNQLGEKSMSRVWKHDDLGGGAYSFKTRGGVSSRVKNSPGRGVSSRSLGVSKKHEGITIDHLHRLNPENVSAWNMKRLMKIIRHGSLTTLDEHLHGVHYDDEAGTEIRSEIEAKRAARMIFMNVAKRRSKYIYLEDLMRFLREDEAIKTMSLLVASPEEERVGKRALKNWVVNVFRERKALALTLNDTKTAVNKLHRMVNVLVGLAILIICLVILNIATTKVLVLISSQLVVVAFVFGNTCKTIFESIIFLFVMHPFDVGDRCEIDGVQMIVEEMNILNTIFLRSDNQKIYIPNSVLLTRSIGNFYRSPDMSDTINFLIHVGTPADKIATIKQQILSYVESNKDHWYSDPIVVTMDMYDLNSQKLSVWVQHKINYQDITQRLTRRGKIIEEMIRIFRELDIEYRNYPLDINIRSMPIPATPTRVPSTWGTPN >cds-PLY91996.1 pep primary_assembly:Lsat_Salinas_v7:4:88996967:89006098:-1 gene:gene-LSAT_4X59001 transcript:rna-gnl|WGS:NBSK|LSAT_4X59001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLRMSQTVFSNLMATMGSSVRSSDHFRAMHKLQAQDGYILNCLLSPEFCEPQRYLAIASSDSKVKIWNVDGFTLKKTLVEHQRWVWNWVFSVDGAYLITDDEAKCLMAQVIESHADTSHDNVGILNADSPHIANDLKSELDDVSTYKVNEPC >cds-PLY73830.1 pep primary_assembly:Lsat_Salinas_v7:7:68212354:68216887:1 gene:gene-LSAT_7X48761 transcript:rna-gnl|WGS:NBSK|LSAT_7X48761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGVFEEIIEGDVFKYYTDGEWKKSASGKSVAIINPTTRTTQYKVQACTQEEVNKVMESAKIAQKQWAKTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDSVTEVVRSGDLISYCAEEGVRILGEGKFLVSDSFPGNERTKYCLTSKIPLGVVLAIPPFNYPVNLAVSKIGPALIAGNSLVLKPPTQGAVACLHMVQCFNLAGFPKGLISCITGKGSEIGDFLTMHPGVNCISFTGGDTGVAISKKAGMVPLQMELGGKDACIVLEDADLDLVAANIIKGGFSYSGQRCTAVKVVLVMESVADELVEKVNAKVAKLKVGPPEDDCDITPVVSESSANFIEGLAKDAKTKGATFCQEYKREGNLIWPLLLDNVRPDMRIAWEEPFGPIVPVIRINSIEEGIHHCNASNFGLQGCVFTKDINKAILISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKIKSTVINLPTPSYTMG >cds-PLY89081.1 pep primary_assembly:Lsat_Salinas_v7:9:28993850:28996086:-1 gene:gene-LSAT_9X25801 transcript:rna-gnl|WGS:NBSK|LSAT_9X25801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTETAGGYSKFETEEDVYTKDGTVDYKNNPANKNTTGTWKACPYILGNECCERLAYYGMSTNLVLYFKYNLNQHSTTASKNLSNWSGTCYITPLIGAFVADAYLGRYWTIAIFSVIYVIGMTLLTISASVSGLKPTCVSKEDCYATTTQSAITFLALYLVALGTGGIKPCVSSYGADQFDDVDEREKKHKSSFFNWFYFTINIGALIASSVLVWIQDNVGWGWGFGIPAVAMAIAVGSFFSGTRLYRNQKPSGSPLTRICQVIVASWKKRQLPLPTDRSTLYEIADNDSAIVGSRKLDHTKKFSFLDKAAMELESDHLKESADPWRLCTVTQVEEFKAIINLLPIWATGIVFSTVYGQMGNLFVLQGSFMDININKFEIPPASLSIFDTLSVIFWVPVYDRIIVPVARKYTGNKSGLTQLQRMGTGLVISIFAMLAAGTLEVVRLGIVKRNNYYDYKHMPMTIFWQVPQYFLIGCAEVFTFIGQLEFFYQQAPDSMRSLCSALSLTTVALGSYLSSLLVTIVTNISTKGGKPGWIPDNLNRGQLQNFFWLLAIMSVINFGAFLLISHWYTYKKPVGTISIKLKDNDTNISSERRLAISDQ >cds-PLY92096.1 pep primary_assembly:Lsat_Salinas_v7:4:106091203:106092866:-1 gene:gene-LSAT_4X68560 transcript:rna-gnl|WGS:NBSK|LSAT_4X68560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAFLDHIFIYILPLFLSGAFLIRWYNSTPPTIIRKLPPSPPTLPVIGNLHQLGALVHQSFFSLARRYGDSLMLLHIGSVPSLVVSSTEAAREIMKTHDLAFASRPTTRMFRTISYDLKEITVAPYGEYWRQAKSILTLQLLSNKKVQSFDGLREKIIGDCLAKINQCFLSNKPADLSDLFSSLTNDVTCMATFGRTYNEGEIGMKFKKIMQDFSEVLGSFYFEDSIPQLAFVDRITGLSAKVDRVAVDFDEFLQNVVDETLSKKRNNPNPVSGDEHGVETFIDALLKIQKEDILGITIDADVIKALLLDAYVAGTDTSSSVLEWAMTELLLHRDCLEKVQGEIRAVLNGKKDITDSDVEKMKYLKCVIMEATRLHPPLPVLPPRVARHDAKVMGYDIAEGTRVYVNVYAIMRDPKVWDKPETFMPERFLESCIDFVRHNFELLTFGAGRRSCPGRIFAMAINEKVLANVLYRFDWALPQGVRPQDVDMNETFGLANHRKVPLLALGTPFHSPHNN >cds-PLY72702.1 pep primary_assembly:Lsat_Salinas_v7:6:28341384:28341671:-1 gene:gene-LSAT_6X22360 transcript:rna-gnl|WGS:NBSK|LSAT_6X22360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGIRHRTHKKEQEGGVVDILRAFWSLIAHATTSIAPISGIQRHLRLHKRHWRQEVTSRRHPRRRILLQSSTDAVPDPGSHRSPLLLAIEAI >cds-PLY68325.1 pep primary_assembly:Lsat_Salinas_v7:5:31619265:31622468:-1 gene:gene-LSAT_5X15800 transcript:rna-gnl|WGS:NBSK|LSAT_5X15800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVESSSVGGASLPSGPDGRKRRVSYFYEPSIGDYYYGQGHPMKPHRIRMAHNLIVHYSLHRRMEIVRPFPAGPEDIRRFHSDDYVDFLASVTPDTLHDHTHARHLKRFNVGEDCPVFDGIFEFCQASSGGSIGAAVKLNRQDADIAINWAGGLHHAKKSEASGFCYVNDIVLGILELLKVHRRVLYVDIDIHHGDGVEEAFFTTDRVMTVSFHKFGDFFPGTGHIKDIGAHQGKYYALNVPLNDGMDDDSFRGLFRPILHKVMEVYQPDAVVLQCGADSLAGDRLGCFNLSVKGHADCLRYLRSFNVPLMVLGGGGYTIRNVARCWCYETAVAVGVEPENKLPYNEYYEYFGPDYTLHVEPSPLDNQNTPKDLEKIRNMLLEQLSRLPHSPSVPFQTTPPVTEVPEEPEEPMEKRAKPRIWSGQEYDSDVDEEEKPRRQSFNANHINPRDHARGNIKDEDMSDRDAPS >cds-PLY91649.1 pep primary_assembly:Lsat_Salinas_v7:8:12162162:12165646:1 gene:gene-LSAT_8X9321 transcript:rna-gnl|WGS:NBSK|LSAT_8X9321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILVYPCIPTALFLQNRRQSAPLTPVRCSSSATVKLPTSKAITSSNGGKSTGAAVLWYKNDLRVDDHPGLIAASKHTAVVPLYVFDHRILRYFTEEKLELLLFAVKDLRNSLKDLGSDLMIRSGRTESVIQDLVKEVRAANIYTQEEVEYELQLVIEKVKENLGDIKSPKISLWSTPFYDIKNIMDIPLSYEEFQQLKLSIHSPLSSPKLPSIATDLAWGALPTLNDLKEFIDENPYKSKDTWTSIKNNSAEYVMQNARILASNGLTSQTNLMHLTKTNQKRIKNSAFITQQGNVIGGGTNDVLNALSAYLKYLEGTTRDDWQEVHEKLRKAETREGASFGVLFGPVLQLGIVSRRRVYYETLKYEKDRNGGFLSPFGYSTATIAAAAGHVLSTEWYSLLASRSQLDSTKRYSIRYWKWNGYLIQYTVVGSEGPAILLVHGFGAFLEHYRDNIKNIAENGNRVWAITLLGFGRSEKPNVIYTELLWAEFIRDFIVDVIREPVHLVGNSFGGYFVSIVAGLWPALAKSVVLLNSAGHYIPEYSSVPPFKERKTTGIAWLGARGISGYLKLSVRNLVRSCYPTKRDRADEGLLSEMVRASYDPGAVVVLESLFTFDPSIPMNYVLKGLEEKVMIIQGMKDPISDSKTKVNLVKKHLKEIEIKELDAGHCPHDEVPEEVNSIIREWVVNVENRHQILEQKVKDSRMPTKKQSLEKEFSGQTS >cds-PLY94025.1 pep primary_assembly:Lsat_Salinas_v7:8:100504283:100504753:-1 gene:gene-LSAT_0X4020 transcript:rna-gnl|WGS:NBSK|LSAT_0X4020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVTTKKSVTTHIFLLSVKYNNPKHPLNRRFFLFDKLSRSTGGVLIPTKIKRKQHPTFTFPPPVEHPLSNAPWSCCYRRMLSSHQNSRQRPSLSRTYRRAKGLHRHQLSPLLPPASSFYVVAASTSSTFDCRRRNHEGLGGIAVLDGLLLPSTRFE >cds-PLY99462.1 pep primary_assembly:Lsat_Salinas_v7:5:333079890:333082324:1 gene:gene-LSAT_5X186100 transcript:rna-gnl|WGS:NBSK|LSAT_5X186100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDVALDTLMSDFLQKSGGVAVIDGGLATELERYGADLNDPLWSAKCLLTSTHLIRQVHLDYLEAGADIIITASYQATIQGFEAKGYSPEDGESMLRKSVEIAHEARDIYYERCLESSSDCDCSNDGRVLKHRPILVAASVGSYGAYLADGSEYSGDYGDAMDLEFLKGFHRRRVQVLAESGADLIAFEAVPNKLEAQAFAELLEEGIIRIPAWFAFNSKDGVNVVSGDSMAECAAIADSCNKVVAVGINCTPPTFITALISTIKKATTKPILIYPNSGETYDAQRKQWVKNSGVPDEDFVSYVNTWCEMGASLIGGCCRTTPDTIRTIYRALPTRSGSSN >cds-PLY70232.1 pep primary_assembly:Lsat_Salinas_v7:9:851335:862011:-1 gene:gene-LSAT_9X1821 transcript:rna-gnl|WGS:NBSK|LSAT_9X1821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIVSVSAAALLNWSLISLGNLLGSLLILFVHLERGFHSKGRIVLWGIVVYSLVVILSQLTFLLAWAIWCGRCSAEEPVWAKFIGFMIIKRPRSPKIIYYLTLQLLAAFTATTELHEIRLGLFTWSSSFLGDLAEAIERIGSHVKVAFFLLLPAVQLVVGISNTSWVSLPFFVSSCVGLVDWSLSSNFHGVFRWWRALWLYAGFNIIFLYMYQLPTRSPNMIGDFIGLYRISSESDWTEICSGLSLIIYFFGLSFVKLDLEEMNLIMSMREGNLAEHLLPSTNSFFIRESRSGERDTNVLLRGAVFRTFSINFFTYGAPVSLFALSLWSFYYASVCAFGLLGYVGYIIFVFPSLFRLHRLNGLLLVFILFWAVSTYIFNIVFSNQNGKLGKDTQVWEMVGLWHYPFPGFFILAQFCLGFLVALVNLVNNSVFLYLSDEVPSTSDGDSTPEVKEETKVLIVATIAWVLRKCNRAIMLVQIFLIAMKPGFIHAVYSLLECDSTWDFVEIALLAGFCAIQKHGHKVLFSFSAIVQHTPRPPIGFSILKAGLNKSVLLSVYAVANSTHIDDNPSQERKVASYLSAIGQRFLSLYRSFGTYIAFVTILITVYMTPPNYVSFGYLFLLLFWITGRQLVEKTRKRLWFPLKAYSIVMFILIYILSIFPSFEGWISTKVDLYKYLGYNSEAAVFENVSEALAITIAMQLYSYERRQNRYPNLEDTNRLQFGVIGFIRRLLIWHSQKILFAAMFYAAISPISAFGFLYLLGTVFCSILPKASRIPSKSFLVYTGFLVTSEYLFQLCGEQASMFPGQKHSAFSNFLGLKVYQPGARGLEAGLRAKVLVIAACTLQYNVFHWLEVLPSWLSGVGQWEEPCPLFFSQEDVLPVASIPDEDNVFSSIKKMGLRSINSWPANSQESSGDSGTKSNNRRYMLGYFWGQVNENHKWKKKQVLFLRKERFEMQKTSLKIYLKFWMENMFILFGLEINMIALLLASFALLNAISLLYIASLAACVVLGRQLVQRSWSLFVVLFASVLLLEYFAIWKTERPLSEFGESDNTLHCHDCWRTSEFHFSYCRRCWLGLTVDDPRVLISYFIVFKFSCFKLRADRFCSFSGSYTYRQMISQRKNAFVWKDLSLETKTIWTLLDYLRLYCYCHLLDLVLALILITGTLEYDILHLGYLAFALSLFRLRLTILKKKNKIFKWLRIYNFAVIVLSLAYQSPFVGVFNEGKCETIDYVYEVIGFYKYDYGFRITSRSALVEIIIFILVSLQSYMFSSPELNYVFQYLEAEQIGAIVREQEKKAAWKTEQLQHIREDKEKKRQRNMQVEKMKSEMLNLQIQLHTTCPAHEHTHDDSPRNEGLRRRRAVSLNTDYTTQCVVNKEEDNLKKHASDINMEMPLPFEFHGTESLPAFESPENSHLIEVAEFDEDIAEMEKKGKGPMKESTLISAVQLIGDGVSQVQSIGNRAVSNLANYLNIPQEDLDSDDPTSLSPTGKNSETESQNESHIASNRSSSLQSERSRTGSESASCGIIFQHIWGQMQSNNDIVCYCCFLLVFLWNFSLLSMVYLAALFLYALCVNTGPSYIFWVVMLIYTEFYILIQYVYQIIIQHCGITIDSVSLPEWGFPTNRITSSFVVSLLPLFLVYLFTLIQSSITAKDSEWIFATEFSNFTGRIVNPKEVLFSSSWRENTQKVLQIVKNIAKLIIGNCVNYWKSLTQEAESPPYFIQLSLDVHSWPEYGIQPARVESGVNQMLRFVHNSKCKISDPDTCSYASTVQVRSIEKSKDSPNVALAVFEVTYASPREECLMAENYKSLTPAADVAKEIIEAEDAGLSKHFGFPYSIVSVIGGGKREIDLYAYIFGADLAVFFLVAIFYQSVIKNKNEFLDVYQLEDQFPKEFVFILMAMTEYAWSMEPSQHHAAGLALRAIYLTKAISLALQAMQIRSGVPHKSTLYRQFLTSSITRVNYLGYRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDINASLYLVKSDAVLNMAGRRQGERQKKVTKFCNGLCLFFILICVIWAPMLMYSSGNPTNIANPINDVSVQFHIKTNGGRLMLYETTLCAITPWNVLKPSANLDPNNYLDSYNVDDIQMICCQADAISLWLVPDAIQRKFIQSLDMAMDMKFSWVLTRDRPKNKEVVKYEQTIQRSDLPTPSELEQVLNGSTNSFRINNTYPSACGDLMGPMAIVVSEETPQGLLGETLSKSSIWGLYITFVLAVGRFIRLQCSDLRMRIPFENLPSCDRLIAICEDIYAARAEGELVVEEVLYWTLVKIYRSPHMLLEYTNPEAF >cds-PLY83047.1 pep primary_assembly:Lsat_Salinas_v7:8:193597421:193597660:-1 gene:gene-LSAT_8X125141 transcript:rna-gnl|WGS:NBSK|LSAT_8X125141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLCIPGHLFPAYAEFKTSNAPSFTIANPDGGSTAAISDHPSHHLLLQSDSISGGLLAALQIKVAGKAHRHLLLPTIID >cds-PLY94113.1 pep primary_assembly:Lsat_Salinas_v7:8:29276331:29288853:-1 gene:gene-LSAT_8X24501 transcript:rna-gnl|WGS:NBSK|LSAT_8X24501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSAHGGDELARSVSQRLSLSASSRNWASASLRDAFAGAPGGDAFEKTGIQDDEDELKLAAIERLPNYEGSKKEMLKQVPDNGRTNIANIDHLDKKQLMESVLKIVEEDNETFLRRLRERTDRVGIETPKIEVRYKNLSIEGDVFIGSRALPTLFNATLNAIEGFLQVIRLVPSNKEVLKILQDVSGIVRPSRMTLLLGPPSSGKTTFLKTLAGVHEKDLTLKGEVTYCGHKMSEFIPQRTCAYISQHDVHHGEMTVRETFDFAGRCLGVGTRYDLLAELVRREKIAGITPDPDIDAFMKATAMACQESSVVTDYVLKILGLDICADIMVGNEMRRGISGGQKKRVTTGEMLVGPAKVFFMDEISTGLDSSTTYQIVTYMKQMVHIMDMTMIVSLLQPSPETFELFDDIILLSEGQIVYQGPRVYVLEFFENVGFKCPERKGVADFLQEVTSRKDQEQYWFKKDEPYRFISAPEFAHLFSRSLLGEALSHDLESNYEKTSVHPAALVKEKYGISNFDLLRVNLAREWLLMKRNAFLYIFKTFQITVMSIFAFTVFFRTTMKSGQLKDGGKYMGALFFSLLTVMFNGAAELALTVMRLPVFFKQRDSLFYPAWAFALPIWLLKIPISVVESCIWILMTYYTIGFAPSVSRFFKQLLAYICVHQMALCLFRFLASLGRIQVVASALGTFTLLLVFVFGGFIVAKDDIEPWMIWGYYASPMMYGQNAIMLNEFLDDRWNTPNPDPRINEPTVGKVLLSSRGMFMNDYMFWVCVGALCAYSLIFTIFFILALTYLNPLKDSKTVVPTEDEEIQLPTSPTDQEMSGRKANGSKRKGMVLPFKPLSLAFNHVNYYVDMPAEMKTQGLEDDHLQLLRDVSGVFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYPKNQATFARVSGYCEQNDIHSPHVTVYESLVYSAWLRLPPDVTHESRHMFVEEVMNLIELNSVRNSLVGLPGVDGLSTEQRKRLTIAVELVANPCIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGHHSDLLIEYFQSIPGVTEIKDGQNPATWMLDVSSSMVEAHLGIDFATTYANSELYRRNQELIKELSTPAPGSRDLHFKTKYSQSFFTQYKACFWKQRLSYWRHPQYNVVRFLMTMIIGIIFGIIFWNKGQQTSRQQDLMNMLGAMYAAVMFLGGTNTSSVQAVVSIERTVFYREKAAGMYSPLPYAFAQVAIEVIYVCIQTFIYSLLLFLMIGFAWSARKFFWFYFFVCMCFTYFTLYGMMLVALTPNYHIAAITMSFFLSFWNLFSGFLIPRTQIPIWWRWYYWGSPVAWTLYGLITSQVGENENLVEVPGADSMSVKAFIKSFLGYDYDFLPYVAMAHVLWVVLFFIVFACGIKFLNFQKR >cds-PLY63853.1 pep primary_assembly:Lsat_Salinas_v7:2:84579491:84583245:-1 gene:gene-LSAT_2X34620 transcript:rna-gnl|WGS:NBSK|LSAT_2X34620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKALTSKQVLQENHTSDPNAVTSFTFTHRALSDVSCLAEFRKLEKLDLTFNNLSSLEGLKPCVNLKWLSVKQNKLRSLKGIEGCVRLTVLNAGSNMLQSMEEVSSLVRLRALILNDNEIVSICRLDQMNELNTIVLSRNPISKIGESLGKANSITKLSLSNCKIQEIDSSIKYCMELRELRLAHNEIRALPSELGRNTKIQNLDLGNNLITRWSDLKILSSFTNLKNLNLVGNPVAEKDVLTKKIKNLVPSLHIFNGRPIDKVIKNATEDNHSVVDAKTGQKMKKHKQPDKPTNKDKDENTLSTDPKSKRKSRDSKDKSVIDTGDAAKVRHDDADEMVYIDATEKKEIRNEKREDDDDDDVAVGKKKKKVKKSKASGSLAVQLLTPEPEVGLGGPSAWDL >cds-PLY76536.1 pep primary_assembly:Lsat_Salinas_v7:8:91177110:91178061:-1 gene:gene-LSAT_8X63821 transcript:rna-gnl|WGS:NBSK|LSAT_8X63821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGCCGRWRRSTNKISRFLHSPLRAQVLADVHHVRFETTEWFDRLEGSTRRLEWWIGSMKIGGGGEGWWLEEAMNKMNEEQYEGGLEKVGMELGKRKIIVELGVIGVSLVDHRPKELAYFYLERVFVSYSTGYDSRTTSRFKLILDHLQLDNQLPLTYMHVLLAPEEASDMNHPVFKMTITTCNETPDGIQVYPYIYIRVMQ >cds-PLY68987.1 pep primary_assembly:Lsat_Salinas_v7:9:139226779:139227141:1 gene:gene-LSAT_9X89221 transcript:rna-gnl|WGS:NBSK|LSAT_9X89221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGDTKSIHTLLLRLGPPRPIYFTSRNGKEESGVNRGHDNGCSVRLQDKVIRIEEGVIKGIIDGGGSIGEGEKEEGSSMIRRRGEVKGAIEGLTDGERRCSWRRKHDGCRWFQISNQQ >cds-PLY75128.1 pep primary_assembly:Lsat_Salinas_v7:4:62500536:62502304:-1 gene:gene-LSAT_4X40940 transcript:rna-gnl|WGS:NBSK|LSAT_4X40940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMASSSPSIYKHLYNNNSSIHPKGKLNVIKSRINWSRRFISSSKNNNSDDQQEQVTRREVILRSSEIAVLGAIFHFSGNKPNYLGVQKNPPALALCPATNNCISTSENISDLVHYAPPWNYNPKEGRGSKKPVSKEVAMEELVDVLKSTKPDNFTPRVVEKKDDYIRVEYESPILGFVDDVEFWFPPGKKPVVQYRSASRFGFGSDANKKRVKALRLALEKKGWASEDDF >cds-PLY67936.1 pep primary_assembly:Lsat_Salinas_v7:5:298160514:298164731:1 gene:gene-LSAT_5X158920 transcript:rna-gnl|WGS:NBSK|LSAT_5X158920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARDLALEVERLLQYQDPNIRKKAAWGSLLKEKHHGVLLTTIQLCTDLYNLNEEALEFLRKNHNLTSKYDFHSYMIPSMEEEFQRIVGVSGLLWGFVVAFMLFNIKGINFTSNKLSRVIFNGEGVHVFCTDELNNCTLLAGSNLYFWIAIIPITVRSHFYHC >cds-PLY65592.1 pep primary_assembly:Lsat_Salinas_v7:7:163971900:163972541:1 gene:gene-LSAT_7X95560 transcript:rna-gnl|WGS:NBSK|LSAT_7X95560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKEWKLYDRLMRLETGLGGTRSLVDASYECWEEKINDNKDYAKFRNTDLRIFDEKYATLFRDSIAVGDQTMTPLQF >cds-PLY89666.1 pep primary_assembly:Lsat_Salinas_v7:3:184733233:184734914:1 gene:gene-LSAT_3X110960 transcript:rna-gnl|WGS:NBSK|LSAT_3X110960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYPFLVNQNPFSPHHFATLLQKCLKSKSLTPCKQIHALILTSGVDMNSLSLHSKLVGVYANCGCFTSAHSMFQITENLNVFAYNWMISALTFNGFFKEAINYFSLLQQSKNLVPNSYTFQFLLKCCVGLSDPNKGKEVHCVTYKFGHHANSSVVNSLIEMYCKCDSLLYARQLFDKMPQPDIISWTNMISAYSNSGQLQESQSLFNKMILTGLEPNEFTWNALITGYARIGDCDGAFTSFSKMTKTGLIPDVVTWNTMISGFVQSQQTIKAMELFNQMLVAKVKPNPITITGILPAITSMGSVNSGREIHSQIFKTNMHTNPFISSALIDMYSKCGCVKLARKVFDTTSSKNIASWNAMIGCYGKHGIIDSAIELFHKMEEEGVDPNQITLTCVLASCSHGGYVDKGLAIFMRVKESQKVKIRDEHYGCVVDMLCRSGKMEMAYDLMQELGVGITDSMVGALLNGCVVYDRGDLAKKMSEEVVKRPGGFVGLCNVYAGEGEWEKAERLREVMKVHGVKKEHGFSYLFDNM >cds-PLY84865.1 pep primary_assembly:Lsat_Salinas_v7:4:293685592:293685873:-1 gene:gene-LSAT_4X151401 transcript:rna-gnl|WGS:NBSK|LSAT_4X151401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVGIDMDGLESDIDVGIEADFDNEPDMDVDIEPEDCIPMNKTKTDEYLSKLCPKEQQTPYNPLNEEPYVQMDENEIIPNDQATYNETVYWKK >cds-PLY71748.1 pep primary_assembly:Lsat_Salinas_v7:3:47755856:47756107:1 gene:gene-LSAT_3X38901 transcript:rna-gnl|WGS:NBSK|LSAT_3X38901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSTDRGDNSGDVSGGGGCGVGDKGDNGGRVDGDRGSVAVAVVVVVVSVGGRGVGDDDDGEGGGGWWRRPWWLVANVVVVV >cds-PLY72784.1 pep primary_assembly:Lsat_Salinas_v7:4:372542358:372546856:1 gene:gene-LSAT_4X183661 transcript:rna-gnl|WGS:NBSK|LSAT_4X183661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich receptor-like protein kinase 42 [Source:Projected from Arabidopsis thaliana (AT5G40380) UniProtKB/Swiss-Prot;Acc:Q9FNE1] MATGKSRSETQHLHQSNIKPMFFSLSFPTCLFLFFLNVSFPTVNSDPRVSSASGTLCGAGRATSASFIPTFVQSMEGLSSNLNTSNNNFAVTNNTNPNSPPFFALIQCHEDLSRTDCLVCYAVSRTALPICLPGTSGRVFLDGCFLRYDNYSFFQEAVDPATDTKNCTSSLPNSNNWNDFKGGFPEFNQSVVDLVENLTQSAVNNSGFGVMELNGVYGLAQCWESLTTEECRICMNKAKSEATSCLPSREGRSMNAGCYFRYSTEKFYDNDPQADGGSSGLSSPGAKVAVVLAGVAFLMISSFAGYTAYIRISKRREERKNMGMLSNSFNKAGLKYKYETLEKATNYFDISNKLGQGGAGSVYKGTLPNGDVVAVKRLFFNTRQWVDEFFNEVNLISAIQHKNLVKLLGCSIEGPESLLVYEYVPNKSLDHFLFDKDKLQILSWKQRMGVILGTAEGLAYLHGGCHVRIIHRDIKSSNILLDKDFNAKIADFGLVRTFGADRSHLTTGIAGTLGYMAPEYIVRGQLTEKADVFSFGVVVLEIACGKRNNAFVEESSSLLQTVWKLYKEGVVAEAIDPLLQGDFPEHEALEVLQIGLLCTQASATLRPSMDEVVEFLTTKGEDREIPVPHQPPFLNARALVSQSQGLSSSTSNSSPLTKLGISYTTTSQSSTAPSSEWPLRIDELSSS >cds-PLY91829.1 pep primary_assembly:Lsat_Salinas_v7:6:32971756:33002786:-1 gene:gene-LSAT_6X26080 transcript:rna-gnl|WGS:NBSK|LSAT_6X26080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYLSACMCHWSAIRTPTVATAGPPKETANSRVLILGGTGRVGGSTAIALSKLSPDLRITIAGRNSEKGASMVATLGKNAKFSQFDINDDKSLESALTGVDLVVHAAGPFQQTQNCKVLESAIQAKTAYIDVCDDTNYALRAKAYKNEALAAKVSAITTCGMYPGVSNVLAAELVRIAKCKNNEPEQLRFYYYTAGTGGVGPSLLASSILVLGEEAITYSKGNKIKYRSYSGMVNINFGKGIGKKDVYLLNLPKVTSTHESLGVPSVSARFGTEPFLWNWALDVIACFIPSELLRNRNKVQEIVWLLDPIVRSLDGIVGERVALRVDLECVGGEHMVSVFSHKNYSISIGTSIDAFALTVLEGSTQHGIWFPEEPEGIAIEARKLLLERAAQGTINFTINK >cds-PLY89355.1 pep primary_assembly:Lsat_Salinas_v7:5:69894880:69899155:1 gene:gene-LSAT_5X33821 transcript:rna-gnl|WGS:NBSK|LSAT_5X33821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVNVLRYLSKDDFRVLTAVEMGMRNHEIVPVELIDRIASLRHGGTYKVLKNLLKHKLLHHDSSKYDGFRLTYLGYDFLAIKTLVNRGVFSSVGRQIGVGKESDIFEVANEEGEIMAMKLHRLGRTSFRAVKSKRDYLRHRSSFSWLYLSRLAALKEFAFMKALQEHGFPVPNAVDCNRHCVIMSLVQGYPLVQVKELQNTNTIFEKIIGIIVRLAEHGLIHCDFNEFNIMIDDDEKITIIDFPQMVSVSHRNAEMYFDRDVECIIKFFSKRFNLSFEADAGDSDSDSDAESDSIKPRFSSIKKASGFLDKELSASGFSRKEQADIEKFTLEKIPGSDDEEIEEEEEDEGEIQNFDSLCSLTNDEEQVKACGNSGDVEEEDQQQLSEGKQPVKSDQVKDDDDDDDGGDDDDGELAERLAKQRRRAIQAARAGRKSNNGSRNAYKDKGAKSSHNSKIQKQQMASSNW >cds-PLY94147.1 pep primary_assembly:Lsat_Salinas_v7:5:35017505:35017750:1 gene:gene-LSAT_5X17301 transcript:rna-gnl|WGS:NBSK|LSAT_5X17301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTLRLLFGVESSTYTTVKPFNHEEKIYAMPNGKTHLQLGLPLCFVGMHDARNGENENALLQNALKSYRVETDKDFKFVEF >cds-PLY99138.1 pep primary_assembly:Lsat_Salinas_v7:2:11654740:11654946:1 gene:gene-LSAT_2X5061 transcript:rna-gnl|WGS:NBSK|LSAT_2X5061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVVVVVIVMVMVAVISSGGGGGWCSDKGGNGGGGDDGGGGSDVMVMVMSGGGSDGMVMVMSGGGGGR >cds-PLY78948.1 pep primary_assembly:Lsat_Salinas_v7:8:2105120:2108063:-1 gene:gene-LSAT_8X821 transcript:rna-gnl|WGS:NBSK|LSAT_8X821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 11 [Source:Projected from Arabidopsis thaliana (AT1G17840) UniProtKB/Swiss-Prot;Acc:Q8RXN0] MTNKGSSNSEVVMMEIEANKPTGNGMVVGGLSPLSETIWKEKTSVEMVGDVSARLAWKDLTVMVTLSNGETQNVLEGLTGYAEPGTFTALMGPSGSGKSTLLDALSSRLATNAFLSGNVFLNGRKTKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDKMPWSEKRALVESTIVEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASIHQPSSEVFELFDRLYLLSGGKTVYFGLASEAYEFFSQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEASDDPLEKVTTAEAIRALTDYYRTSQYCYSANEKVENMSKVKGTVLDSGGSQASFLMQSFMLTKRSFVNMSRDFGYYWLRLVIYVLVTVCIGTIYFNVGTGYNSILARGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVTAFVISNTISAMPFLILITFISGTICYYMVRLHPGFVHYLFFVLCLYSSVTVVESLMMAIASVVPNFLMGIIIGAGIQGIFMLVSGYFRLPNDIPKPVWRYPMSYISFHFWALQGQYQNDLVGLMFDNQTPDLPKIPGEYILEYVFQITVSRSKWIDLGVIFSMIIVYRIIFFFMIKINEDLTPWVRGYLARKRMKQKNGGQINTTVAPYGLTQSPSLRTYVADHRKR >cds-PLY89676.1 pep primary_assembly:Lsat_Salinas_v7:3:184645677:184648978:1 gene:gene-LSAT_3X110880 transcript:rna-gnl|WGS:NBSK|LSAT_3X110880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATMKITMNVPQTFFQFKDCKHPTIKSNHIHVLSWHTLSTINLFSTIQSRDARTMDSCNSRKILGSYVRPLLAVEPGVEASISDENVVVIKNANIVVESQDDDKMQVKVELSGKETQIVFDKVLTNLARTAPPVPGFRRQKGGKTSKVPKSFLLSIIGEDRVTKFVIQEIVTSTVADYVKKNNILVKENKINTIQSAEELKSSFNPGSDFGFSATLELEKLDTETSSSDTTEA >cds-PLY67487.1 pep primary_assembly:Lsat_Salinas_v7:6:70259205:70260822:1 gene:gene-LSAT_6X49381 transcript:rna-gnl|WGS:NBSK|LSAT_6X49381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRGVEIWLGRTLQKLDSLLLGRMLNTTGEDVEHNPKVVEVDKSDISGLTWMKVPWTNQLAVRIKDGLKYKFTGFRDQQAFEVSLVDVSQTQLQGKNDVILEFHVDDTTGANENLGQLKVHPGGILWKRQGGGKAVEVDKSDISGLTWMKVPRTNQLAVRIKDGLKYKFTGFRDQDVISLTNFFQNSCGLTTEEKQPMTFSDDITTILSSINLNGSIQPMTFSDDITTI >cds-PLY74386.1 pep primary_assembly:Lsat_Salinas_v7:6:154572450:154574018:-1 gene:gene-LSAT_6X93621 transcript:rna-gnl|WGS:NBSK|LSAT_6X93621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLFPMNSSRELRNGGSDHALLYLNVYDLTPVNNYLYWLGFGIFHSGIEVYDMEYAFGAHEYPTSGVFEVEPKSCPGFIFRRSIPLGSTNMSPSEFRSFMEHLSNKYYGDTYHLIAKNCNHFTNEVSMRLTGKPIPGWVNRLAKLGSFCNCLLPENIQVAAVRHLPDHATISDEESDSGDSSLTMGSEEDEVDDDHRHHLLTESNSDVAFLQETPVRLAKDLL >cds-PLY97109.1 pep primary_assembly:Lsat_Salinas_v7:4:76060832:76061855:1 gene:gene-LSAT_4X51380 transcript:rna-gnl|WGS:NBSK|LSAT_4X51380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAFHADDDYDYLFKVVLIGDSGVGKTNLLSRFSKNEFSLESKSTIGVEFATRSINVDDKIIKAQIWDTAGQERYRAITSAYYRGAVGALIVYDITRSITFENVQRWLKELRDHTDQNIVIMLVGNKADLRHLRVVQMDDAKAFAERENNFFMETSALESLNVENAFTEVLTQIYHVVSKKALDIGNDPMVVPKGQTINVGGKDDVSAVKKAGCCSN >cds-PLY64902.1 pep primary_assembly:Lsat_Salinas_v7:1:166671586:166673417:1 gene:gene-LSAT_1X111881 transcript:rna-gnl|WGS:NBSK|LSAT_1X111881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIKLAVWRYENLVGCGINQKAAEDDMNDILTRTLNLGYDDTNKEGISDDTVNKVIGEKNKDDESIAPSLVKGCVGGEGLNDKAKKDGQGVVECEVGTDFENRAVGDQNKNENQIVEDSSVKDGKESNKEEKKVVGEETTKEGDELNKDQKIIEWKDSNETKSLIKDKAEGKFEKFSGPSFSLGFSQDSQGFKNPS >cds-PLY75213.1 pep primary_assembly:Lsat_Salinas_v7:2:203572732:203575737:-1 gene:gene-LSAT_2X124361 transcript:rna-gnl|WGS:NBSK|LSAT_2X124361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEHFRLERHQLLTLTLIICLQFQNLSSCFSLNDEGFSLLRFRDRVINDPSGALTNWNDDLGVTNPCSWFGVGCSKGHVISLNLINLRLRGTLAPDLGNLSRLKSIILRNNSFYGTIPEKIKKMKELLVMDLRYNNFSVTLPSDLGKSKSPTIILLDNNKLLDDISPDLQQEVKSHRKLLQDENIPVPAQPLPPFRFPFLSSPPPSPSPSPPPSPSPVTSPSPSPSPEPSTVPNQTXXXPPLPQSPRLHLPFLLQFPNNKIATVRPWATGLSGQLQKAFVTGVPKLKRSELEAACEDFSNVIGSTSSGTIYKGTLSSGVEIAVASVAPPSVKDWSKHLESLFRKRIDMLSKVNHKNFVNLLGYCEEDTPFTRMVAFEYAPNGTLFEHLHIQEAEHLDWGMRMRIAMGMSYCLDYMHQLTPPVAHKNLNSSSVNLTEDYAAKISDFGLCNDSSMANTEPTPESNVYSFGIILFEMITGRIPYAGGDKIDDWALDFLRGENLMTELADPTLDSFDADQLEAFGKVIRSCVDSDLKRRPEMREVTSRLKEITRIAQDGATPKISPLWWAELEILSTEAT >cds-PLY92969.1 pep primary_assembly:Lsat_Salinas_v7:8:98492985:98494753:1 gene:gene-LSAT_8X68441 transcript:rna-gnl|WGS:NBSK|LSAT_8X68441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIVCSGCRSILLYPRGASNVCCALCNAVTSSPPPGMEMAQLICGGCRTLLMYARGATSVRCSCCHTVNLAPVSNQLAHVNCGNCRTMLMYPSGAPSVKCAVCHYITNANMGNGRVPAATSASEVPHSYNQTVVVENPMSVDESGKLVSNVVVGVTTEKKSVK >cds-PLY84055.1 pep primary_assembly:Lsat_Salinas_v7:6:186338526:186342174:1 gene:gene-LSAT_6X113360 transcript:rna-gnl|WGS:NBSK|LSAT_6X113360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSRIFKGSNHEVSEGEYNWRYEENTTSAGNYPSTSWDPQSEIEDIDRAIAISLAEEEGRGKHDVISDDSQLKEDEQLARALQESLKVESPPRNRHVNGNGNGNGNIYQPIPFPYSTGFRICAGCNYEIGHGRFLSCMGAVWHPECFRCHACNQPIADYEFSMSGNYPYHKSCYKEHYHPKCDVCQHFIPTNAAGLIEYRAHPFWAQKYCPFHEHDGTPRCCSCERMEPRETSYAALNDGRKLCLECLDSSVMDTSECQPLYLDIQVFYESINMKVEQKIPLLLVERQALNEAMDGERNGHYHMPETRGLCLSEEQTVSTVLRRPRMGMGNRVPDMKTEPYKLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLQGYRTLSQDVEEGICQVLAHMWLRSQIALISSGTTSSSSSSSSSSSATSSRKGGKRSPFDKKLAEFFKHQIESDMSPVYGNGFRAGNQAVIKYGLPRTLEHIRLTGTFPF >cds-PLY71198.1 pep primary_assembly:Lsat_Salinas_v7:2:133982848:133983591:-1 gene:gene-LSAT_2X61341 transcript:rna-gnl|WGS:NBSK|LSAT_2X61341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGDVIIPYPFGIGVNCSVSERYNVDCNRSTPYLPALNNVQVLEVNLEYQTVTVNVSMISDCHNLIRTSSQILGIELGESSPFLFSRLHNLFVVEGCGNAVILDQGTAVTGCSTTCRNESVGVKDRCLGITCCQTRLPYYLESYCMDLTGLERQGGDEACGSAYLVDKKSYDEGRFSSKSVAGDNTYIPISLLWTLSEREFSRIKCCSMGFNLKADTGNGGSIKSWKCVFPMGNKGSPYLVDGCYGM >cds-PLY69072.1 pep primary_assembly:Lsat_Salinas_v7:5:276996884:276997690:-1 gene:gene-LSAT_5X144661 transcript:rna-gnl|WGS:NBSK|LSAT_5X144661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIEQTAPLMAKKLWSIIRAILYMVKRGLSKNIPWLEFHMMLKRSTKIAGKAIGNLLLEHQTLSSALTCRPNNIRATFISPREYEFSCSDTPLFHSKRKNNRHHYFASNHHHGALYRRSHKDYDLTVDNVKRVFDILNNYEAATMVEPEKSPLTLLGFGGSQNVRQLRVTDSPFPVNNTEEDTLQVDKAAEEFIKNFYNDLKQQKKRAAVQPPSPLLSPSPNYQKWGLLR >cds-PLY99883.1 pep primary_assembly:Lsat_Salinas_v7:4:44934026:44935770:1 gene:gene-LSAT_4X31900 transcript:rna-gnl|WGS:NBSK|LSAT_4X31900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGEGKVVCVTGASGFIASWLVKLLLQRGYTVHATVRSLDDPKKTKHLLDFDGAMERLSLFEASLLEEGSFESAVNGCQCVFHTASPIMFPVSGQQLWYPLSKTLAENAAVEFAKNKGLELVVINPGNGISPIWNYQLVDVRDVANAHILAFENPQANGRYIMAADVYHSSDIMKIINQNYPAFDYSERYKDSKYVGTPNYFVSRTKAESLGVKFTTVEESIKDTVESLKEKKFLSF >cds-PLY98210.1 pep primary_assembly:Lsat_Salinas_v7:7:173843692:173845048:1 gene:gene-LSAT_7X103061 transcript:rna-gnl|WGS:NBSK|LSAT_7X103061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHEEHTSMCSNGRLSKKLKNKKLPQRGMGVAQLEKIISEEHQKNDVTILAPNSNNFTPPPPSMSIALPPPMPPNHHRRLIQITDATNPVFVSKPMHSIINGGRLWIGGDYRFVLENPNLNPSMSTNRSDLQRSHLFQQPCSSLMIELPSNQSNCSNNYHPPLKPHEEKMIGMKRPYPFSKENMPIPSFNLKFPFSSSYSNGFREKKSSNLVAKDFLTLVPPQIQSSTLPQQQGQTEDVSGSERSNEQPFYSFFPAAKNHGNNNGEEGEHVDLSLKL >cds-PLY91651.1 pep primary_assembly:Lsat_Salinas_v7:8:12952609:12955871:-1 gene:gene-LSAT_8X10281 transcript:rna-gnl|WGS:NBSK|LSAT_8X10281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDTLSSKVDRSAGAGDEDRAANLPRTFKYLLATQFLSRGIPFIFNSWIVRHLTEEDYAMYAVQFHLFVTCVLFLSREGFRRACMRADIRCDDALTSENTTKLLKLAWITLPWGVFITIVGCVFVFWTQGLSFSNPYGQAILINAFACILELLAEPLYILSQNLLLLKLRLIVETAATLLRCLTVYIFIIMQIDMERAIVFALSSTAYGGCIFFFYWGYFLFLKRYKLSVLFPFSVGNYDKQLSKMCTLFTLQSFQKLILQEGEKMVLVWFDTPYNQAVYGLVDKLGSLVVRLVLLPFEESSYATFAKSASGDDKQKKRKLQTSLKDALKLVILIGLVFMAFGPSYSYSLIRLLYGKKWSDGEAASALRYYCLYVVVLAINGTSEAFLHAVATEDQLKQSNNSLVVFSFIYVVLNILLIRSAGAVGLIFANALNMVFRIIYSAIFIRRYFQDSTSFSFHSCLPGGWMILLFSSAVTLVSERVILDRDNFWPTFCIHFFIGFGCFCVASFVIYRRERSFINKVVRFRQHSD >cds-PLY68983.1 pep primary_assembly:Lsat_Salinas_v7:9:139122121:139123286:-1 gene:gene-LSAT_9X89300 transcript:rna-gnl|WGS:NBSK|LSAT_9X89300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAVTGNGKESQPSTTTSSSSSIEWLQPCRHFKFSEILIATDKFNESLVIGHGGFGKVYKGNIINGSSVTIAAIKRLDSMSSQGATEFRAEVEMLSKLRHCHLVSLLGYCNHEKEMILIYEYMPNGTLEDHLHKLHTPLSWLQRLKICIGTARGLDYLHTGTGIEFGVIHRDVKSSNILLHESWEAKISDFGLSRIGGKNQPTTCVNTLVKGTFGYLDPNYFSTGKLTRKSDVYAFGVVLLEVLCRKRAVDRRLDEEQWGLVTWAQESIKEGNLNNIIDSGIKAQISSKCLKEFVRIVERCLLNNPKQRPTMAEVVVTLDSIQNLQQKIDSSSQTSKTIFGRMLDVFPFNGENPGISSSY >cds-PLY66446.1 pep primary_assembly:Lsat_Salinas_v7:5:320821232:320824466:1 gene:gene-LSAT_5X176401 transcript:rna-gnl|WGS:NBSK|LSAT_5X176401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFNTIKINSTFTSPFESSPRADDDNSPFRKPLSLWPGMYHSPVTAALWETRTKIFERLLDPPKDAPPQSELLTKTPAQSRTTILYNFSTDYILREQYRDPWNEVRIGKLLEDLDALAGTISVKHCSDEDSMTRPLLLVTASVDKMVLKKTISVDGDLKMGGSVVWVGRSSIEVQLEVTQLSIDSTVITETVALSANFIFVARDSKTGKAAPVNRLSPQTETEKSLYKEADARNVMRKLKKGEKKGIENGEQNRLDSLLAEGRIFCDMPALANRDAILLRDTRLENSLMCQPQQRNIHGRIFGGFLMHRAFELAFSTAYTFAGLMPYFLEVDHVDFLRPVDVGDFLRFKSCVLYTEFEHAEKPLINVEVVAHVTRPELRSSEVSNTFYFTFTVRPEAKANDDKFRIRKVVPATEEEARRILERMDAESLLVK >cds-PLY72357.1 pep primary_assembly:Lsat_Salinas_v7:5:6063393:6063851:1 gene:gene-LSAT_5X2961 transcript:rna-gnl|WGS:NBSK|LSAT_5X2961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSKFFLLLVFGALVCTSLARKLSSNGSKGTLRDEKNFYSGVLGGGGFGGGGGGGLGGGVGGGSGLGGGFGGGSGLGGGGGGGGFGGGGGGGLGGGSGFGGGAGGGVGGGAGIGGLSGGGGGGGGGGGGLGGGSGGGFGAGSGGGFGGGLP >cds-PLY90766.1 pep primary_assembly:Lsat_Salinas_v7:3:35931408:35933034:-1 gene:gene-LSAT_3X26920 transcript:rna-gnl|WGS:NBSK|LSAT_3X26920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMLNKEQLDISCILWYQIMLHSLMLVSRMDNKCGFINPQCITSTRCEYDDRGETDHVINDLVDMMNFHEEKQFFLAPYWERRHWMLIVICPHQYKSYILDSARQTKTLKDYTIVEHVNKAVTRFKKTKTNKSRLCPMTWIFPKECGYYVMNWMHEFVLFRQHGFPKNIWKDKKPFSSEELEERVKTWMRTFGDKVKPFCKAYNESTTKGKESWNGDPCVPEHPWTRIDCLIRLEWNWSGIVCLIRLSNARLSKGM >cds-PLY62165.1 pep primary_assembly:Lsat_Salinas_v7:2:153264371:153267467:1 gene:gene-LSAT_2X77541 transcript:rna-gnl|WGS:NBSK|LSAT_2X77541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFESIENQEAIGDGGYECNSTSFEQKASIDSNGVSFHRTVGVLHIALNRVSKWCILVSFGGFILLRDDNQALWAVLGSVLNVVLSFTLKKIINQERPVSEVSCGPGMPSSHAQSISFATIFMILSIVGWVGLNGYSAILSGLIIAVGVYFVYIELSKNMTSPLLAFFSNIIGRILSVDIDLTVSICLSSQTVGLRFCFWIWVVILVYNFDFLLNNNGGLQPVSLGLGFIVEIDSGGGEGP >cds-PLY82068.1 pep primary_assembly:Lsat_Salinas_v7:8:52517271:52519139:-1 gene:gene-LSAT_8X39160 transcript:rna-gnl|WGS:NBSK|LSAT_8X39160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIFSYFTSNIDTEKMGFDDDEFEDAETGTALSLISDAFEELSDLIKKDNGVSIELRLKPFCDACSLVSVLFGSLGIAFKFAEMEYTSKVRDLSEAASLYGTLSKVIDYDVKSDTVQSAESLTRKLRRVRQGLDLIRELFQNFLSTDDYSLKEAASEAYKQVCAPYHTWAVRTAVSAGMCALPTRDQLLLNLNESDESAESEMRRYIKASLPVINYIDNLYTSRGITLDW >cds-PLY62903.1 pep primary_assembly:Lsat_Salinas_v7:4:328143236:328143682:-1 gene:gene-LSAT_4X164261 transcript:rna-gnl|WGS:NBSK|LSAT_4X164261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSRISTGSSNQRVYKEVLCDCELPSRIRTSKTKDNPGKKFRVCPNSLEWIDEEPENMKPIAEDTLSDIADYLKQVLEDVASVREEVKQLKVMIQILILLVIIKVMFWG >cds-PLY68110.1 pep primary_assembly:Lsat_Salinas_v7:8:34474299:34477257:-1 gene:gene-LSAT_8X27601 transcript:rna-gnl|WGS:NBSK|LSAT_8X27601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 10 [Source:Projected from Arabidopsis thaliana (AT2G33620) UniProtKB/Swiss-Prot;Acc:O22812] MNGSESGRLFESSMTPVTSQPPVNNVRVGYTPGVTSSYSDVMFSSGGGGGGSGGASFHHNININEHGGGESMMKKRGRPAKYHPEGSMSPSPVTTARPAKLTPVGVTVSASLNGSFEQQQPQSAPPISAVPPPISAAPVSSIPLDEGFPSQKKVRGRPRGSSNRKKERESLGSPGFGFTPHIIVVQPGEDVLNKIMSFSQNGPRAVCIMSGIGVISNVTLRQAATSGGTATYEGRFDILALSGSFVLSEIYGQRTRTGGLSITLSGPDGRVFGGVVAGLLIATSPVQVIVGSFLPETSKEHTPANHGEPLNPKVMAAHQPNHGPGPGPAPASSPSHGTMSESSGGAGSPMNNSSPQGMASMPWK >cds-PLY85152.1 pep primary_assembly:Lsat_Salinas_v7:9:148648056:148649865:-1 gene:gene-LSAT_9X94880 transcript:rna-gnl|WGS:NBSK|LSAT_9X94880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVITEIIHDPGRGAPLARVTFRHPFRYKHQKELFVAAEGMYTGQFVFCGKKANLMVGNVLPLRSIPEGAVVCNVEHHVGDRGSFARASGDYAIVISHNPDNGTTRVKLPSGAKKIVPSGCRAMIGQVAGGGRTEKPMLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADK >cds-PLY83542.1 pep primary_assembly:Lsat_Salinas_v7:1:65325132:65328170:1 gene:gene-LSAT_1X55341 transcript:rna-gnl|WGS:NBSK|LSAT_1X55341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQRHTPLTFTVRRRAPELIRPAKPTPRELKPLSDIDDQEGLRFQIPVIQFYRSDPKMRNKNPASVIREALAKVLVFYYPFAGRLKEGPARKLMVDCTGEGVLFIEADADVTLKQFGDALQPPFPCLEELLYDVPGYGGVLDTPLLLIQVTRLLCGGFIFALRLNHTMSDAPGLVQFMTALGEMAQGAPAPSISPVWQRDLLFARDPPRVTCTHQEYEEVEDTKGTIIPLDDMAHKSFFFGPAEVAALRRFVPSHLKKCSTFEVLTACLWRCRTIALNPDPEEEMRIICIVNARAKFNPPLPTGYYGNGFAFPVAISSAGDLSKKPLGHALELVMKAKSDVNEEYMRSIADLMVIKNRPHFTVVRAYLVSDVTRAGFDVVDFGWGKAAYGGPAKGGVGAIPGVASFYIPFTNHKGESGIVVPVVLPSAAMKIFVHELDNMLAQDTNGQGHEEHKIFAFSRL >cds-PLY72434.1 pep primary_assembly:Lsat_Salinas_v7:2:138967220:138969614:1 gene:gene-LSAT_2X66121 transcript:rna-gnl|WGS:NBSK|LSAT_2X66121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRSKSRSGYLEFGQKKHQSFLIRSISGSKICRGDALASQVAKEAVVSVDRKSISLSENRIVKAAMMQKQVTDLEEELKNTKARLNEAEREKNRLITEVNAGLSKAFEAKLAERDKYLEQLKKELATVKDESKKQMQELEDQVEKAKQSESKMLESLMFQTQEIMQTKMDLEESKLEVASLYERLENGGNNNTSKGNYNYNHNQNQKQNQNQNQNQIQNQASMETIALKEEIAKLKNEVKHAMEGEEKSKKAMDGLASALQEVATEASMTNEKLRSTEAKVSDLSSEVERLTEELEIQREEYDRLRVESEESYLTWSSKEMGFITCIKKSDEESAAAKHENNRLKEALVSAENTARIAREEAFKLRDILKQALNESNVAKEASNIARSENSELKDLLAEKEDALHFLTKENERLRINEVAARENVKEFKRLLAAKAEAEKFYDEKEHNDDFDSPLSCLYEDHYDGRGTPRQTFSFDFDDLKAFNKDDDVFNNFEDETVADIAVGDDPEKAEALKGSIFDMSASPKSEPQTPKFKPEHRRASSIYTDAGGVGQGEEGENAGSSGHSHSHGHTEDGDDRSYYSRKKLFKKIGELIVGKQTSKKEGSETPKEHGKEKEQSKEQSKEHSKEKEQSKEKEKEQGKEKEEGKEGKELGM >cds-PLY75340.1 pep primary_assembly:Lsat_Salinas_v7:5:52467478:52469199:-1 gene:gene-LSAT_5X26160 transcript:rna-gnl|WGS:NBSK|LSAT_5X26160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFLIIISAALPLFLIFILSKFRNPRSKLPPAPRGLPIIGNLHQLDTSNLPDHLWQLSKRYGPLMSLRLGSVQTLVVSSARMAKEVLKTNDAIFCSRPVLTGQKKITYGYKGLILTPYNDYWREMRKICTLHLFTSRRVLSFRGDREEEVMFMINKIKSQIVTSSSSSSEVVVNLNESVMTVTSMIICRMAFGKRDGPEMSRFHGLLLECQAVLVHFYFRDYFPLMGWIDHLNGSMARLEKNFKDMDAFYQELVDEHVNSENRPKNMQHDIIDILLQLKNDNSFSLDLTFDHIKAIVMDIFLAGTETSASVVVWAMTLLIKNPKALKRVQEEVRNAVGKKGKIDEDDLPKLDYLKAVIKESLRLYPATPLLVPRETSDICVLNGYEIPKKTLVYVNSWAIGRDPEYWEKPEEFEPERFLGSSYDYKGTEFEFIPFGSGRRGCPGMSIGATTMELILSNLLYTFDWKLPDGMKGEDVDTMTTPGLVLHKKNVLYLVAVDNKIDPNN >cds-PLY69710.1 pep primary_assembly:Lsat_Salinas_v7:2:40980404:40989464:1 gene:gene-LSAT_2X20221 transcript:rna-gnl|WGS:NBSK|LSAT_2X20221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCKSLRLRNSYEFINSDEGGGGADIADNIEEDIRALQLDSSGEDSNMVTNENGGEHQESIALDKLEEDLRNESQTDSHMEEDANPHTGHSDPQAAIAVKEQATSAPEPVDDEKNKKRHLNVVFIGHVDAGKSTIGGQILYLSGQVDERTIQKYEKEAKDKSRESWYMAYIMDTNEEERAKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMIMGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVTKLLVVVNKMDDPTVNWSKERYDEIESKMVPFLKSSGYNVKKDIQFLPISGLFGTNMQTRMDKKICPWFNGQCLFEALDVIEVPLRDPTAPFRMPIIDKFKDMGTVVMGKVESGSIREGNNLLIMPNKVQVKVLALFMDEDRVRSAGPGENLRVRVSGIEEEDILSGFVLCSIEKPIPVVHEFIAQLQILELLENAIFTAGYKAILHIHSVVEECEIIELMHQLDPKTRKPMKKKVLFVKNGAAVICRIQVTNMICIEKFTDFQQLGRFTLRSEGKTVAIGKVTDLRA >cds-PLY94317.1 pep primary_assembly:Lsat_Salinas_v7:7:165784920:165787928:1 gene:gene-LSAT_7X97581 transcript:rna-gnl|WGS:NBSK|LSAT_7X97581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHFSLLQAISLLYFFFTLTCIGSSLSHDQECSALFQFKQSMIYPDDEPFCGASWFQTFHSWKPTSNASNAGFDCCSWYGVECSNDHEYGHVIGLDLDGCSLCGHINSTSTLFSLVHLQSLNLAFNYFYESQIPSEISHLKQLRSLNLSYSGFSGHVPNEISQLMHLSSLDLSLNPLKLQNPSGLKNLVQNLTGLEELHLSWVDISSSVPHFLANFSSLRSITLENCLLQDEFPAAIFQLPKLKILDLALNTNLTGTFREFRNSSLLELVHLHLTSFSGILPESISNLNHLTFLSVSNCSFTGHIPGSLSNMTQLTKLSLGGNKFTGFVPSLVSLSKLIILDLSDNRFEKGVLPNWLGMLAELEELHVYDTNINSKIPAFLANLTKLSVVAMGINSFTGHIPSWLFNLTQLSFIDLQRNQLQGPISSSFSNFKSLKVFQFSFNNFSGSVDVDMFLGLNKLEMLDLGHNMISLVATNNYTNSTLPELKILALSTCNLKEFPAFLRFQNKLELLFLDRNKIDGMVPVWIWNNSKETLHAVDLSYNSITGFHQYPQFLPWSRLQVFLIKNNQLQGKLPIPPQTTVVYVASDNNLTGEIPPLICEVKSLRLLRLSSNNMSGTLPPCLGNLFNSLLVLDLSRNNFHGIMMNVFMYGSQLKYIDFNKNQFTGELPRSLTNCTNLEFLNLGDNSFHDIFPSWLGNLPNLQVLSLRANKFYGPIQSSTTVSSQFPKLRIIDLSNNDFSGQLHQNYFQTWKAMKSVYASKSSVMESDMTIIPFGTKDTFIRALYSYSMTIIHKGVRTKYEKILTIFTAIDLSCNHFEGEIPPSLQDLRGIESLNLSNNQFTGRVMPSFGYLKKLESLDLSQNHLSGEIPQQLVQLNFLSIFNVSFNHLEGQIPQGQQFETFENDSYMGNPQLCGKPLYNECQGSMPSRLPPASNMSEAESLLPNETIDWIFVFCGVASGLVVGVVIGNFLYERYSDRFTKRKNRWVRPLRHTRRNQGIIIH >cds-PLY79417.1 pep primary_assembly:Lsat_Salinas_v7:3:80114761:80115404:-1 gene:gene-LSAT_3X57521 transcript:rna-gnl|WGS:NBSK|LSAT_3X57521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTEEGENIDTPKRKSAINERTEDIVSDPLAVEDALVGLFDHSDHTLERCVVETYVRRLYQPYLRKGSVKMQWHKSGLIASWQFMEGHMEEVNTSENEMTEKPLVEKKWGAMVIIKSLLVFPDVIKAALNETTNKSQGTSQNGLSDPNNHGNMMHIALAGISNQMSSLQDRYYYGIFQFNIWL >cds-PLY84496.1 pep primary_assembly:Lsat_Salinas_v7:1:29657449:29658799:1 gene:gene-LSAT_1X25180 transcript:rna-gnl|WGS:NBSK|LSAT_1X25180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAKLASGLLSSPYTQHHRRKLPRLPLHHQYSQPGKHVTLALRRNGITCKAADVSSSGVSEDTRNWIPVVPVSALPKGERRVIIQNGEEILLLWYKDEVFAIENRSPAEGAYSEGLINAKLTQEGCIVCPATDSTFDLRNGSIKEWFPKNPVLRVLTPALSNLFVYPVKVDGENIYISMGRSAPSVASAEIVFSGKAQPGITATDVNVDEVRMVVDEGSGGFGFTNKNELINGKAAIIGFLLLLDFELLTGKGILKGTGFLDFLYSNIK >cds-PLY82117.1 pep primary_assembly:Lsat_Salinas_v7:1:15581463:15582586:1 gene:gene-LSAT_1X14020 transcript:rna-gnl|WGS:NBSK|LSAT_1X14020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDDAADKALKKPDKILPCPRCNSMDTKFCYYNNYNVRQPRHFCKSCQRYWTAGGTMRNMPVGSGRRKNKNPTSRCQFVTISQEAFQAAQIEVANACNGVQNVPLKLLSFGSDSPDYNCRNKENGDDCSTGSTVTTSNSSVERMHERNGFHSHVPSIPGHPWSYNPWNCAIPIPAGPMYPSPYWNYIPWLPQADSSILGKHSREGELMSPNGFEEAKKQKSSVLVPKTLRIDDPDEAAKSSLWLTLGIKNESIRTGGIFKAFQTKVEENKKHHDITTSPVLQANPAALSRSLCFQERA >cds-PLY64818.1 pep primary_assembly:Lsat_Salinas_v7:2:101739198:101739665:-1 gene:gene-LSAT_2X46640 transcript:rna-gnl|WGS:NBSK|LSAT_2X46640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSINIDDDDFFSSHTSEHFTQPPPSADSPFGNPNKRAKPSTLRPRAPSASPDPPSYASPKASITDDDLALEMQKALHHLTQGPTTPQCLEKLELLELDPVDPLRFAAYHIFGGTMNIREMWVNLPNDPQILRGWIEMTTISLGVLKDGKIVR >cds-PLY71849.1 pep primary_assembly:Lsat_Salinas_v7:3:58169520:58181443:1 gene:gene-LSAT_3X45581 transcript:rna-gnl|WGS:NBSK|LSAT_3X45581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVTTIVCGEKEKDERCSPVVKRFCFTTVRLPPPSAFPNDDSTLLPAFLLHCHSTLHSIVANAKQLIKLNNNKYLQRDAPKGYLAVYVGEIQRKRFLVPLSFLDQPLFQDLLRRSEEEFEFNHPMGGLTIPCHEEAFIRLTTQLRTNS >cds-PLY85547.1 pep primary_assembly:Lsat_Salinas_v7:2:196798581:196800588:-1 gene:gene-LSAT_2X118021 transcript:rna-gnl|WGS:NBSK|LSAT_2X118021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPIRMVMIVVVLMVNAACVVAIRCPDCGKTPVPYPLSTTPLCGHQSYKIRCDMEVLKFVTGRYTYPIISIFQKNQRLVIGPSDLLPDTCVTSDLANEGLQLNTSLPFTITQSNTVLFFNCTEPTGKLAYDCSPASACNACQNGLPEMSICRKTPRCCTFRKGSYTNLYSIQLNIDRCRAYSSFVNVNTSLPFAKWPNPGVELMWAPPPEPPCSSQGHCDSTSTCRDAHDGGTRRCFCNRKFRWDAIAGQCTKDLKKVKAKRKVLGATAVCIGGTISVAVVFAITMFIRRQKIKAARKRLACERQNILRSSGGGKSSKIFASKEIKKATNNFSSAGLLGVGGFGQVYKGVLDDGTTVAVKCPKLGNTQSIDQVLNEVRILCQVNHKNLVQLLGCCVELEQPFLVYEYIQNGSLYDHLHGKNKRHLTWSQRLAIARDTAEGLSYLHFSASPPIYHRDIKSSNILLDSRMRAKVADFGLSRELAQADVTHVTTCAQGTLGYMDPDYYWNYQLTDKSDVYSFGVLLLEILTCQRAIDFCRPTDDVNLAAYIKRIVSEEKMVDAIDPSLKKDATPLEIDAMKAFGFLAMSCLEEKRENRPCMKEASEEIEYIMGIVATRLELDDHGSDN >cds-PLY87006.1 pep primary_assembly:Lsat_Salinas_v7:5:255102559:255105955:1 gene:gene-LSAT_5X127821 transcript:rna-gnl|WGS:NBSK|LSAT_5X127821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGFLYETLSPLSSNVAASTIASTPPPAPSSPTPSDSEPYLVLRNHIPISTNSTPLPETSAPEFFSLDVDADDWRTPTPPLKRSRLATPLPDEEPTRSLEAGWFRANCRFKSPMLQLHKEILDFCDFLSPTSEEQVSRDTAVESVSDVIKYIWPMCKVEIFGSFKTGLFLPSSDVDMVILDSHIRTPQMGLHALSRALSQRGVAKKIQVIAKARVPIIKFVEKRSGISFDVSFDMENGPKAAEYIQDAISKWPQLRPLCLILKVFLQQRELNEVYSGGIGSYALLAMLIAMLRNSLDTHASPEHNLGVLLVTFFDMYGRKLNTSDVGISCNDGGTFFSKKSKGFLNANRRSLLAIQDPQAPDNDIGKNSFNYFQIKSAFGMACSTLTNTKLIMSLGPNRSILGTIIRPDKVLLERKGGLNGDVTFTNLLPGAGDPLEKDFVEHEGLFCNWNVDDEEEPLPRGKGVMISDDDDDDVAAGSTSKKKSKRGVKLYKSAKVKVKKVKKRKRASSEA >cds-PLY69064.1 pep primary_assembly:Lsat_Salinas_v7:3:206834495:206837966:1 gene:gene-LSAT_3X121300 transcript:rna-gnl|WGS:NBSK|LSAT_3X121300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATVSDVSGYDRLTELKAFDQTKTGVKGLVDAGIRQIPRIFIHPPETSPKTSTTFEIPVVDLGSTDRASTVEVILAASENLGFFQVVNHGIPVSVMDEMLQGVRRFHEQDLEVKKRLYSRDFSRSVVYNSNFDLYSSPATNWRDTFSSFMGPSTPPPEELPEVCRDIQIEYSNHVLKLGSLLFRLISEALGLNDNYFGDLDFDKGILIAGHYYPACPQPDLTMGTAKHTDNGFLAVLLQDEIGGLQILHQNQWVDVPPTPGALVINIGFLQILSNDKLRSVEHRVVANVKGPRVSVACFFGTSLAPSEKVVGPLPELVSDENPPRYRETTYYEYGQHSISKALDGVPQLLHWRI >cds-PLY93015.1 pep primary_assembly:Lsat_Salinas_v7:4:193855878:193857266:-1 gene:gene-LSAT_4X110701 transcript:rna-gnl|WGS:NBSK|LSAT_4X110701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVALLWQMTTFDVLALSGKYNEKRMKETKLHCKRTHITRRNATSLFLFLSSSIPFQSQQAYAAESSILDMFRMTVPDQTVEEAENGIREHAMSLVQVKDLLELESWKEAQKELRKSASYLKQDIYTIIQGKPGMERPQLRNLYSKLFNDVTGLDYAARDKDVPRVWKLYKDIVVTLDDILSKV >cds-PLY83210.1 pep primary_assembly:Lsat_Salinas_v7:1:49428790:49431849:1 gene:gene-LSAT_1X43980 transcript:rna-gnl|WGS:NBSK|LSAT_1X43980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetate/butyrate--CoA ligase AAE7, peroxisomal [Source:Projected from Arabidopsis thaliana (AT3G16910) UniProtKB/Swiss-Prot;Acc:Q8VZF1] MAMEGRRHEKERDIDDLPKNEANYTALTPLWFLKRAALVHPHRRSVVHGSVQYTWLQTYLRCCRLSSALSKHSVGFGSTVAVIAPNIPAMYEAHFGVPMSGAVINAVNIRLNAPTIAFLLEHSASAVIMVDQEYFKVAEKALKILQEKIKTNFKPPILIVIKDKSSECDPNNLQYAIAKGAIEYEKFLESGDPEFIWKPPQDEWHSIALGYTSGTTSSPKGVVLHHRGAYLAATSNVVVWGIPEGAVYLWTLPMFHCNGWCFTWTLAAICGTNICLRQVTAKGVYSAIANHGATHFCAAPVVLNTIVNAPPKDTILPLPRKVHVMTAGAAPPPSVLFKMSQNGFRVTHTYGLSETYGPSTICAWKPEWDDLPPETQAKLNARQGVPYTALEGLEVMDTKTFRPVPSDGTTVGEIVFRGNIVMKGYLKNPKANTEAFANGWFHSGDLAVKHPDGYIEIKDRSKDIIISGGENISSVEVENTLYQHPMVGEASVVARPDTRWGESPCAFVTLKEGIGEYDEGKIADDIMKFCRSKMPAYWVPKSVVFGPLPKTATGKVQKHLLRAKAKEMGPVKMSKL >cds-PLY98121.1 pep primary_assembly:Lsat_Salinas_v7:1:138158309:138159982:-1 gene:gene-LSAT_1X98780 transcript:rna-gnl|WGS:NBSK|LSAT_1X98780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTSGDRVKYVGPSVLVEEDNRPLGTGQRGEIYEISGEIVAVILDSTKHDDQSAKPSIYWFFAKHIACDFDSEAKDCYIAMQALPEVAYMLLSVLKVVQPLIVYFPHSSLWLSRVVCNRKEFVNRLQEMFDQISGLLF >cds-PLY99600.1 pep primary_assembly:Lsat_Salinas_v7:5:241686763:241687387:-1 gene:gene-LSAT_5X119041 transcript:rna-gnl|WGS:NBSK|LSAT_5X119041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMCFCGREAVVRTSWTSANPGRRFLSCPQKGSRCQFLGWIDPPMCARAMLIIPELLRNINKKKVVITINVFHKHDNQQLV >cds-PLY91561.1 pep primary_assembly:Lsat_Salinas_v7:1:11290663:11291475:-1 gene:gene-LSAT_1X9501 transcript:rna-gnl|WGS:NBSK|LSAT_1X9501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQCEGRGSASRTTMVVLVTMVWLMVQSIGPVDGAVYTVGDSNGWSFGSNTWTKGKRFKAGDTLVFNYDSTIHNVVVVNKGGYNGCTASAGAKVYNSGKDRLKLSKGVNFFICSIAGHCQSGMNIAVTAA >cds-PLY82070.1 pep primary_assembly:Lsat_Salinas_v7:8:52365173:52372224:-1 gene:gene-LSAT_8X39220 transcript:rna-gnl|WGS:NBSK|LSAT_8X39220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDNEERKHRRSSDDESEEPSKRRKHRHHRRRHHRHHSRKHDKHEDRREEGEEETTDMPPPPLPLLSSANSQPDYDMEEGEILEEDGADVGVKKTADSDAESGEIKMETHGALVVPNHSHLKEESLSKEDNSNGHMRSVSPGGNDNIKTLYDEDHETRAHKKHPSNDNHLKTHKDSSRNHAHERSREDVSTTSRSRSHEQGRERSRSRSISHGASRLETHKEDIEYYTSRKHSGDADIERTNKPSKDYKHGSRDLIRDKERERSSSHSRHSLQVDKHHSRDPGERIREGSRDRERDRDRGRDLKEIERDRMKKKEAEVERLNKSNKDSERYRDRERDRERERERERDRDNRDRRERERWDLERDSRSRRNYDYNDRNNDYKHHRPEETDYRDRTRKYDGQKGESHKGAPSDVDIEKTKREEADEEMYQERSSLQIEEDEEEDLNRIKEESRRRRQAILEKYKTKSLKQQQQQPVPQLEDTSKANSGTLEGQGELSDSNTGDTPFSVGRSPPQNGVERTSGTGGLGEGTPKSERSNGDDIFGESPPHARERKEERSNDMFTDDIFGESPTGVRKPGKGDGLAIQRSGLQDNWDDAEGYYSYRFGEVLDSRYEVLAAHGKGVFSTVVRAKDLKAGSTDPEEVAIKIIRNNDKMYKAGLEELVILKKLVGADMEDRRHCVRFISSFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLRNCGVLHTDIKPDNMLVNDAKNVLKLCDFGNAMFAGKNEITPYLVSRFYRAPEIILGLTYDHPVDMWSVGCCLFELYTGKVLFPGATNNDMLRLHMELKGSFPKKMLRKGAFTEQHFDSDLNFVAIEEDPVTKKTVKRLVNMKAKDISSIVMSSPGEDLKMVANFKDLLEKIFILDPDKRLTVHQALSHPFITGK >cds-PLY74219.1 pep primary_assembly:Lsat_Salinas_v7:1:77456324:77457845:1 gene:gene-LSAT_1X65480 transcript:rna-gnl|WGS:NBSK|LSAT_1X65480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PDX2 [Source:Projected from Arabidopsis thaliana (AT5G60540) UniProtKB/TrEMBL;Acc:A0A178UA48] MPVGVLALQGSFNEHIAALRRIGVKGVEIRKAEQLDNVSSLIIPGGESTTMAKLAELHNLFPALREFVKMGKPVWGTCAGLIFLANKATGQKEGGQELVGGLDCTVHRNFFGSQASNFIIFLIKALYFHNFNVIFKNTKQIMKVCCYFLHNNIQIQSFEAEVPVPDLAAKEGGPPSFRAVFIRAPAILEVGPDVEVLASISIRSTDIVDPSQEAQPDTKVIVAVKQGNLLATAFHPELTIDSRWHSYFVKMSVGNNEIVASSSSSSSYEDQESFLYNKQSKTDLPVYE >cds-PLY85155.1 pep primary_assembly:Lsat_Salinas_v7:9:145951686:145952418:1 gene:gene-LSAT_9X93500 transcript:rna-gnl|WGS:NBSK|LSAT_9X93500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKNDFDFRLNPCDGNPNWNSEMHLYKYNITVVYVCSYPGGVCHVVAISLKGQDLESVLPSSLAKLHYISDLNGNTSQFLNLSSMTNMEILILRCWRISDSISEMSKLRHL >cds-PLY80775.1 pep primary_assembly:Lsat_Salinas_v7:5:103199118:103203614:1 gene:gene-LSAT_5X48300 transcript:rna-gnl|WGS:NBSK|LSAT_5X48300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc1 [Source:Projected from Arabidopsis thaliana (AT1G14560) UniProtKB/Swiss-Prot;Acc:F4HW79] MGSSQGSSLSTNVAGLVEGSSNGREVAYLDTLPVYVKELIAGGAAGAFAKTAVAPLERIKILLQTRTQGFQSLGVYQSLKRLLKHEGLPGFYKGNGASVLRIVPYAALHFMTYEQYRCWVLDNYTGLLGTGPVVDLLAGSAAGGTAVLCTYPLDLARTKLAYQVVDEKASLGNGCKSKSITTTTQPRYSGIRNVLQSVYTEGGMRGLYRGVGPTLIGILPYAGLKFYIYEKLKRHVSEEHQRSIMMRLCCGALAGLFGQTFTYPLDVVRRQMQVENMQALGGGRHKNTWQGITTIVSEQGWRQLFAGLSINYIKIVPSVAIGFTAYDMMKSWLRIPPRQKTQSVSAA >cds-PLY97024.1 pep primary_assembly:Lsat_Salinas_v7:4:125396143:125397894:-1 gene:gene-LSAT_4X79741 transcript:rna-gnl|WGS:NBSK|LSAT_4X79741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNGRKDSMYQKWRWQLKDCNFPKFRGKLLVEKLKNKRLMFVGDSLNRNQLESMVCMVQSVVSLGRKSLIKTGSLTIFRIEEPDGLFTILYVFVTYHVMCQKTDGLHRSRSPPRRSRSPSRSRSPEGGGNEKASTSSPYSHGRADSRSPLQRSDFDGFPGPMGLMSTSHLEQEAAVLALSTLMTIAPAEVYTEFEKEIFLFMNFQSNFYCFTSLEF >cds-PLY73106.1 pep primary_assembly:Lsat_Salinas_v7:9:21976641:21980081:-1 gene:gene-LSAT_9X21020 transcript:rna-gnl|WGS:NBSK|LSAT_9X21020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRSFNRYLRVLPLLLWYLSMLNINLIGRGVMAFHKVHLNYRIDNVVDVKNVYRTGYHFQPKKNWINDPNAPMYYKGFYHLFYQYNPKGAVWGNIVWAHSVSTDLINWIPLEPAIVPSKPFDKYGCWSGSATILPGDKPIILYTGIINKKPEPGHQVQNYAIPANYSDPYLRKWIKPDNNPIIKPTHENVSSFRDPTTAWFNNGHWKTVIGSKNNHRGIAYLYRSRDFIKWTKAKHPFHTKSNTGMWECPDFFPVSSQETSGLDTSALGDDVKYVFKVSLDMTRFDYYTIGTYNVIKDKYVPDNTSVDGWAGLRYDYGNFYASKTFFDPIKKRRILLGWANESSTTNEDIAKGWAGIHLIPRKLWLDPSGHKLLQWPIRELDKLRGKMIELRNVKVNKGDTIEVKGITSSQADVDVTFSFSSLDKAEMYDKKWEKFPVENLAKSICGIKGSNVQGGLGPFGLLTLASSKLEEYTPILFRIFKTIDNKHKVLLCSDATPSSLNPNEYKPSFGGFVDVDLSEKKLSLRSLIDHSVIESFAEGGMTVISSRVYPTLAVAGNAHLHVFNNGSEIITIERLNAWSMKTARIN >cds-PLY95862.1 pep primary_assembly:Lsat_Salinas_v7:5:317920746:317923530:-1 gene:gene-LSAT_5X173920 transcript:rna-gnl|WGS:NBSK|LSAT_5X173920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHWKNEFVSSMKHYWLGIKLLGADVRISSRMLLKLANGKSLSRRERQQLTRTTADIFRLVPVAVFIIVPFMEFLLPVFLKVFPNMLPSTFQDKMKEQEALKRKLNARIEYAKFLQDTVKEMAKEIQNSRSGEVKKTAEDLDEFLSKARTGAVVSNEEILGFAKLFNDELTLDNISRPRLVNMCKYMGIQPYGTDAYLRYMLRKRLRWIKSDDKMIEAEGGVDALTDDEVREDCRERGMVGLLTVEEMRYQLRDWLDLSLNHSVPSSLLILSRSFTVSGKLKPEEVVRAALSSLPDEVVDTVGVTSLPSEDSVSERKRKLEFLEMQEELIKEEEEKEEEEQAKKKESVGIEKDVTSLKEEMISITAPEAQEEARAKALEKQEQLCKVSEALAVLASASSVSREREEFLMLVNKEINFYHSMMEKEGIDSRKEAMEAYRAARMESEDSTSDEQAVADEVSSALINRVDAMLQNLEKEIDDVDAKIGDRWRVLDSHILERYFREGRGSGAYHQPFQR >cds-PLY72161.1 pep primary_assembly:Lsat_Salinas_v7:7:58316344:58317970:-1 gene:gene-LSAT_7X40700 transcript:rna-gnl|WGS:NBSK|LSAT_7X40700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHILPPLSSLHYPKLTLNSTSSSHTLAFNNTCYKPIIKPPKFLGFSNPPNHRTHFRKLTCHGIKDPAKELKVGLDLDGGGGGGGGDGGGDGGDENEEKKGGFLPDWVDFTSDDAKTVFAALAVSLAFRSFIAEPRFIPSLSMYPTFDVGDRIVAEKVSYYFRKPCPNDIVIFKSPPVLQEVGYTDNDVFIKRVVAKAGDLVEVHNGKLIVNGVPRNEDFTLEAPKYEMNQIRVPENYVFVMGDNRNNSYDSHVWGPLPSKNIIGRSVLRYWPPARIGGTILPGGCATDKPENIISTTSLQPPQQDSSTSLASQ >cds-PLY75236.1 pep primary_assembly:Lsat_Salinas_v7:7:65219758:65224604:-1 gene:gene-LSAT_7X46600 transcript:rna-gnl|WGS:NBSK|LSAT_7X46600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSASLLYHTSCYDVFLSFRGEDTRNSFTDHLYAALVRTGLRTFRDNDEIDRGQQLKPEIERAIKESKACIVVLSEKYANSRWCLDELVLILEQRRSFNQFVLPVFYHVDPSDVRNQRQSFAIDVDERAEKLKWTEFNVNRWKTALTEVADLSGMVVSGSETDFIAEIVGTINSELDLKLVSTPAHLIGMDSRAKFINSWLENEQSGDNVLAICGMGGSGKTTLAQFIYNSNKQKFGSSSYLEEIGKHYKQSDGLLGLQKQLLSDILGEKNASISSVSEGTRKVEEALQVKRVLIVLDDIDEHDELGALLGTRAFYTQSKIIITTRLLDIRAWFGSISWSCRVHELELLNDHESLELLSYHAFGSKIPMEGLKELAVQLTKYCGGNPLALKVLGSSLFVDAENPSKRSNIIEIWRSTLNSLNSLKGDLDCKIQGILQKSFDSLPHASNKELFLHIAFFFVGEYEGYVVKILEHDWHAKAGIVTLTNKCLLTISPSKKLMMHQLLQEMARNIVLQESRDPAARSRISQNDESYRLLRKGEGSKTIEGLALDMRKLEEGMTSNPPTLKTTSLVKMDKLKLLKLRYVRLTGSYKNFPELRWLHWTNCQLKKIPSSLLGSSLVAVDMSNGWLKKFEPPMVLNSLKILNLKWSLNLVSVRHLSRLPNLETLILSYCFSLSVTHVCETIRGLKKLSLLDFGGCNQPGKVASKQLQRLKALCTGGGMPQPLLVPLPDSLEFLFLNDSNLDISHDDPSFLSKQSSSFYKHMNFGSNPLTLLTNYTNHKMLRVLDVSGCPNIESLLCLPSTLEELYTSWCSSLEKITFESARFRLRKFEYLGCENLFEIQGFFKLVPLAKLDEADLGHMKWIKAYQHTRVDLAGDDVLYEYSIMSTYLAGIKGQSMPMSKYKSSSSFLSFRVPSCPEKFRIQGLNVTVSYRITGTSHKDKDTWALFTKVSNITKGLTWMYNPAIYCKPGVKDVVWLSYWPIGNVLDAGDEINVSIIVGDGWMVNRCGASLAFIDDGEEELEYYKNYKKEKEVIGGDLSEFELTTGAYYLCRRDYFESTTHDWLNILLGDTIPRNYRSLYNTFIDLRGWRKSYQTDYGASYMARRAWRGDAFSEKDPTIYF >cds-PLY77289.1 pep primary_assembly:Lsat_Salinas_v7:3:212336015:212336555:1 gene:gene-LSAT_3X124340 transcript:rna-gnl|WGS:NBSK|LSAT_3X124340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDAQLRCQIAFRNVRHLQAFIHLLIPPCPVSLLVARFGWSVADFYLINIHLILDCSQNMCFNLQERNCIWRCDREDWKQGKKECKTWVSIYRNSIWRCDGEDWKGGKKE >cds-PLY95234.1 pep primary_assembly:Lsat_Salinas_v7:6:97341767:97345258:-1 gene:gene-LSAT_6X65280 transcript:rna-gnl|WGS:NBSK|LSAT_6X65280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTCEIVELEDDPKSSNFSKKNYQKTANDKGKKPQMYTKSIEDDINKLFEAINVRTSFHGFTLDETGANTPRRNPSKKPMRMSTSSSSSSAYPEPVSLKQALRRQCISQASEMAAMKRSSKLPGSPALSDAGKPYITTMYRSSLPPSPAFSDTRKPDITTMKRTSKPPEPPAAASKPPNVHTSVKPSEESTSSSSRKMLPEQQDFKIKSKPDLPQSFLQSTTKKPTKKDETTLRINEILREPESKPSKPINKATLKLRRKGKLKKLIMKKKSPSVPETSHLVCQKCQCALTPNEPNGSNQTRCGPTGSSLYNQNSKHGDKSEFTQSSNSSIGEYSSSTSISEESNMSRCSINNKPHMSMDMKWQAIHRVMKQNGYLGLRNFSLLKKLGCGDIGTVYLAELVGTNCLFAIKVMDNEFLERRKKMPRAHTEREILRILDHPFLPTLYAHFVSENLSCLVMEYCPGGDLHVLRQKQPDRYYNEQAARFYVAEVLLALEYLHMLGIVYRDLKPENILVREDGHIMLTDFDLSLRCTVNPTLLQSGPMDPPRVSGPCAGSNCIDPFCLKPTCQVSCFTSHRAHKPKPDLKAHYPQLVAEPTEARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGVFLFELLYGRTPFKGLGNDETLANVVLETLRFPETPIVSFQARDLIKGLLEKSPENRLGSQRGAAEIRRHPFFDGLNWALIRCAVPPEVPEACDVGVSHSVGGGNLQFELF >cds-PLY95094.1 pep primary_assembly:Lsat_Salinas_v7:1:95905984:95919127:-1 gene:gene-LSAT_1X80200 transcript:rna-gnl|WGS:NBSK|LSAT_1X80200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVLSKFAEGSSSPSSTNRHKHDVFLSFRGVDTRNNFTDHLHKALLDANISTFLDDDEIEAGGDLKPELECAIKESRASVIILSKNYANSSWCLDELVLILEQRMTSNQIVIPIFFHVEPSDIRKQESNFALSIAEHKEKMEAEKNASKKRQLAQKIDRWIKALTEVANLKGMHAKGRRETKFIAEIVEDIYRKLHVPARSAQPLIGMEESIDFVTSWLRDGSSNTGDILTILGMGGIGKTSLAKYVYGLHFREFDTRSYIEDIGRRCAGKYNGLLDLTKQLCDDISKRSLIQVYDVSVYTSKIENVLANKKVFLVLDDINSLDQLDALLGNKGFHPQSKIIITSRNAWLTKSCALFKKEVVPRHEEHWLQGLDKAHSLQLLCFHALKSKDPKPGYEIVSEKFMKYCSGHPLTLEVLGKSLHKRDAAYWEECIEGLGKENDSRIMDVLKMSYDSLPSRNDKELFKHIACFFVGMDRDFCDTILKACDIKTSSGITNLIDRCLLSIGWNNKLVMHQLLQEMGRFIVREESLDKPSEQSRLWCHEDSSRVLKQKKGTENTRGLTLDTRMLKNKRLRKTFELELDALSTMDSLMLLQLNYVKFNGSYENFPEELRWLCMHGFPLKSIPSDLPMQNLVALDMSDSKIKSFGMCYKERPLKRQKLNGSCSKDKILLGSLKILNLSFCKELHSICGFDGLPALERLIATNCSGLLEVCESIEQCDELVFVDLSYCNKLDKLPQTIGMLKKVEELFLDGCNLGQSRLEIRDTDYPEKLKANHTGITVMKPIPTDLKLFTISLPGSLVRLSLKNNNLSTESIPMDLSCLSMLEELYLDGNPIVFLPDCVRNLPRLEILRSDIAGGTTYKRHKSKCFVELHAKGSLTGDMLVIHVSDVNASGSLFWHPHSSTTCLPTSGFPSQIPWASLPPWQTHVGSRYEFTLIGVNMMDFYNVSLV >cds-PLY66433.1 pep primary_assembly:Lsat_Salinas_v7:6:152171922:152173142:1 gene:gene-LSAT_6X92001 transcript:rna-gnl|WGS:NBSK|LSAT_6X92001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAIGSIGDSFSAVSVKSYLAEFIATLLFVFAGVGSAIAYGKLTADAALDPAGLVAVAIAHAFALFVGVSIAANISGGHLNPAVTFGLAIGGNITIITGLFYWIAQLLGSIVACFLLQFVTGGLAVPTHGVASGMSSIQGVVFEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGAFSGGSMNPARSFGPAVVSGDFSQNWIYWVGPLIGGGLAGFIYGDVFIGSYETLPTSEDYA >cds-PLY78985.1 pep primary_assembly:Lsat_Salinas_v7:3:8527281:8528293:1 gene:gene-LSAT_3X5601 transcript:rna-gnl|WGS:NBSK|LSAT_3X5601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMLKIIIKGNVLVVRGDGSDPHEGNIGKNHVEGKGDDDEDDEQGNGSGCDKEEAMNLNYVVKNVTKSVGLTDSHEGVSFSQFICNPVVESFLKTLDQGTDSCLNHKLIEDDVNLNLTCIDDGTVNFGDDDHKNKDGENVEDCSNKNKDSNETGSLKNDIVPSFSLGFSQDSEGSKKSSQSQISSERMTRKKIKDRVILGKPSAGPECVIPNVDVIDASPVSFAPPLGTLEGPSKPISRKPKDINEEATTFLNG >cds-PLY80607.1 pep primary_assembly:Lsat_Salinas_v7:6:13761239:13761919:1 gene:gene-LSAT_6X11960 transcript:rna-gnl|WGS:NBSK|LSAT_6X11960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTRISHIGIVKSKLTVRTMGMLVRKYHIDPKFYPRLPEANDAITDAPEGFMGVYRVFFKSGLHLLTFDFFETVMDYYVTSTFLCPMDIEFPSPFRHGLVELCDGLPTSIKYWKEDFFVVHAFAFSDPMAYAATADRVADPVPELSPDEMLITERLASNFVWWANPDETVLGIASMSPHWIHLDKKPVEIF >cds-PLY82794.1 pep primary_assembly:Lsat_Salinas_v7:2:146662319:146670194:-1 gene:gene-LSAT_2X72841 transcript:rna-gnl|WGS:NBSK|LSAT_2X72841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKCSIPAIDLQDFPNQLSKLISACEEWGCFRLFNHHEVLPLTLMSEMKAVVRSLFDLPAEIKRQNTDVITGSGYMGPKVNNPVYEALGFHDMSSLHDVDSFCSQLDASPDQRDTIMRYCGAVHELFIGLGVKIAEGLGVKSENIGFKNWPSRCRMNKYHFTPETVGSPGVRTHTDSGFLTIIQDDDVVGGLEVMNNSGEFIAVDPSPGTLFVNLGDMATVWSNGRFCNVKHRVQCKEAKIRFSIASFLSGPREVVEPPPELVDDEHPRVYVATTYEEYRKLRFSMKLQAGETLALLCPSSSDK >cds-PLY88002.1 pep primary_assembly:Lsat_Salinas_v7:MU040244.1:476153:477884:-1 gene:gene-LSAT_0X2340 transcript:rna-gnl|WGS:NBSK|LSAT_0X2340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYIGCDIHDVLHPGTFPPSVSGCGMVHVGESFPVSAEALNSSFCLPIGKAKIKREGKDIAITAFSKMVGYALKNFRWRIVFKHSMWHHSWKEPCLVDPVDRTVERDLSLTKVPGVKSIIAKASKASADILVETGDKIQFGDLYLEGRATPGHNVGCVTYVTGDAADQPQPRMAFTGDAVLIRGCGRTNFQGGSSQQLYESVYSQARTRKLPTERWKN >cds-PLY92263.1 pep primary_assembly:Lsat_Salinas_v7:2:210379335:210379892:-1 gene:gene-LSAT_2X130761 transcript:rna-gnl|WGS:NBSK|LSAT_2X130761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANRGRRSNSSAFQPPQSTVAGGSSSRHTVYHGIRYRAGKWVSEIREPNKTSRIWLGTYPTPEMAAAAYDVAALALKGAYAVLNFPDSILSNTLPECPTADDIRAAAARAAAARAPTNESGGGSLTTTGTIPTQEFMDDDTVFCMPHMLSDMAEGMLLSPPRDDSNPPEPEEETDNSGGGNLWDY >cds-PLY72628.1 pep primary_assembly:Lsat_Salinas_v7:6:48658084:48658490:1 gene:gene-LSAT_6X36180 transcript:rna-gnl|WGS:NBSK|LSAT_6X36180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCVYFSTIFLIIACSPGVESIEKRRFSFFFSFNPGLQGIQFLLMRLSTAVMITFSLKSSIMTIISVYAQTYAPSTGLIVLIGDQHFPLNPSVRYPGK >cds-PLY85098.1 pep primary_assembly:Lsat_Salinas_v7:1:45542495:45544233:-1 gene:gene-LSAT_1X39920 transcript:rna-gnl|WGS:NBSK|LSAT_1X39920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEDKKMIGLSWEPKLPSLSFGTKNGSNHKSEKISETNLVYRPNSELIDGLFVPPNDPKKVNKLLKKQVKDTTGKSWFDMPAPTLTPELKKDLQLLKLRNVIDPKRHYKKGDSKLSTFPKYFQVGTVVEPVSEYFTSRLTKRERKATLADELLSDQSLKVYRKRKVREIEEKNQPGGVDKWKIKGKSSWKRAKQRRH >cds-PLY92706.1 pep primary_assembly:Lsat_Salinas_v7:7:4824309:4825418:1 gene:gene-LSAT_7X4401 transcript:rna-gnl|WGS:NBSK|LSAT_7X4401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRLPVKSLIQFRSVSKAWKSLIDSSEFIAAHSLRCHTHPQHLLVSYEDPEEGIKYVSYIDGDTFRQQRSLLTVPVSIKGLKKPVVVGSSHGLLCLYGSDPELTTKMVALWNPSIRKSIVVAVPDQLSVGKSNPSVGFGVCSVTSDPKIVLITQSWDDPNIETSYRFKVLVYNLSSGKWRNLSTDLPAKPVRDWSPVVVTDRFIYSHSAGSSTHNMIMSFDVTNETFESIDLLDTLAHHHPVNFFISKVRDSLAMFKYSGEIYPGGDICTVWMMEHGIQKLFTKLFDIKTPHDFIVGFRKNGIPIIQVTYDDDDDDEPPRLVVYEPNSKHNNFLEISVYPFSFNVNSYMETLLLL >cds-PLY98959.1 pep primary_assembly:Lsat_Salinas_v7:7:48730305:48733692:1 gene:gene-LSAT_7X35581 transcript:rna-gnl|WGS:NBSK|LSAT_7X35581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIDQAQHPSVQQKLAGSLLLRSCDGGFRQPHYPYLRQSQYRKLTNGSFQASTDHLSMVVPKLGASPAICVQAPAEKGFSSFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKAGRLSEPYKGIGDCFGRTIKDEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFRKDRDGYWKWFAGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKKGGGGRQFNGLVDVYKKTMATDGIAGLYRGFTISCVGIIVYRGLYFGLYDSLKPVILTGDLADSFFASFALGWVITNGAGLASYPIDTVRRRMMMTSGEAVKYKGSMDALSQILKNEGAKSLFKGAGANILRAIAGAGVLSGYDKLQLIIFGKKYGSGGA >cds-PLY79607.1 pep primary_assembly:Lsat_Salinas_v7:2:166115618:166118578:-1 gene:gene-LSAT_2X88240 transcript:rna-gnl|WGS:NBSK|LSAT_2X88240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKHVVIATLSPIHDSSLTLADDDKAARRFPNPNQNQDYGQGKFKQETEDDDDDDKISNDDDPVGDVVVQHSPKKPAFSLSTRFGRSTVAEHVAAGWPAWLSAVAGEAIDGWVPQKSDNFERFEKIGQGTYSSVYRARDLRSGKMMALKKVRFDNFQPESVRFMAREISILRRLDHQNVMKLEGIITSRLSCNIYLVFEYMEHDLAGLISSPDIRFTESQIKCYMRQLLKGIEHCHSRGILHRDIKTSNILVDNNGRLKIADFGLANFAASRQPLTSRVVTLWYRPPELLLGSSNYGTNVDMWSVGCVFAELFIGRPILKGRTEVEQLHKIFMLCGNPPDEFWKNSSLTLATMFKPRHAYESSLRERCNELPKSAVNLIASFLSVEPEKRMTATSALQSEYFHSRPYACDPTSLPTYPPSKEIDAKFREEANRKNASGRIRASGGSRNVRRGRQSTLSKVVQSEARRTGYLNGSRASADNLDTVSDVSQTADVSESDTICSLPPRATSSHGSLGGWSTKRRKHVSFVTPTSQDVRSFEPIYEQDLSSTSSDHQEQEEETKRYSGPMLRHAHTTNGRQEGNTRNGVHKSRFSRDAELLLCERGEDEGQTKHVDPF >cds-PLY66439.1 pep primary_assembly:Lsat_Salinas_v7:6:152683785:152684697:1 gene:gene-LSAT_6X92180 transcript:rna-gnl|WGS:NBSK|LSAT_6X92180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIGLVHPGFVERSNTWLTFAVRLVCWVPKCHGPGILMAIFLVQESLYVDWAFVLPWWLFHESFL >cds-PLY74826.1 pep primary_assembly:Lsat_Salinas_v7:5:113792151:113793015:1 gene:gene-LSAT_5X51120 transcript:rna-gnl|WGS:NBSK|LSAT_5X51120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNDSAIPPHAHAAVSMEVPLKTSAPPPEYSATRGAGGSKKHAVVDVFFRVVLFATSVAAIVLLVISNQTELIPIAPGIAISRTGKFSHSPAHINLLATLSTAALYSIITGLISVFALRKPGGTSTKLKFHFVIVDSLLLGIMAAATGASGGVSYVGLKGNSHSRWNEICDTYDTYCIRVGASIALSLVSSITLLLLVWINTYALSKKIARL >cds-PLY71451.1 pep primary_assembly:Lsat_Salinas_v7:7:191180493:191182054:-1 gene:gene-LSAT_7X115420 transcript:rna-gnl|WGS:NBSK|LSAT_7X115420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTCVTVPVMLLQMCNNVKETRQLHTQFVVSGLIKRKINTTRLIESYSACGEINEALSLFEKIRFPDVYAYNMIIRCLMLVNRQHESLLLYNKLLEETLTPDNHTYTYVLKACSQLKALSEGKQLHARIIKDGIKPNTYIHSSMIKMYANSGDTESSECILAQFPNENVSATNSMITSYMNLGEIKFARQLFDKMSTRDSATWSAMITGYTQNGMHENALVVFQEMVACKIPMNESSLVSALSACGRSGALGQGRWIHGYITRTWGEISVKLGTSIVDMYAGCGCIDFAYEVFKNMSSKDVVAYGVIISGFAKHGLACKCFQLFDEMVDNGIQPNGVIFVAILTACSHGGFVELGQSYFNQMTSLYNIRPSVHHYGCMVDLLGRAGRLDEAEEVIATMVEEPNVVIWGALLAACRIHKDFKRGELAFKQIVALEPWSGERYKLASHVFAYTEEEQERVHKLRKQLLDRNLETRRGSSLIEVEGQVHEFVASDIDHGMYQDIYGLFEG >cds-PLY94747.1 pep primary_assembly:Lsat_Salinas_v7:2:177043666:177043976:1 gene:gene-LSAT_2X98441 transcript:rna-gnl|WGS:NBSK|LSAT_2X98441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMCSSAPDPEMWIIQGTLAWRTPPVQTGIQLLIHSWDPGGPGEGGGPHGSSLLENPYIPYQCMDSYLSSTGLGSASMGK >cds-PLY67866.1 pep primary_assembly:Lsat_Salinas_v7:4:293552231:293558688:-1 gene:gene-LSAT_4X148360 transcript:rna-gnl|WGS:NBSK|LSAT_4X148360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACSSTSSIQKNFKYDVFLSFRGEDTRTNFVDHLYHALHQKSIHTYRDDVRIKKGKRISDELIGSIKDSKFYIIVFSKNYASSSWCLDELVKIMECQTTTEHTAYPVFYDVEPSEVRKQSGAVAEAFAKHEKEEAAGKWRDALKKAADLAGWELKNTANGGILSKLIESSLKYRHEAEFIQKIVEELSLELRSISFNVDEKLVGMEARVKDVVSALGTDFDDVRMVGIKGMGGAGKTTLARAVFDQISFLFEGISFVENVREASNTSLFGLKSLQNQVLSDVLNDKDIKVSNVYDGKHQMKRRMSDKKVLVVLDDVDHIDQLDALAGEPDWFKPGSRIIITTRDEQVLVAHRVKFIRDVTLLSDGEAICLFSRYAFGRDIPIQGYEELSRQVVRYAAGLPLTMRVLGSFLCGKIELEWVDALERLKTIPLAETLKKLELSYIGLEEDYKEIFLDVACILRGWLKNDAIKALESCGFHARNGLRVLQQKSLITINSNFNYERVEMHDHIVEMGRNIVRRLHPNKPHKHSRLWINEEIEDILANDLGTKATRCIRCTMRFNPDIGIKGLGKMKKLRFLSMFFPFSSISEFNIVSQDFPNALQYLQVGNYPFRSLPKTFQATNLVALKMRYSKIVQLWEGGERKVLNKLRFLDLSYSKLSTFDLGLTPNLETLTLRQCSDLVELHVAIGCLKLTSVDLEGSRLRTVDLGRAPNLETVILSECHNLVELHMPDSCLNLSSLLLSNSKLSTLNIGLTPNLISLDLKNCCYLGDFHMAGECLKLTKLDINHSKLRTLDLGMTPNLKNLDLDSFYYLEELHMPNECEKLAYLRISDSKLRTLNLGLTPNLENLDLDNCYCLEELHTADECGKLAYLKISHSKLRTLNLGLTPNLENLDLDNCYCLEELHTADECGKLAYLKISHSKLRTLNLGMTPNLEKLDLHHCYCLEELYMVDECGKLTHLRISDSKLRTLNLGLTPNLKNLDLDNCYCLEELYMGDEYEKLTYLRISDSKLRTLNLGLTPNLKNLDLDNCYCLEELHMADECGKLVCLKISHSKLRTLNFGLTPNLKTLHLKECSNLVELHTTIGCLKKLAHLDVSGCLGFNSFLFNLKDYTSCSVDASLEVGPLAELHIIVKSLENCPIKPDNSLPKFQFSCFYEEDRPSVTGNLEMLISLGMCACTNLETFSGILFGLRSLRMLKLEGNILEILKNFDQSMKVNELILLSPTIKHLPDSIWMLKHLKSLELNLCVWLEKLPEDLGQLECLEKLNFSYTMIKHLPDSICMLKHLKSLQLRFCCFFENLPEDLGRLECLEDLTLSSTMISHLPDSICMLKHLKSLELISCSLLEKLPEDLGQLECLEKLSLEKCEFLQDIPNSIVKMKSLKYFHLPYCTRVEKLPEELGSLECLKEIDIEGTSISHLPQSIFLLKDVHITGSRGIAQLWGFTPEIQTPECKTTYVRLNGDGMILRISEGPGKPIQKELL >cds-PLY73553.1 pep primary_assembly:Lsat_Salinas_v7:5:58461226:58461570:1 gene:gene-LSAT_5X27381 transcript:rna-gnl|WGS:NBSK|LSAT_5X27381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITGSHRPHFGDIIIRRFQRVIALRTGGAIRCGGLISVIAHALAAQHDPGYTFFTGDTFRLTLQNLRAMHMLRTALSGCVWMQGRSTYFKVTGPDVIALTDPISKTVWVLPSNI >cds-PLY87597.1 pep primary_assembly:Lsat_Salinas_v7:8:111327100:111327729:1 gene:gene-LSAT_8X77961 transcript:rna-gnl|WGS:NBSK|LSAT_8X77961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY65285.1 pep primary_assembly:Lsat_Salinas_v7:8:103954240:103956821:1 gene:gene-LSAT_8X70380 transcript:rna-gnl|WGS:NBSK|LSAT_8X70380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIYSSHERKSDYASKHGAKDGLFIVTLVCSRVPKRIALFAETNVALFFLCLVRLNESLYGVKSFGEDYMAKKDLNRNSCLPNAMVDNVKESKLIQRSRLHLEEKKRRGLMFSGESVYVDPDVSGELRNKVVEAAREEGGLLVNEWLVGQQATHVVCEVSSVGKYLGQSNNNLVTPLWFLKTVKEMRSQRLVHLSADLARHLGMTMQNVPGGNYQKDCDMIHNSRDIIKSSRKENQSIAMIAKTGLQTCQKLIKPSSPSILLDSISWIMSESSSTSYIYNNPFSSIDDDAKTSIPDACFVNFQQPLSEREKSKLIFGNHFITILFRVDWFREMGLCSRTFFSDTGFTCWQLLHHIYTFYQENMSTSEIELAIHADSRHADQLRSNYSNKEVVEVKHIDFLGSRRRFQMLKHITGDHNKNIYELLTRA >cds-PLY63557.1 pep primary_assembly:Lsat_Salinas_v7:9:151350273:151352079:-1 gene:gene-LSAT_9X95621 transcript:rna-gnl|WGS:NBSK|LSAT_9X95621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITERKNMRKTALSSVDGGGKNIGAGVEAVKTTDSVDHWDFLDQIEAPMWADLSICDLTNDESNDSWFDIIHQFHQCSSSQLLSTIFHPNSITIQEPPSPKLPPSVSKSRGKNYKTKAWGQRNNLTISNKQHPVKTLTMKSSRTTGSSNNTKPNSIKTPKVSSSCESGVTNNTSRPKLSKPKPSLCSFSSQEQEKEGSAMSSVTSNRNEHHEKKGLEVSKSNQSSEFLTSLRNNLRRSCATRPAVRVVRCSEGGLKSSSRKSSVASSSSSSLNQCKDAQNKPRVLNVTKEAPAQNVRNLTKSNKQGSISNILASKVTTKLKTFLLFTLKRMFKCYLGM >cds-PLY83375.1 pep primary_assembly:Lsat_Salinas_v7:5:95110266:95111075:1 gene:gene-LSAT_5X43760 transcript:rna-gnl|WGS:NBSK|LSAT_5X43760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAIKALSSFQVDVKIMSAKNIQVSNSKDYLFVRCYLSVGNNKRVRLESHAISPNEKISWNESFSLDCIATQSIDAIIQGTVVFELRSRSSKTPLLHKFIGGNANVRGSQLLGRGEVSWRSVFESQNMEGERWLVMRSKKADIKAPSICVSMKIQNPQVKNETKSTKTFGKLKNKRDESCGCSHGHCCETSCIDSELFAIGFALDAF >cds-PLY98650.1 pep primary_assembly:Lsat_Salinas_v7:1:39721430:39724904:-1 gene:gene-LSAT_1X34841 transcript:rna-gnl|WGS:NBSK|LSAT_1X34841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDTDNSIPPSKKRAAGRELSRDNPGLDDEEEVSGQENATFKRASEEVIANRRIVKVRRSQPSSTPSTNPFAAIRLVPPTDPTPTNPQEPDKTSPDNLQEPGKTAPEEVESPSSVNKETEPEGASEVRKPEPTDPEPKKDEKLGNDKSTPTAPASVNSFQQLSSSQNAFTGLVGTGFSSSTFSFGSIPKTDSPTFPSFGFGTNGNSSLFGTPSGTSDKPSGIKIPTMQEVHVETGEENEKAVFTADSVLFEFLDGGWKERGKGELKVNVLTTGTKKARLVMRARGNYRLILNASIFPDMKLTNMEKKGITFACLNSTGEGQNGLSTFALKFKDPAIVDEFREVVNEHKGNTGGGGAAAAVLKTPENSPKASDE >cds-PLY62050.1 pep primary_assembly:Lsat_Salinas_v7:5:331066927:331067199:-1 gene:gene-LSAT_5X185261 transcript:rna-gnl|WGS:NBSK|LSAT_5X185261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEVFEPDTIDVQVDDFVKTKSVSRCKDEFLNVLCEDSDDEPVEGEGENARVELDDEENTDESSDEDEIIYSIHNPKVKWNVIKPVIGER >cds-PLY64828.1 pep primary_assembly:Lsat_Salinas_v7:8:266767956:266770455:1 gene:gene-LSAT_8X154380 transcript:rna-gnl|WGS:NBSK|LSAT_8X154380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIFLLLALLSPISTYSSNVQDPKLVVEHFHRRVNESRRNMGFLSCGTGNPIDDCWRCDKNWERNRQRLADCAIGFGKQAIGGRDGKIYVVTDSKNDDPVNPKPGTLRYGVIQNEPLWIIFAHDMTIKLKEELMMNSFKTIDGRGADVHIAGGPCITIQYVTNIIIHGINIHDCKQGGNADVRDSPDHSGFRTISDGDGISIFGGSHVWVDHCSLANCHDGLIDAIHGSTAITISNNYMTHHDKVMLLGHSDSSTQDKLMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRFLAPNNHENKEVTKREEASENEWKSWNWRSEGDLMLNGAYFTPSGAGASRSYARASSLSARPSSIVGSITSDAGVLGCRSGSRC >cds-PLY97106.1 pep primary_assembly:Lsat_Salinas_v7:4:71409998:71410617:1 gene:gene-LSAT_4X48521 transcript:rna-gnl|WGS:NBSK|LSAT_4X48521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMEWMKKMGEIAHYKIKTNEISMHVAEKGDGPVVLLLHGFPELWFSWRHQITHLSNHGYRVVAPDLRGYGDFDSPSSPSSYTFFHIVGDLIGLLDHFNQQQVFVVGHDWGATVVWHLSLFPPDRFKGIVSLGIPFFPRYPINPTHLFTKSFGDDFYISQFQVLLLLFLLLLSLTLFTWR >cds-PLY99491.1 pep primary_assembly:Lsat_Salinas_v7:4:57366497:57369847:1 gene:gene-LSAT_4X38360 transcript:rna-gnl|WGS:NBSK|LSAT_4X38360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCFHYFKDKTRSRSQRSAPILKAETNLSLSEDVSSCKDRVTKSSGSTNSPRGIIELYEEKAEKLRVFTYPELRHATNDFTRLRKIGEGGFGCVYKGTIKPIDAKGDPIVVAIKKLNQDGFQGHKQWVAEVQFLGVVDHPNLVKLIGYCAMDGERGIQRLLVYEFMPNKSLEDHLFRKSHDPLPWQRRLQIMLGAAQGLAYLHEELEAQVIFRDFKTSNILLDEDFNPKLSDFGLAREGPTEGNTHVSTAVMGTHGYAAPDYIETGHLTAKSDVWSFGIVLYEILTGRRSLERSRPKEDQKLIDFVRRYPIDSKKFGIIIDPRLEARYSLTDARKIAKLADSCLLKSAKDRPKMSQVVESLKQILDVSTEGSPSNKSYEVGDDEPGELTEKSKMGEVSDSSKRRLAQLAKLSEHVGGVSKKGFMIMHRAKVS >cds-PLY94186.1 pep primary_assembly:Lsat_Salinas_v7:5:321406204:321409880:-1 gene:gene-LSAT_5X176761 transcript:rna-gnl|WGS:NBSK|LSAT_5X176761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKVMNSIYLFLSIYLSFTNFLAQSAPENAIISSLPGFSGIIPSKHYAGYVTLDVDHGKKLFYYYVLSERDPSKDPVVLWLNGGPGCSSFDGFVFEHGPFKFEKTGGKRMPKLHLNPYAWNKISNMIFLDSPVGVGMSYSNNTRTDYITSDTITASDSHKFLLEWFKIYPEYLSNPFFIAGESFAGIYIPTLCDQVIKGLDADDKPTLNFKGYIIGNGVCDDEFDGNAFVPFARGMGLISEEIFQDVNKECKGIFYRPPTLKCEMKLDFVDQHFDGLNIYNILSKCFHDKSMIRHENTKLPMSFRKLGETDTPFPVRTRMFGRAWPFKAPVKPGYVTSWPELLNNTVLLNNTRGVPCQDRNVAKIWLNKKEVRKAIHADPDDGGEPNSIWIA >cds-PLY73317.1 pep primary_assembly:Lsat_Salinas_v7:8:260013936:260018080:1 gene:gene-LSAT_8X150460 transcript:rna-gnl|WGS:NBSK|LSAT_8X150460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPEYGLGDGEGNRKTVFVDTSLDTHLATIVSDSDTVSDLKGKIMLEHRECFPAIGDIKIHCLKVKRRGNYYHLSDSMLVKSAFGNSQKNWFLSVDASHLEQCDGIQHKPGDQLALPWVKDSRSIDTHDSQTQAEGPSKLSLIHGSSSKHPKIFVPFVNQKLPTSDGSCKKASKNIEEDRSSNLEPSLEQQLDPKVTEKLYAVVDKEIKSKKRIREVHNEDASVMKRRKTQRTEGDAKALEENRVLTNDADKVKNMGTSINDENSIEDKSKSKDAALDGVVNKAMNEHTRTTLVSQKKPKRTKKGKISAHDQVAIVAPLSVEDVGEATHLQKNTEASEVIPDVPMVMENNIQQDMPFQSKSKDADLDGVVNKAMNDEHTRTRSVSQKKPKRGKKGKTSAHDKAAIVVPLSVEAVEEETHQKNTEASEVIHDVAMAVEKNIQQETSQKEKCNELVEEADIGNEIPSSSMKGATAEDELLVKHADDLVVDISSTVPTQVFDEKTIDEQNNDKTNIISEVSEKTSMDDSLKVDDLIVKEVKIAEAKSRKEIKERHKKKNVGKSSKKDKVIERKETSIIDDDLHVDKDAEISKTSQLNAEISEKQNENMDDDAQTIKRKRKKRNTKSGADVSQTDDDKLKSKAAQIEKSEIPQETSKNVTLSQASKGNDVNSDVGKGSHEIDFMDYFSPDKTTPLDNVENRKDTKLSVKEKKPKRKSKEQQNGNANKVLIPEASTDGGVVKDRNAPTVTKVKTQQKDDSAIQSLSRNENNKALSSKKIPEASTNGGVAKDQNAPHVTRAKTQKTTTKIDALKINKPQPQPFSESDSSSESESFGRSLKSQKKITKQQPTTQVKNLKKNVPGVKRLLSTPGTIFGDNSDDSSADDNAIINSDSSTRTPSRNPSSPGESDSSIDSRRYEVKRKEGGGNNNMNSQSRLKNISMAELLKSSSRYKKARVTAASQSQVNDTESEPVDFVPESQPVAKR >cds-PLY75644.1 pep primary_assembly:Lsat_Salinas_v7:1:99383772:99384523:1 gene:gene-LSAT_1X81421 transcript:rna-gnl|WGS:NBSK|LSAT_1X81421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLATMLSNLPRLENFFTDSNFLMSLVAEKIPKLLPRAISSLKHLWLLRFQLGDLEQLHAALCLLRNSPNLAKLTVTHSMEPQVDVGLALNHLESPNCLDCTLDQLQTVEMTRVEGSKPELLFIKLLLAHSPSLDKLTITPSQRTDAQKRFDIAKDVMWFPRASPKAKILYLNLET >cds-PLY74079.1 pep primary_assembly:Lsat_Salinas_v7:9:11265602:11268303:1 gene:gene-LSAT_9X9101 transcript:rna-gnl|WGS:NBSK|LSAT_9X9101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGNSFEVTPETETFLCERLLDQTQPISERFRVLFSLRNLRGPAPRNALILATKDSSNLLAHEAAFALGQMQDADAIPALKLVLNDLSLHPIVRHEAAEALGAIGLESNIPLLKDSLDSDPAQEVRETCELALARIQELKNVGDTDTHQSPFLSVDPAAPASCSSVHDLREVILDEEKGMYERYSALFGLRNHGGDEAVAAIVESLNAKSALLRHEVAYVLGQLQNKYASDALSRVLKDVNEHPMVRHEAAEALGSIADEECISLLEEFSKDPEPIVSQSCEVALCMLEFERSGKSFEYLFMQAPQLQEAA >cds-PLY65988.1 pep primary_assembly:Lsat_Salinas_v7:4:137683144:137685272:-1 gene:gene-LSAT_4X85061 transcript:rna-gnl|WGS:NBSK|LSAT_4X85061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKKEVQSILVNGFSPVTSTPVFWKSRKRAASMKNLYKDNIKDSTEDKDKINEHNNDNNNNKDDSIDEKMEETSILSEKRKALFEPLEPIMDLNGRRPSAESLLPPPDFDSASYPRGWLIGKKRKLVNVDVVESMRRIAVQEMNRKDREIDGLNEQLEEDSRVLEHLQLQLLDERSKRSDVERQNAMLQNQVDMLMNMLQEHENLEDDEIPQDP >cds-PLY95164.1 pep primary_assembly:Lsat_Salinas_v7:1:169436683:169437968:-1 gene:gene-LSAT_1X114120 transcript:rna-gnl|WGS:NBSK|LSAT_1X114120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSSSDENGLKKGPWTPEEDQKLVDYIERNGHGSWRALPSLAGLNRCGKSCRLRWTNYLRPDIKRGNFTEDEEKLIIHLHSHLGNKWSSIATHLPGRTDNEIKNYWNTHLKKKLLQMGIDPVTHQPRTDHLDILANLPQLLAIAANNLGATNLFNPSFDMNLNALMSQSDATQIAKFQLLQNILQVLSTNSTPTNTSSIPQNLDPFYAVQLSEYLRLNPQHLQNLQDLAMNIAPSSAPNLHPFGTHDFISPSYPNLHLDHEQGSKVFSGQDCRTNGNITLGKEEYVDFESIPPLVPASPDHLSHSNGGNGQSPGGDVNTDQLGSVNILKQSDHLSSSNPTSTSTTLDPNWQEFMDDEASGCYWKEIIE >cds-PLY77482.1 pep primary_assembly:Lsat_Salinas_v7:4:51235863:51236528:-1 gene:gene-LSAT_4X33900 transcript:rna-gnl|WGS:NBSK|LSAT_4X33900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTIIVYFPPNPLVYFDLDIASIRDVDFNAMVFSDFIKFLEKLTKKINCNDVYYCLPLERLSEGLGVIQNEGDYREFLEVEDEDEVDDSTFSDAFLLDHEEVEVVSTKKPLDDSFLNVLCPNKKSEDGSDTDVTDEEVDVKPMYPVHDPNQNWKKMVPILGMKFYDPDELKCLLSNYVVRHGYHL >cds-PLY72530.1 pep primary_assembly:Lsat_Salinas_v7:2:142462050:142466115:-1 gene:gene-LSAT_2X70201 transcript:rna-gnl|WGS:NBSK|LSAT_2X70201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPDNNWLSFSLSPMEMFTSSTSQPQQYYFNDNFYANGWTNGGGKTSPMYTGDNGNSHGDVKDGGGDSPLFRSFMESHINQQQAPKLEDFLGGDTTLTPTTTTTTTTAANTHSMQSFRYTDVSQTETQDSSSLTHMYDGSSSVYFSDQQDLNGLACTTTGFQTFSTNSGSEVDDSGTHLTEFAGQSMESAGGNELAYVQCPMNALSLGITTQHVAQGSSDQKQAIVAVDSGDQNNVSKKIGDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQVYLGGYDKEDKAARAYDLAALKYWGPTATTNFPVAKYAQELEDMKHATKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGMNAVTNFEMNRYDVEAISSSSLPVGGAAKRLKVTSEAEQKPSLINNHHQLPPYGGGNNGNSINFSGAPPVYALPYDPNSITPMYHHQSLFHHLHSGNGGAPETSGPMALLPPSAEFFIWPNQSY >cds-PLY63657.1 pep primary_assembly:Lsat_Salinas_v7:4:129588902:129589150:1 gene:gene-LSAT_4X81280 transcript:rna-gnl|WGS:NBSK|LSAT_4X81280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVFISTSNIPSPKLDDSIIEISRVLDRFSRNIPSENSYWPSKAYQQIKIERKFYT >cds-PLY62394.1 pep primary_assembly:Lsat_Salinas_v7:5:309548414:309548866:-1 gene:gene-LSAT_5X168481 transcript:rna-gnl|WGS:NBSK|LSAT_5X168481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPWKEQEWRSTWNQNMYETSVDHNKSNFQRLLSFLEVDNSFLTPWSFNPDAFGDFRSNVHTYMCLSHGSFFTYDEFATLGSPQVEPLVVEKEGTIVGHAVAFMTPDEGQSSSNKEVKSKRD >cds-PLY87723.1 pep primary_assembly:Lsat_Salinas_v7:1:4126196:4127732:1 gene:gene-LSAT_1X3341 transcript:rna-gnl|WGS:NBSK|LSAT_1X3341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFYDKRISQEVNGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLVRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGDNDLPGLTDVEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTNKAGKEVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAAEYQKLLASRLKEQREKRSESLAKKRSRLSAASKPSVAA >cds-PLY87419.1 pep primary_assembly:Lsat_Salinas_v7:5:41257229:41259847:-1 gene:gene-LSAT_9X27060 transcript:rna-gnl|WGS:NBSK|LSAT_9X27060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 65 [Source:Projected from Arabidopsis thaliana (AT1G18750) TAIR;Acc:AT1G18750] MGRVKLKIKRLENIGNRQVTFSKRRNGILKKAKELSVLCDIDIILLMFSPTGKPTLFTGQRSNIDEVIAKFARLTPQERAKRKLESLEALKKTFKKLDHDVNIQDFAGASSQSAEDLSNHAMMLRSQLSELHKRLSYWSNPDKIENIEHLKQMEDSLRESLDRIRIHKENFGQQKLIPLDCTNQFQSGLHLPLMMTNTQEDQTLQWLPNNENQNLILTDKQNYIPQRDGECSGVSLSNYSGLFTSKGIEMEKVDRSRQVVGGLAELCSTSNFPFSPFGNLNFPQTKEMKPDTSTNLQGFLDYSINCNFEMPRNVYNDNLCNPWNPEPGPCPLPLIYGNSYSQQPDHLMSNNES >cds-PLY98255.1 pep primary_assembly:Lsat_Salinas_v7:7:171858989:171859381:-1 gene:gene-LSAT_7X102321 transcript:rna-gnl|WGS:NBSK|LSAT_7X102321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARLTIFTLLLIVMVCCACAPSLDARKLLNEKKGSVVKGNVRQSALLEGPTPPSTGLSEKLFALHLAHLDRILDSVPSPGAGH >cds-PLY73838.1 pep primary_assembly:Lsat_Salinas_v7:7:67213384:67215594:1 gene:gene-LSAT_7X49301 transcript:rna-gnl|WGS:NBSK|LSAT_7X49301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQKRIAVVTGGNKGLGLEICKQLAKEVVVVLTARDEKRGTHAVDELHSYGLLDVVFHQLDVTNPESIASLANFIDTRFGKLDILVNNAGIITNNVDGEFFWNLNLLSKKIGEKAYKKAFEKGEQYFKEVVPQTYEGARKCVETNYYGAKNVTQALLPLLLKSTFPKIVNISSKLAQLQYVQDEGAKKILSDVDGLTEEVVDGVVSEYLKEAKDQELLEKKGWSNIASSYVISKVALNAYTRILAKKYPFISTNAVTPGNLDTDFTSSKGIFTVEEGARGPVRLALIPDSGPSGQYFFQMEKSTF >cds-PLY64087.1 pep primary_assembly:Lsat_Salinas_v7:MU045731.1:100957:102694:1 gene:gene-LSAT_0X33340 transcript:rna-gnl|WGS:NBSK|LSAT_0X33340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METSSKPSSTRLKQEPVAPEYQKRFRQSPASQRRDHSSLRQFNCYKLSSYNVIKKRVAVLMVLSTLHGATIGPLIELTIDVDPGILVSAFISTAIVFACFSGTALLARRRVFLYLGGFLSSCFSILVWAGFASLIFGDSAALFIIQMYFGLLVFIGYVVVDTQEIIESAHLGNLDYVTDALLLSTDFIAIFARILIIMLEYSSEEKEKKEKKQRK >cds-PLY66602.1 pep primary_assembly:Lsat_Salinas_v7:6:167154762:167157325:-1 gene:gene-LSAT_6X102101 transcript:rna-gnl|WGS:NBSK|LSAT_6X102101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDGQETDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTILTDDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALSELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSSEILANVKFIQEKRLIGKYFEEISQDTGKYVFGVEDTLKSLEMGAVEILIVWENLDINRYVLKHSATSEIIIKHLNKEQEADQSNFRDPETNAELEVQEKTSLLEWFANEYKKFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDDLSDDGENYDSDE >cds-PLY87247.1 pep primary_assembly:Lsat_Salinas_v7:1:51057623:51057988:1 gene:gene-LSAT_1X42761 transcript:rna-gnl|WGS:NBSK|LSAT_1X42761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAGKSGVQVVGDLRYVAAQAATLMVAVADWVCGVQVTEARLRRLRNTSVSLKEELQDAETECRVLSEQNCVVAGKKAILKEHVLTLEDRAERLEDRVSFLYQEKKTCWRTNWPSASVN >cds-PLY98563.1 pep primary_assembly:Lsat_Salinas_v7:1:39899229:39901252:-1 gene:gene-LSAT_1X35101 transcript:rna-gnl|WGS:NBSK|LSAT_1X35101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCSQSTIRMENKEAVNQCKERKEFMKQAISAHYAFAAAHLAYAAALKNTGAAFTNYALRELQFADHLHPTSPHPRYDSRRPPPQPDGGSRFRTSKQEMENENVPPPPGNDGIMPWDFFFTSIEDVIGSELTEVDGKGSRIGKPDIQRTMADDKRRHDGGGGCRHRAVVESEKEPLLSSMKEYVESMDLLHVITKIDDGFVKASESAHEFSKIIEANMLQHDSNSADNLGHTDHSTRVTTWKHLFKGDQISNHDDEDNYAPKEKQTHYIILDNILAWEKKLYDEVKAGEEMKYVYKKKIASLNKHTMRGTSSDSLMRIVSHLHTRCIVQMHSIDSTVSEINRLRDEQLYPKLVQLVKEMGTMWERMGKQHENQWKMVQELIKISQSRNETSEDNHSNTKQLHVHVKMWCSGFQKLILHQKEYVKSLNNWLELNLMSIDNNLRDKASSQQKPQNPKIQSLLRTWQDQLEKLQEEGVKTAINTFAAAISTIIHYQSDEMQMKERCEETRREITRKSRKFEEWCDKQIAKRTPTDVVDDIEVIAEHQIVMEALKRRLEEEEEAYRRQCIKVKDKSLMNKKTGLSLVFAGTSERCRSLVFT >cds-PLY89242.1 pep primary_assembly:Lsat_Salinas_v7:5:310350863:310352547:1 gene:gene-LSAT_5X167880 transcript:rna-gnl|WGS:NBSK|LSAT_5X167880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSVPPYLDHHNWHLQLQQSNHQQASGAGGENPNLPPPPPPSQPGGGGGGGGGANGEGSIRPGSMVDRARMAKLPMPEPGLNCPRCDSTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRNSKNRSSKSPSQTGLKSVSESPSRCSTETMASTQLPHPPSLQLPFMSSLGQYGGGGGNISSNLGGFQSQNEMGNFQLGSGSSTGNNFNNILSIGGVENWRLPFMAGFEVPSNSNLFHYQSEGVAEAPSSSMGGGGGDIRIPNSEIDNNQVDPPVKMEDNRGLNLSRQFLGVSESTNNQPWVGTAWAGFSGVNSTSTTPTTHFL >cds-PLY79624.1 pep primary_assembly:Lsat_Salinas_v7:2:166088700:166089833:1 gene:gene-LSAT_2X89300 transcript:rna-gnl|WGS:NBSK|LSAT_2X89300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKVSNDQDSDHLEENIAWEDERVKEPPAVAAFGGIRRARKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPSSQSTLTTPALPSKITNLLLHRLKARNNSLALAASASSQNVCHVSINHHDEKKQEEFRDDVVEFSDTIFTDFLNDTDEYIPETNDENHVKDDFGMLMESDNNLEAQCSNGDVNNGGGVEEEEEEDDDDEGSSESGVIDFGFIDELGSTCNFSPFEIAQEIASEVYNEEGEEPLTISEAMKRMKYERKYSASLYAFNGIPECLKLKLGFGGGVNVKSRGRSKMQRTVLHKKAEEEEESKKDVAEDSTTVSSATNTIDGELSLWSSLDLPTICYVN >cds-PLY94557.1 pep primary_assembly:Lsat_Salinas_v7:2:155736757:155738016:1 gene:gene-LSAT_2X81160 transcript:rna-gnl|WGS:NBSK|LSAT_2X81160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDRNQNIVRWLQASASMASTGNQDEAEIPIFSRIDNTLPRFFVESALRSQTVQPPRAPRRVYPSCMVDEAEDVIPLESDSDLGSEATPAGSEDEEEHYFGIEDDEGADGIAEWQHQNHHANASTPVGLSNSDGGLRSSSLVASPLPVVFTDPDLLDCPICLEPLCAPIYQCENGHIACSPCCRKSNLKCPSCYFPIGFNRCRAMEKVIESITVDCKNKAYGCRESLIYHMKAQHEQVCIHTVCFCPLSSCGYASSSQNLYDHFSTYHSSSATSFTFDTTFDPCLRRGQKYVILQERNEGVIFILNHEIHGHGRVFHVDCLGSNLFKTAFVYQLSVRCSEACFSMESVAEVWAKWEEHTPKKNYLTIPSSGYLLFNVEVCIKKAYPVV >cds-PLY78784.1 pep primary_assembly:Lsat_Salinas_v7:8:59708717:59709684:1 gene:gene-LSAT_8X43240 transcript:rna-gnl|WGS:NBSK|LSAT_8X43240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGKGPTCESQRRASSEGLGLLARLGNDMFTARLTRSLLNDVTGAPEHYVGSIALALGCIQRIAGGMALLSLVPSTVHSISSLAKSSIANLQVWALHGLLLRIEATGLSYVSQVQVVLQQGVGRLINEIVAVLGPELHPGCIFFSRCKSVIAEISTQQETTTLLE >cds-PLY96194.1 pep primary_assembly:Lsat_Salinas_v7:3:92897077:92899294:1 gene:gene-LSAT_3X68961 transcript:rna-gnl|WGS:NBSK|LSAT_3X68961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAKSVDEVVEEIMRIHKSLPPRPGIDDIEAAIILIRNADNEEQSRIEAISRQKKRKYIPEELFNILLEMQKQLVQFQTKEQKREAVKLLDLENCHQLFDEMIQRASKCCSPSPSNNTPSSTSSSSSPSTNPASISTSSFDHSTPSSTSSLSFDKDHVKSSHLIIKDDSYVNKSKFYNNGGIVSSKFSKPQIFDSTLKPSITSGQDGEKLSLIMLASLIEVSSKKGIKDLDLHNKLMEQIEWLPDSIGKLSSLLTFNLSENRLLSLPSSIGSLSSLTKLNLHSNKIIELPESIGNLINLIFLDLHGNNLTSLPPTFGRLIHLQELDLSSNNFSFLPNQIGSLSSLQTLNIETNEIEEIPHAIGQCSSLKQLLADYNKIKALPEAVGRIESLEKLSVRYNNISRLPTTMSSLKSIKQLDVSFNELDSVPESLCFATTLLKINISNNFADLTSLPRSIGNLENLEELDMSNNQIRVIPDSFRMLLKLRVLNVEGNPLELPPRNVLDKGAQAVVRYMSEVYEKKDLKVQPVKHKKSWTRFFLFSSSNKRKHDYVAS >cds-PLY75988.1 pep primary_assembly:Lsat_Salinas_v7:1:42184167:42190204:-1 gene:gene-LSAT_1X35460 transcript:rna-gnl|WGS:NBSK|LSAT_1X35460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDDKVKRTRDLLSSFYSQDASQTSAPTNTTSRFATLDTINTTSFDADQYMNLLVQKSNLEGLLQRHVEMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKNNIVGMEVNMEQLLEKIMSVQSRSDGVNTSLFEKREHIEKLHRTRNLLRKVQFIYDLPTTLGKCIKSEAYGDAVRFYTGAMPIFKAYGDSSFQDCKKASEEVMSVIVNKLQDKVSSESESIQARAEAVMLLKQLDFPVENLKSKLLENLEQFLGELDLSKEITGMGSRESTNEGSESDSIPCVPEVPTREFVEAVHAYHVIFPDSEQQLVKLMQHLTTRHFEAARQQIQQRISPEKLSYRLRFIWTDVLLMDEVLPEAGVQDFGFEAACVAVSEYVASAFTHLLHEISDTLLQIQKEDGTGKEKEYPLGAALEATKNTLIQGTTKLLLDFRVVLDEELGLILKLREMMIGWIHEGFQSFFRRLNDELLLLSGQNVNVNVVQQQQQEQQERLQGDKVPAGVVLVISQLSVFIEREAISREIGSSLSLSGGGYGXLLHEISDTLLQIQKEDGTGKEKEYPLGAALEATKNTLIQGTTKLLLDFRVVLDEELGLILKLREMMIGWIHEGFQSFFRRLNDELLLLSGQNVNVNVVQQQQQEQQERLQGDKVPAGVVLVISQLSVFIEREAISREIGSSLSLSGGGYAFDPAEVRHTFRAAGERFLQRYVNMRTQRISLLLKKRLTTPNWVKHKEPREVHMFVDMFLQELGAVGREVKQILGEGGGAVRKHARTESNGSTSSSRSNTNTNTTNNTNTNRSNTNRARSQLLETHLAKLFKQKMEIFTKVQHTQESVVMSIVKLCLKSFQEFVRLQTFNRSGFQQIQLDMQYLRTTLKDTTDSEDEAALEFLLDEVIVAAAERCLDPSPLEPAILDRLVQLKLAR >cds-PLY91945.1 pep primary_assembly:Lsat_Salinas_v7:8:202718901:202720567:1 gene:gene-LSAT_8X129240 transcript:rna-gnl|WGS:NBSK|LSAT_8X129240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIQKHIALLLQFLLVALVFDVANGYPLKLGFYQKTCPRAEAIVKRTTANYIYRAPSLAAALLRMQFHDCFVRGCDGSVLINSTRKNQAEKDGIPNLSLRGFQVIDAAKTAVEAACPGVVSCADILSLVARDAIHQIKGPYWPVPLGRRDGRVSIASESFTLPAPFANITQLKAQFLSKGLNVKDLAVLSGGHTVGISHCSTIATRLYNFTGKGDTDPSLDPRYVPQLKRICFPTDKTTLLAMDPGSSKSFDEDYYSVVLKRRGLFQSDAALLNDKTTSAYVKLQAKSHGYTFFKDFQASMVKMGQIGVLTGKAGEIRRHCALIN >cds-PLY76452.1 pep primary_assembly:Lsat_Salinas_v7:5:198790205:198790444:-1 gene:gene-LSAT_5X89321 transcript:rna-gnl|WGS:NBSK|LSAT_5X89321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLEHEKEVVADEDSMKEAEDYLDSAMESAMEEFRRFEEEMEHEANKELHGLFTIRESARNLGKSMEKATNFVSNQKMR >cds-PLY87392.1 pep primary_assembly:Lsat_Salinas_v7:4:12498653:12504705:-1 gene:gene-LSAT_4X8120 transcript:rna-gnl|WGS:NBSK|LSAT_4X8120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSERKTTSKPRHSGGGLAGGSDSGSSSRNVPHVHPQAPGYRTVFCNDREANYLAKYLGNAVSTTKYNLVTFLPKGLYEQFRRVANLYFLTISCLSFTPVSPVSPVTNVIPLSIVLFVSLVKEAFEDWKRLQNDKTINNSPVDLMQDKTWESVPWRLLQVGDIVRVKQDGFFPADLLLLASTNPDGICYIETANLDGETNLKIRKAMEKTWDYVTQEKASEFKGQIQCEQPNNSLYTFTGNLIVQKQTLPLGPNQIVLRGCSLRNTEYIVGVVVFTGHETKVMMNTMNVPSKRSTLEKKLDKVIATLFGVLLTLCIIGAIGSALFVDVRYYYLQLQVNVESEQFNPGNRLVVFVLSIFTLITLYSPIIPISLYVSVEMIKFIQSTKFINNDLHMYHVETNTPALARTSNLNEELGQVEYVFSDKTGTLTRNLMEFFKCSVGGETYGSGVSEIEMGVARQNAADIEEVQRPSSATRDKGFNFDDARLMSGAWRNEPNAEMCREFFRCLAICHTVLPEGEETPDKLKYQAASPDEAALVTAAKNFGFFFYRRTPTMVYVRESNVEKMGNVQDVSYEILNVLEFNSTRKRQSVVCRYKNGRLVLYCKGADTVIYERLGAGSDEIKQITRVHMEQYGEAGLRTLCLAYKELSEDVYESWNEKFINAKSALRDREKKLDEVAELIETDLNLIGCTAIEDKLQEGVPSCIETLAKAGIKIWVLTGDKLETAINIAYACKLINNEMKQFIISSETDEIRDAENRGDPVEIAQLIKETVQNELQKYHEEAITILRGTSGQKLALLIDGKCLMYALDPSLRSILLNLSLNCNSVVCCRVSPLQKAQVTRLVRIGANKITLSIGDGANDVGMIQAANIGVGISGLEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYLRLCKVVSYFFYKNLTFTLTQFWYTFNTGYSGQRFYDDWCQSLYNVIFTALPVVIVGLLDKDVGAELSKKYPQLYKEGVNNSFFNWKVVGILALISVYQSIIVYNFAVISSTAGLTSLGKMLGQWDVSTTAFTCLVITVNLRLLMMCSTVTRWHIISIGGSVLLWFIFIFIYAIVFVDKGTYFSIYVLMSTIYFYLMVILIPTVALLLDFVYQGFQRWLYPYDYQIVQEIHRDEADTVGAGLLDIGGQLSPEDERKYAFAMLPKEKSKHTGFAFDSPGYESFFATQQGVVAPQKAWDVVRRASMKPKEKKPLLGEHH >cds-PLY85505.1 pep primary_assembly:Lsat_Salinas_v7:2:196260608:196261087:1 gene:gene-LSAT_2X117100 transcript:rna-gnl|WGS:NBSK|LSAT_2X117100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNICLLGFVVALVIAGFGEVNGAGECGRANPDMEAFKLAPCASAAQDENASVSSSCCAQVKKLGQNPKCLCAVMLSNTAKSSGIKPEIAMTIPKRCNIADRPVGYQCGVVRCAMQLIRCLESLKNEATGFHGS >cds-PLY81839.1 pep primary_assembly:Lsat_Salinas_v7:3:29910483:29913839:-1 gene:gene-LSAT_3X23540 transcript:rna-gnl|WGS:NBSK|LSAT_3X23540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSETECSDNVEMTFIQSAIAKGAVCLDGSPPAYQFDKGFGEGVDKWLIHIQGGGWCESTKSCLLRVNMSNGVGSSTRMQKFNFTGVFSSKPEFNPGFYNWNRVIMRYCDGASFTGDVEKVDPATNLHYRGARIFNVILEELLQKGLSKASSALLTGCSSGGLASILYCDKFRAMLPPTTQVKCAPDAGYFVHVKDISGKYHFQKFFTKIVKLHNSKINLPSSCTSKMKPGLCFYPQFILPEIKTPLFVINTAYDAYQVQNILAPKKADRHDSWSACKSNISNCSSTQLQRLQDFRSDFIKTLMSGMGKSRFNSTSRGLFINSCYSHCQSGNQINWLGDAASKLDNKAIAEVVSDWFYERNTTQLINHQHTLPQQC >cds-PLY97747.1 pep primary_assembly:Lsat_Salinas_v7:4:375278686:375282824:1 gene:gene-LSAT_4X185341 transcript:rna-gnl|WGS:NBSK|LSAT_4X185341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYEPRRDKIGQLLATAVVDFAEYGVVKDGLIVSVPMNCKRTFSNTSQPMLFLKIEAFEKNNRVRSSGDSLVREGSTEMNEETESVSITDDDVSSSNGSSNPHKEKEAEDKCGSMDRVVSNQEILVQDKSKTIAAEQKDEELLNTNTRSGVEVSKVDDHQTSSNIDESLESNNLSCDRLKKVKSGGSLLDSGSWKNSSRKDGFHGGNERKDINKLQQLQRRVQNLESELKEAAAIEVGLYSIVAEHGSSMNKVHAPARRLSRLYLHACNCKQISQRASSAKSIVSGLILVAKACGNDVPRLTFWLSNCVVLRAIIYDAFEQDHISKKNIKESCRNEWEKPCQFTCSIEKVESWIFSRIIESVWWQTLTPYMQSTAARAIVRIVDSECSKKTSREQEQANFSMELWKTAFMDACERICPVRAAGHDCGCLPVLSRLVMEECMGRLDVAMFNAILRESADESPTDPVSDPISDARVLPIGNWSRWLTDLFGIDDDSFKPFHLLNALSDLMMLPKDMLLDSRIRKEVCPSFGAALIKGILDRFVPDEFCPDAIPEAVLQVLDSEDLGVEGGEDCVRSVPCAAGSVVYHPPSASSIIKCIFGGTKKHLSRSGSSVLRKSNTSDDELDELSSPFTAINIIDATPSPSPRWRSNDGTIRYQLLKQVWMNSD >cds-PLY78243.1 pep primary_assembly:Lsat_Salinas_v7:6:7680904:7683054:1 gene:gene-LSAT_6X4981 transcript:rna-gnl|WGS:NBSK|LSAT_6X4981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRHGRVLTVEEFPLLQDRFGFLPEHGVMIPTNGLSIYDCLQEKVGVPIPLFEAELRLPTSDFFDMIMDHYGFSLDELTLSAVNKIVGFEMIFRSLGCIPTFWVFSYFFCSTTNSGVCTLGKRQGIHQLISEQDAPKKYWQRQWLRVNRNLVGHGFRKTRDFPDCLPKLFGKRLESQVSSLTQEKGVLASELARCQRKLARARGSLQWMLEKGVARVIDEVIKGAKFANGIQGVHRECKPLGFEKGKQLGGCSTIFGESEAPDPVRVMRRAEEVDIALTSLAKMNFMGLFRLGELDYDSFR >cds-PLY66497.1 pep primary_assembly:Lsat_Salinas_v7:5:184123811:184128279:-1 gene:gene-LSAT_5X80600 transcript:rna-gnl|WGS:NBSK|LSAT_5X80600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTGHELESSSTLEWRQPCRRLTFPEIQQATQNFDESLVIGRGGFGKVYRGTIISDVMLLIVAIKRLDSTSNQGEVEFWAEVETLSKLRHCHLVSLIGYCTDGTERILVYDYMPHGTLEDHLHKLQTPLPWMLRLNICIGAARGLNYLHTGTGIKHGVIHRDVKSSNILLHKTWAAKISDFGLSKIGPTNQQFTHVSTIVKGTFGYLDPDYFSTGRLTRKSDVYAFGVVMFEVLCGKRAVDESLDEDHWGLARWAQESMKEGRLKQIVDPNIRERISTKCLKEFSRLAERCLNSHPKQRPTMAEVMVRLESILVLQEKTSNTLHPASMTIFGRKMPMFLFQSHGENQDPLMEAYLNWNKRYEVILGVANALNYLHNPAPIRVIHADVRPQNLLFNESLDHRLSYLGSARHLAINETDYVIVDRVDVTTGYMAPEYMIEGCLSTKADVFGFGLFILENVSGQRNYLRFSETNENFVHYAWANWLEGTCSNITNPTIDAGSSLISRFINIGLLCVQANAADRPTMDEVVGMFGAPFNTLPLPKNPVSSWMMEEDSNDANGLFDDYDDYNAGAVEEFVSELSPR >cds-PLY62419.1 pep primary_assembly:Lsat_Salinas_v7:5:36028072:36028287:-1 gene:gene-LSAT_5X16500 transcript:rna-gnl|WGS:NBSK|LSAT_5X16500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEVEQLRSRWLRLDPRMSRLSSLGVLTFSFLSQDTLPGCSLLTGLVILVIECSAQQFKGTGEVSGSALVE >cds-PLY80222.1 pep primary_assembly:Lsat_Salinas_v7:9:191826199:191828301:-1 gene:gene-LSAT_9X118261 transcript:rna-gnl|WGS:NBSK|LSAT_9X118261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSTPSTAVNTTTHQLPKLFHSHSSVSTITFPAKLTTTHHLFSATPISKWRTNVSFLTSFLNKPKDAKPIKEELLDAIAPLERGAEASAEDQQTIEEIVQKLEAANPTKEPLKSPLLDGKWELIYTTSQSILQTKRPKFLRSRVNYQAINVDTLRAQNMESFPTFNQVTADLTPVNSKKVAVKFDYFKIVGLIPVKAPDSARGSLEITYLDEELRVSRGDKGNLFVLKMIDPSYRIPT >cds-PLY81031.1 pep primary_assembly:Lsat_Salinas_v7:9:175580112:175580991:-1 gene:gene-LSAT_9X108241 transcript:rna-gnl|WGS:NBSK|LSAT_9X108241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSFDIKLEKTNAILKYKRLHTITTLFRFMEMIVFLVMISSQLPFSFSVKVFGDYFRGITFSAFSPKLVFLIGNVIILILFLKSRASENNDGDKIEDLCYEYVNSCERNVVNSTTVTVLPPPPPPPSPTPTTTTAAAVIIPSNRRKISRCRSENPIRVECKENQTHRELRRSATEMGRSKNCDRAAEREKSYLEDELSCEDFRRTVEAFIARQQKILRDEEFSPMVYIES >cds-PLY78598.1 pep primary_assembly:Lsat_Salinas_v7:1:105616236:105616531:-1 gene:gene-LSAT_1X82861 transcript:rna-gnl|WGS:NBSK|LSAT_1X82861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEVARGHGGDGGDRPPHGVARGVPSGCQSSQAKNVNVKKRGKGRNLNLYDEYENNKCKPLDLNIDIFGRT >cds-PLY75425.1 pep primary_assembly:Lsat_Salinas_v7:7:75170661:75173283:-1 gene:gene-LSAT_7X54181 transcript:rna-gnl|WGS:NBSK|LSAT_7X54181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSISPTSFLSMGLYFCVPFREQLLKYYEKVKNPDVEENLLTCLTELFTQISTQKKKTGVIAPKRFIQRVKKENELFRAYMHQDAHEFLNFLLNELVDILEKETKGTKEHSSPPEKIPNGIHVPLANGVRKEPLVTWVHKNFQGILTNETKCLRCETIIARDETLLDLSLDIEQNSSITSCLKNFTSTETLNAEDKFFCDKCCSLQEAQKRMKIKKQPHILVIHVANMPNLKGFFSIIMLMSYTRYIPFPISNLDSWLKTPSIYVFDSSAAGMIANAFIEDWTPSSSSLGTSPRDCILLAACEAHEKVMSFLLMSSFLALQLPSRLT >cds-PLY83242.1 pep primary_assembly:Lsat_Salinas_v7:8:252144648:252146886:-1 gene:gene-LSAT_8X147560 transcript:rna-gnl|WGS:NBSK|LSAT_8X147560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTVYVVIDPAICGASTWIRKGLPCVCFNKKASYARIFMNVTPLQAKRLKRLKNRAKVYYDATRQEHQEALRALWSSAFPGQELHGLISDEWKKMGWQGKDPSTDFRGAGFISLENLLFFAKTFSISFQHLLRKQGARGVAWEYPFAAAGVNITFMLMKMLDLHTTTKPRTHVSAVFVHILSENEWAFDLLYCIAFVVMDKKWMEKNATYMEFNEVLKSTRAQLEKELLLDDVLRIEDMPSFRLLH >cds-PLY68162.1 pep primary_assembly:Lsat_Salinas_v7:7:70632646:70633221:-1 gene:gene-LSAT_7X50541 transcript:rna-gnl|WGS:NBSK|LSAT_7X50541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METPDMVFRREHDNLLKEGEKWMKAVAESCSITAALITTIVFAAAITVPGGSNQETGIPVFTKEIAFTIFAISDAISLFASSTSLLLFLSILTGSFDEQDFVVNLPRRLLIGLCTLMLSTTTMMVAFAATLFLVFCHKRPWMLAPICVLSSVPIASFGILQMPLIIDFYRSTYGHIFGLPENNNKLKTNDI >cds-PLY71636.1 pep primary_assembly:Lsat_Salinas_v7:9:135038897:135043089:1 gene:gene-LSAT_9X87441 transcript:rna-gnl|WGS:NBSK|LSAT_9X87441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGSDLELGDSTNPKVESESFVDGSSSENRVDSASIHLTGPSDSSTVVQGVESVVAEGSLDSVSAEGNVSDVNNRGAGDSSKLGVSESDNKDVSSDRNHGSVKRTKNEHKKGQRRKETKSKESVSPAYDSMLSMFDDFAANGSVATEVRSSMEGSGLGSPGHGYEVGDMVWGKVKSHPWWPGHVFSEEFATTSVRRSKREGLLLVAFFGDSSYGWFDPSELMPFESNFAEKSRQTNSKTFVKAVEEAMDEVSRRNALGLSCMCRSKQNFRKTDVKGYYAVDVADYEPGAVYSINAIEKARASFQPSSALDFIRQLALEPNVEHVGIDLIKNKARVVSYRRAVYEEFDDTYAQAFGHELDRPSPAKAPLSGRQVFADTSGKGKNPARSNKSKENAKKDKYLFKRREESKEILKPPQKEKEKLPIPSSPQLSHVDDSTAIAAGDFVLQKRAPATPPPPPPPEQVTNPPILPESPSKEDTPIPILPESGDPSPVTTTKAESDVSGDDEKKTEEDKKVNIMENGTKKVKVSKRPAGVLSSGKPISPEKKKKRKKESLSSETTEIHEKDPIPFPSKTPIEEKEQEPQELKLSHVLNDLQSLALDPFNAINKGLAIKTRQVFLKFRSLVFQKSLNSSPPPDNAATSPEENTVKLPPVKPENTISARPDDPTKGGRKRGPSDRQEEMAARKKKKVGDIKNLTKEKKVIKKLDDPPPAPPARKPDPGQGRRAAPTVLIMRFPPDGSLPSINELKATFVRFGPMDYQATRILWKSLQCRVVFKYHADAQEALKMAVRSGSLFGNSGVRCSLRAVADFEPPAKVKEDHQHHHHQQQSAVQLKSCLKKSGGEDGGGGGGRGAARVKFVLDGDESHHNKNKKNGDGGGGGGGGGSSSFSSSSSSSSSSSHATMDFNSKNFQKTSSSLPLLPLPLPLSTSSGVGSSSGVQFTRPPLIPLAPPLIPLAPPLLPTPTPTPRSFNYGGDMAPLPPPPPVGVGVGGKYVHNLQRPNIGQKVDVSQQMLRLMRKCNEVVTNVRNTLGYVPYHPL >cds-PLY98674.1 pep primary_assembly:Lsat_Salinas_v7:5:303519941:303521823:1 gene:gene-LSAT_5X163260 transcript:rna-gnl|WGS:NBSK|LSAT_5X163260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDARKRGRPQSNANSVAEKSKSGMTSQSRNYRAWTNIEETKLVEALVIMVNTGGFRADQGFKSGYLTHLEHLLKKSMPNSGILGKPHIESKIKVMKRDWQTVHDMLNSSHTSGFSYDTVKHCMIADDQVWESYLQVHKGASKWRNKTFPYYEKLCVVFGKDRVEGNTVRDLVEMEEEANIEEQTQHLGNDSNDMTSSHIPPMNVNNMQYEETSSVRNNKRKGRVDLMVKGFNDAVTCLADTMGKIANTINKDIEREEELDKKRCMITCEISKMESLIRSEKFKAITKIREEDERVNIFWDLQETEREDYVKWVLEE >cds-PLY79887.1 pep primary_assembly:Lsat_Salinas_v7:8:17906994:17910317:1 gene:gene-LSAT_8X12480 transcript:rna-gnl|WGS:NBSK|LSAT_8X12480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQYLAVTRSSPSIHRFSPPLHAEGATGSAKSRTNRTSVSFGIRSPVRCVLQSPSLVADEAEFVEASKRGNLVPLHRCIFADHLTPVLAYRCLINEDDREAPSFLFESVEPGFRSSQVGRYSVVGSEPSIEIIAKENNVTIVDHEKGSLIEKVVDDPMEIPKSLSDGWKPQLLDGLPDTFCGGWVGYFSYDTVRYVEKKKLLFINAPQDDRNLADIHLGLYEDVIVFDHVQKKAYIIHWVRIDQYSSIEEAYKDGIKRLEKLLSKVHDVDPPKLLPGSVELSTHQFGTPLDQSNMTSEEYKAAVLQAKEHILAGDIFQIVLSQRFERRTFADPFEIYRALRVVNPSPYMTYLQARGCILVGSSPEILTRVKNKVIVNRPLAGTSRRGKTPDEDEELENDLLKDEKQCAEHIMLVDLGRNDVGKVAKSGSVNVEKLMTVERYSHVMHISSTVTGELLDHLTCWDALRAALPVGTVSGAPKVKAMELIDKLEVTRRGPYSGGFGWISFSGEMDMALSLRTMVFPTGSRFDTMYLYKEDMKKKKRKQWVAYIQAGAGIVADSVAEDEQNECENKAAGLTRAIDLAESAFCK >cds-PLY92927.1 pep primary_assembly:Lsat_Salinas_v7:3:113410499:113410807:1 gene:gene-LSAT_3X82960 transcript:rna-gnl|WGS:NBSK|LSAT_3X82960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVVHAISLLAPVMGSEITFSILLPALITLSKDIVPNIKFNVAKVLQLLIPIVDHSVSNNMAFQENGPMCKR >cds-PLY82175.1 pep primary_assembly:Lsat_Salinas_v7:1:13580219:13583441:-1 gene:gene-LSAT_1X11741 transcript:rna-gnl|WGS:NBSK|LSAT_1X11741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKSVIVDIFLLLLATISLLPLVAYGSTDEVAALLKWKASLQLQNNNAVLSSWVPPLPNSQNAQTMATMFWCTWYGVSCNSDGSVTRLNLSSSGLTGTLNSFTFSSFPNLTYFELSENNFSGIIPAGISNLSKLVYLHFDSNHFSSMIPPEIGLLANLETLHLLENELIGSIPHEICNMKFLSELALYANALTGAIPFCLGNMTNLSYLFLNDNKLVGSIPYVLGNLSNLSELHLHNNSLTGTIPNSLGNLSNLTILNLFRNRIHGSIPPEIGSLSSLQWLNLNDNSLTGSIPNSLGRLQSLTLLRLYSNNLSGPIPEEFGNMISLVRLQVSYNLLNGSIPKSFGNLLNLQSLYLRNNQFSGPLPQELGNLKFVVLELSENKFSGSLPDNICNGRRLELLLVSQNKLTGTMPKSLYNCTSLVRVRFDGNQVTGNISEIFGVYPHLRFINLNDNKVYGELSDNWSKCKNLTTMQLGGNDISGSIPPSLGNSTQIEMLNLSFNHLVGEIPKEFVRMNRLLNLVLTSNRLSGALPAEVGSLSALSLLDLSLNNFTGPIPYTFGNCLNLFHVNLSNNKFTHEIPVQLEKLSHLSVLDLSRNSFTSNIPSQIASLSSLEKLNLSHNKLSGNIPNSMEAMNALWSIDVSYNELEGPIPNSKGFLNTSLEGLQGNKGLCGNITGLQQCDNDLIVENDKNRRQKLALIVSLPLLGALLLLSIFIGMVIFYYRRKKLSSTTKVIEDHVLDFFSISTFSGKEAYDEILKVTQEFNEAYCIGKGRCGAVYRAKLTSNKIVAVKRIHSSLDMVDRNSFLREVKALTEIRHRNIVKLYGYCLHTKHSFLIYEYLEGGNLYEMLRKEATQTLGWTKRVNIIKGIAYALSYMHHDCSPPIVHRDISSKNIMLDLEGEACVSDFGTSKILNPNSSNESALAGTFGYLAPELAYTMKVTEKCDVYSFGVLALEVIKGEHPGGIIASLSDGKMKKLQDMVDQHLPTASIEIKKVLTNVIILAIRCLNNNPEMRPTMYYVSQKISEDCKDVL >cds-PLY84441.1 pep primary_assembly:Lsat_Salinas_v7:8:280767818:280769274:-1 gene:gene-LSAT_8X159040 transcript:rna-gnl|WGS:NBSK|LSAT_8X159040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCNATSALKIDLHLGEKHYLCINPSAKSLGLPLIPPFLHDKEDDKVVEFGQGVNYVVVAATALDTSFHEARGTVNAATNVSLGDQLRWFKQSLPFICSNTSGTFPIGCSGMIPTSHFFEKEEEYGNRTSCLINFNRLVEYHNELLQSKLNHLRELHPNVTIIYADYYNAAMQIIRSPDKFGTFFS >cds-PLY97996.1 pep primary_assembly:Lsat_Salinas_v7:4:192521770:192522450:-1 gene:gene-LSAT_4X110320 transcript:rna-gnl|WGS:NBSK|LSAT_4X110320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASESSLWVVRKSSDYLDLYDTYGWENKKYFTMMLHHGGTFEYYPNREYDGGKVDYVDFIEVETFSPEKVFFCVQQVYLEHNQTTVTNLFPKTLNFASNSYLSTKLYSIVASNPKITVWEARIMLFDKFNFWINVDKLIEALDYTKYQVNLMRK >cds-PLY63876.1 pep primary_assembly:Lsat_Salinas_v7:1:125027048:125028894:1 gene:gene-LSAT_1X93540 transcript:rna-gnl|WGS:NBSK|LSAT_1X93540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNLMLLQLNYVHMNGSYENFPEELRCLCMHGYHLNSIPLNLPMGNLVALDMSYSNIESFVFCDSNPQRHEKRQKLSGSCLKHKRVLGSLKILNLSFCKQLGSLGDFDQLPALERLIVRNCISLHEVCESIGQCVKLVLIDLSYCNKLEKIQKITSMLANVKIMFLEGCNLGESQIKIRDIDLPERLKANDIDINTITSSSAFGGAIPSDLKFSAFSLPRSLVSLVLANNNLSNESFPMDFSCLSMLKHLYLDENLIDSMPICVRTLPRLEILSMNNCKNLKSIEHPPHTLRVLFVVSHRPSIEKLVLNRTTSIHHLSYNDIDYGRWSYEIEGVIKIQAMVGVDEEVLRSLGWTNLDFRNDRRVRTDLQRSGIQTMLYEYGIFSTMYEAEEMPSWFRHRSVGPSILFTIPSSPNCVRGFNFCSVQTLQVPDEDARTYLSPKITIDNITKNRTWIYQRRLTRSTVCRECCVMLSHWMFGMNDMEGGDQVTITVTGPYNELIKECGVRVMYVDDDDGALGYYKSWNLIIGGDLSPFQMRTGEYILYNWDFRFQFMNFFMEH >cds-PLY72442.1 pep primary_assembly:Lsat_Salinas_v7:2:142058290:142059737:1 gene:gene-LSAT_2X69680 transcript:rna-gnl|WGS:NBSK|LSAT_2X69680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSHNIASKQANKDDSQSTGGGGGGGNGGKKTASLRAPEQNLKCPRCDSPNTKFCYYNNYNLAQPRHFCKACRRYWTKGGALRNVPIGGSCRKNKKTKSCSSRFFVGEPSSKGSSSDIGGMKFYGLSAMDSGIHFPPRVTTTNHFSSSYGETVNPSFVNLDPLGFNFAFSSSHITMKQGHHHHQQQQQQQQHNQSGGGLLNFHETMGTANNLLHNTGLASSIESLSSINQDLHWKLQQQRLTMLFGGDGGGGSGGGGENGQQQQRKQGFVEPHAQKLQPILFQNLEISKPAQSSMDGDSRKDTGDGNGGGGLATEWFFDDSYAPANVNHATPTGSNSAGNDQSGSINNWNGIEDWNTLKQYSSIP >cds-PLY74380.1 pep primary_assembly:Lsat_Salinas_v7:6:154310365:154311965:1 gene:gene-LSAT_6X93480 transcript:rna-gnl|WGS:NBSK|LSAT_6X93480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVPTKNQQRLHNFSLPFLKWGQRTQINNNCRRRYRNFPLTDSDLNNDRKPHRFHNRESKDTKTKEEEENGGVLLDRGDNTGKSSDVAAAADGDVKPWNLRPRRFVINTADGNGAVMGVNSAKSGWLLRGGASAAAADEEKIKEKEKEKEEDQMKKKRRLWISLSKEEIEEDVYAFTGSKPARRPKKRNKTAQKQVDNVFPGLYLVGISADSYRV >cds-PLY70172.1 pep primary_assembly:Lsat_Salinas_v7:9:1716212:1718331:-1 gene:gene-LSAT_9X3820 transcript:rna-gnl|WGS:NBSK|LSAT_9X3820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRLGRRSLAAVRYFSATAVAEASKVPVPSPAPGAITIAPRKNTGAGKDTLGRRLLSLVYTKRCAVITIKKWKEEGHVVRKYELNRIVRELRKLKRYKHALEVCEWMTLQQDIKLVEGDYAVHLDLIAKIRGVNSAEKFFEDLPDQMKGQPTCTSLLHTYVQHKDSSKAEALMAKMSECGFLKYPLPFNHMMSLYISNGQLQKLPGILQELKKNTSPDIVTYNLWLTACNSQNDIITAEKIILELKKRKVDPDWVTFSLLTSLYLKNSRHEDASLSVKEMEKRVSKKVRVAYSSIISLYTSLGKKEDVDRIWRKMKSTFRKLNDAEYNCMISSMIKLNELKEAENIYTEWESVSITGDSRVPNLILAAYINKNEMKMAENFFEKRMVEKGIVPSYTSWELLTYGYVHEKEMDKVLECFKKAIGSVKKWDPDEKIVRKVYGMLEEYGNVEGAEQVLVTLRDAGYVSTEIYNLVLKTYVKAGKMPLIVGERMKKDKVELNDETYQLIKVTSKMCVSEVSTHL >cds-PLY65782.1 pep primary_assembly:Lsat_Salinas_v7:5:274003591:274005566:-1 gene:gene-LSAT_5X142400 transcript:rna-gnl|WGS:NBSK|LSAT_5X142400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHLSVKASCHKLHEVFSRLNEPMRDLFSTTSFSYLLDLPAQSGDELLIYELLLHMLRPIDETDAIERLYFRFSRRTLSFGPEEFCLVTELYMGRCPTSRIEFSTMFSWGSYLWTKIYPRLSGMFKKFEDHMSNHPTKAIVYTLSGFVIPLKKKKKVVASEIVDAENHIPEPPVVKESCPVRQLKPSQYLSSPYVSFQNAPNIVQVG >cds-PLY77794.1 pep primary_assembly:Lsat_Salinas_v7:2:169520931:169521941:-1 gene:gene-LSAT_2X91940 transcript:rna-gnl|WGS:NBSK|LSAT_2X91940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQGQIDDLGFCPSFNCYSSDTLASIAAAKVTNQLFHDVGEEDFEFSIVLGDEEISVHDIAFEAPTVFPLFNRDLLNQEEVDREAVNGSVDACSDSLAKLFMNDREREESASSSSSEADESEGETPGVFCVWRPKMDIGSSPLSKCKKSSSTGSGSKRWRIRDLLRRSNSEGKEPMVLLTHKKVDIPKQNRSSGEVSVVANKSKSSSPSFHELFYVQQRAKSEIGKRKSYLPYRQGLVGFFSNVNAKGKKSPF >cds-PLY73216.1 pep primary_assembly:Lsat_Salinas_v7:8:178977734:178986753:1 gene:gene-LSAT_8X116220 transcript:rna-gnl|WGS:NBSK|LSAT_8X116220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF QUENCHING 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56500) UniProtKB/Swiss-Prot;Acc:Q8VZ10] MALKLLANPLHSSSSQSQSQFRSLLASSRSFCQPSFHLQWRSKVIVLSRSGTRSSGLMVRASVKEDVNVAENVGSDWGKVSAVLFDMDGVLCNSEEASRLAAVDVFAEMGVQVTVEDFVPFMGTGEANFLGGVASVKGVADFNTETAKKRFFEIYLDKYAKPNSGIGFPGALELITECKNSGLKVAVASSADRIKVDANLAAAGLPLSMFDAIVSADAFENLKPAPDIFLSASKTLNVPPSECIVIEDALAGVQAAKAAQMRQVLCIAVTTTLSEDTLKEAKPSLIRKNIGNISLQEILGGGSSYSNTKMQGSQYSNNPSETSSGLKKNTEGGSFQDAYSTNDMVFSVGGLQGSRRNILKYGSLGIALSCLYFTVSNMKAMQYASPKAIWNLLFGTKSPPFGQKEDGTRSSRIQQFVNYISDVESRENATQVPEFPSKLDWLNTAPLQLRRDLKGKVVLLDFWTYCCINCMHVLPDLEFLEKKYKDMPFTVIGVHSAKFDNEKDLEAIRNAVLRYGITHPVVNDGDMYMWRELGISSWPTFAIIGPTGKLLAQLAGEGRRKDLDDLVEAALLYYGRRNLLVNTAIPLTLEKDNDPRLLKSPLKFPGKLAVDIFNKRLFISDSNHNRIVVTDLDGNFIVQIGSSGEEGLRDGNFDNAMFNRPQGLAYNATKNLLYVADTENHALRVIDFVNEDVQTLAGNGTKGSDYKGGGKGTTQLLNSPWDVCFEPANQSVYIAMAGQHQIWEHNTLDGVTRAFSGDGYERNLNGSSSSTTSFAQPSGLSLSPDVKEAYIADSESSSIRALNLTTGGSRLLVGGDPVFSDNLFKFGDRDGIGSEVLLQHPLGVLCGKDGQIYVADSYNHKIKKVDPATKRVSTIAGTGKAGFKDGSALSAQLSEPAGIVEADTGRLFIADTNNSLIRYLDLNKEDAEVVTLELKGVRPPAPKSRSPIRLRKRNSADTQTIIVDGGSSNEGDLSLQISVPEGYHFSKEARSKFSVEIEPENAAVFDPLDGNLSADGTAILHFKRSSPSPTMGRVNCKVYYCKEDEVCLYQSLVFEVPFKEVTPENTPQEIKLPFLVKPKSSQGNLQLP >cds-PLY93403.1 pep primary_assembly:Lsat_Salinas_v7:9:61646545:61650477:1 gene:gene-LSAT_9X52921 transcript:rna-gnl|WGS:NBSK|LSAT_9X52921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADIPTSDDLKDLSLHSNGNPETIKPNPLVVCFGEMLIDFVPSISGVSLAEAPDFKKAPGGAPANVAVGIARLGGSSAFIGKVGDDEFGHMLADILKKNKVDNSGMRFDQNARTALAFVTLKSDGEREFMFFRNPSADMLLHESELDLNLIKQASIFHYGSISLIEEPCKSTHLVAMAIAKKSGSILSYDVNLRLPLWPSEDAARQGIMSIWDQSDIIKVSEDEITFLTGGDDPYDDNVVLNKLFHPNLKLLLVSEGPDGCRYYTKEFKGRVPSVKVKPVDTTGAGDAFVGGILSNLALDTNLYKDEAKLREALVFANACGALTVTKKGAIPSMPTRDEVHEILKNE >cds-PLY74263.1 pep primary_assembly:Lsat_Salinas_v7:1:79010601:79011002:-1 gene:gene-LSAT_1X67200 transcript:rna-gnl|WGS:NBSK|LSAT_1X67200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQVATQPEVVNIGIDASFHHMELPNTRLLQLHNMMPPHLQGVVVVPTMFHNVASSQFHNVASAQLQNTRLPH >cds-PLY86417.1 pep primary_assembly:Lsat_Salinas_v7:3:202242809:202245259:1 gene:gene-LSAT_3X120021 transcript:rna-gnl|WGS:NBSK|LSAT_3X120021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEAMMMKCSLSKIGVWRADLYLGWAIRPEGIFLSSEFSLSKLTCIATAIAAMTDATPATLFKVYVFGMSSSSEFHVDDETDCLSFDLSPSDDINIAVRCKEKPI >cds-PLY83979.1 pep primary_assembly:Lsat_Salinas_v7:8:37270947:37273508:1 gene:gene-LSAT_8X29700 transcript:rna-gnl|WGS:NBSK|LSAT_8X29700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMADSSTGSGSTITHSGDGGGSGVNSPSSRRSLPSPWAQVVRGMIEPDSVPSSFPSPGVSEQNPVVTDPVTVVEVSAETPSESSNDINTCNAGGVKKSAWNKPSVNGVVEGTTTPVMGAASWPALSESTRPGMRSFSASSSSESSSTPTSDGSLAVSQAPVASQPRPNQVKPNANNVHPVRQRQIRRGGGASTGYNGRQPXXPPFPLYDVFGNLVPAVPNSTPAREQPLFKENNWSPRTVGGAGQQNRRNNNFGPRPRGGGGPYVNNGYGGRRDHHDRDWRGPRNHGPRDVRMQHQIAPPPPLPRGYIPPPHPALSPFIPPPYGAPMAYDMAASYVYLPTLPSEPYRGPPVLPPQAPPPVPMFVPVINPPLNVKIVKQIEYYFSDDNLVKDDFLRSNMDDEGWVPITLIAGFPRVQSLTNDIHMILNSLRDSSIVEIQGEKIRSRDWRRWMNVPNRFQSTMENLIEEGISIQNLTMEDDRSISASSS >cds-PLY66747.1 pep primary_assembly:Lsat_Salinas_v7:1:167885774:167891410:-1 gene:gene-LSAT_1X112601 transcript:rna-gnl|WGS:NBSK|LSAT_1X112601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:MACPF domain-containing protein CAD1 [Source:Projected from Arabidopsis thaliana (AT1G29690) UniProtKB/Swiss-Prot;Acc:Q9C7N2] MKDHYSSMDEDPSSKNDALTTTLKNSIQALGRGFDVTSDIRLLYCKGAPGSRLIYLDDQNTKELVFSDDGFVSIPNVPVDVEFSKGERATEATPVCSFYEMAKYFNMKSNLGGDVPLGSFNAMFNFTGSWQLDAASTKSLAMIGYIVPLFEVRLEITDLVLLQQVKRAVPYSWDPASLASFIENYGTHIVTSATVGGRDVVYIKQHQSSPLSLSDIDNYVKDIGDHRFFDLKGQSSSGPLKYKDKDVTVIFRRRGGDDLEQSHAKWAETVETAPDVINMTFTPIVSLLHGVPGIKHLTRAIDLYLEYKPPIEDLQYFLEFQIPRVWAPEQSNIQRKEPVCPSLQFSLMGPKLYISLEQVTIGRKPVTGLRLSLEGNKQNRLAIHIQHLVSLPKILQPHWDTHMAIGAPKWQGPEEQDSRWFEPIKWKNFSHVSTAPIEHTETYIGDLSGVHIVTGAQFGVWDFGARSVLHLKLLFSKVPGCTIRRSVWDHSPANLQRLGEDKGDSSSQSGKLAKIVDMTEMSKGPQDVPGHWLVTGAKLGVDKGRIVLRIKYSLLNY >cds-PLY96521.1 pep primary_assembly:Lsat_Salinas_v7:5:337917199:337918408:1 gene:gene-LSAT_5X191321 transcript:rna-gnl|WGS:NBSK|LSAT_5X191321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLYGSLISTATQRVKAALAEKDIEYEFIIIDMAKNEHKKPEFLTRNPFGQVPAFEDGDLKLFESRAITQYIAHAYADKGNDLIFEDPKKMAKLSVWMEVESQKYEPIASKLVWELLLKPMFGMTTDEAIVEEYEKKMEGLLDVYESRLSESKYLGGDCFTLVDLHHLPTMKYLMGTRVKKLFDARPNVSAWAAEIQSRPGWIKAITA >cds-PLY91562.1 pep primary_assembly:Lsat_Salinas_v7:1:11657683:11659742:-1 gene:gene-LSAT_1X9961 transcript:rna-gnl|WGS:NBSK|LSAT_1X9961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSETCLDLKINIQTDSQLVEKTSVFCNRIYHPNPSSNLYSSIRDHISNGAPKEALLIYTQNRRNPLNLLSVLPLVFKACAILSLTNYGKSLHSESIKAGLLSDVVVGTSMVNMYAKCCNAIDARKVFDEMPVKNVVTWNAMIGGYMKNRETQPALRLFNKMSDRTSVSWIEMITGYARTGDTMMARSFFDQVPFPLKNVMTWTVMVDGYASNGDMKAAKKVFEAMPERNFFVWSSMVSGYFKKGDVESGETIFNRIPFRNLVNWNSLISGYSQNGLCEKALEAFAKMESDGFEPDEVSFASALSACAQLGSLKTGKDLHDMIIQKRIKVNIFILNSLVDMYAKCGDLMNARLIFEDMMESERNDSCWNAMISGFSIHGHCREALEFFDRMEKSKVTPNEITFLSVLSACAHAGFVEEGLEIFDKMGKYGLVANVKHYGCLVDILGRVGRLKDAYRVVTEMPVRPNESVWGALLGACRVHSDMEMANRVVEKVNAELTRCGYVVMSNMYAASERWEKAESLRDLMFRERVQKTVGCSSVHLNDYDGNRS >cds-PLY74972.1 pep primary_assembly:Lsat_Salinas_v7:3:154825525:154828140:-1 gene:gene-LSAT_3X98040 transcript:rna-gnl|WGS:NBSK|LSAT_3X98040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSGNYTTIDNQNVSGSVPAVSDPPGQVSVKFNDSTLQTFPPSGTQGKISGASGPPRDADDTFSKPVSGSSEAQQSSGWFKAFTVAAYQPYFDVDTSDVLERIKDSLLPFGGSFNEKTASNPDLYGPFWICTTLIFVAASIGTFVTYLAHKLQHKEWNYDINLVTWSAGVFYGYVLVVPLCLYIILKYFSAPSGLVQLFCLYGYSLFIFIPAMCLSVVPMEIFRWVITGVAGVMSALFVSLNLRNHIVAAGERWFLIVAGIFLLQLALSVVLKVYLFNVNV >cds-PLY70238.1 pep primary_assembly:Lsat_Salinas_v7:9:540702:542180:-1 gene:gene-LSAT_9X5000 transcript:rna-gnl|WGS:NBSK|LSAT_9X5000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVKPDVEELNLVFIRGQKCSTTFALTNLMHTMSVAVFLDTTNPTRFSFHHALVVLPPLATVSFTLFLSQSSDQPPNIAPNPDTILVHSSIVLAGNTNQENLHLLFSKSGRHIFNDATIPINFVGHDIIDFLLSSPTSKIVNHSFILSRAISFCNNHELNSLLRSAAKTGNLLFFSSLITVGADVNHRDVDRESVMSLAIRSRNVDMVRVMVESNFVIDHSVDRFLHDAACVNGVETMEVLCMNYLDIDINSVNPRGQTALHIAANHGYIEVLEFLVTLGSDSDVVDNKGWTPLHCASSEGHVAAVEFLLNSSVFVKYAVTKEGKTAIALAYENDHMDLYDMLYLRDVLHKAATVNDISGLKKCLAEGAAVNGKDQNGWTALHRAAFKGRVESVKLLLSHGARVDVEDDDGCTPLHRAVDAGHGQVAMLLVAQGARASTKSLIDHMSLKNYHSLVDPLC >cds-PLY75908.1 pep primary_assembly:Lsat_Salinas_v7:9:199881795:199887137:-1 gene:gene-LSAT_9X123541 transcript:rna-gnl|WGS:NBSK|LSAT_9X123541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLQDPLSESISSLFKTVIYVAETTAEFKPQLEELRAILQRITPIIEETVKLKRELDQSELECKMFIDEVQKAEELITRCSKVKRDPTKMFLYSRELKNFKLKLVNFFQVGVQAIQIRDIMQTLAVVNDIKSKLDRMYVDSRDSKHRTRLVGSHSGSESVERKKYGWQVPRLQSGIVAFDEPLEKLKAEVVSDIGIDDRLVLVVEAPGGCGKTTLVKMLCHDAEIREKFGENIFYVTVSETPDLMVIVSDLFNPNPSTPQLQFQGKEDAKIKLDNFLNKKASDPMLLVLDDVWSASFIEEIFPSKIRGHKILVTSRTAFPKYKTFRFEPLNEEDAKTLFCDSAFTKGGSRPSPTISDHLVNQMVHWCKKHPLTLLVVGRSLNGKNELAWKSMLGKLSQGRLVLDLHKDVLIGLERSFDALDDEFKECFLDLGLFPEDQRIPVSTLLNMWVHLYNHDDDGVDTMDTILELSYRNLVDLMAKGNDSGARVNYCDQQFVTQHDLLRELAIHLNNKLPLPQRSRLFIDARGEDLPASIVKIQEAMQARILSISTGESFSLRWCDMKVPDLEVLILNLMSKTYTLPHFLAANPKLKTVNITNNGLYPTEFSNFDFLGASYNLTRIRLERVVISPSILSLINLQNVSFIMCNIGNPFKNLIAKTPNIWPQLVELEIDYCQDLVKFPGTLCNSVHLKKISITNCNEMCGFSEEFGDLISLEMLGLRSCMKLKKLPESIWRLKNLSVLDISDCLNLSGLPEKMGKLGCLRKIYMKGCSGVHELPESVEELSHLRVVCNEEVACKWREYSNVEIDLVEEDPLETLKRILSF >cds-PLY74786.1 pep primary_assembly:Lsat_Salinas_v7:6:115953651:115962047:-1 gene:gene-LSAT_6X69800 transcript:rna-gnl|WGS:NBSK|LSAT_6X69800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPMEPESGTLNYRNPSQFFLTLSRNLLLAYQSFGVVYGDLGTSPLYVYKSIFIGNLMDYQTPEVIFGAFSLIFWTLTLIPLLKYVFIILSADDNGEGGTIALYSLLCRHAKFSLLPNQQAADEELTSYKYGPSQPPSSSPLKRFLEKHKKLRTSLLVIVLLGASMVIGDGVITPAISVMSAVSGLQIEGVGLQLTNGSVLLLACVLLIGLFALQHTGTQRVAIVFAPIVIIWLLSIFGIGLYNIIHWNPKIIYALSPHYIITFFRHTGKDGWLALGGVLLSITGTEAMFADLGHFTAFSMRLAFTIVVYPCLVIQYMGQAAYLSKNVTSIPDSFYKSVPEPLFWPMFVIATLASVVGSQAIISATFSIVKQCNALGCFPRVKIVHTSKHMFGQIYIPEINWILMVLTLLVAVGFQDTTLVGHAYGIACMTVMFITTFLMALVITFVWQKNIIFSTTFLIFFWFIEGIYLSSALTKVPQGGWVSLLIALVFIIIMYIWHYGTRKKYNNDLHNKVSLKWLLGLGPTLGIVRVPGIGLIYSELATGVPSIFSHFVTNLPAFHNVLVFVCVKHVPVPFVLPEERFLIGRICPRPYRMYRCIVRYGYKDIQRDDGNFENQLIQSIAEFIQMEAVEPQLSTSENTSFDGRMAVISTRSNATLMVSDQLDSSSIQSSKSLMLQRLRSEFDDENPQIRRRQVRFQLPPAGPVMDAAVKDELLQLIQAKEAGVAYIMGHSYVKARRSSSYLKKLVIDIGYSFLRKNCRGPAVALHIPHISLIEVGMIYYV >cds-PLY78463.1 pep primary_assembly:Lsat_Salinas_v7:4:261899902:261905750:-1 gene:gene-LSAT_4X136040 transcript:rna-gnl|WGS:NBSK|LSAT_4X136040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQDPVCDLKSIGSPRAAGAAGASTATITTSSLQKSLSQEALLAFTGMHSLSISFNEFTFPSVLKAFSMKKDIIGGKQIHGIVVVTSLKMMFMLQILCPIGVFSNDFTLLLKLWRFEQQPLEHVNGDITPVGSQLTPKRITRIKRAHNQRVAYVIGTGV >cds-PLY88035.1 pep primary_assembly:Lsat_Salinas_v7:MU040232.1:243469:245262:-1 gene:gene-LSAT_0X26860 transcript:rna-gnl|WGS:NBSK|LSAT_0X26860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPRTLDSDALLLPLGCRTSHLLKGHPKAASLKTIPLPFPDLCACLFDGNSATGNFRSYSTQSSSVTGASSYSARPLQITATPFLAIDDDGDDTSHHASEPPPSSVTPTKGLNPQLLQLLVPHRLLLLLMELLLLLTIGMKKALQSLTKGYIIPQCLEKLEVLQLGPIDPLRFVAYHIFGGTMNMREMWMHLPDVSEILRGWLEMTGTSLGVLKDGKILH >cds-PLY85793.1 pep primary_assembly:Lsat_Salinas_v7:4:81128227:81129505:1 gene:gene-LSAT_4X54261 transcript:rna-gnl|WGS:NBSK|LSAT_4X54261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRSISSTKNVYSNLLHYQKPPTAAMITRQQPPCDVFINHRGIDTKKNVAGLLYNHLTRLRLRPFLDSKNMKPGDKLFNKIDTAISGCKVGVAVFSPRYCQSYFCLHELARIMEAKKKVIPVFCDVKPSELMIKDNGRCPKHELDRFQSALEEAKYTVGITFDSSDGDWPGFLTTTTEAILENLIEVEEEEQHQKLICEVN >cds-PLY88762.1 pep primary_assembly:Lsat_Salinas_v7:4:169539616:169540422:-1 gene:gene-LSAT_4X100140 transcript:rna-gnl|WGS:NBSK|LSAT_4X100140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQNLPVLAKKVWSLVRVYYFMLRKGISKKKLLLDLNRMMKCGKIAGKALHNLMFHNHHHRWPAPFTANRPSSHLSSPSRASDEYEFSCCNSPSVSNNAFSLFSFNKKHHSNNHQAEGLDMMAFNAAVLKAMEMIHSETASPALPGFGRSPMVRQLRITDSPFPLNSVHEDNQVDEAAEQFISRFYNDLRRQNTKASFGSSFN >cds-PLY79987.1 pep primary_assembly:Lsat_Salinas_v7:9:46006990:46008986:-1 gene:gene-LSAT_9X42501 transcript:rna-gnl|WGS:NBSK|LSAT_9X42501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASVVVALKTLFILLALTMTALAVWAIGTHGLAACVDLTSRWLVVTLINFYINLGVILAWIIYKESSWIKAAVLIPVVLFAGSPITSAYVALQFFKLSPEESSKDPLYFVLVRHQKKDAMGYKRGHSVLIAKIIISALGCLMLGTFIYVLIVDGSPFNATVLSRCMIATTTDVYFCIVTVAVWIVYKESSWISAFFWILSLLCFGGIATCVYILRELFYLSPQQPLSAILFNSSNKDLLSSDPLLMAHTNV >cds-PLY73831.1 pep primary_assembly:Lsat_Salinas_v7:7:69548391:69548682:1 gene:gene-LSAT_7X50060 transcript:rna-gnl|WGS:NBSK|LSAT_7X50060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGCLLLCEIILSILLPPLGVCLRYGCCTVEFFIALVLTILGYLPGIIYALYAILCVNPDRDRDSDHYASLA >cds-PLY86101.1 pep primary_assembly:Lsat_Salinas_v7:7:139496168:139496410:-1 gene:gene-LSAT_7X83280 transcript:rna-gnl|WGS:NBSK|LSAT_7X83280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAMLTLVGESHHHRHNPTAKSAAVASPPFFPAKNSAVNWVLKLIQPHQTYEARTSTAEDQMESGLEKNFRELSRSV >cds-PLY73992.1 pep primary_assembly:Lsat_Salinas_v7:1:32973855:32978571:-1 gene:gene-LSAT_1X28820 transcript:rna-gnl|WGS:NBSK|LSAT_1X28820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVFPWLKSLPLAPEYHPTLAEFQDPISYIFKIEEEASKYGICKIIPPVSASPKKTVIANLNRSLSARNPDSSPTFTTRQQQVGFCPRRHHRPVQKPVWQSGEIYTVPQFEVKAKSFEKSYLKRGSNSKKGLTALEVETLYWKAHVDKPFSVEYANDMPGSAFDQTGGGHGKKHGKKEIGDALTVGETEWNMRGVSRSKGSLLKFMKEEIPGVTSPMVYISMLFSWFAWHVEDHDFHSLNYMHLGASKTWYGVPRDAAVAFEDVIREHAYGGEINPIVTFATLGEKTTIISPEVLLRAGVPCCRLVQNAGEFVVTFPRAYHSGFSHGFNCGEAANIATPGWLKVARDAAIRRASINCAPMVSHSQLLYDLAISFSSSVPTSIKPEPRSSRLKDKLKVEGEASVKRLFLEDMMQNNNLLHNLGKGSAIVILPEEFSDKRNPVKSNGSQFGFGQNDGFKFSTNSSDSDDAVQDKKPMRKLSSFCASSSSLLASYNMGSGRYKGGECDKKPDPGIFSCVTCGILCYACVAIIRPTEAAAGYLMSADCKGISDLVAATEFFAANEDASVVDAESSLGKIPKRGHDGLFDVPIKSSDQIQNVDDNSGATSPTEAQKVNSSLGLLALAYGESSDSDDDDDDHVNKDHDHDDDEDVRGDMKDINDQTFECSIKLERNKSFKDSNCSKGRFDNEIESQNPLSDTHDSGKQTENIKTSCDEDSSRMHIFCLQHALEVEQRLRAVGGINILLLCHQDYPSLDSEAKLVATECGTHDRWTDLGFRESNKEDKEWIQSALDSSEATHGNRDWAVKLGINLFYSASLSRSPLYSKQMPYNLVIYSAFGHFTSSSPTKAKPSGRQKKIVVAGKWCGKVWMSNQAHPLLTERDPEEDEIGGPGSVLSNIKIEKRPEITEFRKSVRKRKKSCMVESSVKSKFAKVEAAAVAASGGFRXRRQPRTNLRKKTTATALLSRTITPPFSMDSGEESGGGGGGGGGSSRRLRKRIIKPPPSPEIKKMSGTKKVKKGLGSGPTMEALVRDEDEDGEFACDMEGCNMSFDSKQELIVHKRNVCPVEGCGKKFFSHKYLVQHRRVHMDDRPLQCPWKGCKMTFKWAWARTEHIRVHTGARPYVCTEDGCGQTFRFVSDFSRHKRKTGHSVKK >cds-PLY63933.1 pep primary_assembly:Lsat_Salinas_v7:4:76738957:76739965:-1 gene:gene-LSAT_4X51921 transcript:rna-gnl|WGS:NBSK|LSAT_4X51921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATINETAAVEIENQQPEQGGRGIVKRKPVFVKVGDLKPGTNGHTLAVKVLSSTTVLDKKSRISSSFSSRPGAANTRIAECLIGDETGTILFTARNDQVDLMKAGNSVIIRNAKIDMFKGSMRLAVDKWGRIEVTEPASFTVKEDNNLSLVEYELVNVAE >cds-PLY93981.1 pep primary_assembly:Lsat_Salinas_v7:8:234061093:234063315:-1 gene:gene-LSAT_8X141841 transcript:rna-gnl|WGS:NBSK|LSAT_8X141841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKALFYYWITSNLFSLVYGLSKLIEVFWHDSTGSVEQLKSTVDQPLTNSPLSKMLCNVYPGLHYFFFTEFGVAENPPLLGYLQSLLQLSSTILPSQATKTVFDVFEQWNDGMESGILSSEDIGYLKKSIHKKKTTILPTVQDTWISLHESFGLLCRCDDEHLKKEFKNLNNVDFLCFGDLNHEEKQILWDKISVLLQNFGIPLLSKVVTTESQAAMKLKVCYFILGYLWAKQREDEIQGLELRLRFHEAAVKRLEGVDKVREVEGNPDFSNKDVG >cds-PLY79748.1 pep primary_assembly:Lsat_Salinas_v7:4:265173107:265175221:1 gene:gene-LSAT_4X137721 transcript:rna-gnl|WGS:NBSK|LSAT_4X137721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEQLILLQDTISSLESSTINQTEKLRQLEEEVSHFKASLDQATIQRDEALKTLEEQEAMKTDLQHKITEMEKEIEDLHDVESKYATSSGNEVIMQKQIDGLFEEKKETSERIESLENEKSSVQKELQDAMKEIEHQKHTIELIVQEKTELEGAKIQGETEIVKMKELLSAFQDTISSHETSKINQNQKLEQLEEEITHYKASLDQAIIEKDELIKSLENEKSLVLKDLQDAMKEIEEQKHTIEMTVQEKTELEDAKIQGESEIAKMKEQLIAFQDTISSLESSTINQNQKLQQLEEEVSHYKASLDQATIERDEVIKSLDNDKSSVLKDLQDAMKEVELQKLTIEQIVQEKSELQDAKFQAENEIVDMKEQLIAFQETISSLESSTFNQNKKLQQLEEEVSKYKASFDQATIERNKALKTLHEQEATETDLQRTITKLEKRIKDLHEDLSRTTKENSKNLAEKNELENRCAELTKNMAVLEEKLEEARVEFDKTKTKIGLAEANSNRVLNILKKTLSGSNETTRDFDLGSGNGYEEEIKDPATELEEIKRVFKEKESRVEEMKRKLEMVENSAAEVRKEKSFWAMVSSASTLVAAAAVSVAYVSRTG >cds-PLY77331.1 pep primary_assembly:Lsat_Salinas_v7:5:144015045:144016940:1 gene:gene-LSAT_5X63140 transcript:rna-gnl|WGS:NBSK|LSAT_5X63140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSNMTKKKKVNKSTTYSSENSKTTTTTTKNPCLLDLPDLVLETILEKLEPPDLSTMACVSTSLKDISLSEFLWKRHMKEKWGRIIGSAAQREWESHIATQKESRNYFFDGGEGGFLVKYLSKLMPVVVFKSSFNGKRNQMELSSDSSIVSFYRALETGKFWFPAQVFNRENGHVGFIMSCYDAELCYDSHTDTFEARYPPHGTRAIASESGVTWERLRAAPVDNSPHDLLISISLNDLRPKDHIEIQWRRNKQFPYGWWYGVIGHLESCDGNTTYCQCHTSNMLVLEFKQYAPGSRWRHMTINRRDHREEGNETEGFYGGIKKLYDKDDISMWQQFWPAGILE >cds-PLY63345.1 pep primary_assembly:Lsat_Salinas_v7:9:132940008:132941092:1 gene:gene-LSAT_9X84880 transcript:rna-gnl|WGS:NBSK|LSAT_9X84880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTATFIDIILAIILPPLGVFFKFGCQVEFWICVLLTLFGWIPGIIYAIYAITKLLASDRF >cds-PLY87603.1 pep primary_assembly:Lsat_Salinas_v7:8:111399564:111400410:-1 gene:gene-LSAT_8X77880 transcript:rna-gnl|WGS:NBSK|LSAT_8X77880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHIVVLNDPGRLLSVHIMHTTLVVGWAGSMALYELAVFDSSDPVLDLMWRHGMFVIPFMTRLGITNSWGGWSITGGTITNPGIWSYEGVVGVHIVFSRLCFLAAIWHWVYLDLEIFSYEGTRKPSLDLPKIFGIHLFLAGVACFGFSAFRVTGLYGPGIWVSDPYGLTGKVQAVNPSWGVEGFDPFVPGGIASHHIAAGTLGILVCLFHLSVCPPQRLYKGLRFVVARTMWYGSATTPVKLFGPTRYQWDQGYFQ >cds-PLY82359.1 pep primary_assembly:Lsat_Salinas_v7:5:312856881:312860646:1 gene:gene-LSAT_5X170461 transcript:rna-gnl|WGS:NBSK|LSAT_5X170461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCRLNSLLYPGNHLHYRPPASPLLSASPLFVVYFEQRRRTSLLVFLSVRLPSFEEEGSNAPLSERCVAIFFIMGCNDPFLPPSRHFPPESTVRNPKPSSCELICDLVPFCEGVKKEKKKEARKRVLVPRSKINRGDSGAAAGSFQTHTLSRQFTRYFSKQREELGMMASHTPPPQLSLGYDTVLRTFEKDKLLLQLESTRDGKPWNENSCDSNKVILKTKRKS >cds-PLY83680.1 pep primary_assembly:Lsat_Salinas_v7:4:43742869:43743745:1 gene:gene-LSAT_4X30260 transcript:rna-gnl|WGS:NBSK|LSAT_4X30260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDGETEFSDHHTGNSSNTCSTPPKLETSLLEGTMKKRRTITKTHTDDHASVKPAKSSGNRVAVRKYREKKKAQNAYLEEEVKKLRVANRGLIRKLQIQAALEAEAVRLRRLLLNLKAQIGYELSVSPFYKQNCNGSSDGCSGRDEHYFDLRLKYDDHENG >cds-PLY74648.1 pep primary_assembly:Lsat_Salinas_v7:4:196530459:196531931:1 gene:gene-LSAT_4X113781 transcript:rna-gnl|WGS:NBSK|LSAT_4X113781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTVIDSHFLALTTIVTVVLSFLVIVWGLRLGVFLLMRILNWGEDRRFDEMRENLGKLAVFWIFQAVWVWTISLLVTIVNASDSNPGFEARDIIGWIMWAVGLTIEATTDQQNLMFLNSPANRGKWCDAGLWGYSRHPNYFGEVSG >cds-PLY77605.1 pep primary_assembly:Lsat_Salinas_v7:2:164146933:164151057:-1 gene:gene-LSAT_2X87061 transcript:rna-gnl|WGS:NBSK|LSAT_2X87061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVAGTGMVAEEGRAPYSFALEYEGPPISHHDLPRAVPIDIRKIPVAAVVHKSRFPDNTGKHSMPIVQPILASDIATNFAKLDLGLGTGTETETTVSPAHDGGFEERSSSNPDAFISSGEFLDMINSSAGLGSSSVSQDHSYELSGRGESSGTLGFSDSFDKSRDFSGNSLAFRASNACKESLDFNELNQSDWVSNGSRLSFDYPSSRVSSLKTGDCNNEEPVCDKRSNPLVTFRDIESEDEDFLDELNQPQPDIVRLKREPEVKTRKGACYRCLKGTRFTEKEVCIVCNAKYCVNCVLRAMGSMPEGRKCVTCIGYSIDETKRGKLGKCSRMLKRLLNNLEVRQIMKAEKLCAINQLPSEYVCVNGKPLSHEELSILQSCPNPPKKLKPGNYWYDKVSGLWGKEGEKPLKIISPHLNVGAPIMPDASNGNTGVFINGREITKVELRMLQLSGVQCAGNPHFWVNDDGSYQEEGQKNTRGYIWGKAGTKLVCAVLSLPVPSKTIYPTGEQLSNTLSQSIPDYFDQNAPRKLLLIGCCGSGTSTIFKQARILYKETPFTDEERESIKFIIQSNVYGYLGILLEGRERFEDEALNDMKKNDTSENEKTLYSICPRLKTFSDWLLKTMVAGNLEDIFPAATREYAPVVEELWNHPAMKATYKRRMELEMLPSVSSYFLEQAVDILRADYIPSDVDILYAEHVTSSNGLSSIDFSFPPLSPDETNDTTDQHNSLLRFQLIRVQARGYGENCKWLEMFEDVGVVIICVSLSDFDQYATDIDGNLMNKMILNKKFFKSIVTHPTYDQMEFLLVLNKFDVFEEKLERVPLTCCEWFSDFKPIVSRNSHNKNISSNNINHSPSMGQMASHYVAVKFKKLFASITDRKLFVSVVKGLEPNSVDQTLKYAREILKWDEEKPNFNFNEYSMYSSEASLDSQ >cds-PLY82960.1 pep primary_assembly:Lsat_Salinas_v7:1:17669589:17671308:1 gene:gene-LSAT_1X15440 transcript:rna-gnl|WGS:NBSK|LSAT_1X15440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIPIAGIDPGSHILVFPYPAQGHMLSLLDLTHQLAIRGVFITILVTPNNLPVLSSLLSAHPTTITTLVLPFPPHPSIPSGIENVKDLPAGGFKSMMVALGDLHTPLLDWFHNHPTPPVAIVSDMFLGWTHHLACQLGIRRYTFSPSGALALSVIFSLWRYPPKRAEPQNENEMIRFPKIPNSPEYPWWQLSPIYRSYVEGDPTSEFIKDGLSANMASWGIVINSFSELERVYVDHLKEELRHDRVFAVGPLLPPSNSTISRGGSSSKDVLSWLDTCASQSVVYVCFGSQAVLTNEQMEVVALGLEKSLVNFVWSVKEPTAGHVTGKYGKIPAGFEERVAGRGVVVRGWAPQVAILSHDSVSAFLTHCGWNSIMEAVAAGVLMLTWPMSADQFSNATLLHELKVGIRASEGPDTVPDSGELAELFSKSLSEKTRVERERAKEFGSAAKQATSENGSSWKELDRLVASLSH >cds-PLY86474.1 pep primary_assembly:Lsat_Salinas_v7:2:80982743:80983099:-1 gene:gene-LSAT_2X35120 transcript:rna-gnl|WGS:NBSK|LSAT_2X35120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQTQLNCLGVENFDRSTDKARWRDGYLLWDGVATGDRKNGMAAAHLMKQSNGGGYNTEARQQPLDRSMWLFSATPLPAIVEMVAAGCPPVMEDIGGEGGGWGNGDHCLGGWRLQHMP >cds-PLY98019.1 pep primary_assembly:Lsat_Salinas_v7:8:43638366:43641928:-1 gene:gene-LSAT_8X34020 transcript:rna-gnl|WGS:NBSK|LSAT_8X34020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDTNALVHDFLNKLKRRQIEGSKATAKLTAELLRSVISQQRLPNTNQAGALIDAIKAIGEQLVAANPVELAVGNIVRRVLHIIREEDLSLTTSAIGGLSLSAISDDENDVEQDNYPVLSAAAVAAAARNALRAPSLQTLLEGVPHSAAVLHSNSSADDSEEKTKSTDKNSRSRKLKHNVIETVNDLIQDIATCHEEIAEQAVEHIHHNEVILTLGSSRTVIEFLCAAKEKKRSFRVFVAEGAPRYEGHILANELISRGLQTTVITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLSMVALAAQRHAVPFVVLAGIHKLCPLYPHKPEVLLNELKSPSDLLDFGEFSDCMDSATGIGFPLHVVNPAFDYVPPQLVTLFITDTGGHNPSYMYRLIADYYSADDLVLQRKPTS >cds-PLY85259.1 pep primary_assembly:Lsat_Salinas_v7:3:72127738:72128882:-1 gene:gene-LSAT_3X56581 transcript:rna-gnl|WGS:NBSK|LSAT_3X56581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEGTSPEEIRHTFHLPDDLTEEEKLEPLRIWTNDPHIRLLNRLYARKRKELKIREKAKVSPDLYGDRLFTYWTVSTITILVPRALDIVHTIIYGDRGSIHYSSCKFTNNSASNFNGNSFLHSDYIQKKMNHFL >cds-PLY82341.1 pep primary_assembly:Lsat_Salinas_v7:5:313168706:313171000:1 gene:gene-LSAT_5X170161 transcript:rna-gnl|WGS:NBSK|LSAT_5X170161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSSIVFIIFSVLSVAECRIQGNYAGGPWEGAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCADDPRWCHPGSPSIFVTGTNFCPPNFALPNDNGGWCNPPRTHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKAGGIRFTINGFRYFNLVLISNVAGAGDIQKVWVKGTKTNWMSMSRNWGQNWQSNAVLIGQSLSFRVTASDRRTSTSWNIAPANWQFGQTFAGKNFRV >cds-PLY83522.1 pep primary_assembly:Lsat_Salinas_v7:8:189188897:189189634:1 gene:gene-LSAT_8X122401 transcript:rna-gnl|WGS:NBSK|LSAT_8X122401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIKKLFQVSDGILLEALQDLDNIIPDLYGESVDELKSIELDRCYNVSCLVKTTDENATHTIGASNDLGQRKTKEKFFSKVEKIHLSDLNNLKLLFDCSFQCISLGNLQDIEIAYCSSLLTLFPFSVAQGLSNLRCISICWCDSLMVVISGGDEQATGSDNEQIEDSKTEVGTYDANIEFTSLTRIYLINLPQLQSFYSGGSLMKYPSLEFITVEGCPSMKRWGSGIHDMPNGKFCDEKNLNLFH >cds-PLY71624.1 pep primary_assembly:Lsat_Salinas_v7:9:134580732:134581730:1 gene:gene-LSAT_9X86820 transcript:rna-gnl|WGS:NBSK|LSAT_9X86820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit STN1 [Source:Projected from Arabidopsis thaliana (AT1G07130) UniProtKB/Swiss-Prot;Acc:Q9LMK5] MEDLHNTYVKLLAFDFLSLTPSSSQPNAVFRRGTLLVSRAETLGVVTCREHKPDIFLRFTIDDGTGCIPCVLWLNQLTSPYHSRRSPPDVRLIAESARNFATLIQIGVSARVRGKVTIYRGKLQLTVSDVFVERDPNAETLHWLQCVRLARKCYDIVPLSLPPITRKAND >cds-PLY62185.1 pep primary_assembly:Lsat_Salinas_v7:6:32425109:32426992:-1 gene:gene-LSAT_0X41700 transcript:rna-gnl|WGS:NBSK|LSAT_0X41700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCMIRAGVFRGKENHTDYRADRVVFGMIMMESGKKWNGSFHMNEEENMCVFNEPFLMECDSFQVVNFVV >cds-PLY93710.1 pep primary_assembly:Lsat_Salinas_v7:2:200647311:200650357:-1 gene:gene-LSAT_2X121421 transcript:rna-gnl|WGS:NBSK|LSAT_2X121421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 64 protein C4 [Source:Projected from Arabidopsis thaliana (AT3G55830) UniProtKB/Swiss-Prot;Acc:Q9LY62] MVARTSLISRRTAQKFRQAAISAAGSVKIKLLLFCCFLFTLTLLASRTPSFLGWGQQSVPLLDRTSSKGYTLLINTWKRYDLLKQSISHYTSCPRLDSIHIVWSEPDPPSDALVKYLNQAVKSNAGNNHYIELAFDINKEDSLNNRFKEIKNPRTDAVFSIDDDIIFSCKSVEFAFSVWQSAPDTMVGFVPRIHLINRSKENENSYIYGGWWPVWWTGTYSMILSKASFFHMKYLRLYTNEMPTSIMEYVKRNRNCEDIAMSFLVANATGAPPIWAKGKIYEIGSTGISSLGGHSDKRTECVNRFVSEFGKMPLVPTTVKAVDSRGTWFW >cds-PLY63045.1 pep primary_assembly:Lsat_Salinas_v7:8:76136485:76138203:-1 gene:gene-LSAT_8X54600 transcript:rna-gnl|WGS:NBSK|LSAT_8X54600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVSAFSSSEWPNPNPNPSTSANTRSEEGKEHTNSLAGDLHSGISSGLPPIRTSSNQQRIPYIQDGKEDIHAATQPNSAPATVPVHGQTLIPSSNHKIPNFHNRDSSPSHLSLFHVSFNQDNGCFACGINQGFRIYNCDPFREIFRRDFENGGGIGIVEMLFRCNILALVGGGSQPQYPLNKVMIWDDHQGRCIGELSFRSEVRGVRLRRDRIIVILEQKIFVYNFTDLKLLQQIETFANPKGLCDVSQASGNFVLVCLGLRKGQVRVEHYASKRTKFILAHDSRIACFALSQNGNMLATASNKGTLVRIFNTHDGSLLHEVRRGADRAEIHSLAFSPTAEWLAVSSDKGTIHVFSITSVDNPDLITSSSRALIKGVIPKYFSSVWSLAQFRLVEGSQYIVAFGHQKNTVVILGLDGSFYRCQFDPKAGGEMTQLEYHNFVKPDDSF >cds-PLY82339.1 pep primary_assembly:Lsat_Salinas_v7:6:109738948:109746400:1 gene:gene-LSAT_6X65981 transcript:rna-gnl|WGS:NBSK|LSAT_6X65981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQDKTSALEAINKEAVDLENIPVEEVFEKLKCTKEGLSSEEVEKRLNMFGYNKLEEKKENKILKFLGFMWNPLSWVMEAAAIMAIAMARGGGEPADYHDFGGILVLLLINSTISFVEENNAGNAAAALMARLAPRAKVLRDGKWNEEDAAVLVPGDIISIKLGDIIPADARLLQGDPLKIDQSALTGESLPVSKNPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDNTTHVGHFQQVLTAIGNFCICSIAIGMFIELIVIYAYQKRDYREGIDNLLVILIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFATGVDKDTVVLMAARASRLENQDAIDTAIVSMLADPKEARYGITEVHFLPFNPTDKRTALTYIDGAGKMHRVSKGAPEQILNLAKNRSEIENRVHSVIDKFAERGLRSLGVARQEVPANSKESPGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIAKETGRRLGMGVNMYPSSSLLGDHKDHLVAALPIDELIEKADGFAGVFPEHKYEIVKILQSKKHICGMTGDGVNDAPALKIADIGIAVDDATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLTAFYKFNFPPLLVLVIAILNDGTIMTISKDRVKPSPSPDSWKLSEIFATGVVIGTYLALMTVIFFHLASRTSFFANTFGVVDFNKHETHFGEDVLNAKLASAVYLQVSTISQALIFVTRSRGWSFMERPGLLLVAAFILAQLFATIMSAMLTWKFAKIGEIGWGWTGVIWLFNILSYLLLDPIKFAVRYALSGRAWGHVINRKTAFTTQKDFGREARAAAWATEQRTLHGLDTSEAKIFAENYTFRDINMMAEEAKRRAEIARLREIHTLKGKVESFAKLRGLDIDVNPHYTV >cds-PLY69562.1 pep primary_assembly:Lsat_Salinas_v7:4:84642917:84645876:1 gene:gene-LSAT_4X55920 transcript:rna-gnl|WGS:NBSK|LSAT_4X55920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTTFSELLPLLEVGTIPLLKVDKICLQISTTTLTIDNSIAFSTPFSTFTSAASANLEVKSPPRIQTVSFLTYLLKSFADYIRPNEESICKSIVNLLVTCSDFVSIRKLPAAASPFLVVPTRRSCSPKFCQYATAGDVVAIKGPPKTGEKPTVCVVVQVICDNEALSKTARHSIFFFCLTFSHTADVARVASAAANRYCCSCSVALTSPLICCRHEQGLSRWGDGNLGRNQKS >cds-PLY78690.1 pep primary_assembly:Lsat_Salinas_v7:9:47986480:47988338:1 gene:gene-LSAT_9X43440 transcript:rna-gnl|WGS:NBSK|LSAT_9X43440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILFLIPCSLLIFFFFFSITRSSKKLPLPPGPYPWPIVGNLFQMGKNAHIRLAEMAQVHGQLMSLRIGQLIFVVGSSPAAASEILKNHDNALSGREVTRSLQEESSFHNMNLAFTSESGDDWRKIRNIYISNIFSSKAMVSRAHIRETKVMEMVKYIRSKVGENISIKDVMVVTATNIMSNTTLSMDVMDFEGNGIGAGIKDTVRRLALLRTQPQLADFCPIFGRWDLQGWKKKVMQIIEHEFGDIWKDILERKRNGSHISSGQKDFTDILIERGFTHQQINALMEELFSAGTDSIVSTTEWFVAELLRNQEVMQKARDEVLRTIDRNVVKESDLVHLPFLEACFKETLRLHPPAPLLLPHRAIETCEVMGYTIPKDSQILVNVWAISRDPKIWEDPLSFKPKRFMGSELSSKGKEFEYLPFGAGRRMCPGEPLASKTILLTVASLILNFDWLLVNNMNPEDINMDEVLDLPMQKKEPLHVNLKLRQ >cds-PLY97188.1 pep primary_assembly:Lsat_Salinas_v7:5:153495389:153498980:-1 gene:gene-LSAT_5X67340 transcript:rna-gnl|WGS:NBSK|LSAT_5X67340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATDPPEGYGDDFLEQILAIPSYNIAGCLPGNTTDANASETVSVHRQQQQQQPVFPLGLSLDNGRETIGAFAGQQQQQQRERGGSMNMTGLFPSFENLQSHSLLHSVPQAFQGQSTTSTAVTVPHPPSIRPRVRARRGQATDPHSIAERLRRERIAERMRALQELVPSCNKTDKAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVSDVPLQSVEGDTSENGYNQPAWENWSNDDTEREVAKLMEEDVGAAMQFLQSKALCIMPISLASLIYPPQQPDSNSMVKPEPSAPS >cds-PLY87455.1 pep primary_assembly:Lsat_Salinas_v7:2:135023050:135024826:1 gene:gene-LSAT_2X64961 transcript:rna-gnl|WGS:NBSK|LSAT_2X64961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIIQSALSVSSENLHAAQSMVESILEASKGLINHTYAAKNCIEHLRNSDYRLKSTVEALPRGKMKDGRAWTSAGLAYQYDCWSALKYVNNTKMINETMSFLNTLIDYTSNALSMMMAYDVYGDQTASWSPPKTERDGFWEGGGGSGGGDGPQLGVPAGLKADVTVCEQDGECDYETVQEAVNAAPDWGSGRRFVIWVKAGIYGETVRVGLEKQNVVLLGDGMGKTVITGSLNVGQPGLSTFNTATVGVVGDGFMASGLTIENTAGPDAHQAVTFRSDSDHTVIENCEFLGNQDTLYAHSLRQFYKSCRIQGNVDFIFGNSASIFQDCTILVRPRQLKPEKGENNAVTAHGRIDPAQSTGFVFRNCLVNGTEEYMRLYYSNPKVHKNFLGRPWKEFSRTVFIDCKLEALITPQGWMPWTGDFALKTLYYGEFENSGKGADLTGRVTWSSQIPAERVGVYSVGNFLQGDQWKATCS >cds-PLY98768.1 pep primary_assembly:Lsat_Salinas_v7:1:8790667:8793031:-1 gene:gene-LSAT_1X9001 transcript:rna-gnl|WGS:NBSK|LSAT_1X9001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQYKGSDVDKYRCFMSGEGEKNTTWKLGVPPNFDVVNKLFEAGRTKIWPTGSLEEQVQNLVKTWEMEIFHKLNPKDFKTCDVTKLTTSVNGRKPMTPQDVAKIGGGYNMFLQTSLPEKLRLYNPTNETVDTAHKLFTTTFPRGFAVEILQVYSGPPVIAYKFRHWGYMEGPFKGHPPTGEIVEMFGVSTMELDEELKVMKVEFFYDRGELLAGLTKGGGDSITAEGSSSCPFS >cds-PLY96818.1 pep primary_assembly:Lsat_Salinas_v7:2:172063142:172063336:-1 gene:gene-LSAT_2X94580 transcript:rna-gnl|WGS:NBSK|LSAT_2X94580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSASAPTRCMWSFNGFRRYYWNEKIVGMGSPMREPRLGLVLPPEKKDPPEIAVSKVLCPVDQS >cds-PLY71361.1 pep primary_assembly:Lsat_Salinas_v7:4:346257064:346259451:-1 gene:gene-LSAT_4X170740 transcript:rna-gnl|WGS:NBSK|LSAT_4X170740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSIIVEQEDNDVKHAELVKRVRKLIGKVSDEGFEDLMMIDALQRLAIDYHFEDEINLILERRNMQYTNTDFFQHQNLYEISLCFRILRQNGFFVLPDYFKKFKGNYKEFDRKLNYDIRGLMALYEASQLRIEGEIILDEAEKFSYQILQERMKFLDDEQATMVRRTLENPCHKTLPFCSLKNSIRDYNGTVLQELAELEFNLLQYVHQREINQILRWSKDLGLAKELFLARDQPLKCYLWAMAALTNPNLSTHRIDLTKTILLIYIIDDIFDVYGTINELTVFTEAINRWDIKAIDKLPDYMKSSFKALYNITNEIANNVYEQHGINPIRSLWKAWATLCNAFLVEAKWFASGQIPNADEYLKIGMVTSGVGVLFVHMFFLLGNGTNEGHYDLFNDNHGIISSVSKILRLWDDLGSARDENQDGHDGSYITCFLNEHQGCPSKVARQHVVNLISDAWKSLNKECLAPYPFSATFMKATNNIARMVPLMYSYDNHSSLPLLDDYVKAILLENSTM >cds-PLY67553.1 pep primary_assembly:Lsat_Salinas_v7:3:54114960:54117430:-1 gene:gene-LSAT_3X41880 transcript:rna-gnl|WGS:NBSK|LSAT_3X41880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITVKKLPPHNSVAFGSAGECRTTCLNSCSCNAYSFVDNQCLVWDGDLLNLSEDNDNDSGKTIFVKVASKDLTHHKKSNWITMGAVVGLVGGVVSVLGVILVLIFRKKRISLSVGKTRMEGSLVSFVYKDLQIATKNFSDKLGGGGFGSVFKGVLRDSSIVAVKKLESISQGEKQFRSEVSTIGTTQHVNLVRFRGFCAQGNDKLLVYDYMANGSLDTHLFHGKQVLNWETRYQIALGTARGLVYLHEKCRDCIIHCDIKPENILLDAEFCPKIADFGLAKLVGRDFSRVLTSTRGTIGYLAPEWLSGVAITAKTDVYSYGMMLFELVYGKRNAEASEDSRSKYFPGLVANVLIEGGDVLSLLDTRLNREEACVEEVTKVCKVACWCIQDEEERRPAMSMVERILEGVLDVDMPPIPKICDYNC >cds-PLY83085.1 pep primary_assembly:Lsat_Salinas_v7:8:234925801:234926235:-1 gene:gene-LSAT_8X142100 transcript:rna-gnl|WGS:NBSK|LSAT_8X142100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRSRRNQARSRNVGPEIYAKWNAKLDSLKQRKVHVLALVNWEWLSETGLLEAMDPFLDKMFESIHGPFVCMGWRQIFQIQETVYKELVVEFLCTVSFARKDGIYVDDNLTFCLGSERRPLSLADFSLGIGIYLPSEVHSELY >cds-PLY79407.1 pep primary_assembly:Lsat_Salinas_v7:3:80247355:80247675:1 gene:gene-LSAT_3X58740 transcript:rna-gnl|WGS:NBSK|LSAT_3X58740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWLMVQLKKEFGFIFRLRHLQIEQIERNGDESRQTDEERKKRSLVGAASDSSVVTDHEEDRRHRGISQAANPFLSDRWRRGYLWGLTRPKGKMGRSSEAAFLLLRR >cds-PLY62609.1 pep primary_assembly:Lsat_Salinas_v7:9:73053843:73061885:1 gene:gene-LSAT_9X61301 transcript:rna-gnl|WGS:NBSK|LSAT_9X61301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGCLHRKMHSLTMSFANSTIIKDHLLVDIDRLVRLNLDSWTGRILLMEEAKKITFELTMKQLLSIDPCEWTEKLRKIYMRVIEGFFCIPSPIFSITYRRAIKARKRVAEALSLVVRERRKESEKGLKKNDMLAALFDSNGGGSGGGGGDGGGGGFTDDEIVDFLVSLLVAGYDTTSTTMTLAVKFITDTPLALAQLTDEHDEIRARKAASAPLEWEDYKSMPFTQCVINETLRVSNIISGVFRRAMKDVDIKGYTIPRGSKVFTSLRAVHLGQDNFKDARVFDPWRWEKTSDPTNFMPFGGGPRRCPGYELARVSLSVFLHHLVTRFSWKPAEEDKLVFFPTTRTQKRYPIIVQNRSSIAKQCIE >cds-PLY67702.1 pep primary_assembly:Lsat_Salinas_v7:4:2840387:2841493:-1 gene:gene-LSAT_4X641 transcript:rna-gnl|WGS:NBSK|LSAT_4X641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSLTMSKVEVIKQAIKEVMEEIRDGANSSSDDGGEVEDDNHHRLLSKLMSQLEKLEAGQEFVDDEKTKTKINKSRSEEEEEEEEEEEEDEEERIVKELKKMKRQNLITHCLLSAMIVLTVVWQISEVSIILRLKDGVNHPFRFIGSIFKRILTPPKPNGSEEETDSSITNNLIESSRIGDLKIPELPHVELPKTQTWFLKDKD >cds-PLY68759.1 pep primary_assembly:Lsat_Salinas_v7:2:211564684:211566433:1 gene:gene-LSAT_2X131301 transcript:rna-gnl|WGS:NBSK|LSAT_2X131301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECGKSAASVVRKVKKKQVKDELDRIKQAEKKKRRLEKALAASAAIISELEKKNQKKREEQQRLDEECAAIAEAVALQVLIGEESDQNQKDEEWYPSWGCYDSGQVWGSPNGETGWVSSGYGGPRCEGNGYGYGYGLGNVHVVEEREWEGVGEVSEGALMAAQAISSLQIADDTVNVNAFVFNRMVRG >cds-PLY66205.1 pep primary_assembly:Lsat_Salinas_v7:2:168663432:168663635:-1 gene:gene-LSAT_2X90861 transcript:rna-gnl|WGS:NBSK|LSAT_2X90861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPVDVPAPPVDPVQVDDPHPDVDVPAQPVATRQRIRKYSERITKIGLRRKVLKKEGSTGHNPMVLE >cds-PLY90692.1 pep primary_assembly:Lsat_Salinas_v7:8:143434739:143438529:1 gene:gene-LSAT_8X98420 transcript:rna-gnl|WGS:NBSK|LSAT_8X98420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSILPLPSLLLLLFLLPFHVNSQSTTQNDQNILLNIKSFWSNPPSINHWDQSSNPCSWPEITCSGTTITGITLFNQNINGTVPPFICDIKSLTHLDLNYNDITGNFPTALYNCTNLQYLDLSQNYFQGNLPGDISRLSPELRYLSLFGNNFYGDIPASISRLSKLSSLQLHQCPFNGTFPQQIGYLEDLEELNLSFNNFTPSRLPRSFIQLKKLRFFYMTETNLIGEIPGNFSGMPALELLDLSSNNLNGSIPSDLFLLKNLTEVYLYWNYLTGAIPDSIQALNMQVIDLSANKLTGKVPGDFGNLMRLTNLSLELNQLSGELPASIGRLPSLNDIRIFTNNFSGELPPDFGRYSELKLFEVDENQFTGSIPENLCYNGKLKGLVVYSNNLSGEIPKSLETCSSLRVIQVYDNQLSGKIPDGLWNLSSLEKMMVSGNSFSGELPSELAPKLSILEISNNRFSGEIPTGVSSWTNMRVFKGSNNLFNGGIPQDLTVLPNLATLLLDGNQLSGQLPAIIVSWNSLNALNLSRNQLSGQIPAGLGLLKVLTVLDLSKNNLSGQIPAQLGRQLVSLDLSGNNLAGNIPGQLDNGAFEKSFQGNPGLCSNNPLLGLNSCSSRSQPGPSSKISSKTVAIIGSIAAILFLLAVIMTGYVIVLYRRRKHGFNSNWKFTSFQKLTFTESTILPRLNDNYMIGQGGSGKVYKVPVNRSGDVVAVKKISTKKDLDLRLEKEFLAEVEILSMIRHSNIVKLMGHISGDNSKLLVYEYLENRSLDRWLHRKQTPPSRGLAGSVRHMVLDWPKRLHIALGAARGLSYMHHDCCPAVVHRDVKSSNVLLDSEFNAKIADFGLAKILEKGSELAAMSTVAGSFGYMAPEYAHTTKVNEKIDVYSFGVILFELTTGREASNGNEHSSLAEWAMQHALGSEPIEDVLDKDIMEPMYMNDMSSVFKLGLWCTSRLPTNRPSMKEVSKMLLQYTPAMVVGTPKNNHDVVDHLPLLKLETV >cds-PLY94726.1 pep primary_assembly:Lsat_Salinas_v7:8:300773963:300776347:1 gene:gene-LSAT_8X165980 transcript:rna-gnl|WGS:NBSK|LSAT_8X165980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVASPSHACVEKKYWWLTNKKIVDKYVKDARTLISSQQQTDIEAALNLLDAALALSPRFELALELKARSLLYLRRYKEVADMLQDYIPSLKMSSDDSSTSSSSSSSVSSDNNSQSLSRERVKLLSSDGGSPDHSVTSFKCFSVSDLKKKVMAGLGKNCNKEGQWRYLVLGQACCHLGLMEDAMALLQTGKRLASAAFRRESICWSDDSFSFSAEIFTDGYQPQTPPRTESESICHLLSHVKLLVRRKTAAIAALEAGLYNEAVRHFSKIVDGRRGAPQGFLAECYMHRASAYQSCGRIAEAIADCNRTLALDPSCIEALSTRASLFESIHCLPDSLHDLEHLKLLYNSILRDRKLPGPAWKRQNVRYREIPGKLCSLGSKSQELKQRIASGETGNVDYHSLIGLRRGCSRSELERAHLLLTLRHKPDKSNSFIDRCEFADELDIESIRDRAKMSALLLYRLIQRGYNNVMGMILDEEVAEKDRKKASTAAATLQQQYDPSSSSSSVVDSIKMEENKKSGSVYQGVFCRDLAAVGNLLSQAGFNRPIPVKYEGLSC >cds-PLY86379.1 pep primary_assembly:Lsat_Salinas_v7:8:283655300:283655965:-1 gene:gene-LSAT_8X160080 transcript:rna-gnl|WGS:NBSK|LSAT_8X160080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVFMKIDLHFARIFTRYPSITYIDGAEQRFDDVDFAGMDKKEFVEFLERFACEICVNVYFFMPDIVFPDGLRLIANDMDYMELIEVGYASDCVIDVYMDHLGVNVHQWILEEQAEVGSSLDQLSGANEDREEVHSHMDMDDGIDMQDLHGCMDDIPRPNEDLQGEQDDDIHMEGDSDDDECIPMNKTKEDEFLSKLCPKEQVTPDSPPREVHMIHQMKLT >cds-PLY81961.1 pep primary_assembly:Lsat_Salinas_v7:9:153616611:153617214:1 gene:gene-LSAT_9X96521 transcript:rna-gnl|WGS:NBSK|LSAT_9X96521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLCFCGKFAVVKCSWTPKNPGRRFYACPQKDSACRFIGWVDPPMCERSKVIIPGLLRNINRMQAWCTALKIMLLASWVKERLM >cds-PLY63461.1 pep primary_assembly:Lsat_Salinas_v7:7:152620615:152621712:1 gene:gene-LSAT_7X91241 transcript:rna-gnl|WGS:NBSK|LSAT_7X91241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASADVEFRCFVGGLAWATTDQSLEEAFSQYGEILESKIINDRETGRSRGFGFVTFKDEQAMRDAIEGMNGQSLDGRNITVNEAQSRGSGGGGRREGGGGYGGGGGGYGRREGGGGGYGGGGGGYGGGGGGYGGGRDRGYGGGSGGGGGYSRGGGAPEGNWRN >cds-PLY73949.1 pep primary_assembly:Lsat_Salinas_v7:5:36102223:36102786:-1 gene:gene-LSAT_5X17721 transcript:rna-gnl|WGS:NBSK|LSAT_5X17721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCPTALAYVIGCACRALVTPEANASLGHWVLPGPSHQPHVAEEVPHQEGLRDHPVATRSAPPVDMEVKQPLMGDQQRHEELANRLNHHFLGKSEQIGSLAYDDLLDKQILIEEKLEIALLNEGFSRDRILANRYEIRELIFYKEGVPLKEETLGMHLAQIQSDPFSNIPYRKIQRSIRNLDLFFSK >cds-PLY95966.1 pep primary_assembly:Lsat_Salinas_v7:9:39985372:39988940:1 gene:gene-LSAT_9X37580 transcript:rna-gnl|WGS:NBSK|LSAT_9X37580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 34 [Source:Projected from Arabidopsis thaliana (AT2G38320) UniProtKB/Swiss-Prot;Acc:O80919] MVARNQHLVPITWGSSTKFSILQVFVALLFAVFFIGAVYMAGEYVTFNMIPASVDDMFSGDSLSECNLFSGKWVFDNTSYPLYKEKECKFMSDQLACNKFGREDLSYQNWRWQPHHCDLPRFNATRLLERLRNKRMVFVGDSLNRGQWVSMVCLLDSSIQDPNLKFMNYNGSNLISFKAVGYNASIEFYWAPLLVESNSDDPVNHKLPERIVRSQSIEKHARFWTDADILVFNSYLWWRRPHIKVLWGSFEDKNGIYKEVEMLRSYEMALKTWSDWLEIQVDRAKTQLFFISMSPTHERAEEWGASNDQNCYNETNLITKEGYVGSGTDPKMMKIVENSIDELKSRGLKVEIINITQLSEYRKEGHPSTYRKQWETLSEEQLSNPSSYSDCIHWCLPGVPDVWNELLYAYIFRQ >cds-PLY89097.1 pep primary_assembly:Lsat_Salinas_v7:9:29028480:29031140:1 gene:gene-LSAT_9X25840 transcript:rna-gnl|WGS:NBSK|LSAT_9X25840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMTWLRLVVVAAVMAAFTFPPLVECRIRHYKFNVVMKNTTKLCESKPIVTVNGRFPGPTIVAREDDTVMVKVVNHVKYNLSVHWHGVRQLRTGWADGPAYITQCPIQPEQTYVYNFTLTGQRGTLWWHAHILWLRATVHGAIVILPKRGVPYPYPKPHKEVVVVLGEWWKADTEAVINQAQKLGQAPNVSDAHTINGHPGPISNCVENGGFKLPVDQGKTYMLRIVNAALNEELFFRIAGHKFTVVEVDATYVKPFTTDTVLIAPGQTTNALVTATQTAGKYLVAASPFMDAPIAVDNVTATAALHYSGTVSSAATKLVAPPPQNATPVANNFINSLKSLNSVKYPANFPLTIDHDLFFTIGLGINPCSTCVNGSRVAASINNITFIMPTTALLQAHYFNISGVFTDDFPSKPLTPYNYTGTQPTNFATTNGTRLYRLAYNATVQLVLQDTGMLTPESHPLHLHGFNFFVVGRGIGNYNPITDPKKFNLVDPVERNTVGVPAGGWTAIRFRADNPGVWFMHCHLEVHTTWGLKMAFVVDNGKGPKESIRPPPSDLPKC >cds-PLY82263.1 pep primary_assembly:Lsat_Salinas_v7:1:65759354:65762122:1 gene:gene-LSAT_1X56220 transcript:rna-gnl|WGS:NBSK|LSAT_1X56220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLCFIWRVSSPPISRRICIPFFPTPPPSVTASWTQEFNRGWKINSFVVGQCYKSIRFVAMTDHYDVRKKITHSRKPLSLRFSVFSFLKTEKKTEPLEALDRIKNGTFFVFYILFYRSKIKGMQFNLMLYLGLHADLMGDLRSYLQKIGKLSPSKALTFALDIGRQVVFLTSVYVNQEKKAYNYVSGLHTCRPDRATWDLIAMVENGKHEGPATYSVTFVWNGHEGENVELIRGFAGNWKEPVKAIHKGGPQYEPEVRLAQGKSYYKFIANGNWRHSTTSPTEKDDRGNVNNILEVGDVANVRPSIQHPTKENMSEAIKICNKIVQHHPTP >cds-PLY97009.1 pep primary_assembly:Lsat_Salinas_v7:2:35549670:35556592:1 gene:gene-LSAT_2X16321 transcript:rna-gnl|WGS:NBSK|LSAT_2X16321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LUTEIN DEFICIENT 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31800) UniProtKB/Swiss-Prot;Acc:Q93VK5] MAASLTTLQFPSPYLKNHHSHTNKFKLKSPAIPKSYGSSRSCGIKCSYSNGRKPDSSKEEKSGKSLEALREEKRRAELSARIASGEFTVEKPSFGSLLVNSLTKLGLPSELIESLSQFIDLGGNYPKIPEAKGAISAIRSEAFFIPLYELFLTYGGIFRLTFGPKSFVIVSDPNIAKRILKDNSKAYSKGILAEILEFVMGTGLIPADGEIWRVRRRVIVPALHLKYVAAMIGLFGEATNRLCKKLDDAAYNGEDVEMESLFSRLTLDIIGKAVFNYDFDSLTKDNGIVEAVYTVLREAEDRSISPIPTWEIPIWKDISPRQKKVNEALKLINTTLDDLIAICKRMVDEEDVDFNEEYMNESDPSILHFLLAGGDDVSSKQLRDDLMTMLIAGHETTAAVLTWTFYLLSKEPSVMSKLQNEVDAVLGDRFPTIEDMKKLKYTTRVINESLRLYPQPPVLIRRSLEDDVLDKYPIKRGEDFFISVWNLHRSPTHWEDADKFNPERWPLDGPNPNETNQNFSYLPFGGGPRKCVGDMFASFEAIVAVAMLVRRFNFQMALGAPPVKMTTGATIHTTEGLNMTVTRRMQPPIVPMLDNQKLEKMESSTNIPKADPVVS >cds-PLY97209.1 pep primary_assembly:Lsat_Salinas_v7:4:95474824:95475228:1 gene:gene-LSAT_4X62521 transcript:rna-gnl|WGS:NBSK|LSAT_4X62521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDTGDGWTKIQHDKSDMSDTSTFYVVGFQDGTSKVDLRSVYDRFGQVSDIYIGGKKNKRKQNFAFIRFKGVKDTRTLEANIQGIKLGGITLMSNLAKYQRGMSHYRVPLRGRRVGMQMKPKIWGNVRDSRSFA >cds-PLY63530.1 pep primary_assembly:Lsat_Salinas_v7:9:25010770:25011196:-1 gene:gene-LSAT_0X37700 transcript:rna-gnl|WGS:NBSK|LSAT_0X37700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTGLSYDTHEPVLKDAFEQHGELIEVKVICDHKSGKSKGYGFVHFVSEESATKALAEMHGQLLDGRNIRINYANKK >cds-PLY81176.1 pep primary_assembly:Lsat_Salinas_v7:9:116242223:116243293:1 gene:gene-LSAT_9X78981 transcript:rna-gnl|WGS:NBSK|LSAT_9X78981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQAFGSRKKARRRSIETSNDPNQPIVERTKINGIRGGGRAEGRAGGYNIGETEGSKWIERPLDDMELVELGEESWSAGDDGVAKANNDVVDEGQVQAGVEVVVEGVDGGQEEDDGVHNFHVYKKKKPSERILKLKLKKILYNKYGSCSNATNPIKLDYLGSD >cds-PLY66640.1 pep primary_assembly:Lsat_Salinas_v7:1:54395964:54397733:-1 gene:gene-LSAT_1X47181 transcript:rna-gnl|WGS:NBSK|LSAT_1X47181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex exonuclease RRP46 homolog [Source:Projected from Arabidopsis thaliana (AT3G46210) UniProtKB/Swiss-Prot;Acc:Q9LX74] MEVDRADGRAPNQRRPVACTRGILHRAHGSASWAQGDTKVIAAVYGPKAGTRKNENPEKACIEIIWKPKTGQSGKPEREYEMVMKKTLQSICLLNVHPNTTTSIVIQVVNDDGALLPCAINAACAALVDAGTPLKHLAIAICCCMSENGQILLDPSKIEEQKVKSFVYLVFPNSIASVLPEGSLKSEGEIMEHGILTSVTHGVMTVDDYFSCLKLGRPAAAELSDFIRNNLKLKPGNDSSKAA >cds-PLY65728.1 pep primary_assembly:Lsat_Salinas_v7:5:269219979:269220311:1 gene:gene-LSAT_5X140000 transcript:rna-gnl|WGS:NBSK|LSAT_5X140000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGTKKPEVLEKTYLPNPNSGDEAFDFSFVNFSEETFKIHSKLYDDHFLNLFKDENILRRSVDRMVDDGDNPGGQQNEHVHLDEDDEDVGVEYKVHDPNVDWKEMRP >cds-PLY99326.1 pep primary_assembly:Lsat_Salinas_v7:1:69764720:69769399:1 gene:gene-LSAT_1X59601 transcript:rna-gnl|WGS:NBSK|LSAT_1X59601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCDNITAAVMGMSPEQKQAILRMGFGSILQVNITSYPGQLSYYLLDVYDADSKRLVLQNSVIEITEQTVHDMMGLPFGGEDINELPLCDKGNQILEECRGQYSGDKLNGEEYLRRIQATTKDNLMFRLNFLTLFVNNFIESMLMGTNQIKVVRKLVLVEDFSKLNWCKYMLDCLGSRKKLWKRDDKSSYYSGPITLLILVYVYNMKYSIKIDKRLPFIGHINGAKLLEVQRLEISLGGFGRQFRDEHDDVDMGDEIGAEEQQMLSFKRDFGDEEAYAAVIEHSYGVILTEKSTMEVALKDGLEKFPHSVVLNEWMEKMNELFKGVFEGAGNKKVHEPACFNEVNMNDVGDGGEGNSSPVGGLILTEVNTEKEVNYTTPVDTTSLTMTQFHRLPGVNEEMIKLLDETELQVYRKKKRMSVISGDNLVGRNIGEAVDNAAGYDDNDKREKRIPKKAKVFHSPYIERIVKVGEKLTKDETWICNSVFASKRDDGDEIWDIGTGHLLHQGFAYQFNHGMFLHSKIIDCWAAFLNKMENYKDESSLSRFFFDTTIVTEDILNELKSEDMKCRLFATLLRIYTKKFDVKPSFRDVALVFFPIVDDGKYYLLIFDLRSSLYYIVDHVKRTGTLERKYGMIPNLVTEDILNELKSEDMKCRLFATLLRIYTKKFDVKPSFRDVALVFFPIVDDGKYYLLIFDLRSSLYYIVDHVKRTGTLERKYGMIPNLVKKLFCNYLTSQHHPMAKTLTFKAARVMNISWLVEKAGTECGIYLMRHMDTYMGENEGCWECGLTGKMPADVSATIKLRTKYMARLLTSDFNKFKTMIVKDFEAFRKLDILEQDMLLRESAENRKKKRKTKGRR >cds-PLY95327.1 pep primary_assembly:Lsat_Salinas_v7:8:243819805:243839336:-1 gene:gene-LSAT_8X147020 transcript:rna-gnl|WGS:NBSK|LSAT_8X147020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNEAHDYGRYNISNSSASSDIFEPTSLNDAKTRTCVIEWLNSTLPSLNLPVNASDEELRSFVADGTILCRLMNKLRQGPIIEYGNPHHSSEAQSDNVKKFLAAMDDMNLPHFNISELEKGSMKIVLECLLTLKAHFMTKDVVVGSPNATSPKSWGSESVRWKHQHVDERSETSDSYQEDVSPRRFHRALRSPMMSEATTAMMHHAGHRFHEVFQMKHGGYSDLPAAKISELMKSNSLDRVACLMRKVVQEIERRISTQAEHLRTQNNLFKTREEKYQSRIRVLEALSNGNNGESKAVLNHFDQMKMMMSPFDQVKVDVTRTGSGKKGVVLQPEKMNLPMEKKIEDHEVEEIIKEKDSRNAEIADLKQELENARKAHQEYCSQIEASARKTQQDLEKKLKEFEDHLNESKKNVQELEAKSRSKSQWWNKKEHIYKTFTEFQLGALKELRFASQSVRQEILKTQKSYSEDFDQLGTKLKVMQDAAESYQAAINENKKLNNEIQELKGNIRVYCRIRPFLPGQKEKQSIIDYIGENGELIVANPSKPGKESRRNFKFNKVYGPRATQAEVYGDIQQLVQSVLDGYNVCIFAYGQTGSGKTYTMSGPDKGSPEEWGVIYRALNDLFKISQSRSTYNVVTIHVHGTDLKDGASLNAGLHLVDLAGCERVDPTDVVADRLKEAQHINKSLAALGDVIFSLSAKSVHVPFRNSKLTQVLQTSLGGQAKTLMLVQLNPEVPCYSESLSTLKFAERVSGVELGPARSCKEGSNIKELMEQVSSLKYTIAKKDEEIERLQGVKDKKNTGSDKRSSKYGSSPPTPSSKGGASPRNSNVKSSSVGAAKVNRSENSRRSLDGTAYKPPTTRRDGSFRHSISHAAEDLDIYSASADSSPSSEGSKSSHTSNK >cds-PLY98651.1 pep primary_assembly:Lsat_Salinas_v7:1:37566452:37567533:-1 gene:gene-LSAT_1X31560 transcript:rna-gnl|WGS:NBSK|LSAT_1X31560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHGPTLKSPFVQRVVDLKDSVEQKEILVAQANIGLGMDKRELVWESKEGFGMHLEYARTTAAKVNIHSNVIDYWSVLLNKLEESKADSSYSRLFFTTGTLRESMYDEKVNEDVRYKVLEIMVSSSIEDLTNDPELKNMDLVFFPIVDGNYYLICFNLKSISILIIDQRRLVGTVESVYGNIPRVLQNNFCHFLNDVCKKKVKTPMTRNVVVLKMKCQAYNRSKWDCGLVVDLKTQYMYL >cds-PLY75663.1 pep primary_assembly:Lsat_Salinas_v7:1:101045546:101048424:1 gene:gene-LSAT_1X82100 transcript:rna-gnl|WGS:NBSK|LSAT_1X82100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEADEVVQVEERFESPLIITCVEPLCLASTINTYEQIFDEENERIEEEDEEVEDFEDGACQVMDARIKAAVATLLLAVRGIGGGGRELSPNSTDEPRFRTSIIPIDMPIVAGVVGSNNQGAINEQTDMAGPLVDLAGNLRHQNQGTGNLLIATDPVHTTATEHAIDATSRGVTGGHDSNASLGLGKSTTRVLVSIERIWIIRGPGLTMYRWNSLENINIFDAFKCVLNDRYRDRMKCIRIKSGDMARNDGKPVPLGHCSYFEGMHSYHPERVPENVWLRFIGRQISGERLQKLLSRTAKSQMLMGRQLGTLREKMMGKPPTQFDVFMKTHGTAEAKKRYFAGDHENLEYFSQTAKEAQETYLQEMVKKYREDSSNHKDDVGVWEETQLRRKGKKKGDIYGIGASDIHFVITGTPSSQSTQSTQSDSTQQEVDRLRAQVSTMEQQQQQKNERTNGNGYEDDEYVWKSTPCTPR >cds-PLY87043.1 pep primary_assembly:Lsat_Salinas_v7:5:266578868:266584172:1 gene:gene-LSAT_5X137221 transcript:rna-gnl|WGS:NBSK|LSAT_5X137221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSAYGARLTTFEDSEKESECGYVRKVSGPVVIADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLTVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIARISGDVYIPRGVSVPALDKDILWEFQPKKIGEGDSVTGGDLYATVFENSLVEHRIGLPPDAMGKVTYIAPPGQYSLKDTVLELEFQGVKKKFTMLQTWPVRTPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAHEASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERDGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSTALESYYEKFDSDFINIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFYNLANQSVERGAGMDGQKITYTLIKHRLGDLFYRLVSQKFEDPAEGEDVLIGKFKKLNEDLTAGFRNLEDETR >cds-PLY97876.1 pep primary_assembly:Lsat_Salinas_v7:2:216092361:216092867:-1 gene:gene-LSAT_2X136600 transcript:rna-gnl|WGS:NBSK|LSAT_2X136600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQSIPSSVSTRVSLFPSPNQTKIKPERGHRRSTTPHSTDLPPSNHPPTSLIFRVSFFLLRSGEGDDPIEPEEEEDAEDSHLSVPLHAVYSIIVGDQAFLHAVSFARSFPRSFADLMNKEKKELVDSFTQTLYQIGKTSPCNCNFKSKSAFDLNPYKLGLGITNWSLT >cds-PLY65734.1 pep primary_assembly:Lsat_Salinas_v7:5:271238396:271239244:1 gene:gene-LSAT_5X141840 transcript:rna-gnl|WGS:NBSK|LSAT_5X141840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGYLNVERPFTTPPPPPASSLPPQKPNIPMLYYGLVVVATAAIVLAFYNLIIVRWCTIQYQRRSQENQLSRRRRNPTNTMSPPLSRGGSAICLLSSFKYKKGEEGSKNQLDSDTECSVCLSVFEDGEEVRKLPMCDHCFHVYCIDMWLYSHTDCPLCRAPVVELPPPTPPPG >cds-PLY97059.1 pep primary_assembly:Lsat_Salinas_v7:4:350814068:350827214:1 gene:gene-LSAT_4X172900 transcript:rna-gnl|WGS:NBSK|LSAT_4X172900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQRISTLLILAPLLFMATIAACSNGTCKLLDDCETDGDCEAGLYCFSCPQGYAGSKCVRSTATPVFNLMNNSLPFNKYSFLTTHNAFAIDNGTIRLTPTNQEDTVAQQLNNGVRALMLDTYDFEDTVWLCHSFGGKCYDATKFTPAINTLKEIEAFLSSNPKEIVTIILEDYVQAPNGLTKVFTDSGLKKYWFPVTSMPKGGQDWPLVSDMVAKNQRLLVFSSVRSKEQSEGIAYQWNYMVENQYGDGGMKAGECPNRGESSPMNDKTKSLVLVNYFRTLPIKMLACGQNNGGLSNITKTCYTDSGNRWANFLAVDFYKRSEGGGTFQTTDLLNGELLCGCNDVHACVVS >cds-PLY64136.1 pep primary_assembly:Lsat_Salinas_v7:1:1944724:1962401:1 gene:gene-LSAT_1X2001 transcript:rna-gnl|WGS:NBSK|LSAT_1X2001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGSTCPNVDGWDDTFEWCEPSGGTPMEQDEPNSEKASEDSQASKDIHDSEDNEDSEDSQDSDYIVEDNLLDDPEVGMKNFHLNIDKEVEWVGSFPDDRDEAVEGDEELEPPKVKAFIDKVILCPLQDIAIPLSGFHWEYSKGNFHHWRPLFLHFDTYFKTYLSSRKDLLLADPLEDDTPFPKQSVLQILRVMQIILENCHNKSSFDGLEHFKLLLASADPEVLIATLETLSALVKINPSKLHASGKLVGCGSINSCLLSLAQGWGSKEEGLGLYSCVMLNERTQDEGLTLFPSDLQTENDNSQNRVGSTLYFELHGGDATSTSTSVINIPDLHLRKEDDLSLMKLMIEQYSVLPEHRFSLLTRVRYAHAFRSPRICRLYSKICLLAFIVLVQSSDSHDELVSFFANEPEYTNELIRLVKSEDTIPGTIRTLAMHALGSQLAAYSSSHERARILSGSSISFAGGNRMILLNVLQRAISSLSNSSDPSSIAFVEALLQFYLLHVISTSSSGSVIRGSGMVPTFLPLLEDSDPSHMHLVCLAVKTLQKLMDYSNSAVTLFKDLGGVELLTNRLQIEVGRVIGSEIGDDNSSMSIGESSSSNVDWLYSQKRLIRVLLKALGSATYAPANSTRPQGGHDVSLPATLSMIFGNVDKFGGDIYSAAVTVMSEMIHKDPTCYASLDELGLPDAFLESVKAGVVPSSKALTCVPNGIGAICLNTKGLESVRETSALTFLVDIFTDKKYVLAMNDGIVPLANAVEELLRHVASLRGTGVDMIIQIVNKIASIEDGKARAKLGKLNNESNSMDMDMEDKENVGPVLVAATDSVSEGVGDEQFIQLCIFHVMVLVHRTMENAETCRLFVEKEGIEALLKLLLRPSITQSSEGMSIALHSTMVFKSFTQHHSAPLARAFCSALRDYLKTTLTGFGVLSGSFLLDPKATPDAGVFPSLFLVEFLLFLAASKDNRWVTALLQEFGNESKDVLEDIGRTHREILWQIALLEDAKFETDDDSDRSRSSEPNASESEEQRFNSFRQFLDPLLRRRMSGWSFESQFFDLITLYRDLTHASGVPQRQSTTDGPSALHLGGVHQSQSQSQSQASASSSDVASAGVGSREVDKHRSYYSSCCDMMRSLSLHITHLFQELGKAMLLPSRRRDDMVTVSPASRSVASTFASITLDHMNFEGHMKPSGSVSSWSPKCRYLGKVIDFIDGILLEKPDTCNPVLLNCLYGRGVLQSVLTTFEATSELLFTVNRTPASPMDTDEGTPKHESEETVRSWIDGPLASYGKLMDHLVTSSFILSPFTKHFLTQPLVTGDIAFPRDAEVFVKVLQSMILKAVLPVWTHQQFTDCSDDFIATLISIIRHVFSGVEVRSVSSTGSRPSGPPPNETTISTIVEMGFSRARAEEALRQVGSNSVELAMEWLFSHPEEVQEDDELARALAMSLGNSGTDTKEATTNESSQQIEEETVQLPPVDDLLSTCKKLLEMKDSLAFPVRDLLAMICSQDEGRYRSNVISFILEQVKLCSANADGGKNNMLSSLFHVLALILNEDKDAREVASKSGLVKVAADLLSEWNSRSHENEKLIVPKWVTAAFLAIDRLAQVDQKLNADISELLKKEDVGNQNSVVVIDEDKQNKSMGLSSKHIDVDEQKRFVEISCGYLTKQLPAETIHAVLQLCATLTRTHSVAVSFLDAGGLPLLLSLPTSSLFVGFDNVAATIIRHILEDPQTLQQAMESEIRHSILTAANRQSNGRLTPRNFLLNLTSVISRDPVVFMRAARSVCQIEMVGERPYVVLLKDREKDKVKEKEKEKDKERGEEKDKPQTPQIDAKLTLGNAKLPEGNAKNAKVHRKLPQSFVTVIELLLESVITFVPPPEDKAAAGGEGSSVADMEIDVALSKSKGKAIASATEENGDNGQESSASLAKVVFILKLLKEILLMYGPSVHVLLRKDAEVSSSHGHGGGIFHHILCKFLPHLRSSKKEKKSDADWRHKLAGRASQLLVAACVRSTEARKRIFIEINNAFSDFVDSSKVHRPPGNDIQAFVDLLGDVLAARSPTGSSISGEASVTFIDVGLVRLLTRTLQMLDLDHADSIKIVPGLVKVLELVTKEHVHAAEANTTKGENSTKAPDHGQPGGTDHTGDIDTSQSTETAALPNSSSAPTEVAEGFSTVQTYGGSEAVTDDMEHDQDIDGGFAPPSEDDYMHENSEDARGLENGLGSVGIRFEIQPDIRERLDEDDEDMSGDEGDEVDEDEDGDDDDDGHNDLEEDEVHHLPHPDTDQDDHEIEDEFDEDMIEEEDEDDEDDDGGVILRLGEGMNGINVLDHIEVFGRDHSFSNDTLHVMPVEVFGSRRQGRTTSIYNLLGRSGDSSVASQHPLLMEPSSSRAVSSRQADNGRDGHIERNLESSSSRLDSIFRSLRNGRHGQHGHRLSMWNDDQQSGGSNASSIPVGLEDLLVSHLRRPTPPEKASASDQEKVEEGQQTKNESQESAGMVPETETAGESSSNDDQVPPLNGSGSTPAVDDESQEEGTDATLGQPHDMQFESNDSGVRDVEAVSQESSGSGATLGESLRSLDVEIGSADGHDDGPTRRTNVSINARDASLHSVSEVSQETDQSDPAEDAPRDRDGAAGSAPIDPAFLDALPEELRAEVLSGPQGPGPVAAQPPATSEPQNDGDIDPEFLAALPPDIRAEVLAQQQAQGAHRAQELEGQPVEMDTVSIIATFPSELREEVLLTSSDAVLANLTPALVAEANMLRERFARRYNRTLFGMFPRSRRGESSRRGEGAGSSMDRSGGIITRRSSGSKPVETDGAPLVDQEDLKAMIRLLRVVQPLYKPQLQRLLLNLCAHGDTRSSVVKILMDLLLLDIRKPGNNLNASEPSYRLYACQSHVMYSRPQCFDGVPPLVSRRVLETLTYLARNHTFVAKLLLQFRFPPDKSHGKAIMVVEDNETEKLQGDFLAITMLLSLLNQPLYLRSIAHLEQLLNLLDVIIDNAESKQAPAPVSVPEESAAQMSTLNADDAGGSGSNTKSPKADDASKPSSSGANTEYDSHTVLLSLPQAELRLLCSLLARECLSDNAYALVAEVLKKLVTIAPHHCHLFITELAGAMKNLTTSAMDELRRFGEIEKALITTTASDGAAILRVIQALSSLVTSLNNQEKDETVAETDQAATLSLVGDINAALEPLWTELSTCISKIESYSDASSPSPSPSPDMSESLTSRPSGAMPPLPAGTQNILPYIESFFVMCEKLHPGSPQDFGIMDEATTSKVDEKHVVFVKFSEKHRKLLNAFIRQNPGLLEKSFSLMLKVPRFIDFDNKRSHFRSKIKHQHDHHHSPLRISVRRAYILEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNDSTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPGYFKNLKWMLENDISDILDLTFSIDADEEKLILCERTEVTDYELIPGGRNIRVTEENKHKYVDLIAEHRLTTAIRPQINAFLEGFTELIPRDLISIFHDKELELLISGLPDIDLDDMKANTEYSGYSAASPVIQWFWEVAQAFSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGRPDHLPSAHTCFNQLDLPEYPSKEHLEERLLLAIHEANEGFGFG >cds-PLY78969.1 pep primary_assembly:Lsat_Salinas_v7:3:70229429:70230098:1 gene:gene-LSAT_3X55881 transcript:rna-gnl|WGS:NBSK|LSAT_3X55881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAAAEAVMVLLLTIPGLGPLRKGLVAVIRNLLKPFLSIVPFCLFLFMDIYWKYEHRPNCEAESCTPTEHLRHQKSIMKSQRNMLLIVSALVFYWLLFSVTHLVIKIDQLNNRVEKLKNQ >cds-PLY80048.1 pep primary_assembly:Lsat_Salinas_v7:4:348510988:348512715:1 gene:gene-LSAT_4X172620 transcript:rna-gnl|WGS:NBSK|LSAT_4X172620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQTSPKSPSPPQTRPLFRPPVPTAVTPTPLIIPKVFKYPEMYTSPTDLIMSPVSKVILARTTSKKSSLPLLNSSTTNKEQHKKQKSILSFTVRASDDRKLVCVLQASLEVDIISSKSGSITIGIEFRTYIGFNGCQSEFMIDVKWFNFDFF >cds-PLY90450.1 pep primary_assembly:Lsat_Salinas_v7:9:91646654:91650839:1 gene:gene-LSAT_9X70541 transcript:rna-gnl|WGS:NBSK|LSAT_9X70541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVVFVVPPISSAGASSPVVATNAGSTDWFVHSYFLESAAAALIPSAVEVQLNFQKRRGHGIFSLLHPRFSGVLGEALDLAARWSRDVYELQGEYVFPGSQAGNETGKGNLLRRAMAGHLRSVISSMGHWRMRLEVPRAEIAKMLPLARLVSRNTDAAL >cds-PLY93527.1 pep primary_assembly:Lsat_Salinas_v7:4:277576277:277577641:-1 gene:gene-LSAT_4X143560 transcript:rna-gnl|WGS:NBSK|LSAT_4X143560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAMNNLPPDIIEAILRRLPEKSLGRFKSVSKQWCSLISDPQFIKTHLHQHSHHKTSKLILVSDTKCLYSVDINEIVPHLKFDDIPATGNEITFRPPSIRWEGILGSCNGLVLAIDEYDILYLMNPTTRKISKLPLSPFALPISESFEMYGFGYDSSTDDYKVIAISFWDTDNEHNPDCTDMFVNVYTLSNNSWRKLPNSPYDHAVGHLVSGVLVNGSLHWLTSTRPNYSSTIIAFNLANEKFKEIELPDSIDNYKAVFNELVVIGGKLCLFNQLGNDLWVMEEYGVGMSWTKVSIHGVDIDNVKPICSVDDSNRDIVLGDEDGVIIYNVDERVCRNVRILRGPTRFTIGGTYVESLVLPTYIREIP >cds-PLY94327.1 pep primary_assembly:Lsat_Salinas_v7:7:164866482:164869048:-1 gene:gene-LSAT_7X96720 transcript:rna-gnl|WGS:NBSK|LSAT_7X96720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQIRGKGEIKKKEGSAETQVPLPELPEPEVEVEGLLGLLGGGNWRKTARGKAKGRASSDFFLCSSTARHSTLLCRALAERSSPAQASRLAPSFHCCSGRLGRASYSHSMDTLTFGMKMDEQALEPGTDEIRGKHGTGHYTGGARHDRDLRFKYR >cds-PLY94320.1 pep primary_assembly:Lsat_Salinas_v7:7:165574934:165577936:1 gene:gene-LSAT_7X97821 transcript:rna-gnl|WGS:NBSK|LSAT_7X97821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHFNLLQTISLLYFLFTLTCLGSSLSHDKECSALFQFKQSLIHQDDETLCGASWFQTFHSWKPTSNASNARFDCCSWYGVECSNEHEYGHVIGLDLRECSLCGHINSTSAIFSLVHLQSLNLAMNNFFESQIPSEIAHLKQLRSLDLSDSGFGGQVPNEISQLMQLSSLDLSMNPLKLQSPNGFKNLVQNLTGLEELHLSGVDISSSVPHFLANFSSLRSIRLQNCLLENEFPAGIFQLQKLKSLDLSFNTNLTGAFSEFHNSSLLELVMIHSTSFSGIVPESISNLNNLSVLSLGDCSFSGHIPGSLSNMTKLTYLSLGNNKFTGFVPSLVSLSKLIILDLNGNRFDKGALPNWLGMLAELNQLLVYNMDIHGEITPFLANLTKLRSLGMGNNSLAGHIPSCFFNLTQLEELDLQENQLQGPISSSFSNLKSLQILHFRYNNFSGSVDLDTFLGLNKLETLVLGHNMISLVATNNYTISTLPHLKQLELSSCNLKEFPGFLRFQNKIELLSLDSNKIDGLVPVWIWNNSKETLLAIDLSNNYITGFDQHPQVLQWGHLEEFDIMHNQVQGPLPVPPQTTVIYVASENNLTGEIPPLICEVKSLQVLALASNNMSGTLPPCFGILSNSLLALDLAGNNFHGIMMNAFMHGSPLETIGLSKNRFTGELPRSLTNCTNLEFLNLGDNSFHDLFPSWLGNLPNLQVLMLQSNKFYGPIQSSTTVLSQFPKLRIIDLSNNNFNGQLHPKYFQTWNAMRSVNDSESSVMELDLSLKSFTEKATYSMTMIYKGVRRNYEKILTIFTAIDLSCNKFEGEIPHSLEDLRGLESLNLSNNHFTGRVMKSLGYLKNLESLDLSQNELSGEIPQELVQLNFLSIFNVSFNHLDGHIPQGQQFSTFEDDSYMGNPGLCGKPLSNECQHSKGSILPPASSMSETESLLPRERIDWIFVFCGVGSGLVVGVVIGNFLYERYKDRFTKTKDKWVRPLPNTRRNQGTTTTSKQPL >cds-PLY72253.1 pep primary_assembly:Lsat_Salinas_v7:7:88165894:88167757:1 gene:gene-LSAT_7X60541 transcript:rna-gnl|WGS:NBSK|LSAT_7X60541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADPYWRYGASADRASILRPPLPGYLTSEASTLQSRTPFASHDLVSNSSNFLLKDSLVSRPGEYVPEYVPESVYSGYGGGGATSFNGYSSSLVDPYLSGRRDATPGMLNERHGSLKDDELTDGIMQSNVLFVDGLPNDCSRREVSHLFRPFFGFKEIRVVHKEPRNREEKAMVLCFVEFEDAKCALTALESLQGYKFDNKKPDSPALRIHFAHFPFKLPSNDQHIPVSH >cds-PLY96430.1 pep primary_assembly:Lsat_Salinas_v7:4:343312723:343317188:1 gene:gene-LSAT_4X169480 transcript:rna-gnl|WGS:NBSK|LSAT_4X169480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTSASRVSLSFECRTDASFSGGIPRIDGARFSSKLGGASGKGTFGMSSLILRFPPNFVRQLSNKARRNCSNIGVAQIVAASWSNNQPPSSAAGAKAVDAAASSAVSTPFVVGEDVVDNNDMDIGSCNGSPFVQFQALESFHKPSFLKSDDSVAIHAGERLGRGIVTDAITTPVVNTSAYFFKKTAELIDFKEKRHVSFEYGRYGNPTTVVAEEKISALEGAESTLILASGMCASTVMMLALVPAGGHIITTTDCYRKTRIFIETFLPKMGITATVLDPADYAGLEAALDNHKVSLYFTESPTNPFLRCVDIELVSKMCHAKGAIVCIDGTFATPLNQKALALGADIVLHSATKYIGGHNDVLAGCISGTTEIISQVRNLHHVLGGALNPNAAYLIIRGMKTLHLRIKQQNSTAQRMAEILEAHPKVKHVYYPGLKSHPEHDTAKKQMIGFGGVVSFEVDGDITTTIKFVDALNIPYIAPSFGGCESIVDQPAIMSYWDLSQAERAKYGIMDNLVRFSFGVEDFEDLKADVLQALEAI >cds-PLY78356.1 pep primary_assembly:Lsat_Salinas_v7:9:10178861:10182164:1 gene:gene-LSAT_9X7940 transcript:rna-gnl|WGS:NBSK|LSAT_9X7940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVQDRNGEKRMDKQIGCMSGFLQIFDRQQILAGKRIHSTKRLPPSSGVPASSETVSSVKSPVFSGEVGKTEPQKHAVVVPASPNSSQSSVEGNAKVRPIAMPEISQLLVPREVRMNNAVASVNGANCNSKVAEGDDKQRRSPSVIARLMGLEPLSSSDQKSSQPVTKLTLRRSASESTDSRDLVRSKYIDGTNFQVKLPNHSQKRTVETIVTDEGRNVGNRDSSNGSAMKSMRNASGNPKSESPRTSPWRSSQQKRSFFDSADFFPEPNQMTVSMHGDFEKKLKMRGMDEQSNDLGTLKQILEVLQLKGLLRSTRPPIRDHQQNFVFDRNLPSDESSIILMKPSRSAVSKVDKQRSADDSRGPRRYATEISPSISPKREGGAVDRTGRSPVRARNSSPTRIESNLKSCNSIVKRRPLSIEIQKRANDSSDSLRTTPINSPKLTPKRNHSSTNRSPIHQKPMESSSFNSPKQRIIKNVVTDDESSSISESTFSTPSTTDTERSKWEGLREGRSSLHKYDKLQHSVGKMNSATESPPISTTVLPSPVSVLDSGFDKDESSSPSHSIDYKATLAVDFEEGSWSSSILETKSTEHQEFISDDSDFIYISEILGASYSQHLQEDSNVFFSIEKQLYNTKDTSKVSKRQRKLVFDVIVEILEKSRQFPPWKKVVSFTDSGMSLKQIWSEFQKIREINTGDGLLELITGVLRKDIVGINDWEDYPIETSETILDIERMIFKDLVNEAIGDLSEFSGRSMFLRPQRKLVF >cds-PLY79454.1 pep primary_assembly:Lsat_Salinas_v7:9:4586396:4586857:-1 gene:gene-LSAT_9X3381 transcript:rna-gnl|WGS:NBSK|LSAT_9X3381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGYGGFDASLHLGRHTKVSSKTKDSEKVYMLRLEREALISGSGSGSKQTWRTYGSLRDPMKEEIQLAPCGSFTKATQMQIEGYLLSIYPGFLAYK >cds-PLY94845.1 pep primary_assembly:Lsat_Salinas_v7:2:177804814:177807557:-1 gene:gene-LSAT_2X97980 transcript:rna-gnl|WGS:NBSK|LSAT_2X97980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRLVRALRPIAVASQRTTAAASFSTSLLFDETQIRFKEGLQQFVQEKIAPHAHKIDKMDSFPEDVNLWKAMGDFDLHGLTAPAEYGGLDVGYLYHCMAMEEISRASASVGLSYGVHSNVCLNQLVRHGNAAQKEKYLPKLISGDHVGALSISEPNAGSDAVGIKCKADRVDGGYVINGNKMWCTNGGIADTLVVYAKTDVSAGSKGITAFIVEKGSPGFIAAQKLDKLGMRGSGTYELVFENCFVPTENILGEVGKGVYIMMSGLDLERIVFAAAPIGIMQACLDVVLPYVRQREQFGRPIGEFQLMEGKLADMYVALQSSRSYVYSVARDCDNGNIVTKDCAGAILVAAEKATQVALQAIQCLGGNGYVNEYPTGRFLRDAKLYEIGAGTSEIRRMLIGRQLFREQ >cds-PLY73401.1 pep primary_assembly:Lsat_Salinas_v7:8:305608561:305616024:-1 gene:gene-LSAT_8X165800 transcript:rna-gnl|WGS:NBSK|LSAT_8X165800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRPTNGRSPLVNPQRQITSFFSKSPSSTSSLSPSQSPSPLLSNSNSNSNSKLKPKTKPSPTTPSPLQTTSSKKRALVIGQSSSTPASDAQNPRYGDEVVNRRIKVYWPLDKAWYEGCVKAFDKSSGKHLVQYDDGEEEHLDLSKEKIELLKEQAKRFRRLRKFSIEDEDDDEAGGGAKGNVDKNVESGGDDSDDEDWGMHVEKEAIDDEMEDLELVDENEEEEEEVEETKAIKPDSKKRKVFGMKSASLKKIKNEAPLDLSPCNLEHKTNNNSAKASAFVDNDLVGDKAERFTTREEEKFKFLGNFLLSRARKDAKKRSPDDENYDSRTLYLPPDFLESLSGGQRQWWEFKSQHMDKVLFFKMGKFYELFEMDAHVGAKELDLQYMKGDQPHCGFPEKNFALNVEKLARKGYRVLVIEQTETPDQLERRRKEQGTRDKVVKREICGVVTKGTLVDGEMVAANPDASYLFAVSECYEASGNQRDDRIYGVCVVDVATSKIMIGQFGDDTECSVLSCLLSQLRPVEIIKPVKSLSPETERVLLRQTRSPVINELIPLEEFWDAEKTMCEVKEIYKRISNQSCLNESMSCSSDTKDCLPEVLSDLMNTGNVGSYALSALGGTLFYLRKAFLDESLLRFAKFERLPCSGFNDSTIKPYMVLDAAALENLEVFENSVNGDSKGTLYEQLNRCVTAFGKRLLKTWLSRPLYHIDSIRERQNAVAGVKGVSLPYALEFRKELSKLPDMERLLARIFSCSEANGRNSSKVVLYEDASKKQLEHFIMVLSGCEVIINACSSLGVILENTDSRLLHHLLAPGKGLPDVDGVLRHFKDAFDWMEAKSSGRIIPRDGVDKEYDTACGMVTDIEFSLRKHLKEQRKLLGDSSINYVTVGKDTYLLEVAESLSGSVPCEYERRSSKKGFVRYWTPEIRNLMRELSEAESEKESKLKSIMQRLIGRFCEHHVSWRQLVSTAAELDVLISIAIASDMYEGPTCRPLIVDLDGDEAPVVDAKSLGHPVLGNDTLGDGSGNFVPNDVCIGGADHARFIVLTGPNMGGKSTLLRQVCLALILAQVGADVPAESFKMSPVDRIFVRMGAKDHIMAGHSTFLTELLETASMLSSATRSSVVALDELGRGTATSDGQAIAASVLEHLVNKVQCRGLFSTHYHHLALEYQQIDKVSLCHMACQVGDGDGGVEEVTFLYKLTLGACPKSYGVNVARLAGNMGFLGLPDAVLKKAAIKSQEFETMYGKRTRTNQNQIALMLQSLNNCHGNGILDLQNRAKIFLEHK >cds-PLY93805.1 pep primary_assembly:Lsat_Salinas_v7:6:145178917:145180413:-1 gene:gene-LSAT_6X87861 transcript:rna-gnl|WGS:NBSK|LSAT_6X87861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGGSIRQQLANLDLRIIIDYSLVEWKELEEEEPTGNEWEDRKVGRRKDFLLRRMELAKHFIRTNIEPKWMVLRLLPVLPPELRPIYHIDEDKLVTSDINEIYRRIIYRNNTLTDLLTTSIATPEELIISQEKLLQEAVDALLDNGICGQPMRDDHNRVYKSLSDVIEGKEGRVRETLLGKRVDYSGRSVIVVGPSLSLHRCGLPREIAIELFQAFVIRDLIRKHLASNIGVAKSQIRKKKPIVWEILQEILDDHPVLLNRAPTLHRLGIQAFLPVLVEGRAICLHPLVCKGFNADFDGDQMAVHVPLSLEAQAEARLLMFSHMNLLSPTIGDPISAPTQDMLSGLYVLTSGNRRGICVNRYNPCNRRNYQNEDNNYKYTKKKEPFFCNPYDAIGAYRQKRINLGSPLWLRWRLDQRVIAAREVPIEIHYESVGTYYEIYGHYLIVRSIKKEILYIYIRTTLGHISLYREIEEAIQGFWQGCCNSMLPTGIRVSPG >cds-PLY71321.1 pep primary_assembly:Lsat_Salinas_v7:7:185673937:185676992:1 gene:gene-LSAT_7X110320 transcript:rna-gnl|WGS:NBSK|LSAT_7X110320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKASNGVVIATEKKLPSILVDETSVQKIQILTPNIGVVYSGMGPDSRVLVRKSRKQAEQYHRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGYDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELEDAIHTAILTLKEGFEGQISGKNIEIGVIEADKTFRVLTAAQIEDYLQEVE >cds-PLY80116.1 pep primary_assembly:Lsat_Salinas_v7:5:148212129:148212281:1 gene:gene-LSAT_5X65161 transcript:rna-gnl|WGS:NBSK|LSAT_5X65161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQERTGLVGGAGSGDGGGGGFGGGGDRSVARVVEGCRSSKMIGRGSRVLI >cds-PLY83464.1 pep primary_assembly:Lsat_Salinas_v7:3:206075867:206077463:-1 gene:gene-LSAT_3X121680 transcript:rna-gnl|WGS:NBSK|LSAT_3X121680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALFSMSPCTPEYDRKAELTAFDETKTGVKGLVDAGITEVPRMFHLPSPENLNSNQHSHSELSLPTIDLEGINQDPIRRKEVIEKVRDAFETWGFFQIVNHGIPDSMLEEMKKGVLGFFEQDSEVKKQWYTRDRSGKHKFVHHSNFDLYTAAVTNWRDSFFCTMAPDTPQPDELPSPCSGLGYGEDSEYNPRVRH >cds-PLY94301.1 pep primary_assembly:Lsat_Salinas_v7:7:165831910:165834074:1 gene:gene-LSAT_7X97561 transcript:rna-gnl|WGS:NBSK|LSAT_7X97561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICEMKSLQMLDLFSNNLSGTLPLCLGSLSNSLSFLDLSRNNFHGKIMNSFMHGCMLESFDLSENTFTGQLPRSLTNCTNLKVLSLGANSFDDVFPFWMGTLAELQVLDLRSNKLYGPINGSTAVSTHFSKLRIIDLSNNGFSGQLDQKYFQAWNAMKSVGKSSVMQANDKSSGFTWDYTIRVIHKAVNTQYEHILTIDMAIDLSCNHFEGEIPLSLQDLQGLQSLNLSNNHFTGRVLPSLESLKNVEALDLSQNKLSGEIPQQLVQLNFLSIFNVSFNNLEGRIPQGKQFNTFDNSSYMGNSRLCGQPLSMECQMSKASRLPPTTNMSESLFPIERIDWIIILCGVGSGLVFGIFFGNFLYGRYSDRFIKRKDRWVRPLRNTRRNQGLS >cds-PLY97141.1 pep primary_assembly:Lsat_Salinas_v7:4:359069140:359071516:1 gene:gene-LSAT_4X176480 transcript:rna-gnl|WGS:NBSK|LSAT_4X176480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILMDEEGTQYHARNFNQNFSRFRHLLKEDESYIVIKLNMVAVTNGFSYTDVIGQIVSFRPLETSNLVASKHYIKLTLSNLDSIHLKVTIFGSQAYQMSEYLKNNLTVNCVVIVMQFLKLNIWNDDFKGNFPLKTVCEITEPLKEMKFLLVSSIVNIRQNLPWYYEACNKCGKKIPRVPKANQSYTNPEKISETIVVKCTNAHCKKYEIHTVIKYIIPINVQDCTGTIGLTLFDREAKRLLNISEYELEKIHEASIQSVSLNQVALESDDVVQPVQKDVISQTDKSFTPSTVDKSTATSPSKILTDLKRNLQEIYDVDSGDDLSSTKAKRKSTGEETPVLIPKMEK >cds-PLY84225.1 pep primary_assembly:Lsat_Salinas_v7:7:78076206:78084009:1 gene:gene-LSAT_7X55800 transcript:rna-gnl|WGS:NBSK|LSAT_7X55800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 9 [Source:Projected from Arabidopsis thaliana (AT3G28430) UniProtKB/Swiss-Prot;Acc:Q8W4P9] MWFTFWRSKDRFTLDELRYLTDQLMKIQVVNDVNKDFVIEALRSIAELITYGDQHDANFFEFFMEKQVMGEFVRILKINKNVIVSIQLLQTMSIMIQNLKSDHSIYYLFSNENINSLITYSFDFRNEELLSYYISFLRAISGKVNKNTISLLVKTENEDVVAFPLYVEAIRFAFHEEGMIRTAVRALTLNIYHVGDVVVNKYISSIPHADYFLNLVNFFKEHCISLNGMVSPTTEGEGSESTSSIFSAVDEIEDNLYYLNDVVSAGIPDVGTLITDSMLKMLIFPLLLPSLRVEVSDEKAFGSVTSLYLLCSILRILKIKDMANTIAAALICSMEAILPNSEAKVNGFKANHDESDESHVDDEKESGNLDCSSMIVSLPSLSTSSSNHHNCWGSASVLRDALISYITNGDDMQVVGSLSVLATFLQTKELDESMLDALGILPQRKQHKKLLLKALVGEDSGEEQLFATENCTLKDGGDGELNIYLQRLKDQYEVSCSYQEVGESPRVLDALISLFCRTNISADTLWAGGWLLRQLLPYSETEFNTRHLQSLKESFKKCCSQLVEEERGTWPDLLIQVLCDEWRKCKRAIEASSPRKEPKSILFPTKKSSSSDIIPAESSFAAGETMYERVKVFVLLHQLQVFSAGKSLPDQPPILPPADFPENSRAKSAGLNVIGPKLSTELNLVDAVSCRIAFERGKEREFSFLAISMGTSGWIILAEEFPQKPQYGTVRVVAPLAGCKPRIDERHSRWLHLRIRPSTLPLTETAKNAANLKLKSKSLVDGRWTLAFRDEASCKSAFTMILEEIDLQCNEVERRLTPLIPEEEM >cds-PLY93086.1 pep primary_assembly:Lsat_Salinas_v7:4:107291170:107292191:-1 gene:gene-LSAT_4X69641 transcript:rna-gnl|WGS:NBSK|LSAT_4X69641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPTCDKNGLKKGTWTPEEDQKLIDYVANYGCSNWRQLPKHAGLSRCGKSCRLRWINYLRPNVKRGNFTQEEDTLILELHQSLGNRWSTIATHLPGRTDNEIKNHWHSSLKNRSTAFISNPTMGYGFRRGSSCKEDKMNEACDIDSVLDLSTHNILESMPILSGPLPISTSIASVSNTASSSTNSKSLGVEDDACSRQVVGVSMDDFWTEPFLQDFDGSINSTSDDFLKPLLDLGILFPQSPIDDQENFWLYGLEIEQTNEVQW >cds-PLY93316.1 pep primary_assembly:Lsat_Salinas_v7:1:135868209:135872766:1 gene:gene-LSAT_1X98921 transcript:rna-gnl|WGS:NBSK|LSAT_1X98921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLHEMSKGSSSSSSAHGHGYDVFLNFRGVDTRHSFINHLYNALIHANITTFLDDEEIETGEDLKPELESAIKASRASVVVLSKTYAASTWCLYELVLILEQRMTSNHIVIPIFYHVEPTEVRKQQNTFGDAMAKHRQIMEAETNASKRSNWAQKMDRWNKALTEVAGLKGKNVKGRPEVEFIDEILKDIFRKLRLSSRFPLPQLIGMDNSIKFITSWLKDASTHTANVLTILGMGGIGKTSLAKAAYVLHSHEFDTSSFIEDINRRCDERYNGIIDVQKQLYDDISKPSSIQVHDVSIYTSMTETAVARKKVFVVLDDIGSLDQLDALLGRTGFCPGSKIIITTKDAWLTQSCSLFKTNIKPKYTVHKLEGLSTTESQKILCFHAFVSNNPKAGYEEVSEKLVSYCEGHPMALKLLGRSLYNRDVNYWEGYIDRLKKENDSPIYNVLKMSFDSLPSENDKELFKHIACIFVRMDRNVAITILEACNIETKTGITNLIDRCLLRIGRNNELMMHQLVQEMGRFVGTENVVGLTIDMRMLKKEKLHGSVELKTNVFGNMYRLMLLQLNYVQMTGSYKNFPEELRWLCMHGFPLKSIPSELPMENLVSLDMSYSKIESFGIHYSYPQRLHKRLKQLIGSCSKDKRLLGSLKILNLSFCEQLHSLSGFDHLPSLERLILKGCIGLLEVCLSVEQCLELILVDLSYCNKLEKLPRIIGMLKRVKKLFLNGCYLGESQIKIRDMDSTEKFKANSTGVNTKMDSSAILEVIPSDLRFFTVSLPRSLVSLSLKDNNLSTESFPMDFSCLSMLKELYLDENPIVSLPNCVRSLPRLETLSMVKCNMLTSVEHPPHTLTFMKLYFDSKRPSLRKVIFHPQMSPLDFFMDWKMLAPSSFEIEGIVKTQPMESVEEKVLCRLGWTKLDSHNDRCVVTCTGYRGREECEMQMYYEFGIFSTIYGGQEMPSWITDRSTGPSISFTIPSSPNKLTGLNFCFVQTPLYLSESFYLPLIKISNITKNLTWIYQHYLDVVYLGGKCLTLLSHWMFGSNEMECGDHVTITITQRKVPDGDAVTKECEVSFVYDDGENKVEEDVLGYYKSWNHIIGGDFTGFQSTTGEYILKKSRILRPYIDTYLLNSGNLSEEGARLIKGRTHSYVLCFI >cds-PLY74712.1 pep primary_assembly:Lsat_Salinas_v7:5:25733727:25736511:1 gene:gene-LSAT_5X13561 transcript:rna-gnl|WGS:NBSK|LSAT_5X13561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHLHVTTSAAKPLVPVPNRECKLGAKSSEFGGVAFVHHNTRNLSQACKNQGIQAVLASDKETDASSGTKERGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGISELEGLETKAKASGACQLVVKDLTEEFVKDYVFPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFFALNPDLQVVAPWREWDITGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKKDMYMMSVDPEDAPDKPEYLEIGIVSGIPVSINGIELSPATLLSLLNDIGGRHGIGRIDMVENRLVGMKSRGVYETPGGTILAAACRELESLTLDREAIQFKDIMALKYAELVYAGRWFDPLRESMDAFMENITKTTSGSVTLKLYKGSVIVASRKSPFSLYREDISSFESGQIYDQADAAGFIKLYGLPMRVRAMLEKELGKI >cds-PLY64737.1 pep primary_assembly:Lsat_Salinas_v7:9:191342582:191344562:-1 gene:gene-LSAT_9X116720 transcript:rna-gnl|WGS:NBSK|LSAT_9X116720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g48910 [Source:Projected from Arabidopsis thaliana (AT5G48910) UniProtKB/Swiss-Prot;Acc:Q9FI80] MNSTVLQPTTTGQPPHPSTFFPELKQCKTKRDLFQVHARLIKTGRIRDPLASAELLRFYAISDPPLRDLQYARRLFDQMNQPNCFSWNTIIRALCDSNDPLESFVLFTQMVEKSNDFAKPNTFTFPSVLKACAKTGRLEEGKQTHCLVVKHGLLNDGFVLSNLVRMYAMCGTMNDAHLLFDSFKTNSEMGEIVLWNVIIDGYIRLGELKTARNLFDKMPQRTVMSWNSMISGYAQNGFFMESLELFRQMQMEDDTTIPNYITLISVLPAISRLGALEIGKWVHFYAVKNNINIDPILGSALIDMYSKCGSIDEALQLFNSLTQKNVITWNSIITGLAIHGRANEALNHFKKMQENGVSPSDVTYITVLTACSHGGLVDQGLSVFNQMVRTGGLTPRIEHYGCMVDLLGRAGRLQEAEELILNMPGEPDHVALKALLGACKKHQNFEIGERIGQRLLETDPEDGAPYVALSNMYASQANWDRVAETRLKMKENNVKKDPGCSWIEINGAIHEFFVEDESHIKSKDIRMMLEEMMENLSLSGYKPDRSQVFLKVDDDEKESVLRYHSEKIAVAFGLISTSREMTVKVVKNLRICDDCHSTFKLVSRIYGRRIIVRDRKRFHHFENGSCSCMDYW >cds-PLY93486.1 pep primary_assembly:Lsat_Salinas_v7:9:63673048:63673512:-1 gene:gene-LSAT_9X54180 transcript:rna-gnl|WGS:NBSK|LSAT_9X54180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREKEYREKGGDAENIRFSFLINFPPGFKTGKYYKIAVWKWQRLPYWLPYEDVSGYDMRSLEGEKRMEKRAIAMIHEILSLTVEKKIILERIAHFRVTMNLPKKLKDFILQHQGVFYISTRGNYGKLHTIFLREACNRGELIERCIWLEGIWLN >cds-PLY62672.1 pep primary_assembly:Lsat_Salinas_v7:7:101207915:101208976:1 gene:gene-LSAT_7X65920 transcript:rna-gnl|WGS:NBSK|LSAT_7X65920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHRKTKSHTLPSSPIHLQSSSSDFEFTSSLSPCKSTTINLRPADELFYKGKLLPLHLSPRNSMVRTLLLTSNTITARHSSDYHSSFSYTTSDSSRPSSATDDDDNHFPRKLFDLNSHTHRFISENEKKIIASKQFSFNKFSSVFRKETGKPAAGITTQSDPATLTSSSVKEKIRKYLKKVKPLYEKLSQLQSQKTKTTPSMAKREDIVSPSFSGNLRFFRKTSCVSSCPSTMPSSPNHSGVLCRKNNTKGAGGCSNTSSSTEELQSAIQGAIAHCKNSMVETSWNHK >cds-PLY90872.1 pep primary_assembly:Lsat_Salinas_v7:9:161211493:161230655:1 gene:gene-LSAT_9X101701 transcript:rna-gnl|WGS:NBSK|LSAT_9X101701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFKLEATLLPTPLSNFAFMIPKLCFPAFNHTPSSPTKPLISPSFTTDSFSNYNNKEISYLKIVVSTKRYTDDHNLHWILTTVLESNIKDLDGDAVTDKKKKKKKKKKKKGTFSSGVKVMPGNKYNGNSSIPTSRIERLLRERELRKNSKASYSNEANNDGIGIEYGENLVEQYLEGASSAAREGWEKPDGGLFTQRLLVVANRLPVSAVRRGEESWSLEVSAGGLVSALLGVKEVEAKWIGWAGVNVPDEPGQRALTKALAEKRCIPVFLDEEVVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNEHYEEGDVVWCHDYHLMFLPKCLKDHNSNMKVGWFLHTPFPSSEIHRTLPSRSELLRAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALENPQVQEHIKELKERFSGRKVMLGVDRLDMIKGIPQKILAFEKFLEENQYWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTTVPIHHLDRSLDFQALCALYAVTDIAIVTSLRDGMNLVSYEFVACQDAKRGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGQALNMTPEEREKRHRHNFLHVTTHTAQEWAETFVSELNDTVVEAQQRIRQVPPLLPVEEAIECYLQSSNRLVILGFSATLTEPVDTPDRRGGDQIREMDLKLHPELKESLIKLCNDQNTTVVVLSGSDRTVLDENFGEFNMWLAAENGMFLRSTRGSWMTTMPEHSNMEWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRAAGVTKGITIVRILGEIVHSKSISSPIDYVLCIGHFLGKDEDIYTFFEPELPSNSIGIPRPKQSDATKPQGKSGSSKSSQKQSHQHQRAPDNKRNNNNNNNNNNNNNRNHHLVENGGKRSSATPDKVSWNVLDLKADNYFSCSVGRTHTNARYLLPTSDDVVSFLKDLAQAT >cds-PLY99863.1 pep primary_assembly:Lsat_Salinas_v7:4:47806466:47809838:1 gene:gene-LSAT_4X32960 transcript:rna-gnl|WGS:NBSK|LSAT_4X32960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATECISHTVELVMEVVSEVNNVFVEKECFSELASYLNRLVPLLKELNKKDISNSEKVFVEILNQQVRVAKQLTTECSKKNKVYLLISCRSITKRIQDITREISKALNLIPFSQLEISSNMMQELGQLCESMQSAEFKTAIADEMILEKIESGIQERNVDRSYANNLLVSIAQILGISTERSTLKKEFEDFKNEIENAQLRKDQAEAIQMDQIIALLERADATCSDEEKARKYLTKRSSLGNQPLEPLQSFYCPITREVMVDPVETSSGHTFERSAIEKWLSDGSTSCPLTMIPLDNLTLRPNRTLKQSIEEWKDRNTMITIASIKSKLVKPLSENKEEEVISCLEQIQNLCEEREIHREWIVLENYIPTLVELLSGKNREIRTRTLVLLSILSKDSDDAKDRISRVNGVIECIVRSLGRRIAEGKLAVELLLELSRNEGLRNRIGNVQGCILLLVTMSNSEDTQAAINAHKLLDSLSSSDQNVIQMAKANYFTHLLHRLSSGSEEVKMSMVTTLAEMEFTDHSKSSLFEKGALGPLLDLVSHGNPRMKETAAKALCNLSTLQRNSTQMITQGSVTPLVNLLYNHTSSHSLQDEVASIIMHLATSTMSQNSENTPVSLFQSDEDIDSLFAFIPCTRPLVQERLLHAIYAMCHSRLASTVKSKLRQNSDNEQALVVLCDNENPKVRANAVKLFCCLTEDGEDKEITDRMGQQLIETLIKIIKSSNDIEEIASGLGIISNLTESTQLTESLVKAEGLPVISSRLQTQNGPHKKHLTENAVGSLCHFTNPTNKESQKKVAESGLIPLLVQFLDVGTGVTKRKASISLAHLSKNSMELTRPIPKAGLFRCFSPQLESRCPVHQGMCEVEVNFCLVEANAVAPLVTLLGDSDSDVCEASLDALLTLIEAERLTDGCKVLGDANAIPQIIKLLNSNNSNLQEKVLNELERVFRLVDFKQKYGNLAHMPLVDLTQRGNSRTKSLAARILGQLNVLHDQSSYF >cds-PLY75744.1 pep primary_assembly:Lsat_Salinas_v7:4:333438958:333442429:-1 gene:gene-LSAT_4X165100 transcript:rna-gnl|WGS:NBSK|LSAT_4X165100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSSTQLVLHWFPSKDLVGKCSKNYGIQQSLMFDKRKRAHLSHRILYQDEIFSCKTSHHHKLHNFCKVMRSVIVRSEIAGNGSTSAAYHLPEFQLISKVRGVCFYSVTSIIALFLFVLMVISHPFVVLRDGYQRNFHHLIAKIWACMSVYPFFKVKIQGLENLPPKNSPAVYVSNHQSFLDIYALLTLGRNLKFISKTAIFLFPVVGWAMFLMGLIPLKRMDSRSQLQTLKRCMELVKNGGSVFFFPEGTRSKDGRLGTFKKGAFSIAAKTGVPVVPITLVGTGKIMPAGMETILNPGSVKIIIHPSVQGDNPDTLCSEVKNVITNELINQA >cds-PLY77235.1 pep primary_assembly:Lsat_Salinas_v7:4:176734764:176740069:1 gene:gene-LSAT_4X104321 transcript:rna-gnl|WGS:NBSK|LSAT_4X104321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSYGMLARRAVSTEAPVMVQIQELLRGIKGAISLAQGVVYWQPPKPALEKVKELVWEPSISRYGADEGLPELREALTKKLREENKLTKSSVMVTAGANQAFVNIVLALCDAGDSVVMFAPYYFNAYMSFQMTGVTDILVGPGDPKTLHPDADWLERTLRETKPTPKLVTVVNPGNPSGTYIPEPLLKKISDICKDAGCWLVVDNTYEYFMYDGLKHSCIEGNHIVNIFSFSKAYGMMGWRVGYIAFPMEVEGLAPQLLKIQDNIPICASIISQRLALHSMEEGSQWVTNQVKDLVKNRQLLVDALSPLGEGSVKGGEGAIYLWAKLPENFIDDFEVVRWLAKKHGVVLIPGTPCGCPGHVRISFGGLVEKDCILASQRLKRGLEELVKDGML >cds-PLY98689.1 pep primary_assembly:Lsat_Salinas_v7:5:304193241:304195210:-1 gene:gene-LSAT_5X164421 transcript:rna-gnl|WGS:NBSK|LSAT_5X164421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVNAIVRLSQPDALNMEIIPNLKVIRLSSPELYRNQQLHWPVFEEGGLPDGTKLTYVSHGKKLLDGYKLGHGILCSCCKTKEVDPFERLTDQDIRTAIHNATGPRSALFVPEV >cds-PLY93778.1 pep primary_assembly:Lsat_Salinas_v7:6:142986637:142987523:1 gene:gene-LSAT_6X85320 transcript:rna-gnl|WGS:NBSK|LSAT_6X85320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYTDLFLPKLILHILILLGFIRSLTSSILFFIGLEDLLQPISESNTPQPQPEPSTQFHSLSAVLLRELLPVVKFSELVDPPESCVVCLYEFDACDEIRVLNNCRHVFHRCCLDRWMDHDRKTCPLCRTPFISDDLQDSFNERLWAASGIADYYGDSSMAASL >cds-PLY79399.1 pep primary_assembly:Lsat_Salinas_v7:3:78755961:78757355:-1 gene:gene-LSAT_3X60620 transcript:rna-gnl|WGS:NBSK|LSAT_3X60620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLSHMPKIAFASPEEVNALLKWKESLQIPNNSTISSWLRLPMKSSALFPCTSWFGIVCNADGSIQTLNLSSSGLKGTLHQFSFSLLHNLTHFDLYENNFFGPIPPEIRLLSKLVFLDFSVNQFSGSIPSSLGHLTSLNFLYLSRNQLSGPIPTEIGNLKSLTDFAMSYNQLNNSIPSSLANLSNLQGLYLAVNNLSGPVPIELGNLKSLINLELSSNKLSGSIPSSLGDLTSLNVLYLHQNQLSGPIPIEHGNLKSLIGLGVNHNQLSGSIPLSLGNLSNLQTLYLHENKLSGTIPIEIGNLESLSELAASNNQLNGSIPSSLGNLGKLEWLILNDNKFSGPIPRELGNLKSLTHFKLNGNQLSGSIPSSFGDLISLDGLYMQYNHLTGPIPFQLVNLKSLTDLKLSNNQLSGSIPPEFGNSTQLQRLDLSSNQLVGEIPKEFGKMKSMLYTCLITNLQVLYL >cds-PLY95191.1 pep primary_assembly:Lsat_Salinas_v7:8:61539550:61543355:1 gene:gene-LSAT_8X44601 transcript:rna-gnl|WGS:NBSK|LSAT_8X44601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHAKNRLPTSGNNSPSPPASPRHLHHRSSKPSGRFTHRSFLRRFSRFILSVLVRRQRVLLFAPLLYLSSMIFYMGTVQFDAVSGSIRPSMAVGSVYRSPELYAKLRHEMDVDNSTADAISTLWKRAKGTDWRPCIDKSSGGLPESNGYIYIEANGGLNQQRTSICNAVAVAGFLNATLVIPNFHFHSIWRDPSKFSDIYDEDFFIKTLENDVRVVNTIPKYLMERFDHNMSNVINFKIKAWAPIRYYKDTVLPRLLEEKVIRISPFANRLSFDAPPSVQRLRCLANYEALQFSKSILSISERLVSRMKDRSVNNGGKYISVHLRFEEDMVAFSCCVYDGGKREVEDMKAARERGWRGKFTKPGRVLQPGVNRVNGKCPLTPLEVGLMLRGMGFDKSTSIFLASGQIYNSERYMAPLLEMFPLLQTKEMLVSSQELAPYKNYSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLLGHRRYLFNGHAKTIRPDKRKLALYFDNPNIGYHIPIPFHTFIPFHTLIPFLHCNFTTMYVMLYCQMENVQKTHVRYEGA >cds-PLY88036.1 pep primary_assembly:Lsat_Salinas_v7:MU040232.1:36641:37955:1 gene:gene-LSAT_0X30740 transcript:rna-gnl|WGS:NBSK|LSAT_0X30740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATGLVRRGISRLQKSPAATLFLSRAHASEPQAQQSEPTTRSSQNLKNFQIYRWNPDNPGKPELRNYEINLKECGPMVLDALIKIKNEIDPSLTFRRSCREGICGSCAMNIDGCNGLACLTKIPSEETTMITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKSPAPAGWEGKEIPQSKKDREKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWIMDSRDEYTQERLDAVNDEFKLYRCHTILNCARACPKGLNPGKQIQNIKSLQT >cds-PLY99186.1 pep primary_assembly:Lsat_Salinas_v7:6:129124661:129125907:1 gene:gene-LSAT_6X78240 transcript:rna-gnl|WGS:NBSK|LSAT_6X78240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINKTRLEGKVALITGGASGIGEQAARLFLENGAHVVIADVQDDLGQQVVASCMDSKICYRHCDVSDEKQVEETVSFTVAKYGSLDVLFSNAGIMGPMTSLLDMDLDAFDNTMAINVRGVAATIKHAARSMVAGKIRGSIICTASVAASIGGAGPHAYTISKHAMVGLMKTACNELGAHGIRVNCVSPFGVATPLSCTAYNLEASQVEENCCATGNLKGIVLKARHVAEAALFLASDESAYVSGQNLGVDGGFTVVNHSYSAN >cds-PLY79265.1 pep primary_assembly:Lsat_Salinas_v7:9:5438426:5438815:-1 gene:gene-LSAT_9X1120 transcript:rna-gnl|WGS:NBSK|LSAT_9X1120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMEEMIFPLNSFLVPELPLIRLKEQRWKMEGVSAFGTPTLIQVIKINTHVSTTLPLDFPVNLKIGLDRWFPPSVLNLIRGVRLYVFSIY >cds-PLY99063.1 pep primary_assembly:Lsat_Salinas_v7:6:150474535:150478843:-1 gene:gene-LSAT_6X90261 transcript:rna-gnl|WGS:NBSK|LSAT_6X90261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTVKTIDFLRARLLAERSVSRTARQRAYELSKRVSELEKQLKYVSLQRKKAEKATVDVLLILENHGRNDLSEQFNSSSDQDEISSQHAETETSTQLQHVKSKPQESEFSSSEVESSRSLSWKSSKNSSSRFLEKKYTEASRRRRSNSFTSTGSSPRRVGKSCRQIRHREHRSPNDDVAQKNDGSEKDMERALERQAQFIARYEAEEKAQREWEDKFRENDWCDPGTHSDVTEERDEIKGAAPPVIPSPCCNDKTTPGSGSEEIDAKLSEEPNIKIETEDPKNSDVVNNVNVSDNQIQNHPQSTQFIPGHVSSSQNSSLLDKGEETSGNKSYETNVGTHENPGELGSVLEALQQAKLSLRSLEKVPLLQNGPSVPAYRSMEKFPVPFSSVGLFRLPIDYEYGAGVNNNNNNLLTYDSRLSLTSQQHTGPSGGQFGQFVTESLSSSSGSLDDRFRMVPTFPYQETGLPALDPRFGVGPPARDPRLDVGFGPSARDPRLDVGFGLSARDPRFDVGARDPRLDVGLGLSANDPRSLVGLGLSPRDPRFDVGARDPRLDAGFGQAARDSRLEIGPTFQARSLYGDYIRPNMYK >cds-PLY67804.1 pep primary_assembly:Lsat_Salinas_v7:9:166214779:166216081:1 gene:gene-LSAT_9X102661 transcript:rna-gnl|WGS:NBSK|LSAT_9X102661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPYPTPSDAGVLCVILVNTAMSISIMKELVRSILHVIGIHVTSWEDYSNQSITESVERSRGTPSETYMEEFRSRTPSLLYDSLTINCRSKEECSVCLVEFDPDSEINRLSCGHVFHKSCVEKWLKYWNITCPLCRNHMMIPKEEENTCPM >cds-PLY61791.1 pep primary_assembly:Lsat_Salinas_v7:8:132102801:132103106:1 gene:gene-LSAT_8X90720 transcript:rna-gnl|WGS:NBSK|LSAT_8X90720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVVTETVNPSKDGVPLKTGVLKQLKKMAHKPHHSPERSGSFSPSIFRKLKIGRKGVLVREIPILVSPQTKKMRVEDMAKKVSKKQTKQRKLVLQEDSNDE >cds-PLY87984.1 pep primary_assembly:Lsat_Salinas_v7:6:171121104:171123481:-1 gene:gene-LSAT_6X104420 transcript:rna-gnl|WGS:NBSK|LSAT_6X104420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SRK2C [Source:Projected from Arabidopsis thaliana (AT1G78290) UniProtKB/TrEMBL;Acc:A0A178W6S8] MERYELVKEIGSGNFGVAKLVRDKMTRELFAVKFIERGQKIDEHVQREIMNHRSLRHPNIIRFKEVLLTQTHLAIVMEYATGGELFERICNAGKFSENEASFFTNHRILELLQILLPFLLKKYVFFSLTYQARFFFQQLISGVSYCHSMEVCHRDLKLENTLLDGSAAPRVKICDFGYSKSSVLHSQPKSAVGTPAYIAPEVLSRKEYDGKLADVWSCGVTLYVMLVGAYPFGDPDDPRNFRTTVCRILSVQYAIPDTVEISLECKHLLYRIFVANPEKRITIPEIQMHPWFLKNLPTDLMIGGSSHNGNSNDTYQSVEEILSVIQEARTHPGMLSDGSPQLLGDSMDFDDLDDSDIEDIDISDDYGCSL >cds-PLY65145.1 pep primary_assembly:Lsat_Salinas_v7:9:159837865:159838026:-1 gene:gene-LSAT_9X99920 transcript:rna-gnl|WGS:NBSK|LSAT_9X99920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMRPRILIPCPKGSKILQPTYRFGSSTLSESSYKLLEVFFLNGDSGKLSRLN >cds-PLY81201.1 pep primary_assembly:Lsat_Salinas_v7:5:101706427:101706819:-1 gene:gene-LSAT_5X44921 transcript:rna-gnl|WGS:NBSK|LSAT_5X44921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDLIPSNDDAPLPSESSEPIKDPKEDPEEEEPEEDMESYKFDSEGDVEPIIEKERVAPPPPIPCMPITGRNHTRKTAHKSILVRGGIRKKSKYKSSDMQKNHNLYDPFHDRWIEIESFPCEFGGNHNNL >cds-PLY89529.1 pep primary_assembly:Lsat_Salinas_v7:4:159542488:159544967:-1 gene:gene-LSAT_4X95961 transcript:rna-gnl|WGS:NBSK|LSAT_4X95961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPGILPVNRFICREDTRSSDTLVKDITSSPPVAAVGLPSLRCGRSSFSLPPSPLPLPPSSAETSMTVLGYLAKLILGIFGLIVSIAKVRTAPIVVYTSRKGSSAAWLTTSVICDNNTVTFLTFYFFYTNILQHPSILGLPYMNPWNNKTISITKAGITTILNSRTSVLAATNPPSGRYDDLKTAQDNIDLQTTILSRFNLIFIFKDINMFSQDKMVENLYIFFFLLELKLLLKTLNMEVQLCILLL >cds-PLY96890.1 pep primary_assembly:Lsat_Salinas_v7:2:109208146:109208843:-1 gene:gene-LSAT_2X48420 transcript:rna-gnl|WGS:NBSK|LSAT_2X48420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMPRIIQARQILKRSLSNGTRTTETDLPKGYFAVYVGEQEKKRFVIPVSLLSQPSFQDLLRETEEEFGYDHPMGGLTIRCCEQTFYDLASGLGAFSSQLTSFSLLTLFL >cds-PLY74736.1 pep primary_assembly:Lsat_Salinas_v7:6:116880890:116883051:-1 gene:gene-LSAT_6X71420 transcript:rna-gnl|WGS:NBSK|LSAT_6X71420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAPPPPSSHSFSSSLSLSTDSYRDLHHHHRIHYPITSPRFLFRCAMRSASSVAAPKKKKLWKQGEYPGDVAGTSSFDRNKRRSPSKTIKKQPDRKNDLNTGVITVTEALSDSISNKQWLRALEVFGMLKEQPFYQPKQGTYMKLIVLLGKSGQPQHAQNLFNTMIQEGLDPTPELYTALLASYSRSNLIDSAFKVLDQMKNLPFCQPDIYTYSILIKACVDASRFDLVESLYDQMTEMSLTPNTLTQNTVLAGYIKAGKFDQMEKILNGMLESTTSKPDVWTMNTILGLFANTGQVETMEKWYEKFRNYGIDPETRTFNILVNGYAKKKMYDKMSTVLSYMRKLSFPWTTSTYNNVIEAFSDVGDVKNMEFTFDQMRSEGIRGDTKTFCCLIRGYANGGVFHKVVNMVELAGKLEIAENTSFYNAVIYACAKADDLMEMERVFRRMKSKECRGDVTTFDIMVEAYRKVGMNDKVYDLEQERDMMLNLNPK >cds-PLY62916.1 pep primary_assembly:Lsat_Salinas_v7:3:144774152:144774920:1 gene:gene-LSAT_3X94821 transcript:rna-gnl|WGS:NBSK|LSAT_3X94821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFKRLMVSLKTKLMSLKMKKSVPSDDSSWSSYDKIEKSESMRIEIRSKKARKLIEETLKVADSPKTTKSYSF >cds-PLY89920.1 pep primary_assembly:Lsat_Salinas_v7:8:67956521:67957601:-1 gene:gene-LSAT_8X48460 transcript:rna-gnl|WGS:NBSK|LSAT_8X48460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQLSLSENKFSRLYFSQLRLPHLKWLDVSWCVGLVEVSDLPSSIAVVIADGCRSLESFGDISSCKWLWKLSLNRKNEIDPLVGEKLLDSMFQGNAIENHFFSFSLPHQIPKGFVGSPFRGKTFTKRLPHVKWGNLLPPHFLTDDGKIDLRHLADVKYDAYVFRLRLPNDWCDDYCGFLMRIVTKGTDMCIDINMKQAPDQEDSRFEIWHESNEAPEPEYDGALMTHVGNVSFSSLRRNTSLNSSYNIISFSLEDMDWTSFAAELVPRQSKDHPLQTTKVATHSSEFWGEENDDDDNKAFKFQDDSKSCINILWRYIIDENSVGTLLF >cds-PLY80203.1 pep primary_assembly:Lsat_Salinas_v7:MU042018.1:418358:425316:-1 gene:gene-LSAT_3X106100 transcript:rna-gnl|WGS:NBSK|LSAT_3X106100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DRP1C [Source:Projected from Arabidopsis thaliana (AT1G14830) UniProtKB/TrEMBL;Acc:A0A178W4V6] MATMESLIGLVNRIQMACTVLGDHGGEGMSLWEALPTVAVVGGQSCGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKIERGTEYAEFLHAPRKKFTDFAALRKEIEDETERLTRKSKAISNRPIHLCIYSPNEGQPDSIVEDIEMMVRSYVDKPNCIILAISPANQDIATSDAIKLAREVDPSGDRTFGVVTKLDLMDAGTNCLDVLEGRSYKLQHPWVGIVNRSQADINKNVDMMAARRKEQEYFESSPDYGHLAHKMGSVYLAKLLSRHLESVIRQKIPSIVALINRNIDEINAELDRIGRPIGVDGGAQLYTILEMCRAFDRVFKEHLDGGRAGGDRIYGVFDHQLPAALKKLPLERHLSTSNVRKVVSEADGYQPHLIAPEQGYRRLIDGSLGYFKGPAEASVDAVHFILKELVRKSMAETVELKRFPSLQAEIAAASNEALENFRDESRKTVSRLVEMESTYLTAEFFRKIHAESDNNPRDIHNNKHSTTSHPNADRYNDHHFRKIGSNVSAYIGMVCDTLKNTIPKAVVYCQVREARRSLLNLFYAQIGRKEKEQLGRMLDEDPMLMEKKETLSKRLHLYKSARDEIDSVSWK >cds-PLY96630.1 pep primary_assembly:Lsat_Salinas_v7:7:43127876:43128103:-1 gene:gene-LSAT_7X29700 transcript:rna-gnl|WGS:NBSK|LSAT_7X29700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLIGISGTIEKVSNNTVITSLSFKTDIESYGPYGMEEGATFSVLVIKSIIVGFHGKHGYLLDSISVILTPAE >cds-PLY69956.1 pep primary_assembly:Lsat_Salinas_v7:4:68023385:68024343:-1 gene:gene-LSAT_4X46060 transcript:rna-gnl|WGS:NBSK|LSAT_4X46060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTEKEETEFKAVPETLTMCVNNCGVVGNPATNNMCQKCFNSTTTASSTTSHRIRSRSSSFRSTSPRAVSVDLVVDRTVVVLNDELKEAEKTETVVVKKEVSRCSGCRKRVGLTGFRCRCGDLFCAEHRYSDRHDCSYDYKTAGREAIARDNPVVKAAKIVRI >cds-PLY68483.1 pep primary_assembly:Lsat_Salinas_v7:2:212967535:212968363:1 gene:gene-LSAT_2X134000 transcript:rna-gnl|WGS:NBSK|LSAT_2X134000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLVLGRIIGDVVDQFTPSVTMSVTYNSHNTVFSGHELKPNLITSKPHVQIGGLDMRSSYTIILTDPDAPSPSDPYLREHLHWMVTDIPGTTDATFGREIVSYEIPKPVIGIHRYVFLLFKQKARQSVRTPVSRDQFNTRLFSQENELGLPVAAVYFNAQRENAARRR >cds-PLY61994.1 pep primary_assembly:Lsat_Salinas_v7:5:89286358:89288672:1 gene:gene-LSAT_5X42560 transcript:rna-gnl|WGS:NBSK|LSAT_5X42560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSSLWSANANLLLQPQSCSSFSNYRHSVIPTRCHTPEPSEKQRWKRSGAAALYVVSQQSYHSNQNKDEIQKKMLRLLQKLARYLSIVALRIQAVLKTYQHKAGVVRLQNLSPQVCPPNCS >cds-PLY87980.1 pep primary_assembly:Lsat_Salinas_v7:6:171153433:171154965:-1 gene:gene-LSAT_6X104380 transcript:rna-gnl|WGS:NBSK|LSAT_6X104380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein 9 [Source:Projected from Arabidopsis thaliana (AT1G78310) UniProtKB/Swiss-Prot;Acc:Q9M9F0] MDKSCHSSGEESSAINPNLDSSTGGKDQYLKQIHKLSHKISKPPIRKPFEFDHQIHQLHPPPPPPPPSILDHAIESQTSSIHHNQQQHQPPVYNVSKSDFRDVVQKLTGSPAHERLTTPVQPVKPQSSRLQRIRPPPLEHVGNRPPNAVGGVLPSNPSFRPGNFFTSQRQHHQPLSPLPPLPAVHATAESPISAYLRCFQTNITGFTQPPPPQLHPRPPAPGSLPPSEFTAPSSPLPFGCLHSPRSQFPMLSPGFPFSPTNQFGFQQLTPLSPTPPAPSPRWKSL >cds-PLY86368.1 pep primary_assembly:Lsat_Salinas_v7:8:27751199:27752863:1 gene:gene-LSAT_8X22660 transcript:rna-gnl|WGS:NBSK|LSAT_8X22660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAEPYVELPKHIYQKGVKHLCENGVTQVPQKYILPPQERPVSLVVNDCIHLPVIDFTQLQGMDRSRVLKVLSNACREFGFFQVVNHGIADEVIGKMIDVSKRFFELPFEERQRYMSNNLSQPVRYGTSFNQNNDGVFCWRDFLKLSCHPMQDFAPLWPSSPVDLREAVGEYSMKTRHLYERVMDAILESLGLTDEKVISKDGNQLVMVNCYPACPQPELTLGLPPHSDYGLLTLLLQDQVEGLQIQHNDRWVTVKPIPNSFVVNIGDQFEIFSNGRYKSVVHRVAVNSMRSRLSVASLHSVNENVTPSPKLIDYLNPKRYEDTNYADFLHYLTSSEFKCKRFLESRRIR >cds-PLY67188.1 pep primary_assembly:Lsat_Salinas_v7:6:164482273:164483703:-1 gene:gene-LSAT_6X100360 transcript:rna-gnl|WGS:NBSK|LSAT_6X100360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRVCGCSLLLLVVNLSLLNDGLKVFAIDPTQGFTNVPLTPSSFIYQKPYNVPLTDRYSFHNGIRRFWVYSNDKPFERGSNTRPRTEVQIKPDYTSGIWQFEGYAFIPHGTSGATIVQIHGAAEGNTTILLRIYNGDMRYYNGEVIATNLYDKWFKVNLIHNVDEGKIMVYINDVKKFESHDEGPGDLYFKCGVYGAPSDTSRYMESRWRDIKIYKKS >cds-PLY70355.1 pep primary_assembly:Lsat_Salinas_v7:4:98861421:98872295:1 gene:gene-LSAT_4X63920 transcript:rna-gnl|WGS:NBSK|LSAT_4X63920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAENLQNQLKEVGSKLENPPASKDDLIKLLSQATESLSELDQSPPKALLDSMQPLLNAIVKPELLKHEDKEVSLLVAICTCEITRITAPEAPYDDDVLKDIFHLYVSTFNGLRDTKDPSFERRVIVLDTVAKYRSFVVMLDLECDDLINEMFKTFFTVARDEHHETVITAMETIMAVLLEESEEIEEDLLSIILSTLGRDKKDITAAARMLAMNVIKQSAGILEPGIKKFILSSMSGDNSSSNPQIDYHEVIYDIYRCAPQVLSKIVPNLKRELLTDKIDLRLKAVKLVGDLFSIKGSSIPQTFHPIFLEFLKKFNDKVVQVRMSVVEYAKLCLLSDPLRTEAPQLLASLSDKLSDDDESIRKQVVAVISDVASLDLSSISPETIKLLAEHLEDKSVLVKNYTLERLSDIYRTWCLKKIGGLNVNHDYDWIPGRILRSFFDKDFGPNTIEHILSSSLFPVELSVKDKVKNWVKLFSKFDKVEVNALEMILEERQRLQLELRKYLSIRETYKDSDASELQKKTTVCIRFMSHCLADPIKAEADFQILNQLKDDNIWKILTTLVDPNTSSLQSRSLRDELLKIIDEKHPLYELLSTLFIKSSYIIFDKDFVKNLLLETEQQKSDGNKLLIQSCMNLLVILSSFSPLLLSGIEEDLVHLLEDYDDEAIKDGVLHVLAKTGETIREQLGDSSSRLDLILERICLEGSRKQAKYAVHALVAITKDDVVKSLSVLYKRLVNGLEKRTNLPSVLQSLGCIAQIAMPVFETQESKIEEFIRKNILSCNHKPVNKAKKSWDNKSGLCSLKIFGIKTLVKSYLPVKDAHLRVGIDELLKDLHNILSFGEISKDVESSSVDKAHLKLASAKAIIRLSKHWDKKIPVDLFHLTLRTSEARFPQVRKLFLKKVHQYIKNRSLDPKYVCAFLLDFRSQKPIREEENQSLSEILEMCEQWKKANGNSSVYILPYLIHALAHHPSCPNIDECKDVKAYEPIFRKLYIYFSKLAAGNEDGKPGVGLKQEDVISIISVLRTIKFSKDAVDTNMSKNSYAICDLCLIIIKRLAQKHEDLYESVVPLTLPDELYTPHKKKEEEKEYENKEDEIEEVNKEDETIEEINKAEKKEDEKIEENNKDEKKEEEVNKEDEKMEEENKDEKEEEVNLEEEKKEDEKMEEDSKDEKKEEAINKEDEKMEEDNKDETKEEVSNKEEENKDEKMEVDNKEQKKEEINKEDEKKEETSKEDEKMEEDNKDEKMEEGSKHETKEEAINKEDEKMEEDSKDEKKEEVINKEEKKEDEKMEEGSKHETKEEAINKEDEKMEEDNKDEKKEEEINKEDEKMEEDDKGEKKVENINKEDQKIEEDKKDENKEHKKIEDEKKEDKTKEDEKIEEDNKDEKKEDENKDDEKKEEVSTEVTEGRTWLADESVFAHFESFILEANGNISSKVNEDDIMKDSETDGNEIPLGKVLKRLKAKGSKSRKEVKNGSTPKPKPKPTVETENNNVDIMGMLREINSDNLGVSVSTTKFDSSNGHGEIKTEADLKRKGIPEDLTNVPVPKRRRSTTSAKGHKRSSFITSGMKGGPTFSNKMDDGDGDDDEDDDGHSDSDDKVSLDRGVIHKEEAMITDEVDLEKPKTPVDIQKSGNLRKRKRRRVSRLVKCTADATEFKTKDLIGRRIKVWWPMDKEFYEGLVKSYDHENNKHVVLYDDGDIEVLCLDKERWKLVKSGHKLAERKLMTYSPPPKEGTSKKLKSSGSSKQTKESTDISPSSMVRGKRTPRQNLNQGQKGVSQRSAYLEIRRSKDPDASMPDIISSKIDNLDAVEAGAENSDKLEKSRSKENQTTGDAESSSNDSMSSHKNEPSSVQKETKNDDSAPSETHGSDSEESGESTDVQTPDGKESSTYDDSDMAGFTDDEPLGVWKARVGKSVEGK >cds-PLY75157.1 pep primary_assembly:Lsat_Salinas_v7:1:184454646:184456339:1 gene:gene-LSAT_1X120761 transcript:rna-gnl|WGS:NBSK|LSAT_1X120761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISPSMLPAVLLFYLSIICNSMAVIPQSSRTGGDARRHLIDEIREAELKVVRLESVLVESNSIVDSKDLYVKESEKLVEEMSNEVDHLQSVLLAMKNDSSSANERFTQLEEEVRLLWDTARRNNFELHNLESKAQDAEKRLKVTKSRVEKMDAIVTEQWIHIQRLEQALEISQVCFLPLTSFLLMPIHYL >cds-PLY69445.1 pep primary_assembly:Lsat_Salinas_v7:6:121967086:121968603:1 gene:gene-LSAT_6X73161 transcript:rna-gnl|WGS:NBSK|LSAT_6X73161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSKVLIIGATGYLGKRLVNASLHQGHETYVLRRPEIGVDIEKIQMLLSFKKQGAHLVTGSFSDHRSLVEALKQVDVVICAVSGVHIRSHQILLQLKLVDAIKEAGNIKRFLPSEFGTDPARMADALEPGRVTFDDKMVVRKAIEDAGIPFTYVSANCFAGYMVGGLCQPGHILPSRESVLLLGDGNKKSIFVDEDDIAAYTIRTINDPRTCNKTVYIRPSENILSQREVVELWEKLIGKQVEKSSLSEQDFLNIMRGQGYAEQVGLTHYYHVFYEGCLTNFEIGDDAEEACALYPDIKYTKVADYLKQYL >cds-PLY76404.1 pep primary_assembly:Lsat_Salinas_v7:8:84330998:84337196:1 gene:gene-LSAT_8X62220 transcript:rna-gnl|WGS:NBSK|LSAT_8X62220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLVQRQPTDSSDCMLPGPPSRNNGGSADLVSTGLLAYAAGSTVPILDTRSMQLVCVFPIPPPVATSGTSSTSSSSSLSPYVTSVRWCPTSLRLDLLSHDLSSSHSHLLLAAGDRQGRICLLDLRQKSAPLLFLQTDQNSKLGIQDLCWIQARSDSWIIAALMGSSLLALFNIPTGRCFFKYDASPEIFSCIKRDPFDSRHLCVISLKGLLLSIKVLGDVSDSDVVLEELKIPTDVSELNKLEREVGIGVGSAATLSNSPATATLPTYAVKLAFSPHWRHILYVTFPRELIVFDLQYETALSHSSLPRGCGKFLDVLPDPSMELVYCAHLDGRLSAWRRKEGEQVHEKCMMEELMPSIGTSVPTPSVLAVVISQSDATLQNVGKHFSDGHQPSSPDNEFDLDFDNPFDFRDDSHIICRTHFISISDDGKIWNWLLTSEDPTDNPKDTSDPAHVTEVRKDPSASTVSQDKLTLKISLVGQLHLLSSTLTLLAVPSPSLTATLASGGNHPAVAVPLVALGTQNGSIDVIDVSANAVAASFSVHNNLVRGLRWLGNTRLVSFSYSPGSEKSGSYVNRLVVTCLRSGHNRAFRVLQKPERASIRALRVSSSGRYLLILFRDAPVEVWAMTKTPIMLRSLALPFTVLEWTLPTVPRPSHSGASRQSVSNSQDNTSAASEGASTDTNGAQEDFTETFSFGLVNGSCGVFEVHGRRIRDFRPKWPDSSFVSTEGMVTAMAYRMPHVVMGDRLGNVRWWDVTTGQSSSFNTHKEGIRRIKFSPVVPGDRSLGRIAVLFNDNTFSVFDLDSQDPLANSLLQTRFPGTLVLELDWLPVRTDKNDPLVLCIAGADSSFRLVQVNIDKKSGYDAQPGSVKERFRPMPLCSPVLLPTPHALALRLILQYGVKPSWFNTCGSIPDKGGDSDSQISRSSSASVGDLRSYLIDLSPIGDSVVPELLLKALEPYRREGCVLDDERVRQYGSIVNKGCAARFSFAAAIFGETLEACFWLQLPRALKHSLNMLATKSLQKAPVKLPSTIDESSVLSRMFTMKRRSVPRLTNSNSLSNGELRMMAFAQEELWENASERITWHENLEGEQAIQNQVHELVTVGNLDAAVNLLLSTPPESSFFYPNALRAVALSSAVSRSLNELAVKVVAANMVRTDRSLSGTHLLCAVGRYQEACSQLQDAGCWTDAATLAATHLKGSDYSRVMQRWAGHVLNTEHNMWRALIMYVAAGCLQEALAVLCEAHRPDSAAMFIIACREIHGEFIEGLDPNDDASATIKEKLVVLRGLNPESEEVIGVGELYTKYQQELVHLCMDSQPYSD >cds-PLY78575.1 pep primary_assembly:Lsat_Salinas_v7:1:102152866:102157278:1 gene:gene-LSAT_1X82341 transcript:rna-gnl|WGS:NBSK|LSAT_1X82341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g44745 [Source:Projected from Arabidopsis thaliana (AT2G44745) UniProtKB/TrEMBL;Acc:Q1PEU5] MEGSERGLANFDLQVSSFQNPIHDHIGFAHFQDHHHNHDPHQTQVLRFLPPTLSLPQLSQPPHHTTTTTSAEAADFHREAPSVHRDSWHKEQVGSLDPKSVNEEKCADDNTSWWRSSSNPEKGRTKMRRKLREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHSNCRVKKRVERLSEDCRMVITTYEGRHNHSPCDDSNSSEHECFTSF >cds-PLY88163.1 pep primary_assembly:Lsat_Salinas_v7:5:220651275:220654808:-1 gene:gene-LSAT_5X103100 transcript:rna-gnl|WGS:NBSK|LSAT_5X103100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTGGRVAMQRSGSNGGHQHQRQYSSSDNFIDTNFNNKWLQSAGLHHLYSSNPNLPPLQDFGFYGNNDVEGSRINRNSQRNADVLTPGSRSSSFTKYGGVEQVSPNEFSPGLLDLHSFDTELLTDVHSENNLNLLNQPLYAEGLDDVNAYSLTNKFANISCGPIENNNLKSSLADKEKANNVAKIKVVVRKRPLNKKELAKREEDIITINPNSSSLTVHESKVKVDLTEYVEKHGFVFDAVMNEGVTNDEIYSETVEPIVPIIFQKTKATCFAYGQTGSGKTYTMQPLPLKASQDIFRLMHHTYRNQGFQLFVSFFEIYGGKVFDLLNDRKKLCMREDGKQQVCIVGLQEYMVSHVETVKELIERGNATRSTGTTGANEESSRSHAILQLAVKRSIDGNESKPPRLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDHGHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNSGSCEHTLNTLRYADRVKSLSKGNSSRKDLSSSTFNLRNSIALPVSRSEDVKIERLPYETNRFGWPKQQEQVSVARSVTYLPSSENYRSDFGNTDEEIMEDDFDYSIEKTDTQMKPIVEEAINAHATDELNALLEEEENLVSAHRKQVEETMDIVKEEMNLLVEVDQPGNQLDEYISKLNIILSQKAAGIQQLQTQLRDFQKHLEQYNVLSSASGGN >cds-PLY96536.1 pep primary_assembly:Lsat_Salinas_v7:5:335609293:335610767:1 gene:gene-LSAT_5X187641 transcript:rna-gnl|WGS:NBSK|LSAT_5X187641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSFDCLLLLIGLLSLSSLQPPLTALAATNIRLTRNRKLSQNVPFFREAPGFRNGQACGSSPSDRIHLAMTLDCNYLRGTMAAVQSILHNSGCPENVVFHFLWLRFDQDIKTSIKTTFPYLNFKLYRFDSNLVQGKISKSIREALDEPLNYARIYLADIIPTYVKRIIYLDSDLVVVDDIEKLWRVDLQDKVLAAPEYCQANFTQYFNDNFWSDPELAKVFKGRDRGKNPCYFNTGVMVLDVEKWRQRGYLQKLEEWMAVQKQKRIYHLGSLPPFLLVFAGNIKAVDHSWNQHGLGGDNIEGKCRSLHPGAISLLHWSGKGKPWLRLDSGKPCVVDHLWAPYDLYRLSKLILSSTNDET >cds-PLY62612.1 pep primary_assembly:Lsat_Salinas_v7:9:105485899:105490887:1 gene:gene-LSAT_9X76000 transcript:rna-gnl|WGS:NBSK|LSAT_9X76000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVGRDLGLPNLVVLVAVFVIFPVIGFVIRRKLQHAAARNEEIKKLLILASEEAARAEIEATEGYFFTTNTHTSIPSPDSAWVPAPESAWVPAPESAWVPAPESAWVPPKTSVPIVSSLKPPYQCAVCFSPTSTRCAKCKAVRYCSGRCQIVHWRQGHRDECKPYVAVKPTKDVSDNSINKEDDKDSNNHSPNANLHEDSHQPETNSFFQSASESSTSESSTFSTPTRSSTETFSVSSLDSDNFVSVKSDISPSRKSTDSNKINQTKFNLSNEDTTSSDFWSGPIHSKKSTIDHELDGFETSGYNNVKGVTVNPTDNPTPNGFGTKKSTNETSSSNEILTTRSPLKISPSVEHSTDKESKAFSSMQIPEKSKSKSNSNDVFNNKSFTSPAFKSSKASATHLTSSTTTNRLVSQTTKPVVVHDEKNRVATATATANSSESETVRSGLKTSMLKVVDQFKPSKLTRQCSPRAESETAHRYNCKGLFSYEMFVKLYNWKQVELRPFGLVNCGNSCYANAVLQCLTYTPPLNAYLLQGLHSKTCNKREWCFTCEFEALILKSKGGNSPLSPIRILENIGSNLGHGKEEDAHEFLRYAIDTLQSACLKEAGIKSSNSLEEETTLIGLTFGGYLRSKIKCMKCGGKSERHERMMDLTVEIEGDIATLEEALDKFTCTEVLDGENKYKCSRCKSYEKAKKKLTLLEAPNVLTIALKRFQSGKFGKLNKPIHFPEILDMAPYVSGTSDKSPVYRLYGVVVHVDIMNAAFSGHYVCYVKNIQNRWFKIDDSRVKEVDLQSVLMKGAYLLLYARCSPRAPRIIRSLISRHNTDPKKHKITTTFTKEPWDMRNNPPIRHRTLEEESSSSSDSSGIFSESCSCSTESSNRDSTSIEDHINPWEWEHEYNINNKSDVDTSSSSSSSSSSPSPLYSRKLPGVLYDENVWGRSSNSCRETNFDRLGGRPTLRRSTTHGRSEK >cds-PLY92446.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:901186:902761:-1 gene:gene-LSAT_0X10360 transcript:rna-gnl|WGS:NBSK|LSAT_0X10360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDTDGSLSPIGGSDSFLEGDDNSNIRLNADAIRSALNEMASSSSNSNSHSGWTSSDDEDEADVMDHDLDDEGGKSARSFREKRKAHYDEYRKKGALCSDTSIGQGGTITWKVLQYTLAYSIVHKLRK >cds-PLY75325.1 pep primary_assembly:Lsat_Salinas_v7:5:54237297:54240017:1 gene:gene-LSAT_5X26901 transcript:rna-gnl|WGS:NBSK|LSAT_5X26901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETGGGITVAHRIKGWFPERTQLHLAMLALQFGYAGFHVVSRAALNMGISKIVFPVYRNLLAFFLLLPFAYFLEKKERPPINFNFLVQFFLLAIVGITANQAFYLLGLDNTSPTFASAIQNSVPAITFLMAVILRQIEKVRLDRKDGISKVAGTVFCIAGASVITLFKGPTIYSPSPTLHSVKEASPVLQSLGDANGKSWSLGCVFLIGHCLSWSAWLVLQAPILKKYPARLSFTSYQCFFGVLQFLVLAAFMERDINAWYIRTGAELFSVFYAGVVASGIAFAVQIWCIDRGGPVFVALYQPVQTLVVALMASVALGEEFYLGGIIGAVLIITGLYLVLWGKNEERKFMLQKQPGMVQAETDHGAPRTTGHVKSSITQPLLPQSTENV >cds-PLY74160.1 pep primary_assembly:Lsat_Salinas_v7:9:12034046:12035926:-1 gene:gene-LSAT_9X8420 transcript:rna-gnl|WGS:NBSK|LSAT_9X8420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANISILSLSLSFLLVFHGCLALRPFQQQQQQNQCQIQRINALQPNERVQAEAGWTDFFDSSNQQFLCAGVEVIRHHIQPQGLLLPSYVNTPLMVYILQGRGYQGTMLPGCPETFQSSQQLQEGSGSFQDRHQKIRHFQQGDVVVFPAGAAHWMYNDGQEEVIAVALLDSTNSANQLDQFHRRFFLAGNPQQGQQQQQGGGGKSWGRSQKGQSQEEGSGNIFRGFDLEMLAEVFNVDQETAEKLQSPDDTRGHIVKVERGLQVIRPPMKSEQQEQRGRGGAANGFEETICSAKMTHNIDDPSRADFYNPQAGWCNNLNSFKLPILQMLQLSAERGVLRRNAIVSPHWLMNAHSIIYVTKGDMGMQIVNHEGQTVFDDQIQEGQLVVVPQNFAVVQKAGEQGCQWIAFRTNDNAMINTLAGHTSALRAMPVNVIASAYQMTPEQAWSLKFNRKETVMFSPTSRSTGRSIAA >cds-PLY89681.1 pep primary_assembly:Lsat_Salinas_v7:8:42108895:42109985:-1 gene:gene-LSAT_8X32301 transcript:rna-gnl|WGS:NBSK|LSAT_8X32301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein f [Source:Projected from Arabidopsis thaliana (AT2G42820) UniProtKB/Swiss-Prot;Acc:Q682H0] MGLLATIARHLDTLVGPGVMLLYPLYASMLALESSTPEDDQQWLTYWVLYSFITLFELSFWKVLQWLPFWPYLKLLFCMWLVLPGINGAAYIYANIARKYVKVGSHVSSKYPEGQRKVLQMMSLDARKSVERYIEKYGPEAFDRVVKAAEKEANKK >cds-PLY98984.1 pep primary_assembly:Lsat_Salinas_v7:7:49017400:49019130:-1 gene:gene-LSAT_7X35340 transcript:rna-gnl|WGS:NBSK|LSAT_7X35340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESQNGGIGEIDYLEKGLLSDTREANDGEDDDEPILYSASFEEAEDDFIKLKTTQWILYSLLLILAWGFGLLMFLYIPVRRYILRRMIQSRKLYVTPNSIVYKVTKPVPFPCFGVLKKEKHVLLPSVADVVIEQGYLQSRFGVYSIRIENVGVRRPPSDDVQIEGIVDPRAFKKFVLMRLSEMRNQTFSRQVSTLEDASSSRNVQSYAAPMSPLQSIGRDILSPVGEFGILQKLEEVDNSLKRVQTLIEERQPKTFEHKD >cds-PLY69739.1 pep primary_assembly:Lsat_Salinas_v7:8:117807235:117809338:1 gene:gene-LSAT_8X80861 transcript:rna-gnl|WGS:NBSK|LSAT_8X80861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQELADGEFWLPPEFLNDEDILMDFIPGKPNPATPTGVLNKGSYSFGSYGPNSDLSSPVESVMGSTETESDEEDYLNCLSQKFAKTTLQDDFRKADANFNYENNHSKATRVMAGSPQSTLCGCKMSSSRGSPNCPSPPATAALNRNEASWDLLYAAAGEVARMRMVEEAASRYYNQNKNYMAQQAPRRTSSPNLNYQQLQVAQFQQLKQQQMAKQHQYLQLMQQNRTRNDSVNGRPVAPPASAWPTPQQSQQQPQQRPPGSGMRAVFLGNLTTKRESTGTGVFLPRQIGAPTEPLKKRGCSTVLLPDRVVQALNLNLEAMEAESKLQSRCNGGPLASDYDAEMMYRSSVMMAQQRRNTHRQQPASKAEFRLPQEWTY >cds-PLY69032.1 pep primary_assembly:Lsat_Salinas_v7:9:139420736:139421199:1 gene:gene-LSAT_9X89061 transcript:rna-gnl|WGS:NBSK|LSAT_9X89061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKQERPKLDPPKQALENISKQMSGNFAAQSPRYGSTCTKLLHFGVQDGDGMRRIPSEGKMNCLCSPTTHAGSFRCRLHRTSSNTNNNSSSGGRSFNSLSNLAGDHD >cds-PLY92517.1 pep primary_assembly:Lsat_Salinas_v7:4:322019459:322019964:1 gene:gene-LSAT_4X161761 transcript:rna-gnl|WGS:NBSK|LSAT_4X161761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSCAAGMGGGLSSQSTSGLPKSYSTASSRNEDFAELMRIASTKSLGNRLDGDFLLRQQMATPPHVPRSQSVAFGRIDEETPSDFTDDLRLKPEMFPRSRSHAVARTYV >cds-PLY90556.1 pep primary_assembly:Lsat_Salinas_v7:6:52700786:52703453:1 gene:gene-LSAT_6X39261 transcript:rna-gnl|WGS:NBSK|LSAT_6X39261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPHHLRDSLLHEIELSILEVLENKEIEKMILSYIFCRCALLSNFLYGSYVTRTARASADECSIALISPKESSKVSSLCKSFSKFARLEDARAAQSLNGQLEIAGRMMKTAIVAKEALEGHCIYDGGFCS >cds-PLY96855.1 pep primary_assembly:Lsat_Salinas_v7:2:108211856:108212850:-1 gene:gene-LSAT_2X49201 transcript:rna-gnl|WGS:NBSK|LSAT_2X49201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDDEGEEYLFKVVIIGDSAVGKSNLLSRYSKNEFNMHSKSTIGVEFQTQTMEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALIVYDISRSTTFDSVTRWLEELKTHSDTTVVRMLVGNKCDLGNIRAVSVEDGKKLAENEGLFFMETSALDSTNVKTAFELVIKEIYNNVSRKVLNSDSYKSELSMNRVTLDNRGDDDGSKQNQSRSSCCS >cds-PLY80587.1 pep primary_assembly:Lsat_Salinas_v7:6:12788222:12790710:1 gene:gene-LSAT_6X8041 transcript:rna-gnl|WGS:NBSK|LSAT_6X8041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQMDRRPFLEEYEKLVVRMNTPRVMIDNAGCVDATRIMIDSVRKDGILLEAVQVLTDLNLSIKKGYVSSDGRWNMDVFHVTNLDGNKLTDESIINCIQQSLGTVNRTKSKSIDGMTALELTGTDRVGLLSEVFAVLSELNCDIIESKVWTHNGRIAAIIHLKDCNSGSPIEEPQKINRIEARLKNVLKGDNDIRSAKTSFSIAVTHTERRLHQMMFADRDYERTPIINTSGRYSPLVSVQNCSEKEYSVVNIQGKDRPKLLFDVVCTLTDMQYVVFHATINTREDDAYLEFFIRHIDGSPISSEPEKQRVIMCLRSAIERRAPEGVQLELCKTDKPGLLAEVMRTFRENAINVTQCEISTTMGVAHNIFYVTDGIGNSVDLKVIDFVRERIGSSCLRVKELPLMFKKIERDEGGLGGAVLVSLGSLLRRNLYNLGLMKSYS >cds-PLY68334.1 pep primary_assembly:Lsat_Salinas_v7:4:158072852:158074084:1 gene:gene-LSAT_4X94700 transcript:rna-gnl|WGS:NBSK|LSAT_4X94700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSFMMPKVESSDLIAYGLIPEFVGRFPILDNLLALTKPQPVPVLPQPKNALGKRYNKLFQMNQLSILSSQQSTDLLMKNRGLRALLENILMDAMYEIPNERTGKDIIDGVVVDEEAVGSGAKILHGEAALAHYLSQHFIGNLEGIFAPGWIGYGNAYAPKEADDQAMSGY >cds-PLY75406.1 pep primary_assembly:Lsat_Salinas_v7:6:180149868:180157210:1 gene:gene-LSAT_6X110300 transcript:rna-gnl|WGS:NBSK|LSAT_6X110300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:histone methyltransferases(H3-K4 specific);histone methyltransferases(H3-K36 specific) [Source:Projected from Arabidopsis thaliana (AT1G77300) TAIR;Acc:AT1G77300] MHESSMANQDSEILPNQDCDILPEDAEDTPCDFNELVSTMQPDCLTDTLSVYLESCEPLSVTDNDPDPSHNVDEPNTDSLVDPFNSIVLTESSEVRDNHVQNIKCSSTRRSTRKVASNQKTDTKLAARKSRRASGKKPMLDLLSADVGRRRRSNLILRARPSAWGVGGKIDEIFKKNVNVNANANANEDVEESIKGRTGHKGGKRNTKKGLLLKFKVGTKAIQNCQFNTIPSIDHDLESYTEVKIETSTLQSDIKENYEKEVPSASNENLDKRSDDLGVGESVENGCSDPGTSPDSEVINVIPETQTAEGLHSHQSSDCGVGSAIPSPEIVNVNDVLSCDKFDHGEKQVEGSGSPRSAWVCCDDCHKWRRISAILADSIESTECRWICKDNMDKTFGDCSIPQEKSNADINEELELSEASGEEDASNTQLNSSQSGQKQQIDPPQLSWKLIKTNMFLHRNRKNQTIDEIMVCHCKPSLDGRMGCRDECLNRMLNIECVKGTCPCGDLCSNQQFQKRKYSKLKCFPCGKKGFGLQLQEDIPKGRFLIEYVGEVLDMPAYEARQKEYARKGHKHFYFMTLNGSEVIDACAKGNLGRFINHSCQPNCRTEKWMVNGEVCIGLFAIRDIQKGEELTFDYNYVRVFGAAAKKCVCGSSRCRGVIGGDPLSSETVVSGDSDDEFPEPVTFYENHNNNKLDNLLITETQSASKNNSPVTDKFSMTPGHSEDVENENDSLLAIDDDKNTVVPIGSTTEREVSFERSSSESKIDVSFNKVTDVKHSESKKKPKANKNGNKCVILKPQSRPKQPSSLVKRGKSKNHKPPEIIDTKPLPLPPHKPKRIGEATLTGRFEAVQEALNTLLNADGGISKRRDAWKGYLKLLCLTAHSGNGEGIQSNRDLSMILDALLKTKSRTVLFDIINKNGLQMLHNLIKHYKKEFIKIPILRKLLKVLEFLAEGKILTLENILKPSPNGAISFKESILSLTEHTDKQVHQIARNFRDKWIPWYARKVVRADRDRERMEPHSQPTSNFKTFQGQNSHSTDRRLPEVSDNSIKPPLTVHTPPVEASAASCVSSCTDGTRIRTRKRKSRWDQPGDITTLIPDIALVTNESQQHGQTKNDDMDEDAPPGFSSPVVNRQLLFASTSGDPVKGHLQDRYNSWLPTAFGLPSSVLRQIGIPPEGAATAESWVVAPAMTFHPFPPLPMYPRHVNEEQSWRGCTDNDPPGFQRFRNPNTLGRRYYKQHKWNNSRSGLPWGPNKYGPGPGPNYVGPNQGYGGNEGYNNNNNNNGVGSFYGGGGETHTQR >cds-PLY66636.1 pep primary_assembly:Lsat_Salinas_v7:5:149935913:149937418:1 gene:gene-LSAT_5X66461 transcript:rna-gnl|WGS:NBSK|LSAT_5X66461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGETHTRTHMSTVGVFALKVRFVFRILQGLKETQLGLCQVLENLMFEDHQGESDETRKGGSVALGECKRQVSRGIGCTNGSFFPD >cds-PLY88614.1 pep primary_assembly:Lsat_Salinas_v7:5:140849747:140850462:1 gene:gene-LSAT_5X61741 transcript:rna-gnl|WGS:NBSK|LSAT_5X61741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMGIVIRNKHQQFMIDLFQEITTPKASVFEIPTRLSANPSIVDLPVEGCCFNPHFYVTTITLLLSSGHSLISPNLSF >cds-PLY73821.1 pep primary_assembly:Lsat_Salinas_v7:7:70019059:70019334:1 gene:gene-LSAT_7X50400 transcript:rna-gnl|WGS:NBSK|LSAT_7X50400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSILRKRYQGKLEHRVVTLHEVLPPSGHLSAPTVASPQPVGSSSATGDQPGPDVSLSPNLIPRPSSEALTDDEVDSKDEIIVSVHCVAEK >cds-PLY80784.1 pep primary_assembly:Lsat_Salinas_v7:1:70592957:70594725:-1 gene:gene-LSAT_1X59001 transcript:rna-gnl|WGS:NBSK|LSAT_1X59001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVVKAAIGDGVLTFMWVFCASTLGATTSVIAAAIGIQGMASLLITISLVFILLLIFGVIGDALGGASFNPTGTATFYAAGLGRDSLISAAVRFPAQALGAVGGAMAIVEVMPLEHKHKLGGPSLKVDMHTGAIAEGVLTFFTSILVLCIILKGPKSPLIKNFLLSTSIVTMVVAGAKYTGPSMNPANAFGWAYVNKRHDTWEHFYVYWICPFVGAIFAGWVFRLFFPHQSKTKVKTKSKKA >cds-PLY65497.1 pep primary_assembly:Lsat_Salinas_v7:3:198068:200061:-1 gene:gene-LSAT_3X980 transcript:rna-gnl|WGS:NBSK|LSAT_3X980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSVFSIFFFAFFLLISVASSKLLLFPLTHYLSNSRFNTNQTHHLLKSTTARSAARFLRHHNRQVSVALSPGSDYTMSFSLGSAPKKTTVTLYMDTGSDTVWLPCKPFTCIMCEGKSDPKTTPPPYNISTSAAPVTCQSRSCSAVHSGLPTSDLCAAARCPLESIEMSECNKFSCPAFYYAYGDGSFVARIYTDTLEIPMSAPTSLVIRNFTFGCAHESLGEPIGVAGFGRGALSLPAQLANFSPHLGTQFSYCLVSHSFDTDRVRQPSPLILGRVDSGLGVNKAKRVRASRLDDFAYTPMLENSKHPYYYYVGLEAITVGNRRLPAPENMKKIDGKGNGGMVVDSGTTYSMLPEILYNSVVSQFGKWMKSGLGYKRARGVEDRTGLSPCFYVDEHQPGPGTKRKEAVLVPQMVLHFGGNSSVVMPRKNYFYEFIDGGDGKGKVKRKVGCMTLMNGGYFPDSGGPAGLLGNYQQQGLEVVYDLLKKRVGFARRKCASLWDTLR >cds-PLY72446.1 pep primary_assembly:Lsat_Salinas_v7:2:142860939:142862261:1 gene:gene-LSAT_2X70740 transcript:rna-gnl|WGS:NBSK|LSAT_2X70740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVKINGFIEDTQSEELLKLLLSLASNWGDVFNTNKLKVKHLSGAKTNVGYQITWPYNIVRSDDEQSWFGSRVKDPTFYLIRKKKSELLNQSRPMGMAHDYEYASYDPIAYEKANHLCEWATNYHTDTPHVLDYNMYPDFEEQRRFLQFYLSSKGK >cds-PLY63057.1 pep primary_assembly:Lsat_Salinas_v7:8:76357507:76358248:-1 gene:gene-LSAT_8X54320 transcript:rna-gnl|WGS:NBSK|LSAT_8X54320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIRLPSLLLGESNQQQQFDCKRWPSMLVNTRIPVFSMMTTPDDVKEKLMAMDFSEDVKCKLTTQLVLHGL >cds-PLY66327.1 pep primary_assembly:Lsat_Salinas_v7:5:289122868:289124549:1 gene:gene-LSAT_5X158101 transcript:rna-gnl|WGS:NBSK|LSAT_5X158101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVEKLQKMAGAVRTGGKGSVRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDETVIQFLNPKVQASIAANTWVVSGTPQTKKLQDILPGILNQLGPDNLDNLRKLAEQFQKQVPGGAEAAAATSAQVDDDDEVPELVAGETFEAAAEEGQKS >cds-PLY90198.1 pep primary_assembly:Lsat_Salinas_v7:9:202337155:202339678:-1 gene:gene-LSAT_9X125280 transcript:rna-gnl|WGS:NBSK|LSAT_9X125280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTATGDGKQPSTSSSLDSSQPCRLFNFPEILLATDNFNESLVIGHGGFGKVYKGNVINGTIVAVAAVKRLDSMSAQGSTEFWAEVEMLSTLRHCHLVSLFGYCNHEKEMLLIYEYMPNGTLEDHLHKLGTHLSWLQRLKICIGAARGLDYLHTGMGVDFGVIHRDVKTSNILLSENWAAKISDFGLSKIGPTNQRSTYVNTLVKGTFGYLDPDYFFNGRLTRKSDVYAFGVVLLEVLSRKRAVDESLDEEQHNLARWVQESIKEGNLKRVIDYDIRGEISPKCLKGFVRIAERYLHINPKQRPTMAEVVVSLDFVLTLQEKTNSSLKVASRTIFGRMLHMFLFPFPSNANDDSKVLSNSKDNNRNLGDTIGAFNKDFRIPIPSLKVFKFGDLGKATRNFSQDLLLGMGGFGEVFLGWVDKNTLAPSTTEGVGIPVAVKRYSQGLPEWQSVVAILGRLDHPNIINLLGYCDDKEHKCLLVYEYMQNRNLGHFLFGGIIIIIIIIIIIIIIIIICFLDSFTKKKKAIYFYSKVEFVDVSTPLSWRTRVMIMIGVARGLAYMHSSKDQVIHRDVKTSNILLDQDFNAKIGDFGLARFGPEIRKIDASTRIIMGTLGYLDPAYLSNGHLSVKSDVYSLGVVLLETLTGQQAWEMKRHIHDFSLVKWATPFLTDRMKLNKIMDVRLGQNYPLEAAFACATLALRCVAMDRKDRPSSEEVFQSLEQINTIW >cds-PLY71445.1 pep primary_assembly:Lsat_Salinas_v7:2:148426445:148427760:-1 gene:gene-LSAT_0X41420 transcript:rna-gnl|WGS:NBSK|LSAT_0X41420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLDNLYDSSSVQLQNRAHNGLVLGDAVLMGILFSNGPGDPSAIPYVVETVKAIMGNVPIFGNCMGHQLLGQALGGKTYKMKFGHHGGNNPVRNLRNGSVEISAQNHNYVVDPE >cds-PLY80569.1 pep primary_assembly:Lsat_Salinas_v7:6:13836378:13837942:1 gene:gene-LSAT_6X12100 transcript:rna-gnl|WGS:NBSK|LSAT_6X12100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAHIAIFPSPGMGHLIPLTQLAHQLLLHRRFFITFIIPTATGSPVKPQNDILNAMPENITSIFLPPVDLNDLPDDATMETRISLTLTRSLPALRETLTELTTRNRPSALVVDLFGPPSFEIAKELNIPSYIFGTFSAMSLVSIFYTPILDQMFACEYRELLEPVKLPGCVPVQGSDIPEPLQDKKNESYKNIVEISKMFSMPKGILVNSFVELEPSTFKAMQEGEWCKPDIYAVGPLIRKGSDNPTGDEFECLKWLDKHPVGSVLFVSFGSGGTLSQKQLDELAFGLEESGQRFLWVVKSPNDKANASYFNAETHHDPLGYLPDGFLDRVKNRGLVVSSWAPQVEILSHESTGGFLTHCGWNSILESVVNGVPMIAWPLYAEQRMNAVFLTDDLRVACRVKVDENGVVGRNEIDSCVRCLFEGEDGRKMRLKMSELKDLGAMALSQDGSSTRSLLHVAEKWVE >cds-PLY69718.1 pep primary_assembly:Lsat_Salinas_v7:2:162193040:162193902:1 gene:gene-LSAT_2X83940 transcript:rna-gnl|WGS:NBSK|LSAT_2X83940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTGETQPAKHQEVGHKSLLQSDALYQYILETSVYPREPESMKELREVTAKHPWNLMTTSADEGQFLNLLLKLINAKNTMEIGVYTGYSLLSTALALPEDGKILALDINRENYEIGLPIIEKAGVAHKIDFREGPALPLLDQMVEDAKFHGSFDFIFVDADKDNYLNYHKRLIDLVKIGGVIGYDNTLWNGSLVAPADAPLRKYVRYYRDFVLELNKALAADPRVEICQLPVGDGITLCRRIS >cds-PLY92513.1 pep primary_assembly:Lsat_Salinas_v7:4:322805298:322805453:1 gene:gene-LSAT_4X160460 transcript:rna-gnl|WGS:NBSK|LSAT_4X160460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLDRQLRIEDKQRKEKCSETNPRGLLKIHQLPSYISFGGKHHRHYPMRDS >cds-PLY77517.1 pep primary_assembly:Lsat_Salinas_v7:2:163678739:163687885:1 gene:gene-LSAT_2X84380 transcript:rna-gnl|WGS:NBSK|LSAT_2X84380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGACSSKHEDTHLPVIKRNNCTDMEQSTKKNDPNVARKRWSFLALYTRGPAYYFFSRKSSSPATNGKTNPTVRRFFRRAFQPLTRAQHMKAVLARRHGEGKEGNVRLNKSFGFSKHIRHKCEIGEEVGKGHFGHTHRAKFKKGEHKGQQVAVKIIPKSKMTTAIAIDDVRREVTILKALSGHDHLIKFYDAYEDHDNVYLVMEFCEGGVLLDRILSRGGKFAEDDAKSLLVQILTVVAFCHLQGVVHRDLKPENFIYASKDKDAELKVIDFGLSDFISPDERLDDIVGSAYYVAPEVLQRSYGREADVWSTGIIAYVLLCGNRPFWGRTESGIFRAVLRNEPGFNETCWSKLSFEAKDFVKSLLIKDPRKRLTAVQALCHPWIRNGHEIKPPFDISILKFVKRYICSSSLRKATLQALSKTLTFDELVYLKEQFSLLEPNNSGYISVETFKMALSKYSTDAMKESSVHDFLESLGKLKYRRMDFEEFCAAALRVHQLDGHDRWDEQTKTAYEIFEKDGNRSIVVEELASELSLSPSIPVRDVVEDLIRQTDGKLTYLGFVKVLQGASSRNVAKGQ >cds-PLY72597.1 pep primary_assembly:Lsat_Salinas_v7:5:44509669:44510509:-1 gene:gene-LSAT_5X21320 transcript:rna-gnl|WGS:NBSK|LSAT_5X21320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGHPGTGKTTLARFIAASLRCPLLDKDDIRDSTSAIEAEVVESHTVSKLLNDLSYQLVVVECKPKDESEWRRRLENRGKDGCGGSWHKPATWRDMERLLEGYNGCTDYDVGDVPKLVLDTTVACGKFAELVESVLKFLDACAHLHKVSG >cds-PLY84448.1 pep primary_assembly:Lsat_Salinas_v7:2:1146360:1147103:-1 gene:gene-LSAT_2X1641 transcript:rna-gnl|WGS:NBSK|LSAT_2X1641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNILSCSINTLNGLYDISGVEVGQHFYWKIGGFQVHGQVLITSWVVIAILLASATLAVRNPQTIPTSGQNFFEYVLEFIRDVSKTQIGEEYGPWVPFIGTMFLFIFVSNWSGALLPWKIIQLPHGELAAPTNDINTTVALALLTSVAYFYAGLSKKGLGYFGKYIQPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPSVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >cds-PLY68737.1 pep primary_assembly:Lsat_Salinas_v7:4:185589239:185590310:-1 gene:gene-LSAT_4X108360 transcript:rna-gnl|WGS:NBSK|LSAT_4X108360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTQDNLQDLRVASFSCYLKPIEGVVNMFDTEEKFSDMAVLDLHRLNPNIFTARTPSIASEASSWSNNQTALLQRSTSQGKLNGRAFGKRWFHGPCSTRKAVYVQQSIKQGDQFAFPVLNPSMEIFRPEQKQEKLDELPRMSLEVFGSGTIINKGDIARNLVRKMSILTWDAIPKSKENVICDDMASEASSDLFEIENLSKSGWQPSDHSCVMSPSTQYAPSEASIEWSVVTASAAELSSVYSGYDGKHTIYNTASNNRPSAKTKIEPPKKPQKTLANGLLGCKSNKSVQVAEPVYRTMDKTKH >cds-PLY74375.1 pep primary_assembly:Lsat_Salinas_v7:6:153779723:153780199:-1 gene:gene-LSAT_6X93061 transcript:rna-gnl|WGS:NBSK|LSAT_6X93061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSAIDNPVDKEEVVVLKIETQAEVHHGTFIFTIAFVLKCIRYIVSLLKSLGRLWMEYYPRIFGGIMLPSPIKFIIIGLAAFAEMKSQGSEFPFKTHPLTMNVAIAGLLCYGLASAAQHFISACTRLGPASVYANVAHSGRIGSLCILVASVASLFYL >cds-PLY96388.1 pep primary_assembly:Lsat_Salinas_v7:2:88675366:88676870:-1 gene:gene-LSAT_2X37881 transcript:rna-gnl|WGS:NBSK|LSAT_2X37881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSEVAFVIREFTEPFRQDDDYEDEEEEAEHMNTVNTHHVLLVAAADNSEGLPPTIRRCFSHETKMGGLTEDQRVEMLSQSLHLIPELVPDTCTKDVVKDMFGQTFGFMPRDIRTLVADASSSLIPINGSSFEKLGDSKEFMVKALERSKKRNASALGNPKVANVKWEDVGELEDVKKSILDTVQLPFLHKDLFSSGLRKPSGVLLYDPPSTGKVWKVDELEKTIFVAARDPSLYGIKQVELEKRRKWTTTAPIQVGNIKKAVIVARSSSNFGGMHQELMRMPKSHQQ >cds-PLY99343.1 pep primary_assembly:Lsat_Salinas_v7:1:81623376:81624053:1 gene:gene-LSAT_1X68941 transcript:rna-gnl|WGS:NBSK|LSAT_1X68941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METMEAMYRLVREYESKSIIRRVDTDNVNLHVACGKGIIVVINLIEFKTSTGAESCCEQRLDISVNQAQLDEETTVGILSVVGNFKIQAKEITDDDVEWCARFQDGSLLRFKSKDQSWNSSGSDKKMKKVERMMFLPKKTKEKRMKEMENETVGWDG >cds-PLY63362.1 pep primary_assembly:Lsat_Salinas_v7:7:151324467:151328113:-1 gene:gene-LSAT_7X88881 transcript:rna-gnl|WGS:NBSK|LSAT_7X88881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVFSSFESGKGLDVINLGDSGFMVVRDGCSVLRSPAQQHDFNLTYQLENGSNIDLPSSGKTLPKPVGDPKKLPKWNYDGWSIGQAPGEDSKVIV >cds-PLY67301.1 pep primary_assembly:Lsat_Salinas_v7:6:23040871:23042720:1 gene:gene-LSAT_6X16940 transcript:rna-gnl|WGS:NBSK|LSAT_6X16940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPFNQHNKEDGSFGKLPQLWEEDDSGNKSKATRWLLFSTQYQQVEQEPQKDLHNLDSLFLDTSPPFRYQMHELADIESQYSELIKPHTSHDAVRHEVRGPKLSTDAVIRLGGERFIQSCSSSVNDISMPTHPYGGSFSGFTDQEVKDIELIENLLLSAEKVTHQQFERSSKLLDWCDLLSSSSGNPIQRLAHYFSKALREKMANESGIISSHGSGKKDVAEMEGRMMTPNPTTLSVYQKLPFLQAGQFPGVQALVDAVAGATKVHIIDLSIKQGVQHTILMQAIASQPNLIIESLKITAVGTMFKEKLEQTGDRLRSFAESISMCLTFNVAMVEDMLDFKEDLFELDPDEALAVYSSHALWGMIGQQDRLECLMNVIKNINPRVMVVSEVAANLNSPNFVNRFIEALFFYGAFFDSLEDCLDREDENRAITESMYFGNAIWSIVAAEGEDRVVRHVNIDVWRKFFARFGMQETELSMSSLYQANLMAEKLPSGSSCTFDMDEKCLIIGWKGTPIHSLSAWKFS >cds-PLY91674.1 pep primary_assembly:Lsat_Salinas_v7:8:12041344:12042668:1 gene:gene-LSAT_8X9421 transcript:rna-gnl|WGS:NBSK|LSAT_8X9421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKDLSDEQVSAMKEAFTLFDTDGDGKIAPSELGILMRSLGGNPTQAQLKSIIAEEKLTSPFDFNRFTDLMGKHLKPEPFDRQLRDAFKVIDKDGTGYVVVADLKHILTSIGEKLEPAEFDEWIREIDVGSDGKIKYEDFIARMVAK >cds-PLY83671.1 pep primary_assembly:Lsat_Salinas_v7:4:43393699:43394496:-1 gene:gene-LSAT_4X28860 transcript:rna-gnl|WGS:NBSK|LSAT_4X28860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSSFVGQAVKVAPSGSEITGNGRVSMRKTAVKKVAPSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWATQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVANNAWSYATNFVPGK >cds-PLY82213.1 pep primary_assembly:Lsat_Salinas_v7:1:69025832:69031321:1 gene:gene-LSAT_1X60961 transcript:rna-gnl|WGS:NBSK|LSAT_1X60961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPELAHDCQFSGGDTTTSYGSVSSTGFWSKHRDDVSYNQLQKFWSELSLQARQDLLRIDKQTLFEQARKNMYCSRCNGLLLEGFLQIIMYGKSLQQEGTINQNKLGTSKCQTGDDVCLTTGRNDDVQDPSVHPWGGLTTTRDGTLTLLDCYIYSKYLKGLQNVFDSARARERERELLYPDACGGGGRGWISQGMVGYGRGHGTRETCALHTARLSVDTLVDFWSALGEETRYSLLRMKEEDFMERLMYRFDSKRFCRDCRKNVIREFKELKELKRMRREARCTSWFCVADTSFQYEVTLDTIQADWRQNYADSTGIYEHYEWAVGTGEGKSDILEFENVGSNSRVKVNGLDLTGLNACYITLRAWRTDGRCNEVSVKAHALKGQHCVHGRLVVGDGFVTITRGESIRRFFEHAEEAEEEEDDDSMDKDGNELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSMFVSLALKLLEERVMVACKDIITLEKQFKLLEEEEKEKRDEEERRERRRVKEKEKKLRRKERLRSKEKEKEKKCCQSQSPSQPGQTPASDENKELTSVNDDVSEEHEHEQEEDTQLAMPASEDYTPEEQILDYDDNENSNSNSEFSYGKEGNGMCGSDSKQPRRRLKPWKDYQIDQSSKWSERRRFESGPMVKPGQRFHSDNFNGGVNKPVRRVNGSRYNERFNCCHNRICNCYQHNDYRPKVGKPESDSDVSKPYFRGNKYNNQMEYGRPKSKIANGISGSPYTKKVWEPRSNPDSDDVTVSVSESIKVINSSSDDSKELKTNVEDNNHKESKTEAEAKEIVENEADSVSGATDLSTCGISNSNSDSCSSCLSEGDGNTSFSSNPQNPESSSTSDSEYASHQSEVIKETIISEELPSKASESCETGKRNDVTTSHQHGNVIPPPLQAPSLHFPVFQAPSMGYYHQTPVPWTTAMPLPHPNHYLFTRPFGYNLNGNSRFLPYGGIQPLTPPLLNPGQIPIYQPIPPTNGIKDHNTKVVPVMQNENSEKTHKGISPGAGGQNGNLERAEKGNTGFSLFHFGGPVDVSNGFGAREEIDVNVNVCSGEKEVEEYNLFAASNGIKFSFF >cds-PLY92792.1 pep primary_assembly:Lsat_Salinas_v7:2:149255449:149256174:1 gene:gene-LSAT_2X75220 transcript:rna-gnl|WGS:NBSK|LSAT_2X75220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHITRQSQVGYEFSKKICSATPSGVLMLEGNVMSENIVTTWWNEIWISKFCFQTYPKILN >cds-PLY95956.1 pep primary_assembly:Lsat_Salinas_v7:9:42737948:42739553:-1 gene:gene-LSAT_9X39080 transcript:rna-gnl|WGS:NBSK|LSAT_9X39080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVTVMTSHHICSVEVLVITRTMNVLVAKVALQTRHIADSSGDPDTIDWIVIFEKVLDTRRGHVRGIGPKPSSAADTSASSQWQSQSQAPQPTHVLVKFLKNLVVLLYC >cds-PLY69284.1 pep primary_assembly:Lsat_Salinas_v7:7:130242399:130249128:1 gene:gene-LSAT_7X77561 transcript:rna-gnl|WGS:NBSK|LSAT_7X77561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMESPAGGLRDTSIIVVTLDTSEVYIIVSLSSRNDTQVIYIDPTTGSLRHEQKVGYDVFNSEDEALNYITNGSRSIYKTITYGKAILGYAVLGSFGLLLVATKLNASIPNLPGDGCVYTVSESQWIKVSLQNPQPQGKGEVKNIQELAELDIDGKHYFCETRDITRPFPSHASVRNPDEEFVWNQWFSTPFRRIGLDQHCVILLQGFAECRNFGSLGQQEGIVALIARRSRLHPGTRYLARGINSCYSTGNEVECEQIVWVRKRGGQSVGFNSYLWRRGTIPIWWGAELKMTAAEAEIYVAERDPYKGSAEYYQRLSKRYDKRDSEKKSPLVPIVCINLLRNGEGKSEAILVHHFEESINHIRSTGKLPNTRVHLINYDWHATIKLKGEQRTIERLWYLLKAPTISIGISEGDYLPSRHRMKDCQGEVIYNDDDDPVGAFCLRAHQNGIIRFNCADSLDRTNAASYFGALQVFTEQCRRLGISLDTDLAIGYQSANNYGGYTAPLPPGWEKRSDAVTGKSYYIDHNTRTTTWNHPCPDKPWKRFDMTFEEFKRSTILSPVCLLADLFLLAGDIHATIYTGSKAMHSQILTIFTDEPGKFKQFSVAQNVKITLQRRYKNAIVDSSRQKQLEMFLGLRLFKHLPSLPLQPLHVPSRPFGCVLKPVASILPSSDDGGSLLSFKQKDLIWVCPQAADVMEVFIHLGEPCHVCQLLLTISHGADSSTYPSRVDVRTGRDLDGLKLVVEGASIPECANGTSIVIPIPGAVSDEDMAVTGAGARVHDQDTTKLPFLYDFEELEGELDFLTRVVVLTFYPSVSARTPITLGQVEILGISLPWRDLFASDIRLWEHINKIKQDTHTITITNTNTNPLLSSDANSNPFSTDDNSLKSQNSSSTWVDLLSGDDIISGSISQPVQQTALHDPFLNPFHVDDEESEPPSPKVSVPLPTESGSQQYISCFKTMTRSHVAKKLGFVEAMKLEMERLGLNLSAAERDRALLSIGIDPATINPNELLEESYISSLCRTANALAIVGHTYLEDKSGGAIGLNGITTNDIDIDFWNINKIGEGCCGGSCQVHAEPLTSTSTSSSMFSCSECRRKVCRGCCAGKGALLLLVAQGDGCSDGGGVICKLCCHDTVLDALILDYVRVLLTHRRNTRCDLAAYKAFHQVLGPKTMMKPKPVSHSRNNLNHQLLKGQESLAEYPFGSILHSVESAPGSAPLLSLLAPLDIDVSDESYWKAPHTTSSAEFVVVLGNLSNVSGVILVVSPCGYSISDSPTVQIWASNKIQKEERRIMGKWDVGSLLTSYPEVCGPEKPGSGNRVPRHVEFSFKNPVCCRMIWIKLSIQKAGTISSSVNFDLLSLDENPFSDYNRRSSLGGPLETDPCLHAKRIIVVGSPVKTSDISSAKTSTDHVSLKNWLDKPPPLNRFKVPVEGERLIENELVLEQYMSPASPMLAGFRLDGFSAIKPRVTHSPSSHDHHHLLAFWENRFISAHVLYIQVSALQENRNMVVVAEYRLPEVKGGTLMYFDFPRPVNSRRVCFRLFGDVASFADDPTDQDDSDSKGRPPLATGLSLSNRIKLYYYADPYELGKWASLSAV >cds-PLY76321.1 pep primary_assembly:Lsat_Salinas_v7:5:295698882:295699208:1 gene:gene-LSAT_5X158521 transcript:rna-gnl|WGS:NBSK|LSAT_5X158521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVLSTSFDQKSLFDEAAEERFASKLSMGRNTYTKKTLPAIIGWTFRLKALAVMVLLTVMLLFLPLVLPPLPPPPPLLLFVPVLIMSVLLIVACAPSKFPPDTVVHSV >cds-PLY63236.1 pep primary_assembly:Lsat_Salinas_v7:8:271089026:271089525:1 gene:gene-LSAT_8X156200 transcript:rna-gnl|WGS:NBSK|LSAT_8X156200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFPSSNNVISSTPITGWAQALIIWAQTSFIAAMKATLNGSLPTPASFGNSPLHRYYGESMPYGSQKEAYKNAFTLSYLTAGQALVDYALLITDLKRNLSAKVSPVRSFPTILTKIKQSHQTSTC >cds-PLY87862.1 pep primary_assembly:Lsat_Salinas_v7:3:43989077:43990681:-1 gene:gene-LSAT_0X8741 transcript:rna-gnl|WGS:NBSK|LSAT_0X8741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSQLSSSVLLMVLTFLLSVSWATSLSSSSSGDLISCLLSKSNNVTTVSQLIFTPVNASFLPIWQVAVQNTRFLKPSTRRPSVIVTPMEETLIQTCVYCAKKHGYEIRIRSGGHDYEGLSYTADVPFVMLDFTNMRSIDVDVAKRTAWVQAGATLGELYYKISRETDTLYFPAGVCPTVGVGGYMGGGGYGNLLRKYGTAADNVLDARFMDVDGNILDRKSMGEDLFWAIRGGGSSSFGIVLAWKLSLVPVPKKVTVFILNKTLEQGATEIFHKYQYVAPNIDRNLHIRTQVFAEYIGNTTKKTIRIMFEGIYQGTTDTLLPLLYRKFPELGVTREICEEIRMVQSTLVFWGLPSSTPTEILTNRSAIAKLNNKSKSDYTRAPIPIKGLKKIWRKLMQNDESALLMINPFGGRMADFSETAIPYPHRAGVFLQILKTVNFNGQPSDTTPTSLRRIAWLRSLDALMTPYVSNNPREAYSNYNDLDLGVGSANYKEASVWGERYWKRDNFKKLIRIKAKVDPHNFFRRPQSIPVF >cds-PLY97744.1 pep primary_assembly:Lsat_Salinas_v7:1:20704790:20705675:1 gene:gene-LSAT_0X43680 transcript:rna-gnl|WGS:NBSK|LSAT_0X43680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWVEIGVFMVMMMKRSMVNWTISIGWPDANNNDIKLEEARVDEKRVNYYNTHLQSLQDAIRDGSKVVGYFAWSLMDNFEWASGYSVRFDYAHGKYTRYPKTSAIWFMRFLNGKKTIETNEAS >cds-PLY94575.1 pep primary_assembly:Lsat_Salinas_v7:8:181841813:181843108:1 gene:gene-LSAT_8X117881 transcript:rna-gnl|WGS:NBSK|LSAT_8X117881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLNTTKPKTAVPGFARLPICSSAATLLPYFQAGHRPTIAKLQDDNKALDRLTKSKEAALLDDERTVQVALGKASMVDDLQNKNQELMK >cds-PLY94676.1 pep primary_assembly:Lsat_Salinas_v7:MU038872.1:105694:106223:1 gene:gene-LSAT_0X20781 transcript:rna-gnl|WGS:NBSK|LSAT_0X20781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVYKYAQERPVMFSSFQPDVALIMKKLQTKYPVYFLTNRGTVIFDDVRMNSLEEAKKLAINGGLDGIVFEVKNIFRYPSVVREIKESNLSLLTYGKLNNVPEAVHVQYLMGVEGLPS >cds-PLY76840.1 pep primary_assembly:Lsat_Salinas_v7:3:5266459:5266809:-1 gene:gene-LSAT_3X3001 transcript:rna-gnl|WGS:NBSK|LSAT_3X3001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFILRWYTRYLSKFGFGFLDTPFIEPFHPNSEPVECAVCLSTIKEDDETRVLRCKHLFHKKCLDRCVEYRHTTCPLCRGCLAGPRLVSELGWELLYLGFSFVKTSTDDDYCKWWLR >cds-PLY69707.1 pep primary_assembly:Lsat_Salinas_v7:2:41020294:41025161:-1 gene:gene-LSAT_2X20160 transcript:rna-gnl|WGS:NBSK|LSAT_2X20160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQGASGGGHDDHHEAPNHRRGKKRYHRYTKDQIQLLEATFNECPHPDEKMRLQLSQELGLTPRQIKFWFQNRRTQMKAKSEMVDTSLLKVENDKIRSENVGIKEALKNVICLTCGTTPSVQADCHEKQRLRNENAQLKEELQRVSSIVSYHIGRPLAPQLSLAHMEQSHLSSSDLSMVTYVGATQQSMIPIDSDGPSLDLDLLTSNDIRASASNTIHPSYSLRLLGMHKSLITDMATSALDELMKLFQTNEPFWSKSADGRDVLDIDNYKRTFPKPNASMKNHNLWTDASRATAGVMMDSMQLVEMFSDSTKWGDLFPTIVLKARTLEVISYGSFGNKSGSLQLMYEELQVASPVVPIRQFIFFRFCQQIDQKTWAVVDFSYHLPQDGNFPYSQFNSRRLPSGCLIQSLPNGYSKVTWIEHVEIEEKTAVHRLYRDLIYSGLAFGAERWVACLQRSSERIACQTMSNSWICKLVGVIPSAEGKKSMMNLAQRMVNNFCAIISPSDDHQWSCVSDFDDFEIHANLYKSTDCVQPNTTVLSAAATVWLPHSPQFLFDFLRDEKNRPKWDVLTNNKPVQEITRITNRAHPGNCISVLQVTNTRDTNILILQESCTDSSGSLVVYYPVDLPAINMAMNGEEVCVPLLPSGFVITTDGRHRSTMSTSRKEGCSAASTAGSLVTLVLQMVVSSQPGENLGAQSVATISNLIGGTVRKIKAAMNCPSPTT >cds-PLY90795.1 pep primary_assembly:Lsat_Salinas_v7:2:104969468:104975645:1 gene:gene-LSAT_2X47140 transcript:rna-gnl|WGS:NBSK|LSAT_2X47140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKDESLIYDIKISTVGPGRVSGHGVVQELTGMDLAMKLHYLKTVYYFRSPAFEGLTIINIKETLFSWTCHAFVPCGRLRRADSGRPYIKCNDCGVRLIEARCKMSLDEWLESKDDSRHKLLVTNQVLGPDLPFSPLVLMQLTKFACGGTSVGISWAHVLGDAFSAAGFLNLWGQATRQQFPAKPLSMAHTNNEGHNPKIPLQDLLAIKRVGPLGDTWATPNDTKIETYSFYLSWPELTRLQSKICGDKNHQQIPPFESICTVVWQCIAKVKHGSEVNMVTICKRESKIPFEGIITNNAQSIKVVKTNASVEESSLMELGLLLMNQGVDERRDIEEAMESDGGFPDFLIYGANLTFVDLQDTPLYDLDIRGNTPVYVSCAIDNVGDEGVVLVFPTRKDQTDGLTISIALPQNHIHELKLALKREWSLS >cds-PLY91577.1 pep primary_assembly:Lsat_Salinas_v7:1:11945166:11946232:-1 gene:gene-LSAT_1X10061 transcript:rna-gnl|WGS:NBSK|LSAT_1X10061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATMNSSVLACNYAVSGGLASPDFNSKPSSAAPTAVSYKLPVIRAKQTVSSSSDSIDQSQQGRRAALLCLGAALFASATSSANAGVIDDYLEKSKANKELNDQKRLATSGANFARAYTVQFGTCTFPYNFTGCQDLAKTKKVPFLSEDLELECKGKDKFKCGSNVFWKW >cds-PLY80315.1 pep primary_assembly:Lsat_Salinas_v7:7:154848105:154849081:-1 gene:gene-LSAT_7X91901 transcript:rna-gnl|WGS:NBSK|LSAT_7X91901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKSMVMASSLTGEVFEAIDVYVSDSDSDSWEVVEPSDSDDGNFSYGDVTSDDDEVVADVDDLLQQAYGSPSPDISLQSLVEEITHHCEEVKDAYEIDDLRHVIVEDDGRIDGAYDPYEEDADESEEEIDDDDDHDDLDDELVPKYLNNKFERQRMRKLGKRAYPKMKKSKRVANQFNKPGCVRGKHGLGLKHNLIR >cds-PLY86486.1 pep primary_assembly:Lsat_Salinas_v7:9:183287573:183289091:-1 gene:gene-LSAT_9X113180 transcript:rna-gnl|WGS:NBSK|LSAT_9X113180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQLFDSEESSSGCESGWTLYLQHSIPHDVFSWKQEHDQEHEDDNDDEEDDDMSMVSDASSGPPHFQPHQEHQEEEEEEEYFNNDDNNVFCDPPLTLVARKRQKLFKQTSFHSHRHLQDLPTASLDDTASSPLFSFSNKDLQVCNKQSTKEDDNSFCYSLGHSTTYFEMV >cds-PLY76923.1 pep primary_assembly:Lsat_Salinas_v7:2:199612123:199620663:-1 gene:gene-LSAT_2X122021 transcript:rna-gnl|WGS:NBSK|LSAT_2X122021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESRSYDKVTDICDQLMLQRISCATDDHEIVYQNYLTEENKPETLGTISWQQGNLYPSFMLLTASKVTVSNEWDYVSTHLCFLPTQLFIYIWYIVLSVVTLVVVLLWPTNGLNIQLHIGELMNLTGVLPKEFANLHSLQEIDLSRNYISGPIPASYGQLRLRVFFLGNRINGSIPEALGDMSTLEELVVEDNFLGGPLPQNLGRLSRLRRFRIDGTTLSGRIPDFIGNWRNLTRLDMLGTNMSGPFPSTISLLTNLIHCEHIIFRYGNRAAIFTTSGVAARKFQTDIEAGQLHLEPASSNSLPGNGNGSITQKLRVTNTQHGKKGALCSDTNIGQGGTTAWKVLQYTLAYNNVHKLRK >cds-PLY61776.1 pep primary_assembly:Lsat_Salinas_v7:8:76555710:76556292:-1 gene:gene-LSAT_8X55200 transcript:rna-gnl|WGS:NBSK|LSAT_8X55200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEIKISSPIFLHATKSADTLFFFMASSSKRSTTIASSWQNPHGDFRNKNNPMVLYQCGVEASFLVSWTDKNLGRLVDPPLPNQDYKHLMFQMHLALVGMADGNAQLELANHDQNRRLMLMMKLVLNLGHVIYSDVGNWDCSAGEGLGS >cds-PLY90808.1 pep primary_assembly:Lsat_Salinas_v7:2:105098649:105101089:-1 gene:gene-LSAT_2X47181 transcript:rna-gnl|WGS:NBSK|LSAT_2X47181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFSKAESPFYDIKVTTVGPGYISGENAVQELTGMDLAMKLHYIRAVYYFRSPAFEGLSIVAIKEVIFKWHSKAYIPCGRLRRGDSGRPYIKCNDCGARMIEAKCNMTLDEWLESVDDDKHKLLVPNPVLGPDLPFSPPVFMQLTMFKCGATSVGVSWAHVLGDVFSATIFMNMWGDATKDLYPAQPLLMAPSHNEGTKYKSAMRDPLAIKRVDPVGDLWATTNDTKMENYSFYLSWPELTRLQSKICGDNDHQTIPPFESICSLVWQCLAKIKQGSKVNTVTICQRVSQNSFEGFLTNKAQSIKVVKTEESVEESSIMELGLLLMNQGLDERKSIEETMESDGGLADFLLYGANLTFVDLLDAPFYDLDIRGNTPVYVNCVIDNVGDEGVVLVYPARKDQSDGMRISVTLPQNHISKLKSILKKEWSL >cds-PLY94868.1 pep primary_assembly:Lsat_Salinas_v7:2:177035091:177038041:1 gene:gene-LSAT_2X98501 transcript:rna-gnl|WGS:NBSK|LSAT_2X98501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:Projected from Arabidopsis thaliana (AT5G60640) UniProtKB/TrEMBL;Acc:A0A178UQB2] MANQLVIVFFLSTFLILANFTSLSQSKQTNPSAGALDDDDEDLSFLEEPEDGTTSTDPLIDPDLPDFDEFSGDEDDFENYNYEDDDFEVPSHDYKDEDDFSESIDDKDVVVLTESNFTDFVESNRFVMVEFYAPWCGHCKALAPEYAAAATELKGQPVVLAKVDAQEENDLAETYEVQGFPTVLFFVDGVHKPYLGQRTKDAIVTWIKKKTGPAVYNITTAEDAEKVLTSEDKVVLAYLNSLVGPESDELAEASKLDDDVNFYQTVDPNVAKLFHINPDVKRPALVLLKKDAEKVTHHDGLFDKSAIKEFVFANKLPLVTNFSRESATLIFESPIKKQVLLFATSNGSSKVFPTFVDAAKLFKGKLIFVYVELDNEDVGKPVGDYFGITGDAPQVIGYTGNDDGKKFVFDQDLTFENLKAFGEGFLDEKLKAFYKSDPIPENNDGDVKIVVGNNFDDIVLDESKDVLLEIYAPWCGHCQALEPTYNKLAKHLHDIDSLVIAKMDGTTNEHPKAKADGYPTILFYPAGNKTVDPITVDVDRTVVALYKFMKKHASVPFNLQKPTYNDAKESSEIDQKDELR >cds-PLY78459.1 pep primary_assembly:Lsat_Salinas_v7:4:261139570:261146155:-1 gene:gene-LSAT_4X133761 transcript:rna-gnl|WGS:NBSK|LSAT_4X133761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 2 [Source:Projected from Arabidopsis thaliana (AT1G21640) UniProtKB/TrEMBL;Acc:F4HY34] MNRTSPVLHTVTLKHHPFELQRFREPNNSVGFPTKCRLPARRRLTVVVAAGAELSNPFSVNIGLDSQTYQSHNLTQLPWVGPLPGDIAEVEAYCRIFRAAERLHNALMDTLCNPVTGECSVSYAFPSEDKPLLEDKIVSVLGCMICLLNKGREDLLSGRSTIMKSFSVSDVDMMEDKLPPLAIFRREMKRYCESLHIALEIFLTADDTRSLDVWRKLQRLKSVCYDSGLPRVEDYPPPSLFANWNPVYLSTSKEDIEPADCEVAFWRGSQLTEESLKWLLEKGFKTIVDLRAETVNDVFYETALQSALSSGKIDLVKLPVSVGTAPSMTQVEMFSSVLADPTKKPVYLHSKEGVWRTSAMVSRWRQYGSRYIQDQQQLASNSKPAIAMEEDDEGSSTSNGAYKDTGNGVLVDFCVNPMESQLPPSNVFSRKEMSMFFKNKTLSPTTYFTFERKRLEKKLRSRKKSNLDSERGNGSPNGNNISSNNSNSVPSGASLKEFNDSDSRHATNEVGGSSNSIVVSSNGNGNGKVGNEDMQVIEGNMCASTTGVVRIQSRKKAEMFLVRTDGVSCTREKVTESSLAFTHPSTQQQMLMWKSSPKTVLLLKKLGLELMEQAKEAASFLYHQENMNVLVEPEVHDVFARIPGFGFVQTFYSQDTSDLHERVDLIACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHAFEDFKQDLKRVIHGNNTLEGVYITLRMRLHCEIFRNGKAMPGKVFDVLNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPEDARSNAWVSFDGKRRQQLSRGDSVRICMSQHPLPTVNKFDQTGDWFRSLIRCLNWNERLDQKAL >cds-PLY77022.1 pep primary_assembly:Lsat_Salinas_v7:6:176983799:176986461:1 gene:gene-LSAT_6X106561 transcript:rna-gnl|WGS:NBSK|LSAT_6X106561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIAIEGCMHGDLDNVYATLLHLQEVERTQIDLLICCGDFQAVRNEKDLESLSVPPKYRSMNSFWKYYSGEKVAPFPTIFIGGNHEASNYLWELYYGGWAAPDIYFLGFAGVVKFGGIRIGGISGIYKSRDYRSGHFERAPYNNSDIKSIYHVREYDVHKLMQIEEPVDIFLSHDWPLGITDHGNWKKLVRDKPYFEKEIEERSLGSKAAAELLEKLKPPYWFSAHLHCKFAALVQHQDGGQVTKFLALDKCIPRRKFLQIIEVESDPGPYEIEYDEEWLAITRRFNSIFPLTKMPMNFGGVEFEMEECRKWVKSKLKSRGSSKPFEFVRTVPCYNSSQTLANHSFSGHIRNPQTESLLEFLEVGYLLDKSGPTPSQSQSPGIGFYLH >cds-PLY97488.1 pep primary_assembly:Lsat_Salinas_v7:1:193636175:193637667:-1 gene:gene-LSAT_1X124741 transcript:rna-gnl|WGS:NBSK|LSAT_1X124741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLSSTNAWILSLKVLLLAFGVVSVSMAVEYIVPLLLDFAVNDLPAMWSLLQSYLKPPYLYLLINVIIFTIFATSSFHHRIMHENQSQPPLVNPPTSNLQGVPIQHNFGMLEPPLVVYDTAPIGFEDPQPPISDLETAPMNDLEVAGVENEDEFVISRSSWNPPPQISNYQPPPVITQSEKPLFTSRFAHPKQTKTSPDGYRALKVSKPKKQETLESTWKTITDGRRMPLTRHLRKSETFENHRNSDVYPLEQQPAEAVGKGKKVMKKASTLRDRTNYDNQNNRPPSPASGGKVRKDGSLSHDELNRRVEAFIKKFNDEMRLQRQESMKQYTEMTNRGTH >cds-PLY69530.1 pep primary_assembly:Lsat_Salinas_v7:7:118785825:118786082:1 gene:gene-LSAT_7X72480 transcript:rna-gnl|WGS:NBSK|LSAT_7X72480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIRSRIVPTPEKFKTLQFRFGYMREDRVQFPSKGSTTYHPPSGKIGIPVAIIKVGIRLPVSEFFDETIREYFFFHDLTPNTVN >cds-PLY66310.1 pep primary_assembly:Lsat_Salinas_v7:5:290346682:290347138:-1 gene:gene-LSAT_5X156541 transcript:rna-gnl|WGS:NBSK|LSAT_5X156541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVANNNGKPYTDEIFSELKKATTRLHEQTEETAELTEQMHKSYEEQLKRITEMLELKLSKTTITLKQQLEEERIEGLKAEFFRYLMLLKSNQIM >cds-PLY78864.1 pep primary_assembly:Lsat_Salinas_v7:5:307710121:307711053:1 gene:gene-LSAT_5X167100 transcript:rna-gnl|WGS:NBSK|LSAT_5X167100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIDEELAKQLEAKQLKSKHKVLLKKKSSDIPPEERSTWHGEQFRTRSSKRTIFFNLDRDSYQKIKVVKPTNSFTPPSDLTIENWDIFAPPNGMKFNLWPSAIYPFEPDLDIEERASIDKAYVDVLQRLSQYVLGMVIDFGVDWEIGQRREKEAEEPNLDLNMENESPGNILKKPHIGIIFSSKGRMRFMRISQKHPFSSEFNQGIIGLLKRTGDQDNSLRMKIIKELTWFLSFR >cds-PLY74622.1 pep primary_assembly:Lsat_Salinas_v7:7:37487754:37489552:1 gene:gene-LSAT_7X27800 transcript:rna-gnl|WGS:NBSK|LSAT_7X27800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSFTLVVSKTNFSIRFTTAGKSLAFTTRTYWYCICHYSNQNQKVAPLPPVQPLVPPIQDNLQTKKDAEKVDDGDQNQITTEAEQQHNEAPPQVLETTADDPNHTTTQSWPNLFNHLLEFESHNRGFGPTRMLPSSTDPLQAELEKLSELKNTVNNFYEAIKVKLKVEYEKERAEMLARLNRKYDDKSHKAEQAFHTKKNEIDINFNKVDELLVLLFPLMRDWGEISPELHILPSLPINSLRTMLSPPLPPPGIGIPGWELAGEETIELKADSKISLCSIEFSQLGLK >cds-PLY74851.1 pep primary_assembly:Lsat_Salinas_v7:8:106728968:106732224:1 gene:gene-LSAT_8X72981 transcript:rna-gnl|WGS:NBSK|LSAT_8X72981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKVMNRLKEEELVNPQLRFPHIISSRWIYSTTRWSEDLIADNSEIYNYQGVVNEDHNVANTPAPPEVQAPTPAILNQNDVADDDEEEPLNENDDDLDDVDKGDEVNTYHLTLAQFDKVSVSNCLREEIIKKKEVIGNSATEVSKQDIESNEVEVMEGSLGKGDQVVFGMIMIESGKKWNGLFHRNEEEKLVSVK >cds-PLY98110.1 pep primary_assembly:Lsat_Salinas_v7:3:247556799:247557567:-1 gene:gene-LSAT_3X136641 transcript:rna-gnl|WGS:NBSK|LSAT_3X136641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDPVCPRHTAILEENRVLLTLLDGPHRPFVHVIPKHKKYVAAGDKTITFTKNIWIEQADAKAISPNEEITLMDWGNAIVREINKDKNGNVTELTGVLHLEGSFKTAKLKLTWLPDTNELVPLTLVEFGYLITKKKGYKERDWGVGDSNMRILKGGDILQLERKGYFRCDVPFITPLNPIVLFAIPDGRQTATTK >cds-PLY90101.1 pep primary_assembly:Lsat_Salinas_v7:6:16080193:16080655:-1 gene:gene-LSAT_6X9361 transcript:rna-gnl|WGS:NBSK|LSAT_6X9361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRTKAILSFDVPHHKSGKYLNLLVTIDFNIDLNPSPVLNLAATMTVKLDSTPSLLLSPSTPSESASTNPTFLIDNCGRATEVSGGGPTMKGQMMLVAGDGDDGGDGWQPRGRSLD >cds-PLY74271.1 pep primary_assembly:Lsat_Salinas_v7:1:78592485:78594909:-1 gene:gene-LSAT_1X66101 transcript:rna-gnl|WGS:NBSK|LSAT_1X66101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVALTASVNLGFRSFATSNHRISSKQSPPLNLNRSNSQLHLRSSSSYTSNLTPRLPLLSLKSPKRNQNFSVQASAAVVPAKQDPAPAPVPWQGAAMKPLLASIATGVILWFVPVPTGVSKNAWQLLSIFLATIVGIITQPLPLGAVALMGLGACVLTKTLTFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGNRIAYQFVSLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCVACGSNVGDGTEHKLGSWLMLTCFQTSVISSSMFLTAMAANPLSANLTFNTIKQTIGWTDWATAAIVPGMVSLIVVPLILYLIYPPSVKSSPDAPKLAKERLEKMGPMTKNEIIMAGTLLLTVGLWIFGGMLNVDAVTAAILGLSVLLITGVVTWKECLGESVAWDTLTWFAALIAMAGYLNKYGLISWFSQTVVKFVGGLGLQWQASFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVATALGTPPLFAAMVLAFLSNLMGGLTHYGIGSAPVFYGANYVPLAKWWGYGFLISVVNIIIWLGVGGVWWKFIGLW >cds-PLY93647.1 pep primary_assembly:Lsat_Salinas_v7:1:187601372:187601611:1 gene:gene-LSAT_1X119300 transcript:rna-gnl|WGS:NBSK|LSAT_1X119300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKRVAVGLPGGHSISPEGNNCKGGDGGAMQRWKEVAATSVEHDARVGIHSSEVAFGGSLTADHYITGGSGDDSWLR >cds-PLY84728.1 pep primary_assembly:Lsat_Salinas_v7:5:229794073:229794342:-1 gene:gene-LSAT_5X109781 transcript:rna-gnl|WGS:NBSK|LSAT_5X109781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSKIVLRLEGDDTGEDNMNKGAAMWDSVRKDNVSNFFDVDSVSDYDARHNNEGSDYDDSEYLVDGDNMVEEVDVGMSNFYLNVDKDAK >cds-PLY82327.1 pep primary_assembly:Lsat_Salinas_v7:1:65752917:65754378:1 gene:gene-LSAT_1X56180 transcript:rna-gnl|WGS:NBSK|LSAT_1X56180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVPAQLTAEAVNQLREGIDLLLGRWSALQMAVQNEWGGRDTRQKAQQLAVDIYQWLIRPSEALYVDELENLLDDFMLSLNTEIDDGSIEEIADNLMIIHEECLEGNFASIERLRQSAPQIAAASHQMQVVNGGEDDSDSTSSSGDESMEMADGVDPTSNMADMTLNESARPAAPTVDADGWTVVSSRRNRR >cds-PLY67865.1 pep primary_assembly:Lsat_Salinas_v7:3:232785410:232786075:1 gene:gene-LSAT_3X130080 transcript:rna-gnl|WGS:NBSK|LSAT_3X130080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRYGRVPTAEEFQFFAFSLRLLTGARHTNFGKEGINLRPPLGEGCVLWRKEEASISWLLSKTRISLYEETESDDTGLRPRKARKNVSVAMLLGGIRGILGGQFSGQKEVVVVPSSPEASPSPSVRSPLVNHGSGSIFGGAQSSSGGTF >cds-PLY94997.1 pep primary_assembly:Lsat_Salinas_v7:5:5177634:5180764:-1 gene:gene-LSAT_5X2460 transcript:rna-gnl|WGS:NBSK|LSAT_5X2460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVADGIISQISRGVVTRCRGSLIFHAAHDNQKFIPVIRNAFHSLQFSQDKVVTGQTELYNPVLNLHFIQRFGISSSASLQSKENGTSQNEGDTTGIPNDAKESTDAENPSKTDASDQKGEESDSDEEELSVEDLVKLVAEKERLLKAKHKEIEEMKDKILRTYAEMENVMERTKREAENSKKFAIQNFAKSLLDVADNLGRASSVVKESFSKMDTSQDSVGAVPLLKTLLEGVEMTEKQLAEVFKKFGVEKYDPTNEEFDPNRHNAVFQVPDPTNPPDQVAVVLKAGYMLHDRILRPAEVGVTIAMDKKDANEEIQT >cds-PLY86005.1 pep primary_assembly:Lsat_Salinas_v7:1:49293807:49296246:-1 gene:gene-LSAT_1X43181 transcript:rna-gnl|WGS:NBSK|LSAT_1X43181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGSIYPEDAMPWVGLYVFLASLVCTLAMAADAFQGFRQRKLWFPCRFFTINAASLTLIAIAMKLPVDLNTDMTMSKAVSIMFLLAMLGHFLPSLGLMGGKDLVMNMIAFGILMITITINICLQFSTINDWAPSYHRIVLHGLIIRLQMFLLICSLPWPISVALTVSASRRVLQQQYKELHSLASNHQEMKNFSNKRLICYVKKYWMMAETGNPQFAIACSPVSSAFGIICLCLACILVFIFVDGYIWEIGSYGYLLTEYRWSMKLIFIMQSVGTIVGSIAPFFRCLTSISHFDLSKKWTKKHLNVFRVENYWTQTLQIWKHNHVGSGIPGRHCKKVIRNIRNMVLNFCIALQIMIVVICKTICLVPRSFLILFSCCCYLYKSLLRRFKQEPNASNSNVIPDMEEYTGYVLHTEAETKLSSKILRKSFNSITQILHESEEKEPPNLLKLLKKSTGFYGVIEFDNEQIPPVHPEEIQNCWSLVTVTLTAIALSLPNTANGQFKGLISSMSEGIEFVRHIEESLNTNGELVKARKAARHVWTDVEVYRTWLQIDLQKKARKGDTSKEILLWLGDEAVNTVIQFKRSKDGSPDHSLRNFIAASSMYRISQTILLHCNEQENWPTDEELFEFISTIIADLLCACFSNLPRVITLRCHVDAIEKREDSIRTAVQLLGRSKKILKILKEHQLPNLDIDSMGYIDKWHALPKNQIPNCCFSSARSQLASSSSSESIEITIV >cds-PLY89335.1 pep primary_assembly:Lsat_Salinas_v7:5:113363838:113366542:-1 gene:gene-LSAT_5X50580 transcript:rna-gnl|WGS:NBSK|LSAT_5X50580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLTKKFKKLMIPLEAIKSATNNFSDDNYIGRGGFGKVYKGELNVHSKGQTVVALKRLDPAYGQGNPEFWKEIVMLSLHKHENIISLLGFCDESNEKILVYEYVFRRSLDINLNNNDLTWIRRLKICIGAARGLAYLHNPGTTQQRVLHRDIKSSNILIDENWNAKISDLGLSKFGPANQKYTFLVSNTVGTIGYCDPLYAETGLLTKESDVYSFGVVLFEVLCGRMCISNENGNLQSLTSLVRRYYDENKINEIICDKIKEGINTKSLEAFTTIAYKCLNRELEERPLMTDIVMLLEIALQYQVAVGLLI >cds-PLY67728.1 pep primary_assembly:Lsat_Salinas_v7:4:3191877:3192507:-1 gene:gene-LSAT_4X1101 transcript:rna-gnl|WGS:NBSK|LSAT_4X1101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METNSKQRAAGFVKNKLVSTFYKTSKPPAPLPITAPYGGGGAIINKTSYPASSMKKVPSLTKLTNLFGGSDDYVHGPSGGGGDAKVDIRASNYISSFKERLILEERNATNERVEMFRRN >cds-PLY72667.1 pep primary_assembly:Lsat_Salinas_v7:8:210165684:210167296:-1 gene:gene-LSAT_8X132341 transcript:rna-gnl|WGS:NBSK|LSAT_8X132341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVSQDIFVSCALIDMYNKCGSIEDAQCAFDEMPEKTIVGWNTIIVEYALHGYSEEALDLNYEMQYSAVKMDHFTFLMIVTVCTRLASLEHAKQAHVGLIHHGVVSEDYNVSNTLAPQELQAATLTMVNQNDIFDDDEDEPLNENDIDGAYFGTTLPHLFLMSYGHLKPQKAIQCYTPRVFGFKFHKPRCITNLTCNA >cds-PLY76399.1 pep primary_assembly:Lsat_Salinas_v7:8:84348829:84351889:1 gene:gene-LSAT_8X62240 transcript:rna-gnl|WGS:NBSK|LSAT_8X62240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSYVPTGFMDHLKNASELITFAYDAPFARAVVFGVHIGGHLFVEGLLLVVILFLLSQKSYKPPKRPLTTKEIDELCDEWVPESLIPPVTDEMKREVPVLLSAAGPHTIINGRKVINFASANYLGLMGHDKLLESCTSSLEKYGVGSCGPRQFYGTIDVHLDCETRIAKFLGTPDSILYSYGLSTMFSAIPAFCKKGDIIIVDEGVHWGIQNGLYLSRSTIVYFKHNDMQSLESTLEKVTQDNKRAKKLRRYIVVEAVYQNSGQIAPLDEIIQLKEKYKFRVLLDESNSFGVLGKSGRGLTEHFNVEIDKIDIIIAAMGHALASEGGFCTGSHRVIDHQRLSSSGYVFSASLPPYLASAAISAIDVIQENPQLITNLRDNSKILTTGLSDIQGLELTSDVMSPLVFLKLKKSTGSVKSDLQLLQDLADCVLKEHSVFVTTSKRSMVDKCKLPVGIRMYVSAAHTESDLEKACEALKKAAALVLN >cds-PLY89634.1 pep primary_assembly:Lsat_Salinas_v7:8:220680683:220680958:-1 gene:gene-LSAT_8X133920 transcript:rna-gnl|WGS:NBSK|LSAT_8X133920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPAPPLPFTGETILLYIFRSLLFTGDDNDFPLSVTTAQNREAVFGGPPGTTHLLLPATTAHKTCSIFDL >cds-PLY91741.1 pep primary_assembly:Lsat_Salinas_v7:9:17663446:17664171:-1 gene:gene-LSAT_9X16040 transcript:rna-gnl|WGS:NBSK|LSAT_9X16040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITNSCVLQTKPTMASPSKTNLLVFLIWVTLIIQGIAGSRTVTLLPHQNSELHLQVKKNVVLRRRTMIGSVKPTCTYNECRGCRSRCRAEQVPVEGNDPINSAYHYRCVCHR >cds-PLY74903.1 pep primary_assembly:Lsat_Salinas_v7:3:128716395:128717915:1 gene:gene-LSAT_3X87921 transcript:rna-gnl|WGS:NBSK|LSAT_3X87921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAVRHCSRIDTFELKLHMERRLGSQKAQKYFNLLTRYLSQKLRKPEFDKLCISLIGRENLRLHNELIKSLLKNATLSKTPPKKVNPNNTLSQPSSRFANERKVKDHLQSQQQSATELFSLGSKPPVEVNSVEDGEEVEQISISPGIHSRSPVKAPFGIKFHSKESKKFLSISSPFHTCHYNSQLPPTSSLSTRLKHKLKTEGLDITMDCVNLVNNGLDSFLKRVIKPCLELARSRSLKNQERLFPFSSSMVDLRVVTESNPKILGEDWGIELEKICLFESR >cds-PLY86018.1 pep primary_assembly:Lsat_Salinas_v7:1:48987068:48987986:1 gene:gene-LSAT_1X43040 transcript:rna-gnl|WGS:NBSK|LSAT_1X43040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRSQSRWNIAGGEAATPASGWKKEVNPETKSEAKCGRAWSGYRYNLPDIIDPSTHGNPNPNVEGHGLDVYVVNCMYTPTPCPSTFGFTFCCWAGLLLPPRNMFFRLTSHNIPSRLRAGHFDIICCSTGIAVPSLQMSGPAFIIGIIGSHTRAFYPYMFIYILPVASDDPPAVGVELGLSDISDPSTHGTPNSQSGWKRDSNDQTGAPRS >cds-PLY66243.1 pep primary_assembly:Lsat_Salinas_v7:5:142064884:142074301:-1 gene:gene-LSAT_5X62981 transcript:rna-gnl|WGS:NBSK|LSAT_5X62981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METSILTKESDVYSFGVVLFEVLCGSLCFEHTNNHFQSFVRMWKKSYKQKELDKIIFPHLKHDMDQWSLETFSEIAYLCIQKSRAERPSMMDVVEKLEIALGLQQNIPQMVEFEKMVKTTVKKEELEMLLSKGILVNEGNTHELLSEVVEVDMQTKSHPDMYWEQKLPLNYAVVIKRSKDRLQWTTKKELYTILCKGFPDANGEEVYFKI >cds-PLY62781.1 pep primary_assembly:Lsat_Salinas_v7:4:32220796:32221338:-1 gene:gene-LSAT_4X21561 transcript:rna-gnl|WGS:NBSK|LSAT_4X21561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQELLSIIHHDIYEQLLDEDKGTPFNVCNELKKQFERTDKILENIKKAALLDVENFKMLPNETLIDAYSWYNIVFNRVKKLKGERSQEDFNIKFLNNLSPKWDTVHMIILETAINLDIMYLFDLYAELEQHEPKVNKKDQGAPFDNHGHVMGNSATMANQNLIARHNRIPNQFADQFSNE >cds-PLY67001.1 pep primary_assembly:Lsat_Salinas_v7:6:140480614:140481256:-1 gene:gene-LSAT_6X85661 transcript:rna-gnl|WGS:NBSK|LSAT_6X85661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKEEMKREIWDCGSSLYDSFELKSLQHQINSAISTRTMSMPHLSHHRQPPPTARHNEPTSKKHSKMSRSLHKFLRSVFWPKNHHHTSSTDGAFYVYDTSRTALSTIPESPEKLREFDGLSREMKSLVTRTRSDRFMSTSLGISCV >cds-PLY95516.1 pep primary_assembly:Lsat_Salinas_v7:4:142722676:142723478:-1 gene:gene-LSAT_4X87481 transcript:rna-gnl|WGS:NBSK|LSAT_4X87481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFTDNRTIVASAADGQVCVEIEDRITYMEYRIVNTRQTSSFGGVVSGLGKGVTATGIGFLLQEVDPTVEAEEIDILDGMEGGFPI >cds-PLY70962.1 pep primary_assembly:Lsat_Salinas_v7:2:44244950:44246770:-1 gene:gene-LSAT_2X19401 transcript:rna-gnl|WGS:NBSK|LSAT_2X19401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSLVLRGTMRAHTDWVTAIATPIDNSDMIVTSSRDKSIIVWRLTKEDKTYGVAQRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLNAGTTARRFVGHTKDVLSVAFSIDNRQIVSASRDKSIKLWNTLGECKYTIQDGDAHSDWVSCVRFSPNTLQPTIVSASWDKTVKIWNLTNCKLRSTLAGHGGYVNTVAVSPDGSLCASGGKDGMILLWDLAEGKRLYSLDASSIIHALCFSPNRYWLCAATESSIKIWDLESKTIVVDLKVDLKQESEMAAEGTTTQTNAGKTKVIYCTSLSWSADGSTLFSGYTDGVVRVWGIGRY >cds-PLY63952.1 pep primary_assembly:Lsat_Salinas_v7:4:76221501:76223728:1 gene:gene-LSAT_4X51581 transcript:rna-gnl|WGS:NBSK|LSAT_4X51581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVRWIGVLDLSREATCTMGKRKSRAKPAPRKRMDKLDTVFSCPFCNHGTSVECRIDMKNLIGEASCRICQENFSTTVTALTEPIDIYSEWIDECERVNTVDEDGVPVEDDEA >cds-PLY97167.1 pep primary_assembly:Lsat_Salinas_v7:2:205892167:205894315:-1 gene:gene-LSAT_2X126800 transcript:rna-gnl|WGS:NBSK|LSAT_2X126800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAELKELFEAAKKAADAAAVDGAGADSSPEEDRCIDALKALKKFPVNYQVLVSTQVGKRLRQLTKHPRKKIQSLAAEMVEIWKNIIVEETLKNKKNGSSDIIKSENGAEASNKKIQKSNSIKIENVSKTESIKIEKHVHSSTQDKKVNILKVEKQEHTVSVTKEENKRPKPNNVMAPPKLTSLVYCKDPVRDKIRELLSEALCKVSGEVEDELRDEVEASDPHRVAVSVESAMFEKWGRSNGAQKFKYRSIMFNIKDPKNPDFRRKVLLGHVKPERILELTPEEMASTERQMENVKIKEKALMECERGAPPKATTDQFRCGRCGKRKCTYYQLQTRSADEPMTTFVTCVNCDNHWKFC >cds-PLY73522.1 pep primary_assembly:Lsat_Salinas_v7:4:22196725:22196934:1 gene:gene-LSAT_4X15500 transcript:rna-gnl|WGS:NBSK|LSAT_4X15500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSKQAKSSIVEGDETNKTHWFDGGGVKKQTGYGGRERRGVADRRSGGGTGNAAATSMFPWTAMRSTSR >cds-PLY73596.1 pep primary_assembly:Lsat_Salinas_v7:6:127887812:127890139:-1 gene:gene-LSAT_6X77661 transcript:rna-gnl|WGS:NBSK|LSAT_6X77661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVXIETIRDVNHPLRKHKLTGEPPPSFGAFELSRLTDVDISDISGISLGFFNYRLGFLSKLRNIYSVMKAFIHDGISRHSDVDVKVADAVTSSISEITRIAAPDAPYNDEQMRDAFG >cds-PLY75347.1 pep primary_assembly:Lsat_Salinas_v7:4:204815947:204818226:1 gene:gene-LSAT_4X115200 transcript:rna-gnl|WGS:NBSK|LSAT_4X115200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLFEYIHVLEQLKSYHDVPRTLIYSLRYYTLFIYRSEQQNRFSEPMPWIGIYITLASLFCIVLMLADLLHGFRNRKFWFPCKYFTLNAASLTVIAIAIKLPMDLTTLMPGYVDRAAKLGSLSFMCTMMANLLPSLATMSSKELVSNIIALAVLVITLVVNVCIQINTGVISYHEDDDHYVYMFREDDCHYLYVGLLLTLLIIYACSSLAILKSKQILESKYQVAHQKALKINDDFQQQGILTIEKLKQHVSNYWIMAGTGNPQFMIASSATTSASGVICALSTTFQILNMLFNISRFPTDSKSDYKWSLLVILITQFIGVILGTVAPLSRCFASLSFKMSLKWIVNHVKVSNVESYWTQKLYDWKQSSIPFPYSSRKCKIVIQSLKNLFLSICIGFQKTVVVICKMIRVIPIFFVVCVVYFLRCGKWLKAMFSASSIKLVQNTEQPGKDKDLSRYVLQLQDDMEFAERTLKGISKSVNRLIQKAEKQQPKNLLKLLTGCGGFEGGEKFDSHHVPHLLSEEYLHCWSLPLVTLTTIAMSLPNIQKNIVDCLLSGVSEGLVYVTLVDETLNITDDDVCIQKAAKTLWVEVEVYHKWLGNKLPKLTPKANTAKQILQWLRDTGKNIVSEMERTDMGVIDGSSKCKSISANSMYQTSETILRVYQENIGQVSQEELFAELLSMIADILSACLTNLPKVIAMKCHTSAAEKREESVHAAAQLLGETMQIINILQDREHQSMNSDDMVFIDKWCAYLKHPFP >cds-PLY74242.1 pep primary_assembly:Lsat_Salinas_v7:1:77222702:77223230:1 gene:gene-LSAT_1X65300 transcript:rna-gnl|WGS:NBSK|LSAT_1X65300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQTHFLLLLFVTIISFTTTQSQERSPHGLVYENPMAFSPSAYNFFHPKANPPTIQNPCDETSCAPLPMAATVQSSLAQESASRNVKSGSKIGAGGVAALIFGFIFMVLVAMGAYFVVTNRRTKMGRNNMVLPSV >cds-PLY89365.1 pep primary_assembly:Lsat_Salinas_v7:1:173200571:173207980:1 gene:gene-LSAT_1X115460 transcript:rna-gnl|WGS:NBSK|LSAT_1X115460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDENQDDSIRDHKLCGYLCVVLSFPQTSTQNQTIDNGITETLIVNTCCDIFRDGTDVGFLSSNGIVLSLINSNSINSNSIDGEATETPQKSKSESGGGSMSVSRKKLSKIGLVHGSVSVIHQLHALVNNKCLKITSRVARISRKMEEGEVRVVVLVDVYLPIALWSGWQFPKSRSTAAALFRHLSCDWETRHTILDYKKLDPNIDTQIWNISDCHVLGCNQHCNSPDTSRNKLFELHEIFKTLPSVSTKGDFVNSKINPADDFPPSGFHLLPDDVMINILTSLSPLELLKISATCRHLRLLSQTIMPSMKLKLFPHQQSAVDWMLKRERDPETFPNPLYMKLETEDGFAFNVNLVSGELVTGPTPMIKDFRGGMFCDEPGLGKTITALSLILKTLGTLADPPDGVDVIWCKQNGDQKCGYYELGGKKIAARSTRRGLTGLTVSETITPDPPKLIKSNTRSWTKVKRNLFNEYEGPSYPSSERKRKHGGDDDDDDDDPPYVISRNMKKKPKKEKEKGRGGSFEVNETWVQCDSCRKWRKLFQSNITDSATAWFCSMNEDPYHRSCNVPEQSWDNGQSVTYLPGFYTKGTPQGKEENVSFFTSVLKEHYHLINFETKKALIWLTKLTETHLTKMETTGLVHPLTGTRVLTTGEPRGFHKIFQAFGLVKRVDQGTMRWHYPRNLIDLSFDLSALKVALNEPLDSVRFYLSRATLIVVPANLVDHWRTQIEKHVKPGQLRVFIWADHKKPSVHNVAWDYDIVITTFSRLSAEWSPKKRSVLISVHWARVMFDEGHTLGSSLNLTNKLQLSISLTASTRWLLTGTPTPNTPNSQLSSLQPMLKFLKEEAYGQDQMSWESGIVRPFEAKMEEGRNRLLEVLGRCMISARKKDLRMIPECVKKVVFLNFSEEHARSYNELVVTVRRNILMADWNDPSHVESLLNPKQWKFRSNLIRNVRLSCCVAGHIKVTDAGQDIQETMDILVENGLDPVSEEYAFIRYNILYGGSCMRYDKTEQNRCEEWCRLPVITPCRHLLCLDCVALNSEKCTFPGCDNLYEMQSPETLARPENPNPKWPVPKDLIELQPSYKQDDWNPDWQSTSSSKVSYLVKRLKDLQESNNKTTESCIHDGKDINALLLPFGKSMTCARFTNRSVEKVLIFSQFLEHIHVIEQQLTIAGIKFVGMYSPMHSVNKVKSLATFQHDEECMALLMDGSAALGLDLSFVTHVFLMEPIWDKSMEEQVISRAHRMGATRPIHVETLAMHGTIEEQMLKFLQDTDECRKLLKEENVHEGPRARRTLHDFAERQDQL >cds-PLY82651.1 pep primary_assembly:Lsat_Salinas_v7:5:84441709:84442275:1 gene:gene-LSAT_5X39621 transcript:rna-gnl|WGS:NBSK|LSAT_5X39621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSRSIKLLRVGNVRDDDPCPPCDCQDVISVERTAWTDDNVARRFWNCKNSLAAEGPKCKFFMWKDKEMEEGYYKEQLRKMRFELKRKEEFSEVSKVQKKLVKLQQAMEADKQVFETQLMELTKQNRMLKCGIFVMVIVVIAMWLKWT >cds-PLY86650.1 pep primary_assembly:Lsat_Salinas_v7:4:279578102:279579115:1 gene:gene-LSAT_4X143420 transcript:rna-gnl|WGS:NBSK|LSAT_4X143420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SKIP34 [Source:Projected from Arabidopsis thaliana (AT5G65495) UniProtKB/Swiss-Prot;Acc:Q8GWU7] MCYGSQRLPPSGDEVTPPTVRSRPPTDVNTAVVESLRLRLAETEVRLQQARAREAELSKKLREMKRFVSVMEILESYLKRRFMDQQHQLALLISQSPVKDAAYLALHEESLMQA >cds-PLY90235.1 pep primary_assembly:Lsat_Salinas_v7:8:14089411:14091407:-1 gene:gene-LSAT_8X14060 transcript:rna-gnl|WGS:NBSK|LSAT_8X14060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPAILCDTSSSKHQSQFSVISRRRSSFNVTLAAVGSDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVDQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDLESFNNVKQWLSEIDRYASENVNKLLVGNKCDLAESRAVSFDTAKEFADGIGIPFMETSAKDATNVEQAFMAMSADIKNRMASQPSTNKRPPTVQIKGQPISQKSGCCSS >cds-PLY99087.1 pep primary_assembly:Lsat_Salinas_v7:8:264514472:264517093:-1 gene:gene-LSAT_8X73960 transcript:rna-gnl|WGS:NBSK|LSAT_8X73960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKMVITGGIVFLIVTLLSVTEARIPGNYAGGAWQGAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGSCFEIKCANDPRWCHPGSPSIFITATNFCPPNFALPNDNGGWCNPPRTHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKAGGIRFTINGFRYFNLVLISNVAGAGDIQKAWVKGTKTNWMSMSRNWGQNWQSNAVLTGQSLSFRVTGSDRRTSTSWNIVPANWQFGQTFTGKNFRI >cds-PLY81552.1 pep primary_assembly:Lsat_Salinas_v7:2:127054863:127057211:1 gene:gene-LSAT_2X59500 transcript:rna-gnl|WGS:NBSK|LSAT_2X59500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRLKRGKTIQSWMCSSIYERFWNWLPGHITARDLGSDDFELHVLDFSLSNDEDEGSNEGGNEEDEEKPQSSIQVHDSYDSSDDANK >cds-PLY92587.1 pep primary_assembly:Lsat_Salinas_v7:7:160393389:160397048:1 gene:gene-LSAT_7X94721 transcript:rna-gnl|WGS:NBSK|LSAT_7X94721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERERNTNDISVTWRGKNYVATMNLDATLEELGHELQKLTAVKPDTLKLIVQSHKSSKLLSPFSDQHSHLTLQETSILEGKPIRMMGVPKEEIDQVLESSRTDMRIAGFDEEEKRMRQRMSNGFDSPLKLPQGSYIFGDFKTLDLPGIKLNPPPSEALRLMHTLAADRGIVAVMNKHKWRVGIMTEMAPEGYVGVSPVCILGLNKNKGEEISLRLRTDDLKGFRKYQSIKKTLLHELAHMVFSEHDAKFYALDKQLNLEANSLDWTRSTGHTLSGSHTNQQYEPNFHVENHKFSHKLGGNSSNSSLASARASSVAAAYNRLVITSINPSESHQEPDPDDSMELIEQNYEPDPDASIDIIEQNYEPDPDASIDIIEQNYEPDPDASIAIIEQNYEPDPDENEVVQKQEPDPDDSVNEPMESEIRITCEKIEGNFNFVSENEMKMESNEFEPDPDDEELQRIQDPVMVACNRLKKSIQTLQSEVSPSEASMVFQTLVKIVKNVIEHPDEIKFKKLRKANPVIQKNIANYNGAMEILFLIGFCEDVVQDEIGRAEAYLVLKRNDPGLLWLAKSSLETCVAF >cds-PLY78720.1 pep primary_assembly:Lsat_Salinas_v7:9:49487383:49488970:-1 gene:gene-LSAT_9X41801 transcript:rna-gnl|WGS:NBSK|LSAT_9X41801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METSLRYGGDSTALRVNTNKKPPTVRYVGDTSALKIHAKQKFRIDSNTRLQLHGELDTRIGAPTFFSAILRYFPPELSAKIGAGLQYDRREKLHYTMHGKKSFPLTPDGAVNFVVKGRCDLDKEFKQPTPSGAAELVWNILDFQKDQDVTVKVGYEISDKIPYVKVMENNWTFSVNTNGKWNVKYNL >cds-PLY70373.1 pep primary_assembly:Lsat_Salinas_v7:4:99051825:99055525:1 gene:gene-LSAT_4X64140 transcript:rna-gnl|WGS:NBSK|LSAT_4X64140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLQENHKQKPRPESEEVNDCPIEQVRLTVPITDDPTLPCLTFRTWFLGIISCGVLAFLNQFFGYRQNQLTVSSVVAQIVVLPVGRIMAASLPTKGITIPGTKWSFSLNPGPFNVKEHVLITILANAGSSSVYAVGIITIVKAFYHTEIHPLAALLLTQSTQLLGFGWAGLFRKFLVDSPYMWWPSTLVQVSLFRALHEEEKRAKGGLSRLQFFMIVIISSFSYYVVPNYLFQSITALSFVCWIWKDSFKAQQIGSGLKGFGIGAFGLDWATVASFLGSPLATPGFAMVNMLIGYVITFYIIIPVAYWNNWYEARRFPMYAFKTYNADGTKYNVSKVLNETSFSFNQAGYDEYGKVNLSILFVLAYGLSFATLAATVSHVLLFHGRTILEQTKASMRKSIGDVHTRLMKRNYDSVPQWWFHTLLVLVIGLALLTCEGFGRQLQLPYWGIILAIGLAAIFTLPVGVIVATTNQGVGLNVITELIIGYMYPGRPLANVVFKTYGDNSMWQAITFLQDFKLGHYMKIPPKSMFIVQLVGTIIASSVYFATSWWLLTTVEYICDPINLPKGSPWTCPGDDVFYNASIIWGLVGPQRMFGNLGLYSKMNYFFLFGILAPVPFWFLSRKFPEWKWPRLVNIPILINCPGPPAKAVNYNMWFAVGMFFNFVVYKRFKSWWARHNYILSAGLDAGVAFMAILCYLVLQNRNINGPEWWGLEVDDHCPLANCPSVPGINVPGCPVIQ >cds-PLY80683.1 pep primary_assembly:Lsat_Salinas_v7:5:179780699:179781889:1 gene:gene-LSAT_5X81480 transcript:rna-gnl|WGS:NBSK|LSAT_5X81480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNQKINKPRPIESLRDLLKTNLVVHVVADAGHLEAGAFDFSVTYEDYYRLLKKQTADLSIITTWQILLQLMLQKRMGKCAFLNPYKILGKACQETPIDVVNYLVDAMQLHHGKSFLIAPYLQKAFERYEKNTSIPIVWKLTECNQAGVLERELSGHYVMNWIFDFVLNRQHGFPSRFGTLWNDKTAFEEKVLVTTVATWAREFLKIFMNDVVV >cds-PLY74875.1 pep primary_assembly:Lsat_Salinas_v7:8:107012832:107014771:1 gene:gene-LSAT_8X73220 transcript:rna-gnl|WGS:NBSK|LSAT_8X73220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISFHFIKSNSALLLITFLSLHLSLTTGHGGHSHDDEEGTLDLRAKGLVLVKVYCLIIMFVTTFAGGVSPYFYRWNESFLLLGTQFAGGVFLGTSLMHFLSDSNEVFGDLTTKTYPFAFMLASAGYLLTMFSDCVILYVVGRGGHGGGGENKVAVVEEEEEGRMRGGDPSEHGNHSHPIFVKTSSLSDTILLILALCFHSIFEGIAVGVSSTKTDAWRNLWTISLHKIFAAVAMGIALLRLIPKRPFLLTVIYSFAFAISSPIGVGIGIAINATTEGVVADWMYAICMGIATGVFVYVAIHHLIAKGFKPQEDAYFDKPFFKFLAVLLGVGVIAVVMIW >cds-PLY66015.1 pep primary_assembly:Lsat_Salinas_v7:1:123439439:123439774:1 gene:gene-LSAT_1X94780 transcript:rna-gnl|WGS:NBSK|LSAT_1X94780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPPKSNLYSWAKGFWSGQPPTMEDVHHRPKSSSTHLRSQSTSTTAMPPSGGAIYQRDITSGSQSTSTGISPSASAIYNCDGSTSASRIIYSDSGQNPYKALGKSKLRTKN >cds-PLY76014.1 pep primary_assembly:Lsat_Salinas_v7:5:320552804:320555082:-1 gene:gene-LSAT_5X175660 transcript:rna-gnl|WGS:NBSK|LSAT_5X175660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTNGATTTNNNKCSNGVMGSSEHGLLHNVLISTEWTSVEQSLLEELLVKYASDRRELCYRKISKELQDKTSRDVACRCQWMTKKEIGKRRKDEEGSSRKQNNRKEKTTDQQVKPSSHASNHANGLPSSSSSINNDDGINYKVIDGEIGKLLEQNAMALHQISVNFTTLKGKGHARGNEADATTTREAQ >cds-PLY79215.1 pep primary_assembly:Lsat_Salinas_v7:5:299948314:299948853:1 gene:gene-LSAT_5X160220 transcript:rna-gnl|WGS:NBSK|LSAT_5X160220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIDELFEVYSKGLMPYGPYWDHVKEYHKMSSEHPTRILFLTYEDMRKDTANKVKRLAEFLGYPFTEEEEAKGKVEEIVKLCSFANLKEVNKHGDFRKGVPNNTFFREGKVGGWNSDLTTEMSQILDDITKAKFHGLDISF >cds-PLY94807.1 pep primary_assembly:Lsat_Salinas_v7:2:176419431:176420291:-1 gene:gene-LSAT_2X100200 transcript:rna-gnl|WGS:NBSK|LSAT_2X100200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNQGTDSLVPTSRKYSGPLNCAIQTVKTEGVSGLFRGEIGIISGGLSGIADRKLLIAMMLSADKTCNRTLSVPKHIYVYYQLDNFYQNHRRSVDVFLNQTKSVFR >cds-PLY77455.1 pep primary_assembly:Lsat_Salinas_v7:4:48805415:48807714:1 gene:gene-LSAT_4X33781 transcript:rna-gnl|WGS:NBSK|LSAT_4X33781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTEANMGGESWNDEDKAIVAAVLGSRAFDYLISSSVSNECSLTSLANDENLQHKLSDLVDRPNSGNFSWNYAIFWQISRSKTGDLVLGWGDGSCREPKEGEEFEIARILSFRLEDDNQQRIRKKVLQKIHVLFGGLDEDSYAFGLDRVTDTEMFFLISMYFSFRKGEGGPGRCFSSGHHVWISDALNSTSDYCFRSHLAKSAGIQTVVLVPTDVGVVEVGSIRSIPENPKLLQSIRSSFSVASVATPLPVNKRPTTNASDRPVRVSKIFGHDLSSTLNQPQFREKLAVRKPEETRLPFSNWAQFNNTPQKPTPQLQIDFSGITSPPVSRESKISDETPARDEREAITTILDEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQKKVKEMESERQSGSRLEKIEVQAALDEVIVKVTSPLETHPISKVIQTFEESKIKIMESKMTARNDMVFHTFVVKSQGPEQSTKEKLISAFSRETNSSLHPSP >cds-PLY70521.1 pep primary_assembly:Lsat_Salinas_v7:1:77095986:77097499:1 gene:gene-LSAT_1X64300 transcript:rna-gnl|WGS:NBSK|LSAT_1X64300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKDVEVAEHHGGGGEYTAKDYHDPPPAPFFDADELTKWSFYRALIAEFVATLLFLYVTVLTVIGYKSQTDPALDLDQCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVGYMIAQCLGAICGVGLVKAFQSSYYDRYGGGANELADGYNKGTGLGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNKAKAWDDQWIFWVGPFIGALAAAVYHQFVLRAAAIKALGSFRSNA >cds-PLY86209.1 pep primary_assembly:Lsat_Salinas_v7:8:224960197:224964033:1 gene:gene-LSAT_8X137581 transcript:rna-gnl|WGS:NBSK|LSAT_8X137581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWERGMVNRSIDQERRLHQGRCYCCSRQNNIYNGRWKMESKQSVQTELISGDQNGNIRVWDLTANSCSCELVDTSMRSLTVMWDGSLVVAVNNKGSCYVWRLLRGTQFLRICMSSVDQYMWIHHLLHTFPSGGDFDEDEDDSDTEEEIEMKNEDEEATGKTETTTALPVSNGVVSFLASKILKQNEVNSKSSTKMESPIPIPMTEYSDLDQVGTCSIPSPPKWPPLLQVPTPQYRAVRSDRLLYLEICLMIPAQVQGSASETPISNILEPAFFSSLPTIHSMIIFVCIKGQSPXVRRLGRDKGRGRGSNNKSNYDNISSKKHGLNQVHHQDYYLWYKNVGMLTCTIGLHLMISSPNLISTWFCPVACNRPLKDSPVLMYLPGLEGTGTGLVDMRKLLESGLNLDKSLLSEMGQCTTAYPYNQLFGALVLKNYERQDETLLSWNLMYIVD >cds-PLY68643.1 pep primary_assembly:Lsat_Salinas_v7:8:97994337:97995525:-1 gene:gene-LSAT_8X68740 transcript:rna-gnl|WGS:NBSK|LSAT_8X68740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQLTNHDFAVSSNFNQAVSALKRTGHARFRRGPSSSSSSSDSHGPSTSTQSAPAFIRSTEASNEWCFGKSVTDMTTSSSSRSTNSSSLVSPLAIGEEATVSNGKQFGCLGIVAPAPAFSSRKPPLPSSHRKRCRADRPSVSLQGSGTKHHSVSRSGCHCCKRRKTASKREIRRVPITGSKVTTIPADDFSWKKYGEKSVDGSRYPRVYYKCNTGKGCPARKSVELALDDSKMLLVTYDGEHIHHHDPSPILTGLTGAVVQSK >cds-PLY78645.1 pep primary_assembly:Lsat_Salinas_v7:9:49905060:49908388:-1 gene:gene-LSAT_9X45360 transcript:rna-gnl|WGS:NBSK|LSAT_9X45360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSSGESGYDVFVSYRDEDIRKTFMDHLFSDFKRKGIYTYGDNDKLSRGEETCFESYKAIEQSRFLIVIFSHNYASSTLCLKELVKILECKERDKDKYEVRCIFYDVKPGVVRNQTGSYKEAIMKHEASNETEVFKWKQALTSAANLSGWDLHDMTNGNVSKFIDIISKDILYKLIDGPIHVGNNLVGLYTRANQMNLLQFVGSNKVNMIGICGIAGIGKTTVAKAIYNLLYKHFEGHSFCEDVKGIVSQNGLDYLQTKLLDDIMKSWDSRKQSVGEGVSVMKKRMQARNVLIVLDDVDHYSQFEAVAGNHDWFNPGSMIVVTSRDKRLLIAYQVEHIYEIALLNNDEALELFSLYAFKDKHPKVEFVELVNRILHNVNGLPFALKTYGSILFNKSLYEWEKEINKLERTPHVLILNLLRVSYDGLDRDQKNIFLDIACFFKGEKKDYVMKILDGCESYLATNLKVLLDKSLISICEDRIQMQNLVQKMGWNIVIEESVEHGKRSRLWCPIDVCDVLENDKGSDLVKGIAIDVSCSEVNICGQSFRKLTNLRLLNIYIGDLSKYEGVKRKKELRKKSDEIEFLSSELQLLCWHGYPFENLPKTFNPESLLVLDLSYSCIKQIWNGFLKHGFESLTLLKLSHCCNLRKTPDFTKTPNLKELILDGCENLVQIHPSIGTLKMLVFINLKNCKNLEIFPNINELVSLQHLILSGCTKLERFETKPWHSFFSLSYILRIFHPHGGLVLPCLSNLCSLRVLDCSYCNLLGDSVRNLESLCSLEELDLSGNDFTHIDADFGQLSRLTCLRLIGCKKLEILPKLPSSLLKLEAHNCISLQELPKLSTV >cds-PLY87592.1 pep primary_assembly:Lsat_Salinas_v7:8:111628015:111629978:1 gene:gene-LSAT_8X77581 transcript:rna-gnl|WGS:NBSK|LSAT_8X77581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQRLTEYERKRLENIKRNDELLASLKIHSKLADLSASAKRNREQTKSYKISPQKKAKSETPIVIRRSLRTQGKKPDSPGLADDFNESPKKQTTLKSQVTPDKSARELKPLLMRDVRVSSELDEPFVRKILSVSKDSPSNGAKNGVGMRVTASIDLESMELMPENIARVVPNRILSVKFFPSADMRMVVVGNKFGDVGFWNIDSETEDGDGIYMYRPHPAPVSSICIQPFSMNKIVTCSYHGLIRTLDVKKEIFDLTYSTEDAIFSMSQRSDDVNSLYFGEGQGVLRVWDERSKSSSMAWNLHDSRINTIDFSPANTNLMVTSSSDGSACIWDLRKLGKKSNPDSVKEIRRERAVHSAYFSPSGNLLATTSLDDKIGVVSGANYDDEFLLYHYNQTGRWLSSFKGVWGWDDSYVFVGNMKRGVDVISTEEKRIVTTLESPHMTAIPCRFDAHPLNPGMLAGATSGGQVYVWSL >cds-PLY90833.1 pep primary_assembly:Lsat_Salinas_v7:6:155130602:155131440:1 gene:gene-LSAT_6X92860 transcript:rna-gnl|WGS:NBSK|LSAT_6X92860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNNGFDSDFALLESIRRHLLDDSAMNSDSGAPPMYCRTNTLLPCFSDTWGELPLKQNDSDDMLIARFLHEALDFECLPSPAMTMVPITATVKSEPENFVGSPVMSSYQAESPPETAGVDGVNQQRGKHYRGVRRRPWGKFAAEIRDPAKNGARVWLGTYETAEDAAFAYDRAAYRMRGSRALLNFPLRINSGEPEPVRITSKRKATSPASSSEDGSPNRTKKVATDLRGR >cds-PLY72722.1 pep primary_assembly:Lsat_Salinas_v7:6:27395671:27395961:-1 gene:gene-LSAT_6X21121 transcript:rna-gnl|WGS:NBSK|LSAT_6X21121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRQAATSRSTKPSSNAQHLLDAGDPTTTLTRPRTSAQTPSFRVSRGQKGFAGGPFGGSGVEGVHEPQALENVMLQMRKKMKILQAMELVAMAS >cds-PLY64597.1 pep primary_assembly:Lsat_Salinas_v7:6:33520121:33521638:1 gene:gene-LSAT_6X25901 transcript:rna-gnl|WGS:NBSK|LSAT_6X25901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMIRSLIRFGRRQFHTIVSREIIKPSSPTPSHLKTYNLSLIDQLVPDTFVPMVTFYPNTRIHGRSRSHDKTLDLKHSLSQTLTKYYPFAGRHAKVAPACIDCNDNGAEFLEASTDTTLSDFLQTSQHEDLDQLFPHGLVNYNSNRGDGDHDQVIPLAVQLNHFECGGVAVAVSLSHKVVDGSSLVHFLNDWAMMTRLCSKEQKKHEFSIDHDPKFIPFQYMNVNFTSLLLERSKDCVTRSFIFPNSKINDLKLKVKAMTEESGHPITNPTRVEVLNWILYKCAVAAATKNNSGVFKPSGVNHLINIRGKMIESLPQKSIGNYVMAMEIVTENESELKPESFINKLKKQKIQIQGLRNMETVFGLFSQPVVEEGQRKLDVFYICSSFCGYPTYEIDFGWGKPVKATLAGDLKKNSFILMDAPNGIEALVCLGKQDMAIMQNDPELLAFL >cds-PLY88903.1 pep primary_assembly:Lsat_Salinas_v7:4:64150280:64151448:1 gene:gene-LSAT_4X44980 transcript:rna-gnl|WGS:NBSK|LSAT_4X44980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKAKSTSKSQNHGDASSRKRFKTSDNGGGAPWSDLDYHLLCLVMMQLGAFDFLAFSAVCKSWRSVALSNKTRFMASRPPMAIWITKCGNKKEYCVEDFEGRKSKMLLPQSDGKTCVGLTCGYLVLFGRRSHDFWLVNPITRHGLHFPNAPSKVSPNRTGVRAFLVFSSSRSAWVFVVLRRYSFKLWFSIAGEGEWNYVYCNYPIRDLHDFKGKIYAIDADSFVHEIGLDPEPKLTLLKTKNFLGYGLEFLEFVCTDENLYAMECFPDTKFKVHELDFGEMKWVSPKKETMEEYAFFVSGLKHSAAIKRELLSDSWLLYKRNAYSDTSGNGVFFTADTWYFPNDCLKVKSHHI >cds-PLY87702.1 pep primary_assembly:Lsat_Salinas_v7:9:116121384:116122129:1 gene:gene-LSAT_9X79421 transcript:rna-gnl|WGS:NBSK|LSAT_9X79421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQELLPSLIMVLVQFEFAGMNILSKLALDSGMNPYIHVAYRQMFASVTLGPLAYFVERGKRPRMTWSIFIQIFFCSIFGLTANQITYFVGLKNSTPTIACALSNLLPALTFVLAVIFK >cds-PLY72379.1 pep primary_assembly:Lsat_Salinas_v7:5:6390102:6391881:-1 gene:gene-LSAT_5X3861 transcript:rna-gnl|WGS:NBSK|LSAT_5X3861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMILGENVNTLKVIDSSLRLFVVPLSLGSMWLTLTNHQNNEMYGKIEFSNLKGLKFLVSISAISAAYAVVAVVSSWVKNLMNKAWIFFVCDQVVAYLMVASGGSFGEIVYLAYNGNPKVTWSEACSSYGRFCGRLNLILALHLIALLCFFLLSLISAFRVFTRFEPPLSSKEVEDERT >cds-PLY71362.1 pep primary_assembly:Lsat_Salinas_v7:4:345820372:345821469:1 gene:gene-LSAT_4X170541 transcript:rna-gnl|WGS:NBSK|LSAT_4X170541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRNFYQVNKSFAEIVSGRKAHPQSRDQQPPPTPSSPKIKMTSTFETLEFMQRALVGVMENFQALMNVKAFCEVEECPTIMMRYLGGLKMLVEFESKQENEKFLVEGEQIWKPWFKEMYPWDPKENFTERIASILIYGVPQHAWCEEAFSAITKTWGRVIIPDECSTDNTNMAFGRVGIITSQPGSISQTITIKVDNDVFNIIVMEDILESNRLNPIIASNDFITSPVWKDWGRNCDYSEEEEDEDDGEEIREWEYNGNNRSPEQAPTKSRWTENFKAGIEESLPHNDWGREDAHSKASKPETPNKSLDDASPTSDAHSNRWSKMTNPHNLDHITQNTDSPIQESATQVAQNSSPVPETQINSEK >cds-PLY87915.1 pep primary_assembly:Lsat_Salinas_v7:9:169037464:169039087:-1 gene:gene-LSAT_9X104921 transcript:rna-gnl|WGS:NBSK|LSAT_9X104921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSHQNLVLSNPSFVGQQEFNSNGIMEKKDGFIGVLDVFIHEARDIHNICIYHKQDVYAKIFLTSDPESTESTQTINGGGQNPVFNENLQINVRTVDCSLRCEIWMLSRVKNYLEDQLLGFALVPLREIIVKNQNFEKEFELSTSELLHSPSGFVKLSINYIGSSPFDMLEIHYVPHSLCNQDSDESDEVSCELEKIEFPDPKLMNENEIMVSEYYSHATENDDSISNSYSNDHLLSRIPQENLEIVSRNSSKSPEKKDDNTETETEHQVMQQEIVNMYLKSMKQFTEALAKMKLPIEKGNESTHNTDSNPKVFYGSRAFF >cds-PLY93089.1 pep primary_assembly:Lsat_Salinas_v7:4:106819713:106820172:1 gene:gene-LSAT_4X69500 transcript:rna-gnl|WGS:NBSK|LSAT_4X69500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLRVRILTTIVTWMILSILGIITLIVALTVIFSPKYVLIITFENNRQNEDITTDNQIILESSTHMVVTQQYRHLWVQCESCYGLNYKNFFKLKMHLCEQCGYHLKMSSSDRIELLINPGTWEPMDEDMVSLD >cds-PLY67977.1 pep primary_assembly:Lsat_Salinas_v7:2:12586400:12590096:1 gene:gene-LSAT_2X5961 transcript:rna-gnl|WGS:NBSK|LSAT_2X5961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADNYHQAPKTEEYCETVTIVKVEVEEPKLECKEEKKESLLQKLHRSESNCSSSSDEECKEGENKKELKEKIEKKIDEKKDKLKEDVEEAKYKIEKVKENIEYKVEEVKEKTEEKVEEIKKKIEEKEHEGKYKEEELKEKIECELEEAKEKIKEKDYEVKYKNGEHKEKVKKEVEEAKYKIEEFKENVECKEEEVKEKVEEKIEEIKEKIECEVEEAKHKIKEKEYEADYKKEEHKEKFKEELAEAKYKVEKIKEKIECEVEEAKHKIKEKEYEADYKKEEQKEKFKEELAEAKYKVEEIKEKIECEVEEAKEKIKEKEYEAEYKKEEHKEKLKKEVEEAKYKIEEFKDNIDYKVEEIKEKIEEKIEEIKEKVEYEVEEAKEKHKEKEYESKHKKEERKEKLKEEVEEAKYKIEELKEKIEHKIEEVKEKIDEKVEEIEHKVECEVEEAKEKHKEKKYESEYKKEERKEKLKEEVEEAKYKIEELKEKVECEVEEVKNKIEEKVKEIEEKVECEIEEAKEKHKEKEYESEYKKEERKEKLKEEIEEAKYKIEEIKEKIECEVEEAKEKIKEKEYEVEYKKEEHKEKIKEEVKEAKYKIEELKENVECKVEEIEEKIEEKIEEIKEKVECEVEEAKEKIKEKEYEAEYKKEEHKEKLKEEVDDAKYKIDELKENAECKVEEIKEKTEEKIEEIKEKVEEKIEEHREKKEEKKEKKELEKIKKHKEETYAPIKTYEEVAIFQEPDSCEQKEKKVFVVEKVEEDCVASLPPPVVAHNEYIPVEVEHKEKKGIFGKIKEKFHGHHSKNEEKKEKEHY >cds-PLY92532.1 pep primary_assembly:Lsat_Salinas_v7:3:253414426:253415015:-1 gene:gene-LSAT_3X139661 transcript:rna-gnl|WGS:NBSK|LSAT_3X139661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVSGKKSSENEIPTFNIENMQSNMKVIYYSRTFMSIIGGVIAGILGVNGLMGFVIYCLVMAITSVCLTAKAGFSIHSYFDTWNRILLDGFLGGLLSFVLFWTYPCIFAHSSSIFYIFVG >cds-PLY98654.1 pep primary_assembly:Lsat_Salinas_v7:1:39180837:39184628:-1 gene:gene-LSAT_1X33641 transcript:rna-gnl|WGS:NBSK|LSAT_1X33641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENMKSPFRGIARDIRGRASCYKQDWIDGIKSGIGILAPTAYIFFASALPVIAFGEQLSRDTGTTLSEPSFKSPYGSLSTVETLASTAICGIIHSILGGQPLLILGVAEPTIIMYTYLYNFAKGRQDLGQQLFLAWAGWVCTWTALLLFLLGIFNACAIITRFTRIAGETFGMLISVLFIQEAIKGLVSEFKTPKSDEPNLLKYQFQWLYTNGLLGIIFSFGLLYTALRSRRARSWLYGTGCVRNFIADYGVPLMVVVWTSLSFCVPSKIPSGVPRRLFSPLLWDSESLYHWTVIKDMGKVPPVYILAAFIPAVMIAGLYFFDHSVASQLAQQKEFNLKNPSAYHYDIFLLGFMTLLCGLIGLPPSNGVLPQSPMHSKSLAVLKRQFMRKKMVESANESIKQKASNSEMYNKMQNVFIEIDNSPITNVIVKELRDLQEAVMNVEIEGKNMKEKFDPEKHIDAYLPVRVNEQRVSNFLQSILLAVSICAMPLIKLIPTSVLWGYFAYMAIDSLPGNQFWERILLIFVPHNRRYKVLERVHASFVESVPYRTIVMFTIFQIVYFLTCFGVTWIPIAGILFPVPFFLLITIRQHILPKLFHPYHLSELDAAEYEEIVGGPSRHTSFSLREIDGVDSKNDEDEVTVCHAEILDEMTTNRGEIKIRNLSFNEDKRTQVCFFFFFFN >cds-PLY89030.1 pep primary_assembly:Lsat_Salinas_v7:6:98600036:98600654:-1 gene:gene-LSAT_6X64441 transcript:rna-gnl|WGS:NBSK|LSAT_6X64441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHVEGARRLAVVGMEPFGCIPLIKALRGTAECDDVYNKVALTFNTKIKTLMATLKPSLGIINFYTDIYSLILDTVQNPRKYGFIEASKGCCGSGLEFGPSCKGLSTCVNRSKYVYWDAVHFTERMYKIISEEALQSLMKTLA >cds-PLY96487.1 pep primary_assembly:Lsat_Salinas_v7:5:336997032:336999479:-1 gene:gene-LSAT_5X188801 transcript:rna-gnl|WGS:NBSK|LSAT_5X188801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDIASHTTLKPRKDGQDTQDELERRDLKEELVERERRHFSSKDKGYGEDRDRRKSGHHLLEGSRRELEDRIVPRSADADDADLDVKSDDDSDEEDDDEEDDTEALLAELEQIKKERAEEKLRKEREEQEEELKLKEAELIRGNPLLNNPTSTSFNVKRRWDDDVVFKNQARGETKAPKRFINDTIRSDFHRKFLHKYMK >cds-PLY66519.1 pep primary_assembly:Lsat_Salinas_v7:4:341872714:341873083:-1 gene:gene-LSAT_4X168460 transcript:rna-gnl|WGS:NBSK|LSAT_4X168460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRKGECDEEVYYPVIHLSGKWKTRISGVLRDQMPLFTSRFLLSRFGSTYEQTLLNDHERIILYQLDLFGIVKLKEGAMILNIQIPCMSVLIRGYSVKDFIL >cds-PLY94827.1 pep primary_assembly:Lsat_Salinas_v7:2:177959328:177961691:1 gene:gene-LSAT_2X97841 transcript:rna-gnl|WGS:NBSK|LSAT_2X97841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIAFKSLRCLKQICINVKAPQNSNYLISRYILASLFSSTSYWQRVNRRNLAASKPTLDEFQFRRAVSQLLPRFKPEDLHNLINRQEDPLVCLELFNWASQQPRFTHDVSTFHVTIKKLGAAKMYDEMDLIVNEALAIPSFGSEALYNTMIYFFTEARKLSRAVNVYKHMRSLKKLDCKPSIRTYNLLFTSFLSRRSNTYINHMYMDTMSMLFKQMVDDGIDPDIFALNSMIKGYVLSLHVNDALRLYHQMGVVYDCVPNSFTFDYLIHGLCSQGRTCNARKICDEMKAKGFVPSSKSYNSLVSSFALEGEIDESVSYLWEMIGNERLGDYITYRTVVDELCRQQRCGDAVNLLKELQEKRLVDRSAYRKLEYELQVNYRNLYDGNGP >cds-PLY66941.1 pep primary_assembly:Lsat_Salinas_v7:7:17301261:17303215:-1 gene:gene-LSAT_7X13460 transcript:rna-gnl|WGS:NBSK|LSAT_7X13460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISFLNSSKNLSIFFRSKRNHKLYNTQSRSIPITSPVPTHDQISHLILDQKSASEALNTFRWASKLPHFTHTQSTYRALVHKLCTFRHFDTIHQLLDEMPSSIGSPPDDTVFITIIRGLGNARMVRQVINVLDLVSRFKEKPTLKIYNSILDVLVKEDIDIARGFYRHKMMACGVKGDMYTFGILTKGLCMTNRISDAFKLLQFMKKNSIPPNTVIYNTLLHALCKNGKLGVGRARSLMKEIVDPNSVTFNILITAYCKQDNIIQALVILEKCFNLGFVPDVITITKIMELLCNKNRAMEAVEVLERVEKKGGKIDVVAYNTLVKGFCKVKKPKAAHRFLKEMELKGILPNADTYNSLIYGFCELGLFDSALDMFHEMKRVGVIWNFDTFDILIRGFCSKGRVEDGYKILEVMEDSKSGSLNHISPYNSIIYGFYKANRVDSAHDFLTKMGTKFPRSVDRSKEILTFCKEGRMGNAKKIYDEMILEGGVPSVLVYISLIRGFCEENMVRDAFEIVDVMVSCGYVPDVLIIGDLINGLCGEGKVGSAMLFLEDMIGRGWEVDSGSYSPLIDGYCKRGDLHKGLMLFMEMVEKGIVPDYFAWNCVVEYVVRVVEEGNKLLECLIET >cds-PLY97824.1 pep primary_assembly:Lsat_Salinas_v7:5:196745382:196746546:-1 gene:gene-LSAT_5X88140 transcript:rna-gnl|WGS:NBSK|LSAT_5X88140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHYKLGFDAYFLDFLINQRELANEHENNLIEIFGENHPIDINKYTNDVHAPQCGAIATFYENTHDTFEGKTDIELRYEVYVLMDIHCLSSICSSARSKWNLNSIAVTHRLGPISVGETSVFVAISSIHWVDALDACKFVIDELKASVPIRKKEKENIQFLERIGKNEDLRRGCCGKKVKVEGDEVARVVKMCCIDKVMFG >cds-PLY80170.1 pep primary_assembly:Lsat_Salinas_v7:8:116212122:116217878:-1 gene:gene-LSAT_8X79761 transcript:rna-gnl|WGS:NBSK|LSAT_8X79761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIITISSILPQFRPPQCPTQVNCKEASSSQLSVLYISLLLTSVGLGGTRPCVVAFAADQLDISKLKTQAKTTSWNFFNWYYFFLSLASLSALTVVVYIQDRVSWGWGLGIPTIAMGIAFVAFLVGSPLYQNIKPQGSPFVRLAQVIVAATKKWKSVVPLDDNLLYQNKELDASISKDGRLVHTNQLKWFDKAAIVTDEDTDLISNSPKLWRIATVHRVEELKSMIRLLPIISTGIIYVMAYSHQASFTLTQARTMDRHLSPSFEIPPASMAVFGVIGTLVTLALYDRVFVPFAYRFTKNPSGITCLQRIGVGFVVNILATFVGSFVEIKRKQVARNHNLLDKPTVMIPISVFWLVPQYSLHGVAEAMFHVGKLEFLYDQSPESMKSTALALYWSAIAVGQYAGTLMVTMVHKYTNKNGRNWLPDRNLNRGRLEYYYMLVSGIQVLNLFYYFACAWFYTYKPLEEHAKSKEDGDLELVVDNTTI >cds-PLY83945.1 pep primary_assembly:Lsat_Salinas_v7:8:36138211:36138390:1 gene:gene-LSAT_8X28921 transcript:rna-gnl|WGS:NBSK|LSAT_8X28921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFVVPVAYLERALVVRLMERAAEEFGFYYKGVVGIPCMPSSSALQKLLSEQEAEANLC >cds-PLY89649.1 pep primary_assembly:Lsat_Salinas_v7:9:96347288:96348223:1 gene:gene-LSAT_9X72480 transcript:rna-gnl|WGS:NBSK|LSAT_9X72480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAALFFLHLLFLLSIFQPSLATRRLTELVQNSSPLLQYHNGALLTGDISVNLIWYGNFKPSQKAIITDFITSLSSASLKSQIQPSVATWWKTTDKYYSKTKKPSLRLGKQVSDPDCSLGKSLSDKHLLQLAAKGEPTNAVNIVLTANDVAVFRFCSGRCGTHGHGSSSIVKGKNNKFAYIWVGNSETQCPGQCAWPFHQPIYGPQGAPLIAPNNDVGVDGMVINLATLLAGTATNPFGNGYYQGDAGAPLEAASSCSGVYGKGAYPGYAGDLLLETTTGASFNAHGTNGRKYLLPALFDPSTSKCSTLV >cds-PLY71669.1 pep primary_assembly:Lsat_Salinas_v7:3:47237415:47240656:-1 gene:gene-LSAT_3X36280 transcript:rna-gnl|WGS:NBSK|LSAT_3X36280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRQATRFIANLSSKLTSRTSPSSSSNSISQARSFATAPPPPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNSVADAKAETKANASVIYVPPPFAAAAIMEALEAELDLIVCITEGIPQHDMVRVKAALLKQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVYQTTAVGLGQSTCVGIGGDPFNGTNFVDCMRKFIADPQTEGIILIGEIGGTAEEDAAALIKESGTDKPIVGFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIRTLREAGVTVVESPAKIGAAMLEVFKQRGLV >cds-PLY97123.1 pep primary_assembly:Lsat_Salinas_v7:4:73939369:73940585:-1 gene:gene-LSAT_4X49720 transcript:rna-gnl|WGS:NBSK|LSAT_4X49720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSPEKTLILSALLFLVMWVSQVTSLTLSDANISEIHELWMTRYGRVYNDNAEKEMRRNIFKENLEFIESFNSFRNRSFRLSINKFADQTNDEFKASLNGHKSSHNLKSSQVTSVRHEDILTVPDSLDWRKNGAVTDVKDQGTCGSCWAFSAVAAMEGIVQIRTGKLISLSEQELVDCNRDADSVGCSGGNKEDAFKYVVKSKGINTEDGYPYQGVDESCNITKEAVGAAHITGYEMLPANNEEALLNALTKQPIAVSIDAGSMAFQLYSDGVFTGECGRDLNHDVIVVGYGTNADGMKYWLVKNSWGPFWGDGGYIMIERDVGDKEGMCGIAMESSYPTLKSGADSNLKTSILFVFLLVSFVNSFVPL >cds-PLY64003.1 pep primary_assembly:Lsat_Salinas_v7:4:190045283:190046614:1 gene:gene-LSAT_4X109281 transcript:rna-gnl|WGS:NBSK|LSAT_4X109281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYNIACKTFKINQNPNVIKDVIEAYTIDHSVVNVKAFKVVLNLCKEARLANEGLWVLKKMEDFNCKPDTTAYNLVIRLFCEKGKMDEALMLMEEMSLIDLYPDMVTFVTMIKGFCDLGRIQDADKLFKIVNQQGLPPNVVAYSALLDGVCKVGDLERGLELLDEMEKKCGSCSPTVVTYTSIIQSFCEKGRSMEAFTILDRMEACGCAPNRVTISTFINGLIKEDRVDEAYKLIDRVVAKGSVSKSECYSSLVVTFFRCGKFEEGEKVFRRMLGGGLKPDGVACSVLLKKMCLKEERVLEGFELYNEIEKLGFVISIDSEIYSILMDGLCRKRCLLEASKLARFMIQKGIQLKGSYSKSVVEYLKNAGEIELVSHII >cds-PLY65138.1 pep primary_assembly:Lsat_Salinas_v7:9:159965388:159969636:-1 gene:gene-LSAT_9X99860 transcript:rna-gnl|WGS:NBSK|LSAT_9X99860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPTTIVAEYAKSGKSSCKKCSEKIDSKSLRLGLKSRDPRGYDSLKWHHFNCFFSLDSIPASSAETFEGFSELKGSDQDKLKQMVSEEDRTSTKREGDVDAESDKRDLKKLKTDEDEESVKEKKNATNEKIVAEYAKSGKSSCKKCTEKIDSKSLRLGLSIWDPRGFENTKWYHSDCFFPLDVNLLSAESIEGFSELKSDDQEKLKILVTERDQSSKNLNEDAEPELQGKDQKSGKADKDEESVKEKKNATNEKIVAEYAKSGRSSCKKCSEKIDSKSLRLGLSIWDPRGYENTKWYHSDCFFPLDVNLLSAESIEGFSQLKSNDQEKLKKLVSEMDQSSYKTSDKIDEDIGLKIDFSPNDVKDNYKGATLQPKWKVFQTLMYLEREDGLQDSSKIAAFDFDGCLAKTSVQRVGASAWSLMYASIPNKLQSLYNDGFKLVIFTNESNIERWKNKRQVAVDSKIGRLNSFIKLANVPIQVFIACGVSKGQEHDPFRKPKTGMWQIMEQHFNSGIPIDMDKSFYVGDAAGRKDDHSDADKKFAEAIGLKFYLPEKYFDQ >cds-PLY95278.1 pep primary_assembly:Lsat_Salinas_v7:5:99942453:99942942:-1 gene:gene-LSAT_5X47540 transcript:rna-gnl|WGS:NBSK|LSAT_5X47540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVNFLFLSPSVTAMEIVDIGFLCSDGRPPCSGFKEIVGLKGSKTDIWNEYCGQTTGFKQLLVHERCA >cds-PLY84456.1 pep primary_assembly:Lsat_Salinas_v7:7:71328678:71331379:-1 gene:gene-LSAT_7X53001 transcript:rna-gnl|WGS:NBSK|LSAT_7X53001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGDEQQHPHDNQMHSPQPSSKDAMIGYVMALEAALLPCLPARELQAIDRSPHPSHQIDVERHARDFMEAAKKLQLYFITLQREDQDHSTKEEILQKDIGLMEEELKTKSELIKKQEKMDPRLEKGVKRSIREAQYRIREGLEFRCKTKMYDVSTF >cds-PLY78308.1 pep primary_assembly:Lsat_Salinas_v7:2:181197035:181199916:-1 gene:gene-LSAT_2X103100 transcript:rna-gnl|WGS:NBSK|LSAT_2X103100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVIKGGLLGQTFALAKSNDSAGGKRSRRRSKEERKEMVEAFIKRYQGSNNGSFPSLRLTRKEVGGSYYTVREVFRELIQENRVLAPPKLPPSEENMENLDSFLENYQLGSISFDPKDNQTLLKEYELRREKVLSSRKISELQRWNLDDDDIMINGSIHTKMKNEEFEDPGYNNGSLDSGVEEPVHTELLMEQAMEGQKDETEEVEVHQGQIRHLSEDVVVETFPLRPVSSMVYNIDEKTSEKEVLDGGNDERFVQDEIKDVKFEETLPAEDKASSIPNHGSLSNESREAAGIKKSERQETNPIVSFTKTFFAAFMKLWSE >cds-PLY99599.1 pep primary_assembly:Lsat_Salinas_v7:5:241915389:241915646:-1 gene:gene-LSAT_5X119201 transcript:rna-gnl|WGS:NBSK|LSAT_5X119201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIAKVIREEQAGRFHEFGDSDKDDFRFRLDLSEEGVSAKEIDSQGWTVFRLFNRDLLIKDEVKSKDNEIHASDSTFWNFLCVEA >cds-PLY73528.1 pep primary_assembly:Lsat_Salinas_v7:9:77234911:77237109:-1 gene:gene-LSAT_9X63220 transcript:rna-gnl|WGS:NBSK|LSAT_9X63220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSFSNLRDLLTRLSQPIRESLSKTSYKQPDSDISIKSLLQSLLPPFPININEESDLQSQLTSFTLLCAALASSQSSTYDQLSWIPLSLSNSADSAFRELHKAFSDDQGKDITIELMPRVLPLLKTSIKESSIDKEDADGDEVSAASARVPVAYAIVAAHQFKWLVTQVDSSQLGKLCSLIIPCALTALDHWSPEVKGQGMMTFIHLAKHVNSSVFSVYEDVILDACCQNIASSDEIWEHVVEMSVLLVTCTQQNNPRSSWFEKVLNEMLSHLWRQPRSKERRIAFLKHIEPLFIGMGLVLLGHFRRMFPLFFKWMHADDDQSVLLVLDSIKTVIKFTWIRYSPFYDRLIDELVILYKDASLKVEKEEIRARIVQILTLLQKCKGEQFEAAWVKYKEDPNLSKLCTSLNPETVVSHPIST >cds-PLY66045.1 pep primary_assembly:Lsat_Salinas_v7:6:49353693:49354995:1 gene:gene-LSAT_6X35701 transcript:rna-gnl|WGS:NBSK|LSAT_6X35701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMPTSGSNEDSIFPVYTETYTGHSRYCEKTYKVQPRPTWITTEFGGHDIRRVLKHFGSNIIFFNGLRDPWSGGGVLKNISKSIIAIVEKEGAHHVDLRFATNEDPMWLRDVRKREISIMQAWISEYHQNLVDSDTF >cds-PLY88073.1 pep primary_assembly:Lsat_Salinas_v7:6:183913690:183915054:-1 gene:gene-LSAT_6X111680 transcript:rna-gnl|WGS:NBSK|LSAT_6X111680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSVKRISEYFIKPLHDLSPEAKQPFHFTPFQLPCLNISYSQKGLLFAKPPPSESQDFSITAYLDDLRRSLSATLDHFYLLAGRLVTKKEENPASYVIYLNTENSPGAKFIYATVDATISDILQPADVPVVVRSFFDLNNAISHDGHTLPLLSIQVTELVDGIFIGGSINHMVADGTSFWHFMAAWSEIFKSKDQYHGSISRPPVLKRWLLDGSDPIINLPFTHHDQFIERLEPPPLKERFFHFSSASVSKLKAKANSECNTTKISSLQAVIALLWRSVTRIRRLPVDGETSCRLMVSNRRRLNPPLSDDYFGNPISVLRGTATVGELTANGLGWAAFRLHKAVINYNDTSVKESVESWIKRPFIIKLSQRIDQNYIHVGSSPRFDMYGCEFGLGKAVAARSGWMNKGDGKITMYPGREGGGSMDVEICFASSQHIMDLECDEEFIDALRIE >cds-PLY97083.1 pep primary_assembly:Lsat_Salinas_v7:4:74406046:74417621:-1 gene:gene-LSAT_4X49920 transcript:rna-gnl|WGS:NBSK|LSAT_4X49920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANRSLSYTSFFTILQLLWSCTFYANGCYTSITSFGDSLADTGNLKQLTSELNQPPLHLFLPPYGETFFHEPTGRCSNGRLIIDFIAEYLNLPLVRPFFNGNGSMELGQGVNYAVAFATALNNSFLEARGVYNPITTNISLGVQLEWFKQSICANVSDCSHLIEHSLFLMGEIGGNDYNHALLAGKSIDEVGTYVPFVVETIISTINELVEMGAKTVLVPGNLPIGCSAAYLTLYNSFDSTKYDNLTGCLIQFNKFAEYHNKLLVTKLNQVQELHPDTNIIYADYYNAAMQFFRSPEKYGFENGALKACCGGGGTFNYNDLILCANPTSTVCSKPYTYANWDGLHLTEAAYKVISKSLLQGPYTVPQFNSLCPTSMLKEGDGLSSFM >cds-PLY90225.1 pep primary_assembly:Lsat_Salinas_v7:8:274474009:274478582:-1 gene:gene-LSAT_8X157280 transcript:rna-gnl|WGS:NBSK|LSAT_8X157280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAFRNGGSNYASPSMEDRRLWKHVHDNVHGNIYLDPIYSSNCSTICRLRDLKQLGFTNMVYPGAVHSRFEHSLGVYWLAGNAVERLKAHQGLELDIDHSDIQTVKLAGLLHDVGHGPFSHLFEREFLPQVIPGCKWSHEEMSLNLIDYMVDEHNIDIDSGRLKKVKEMIVASENAPVKTSKEKLFLYDIVANGRNGIDVDKFDYIVRDSRACGLGCNFQFERLLDTMQVIDNEICYRAKEYLTVHKLFNTRADLHRTVYTHAKVKAVEMMFVDALVKADSYLCVSSFIDDPSQYWKLDDSILKTIETDDADELKESRDLIRRIRRRDLYQFCNEFTVPKDKLHHFKYVTPQDIICSQKSGGVTLREEDIADYNSKNKFTISKDQISHLLPEYNQDFLVRVYSKKPELVEVVSEAFENFQLKMYGMKAQVHGTPERKRCRVVL >cds-PLY73806.1 pep primary_assembly:Lsat_Salinas_v7:7:69308932:69312304:-1 gene:gene-LSAT_7X49801 transcript:rna-gnl|WGS:NBSK|LSAT_7X49801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPRVRSMNVTESESRSILGLTGNKSLGPLTTRKPISKTSKKTEMSPEEGSIGAKSRGIAASSPPFSSTGVPSILRRQVSLNASCSSDASTDSFQSRASTGRIYRTNSNVRGRRQLGLKPKTLVVDSASETSPHDLQPKKRCSWVTSSSDQSYTIFHDEEWGVPVHDDKKLFELLVLSGALSELTWPSILNKRHLFREVFVDFDPLVVGKLNEKKLVGPGSAASSLLSELKLRAIVENARQISKVIDEFGSFDKYIWSFVNYKPIISRFRYPRQIPVKTPKADVISKDLIRRGFRCVGPTVIYSFMQVAGITNDHLCSCFRFQECLEEVVGLIKVEEDDRKTTDDGMESCIRNGIEELSF >cds-PLY74281.1 pep primary_assembly:Lsat_Salinas_v7:8:164930069:164932055:-1 gene:gene-LSAT_8X109320 transcript:rna-gnl|WGS:NBSK|LSAT_8X109320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVDIGRWILEFLIRQHSLDDRLLNVLIRVLPLPNNDPSITKSLLLRKLESDIKKGTISERTLEFLEQIEELDHREGNTKVSEAMKAAYCAVAVHCTVKLIEESGDDDTYKYFCAVSRIWRGRIEKMEKFDVANGVSLVSDDLLGWMNDLEAGVWVPNYFEKVLVKYKGLDAVDAVCCYVKEAKEKMGPTFLESVAGTLGDDMPRKVLDLGKEEEHHDQIKEVAADGASNDVQCVNANLNREDKGAHNKVVVDDPSPSCDHGEALNANGDDQKEPNVSLMEQNSTAHVFEWSDSIDNSNKDSPKKQPITPLKYKNKKLPQTRKKMRWSITEEDTLRTGVLKSPIKDHHKRMK >cds-PLY93903.1 pep primary_assembly:Lsat_Salinas_v7:7:180751939:180755676:-1 gene:gene-LSAT_7X107500 transcript:rna-gnl|WGS:NBSK|LSAT_7X107500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGALMFLPLYLLFFHKIYATELDIISNSRFLTDGDTLVSATGVFELGFFRPGSSENRYLGIWYKKISLKTVVWVANRNDPLAPSSSNMLKISDTGTLVLFNNINGIVWSSNTTSSQNPTAKLLDNGNLVVNEKNSENVIWQCFDHPTDTYIPGMKYGRDLLTGKEWSLSSWKSTQDPARGEFSWSLDTSSYPQNLLKQGEVVKFRWPLSSIRFDRDSLLNRNISFTQNNESIMAFSYNLDNSSAVWRFTLNPLGEVERWVWVEEDKKWQLLMVLPKDICDTYNMCNGYGSCSVATFQTCACLDETRFMPRNQKSWEIAVWSGGCVKRTPLDCKSGTDGFIKYSNLKLPDAQISWFNRSMSRKECEAECLKNCTCMAYANTDIRFEGSGCLLWFNELLDIREIPESNGGQDIFVRIASSDLVVDKKGGANIKIILIVLFLGCLLLGFSATWFWFARTKRNGSEQMEEGKLLINVSEFQEDAMELPLFSFSTIANATARFSPENKLGEGGFGSVYKGLLEDRLEIAVKRLSKTSSQGLDEFKNEVICISKLQHRNLVKLLGCCIHGDEKLLIYEYMPNGSLDSFLFDRTQSLLLDWTKRLSIIKGIARGLLYLHQDSRLRIIHRDLKASNVLLDKDMNPKISDFGMARSFRGNETQAKTKRVVGTYGYMSPEYALNGLFSIKSDVFSFGVLVLEIVSGKRNWGFIHPEHDNNLIGHAWSLYNEGRSMELVDERLAESCHPSEVIRSIKVGLLCVQQNAADRPNMSSVILMLGGEGSLSQPKPPAFFLGRELLVAAFPSRTYPDGSINNLTITEVDGR >cds-PLY67324.1 pep primary_assembly:Lsat_Salinas_v7:8:235899416:235900226:1 gene:gene-LSAT_8X143201 transcript:rna-gnl|WGS:NBSK|LSAT_8X143201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNERRGKKRKQPPSFSTTVPVEEAPPKHPLTVAKNPNPIFFIGSPEKRSRTRRMINSVESIRWSTVGRYRVDVASFESLALPELQVRLASSPISQ >cds-PLY84581.1 pep primary_assembly:Lsat_Salinas_v7:1:29201512:29203451:-1 gene:gene-LSAT_1X24641 transcript:rna-gnl|WGS:NBSK|LSAT_1X24641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRISHIKTVKSRLSVRSMANIIMKYEIDPNFHPSLPEADDAITNAPKDSVGKLFGSSFCVFKSVTTESTTLVTEPVVLEARTSNGDTLNVLVIESDATTADVSPNVALTTSPINLIPSFRKAVEMPTMVTLAASLSQLHQPYVVTSMSKSPMLVESTDEETLDKARSHLLEGMQLLNEVFAHTKRELNIWPLTSSNLKKLLKVYINFVQFMIEQRKKSRSFVSRCQSCMSEINV >cds-PLY85945.1 pep primary_assembly:Lsat_Salinas_v7:9:99982013:99986870:-1 gene:gene-LSAT_9X73921 transcript:rna-gnl|WGS:NBSK|LSAT_9X73921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHVPTTIEDQLILRAIKEECSWEGLPKRLQSTLNSKDEWHRRITEHCIKKRLQWNTSFVRKVCREAEYYEDMMRYLRRNLALFPYHLADYVCRVMRISPFRYYCDMIFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKESLPTYPVDFAIEPWWGVCLVNFTLEEFKKLSEEESATIDKICKEEANAFILFDPSVIKGLYRRGLIYFDVPVYADDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVSSENSTVAELATTLQAELSKLQAAASFACRLGWAVKLVDTGSILQEQITSVLNDEDDASLAPVGSTNMSTDPAFFQQDTLGTENLGPNSGFARVAFVVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHTSIEDLCKDLTTLEGAKFEGELQEFANHAFSLKCVLECLKSGGLSPDELEIDIDSSTNEELTSMVSDISLKEHNIENGNTDNFETRKKVRKYRVDILRCESLANLPGPTLDRLYQRDYDIIVSMVPLPVSSLLPGPKGPIHFGPPSHSSMSPWMNLVLYSTINSGPVSVVLMKGQCLRLLPAPLAGCEKALLWSWDGSTVAGLGSKFEGNLVKGNILLHCLNSLLKHTAVLVQPFSKFDLNESGKLTTLDIPLPLKNSDGSFADIGSELGLAEDENTKLTLLLCDLEKRLSLWTVGYIRLLKLSKGNTNAFTPEWVPLCVEFGVPLFSPKLCKFICNRVVVSQLLQSDLFKEHHDAMRELREKLNSICLEYEATGSSAKMLYNNREAKKSQLMSYASQRWNPITEPSSPISGTSDYQRIKLANRQRCHTEILSFDGHILRSYALAEGYEDATKMNEEISRVNNVKGDPDDKDNGETVLPGVNLLFDGSELRPFDIGACLQARLPAALIAEASAVSATYSAIK >cds-PLY80337.1 pep primary_assembly:Lsat_Salinas_v7:7:157212378:157214175:1 gene:gene-LSAT_7X91341 transcript:rna-gnl|WGS:NBSK|LSAT_7X91341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTISFNADLTTAFLFTSGYKFYRIQAPLLLSHPLIDPQRMLILTVAATLRTIGYEIEVYSFKEGHVYVVCILELFYDVILTNSFQTKEANSRACHLAKQAFDETINELDSLSEESYNDITRCEGGDARK >cds-PLY67821.1 pep primary_assembly:Lsat_Salinas_v7:8:187737191:187750849:-1 gene:gene-LSAT_8X121800 transcript:rna-gnl|WGS:NBSK|LSAT_8X121800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLIRNSSASVQPLTEDHHIEEKHEEGPTSGNSIRRRFLEFYAAKGHKILPSSSLVPDDPTVLLTIAGMLQFKPIFLGKVPRQVACATTSQKCIRTNDIENVGRTSRHHTFFEMLGNFSFGDYFKKEAIKWAWELSTIEYGLPAENLWISVYEDDEETYAIWHDEIGVPANRIKKMGEEDNFWTSGSTGPCGPCSEIYYDFHTERGFSNVDLNDDTRFIEFYNLVFMQFNKKEDGSLEPLKQKNIDTGMGLERMARILQKVPNNYETDLIFPIIEKAAELASISYALTDDSSRTKLKVIGDHMRAIVYLLSDGVFPSNIGRGYIVRRLIRRAVRTGRLLGIKGDEPENLEGAFLPIIAEKVIDLSTEIDPDVKTRKNRILEELKREELRFIVTLERGEKLLDEMLDNALEYATLHKTTACLSGKDAFLLYDTYGFPVEITMEEADERGVSVNMSDFDVEMENQRRQSQAAHNTVKLTVENGSDLTQNVPDTEFIGYDTLYSNAVIKGILFGGKPVIEVPEGSEVEVLLDRTPFYAESGGQIGDRGLIKVNGGKMGITAVVEISDVQKSLGNIFVHKGTIKEGSVAVGGEVVAEVDAKLRQRAKVHHTATHLLQAALKKVIGQETSQAGSLVAFERLRFDFNFHRQLLDHELMKVEDLINEWIGDATPLQTEVMRIADAKKAGAIAMFGEKYGEEVRVVNVPGVSMELCGGTHVSNTSEIRGFKIISEQGISSGVRRIEAVAGDAFIEYVSDRDNYMKQLCSTLKVKAEEVPMRVSSLLEELRTTKNEVSAARAKTAVYKASVIANNAFHVGTSTKIRVLVEFMDDTDADSLKTAAEHLLDVLEDPAAIILGSSPGEDKVSLVAAFSPTVVTMGMQAGKFIGPIAKIFAQNNGDIMNFGTAGTTDVYYKQIMALSMKDYRVISIDILVFGTIKNGLRPWGDLASRLTLISDVASVGPLRLSDSLITIMDADGYGLENGIEEAKLASLNSVLHGDYWITAPSNIRPNYVGVMTQAREKKGISKSLCMDVS >cds-PLY84258.1 pep primary_assembly:Lsat_Salinas_v7:7:77395302:77398554:1 gene:gene-LSAT_7X53920 transcript:rna-gnl|WGS:NBSK|LSAT_7X53920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATASDGRAPYESRGDGEKSRKRPFRPAGNRASTSVIIGTSELEQMLNQMTFTSSEIQRLTALLHSRACDNVVVERDVPMTRMASPQQTTSIENLSGDLLSKIFILLMAKQLAQMRCVSKSWNAILSQSSFIKSHLHHSINNNDQILVVFVNNLSINHKLFTSYLYPTHSPHRVLANFIKIPRVKPGYTYRMIKIIGSVHGLICSSYGDYVIHIWNPSLRAESALPPYSFPYCGCYEISFRFGFDSKTLDYKVVKITGLTGPEQTSTSEEEEEEDDVPSFRYLIIKWLQVEIYSMRTGSWKLITQRFPSHITRIFDNDKACVDGHDGRLHWVGHTNEKLDSEVIVAFDLGSETFLEMTFPDSILDYYRSNTLGVLGGKLCVMSGDGDAEIQVWVAESWVKRHVFHFSHDPDHAFNGFTSHNEFFIEDADDCLVFYDHIADKAKILEKYPGGKCNTNRIVEYVDSLVWIAPPP >cds-PLY88211.1 pep primary_assembly:Lsat_Salinas_v7:MU040192.1:37896:38174:1 gene:gene-LSAT_0X39001 transcript:rna-gnl|WGS:NBSK|LSAT_0X39001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSSLSGVESIAFSMKDKTLTVTGDVDPVFMVGKLKKYCHTEIVTIGXVGRSFQQDLQS >cds-PLY78430.1 pep primary_assembly:Lsat_Salinas_v7:2:167868882:167875708:-1 gene:gene-LSAT_2X88460 transcript:rna-gnl|WGS:NBSK|LSAT_2X88460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEGRGSNGVGKKIKVGVCVMEKKVKCGPEIIHFGDRVILDEPIERWPICDCLIAFHSSGYPLKKAEAYAALRKPFLVNELGPQHLLHDRRKVYESSPITNGDSLSLFSVFILNIIKCLEMYGIPVPRYALVNRDKPYQDLEYFVEEEDFVEVHGQRFWKPFVEKPVHGMHISQSFSYTFSSFPCLLVMCDDHSIMILSPSAAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKQMAREVCIAFRQGVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKMFLDAKAPHLSTTIPPVLPWKVSEPAQPSEGLTRQGSGIIGSFGQSEELHCVIAIIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRAETKLKSAVQLQDLLDATRILVPRTRPGPGSDSEAEDIEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVAKGDTEEERPIEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASIEMEEAKARLNELITTGVKAAQANGLSNKKPWMVDGAGLPLNAADLLPTLVKLTKKVTEQVRLLAKDEDEKLAETTSYDVIPPYDQARALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKVERDLYNERKDRYDITQIPDVYDSCKYDLLHNAHLNIDGLDELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEATNVAELKSQCQTSTTSNSMKNVREQIDHQPKSIIKSEESRRTSFTSEKSMDQDEDDDKEIKYRLDPKYANVRTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEAGLICDNALERLLKTKELDYMSYIVLRMFENTEVNLEDPKRYRLEMTFSRGADLSPLEKKGVEATSLHQEHTLPIMGPERLQEVGSYLTLDKMEEMVRPFAMPAEDFPPPTTPQGFSGYFKSAGVLERLCLSNDYCRRFSSTQLKFWTGYGVWRSSDYENLQL >cds-PLY72478.1 pep primary_assembly:Lsat_Salinas_v7:2:140603411:140604055:1 gene:gene-LSAT_2X68660 transcript:rna-gnl|WGS:NBSK|LSAT_2X68660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFSVAETAICHWCGSSPSDPRRPTGRQHGNVVMTTQLNRRSLAANPTVRDAPGSGAAMTAAAGLGVRSMIHQLVLQGSGGWGNGILDLGFRNDDLKTGVNVIGTS >cds-PLY75143.1 pep primary_assembly:Lsat_Salinas_v7:4:62466948:62467487:-1 gene:gene-LSAT_4X41000 transcript:rna-gnl|WGS:NBSK|LSAT_4X41000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTHAQNILIPIGNKSRQGLRKKFMKIGGVPKLLSFLAKIVSFFLSHNHQKLIVLFKKFAYDAKPSYVLLMFFTISILIVGIFSRIAQEDFQYHSDSFFSALELHPLPYKFDEDMLYEIYSSTDIVDEDDQDFVPRLVHLELVKGASGSHEDYDPSFSSCWTNQGCQQEWNRRICSQKH >cds-PLY96083.1 pep primary_assembly:Lsat_Salinas_v7:3:98265844:98266257:-1 gene:gene-LSAT_3X72860 transcript:rna-gnl|WGS:NBSK|LSAT_3X72860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSMNRLRKRCYCGDPVGRWTSWTPLNPRRRFIGCPNYQNGLKDCKYFHWVDPPLPSQWYADLLLVLHNNVNLENHRIFGEFGQEQPAWNFFGDIVEQPMAQQAVEGGRWKSLLYVSVVSFVFLLVMLMDW >cds-PLY80614.1 pep primary_assembly:Lsat_Salinas_v7:6:14195263:14198138:1 gene:gene-LSAT_6X12380 transcript:rna-gnl|WGS:NBSK|LSAT_6X12380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADHSLVIEAGGELESPLVRRCIEAATQSADAVEMWRRQRRTLERLPSQLAEALLHRLLSRRLLYPSLLEVFKYNVEKVDLRGESSVDAEWMAYLGAFHFLYSLNVSECHRINSSALWSLSGMNHLKEMDLSRCSKVNDAGIKHLLSIRTIETLCISETSVTTNGVTLLSSLKKISKLELGGLFITDTALVSLRVLTNLQHLDIWGSDVTNEGASILVKFPNLNFLNLAWTKVTVLPNLPSITCLNMSNCTIHSIFKGGFNDNDNKATLKKLILSGTSFKDVTKAFSYFDPICLSFLDLSNSSLRDLSFLLSMKALENLDLSGNLIGDDSVEFIASVGANLETLNLSNTRVTSAGVGILAGHVPKLETISLSSTPIDDLAISYIAMIPSLKAIDVKATYVTGVDSSLEVLGNLKNLEKLNLEETPLKDEGVGPISNFKKLSHLSLRSSFLTDVSLQYLTSVTKLKTLSIRDAILTSAGIDCFNPPPFLKSLDLTGCWLLTKDVIFSFVERHPGIKIRHELVCDFEEAKHTSPLQTSVRRRFSSSPLKYEMSAIIDERLKYSRAELLSMQFASMAIVPPSDSGMSMSPE >cds-PLY86935.1 pep primary_assembly:Lsat_Salinas_v7:5:260306219:260306740:-1 gene:gene-LSAT_5X130301 transcript:rna-gnl|WGS:NBSK|LSAT_5X130301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESVNQNQNVLYSVSVANNLGAGNRALILVPIEYTSWADHMKYKLEGHESDIWTFISTRKHTIEFLNDIRVLEVDVSPETSKVIFGFVSSVAQLLERKIKKFEAKAMQELLSGIPHDIYEQLPDDDKSSPFNVWNALKKQFEGIDKIIANRKKATLIDMVNFKMLPHENLFDA >cds-PLY82961.1 pep primary_assembly:Lsat_Salinas_v7:1:18209105:18210725:-1 gene:gene-LSAT_1X15841 transcript:rna-gnl|WGS:NBSK|LSAT_1X15841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMVSVLITLLLLPAFFLIILYKLTSFLSKTVHRLPPGPPGLPIIGNLHQLDTTNLSDHLWRLSKRYGPLMSLRLGFIQTLVVSSAEMAKQVLKTEDLVFCTRPLFTGTKKISYSNKDVAWSPYNEYWREMRKICNVHLFSLKQVNSFCFVREDEVFTMIDTIKIRISIKQEVINLSEIVMILMSNIVYRVAFGKRPYVYDNEQKEVRRFHELLLECQALLVNFYYRDYFPFMGWLDKLNGSMSRLEKNFKDMDECYQNLIDEHLNRNRPNEMQEDMVDILLKLKQNSDSSMDLTFDNIKAVLMNILIGGTETGAAVVVWTMTLLMKNPECLKKVQQELRNAIGDKGKVHEDDLYKLNYLKAVIKETYRLYPVAPLLVPRESRDRCILDGYEIPKKTLVYVNAWAVGRDRKCWKTPEEFDPERFMGSSVDYKGSDFELIPFGSGRRGCPGMTMGAVMVELALSNLVYSFDWEVPEGMKEITTLATVGTVSHKKDALRLVAKVYDHVHGCCEN >cds-PLY87241.1 pep primary_assembly:Lsat_Salinas_v7:1:51829501:51830636:-1 gene:gene-LSAT_0X18280 transcript:rna-gnl|WGS:NBSK|LSAT_0X18280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELHDEDWELINDDGFVYKRLKRLRVDPTVSTSVTPPPDPAVEERNRKERKKTILLKLKTRYQQEIHQWEHLSNTLKALQERTQTQPLLTALPDPYVSQSTHHSTNSTYHELAQTLLIQVEAQEASINQVSRLCDVAEALCSAQEQLLKQPFVYLPIWESSPRKLITSLLCEE >cds-PLY95394.1 pep primary_assembly:Lsat_Salinas_v7:9:189383991:189385197:-1 gene:gene-LSAT_9X116861 transcript:rna-gnl|WGS:NBSK|LSAT_9X116861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQDVNLEEEMQESDDDFLESEEISHNTTVQNEETSPSVRSNKRKQHADDVFHNAVGLISESIKEISKDLSQDSKKIIFQGNQNKPGDGEGNSDEGTTKTAMGQKVRQRKEQRRRMVMESVEEVASMEMMERWRRITEDAF >cds-PLY90800.1 pep primary_assembly:Lsat_Salinas_v7:2:107153076:107154729:-1 gene:gene-LSAT_2X46060 transcript:rna-gnl|WGS:NBSK|LSAT_2X46060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQSQPHFLLVTYPAQGHINPALQFAKRLLRLGVKVTFTTCLSAYRRMSKAGRIPDSLSFAPFSDGFDDGYNYKDSDASFYLTQLRNRGKQSLKETILSCDKAGTPITCLAYTILLPWAEEVARDLNLPSALLWIQPASVFRVYYYYFNGYDKLIGEDSKDPSWSIELPGLPSLKSRDLPSFCLPSNTYNFALPLFKEQLDILDSEDRPKILMNTFDALEEEALKHIDEKLKMVAVGPLIPSAFLDGNDPSDTSFGGDLFEKSKDYIEWMNTKPEGSIVYISFGTLITLSKKQKEEMAQALLKIRRPFLWVIRDKNGDMNTTKEDEEEEDEVSCIKELEELGLIVPWCSQVEVLSHPSLGCFVTHCGWNSTLESIVCGVPVVAFPHWTDQSTNAKLLEDVWGTGVRVAATGEGVVEGEEIRRCIEMVMGGDDEGLTMRKNAKKWKDLARDAMKESGSSFMNLKAFVKEVDGSSKRNRVPISSEESESESRSGHSV >cds-PLY75526.1 pep primary_assembly:Lsat_Salinas_v7:9:32585950:32591419:1 gene:gene-LSAT_9X30560 transcript:rna-gnl|WGS:NBSK|LSAT_9X30560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKQRQQSTEESMEESTDATTSFTGESDICQQLLDRYGKSAASQHRHLCATAAATRSIIQSESLPLTPLSYFAATIDALSDTSKTTHDADAVSALSSFLAIVLPLVPEKSIATSKAAEAAKIVVDLVDNPCEGLSVSSVRALVKCLGVLLDFCDLDDWKSVQLGFQTLMKYSIDKRPKVRKCAQDCVVKVFKSFQSSLVKKSASKLFFKSFETYMSLAVETVSSRSTDGSKDDKFSKCEQLEALHMLNLLKFLVPCLPSKEISKTVVELQKSISAKFSPLTRHVFDVMEEILRLLEDESTIPDTLEIVTTLASYISTKQNPVDTLFSAAALLENFLTKFQVGDANKWNSHYSLVIGSIAGLLTSEATATKASNILKEMINRHIDLDILTDENNVESKESRIVKSLCDALLKILNTHKGTPNEHTLAVISVLFLKLGKISHIYMEKILLKLASFMTLASENTSDVKHLQQCIGSAVVAIGPDKLLAVLPISLDASDLTCSNTWLIPILKEYVNGSSLGFFIESIVPIAESFQEACQKVKKSAIREQLQSQARGSWGLLPSFCCYPNDTYKKFGSLAKLLIPHIKKDAIMLEYIAIALQHLVKQNRSFLGDNQGDDEASKLPKISYSKKIATKNIKALSSWSEGLLKAFTKVLFRVPFEKRAFVKDTIKCLASISESSTIKAIFVSSLKRKEVDVSGDNAKDDKSSSKKNANKCLILELASSVVGTASMDLIDLIYSFIKESLKEEDDHIQREAYATLYKILEESSEFRSSKFEELMDLLLGLKSPVDITSLRWRFSCFKNLLIHSIEITSDGENTYGFRMLNEIIVTLKDSKEESRKVAYDILIGMSCTLQKTPSSLQKEKGPYYEFVTMIMGYLSGSSPHIKSGAVSALSLVIYNDSKICKLMPDLVPSILELLHSKAIEVIKAVLGFLKVLVLSLEGKDLASLLSDILSGLLPWSSVSRHHFKSKVTVILEIMMRKCGSASVKSLVPEKYRDFVKDVLENREGKKNSQEGVTTKTDHESSDTTPNSGEKRKPNEEYSRESGKRKREDKHSSSSYGARKFSRGGKDVDSSKKHFGHAKSMDGKSQGTKRKTTTSFKNHTSSSSGAKKQKQWTRKGNKKNAPVT >cds-PLY82029.1 pep primary_assembly:Lsat_Salinas_v7:9:157866310:157868764:-1 gene:gene-LSAT_9X99500 transcript:rna-gnl|WGS:NBSK|LSAT_9X99500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASASRKVLVPIANGTEPMEAVITIDVLRRAGADVTVASVEKQLRVDACHGVKIVADALISDCVDTVFDLISLPGGMPGASTLKDNNTLENIVKKQASEGRLYAAICASPAVALGSWGLLKGLKATCYPAFMEQLSSSATTVDSRVQQDGKVITSRGPGTTMEYSVTLVEQLFGKEKANEVSGPLLMNPKQTDQFIVTELNKLQWTCASTPKILVPIADGSEEMEAVMIIDVLRRAKAEVVVASVGDNLEIVASRKVKLVADMLLDEAAKLSYDLIVLPGGLGGAQAFAKSETLVNLLKKQRELEKYYGAICASPALVLEPHGLLEGKKATAFPAMCEKLTDKSEVESRVVVDGKVVTSRGPGTSLEFSLAIVEKLLGREKALEIGKAMLVV >cds-PLY65848.1 pep primary_assembly:Lsat_Salinas_v7:4:85462037:85467785:-1 gene:gene-LSAT_4X57080 transcript:rna-gnl|WGS:NBSK|LSAT_4X57080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G21530) UniProtKB/Swiss-Prot;Acc:O65418] METDEEEVSRIVPFQLQFDKPVASQIKIAEWNPEKDLLAMVTEDSKILLHRFNWQRLWTISPGRCITSLCWRPDGKAIAVGLQDGTISLHDVENGKLLRSMKSHTVAVVCLNWEDDGGGEISDDKFHTSKYEDRTSRFFPPPPRAPRAPGLVPGETGFMDESENLSQELSNSSHQRFNILCSADKDGIINFSIFGIFPIGKIDIHDFSVTFPLEKEHVDCKLLNASICKVALSKDLCHLTVLCSGELQVPRHDLHGFHCLTLDTSIFFKRRNELHQVAQQASNIEDLTEVIRASLSVMSKQWSDAMHTFHDKFDSLSNLIHDHGLDSTPQEEFLSLLGGARTSPAVHQFLLTSLGEAGLKRIMKLVCGAGKDLQVIVLEHLQPAAEMIGFRLGELRGLSKWRSRYKSVGLDESLIDNATEKAGILLIQVERFMRVLASSVQQFSNFFNWLHKCVKMLTSEPSDQHQILAFNCELVILFLKYLYDQDPVKRLLESTEIDHSIEVDLETMERVQELAHFGGFTDLDYLRRTLAKEFQQMESCFKEAFQMPFTTISKKILCQNLLPLFPVESLPKSALSTVPTSISFYKEQQSFVDYVSFRLPNEPSSDLANCIVVTRCLMHDLEAVLLCVPDDYQCVDLSLYKECQLVLLLNGPSSVSESSEKACMMIVQADDLPFVSIPTSTTSTPWKLQQLKDSIVYLHMDSFKVRGIPHSVIAPLAVSASRGVACVFAARKRALVYILDEDEDEISDAE >cds-PLY84436.1 pep primary_assembly:Lsat_Salinas_v7:8:280145283:280146928:-1 gene:gene-LSAT_8X157640 transcript:rna-gnl|WGS:NBSK|LSAT_8X157640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleotide transporter 1 [Source:Projected from Arabidopsis thaliana (AT1G70330) UniProtKB/Swiss-Prot;Acc:Q8VXY7] MGGTTTSPTADVTAVNDSESPTLPLLSESNSKIPEDSYHLAYIIYFILGTGYLIPWNAFITAVDYFSYLYPDASVDRVFAVVYMVVGLISLLVIIFYADKSHSFVRINVGLGLFVVSLLVVPVMDVTYIKGQVGVYGGFYVSVAAVALAGLADALVQGGVIGAAGEMPERYMQAVVAGTAASGVLISILRIFTKAVYSQDAQGLRKSANLYFLVSIIVMIICIVLHNIAHRLPVIKHYNELKIQAVNSEKEEKGAVTGAREIMEAVKWYGIGVIILYVVTLAIFPGYITEDVHSEILNDWYPIILIAAFNVCDLIGKCLTAVYVVENAKVAIGASFARLLFFPVYLACLHGPMVLRTEIPVTIVTCLLGLTNGYLTSCLLMVGPKTVPLQHAETAGTVLVLFLVLGLACGSVVSWFWVI >cds-PLY91435.1 pep primary_assembly:Lsat_Salinas_v7:7:133495787:133496579:-1 gene:gene-LSAT_7X80260 transcript:rna-gnl|WGS:NBSK|LSAT_7X80260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLWKVSAKEVRQSILLAVQELQKRFPQGLPKLNPIKDMGIEEPQFVDLSQDENRIQCFQRKAEVNHDLLNVSHPLLFPREDELPIGSTTPPQPT >cds-PLY64992.1 pep primary_assembly:Lsat_Salinas_v7:4:208089816:208090514:-1 gene:gene-LSAT_4X117161 transcript:rna-gnl|WGS:NBSK|LSAT_4X117161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVRGRIDRGELLSVLKGKGSKTDKLRFAIMFLNSTETIPQIEIKMVESSLREAEELAWRQQQPTSSAKHIIYGTTEILTGAEFIDQLAVLGQKMGLGRSSTTPSASAPAPA >cds-PLY74591.1 pep primary_assembly:Lsat_Salinas_v7:7:36463177:36466522:-1 gene:gene-LSAT_7X26140 transcript:rna-gnl|WGS:NBSK|LSAT_7X26140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT4G18060) UniProtKB/Swiss-Prot;Acc:Q8L7W0] MDALRKQASKLRDQVAKQQQAVIKQFSASGYEHSDVVVIDEMEMQRHQQLEKLYRTTRSGKEFQRELVKAAETFTSIGYKHIEAGTKLSEDCSKYGVENAHDEILAKAASIYGDARKHVEKEQEDFTRLLFSQVLEPLRAMISGAPLEDARHLAQRYSKMRQEAETQASEVSRRQARVREAPIPENVTKLHAAETRMQELKANMAVLGKEATAALAAVESQQQRLTFQRLVAMVEGERTYHERVAAILHQIEAEMVSDKQRRDSAPPTVPSSLLSDKTKYFLAEAMHAFEASSDKELDLAVGDYVVVRKVNPSGWSEGECKGKNGWFPSAYVERRQRLPNSNGTNEFY >cds-PLY96321.1 pep primary_assembly:Lsat_Salinas_v7:5:192505853:192507072:1 gene:gene-LSAT_5X86080 transcript:rna-gnl|WGS:NBSK|LSAT_5X86080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANEQGVDENQPEKINENQVANTGVSSGFTGMIYEQYRKAKENAEAYPYVWSSYLIVYGGFGLWVAYRYRKLRNTEDRVKALQEKLRILRQEREPTTSSAASTKKITSSNEESTK >cds-PLY99903.1 pep primary_assembly:Lsat_Salinas_v7:7:16332167:16332457:-1 gene:gene-LSAT_7X14021 transcript:rna-gnl|WGS:NBSK|LSAT_7X14021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSLLHYAWSVILLGEHNYGGLNASNNDSKEKQKTSSGFQMPLHYPRYQKVDYEKMEEWRLDLLLQQYGLLFDGLSLEEKRCYAMGTFLWPCQL >cds-PLY79735.1 pep primary_assembly:Lsat_Salinas_v7:5:179207225:179208892:1 gene:gene-LSAT_5X82441 transcript:rna-gnl|WGS:NBSK|LSAT_5X82441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMYIKCNHLNIAHQVFDKMPKRDIASWNVLLLGFAQSGVVDQVMPLFKQMRVERIHPDSVTVIGISQSIRNREDVWLMKAIHSFGIQIGVEEDVSVSNTWVSSYSKLRDLPSAEKVFHGIDSSFITIISWNCLISGFAYFKKSLKAISLYKNMLFEGFKPDLSTNLNLLSSIDRNESLIHGKLIHCHGIKMGFDSNISIKNTLISMYSKLGDLNTARHIFDSIKDKTCVSWTAMIGGYAEKGNLDESLTLFHSMEATGVKPDLVTILNIISGCGETGSLEIGRWVENYANLNKLKTNLMVLNALIDMYAKCGSIKEAHEIFSTMQERTIVSWTSMISGFALNGEFQESLMHFSRMLELGIKPNHITFLAVLQACNHGGYVKKGWEIYDLMTKVYKIDPGLDHYSCMIDLLGRSGMLNEALDLIQKMPMNPDVGIWSSLLSACKIYKNVEIGELAACNLFKMEPQAAAPYVEMANIYASVFNWNGVIEIRRLMKKNQVIKSPGQSVVQLNGKSYKFTVEDQFHPKGSVIYEVLDSLGLQLKDELNLSYLQNFVFI >cds-PLY65758.1 pep primary_assembly:Lsat_Salinas_v7:5:268275070:268276104:1 gene:gene-LSAT_5X139480 transcript:rna-gnl|WGS:NBSK|LSAT_5X139480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKTRPLIGKLLTAGNRSGFMEAGSSPRTPLDFKILSPRGIVTCDVGGIGLGIVAALEKSGDDIQSTSRCISIPVTQPPKFDVDDESLEEEYTIVTRHMPNNSYTKVYDGGFVYEGYVRRNSGMKEEGCSLFDISPARVLDVKGHPEPDFLSFCHLCKKKLHGKDIYMYRGEKAFCSIECRCREISMEEMREKRCGTEAVSSSPCGGDHVHGRIFSTGIFAI >cds-PLY93256.1 pep primary_assembly:Lsat_Salinas_v7:6:159458731:159460421:-1 gene:gene-LSAT_6X99040 transcript:rna-gnl|WGS:NBSK|LSAT_6X99040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFILKKPKPHALCIPTPFQGHINPMLKLAKILHSRGFHITFVNTEFYHQRLLQSQGSDALNGLPSFCFEAIPDGLPPAENPGATPDALSFCMSVDEICLGPLKSLLTKLNASSPPVTCMVADIFMGFTHDAASEFDIPEIILWTSDVGSLMCLHEYPNLLERDLVPRKDSSFLSNEYLNTMIDCIPTMPGLRLKDLPSFTRRTSPGDEYMLQYLRLQTERAKSASAIIFNTFHELDSDLLDTLSSVFAPCYGVGPFHLLEKEIDESLPIVKSSLWKEDNNCLKWLESKPPSSVIYVNFGSITVMTHQHLLEFCWGLANSNCPFLWIIRPDLVIGQSAVQLPSEFLEETSSRGMLASWCPQEQVLNHPSIGGFLTHSGWNSTIESISSGVPMICCPISGDQQTNCWSCCNRWGVGMEIDKDVKREEVAKLVIELMNGEKGKEMRKNATDLKNKAEKACSSHFGSSTVNLEKVILLLQATPNDSLSV >cds-PLY73647.1 pep primary_assembly:Lsat_Salinas_v7:5:203599719:203600856:-1 gene:gene-LSAT_5X92440 transcript:rna-gnl|WGS:NBSK|LSAT_5X92440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHMAQTFTRDYRSQLHCAVASSFRNHVFLPTATHNILLPPPTVVAHSHHHISLLLDTILGNCFVDGLIHRDENAVYNCLRAYASEDYTQNAKEIFRSTVVAPLVQKGTPYTSSRVVGGFTGDELEDDYKQIKNHIAQDCTFLLEISSTGKSAGKPGAFSPGRPTDFLKNYKSSLGFLADLEDNS >cds-PLY94926.1 pep primary_assembly:Lsat_Salinas_v7:4:107979272:107979826:1 gene:gene-LSAT_4X68600 transcript:rna-gnl|WGS:NBSK|LSAT_4X68600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEKVTKLHFYFHDIVSGNNPTAMRVAKPTGYTGLVNTLTGFGSVVMADDMLTSGPEPNSTVVGRAQGIYASASMEDIGFLMTMNFAFSDGDFNGSSLSLLGRNPVLHEYREMPIVGGSGVFRMARGIATAKTYKFNLLGDAVVEYNVIVVHY >cds-PLY88983.1 pep primary_assembly:Lsat_Salinas_v7:8:155226375:155226764:-1 gene:gene-LSAT_8X104340 transcript:rna-gnl|WGS:NBSK|LSAT_8X104340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPDILVDISYLVAKFLVVREGKDRRGSPLYVGMLITQLARSFGMLEIHEASMLTIEPGKSFSLLLYKRVNIVIDNRYGNYSIPNDNPRNQPQRWVRQRMGNADDDEPPLIPTKDELPMDPYNVALWRY >cds-PLY68247.1 pep primary_assembly:Lsat_Salinas_v7:3:103447724:103449519:1 gene:gene-LSAT_3X77400 transcript:rna-gnl|WGS:NBSK|LSAT_3X77400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVQLLHMKGGNGDTSYSNNSLLQRKVISMTKPILKKATVDLYSAMNFPKTIVMADLGCSSGPNTLLVAATFIKMINEISLKMGHEPPEIQIHLNDLPGNDFNIVFLSLPKFLESLRKDVCHSNPSTPSCYFSGVAGSFYTRLFPSKSLHFVHSSYSLMWLSQVHILHLSLSLSLSLSLSLTVEQKELCICVENLLSNEQVPELEETNKGNIYMSSTSPSSVIRAYYQQFQTDFSMFLKCRADEMVSGGRMVLTILGRRSDDPCSKECCYIWELLAMALNDMVYEGRI >cds-PLY80693.1 pep primary_assembly:Lsat_Salinas_v7:3:171803325:171804353:-1 gene:gene-LSAT_3X104441 transcript:rna-gnl|WGS:NBSK|LSAT_3X104441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGIPVPRYALVNRDKPYQDLEYFVEEEDFVEVHGQRFWKPFVEKPVYGDDHSIMIYYPSAAGGGMNELFRKVSFYNCYVYYVHSVAWNCIGTNSVHQLCWDPKHADLIATASGDKNVCLWDVCSGKCSQQAELSGENINITYKPDGTHVAVGNQVNEIACNMSGDMLFLTTLPSGAGNPSLAL >cds-PLY65904.1 pep primary_assembly:Lsat_Salinas_v7:4:135404471:135404842:1 gene:gene-LSAT_4X84801 transcript:rna-gnl|WGS:NBSK|LSAT_4X84801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTTDNTTHNPTVEASPIPRFQDLEAQVETPQMTTIVKKCNTLGNSRDHEDENEEMEEFEAFKRYKEMKKQCEVKENQKSKRSEKDWDHIYAYSDQSSGSSGRYNKGKDEDKRAGDERGKKVL >cds-PLY83315.1 pep primary_assembly:Lsat_Salinas_v7:1:63120172:63121451:-1 gene:gene-LSAT_1X54360 transcript:rna-gnl|WGS:NBSK|LSAT_1X54360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALNAFERSQTGFVEDPNKCFPEEKTFDKDMFFDSKYPYNDNDSKVVLLTPRFYRALTNGIKSGLIDQNHTIVGYLYEMEKEVGEGQWIRGECYLATTLRNTMVAILENGSRPINHVGEGWFSQWLRYGDIPIL >cds-PLY68660.1 pep primary_assembly:Lsat_Salinas_v7:5:156297373:156297828:-1 gene:gene-LSAT_5X68440 transcript:rna-gnl|WGS:NBSK|LSAT_5X68440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALRQDRDWILQVGVVCIMDRLIEHPKFIGGVSQTRHIAFIIVEDSGCAELKLEIDSETYNPKASDSRSNHTIGLDDAMLSFSTLDYAHLLGLGRLDITIMRQLCAFDNADEPLGNPEGADGGDDNRVDGDGVIVPHVEGGGGVGDGGVG >cds-PLY79503.1 pep primary_assembly:Lsat_Salinas_v7:1:34076082:34079724:1 gene:gene-LSAT_1X32681 transcript:rna-gnl|WGS:NBSK|LSAT_1X32681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIVWQTPANPPERHDYIFRNGIRYVKPYYFEFISHAKVRWAGKTIVDLFADEFKGRNRDYYDSAVKSGRIQVDGKTVSVYYRVQSSQKISHFLHRHEPPVMALDVEILHKGEDVLTVCKPASVPVHPCGQYRKNTVVGILQAEHDLAPLFPIHRLDRLVSGLLILARSASQAEIFRQQIEAGMVQKQYVAKVVGEFPEEEQVVNLRVNFNAREGRSTVEPESTDEKVNGKSACTKFTRISTNGKYSIVSCHPVTGRTHQIRVHLQSTGHPIANDPLYLSDHIDSNSRRAFTAHKTAVKSTHSLESKSNDNHISESEHSVEDFDIDPMCTNCPNLAPIGYDGSEEGLWLHCVRYSGPDWVYECPYPEWASLSL >cds-PLY72881.1 pep primary_assembly:Lsat_Salinas_v7:4:273572060:273573587:1 gene:gene-LSAT_4X140680 transcript:rna-gnl|WGS:NBSK|LSAT_4X140680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARAMVLLWACYSALLTGTCVNGLGVNWGTQTSTPLDPKYVVQMLKDNKINKVKLFDSDHWTVHQFAGTGIEVMLGIPNLNLKKFSKDYDAVQDYVKENVSDHLRDHGGVKIKYIAVGNEPFLKAYKGEYEKTIFPALKNVQKALNEAGLGNKIKATIPQNADVYNSGTAGPSAGNFRPEIRDLMVKISRFLDENNCPFIVNIYPFLSLYENEDFPIEFAFFDGGGQGVQDRNIHYTNMLDANLDTLTYSLKKAGANKVKIIVGEIGWPTDGNQHANAKMARRFYNGLLKKLASNKGTPLHPGYIEVYLFSLTDENQKSVAPGYFERHWGIFRYDGQPKFPIDFSGQGNEKMPVGAKNVTYLSHQWCVLNDDVKNMSKVRVTLDYACSLGDCTSLSYGSSCNRLSERMNASYAFNMYYQMNEQSVEACDFNGVAKITNRNYSTQECLFPVEIMSAAGKNLAGTSVLVGLLSLLALS >cds-PLY81129.1 pep primary_assembly:Lsat_Salinas_v7:9:67466540:67467601:1 gene:gene-LSAT_9X57201 transcript:rna-gnl|WGS:NBSK|LSAT_9X57201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Acidic endochitinase [Source:Projected from Arabidopsis thaliana (AT5G24090) UniProtKB/Swiss-Prot;Acc:P19172] MASKSLKFIPLILLLLLLSLYTTPISASKKGGIAIYWGQNGNEGTLAQTCATGKFPYVNLAFLNVFGNGSTPSLNLAGHCDPASGGCVSLSSDIRSCQKQGVKLMLAIGGGIGRYSLSSKLDAKNVSLYLWNTFLGGKSPSVFRPLGDAALDGIDFDIELGSSQFYDDLVRYLKSYCGKGQKVYITGAPQCPFPDRLMGSALNTGLFDYVWVQFYNNPPCQYNGNITNLINSWNVWSKSSSIKKLFLGLPAATQAAGSGFIPVEVLRSQILPVIKKSPKYGGVMFWSKFWDDQSGYSSAIVSSV >cds-PLY69279.1 pep primary_assembly:Lsat_Salinas_v7:7:130725045:130725677:1 gene:gene-LSAT_7X77820 transcript:rna-gnl|WGS:NBSK|LSAT_7X77820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVTHRIIASLVAPKEERSTISALELKILYAMAHPEDNLVPHYGQFLCHKLLHLSTSRSGKIYCGGIVSMLTKSTQVRNPYPGPHQPLPGEPYLTNAILESMRLFRSAEDGTHHSTVGQNHDPKLLITPENKGILDFRNLIHMTDWQITPYIFPRSYSTEVDEQSEENEEGGDDDDEEEKELHHTPPTRGASSSHYAAPPSYHKQYMDEF >cds-PLY74570.1 pep primary_assembly:Lsat_Salinas_v7:7:39854704:39855060:1 gene:gene-LSAT_7X28880 transcript:rna-gnl|WGS:NBSK|LSAT_7X28880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFFFVVEETPCGCFHNALYFLHGKAAGKRYRCSCSIVQLLDCWIYRFLTV >cds-PLY73455.1 pep primary_assembly:Lsat_Salinas_v7:4:179461938:179464355:-1 gene:gene-LSAT_4X106001 transcript:rna-gnl|WGS:NBSK|LSAT_4X106001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPPIINETSFSAANPSSYSLTEIWPFSSINGGGNEGLGLKMGSSFINGFVEPGNNRDEESSVTEQSGGGRKRRDANSEDESSKLVSTSSGNDLSNLNGKRTKMSESKDEFSGLKVDGENSKPSEPPKDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQHQVEFLSMKLEAVNTRMHSPIEGFPTKDIGHPQPFDAAALLFGSQAAREYGQESQPEWLHMQLGSSFERAT >cds-PLY92802.1 pep primary_assembly:Lsat_Salinas_v7:2:148999823:149000854:1 gene:gene-LSAT_2X74900 transcript:rna-gnl|WGS:NBSK|LSAT_2X74900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDNFDKIAITFGKVLEITNFHWKRFDLSCGEVCISTRRKKIIDEEVIVTFDNKFYQVGVVEYDRDWTPFDKSFKTDQNENEDDFDYNGEDVEDKMSDDDEESGDEKNLDDDKFPPSVETPVLSVDPPEDGEIVEESSNFRLPVTSSVAMAGKGIVNCEGALNMEVESSHQDNNPQQLVTETLNANTNKPTFTKPICQTSFRPASMIPLPGGDEWPILPDQVNGNNPNTTFERGDLLDKRRKIAAPKSIHTSGLTQSLTPISYQKNENTPIDPPKSAPLFDHNNTTSISEPNATRIGDDSESVSREFSKLIKAGNNVGFQLSKDDPVVMGITKGGDGGHVEAP >cds-PLY88303.1 pep primary_assembly:Lsat_Salinas_v7:8:237364885:237366950:1 gene:gene-LSAT_8X143320 transcript:rna-gnl|WGS:NBSK|LSAT_8X143320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVSCLRLLNLMLTLHMTTFGSLTLILLTLLKTSSHNGTIRQRISWKLCGVYGGKNNKCLDMKQCCEEIQGDIDRNFRQYLESYGDITDVVIMFDQTTNRPRGFGFISFDISDDVDRVLQIQEIFHEPNNRFVEVKRALPKDDIHGSNFG >cds-PLY61860.1 pep primary_assembly:Lsat_Salinas_v7:6:60060221:60062218:1 gene:gene-LSAT_6X43981 transcript:rna-gnl|WGS:NBSK|LSAT_6X43981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDAKLKEFIEKFGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPNIRHGEFSDEEDRIICSLYANIGSRWSVIAAQLPGRTDNDIKNYWNTKLKKKLLTMVPSLQQKQTFFPSPTFQPPPYDHHAPDHFLTTSSSFYTYTPNFINVDSTSNSLPVHDINHINVAADISSPHPPSANNLISLMRSDTTIDVNDNCNNYLGFPDDPHTMCNTYYAASGMKEGMLMFGSTACSSSEGGSSLSHVSHVNYNKDHHQYHHQKNQYQIKQEVDHQMTLHDQVLGDQIQTQSLMVNQKPKEFMFTNHVSPLHNDLEEVKLNLNGYLFHDGDGSKTTHYQKGMCSYFY >cds-PLY83476.1 pep primary_assembly:Lsat_Salinas_v7:2:182393412:182393855:-1 gene:gene-LSAT_2X104781 transcript:rna-gnl|WGS:NBSK|LSAT_2X104781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL18 [Source:Projected from Arabidopsis thaliana (AT4G38140) UniProtKB/Swiss-Prot;Acc:Q9SZL4] MICVVYEGYGSSIPTLIFITCIWLPFLQIKHALFDILSTIFTFFNACHHDHLHQDVFPNIFHHSDLQFNDLLINNNGGDNAGSTMRLVDESCSICLAEFQDEDIVSQLSRCRHVFHTCCIESWLHQDQFTCPLCRSNLLHIPDKPVK >cds-PLY94967.1 pep primary_assembly:Lsat_Salinas_v7:4:112349764:112350251:-1 gene:gene-LSAT_4X72220 transcript:rna-gnl|WGS:NBSK|LSAT_4X72220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTNLKVLDVTGVPGGVVSQTVRKDGVVRMKILMKRHQLQKILEQAVKKNDNVGNHVNIRPSMRSSVSNPLERRLKEIKRLQIQRSRQVNRNCRSYWRPALQSIPEARVLSIIS >cds-PLY96656.1 pep primary_assembly:Lsat_Salinas_v7:7:45638005:45648935:-1 gene:gene-LSAT_7X34020 transcript:rna-gnl|WGS:NBSK|LSAT_7X34020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin-like protein XIF [Source:Projected from Arabidopsis thaliana (AT2G31900) TAIR;Acc:AT2G31900] MGTPVNIIVGSHVWVEDPEIAWIDGEVLDIKGSNATIVTTNGKTIKANISNIYPKDTEAPPAGVDDMTKLAYLHEPGVLYNLAARFALNEIYTYTGNILIAVNPFRRLPHLYDVHMMEQYKGATFGELSPHLFAIADDCYRAMINENGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKQGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDAKKFKLGDPRSFHYLNQTNCYEVANIDDGREYLETRSAMDVVGINNDEQDAIFRVVAAILHLGNVDFIKGKEFDSSKVKDEKSLYHLRTAAELLMCDEKALEQSLCERVIVTPDGNITKPLDPAAAALSRDALAKTVYSRLFDWIVDKINNSIGQDPTAKSIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKATHETFAQKMYQTYKSHKRFAKPKLSRTNFTINHYAGDVTYQADHFLDKNKDYVVAEFQALLNDSNCPFVANLFPPLEDSSKQSKFSSIGTRFKQQLQSLMETLSTTEPHYIRCVKPNTVLKPGIFENDNVLSQLRCGGVLEAIRISCAGYPTKRSFDEFLERFGMLAPDVLDGSDEKSACVAICDRFGLKGYQIGKSKVFLRAGQMAELDARRAEVLATAARRIQRQIRTYLTRKEFIVLKKATINTQKNWRGKKEAASIRIQKHIRGGIARASYKHLQGASVSIQTGLRAMAARDEFRRRRRNKAATIVQTEWRRFHACSEYKKKQKATLTLQCLWRSKVARKELRMLKLVDLEEAKGVEITKLQNALQEMQGKLEEAHNQIVHEKEAAKIAIEQAPPVIKEVPVVDNTKLEELTDQNHKLEDEILEFKKRVEEFEKKFNEVDNESKNRLKELEESQAKISGLQETIERLDANLSNLESENQVLRQEALVASTNEDLTEETENLKSKIKELESENEFLRNQKVVVVEQITTPEKVSPQLQSLNNGHEKNDDFETPHVEQEKTKELENTPYSSLTKQKSLTDRQQENHDVLIKCLLEDKRFDKTRPVAACIVYKTLVQWRSFEAEKTHIFDRIIHTIRSSIETQDNIKDLAYWLSTTSTLLFLLQSTIKASGSPYKSPHRNRASPTTLFGRMTQAPRSTRVRPTRGASRNILSNIVAKQQASIIHWQSIVNSLDNTLGILSENYVPSIITRKIFSQVFSFIDVQFFNSLLLRRECCSFSNGEYVKSGLHELEQWCKKATDQFAGSSWDELRHIRQAVGLLVSHQKTQKSMEEITNELCSVLSVPQIYRIGTMFWDDKYGTQGLSQEVIGKMRSLMAEDSVSMPNNSFLLDVDSSIPFSLEEISQSFLDIDLSEIDPPPLLRQRSDFHFLLQQIE >cds-PLY73130.1 pep primary_assembly:Lsat_Salinas_v7:2:191541781:191544487:1 gene:gene-LSAT_2X112401 transcript:rna-gnl|WGS:NBSK|LSAT_2X112401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1B [Source:Projected from Arabidopsis thaliana (AT2G01720) UniProtKB/Swiss-Prot;Acc:Q9ZUA0] MEVFVARSRLALISLLLALLSLSFGSSSSLTSSSSELQILTAERRIDLASHIIRVVLTLKVQNDGASPAKNVLLAFPPPQVKHLALIQAAELTGKKKKKAVHPLDVTPSDHADGPNGAKFFSISLRNPLTTGGSVSIEVSYILTQSLEPFPVEISQSEPQLVLYRDSALILSPYAIKQQTTVIRTPSTKVESFTRVEPSSQIRTELKYGPYENQSPYSYTPILVHFENNNPFAVVEELVREIEISHWGNLQITEHYTLVHNGAKHKGGFSRVEYQSRPTISGVSSFKHLVAKLPPRVHSVYYRDNIGNISTSHLRTSYSKSELEIEPRYPLLGGWRATFVIGYGLPLQDFLFESNDGRRYLNFSFGCPIAETVVNKITNKVVLPEGSKNPSVIVPFSVEHLSETKYTYLDIVGRPVVVLEKENVVPEHNSHFQVYYNFHPMFMLAEPLMLTSVFLFLFVAAVAYLHMDISIRK >cds-PLY80633.1 pep primary_assembly:Lsat_Salinas_v7:5:245165131:245169638:-1 gene:gene-LSAT_5X122520 transcript:rna-gnl|WGS:NBSK|LSAT_5X122520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAISASPLYLPFFFLLFSALLYRPTFAAKRSYVVYLGAHSHTSQLSTSDLNRVRDTHYEFLASCLGSKDKAKDAIFYSYTRHINGFAAILEDEEAAQIAKHPTVVSVFLNKGRQLHTTRSWDFMGLEDNGVISSSSIWKKARFGENTIIGNLDTGVWPESKSFTDEGMGPIPLKWKGACINGADATFRCNRKLIGARYFNKGYASVVGPLNSTFNSPRDHEGHGSHTLSTAGGNFVSDASVFGYGNGTAKGGSPNSRVVAYKVCFPPVNGNECFDADILAAFDMAIHDGVDVLSVSLGGDAVPFFNDSVAIGSFHAVKNGIVVVCSAGNSGPDDGTVSNVATWQITVGASTMDRQFPSYAVLGNNMRFKGESLSAKSLPKNKFFPIISSLDAKAAKADAKDAQLCKAGSLDPKKAKGKILVCLRGDNARVDKGQEAALAGAVGMVLANNLLSGNEIIADPHVFPATHITYTDGLAVYNFLNSTKNPMAYISHPSTKLDTKPAPFMAAFSSKGPNTITPQILKPDITAPGVSIIAAYTESQGPTNQDFDTRRVQYNCVSGTSMSCPHVSGIVGLLKTLHPDWSPAAIKSAIMTSARTRDNAVEPITNASHTRATPFSYGAGHVQPNRAMDPGLVYDLTTVDYLDLLCALGYTKSQIEKFSETPYKCPNKNISLTDFNYPSITVPNLNGSITVARTVKNVGAPGTYVVQVFKPRGISVQVRPKSLKFEKIGEEKRFRVTLKSKKGSGGRDYVFGQLKWSDGKHYVRSPIVVKTI >cds-PLY75925.1 pep primary_assembly:Lsat_Salinas_v7:9:199803246:199805165:1 gene:gene-LSAT_9X123360 transcript:rna-gnl|WGS:NBSK|LSAT_9X123360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPEKENFAKAKIEDPNQNPGLLQSLLNTDGVPMDDRFYIKIFEDYGKAQQLAEAIASGEKLDRKVYRIRNKELVKFIDKDFEGMKDIHIISEASIGVLADAESNLLNHSFLDLVGTVDEVKLAEELILENVLKTYSSLCYPVILMPQAIYMDHIKIHLHKVCRLLGTYRSNILRIEKESGAWIKIEQGSFPGVWEWERVVNIFGPHENVNKAKLLIQSVISEQPEELSATEALNEFLYQFKEPSCSELIDHKKSVINDESNKKKKQKVGEPISGQTFLRIKNSGDWKSEGSSSNQTEGESEEKKKQHEFKEPTWSETFGPVSPSPGESSEGSKQTEESGELDKGKQQVLQAEESGSSLTDEEKQQHLQHKKSEDAGPLSAA >cds-PLY87082.1 pep primary_assembly:Lsat_Salinas_v7:5:263685297:263687473:-1 gene:gene-LSAT_5X135120 transcript:rna-gnl|WGS:NBSK|LSAT_5X135120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g17630 [Source:Projected from Arabidopsis thaliana (AT1G17630) UniProtKB/Swiss-Prot;Acc:Q9LNP2] MIIVSPSNSRRFLHISCALVSRRWISNGYSCHTLFEPYTLNEAFDHFDHLLRGCTNSRQFKQVHAQIVLLGGLSTAFLSSTLVSVYGRFERLCDARRVFEAVPTECLFSNTIIWNSMLRANVMNGHCRGTLEVYTRMRHLGVLADEFSFPLAVRACAKTGNRYSCALVHCHALRTGYHYNLHVANELLAAYGRLGQMALAHQLFDEMHVRNHISWNTMVSGFAFNNNSSGALKIFKRMELDGWEPDLVTWTSLLSSHSRCGHHQETLRLYNVMRIKGIKATAESLAVVVSICNDSNKGRELHGYVIKAGLENYSFAKNSLLCMYGRHGFLQAAECLFSEIETKSLESWNGLISSYTQLGLCDEAFSTFLELKKSEGNITPNVITWSDIINGFASKGRHEESLKLFRQMQVEKVRPNVVTISTMLSICADLSTIVYGKEIHCHVIRGLMLIDDNLLVGNGLINVYSKCGSLKEGHIVFKNIVNKDLCSWNTMIKGYGMHGFGESALKTFKQMVNDGYTPDGVTFVSLLSACSHAGLVSEGREIYKKMKNEFGIEPEIEHYTCMVDLLGRGGLFQEASEVVKKMKIEPNVCVWGALLNSSRIQMQMQMQKQKKIDWDDGCVEKILEGSFGIGNYMLVSNMYAGNGRWEESAKVRVSARAQGLTKTPGQSWIELNKKVRIFTAGEFVESEMKEVEMVIKILSFEMKMKEGYIWHLGFE >cds-PLY85305.1 pep primary_assembly:Lsat_Salinas_v7:5:326391420:326392432:-1 gene:gene-LSAT_5X181161 transcript:rna-gnl|WGS:NBSK|LSAT_5X181161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center Psb28 protein [Source:Projected from Arabidopsis thaliana (AT4G28660) UniProtKB/TrEMBL;Acc:F4JM05] MATLQTMAFSSPVSHCCKKPQFLPGTISGVVCHSSRSAFTGQALSIPISRQNPNRLNNCRTFTLTIQMVKPSIQFIPGKDEQTIPDVKLTKSRDGTNGMAIFKFDQPSVFDSSGEVGDITGFFMIDDEGTLQSVDVSAKFVNGRPAGIEAKYVMRTPRDWDRFMRFMERYANVNGLQFIKS >cds-PLY73236.1 pep primary_assembly:Lsat_Salinas_v7:8:178247335:178247940:1 gene:gene-LSAT_8X115740 transcript:rna-gnl|WGS:NBSK|LSAT_8X115740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLFTTTESKTGFSSFLDTIMDEPKLVMILPFRSAEALREKKRLPEKATINSTDEKEKELSVSLLKIQVGFIPKASKHL >cds-PLY95804.1 pep primary_assembly:Lsat_Salinas_v7:7:92355314:92358292:1 gene:gene-LSAT_7X64341 transcript:rna-gnl|WGS:NBSK|LSAT_7X64341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTNGEIEDNPNPEVSESKLKNVESVGEKDTLGECKKSASTNDMLFRADEIDLKCLDVHLEKHLSRAWSRNVEPNRPKEPWEIDLAKLEIRNLIARGAYGTVYRGTYDGQDVAVKLLDWGEDDMATTNELAALRASFQQEVAVWQKLDHPNVTSFIGASMGTSNLKIPAKNSSDDPTELPSKACCVVVEFLRGGTLKGLLYRNRKKKLPYKIVIQLALDLARGLSYLHSKKIVHRDVKAENMLLDTNRKLKIADFGVARVEAQNPKDMTGETGTLGYMAPEVLEGKPYNRKCDVYSFGVCLWETYCCDLPYPFLSFAEVSSAVVRHNLRPEIPRCCPSSLANVMRRCWDANPDKRPEMPEVVKLLEAIDTTKGGGMIPEDQVAAAGCFCFGPVRGP >cds-PLY90667.1 pep primary_assembly:Lsat_Salinas_v7:6:54660748:54661691:1 gene:gene-LSAT_6X40701 transcript:rna-gnl|WGS:NBSK|LSAT_6X40701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMVGDVSFPPHITTTKPLSLVGHGITEVELHSQRHKFTAVGVYIDPKIITHLQQWKGKSGMELAEDNAIFDRVISAPVDKYLRIVVTKEIKGIQYGVELVRAVKDKVVAEHKYGDEEDMALVEIMEFFRFRPLNKDSVLTFSFLATSNIAEIGFSSQGYGDDMTTMKVLNRNAVEAMKKWYLGGTKAYSPSTILSLANTLASELSK >cds-PLY95581.1 pep primary_assembly:Lsat_Salinas_v7:6:138372529:138373218:1 gene:gene-LSAT_6X84140 transcript:rna-gnl|WGS:NBSK|LSAT_6X84140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYDQNALPNSLCPIHIARTVGEESRIGAPIVLPNSNPLPREPCTGSPGTIPVYYPSSAPTVPDTGYVNLNYGNVVPAVANWLPRMLPPVTSGGVGMLPGYAYNNTSLASPRFYDPNLPPLGGSGGGSNASDHASDEGGDDSASGRKVRFLCSFGGKILPRPSDGVLRYVGGDTRIISFRRDVRFSELLQKMYDSYGQNLVIKYQLPGEDLDALVTVSRPKDFDFGYHI >cds-PLY72210.1 pep primary_assembly:Lsat_Salinas_v7:7:58747332:58747993:1 gene:gene-LSAT_7X42380 transcript:rna-gnl|WGS:NBSK|LSAT_7X42380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLLPEKVKLRINFGSISTSGRFMKPASFPIGNSTPDSTLPEDGPIELPFSIPSFISIDDDPTTLQVATNVLLTDTDTISIFLIRSLRRRAKRAKELKFRSKRPKTKKMLKEEALESLKAMTPVEANAPPLPLQAFLGGLSAGIIAIILYKFTTTIEASLNRQTIYDKIIPNS >cds-PLY93039.1 pep primary_assembly:Lsat_Salinas_v7:9:26727277:26729140:1 gene:gene-LSAT_9X23500 transcript:rna-gnl|WGS:NBSK|LSAT_9X23500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAASHLFERRFFLAFRRALSISCSSQHLLSPTTLRFSTQVTDVSESIKEEEIRYSARTIGGEESTREDKEEAPRSLCGRIEKLERGDPVGSAFQSWMGDGFPIHRGDIFHTINRLRKRKFNKRALEVMEWVIRERPYMPKQLDYSFLLEFTAKLHGIPQGEKLFSHIPSEFQDELLYNNLVMVCLDKGMIRLSLAYMKKMRELGHSISYMVFNRLIILHSSPSRRKSIPKILTQMKADKVSRHVSTYNILLKMEANQHNIHGLNKVFDDMNHANVEPNEITFCIIATAHAVARLYTACETYIEEIEKSMTGKNWSTLDILVILYGYLKKSKDLERTWRIIQEQPRVKSKSYVLAIEAFGRIGDVNRGEEIWSEMKSNYEMKSTEMFNCLISVYCRRGCISKATGLYREMETYGCKANSITFRHLVVGCLKAGLKKEALKTMELGMGVMTSSSVKRSTPWMETGYEMLEVFAEIGDVENAEKVFDELTKGNYAKYTFVYNALIKCYVKAKVCDSNLLKRMILGGSRPDSETYSLLKVLEQFRR >cds-PLY81425.1 pep primary_assembly:Lsat_Salinas_v7:3:217615835:217617943:-1 gene:gene-LSAT_3X126161 transcript:rna-gnl|WGS:NBSK|LSAT_3X126161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNTRCTGRKVSPKWILIFSTFSFAFGMFFTNRVWAPLDSDGKVMRRREQEIKIISDDCITNKDSDILGAIHKSDEAIRSLGNSLSKLRMELPIAESSPEKLNHGSLQANKTVSEKDSRRKKAFVVIGINTAFSSRRRRDSVRETWMPRGEKLIQLEKEKGIVVRFIIGHSATSKSILDRAIDSEESQHKDFLRLKHVEGYHELTAKTRTFFATAYANWDAKFYVKVDDDVHVNLGMLASTLHRHQTKPRVYMGCMKSGPVLSQKNVKYHEPEYWKFGEEGNRYFRHATGQIYAISSDLAAYISTNQ >cds-PLY75994.1 pep primary_assembly:Lsat_Salinas_v7:1:41907350:41911265:-1 gene:gene-LSAT_1X35840 transcript:rna-gnl|WGS:NBSK|LSAT_1X35840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENIKTKHPQLLYESKLYKILQGGTGIPNVRWFGVEGDYNVLVLDLLGPSLEDLFNFCNRKLSLKTVLMLADQMINRVEFVHCKSFLHRDLKPDNFLMGLGRRANQVYAIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQLANPPSRALGASAGPSNAAPPVGANPIRQLGEEGRPAGWSSSNPSWSRNPANSGTLSKQKIPTTTDQSMSRDLSRSSFIRTTGSSRLTTGEPDSSRARTTDASPGAVNNKGSNMTQRSSPVVSAEQKRPISRNASNTNIKNFESTLKGIEGMHF >cds-PLY98691.1 pep primary_assembly:Lsat_Salinas_v7:5:303461633:303463912:1 gene:gene-LSAT_5X163100 transcript:rna-gnl|WGS:NBSK|LSAT_5X163100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRHVKAEPSGSGSKVNGASRRNRGESDHNEGDDQNEGNGLERRVLRSRYLAVKNLISAKRDDITKADSDKFRFIFDEVECLHQLVQKPREQVADAEALLDITNTLMSSVKAQSNEGVTPSDFVSCILKNFGRIGSGSDGADEIRNSVRWKDIGIAVSHVFLKADGCCTMLGPMNCEIKQRKVVEQRKRARLTEKARPEELASSVTEEKTDTDKNMSTMFDVLRRNRTVKLETLVLNRTSFAQTVENLFALSFLVKDGRAEIKVNDKGDHLVSPRNAPAANAVASKEVSYTHFLFRFDFKDWKLMLDAVEAGEELMPHRIPVDTSPNSSQKVNENEGVLPTTPIRKFSRNRGLVIQEDTVVEDSPESGDSGSRGGGGGAIRKGKRKVR >cds-PLY76237.1 pep primary_assembly:Lsat_Salinas_v7:4:53211456:53212938:-1 gene:gene-LSAT_4X36461 transcript:rna-gnl|WGS:NBSK|LSAT_4X36461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTLDKCKTCDKTVYFVDLLTADGVTYHKACFRCTHCKGTLSMSNYSSMDGVLYCRHHFEQLFKESGNFSKNFHATKEKEKAPPSKVSSIFTGTQDKCRQCSKTVYPLEKITMEGEPYHKSCFKCAHGGCPLTHSSYAALDGILYCKHHFAQLFMEKGNYTHVLEAANRRSNAKPEDVVEPAGEEEGEGGGGDDEDGQAEPPAEEEKPPEED >cds-PLY72867.1 pep primary_assembly:Lsat_Salinas_v7:5:169305725:169305960:-1 gene:gene-LSAT_5X73500 transcript:rna-gnl|WGS:NBSK|LSAT_5X73500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQPNTGLFVGLNKGHVVTKKELAPRPSDRKGKTSIRSHFVRNLIMYI >cds-PLY64640.1 pep primary_assembly:Lsat_Salinas_v7:6:34325090:34325744:-1 gene:gene-LSAT_6X25460 transcript:rna-gnl|WGS:NBSK|LSAT_6X25460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMTMASSFLGGSAAIAVTKQPSTTGRRGLVVMAKASKVAGVENTVVDEKKEGSSINGRRDMMFAVAAAAAFSMAKVALADDEEPKRGTAAAKKKYAQVCVTMPTARICRN >cds-PLY61919.1 pep primary_assembly:Lsat_Salinas_v7:4:221309130:221309777:-1 gene:gene-LSAT_4X121160 transcript:rna-gnl|WGS:NBSK|LSAT_4X121160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSSPRMQTRQQGTTITALQSQMIKKIATMAKYFLLGEDVIGKQLLQDATDKGQLDAIFILGMLLMTEGSERKQEALMMLNNAYVNTRRS >cds-PLY80652.1 pep primary_assembly:Lsat_Salinas_v7:5:247185366:247188753:1 gene:gene-LSAT_5X123180 transcript:rna-gnl|WGS:NBSK|LSAT_5X123180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRPLFGSSLRLPIKPSVSSSSILFNPISKQFYNRKSQSIYLFKKSHYRFSSSPAAVATDPGTAVAAEESNASDDVPKEVEKVVLPTNESSETLLRIRHTCAHVMAMAVQKVFPDAKVTIGPWIEHGFYYDFDIESLTDKDLKKIKKEMDRIIGRNLPLVREEVTRDEAHKRITSINEPYKLEILESIKEEPITIYHIGDEWWDLCAGPHVETTGNINRRAVQLESVAGAYWRGDVNKPMLQRIYGTAWENEEQLKAYLHFKEEAKRRDHRRLGQDLDLFSIQDDAGGGLVFWHPKGAIVRHIIEDLWKKIHTQRGYDLLYTPHVAKAELWSISGHLDFYKENMYDQMNIEEELYQLRPMNCPYHILIYKRKPHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIKGVLDLTEQMLLQFGFDKYEVNLSTRPEKAVGDDEIWVKATGALKDALTDKGWAYEVDEGGGAFYGPKIDLKIEDALGRKWQCSTIQVDFNLPQRFDITYIDSNSERKRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWLSPIQARILPVTDTQLEYCKDVVEKMKGCGIRVELCTGERLPKLIRNAEKMKIPLMAVVGPKEVETGCVTVRSRFGGELGTMEVDDFIGRIKNAVENRTFV >cds-PLY97739.1 pep primary_assembly:Lsat_Salinas_v7:1:20722258:20732949:1 gene:gene-LSAT_1X18740 transcript:rna-gnl|WGS:NBSK|LSAT_1X18740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGYSADGVMSGIQGTNGCGDAQFPGLWSSPEGRQNIDIGKQIFCNSAVNMKNIVAVGFDMDYTLAQYKADTFESLAYDGTVEKLVSNLGYPAELLKWSFDWTYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFRLISKNEKVSTYGNSFVRDAFDGPDYAHIDTLFSLAEAYLFAQLVDFKDNNPGKIPEEKDYSHLYNDVRSAVDMCHRDGTLKTLVAKDIKKYINEDNSIVPMLKMLKTSGRAVFLVTNSLWDYTNVVMNFVCGTQTKNGDSSPSLDWLQHFDFVITGSAKPGFFHDVNRANLFAVETESGKLINTDNGTPLAQVGCPDLDLPDHIPKQCKVFQGGNVGHLHKLLNVGSSSQVLYVGDHIYGDILRSKKILGWRTMLVVPELEKEVQVLASSRDTRKKLQLLRSERDQIEDQTHHLKWSLEHENLDVGEKEKISAKLEVLEGQRARVRAAHQESQRIFHQKFHPVWGQLMKTGYQNSRFAHQVERFACLYTSQVGNLGLYSPAKYYRPSEDFMPHEADIL >cds-PLY92151.1 pep primary_assembly:Lsat_Salinas_v7:8:5245597:5245917:-1 gene:gene-LSAT_8X3980 transcript:rna-gnl|WGS:NBSK|LSAT_8X3980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMDHEELSNNLKKKRRERVSFSASLPEDIHGVFADSDCAVKYSSDPFHDIRKSIVKMIQYVKVQDWNDIEELIYCYIALNSPDVHIYITEAFLSLSTPRFTKRRN >cds-PLY97657.1 pep primary_assembly:Lsat_Salinas_v7:5:233408527:233409260:-1 gene:gene-LSAT_5X115421 transcript:rna-gnl|WGS:NBSK|LSAT_5X115421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKNIILFISLLLILSILPRTHATVKYSITNTATQTPGGIRYETQIGFKHSKHILKSSNLFIWRLFQQRIKAERANVTHVHLLIDDMDGVAYCENNEIHVSARYIANYKADDIDDDVKREFNGVMYHEMTHVWQWSGNGSTPGGLIEGIADFVRLKAGYIPSHWVQPGEGDTWDQGYDVTARFLDYCEGLRNGFVGELNKKMRYGYSVDYFVDLVGKSVDQLWSEYKAAYHL >cds-PLY70181.1 pep primary_assembly:Lsat_Salinas_v7:9:157857:159529:1 gene:gene-LSAT_9X4861 transcript:rna-gnl|WGS:NBSK|LSAT_9X4861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFPTHLLPSIFGILGNIISFCVFLAPVPTFYRIYKKKSTEGFQSVPYSVALFSCMLLLYYGYLKTENGMMIITINSIGCVIETIYIVLFLFYATKEALISTVKLLAFFNILSYGLIVGTTLLATSNGPQRVAVVGWICAVFSVCVFAAPLSIMRLVIKTKSVEYMPFSLSFFLTLCAVTWFFYGLLIKDYYVATPNVLGFIFGITQMILYMIYKDKKKRVKPTVQEQDVPVPAVVDLGEILEMNEKVRVDSGVILEIQEKARVEPRVLMEMQEKSGVEDEAGCDKKEMDRVSLDLDSEMVIISSVA >cds-PLY67118.1 pep primary_assembly:Lsat_Salinas_v7:5:291629713:291630312:1 gene:gene-LSAT_5X155201 transcript:rna-gnl|WGS:NBSK|LSAT_5X155201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKQEPFVNTGKKSFLNWTEHMDAAFVDAMVQQQEKGNRPYGNFTSQAYANIIEELNKKLNMNLTKSHLKNRLKTLKSSFSQWYDMFNGISLSGFGWNVDTQLIEADEQVWDNLIKSKPDAVVLKTKKVAHFEQMLVLFAKDRASGENAETTKERNARFNKTTNIKIESV >cds-PLY62561.1 pep primary_assembly:Lsat_Salinas_v7:9:76653808:76655516:-1 gene:gene-LSAT_9X60760 transcript:rna-gnl|WGS:NBSK|LSAT_9X60760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNSTQVPSSMESSRKRKSRTRREGPKGVAETLAKWKEYNKKIDKLDEKAKPTRKVPAKGSKKGCMKGKGGPENSRCNFRGVRQRTWGKWVAEIREPNRGSRLWLGTFGSAVEAALAYDEAARVMYGPCARLNLPNCRTMSEYYSESMVVANGASSCDSTTTCSHSEDSKNGSGSQIVKDDCESNCNESGNPPLMTTVKQEVEDEEDGKDVKVKEEPDEIDFQNVHVDHELFDMEELLELMDQKSPGSKPDPGNQVHDQGGEVDRRSAPYWRQQELPEVGNRSKGEDGGGDYGFDFLMPGRPEDYNFTLDDLGFDLGGDLGL >cds-PLY87067.1 pep primary_assembly:Lsat_Salinas_v7:5:257224340:257224989:1 gene:gene-LSAT_5X132560 transcript:rna-gnl|WGS:NBSK|LSAT_5X132560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQNQRYRAGEATGQAEEETKQTGSYMSEKAGEVKEKASQMGKSTKETAEAGKEKTGGLMQRTGEQVKSMAQGAADKMKQSFGMAGSGEEDDELVLGRG >cds-PLY68552.1 pep primary_assembly:Lsat_Salinas_v7:8:298072008:298074395:-1 gene:gene-LSAT_8X163761 transcript:rna-gnl|WGS:NBSK|LSAT_8X163761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTANPPLRLLLIVDLIDSFMFSSLPLHQGFSHPYPPPDVDCRRGEEETNFYKASNALICSFFWVCMDQWG >cds-PLY70018.1 pep primary_assembly:Lsat_Salinas_v7:8:145495151:145495831:-1 gene:gene-LSAT_8X98780 transcript:rna-gnl|WGS:NBSK|LSAT_8X98780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQAMFFIDKPQSAKIAPSFFFASSSRFALFSFSFFFCFDHIDRRTSADQKMLKWKWISWNNKIHGKWISTERYELRLRIEIVSRYAETN >cds-PLY75576.1 pep primary_assembly:Lsat_Salinas_v7:9:32030657:32032121:-1 gene:gene-LSAT_9X28741 transcript:rna-gnl|WGS:NBSK|LSAT_9X28741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKLHVLFITCVLSIRVYIYRVLARSISTLFNLLICLYLGLLANGNFEEGPKASDLQKTVLIGRYSLPKWEISGVVEYVSGGPQPGGFYFAIPRGAHAARLGNEASISQVADLKVGSTYSLTFSATRTCAQDEVLRVSASGQSSDLPIQTLYSSDGGDTYAFAFKATSGSVKISFHNTGVQEDPTCGPLLDAIAIKEMRPLRYTKGYLVKNGGFEIGPHVFKNFSTGVLLLPKIHDIISPLPGWIVESLKPVKYIDSKHFRVPEGQAAIELTGGRETAISQIIRTIPKKFYTLSFTIGDAKNSCHGSMMVEAFAANETLKVKFESTGNGGFKSSSFKFQAISARTRLTFFSAFYHTKLNDYGHFCGPLLDDVKVLPVFP >cds-PLY93306.1 pep primary_assembly:Lsat_Salinas_v7:4:301713711:301715536:1 gene:gene-LSAT_4X153400 transcript:rna-gnl|WGS:NBSK|LSAT_4X153400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFPFVSSQNNLSVDNIGGNRYEPKSVLDIRRSPPSPLTGNSSDFNSIGYYNTVLLSSEEQLPVDNFENGMINHREEWDSDSLMRELGLYDDSTKSAYPLDLPELPPFFQSDFESLNPNSNQNPFDLMSQRDDGSEFDFRDELIRLADCFETQSFQLAQVILARLNQLLRSPTGKAFQRAAFYFKEAIQCLLTGSTRSFQTCNSYEIVQVIKAYKTFSIVSPIPMFSSFTANQAILDAVDGAMIVHVIDFDIGLGGQWASFLKAIAEKAEARKVNSPVVRITAVVPEEYELESRLIRDNLHQFSSDLKVRFDIDFVSIRTFEYLSFKAIKFVTGEKTAVLLSPTIFRRIGAGFINDLRQVSPHVVVYLDGELNGSGASFFRQTVINGLELYTTILESLEAANVGGGVTGGDWIRNIEMFVLLPKIITTVEDAGRHVTPWREAFGRAGMRPVGLSQFADFQAECLLRRVQIRGFHVAKQQGELVLCWHDRPLVATSAWTI >cds-PLY69518.1 pep primary_assembly:Lsat_Salinas_v7:6:42819102:42820866:1 gene:gene-LSAT_6X32841 transcript:rna-gnl|WGS:NBSK|LSAT_6X32841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTTMAENKENHISIDNIPYEILYQIVSLIPLKEAARTIILSSSWKHLWAPVQVFVNMDFDRITTQESGQQMNQLISSFLNTYETSYQILNLSFLEPKTVSEETLFFKAIKGVDKELHICFNGETPTDFGLTIELPLCKNAHFCSLKTLHLRSITSLAGSFLPELFSSCWNLETLTLEKCSGLQNIDLKAHGFLQKFVVLDCENMENVTILSAPNLKSFCYRGTLVKTELEDVPALCDVTLDFKGSTALRDHSEFDSEDIVSLLASLKDVEVLTVSGWLLELKLHLQILMVETSSLKTDPQINFLLLKQIDKKWSTKPCSHFHQSCPQAWTNEATTSSDWNLKHMKVVKFLGFKIEEQNRMLVSLIDVLLKKAIVMKKMSVTEPENKLSWSVAKVPRSHLNKMEKASTTTCHPSKSSLLLSSLDNNCCFRLTPTSENEIVPRFLVGLQTTRHHPRYGLATPLPNLR >cds-PLY69627.1 pep primary_assembly:Lsat_Salinas_v7:5:111944464:111960002:1 gene:gene-LSAT_5X49520 transcript:rna-gnl|WGS:NBSK|LSAT_5X49520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENFHNLFIFCLQFFFLATCSQKDTIEYDQAIRDGDTLVSSNEMYELGFFSPGSSRNRYLGIWYKNISPQTVVWVANRETPITDSSGVFRIDTNGSLRVILSSNNTSIWSSNLGLVYHTTLHSVAQLLNSGNLVLRTSGEESFIWQSFDYPGDTFLPGMKLGKDLVTRLDRRLTSWKSLDDPSPGPYVSFMDTNGFPQLFEEQQDSVPHLRLGPWNGITLNGMPSLGSNPIFTHEFIFDDKEVYYGYTLVNSSGVTRVYLSPEGNMLRLNWIERTQTWFLYWNANIDICSRYGLCGPYGSCNKNNSPPCSCMEGFVPRNPDEWSASKWSSGCRRRTSLGCQNGDGYRVFKNVKMPDTQRSWYNRSMTLGECETACKQNCSCVAYANIDIRMNGIGCLLWFDDLMDIGTVDESQDLYVRMAVSDLTISKTFKLRLSSNMCHGKPTNVLSTEPFPSITESTSKPGSDKKRKRIIVVASILSSMVILSLAILYGWRKNKRSHMKIPDEVVQTIDEEYTMESREGDTELSSFSLIKISKSTNAFANDKKLGEGGFGQVFKGVLDDGKEIAVKRLSQTSRQGLGELKNEVKFIAKLQHRNLVKLFGYCIQGDENMLVYEYMPNRSLDLFIFDKIKSSVLDWPDRFRIIHGIARGLLYLHQDSRFKIVHRDLKARNILLDVDMNPKISDFGLARLFREHENEANTNNIVGTLGYLSPEYAVDGTFSEKSDVYSFGVLVLEIVSGKKNRGFFHEKDSDNLLAHAWRLFEKGMAEELLSADIRDSCVPSEVLRSIHIGLLCVQHYAKDRPNMSNVVLMFDKEGTLPQPRQPAFFVEGSIPHTNLVSVNDITLTTLEPR >cds-PLY97032.1 pep primary_assembly:Lsat_Salinas_v7:2:69283147:69283545:-1 gene:gene-LSAT_2X31240 transcript:rna-gnl|WGS:NBSK|LSAT_2X31240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSLLGLGNLDMEGMRHLCVLKEDDEIPEGVLIDGVGRGCRRIGGGGIGDDVGIVAGNDVGGAINDDCVVVRSDVGGVGPVSSLGTLYCGLPLRGCEKNLEAFVTRYVSWQFFGSGGLSGLVCNNSLRCD >cds-PLY66485.1 pep primary_assembly:Lsat_Salinas_v7:5:327134800:327137065:-1 gene:gene-LSAT_5X182741 transcript:rna-gnl|WGS:NBSK|LSAT_5X182741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVFTPALEGMKHVKSEEGEMLSKPFLEVCKQILPVIDNFGAAMALVKTDVGGNITRLENKYLSNPSEFEKLYSMVRVEVEAKKADGSSSCTNGLLWLTRAMDFIVALFRNLMEHKDWSMSQVCSEAYTKTLKKYHGWLASSTFTVAMKLAPDRKKFMDVVGGKGDINSDIEKFCATFTPLLEENHKFLASVGLDDMKAK >cds-PLY98570.1 pep primary_assembly:Lsat_Salinas_v7:1:36734144:36734299:1 gene:gene-LSAT_1X31060 transcript:rna-gnl|WGS:NBSK|LSAT_1X31060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMDHRPLPAALLIRQVKRRLETERLFDFCEEKITVGEGGXGGDRISRVLK >cds-PLY67639.1 pep primary_assembly:Lsat_Salinas_v7:2:207609435:207609902:1 gene:gene-LSAT_2X128121 transcript:rna-gnl|WGS:NBSK|LSAT_2X128121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPLQSKITLSNDSRQKLRASRFHRRTHQHERSKKKDKEPFMLMTLTDENAEEITILLWKECIDSPDKFNRNALTSNSNTVVLAFTNLKSTMYNGK >cds-PLY84443.1 pep primary_assembly:Lsat_Salinas_v7:2:853721:854771:1 gene:gene-LSAT_2X1520 transcript:rna-gnl|WGS:NBSK|LSAT_2X1520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPILLIKATKNKEITEGWISHDCRLFVINGPERIDSRTLGEKHGKVRLGIISIDMIMCIKPSFY >cds-PLY69488.1 pep primary_assembly:Lsat_Salinas_v7:6:44904029:44905884:1 gene:gene-LSAT_6X31981 transcript:rna-gnl|WGS:NBSK|LSAT_6X31981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFEETFTAYLVGDKRKDPKNNMCHFEYKKLKNDLNFCRHHRHIEASIDSHGDENPEDDLNQIPPHEPCHRCEGIFSELTTEATKMADYISLRVRKLVHLHFTPGFRRFLWCLFRYFKDDQEALLRKGWILIQFMIMNAIALRKILKKYDKVHESASGMNFKSKLQAKHLDVMQSPWLIELVAFYMNLKGSNSLTSDELFGQLSYDLNISDEESLLTLTLLGSEKLEYSLTCPICLDIVFQPYSLSCGHIFCKSCACLAAGVLIIQGFKFANQDSKCPVCRESGVYAKSVCMSELGLLLQRRRGICRYKDGFKKRRMEEREKILIQTKEYWELQTSYVMGL >cds-PLY94456.1 pep primary_assembly:Lsat_Salinas_v7:3:251275037:251278954:1 gene:gene-LSAT_3X138421 transcript:rna-gnl|WGS:NBSK|LSAT_3X138421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPAYPRTDSYPYHTKPRPIEMDHGMNLPYYPWPYASHHSYPIPQGCHSCCNHSYFGCAYSYPSPSPYPYPHHPPPFHTHGFYPPFPGAHPAYTVPPPHYSMEQPRYDYDKNTPTTTTHHCSGCEKKSNIGQEEPEVEKKTFLKDSPYPIMWVPPSCMNTTESKNANEKENHSHEPVLKTIQPSKQRGNGEIPQESFPFPIFWLPSRNNEVRNKDMKEDNHNLDEETRKKNANEKENHSHNPVLKPIEASKQGGNGEIPQESFPFPIFWMPRKNNEVGNKDMKEDNQNADEKTRKNDEAESEKSSKHGVQKVIPVKQLGTKEEKASKLPPVCLRIDPLPRKKKSSSRSPSPPGDKERSKVSTTEDSKSFKQQTQSSEDLKNDSKKVEKDSVVDSCSEKGVEQDRSSEVEASKVEKRKILSENEAVVMIQSVYRGFEVRKSQPLMKLRQIAQVGKQVVELRNRIQDLESSMDDKQKLIIGETIMSLLLKLDTIQGLHPIVRDIRKSVAKELVGLQEKLDSLTFVKSETLSEATESQEDDGALEPQVESHDAENTGELNNDQITTLVEPEQPVDDSIDREQAQSRNKAHEVEAADPIHDMKPDHLVEHISGKLNDDYIQAQSGSNDEAVRLDLENHEVEVTDSVHDMTPEQLVDQTTGDVNSDQIQMAVKTERPVDDDVIQEKSYQPQSVSGISVETMESDLCEHDGDNHEVKVADPVHDMKPEQLVEQITGELKNNQVQTAVAAQRPVDDIIQENSDQDQAHSESGTCIETIECDGGTEARDINLEPHVEPEGKQSMIELSGEQLEMVEEIEPPVEEEKSDQREALILQNVDTMEDLTTATVEVETDVSTVETMELGKEDVDLEVAPMVDDVDGGSKEVVLMGDVGGAPPSSAAGSHGSSGGGDTKIVEENERLRVLVEELMKAGNEQVSVIKELTGRVKDLEKKLSKKKKKVKRSNRRPRGSLVDEVCVV >cds-PLY90208.1 pep primary_assembly:Lsat_Salinas_v7:9:20340358:20346119:-1 gene:gene-LSAT_9X19140 transcript:rna-gnl|WGS:NBSK|LSAT_9X19140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSSRHFDSSAHGSGEEKDDHGGGQLYVSLKMENYNLKGDLVPHVYGSVPLVGSWDTSKALPLERESTSMWELSFVVPPNHETLDFKFLLKPKDNNVPCIEEEGINRQFMGGTLQGVARLAVFNLTPDEILEYRVNIKTNRVSPFDLAASWRAYQENLEPSTVRGIPDVSINSLPEGVENQNGSSASLDLDLEQYLVPAPVTSVVYAANLTETPRSLKRGGTGVFSNAETSTKDSGVSVDRPATIKEMEVAIPDPPKLYHPPGMVESKSVGTISPSQRQESHRGIFVDRGVGSPRLVRSASAATLTTGTKRDPGAKMPAAAGAVAAAAIADQMLGPKEDMHLAIVLVGLPARGKTFTAAKLTRYLRWLGHNTKHFNVGKYRRLKHGTNQTADFFRGDNPEGMEARNEVAALAMDDMTAWMQEGGQVGIFDATNSTSERRNMLMKMAEGKCKIIFLETICNDRQIIERNIRLKIQQSPDYSEEPDFEAGYQDFKRRLDNYEKIYEPVNEGSYIKMIDMVSGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRDNVRARIGGDTVLSGNGELYAKKLTNFVEKRLKNERAASIWTSTLQRTILTANQIAGFPKIQWRALDEINAGVCDGMTYEEIKKNMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVVSHQAVLRALYAYFADRPLQEIPLMEMPLHTIIEIQMGVTGVQEKRYKLMD >cds-PLY75864.1 pep primary_assembly:Lsat_Salinas_v7:9:195235858:195238513:-1 gene:gene-LSAT_9X120381 transcript:rna-gnl|WGS:NBSK|LSAT_9X120381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAMGLTLEFKDLVNLFKERPLSILFGCACQYTIMPAFGFIISRLLGLSPSLSVGLILLSCCPGGTASNVVTLIAQGDVPLSIVMTVCSTLGAVFLTPLLTMFLAGTYVHVDAVKLSISTMQVVVAPVLVGSYLQSTFPKAVKTALPFAPLLAVLTSSLLACSVLSENIGRLKSSMVLTSLSSETSPLLYARSFLSSEMGMVVLSVLLLHFAGFFVGYIAATLVGFKERERRAVSIEVGMQNSSLGVVLAASHFSSRMVALPPAVSAVVMNIMGSSLGFFWRFVDPSDSESSSKDVFL >cds-PLY81252.1 pep primary_assembly:Lsat_Salinas_v7:4:305975771:305978186:-1 gene:gene-LSAT_4X155920 transcript:rna-gnl|WGS:NBSK|LSAT_4X155920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPHLHPTPAIFITFTVIIVIVVSFPAISCQESRQYDVCGDVVKCGDFQLHYPFWGSDLPAYCGHQGFQLTCESNVSLLSYQSVNYQILDTDTSTQTINMARNDLWYDICPEYLYNTSFNSTLFAVDSSGQENVSLYYGCNTSTPMIPLGANHLFSCNVNESQSDGYFLTTSQIVSNMSSFLRQCQNYITVPVKQYLAGRLASPFATADELRPALMAGFDLIWIANDVECNQCMRSNGRCGSNSTSPELFACYCDNGVFSLTCNNSTGSGGISSAIAGILIMLLVMFFQIKRWKNRGLIRPDRKPQLLGTSRNHQIEIFIRDYGPIAPNRYRYSEIKKMTNSFVEKLGQGGYGSVYKGQLPDGQLVAVKLLDKGMGDGEDFINEIGSIGKTSHVNVVTLLGFCFDGNKRALIYEFMPNGSLDKFLRRDESHLDWNTLFRIAKGIARGLEYLHEHCNTRIVHFDIKPHNILLDEDFIPKISDFGLAKLCKRKESIVSVTGARGTAGYMAPEVFLKRLGGASHKSDVYSFGMMVLEMTGACKENIASTTDMSGIYFPDTIYKKLEGGENVEVDGVTTEEEEELAKKMVMVSLWCIQSDPSDRPSISKVVEMLEGSFQSLHVPTKRFWSSPTRYSQGTSASTTLDSSHERLLTQATREDLST >cds-PLY92427.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:182581:182841:-1 gene:gene-LSAT_0X14561 transcript:rna-gnl|WGS:NBSK|LSAT_0X14561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKYNGGGYTLSSLRSVASGAAPLSKDVASRFREEFPWVGLKPGYGPMRAVRQMLSFFSLKKPKLGQQLQEVCFKLFLRRWLMGIR >cds-PLY89841.1 pep primary_assembly:Lsat_Salinas_v7:4:324693025:324696774:-1 gene:gene-LSAT_4X162381 transcript:rna-gnl|WGS:NBSK|LSAT_4X162381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSDWLFSQDIPSDVTVHTGGASFSLHKFPLVSKCGYIRKMASNPKDSGLLMVEIPDIPGGPEGFELAAKFCYGINFELSTENIAMVRCVSEFLEMTEDYAIGNLVTRSEAYLNEVGLKTLAGSVSILQSSVDFLPMADKVKLINRCIDTIAFIVTKESSASTGSLVSSSCSSHPRAVVDWWAEDLIVLRIDIFQRVLLAMISRGFKQYALGPILMLYAQKCLRGLELYGKSKKKINSKQEHEKRIVLETIVSLLPRERNAMSVSFLSMLLQAAIYLETTMACRLDLENRIGLQLEQAALDDILIPSFHFDGDTMFDVDTIQRVMMNYLEYELETTNNQENDMEKVGKLMESYLAEIASDRNLLVSKFINLSEHIPEQAKVSEDGMYRAIDIFLKAHPKLSDTERKKVCSLMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLRDTMDGSGQIAVEPTTTTTTTTTIRPLSDELSQLKKENQDLKFELLKMKMKLKEVERSSGDKFAAGSPIGGIRFSADKPPLPRKSFINSLSKKLGKFTPFLRADGVVPSSTRGMNKPTKDRRHSMS >cds-PLY79613.1 pep primary_assembly:Lsat_Salinas_v7:2:166642643:166650683:-1 gene:gene-LSAT_2X90281 transcript:rna-gnl|WGS:NBSK|LSAT_2X90281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKISRQVTFSKRRTGLLKKAHEISVLCDADVALIVFSTKGKLFEYATHSSMETILERYERYSYAEKLLTAPETETQASWTLESSKLKAKIEVLEKNIRHYDGEDLEQLNLRELQNVEQQLETALKRIRTKKNQLMHESISELHKKERALQERNNSLSKKLKENEKTSEQQIPMLHLPQHPPQPYPCSVPSFANTSGPFIGAAMREEESVQVQPVSTTMMPLWMIRHINQ >cds-PLY71483.1 pep primary_assembly:Lsat_Salinas_v7:7:190060346:190061667:-1 gene:gene-LSAT_7X116120 transcript:rna-gnl|WGS:NBSK|LSAT_7X116120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAISTSLNLKPSSITGLQKPAAKGLPSLTKTSFKIQASGTGGGMQLRDGVDASGRKPTGKGVYQFVDKYGANVDGYSPIYNEDEWAPSGDVYAGGTTGLLIWAVTLAGILGGGALLVYSTSALAQ >cds-PLY98176.1 pep primary_assembly:Lsat_Salinas_v7:1:140231719:140232885:-1 gene:gene-LSAT_1X101641 transcript:rna-gnl|WGS:NBSK|LSAT_1X101641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSQIPFHVQEEILKRLPVKSLIQFRSVCKAWRCLIDSAYFIAAHTVGKDQCQQLLIKYVVGEEARYVSIVDDDTFPQQRFVPTLPLSVKLLKRPCLVGSCNGLLCLDGYYYAPERSHSNFEKRKVLLWNPSIRKSIAIDVPVTYHVHDQTTFGFGVCPVTNDPKIVMIPQLGPMHEKKSEINNPRGVMIYTLSSGEWRSPSSNVWRKSVRVRPYGVVVDRLMYWCGSHQMERDGWVQWTSNLIMSFDLTNENFEIIDLPDSLARHPPVRLSVSKIRDSLVVIEDSFFNKEKQHCAVWMMENGAQKSFTKLFTVISQHALIMPLGFRKNGTPIMEVDEYGYEKSQIMVYDPNSQHFNDLEIFGKRYCSTVTSFMETLLLLGRSDCYSF >cds-PLY68181.1 pep primary_assembly:Lsat_Salinas_v7:8:118833409:118834610:-1 gene:gene-LSAT_8X82461 transcript:rna-gnl|WGS:NBSK|LSAT_8X82461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLHDGCFVLFFIECVACRNNKLMLNNEYLGALGFAHVSRDVLLLENQIPFVVLQVLLDLRFPVDRGERILNGFFNYLNYGEITTREEKVLENKQPLHLLELYRSYFISLSSSFGLGSINSGMWRRWRKKIEVDADEDWNYVKRNRSFASVMELKAKGIFVKCSYDESSNEDIKFHSRCCYGELELVRRAVYSNSKAIYLNMIAYEMCPHNPNDFRVSTYIRVMKSLVIHHDDVKELRNNNILLHSLGRDEEVVKMYDEIEAPAVNLYMFNQLRRGIEKHCTNKYKTWAAELINVYFSSPWKTVALLVATAILFTSFLQTYFTIRPLPDDSNGDIIKLLRHCVHSKPPSAP >cds-PLY83473.1 pep primary_assembly:Lsat_Salinas_v7:2:182689309:182689893:-1 gene:gene-LSAT_2X104921 transcript:rna-gnl|WGS:NBSK|LSAT_2X104921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFCPSIGALSTFSTMIYATGKRSSIVVAVSTNTTRPPSHLLHPYRTPPSTVFCKAHRYPTTLSTTLLSSVTLTFSAIVESHLSLLEQRNLHKKEVRPKKRFVFQLLHSTNLLQRLCFLSFFIHF >cds-PLY87567.1 pep primary_assembly:Lsat_Salinas_v7:3:166076349:166076710:-1 gene:gene-LSAT_3X103040 transcript:rna-gnl|WGS:NBSK|LSAT_3X103040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSNSMFDPNFNSNLYKSDLRDKLLLLRLFPNIQSSHHKFALVILNSSLERWAEKDVDPT >cds-PLY62890.1 pep primary_assembly:Lsat_Salinas_v7:9:131231037:131232327:1 gene:gene-LSAT_9X85360 transcript:rna-gnl|WGS:NBSK|LSAT_9X85360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELDQIYKALERHAKATSECQRLCGFINLVVIFMQEVEHTRMSYDDYFDIVVVGSMSMILTIKLIYGVAEDGGTHLLNYP >cds-PLY79637.1 pep primary_assembly:Lsat_Salinas_v7:5:253195145:253196992:1 gene:gene-LSAT_5X129201 transcript:rna-gnl|WGS:NBSK|LSAT_5X129201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQDEHTSRCSNSSSGGGGGGGGGGGGGGGGGGGSSHRSSKKLKHKKVPQRGMGVAQLEKIISEEQQKKDVVLTPNSIISPSNSCSNLATIQKVPNFRPPPISSSSIPLPPPLPPNHHPLISKTDGINTISASSFSKSTNMISGGSSSNWCRLWSDGDYNFEGEKQNQNQNHGMDHPRYTAAFPANLGGLPYESNPPIWPPPPNLMLQRSSHFQQPCSSSMVNVSAGTSSSSSSSVLNFQMEPPSNQSYNNGNNNNYHPLWPEEDKMVGMKRPYPFSLENVPIPSFHCKFPSAFVSPISRSDESACCSNGGTITMEPAHPVFRENPSSSGLISDTITKKFIDEHQGLTLTRDFLKLAPPQASCIGEQPQFETHKGHSKDPTHLSGRTGSNPQPFLTFFPAAKTSMGQPGNSNGEAGETVDLNLKL >cds-PLY77532.1 pep primary_assembly:Lsat_Salinas_v7:2:164789144:164791244:-1 gene:gene-LSAT_2X86460 transcript:rna-gnl|WGS:NBSK|LSAT_2X86460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVATVSGYHGSERFKLIKLVSQSGASYVGAMNPSTTHLVCRKFEGKKYELARKFKIIVVNHRWIEDCINQGRRVSERPYMKHCGFEVGPLLLEAPVVANEVRSVFGEVPTTSNFSENPVINIEDGESGDDDWKDSWLLKENLLPDVRQNKIRSNRLKRKCTNRQNKDCSESSKGGHRLILKNICNELKSCSDSEENSQHTEIHHNVVDIGEPSNSSGRQKSRRLFRKSFQDHEKRPLILEDLEKIEDLGTCCNLQDPDSNTRNPVNNSSEVDDDKCNGRKLSTTPHQLSCVICWTDFSSTRGVLPCGHRFCFSCIQNWADHMASMKKVSTCPLCKASFYSIKKMEDALSSDQKIYSQTVPNENASMDVYILPHAEASTFHTLGSIPSTPVCYQCGCVEPEELLVRCDSCQIRCVHSYCLDPPQFPWVCIQCKDLRMRYFR >cds-PLY67484.1 pep primary_assembly:Lsat_Salinas_v7:6:70531835:70533782:1 gene:gene-LSAT_6X49141 transcript:rna-gnl|WGS:NBSK|LSAT_6X49141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDQAQMMNQSQQLPIMQSHRLMNNQNSQMLMNQLINPSHVMMNQSQSQGMLNNRGGRYGMWPPALPEQLKFQNPNTKPSGFVPSSSKPMGPRNNKNWKGKKGNDKRNNNNWNELGNVGVGNYNPPTLKELQQQNRLKARRYFSKKKHNHGGKSAPFAPRNTTSFLIRAKKAGGITSLVSPCPVTPSVLPTPIFSPSREVLVDMAKEEWGVDGYGSMKGLIRLRSPGNDEEDEEGGSSESDVEEHLEVERRLDHDLSRFEMIYPNHGGADHNSYLLENRVDDQDTHIAQLEEENLILKERLFLMEREFDNLRKRLQSLERGMQGGQGFMEEVVVENDSENDSGSRGYGRSVEDTNELMEENDDGNGNQSSEPKESNNGEKGLQEMEVLKDVGLSDVHKEDNIVKNEEKLDVEIEGKMEDDNRIVELKEKDNE >cds-PLY94975.1 pep primary_assembly:Lsat_Salinas_v7:4:110005780:110006685:1 gene:gene-LSAT_4X71060 transcript:rna-gnl|WGS:NBSK|LSAT_4X71060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIISANTKPHYHARSKSLPSSSDQQSIFNKFYRFQDSQEATTSCSSSTFIGNKLKCLNDMYESIQPFLILPSTKQSLAQGCYKELLNKFLDELVGLLDLCSTTKDALSISMECAKELQSVIRRKRGNNHGLTSSIEGYLSHRRKVKKVVSKTLSSLQKQWASSVKESHRTDSNINILEEMRLNTLAVFESLLNFILGSSTQSRPKGWSFVSKMKGSKRVHCSETLEESELKKVDDELHAVIIYTKTKLDSSVVQNVKVGLAEMEFSLLDICDLLECLCRYLIKTRVSILNILSC >cds-PLY72019.1 pep primary_assembly:Lsat_Salinas_v7:3:187691412:187693106:1 gene:gene-LSAT_3X111880 transcript:rna-gnl|WGS:NBSK|LSAT_3X111880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLDEPVVDTETDRLSSLPHDLIHHILSFMDMKYAVQTCVLSSKWKFIWTTLTHIKFSTSEFQQLGQFIRFARHVIANRNDQTDVSSLEFNFDGTLSQILVKVLLNYVVSHNIRNLNISTFERDRKLPNCIFESQSLKHFTLKNIHEDYCIAPQYPWDLPNLTTLHLNDFVISDGRNENHEYLDLFSKCVNLKKLSITECYMSEVKVFKVSAPRLEDLTITDCFLNKLFISAPNLSSFVYGGECPLCLLTNGFQNLEKVDLHLPMPNPLSDFKKEDAFQLLKVLKEFHSTKFINLYSDVIQGLSMNPDVLLYQPSPFNNLKHLNIRQVSHKGKYSMLMVPNHVKNYFLYSSPNATITTEVPKIKELGIGSYYEADQIINFDI >cds-PLY75439.1 pep primary_assembly:Lsat_Salinas_v7:7:74814215:74817552:-1 gene:gene-LSAT_7X51901 transcript:rna-gnl|WGS:NBSK|LSAT_7X51901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDLVAFCSLLFQAYIEVAEVMLEDDVASNKAIGSYGSSFIQIQQKESKKFPVLTHCNTASLATAEFGTALGVIRALHDDRVLGRAYCTETRPFNQRSLKDIMEIIAQDVMNDGHKCGKSCRLRWLNYLRPNIKHGGFSEEEDNTICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGRRKQSHGNKLDGSTQDSKNGNEMESLSNSAIERLQLHMQLQNLENPNHSPNLAMWPFKLNPIQEKMMHRLQLLSESSNPLMMQYALPDAHQKDELFRPYNNMYSLPNNMLMNQMENSIDGINVPESSSQVQESVVMKQPGMEFQQYWALQAEIDEFLSNKGANSIQVDQAQANEFDYFKEMDGSKDMMTWWSNEFDANSASSNSWDSNGVLDHQQQGYEQSALKN >cds-PLY81314.1 pep primary_assembly:Lsat_Salinas_v7:4:37418428:37418897:-1 gene:gene-LSAT_4X24861 transcript:rna-gnl|WGS:NBSK|LSAT_4X24861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIKXDGSSRNGMAAGGGIIRDYKGSALVAFSTFYGPGTNTFAEARAPLFGLMLCYRLGYSKVAVESEAVPWTVPYMIRSLKTFLLPSMSISHIYREGNQSADTLATHGHFHQEHFEFLSFLMALFLLPLLPKEVSPPRVCLPVP >cds-PLY99717.1 pep primary_assembly:Lsat_Salinas_v7:9:56316845:56318865:-1 gene:gene-LSAT_9X47941 transcript:rna-gnl|WGS:NBSK|LSAT_9X47941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVGCVAALGGAPPLPPSTPTRYSCNNGGAFLRLTFPPLKRSPFCTLKLGATSSSSINPSFQVKRRIIRPNPALDSDIPNPVDQEDNEQEEASKKQLQQWDSLTAKFAGAANVPFLLLQLPQIVLNARNLLAGNNSALFAVPWLGMFTGLLGNLSLLSYFAKKRETEVVVVQTLGVVSTYAVITQLAMAGSMPLPQFAATSGVVACGLLINFLYYFKLLNHRIWNLWEDFITVAGLSALPQVMWSTFVPYVPNSILPGIISFMISVVAVIMARIGKLPEKATKFVGSVSGWTATLLFMWMPVAQMWTNFLNPDNIRGLSSFSMLLAMTGNGLLIPRALFVKDLMWFTGSCWASFFYGWGNLICMYLCASISKEFFLAATVGLFLWIGLPFKSFCYMLVLLSGYS >cds-PLY74663.1 pep primary_assembly:Lsat_Salinas_v7:5:177313639:177313945:-1 gene:gene-LSAT_5X79400 transcript:rna-gnl|WGS:NBSK|LSAT_5X79400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAGELHINAKKFGGLSKPCMKDMITFLGCLSLNHNSDEKCTRQRQLLDTCLESQTGKKRKPWGTLNYHLQRLNRGRK >cds-PLY66111.1 pep primary_assembly:Lsat_Salinas_v7:7:31712726:31717371:-1 gene:gene-LSAT_7X24040 transcript:rna-gnl|WGS:NBSK|LSAT_7X24040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRVEVRNEYGLGMPELYTETNREDPKAVLDGVAVAGLVGILRQLGDLAEFAAEVFHGLQEQVLITSSRSHKLVQRIHNIETALPPLEKAILAQRSHLHFAYTAGSHWHSRLKTEQNHFIYSDLPRCIMDAYEDCRDPPRLHKLDKYDIGGPGSCFRRYSDPTYFKRTSSGPYEAHLQNVPREKKARRNKKKKTSRYRDLTHEAPVIMHTESMDFGFSNMVEKASPSPSQDISSFNVPQNSGIDPEIDHLATFESRNDSNYIECIFRPSYSTQSEDNNNLKEPSADYHHNSFLDSASIDDNNGGVSVLPDENINSTSRSPYITWDEKLEIIDSTGRPSDKIEEKEEDHIDFGFHDEPIPTPIVSNPRGQHDEIESETDYYLDALNTIESESETDLDCQTKRELKQYTNNTNNKDDMSTNFEFHVPEHTSSSKSPESHIEDHIYSNNENYEQHSISVTTPEKFESEEVETPAAHPATVESKEVETVQFPLTTNESISPEQSPETSGGQNQSQSQTALNQPVMFWTNGGLLGLEPSKPPDFGLSTRSIEETKTDETQKNTINEDTVVNTSKSEDNMNSSRIFEFSNRLLVNGFRRQISLVGNETLANSVKTDVPERLHTVTGIPFREQFGNGTSSFISPSSPPLEHMRISFQPIDGFETSKLKLMFPDGSNNNDNNESNGYGNMFPSFQLVPEPGMSLRDSGSGSDSDDDTFCRSSPYASDDDRSHASESNSDQWDSGESPGMKGGRELDDAFGRVSSAESASSSLVNGIRFPDIPNFDSMNDVHLHNQVKESCIPKEPTPLPPPLPPMEWRGVKQDSSGMMEKEDDGLSEALTYTLNLTPQHPQPLPLKPGHFVETVDLMPKPTNMHVEKEHNRVGKMVKEKEDFLQQIRTKSLNLRRTSTAPPTITPTAPTGIKVTAILEKANAIRQAVGSDEGEDDNWSDT >cds-PLY91757.1 pep primary_assembly:Lsat_Salinas_v7:9:16962826:16966620:1 gene:gene-LSAT_9X16801 transcript:rna-gnl|WGS:NBSK|LSAT_9X16801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNKKRTKTVDRVNSVDLAEVSPESISVYPFPEEVLEPVLSPIKSHKDCNFVSLVCKDWYNAERWSRRHVFIGNYYSVPPKIVARRIPQIRSVTLKGKPRFSDFNLVPEDWGADVHPCLSVLAKAYPFLEELRLKRMAVCYSLQVVNSSIFALSNTLITSNTSTSRNSNYFDTQEMLHMDSKHEAASAYVDATHSYKKTSTKACIANLEQALNIFMEIGRLSMAARYCKEIAELYEQEQNLEQAIAYYNKAFDLFQGEEVTTSANQCKQKIAQFSAQLEHFTIELDRQNEELERYVMLQKKEYEVALVGAFRREKDKDLTLQAVTAESQAAMKLAKQREDEIQGLKLRLRFREAAVKRLEGVDKVREVEGNPDFSNKDVG >cds-PLY62127.1 pep primary_assembly:Lsat_Salinas_v7:6:47374072:47375047:1 gene:gene-LSAT_6X35421 transcript:rna-gnl|WGS:NBSK|LSAT_6X35421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVKQCHHHGGKKRKIIKRILIGLGILAIIVLLIFFITWAILQPKKPRFVLQDATIYGFNVSAPILLSSNFQVTVSSRNPNSKIGVYYDKLEVFATYHSQQITYFTVIQPVYQGHKDTNIWSPFVYGTNVPVAPYNGAALSQDQSNGAIGLVIKINGRIRWKVGSFISGRYHIHVTCPAYIPFGNRNSGIAGVVTGVKYQLAQKCSVSV >cds-PLY81950.1 pep primary_assembly:Lsat_Salinas_v7:9:153074855:153075049:-1 gene:gene-LSAT_9X96960 transcript:rna-gnl|WGS:NBSK|LSAT_9X96960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNRLHVSKHHERKTSAIAYKNQRSENMAHKVGDIVKHSSNLPDYLQCGGKTGNINFKETTSLN >cds-PLY80267.1 pep primary_assembly:Lsat_Salinas_v7:1:210147779:210148380:-1 gene:gene-LSAT_1X120980 transcript:rna-gnl|WGS:NBSK|LSAT_1X120980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQSLGIPVKLLHEATDHIVAVEIKSGEVYEGGLVESEHNWNCQVENITFTAKLQNLFLQD >cds-PLY62555.1 pep primary_assembly:Lsat_Salinas_v7:9:74603746:74605051:1 gene:gene-LSAT_9X62260 transcript:rna-gnl|WGS:NBSK|LSAT_9X62260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDCFWSSWKQDAVFEQSSNWDHASNFHQCNASDHGGGGGGGGGGGNRGGEWEDGKGGNASKNHSEAEKRRRDRINSHLATLRNVVSTSDKMDKATLLGKVVEHLKELKSETMELSKMCTIPTDLDEVIIDLDSNIGNSSNNVFIKASVCCDDRPELFSEIKQALQSLRLTMVQAEMTCLGGRIKCNFIFCVTNDTHENEMITLKQSLSRIVSTSSWTASSNYRIKSKRQRFFCSSNYDTNDHS >cds-PLY95422.1 pep primary_assembly:Lsat_Salinas_v7:9:188337830:188343792:1 gene:gene-LSAT_9X115801 transcript:rna-gnl|WGS:NBSK|LSAT_9X115801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDEPVVPSTSQQQQPPPPTNANAAPLGHNVIPIVNKLQDIFAQLGSSSTIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLLQIKRKPDGTDEEYGEFLHVPGKKFYDFNEIRREIQAETDREAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKTPSCLILAVTPANSDLANSDALQIAGNADPDGYRTIGVITKLDIMDRGTDARNFLLGKVIPLRLGYVGVVNRSQEVYNELADRCGVPQLAKKLNQILVQHIKTVLPGLKSRISATLVSVAKEHASYGEITESKAGMGALLLNILSKYSEAFSSMIEGKNEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEDLTDDDIRTAIQNATGPRSALFVPEIPFEVLIRRQIARLLDPSVQCARFIYDELIKMSHHCMVNELQRFPVLRKRMDDVIGNFLRDGLQPSETMIGHIVEMEMDYINTSHPNFIGGSKAVETAMQLVKSSKITTTNSRQKDAADDKAPQSERGIKSRAILGRQVNGIVTEQHNQPGSRPVGEVEKPTSGSTSWGISSIFGGSDNNRMSVKDNSSSKPFNEPVHTIDHMDYSSSMIHLREPPTVLRPSDANSDQEAIEINVTKLLLRSYYDIVRKNIEDYVPKAIMHFLVNHTKRELHNVFIKKLYRDDLFEQMLQEPDEVATKRKRTRDLLRVLQQAFRTLDELPLEAETVERGYSLTNKDPTGLPTSSMYSSGSSSMDYTASPKNQRSRSKSSNSGELNSNSSFYGNSEYYNGNNRNSLLGLYPTSDL >cds-PLY78135.1 pep primary_assembly:Lsat_Salinas_v7:2:61715964:61717149:-1 gene:gene-LSAT_2X27701 transcript:rna-gnl|WGS:NBSK|LSAT_2X27701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWLKQLKDVVGEADDVLDEVDYDMLRREIAPIVGMGGMEKTTLAKSVYNNPKIQQHFDVKVWVCVSVKVDIKTLLAKIYEILAGQAPKSAEMGNLISSLEEKLGSKRYLLVLDDVWDEERSHWEEFKSHMMMIKSEIGSGVLVTTRKLDIGTKAMKIDSCLLNSLSDDHCWYIFKERSFLAGHSPQPELEEIGRDIVKKCRGLPLLVKVIRGVLQNYSDPEKWLAFKNSDIWDLEDERERVQKNLELSIDNLPRLSISKQCFAYCSIFEKDTLMEREELIQLWMALGLVQADDT >cds-PLY85074.1 pep primary_assembly:Lsat_Salinas_v7:7:6158233:6160388:-1 gene:gene-LSAT_7X5341 transcript:rna-gnl|WGS:NBSK|LSAT_7X5341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLGHTDGLPRVGSSKELPLADPVSELDGGDDVSWEILYSASFEEFASSIVMYDTIIWISISLILVLAWGVGVIMLLYLPMRCYVLSKDISSRQLYVTPSEVVYKVSRPSFIPFWGVVNSERRIPLARAIDIIIEQGCLQAMFGIHTFRLESVAQGKAAYVDELQIQGVSNPQLLRKVIVKEASKVIQIQQDGGTGRSWRHGSEPQMRSRSFTDGSNVLRSASRNWKVINPLIM >cds-PLY95710.1 pep primary_assembly:Lsat_Salinas_v7:2:111459823:111461388:-1 gene:gene-LSAT_2X50801 transcript:rna-gnl|WGS:NBSK|LSAT_2X50801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIDGECPIELIGSCRNKVQVYRSVRMPQLHTSLHFHLTPIVMINGSSRRDLLLNSQNFCRSIPAGAENPSLSRLCYRRLWGSRNRRALILGWAYYLDAFSSYPLRTWLPSVYRGHDNWYTRGVSPHTWSYDFAETCVFGKQSPGPGHCDPLCEEAPLLPKLRGYFAEFLRESCLAPLGILYLPTCVGFGYRYPFVEGRSSFSWEYGIGYFSAVAPGTRTLARGIFXNTINPAWAT >cds-PLY88430.1 pep primary_assembly:Lsat_Salinas_v7:8:86768320:86769676:1 gene:gene-LSAT_8X60340 transcript:rna-gnl|WGS:NBSK|LSAT_8X60340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKISRAFYKVNACLDTKTKYVISGEKAKAQLILDSKKDISLIMTELQKNFLNYTQVSVLADDILKNVEFDALRIVFNKFQSVVSFLPTTATVLSPEVCDMLVFFWASDYREFRQNFEVLGPTWWRCTGACSCGDIWTTRESLFYITCWKPCSGGNYWKXMLIV >cds-PLY75981.1 pep primary_assembly:Lsat_Salinas_v7:1:42040689:42041941:-1 gene:gene-LSAT_1X35720 transcript:rna-gnl|WGS:NBSK|LSAT_1X35720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGRFCRFVMIMLYIMRAISRPLEPNHVSPPPTLLPSQQVSLSASTATQTMSFQFNKGSKTTADQGSLSKNEDTMKNEEDDRYGGRGIENRIGSRPPSCEHKCYGCSPCEATQVPTTSHVGIQYTNYEPEGWKCKCGPTFYSP >cds-PLY77807.1 pep primary_assembly:Lsat_Salinas_v7:3:35216000:35219383:-1 gene:gene-LSAT_3X26040 transcript:rna-gnl|WGS:NBSK|LSAT_3X26040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGPDQLATMGADLGSLVGKMNTTTLSTSEYASIVNMNLFVALLCACIVIGHLLEESRWMNESITALFIGLCTGAIILLSTGGTNSHLFVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIVLFGAIGTVISFTIISYGAINIFKRMNVGSLDLGDFLALGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVIFNAVQNFDLSRITTSDAFQLVGNFFYLFVTSTVLGAGAGLLSAYIIKKLYFGRHSTDREVAIMILMAYMSYMLAELFYLSGILTVFFCGIVMSHYTWHNVTEKSRVTTKHAFATLSFISELFIFLYVGMDALDIEKWNFVQDSPGKSIGVSSILLGLVLVGRGCFVFPLSFLANLAKKNAHEKITFRQQVLIWWAGLMRGAVSMALAYNQFTRLGHTQLRGNAIMITSTITVVLFSTVVFGLITKPLVRLLLPPSKNMSRMASSEPTSPKSFVVPLLGNERDPEAGGGQEATSVLHPANLRVLLSTPSHTVHYYWRKFDNAFMRPVFGGRGFVPYIPGTPTEQSVHNLIPEGGNN >cds-PLY88257.1 pep primary_assembly:Lsat_Salinas_v7:3:145414328:145414872:-1 gene:gene-LSAT_3X95120 transcript:rna-gnl|WGS:NBSK|LSAT_3X95120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKSNGVHNQFGGQNQMVTPLINAEECEVEGVLGEEEMWEKEWNGTQDEVELLHGVIDVNMINKVMVVDSLHNRFHISSPVILPNLNRCTYLGLATSPLAIVTALTVEKLKVGCKFKIIVVDLGQEHCGGFVSTDDGYPFEERIFFTQ >cds-PLY72665.1 pep primary_assembly:Lsat_Salinas_v7:8:209486399:209486605:-1 gene:gene-LSAT_8X131501 transcript:rna-gnl|WGS:NBSK|LSAT_8X131501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCFCFLVDQTRQVRRCKPAAGLCSRCGGGASVADMKTATRFCYVPFYWKSWKAIMCTFCGAILKTYQ >cds-PLY91492.1 pep primary_assembly:Lsat_Salinas_v7:7:142589548:142590556:1 gene:gene-LSAT_7X85360 transcript:rna-gnl|WGS:NBSK|LSAT_7X85360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALEALGSPSPPLRHRDMDVQDSWNKGKRSKRPRTTTDHDVALPQQPPTEEEYLALCLMLLANGSPTSTSTSTATVTLPENESDSRVAYKCSVCNKGFSSYQALGGHKASHRKNVPDDHIPSTSAAATATATLSSSSVLKPSGKVHECSICHRTFPTGQALGGHKRRHYDGNNPGSTVTTTSDGGASSTPSQPRDFDLNLPAFPDLFQMGLSVDCGKKSQMLINEQEVESPLPMKKPCFSIKTE >cds-PLY67088.1 pep primary_assembly:Lsat_Salinas_v7:5:282455773:282457908:-1 gene:gene-LSAT_5X148520 transcript:rna-gnl|WGS:NBSK|LSAT_5X148520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTNDDGIDAPGLQALVRVLVSTNQYRVLVCAPDSEKSAVSHSITWRHPLSAKRIDINGATAFAVSGTPADCTSLGISKALFSSVPDLVLSGINMGSNCGYHIVYSGTVAGAREAFFNGLPSVSISYDWVGGTSSINDFTLAAEACLPLISSILVEIKTKTFPQKCFLNVDLPTNVLNHKGYKLTKQGKSIVKMGWKRVSSDAQGAQMLSTMTMESNSVASNPDANSVSQEQLLFKREVKGGHVEEGETDYCYLKQGYITVTPLGALSHADIDSQTFFKEWLPIVADRTSPSAL >cds-PLY62203.1 pep primary_assembly:Lsat_Salinas_v7:6:31223804:31225311:1 gene:gene-LSAT_6X23760 transcript:rna-gnl|WGS:NBSK|LSAT_6X23760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVINIYSNSLYFILYVYKKLSLLIYFFAGVPPKRRDMTLNKKMHRLYEANGRQPLKIIFDRNTFVPIGNVYECFIREVGSYIWHDIALDKNTWKEVPEADRNGMFTYLSDMDVNAFLQNPTFVTAVGDIIRSFNKQVGNATNNDEENDDGDDD >cds-PLY94364.1 pep primary_assembly:Lsat_Salinas_v7:6:8863784:8866264:1 gene:gene-LSAT_6X5620 transcript:rna-gnl|WGS:NBSK|LSAT_6X5620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTWIFSLFNGPSGFSANSKAEDVTNGIDGAGLTAIVTGASSGIGLETARVLALRGVHVVMPVRNVELGQKVKASICEKIPNAKIDVMELDLSSQASVRDFASQYISKDLPLNILILNAGIMSPPFTLSKDNIELQFATNHIGHFLLTNLLLDTMKKTSSKTQKEGRIVVVSSELQKMAYPEGIRFDKINDEKSYSAFHAYGQSKLANALHAKELSRCLKEEGVNVSVNSLHPGVIKTNLLRNQGFVASILSKIAFSLLKNIPQGAATTCYVALHPQVKGVSGEYFADCNISKASKYVDDSEMVKKLWDFSLELTESRRL >cds-PLY76724.1 pep primary_assembly:Lsat_Salinas_v7:8:295286947:295291304:-1 gene:gene-LSAT_8X163421 transcript:rna-gnl|WGS:NBSK|LSAT_8X163421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKEGIKMEDKWGYDINTSSSSCISSINSYYHEVLSYGRKRAVIMEAIDHDRNCVLANILAAHFCCSSDPSRVPSLLHAANSNLEFATPYEKAVFETINCLISPNRDDDVAFELHFKLLNDFPKDLVSLKRAQVLCFYMGRPDLSLQLIEKVLPANNQQDFVYGMLAFPLLELGRMEDAEKAAKKGFEINKEDPWSQHALCHVFQYECRFKEAVEFMEECSKSWAPLSSFMNTHNWWHVALCYLEGNAPFEKVREIYDNHIWKELDRSDATPVEVYLNAIGLLLRVYVRGEIRFFDDHLKILAGYLSNRGFWYLEWHLDLLILWALAFTGKSTEGQDLLNGLKEKVSTMNSKKGKLMQKGLMLAEATYEYGRGDFNKALELLGLDFDAENCKMIGASGEQLDVFNEAWYVMLLDGGQAEKGRPTCFSSERGYKILGKQEEAKTVGEKAKALEMAYF >cds-PLY80828.1 pep primary_assembly:Lsat_Salinas_v7:3:192307753:192310266:1 gene:gene-LSAT_3X114580 transcript:rna-gnl|WGS:NBSK|LSAT_3X114580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIVTSFQTILDNVFLPLFEVTINPDSHPQLHAFLKQVRVLYAVRIFLGLLSVISEAALVVALSRKYGKCLACYTLALLCLTSDCFFASTSFLPSSFSMYAISLSSALFLFGMHVMVVAVAATGVIIGWPFSVLAFLPVTVYSLLRKFKRAFISGAFMSITLLFQGLELCTRCSDSSIWEVLWKLKTGNQQ >cds-PLY89541.1 pep primary_assembly:Lsat_Salinas_v7:4:159822571:159823596:1 gene:gene-LSAT_4X96201 transcript:rna-gnl|WGS:NBSK|LSAT_4X96201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQAEKLMNQIMELKFTAKSLQRQARKCEKDEKSEKLKVKKAIEKGNMDGARIYAENAIRKRSEQMNYLRLSSRLDAVVARLDTQAKMTTISKSMGSIVKSLESTLATGNLQKMSETMDQFERQFVNMEVQAEFMESSMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQPAAHAVPTKNTEKVEEDDLSRRLADLKARG >cds-PLY79254.1 pep primary_assembly:Lsat_Salinas_v7:9:182002708:182006337:-1 gene:gene-LSAT_9X111961 transcript:rna-gnl|WGS:NBSK|LSAT_9X111961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein HAT3.1 [Source:Projected from Arabidopsis thaliana (AT3G19510) UniProtKB/Swiss-Prot;Acc:Q04996] MANHKSNEVVNGTPTQGDVSKESGQKKGQTRKKEKIGTHTPRVLRTRSQEKPKAPESVDPSPQDQTSTEKKRKKIKKIKKDQNDEFSKIRAHLRYLLQKMSYEQLFIDAYVGEGWKGQSLEKIRPEKELQRAKSDINRFKLRIRDLFQKIDKSCEEGRFPDTLYDSDGLIDSEDIFCAKCAQTEVKLDNDIILCDGFCDRGFHQFCLDPPLLKEQVPPGDEGWLCPACDCKVDCVDLLNDSMGTDLSINDTWEKVFPETAVSGDNLNDISGLPSDDSEDDDYNPDAPQVAEDEVDVEDPSSDESDDSDFSSASEDLGAIANNNNNNNNNEEQYLGLPSDDSEDDDFNPDKADSDDDDDDDHKAKSSGSDFTSDSEDLGDISKNEVTSAEVVKESVVSEKDEEILKTDMENDDSAPITARRHVQRLDYKKLHDEAYGNVSSDSSDEDFSDSEGPKRRKNTKEAKGEDLNKKQKESDSTTPVRKNGKKVDVEGKNGTSARKGSSAAGSGDKSGAKSSNKKLGEAVTKRLYEIFKENKYPNRNIKENLMKELNLTLNQVSKWFENARRSSKHPEQHKSGVSTPTPGPTPEKSTAKQVNLKKSTTKQENVEKSTTKQENGEKSTAKQENGEKSTTKQENGEKSTPIKSSKRKAKGDNEDMNLKDANSSPLDLSSQSARRSGRVRAKRV >cds-PLY65477.1 pep primary_assembly:Lsat_Salinas_v7:8:32534375:32536594:-1 gene:gene-LSAT_8X25641 transcript:rna-gnl|WGS:NBSK|LSAT_8X25641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEQTRFLQHQQQQPASSRRKRWGGCLTGLSCFGLQKGGKRVVPASRMPETHATANQQNGPQAAGLTNQNNAVHPSLLAPPSSPASFSNSALQSTVQSPNCFLSANSPGGPSSTMFATGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPYAQFLSSTLNLKRGDKTNYMTANDLQATYSLYPGSPASTLRSPISRTSGEGLSSSFPDRDFSPQWNPSTPSQENKPQDANFFCPETFAQFYLDQSSFPHSGGRLSVSKESDAGNNNNGNGNGVSGVQSRPSKACKQDIEELEAYRASFGFSADEVVSTAHYVEISDVMDDSFSMMPSEGKTVPTPKSCKMESQKGEYSFDICYAMLSDL >cds-PLY73819.1 pep primary_assembly:Lsat_Salinas_v7:7:69663483:69667508:-1 gene:gene-LSAT_7X50141 transcript:rna-gnl|WGS:NBSK|LSAT_7X50141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQADQTVISLRPGGGNRGRTIGPRFDSSAFGSLDHPILRPHGGAGTPSLAAFKTGDSRFEAHERVRYTRDQLLQLREVVNVPDEILKVKKEVDTEFFGEDQSWINTNTNTKAEVNVPSQPQARYSEPDNRDWRGRSGSTPVDNRQHETNQYNRHDQSRSQISSNQGSGPAPALIKAEVPWSVRRGTLSDKDRVLKTVKGILNKLTPEKFDLLKGQLIDSGITTADILKGVISLIFDKAVLEPTFCPMYAQLCSDLNVKLPPFPSDEPDGKEITFKRVLLNNCQEAFEGADKLRQEIRQMNAPEQESERRDKERIVKIRTLGNIRLIGELLKQKMVPERIVHHIVQELLGPDNKTCPDEENVEAICQFFQTIGKQLDESPKSRRINDTYFTRLKELSTNPQLPSRMRFMVRDILDLRSNKWVPRREEVKAKTITEIHNEAEKNMRLRPGSTASIRNNRVQGGGGVGGFPMNRPGAGGMMPGMPGTRLPGLPDNDNWEVPSGVAASTTAAAAPLESSPVAVKPVVAKNLDEMKRKTVSLLEEYFSVRMLDEALQCVEELKSPEYHPEFVKEAVSLALEKSPPCVEPIAKLLDYLYSKKVLVKHDLTTGCVGYASLLDDIAIDLPKAPGGFGEVLGHLVVAGGVDFKVVEEVLKRMEDAYFRKAVFGGVMKVVGLGNVVLSEQVDGVAACERLF >cds-PLY94152.1 pep primary_assembly:Lsat_Salinas_v7:5:33236553:33241685:-1 gene:gene-LSAT_5X14880 transcript:rna-gnl|WGS:NBSK|LSAT_5X14880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGISNDLKGRLLCYKQDWTGGIRAGIRILAPTTYIFFASAIPVISFGEQLERDTEGSLTAVQTLASTAVCGIIHSIVGGQPLLILGVAEPTVLMYTFMFKFAKDQKDLGKPLFLAWTAWVCVWTAFLLVLLSILGACSIINRFTRIAGELFGLLIAMLFMQQAIKGVVEEFGIPKSQNPSQPAFQPSWRFGNGMFALVLSFGLLLTSLKSRKARSWRYGTGWLRGFIADYGVPLMVVIWTGVSYIPTKNVPEGIPRRLYSPNPWSHGAYTNWTVAKDMLNVPLVYIIGAVVPATMIAVLYYFDHSVASQLAQQNEFNLKKPPSYHYDLLLLGFLTLLCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNKLVSTARRSIRQNTNLGELYRNMQQAYNEMQTPLVYQNPSTLGLKELKESTIQQASSGGYIDAAVDETVFDVNKDVDDLLHVEVKEQRLSNLLQAVMVGGCLAAMPVLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEENHASFVESVPFKTVAWFTLFQTFYLLACFGITWIPIASVLFPLLIMLLVPARQYLLPKLFKSAHLQDLDAAEYEEAPPTTYNMAFRDDDDAQSRTSQMDSAEVLDGIITRSRGEIRHSNSPKITSSTQTPRQMQPAYSPRQQTYDSPSQHIYSPRQQSYSPRVRSPGSVGKGIEIKQTPSPGPSNLGESSRGSSSL >cds-PLY93433.1 pep primary_assembly:Lsat_Salinas_v7:9:58419404:58420899:-1 gene:gene-LSAT_9X51601 transcript:rna-gnl|WGS:NBSK|LSAT_9X51601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNTRSKINKIQNTINLWFSKAAMADTNHLATESGALIGCNDDLLIEILLRLPVTSVLRFKSVSKHWRSLLSNRRFTLLYKNASFSPGFFARSLYIPFDDENESIPPFRNLDFYPDPHGIRILQSCNGLLLCRSNEGDERVYKYYVFNPTTKQFAVIPSILGGMAVRKTIIFMALAFHQTDCVHYKVVCFYFPEPDDVIKVQIYSSETGKWKISDDYMSLPDYYIPPLDYIVFWNQAIHWVPFGDKSYFKLDTEEFQSLPLPVRVASSEADESMDHIMTLYFGESRGHLHLVKRVDPSESRLHLNVFEMLNDYSGWFLKYRVDLDELPDAYPEIINSYFDPLSLGYYIFDVFDVVRGEEEEETFMVIRVPGKVIRYNVVDKSFKQISDQIGQTRRKHVHRYIESLVSF >cds-PLY90480.1 pep primary_assembly:Lsat_Salinas_v7:2:7348077:7353123:-1 gene:gene-LSAT_2X3461 transcript:rna-gnl|WGS:NBSK|LSAT_2X3461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKEVRKEEEEQDATMESIPILTPYIMKIFHLSHRIVLAPLSRLRSYNFTAQPHAILYYKQRTTKGGLLISESSGISDTAQGLPNTPGIWKKEHVEAWKPIVDAVHDNGGIFFCQLWHSGRVSNTNSISSFVFRHSVYQPNGRSPISSTDKPILNQLFIGGSGGETSQYSPPHRLTIEEISEVINDFKIAARNAIEAGFDGVEIHGANGYLIDQFLKDQVNDRTDQYGGNLENRCRFPLEVVEAISNEIGPERVGMRLSPFANYNDSGDSDPHSLGVFMAESLSKLGIAYCHVIEPRMVTQFERVETRDSLVSMRKAFEGTFIVAGGYHDRDEANRVVENGDADLVAFGRAFLANPDLPRRLKLNAPLNKYDRSTFYTDDPVVGYTDYPFLDD >cds-PLY70118.1 pep primary_assembly:Lsat_Salinas_v7:3:12642256:12650497:-1 gene:gene-LSAT_3X9900 transcript:rna-gnl|WGS:NBSK|LSAT_3X9900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENKQLFMSALSVGIGVGVGIGMGKTVSRWTGGGDDSTGNGLTPQTMEREMLSMIVDGKDSKITFDQFPYYLSEQTRVLLTSAAFVYLKNIDFSKHTRNLSPASRTILLSGPAELYQQMLAKALAHYFEAKLLLLDVNDFSLKVQSKYGASSKETTFKRSTSETTLGRMSDLFESTMSMFQSKQEIKGTLRRQSSVSDFGSRGTESFSSTPNLRRNASSSANIEELASKSTPPNPAPLRRTSSLSFDEKLFVQTLYKVLTFISKNSPIVLYLRDIEKLLCRSQKLYTLFQKMLKRISGPILILGSQVIDSEKDYRDLDERITSVFPYNIEIKPPQEENHLVSWKSQLEEDMKMIQFQDNRNHISEVLAANDLDCDDLASICVADTIDLSNYIEEIVVSAISYHLTHTKNPEYRNGKLVISSTSLSHGLSLFQEGKSVKKETSTSKDTVVKDSVAPKPETKAESTSGPNEAEASSAPKNADNVTPTPKAPEVPADNEFEKRIRPEVIPASEIGVTFSDIGALDDIKDSLQELVMLPLRRPDLFIGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRSRAGEHEAMRKIKNEFMTHWDGLLTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSVENREKILKTLLGKEEIDEGLNFGELASMTEGYTGSDLKNLCTTAAYRPVRELIQQERLKDIEKKLRAERGEGTRSPEEVTEEKVITIRPLNMEDFREAKNQVAASFASEGSIMGELKQWNEQYGEGGSRKKEQLSYFL >cds-PLY99306.1 pep primary_assembly:Lsat_Salinas_v7:7:179314229:179316178:1 gene:gene-LSAT_7X106661 transcript:rna-gnl|WGS:NBSK|LSAT_7X106661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQMYHHHHQGKDIQSSSRMSITPDRHLFLQGGGDSGLVLSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKSVLKLMGIQGLTLYHLKSHLQKYRLSKNLYRQTNSGGTNKVDMVAPTGDDIGETNGSHMSSSSVFPQTNKNLQISEAIQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLGSQNLGTVGLEAAKVQLSELVSKVSTHCLNSTFPGIKDVSIQTNQPTDCSIDSCLTYCEGQQNEQDMMGLTLLKSKKVDNEPENVWCEDTNRNKKFDLSMSVGGLKTSEWNTSRSYTEERFMDRDEEAILMNQNNQKKTHSVKLEKVEMSQKFQLPYFGQKLDLNVHDGNDAASSHKQFDLNGLSW >cds-PLY62198.1 pep primary_assembly:Lsat_Salinas_v7:6:32055057:32057029:1 gene:gene-LSAT_6X24141 transcript:rna-gnl|WGS:NBSK|LSAT_6X24141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWCEVKFTPSSRIFDRFEMERMNSKLYMENCLIMQENEKLRRKAQLLNQENQALLSQLKQRLTMGDQNVNNAPNHLTDLNLATTSNHGSSSRKP >cds-PLY62086.1 pep primary_assembly:Lsat_Salinas_v7:2:55837933:55839213:-1 gene:gene-LSAT_2X25220 transcript:rna-gnl|WGS:NBSK|LSAT_2X25220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEDSEQQQQAADVFDLLPDALVLEIFNRVQDARSLSACMVLCKRFGDLATQTDDVSLEIIRRNKLPENGDSGWHTNKSKAFFGRFIMNPIQSLLHRMFSRKSKACSHCNSDDEEDDTMFRLPDELLKNFKEIRSLSVKLPGHDGDISSENGSGALLKWKAEFGKELESCVILGATGFKKSEMKLKNDGDESGKNDESSPAPLESRNLTSKQLKKRVVWTISCLIASSARHHMLKKIISLNPMIRDVKISDGSKQGTIRMTERQVVELREDVSSTTVVDVEAERTKVPAVTIKMWYVPVLELPESGYVMKGATLAVIRPAVTAVEKDDDVSLAMGAFDEKCMGEAVRKLIEKKKSYTLEMNSF >cds-PLY68811.1 pep primary_assembly:Lsat_Salinas_v7:3:62413191:62415198:1 gene:gene-LSAT_3X48880 transcript:rna-gnl|WGS:NBSK|LSAT_3X48880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEYVGMVGAQIAQVLLIIVSKYAIADGMSNYSFIFYSNALASLILLPLSLIFHRSANRPPLTFIVVCGFFTIGLLGFFAQVFGYSGISLSSATLATTLLNLIPGFTFILAILFRMETVDLSITTQAKFIGTVVSIAGAIIMTLYKGPTILSSLLKSEISQNLLVQPSNWVLGGIFLAIDCVFGSMYMIAQAFILKKYPAEMIVMFSYCFVCTILAALASSIVEADLSSFSLKPKIRLMSILYSGIFGSAFQVTVQSWCIKRRGPVFVAMFHPIGIVIATFIGVIFLGDGVYLGSLLGSVIVVIGFYSVMWGKAKEKSTVVNNGGSSKLQNEEAPLLQDVVEEHIT >cds-PLY96993.1 pep primary_assembly:Lsat_Salinas_v7:2:35559135:35563673:1 gene:gene-LSAT_2X16301 transcript:rna-gnl|WGS:NBSK|LSAT_2X16301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPENETKAEQTQEQEQVVNPWEVSAKGKIDYDKLIDKFGCQRLDQFFVDRVFRLTGRTPHIFLRRNVFFAHRDFNEILDAYERGEKFYLYTGRGPSSEALHLGHLVPFMFTKYLQEAFKVPLVIQLTDDEKCMWKNLTVEESKRLARENAKDIIACGFDISRTFIFSDFDYVGGAFYENMVKVAKCVTYNKVVGIFGFTGEDHIGKISFPPVQAVPSFPSSFPHLFPGQDKLRCLIPCAIDQDPYFRMTRDVAPRLGYNKPALIESLFFPALQGETGKMSASDANSAIYVTDSKNEIKNKVNRYAFSGGQDSIEKHRQLGANLEVDIPFKYLSFFLEDDDELEHIRKEYGSGRMLTGEIKKRLIDVLTDIVEKHREARAKVTDEMVDAFMAVRPLPNMFN >cds-PLY90448.1 pep primary_assembly:Lsat_Salinas_v7:9:92726558:92732829:1 gene:gene-LSAT_9X70780 transcript:rna-gnl|WGS:NBSK|LSAT_9X70780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMMAYRITSLREVFRFMGVYGYKEGGGVLATGIAREYSFDGIAGCQFVAISKSPNQMMEFSQVPI >cds-PLY93726.1 pep primary_assembly:Lsat_Salinas_v7:2:202079252:202083910:1 gene:gene-LSAT_2X123440 transcript:rna-gnl|WGS:NBSK|LSAT_2X123440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X1-type zinc finger protein NFXL2 [Source:Projected from Arabidopsis thaliana (AT5G05660) UniProtKB/Swiss-Prot;Acc:Q9FFK8] MTSTVRHRLPPSHPSDSDSSDSDSTSTTPKPLNHTDFSNTIFRSYVEISGLESPDLTKIRSFLTSSRSGALSCLICLERIRPTDPTWSCSSRCFAVFHLLCIQSWARQSSDLSSLRAAARGADDRNSTWNCPKCRIEFPKTLIPKTYTCFCGKFENPPHDPWILPHSCGEICNRSLKYDCGHKCLLLCHPGPCPSCPKLVKTRCFCGGVEDTKRCGFKDFSCNKTCSKLLDCKTHCCPETCHPGGCPPCKATGVYNCHCGKATEKRECFQRDFRCETPCEKLLGCGKHVCSKGCHEGECGDCPLQGKRTCPCGKRIYEGMACDVVVPSCGGTCDKKLMCRIHSCPERCHRGLCVESCRIVVMKSCKCGSLKKQVPCYQDVVCERKCQRVRDCGRHACKRRCCDGDCPPCSEICDKKLRCKNHKCPSPCHRGACAPCPVMVTISCFCGETHFEVPCGTEKEQKPPKCSKRCQITPLCRHKSITKPHKCHYGACPPCRLVCDEEYPCGHRCKLRCHGPIPPPNPEFTLKPKKKKQKLQTETTPGSSCPPCPELVWRSCLGQHLGAEKMMVCSNKSKFSCDNYCGNLLPCGNHFCTKTCHALKIPGSGGEQCEDCNLPCQRERDPLCPHPCPRKCHPGDCPPCKVLIKRSCHCGAMVHVFECLYYNTLSEKEQTAVRSCGGPCHKKLPNCTHLCPETCHIGHCPSPEKCSKKVIVRCGCQSLKKEWACHQVQATYINNGRDPKDITKNQFGVGLLPCNSECKSKLKVDDPDLHLRKPKAPEKKETDVENHVRKRKRRKEKLQQDLQLSMFQKVMGIFWKLLVLVMIVVSLILMAYFGYKGLLWVNDWMNEVEIQRQRKRYPRM >cds-PLY80601.1 pep primary_assembly:Lsat_Salinas_v7:6:14329759:14330127:1 gene:gene-LSAT_6X12540 transcript:rna-gnl|WGS:NBSK|LSAT_6X12540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSRIKSHKKNKHSSRECSDVDKDVKMKEMNVSNDDKENEVSNKVSKDSLVSGGKGKLGKDLSGVHRNGNLLEEYALPTKRRKEKTNGKEEKFDVANLDDKKSNSKLKEFLKVVGESKKQ >cds-PLY86006.1 pep primary_assembly:Lsat_Salinas_v7:1:48739247:48744142:1 gene:gene-LSAT_1X45280 transcript:rna-gnl|WGS:NBSK|LSAT_1X45280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVELNAIIRQNPAPPTPPPPPPPSPLQQNLPCQDFLADDRRGEFNDICVRLCKASIKGDWKTAKAILQKNKELIRCSITEKHETALHVAALAESTSFVNNLVEIMEPKDLLLQTKTGDTALCLAAAAGNVRMAKIMVAKNDSLLNIRGSEGLEPLCVAAFYGNRDMVDYLYEKSNKMTGLDWKASTKQWLLLKCIEFDLFDAALNILEDHPDLAQSGTILGVLAQKPYAFKEPQPHIIWRIIKSTICLKVGPDARESQAMQVLRKVWTRIVQRPKAEVDNILRGPGTVINGRVIYSSHILFVAAEMGNTELKQLKEEEKRKSNKALPE >cds-PLY84835.1 pep primary_assembly:Lsat_Salinas_v7:1:59875839:59879507:-1 gene:gene-LSAT_1X52760 transcript:rna-gnl|WGS:NBSK|LSAT_1X52760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVKTGVVLLIVLLVAGTVASVAYGGGGDYSTTVLTLVRAFPANKTVELEILKARDRVRHARILQGFAGGVVDFTVSGTSDPYYGGLYFTKVKLGSPPREFNVQIDTGSDILWVTCSSCIDCPELSGFGIPLNFFDSTTSSTASLVSCSDSICSSNFKTADTSCSDQTDQCGYQFHYADDSGTSGHYVTDFLHFDTVVDPSTITNSSASITFGCSTYQSGGLTKSDKAMDGIFGFGQHDLSVVSQLSAQGITPKVFSHCLRGDGAGGGKLVLGEILAPTMVYSPLVPSQPHYNLDLQSIVVGEQLLAIDPTVFVTSDNQGTIVDTGTTLTYLVPQAFDPFVDAITAVVSELATPVLLNGGQCYLMASSTTEIFPKVSLNFAGSASMILKPENYLVPGDPIDGGIPQCIAFQKASNGITVLGDLVLKDKIFVYDLSRNRIGWTDYDCSADVNVSIKSNKNEYMNAAQWSAGILLGFTSLEALLVHILVGSFWSFLLW >cds-PLY73853.1 pep primary_assembly:Lsat_Salinas_v7:4:207172422:207175271:1 gene:gene-LSAT_4X116561 transcript:rna-gnl|WGS:NBSK|LSAT_4X116561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATYYPPSNNHQRDVFQSSYDSYQESPCPPVDMMYQNQTSTDNSFLQLLSGNMQPNPQILSQVKDHNTSDDERNLQYQELSLSLGMQIPSSSMDLPSFQYNYLNTHLQDPHDHGSHGSQTHKVENVDYLSFDLVGKNGQCSVSDTYDVSYGLVSGRILDSKYLKPSQELLEEVANLREALRQLKINKLSNLHTLRVDDKKNSKFTPHESTTTSSGELSASEKQDLQNKVTRLFSFLDEVDRKYREYCQQLQIVEAALDVVSGCGAARTYTTLAHRTISCHFRCLRDAINGQIQVTRQRLGEQDDSVDRVLPRLRNVEKQLRQQRTLQQLGVTRHSWRPQRGLPEGSVSILRAWLFEHFLNPYPKDSEKIMLAKQTGLTRSQIANWFINARVRLWKPMIEDIYKEEFGNCTSSQEHALKGANNNSSSSEDKEKELELGQDLSQNPDFFSEIEVNRSPTHRHTDMSDQYRFGEEPQLLSDFLV >cds-PLY83401.1 pep primary_assembly:Lsat_Salinas_v7:8:1522703:1524613:1 gene:gene-LSAT_8X1660 transcript:rna-gnl|WGS:NBSK|LSAT_8X1660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLNPLPSCASSKSVRTVRRKQVRRVSINCTNDFGAKAFFLNPSDERILKKALKEPVAFMGGMFAGLLRLDLNEDPLKEWIGRTVEASGMSTDEEGEDESDPIKKSQESPQQIQIE >cds-PLY75402.1 pep primary_assembly:Lsat_Salinas_v7:6:179536712:179540108:-1 gene:gene-LSAT_6X110001 transcript:rna-gnl|WGS:NBSK|LSAT_6X110001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRTTHVNVHSRLTVVSSIPTEPMGSTCGLTPIDHTMGSHTVHIIFYYRTSPFSKRGRFALDLDNVRVSLSDLLSKYPRMTGRLFRDGEGNWEVKYNDAGVRMFKADVGTTVDEWLRFADESDERNLTAWEEMPDGNPTSWSPFQIQVNEFLEGGLAIGLSFSHLLADPTSGTLFYKSWTDADRGESTGYDPPILSLPQLDNRPAPTTTDTTSITTDYLQKNTKLAPISSEKMATYVFKFSNSTMKQCLSKISDRSPDATPFDYLTALFWSRIIELKTQIAVSPTQSISICIDARKLLDVPAPMKFFGNAVSFSKLSLRNELLMGDDDGLPEAVEAVHRHVKGIKKEDIFSMIDWMENCRKGSNGGYPPAIQMYGPELTFVSLEHLMIPKEESTDELEALDYEAKFRNNEKPVHVSYHVRKAEGQGLIIVAPSPEGGAARTVTVTLPVEEIGKLCEDPVIREMEATMIVSGRR >cds-PLY91103.1 pep primary_assembly:Lsat_Salinas_v7:MU039484.1:1332258:1332976:1 gene:gene-LSAT_0X5581 transcript:rna-gnl|WGS:NBSK|LSAT_0X5581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARHVVVLALLAVALIGFASADSPSHSPASSPSVANAPHSSKAPPTHTPKSAPSPKPVKKSPPPAHTPKSGKTPSHPAPASSAGEPLYRKASPPAPSTLKSATPSRSPASVGAPAEAPSAGASLKASAASGAIALASYFFF >cds-PLY72989.1 pep primary_assembly:Lsat_Salinas_v7:9:36849635:36857261:1 gene:gene-LSAT_9X34640 transcript:rna-gnl|WGS:NBSK|LSAT_9X34640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G01400) UniProtKB/TrEMBL;Acc:Q9M033] MVGMMQTISREKFANLIDSAKFSTDVPSKLETLRKLKEKFSGAEDPHLVMEFLPQLLDLHTDRCSPVRRYVAEIAGDVGLNYTDLLPEVVPLLITLLRDGTPAVARQAITCGISLFQRALTKMAIQGLYSSELDDSLESSWAWVLKLKDEIYSMAYKPESDGRKLVALKFIEAVTLLYTADPSASSEPPEDQTSGEMPDEFNIAWLRGGHPILNVSDLSIEASRNLGLLLDQLRYPAVKSLSNMVVIVLIKSLSAIAKKRPSFYGRILPVLLGLDPSSTAVKAGHVSGVHHALKNAFLSCLKCTHPGAVPWRDRLVGSLREMKAGGLAEEALQQLSVANSVIAQDIKPSTEISAVRKRPEVQDVNDMNKDDDARGKRARSTPTLTKEPTQDSKGDNGNTKKNVDNGPVQQLVTMFRSLVAQGEQSAAMLEILISSLSADLLAQVVMANMPNLPSIRPTQEGKEDILNSGSHLSTYLAEIISRSNNSQITQSATDTHTDEPQKPEVEVAKDADMAHSVTEQEDVVPTGPPFSVDIPSIKTVTSVIPLEDTDIGELEDGIPGLDSSTRDDDMPEIQVDTSLVSADMEEDTQEQITNIARSSMDIDIAPSMSTDKSEELSPKAAMADANNINSSTATSVQLTSKVVLPKMSAPVISLTDEQKDLVQKSAFIRIIEAYKLIAVAGGSQLRFSLLSFLGVEFPLELDAWRLLQTHILSDYTSHEGHELTLRVLYRLFGEAEAENDFFSSTTATSVYEMFLLKVAETLRDSFPSSDKSLSRLLSEVPYLPKSVLKLLECLCVPGNSEQNEEIHSGDRVHQGLSIVWSLILLRPPTRDVCLKIALQSAVHHLEEVRMKAIRLVANKLYPISSISLQIEDFAKEMLLSAMNDDAELTKETEMEKPLTESQPSSVNAMITKDSVSEVGQPCTSESIQTTSITDAQRCMSLYFALCTKKHSLFRQLLIVYKNMSKAAKQAIFVQIPKLVRTIGASPQLLEIISDPPAGSEILLMQVVQTLTDGAIPSPDLIATIKKLYDTKLKDAEILIPILPFLPKHEESSQGGQAPVLTPTEVLIGIHGIDPEKDGIPLKKACIYACNTCFQQRQIFTQQVLAKVLNQLVEQTPLPMLFMRTVIQTIGAFPSLVDFIMEILSRLVTKQIWKNPKLWVGFLKCAQLTKPQSFGVLLQLPPAQLEIALNKQPVLKAPLVAYASQPDLRSSLPRSVLVVLGIAQDSQSVSHAQSTQSHSQAQSQSQSQTQSQAQSQPQTQSQSQSQSQSQSQSQAQTVDTDTGNSDKVVADEPKESTNS >cds-PLY89762.1 pep primary_assembly:Lsat_Salinas_v7:1:59137648:59138214:1 gene:gene-LSAT_1X51160 transcript:rna-gnl|WGS:NBSK|LSAT_1X51160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METFKIIVEGKVFYIHAIEVIGWNPKYIEDDDEDDSGEEDPLTHTDHINSDDDQVQVENIIDERSVGLGNNKKVNDSKVVYEDPFQIYNLLAWEKKVEDLRFTPPVRSEVVQVGDDNNVFLVADLHGDEVLDVPFAPKNDSLHDVQDVQSSSAAMQGKSHECLQQDNGFGQSKSTEVEVAPRLMGLFQ >cds-PLY85300.1 pep primary_assembly:Lsat_Salinas_v7:3:72001648:72002266:1 gene:gene-LSAT_3X54141 transcript:rna-gnl|WGS:NBSK|LSAT_3X54141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEINLELGNEILERQLFVSNTSITRASRGIGKAVALALGKAGCKVLVNYARSSNEAEQVCKLIEEASGQALTFEGDVSKEPDVASMIKTVTHNSLFTTY >cds-PLY71568.1 pep primary_assembly:Lsat_Salinas_v7:3:53154131:53154541:-1 gene:gene-LSAT_3X39200 transcript:rna-gnl|WGS:NBSK|LSAT_3X39200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGKKIGFVKRLGNKIKIRTCTSHGSEPLHYECLLSSDHGDNKQEHQSSVSTPRGCIALYVGEERRRFVVHTAHLSHPLFQILLEKTAEEFGFVQKDKLVIPCTIDVFREVVRAVKCNNGKFDLRYLVEEINYSAT >cds-PLY65035.1 pep primary_assembly:Lsat_Salinas_v7:1:117770813:117772344:1 gene:gene-LSAT_1X86960 transcript:rna-gnl|WGS:NBSK|LSAT_1X86960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIISITVTARNFRHLKSLWASGAIVRARGSLKRLLDKEGQGGDQRNRVLEVWGHREHVSREPAD >cds-PLY64611.1 pep primary_assembly:Lsat_Salinas_v7:6:34306760:34308465:1 gene:gene-LSAT_6X25481 transcript:rna-gnl|WGS:NBSK|LSAT_6X25481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGKTVCVTGASGYIASNLVKLLLQRGYAVKASVRYPNNPAKTDHLLKLDGAKERLHLFKADLLEEGAFDAAIDGCDGVFHTASPFFTSVADPEKELIEPALKGTLNVLGSCSKASSVKRVVVTSSLAAVAFNARPKTPEVVIDETWFSDPKFCKEMKLWYVLSKTLAEEAAWKFAEENGVDMVTINPAMVIGPLLQSTLNTSAESIANLINGAETYANSSLGWVNVKDVANAHIQAFEIPSANGRYCLVESVVHSSELVEILRKLYPSYPLPQKCADDKPLATTFQVSKEKAKSLGIEYIPLEQSIKETVESLKEKNFLKL >cds-PLY84590.1 pep primary_assembly:Lsat_Salinas_v7:1:29302264:29303476:-1 gene:gene-LSAT_1X24761 transcript:rna-gnl|WGS:NBSK|LSAT_1X24761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNRNSDDDKGLLWKLPVAYSNKLGKLGPAFGLGAGCGVGFGVGLIGGAGLGPGIPGLQLGFGFGVGCGVGLGFGYGAGRGIAYDENRKHSNVGRLFNGPGSMPTQDEIGYLIEEVVVNTKKLIKATHKEVEKWRRY >cds-PLY77213.1 pep primary_assembly:Lsat_Salinas_v7:3:245762166:245765771:1 gene:gene-LSAT_3X136200 transcript:rna-gnl|WGS:NBSK|LSAT_3X136200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIMMQRYELVNLHPDARPLLVFINKKSGAKCGDSIRLRMNILLNPVQIFELSSTKGPEVGMYLFRRLPHFIILVCGGDGTAGWVLDAIEKQNYVSPPPVSILPAGTGNDLTITSGISFVCNNISEYGGDPNRIYHFAACALMEQAIIECDATQRGSESRLVDAAPKQLNITEVGPYLVSIVNNTLLVALSCCHPTFTSFHHLEVLDLSSNSLVGSIPSAISSLRAVSVAHNNLNGSLSNHGFCESKNLRELDLSNNISLK >cds-PLY98847.1 pep primary_assembly:Lsat_Salinas_v7:7:25930743:25935034:-1 gene:gene-LSAT_7X19961 transcript:rna-gnl|WGS:NBSK|LSAT_7X19961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMPDKTVCEKHYIQAKKRAANSAMRASLKKAKRKSVGESDSYLESKSDDMDSPLVEYQFLASGKNKEKLSKKLISYSPETPSFKNSSVHISSKSNDDPQRDMAESEETPRAYRTPSSVADSSKSRSEKMHAMPETSGGSSESSEDTGGQICHQCRRDDTERIVWCLKCDRRGYCDECITAWYPDIPVEEAHRICPACRGCCNCKICLRGDNMIKGKIREIPAQEKLEHLFCLLSSVLPVIKQIHFEQCSELEIERKLRGNRIDLPRTKLNADEQMCCDFCRIPIIDYHRHCGNCSYDICLRCCQDVRKASKEVSRNIQSNGGNEDSDSTLEKLSKQKMRLSNKLCDWKANSDGSVPCPPKEHGGCGHSPLMLKRIFKMNWVAKLVKNVDEMVSGCKMYENTLPKIDGFCQDIKNQGISEFRRNWVNGEPVIIKDICDESSMLGWDPMVMWKGIQDTNDEKMKDDNRTVKAVDCLHRSEIDIELGEFIRGYSEGRIGKNGEPEMLILKNWPSPSASEEFLLYQRSEFITKLPLLEYIHSKWGLLNLAAKLPHYSLQNDVGPKILISYGMYDEKSQGEGDSVNMLRFNMRDVVYLLVHTCEVNVNRKNNNNEDLIIDSIEDEKTLKCEDLNGTSEETSRPGAIWDIYRREDVPKLIEYMRLHWNEFGVSDHNIMDDDSVPRPLHEGVIYLNSHHKKKLKEEFGVEAWSFEQHLGVAVFIPAGCPFQVRNLQSTVELGLDFLFPESLAEAVRLGAEIRELPNDHDAKLQILEVGKISLYAASSSIKEVQKLVLDPKLGAELAFEDPNLTELVSMNLEKMTKQRQVACA >cds-PLY86445.1 pep primary_assembly:Lsat_Salinas_v7:8:3535853:3539025:1 gene:gene-LSAT_8X2841 transcript:rna-gnl|WGS:NBSK|LSAT_8X2841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLVSILGFGLILLLALNQYVQAAPASGSSKEAYVTLLYGDEFLLGVRVLGKSIRDTRTSRDMVVLVSDGVSNYAKKLLKADGWIVTPISLLQNPNQVRPTRFWGVYTKLKIFNMTDYKKVVYLDADTIVVKNIDDLFKCGKFCANLKHSERLNSGVMVVEPSAQLFNDMVSKVTTLYSYTGGDQGFLNTYYAGFASARVFDPEISQEVVNSKPVPEMERLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTSWLLKPVDVWQDIREELEESLPGTKGGRNPHDEFLVKFLFLLPLFTLLFCYYRSFLQTRSICDHARHIYYKFRSGGSLSYSAVSSSTINSSQQFSNGTHGKVPAFLGGVSVFFCFIAAMVALGIGFSVIPRQVKPWTGLLLMYEWTFTIFFLLFGGYLHLIYKWGKMVATQGASSRPDYDSEKGHQRQVSCDVATWYYGLGMAFLAIATPAVPVFLGITALFARLGLMVAGGLIFASFMTYAAEHLGIKSFVRGLEDRDTSRTRNSCFLC >cds-PLY76671.1 pep primary_assembly:Lsat_Salinas_v7:4:117095340:117095567:-1 gene:gene-LSAT_4X74281 transcript:rna-gnl|WGS:NBSK|LSAT_4X74281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKMATYRVGCKKYCHFMKSGNKIKALGTQLEKANIAAWASKVEDKDASSSLKSEKSGKTAIEMRTTAWEDAEKT >cds-PLY85914.1 pep primary_assembly:Lsat_Salinas_v7:2:189317444:189318775:-1 gene:gene-LSAT_2X111400 transcript:rna-gnl|WGS:NBSK|LSAT_2X111400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRSIVFCLLISAFSLLAPPVTAEIKSLKVESDNRPMILFEKFGFTHRGFASVAISSVSVTSDLSQADPSRLGFFLLSEGSLNQVLTEFKRKPNFCVVDSKYISLLFTFQDLSPPPHSSLNKSYPINYPDEYSLFFANCNRESLVSMNVRTELYNTDDGNHRDYLSAGQTQLPSFYFLFSIIYLCFLVLWILECFNNKGSVHRIHFLMAVLLILKSLNLLCAAEEKHKVKVTGTAHGWDVLFYTFQFLKAVLLFTVIVLIGTGWSFLKPFLQEKEKNVLMIVIPLQVLANIASTVIGETGPFIQNWVTWNQLFLLVDLICCCAILLPIFWSISLLRETSKTDGKAATNLAKLTLFKQFYVLVIGYLYFTRLVVYSLKTISSYKFEWVANGAEEVASVAFYIIMFFMFRPIDKNKYFLIDGEEEKDAERTLREDGDFQLAVDNL >cds-PLY99676.1 pep primary_assembly:Lsat_Salinas_v7:9:55304944:55306668:1 gene:gene-LSAT_0X9821 transcript:rna-gnl|WGS:NBSK|LSAT_0X9821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGPVVEEVESEKKVEEVSPVVEGVVEDVKEEDDHHDEDADDSDDDDDDKEDGAQGGSESSKQSRSEKKSRKAMLKLGMKPVLGVSRVTIKRAKNIMFFISKPDVFKSPNSETYVIFGEAKIEDLSSQLQTQAAQQFRMPDMGSVMGKSDISGAAAAAAAADEEEEEVDETGVEVRDIDLVMTQAGVSRPKAVKALKTHSGDIVSAIMELTT >cds-PLY85751.1 pep primary_assembly:Lsat_Salinas_v7:1:47313255:47316440:1 gene:gene-LSAT_1X41401 transcript:rna-gnl|WGS:NBSK|LSAT_1X41401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 67 [Source:Projected from Arabidopsis thaliana (AT3G17060) UniProtKB/Swiss-Prot;Acc:Q9LSP1] MFRLQSNPFKTILVLYVLTFITFTVFLDGVDGKGILRLRPQGVDASFLTSQILPNRTFIVDINGPENYRSIQGAIDSVPDNNQDWVVIHVKKGIYREKVIIPREKPHIYLRGSGSTKTVIVWAESSENNYQSSTFKVEAPNFVAYGISFKNDAPTGIANTSHNQTVAAYVGADKVAFYSCGFYSSHNTLLDNKGRHYYDHCYIQGAVDIIFGRGRSIFHECEIFVINDRRMEIQGSVTAHTRSGLDENTGFVFVGGRVFGTGHAFLGRPRGTHSRVVFAKTYLSKTIRPEGWSDWNHHGSTENLCHCEYKCHGPGAGTSERVQWLKKLSDEEAAPFLSIKFIDGRKWLFAGYSA >cds-PLY84565.1 pep primary_assembly:Lsat_Salinas_v7:1:32210828:32212588:-1 gene:gene-LSAT_1X27380 transcript:rna-gnl|WGS:NBSK|LSAT_1X27380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERWQPRHGRRGRGRGSYSSSSFSQSNDSGPSNPSNRVRKTTTNLSNSVINNKQDHNDAEILPNLIGTCPFMCPIEERTQRERLRDLAIFERLNGNPSKSSSTLAVKKFCRTISSKDLRDMDLRPLQVLEDTLNYLLTIFESKEHPFEAIHDFIFDRTRSIRQDLSMQNIITGDQAVSMYERIVKFHIISHYKLRINATANSNVSPMHHLNMEQLTKTLASLYHIYDTNHKSGNTYDTEGEFYSFYVLLHLGSDHQPTGESLSLWFRSLPYSIIKSKEMMFSRRLLRYFRFGNYKCFLHAIETEASCLQYYVIEPYINEIRALGLACLNHGGYKLNPYPLVDICKILLLEEFDVESFCKDCGLDTFSSDEGIKFMPTKQTSFCYPKGSQRYYQLVSKRLKKFYNEVP >cds-PLY80552.1 pep primary_assembly:Lsat_Salinas_v7:6:13323965:13326223:1 gene:gene-LSAT_6X9740 transcript:rna-gnl|WGS:NBSK|LSAT_6X9740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyribodipyrimidine photo-lyase [Source:Projected from Arabidopsis thaliana (AT1G12370) UniProtKB/Swiss-Prot;Acc:Q9SB00] MGTAAAASSLIESTAVQLGRIRVLKEGIHQHLQPSSSSSNVGPVVYWMFRDQRLRDNWALIHAVDQANRRNVPVAVAFNLFDQFLGAKARQLGFMLRGLQQLHNEIEQTLQIPFFLFQGEAVETIPSFLEECGASLLVTDFSPLRQVRRWKEEISKRVSDSVSIHEVDAHNIVPLWIASNKLEYGARTIRRKINNLLPDYLIDFPELKPQNTIWGSSGNRSIDWVTLIADVVKKGDEVPEIDWCKPGEASALKTLMGNEKGFLTSRLKNYSTDRNNPLKPEGLSGLSPYLHFGQISAQRCALEARKVRKVNPQAVDAFLEELIVRRELSDNFCYYQPQYDSLQGAWDWARNSLMEHALDKREHLYTKEELEKAQTADPLWNASQLEMVHYGKMHGFMRMYWAKKILEWTSSPQEALEISIYLNDKYHIDGRDPNGYVGCMWSICGVHDQGWQERPVFGKIRYMNYAGCKRKFNVDGYIAYVRRLVGNIKKRKGENLLNNKAKQLVS >cds-PLY90085.1 pep primary_assembly:Lsat_Salinas_v7:6:16472035:16472505:1 gene:gene-LSAT_6X7940 transcript:rna-gnl|WGS:NBSK|LSAT_6X7940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFFHTKKKQGKNTHIPEDMDNISKNWKTLSGETNWKGLFDPPGQYLRNYIIHYGEMAQATYDAFNSVKVSKYAGSSRYAITNLLSRVGISQGRPLNKYHVIKYLYATSSIALPNAFIVKSLSRETWSKGSNWMGFVVVATDEGKVTLGRRDILIT >cds-PLY94127.1 pep primary_assembly:Lsat_Salinas_v7:8:29218100:29218501:-1 gene:gene-LSAT_8X24401 transcript:rna-gnl|WGS:NBSK|LSAT_8X24401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEISNMLMNIVFYLCILFPIIRIKHDLLSLITEFFSYLLRYDHNTPYPSLVDLPVIRFEELLERRNRSVEEMCFICSADFHLEDVVCQLSRCRHVYHSDCVGQLLQQKQPTCPFCRSPIFSGLSPMACENF >cds-PLY92843.1 pep primary_assembly:Lsat_Salinas_v7:5:329539937:329541923:-1 gene:gene-LSAT_5X183100 transcript:rna-gnl|WGS:NBSK|LSAT_5X183100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIRVQVTTKLKRWTLSHDKLLFSSLTTIVDSDYSSSSRHQCRSLYHSQTTPITTTHHRRLPPKLHLTNRSRLDYQHILKTFIARRAIQPGRQLHAHLCLIGLGNDTILCGKLVDLYCSCNHVSNAHLLFDRISKRNVFLWNVLIREYAWNGPYNAAISLYYEMIDNGVFPNNYTFTYVLKACSNLSAIDVGRNVHDHMVRTGWEMDVFVGASLINMYAKCGNVSNARQVFDKILQRDVVIWNSMLAAYAQNNHPKDCLVLCSEMASKMVRPIVATLVTTISAAAAMAALPQGRELHGYSWRQGFYFQDKVKTALVDMYAKSGYVKVARNLFNQLSEKRIASWNVMITGYAMHGHATEALNLFEKMTCEANPDHITFVGVLSACNHGGLLEKGREYFESMIHNYKIQPTVQHYSCIVDLIGHYGRLDEAYNMIKNMSVGPDAGVWGALLHSCKFHGNIELGELALEKLTELEPDEPGNYVIMSNIYAQVGRYSGVEKLRELMTKRELKKDVACSWIEVNNKVNAFLSGDTSHPMSDEIDAELKRVEKLMSEAGYVPNTTPVFHDVDDDEKMGMVCRHSERLAIVFGLISTPPHSRLLITKNLRVCEDCHVAIKFISRITKREIVCRDLNRYHHFKDGVCSCGDYW >cds-PLY97613.1 pep primary_assembly:Lsat_Salinas_v7:5:235457143:235457358:1 gene:gene-LSAT_5X114961 transcript:rna-gnl|WGS:NBSK|LSAT_5X114961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANGKNNPVPERFRVTLTDSDNEDGVLGDIESVEVPQNVQASKDDKTMLQEVDIADVSESKNIGGSKKMGV >cds-PLY76437.1 pep primary_assembly:Lsat_Salinas_v7:5:199105156:199105762:-1 gene:gene-LSAT_5X89641 transcript:rna-gnl|WGS:NBSK|LSAT_5X89641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQKTHEKIMLNYNELCVDMERGQFTKDGFIQYHLVFLQVKVNSIEEVIKHFMYLSTKSAELACSQTQALKEALDVDNLEADKIPEDLMLSYVSGEKGKDRSDKNLDIEHCTFKFCKQYKRTTEFCRICEIIQNHFANLKKYNH >cds-PLY97263.1 pep primary_assembly:Lsat_Salinas_v7:1:42761790:42762101:-1 gene:gene-LSAT_1X37581 transcript:rna-gnl|WGS:NBSK|LSAT_1X37581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSIASQSYPGSHTIVTPATASPGPTGLAANVVVDSKVGIVTSARRIARKRKVVQAMSGPAPEVASGPVGWRVMQCRGLMRLLSSPPSDPRDVLVILDDDVQ >cds-PLY62206.1 pep primary_assembly:Lsat_Salinas_v7:6:31946241:31946807:-1 gene:gene-LSAT_6X24160 transcript:rna-gnl|WGS:NBSK|LSAT_6X24160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDIVIVDIPKMQTTMFFTTDPKNLLIVCSVSPTMLSRFPTDNPIIVEYLKIAVNAGGDGSESIHEEEIPKKKEKSFKRTGKYIVSKKSKKQKRRNTNHVVIEEESSEHTESLDHNEKESALNNEEDFPNIGLKIATVSEPIQMSTPLVSSHMESEAKIYKQVVDGPFLNLSQTPLTPPIRYATSQFT >cds-PLY76646.1 pep primary_assembly:Lsat_Salinas_v7:4:117289781:117290968:1 gene:gene-LSAT_4X73960 transcript:rna-gnl|WGS:NBSK|LSAT_4X73960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILQEKGADEISLKAMGRAINKTVMIAKLIKRRIAGLHQITSIGSADITYMWEPLEEGFLLITITLSRKELDTSSIGYQQPIPADLVKPFVEYDHEGDGSPNMRGRGRGRGRGRGRGNYNNGGIEYNGDGRWEDGQGYGGGWDDGRGFGGRGRG >cds-PLY77844.1 pep primary_assembly:Lsat_Salinas_v7:1:24524052:24526219:1 gene:gene-LSAT_1X20280 transcript:rna-gnl|WGS:NBSK|LSAT_1X20280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G37560) UniProtKB/Swiss-Prot;Acc:Q38899] MEEIDIDEEEFGFSRNYFLAKESGKSGKKSARKLSEIDLVDEQELRAEVSNIEPKHEKEIASLINSYKSQYNDWVFELRYGFGLLMYGFGSKKSLIEDFASTALTDYSVIVVNGYLQSINLKQVAIAMAEVLWDQLKMQPKGLHHKDEQPFDSRSMDDVIGFLDGPHVSENECLVCLVIHNIDGPGLRDSDSQHLLSRIASCSHVRIVASIDHVNAPLLWDKKMVHVEFNWCWHHVPTFAAYKVEGVFHPLILAHGGTSQTVKTASIVLHSLTPNAQSVFKVLAQHQLAHPDQEGMPIDTLFTSCRERFLVSSQVTLNSHLTEFKDHELVKIRRHSDGQDCLYIPLSKDALEKLVLEINQ >cds-PLY69350.1 pep primary_assembly:Lsat_Salinas_v7:7:80156052:80158838:-1 gene:gene-LSAT_7X56981 transcript:rna-gnl|WGS:NBSK|LSAT_7X56981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat extensin-like protein 2 [Source:Projected from Arabidopsis thaliana (AT1G62440) UniProtKB/Swiss-Prot;Acc:O48809] MPPPRLRSLLLLLLISTTTLQICSAAENDDVNKLVFENPRLRQAYIALQAWKSAIFSDPFNFTTNWKGSNVCSYSGVYCAPSIPNPSIRVVAGIDLNHADIAGYLPPELGLLTDLALFHINSNRFCGTVPTTFKNLTLLHELDLSNNRFVGVFPTVVLSLPALKYLDLRFNEFEGSVPTKLFDKDLDAVFLNDNRFQFGIPPNLGNSPVSVLVLANNNLGGCIPASIGQMGKTLNEIILMNDNLTGCLPVQIGMLKQVTVFDVSFNNLQGPLPAAIGGMRSVEQLNVAHNRLTGVVPDSICRLPRLQNFTYSFNYFTGEAPSCSAAGGGGGKVFDDGKNCIIGKTNQRSVRECSSGDARPVDCRKLQCGGVSSPAPSSSPTPTSPSTPSPTSPSVPTTPSAPTPTSPSGPNTPSAPTPTSPSAPTPTSVPTPTTPTTPTPSQPPSTMPTPHPPSVQPSPPPPTSESSPNTKLHPPPPPREGTTPSIHYPPPPPVSQITPPTGQPVPSPPHGSQGSPPQGSIPSPPQGSTPSPPQGSHQSPPHGSHHSPPHGSHQSPPTGQPIPSPPHGGQGSPPQGSHGSPPHGSHGSPPAGQPVPSPPHGGQGSPPQGSHHSPPHGGHHSPPHGSHGSPPAGQPVPSPPHGSHGSPPQGSTPSPPHGSHQSPPHGSQTSPPPPQHIPVPSPDNSPPGHVSTSPPPPSSHSLPPPPPPSSGCILPTPPPPPPPQQWHHPPPSPTQQPQSPPPPTTHHHSPPPPPHQTQPPSPPHKPTHPQPPPDNTPLPPVIGVSYASPPPPVIPYY >cds-PLY85603.1 pep primary_assembly:Lsat_Salinas_v7:2:119531576:119532061:1 gene:gene-LSAT_2X55301 transcript:rna-gnl|WGS:NBSK|LSAT_2X55301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIAGDEPTTPISTLRRRNSIATPSDIKLHTNDVYSSSTTTTTTASSSLSSSADFELVSLKPASYTSLRDILPSTAAVVQSPKVPSSAVHSGYEISIRNRLVKQAAWAYLQPMSTSPESGGSTVFHRLWTRFSDAFLHLITQICDCMLRCTPITTTTNFRL >cds-PLY98623.1 pep primary_assembly:Lsat_Salinas_v7:1:40034957:40035661:-1 gene:gene-LSAT_1X35381 transcript:rna-gnl|WGS:NBSK|LSAT_1X35381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein THYLAKOID ASSEMBLY 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G27750) UniProtKB/Swiss-Prot;Acc:Q9LVW6] MASSLRLHLPFRSACNRSAAFNPIGNPRCYTTVRCGPRDNRGPLYKGRTLSIEAIQAVQSLKRSHRSDPTNNDTVSKTLSRLVKSDLVAAFNELLRQDQFDLALKVFSAIRSEDWYKTDLSLYAKLVASMATKRMTDDIDNLILDIEVDDVVSSDSKGLITLIKALLAADRAESTVRIYEMMKSGGWNCNSPSNDYVGKVLSRGLRRLGKKKVADEIDSEIGRVSGGISEKMVV >cds-PLY88550.1 pep primary_assembly:Lsat_Salinas_v7:7:8642767:8643018:-1 gene:gene-LSAT_7X6681 transcript:rna-gnl|WGS:NBSK|LSAT_7X6681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEHTEKYQRQVHVIKQLVEMELGDGRDMDGDEDSGVGGGVAAGAAGLANNSTGRRMKELKELEDEGIRMILFPYVNRVSSCK >cds-PLY94061.1 pep primary_assembly:Lsat_Salinas_v7:4:172148501:172151924:1 gene:gene-LSAT_4X101660 transcript:rna-gnl|WGS:NBSK|LSAT_4X101660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGENPNYWWSLNGRLQPPPSQQVYPTFLSTPPLPVPVAPPSSVMNPPYLFGSSLLLPAANSNTLGDHHHHHDNQDFPVSWSQLLLTGLANDQEHNLGDGHGGEVKHGFLDHQRRQLFYDNNPDHHENNNEEDLQTCSSSWSPQINPVPSVGSSSTMSLSTTTMVDFSSKIDRRNHNANQYSSQYDDTSTSGASKKPRFQSSSTQPSTMCNDTFTSGASKRTRAQHSSTQTPLKVRKEKLGDRISALHQLVSPFGKTDTASVLFEATAHIRFLEGQIEALSSPYMNLANNVSGGTRHQHSADGKAPLKDLGSRGLCLVPTTYIDHIDTTNMTSNGAEFWTPAFGGGL >cds-PLY70288.1 pep primary_assembly:Lsat_Salinas_v7:2:89919809:89923636:-1 gene:gene-LSAT_2X36800 transcript:rna-gnl|WGS:NBSK|LSAT_2X36800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDPESMDDSNVSNQNKSDDDVDIPYFSDVEAMILEMDLTHAQESCLASEEDDAELFAAACYAVKTTLTAVGEMFESARSIMSWLGDCAKVIAVKNYLVQWTTRDANGGGYWRKLQNPSLYPNSGLHNHPRPRLKPVGFGSGDPHRLVLHVGTKLQHVIATLALESAANTGGIFVERRCYKHECLKDFFLSTGQLHDVGYLLWKESWELARNPMATRGSPLHTPLIKLIEKAQNLFAEVYLTSTFSS >cds-PLY71588.1 pep primary_assembly:Lsat_Salinas_v7:1:115866270:115871309:-1 gene:gene-LSAT_1X87801 transcript:rna-gnl|WGS:NBSK|LSAT_1X87801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGLNLENYRIPLEEINRATNDFGAETLVGDGGFGMVHKAQLGDQTVAIKRLNRNNYQGNDEFRNELHMVSSFKHPNIIPFIGYCDDENEMIIVYQYAINTSLDRHLQNPEKRRLITWAQRLKICLGAARGLKYLHSGLGHKLRVIHRDVKSANILLDDNMEAKICDFGLSRFGPRNQAETHVITKASGTRFYIDPLYNERGRLAKESDIYSFGVVLFEISSGMLAYQPRFFGDANEQYLLDLVRSHYDDQELVDGVNKLIDPDIRGDIDMRSFHTFNKIAHQCISFKLKERPTMDRIIGSIEEALSIQNNKAASTVTIRSIQPQNLESFRIPLKEIKLATGDFSPDSRIKGDGIVAVFKGHLSQSWQNRKVAIKRLDPKEYEGENQFHNQLKLVSSFHHENIIHFMGYCDEGNEMIIVYEYASNHSLDHHFKDLNKRRSLTWAQRLKICLGTAKGVKYLHSALGNDHRVIHGNIRSRKILLDQNLEAKICDFGMPQQGTNLYLDPVYHESGILDENSDVYSFGVVLFEMLTGMLVDKLSRLGDSTNPQPLISIVQSDGLEKIIDSAIRYQIDDRSLQVYKELAYKCISNNSKERPTVDTVIKMIEDSIHFQELIYLYKLVCTVD >cds-PLY63114.1 pep primary_assembly:Lsat_Salinas_v7:8:73078307:73084086:1 gene:gene-LSAT_8X53261 transcript:rna-gnl|WGS:NBSK|LSAT_8X53261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKGNSGENRARSSITIFIVVGLCCFFYLLGAWQRSGYGKGDSIALEMTKTGADCSILPNLNFETHHSGDSVIVDNPQTKVKEYKPCSPKYTDYTPCHDQKRAMTFPRHDMIYRERHCPPQEQKLKCLIPAPKGYVTPFSWPKSRDYVPFANAPYKALTVEKAIQNWIQYEGNVFRFPGGGTQFPQGADAYIDQLASVIPIDNGTVRTALDTGCGVASWGAYLWKRNVVTMSFAPRDNHEAQVQFALERGVPAIVGVLGSIKMPFPSKAFDMAHCSRCLIPWGSNDGKYMMEVDRVLRPGGFWVLSGPPINWRNNYKSWQRPKEDLEEEQRNIEEVAKLLCWDKISESGETVIFQKKLNPESCRGSEDAPGVTYCSQDPDDVWYKKMETCVTPSNSNTGMEYKPFPERLYATPPRIASGSIPVSEDKYIEDNKQWKKHVNAYKKINRIIDSGRYRNIMDMNAGFGGFTAAITSQKLWVMNVVPTLAQNTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHANGLFSLYKDKCDFEDILLEMDRILRPEGAVIIRDEVDVLMKAKSIIGGMRWDTKVMDHEDGPLVPEKILVAVKRYWVVGSNSTSSR >cds-PLY78114.1 pep primary_assembly:Lsat_Salinas_v7:2:63386780:63388999:1 gene:gene-LSAT_2X28900 transcript:rna-gnl|WGS:NBSK|LSAT_2X28900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQDDGSSSVTSTPVQTFPSMSISPLTGSPYPWLKELKSEERGLCLIHLLLTCAKHVASGNFEHANIALDQISHLSSPEGDTMQRIASYFAEALANRILKTWPGIYKALHSTKIPFASENILVRKMFFEYFPYLKVAFVITNQVIIEAMEGEKIVHVIDLNASEPTQWRALLRDLSARPEGPPHLRITGVHQQKEVLDQMARILIEEAEKLDIPFQFNPILSKIENLDFEKLNVKTGEALAISSVLQLHSLLAPEEVTPTTKDTNGISQKDLGNGYSPSQDTASSSPFLSSKIDSFLNNLRGLSPKVMIVAEQDSNHNKSSLMERLSESLYFYAALFDCLESTLPRTSMERLKVEKMLFGEEIKNIIACEGGERKERHEKLDKWVQRLDLSGFGCVPLSYYALLQARRLVQGYSCDGCRIKEENGCVVMCWQDRPLFSVSAWKCRR >cds-PLY67257.1 pep primary_assembly:Lsat_Salinas_v7:6:136582553:136583089:1 gene:gene-LSAT_6X82200 transcript:rna-gnl|WGS:NBSK|LSAT_6X82200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEREMEIGYPTDVKHAAHIGWDGSSSSAPSWMNEFKTAPDFTATTIGNSASGLSAWSSQATI >cds-PLY65053.1 pep primary_assembly:Lsat_Salinas_v7:1:116475852:116477323:1 gene:gene-LSAT_1X86281 transcript:rna-gnl|WGS:NBSK|LSAT_1X86281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAVLIGCNYAGTKAQLKGCVNDVKKMHRCLIDRYGFLENDIKVLIDADESYTQPTGRNIRSALSDLIKSAESGDFLFVHYSGHGTRLPAETGEDDDTGYDECIVPCDMNLITDDDFRELVDKVPQGCRITIVSDSCHSGGLIDDVKEQIGESFKDESEVQGDGNSASGIRGFLQKTGDAIESAFKRDDGDDVDDVSVNNKSLPLDIIVDILKQKTGKEDIDVGNVRPTLFDLFGEDSTPKVKKFMKVLFNSLQGGDGGADGGGGGGFLGKVSELAQSFLKPKLENNADYGKPAMEAEVEGKQDAYAGSKKKKLPENGILISGCQTDQTSADATPTKNDKAESYGALSHAIQKIVEESEGKITNREVVLKARELMQKHGFKQRPGLYCEDKHVDAFFICD >cds-PLY88534.1 pep primary_assembly:Lsat_Salinas_v7:7:134416647:134419367:1 gene:gene-LSAT_7X81120 transcript:rna-gnl|WGS:NBSK|LSAT_7X81120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVSRLVRRFPGDAACFEKLNECLSNGGRCLIAMGFDLLEALSWVQRYRQNRESQLLGCSHTCSTDELKKVICLR >cds-PLY62946.1 pep primary_assembly:Lsat_Salinas_v7:2:212002210:212005775:-1 gene:gene-LSAT_2X132740 transcript:rna-gnl|WGS:NBSK|LSAT_2X132740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSNQSKSSSNLGGKVRIIARIRGFSDQELASDAAHSKSMISVFKSGDGVKLSFDDQLLSRKNEYEVDHCYQQNEDTALIFSSEIKPHISRVFNGENSTFIAFGARDGGKTYTIKGSEEKLGLGMLVMDEILKTVEGGKHTITVSIFEVFQDHVYDLLDPKNPEVQVLEDAHGKIMLKGLSKVPVKSLLEFQKLYLSDNSLNKPTKKITLELPRRSHKALMINILACDEGGSSKLVGKMNFIDLAGYENSRRNTFDGTNLNESTRINKSLNALLNVIHAINANESRVPYRESKLARALQDSFGGNSHISVLFCLNPLFCPDTIHTVTLASRLKNIKPLVAACSAAKKQTNSTASISGKKHTKPPLSANKLDSTTKQQTNSRLPLSAKKTNSVLKGRNLFSGTEEANGFKEKTPRMENNLPNIVKSVIPDNNDSTVVPHAKEEKCSSVTVLSNSDSAHVASNIVPSSHEEDASVKTQNNLPHVNGDDNIEKENNSLVANEGASPPISVKLKRLADNLKALSSTPLPVSRLQNANTQVCNSVDTMEPKTPLVTHKMDTSNSKCQSGSFSKRSSGLKQALVQNYLHFLNTASEDDLKGISGIKEKRASYILELREKSPEPFKSLDDLQELGLSAKQVKNMMKDVVRDLFS >cds-PLY77170.1 pep primary_assembly:Lsat_Salinas_v7:8:26182517:26183222:-1 gene:gene-LSAT_8X19080 transcript:rna-gnl|WGS:NBSK|LSAT_8X19080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTIAPAGLATRLYLLLQLVPLDSGAPLLCAGITTYNPLKHYGPDKPGMKIMIVGLGGPGHVAVKIGKAFGAEVMVFSTTLVKTDEALGGLKADHFIVSKDLDQMQVNNTPFYLEQVICFHWING >cds-PLY85215.1 pep primary_assembly:Lsat_Salinas_v7:8:183158996:183159856:1 gene:gene-LSAT_8X118480 transcript:rna-gnl|WGS:NBSK|LSAT_8X118480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYSYTPPPTQSSGALRSLKADYPEEFEPTEAGVYGTEAPAPTYSAGFISSQQQIPAVGQRGLAPTEVVIQPPQEAAVRVLIHSSNQLSGNDNRGSVETDGAAAGSLSGFVHKNNSAYGIVVDPYSNGEGPGFSDLAQRERSNNGSTSAVITQVDTNYGHSMTGNNFRDAYKKLNLLYKNDETPVDDE >cds-PLY82260.1 pep primary_assembly:Lsat_Salinas_v7:1:66206193:66214029:1 gene:gene-LSAT_1X56720 transcript:rna-gnl|WGS:NBSK|LSAT_1X56720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSFFFLLVLTLALSFSSAYSRDFISCVESKSSNASSISQLIYTPGNASFQPIWQFAVQNTRFLKPSTPRPSIIVTPTDESLVQTSLLCAKKHGYEIRIRSGGHDFEGLSYTADVPFVMIDLNNMRSIDIDVAKRTAWVGTGAVLGELYYSIAQKSNNTLYFPGGTWPTVGISGLIGGGGTGNLLRKYATAGDNVLDARIIDVNGRILNRKSMGEDLFWAIRGGVASSFGVVVSWKLQLVPVPEKVTVFIVNRTLEQGATEIFFKYQSLATFEDRNLYIRSQAASEFIGNTTQKTMRIIFQGIYQGTTNELIPVMDKVFPELGVTREICQEMTSVQSTLVFFGRPSTTPLEILTNRSAIPKSNSKTKSNFVRTPIPISGLEKIWSKFFENDLSGGLLIIPSGGRMDDYAETATPYPHRAGTLYLLATSVNFVGQANDTTPVSLRRLAWLQSLEELLTPYVSQNPRESYVNNNDLDIGVGAANYKEASVWGERYWKRENFKRLIRIKAKVDPKNFFKFPQSIPVFKRRGDAHGEQFIDLPAILSPLDLPSFSTGLFPLHYAQFHHHLNVDHLHRNHPLASLELFNEEARSPFVKKFKTIIHPGEVNRIRELPQNSKIVAAHTDSPDARTIQELEKRDFGNLRQEGEDGELQPKVVKRGRLPMRIWRANMKYGIIKDFIVDESRHDTYKQCYVSNFCGEKKQLMVVGDGDGDGDGDGDGYARSLTCFAANLGPVVWKVALKKMDKALPCVDAQGTTVESEGIFGKSSCWQQGIPEPAELPPATGVSPLPDPVDCNLVVFGLGTSCMGTNAPVGVVGNLDFLRNSPQERFDSEQFQDHHLVEESWDAGFNDDWNIGMLEELKAEDSEGIIGSQSHVEKSLDKLNARNQILRDQFGIDIEAKRHDEGF >cds-PLY92257.1 pep primary_assembly:Lsat_Salinas_v7:2:210269778:210271728:1 gene:gene-LSAT_2X130560 transcript:rna-gnl|WGS:NBSK|LSAT_2X130560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGKSDGSNVEEENMAAWLVSINTLKIQPFKLPPLGPYDVRVRMKAVGICGSDVHYLKTLRCADFVVKEPMVIGHECAGVIEEIGSSVKDLVPGDRVALEPGISCWRCTQCKEGRYNLCPDMKFFATPPVHGSLANQVVHPADLCFKLPENVSLEEGAMCEPLSVGVHACRRANIGPETNVLVMGAGPIGLVTMLAARAFGAPRIVIVDVDDSRLSVAKELGADEIIKVSINIQDVEGEVESIQKAMGGGVDVSFDCAGFNKTMTTALTATRAGGKVCLVGMGHHEMTVPLTPAAAREVDVVGIFRYKNTWPLCLEFLRSGKIDVKPLITHRFGFSQKEVEEAFETSARGGNAIKVMFNL >cds-PLY79562.1 pep primary_assembly:Lsat_Salinas_v7:8:118596746:118597596:-1 gene:gene-LSAT_8X81720 transcript:rna-gnl|WGS:NBSK|LSAT_8X81720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGMENESHSALHGAGAFSLLLIRPLYQTTLIPCKLRKFFCQVTWLQYKLQEWLLKAKKLLQDEVDNRKKNFEFGSLDDVKKALDKNLAKQVGSAVENMLKTGRFVTQLTLDLKELLISLINIVEIV >cds-PLY96078.1 pep primary_assembly:Lsat_Salinas_v7:3:102074582:102081619:-1 gene:gene-LSAT_3X75160 transcript:rna-gnl|WGS:NBSK|LSAT_3X75160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKWVLLFLMIFQLGFEIIECSVTYDSKAIVINGERRILISGSIHYTRSTPEMWEDLVKKAKDGGLDVIDTYVFWNVHEPSPGNYNFEGRYDLVKFLKTVKKAGMYVHLRIGPYICAEWNFGGFPVWLKYVPGISFRTDNEPFKSAMKGFTEKIVNLMKSEKMFESQGGPIILSQIENEYGSVGKAYGGAGHNYMTWAANMVVELGTGVPWVMCKQDDAPDPIINTCNGFYCDEFSPNKPYKPTIWTEAWSGWFTEFGGPVYERPVQDLAFSVARFIQKGGSFFNYYMYHGGTNFGRSSGGPFITTSYDYDAPLDEYGLIRQPKYGHLKELHKVIKECELALVSSDPIITSLGSLQQAHVFSPKSQCAAFLSNYDPNNAAKVTFNNVHYTLPPWSISILPDCKNVAFNTAKIGVESTKMEMLWSDSNMFSWETYNEDLNIVDESSIITSMGLLEQINITRDTSDYLWYTTSVDVDSSESFLHGGELPKLLVQSRGHALHVFINGEYSGSSFGTRKHRKVMYKEKINLHGGINKIALLSVAMGLPNMGGHYETWETGVLGPVALYGFDKGKWDLSWVNWSYKVGLKGESMNLISSNPTSSVTWTQDSLITQKQQPLTWHKAYFNAPKGDSPLALDMNSMGKGQAWVNGQSIGRYWTTFASGNCQGCHYAGTYRPLTCQLGCGQPTQRWYHVPRSWLKPKNNLLVIFEELGGDPTKVSLVKRSITSN >cds-PLY78777.1 pep primary_assembly:Lsat_Salinas_v7:8:59722516:59725888:-1 gene:gene-LSAT_8X43261 transcript:rna-gnl|WGS:NBSK|LSAT_8X43261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIIEYWDPTTLQFPESGVNFKLKSDTDLFAIVKSKTAISAIEVSPDGKQFAITSPDRRIRIFWYRTGKLRRVYDESLENAQDLQRSDVPLYRLEAIDFGRRMAVEREIEKTENVPQPNALFDESSNFIIYPTLLGIKIVNLHTNKVSRILGKVENNDRFLRIALYQGDQSSKKVRKILAAAVNVNESKDPMTDPTLLCCAFKKHRIYLFSRREPEEPDDATKGRDVFNEKPPPDELMAASDIGKSVTTSLPENVVWAIADSRRQGFLGFKEFITTMQLISMAQVGHTLSSDLLNSDVDYENLKPPVMDSLDVLLAKKKRPKSDP >cds-PLY89207.1 pep primary_assembly:Lsat_Salinas_v7:8:303689646:303691484:1 gene:gene-LSAT_8X165161 transcript:rna-gnl|WGS:NBSK|LSAT_8X165161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYVLIDEGIVDEVDYVLINEGRNPLLISGEASKDVARYTVAAKVAELLMRGLLKDNSEIAGHVVEFIYLKLTGRVEEKIRWSEGIHQAVEAKEGLLIQLILETIIAETSVTIPGIKYVIDPGWVKVRSYSPNSGIESLTVLTTSKAQALQRSGRAGREGAGKCFCLYPESRFEGHDDSTMPEIKRGNLSNVIL >cds-PLY99800.1 pep primary_assembly:Lsat_Salinas_v7:9:53401366:53404170:1 gene:gene-LSAT_0X7421 transcript:rna-gnl|WGS:NBSK|LSAT_0X7421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPITDDVFRWKIQMFVEEVMELVELGPIRHALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELPLMKRGGQVIYAGPLGQYSHLLVEYFESINGVSKIKEGYNPATWMLDVSSTAVEAQLGVDFADIFANSDLYKRNEKLIKELSTPPEDSHDLHFATQFSQPSFEQFKACFWKQHWSYWRNPQYNVVRFFMTAVIGLIFGVIFWGKGEIMSKQQDLSNLMGAMYAAVLFLGGTNTSAVQSVVAIERTVFYREKAAGMYSALPYAFAQVLVETIYVGIQTFLYSLLLYSMIGFEWDLGKFLWFYYYVFMCFVYFTLYGMMLVALTPSYQIAAIVMSFFLSFWNLFSGFLIPRTQIPIWWRWYYWGSPVAWTIYGLITSQLGDKTNLVHVPKHGNMEVKVYLKEFLGYEHDFLGYVALAHVGWVLLFFAVFVYGIKALNFQRR >cds-PLY99763.1 pep primary_assembly:Lsat_Salinas_v7:9:53159476:53161041:1 gene:gene-LSAT_0X7761 transcript:rna-gnl|WGS:NBSK|LSAT_0X7761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGEEICIHKAGEVRVKVVVGIYKCMEEEVMMMVEEEICIHKVGDVRVKAVVETCRCKEEAVKVMVVEVTCKHKEEVVKVKVAVGICKCMEEEAMVTVGEEICIHKAGEVRVKVAVGICKCMEEEAMVTVGEEICIHKVGEVSVKVVVETCRCKEEAVRVMVVEVTCKHMAGEVRVKVVVATCKCKVEAVMVTVGEVTCKHKEEVVREKVAVGICKCMEEEAMVMVEEEICIHKVGEVRVKAVVETCRCKEEAVKVMVVEVTCKHKVGEGRVNVAVATCKCKVEAVKETVGEVTCKQKEEAVKVKVAVGICKCMEEEAMVMVGEEICIHKVVDGRVKVVVATYTCKEEEVMEMVEEEICIHKVGEVRVKVVVATCRCKEAVVMEMVEEEICIHKVGEVTCKHKEEVVKVKVAVAICKYTVEEVMVMVGEEICIHMVGEVRVKVVVATCRCKEAVVMEMVVEMTWKHKEEAVKVKVAVGIYRCTVEEEPMVMVVEGICRYREYEEMVVVVVVICKYMEVVVS >cds-PLY66018.1 pep primary_assembly:Lsat_Salinas_v7:1:124031384:124034550:1 gene:gene-LSAT_1X95140 transcript:rna-gnl|WGS:NBSK|LSAT_1X95140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RPA32A [Source:Projected from Arabidopsis thaliana (AT2G24490) UniProtKB/TrEMBL;Acc:A0A178VTA7] MMFSESQMDGGFTSTQFTNSPAAANRDTAGIVPLTIKQISEASHSGDDKTNFVVSGADVVNVTVVGMVSDMVERSTDVNFTVDDGTGKLNCKRWLNEPFDKLQMEDVREGVYVRVDGHLRSFRGERHVSVFSVRPVTNFDEITFHFIACIHYHMRATKGQKTQGDGTTLSQNMSSTPNTPIQNGTNGFKTTSLSQLSVPFSVDGDGLKGFDQVVLAYLQLPANYGNEKGIHTNELAQKLKLSHDKIMESIRTLEDEGMIYSTIDEFHYKATSSS >cds-PLY66441.1 pep primary_assembly:Lsat_Salinas_v7:5:321122924:321125247:-1 gene:gene-LSAT_5X176220 transcript:rna-gnl|WGS:NBSK|LSAT_5X176220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKSQKSRSYFKRFQVKFKRRREGKTDYRARIRLINQDKNKYNTPKYRYVVRFTNKDIIAQIISASIAGDMILASAYAHELPHYGLKVGLTNYAAAYCTGLLLARRVLKKLEMDEEYQGNIEATGEDFSVEPAESRRPFRALLDVGLLRTTTGNRVFGALKGALDGGLDIPHSEKRFAGFNKDGKSLDADVHRKYIYGGHVASYMNTLIEDEPEKYQTHFSEYIKAGVEPDNIEELYKKVHAAIRADPTPKKIAKQPPKEHKRYNLKKLTYNERKQKLIERLNALNAAAGANDEDDEDEDDE >cds-PLY87145.1 pep primary_assembly:Lsat_Salinas_v7:5:255089710:255093520:1 gene:gene-LSAT_5X127861 transcript:rna-gnl|WGS:NBSK|LSAT_5X127861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSAISIYNPQKSNKRVFPGSSSSSYMEPEVIEITPPYAGQTSKSKAKALKQKEVVYHEIIDVDMDEDRNDVVFIEGKVESNKKRKGAMGISLGSSSAEQGSKDRGQTTKKVDSNNKGKGGVQMNSVGPGHSSKSFVAELESFYDLDDYILEDDYLALQSRFDHMDIPTGIEAQIPWFPETLQMKKNSTVHAASTYSGPHANNSSKNLPLPFVETPQKFKHQNMPFNYTHNQVAPPMKAPHTVSYFSNSSNTPQVGGGGGISSSFFQLPMNTGYPLGVDTSFSMYPMGDMNHMIMDKVLAGNSPRSSSVIGSSSSMAKVVDNEDVLKRYESFKKFDTVVDFSDHYYAAQNSGMKQPPKNWAKKIQDEWRILEKDLPDTIFVRAYESRMDLLRAVIIGADGTPYHDGLFFFDICFQSSYPSCPPLVHYHSGGLRINPNLYNCGKVCLSLLNTWSGAQKERWIPGTSTMLQVLVSIQGLILNTKPYFNEPGYAHSSGSIQGEKRSMQYNESTLILSLKTMVYTMKKPPKNFEDLVSGHFRNRVRDILMACKAYTEGVQVGCLVRGGVQDVDEDNDSCSPQFKADVVACVKTLVAAFKNIGAKEAEEFIGLTVKKTKTQTQTQTHSSSRVRHAFAPAYAHNVHAYLPPPPPPPPPSAFSAAIPSYNHYW >cds-PLY68447.1 pep primary_assembly:Lsat_Salinas_v7:2:58343433:58344973:1 gene:gene-LSAT_2X26421 transcript:rna-gnl|WGS:NBSK|LSAT_2X26421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMTRRVTRNQNDDVASSSMTIKTDDVGPWSYLNDDVLLLVMMQLGVIDFVAFSGVCKSWRSVALRNRKSFMASKPPMLTRICGDLNKNKKFRVDDHEGRKFKTIIPHSADWHYQRLTSCYLILFRWTTKEYWLVNPITRHELIFPPIPWKTDYDSRSVLVFSPSISKSVFVVLAHSQIWFSIEDEGTWNHVSSFDLEFCRDLHLFKGKIYTIDTNNFNLCEFRLNPEPKVTLLETKNLPDDPEILFPQLVSCGENLYLMGSSVYAEKFNVFKLDFGEMEWVHFEDTRDECGFFLSEENYSAAVKPELWADPWSHYEAYDIDNGGEHEKILFSAIRGWYFPHECENVNLIEES >cds-PLY66216.1 pep primary_assembly:Lsat_Salinas_v7:1:176149596:176150473:1 gene:gene-LSAT_1X116560 transcript:rna-gnl|WGS:NBSK|LSAT_1X116560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEHKIKFQFQFSLEQTEGDMEVLSGSPNIVEFSFVGCRDSSTNIAPNWEFGSADDFRDLGLDYEEADQKMVFLPPFILVLFPINVVGVHWFLAVLNLIMWKVNIYDSTQSMNFITRYKIGGEFKSFGDSIILELDVIEYRNDFPDGHTDTAIVDFVEAIDVPHQEYIEDIGDCVVFVCMFMEKIASGVPVKIDRPRRDVGFIYRIG >cds-PLY97929.1 pep primary_assembly:Lsat_Salinas_v7:3:18423061:18424070:1 gene:gene-LSAT_3X14180 transcript:rna-gnl|WGS:NBSK|LSAT_3X14180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEAGGSPCDGNVHDDLRWNHASCMVTAMCLWVIITSTCASRYTRFERGTISLSKHGDLVIILEGNSS >cds-PLY94949.1 pep primary_assembly:Lsat_Salinas_v7:4:108867986:108872067:-1 gene:gene-LSAT_4X70220 transcript:rna-gnl|WGS:NBSK|LSAT_4X70220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTPSPSVAPLSSCSTSRSTSCTLVGRGVGEDDQHWRNFDNSVSAVSFGLVATAILISMFLAMALFERFLRPPSSAAASTGARNRGDLESQMVAHGKLEFPSPKANIYAREVSVLMPGEETPTFIANPVPSVNK >cds-PLY65617.1 pep primary_assembly:Lsat_Salinas_v7:8:40327404:40327673:1 gene:gene-LSAT_8X31400 transcript:rna-gnl|WGS:NBSK|LSAT_8X31400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSEQSLSYGGFRVSDLDVPTNYELHAMETQVQIEEMRDDMRQQVVEFREEIQYLKKIVTMMVVVGVAMMSLIRVRVRVESSGWGFWWV >cds-PLY81868.1 pep primary_assembly:Lsat_Salinas_v7:8:120826535:120826855:-1 gene:gene-LSAT_8X84700 transcript:rna-gnl|WGS:NBSK|LSAT_8X84700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLLYWDDILLMVGPYGDPVRYLDDEPIILIPECDRARILSNLNMEFLQQEEFPHPLSRVKKLAGYRLSYQIVDSLI >cds-PLY96446.1 pep primary_assembly:Lsat_Salinas_v7:9:129484805:129487272:-1 gene:gene-LSAT_9X83901 transcript:rna-gnl|WGS:NBSK|LSAT_9X83901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLPAPGIHNPVATTGHPSVYVCVLFWKHRPSPPSAVLCRRCSSQHHHKPLYCVAMGYGASDHVKDKFKGIIALGTWVFSVAGALIAIPVGIKRKSLAPLVFFGTTGTMVDIIMGISACEREHAERQQQQNSLSVSVPVSDDAI >cds-PLY71430.1 pep primary_assembly:Lsat_Salinas_v7:8:42542486:42548503:-1 gene:gene-LSAT_8X33300 transcript:rna-gnl|WGS:NBSK|LSAT_8X33300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSAETSTSSNYSMRPDNMRGGAFRNGNIDQLDDDSPYGQSSPSLMDPSVSPQNSILPSRGWDDISVYNAKKKQHAWFQAPDGNWELARIISVTGDESLISFAEGKVCKVPSDVLLPANPEILDGVADLMQLSYLSEPSVLYNLQYRYDRDMIYSKAGPVLVAINPFKNIPLYGRDYIDGYKRKKIGHPHVYAIADTAIREMIRDEVNQAIVISGESGAGKTETAKIAMQYLATVRGGSGIEYEILKTNPILEGFGNAKTSRNDNSSRFGKLIDIHFSENGKISGASIQTFLLEKSRVVQCGEGERSYHSFYQLCAGAPPSLREKINLKNAHEYKYLQQSNCYTIPGVNDAEQFRIAMDALDVVHVSKENQENVCEMLAAVLWLGNVTFSLVDDENHVEPVVDEALQTVAKLLGCRIEQLQLALSSREMKVRGENFIKKLTLAQAIDARDALAKSIYSSLFDWLVEQINKSLSTGKHMTGRSISILDIYGFESFDVNSIEQFCINYANERLQQHFNRHLFKLQQEEYIQDGINWAKVDFEDNQACLNLFEQKPLGLLSLLDEETTFPKATDLSLANKLKQHLSSNPCFRGERGKAFTVHHYAGEVKYDTTGFLEKNRDLLRIDSIQLLSSCTSELPQIFASNMLSLQSESPSIGSVKKAGGVDSQKLSVMSKFKGQLFQLIQCLGNTRSHFIRCIKPNNLHSPGIYDQQLVLQQLKCCGVLEVVRISRSGFPTRMTHQKFARRYGFLLLDNVASQDPLSVSVAILNQFGILPEMFQVGYTKLFFRTGQIGKLEDTRNRTLNGILRVQSCFRGHKARHLLREMKRGIHTLQSFIRGREDRKRYAILLHRQRAAVTIQKWIKGGIVRKEFKEFSDAAAVVQGVIRGWLVRRCTADISLVQFGSGKNDDDVVVSRMYLAELQRRVLKAEVGVREKDEEREILLQRIQQYENRWSDYEQKMTSMEELWQKQMNSLQSSLSLAKQSLSNDDDTAPPPVRNNEIQNGGGASEMTAGLSLISRLAEEFEQRSQVFGDDARFLVEVKSGQAEADLDPEQELRRLKQVFEGWKKDYAARLRETKVILNRLSSEEASGGDKVKKNWWGRLNSSRGSFSLYD >cds-PLY75677.1 pep primary_assembly:Lsat_Salinas_v7:1:100790106:100790916:-1 gene:gene-LSAT_1X82001 transcript:rna-gnl|WGS:NBSK|LSAT_1X82001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARMAMMILCVVLTCMVVATPYTEAAISCGQVTANLAGCLNYLRNGGAVPPACCNGVRSLNSAAKSTPDRKTACNCLKNASKSVSGIKAANAAGLPGKCGVNIPYQISPNTDCSKVQ >cds-PLY76907.1 pep primary_assembly:Lsat_Salinas_v7:6:30289358:30293746:-1 gene:gene-LSAT_6X23181 transcript:rna-gnl|WGS:NBSK|LSAT_6X23181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKSYGFPPGVGVSGIARISPIFGIPRVSTKKTKTATVNVATDLPGIQSPLEKEMVEDRKLVAWTSVRQERWEGELVVEGEIPKWLSGTYLRNGPGLWHVGDYNFRHLFDGYATLVGLHFNNGHLTMGHRQIESEAYKAAKNSNKLCYREFSEVPKHDSFLAYIGDLANLFSGASLTDNANTGVVKLADGRVVCLTETIKGSIVVDPNTLDTLEKFEYTDSWGGLIHSAHPIVTDSEFITLLPDLINPGYMVARMDPGTNERKVIGRVDCRGGPSPGWVHSFPVTEHYVIVPEMPLRYCAQNLLKAEPTPLYKFRWYPESKAFMHVMCKASVASVEVPLFVTFHFINAYEEKDEDGRITGVIADCCEHHADTTILDNLRLQNLRSWSGEDVLPDARVGRFRIPMDGSEKGELFAALNADEHGRGMDMCSINPSFLGKPYRYVYACGAQRPCNFPNTLTKIDLVDGKAKNWYDEGAVPSEPYFVARPGAVEEDDGVVISMISDKNGEGYALILDGSTFEEIARAKFPYGLPYGLHGCWVPKN >cds-PLY87228.1 pep primary_assembly:Lsat_Salinas_v7:4:308449203:308451236:-1 gene:gene-LSAT_4X155220 transcript:rna-gnl|WGS:NBSK|LSAT_4X155220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFFESDVQFGKQELIHAVVPLLKLLTLTVIGVLLAHPKTQIVPKSTFKLLSKLVFALFLPCTIFIHLGESISLKNLTLWWFIPVNVIISTAIGCLLGLLVAVICRPPPEFFRFTVIVTGFGNTGNLILAIVASVCHSEDNAFGSDCHTSGTAYVSLAQWVSVLLVYTLVYHMMEPPMEYYEVVEEDGEIEIVQENLPVNDLSRPLLHEAEWPGMEDKETEHCKTPFIARVFANDFSQTSIPDPDSLEESHEQPRSPKSIRCLAEPRMVRRIRIVAEQTPVRHILQPPTIATLLALIIGMVPFLKSIVYGNDAPLSFLTDSLDIVAGAMVPSVMLVLGGMIAEGPNESKLGLRTTIGILVARLLILPMLGIGVVFLADKLDLLIEGDRMFQFVLLLQYTTPSAILFGAIASLRGYAVSEASALLFWQHVFALFSLSMYSIIYFKLLLTYK >cds-PLY65177.1 pep primary_assembly:Lsat_Salinas_v7:7:60017317:60020092:-1 gene:gene-LSAT_7X45340 transcript:rna-gnl|WGS:NBSK|LSAT_7X45340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVINRYVAIKAQIDGVPKESDFELKNQQFSLSIKRGSKEIIIKNLYVSIDPYHVNQMKTTGTLGRTIVPGEVISSYGVGKVIASGNPRFAEGDYVVGNISWGEYSISKGFFLRKLDPMGLPLSYHIGVLGLSGLSAYVGFFDICKPKRGENVFVSAASGSIGNLVGQYAKLLGCYVVGCAGSPEKVELLKEKLGFDEAFNYKEETDLNLALQRYFPNGIDIYFDNVGAEMLEAAISNMNLYGRVAVCGAISEYTDSKKHVKLDMLSIIYRRITIQGFITPDYMNLFPEFVSKTVDYIRAGKIHVLEDVLIGIESVPSAFVGVFHGNNVGKRIVKIADD >cds-PLY92679.1 pep primary_assembly:Lsat_Salinas_v7:4:153358673:153358834:1 gene:gene-LSAT_4X94261 transcript:rna-gnl|WGS:NBSK|LSAT_4X94261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEESNKKSKVIQKWEFITIDEFCSCWSHHISRCEGRMVFRHLTFARMVAVRA >cds-PLY70317.1 pep primary_assembly:Lsat_Salinas_v7:4:100884403:100890594:1 gene:gene-LSAT_4X64681 transcript:rna-gnl|WGS:NBSK|LSAT_4X64681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTLRLIFGVESPTYTTAKPFNLEENIYSYAKWRNAHALANRFTIMFCRVMHDAARNGENENTLLENALEAYRVETNKDFKLVEFWQILGYNLELYPMELKVVLDRKLAIKIDITRYNVDNKSNIYGISRLTENADIIDELEKNNLTPQPANSDSFMIGSSDVGSHDTKVLKTGENSTPCARDKSTATSPIKFISTPIELKRNLATCIDIDEMENLSTSKNPRLSPPDEQPTPLLVPKKEK >cds-PLY67150.1 pep primary_assembly:Lsat_Salinas_v7:5:291128640:291131446:1 gene:gene-LSAT_5X154481 transcript:rna-gnl|WGS:NBSK|LSAT_5X154481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPGPGRFGSLADGNGFQFGNQSVTVLPDEKALDTRSRFDNTFSIYNPVNDVNAYQNQLNFRGIQFDPPTNSSSPVVTSSLDDELHEDCDLSDAILGYISQVLMEEDMEDKSCLLYESLDLQAAEKSFYDVLVEKYPPSPSLSYDEGLFSVDQYIQSPHDSPLSHHNNTYVGKFTNGSIDYLHDDSNLRNIGDRNVPCTSVSSSNSGNNIIDVDSHPTTFQVSEICDENQMTMTMNFNKGVEEANKFLPSNNRLLADFNGGGAYAFLPKSQDTESDELRTIPTRVRKIPSDIGTEERSIKQAAIYGDYTVRTKEIDLMLLDSMGEGDVALRMFRNTLQNETNKDKLKDKEPKCLTRSRRKGVRKKQNKKEVIDLRSLLVTCAQAVAADDRRNASELLKQIKQHASPFGDGNQRLAHCFANGLEARLAGTGSQIHRGLVSKKTSAGDYIKAYHLYVASSPFRKISNYASNRTIQDMALNATRVHIIDFGILYGFQWPTFIQRISQRPGGPPSVRLTGIEFPQPGFRPAETIEETGARLKEYANHFKVPFEYTPIAKRWECVNVEDLKIEKGEFLVVNCMYRSKNLLDETVGVDSARNVVLNLVKKINPNAFIHGILNGSYNAPFFVTRFREALFHFSALFDMLETNVPRERPERKLLEGELFGKEIMNVVACEGWERIERPETYKQWHVRNLRAGFVSVPFSRAIMKLAREKVGLYHKDFLIDFDNDWLLQGWKGRIIYAISCWKSA >cds-PLY75631.1 pep primary_assembly:Lsat_Salinas_v7:1:50784656:50785872:-1 gene:gene-LSAT_1X43960 transcript:rna-gnl|WGS:NBSK|LSAT_1X43960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADPPTHFFKFIHPSFKFNPSIPSSFLRNLNCGRCFKVTLRRGRHEWSVNIDDGIFGGGWRRFMRENGVQEFDFIVFKHQGSMVFDFLVFDQSTCEKQYPNLFDEMDVEEHLTESGPKKLKKRKRKDYAYSQDQENFQVKETDSTIKKATSSTLNNHPYFISTLKPCSFKKSALHIPVKFAVQNGLKIGEMILRDDKGRSWKVQLNKKQEKHIYIGRGLRAFRVANGLKKGDAFKFELIENENDDPPIVNFSRTVFSLSSILCFSSLN >cds-PLY63144.1 pep primary_assembly:Lsat_Salinas_v7:4:66454511:66455876:-1 gene:gene-LSAT_4X43960 transcript:rna-gnl|WGS:NBSK|LSAT_4X43960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKNHQILGIPKTGIYLSTVNECEEDNEIIAQWKQQHPEVTKSQQTLCELTEASDVADEMFVLNFITLFVNTMIEKKSCGGLQTSITMKIAAIKNWENINWCKYLSDALPHSRTSWKPRNKGVYYVGPLPFLLLGLVTLVEDIDLTQYKDEEDVDFFKDKGKGIQVEKDAYIEENDDIGVEHALQKYPDDRELCGWFERFVNIEKVFNKSIGKSSRTREKENENETGEKEFEHHLETQKGEENATTKTKYDNPNFQDNMPNTPNMEAEQKDFLTNESQGEGTTNNKEEKENEQKHT >cds-PLY82896.1 pep primary_assembly:Lsat_Salinas_v7:4:17994661:17998471:1 gene:gene-LSAT_4X12500 transcript:rna-gnl|WGS:NBSK|LSAT_4X12500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADAFSAIPAAVLRNLSDKLYEKRKNAAIEVEGIVKQLTAAGDHDKITAVINLLSHEFAYSAQANHRKGGLIGLAAATVGLSAEAAQHLEQIIPPVINSFSDQDSRVRYYACEALYNIAKVVRGEFIVHFNKIFDALCKLSADSDPNVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSLEIRQQADSALSEFLEEIKNSPSVDYGRMAEILVQRASSPDEFTRWTAITWINEFVKLGGDQLVPYYADILGAILPCIADKEEKIRVVARETNDELRAIEADPAEGFDVGAILSIATRQLSSEYEATRIESLHWISALLNRHRSEVLSFLNDIFDTLLKALSDPSDQVVLLVLEVHARIAKDQYNFHQLVVFLVHKFRTDNTLLEKRGALIIRRLCVLLDAERVYRELSTILEGEADLDFASTLVQALNLILLTSSELAELRDLLKLSLVNAAGKDFFLSLYTSWSHSSMAIISLCLLAQAYEHTSSVIQSLTEEDINVKFLVQLDKLIHLLETPIFAYLRLQLLEAGRYIWLLKALYGLLMLLPQQSAAFKILRTRLKTVPSYSYSYSFTNNMSEHESKSDNGIIMINFGSWLQKFQQMQKLHRLHSKSQHTASIPIPSKEVEKPQESSKILPDMNLLSRPPSRLSRKAP >cds-PLY79062.1 pep primary_assembly:Lsat_Salinas_v7:3:7207714:7210203:-1 gene:gene-LSAT_3X4740 transcript:rna-gnl|WGS:NBSK|LSAT_3X4740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELLFLVISSVTFFLLLLHGLDLYRRRSLPPGPAGLPIIGNLLELGPKPHESLAKLSKKHGPLMTIRLGSITSVVASTPDAAREILQRNDEVCSGRIVPDAVTALDNHDMAVLWISPNEEWRTIRKALNTYLTHQHKLNTLRDLRQNVVEGMLEFLRESGRKKVAVDIGKLSFAVALNQMSNTCLSQNMTSYESDDIGGFKTAVKTLMEVDGKFNIADIFPVLKPLDPQNIRRQAKAAYDWFDRVTAGFISERLKHRMSSMERFGDMLDSLLDYSQGNEADFNLIHIKTLLVDLFLAGTETSSNTTEWAMTELLINPDMFSRVRKEVSTIVGKDGKIQEAKILDLPYLHAVIKETMRLHLSVPLLVPHKTETEVKLGTETSSNTTEWAMTELLLNPDMFSRVREEVSTIVGKDGKIQEAKIIDLPYLHAVIKEAMRLHLSVPLLIPHKTETKVKLGKYVVPKDTQILINAWSIARDPRYWEEPEKFNPERFLGYELDYKGQHFKFIPFGSGRRMCPGIPLAHRVVSLMVASFVYHFEWKLPHAREEMDMNDIFGLTLLRATPLVATPVPIK >cds-PLY99546.1 pep primary_assembly:Lsat_Salinas_v7:4:70627289:70631785:1 gene:gene-LSAT_4X48100 transcript:rna-gnl|WGS:NBSK|LSAT_4X48100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISKSYANLLDLANGNFPAMEEPRRKMISRTMTVPGVLTELDDDQARSVSSDAPSTVMADRLIVVANQLPIKATRKIDDNGESSWSFTWDENSLYKHIKDGLPDEMEVIYVGSLRADIDLNEQDDVSQILLERFKCVPAFLPPDVLDKYYHGFCKQHLWPLFHYRLPFSASHGGRFDRSLWEAYVAANKIFSQKVIEVINPDDDYVWIHDYHLMVLPSFLRRHFNRLRMGFFLHSPFPSSEIYRTLPVREEIIKSLLNADLLGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYYGRTIGIKIMPSGIHMGQMESILRQPEKQTRVHELQQQFQGKTILLGVDDLDTFKGVNLKVLAMEQMLKSHRSWIGRAILIQILNPARGKGRHVEEIEAEIRTSTERINQELGIPGSNYNPIILIDTPLSLTEKAAYYTISEAAIVTAIRDGMNLTPYEYIVCRQGISGSDSSNSPQKSMLVVSEFVGCSPSLSGAIRVNPWNVDATSEAMYEAISTSDLEKQMRHEKHYKYVSSHEVSYWSRSFFQDLERTCVEHFRRRCWGIGLSFGFRVVALDPMFRKLTSGAIVAAYEHAQNRAILLDYDGTVMPQSSIDKRPSHEVISIFKKLCNDPKNTVFVVSGRGREDLAKWFAPCEKLGIAAEHGYFIRWPGEKEWETCAQGMNFGWMQMAEPVMRLYTDATDGSYIERKESALVWHHQDADPGFGSAQAKEMLDHLESVLANEPVVVKSGQYIVEVKPQGVTKGLVAEKIFSSMFKKERRADFVLCVGDDRSDEDMFVMIGDAIKGGVILNVNNRSVFACTVGQKPSRAEYYLDDTVEVMNMIENLGDVSVSEDEEEESEDDGSES >cds-PLY85204.1 pep primary_assembly:Lsat_Salinas_v7:9:145985687:145986055:1 gene:gene-LSAT_9X93560 transcript:rna-gnl|WGS:NBSK|LSAT_9X93560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKHDFDFSLNPCDGNPNWTSEMHLYKYNNTLVYVCSYPGGVCHVVAISLKGQDLESVLPSSLAKLHYISDLNGNTSQFLNLG >cds-PLY65852.1 pep primary_assembly:Lsat_Salinas_v7:4:86113153:86114738:-1 gene:gene-LSAT_4X56700 transcript:rna-gnl|WGS:NBSK|LSAT_4X56700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGHMLWNEVEKSPSVLEFILKEHLPKSTPVTHIGQGHETSSFKSNFASWSAASANSVPQETRGKVTGRFFMYFCYMVHRFLDFLGLFSTFSKTSTLVLITSSAEATRRFCQSHSIRWKGDNTRQTQNAEGSRCDSGGXEACVTVVESPAKIGAAMLEMETEGHEVVETPFLEILVAGGKMGISMSRSHFR >cds-PLY81021.1 pep primary_assembly:Lsat_Salinas_v7:9:175386067:175389156:-1 gene:gene-LSAT_9X108061 transcript:rna-gnl|WGS:NBSK|LSAT_9X108061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTEYYDILGVSTDASASDIKKAYYVKARKVHPDKNPGDPKAAQNFQVLGEAYQVLSDPEKREAYDKNGKAGVPDDSMVDPAAVFGMLFGSDLFEDYIGQLYMAAIQSVELEEEGQVPEIRKMKVQERMREFQKERETKLITILKNRLRPFVEGQTTEFVNWATKEAHQLSKAAFGEAMLHTIGYIYTRQAARELGKDKRYMKVPFIAEWVRDKGHQMKSQVSAASGAVSLIQIQEDLKKLNTSEGKEQQIMKAVEEKKDQMVNSLWQINVVDIETTLSRVCQAVLKDPTVSKDEVKRRAIGMKKLGTILQGAKTNYRRDNSLRQEGVQVQQQSASSSK >cds-PLY64117.1 pep primary_assembly:Lsat_Salinas_v7:1:957347:962972:-1 gene:gene-LSAT_1X701 transcript:rna-gnl|WGS:NBSK|LSAT_1X701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQRGRQTDEMKANKDLISEVFLYIKEGRCRCTVMEVIFQAEEGFESAEIDGVGVGGGNDDSVDGYEGRECGDGCVEREVVAVVVAFKVATVMMVTTCVGGDGDGSGDNKISSEKKIVTKS >cds-PLY89106.1 pep primary_assembly:Lsat_Salinas_v7:4:145887035:145890387:-1 gene:gene-LSAT_4X89040 transcript:rna-gnl|WGS:NBSK|LSAT_4X89040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKDNDAYEEELLDYEEEDEKAPEAINGKPGSETVKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPVAGQVAALVLCHTRELAYQICHEFERFSRYLPDLKVAVFYGGVNIRTHKELLKNECPHIVVGTPGRILGLARDKDLGLKNVRHFILDECDKMLESLDMRKDVQDIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLGEMEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLTRYKGFKEGLKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPA >cds-PLY67687.1 pep primary_assembly:Lsat_Salinas_v7:4:2579521:2582649:-1 gene:gene-LSAT_4X421 transcript:rna-gnl|WGS:NBSK|LSAT_4X421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWNTKWDWENHEIFSSKVMASPKKLNTNYEEDMDGSFNLSGVVGGSGGSASDMGNSSISASTESSFKDGNGNGNGNGNGNGNGIGVRVSKFPFQGFAGFPNSSKKEFESPPPSVSSGEPFIGLKLGKRTYFENNNSKTSSFSDIPISSVSTVKKVKSSSYSQATPTPRCQVEGCNLDLSSAKEYHRKHRVCESHSKSPKVVVGTLERRFCQQCSRFHGLSEFDERKRSCRRRLSDHNARRRKPQQETIQFNSTNISSSFYGGRQNLSFVLNNGGPLVQSRPPAVSSTWENSSVNMPTTIGFNRSVPLKATRVEALDQGFKESLSPPNVDALDIRRALSLLSNNTWGVYESDLVAVEHHNHTHTGTGNPIQHGMQSVPQLPPEEEYWRQPEPPIDAAAKTSNSISTTQFQDFQLFNRFYSNPIPMDEIYDDSSISKFKLV >cds-PLY94476.1 pep primary_assembly:Lsat_Salinas_v7:2:158990067:158993248:-1 gene:gene-LSAT_2X83180 transcript:rna-gnl|WGS:NBSK|LSAT_2X83180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLFILSFLTLLSAHPTRRILHQPLFPASSVPPPETELPPPTTITTTNPTPDQPFFNENPNGQTPEPDQTQVQPQPQPPPTPPLVVGADTSNTNSVPHPIAPQPAIKPAKKIAVAISVGIVTLGMLSALAFFIYKHKSKHSTESQKLVGRRNSDDNVPMPPSSFLYIGTVEPTRSVTETTNGRPNVSPYHKLSSVKTSDRYRPSPDLQPLPPLSKPQPPPTTISPPAMSSSSDDEEETHDFYTPHGSIASTEERNSIPKSKYRHSINNNSRSVIQQRSTSPIPHSKRTSPKSRISVSSSPDTKQITSSSSSSSSRKLPSATPPPPPPPPPPPPPPPPSAIPPPKKMRISPVKSNSMFLESRVPSPKVIPGIVKLKPLEEVNNNDVEADDADGLKPKLKPLHWDKVRATSDRATVWDQIKSSSFQLNEDMMESLFGCNSGGSTKKEDTRNRKSVLPPVEKENRVLDPKKSQNIAILLRALNVTRDEVSEALLDGNPEGLGAELLETLVKMAPTKEEEIKLRDYKGDMTKLGSAERFLKSILDIPFAFKRVEAMLYRANFETEVKYLRTSFSTLEEASEELKNSRLFLKLLEAVLRTGNRMNVGTNRGEATAFKLDTLLKLVDVKGTDGKTTLLHFVVQEIIRSEGNETEGHFRKQGLQIVGGLSRELSNVKKAAGMDSDVLSGYLVKLEIGLHKIRLTGQEKKNMEEKEKGNFFESMKMFIKEAEIEIAKIKIDERKALVSVKNVTEYFHGDTSREGAQPFRIFMIVRDFLGILDHVCKEVEQMQERTTVGSARSFRIPSTASLPVLNRYHVPHCISSDEESSYSS >cds-PLY87106.1 pep primary_assembly:Lsat_Salinas_v7:5:259910402:259913255:-1 gene:gene-LSAT_5X130081 transcript:rna-gnl|WGS:NBSK|LSAT_5X130081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCSSDLQKVSNTFFSNKIRRLMAQPDVTLILDLDVLKDDSTIKVRVINLWNLFSFYNKDELISIELILIDEQGTKIQANVLRKNIYRFKNILKDGLAFYIKCPSFASQRMNGFTLTRQDHKLTFLHNTVVMESHDFSRPTFGFEFVDYQSVISLAHPQNMAIDVIGLVVAIGEMGRDSEDMKKHRLNIQIQDANGLQLNVNLWGDFAYKLQDFLHNNPHNLRMIVILQFAKLSIWRDRPTVNTYFSVSKLFINTDIDEINAFKKSLDGDDSPDSSTNTFTLLKSNKVSEHDDFMVNFQLKTIVDVSEPVEPPDADDQPNVDELTHGYECHNNDCTKTETSVIPSLVLVLLSILER >cds-PLY89339.1 pep primary_assembly:Lsat_Salinas_v7:5:113485746:113486704:1 gene:gene-LSAT_5X50401 transcript:rna-gnl|WGS:NBSK|LSAT_5X50401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRALLGEIEGTSITRAKSEKISHEYSTIMDIQESVHEILMNLKEIVLRSNLYGTCEASICVRGPGYVTAQDIILPPYVEILDNTQHIASLTEPIELVIGLQIEKNRRYLIKAPNTFQDGSYPINPVFMPIRNANHSIHFYENGNKEILFLEIWKNGSFTPKEALYEASRNLIDLLIPFLHTKEENLNLEGNQHMVPLPPFTFYDKLAKLTKNKKKMALKSIFIDQSELPPRIYNCLKRSNIYTLLDLLNNSQEDLMKMEHFRIEDVKQILGILEKNFVIDLPKNNPKIGFESLGQFGIGIDS >cds-PLY83957.1 pep primary_assembly:Lsat_Salinas_v7:8:36279482:36280321:-1 gene:gene-LSAT_8X28800 transcript:rna-gnl|WGS:NBSK|LSAT_8X28800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFKSHLQQLLERCNFLNFSRNKKCRECNEEGPLKPGLDEVEMKKGDWNCPHDINAGAIL >cds-PLY62768.1 pep primary_assembly:Lsat_Salinas_v7:4:199147385:199147624:-1 gene:gene-LSAT_4X112820 transcript:rna-gnl|WGS:NBSK|LSAT_4X112820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALNRSHGGVRVFHRCWRSPIGFQVVTNNSYVRRRVAAAAGGGDRVVLLQRLAALLLLEVNSKEXXXXXXXIGVGVFAG >cds-PLY62703.1 pep primary_assembly:Lsat_Salinas_v7:6:56421858:56422339:1 gene:gene-LSAT_6X41120 transcript:rna-gnl|WGS:NBSK|LSAT_6X41120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEHHESINSSEGSLVELETINSINELMGMENCGSEDEECLKRRVLAEAHLDYIYTQHRKP >cds-PLY65843.1 pep primary_assembly:Lsat_Salinas_v7:1:192005234:192005527:-1 gene:gene-LSAT_1X121721 transcript:rna-gnl|WGS:NBSK|LSAT_1X121721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPNYIARLKLKYKPNDLTTFAELDPGSFKTFDDSYFKLVTKKRGLLQSDAALLDDPVTIAYMIQATSHSSIFFKDFGVSMVNMGRITVLTGSQGEI >cds-PLY99575.1 pep primary_assembly:Lsat_Salinas_v7:7:189409034:189412342:-1 gene:gene-LSAT_7X112141 transcript:rna-gnl|WGS:NBSK|LSAT_7X112141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGLPSLGRVKLTDLIASEGIPSDTYKLSVSTLSQSLAQYSAAIIQLPPTDGALLRCCLESARPYFNQKPPYPSSDMIHIDDSREWCKTSGYTADPQLWQESYDYRPGLTSTEPNNDIELPPSGLTDIFMLLGKAARDILDAISFYLNLRSCAFTEILDNVPLRNREISSSVLSVGCHARPSFQGAQHHNLTTQEDGQLVMFTDHEHQVDKSLVSIIKSDKAGLHIRDFNGRWVLVDGDLGPQEAIMYPGLALYHATAGYVSPALHRTDINSLHGGMYGRCSVSYKLMPKSMTSLNCSEMRAAGHGVEAQFQIPVSVDDFMQRSHSLDQLFNRNSFPSFSFPTAQEGSIKPLMKRKKNSTRCKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCSLKECESHIHTLDSPCGNIRLEIGWPPGVPFVHPHDLPNKAKIGFLETYEPGWTANHDMELTLIETGQSSQHADG >cds-PLY86734.1 pep primary_assembly:Lsat_Salinas_v7:2:197197123:197199342:1 gene:gene-LSAT_2X118741 transcript:rna-gnl|WGS:NBSK|LSAT_2X118741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAVTTFGAAVNRAPLSLNGSSGVAAVPSSTFLGSSLKKIVNSRHAVNSNRFASFKILAADKEIEETQQTDKDRWRGLAYDMSDDQQDITRGKGMVDSLFQAPQDVGTHFAVMSSYEYISTGLRTYNLDNNMGGFYIAPAFMDKLVVHITKNFMTLPNIKVPLILGVWGGKGQGKSFQCELVFAKMGITPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEDNPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCIGIFRTDNISDEAIVKLVDTFPGQSIDFFGALRARVYDDEVRKWIGDVGVETIGKKLVNSREGPPTFEQPKMTIEKLLEYGNMLVQEQENVKRVQLAETYLASAALGDANKDAIDRGSFFG >cds-PLY70776.1 pep primary_assembly:Lsat_Salinas_v7:3:136263721:136267316:1 gene:gene-LSAT_3X90920 transcript:rna-gnl|WGS:NBSK|LSAT_3X90920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHRSAPAPFLMKTYQLVDDPITDEMISWNETGNGFVVWKTADFARDLLPNSFKHNNFSSFVRQLNTYGFHKTLPDKWEFANEYFKRGRKDLLIEIHRRKTVTPTKGKSDGDGGLASPPSSSGDDLRSSSTSSPNSKNPGSVVLPTVEKLENLSDENEKLKKEKQFLTSELAQAKKQCDDLVAFLTQSVKVAPEQVSRIMSGDVVVGETETVVQETDGDDDDKDGDCFRLFGVLLRDGKKKRGREEINETSGVEMKNMKLQGDFNDDNPWMNSLYSVTEQY >cds-PLY81495.1 pep primary_assembly:Lsat_Salinas_v7:8:157507703:157512885:-1 gene:gene-LSAT_8X104101 transcript:rna-gnl|WGS:NBSK|LSAT_8X104101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIDRYQIRNVYSLADQELYKAADKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFQELHEEVTATAARGHGLLVRAQQLELEIPSIQRSFMSQTCHSAFFTNSGVDWHPNLKTTPNLITTGDLPRFVMNSYEECRGPPRLFLLDKFDVGGDGVCLKRYTDPSFYKVEVSLNEIENAEIQRDKKIRKNKKKGSQCKVGGTPEISPNSKAKLHQLFMEDRMQNSTTEYTHRVKLKKRPNKSPFDSETYINKLLKSPSSKGKIVHPLPFHPSIIPVPFDSPNQIFKDTIGSPFNLATPSRSSSVSSSAENTGCRIPADNLAGKVIDRKISDLTNSGSPDVNEEIKTDGVQNGYRSDDVASEMDNYVDALGTMESGLQFQFSDSQSTRSTEILFHPRGPLEKTPRTSYDMNEGVVLEEDENLKNEENQTDFHSPAGSLLSFQFFGNFEGEVEHEHEHPNDGSSPMIHPPNILETADKINEDNHNHSTKDDSVVEETLDSCEKFSLPEEKLNEIGDDEPKVSSFIEDEKELKERETGLVNSETTPDDVDRNDDKALSTLEDDTKSEGREEDSVDPKMEKNVVVLLPLDVNTNENNARNDENALPTLEDDTPLEGKGEEDSVDLEEMKNIPPDLDEDDVDRNDDNALSTHSLLEETNENGEKTVVVLNDIGSQPMTVTEKPPMLELPPSEMEDKEDPQLLFASAGSQFSSQSDSPSHDIDQFDQVNHQLPPVKLEDTPPLPPLPPMQWRMRKLESPMLTPTDGGQLKVNEIKNTEIENEKFENEGSLHAQDHDKKSASTEVKFSEILAPKEAVDEIPNNIRPTKIQRPRNPLIDAVATHDKSKLRKVLAMSQITKGQENDKLLEQIRTKSYNLKPPAQTRPNIQGPTTNVRIAAILEKANAIRQAFAGSDDDDDDSDSWSDS >cds-PLY90820.1 pep primary_assembly:Lsat_Salinas_v7:2:105311088:105311270:1 gene:gene-LSAT_2X47260 transcript:rna-gnl|WGS:NBSK|LSAT_2X47260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVIDGCGEVVVVMSSSNNIMDLNGILGDLLASPVPFVVIFKFDDVWLSVPYGNTPDGSK >cds-PLY68838.1 pep primary_assembly:Lsat_Salinas_v7:3:63902983:63905770:1 gene:gene-LSAT_3X50601 transcript:rna-gnl|WGS:NBSK|LSAT_3X50601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGACLSTPESCVGGRITSSKRKFRRRKKGLKRRVSTRLSDQSKSLPSDKSFVNPTFRGSTDESWYDSAAVLDSDCSEDDFQSVLDDVSSLNGSEGASRASISSVHPEEMNPRSRSEGPNEIKPVYLDEISSSVDETSGREDGLLDCGVIPSNCLPCLAATVPSIEKRRSLSSSPPSVRKKSTHKLSFKWKDGHPNANIFSSKIHLQRPKAGSQVPFCPLDKKVLDSWSNVEPKTFRVRGENYLRDKKKEHAPNYAAYYPFGVDVFLSQTKIDHIARFVELPVLESSSGDLPCILVVNVQVPLYPCAFFQGEIDGEGMNVVLYFKLSETYSKELSSQFQDNMRRILDDEIEKVKGFPVDTLVPFRERLKILGRVVNVDELQLSAPERKLMHAYNEKPVLSRPQHEFYQGENYFEIDLDMHRFSYISRKGFEAFQDRLKNCILDVGLTIQACLFGNKAEELPEQILCCVRLNGIDRMRYHMLGLNQEL >cds-PLY94931.1 pep primary_assembly:Lsat_Salinas_v7:4:108173782:108180261:-1 gene:gene-LSAT_4X68681 transcript:rna-gnl|WGS:NBSK|LSAT_4X68681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHLVDKIILFFINVMFYLSSSEGKTNLQEIVSVSAVLAFGDSFVDQGNNNYDANSGKANYAPYGKDLVGGIPTGRFSNGKTLPDFFAEGLGVKAYLPAYLNPFLQDDDLLTGVSFASGGSGYDPITNKFMSAIPLSEQLNMFKQYIGKLETKVGEEVANDIITNSVFLVVASTNDLIITLPKIGIQDATQYDNMLANMTISFVQELYNLGARRIAVFSAPPVGCSPAQRTLFGGILRMCAEKLNEAAHLYNTILKQQLPTIASRLPHSRVAFVDFYNPLIHIIDNPKKYGLEVVNRSCCGTGLIEVNYMCIKHSRTCQDDSKFLFWDNIHLSEIGCNIFVNQSLPGLMDSLL >cds-PLY63791.1 pep primary_assembly:Lsat_Salinas_v7:6:26143071:26144566:-1 gene:gene-LSAT_6X18560 transcript:rna-gnl|WGS:NBSK|LSAT_6X18560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATIASVGSSYDRQSELKAFDQTKTDVKGLVDAGIRKILPIFFHPRDTTPKISTTVFKIPVIDLQSTHRASMEEMIREASANLGIFQVVNHGIPMSVMDEAAQRVRRFHEQDDEVKKGFYTRDLSSTLAYNNNYDIFSEVLGLNVNHLGNLDCGKGLLFSGHYYPACPQPELTTGTSNHTDGGFLVVLLQEQTEGLQICHQNPKCKKSSPSSLIEDPKIVLNIDEEPSSLKAAWELLEILYADKQSQSWIPEQLVDWLTESNATVRIWEVKYVILSIRFGLMTEKQRI >cds-PLY82682.1 pep primary_assembly:Lsat_Salinas_v7:MU041506.1:240480:242450:1 gene:gene-LSAT_9X117900 transcript:rna-gnl|WGS:NBSK|LSAT_9X117900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKAHSNCGTNELPIVTSETTEESQRFKVATLLQLRYPPGFFRKVVAEAVATFLLVFVTCGSAALATNDKHKVSQLGASLAGGLIVTVMIYAVGHISGAHMNPAVTLAFSAVGHLPWNQVPIYAAAQLTGSISASFCLRVLLHEVKHLGTTTPSGTDEQALIMEIIVTFTMMFVTSAVATDSKAVGELAGIAVGSAVCITSILAGPVSGGSMNPARTIGPALASNNYKGIWVYIIGPITGTVFGVMCYSFIRATDRPVTFSSFKLKRMKDIGENTTV >cds-PLY75379.1 pep primary_assembly:Lsat_Salinas_v7:6:178159880:178160295:-1 gene:gene-LSAT_6X108660 transcript:rna-gnl|WGS:NBSK|LSAT_6X108660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVPLISVVSFEDLSCTKATTVEATVLIVNPLNMESDIPGSSLMLIDTSSAKLSSKSNPPTSVIDRHVLFSSLLVHYNDSMFL >cds-PLY96136.1 pep primary_assembly:Lsat_Salinas_v7:3:100070936:100073922:-1 gene:gene-LSAT_3X73920 transcript:rna-gnl|WGS:NBSK|LSAT_3X73920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQSSCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLIERCRIGYEEQTEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYEKLRLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESEENGPPQAIDYICMVINLLQEEGWVVCRAFKKRSTGQTKTTEGWESNFFYDDSSRMDPIDYITSQPSSSIFSQSFMCKQELEAVENLNFVQCDQYVQLPQLESPSLPLIEKPSSISLVSSSENNDLEDDLQGGRNIHNNKISMNNIDNVDKVTDWRALDKFVASQLSQEDRYGIGEGLSSSFQGKENSNFSYMFMERGREEEDGGGGGGGKLNVLLSSSHQDHSDIGICIFDK >cds-PLY75058.1 pep primary_assembly:Lsat_Salinas_v7:9:21663517:21667210:1 gene:gene-LSAT_9X19481 transcript:rna-gnl|WGS:NBSK|LSAT_9X19481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate synthase alpha subunit 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G29690) UniProtKB/Swiss-Prot;Acc:P32069] METLAISHRLLPPSIRRSSINPRLSSLPPSLALSSATSRSFTLRCSARSPTASVDHSVKFKEAAKDGNLIPLYRSIFSDHLTPVLAYRCLVKEDDRDAPSFLFESVEPGLKASNVGRYSVIGAQPTMEIVAKENMVTVMDHHEGKKTEEFVEDPMVVPRRIMEQWKPQRIDELPDAFCGGWVGYFSYDTVRYVEKKKIPFSNAPEDDRNLPDVHLGLYDDVIVFDHVEKKAYVIHWVRLDQYSSVDEAFKDGTDRLEALVSRVHDIVPPRLSPGSIKLYTNLFGPSLKNSNMTSEAYKEAVLQAKEHILAGDIFQIVLSQRFERRTFADPFEVYRALRIVNPSPYMTYLQARGCILVASSPEILTRVKKRKVTNRPLAGTIRRGKTPKEDYMLENQLLHDEKQCAEHIMLVDLGRNDVGKVSKAGSVKVEKLMNVERYSHVMHISSTVSGELLDELSSWDALRAALPVGTVSGAPKVKAMELIDRLEVNRRGPYSGGFGGISFTGDMDIALALRTIVFPTAARYDTMYSYRDVNKRRDWVAHLQAGAGIVADSDPGDEQRECENKAAGLARAIDLAESSFVDK >cds-PLY74832.1 pep primary_assembly:Lsat_Salinas_v7:8:106436824:106439698:-1 gene:gene-LSAT_8X72501 transcript:rna-gnl|WGS:NBSK|LSAT_8X72501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIDFKDNETLIPVNQNHTRHTDSGSDSAFIGSWGSSSSSSFLTPSFGSELDSTDADPDDGGDDDDDFIAQLSRQMADYMLEEKDDNRSEENPSSKDSGFQKQNIQEATYNPNQEKTRRSYADTVKKSIVGFQSEQSLTNDKQIQPPIQLYQVEHQPRVGGQSGVWGRRGKMSELTQHMTPHRPEVQMHGNKGVRGSKEFINGGKGYHGVHGSSTVAGSGMRAIFLGGSGSQNVMNGTGVFLPRSTTDATVQGRKKTGCSTVLVPTRVLQALEQHFNNMESLSSSKKSHIHPNRQDNNDRKHAKELSSMEQQKSKLPQEWTY >cds-PLY97090.1 pep primary_assembly:Lsat_Salinas_v7:4:76051772:76055198:-1 gene:gene-LSAT_4X51361 transcript:rna-gnl|WGS:NBSK|LSAT_4X51361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDFVEEDEITSPLLLKNTQIDGSTSTSAAPEENSPIEQVALTVPVTDDPSLPVVTFRMWTLGTVACVLLSFLNQFFWYRREPLSITSISAQIAVVPLGHLMAATITDRVFFQGKKYEFSLNPGPFNVKEHVLITIFANSGAGNPYAIHIVSAVKIFYGKTLTFWVSLIVVVTTQVLGFGWAGIFRRYLVEPAAMWWPQNLVQVSLFRALHEREKRPKGGLTRNEFFLIAFICSFAYYVLPGYLFPMLSSLSWICWIYPKSVLAQQIGSGLHGLGVGAIGFDWSSISSYLGSPLASPWFATANIAVGYGLVTYVITPLAYWFNVYEAKRFPIFSDDLFTSTGQPYNTSAIIDSNFHFDSVAYEQEGQIYLSIFFTMAYAVSFACLTATVVHVLVFHGREIWDLSKSAFQEKNMDVHSRLMRRYKQVPQWWFTCILLTNIVATLFVCEYYKTQLQLPWWAFLLACGLSFFFTLPIGVITATTNQTPGLNVITEYIIGYLYPGYPVANMCFKVYGYISVKQGIAFLHDFKLGHYMKIPPRSMFMAQICGTLISALVHLGTAWWLMDTVPNICERALLPPGSPWTCPGDHVFYDASVIWGLIGPQRIFGNLGYYNSINWFFLAGAIAPILVWLLHKAFPTQNWIKLITTPVLLGATINMPPATSVNYNSWIIIGFLSGFVAYRYHHNWWGRHNYVLSGALDAGLAFMGVLLYVSLDMEGVSLNWWGSKPDGCSLASCPAAKGIVVDGCPIV >cds-PLY72093.1 pep primary_assembly:Lsat_Salinas_v7:9:197375357:197376100:-1 gene:gene-LSAT_9X122340 transcript:rna-gnl|WGS:NBSK|LSAT_9X122340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKGCELGTSVLARITVFRLTSLFIIITTISIIVDFSGLILPSGTLVRILELNASYFLKSGGYFANCVDSTIPIEAVFASEMKKLQAEQFKPMDQVTLESSYFF >cds-PLY97419.1 pep primary_assembly:Lsat_Salinas_v7:4:16917301:16918010:1 gene:gene-LSAT_4X11980 transcript:rna-gnl|WGS:NBSK|LSAT_4X11980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSFMNTIKTAVSDSTTDGNEKSTNTEQPSSTELLSSAKQVAEAAKLAASNQTDKIDKVKTAGAAADVLDAAKKYGKFDETQGVGQYLKKADDYLHEYENSGASATTPPPTKEGEAEAPAAAPPVEEKKSEKEESGSGFGAADALKAAGSFFK >cds-PLY73926.1 pep primary_assembly:Lsat_Salinas_v7:3:38937880:38939663:-1 gene:gene-LSAT_3X30100 transcript:rna-gnl|WGS:NBSK|LSAT_3X30100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYNMIKSLIFSLPKWLYSHIWFSDIAIGLLIVFIFNSIVQRLTTKGPMTWPVFGILPTVLLHVTHIYEWGGEALVKSGGSFYYRGMWMGGTYGIATCDPEKIEYILKTNFKNYPKGKAYRERFYDFLGDGIFNADDELWRQQRRVANSEMHSTRFTQFSMNAIKTLVHEKLLKLLEAKKGCVIDLQDVLLRFTFDNTCAVAFGVNSGCLEVELPEIPFAKAFEQVTYASLLRFLMPPYVWKPMKFFRLGFEKTLHEAVKIVHGFAEKTVKERKMELLGNKEAINNNSRCDLLSRLIIMEQDREEVFFTDKLLQDFCISFILAGRDTSSVGLAWFFWLITKNPSVETRILEEIHNILQQRENPDKENQENTTFTEEELKKMVYLQAAITESLRLYPPVSFDHKEPQEDDMFPDGTPIEKGARIVYVMYGMARMPTIWGKDCCEFRPERWIRDGEFISESQFKYTVFNAGPRLCVGKKFAYMQMKMVVASILWRYTIKVVKGHKVCPKVNTTLYMKHGLLVTLEPRAKFID >cds-PLY76228.1 pep primary_assembly:Lsat_Salinas_v7:4:52697613:52699266:1 gene:gene-LSAT_4X35880 transcript:rna-gnl|WGS:NBSK|LSAT_4X35880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATHTRFAAALVEVVSSTIHIRLLLILMILIVSGNTGVLGGKNARIHIPDELDDVVDDEEDEAWKEWGRKKKVAEEPFDPPPTDFSEMDLAKMQDEMMKRQHGPSFGFVKLRFGVRRTPDMITEIAMKWSKIARTGAIEVKFMGVDVSTIMFTLEKGQDTLELKEFILSQPEAYEIKIGDRLFRRPGDPSFDDVFADDYNKKNNIHPSIHEHEKDEL >cds-PLY98349.1 pep primary_assembly:Lsat_Salinas_v7:5:316700784:316701572:1 gene:gene-LSAT_5X174240 transcript:rna-gnl|WGS:NBSK|LSAT_5X174240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLASGSGSHDPGEPPGPSQPGGAVESPTGRSRLQATATQSLSRYESQKRRDWNTFLQYLKNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHVTGCPYFGYPNPPAPCACPLKQAWGSLDALIGRLRAAYEENGGQPESNPFGARAVRIYLREVKDSQAKARGIPYEKKKRKRAGGSTPSTTAKAANTSVDDGAGGGGGDGGIISSSDSAPVTSTL >cds-PLY83025.1 pep primary_assembly:Lsat_Salinas_v7:5:50419378:50421693:-1 gene:gene-LSAT_5X24801 transcript:rna-gnl|WGS:NBSK|LSAT_5X24801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLGVCSRSNDVIEPMIKPQWYVNCNGIAKEALDAVMDENNKKIDILPKQYAAEWKRWLENIHDWCVSRQLWWGHRVPAWYVTLEDDKMKELGAYMDHWVVARDEKEAEAEAKKFFSGKKFELAQDPDPIREGDFIIQPKVDFDISISTVSSLLNLGYQAVAYIEASAYIYQDGKVKYFYV >cds-PLY91040.1 pep primary_assembly:Lsat_Salinas_v7:1:203393400:203394772:-1 gene:gene-LSAT_1X122620 transcript:rna-gnl|WGS:NBSK|LSAT_1X122620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPEYAMSGHYSVKSDVYSFGVIVLEIISSQKNWSFDHPDHDLNLLGHAWMLWNKRQPLDILDPTIQDPSCGDQIIRCIHVALLCVQQYPEDRPKMSTVYAMLSYEDIELPEPKEPGFCRESYKKKCDTSVSDLTSVNEVTMTTLGGR >cds-PLY90112.1 pep primary_assembly:Lsat_Salinas_v7:7:13420557:13421456:1 gene:gene-LSAT_7X10560 transcript:rna-gnl|WGS:NBSK|LSAT_7X10560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFGSNISVAFLSLMLTLLLVRSSNAGGISIYWGQNGNEGTLADTCSTGNYEYVNVAFLSSFGKGRIPVLNLAGHCDPSSNGCTKLSSDIKSCQSKGVKIILSIGGASGSYSLASSTDARQVATYIWNNFLGGKSSTRPLGDAVLDGVDFDIESGDGKHWDDLAKYLSAYSKRGKKVYLTAAPQCPYPDAWLGTALQTGVFDYVWVQFYNNPQCQYSSGNINRIKDSWKQWTSNIPAKKIFLGLPAATNAAGSGFISVSDLIRKILPAIKGSNKYGGVMLWSRYYDDQSRYSSSIKSHV >cds-PLY90215.1 pep primary_assembly:Lsat_Salinas_v7:9:20679194:20683759:1 gene:gene-LSAT_9X18861 transcript:rna-gnl|WGS:NBSK|LSAT_9X18861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLSIWALFSFLFSIPKSTVDSLQSITDTRNIIQGIGAPIFLLTSQVAGLGLTLTKADRIYKGGLFRSATEHKEQILDASLKDHTKFFESLGIAGISNHKLLFSKTAIVPVVQVEELTRIKQSTYVGNSSSYNSREPNMDGSSIYYQSKVKKNTEDLTHQHVYARSKSRQEGFPITFALLTILQFCSYIRSDQNDRKWPNLSPQSGVLHLVAINLMFQISV >cds-PLY81610.1 pep primary_assembly:Lsat_Salinas_v7:1:50422528:50422704:-1 gene:gene-LSAT_1X43700 transcript:rna-gnl|WGS:NBSK|LSAT_1X43700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCCQVTNTHCLTIAAKEKILKIYIITFLLDISNLNIRNKDLQALRTSRATLDLMTAS >cds-PLY87909.1 pep primary_assembly:Lsat_Salinas_v7:2:28473219:28493054:-1 gene:gene-LSAT_2X13421 transcript:rna-gnl|WGS:NBSK|LSAT_2X13421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLITDSQHLRIPFIDIERGTRNFNLANIIGKGGYGDVYQGELILSGKLTSVAVKRLHNRGQGVKEFLTELQLLSRYKHPNLVPLLGYCNDDNEMILVYEYAHNGSLQSNLTMDKTPFPLPWKHRINICIEAAYGLDYLHNHVEENQRVIHRDIKSANILLDHKWKARISDLGLSRICRANEDVSYVITNPCGTHGYLDPAYNDTGMLTKESDVFSFGMVLFEVMCGESCLKNVNDAGPLTATLARSCYEKGNLNDIIHPDLMKQMDSDSLNTFSKIAYECLQKDRTQRPSMGLVVEKLEKSLELQELADASELLAEDSEWQSQETEEALESLELGEASEPLAEALESQEVPEVWKSSLARSLETQSYTLKVNIHCNECKKMVTKTLQKIDGVCTVGVDSEHGKVTVLGNVDPKTLLKKLAKSGKPAEILGTSSMNKQITNQFKNVEIDS >cds-PLY95300.1 pep primary_assembly:Lsat_Salinas_v7:4:297790056:297795390:1 gene:gene-LSAT_4X152560 transcript:rna-gnl|WGS:NBSK|LSAT_4X152560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLTGCDWLKSSPPEENLRFCFTSPHRHGINHLLLLFLRPHSEFWMRTKKSQHIGFEEALPNGTKMRHDFVLPINYYTLQFSSTYSVDSRIHLPHLLTYSDMSYYIKGIHTSMIMILHSSIFLNWPSVIVSPTIIPSAPLQSTYSARLHKPLARTSHQKKRKLLKKHHSVFIRMGESFADPSMVVKLLRLHYHDCFVRVSHLLLHLTSFLCGEVLDIIP >cds-PLY63764.1 pep primary_assembly:Lsat_Salinas_v7:6:24438375:24439823:1 gene:gene-LSAT_6X19540 transcript:rna-gnl|WGS:NBSK|LSAT_6X19540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable CCR4-associated factor 1 homolog 10 [Source:Projected from Arabidopsis thaliana (AT5G10960) UniProtKB/Swiss-Prot;Acc:Q9LEU4] MSILLPKTDSVQIREVWNDNLEEEFALIREIVDDYPYIAMDTEFPGVVLRPLAQFKNINDYNYVTLKDNVDMLKLIQLGLTFSDENGNLPTCGSDKPCIWQFNFREFNVNEDIFANDSIEMLKQCGIDFKKNSEMGIDANRFGELLMSSGVVLNDNICWVTFHSGYDFGYLLKLLTRKELPKSQTGFFDLIKIYFPIIYDIKHLMRFCNSLHGGLNKLAEILEVERIGVCHQAGSDSLLTSHAFKKLKEGYFNGNTEKYAGVLYGLGVEDGEK >cds-PLY98402.1 pep primary_assembly:Lsat_Salinas_v7:5:317012123:317012380:1 gene:gene-LSAT_5X174660 transcript:rna-gnl|WGS:NBSK|LSAT_5X174660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVREELRDSEAERRVFYDQNCTVAYEKAALEDHVATLEVQTERLESQVSSLTQEKGVLASELARSQRQLARACVDGAVARGSL >cds-PLY95275.1 pep primary_assembly:Lsat_Salinas_v7:5:99987486:99993289:1 gene:gene-LSAT_5X47461 transcript:rna-gnl|WGS:NBSK|LSAT_5X47461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLKRLLVEVSKHRTKLGLTQSLYTRSYITSQKTKFDAAYKLPIGQRRFQSGYMGSFSRRLREPDVDVSSNAAYLRELSYRNDYEAVIRVFESQPSMHTSPSAFKEYVKALVKVDRLDESELLKTLQRGMASNEESIGGVSAFKNVGKSTKEGVLGTAAAPLHMVANEGGNFKEQLWRTVRALGMGFLLISGIGALIEDRGITKGLGLHDEVQPSMESSTKFSDVKGVDEAKAELEEIVYYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFTAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPQSLDKALVRPGRFDRRVVVPNPDVQGRRQIMESHMSKILKAEDVDLHVIARGTPGFSGADLANLVNVAALKAAMDGAKSVTMEDLEYAKDKIMMGTERKSAVISPDVRKLTAYHESGHALVAIHTPGAHPVHKATIVPRGMALGMVAQLPEKDETSVSRKEMLARLDVCMGGRVAEEIIFGENEAAEGPKCKFSMWKDKEMEEGYYKEQLRKMRFELKRKEEFSEVSKVQKKLVKLQQAMEADKQVFETQLMEFMKQNRMLKCGIFVMVIVVIAMWLKWT >cds-PLY65461.1 pep primary_assembly:Lsat_Salinas_v7:7:60411188:60418322:-1 gene:gene-LSAT_7X43360 transcript:rna-gnl|WGS:NBSK|LSAT_7X43360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSTCTDVAAAAAVSEQLCYIHCNFCNIVLAVSVPCSSLYDTVTVRCGNCTNMWPVNVGMAAAFHQSLSAGSTSSFQDSSSSHHQQELNHIGPNYRVDLGILSDYNKNLPMTIRSCPTQAIGTQEINNRSQEKKQRVPSLYNRFIKEEIQRIKANNPDISHKEAFSTAAKNWAHFPHIHFGLMLENNHQANLDEVC >cds-PLY81916.1 pep primary_assembly:Lsat_Salinas_v7:8:120430908:120431380:1 gene:gene-LSAT_8X84561 transcript:rna-gnl|WGS:NBSK|LSAT_8X84561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYSRGKVKEEFQDLECLSQTLFKMYLLRLSLFLWPKTLKDFESPIVEQKVLPSEGAQASGSSFEAPELDISKGKSKLPESEFVDADLLQNKVFDLEQSSTEKDLIIGKQDIRISELEKKKSIKDAKISELQ >cds-PLY82293.1 pep primary_assembly:Lsat_Salinas_v7:1:66763995:66765562:-1 gene:gene-LSAT_1X58340 transcript:rna-gnl|WGS:NBSK|LSAT_1X58340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLSRSFSAGRNGDIEMGNAADGDGTNLDKFFEDVEAIKEELKGLELLHDQLQSSNEESKTLHNAKSIKTLRTKMDNDVALSLKKAKLIKNRLEVLDRSNASNRNLPGCGPGTSTDRTRTSVVNGLRKQLQTSMKSFNELRQKMTAEHRETVQRRYYTVTGENADEATVDNLISTGQSETFLQKAIQEQGRGQVMDTVLEIQERHDAVTVIERNLKELHQVFMDMAVLVEHQGAQLDNIEDHVNRANSYVTRGTVQLNEARKKQKNTRKWTCYAILLLLIIIAIIVLSIRPWK >cds-PLY64865.1 pep primary_assembly:Lsat_Salinas_v7:3:16742638:16744472:1 gene:gene-LSAT_3X12060 transcript:rna-gnl|WGS:NBSK|LSAT_3X12060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLYVQAAPPTDLNRNTEWFTYPGVWITYILILFFAWLAVLSVFGCSPGMAWTIVNLSHAVMTYHFFHWKKGTPFADDQGIYNRLTWWEQIDSGKQLTRNRKFLTLVPLVLYLIASHTTDYQNPMLLLNTLAVFVLVLAKFPHMHKVRIFGINAEE >cds-PLY62064.1 pep primary_assembly:Lsat_Salinas_v7:2:57644150:57645232:1 gene:gene-LSAT_2X26181 transcript:rna-gnl|WGS:NBSK|LSAT_2X26181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMTRRVTRNQNDDDASNSKTIKTDDVGFWSYLNHDMLLLVMMQLGVIDFVAFSGVCKSWRSVAFSNRKRFMASKPPMLMWIPPRSINKDRKYCLEDHERRKFKTTLTHSAGMYCCGFTCGYLILFRIKTKDFRLVHPITRHELFFPPAPWKSDYVSGVTSVFVFSPSISKFVFVVLATKQIWFSIEDEGAWNCVSSTFDFTSYMDLHVFNGKIYTLNYKNYHLCELTLNPELRVTVTLLETNNHLVDLNLFSLQLVSCGENLYMIFMEGLFRDEINVYKLDFGEMEWVPFQDTGEEHGFFISQAGHSAAVKPELWAEPWSQYPRYDVTNGGGHGRFFPADEGWYFPHDCLNVNLLDESS >cds-PLY90731.1 pep primary_assembly:Lsat_Salinas_v7:3:36235420:36242815:-1 gene:gene-LSAT_3X26460 transcript:rna-gnl|WGS:NBSK|LSAT_3X26460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIEDGVEELSASRNHLVFAYYVTGHGFGHATRVVEVVRHLILAGHDVHVVTGAPDFVFTTEVQSPRLFLRKLVLDCGAVQADALTVDRLASLEKYNETAVAPRASILATEVEWLNSIKADLVVSDVVAVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGYHHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKSRKEVRSEMGISEDVKLVILNFGGQPAGWKLKEEYLPPGWLCLVCGASSEQELPPNFIKLAKDTYTPDVMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEFYQGGVEMIRRDLLTGHWRPYLERATSLKPSYDGGVNGGQVAARILQDTANGKNYASDKLSGARRLRDAIVLGYQLQRVPGRDISIPEWYASAENELGLRTGSHSVDINNSNIPQNSDAGDFDILHGDVMGLPDTMSFLRSLAELDALHDSVKNTEKQYMRERKAAAGLFNWEEDIYVARAPGRLDVIGGIADYSGSLVLQMPIREACHVAVQKIQPSKQRLWKHAQARQNAKGQGPTPVLQIVSYGSELSNRGPTFDMDISDFMDGDQPISYEKAKGYFARDPSQRWAAYVAGTILVLMKELNIRFDSSISMLVSSAVPEGKGVSSSASVEVASMSAIAAAHGLNISSRDLAILCQKVENSVVGAPCGVMDQMASACGEANKLLAMVCQPAEVLGLVDIPSHIRFWGIDSGLRHSIGGADYGSVRIGAFMGRKMIKSTASDVYSKSYSNGNGNNLEELEEYGIELLQDEASLDYLCNLAPHRFEAIYSKNLPDTLNGEAFLTKYDHHNDPVTVIDKKRSYGVKAATRHPIYENFRVKAFKALLTSASSEEQLTALGELMYQCHYSYSACGLGSDGTDRLVQLVQEMQHSKSSVSGDGDGTLYGAKITGGGSGGTVCVIGRNCLKSSEQILQVQQRYRNATGYMPFLFEGSSPGAGKFGHLRIRRRLAPKQD >cds-PLY80799.1 pep primary_assembly:Lsat_Salinas_v7:1:70595225:70596384:-1 gene:gene-LSAT_1X59021 transcript:rna-gnl|WGS:NBSK|LSAT_1X59021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRSSKESGDIAIPVREVTSSKSVKGAPPIVAATTVRLGQQPPVGGWKRGVGIIDLILRICAITATLAAAAVMGTTSQNLQFFTRFFQFQASYDDFPTFTFFLVGNVITCVYLLLSLPLSIVCIIRPHIVGARMLLLLFDTLALALTMAAASAAAAIVYLAHNGNPNTNWPALCQQFNEFCPRVSGAVVGSFLATAILVALIVLSAAALRRN >cds-PLY90499.1 pep primary_assembly:Lsat_Salinas_v7:1:205555075:205556573:-1 gene:gene-LSAT_1X126220 transcript:rna-gnl|WGS:NBSK|LSAT_1X126220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLSPQQGGQDNGHAMHSKLDTSDSASPSGSTSSKCESIASRVKKRRRSSGEQCND >cds-PLY89397.1 pep primary_assembly:Lsat_Salinas_v7:4:122508813:122511015:1 gene:gene-LSAT_4X77260 transcript:rna-gnl|WGS:NBSK|LSAT_4X77260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSMDSFSSIDEYRFDPKWLIHPEHLFVGPRIGEGAHAKVYEGKYKNQNVAIKIVHRGDTPEEIVKREARFVREVATWSRVQHKNLAKFIGACKEPMMVIVTEILTGGSLRKYMLNKRPGCLDMHVAIGFALDIARAMECLHSHGIIHRDLKPENLVLTKDQRTLKLVDFGLAREETVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNQKVDAYSFAIVLWELLHNRLPFEGMSNLQAAYAAAFKNVRPSLEDLPEDLAMILSSCWMEDPDARPNFSQIIQMLLHYFSTILPSKPILPSRLFVNENAPMSPESPGTSALMAVCNFTGDTPREMEHNKPKGFFFCFNQCY >cds-PLY98488.1 pep primary_assembly:Lsat_Salinas_v7:2:23315038:23318308:1 gene:gene-LSAT_2X13981 transcript:rna-gnl|WGS:NBSK|LSAT_2X13981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDALVTAIAERIHKKVVSIAAKDIALAWGYKKKLDTLEHTLKIICAKLRDTENEKGKNHGVMVWLTLLKHVVGEADDLLDEVHYEMLRHEVVTRDESRMISFKSVPSLKTFSIRRGLGHRIENITEKFFEMNEHANNLGLQNRHPHLVQDGVYQETDSCLDEFKIVGRESDELHIIQLLTESKKEEKIRILPIVGMGGIGKTTLAKLVYHNPKIEQHFDARVWLCVSVMVDVDTILAKICRFLGGYKWNLQTRVNLITYLQEKLGSKRYLVVLDGVWDREMTHWDDFQSCMLKVNPQNGSCILVTTRNLEIGSKAFNEEFHALQGLSDDDCWYIFKERVFVAGQSLLPELEEIGHDIVNKCRGLPLLVKVIGGMLRNYNKKEKWLSIRDSNVWDPEEEGDIVQNILKLSFDNLPNFVVKQCFTYCSIFNKDRVMNNKELIQLWMALGLVLDDESTKKEMEDVGNDIFQLLVRISMLQDVKRDEYDYFTYFGIHEIASCGTHNQVYDLSVSLSKHENSCLMLSTNNDILRIPQVKHLSVYQERNEHCEFNTKLSTVIKDYLTCRSLQTMFFEGEIEKNISFQRFNSIRILKLSCCEVEELDDSLGELVYLRFLDLSYTRIQFLPKSIGKLYHLQTLKLYGCYALLGLPEEMTNLISLRNLEFPKIVRVHKVGQLTSLRTLPFFGVHGWKGYQIEELGSLKHLRGEIQIFNLEEISSKEDALKADLSRKKNLYMIDFIWSRNEGSNRNDLDVLEGLQPPENVKHLTILNFSSDNFPAWVMKMAINIDEKWVPLRHLVGIKLSGCSSCLYLPILEYLPLLQDLVLQNMDNLTCLKSSLHQGNNVTRLMKPLSPSLRSLQLSGMKRLEKWIDTSTNSSTMISPVLETLRIHECPKIILLDECHPHPLVSLQIDSCDNLVSIKSIQGLKSLESLEIHKCPSLLRIPDLPHQGNSLKIMRIICSSKLTYLPREIFNCYSFLTKLSLGPFSNELHSFPSLQGIEKLRNHLRSLELSGWHHWESIPEEIKHLTLLTRLFIYGFGMQELPTWLNNMSSIRDTRFYDCPRLDKGLVKLGVPRGSGLCLLKWNESVY >cds-PLY92945.1 pep primary_assembly:Lsat_Salinas_v7:3:114298321:114300921:-1 gene:gene-LSAT_3X81460 transcript:rna-gnl|WGS:NBSK|LSAT_3X81460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSQTCHLTITTSTAAAVAHTLLLLIQDDPTNPCSKIEAANEIRRLTKTSQRCRRQFSAAIKPLVSMLSSPSPEANEAALLALLNLAVKDETNKITIVEAGALEPIVGFLQSDNSTMQEHAAAALVTLSASPVKKSAVGASGVIPFLLKIINHGSPQAKMDAIVALSNLSTEPDNLSLILQSHPIPTLTNILKTCKKSSKISERCISLLETLVDYEEGRVSLTSEDGGILAVVEILESGTHQSREHAVGTLLTMCEIDRGKYREPILKEGVIPGLLELTVQGTPKSRTKAHTLLRLLRESPYRRTELEADTLEDILSNIISQIDGDEQSGNAKKMLADMVQVSTEQSLRHLQERAFVCTPCDLPICASEVSLK >cds-PLY96919.1 pep primary_assembly:Lsat_Salinas_v7:4:9088775:9090531:1 gene:gene-LSAT_4X6340 transcript:rna-gnl|WGS:NBSK|LSAT_4X6340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGSSGGEEFAVGCLLSIKTTFGDEFEGQVITYDRPSNIVVLQEGLKSNPQSRRNIRLLKANYIKEFSFLGQSDDPLDLKKCYLDLNSLQSKEDAAVRQAEIDVERIGVGVTAEAQSIFDALSKTLPVRWDKSVIVVMNEVRVSSPYLAESVTGGTPAANERVRKVLELERRRLQTRGSGQ >cds-PLY90190.1 pep primary_assembly:Lsat_Salinas_v7:7:15062229:15064815:1 gene:gene-LSAT_7X12200 transcript:rna-gnl|WGS:NBSK|LSAT_7X12200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQKQGGGGGFFSSLASSLSNFKNQVNGLLGYEGLEVINPEGGTEDAEVEAQRGRWKQEDRDGHWKMMQKYVGADITSMVTLPVLIFEPMTMLQKMAELMEYSHLLELADECEDPYMRLVYAASWFISVYYALQRTWKPFNPILGETYEMVNHEGITFIAEQVCHHPPMSAAHAENDHFVYDITSKVKTKFLGNSLDIYPLGRSRLKLKKDGVILELVPPPTKVNNLIFGRTWVDSPGEMVLTNLTTGDKVVLYFQPCGWFGAGRYEVDGYVYNADEEPKVLMTGKWNTSMSYQPCDLDGEPLSGTELKEVWKVAETPANDKFQYTHFAHKVNSFDTAPPSLLASDSRLRSDRYALEKGDLSKAGSEKSILEEKQRSEKRTREAKGQKFVPRWFDMTEEVAVTPWGDLEIYEYNGKYSKHREAIDVGNSNTNEDADITKIEFNPWQYGNVAETE >cds-PLY63475.1 pep primary_assembly:Lsat_Salinas_v7:4:82035160:82037737:-1 gene:gene-LSAT_4X54460 transcript:rna-gnl|WGS:NBSK|LSAT_4X54460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTLLLVVVFVFDLVAFALAVAAEQRRASARIAQDTNSRYCVYESDIATGLGVGALLFLLLSQFLVMFATRCMCCGRALSPGRSRALAVFLFITCWVTFIIAEACLLAGSVRNAYHTKYRSVLSANPPSCETLRKGVFGAGAAFVVFTGIVSEFYYVFYSKSDEGVIPTRENGIRMGAFN >cds-PLY69771.1 pep primary_assembly:Lsat_Salinas_v7:5:231735980:231739023:-1 gene:gene-LSAT_5X111921 transcript:rna-gnl|WGS:NBSK|LSAT_5X111921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQKGSSFSLESSVSGYFDDDGRIRRTGTLMSASAHIITAVIGSGVLSLAWCFAQLGWITGTLLLAVFAIITWFTCLLLTDCYRYPDPVTGTRNYSYMQAVKVNLGGFSYKLCGISQYGTQVGATIGYTITSAISMAAVKRSNCFHKHGHNNGCHTLNNSYLLIFASIEIVLSQIPKFHKLTFVSIFATIMSFGYSSIGIALSIAKIAGGAHSKTSLTGVPVGPDMSGMEKMWNIFSAIGDIAFAYSFSLVLLEIQDTLKSSPSENKVMKKASTIGVAVSTLLYTLCGVLGYAAFGNDASGNYLTGFGFYEPFWLIDIGNMCVVLHLLGAYQVLIQPFFAFVEDWSHKKWPESRFIEKEYYIGSYSLNLFRLTWRTMYVIIVTIVAMIFPFFNSFLGLIGAATYWPLTVYFPIEMYISQAKIQRGCFTWIWLKILSLTCLIVALLAAAGSIRGLAVSVSDFELFHSMS >cds-PLY73301.1 pep primary_assembly:Lsat_Salinas_v7:MU043501.1:85448:87588:1 gene:gene-LSAT_0X13201 transcript:rna-gnl|WGS:NBSK|LSAT_0X13201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKITMMNSLLSLVLVFFIASSSAIAGSDSPFIVAHKKATLNRLKSGAEKVSVSIDIYNQGSAAAYDVSLSDDGWSSEIFSIISGNTSTSWERLDVGAVLSHSFELESTVKSVFYSTPAVITFRVPTKAALQEAYSTPLLPLEILSDKPRENTFDLAKRLLAKYGSLVSVISIVVLFVYLVATPSKAGAAKGSKKKR >cds-PLY97606.1 pep primary_assembly:Lsat_Salinas_v7:5:236823512:236825211:-1 gene:gene-LSAT_5X115820 transcript:rna-gnl|WGS:NBSK|LSAT_5X115820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTMATVVGAAALLYYTLNKKLQSCTTPDDDEESDSLVQSHGPSGVERVSNRLIQAPATWLETISTLSETLRFTYSETLGKWPIGDLALGISYLLERHVSDTLTAATGAVVPFHHIIVHEGGVTNLVLGYAHCGMVATARWIAKLATPLLLKAFQDYPDYKLQIVGHSLGGGTAAILTYVLREHKELSTTTCVTFAPEISLEEVDKTEISEIEVIKDVEYEFDVETVIKKQETHDLFCPNCNSCITKRVILHKRKRKIPVPGEIAKRNKPKTSNPSEVNLFYDDIQPLISNEYDHERTKSTSPPYLPTSFHHPTERLWKLLG >cds-PLY80522.1 pep primary_assembly:Lsat_Salinas_v7:3:92143239:92145403:-1 gene:gene-LSAT_3X68061 transcript:rna-gnl|WGS:NBSK|LSAT_3X68061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEETLEEHTKSLAAPIIFIIVFVFQLSDKFLSHMKTKASMSKKDAQLRDEIKQLLKEAAALSEPSTFAQSAKLRRMATAKEKELAKSQESSSKEIKVSFSLYEKILMISKVVIYLTMIMWFWRIPVASISKELVQPFGRFLSWKTGGSFSNNVIMVGVIPWLILSTRVGKYLCNKVLK >cds-PLY89885.1 pep primary_assembly:Lsat_Salinas_v7:3:226551867:226553380:-1 gene:gene-LSAT_3X128920 transcript:rna-gnl|WGS:NBSK|LSAT_3X128920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVESSSSTKLPPWLESLLSETYFIPCMIHEDAKKNEKNIFCLDCCEAICHHCLDLHTSHRLLQIRRYVYHDVIRVGDAEKLMDCSYVQAYITNSAKVVFLNPRPQTRSCRGTSNNCVSCDRGLQEPHLFCSISCKINQILRSEGMMSEYLYDCKVLTLPELGSDDCFMTPDSFLEPFVSLRTSSGSSVSYGGVDSLTIACTATTEVVRKKRTSKSAIPAAARKPVTPPAVEVTTNRRKGMPCRSPFN >cds-PLY93321.1 pep primary_assembly:Lsat_Salinas_v7:9:64277227:64278519:1 gene:gene-LSAT_9X56121 transcript:rna-gnl|WGS:NBSK|LSAT_9X56121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKRKNAKDIEGKELKVTTESVHDMLGIPIGGTKLTQLDQWPKDDTSYDEWKQQFKKDSIIRLSAIKNVIVSTTQADFNFKLNFLVLFVNTFCESTSMGRCNLFPLSYNSRRTDISNIDWCNYILDYLVRTKNSYIPYSDTSIFVGPSAFLVLFYVDNIHSEALTVTRKRPTICYWSSEKVRY >cds-PLY89265.1 pep primary_assembly:Lsat_Salinas_v7:3:250609906:250610397:1 gene:gene-LSAT_3X138021 transcript:rna-gnl|WGS:NBSK|LSAT_3X138021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEISPTLATESFSFSWLTNNRASFKEETKCFFEDSSSDFSFGVLASTSTTTADEMFSEGHILPKHFIISNSAPSTPSLKAIRIYNTENIQSHNIFREWKKSSKRMMKNLFGYLRLRKGGRVNDSSKSTRGKSLVHYSYSMDVSYEFDNSISDAVLHCKRSNGT >cds-PLY91895.1 pep primary_assembly:Lsat_Salinas_v7:8:198711748:198712051:1 gene:gene-LSAT_8X127201 transcript:rna-gnl|WGS:NBSK|LSAT_8X127201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNAFIVVFLLASILASYGNAMPSEENGICTKNYLMYCFNLACDQKCKREWDKNSSGKCKNAFKCVCSKEC >cds-PLY71689.1 pep primary_assembly:Lsat_Salinas_v7:3:45110800:45112496:1 gene:gene-LSAT_3X33601 transcript:rna-gnl|WGS:NBSK|LSAT_3X33601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHCISGTLASPLSTHTKSLKFSRYTLSASIQRNHTPPPLIGKKVGRRLIRISTAEGKWQGNWNADYSFSLRDLRLQDLVEVGDPNDARVFVSLSIHRHAGFGLSVDGTIDTSFTRKCSNCSSPYCRKITSSFNVWVLSSNKDGDSNQLPEIGGDDPSVIYVKPGCEADLDTLIQDNIRLTTSVNETCSDFCEKSELGLNNLNKAKTTTVDKRWSKLLELKKLYQ >cds-PLY90784.1 pep primary_assembly:Lsat_Salinas_v7:5:8662738:8666263:1 gene:gene-LSAT_5X4561 transcript:rna-gnl|WGS:NBSK|LSAT_5X4561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAKVVILFMTIIILLYPLAEAIESDRHRQQLPPDNQMYLEETMDEDTAELLWVNCGAELMYTMEAFEDLEYCGCDKSITGKERIIKAINVQDPHVKKTILDCLKEKRIVLSKLRKDKLSTRTSLYIEYLVSFLSNPNIIIDHVHGRRKLGETVSQGTVVVAVIVTAIFTMCLAGLLFYCYIRKHGGIQNNDEKPLLSLSMGGFRRKSTDNQSNGQKNDSPMDARVSVDPDASGITNVNDGSSLDPSMQQPRVKESLRHTLKPRAVRAESSLRPTGKTEFALRPSAGNTGSPVGVGGIESSPQLESSEQQPPPTGSSLAPPALTADPPPPPAHVVPLVQLTEPKPSSDEPPPPQTQGAAPLPRTQGASPLPSQTQGAPPSPSQTQGAPPPPPPTGEAGGAPPPPPPVAEGSGAPPPPPPTGGSGSGAPPPPPKLGGNAGAPPPPPLRGSVARPLSAALRLRRTEQVDASKAKLKPFFWDKVMAKPDQQMVWDKIRSGSFQFNEEMIESLFGYQAAEKNKDQDTKNAATKNKDPPVHFVQIIDPKKAQNLSILLKALNVTTEEVSAALIEGNELPLEIVQTLLKMAPTSEEELKLRLYDGDLHRLGPAERFLKVLVEIPYAFRRLESLLFMCTLQDEESNIKESLETLEAACVQLKKSRLFLKLLEAVLKTGNRMNVGTFRGSATAFKLDTLLKLSDVKGVDGKTTLLHFVVQEIMRSEGIRAVRSAKEGKSISSIKTEDLLLEPPPEEADEHYCKLGLEVVSCLSSELEDVKRAAIIDADGLTSSVSKLGNALLKARESLNTDMKILEEQLEEEADEFWLILSTFVENADKQITWMLEEEKRIMALVKSTADYFHGKSGKDEGLRLFTVVRDFLIILEKVVKEIQAAPIKPLKKKADMSSGTQKKEDMSSDPERKDDQNQATNGAPTLTRIPNCQIPSPPD >cds-PLY72517.1 pep primary_assembly:Lsat_Salinas_v7:2:142105822:142107920:1 gene:gene-LSAT_2X70000 transcript:rna-gnl|WGS:NBSK|LSAT_2X70000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKPPSSQSLPSFTSISTKQGLILILSTLTLLTFTYVSFSSLGSGLQYNYSYSPPTTTVTSVSTLKEDVDAEDGASRNPDGFSDVYHSPEVFKLNYAEMEKRFKVYIYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTEDPDQAHLFFIPISCHKMRGKGTSYENMTIIVQNYVEGLISKYPYWNRTLGADHFFVTCHDVGVRATEGLPLLVKNSIRAVCSPSYDVGFIPHKDIALPQVLQPFALPRGGGDDIENRTTLGFWAGHRNSRIRVILARTWENDTELDISNNRIDRSTGPLVYQKRFYKTKFCICPGGSQVNSARIADSIHYGCIPVILSNYYDLPFNDILDWGKFSLILKEKDVYDLKKILKDISNQQFVTLHNNLVKIQKHFQWNTPPIPYDAFHMVMYDLWLRHSVIKY >cds-PLY89318.1 pep primary_assembly:Lsat_Salinas_v7:2:49900293:49902825:-1 gene:gene-LSAT_2X23501 transcript:rna-gnl|WGS:NBSK|LSAT_2X23501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLSNLTEWGPIAGDGPGLGDIPESCVACVFLYLTPPEICNLARLNRAFRDAASSDAVWESKLPHNYQDLLDLLPPESYQNLCKKDVFALLSRPVPFDDGNKVAWLDKVTGRVCLSISTKAMSITGIEDRRYWNWVSTEESRFNTVAYLQQIWWFEVDGSIKFPLPPDIYTLSFRIHLGRFSKRLGRRVCYFEHTHGWDIKPVRFDLSTSNGQESSTECFLDDCVADEPNGCHKRGCWIHYKVGEFIVTESNPAIEIRFSMKQIDCTHSKGGICVDNVSIIPSNLEACRRRRALK >cds-PLY83930.1 pep primary_assembly:Lsat_Salinas_v7:MU041291.1:178168:186358:1 gene:gene-LSAT_0X19560 transcript:rna-gnl|WGS:NBSK|LSAT_0X19560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14580) UniProtKB/Swiss-Prot;Acc:Q9S7G6] MASVAITRKNHLVSSLLYSIRCRSSYFRRPITTSRLSFTTSTSTSPSPADTEIPVSRTKVLEVFKEEFEIGSRLLTFETGKIARFANGAVVLGIDDTKVLSTVCSSKGDGVRDFLPLTVDYQEKQFAQGVIPGTYMRREGAPKERELLCGRLIDRPIRPLFPAGFYHEVQVMASVLSSDGKQDPDVMAANATSAALMLSDIPWGGPIGMIRVGRIDGHLVVNPTVDELNLSDLNLVYACTKDKTLMLDVQASEISEKDLEAAFRFAHPEAVKFIAPQLRLAEKAGKQKKEYKLSLVSERTMNKIRDLTETPIEAVFTDPSYGKFERGEALDKIAQNVKGILEEEGDEESLKVLSKTVDTVRKQIIRKRIITEGFRLDGRRLDEVRPLYCEAGSLPGLHGSALFSRGDTQVLCTVTLGAPGDAQRLDSIVGPPTKRFMLHYSFPPFCINEVGKRTGLNRREVGHGTLAEKALVAVLPPERDFPYTVRINSEVMSSDGSTSMATVCGGSMALMDAGIPLQEHVAGLSVGLVTEVDSSTGTVNEYRILTDILGLEDHLGDMDFKIAGTRNGITAIQLDIKPAGIPLDIICESLGPAYKGRLQILDHMEQEINAARAQDGKNSPRLVTLKYSNDDLRRLIGPLGALKMKIEEETGARMSVSDGALTIVAKNQSVMEKVQEKVDLIIGRAIEVGGVYKGIITSIKEYGAFVEFNGGQHGLLHVSELSHEPISKISDVISVGQQLSLMCIGQDLRGNIKLSLKATLPQKTKKPDPVKTSSTPVEEDPPRQQEPQNSTSYSATSPIVIRSAVECDEEEKLSTLASSVKHNSKLPRVLKTKSSSQKPKSLKSLSNEDELGCDLGSKKTKGVKPFLLTEKRTNSGSNYTSSEEEEEEDDDKHKHMFANVVDISDFFKDRESKVEETSIDAKKLKLGTKVTAKIVQVRARGLVLDLGGGINGMYRFEGGGKQDFEVGSEVRVQCTSFNSKGIPVMSIVQDD >cds-PLY98417.1 pep primary_assembly:Lsat_Salinas_v7:7:88686191:88687352:1 gene:gene-LSAT_7X61120 transcript:rna-gnl|WGS:NBSK|LSAT_7X61120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLSIWCFQEKFATVPDLGKRYEGYDNYFTLKIQYNGVFTKAPGRKYVDGVVAYVDDVDTDLFLVHELDDLTRVASYCKSPSKVFIEELEPDSVSPEMNRKKPWRREAGSCSRKLDLNKSQASKQSHVIQEEVVNHEIETQEEVITSAVKTEEPVGIYHVEERSCQADDGGNTATVDDFEPFVEDCSLYADYDVEFNVQTSCEQQPKVDYLEGMVSDDIGEAFYYESGHGSEGSGDDSDDSEYNVDESNIEFDVDVDMSKFHNSVDVDEH >cds-PLY91549.1 pep primary_assembly:Lsat_Salinas_v7:1:11096020:11101042:1 gene:gene-LSAT_1X9280 transcript:rna-gnl|WGS:NBSK|LSAT_1X9280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIWLASRKGADYFTKTLISTTFRSLRTSTTVIASFRDSDDSSTGSFRKPYLFFPCADSSPSAVFRSRRFESSQAGPQLDFFSSDDDDEQIQGSMDFPGGKIGFTSEMRFLPESSEKRVQCYRVLDDNGYELSSPKQHMNKEVAMKMYSGMLTLDSLDTVLYEAQRQGRISFYVTSFGEEAINLASAAALSSDDLIMPQYREPGILWWRGFTLQEFVNQCFGNKNDYGKGRQMPIHYGSKKHNYFTVSSPLATQLPQAVGAAYSLKAEKKDACVVAFFGDGSSSEGDFHASLNFAAVLEAPVVFICRNNGWAISTPTTDQFRSDGIVVKGHGYGIRSIRVDGNDALAVYNVVRVAREMAVTEQRPILIEAMTYRVGHHSTSDDSTKYRPADEIEHWKTSRNPVSIFRKWVQRKGWWSDEQESEFRADIRKQVINAIQVAEKTEKPSLENMFTDVYEELPSSLKDQERLIRNTIKRHPQDYPTDVPL >cds-PLY90248.1 pep primary_assembly:Lsat_Salinas_v7:8:14237698:14239560:1 gene:gene-LSAT_8X13861 transcript:rna-gnl|WGS:NBSK|LSAT_8X13861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALISSYGDTSSDSESDSQPPLAASVDNHSPPPSSLPSPPIDLLNPPNSSGTFDYLQRNSASRIRSFPHIEGNYALHVYIPVFIPSERKRELALFLKKATSLIPDLHVVDLDVPLSMLLKDEEKLIQVALGREFHISLGRTVPIRVHQIDSMVAMLRQKLQFQKRYLIDFNKWEVFVNDDQTRTFLSVENTTRGVAEVTKQIQTVNEVYKLHNLPEFYKDPRPHISIAWGVGDISESVKRVVEGEMRKNVGGRCMFTCKFSSIVCKIGSKTYNICG >cds-PLY65748.1 pep primary_assembly:Lsat_Salinas_v7:5:271477976:271478458:-1 gene:gene-LSAT_5X142021 transcript:rna-gnl|WGS:NBSK|LSAT_5X142021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFQAVISPPRGIYQETRYNLKHQIYKAGEAIGAHLDPQGYKNFYLANTISFTSSMSAIFLLLCSVSLQRRIFTILTTATMFVAITATTYSYALALESITPSQERSWLFVQKIVTMALVGWLVVATSIFVGFLWTQYEGAPTHKWLVKHVPALRDFIIHA >cds-PLY90382.1 pep primary_assembly:Lsat_Salinas_v7:9:173666567:173667586:1 gene:gene-LSAT_9X107141 transcript:rna-gnl|WGS:NBSK|LSAT_9X107141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLMYWDDMLLMVGPYGDMVCYLYDEPIILILECDGARILSNLNMGFLQRVPASTESIFKIGSTEPTTLLYDALDHFDRRNAKGLTAMMPTFGAASS >cds-PLY77485.1 pep primary_assembly:Lsat_Salinas_v7:4:50455589:50455750:1 gene:gene-LSAT_4X34460 transcript:rna-gnl|WGS:NBSK|LSAT_4X34460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEAVSWKRSYCSLASGGDAIAGKGRAAIAEVVSRRAVVNGGETLYEPTTVTQ >cds-PLY90905.1 pep primary_assembly:Lsat_Salinas_v7:1:57350708:57353524:-1 gene:gene-LSAT_1X48340 transcript:rna-gnl|WGS:NBSK|LSAT_1X48340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPLVSYCNGGLSQKIIIDTDPGIDDSMAIMMAFQTPNLDILGLTTTFGNVSTKDATRNALLLCEIAGRLDVPVAEGSSEPLKGGEPEIQDFIHGSDGLGNLHLPPPKLKKVEKSASEFLVDKVSEYPGEVSILALGPLTNLALAIKRDSSFVNKVKRVVILGGAFFALGNINPAAEANIYGDPEAADIVFTSGANIDVIGINITTQVKMSDDDLDELRKSKGKHAQFLCNSSKFYRDWHVKSDGVYGVFLHDPVCFVALIRPDLFVFKKGVVRVETQGLCVGHTLMDQGLKRWNSDNPWTGYSPVSVAWTVNVEKVLNYIKTVLMTP >cds-PLY68834.1 pep primary_assembly:Lsat_Salinas_v7:3:64618962:64622237:-1 gene:gene-LSAT_3X49900 transcript:rna-gnl|WGS:NBSK|LSAT_3X49900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLPENDVEKSHHHHSPPPVKPTKRKPGGWKSMPYILGNESFERLASIGLVANFTVFLMTVFHMDQVSSSNLINIWSGVSNFAPLIGAFISDAYVGKFWVIAFSSFATLSGMVTMTLIVGLPKLHPPSCTTQQARLNQCQAPTNRQFGILVLALGFLSIGTGGIRPCSLPFGVDQFDPTTDEGRKGINSFFNWYYTTFTIIVLTALTLVVYIQDSVSWVWGFGIPTMLMAFSIVLFFIGTHVYIYVKPEGSIFSGIAQTLVAAYKKRKLEVPRGEKEMTRGKLYDPPMKGMYEVPKLLLTDRFRFLNKGAIVLDGDINPNGSRTSAWKLASIQQIEEVKCLIKVIPIVASGIICFTAILQQGTFTVSQALKMNRHLGPNFQIPAGSIGVISMITIGIWLPIYDRILIPLIRKITKIETGITLLQRIGIGIVFSILSMIVAAFIEKMRRDSANYHNRVDGVAPMSVMWLAPQLILMGFAEAFSILGQLEFYYKEFPDNMKSVANAMFFVTAGVANYVSSALVSMVHNVTGKHGQPDWLTANINEAKVDYFYYVLAGLGVLNLVYYLVVASRYRYKENTRDIEEEPQFDVELYGVKK >cds-PLY91812.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1541235:1543667:-1 gene:gene-LSAT_0X420 transcript:rna-gnl|WGS:NBSK|LSAT_0X420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSYLDFLSVVNMSTIVLCMGGLLAVLAARWLKRWQNPKCNGVLPPGSMGLPFIGETLGLIIPSASLDLPPFIKTRIKKYGPIFRTNLAGRPVIVTADREFNHFLLRQDGKLVDTWSLDTFAEVFDQTTQSSRKYTRNLTLNHFGIEALREKLLPKMENAINDTLRAWSSQDSTEVKSATITLTIDFAAKQLFSGDLDDAPLKLSDMFNNLVDGLMSFPLNIPGTAHHRCLKSHKQVRELMSEVLRKRRCSNEKREDLLHHLINDMDTEDFLSEGFIIQLMFGLLFVSSDSISTTCALAFKFLAEHPSVLDELTAEHEAILEKKENMDSPLTWSDYKSMTFTLQVINEVLRLGNISPGLLRRALRDISINGYTIPEGWVILLASAALHLNSSEFEDPLTFNPGRWKDLNPSVVAKSFMPFGSGMKQCAGAEYSRVFLATFLHVLVTKYRWELVNGGKIVRAPIIRFPNGFHYKITPKT >cds-PLY63454.1 pep primary_assembly:Lsat_Salinas_v7:7:151865289:151870275:1 gene:gene-LSAT_7X89080 transcript:rna-gnl|WGS:NBSK|LSAT_7X89080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding family protein [Source:Projected from Arabidopsis thaliana (AT4G34430) TAIR;Acc:AT4G34430] MEEKHNSPATATETALQSSAEPPSSRRRGGGLKRKASNISNSITSTPPPSSSKRQTREKPSPVLFPPIHNGPCTRARLQPNYTDSTAFWDAVIVNGEGEGSMLSTPVAAKVEEELIARKEAWEALELKIEAEYEDLKSRDANAHVAPISSGWFSWTKLHPLEERALPSFFNGKLENRTPEIYMEIRNSIMKKFHANPNTQLEEKDLSEISVGELDAKKEVMEFLDYWGLINYHPFPETDLPTSMADAEESEETEKAEKAEKVNSLIQKLYEFEVEQLCTPAVPRSSFATPAVTSRLPETMIADESLQPEGPSVEYHCNSCAADCSRKRYHCQKQADYDLCTECFNAGKFDSDMSPSDFILMEPADAAVTSSGKWTDQETLLLLEALELFSENWNEIAEHVATKTKAQCILHFVQMPIEDTFRDCDDEEDDDSSHKEKDLKKDESCNENEEKKEVDENDEKMEGGVDGISDSVDVKETEESKALVENDDSPPDDSSPMEISESVIPGNLKDNQENGVDVALKALREAFEVVGSLPSIDEKLSFADAGNPVMAMAAFLTRLVDPNIATATARNSLKSVSSGATGLQLSARHSFIIEDPVDEKKKPEEAERSKGHFRNFPFNSTSYKYELTLKGYILCRDDVEEAVEQEDLKEKNDSDKEGINGTEKDKELVVADEKKEDEGVTTEVVVSKKGKNTRRKKGKEGKESVAVEESNEQKSEAENSSSSKKVSEDSGESVPKDEEMSEKTEEPHEPNNDNTSMAENTENSGEAEAKECKNVKKKQAPEAETKNDQNIHNMTRAAVTAISAAAVKAKLLADQEEDQIRKLATSLIEKQLQKLETKLAFFTEMDGVVARARDQLERSKQRLYHERAQIIAARLGMSSTTAAATPIPQPPRAGMSFPNPTQRPPNPNPNPMTNPMTMTPPQRPPPSXR >cds-PLY74590.1 pep primary_assembly:Lsat_Salinas_v7:7:36897428:36901192:-1 gene:gene-LSAT_7X27321 transcript:rna-gnl|WGS:NBSK|LSAT_7X27321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKLQVGIISPSKLRMKLMGQRKKDGGSKSNSARTSPSKLEDTEFVKNSLLASNSGVSDEEGSAMAISSLTFDSTEIGQGPGQPKESRAKPHQFQKADSSNSSSVHPLRSLEDENLDYDSNASSSSFEFHKGEQRSTHNAISRSLLRPMSSKWNDAEKWIINRQNLHNHNAKNTLQNRANRAAVSSIKRVDFCQQMVPDKFSFTPSESQDELVSGQASGLSESIDPCPESKDLKEVDNREPSCGPSSNEDKTGCHEVRSVSMRDMGTEMTPIPSQEPSMTSTPVGATTPLRSPNSSIPSTPRRGRGVPNSTPVEHDESRNPKELTEQEVKLRTRKEILQLGVQLGKMNIAAWASKEDTEKNVVEGETDILAEEALRVEFEKRAVAFIREEIKIQAWESQQKAKLEAEMRRIEVEVEEKRAHAQAKMLKKIAISRQKSEAKRAAAEAQKSRRAARAAAQAEYIRQTGRIPPSSPHSCCGWS >cds-PLY68550.1 pep primary_assembly:Lsat_Salinas_v7:8:298124676:298128852:-1 gene:gene-LSAT_8X163801 transcript:rna-gnl|WGS:NBSK|LSAT_8X163801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRERVENTLSAHRNEIVSLLSRYVAQGKAILQPHQILDELENVIGDDASRKKLTEGPFGEVLKTAQEGIVFPPFVALAVRPRPGVWEYVRVDAYQLSVEQLSVSEYLVFKEELVGQYNNSYVLELDFEPFNSTFPRPTRSSSIGNGVQFLNRHLSSSMFRSKDCLEPLLDFLRTHRHDGHVMMLNDRIHSMTRLQSSMVKAEDYLSKLPPDTPYSEFQYELQGLGFERGWGNNAERILEMMHLLSDILQAPDPSILETFLGRIPMVFNVVILSIHGYFGQANVLGLPDTGGQIVYILDQVRALENEMLLKLKHQGLDIKPKILIVTRLIPDAKGTSCNQRLERVSGTEHTNILRVPFRTEKGILKKWISRFDVWPYLEKFAEDAASEISAELHGTPDLIIGNYSDGNLVASLLSYKMGVTQCNIAHALEKTKYPDSDLYWKKFDDKYHFSCQFTADLLAMNNADFIITSTYQEIAGTKNTVGQYESHSSFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFSYTEKEKRLTSLHTTIAKLLYDPEQNETHVGNLKDQSKPMIFSMARLDHVKNISGLVEWYAKSSRLRELANLVVVAGYINVKRSNDREEISEIEKMHQLFKTYKLEGHVRWISAQTNRAQNGELYRYIADGRGIFVQPAFYEAFGLTVVESMTCGLPTFATCHGGPAEIIEDGVSGFHIDPYHPDSAAVTMVDFFQKCKEDPTYWVKISEGGLKRIHERYTWKIYSERLMTLAGVYSFWKYVSKLDRREIRRYLEMFYILKFRDLVKSVPRAVDDEP >cds-PLY62604.1 pep primary_assembly:Lsat_Salinas_v7:9:74055484:74057339:-1 gene:gene-LSAT_9X61961 transcript:rna-gnl|WGS:NBSK|LSAT_9X61961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTSFLLAMTSKYSVKVGRRGLKSKDFINQLPEEVLLLILSLLSLKDAVVTGSLSKRWKFLWCKLHKLEFDAGETLNDIVTDRKLLREARFKFFKQVNDVIESYNQPVIQHIRICFDLFAGNAEVIDKWLQFAADKLVEMLELDFMKHGVKIHDSFFNYDFPFRLSDRNIYRAPFRIAIISCCSCGANFSEKARLEER >cds-PLY90730.1 pep primary_assembly:Lsat_Salinas_v7:3:36066124:36070577:1 gene:gene-LSAT_3X26741 transcript:rna-gnl|WGS:NBSK|LSAT_3X26741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVQLTEGAIEMLSSGKWQPADVKPVLQVIELRAVPSQNSSAVSGGEKQERYRLMLSDGLFFQQAMLATQRNELVKSQQMQRGSVVQLKEYVCNFIRDRPILIVINLDVIMATCDVIGDAKPFSLRSGNEPPISRSPSPMQPSNQPATTMGSPQTFMGGSVPRPVNSPIYPPQQDHNTSLHSYGNPLSTHSVAAVSHPPTNSYVRPIQPTYNQPPPMYANRGPMAKNEAPARIIPIAALNPYQGRWTIKARVTSKAELRRYNNAKGDGKVFSFDLLDSDGGEIRVTCFNAVADQFYDQIEIGKVYFISKGSVKPAAKAFNHLKNDHEILLDTSSTIQPCVDDDNSIPHQQFHFRSIADIEGLENNSVLDIIGVVSSITPTSTLMRKNGTETQKRTLQLKDMSGRSVEVTLWGNFCSTEGQTLQNMCDSGVFPVLAVKSARVSDFNGKSLGTISTSQLCIEPDFPEARKLKTWFESVGKITPSVSLSRDAISRTDVRKTISQIKDEKLGTSEKPDWITVNGTIWHLRVENFCYTACPIMTGDRQCSKKVTRNEEGIWKCDKCDKIVDECEYRYILQFQIQDHTGSTWVTAFQESGEEIMGVSAKDLYHMKNEEQDEEEFTKAVRKVLFSRYNFKLKVKEETFSDEQRVKSTVVKAEKIKNLSSETTLLLDLLKKEDMVSVGIGQPKVEMAIPSYGGNGIKDSGGFSGVGQYGNQYGGSSSSSRVGSSCNSCGGVGHNSANCPSGYNSQGGRFNSVVSGGGNSHAPSPSPSAYGGGSNLCFKCNQPGHWARDCPGTGGGNGNVATGRYGGASGQYVGGY >cds-PLY71654.1 pep primary_assembly:Lsat_Salinas_v7:MU043904.1:653:2019:-1 gene:gene-LSAT_0X45900 transcript:rna-gnl|WGS:NBSK|LSAT_0X45900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSSVFTLVLLFIVLYPAQGFDRKLMTEMITSRPINSNTKNYKNNNPDDMEFTHGEIGKGNIFPTTLVPANDMHEKPEVLSHHHNSDVIDIIDIIQSDYTPRRCRAPIHNC >cds-PLY94804.1 pep primary_assembly:Lsat_Salinas_v7:2:178814262:178818882:1 gene:gene-LSAT_2X100660 transcript:rna-gnl|WGS:NBSK|LSAT_2X100660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVASSKQQQQWLFCGYLDHKKSYLRVDTLQRRKTDRMDEKLLTVGGFVVEWSLKTHLIASKHPEIVGIENPVVFLSVRGGNRQFAGGPLLSSLCYPSQIEDLAPKTSRYFRVLKTKAWHSPLRNLPTIRCPPPRNQLLATPPYNILVIRILHSSLLCIRNLPTQTFTADLAFPMKTSLYDNPLHPTSNPLTSRCRASPLTGDLKSSILYPPPSSTLLTLRLLPPSS >cds-PLY82764.1 pep primary_assembly:Lsat_Salinas_v7:2:143783401:143791283:-1 gene:gene-LSAT_2X70460 transcript:rna-gnl|WGS:NBSK|LSAT_2X70460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVFLVLFLIKPGVSQTNNSQNNINTPIRSFCGRNPPITLSKFVNNRNSTLAEIRRKLSSNDVFYATAQSLAEKDPVFGAALCRNYLSTVQCVACFDEGVAQLIGCVTGNGAYVFLDDCFVSKFGKTFLMNQSPLLLRYENYTDFYANPDVVEDVGIAPLQLCGNQMPYQPAIFTQAVDGLLLDIKDATPKTTNFYVASTRQNTNGNGNGTVYAIAQCIQNITEGICQNCINAAYTSLSNCLPNTEGRSIYMGCFARYSETPFFNDNQTIDITNFRKVVGHSSNVPKIVGAIGGVGLIFILIVYWLWFRLWKKSKKTKEGEPDLDGRINYNYKHLQSATKYFSEENILGRGGFGDVFKALLDDNNIVAVKKIQVGNAKAKEEFENEVKLISNVHHRNLLRLLGWSSEGSNLLLVLEYMPNGSLDRFLWGAKKGTLHWKQRYDIIYGLARGLAHLHNEFHVKIIHRDIKSSNILLDHDFQPKIADFGLARFHPDDLSHISTKFAGTL >cds-PLY98421.1 pep primary_assembly:Lsat_Salinas_v7:7:89056191:89056484:1 gene:gene-LSAT_7X61300 transcript:rna-gnl|WGS:NBSK|LSAT_7X61300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGLTVTTTAAGGGGWRLSAAAAANGNDVWWCAAGACSDGLLSLRFCFNWMETQVGEEGEPGGGRNQQWRWLWVVFSPVKVWMAVSGLPCNRNGGNG >cds-PLY88340.1 pep primary_assembly:Lsat_Salinas_v7:2:9715766:9716995:1 gene:gene-LSAT_0X47380 transcript:rna-gnl|WGS:NBSK|LSAT_0X47380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSAGPSSTFDVAGPSGPHGFSVPRFNRDVASERLALHMAEEQLLSPGPRGKGVSIDKGGARGDNLNLASLQKEISVLSQKNIKLDIQVAELRAENVDLRIQVTQLKHFLDDQVKKGFPSMPTAESVILEHLDVFEESTDKPLQLVIWPPTKQVIQFPIPQGYQDGSLSSLECWVYDENTSGVVMKLKNGCIRLYDRRDLL >cds-PLY92858.1 pep primary_assembly:Lsat_Salinas_v7:5:328672764:328677302:1 gene:gene-LSAT_5X182181 transcript:rna-gnl|WGS:NBSK|LSAT_5X182181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVSALHQALKNFCLNTDWNYAVFWKLNPQARMMLTCEDAYYDKNDPSGSKKPFDTMIGNLHEQDLLDLAVAKMSFHRYSLGEGIIGQVAVTDKHLWVSGDQLVNDPSLSFETIVVVGVIPHGVVQLGSLKNIPEDLKMVNDIREIFLELQTSLTGCIPSTTSSYNDTITSVNFGINKSYTISNPDRNPESGIPVPSNFQTLMNSGNTDSQTETNTMNTSFNFPAGCELYEALGPAFFNQNENYNSQTVNEMPGIGMRNTDHLTPNSGSEHLLEAVVANVCQSDSEFSNSVKWVDPRFNDMQTSGSGCYSFDTYGSSLGFSSASHSRCSEPLEQSQERVHVSKKRAKPGEGSRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERTIKHMLFMQCVTKHADKIDKYAESKLLGKEAGIRGPSSHEQGSSWAMEVGDQMKVCPVTVENIGTNGQMLVEMMCEEGVHFLEIADAIRSLGLTILKGVTEPECDKTRMCFLVEGENNRNVHRMDILWLLVQILQSKTQT >cds-PLY66792.1 pep primary_assembly:Lsat_Salinas_v7:5:18722871:18723737:1 gene:gene-LSAT_5X9520 transcript:rna-gnl|WGS:NBSK|LSAT_5X9520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFMTLLCALVVPFRNFEEELKHPGVWETDQGGGATSTADTSRDNLAPLYRPPFALMFHGPFEKAKESAKNQDRWLLVNLQSTKEFSSHMVCDDTEDGTKIKTYYKLDSVPVNHEFEIYCNLVQVN >cds-PLY85499.1 pep primary_assembly:Lsat_Salinas_v7:2:194547345:194548925:1 gene:gene-LSAT_2X116440 transcript:rna-gnl|WGS:NBSK|LSAT_2X116440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSQIGTIPDVSLDTKSHRRPPSIDTRGQDIGFGKIXARVHQIDALDCLDQNRQTCAGAVVLTDLVFWCLLVPFQSGDGFKLTLLITNIYVHYDSFGTVAVGILSLS >cds-PLY76641.1 pep primary_assembly:Lsat_Salinas_v7:4:117621753:117622729:-1 gene:gene-LSAT_4X73620 transcript:rna-gnl|WGS:NBSK|LSAT_4X73620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQYKSVPIQSVKTQSHSTYLLSEKSSSLGERRPFDPIFDGITTGVALVKSVFWWFYGVAPVY >cds-PLY77353.1 pep primary_assembly:Lsat_Salinas_v7:2:96948105:96948365:-1 gene:gene-LSAT_2X42521 transcript:rna-gnl|WGS:NBSK|LSAT_2X42521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFTAGLEVLTALWGVHGLRTHECSWPKLYGSRSTCRFTAHLMNGLQPKGEEHSKILVFWQVFEDPSKLLRSIKGKQVFMSERGCS >cds-PLY79326.1 pep primary_assembly:Lsat_Salinas_v7:9:55092170:55092943:-1 gene:gene-LSAT_9X49640 transcript:rna-gnl|WGS:NBSK|LSAT_9X49640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMFPVPEFREPVSTPPKLSLFSLPTKPTTLQDGPTPPPNTVATIPFLWEEAPGKPRRPTSTDDPPKSKTVRSLDLPPRLTTTVGTATNNVTNSSGGIEIIPSPTTVLGGPYEGVYTSNKSMLGSPEKVVGCSNYSGRSKGKITMPLRNLMRKEKSPVKLISSWRWDSLRDIGGDKGDRSPAVKFCSWRWDSFRDMGGGGKSGGGSCRSVGGGGSLSSSFDDFQKSLDSNSKITKVTRKNSFTFLNRSSSGFLVSLIN >cds-PLY67868.1 pep primary_assembly:Lsat_Salinas_v7:4:293525920:293529923:1 gene:gene-LSAT_4X148421 transcript:rna-gnl|WGS:NBSK|LSAT_4X148421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSSSIHKSFKYDVFLSFRGEDTRTNFVDHLYHALQQKSIHTYKDNEKIKKGKKISDELIGSIEDSKFYIIVFSKNYASSSWCLDELVKIMECHRTTEHTAYPVFYDVEPSEVRKQSRAIKEAFAEHEKEEAAGKWREALKEASDLAGWELKNTANGHEVEFIQKIVEELLLELRSISFNIDEKFVGMETRIKDVISSLGTGFNDVRMIGIKGMGGGGKTTLARAVFDQISFQFEGKSFVENVREASNASLFGLKSLQNQILSDVTNDRGVNISNVYEGVHIMKRTMRDRKVLVVLDDVDHIDQLEALAGELNWFKPGSRIIITTRDEQVLVAHRVKFIRDVTLLSDKEALCLFSRHAFGRDFPIQGFEELSGQVVRYAAGLPLTIKVLGSFLCGKNEPEWVDALKRLKKIPLAETMKKLELSYIGLEEDYKEIFLDVACILKGWQKDNAIKALESCGFHARNGLKVLEQKSLITIDGHSNYKWVNMHDHIEELGRNIVRRLHPDKPHKHSRLWINEEVEDILANDLGTKATRCLQFYTEKLNPHTVIKGLGKMKELRLLSVVLGDCFRNLEFNIVSPDFPDALRYLRLNYYPYKSLPKTFQANNLVAIEMDVSKIEQLWEGGEKKVLNKLRFLDLNRSMLSTLDLGLTPNLEKLNLGECSNLVELHIPIGCLKLISINLRNARLKTLDLRLAPNLEKLILVECNDLEELHMPHRCLNLEYLLLSNSKLRTLDIGLTPNLKYLDLKNSYYLEELHLADQCQKLTNLDISHSKLRSLDLRLTPNLNGLDLSYCCKLVDLHTPIGCLKKLVYVGLNGCLRFKSFLFNMKDCTCCSVDESLEVGLLSELHLIVESLERCPFHL >cds-PLY73482.1 pep primary_assembly:Lsat_Salinas_v7:2:100892971:100893153:-1 gene:gene-LSAT_2X44440 transcript:rna-gnl|WGS:NBSK|LSAT_2X44440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGVNLEECQIPLEEIIRATKHFSSETQVGDGGFGMVYKGQLSTVETPLCSHQAPSS >cds-PLY80457.1 pep primary_assembly:Lsat_Salinas_v7:2:136680627:136685041:-1 gene:gene-LSAT_2X67320 transcript:rna-gnl|WGS:NBSK|LSAT_2X67320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQEAVHFDKITARLKKLSYGLSVDHCDPVLVSQKVCAGVYKGVTTSQLDELAAETAAGMTANHPDYASLAARIAVSNLHKNTKKSFSETIKDMYNYVSERSGQKAPLIADDVYEIIMKHAARLDSEIIYDRDFDYDYFGFKTLERSYLLKIHGRVVERPQHMLMRVAVGIHKNDIESVIKTYHLMSQRWFTHASPTLFNAGTPKPQLSSCFLICMKDDSIEAIYDTLKECAIISKQAGGIGVSIHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLKKNHGKEEQRARDLFYALWIPDLFMERVQSNGDWSLFCPNEAPGLADCWGQDFEKLYTQYEKLGKAKKVVKAQNLWFEILTSQIETGTPYMLFKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRYVREKGVPAESQPSKLVGSRGSSNRYFDFEKLAEVTSTITTNLNKIIDVNYYPVETAKRSNLRHRPIGIGVQGLADTFILLGMAFDSPEAQQLNKDIFETIYYHALKASCELAEKEGTYETYVGSPVSKGVLQPDMWGVTPSNLWDWNVLRGMISRNGIRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWSPAVKNQIIYEDGSVQKIMEIPENLKAIYKTVWEIKQRTLVDMAVDRGCYIDQSQSLNIHMDQPNFGKLTSLHFHAWSKGLKTGMYYLRSRAAADAIKFTVNTDMLKEKPKNVSDEDKKNTGMSEMVCSLMNRDECLACGS >cds-PLY94088.1 pep primary_assembly:Lsat_Salinas_v7:1:163790119:163793442:-1 gene:gene-LSAT_1X111020 transcript:rna-gnl|WGS:NBSK|LSAT_1X111020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELPLIDLAPYVDVVTGKFSCDELLHPELKKVCSEVSRSFRETGALLVRDPRCPNEDNDRFIDMMEKYFEQPEEVKRLQERPHQHYQVGSTPGGVEIPRSLVDMEMQKNLRALPKDRRPAIPTGPDLKSRYMWRVGPRPSNTRFKELNSAPVLPEGFPEWKKIMDSWGFKMISTVEAVAELAAIGFDLPKDSFTNLMKLGPHLLAPTGSDLGRHGEEGTVFAAYHYDINFLTIHYKSKFPGLFIWLRNGERVEVNVPDGCLLIQAGKQLEWMTAGDCKAGMHEVVVTQKTIDAVNAAKRENRCLWRVSSTLFSNVASDAVLKPLGHFAKSPTADKYPAMYAGEYFEKELAVINLKGKDGA >cds-PLY79498.1 pep primary_assembly:Lsat_Salinas_v7:5:183903569:183903827:1 gene:gene-LSAT_5X81961 transcript:rna-gnl|WGS:NBSK|LSAT_5X81961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPHLSKTGPALDLFKAKFEVVMDEARSEQAASMTEFHWLGHKFPISNAKTRVSILKG >cds-PLY93615.1 pep primary_assembly:Lsat_Salinas_v7:2:174382078:174382374:-1 gene:gene-LSAT_2X96581 transcript:rna-gnl|WGS:NBSK|LSAT_2X96581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRPRKTTTIKGSTTEETTFFFTNISNGATKTESRKIFSRMGRLSDIYFGGNKGKNGKNYGFIRFLEVLDAKDLESKLNGVTFRNNKLEINIARRN >cds-PLY83873.1 pep primary_assembly:Lsat_Salinas_v7:3:48434862:48440734:-1 gene:gene-LSAT_3X37300 transcript:rna-gnl|WGS:NBSK|LSAT_3X37300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHRQRTPPSPEPDLSDESPYNIIPIHNLLADHPSLRFPEVRAATAALRAVGDLRRPPFIPWQPHYDLLDWLGAFFGFQSDNVSNQREHLVLHLANAQMRLQPPPDNIDTLDPSVLRRFRRKLLSNYTHWCSFLGRKSNIWISDSRRQFSASDHRRELLYVSLYLLIWGESANLRFIPECICYIFHHMAEELNKILEDYIDENTGRPVLPSISGENAFLNRVVKPIYDTVKAEVANSKNGTAPHSDWRNYDDINEYFWSRRCFEKLKWPIDIGSNFFATTSKGKRVGKTGFVEQRSFLNLFRSFDKLWTMLFLFLQAAIIVSWRDPDHTPWRALKNKDAQAKVLSVFITWSCLRFVKALLDAVMQYKLVSRETYWLGVRMVLKIITSVIWIIIFVVFYTRIWNQKKDDGRWSGAANKRVVTFLEVALVFILPEILALLLFILPWVRNFIENTNWRIFYLITWWFQSRTFVGRGLREGLVDNIKYSLFWIIVLATKFCFSYFLQIKPMIKPTKDLLDLTDVTYEWHQFFGNSNRFAVGLLWLPVVLIYLMDTQIWYSIYSSFVGAAVGLFSHLGEIRNVQQLRLRFQFFASAMQFNLMPEEQLLNTRGTFRSKFKDILYRLKLRYGLGRPFKKLESNQIEAHKFALIWNEIILTFREEDIVSDLEVELLELPQNTWNIRVVQWPCLLLCNELLLALSQAKELVDAPDRWLWKKIGKNEYRRCSVIETYDSIRHLLLTIVQYNTQEHSILTTLFQEIDNSINIEKFTKTFNMYALPTIHTKLITLVDLLIKSNKDVNKIVNTLQSLYEVVIRDFFKEKRSMNELKEDGLAPHRPLSGAGLLFENAVSLPDPKNESFYRQTRRLHTILTSRDSMNNIPVNLEARRRIAFFSNSLFMNMPHAPQVEKMMAFSVLTPYYNEEVVYNKEQLRTENEDGVSTLYYLQTIYADEWVNFLQRMRREGMLTEDELWTKKLRDLRLWASYRGQTLARTVRGMMYYYRALKMLAFLDSASEMDIREGAKELIPMGGSARDLTRADSRVSMMFKGHEYGTALMKYTYVVACQIYGTQKAKKDPHADEILYLMKEHEALRVAYVDEVATPGGTDYFSVLVKFDQTLGKEVEVYRVKLPGPLKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEYKQKYGIRKPNILGVRENIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKIRLHYGHPDVFDRFWFMTRGGISKASRLINLSEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQVLSRDVYRLGQRLDFFRMLSFFYTTVGFFFNTMMISLTVYAFLWGRLYLALSGVENSVSENANTNNALGTILNQQFIVQLGLFTALPMIVENTLEHGFLAAIWDFITMQLQLSSVFYTFSLGTRSHYFGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFIKAIELGLILTVYAAYSPVAKGTFTYIALTISSWFLVVSWIMAPFVFNPSGFDWLKTVYDFDDFMNWIWFKGGVFAKPEESWERWWYEEQDHLRTTGLLGKIFEIILDLRFFFFQYGIVYQLGIAAGSKSVAVYILSWIYIIVALAIYSAIVYARDKYSAKEHIYYRLVQFLVIVIGILIIIALLEFTSFRFLDILTSLLAFVPTGWGFLLIAQVFRPILEKSFIWATVVSVARTYDTMFGVVVLMPVAALSWLPGLQSMQTRILFNEAFSRGLRIFQIVVGKKSKS >cds-PLY85027.1 pep primary_assembly:Lsat_Salinas_v7:4:222918258:222920785:-1 gene:gene-LSAT_4X123700 transcript:rna-gnl|WGS:NBSK|LSAT_4X123700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSFQHNCTLLLGIGAVVVVLHFLLFFRKTGKKNAAPKASGAWPIIGHLNLFGGSSGPTHIALGSMAVKHGPIFTVRLGVRKVLVVNSWEIAKELFTTHDLTISTRPKFTAAKILGYNYAMFGVTPYGPYWREMRKIASLQLLSSRRLEQLKDVRVSELENSIRNMHELWKEKKDAKGKVLVDMKKWFGEFDMNVMLRMVVGKRCSGAKTREEENDMNRYGEVFSAFFHFLGLFVVADTLPFLGWLDLGGQVKAMKRVAKEIDCITGKWLDEHRRKRGCVEVIEDKDYMDVMISAVETGGLTDYDADAVIKSTCLDIIASSADTITVTLTWTLSLLLNNPSTLQKVQEEIDKHVGQGRGVNDSDISKLVYLQAIVKESLRLYPAAPLGAPREFLEDCTVAGYHVPKGTWLIVNIWKLQHDPEIWSDPSEFKPERFLYGTHAHVDVKGTNFELIPFGAGRRSCPGLAFSLQMLHIVLATLLQNFDMSSPDNSTIDMTESVGLINAKASPLEVQIFPRLPSYNW >cds-PLY98780.1 pep primary_assembly:Lsat_Salinas_v7:7:25163859:25165992:-1 gene:gene-LSAT_7X19521 transcript:rna-gnl|WGS:NBSK|LSAT_7X19521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METVAVFAAADMDLAPTTPWTLPEEFLKRTFSLISSDKDRNSVSLVCKDWYNAERLTRRHVSIKNCYSISPEIVAARFPAISSVTLKGKPRFSDFNLVPADWGADVQPWLNVFATAYPFLEELRLKRMVVTDESLEFLALNFDGFKALSLLSCDGFSTLGLESIATHCENLTELDIQENSIIDLGGDWLSFFPENLTTLQVLNFATLNSEVSFEALESLVSRCKSLKVLKVNRKVNLVQLQKLLLLAPQLTELGTGTFSDDLDPNPTLITDLANTFAKCQNLHSVSGFWDATLIYLPIIYPVCENLTFLNLSYASLGADELEQILPRCKRLRRLWVLDTVGDKGLEAVGSYCPLLEELRVFPVFPVDPSQPGVTESGLVSISHCPNLNYILYFCQRMTNEAVITTARNCPNFTHFRLCIITPYAPDSITNEPMDEAFGAIVNSCSDLRRLSVSGLLTDLTFEYIGKHAKNLETLSVAFAGDSDLGMECVMRGCPKLRRVEIRDCPFGDVALLSGLERYESMRSLWMSACNVTMEGCKKLAEELPRLNVEVINEEEDGGDDFVANKVYVYRSVAGPRKDAPPFVVTL >cds-PLY89559.1 pep primary_assembly:Lsat_Salinas_v7:4:366727998:366729204:1 gene:gene-LSAT_4X176941 transcript:rna-gnl|WGS:NBSK|LSAT_4X176941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSAHLCDELIVEIFTRLPSKSLLRFRSLSKSWYSCIGSPDFIHMHTFRSRQKLLIRHRTHKENEEGDLEEFYTLHPQDQLPLFRTHGYNDITPVQFPSTHSNIIGSYNGLVCLFDYDEKSISLWNPSIRRKLTLPDCPRTCYSEVGIGFGFDPVIDDYKIVCVPERNRKKAGRSFVYALKTNTWRRIASATPLFSSVMTKPCFFNGVLYWLGHYDLTRTYYLLTFDLSTHVFGSIALPFKTVLSVAPTPIQGSIAAVSSRAAPTPIQGSIPSLADFANQIWLMKDASWSAFLNFKKDQVRAHLSSVLQLSTSDDLVFYSFCNGFQVYNLKIGEFSRLVDFNGAYFVFDVIQCLESLQLLGMGTACEGNKLTFS >cds-PLY66717.1 pep primary_assembly:Lsat_Salinas_v7:6:79264157:79267321:1 gene:gene-LSAT_6X54820 transcript:rna-gnl|WGS:NBSK|LSAT_6X54820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKPSLASPPPPPPQTPLKNPLIRLFFIFFLCYTVYILGSYRSTINTSFTPTTKNQQNCLNPITVFTNFSHQNTPPPLDFKPHNTLLPPPPQKFQICPANFTHYCPCQDPQRERLFKVEKMLHRERHCPATGGDILRCLVPEPVGYKKPFPWPKSRAQAWFSNVPFKTLTESKKQQNWVRLVGDRLVFPGGGTSFQKGVKGYIDQLKKMVPLESGAIRTVLDTGCGVASFGDSLMDYNIITMSIAPRDVHEAQVQFALERGLPAMLGVLGTYRLPYPSTSFDMAHCSRCLIPWTQYDGLYLMEIDRVLRPGGYWVLSGPPXXXRYTASDGTTKDPKKELSSLEDLARRLCWKKIKGKGPIAVWQKPNDHIECIEKKKDLKSPMFCNPDNDPDDGWYKKMDACITPLPQVNHVLEKWPKRLNSIPPNHDFGMKDLVNDNLLWKKRVYEYVKVVELLSNGGYRNVMDMNAGFGGFAASLSEYPVWVMNVVPHDSKLKTLSIIYERGLIGTYMNWCEPFSTYPRSYDLIHADNVFSLYMNKCDIIDIFIEMHRILRPKGTIIITDHVDIVMKLKSHTDQMRWQTKLSDTETSPRKLFFVNI >cds-PLY76862.1 pep primary_assembly:Lsat_Salinas_v7:3:5716671:5718989:1 gene:gene-LSAT_3X3741 transcript:rna-gnl|WGS:NBSK|LSAT_3X3741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFCSSASSSSISASLSPHPHPPFTPIQECEREGKEDDESCEQNSVKATPSDCSENNKESYYVHQPTPLHQQNSKTAAKSSKKRSESCDRGKKSDDRGVSCNKCRPSAREKFSVVPLDTTTVGRHSNASPNGLLKSIFSSLVRKSPRSASSSSEGFTPVSGKEEQWKGAVAELSHRLIQATKKRDEAILEASRLKFSMTELEKKLNKLEIYCHTLKSGLDDCANKSTTTTKHEPIKIGDHDRVIEHFLVAVSESRSSMRHLSRSLTVQLPQIAGGKLYDRIQLLLQPYDIKISASTNPRILLLYLEALLNRAFFEDFESPGFQKSGSNHTLNPIHRCEENFKSFIRLKELTWEEVLNKGTKQFSEDFSKFCDRKMSEVVAMLGWTRAWPEPLLQAFFGASKAVWLVHLLANSVHPGLPIFRVEKGVRFDLIYMEDMGADKTKKFTVTAPTVRVMVEPGFYIYGNVVKCKVICRYHSNGFINVSPNGNSPL >cds-PLY68597.1 pep primary_assembly:Lsat_Salinas_v7:5:237548:241363:-1 gene:gene-LSAT_5X540 transcript:rna-gnl|WGS:NBSK|LSAT_5X540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLKKVWESVSTRSNSNSSRSNANWNYAGPSHVCLSSDQNDQMNYWSSTGEFDRIPMDIFMQILKLLAPKEVAMLTAVCKSWKLIVSDNRLWVSYLQNQHVPWDSIFFSEISLRSGYPLQTYPFEMQSFMKIYGQRALSPGAVIIDGGSGYCKFGWSKFDSPSGRSATFLEFGNIESPMYSRLRHFFATIYSRMQVKSSTQPIVVSIPITQYDDTEAAKAARRQLKEAIYSALFGMNVPAVCAVSQATLALFAAKRSSGIVVNIGFHQTSVVPILHGKIMRKVGVESVGVGALKLTGFLREQMMQRNLQFDSLYTVRSLKENLCYVAVDYEAELCKDAEASYEVAAAGWFTLKQERFQTGEILFQPRIAGMRAMGLHQAVALCVEHCHAAELSADESWFKTIVLAGGSACLPGLPERLKKELHHLLAPSIADGIRVIPPPYGANSAWYGAKLLSNLSTFPNSWCITKKEFRSKARRNIVW >cds-PLY64162.1 pep primary_assembly:Lsat_Salinas_v7:2:20742423:20744873:-1 gene:gene-LSAT_2X8920 transcript:rna-gnl|WGS:NBSK|LSAT_2X8920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHPVVNVILFCLYTPIIYLCSSQGTINPPENVSAVLAFGDSFLDTGNNNYIITPGKANFLPYGKDFMGGKPTGRFSNGKNIADFFAEGLGVKEYLPAYLDPSLQDNDFLTGVSFASGGSGYDPLTSTVSSAIPMLDQLNLFKQYIGNLTRIVGEEGVMNIMNNSVSLVCASTNDLIISLPARSLQYDVDTYDRMLFNLTLNFIKELYNLGARKIAVFGAPPTGCLPAERTLFGGVLRMCVQKQNEAAVLYNSMLKEQLQILASSLPQSRIAFVDFYNPLVNIINNPQQYGLQVTDRGCCGTGLLEVVFLCNRLSPTCPDDSKYFFWDSIHLSEIGCNIFVNQSLPGLVDNLF >cds-PLY81741.1 pep primary_assembly:Lsat_Salinas_v7:3:30562619:30565692:1 gene:gene-LSAT_3X22761 transcript:rna-gnl|WGS:NBSK|LSAT_3X22761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAQTSILYITYSNELLNGESIFVSSNCYPVKASRFEPAGHSFHDAALKLRGCFMEENTDDNDDNQNSPKDKEHDYMQSSDSYSSKGKKKSGDGPNKQDHYALLGLGHLRYLATEDQIRKSYRETALKHHPDKQAALLLVEETESAKQAKKDEIENRFKAIQEAYEVLIDPVKRRIYDSTDEFDDEIPSDCAPQDFFKVFGPAFLRNGRWSVVQPIPFLGDENTPLKDVDLFYDFWYGFKSWREFPHADEHDLDQAESRDEKRWMERQNAKLSEKARKEEYVRIRALVDNAYKRDPRVLKRKELMKAEKQKKKEAKFMAKKLQEEEAIRIAEEEKRKKEEAEKEAAEAASQQKKIKEKEKKLLRKERTRLRTLSNNVVSQRLLNITNDDVENLCMSLDILKLKDLCDNLETKEGSSQAELLQEAISNTDVSDKDYIPKNVPNGSVKVNGNAPKNNSPKPWGKEEIELLRKGIAKYPKGTSRRWEVISEYIGTNRSVDEILKATKTVLLQKPDATKAFDSFLEKRKPGPVISSPLSTKEESEGVSLTNGSEKADEVVENGVANGEEDVWSSVQVTALIQAVKTFPKEGSQRWERIAAAVPGKTVNDCKKQFTLLKEKFRNKKK >cds-PLY97807.1 pep primary_assembly:Lsat_Salinas_v7:6:18387442:18389470:-1 gene:gene-LSAT_6X14000 transcript:rna-gnl|WGS:NBSK|LSAT_6X14000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g26180 [Source:Projected from Arabidopsis thaliana (AT2G26180) UniProtKB/TrEMBL;Acc:O64852] MGASGKWMKALILKKSEKADQDKSGKSSKKWKLFRSSSGEMSVGWKGFKGSYRGTASDGSDSSSVISSDPFSAAVATVVRAQPKDFKAVRQEWAAIRIQTAFRGFLARRALRALKAVVRIQALVRGRQVRKQAAVTLRCMQALVRVQARVRARRVRMSIEGQAVQNMLNERRTQAELLKEAEEGWCDHRGTLQEVKAKIQMRQEGAFKRERALAYGLAQKQWKSNQGLDPKTRVSLTSLKTQQFDKSSWGWSWLERWMSAKPWENRLMEQGQNDPSETTPPPSKISADHHKVTTGKSSEPDLVKIRRNNVTTRISAKPPQIVGQRTRSTSSPSSEYRYDGSSGSSSLCTSTTTPVSMERAEESKPSYMSLTESTKAKQRNPSPRIYRQSMDDFQFLKNSGVLCNVDSNSSNLSEPSSVNLSVSRPQPMRMEKNLTKLRNRSCYA >cds-PLY90994.1 pep primary_assembly:Lsat_Salinas_v7:7:129605564:129607488:1 gene:gene-LSAT_7X78781 transcript:rna-gnl|WGS:NBSK|LSAT_7X78781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYLVIQTELKVVPYLLKFIDNLTNIYVRFNRKRLKGRTREDDCRTALSALYHVFEIDNMKAWKSVLIFATSYALGLFMIAKSPWYFLPLAWAWTETAVTGVKL >cds-PLY88336.1 pep primary_assembly:Lsat_Salinas_v7:2:10292870:10295318:-1 gene:gene-LSAT_2X4001 transcript:rna-gnl|WGS:NBSK|LSAT_2X4001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHIVTVELKSGEVYRGSLVECEDNWNCQVENVTFTAKDGKISQLEHVFIRGSKVRFMIIPDMLKNAPMFKRLEARIKGKSSALGVGRGRAVAMRARAQAAGRGAAAAPGRGR >cds-PLY88122.1 pep primary_assembly:Lsat_Salinas_v7:9:8316217:8317431:1 gene:gene-LSAT_9X6500 transcript:rna-gnl|WGS:NBSK|LSAT_9X6500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 8h [Source:Projected from Arabidopsis thaliana (AT3G06420) UniProtKB/Swiss-Prot;Acc:Q8S925] MGKSTAFKETYSFEERCQESQDIIARYPDRLPVVVERYTKTDLPEMEKKKYLVPRDMSIGQFIHILSGRLHLTPGKALFVFVHNTLPQTSSLIESVYESFKDEDGFLYMCYSSEKTFGSSTSF >cds-PLY91770.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1331440:1333160:-1 gene:gene-LSAT_0X720 transcript:rna-gnl|WGS:NBSK|LSAT_0X720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPILFIAERGNTAGGLEWRWCCQGKRFDINLIKVFSDAMRIPVIASIGAGIAQHSSHVFEKKNASMPGARNFHKQEED >cds-PLY64434.1 pep primary_assembly:Lsat_Salinas_v7:4:249331090:249331804:-1 gene:gene-LSAT_4X132341 transcript:rna-gnl|WGS:NBSK|LSAT_4X132341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATEKLGIKIVKNPPESKLADLGVRSWPKWGCPPSKFPWTYSSKETCYLLKGKVKVYPEGSDEAVEIGAGDLVEFPKGMNCTWDVSETVDKHYQFE >cds-PLY89508.1 pep primary_assembly:Lsat_Salinas_v7:4:149288305:149290188:1 gene:gene-LSAT_4X92300 transcript:rna-gnl|WGS:NBSK|LSAT_4X92300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNGKAIGSGSEGADSSLQEQYNKDLTLKEAETIALSILKQVMEEKVTPNNVDIAKVAPTYHLYTPAEVEAVIGRL >cds-PLY65322.1 pep primary_assembly:Lsat_Salinas_v7:4:251709903:251721252:1 gene:gene-LSAT_4X131700 transcript:rna-gnl|WGS:NBSK|LSAT_4X131700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSNPTDIPNGFIPFSTTWHSSVISNQKNENSMVMSFIDRKNANPSTSVFEMDGFISDSSYSAKKTTMVLFINERLVECTALKREIEIIYVATLPKASKPFIYMSLILPPEHVDVNVHPTKREVSLLNQELIIEKIQSMIKMKLGNLNESSTYQEQQDLDAFTTAIVVSIAFSFIPASLVVAIMKTRLRAMVTRDEFRRRRRNKAATIVQGGQRQLPTMDMGSQVKQMKGRPLQGKQ >cds-PLY75537.1 pep primary_assembly:Lsat_Salinas_v7:9:34682547:34685226:1 gene:gene-LSAT_9X32440 transcript:rna-gnl|WGS:NBSK|LSAT_9X32440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMREIFLLLLLFCFSLKSSSSSSQTIHDHLQKGSSLTVDDSSDVIRSPDNSYTCGFYGFQSNAYWFAIWFTNSKDRTVVWSANRNTPVNGRGSKMTFRRNGAMVLTDVDGMVVWETNTTSTDVSRAVLLNTGNLVLQNQKGQILWQSFDYPTDTLLPYQTLTKSKSLISALRRGSLESGNFVLSYNSINVLTLTYDGPEISSVYWPSPDPGFNVWSYGRTSYNSSRIAAFDDFGEFRSSDRWQFSALDMGFGFRRRLTMDYDGNLRFYSLNESTGLWSISWQAIAQPCSVHGICGRNGICDNGVLQSVCSCPPNHQWTNPADLSQGCKPTFNTTCSNSTKFGFLEVPYTDYYGFDLDYLTPITLDACKDICLGDCRCIAFSYRITGAGLCFIKSALFNGFRSPNFPGSIYLKVPIDMETRESVSTLTSANTTCVEVTVMVGSQSMYKPSGTKVKWVYLYSFAIAIGVAEAFVILIGWWLFYRKNALLTNLEEGYRMVSGQFRGFTYQELVKATQNFKVEIGRGGSGTVYKGVLEDERVVAVKRLGDVSEGGEFWAEVSTIGKINHMNLVRMWGCCSHKRHRLLVYEYVENLSLDHRLFSTSFLQWKERFKVAVGIAKGLAYLHHECLEWVIHCDVKPENILLDESFEPKIADFGLAKLSQRGVQSSEFTRIRGTKGYMAPEWASNLPITAKVDVYSYGVVVLEMTRGIRLSNIVVQEGEEEEEETELMRLVRVMKTKLQGEEMDLWVEEIIDSRLGGLFSRKQAAKLVEIGISCVEEDRNKRPTMDSVVQDLIDCESSLYD >cds-PLY99482.1 pep primary_assembly:Lsat_Salinas_v7:4:57386652:57387582:-1 gene:gene-LSAT_4X38441 transcript:rna-gnl|WGS:NBSK|LSAT_4X38441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSAALSYAGYEEPHFLEACTLCSKPLGHNSDIFMYRGNTPFCSQECRQEQIENDEARERRWKKVSSSSSSSKKSTENSKKSATVQTGTLVASN >cds-PLY62908.1 pep primary_assembly:Lsat_Salinas_v7:4:328295686:328298922:1 gene:gene-LSAT_4X164040 transcript:rna-gnl|WGS:NBSK|LSAT_4X164040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKDDSATDGDKSTGNRRRPESNSNLFSDGEKVLAFHGPRIYEAKALLMFSSFILKVQKAEIRKNEWKYFVHYLGWNKNWDEWVGVDRLMKYTEENILKQQALDKKQGVDKNSKGRSTQTKPKISNDVKVEKEDVKSSGAKGKKRKSDSSIEKENASVEKPVKIQIPSSLKKQLVDDWEFVNHQDKLVNLPRSPNVDDILAKYLEYRSKKDGMMTDAVGEILKGLRCYFDRALPVILLYNKERKQFQELITDNNNNISPSTIYGAEHLLRLFVKLPELLPYVNIEEDLAMRLQQKFLDFLKFMQKNQSSFFISSYDGMKVSDRGKMVQE >cds-PLY97528.1 pep primary_assembly:Lsat_Salinas_v7:5:237597071:237598750:-1 gene:gene-LSAT_5X112261 transcript:rna-gnl|WGS:NBSK|LSAT_5X112261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPASLQPLDVGIQVPYHFRCPISLELMMDPVIIGTGQTYDRSSIESWVATGNTTCPVTRLPLTDFTLIPNHTLRRLIQEWCVANRSYGVERIPTPKQPADPVMVQSLLKQASSVSNTRHARLSALRRLRGLARDSDKNRLVLTTQKACEILLDVLFSETNRNSSDLTHESLAILAMLALSETECLLVSSDPDRISYLISLLSHSSIDVRVNTAALLENVVTGTRSPELRAEITSHDEIFDGIVGILDYPLTYPRALKVGIKALFAFCMVKQHRHKAVEAGAVEALIDRLPDFEKCDAERALATVELLCRIPSGCVAFASNALTVPLLVKVILKISDRATEYAAGALLSLCSAAEWLEGEAVAAGVLTQLLLLVQSDCTERAKRKAQMLLKLLRNSWPENSIMNSYDFGCSDVVPF >cds-PLY89204.1 pep primary_assembly:Lsat_Salinas_v7:8:303790648:303796495:-1 gene:gene-LSAT_8X165080 transcript:rna-gnl|WGS:NBSK|LSAT_8X165080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMESLFLRKAFFYNRRKQPPVSSPSPSPVQIASMPKSLATIGGNEIQLATASASTSHSPAPGSDSKSERIIPPVLAQAPVSNLTSSSSLSSSSPACKNARIRSSMILFASYAITLRNEVRKLQEENYDLHRTYLNKDIPHDEIMEIFKHESDTNRRNIALYTVLFTLVTLFVLYKYLDDLPKIKNLSKRSNNNKDEVSLKKRIAYMVDACFSIYPYAKLHALLVATIFLIAFGGLALYAGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIAKLEFSFMGTSVICRSGSPLILAELKKVSVSKTRAIIELEADENADQPPQREVIPAAAAGVESDNHSATCNLTIGCHLTTPVANDVVCNWRSRQRPEIEARDVVERVMNDQKALDMPTSSHFFLPKGSTSSPSLPSTMDFGRHLSSCQHHQPPSRWLGVVVSFHAWICVVV >cds-PLY82154.1 pep primary_assembly:Lsat_Salinas_v7:1:13879907:13880456:-1 gene:gene-LSAT_1X11220 transcript:rna-gnl|WGS:NBSK|LSAT_1X11220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALDNRYNSWADQWDTEPEYYNGYPGSKSNHGSGDGIKSKVGEGFDKSKAAAVTGMKKVKKGTTLGFHWIKEKCHKTTHK >cds-PLY76661.1 pep primary_assembly:Lsat_Salinas_v7:4:117553889:117555202:-1 gene:gene-LSAT_4X74061 transcript:rna-gnl|WGS:NBSK|LSAT_4X74061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METMNIACNQLRSANSKELHVLAVDDSNIDRKVIERLLKVSSFKVTVVDSGSRALQYLGLEDNKNSTQFDDLKVNLIMTDYSMPGMTGFELLKKIKNSSTLREIPVVIMSSENILTRIDRCLEEGAEDYLLKPVKLSDVRRLKDSILKSEGDDEFRQIESQKRKRNDDRSPQKPTPKLARL >cds-PLY97477.1 pep primary_assembly:Lsat_Salinas_v7:1:193501547:193501720:1 gene:gene-LSAT_1X124680 transcript:rna-gnl|WGS:NBSK|LSAT_1X124680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVMAQFKSNFVAASASSNNSGNQGSKKMVLSSFVFGGSIGGPPPIPMSTYQNGEQQ >cds-PLY98965.1 pep primary_assembly:Lsat_Salinas_v7:7:51465257:51468470:-1 gene:gene-LSAT_7X37341 transcript:rna-gnl|WGS:NBSK|LSAT_7X37341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhanced ethylene response protein 5 [Source:Projected from Arabidopsis thaliana (AT2G19560) UniProtKB/Swiss-Prot;Acc:Q8GWE6] MASYMSMGDAHRRITEYLNRFADVVSYQDGTSLKQLLSLSSESPSFLALADALNLFQDANRLIRQADKYSQYAEIVSPLFRAMQSYRVSHFAESYQAFEKSANAFIQEFRNWESAWALEALYVVVYEIRILAERADRELASNGKTPEKLKAAGSFLMKVFGVLAGKGPKRVGALYVTCQLFKVYFKLGTVHLCRSVIRSIETARIFDFEEFPVRDKVTYMYYTGRLEVLNENFPAADHKLSYALSHCDPQSEANIRMILKYLIPVKLSIGILPKRTLLQKYNLIEYSDIVVAMRRGDLRLLRHALEEHEDRFLRSGVYLVLEKLELQVYQRLVKKIYFIQKEKDPSKAHQIKLEVIVKALKWLEMEMDLDEVECIMSILIYKNLVKGYFAHKSKVAVLSKQDPFPKLNGKPINS >cds-PLY76054.1 pep primary_assembly:Lsat_Salinas_v7:5:319431685:319437145:1 gene:gene-LSAT_5X175060 transcript:rna-gnl|WGS:NBSK|LSAT_5X175060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYVPPHLRKSVAATVTATATATTTTTTATPTNVDNITTNHKLNSKSNNNAFSNSTPRRSSANNHIQPSRALAVPNVVLPQWKPSERVLRLKPEQIEEVRLRLNVDVTVTPDSPAAPAPIESFTDMCLASSIMKDIAIHEYTTPTSIQAQAMPVALSGRDLLGCAETGSGKTAAFTIPMIQHCIAQPPVRRGDGPLALVLAPTRELAQQIEKEVKAFSQSVESFRTAIVVGGTNIADQRSELRAGVEIVVATPGRFIDHLQQGNTSLTRISYVVLDEADRMLDMGFEPQIREVMHNLPEKHQTLLFSATMPVEIEALAQEYLTNPVQVKVGKVSSPTENVSQTLEKISESEKIDRLLSLLVEEAALAEKLGHPFPLTIVFVERKTRCDEVAEALVAQGLNAVALHGGRTQSEREGALRDFRHGPTNILVATDVASRGLDVTGVAHVVNLDLPKTMEDYVHRIGRTGRAGSTGRATSFYTDRDMFLVSQIRKAIADVQSGNTVAFATGKVARRKEREAAAAQKEARMAQSKLPATISSINVEDKYKYMISPAPNAMIKNEGSADDAWDD >cds-PLY89431.1 pep primary_assembly:Lsat_Salinas_v7:9:65991819:65991977:1 gene:gene-LSAT_9X55301 transcript:rna-gnl|WGS:NBSK|LSAT_9X55301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNVIGVLCSGDCRKKMFKCGLAVSGSAMVVADFDGPIAVADSGGLVVVGVS >cds-PLY77933.1 pep primary_assembly:Lsat_Salinas_v7:1:21232584:21236956:1 gene:gene-LSAT_1X17540 transcript:rna-gnl|WGS:NBSK|LSAT_1X17540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESDADAPLLTSKNVSTNAHFRTGVEDSPPVTGVKEFVRQFGIESKRMWCLAGPAIFTAVCRYSLGAVTQTFAGHVGTLDLAAFSVENSVIAGFSLGIMLGMGSALETLCGQAYGAGQINMMGVYMQRSWIILITAAFLMLSLYIFATPVLLLIGQKEEIAHAAGRMALWMIPQLFAYALNFPMAKFLQAQSRIMVLAYITGTALVLHTFFSWLFMLKLGWGLFGGAMVLNASWWFIVVAQMVYIFTGSCGEAWSGFSFAAFNNLWSFVKLSFASAIMLCLETWYFMALVLFAGYLKNAEIAVDALSVCTNIVGWAIMIAIGFNIAVSVRVSNELGAGHPRAAKFAIGVVVISSFIFGSFLAILLVIFRHQYPAIFADSLEVQEAVYALTPLLAACLVINNIQPALSGVAIGAGWQAVIAYINIACYYIFGVPLGLSLGFLAGWGIKGIWVGMMSGTVVQTMILFLISYRTNWDKEASLADKRIKEWSGQKKTVEEK >cds-PLY72067.1 pep primary_assembly:Lsat_Salinas_v7:2:204885542:204887526:-1 gene:gene-LSAT_2X125000 transcript:rna-gnl|WGS:NBSK|LSAT_2X125000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRTLVSSGKTLGRFSRFQQQIRGLQTFTLPDLAYDYGALEPVISGEIMQLHHQKHHQTYITNYNKALEQLDDAIAKGDASTAVKLQSAIKFNGGGHVNHSIFWKNLAPTSEGGGEAPHGSLGWAIDQHFGSMEKLIAKMNAEGAAVQGSGWVWLAVDKELKRLVVETTANQDPLVTKGATLVPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVINWKYASQVYEKECP >cds-PLY80682.1 pep primary_assembly:Lsat_Salinas_v7:5:179662772:179664876:1 gene:gene-LSAT_5X81400 transcript:rna-gnl|WGS:NBSK|LSAT_5X81400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLKGKKTNKSRLSLKTLPFFLSSSNDQNKSVKRFGEQNGVVGLGIVVALNNESSGHNTQNPVFSVSPRSNPIPIFSKRPSKDDVEIELLEEYTCVISHVGNNMIKKREYFDDGFKRRSVNGGRTTSYWVSTTGGGGGVFCSPSPPVVIGGGTMFEDGDFLSSCHLCKKKLHGLDIFMYRGEKAFCSEECRYKQISIDEHKEKYASGVRKQPEYTESLCSSPMQLPAGMIVA >cds-PLY74179.1 pep primary_assembly:Lsat_Salinas_v7:9:10632412:10636075:-1 gene:gene-LSAT_9X9980 transcript:rna-gnl|WGS:NBSK|LSAT_9X9980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 17 [Source:Projected from Arabidopsis thaliana (AT3G54650) UniProtKB/Swiss-Prot;Acc:Q8W104] MHGHQPHPVTPGGARYLSDDFFSKKGKRRGNYNCGRCGLPKKGHVCHLPPLSTTDSNSAAATPTDSATSSATPTIPASPPLSAVRPHQRQQRCSKLRRALSFDNIDVHDLPESDDEGGEECLSPDVELDVGSGKLPMNCLWEVLRRLPPPSLLSAAKVCKGWLDVSRRLWKAAEELRLKVPAKTQVGCVGSVLQKCPALVRLSLTMESDMDSTMLACIAFSCPNLESLEIFTCEGSFNRITGDDLGRFVADKRCLKSLKMEGCSNLASFVLCSTTLSTLWLSDLHSLSKMVFNVPNLNEISLDFSCQEKDTTDLTTMMDGLGRSCPKLQNIHIASLKLSHSVVLSLTAANLRCLRMLSLVLGSEITDASVVAISKSYSNLELLDLSGSSISDSGIGMICNVFPETLTRLLVALCPNITSSGIQFATAQLPLLELMDCGMTICDSESDTQHPNNDTNSKISPNSKLHLLYQKLFIKHARLKKLSLWGCSSLDSLYLSCPNLNDLNLNSCKNLNPEKLVLQCPKLESVHAIGCEDVLIEAVQTQVSSDSAPVEDRFSRKRMADGSKRVRIPFSFSQQLLDDEKISWKVRKRRCRVRVVVE >cds-PLY67489.1 pep primary_assembly:Lsat_Salinas_v7:6:73616082:73617455:1 gene:gene-LSAT_6X52121 transcript:rna-gnl|WGS:NBSK|LSAT_6X52121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTLPVTDPSTTTTQKKLTLIQLVFLIYFEVAGGPYGEEPAVKAAGPFLAILGFLIFPFVWSIPEALVTAELSTTFLGNGGFIIWAHKAFGPFWGSLMGTLKYVTSVINLAAFPILCIDYLEKLFPIFASGLPRTLALSFLILLLSFINFTGLNIVGYAAIILGVISLFPFILMSCIAIPQIQPHRLLSMGQTGVKKDWNLLLNTLFWNLNFWDTVSTMAGEVEKPKKTFPLALLFAVILTCLGYLLPLVAVTGSVMVDQNQWESGFMAVAAEMIAGKWLKIWIEIGAVLSAIGLFEALLSSCAYQILGMADLGFLPKFFERRSRWFNTPWIGILVSTMITLSFSYMDFRDIISSANFLYSLGMLLEFASFLWLRRKFPTLKRPFRVPLRLPGLVIMCLIPSAFLVLIMATATKIVFLIGGLMTFGGILWYFLMKLCKSKKWFVFKNADELEVGEEE >cds-PLY96746.1 pep primary_assembly:Lsat_Salinas_v7:2:171530289:171532311:1 gene:gene-LSAT_2X93120 transcript:rna-gnl|WGS:NBSK|LSAT_2X93120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L27, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40950) UniProtKB/Swiss-Prot;Acc:Q9FLN4] MNAHTKDKIHTPYSSPTASYNFRLLPILSFTEGKRSSLLVEAMAAATALSFSLVGAFRGLSFGSSSSSSFFKGDFGSVPTARKISISLPIRTPLTIQNAHKKGAGSTKNGRDSKGQRLGVKIYGDQLAKPGSIIIRQRGTKVHPGKNVGIGKDHTIFSLIDGLVKFEKFGPDRKKVSVYPREVQPENPNSYRNRKRESFRLQRERRKARKELREGTAVQPLLVLASAKGTVTDTATATADANVDC >cds-PLY95696.1 pep primary_assembly:Lsat_Salinas_v7:2:115763434:115765099:1 gene:gene-LSAT_2X52961 transcript:rna-gnl|WGS:NBSK|LSAT_2X52961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYQTLFFVLSCLFPLIIYALTSWRRRNSRLPPGPKGFPVIGNLLEFGDKPHQSLAILSKRYGPLMSLKLGSNTSIVISSPDITKEFFNTHDVAFLNRSPPKAIQLGDFHKYSIVWMEAGDQWRKLRRMTKEYMFSVQQLDASEVLRREKVQELVNHVNQCCIEEKPLNVGACAFTTSLNILSNFMFSIDLAEYGPKSTQQFQDLVLQGMQAGAKPGLPDLFPILHSLDPLGLIWSEDVYGKKMLAIFDKIINDRLKTRSDGVSTKSNDVLDLLLDQHSSFTQNDMRHLFLTLFMAGTDTTSSTLEWAMSELIRNPEKMKKARLEVDKLMQNNNNGSIQESHISQLTYIQAVIKETMRLHPPAPFLIPRQAIHDVSVQGFIVPKNAQILCNVWAMGRDPNIWSDPKMFMPERFLDVKIDYKGQDFELIPFGAGRRMCPGLNLANRMLHVILASLIHKFDWKVAGDTRPQDIDMGEKYGITLQKAEPLIAIPMKL >cds-PLY83682.1 pep primary_assembly:Lsat_Salinas_v7:4:41916197:41919834:1 gene:gene-LSAT_4X29741 transcript:rna-gnl|WGS:NBSK|LSAT_4X29741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGGGGSNGGGSQGIPSASRRMVQSLKEIVNGVPEAEIYSTLKDCNMDPNEAVNRLLSQDPFHEVKSKREKKKEFKDSTEPRTRGGGGGTSNRGGRSGTDRYGGRGGSNHFSSSESGGLYGKPTYKRENETSSFASSTTSASKVVPRNTSWNPPSFSASDNASVSVSQPSSGYQSAWSGAPGQKSMADIVKKGRPQNNQPPPPQTASHNHAPPPPPAVSDNHASSPDNEWPFIEQPQPVNLHPITESTTNSDQSNKQLLGHETNEVEVQDESSSEDQNEDYDNENENESHEGNLDISLEEEEEEDDDDATVPISSVTANIHEENHMNPAPPQEDDDDDDDDDDDVPSVVIPDHLQVHTADCSHLSFGSFVANMNQGYSGHFTSTADVEPEPVTSSVEHSETTNLEYYEDRSRAGGNTESYDLASASQTALKQEDHHHHHHHHDHEVTRGNEYGFPPTTNTHTFDFPQSQISTPFMNAMSANGHPNPVRESDLSSYSQFSLSVSQSMPSRYANSASPINDPTVSMAEALKNVGLTSPSSSSSSQPAQPQHTPAVPQHLTMHPYSQHNLPLGGHFTNMNMNMNMMSYPYLPQSYTYMPSGFQPNTYHQQLAAAILPHYKNNLSTQSPTLPSGYASSGNYEDVLNAHYKELQQNEWARGGGSRSAVPANAYYSLQSQNQQQPQQQQQPQPQPPSGFRQPSQGYGGAGVNYPDFFQSQMAGVSQEQQLQLQLQLQQQQQQQNPRDGGGSQQKLQSQQQLWQNGY >cds-PLY91553.1 pep primary_assembly:Lsat_Salinas_v7:1:11610732:11613568:1 gene:gene-LSAT_1X9880 transcript:rna-gnl|WGS:NBSK|LSAT_1X9880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCDNITATVMGMSPEQKQTILRMGFGSILQVNITSYPGQLSYYLLDVYDADSKRLVLQNSVIEITEQTVHDMMGLPFGGEDINELPLCDKGNQILEEWRGQYSGDKFNGEEYLRRIQATTKDNLMFRLNFLTLFVNNFIESMLMGTNQIKVVRKLVLVEDFSKLNWCKYMLDCLGSRKKLWKRDDKSSYYSGPITLLILVYVYNMKYSIKIDKRLPFIGHINGAKLLEVQKLEISLGGFGRQFRDEHDDVDMGDETGAEEQQMLSFKRDFGDEEAYAAVIEHSYGVIVTEKSTMEVALKDGLLKFPHSVVLNEWMEKMNELFKGVFEGAGNKKVHEPACFNEVNMNDVGDGGEGNSSPVRGLILTEVNTEKEDNYTTPVDTTSLTMTQFYRLPGVNEEMIKLLDETELQVYKKKKRMSVISGDNLVGRNIGEAVDNAGGYDDNDKREKRIPKKAKVFHSPYIERIVKVGEKLSKDETWICNSVFASTRDDGDEIWDIGTGHLLHQGFAYQFNHGMFLHSKIIDCWAAFLNKMENYKDESSLSRFFFDTTIVTEDILNELKSEDMKCRLFATLLRIYTKKFDVKPSFRDVALIKFVLYSRSCEENMNFGKKVWHDTKPGEKTVLQLLTSQHHPMAKTLTFKAARVMNISWLVEKAGTECGIYLMWHMETYMGENEGRWEYGLTGKMPANVSATIKLRTKYMARLLTSDFNKFKTMIVKDFEALRKLDILEQDMLLRESA >cds-PLY72084.1 pep primary_assembly:Lsat_Salinas_v7:9:196570431:196571992:-1 gene:gene-LSAT_9X121281 transcript:rna-gnl|WGS:NBSK|LSAT_9X121281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGDDELSPSLLPCEWVRGKMIGSGSFGVVHLAINKSSGSLFVVKSSESQEGDQSLENEASVLKSLDSPHIVQCLGKDVSFGENGHTKTNLFIEYMAAGSLGDVAEKLGGKLDEGVIRVYTREILKGLKYLHDSGIVHGDVKCQNVLLGPCGTVKLADFGCARRLLSGTGTTGAINRKFLCGTPLWMAPEVLRNEGLDFSADIWSLGCTVIEMATGRTPWGELGVSNQMAAILKIASSNELPSFPRDFSKSGLDFLNKCLVRKAERRSTVDELLNHPFIKGEMVIKKHEMYSPLSVLDMGDDGYGSDESEEMKVMSKIPFSVRRYEKRKSTQRQCTENEMVSQENWVTVRT >cds-PLY81955.1 pep primary_assembly:Lsat_Salinas_v7:9:152756140:152757279:1 gene:gene-LSAT_9X97361 transcript:rna-gnl|WGS:NBSK|LSAT_9X97361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEPNLFTQMGYEKGTYPFSRCSPPFGNCSSGNSDIEPLIVMHNMLLAHGKTVKLYRRDYQHEQGGSIGIVVDCFMYEPLTDNDFDQEAANRILAFIIGWALDPLIFGDYPSEMRKYLGDQLPRFSSDERKFMKDGIDFIGVNHYATLYAKDCIHSICSLSGSRAILGFVDASKERDGIPIGAPDWDHCV >cds-PLY81441.1 pep primary_assembly:Lsat_Salinas_v7:5:333650190:333650954:1 gene:gene-LSAT_5X184501 transcript:rna-gnl|WGS:NBSK|LSAT_5X184501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARFTAMVVCNVLLLVALVLSVEMMTPTLGDKPCTELLAFKPKWCHGEDVSEDCWDACRYRHGSPIAAECFYETLLPGNGSACKCYWPC >cds-PLY63018.1 pep primary_assembly:Lsat_Salinas_v7:8:183412096:183412699:-1 gene:gene-LSAT_8X118540 transcript:rna-gnl|WGS:NBSK|LSAT_8X118540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCDQLAHTLTQQSPVLVVTDVATRGLDIKDIKVVINYDFPTTRRAGATGEAYMFFGDQDSKHASELVKLLEGSNQHFP >cds-PLY71668.1 pep primary_assembly:Lsat_Salinas_v7:3:45953747:45955063:-1 gene:gene-LSAT_3X35220 transcript:rna-gnl|WGS:NBSK|LSAT_3X35220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATSCFHALSTPACRSSSSSSRQAPIKPNQLIVCRSQKRDEATTADASISRRLALTVLIGAAAVGSKVSPADAAYGEAANVFGKPKSNTDFVPYDGPGFKLSIPAKWNPSKEVEFPGQVLRYEDNFDTTSNLSVMVTPTDKKSITDYGSPEEFLSKVDYLLGKQAYFGKTASEGGFEQDAVATANILEVATPVIGGKPYYSLSVLTRTADGDEGGKHQLITATVSDGKLYICKAQAGDKRWFKGAKKFVEGTTSSFSLA >cds-PLY89736.1 pep primary_assembly:Lsat_Salinas_v7:7:42065634:42069271:1 gene:gene-LSAT_7X29360 transcript:rna-gnl|WGS:NBSK|LSAT_7X29360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTRDGKSRQFGFVGFRSEKEASEALKFFNGSFIDTYRISCEIARKVGDPEMSRPWSRHSLKKQEKSTVEKKESVKSMSSNHKVTPDVKIESENSDLQFQEFLQVMQPRAKSKLWSNDLLEANKGEDKDKKKLNSKSSKLNETSDNKPDEMESSMELDKAVSDTDYFKSRVKKDWSDTDTDTEDVNGDVEEDKNDEQTEAEEPTNTLENPNETDEVDEPSSSLEDEDDVLQTGRLFVRNLPYTATEDDLREHFSKFGSVSQAHLVVDKETKRSKGIAYILYALPESAARALEDLDNSIFQGRLLHIMPAKQKILPVKKDDSAIHTKTFKQQREDKRKKSESSGDTRAWNSLFMRPDTIVENIAREFGTSKSELLDREASDVAVRIALAETQVIAKTKKALSNAGVNVTCLENFANQKTQGVKRSNHVILVKNLPYGSTETELATMFGKFGSIDKLILPSTKTLGLVVFLEPGEARAAFKGLAYKRYKDAPLYLEWAPGDILSEDPNSITDEKEKEKEASDVVEHETKRALLEQQLEGTTDAADIDTERVESRSLFVKNLNFRTTDEGLKKHFVDHVKQGKLRSVRIKKHLKNGKNVSMGFGFLEFDSVDTAVKVSRDLQGTVLDGHALILQLCHVKNNERVKEKVDKDQSSTKLIVRNVAFEATEKELRQLFSPFGQIKSLRLPTRLGKHRGFGFVEYVTKQETKNALQALSNTHLYGRHLVLERAKEGESLEELRARTAAQFVDESTGFQNPTKLSLKRKQLDI >cds-PLY74807.1 pep primary_assembly:Lsat_Salinas_v7:5:59269054:59269458:-1 gene:gene-LSAT_5X27641 transcript:rna-gnl|WGS:NBSK|LSAT_5X27641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTAIHNIEITLGKGGQLARAASAVAKLIAKEGKSATLKLPSGEVCLISKNCSATVLPVGNVGVNQKSWGRVVSKRWLGKRPVVRGVVMTPVDHPHGVVKGGPQLVENNPQPLGVILHLEKEVEKRINTVII >cds-PLY77970.1 pep primary_assembly:Lsat_Salinas_v7:1:21757639:21759481:1 gene:gene-LSAT_1X18121 transcript:rna-gnl|WGS:NBSK|LSAT_1X18121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGIAEEALVLLRRRLCDLNFIFSLFSDSPDNNYKLKIFNGQFEELHQRQSQWTVPDTELRESIRLSVVEVLLPVYRSFIKCFGSASRALVKDRSSHRGGCYYRYNGTTLSIRIFNSVSSKAMDDPFVVLETASTSVTSPPSVFIDPLETVHKMNKFGSTNSGVSRGVFDFDYIDPLHGFGKPATLSIPHLVTSLKTNLEATQEAALDALFLLRLAWSACPTDVSKSS >cds-PLY99362.1 pep primary_assembly:Lsat_Salinas_v7:7:144576602:144579389:1 gene:gene-LSAT_7X86441 transcript:rna-gnl|WGS:NBSK|LSAT_7X86441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDFGRISVHNALGGGPVADILLWRNYYGGGAVLIGSSILWFLFEKGGYNIIAFIANNLLLLVVILFLWAKSASLLNRPLPPIPELDISEETVLIAADEMQVWVNHVFSLAHAIAVDGNLKALMLVVSSLWLISYIGSFFNFLTLIYIGVLFSLSVPFLYDMFQSQVDGKLIVVHKILLPVLRKADIVFKMIPSVQYKQKKNQ >cds-PLY74399.1 pep primary_assembly:Lsat_Salinas_v7:6:49079231:49080261:1 gene:gene-LSAT_6X35741 transcript:rna-gnl|WGS:NBSK|LSAT_6X35741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPNRLEGKVAIVTGGASGIGESTVRLFTKYGAKVVIADVQDDLGVALCNDLVSESGDNVIYVHCDVTQESDVKNAVDTAVSKFGKLDIMFNNAGIPGNLDFKILESDSENFKRVFEVNTFGSFLGAKHAARVMIPARRGVILFTSSSASVVSGESPHSYTVSKHAVVGLMKNLCVELGQYGIRVNCISPGSVSTPLLTNAMGLEKAAVDDILCAASVLKEVVPTAEDIAEAAVYLGSDASKFVSGINLVVDGAYSTTNPTYTKIIKQTFEALGKKT >cds-PLY93762.1 pep primary_assembly:Lsat_Salinas_v7:6:147651991:147654841:1 gene:gene-LSAT_6X88480 transcript:rna-gnl|WGS:NBSK|LSAT_6X88480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVKGLLNVAFGDDDREKKREEDEIDERSRSTSWAQVVSGDKDDDDEDEQKYSRNSNRRNEEEKNEQWGSGGSNTYRRPQQAVNDYNQRHPDQQTEEENNDGWETVGKKKPAKKHQQVQKDNWENFKRPANEQEYSNEVSHTSQMEPSEDELNDLSQACNKLWELDYNRLTPGQDYEIDCGEGKKTYQKQDMAEGSLFTWLSEDVLKKPTISRFCSLLDNYNPHEGYKEQVTSQEKQEQAGFIEEICRTAPIIYLFKYLCAKGIVSHDYAEFKGLLTSLWFDLYGRGGTSSCSSAFEHVFVGEIKSRGEQSVSGFHNWLQFYMEEAKGRVNYQGYIFPRRRGETPDSESQLLTIQFEWNGVLKSVSSTMIGVSPEFEIALYTLCFYMGGEENHVELGPYSVNIKCYHLGTKLGSAFPVADS >cds-PLY79070.1 pep primary_assembly:Lsat_Salinas_v7:3:9169203:9170951:1 gene:gene-LSAT_3X7981 transcript:rna-gnl|WGS:NBSK|LSAT_3X7981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSMASYLLLLLGLFVAFLDTTESQIGVCYGLLGNNLPSPAQVISLYNSRNIRRMRLYDPNQAVLQALSGSNIEVMIGVPNSDLQYVAASRDNAFDWVWKNVASWPSIKFRYIAVGNEVKPSDAALAPLVHPALTNIHEVVSFYGLKDQIKVSTSVDTTMIGVDFPPSQGAFRGDVRAYIDPIIGFLVAINAPLLVNVYPYFSYSGNPSAISLAYATFTSPGTVVQDGGNGYQNLFDAMVDVMYSALEKAGGPSLEIVISETGWPSAGAFGATFENARTYYTNMVAHASQGTPKRPGRAIETYLFAMFDENNKEPQLEKNFGVFYPNQQAKYNLNYNSIQKGYESV >cds-PLY68893.1 pep primary_assembly:Lsat_Salinas_v7:2:194055731:194059691:1 gene:gene-LSAT_2X115260 transcript:rna-gnl|WGS:NBSK|LSAT_2X115260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFKSSTSNNQAPSQSKENSFGDGVQDTHKSRSKKAAFEDQNQIQTSPHLRRSRSFSSGTFVDSVMLRTQSDSACSSSSNVSHKQSAPRSSRRALTPERHSRAKWFEENTGVDNVSLESSSYCSTNASNKILDRYIDGEQYQEKINRNNNSNIKGHHSQNGGGKRPPRFQYAAPSSPPPPTATEGGGLTQKPRSHSFRDPNRESKLYMSTRDWVENGVVHGSPRKLAKQVIERLSQSRVLPRVDSKDFEHDIPITLEDIYGGSHNHLSPDGLDDDDDCSRVLEYEESEEADEVSLLRAELHSRTRKLEKEKKELQAALEKELDRRSTEWSMKLEKYQMEEHRLRERVRELAEQNVSLQREVSLYGERELDSQSRVEHSGQQVKDLTLRMEEVAEENQNLHQNLSELQDKYRAAEEDRDCFKRNYIEKEKECKELHRAVTRLLRTCNEQEKTIEGLREGVSKEVRKNGSLENSNFDQELRSKLQMEQLRLTGVEQALRKEVESYRVEVDSLRHENINLLHRLKGSSKENGFSALKLDQELWSRVHCLQNQGMCLIKDGVVLCSKLLENIKARACHSSLETNEALQNGLDSQLIMEADMKLQGFKRGAESLTRSLQTVSDVVRDKSKSSTGTSDSESQDVGKSDLKAEALITSLLREKLYAKEVDVERLEAELATAVRGNDILRCEVENAMDTLSCITHKMKELEMQVMKKDEGIYRLQNNLQDCKKELSIVNGILPKVSEERDMMWEEVQRYSENNMLLSSEVGMLKKKVEALDEDVLMKEGQITILKDALGKPFDLLSSPVPSGGGFLL >cds-PLY83983.1 pep primary_assembly:Lsat_Salinas_v7:8:37304496:37306070:1 gene:gene-LSAT_8X29780 transcript:rna-gnl|WGS:NBSK|LSAT_8X29780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVHAQCYSDLYQNNNMGFANLPQDWVLMTGSSVFGIGDENRASCPYEQQQDQRFLEPQKIMNSASDYHNLVLSSSNSRRNGMIGFQNLSSELERQRLEMDCFLHFQNEKLKAVLNEETRRREVIMMQGYESKMKAIMEAKEQILNTATNRTIELQNCLLMAEKEAKDWEKKAIENEAMVTDLNRKLYQARERNHEDAESVCNGGGDHDHDDEDDDDDERETREKKMVCKVCHVRSSCILLLPCRHLCCCRGCEGLLMFCPVCETVKNGRLEVFFGLN >cds-PLY81996.1 pep primary_assembly:Lsat_Salinas_v7:8:263500056:263500762:-1 gene:gene-LSAT_8X152521 transcript:rna-gnl|WGS:NBSK|LSAT_8X152521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEENGTVQETAPKIMNDADAISDEIDVLLLQKCGYEPHEIEQAMERVDANEIEDHLLEDNRLEDNLEMGVDANEIVPPVQGEIAIQGLDANAWVGEDDGNIDFIEDTQVVGRPRKRKISERIVKIKLKKAVYDKDGRGSSIKKPVNLE >cds-PLY83970.1 pep primary_assembly:Lsat_Salinas_v7:8:36458383:36461353:-1 gene:gene-LSAT_8X28640 transcript:rna-gnl|WGS:NBSK|LSAT_8X28640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATRFFSLFESNATATAAAANLYPFRAIRLPTKLFSSPTLRFRRYSSSPASVIDNDTTTTAAIGETIGDSHQHPWPEWVTFVDRLKAKGYFKKESGNDVAVYKDMSILKEACLSFGRDRFDLFKSLSMQDIQTLVEKGCPNINRKTVNSGKRLRAHLQLDEGDVCGVCILRGSCDRAYLILKDIESGARTVDIVRILLNYALDPVIDSNMKPLGAEDIEASARKLLLELTELSDTAIDHEAQKPPAIVARKAQNFDFKERDSSRNVEMKRGDWMCPKCNFLNFSRNKKCRECNEDGPLKPGLDEVEMKKGDWNCPQNIRCLKCKTEGPKRVGIDDVEMKKGDWNCPQCQFMNFASNTKCLRCREQRPKRQLNSGEWECPSCDFFNYAGNVVCRKCSGERPNNAEAKYEEQMWKKPS >cds-PLY84236.1 pep primary_assembly:Lsat_Salinas_v7:7:79384388:79388803:-1 gene:gene-LSAT_7X55560 transcript:rna-gnl|WGS:NBSK|LSAT_7X55560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMKDMQILHPPRHRSQLKKPKWIIILVSLVCIFLIVAYIHPPRDSTACYIFSSSSCKTIARWLPPPERQLTDEEIASRVVIKNILNSQMETKNPKIAFMFLSPGSLPFERLWDKFFQGHEGKFSIHIHASRVKPVHSSRYFQNREIRSDKVDWGKISMVDAEKRLLANALKDPDNQHFVLLSDSCVPLRGFDYVYNYLIYTNISFIDSFEDPGPHGSGRYSNRMLPEVEKKFFRKGAQWFTMKRQHAIIVMADSLYYTKFRDYCRPGMDGRNCYADEHYLPTLFHMFDPHGVANWSVTHVDWSERKWHPKSYRKKDVSLQLIKNISSISESVHVTSDEKREMTITPCMWNSVNRPCYLFARKFLPETLNSMIDLFPIYTTV >cds-PLY98073.1 pep primary_assembly:Lsat_Salinas_v7:4:79284652:79289071:1 gene:gene-LSAT_4X52841 transcript:rna-gnl|WGS:NBSK|LSAT_4X52841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDADHPPDPSTSSRRSTTASPKAKLPAPFLSKTYDLLEEEEEREENCSGGGRIVSWNTEGNGFVVWSPAEFSEHMLPRYFKHNNFSSFIRQLNTYGFKKTASKRWEFQHEKFQKGCRHLLMEMSRKKCEPSVFPTYLKPSSGETDHHHNQTPSSSSIEEESMVLLMEENKNLKKEKMELEMQIQHFKSMETKLLDCVSHYMGNNNQIQHNDSNKFLR >cds-PLY85212.1 pep primary_assembly:Lsat_Salinas_v7:8:182653025:182655573:-1 gene:gene-LSAT_8X119100 transcript:rna-gnl|WGS:NBSK|LSAT_8X119100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANIPLIPVKENHQLVVAPTARNTFYQLPVKNPCGDLLADNRRKDYLEICIPLYEASMTGNWPHAKTILEEHPEVIRSSITENYETALHVASSAKNTHFVENLVKMMTKEDLELQNKNLNTALSLAAAAGTVDIAKLMVERNKGLLTIPGSQGMMPLYMAALFGNSEMVKYLYSKSQKLHDDGWTQQNRGWLLVKCVESDLFDVALDILKDYPELGDDGQVLQVLARKPDAFTRKDPKFIRRFYHKRSGTDDPSYL >cds-PLY81214.1 pep primary_assembly:Lsat_Salinas_v7:3:246967658:246968302:1 gene:gene-LSAT_3X135840 transcript:rna-gnl|WGS:NBSK|LSAT_3X135840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPSKSDPVPIQKEVRTPIQVPDPKELVKEVVPSKSGVLKRLKKMEHRPHYSPNRPSVGEAPEKSLSSPKSVSIKKRILKPQISRKDVKIHEIPALVSPTSKKIQAHKMVKKLTKKQNQVKDPMDKRDSLVNSTFKVIGSLDGNVERYYMDTTTNMGDRSKQSIPEKTLVIPPKVLLANSNIEEL >cds-PLY87643.1 pep primary_assembly:Lsat_Salinas_v7:1:123060996:123079455:-1 gene:gene-LSAT_1X93181 transcript:rna-gnl|WGS:NBSK|LSAT_1X93181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVIDSKGELAMSTGYGNPQLECSAADECSKLLAYEVIGLEEKCRIQIESIPQGNTNKIFSGFEHASNSMASSASSWMTSLSCSSTSVVVEASGTSTILQWLKFIFFSPCPQRALLSSIDVLFLLILFLFAIIHKLNSRSTSTYEEEEPLFPKTRLVVNNTNVWFKLTLLVTTILVVLSLVSSILAFVQNNEMSWKLIDGICWLIQALVFLVITLLIIHEKRFQASTHPLSLRVFWVVNFIIIALMASSSIIRFISNTSVLKSQDIISLICLPFSVFLLIMSITWSTRIIANRESESLIPNGNGKVLESEVEEVTGWALASIPSKMFWIWMNPLLQKGYKTPLKLKDIPTLSPQHKAEKLSKLFEKNWPKPHENSKHPVRVTLVRCFWKNVAFTAFLAIIKLCVTYVGPLLIQRFIDFTSGKSTSPYEGYYLILILLVAKLIEVLSTHHFNFHSQMLGMLIRSTLITSLYKKGLRLSCSSRQSHGVGQIVNYMAVDAQQLSDMMLQLHAIWLMPLQVAVALVILYSYLGTPSLVALLGVLVIVILVILGTNRNNRFQFKIMQKRDSRLKATNEMLNYMRVIKFQAWEEHFNKRILAFRESEFWWLKKFVTSFGGNMILLWSTPLFVSSLTFGSAVLFGIPLDAGTVFTATSLIKNLQEPIRTFPQSMISLSQAMISLGRLDEFMLSKELDEGAVEREENCSGMTTVEVENGSFSWDDECIEGGVVKNLNFTIKKGELAAIVGTVGSGKSSLLSSVIGEMHKISGKVRVCGSTAYVPQTAWIQNGTIQENILFGLPMDKPKYKEVIKMCSLEKDLEMMEFGDHTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGSSIFKECVRGALRNKTILLDTHQVDFLHNVDLILVMRDGKIVESGKYDKLLESGLDFKALVSAHEASMQLVEMEDKTTETPSHGPLQKSPSHNPMEEDEKNLERSKSSSLIGTSKLVEEEERETGRISSSVYKVYLTEAFGWWGVIIVLFFSFAWQGAQMASDYWLSYETSDTRASSFNPTLFIQVYISIALLSFLLVFGRVISSTILGLKTSQSFFTQMLHSILHAPMSFFDTTPSGRILSRASSDQTNIDVLVPFLMSISLVMYISVISIIIITCQHPLFTISRVMTIRCFKKQERFVQENVDRVNGNLRMDFHNNGSNEWLGFRLEFLGSLFLCVSTLFLIILPSSIVKPENVGLSLSYGLSLNVSLFWAVYMSCSVENRMVSVERIKQFSNLPSEAEWVKNDNRPPSNWPSNGNVELEDLQVRYRPNTPLVIKGITLSIKGGEKIGVVGRTGGGKSTLIQVMFRLVEPSGGSIIVDDINISTIGLHDLRSRFGIIPQEPILFEGTVRSNIDPIGQYSDEQIWRSLERCQLDDVVASKPGKLDSPVVDNGDNWSVGQRQLLCLGRVMLKHSRLLFMDEATASVDSQTDAVIQKIIREDFAECTIISIAHRIPTVMDCDRVLVIDAGYAKEFDKPSRLIERASLFGSLVQEYANRSSGL >cds-PLY87062.1 pep primary_assembly:Lsat_Salinas_v7:5:259274700:259275966:1 gene:gene-LSAT_5X129460 transcript:rna-gnl|WGS:NBSK|LSAT_5X129460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGEINGSNCTEYVNEFVDDFETNLIAQMERCRKPAIRPISGFAFMADYKKECGKSQQHESF >cds-PLY72776.1 pep primary_assembly:Lsat_Salinas_v7:4:372285429:372298781:1 gene:gene-LSAT_4X183220 transcript:rna-gnl|WGS:NBSK|LSAT_4X183220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGYIVPVTLTLEHSAAPPLCLPLLTVGTSFFTSGRRHHGSSPSSPISCHRSNTAVAGNAVYIREVHRRPAAKCGAAAVRSCFDCQTKPPQLLQPSKSNIGAAAVVARFHGRRNCNQMMDLPSQIDTTSLDYWMNWRFLLCSICVFGSIILAFFLIWKYDNSRDSETYKEGNQQKKASSLYECEAWMPCVKEIHPAWLLAFRIISFCLLLTACTADVVQQGTDLFYYYTQWTFTLVIFYFAFGSVFSVYGLFRYNKMFTAYSLYGNEQQAIYAPLNPQDLESASNQQEGSCFLLSAEFWCYVFQIVFQMTAGAVMLTDFVYWIVIVPFLTLVDYPMNFLTVLAHSLNLVFLLGDTALNSLRFPWHRISYFIFLTAFYVIFEWVVHAFVNTWWPYPFLDLSMDLAPLWYVFLNTIVPSLSCFFFL >cds-PLY87131.1 pep primary_assembly:Lsat_Salinas_v7:5:266206277:266208808:1 gene:gene-LSAT_5X137661 transcript:rna-gnl|WGS:NBSK|LSAT_5X137661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLLDIATPQSSFRNNPYSIGFQRLHHVSFISGNQTTARSRFFKPNGIINPANGRLMIKAVATLEPRCSDNANHQLPIDSTPTLPDTDDQPVVIDDREILRRSRISDANRGKQPWNKGRKHKTREKIRESTRIAMRSETVRMKLRKAIHSQTLETRSKIAAAVRVTWNKRRFQQRMMSHCQQEWRNLVSEASRKGFCGEEELQWNSYEIIQKQLTKEYRAGVESRKEHLRTNSLKPEGQRGVSHLRAPKTPEQRKKISEAIAAKWADPTYRERVYSGISKRRGLDPERRDPEWGTGKRRKEATKLNPSPRKKRESFGGGTKVKSPQQPKVKTSVSRVKKEPQARFKDPQANYKLEMIKSIRAQRAGSDSDSDPKINEAVLRANVLIGEAQRAAEALEEAAAKSPVAEASLIETRKLIAEAIRYIESIEMGNNQSLESGNDKDEKMVVEEVTGIEQRGVNGIGGVGLSDGGIGMLADVANGRENIDILSSASTWGDMGLEMKEVEGDGDGGRKMMSEKQERKGRRWVCGRLVEDEEDE >cds-PLY76799.1 pep primary_assembly:Lsat_Salinas_v7:MU042712.1:975049:975399:-1 gene:gene-LSAT_0X2481 transcript:rna-gnl|WGS:NBSK|LSAT_0X2481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVFNSPLGREYHGTSKMEGMKHFTGRRRVFLQIESSCVLGMDLDRSDNAHTVKRRLQIALSLLIEERSQNFGYMVLNNDLSAICDHSPLLLTRNILHRSSSTPCLSPTGSGIH >cds-PLY65951.1 pep primary_assembly:Lsat_Salinas_v7:4:136441580:136442642:1 gene:gene-LSAT_4X84301 transcript:rna-gnl|WGS:NBSK|LSAT_4X84301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQTPLRLPTTRSTPSPSTLSPAGGSNVGLHRPSNQLALKSSFYLSPSLQLLLPRHQPSAAPKFAMRAASKQAYICRDCGYIYSDKTPFEKLPDKYFCPVCGAPKRRFREYTPPVTKNANSTDVRKARKAELKRDESIGKALPIAIAVGVVALVGLYFYLNSTF >cds-PLY86433.1 pep primary_assembly:Lsat_Salinas_v7:1:10358326:10360407:-1 gene:gene-LSAT_1X7640 transcript:rna-gnl|WGS:NBSK|LSAT_1X7640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSTSKSTRRKPKNFKFVPKPKQQWRVKEPKRNWLELPSDVMANILYRVGVFDILENAQKVCTTWRNICKDPAMWRVIYMENHSDPCTRPPLQQMCKHAVDRSQGQLVDLTLVHFANHELLLYIADRSSQLRRLDITYCFGEFYDGWTEILNKFPLLEKLSLCTTEISKEDIKGAGDCCPMLRTLKVNQKFFRFSDEDSDVESLRIRNQMAIAIGKNLPELRHLELIGNTMSNTGLLAILNGCPHLESLDLRQCLYLDLKGEFGKKCLDKIKCVKLPNDSLEGLPLCLRCDDSYDLLEDFVSGDSDYEYDECFDYDEYTNPYHYDYINNVKPDLFGSDDDSIDIDDLGDMMTFMVCLDDLFTER >cds-PLY72796.1 pep primary_assembly:Lsat_Salinas_v7:6:22724677:22726094:1 gene:gene-LSAT_6X17980 transcript:rna-gnl|WGS:NBSK|LSAT_6X17980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGDFGDSFSVGSIKSYLAEFIATLLFVFAGVGSAIAYGKLTTDAPLDPAGLVAVAVAHAFALFVGVSMAANISGGHLNPAVTFGLAIGGNITILTGLFYWIAQLLGATAACFLLQFVTGGLAVPTHGVADGMNGLQGVVFEIIITFGLVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGAFSGGSMNPARSFGPAVVSGDFSQIWIYWVGPLIGGGLAGLIYGDVFIGSYAALPASEDYA >cds-PLY88900.1 pep primary_assembly:Lsat_Salinas_v7:4:64138677:64139480:1 gene:gene-LSAT_4X44960 transcript:rna-gnl|WGS:NBSK|LSAT_4X44960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPHSAGRRCIGLTCGYLILFGMETKDFWLVNPITRHQLHFPSTPFYPPPYPYHLRAALVFSASISGWVFVALDRVSPHKIWFSVTGKGLWNHVHSTFPIHDLHAFKGKIYLREVTHLCELRLDAEPKLVLLETKNFLKLNPSLWWFVCSAENLFVLQNVSSDSWKLHELDFGEMKLVWQEKAIGEYAFFHCRDFGYSIAIKSESWANHPRTQYQQFAFFPNTPAWRTRHECRFFWANKWYFPHDYLNANLIDES >cds-PLY70545.1 pep primary_assembly:Lsat_Salinas_v7:1:72969875:72973503:1 gene:gene-LSAT_1X64561 transcript:rna-gnl|WGS:NBSK|LSAT_1X64561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKPQPLTVSKVFDTFRVIAKESGKDSQDKKKNHIKGLLVAATDCEPQYLIRLLQTKLRIGLAEQTLLTALGYAIVYTENGESPSKHSDSPLEEAAKIVKQVYSVIPVYDKIVPALLSDTVWNLPKTCCFSPGVPVGPMLAKPTKGVSEILDKFQDMEFTCEYKYDGERAQIHYLEDGTVQIYSRNAERNTEKVKKPSVTSFVLDCEIVAYDRKKQKILPFQILSTRARKNVVMSDIKVDVCIYAFDLLYLNGQQLLQEQLSVRRERLYESFNEEPGFFQLATAITSNDLEKIQTFLDAAVNGSCEGLIIKTLNKDATYEPAKRSNNWLKLKKDYMDSIGDSLDLVPIAAFHGRGKRVGVYGAFLLACYDNNKDEYQTICKIGTGFSEAMLEERSTSLRSKVIPKPKSYYRYADSINPDVWFEATEVWEVKAADLTISPVYCAAIGAVDPNKGISLRFPRLLRVREDKGPEDASSSDMVADMYNAQKHTQGNNQDDNDDE >cds-PLY95209.1 pep primary_assembly:Lsat_Salinas_v7:2:97874351:97878896:1 gene:gene-LSAT_2X43400 transcript:rna-gnl|WGS:NBSK|LSAT_2X43400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVVKESVFRCSILLLIASLMVVSAAAAAGDKSDVRGVETEQFQSLNVSSMAERAKASEALNENAVQDPEEVVSMVEMVIKNNTERRKLGYFSCGTGNPIDDCWRCDPNWQKNRKRLADCGIGFGRNAIGGRDGRYYMVTDSGDDDPVNPRPGTLRHAVIQDTPLWIVFKRDMVIQLKQELIMNSFKTIDARGVNVHIANGACITVQFVTNVIIHGLHIHDCKPTGNALVRSSPEHFGWRTMADGDAISIFGSSHIWVDHNSLSNCADGLVDAVMGSTAITISNNYFTHHNEVMLLGHSDSYTRDKLMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYLAPVNPFAKEVTKRVDTAASQWHGWNWRSEGDLLLNGAYFTPSGAGASGSYARASSLGAKSSSMVATITSGAGVLNCRRGRQC >cds-PLY84876.1 pep primary_assembly:Lsat_Salinas_v7:8:145096729:145097151:-1 gene:gene-LSAT_8X98320 transcript:rna-gnl|WGS:NBSK|LSAT_8X98320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAPDVAKACAVTKIPTPATFPAVVVDSTFVAVGHVNSSASVHSASSHTEGQKTEKQNGAIPVSFSGGFGRISGVMDSEDELTHPPGFSSLVGKGGVSEGSINNNSFLNEVQKTFDIGRAMGYNMDGCLDRVKEIVEGYV >cds-PLY93696.1 pep primary_assembly:Lsat_Salinas_v7:2:200760816:200761930:1 gene:gene-LSAT_2X121480 transcript:rna-gnl|WGS:NBSK|LSAT_2X121480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVYLGANKYRERQPIGTAAQVPDKDYQEPPPAPFFEPSELTSWSFYRAGIAEFIATFLFLYVTVLTVMGVVKSPTKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALYYMVMQCLGAICGAGVVKGFEGSKQYKLLGGGANTIAHGYTKGDGLGAEIIGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNQDHAWNDHWVFWVGPFIGAALAALYHVVVIRAIPFKNK >cds-PLY75009.1 pep primary_assembly:Lsat_Salinas_v7:1:112276610:112280104:-1 gene:gene-LSAT_1X90240 transcript:rna-gnl|WGS:NBSK|LSAT_1X90240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVSAVITVLCEKLLSGDLMKLAQSEGIDSKLKKWKQTLPIIQAVLTDAGHKQIKERAVQLWLNDLQDLAYDIDDVLDDLATEVGLRKLNQDFRDSTNTNKVLKFFANCYTNFGPRNFMYGREMSSKLDEITTRLLDLVDVKIGLGLDVNSNLERSNEKRLEQTSLVDESKIIGREGDKEVLMGKLLENNENVKIVSIVGMGGIGKTTLAKVLYNEEKVIEHFELMAWVCVSEEFNVFNICKAIFDGVGGENKSFSTLNDLHVALKKEINKKRFLVVLDDVWNEDHSKWELLQIPLQGGHGSKIMITARNTRVASVMDSDERYDLGLLSNDDALSLLAQHALGEKNFDKHTSLRLHGEGIVRKCGRLPLALKSLGRVLKTSRNGDEWGKLLNSEIWDIHDGSEILPALRLSYYHLPSHLKLLFAYCSLFPKDYVFRKNELVLLWMGEGFLSGSKGNMSKESLGHQYFEELKSRSFFQHSTNDKLGYAMHDLVNDLATSVAGEFFFRLDDNMDVSEMNETFEKFRHFSFIGSGGRSYRKLNELQRARCLRTFLLLSFGEQSYPSDNVIDKLLSELSFLRVLSLSKYVITKIPQSIGSLKHLRYLNFSHTPITCLPEEVGDLYNLQSLILHGCHHLSDLKKSFVKLINLRHLDIIDTPKLKNMPLGIGGLTSLQTLTKVIIEGDKNGFKISELKDLSDLEGEISLTSLEKVINPVEAKDANLHQKNGLDDLRMEWSDVFDDSRNEMIEYQVLEGLRPHYKLRELGIVFYMGSMFPSWVSDPAFDGLTELTLHGCRSKHLPTLGQLPSLEKLFVKGMNEVKTVGFELLAPDDSFLGIAFPSLEVLEFEDMKGWERWTSSCHDDDETAARSFPCLREISIKDCLKLAEVSIGLIPSLQVLHMKKGSKTVLKLVVGSSPKLEVYNCKNLASLLGDKEVNLGISMECLKEVKFDSSNTPESFNCPNSVESLEIRDCDSLTSLTFSMGHSDKGFGFDPLCCLTSLTFIRCKNLKSFCHEHLQSLPSLTNLHVFDCPSMDYSFPCGLWPPNLRKLMIGGLKKPMSEWGQQNFPSSLVNLGISGINNRGEVSFAVEENVMNTTTTSLPFILPQSLVSLSSFELYIPDSFSDAVEQLPYLENLIVWDCPYFDYEGDLASRKPHLKIRVFGH >cds-PLY70285.1 pep primary_assembly:Lsat_Salinas_v7:2:91514928:91519504:1 gene:gene-LSAT_2X40441 transcript:rna-gnl|WGS:NBSK|LSAT_2X40441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAVEGGDAGGGGGQPQQQQGFGQMFTGIIRVAVIYYFASKFFSPGGKPSSSGSEPALQISNLFHKAELLDMWFYVSEHEKFNDFGNEDALIWHESNIPYAVWGPESTRTLSLKYYPSEALKHNGSLYSHVFFARSGYPADPNDPEYQPLAAFGLTHPVVAYLPKSKANKKKSLLGNSKGTSDDEAVSEGVEEPQGDLKDEGPVEYISYWKPNITINLVDDFTKYSQRAIPPNVAPHMKFEPTTGNYYPTVFFNEFWLLRDKLIALNDTVTELPLHLEVAPISMTKWQLFLQIDQSFQIHRSYGSMLEGEADELKRVFVEGNPYLLGITMVVSLLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVVSFFCQLVVFLYLLDNDTSWMILASSGVGCCIEFWKIGKAMHIEIDRSGTIPKLKFSDRDTYSGNKTKEYDDLAMKYLSYVLFVLVACSSVYSLMYETHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPILHRLSVFRDDVIFLIYLYQRWAYPVDKKRVNEFGFGGEDEDESTVALASTSNAEDKKTN >cds-PLY95570.1 pep primary_assembly:Lsat_Salinas_v7:6:174720387:174725241:-1 gene:gene-LSAT_6X107360 transcript:rna-gnl|WGS:NBSK|LSAT_6X107360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTETLDEMVSNGVLSPELAIQVLVQFDKSMTEALDSQVKSKVTIKGHLHTYRFCDNVWTFIVQDAIFKYDETQENVGQVDVSGFKSGHNAKVKLQLQNCSHPWILHWGCISNGNKKWFIPSFIPSGTRVHKKSALRTPFIKEGDAYVVNIELRDPKIRAIEFVEDYGARSSKCLLNEMSDEEVEKPLRHRGDVSKLKMIQETILQMKAPRRMSIEVRKKMKSSRIPWPQGPAEDTWNQIWQAMKKIVKGSVETLVGASQGCAMSSITKKSELKSPIVTCYPSKSTGLYAKNKRSIIFRPDFISEDTNRYACHGIYDSVSMENKEEVVLVYSRDPMVVDLHFQASIHSKIAEAAKIIEDLYKCPQDIEGVVQDGQVYVIQCKPLSL >cds-PLY67035.1 pep primary_assembly:Lsat_Salinas_v7:5:282849536:282851855:1 gene:gene-LSAT_5X148221 transcript:rna-gnl|WGS:NBSK|LSAT_5X148221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMDETDDGRVEAFDSVHNVKPGFGETGSEQEQSNGGLVRGEVDTSAPFESVREAVSRFGGVGFWKPHYKHPHSSENGIIEEEFDAAKAEEQAVQLANDLIVKERETLQVLKELEATKTTLEELKAKLQKESEPVHNQLEEPNDQNHKNPQSNDDNFMCPSSAPGFILMELKQAKLNLTRTTNDLADIRATVEMYNKKIEKERFELEKTRQRLSSHSSKISSFKENHEENLDDYIPRELQRLTSETEQFKKVGELAKSEVLRAMNQIEQTKSKIKTAKIRLIAARKLKEAARASEALARSEINCLLKSENLSEGEGITLSIEEYSSLKMKAREADEASSNIEIDQSEVSKSEILKKVEEATEEVKNSKRVLEEALSKVEAANGDKLKAEEALRKWRSDHGQRRKSTVQNATKFKNSSCRMNNTRLFDVNGAHLVSNGSSPVLRPTMSIGQILSRKLLLTEENSEKSSMRRKVSLAQMLRKATSDGGSSGDGGGGSGSGGGGGGDGGGKRRSGKRKKFGFGRISFLVAKPSKKKKKHSVLSSRLSCVVD >cds-PLY67864.1 pep primary_assembly:Lsat_Salinas_v7:3:232792766:232794309:1 gene:gene-LSAT_3X130100 transcript:rna-gnl|WGS:NBSK|LSAT_3X130100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVGNAKTEVVAVSVDHIFSTYLHNGFLSVVPGKKDSGIMLQRNKCQFSGIDESSAISAVMEGVHVVNGLEYKMYCSSSKKNSIQMVKPAKGTTLAFIFKEGVMVAADSRASMGGYISSQSVKKIVEINLYMLGTMAGGAADCQFWHINLGIKSPGRYYVDSEGGRLKGTIFSVGSVLVNAYGVLDSGLVEGWDIAFVEHYNLKPKLLLISNMNLE >cds-PLY68396.1 pep primary_assembly:Lsat_Salinas_v7:8:22645685:22646271:-1 gene:gene-LSAT_8X16820 transcript:rna-gnl|WGS:NBSK|LSAT_8X16820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLSIWCLREKNACLKDLQSRYEGYDNYFTLKIHYSGVFTKSPGRKYIDGTISYVDDVDTYLFSVHELDDMVRELGYKGEQTLYYHLCIPEFPLDYGLLPLGNDQDVLKLVSYVPKHKLVKVYIKLGQTRVTSYFKSPSKVVIEELEPESVSSELNRKKTL >cds-PLY87795.1 pep primary_assembly:Lsat_Salinas_v7:8:222631759:222632781:-1 gene:gene-LSAT_8X137141 transcript:rna-gnl|WGS:NBSK|LSAT_8X137141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLILLSLTHLLFLLPATLSQTPSAPAPGPAGPINLTAILVQGGQYSAFLRLLNQTQVLTQLPNQLNNSNQGMTLLAPTDNAFQNLPSGTLNGLSEDKKVKLVLYHVLPKYYSIEDLVSVSNPVPTQAGGSKGSLGLNFSGRANSNQVNVSSGVVDTQITNALRQQFPFAVYSVDQVLIPSEFSEAPAPESESGPSSSPPVAGKPAKAPSGAEDGDGTSPAKNGGGRTVDVGVGLVGGVVLFCMAFL >cds-PLY87254.1 pep primary_assembly:Lsat_Salinas_v7:1:50907490:50915899:-1 gene:gene-LSAT_1X42900 transcript:rna-gnl|WGS:NBSK|LSAT_1X42900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSDSRPRYSLDDSDDESDILLGKSKNAEQFEKINRDDAKEDSCNACGESGNLLVCETCTYEYHPKCLIPPLKAPLPTSWRCPKCVNPLNDIEKLLDCEMRPTVADDSDASKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFIKAYKELPRLRTKVNNFRKQMNVGNNNEDEYVPIRPEYTMVDRILACRQEGEEEKEYLVKWVGLNYDECYWESESDISSFQQQIENFNRLQSRYRKLRKQKSNNHDAADLRKKSKEFQQFEKSPEFLPGGELHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLYEENVSPHLVVAPLSTLRNWEREFATWAPHMNVVMYVGTAAARAVIREYEFYFPKSHKKDKKKKSSHGSNENKQARIKFDVLLTSYEMINIDNGSLKAISWETMIVDEGHRLKNKDSKLFSALKQFDTRHRTLLTGTPLQNNLDELFMLMHFLDAGKFASLEEFQEEFKDINQEEQVSRLHKMLAPHLLRRLKKDVMKKLPPKKELILRVELSSKQKEYYKAILTRNYQLLTRRGGAQISLINVVMELRKLCCHPFMLEGVEPEDTNEFYKQLLESSGKLQLLDKMMVKLKEQGHRVLIYTQFQHMLDLLEDYCNYKKWLYERIDGKVSGGERQVRIDRFNVSNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQKNKVMIFRLITRGTIEERMMEMTKKKMVLEHLVVGKLKNQNINQEELDDIIRYGSKELFADENDEAMKSRQIHYDDAAIDRLLNRDYTEEENAAMEDAEEDGFLKAFKVANFEYIDEASKEEEEIQEPIAEDKSAATNPERSSYWEELLKDRYEVHKVEELNSMGKGKRSRKQMVSVEDDDLAGLEDVSSDADDNYEAELSDGENSAGATPVKKPYRKKTRVDNAELLPLMEGEGRAFRVLGFNQSQRAQFVQILMRFGVGDFDWAEFTSRLKQKSYEEIKVYGTLFLSHISEDITDAATFSDGVPKEGLRIEDVLVRIAVLLLVRDKVKNTSENQSAPLFTDDIIYRYPGLRGLKFWKEEHDRTLLRAVLKHGYGRWQAIVDDKDLRVQEVICQELNLPGINMSLPGAPQGQFVPPGSVEAQTPATAVAATQPQAQAQVQDSTAAANNALYHFREMQRRLVEFVKKRVLLLEKGLNAEYQKEYFGDEKPNEIRNDDMETDQKVVDRPSASHNDTSSQMIGQLPQIQVISPEEITAAACDDKKDRLAMARHYNEMCKIVEENAVESVEAYVGNKPGAGLKLKKKLEPLEGIHEQVSKILGNEKSNGDVSQHEVNGTTNTADVAMEDGEGRSPGVIVLE >cds-PLY91785.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1709273:1709707:-1 gene:gene-LSAT_0X260 transcript:rna-gnl|WGS:NBSK|LSAT_0X260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMLVKFDVMEMVASWKKGLCADISQRHLQIQHKIDDSESVSQEDKDLARWSVLDVGTGNGLLLQELAKQGFSDLTGIDYSE >cds-PLY87615.1 pep primary_assembly:Lsat_Salinas_v7:8:111181352:111183089:-1 gene:gene-LSAT_8X78080 transcript:rna-gnl|WGS:NBSK|LSAT_8X78080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLGKDSVLKGLGDESLDLELMRLHNLGGPPRFLFTINEETKEDLESERSKMGSRTRSLGEILDTLDTPFLSPVASPPLKLPQQLSLEAYNHHGLNPLFESNEVAMNKAKSSPPPTFKFLRDAEEKLLKRLMQMEGDKRASFKKLDQDSSRKTEEKDGSFVKLVVSKGNSEGGHRKVSTHSKVLPLA >cds-PLY81149.1 pep primary_assembly:Lsat_Salinas_v7:9:67032852:67034296:-1 gene:gene-LSAT_9X57980 transcript:rna-gnl|WGS:NBSK|LSAT_9X57980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATARECRMYGPRLSRNRAEYMNAGVYVFSAIVLICGFAGLLLRQPILGSVLLMIGLTCIIVVNVHDLIAHLAGIDYRIGLMELDIQLPLVEIAVPVVQTLGAILFFLALLFLLIQEDKGEDHAMNMIIAGAVLWVIGSILNSCQIYERADGHVQILQQSVQIPFLTGSFLFMVAAILNSREHEQAGMLRHGLVLLTRTWIWMGTFASFLLLIGGLANVVKVFKMLQMDRLRLEKLRGGAQERLMRIREGQTPFLTEERRKWPRAMEATTEPPEAPPVPTTPYKDVLVGNS >cds-PLY64147.1 pep primary_assembly:Lsat_Salinas_v7:1:1741757:1751031:1 gene:gene-LSAT_1X1000 transcript:rna-gnl|WGS:NBSK|LSAT_1X1000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNGIVQVTLQNPEGHITRIQYKGIDNLLEVQKNESSRGYWDVVWSPPGSTRTEGTLERYIMLRGSSGFYTYAIFEHVKGWPSFDLEATRVAFKLRRDKFHYMAMSNDDRQRDMPLPEDRFPERSKTLDYPEAVLLVDPLERKFKGQMSIEVDRWPYDFPASPDFQSARQRGTVMGRLLVQDSFINSHGYISANGAYIGLAPPGDVGSWQRETKYGLWNRYSELYPNQDLVYTIGESNYGKDFFFAHVLREADDGTYKRTTWTINFRLDHVNESGAYVLRLALASAHQSNLQVRINDLKNDPLFSTGFIGGDNAIARHGIHGLYWLFSIKIPGSHLYSHGLNSIYLTQASNKTHFQGVMYDYIRLEGPSSSIVNKIS >cds-PLY67081.1 pep primary_assembly:Lsat_Salinas_v7:5:282863089:282865379:1 gene:gene-LSAT_5X148181 transcript:rna-gnl|WGS:NBSK|LSAT_5X148181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVASCLLRLSNLFRSSFIYVSNVLRSTQVRVYACIPFIRYTPPKFESKKFIKLLEFLQVPSNCSTKGLAQNLHSSRFHKRRTGNAEKCVFKPGYLLNMEFHLSHPHFSLMRLEISTLSYLFLKVLSRSKHSLPFQVTSVVVQMERYELGKLLGQGNFAKVYHGRNLETGMSVAVKVINKDKVMKVGLIDQIKREISVMKLVKHPNVVQLYEVMATKTKIYFILEYLKGGELYDKVATGRLKEATARKYFQQLITAINFCHNQGVYHRDLKPENLLLDEDGNLKVSDFGLSALVDTKWEEDGLLHTSCGTPAYVAPEVIYQKGYDGEKADIWSCGVILFVLLSGFLPFQDSNLMVMYRKITRAEFKFPNWFPSEARKLVSKILDPNPKTRISIAKIMENSWFKKGFVSEGSFGETIKDLRYLGKPDNLNAFDIISLSPGFDLSGLFNDDAIDEKEVKFMSKISFVTIVLKLEEIAKISMLKVMKNDGGLLKMEGLKDGKNGVLDINFEIFEIAHDVHIIVAKRLGGNKFEFWEIVNEHIKPALVDI >cds-PLY98704.1 pep primary_assembly:Lsat_Salinas_v7:8:9087188:9088715:1 gene:gene-LSAT_8X7001 transcript:rna-gnl|WGS:NBSK|LSAT_8X7001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGQKIYPGRGIRFIRSDSQVFLFANSKCKRYFHNKLKPSKLTWTAMYRKQHKKDIAQEAVKKRRRATKKPYSRAIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVVSKQKTQSKGGSAPKGKGPKLGGGGGKR >cds-PLY78569.1 pep primary_assembly:Lsat_Salinas_v7:1:102475017:102476723:-1 gene:gene-LSAT_1X84300 transcript:rna-gnl|WGS:NBSK|LSAT_1X84300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRRLNQAAWNGDVDHLLKEIDKNPSMLHAVALEGSETPLHIACFAGHVNFASTVIKLRQDFSRELNQDGFTPLHIAAACGHTEIVKELLKVDIGLCLIKGKDRKIPLHLAVVKGKVEVVRELLLASVDSVECTTARLETSLQLAVKNNQFEVFQVLVHHLKQVNKEDLVNSKDVHGNTILHLSVSMKQYEVVDFLLNGQVTSKEKIELNSLNNRGLTPLDMLLMFQSEAGDREIEEILVKSGALKSENLQSQAYPQEERPNHPDTRHENPRSPARAMLDYFKYNNLKESPTIVRNTLLVVVILITTATYQPALSPPGGAWQDDSIPSAGNNTSSSTANITTSIKRHTAGTAIMGTENPIAYSIFLFANSMGFYMSVHMIYVLTDAFPLQLELKISLIAISATYVACMNAIAPNSYITFGFIGISIAMPFGIPFAITLYRNYLKKSRSTSHQRSEERI >cds-PLY84277.1 pep primary_assembly:Lsat_Salinas_v7:1:45235786:45238272:1 gene:gene-LSAT_1X39601 transcript:rna-gnl|WGS:NBSK|LSAT_1X39601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIASTVPSSSKSSINLLTYATPFKSSFLPSSFSIPPRFSPSTPRFQYLTITNVLKTADSPNLSSTASNLDSIFSNSKPTILVSEKLGDAGLDLLKSFANVDCSYNLSPDDLCSKISMCDALIVRSGTKVNRQVFEAAKGRLKVVGRAGVGIDNVDLQAATEFGCLVVNAPTANTIAAAEHAIALLSAMARNVAQADASMKAGKWQRNKYVGVSMVGKTLAIMGFGKVGSEVARRAKGLGMHVVAHDPYAPADRARAIGVELISFDQAISTADFISLHMPLTPTTSKVFNDETFSKMKKGVRIINVARGGVIDEDALLKALDSGVVAQAALDVFTEEPPKDSKLVHHENVTATPHLGASTKEAQEGVAVEIAEAVVGALQGELSATAVNAPMVAPEVLSELAPYVALAEKLGRLAVQLVAGGSGIKSVKVVYRSARDPDDLDTRLVRAMIVKGIIEPISDSIINLVNADFIAKQKGLRISEERVFANSSPGCPFDSIQVQISNVKSKFASALSDNDEISVEGRVKYGTPHLTRVGSFGVDVSLEGNLILCRQVDQPGMIGIVGGILGMNNVNVSFMSVGRTVRGKKAIMAIGVDEEPDKSTLKKIGDVPAVEEFVFLGL >cds-PLY96854.1 pep primary_assembly:Lsat_Salinas_v7:2:110221597:110222049:-1 gene:gene-LSAT_2X51920 transcript:rna-gnl|WGS:NBSK|LSAT_2X51920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYLTKREAKMLTVEPQKPFSTLLYKRENIVVDHGFGNFGIPDDTLHGQVPRRVRPRGNEPEREEPPLVPTNDEMPMDPYNIAMRRFDDNLAYGINYTNMGLDHLMQQMHVTQPAHFPPSYPYIPSWKEFWREQQGGAGGSGGGADEEED >cds-PLY87531.1 pep primary_assembly:Lsat_Salinas_v7:8:96064159:96065617:1 gene:gene-LSAT_8X67041 transcript:rna-gnl|WGS:NBSK|LSAT_8X67041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHIDERDNVPLNQSSQSDIDEIENLITASVQFGPSTVLPASPPSPTPPSIPVSTSPFIPSNLPPPPQKQNSVPSAPSAPPPPSNSIRHANSGLPQSSMSGFGPLPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFALLATGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDIGALICLMKDNVIVKLVVVCVTLAWSSWAAYPFMSTAVNPRRKALALYPVLLMYVSVGFLIIAIN >cds-PLY66305.1 pep primary_assembly:Lsat_Salinas_v7:5:289215846:289224209:1 gene:gene-LSAT_5X158001 transcript:rna-gnl|WGS:NBSK|LSAT_5X158001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamate synthase 1 [Source:Projected from Arabidopsis thaliana (AT5G04140) TAIR;Acc:AT5G04140] MSLQSVAHVNGYYLKPTSVFATKRDFLFLDFVGLGAKRSNRRLLGTGASALKSVGGFPKKRNWSCSIKSVLDFDRVDHVDAQQPSDTKRKVANLEEILAEKGECGVGFIANLDNKGSHQIVEDALTALGCMEHRGGCGADNDSGDGSGLMTSIPWEFFNDWAEKQGISPFDQLHTGVGMVFLPTDETLMEQAKSIIVNIFNQEGLEVLAWRSVPINAPIVGFYARETMPNIQQVFVKINKEDDIDDIERELYICRKLIERAVSSETWGSELYFCSLSNRTIVYKGMLRSEVLGKFYYDLQSDIYKSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMQSRENSLKSPVWRGRENEIRPFGNPKASDSANLDSAAELFIRSGRNPEEAMMILVPEAYKNHPTLSIKYPEVLDFYNYYKGQMEAWDGPALLLFSDGKTVGACLDRNGLRPARYWRTVDNVVYVASEVGVLPIDDSKVTMKGRLGPGMMITVDLVNGQVYENTEVKKRVALSNPYGKWISENMRKLESASYLSAPTMENETTLRRQQAYGYSSEDVQMVIETMASEGKEPTFCMGDDIPLAVLSQKSHMLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNLGKRGNILEVGPENASQVTLSNPVLNEGELELLFKDPYLKAQIIPTFFDIRKGLDGSLEKTLNKLCEAADEAVRNGCQLLVLSDRSDELEATRPAIPILLAVGAVHQHLIQNGLRMSASIVADTAQCFSTHHFACLIGYGASAVCPYLALETCRQWRLSKKTLNLMRNGKMQMVTIEKAQNNFRKAVNSGLMKILSKMGISLLSSYCGAQIFEIYGLGQDVVDFAFSGSVSKIGGLTFDELARESLSFWVKAFSEDTAKRLENFGFIQMRPGGEYHGNNPEMSKLLHKAVREKRESAYSVYQQHLANRPVNVIRDLFEFKSERSPIPVGKVESAASIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWTPLSDVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNADQIEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIFDLHQINPKAKVSVKLVAEAGIGTVASGVAKGNADVIQISGHDGGTGASPISSIKHAGGPWELGLTETHQTLISNGLRERVILRVDGGFKSGVDVLMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLYVAEEVRSTLAQLGYEKLDDIIGHTELLRPRDISLVKTQHLDLSYMLSNVGFPKWSSTTIRKQEVHSNGPVLDDIILSDIEISDAIENEKVVNKTFKIYNVDRAVCGRLAGAVAKKYGDTGFAGQLNITFEGSAGQSFGCFLTPGMNILLVGEANDYVGKGMAGGELVVKPVENTGFVPEEAAIVGNTCLYGATGGQLFVRGKTGERFAVRNSLAQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDDDDTLIPKINKEIVKIQRVVAPVGQMQLKSLIEAHVEKTGSTKGATILKEWDKYLPLFWQLVPPSEEDTPEACAEYEQTATGQVTSVQSA >cds-PLY76010.1 pep primary_assembly:Lsat_Salinas_v7:5:319387600:319388055:-1 gene:gene-LSAT_5X175041 transcript:rna-gnl|WGS:NBSK|LSAT_5X175041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRLNCPKCQLTTCALCARNYLGNIVNVKGKQSNKPKEDERNFNSNEVKLLKELLKEKTEQVHQMIRDKAKEYYENKAAMEKKEELWQTKEGLLLRDPTDALKIIYQLRTEKERLEEQKNEEIRKLKAQLQKRKEVETQFSSEEFLPPGSP >cds-PLY86758.1 pep primary_assembly:Lsat_Salinas_v7:4:296748620:296749059:-1 gene:gene-LSAT_4X147641 transcript:rna-gnl|WGS:NBSK|LSAT_4X147641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSREGFMSPQTETKESVGFKAGVKDYKLTYSFRVTPQPGVPPEEVGAAVTAESSTSTWTTVWTDGLTSLDRYKGQCYGIEPVPVEENQYIAYVAYPLDLFEEGNVFGFKALRALPIGLIVVRL >cds-PLY71187.1 pep primary_assembly:Lsat_Salinas_v7:2:132388816:132392549:1 gene:gene-LSAT_2X62600 transcript:rna-gnl|WGS:NBSK|LSAT_2X62600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQFEVLHLLIILISLTTLTKAQNHIQTKCNNVCGSVTIDFPFGIGRNCALNEWFKIDCNSSTPYLPALNNVEVLEVTSNRQTVVVNVSTIPDCKNPVQNSSLDLRRTPFRYSRSDNLFVVEGCGNADIITKNGTIVGGCSTTCRTTTDTVSDLNNCFGVGCCQTTIAQDLESFTLNRTGLERQDGDGTSCGSAFLARKFFITGIYSSQSMVGEHTFVPVSLSWWNGNRTPIGCNQTCGDVSIPYPLGIGRRCSGNEWFNVHCNSSKPYLSSFNNVEVSSVNMERLIVIVKIPMKVSDCENPLQNSSIDLNSSPFHLSRFDNLFVVEGCGNAAIMENGSIVSGCSTTCGNGTVIDRNKCFGVGCCQTTIPHTLTSFTLNLRGLKRHAGNGSCGSAFLVDKNSYLEGRFSGESTSDDQIFVPLALSWRYVYEVDPDEQKCRACEAKGGFCYHEGGLGSNMSCRYQSKISLTIILGVSISMGLLLIIVMSYALYKIIKKTKAKRRKKRFFKRNGGILLKQQQATDIRLVDKTILFTSNELNKATDNFNENRILGRGAQGTVYKGMLSDGRIVAIKKSMVVDESQLEQFINEVVILSQVSHRNVVKLLGCCLETEVPLLVSEFISNGTLHDLIHDEIGEFLISLNMRLQIAIEVARALSYLHSATSFPIYHRDIKTTNILLDEKYRAKVSDFGTSRFVSVDQTHLTTLVKGTIGYLDPEYFQSSQFTEKSDVYSFGVVLLELLTREKPISLTRFGENRNLAKHFMLAMEEGRVMSIFDEMLVKEGSSIELMAVANLAMRCLNFNGRNRPTMKEVATELEGIILSHVPSTIEPTFRHVKNYEEVELTYGESTSTSITFYHNHCQ >cds-PLY71482.1 pep primary_assembly:Lsat_Salinas_v7:7:190936937:190939732:-1 gene:gene-LSAT_7X115600 transcript:rna-gnl|WGS:NBSK|LSAT_7X115600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAAWIGPTWTNFRKLGFVMPGFILDLFPIVVPWMLLPYPFILKAHHNRTHQGDSYVALEGTDESLVEGGHHGSHDHKEEFEFSEDEYAQWIVSSQRSLLEVMEAFPSAKPPLGVFFASVAPRLQPRYYSISSSPKIHVTCALVYEKTPSGRVHKGVCSTWMKSSDFVSKVVDIAVKELIVVATNREVLGATKGAGVSDERQGSDSKSVVEITNGSSEDTKEQIL >cds-PLY84757.1 pep primary_assembly:Lsat_Salinas_v7:5:229321232:229321474:1 gene:gene-LSAT_5X109040 transcript:rna-gnl|WGS:NBSK|LSAT_5X109040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVPYTVALPLVFQTTEEWLNNTLVLHPIQVALRAALLELDEGMEPIVFSRRDPRTGKSMPFTSGLSSFAPEQSDGQN >cds-PLY67294.1 pep primary_assembly:Lsat_Salinas_v7:3:256903116:256903633:1 gene:gene-LSAT_3X140440 transcript:rna-gnl|WGS:NBSK|LSAT_3X140440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADTVAPRLYACYNCHSHVALHDDIVSKTFQARHGRAFLFSHVMNVVVGEKEDRHLITGLHRVADVSCSDCGEVLGWMYDKAYQESQKYKEGKTVLEKFKIVKHNWYY >cds-PLY73133.1 pep primary_assembly:Lsat_Salinas_v7:2:190259100:190263458:-1 gene:gene-LSAT_2X111761 transcript:rna-gnl|WGS:NBSK|LSAT_2X111761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFNLRCGPLDLSLSSGNEIVIEERSPKELLNTRGGMGEQVAASEICVWNPAFDVTPANLISGIITEKSVLVIGGIYVAFSHLGEIRTLGMLHSRFIWVTSAFCEHLVPMQKEEPKRYHGDYHTRCYE >cds-PLY63261.1 pep primary_assembly:Lsat_Salinas_v7:4:200437344:200440627:-1 gene:gene-LSAT_4X112060 transcript:rna-gnl|WGS:NBSK|LSAT_4X112060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEESEKRFHAVMDKLFFAPPPNSKPTRTPSSTSATAVGFFRGKKRSHMMTTPLAVVYSKSIGGTIEELRKTSGETLQSPMCRPWDRDDLLNRLATFKSMTWFAKPEVVSAINCARRGWVNVDMDIIACESCGARLFFSTPSSWAQQQVENAASVFSLKLNNGHKLLCPWVDNACDEKLAQFPPSSSLDLVDNYKKRCASLLQLLELPVISSTAINYMWSPLLENFLKNSPTLENVYGSDNVSTTGEEVFPVLYYQAQKVISLCGWEPRLLPYIVDCKDVKKDESIKSGHIHNNTQNSSITVYSSNLDPNPNNDPSTIEQYDHTSIVLVCRLCGAKVGLWAFRTTPQPPEFFRLIGQELNEDSNSENKQLNVEQQQQTVNRSVLNLTIAGGPPPADQNFRPTISLPVVGQNLRTRFSSAFESAADVSVIKSLNSTSKDIINQVSGDVHSQQEGGSLGTNDDDTERLSCLGKDSTSSQPSPAATTTTTKAMEFDPIKQHRHFCPWITSTGKSAPGWKQTLSALQRQKEFLSPPSPTTQQPSSSSSLIQVSDPVGSVKKLLTPPSAKRHKFTHGST >cds-PLY69029.1 pep primary_assembly:Lsat_Salinas_v7:9:142497882:142498607:1 gene:gene-LSAT_9X91640 transcript:rna-gnl|WGS:NBSK|LSAT_9X91640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAISSLPNLPPVIGSKSFSRSNRRSNNLYHRSRHQFSNRRNRVSAVVEVSTITSDPFTPQITWQIVVGTIAGVTPFVVAGIEFSKRIVAQKKCFECGGSGLVLIEKEYIRCPNCGNQ >cds-PLY90698.1 pep primary_assembly:Lsat_Salinas_v7:8:142025347:142028267:1 gene:gene-LSAT_8X97241 transcript:rna-gnl|WGS:NBSK|LSAT_8X97241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDLYIHGGISHGQSIGYNNGMGIVSPFMPQALLDDIFSRLLALTSFISNRFIQFFEDLIYRDVVGFSEDFVSVSPSQDPGSSISTSCSSSCSSTSKNLVTVLDVHNQETSSLEDCSPSGFEIIERKFFYGGIHFILKGLELTKFFVKSALVLALAPYRISSQCIKRARIRVRSIISRVQITLHGSSTDIGWIQRDPNMDPVEDGSARFLELLNAIRNGEHNLPDSYVYLLIPGLFSNHGPLYFVSTKRFFSKMGLACHIAKIHSEASVEYNSWVLKQYIEELYWGSGKRVMLLGHSKGGVDAAAALSIYWCDLKDKVAGLALVQSPYGGTPIASDIMREGQIADKETRRIMELIVCRIIKGDIKSLEDLTYEKRKQFLSKHKLPKGIPLISFRSEANVGPGVISMMSHIAHVELPKLPFMGPGPDESEYEVGPMVKHRVPVVVPVSAAMAVSALHLQLRYGEKSDGLVTCRDAEVPGSVVVRPDQKLDHAWMVYSSWSKNQTGADACEMCEALLTMLVEIGKRKNSGN >cds-PLY98457.1 pep primary_assembly:Lsat_Salinas_v7:1:10035691:10039270:1 gene:gene-LSAT_1X6701 transcript:rna-gnl|WGS:NBSK|LSAT_1X6701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTGNLLGFGLAVSSSAFIGSSFIIKKKGLQRAGASGARAGSGGYGYLLEPLWWIGMFTMVVGEFANFVAYIYAPAVLVTPLGALSIIVSAVLAHFLLNEKLRKVGVLGCVLCIMGSTVIVLHAPAEHAISSVEEIWDLATQPTFLLYTASAIAVVLVLVLYCEPRYGQTNMMVYIGVCSINGSITVMSVKAIGIAIKLTLEGSSQVASYQTWIFVMVAVTCIITQLNYLNKALDTFNTAVVSPIYYAMFTSFTILASAIMFKDWSGQSASTIISVLCGFMTVLSGTMILHTTREPDQPPVSDMYSSLSPQISWIVHANGEIWKHKDNEESCPPEVVAIIQPDHFK >cds-PLY62642.1 pep primary_assembly:Lsat_Salinas_v7:3:37523157:37524881:1 gene:gene-LSAT_3X27860 transcript:rna-gnl|WGS:NBSK|LSAT_3X27860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISEYSCPELDRHQKVLENDIYIEVGLISVIKPLPDTMTIPKHWFQFVTKSHLMELGEKPPYYPGVLPKIKDCRKQNDEPYVFLFLTDSSGNELPINLWNECIIVPTKFNRDLLIPLHAITVLAVTNLKASISAANNNTIDRKTLPPFIAQQKGRSKNMSVQILKASDRDNLRFIIVDIESLNSILQKNVPTTPTHVPTTRSTMQQNTHESTMSVTRSTRTLLYNTTSIHINQIITYHTFMFSNTSSMLDTDEPTPSMDTKRSRKK >cds-PLY94815.1 pep primary_assembly:Lsat_Salinas_v7:2:180724460:180730039:1 gene:gene-LSAT_2X103740 transcript:rna-gnl|WGS:NBSK|LSAT_2X103740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIPCQSFSSLLPAIISSRTPPAQFHFRIYNKKTRPTVFSTQLRHGFPLITKRRTRTHHCYCSNSTMPLKDPASSPPQDSLSYSRAYWVSTSIIAWNVDAVGGSCFLYSSRSAALSVTDNGIEGSDFSIKLERHDQRLPENVRDKFPHIQDYNAFKVPISLDPKSILKDQLAVAFFDSSGQCISVTGLQLPGVLDDIFSYLGPLGAIFLNEVVSLHLWAPTAQDVRAFIYNGPSGGESLEIVNLKESNGVWSVNGPRSWEGCYYVYEVSVYHPSTLKIEKCIANDPYARGLSGDGKRTFLVNLDSDALKPQKWDDLADKKPNIVDFSDISIYELHVRDFSANDPTVDPEARGGYLAFSSQDSAGVLHLKKLSDAGLTHIHLLPTFHFGDVDDEKEKWKSVDMEMLKSLPPDSPEQQEYITAIQNEDGYNWGYNPVLWGVPKGSYATNPNGSCRIIEFRKMVQALNFIGLRVVLDVVYNHLHGNGPVGDNSVLDKIVPGYYLRRNADGFIENSTCMNNTASEHFMVDRLIIDDLLNWAVNYKIDGFRFDLMGHMMKSTMTRAKSVLKNLSRENGGVDGPRIFIYGEGWDFGEVANNGRGVNASQFNLAGTGIGSFNDRIRDALLGGSPFGHPLQQGFLTGLSLQPNGLDHGTESNAARALDVSKDHIQIGMAANLKEFVLTNCDGQEVKGSEILTYGGDPVAYTLQPVETINYVSAHDNETLFDIISLKAPMEISIDERCRINHLATSIIALSQGVPFFHCGDEILRSKSLDRDSYNSGDWFNRLDFSYNSNNWGVGLPPKEKNEKSWPIIKPRLASPSFKPHKNHILSALENFQNLLRIRYSSILFRLRTANAIQERVRFHNTGGSQVPGVIVMTIEDGHEGVPGLTQLDPEYAYIVVVINACPTEISFTSPVFRGKLLQLHSVQMMSTDNLVKNSNYDPSAGCFRVPSRTTAVFVEPRNIQE >cds-PLY84932.1 pep primary_assembly:Lsat_Salinas_v7:6:15222937:15224562:1 gene:gene-LSAT_6X11460 transcript:rna-gnl|WGS:NBSK|LSAT_6X11460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERFTTHLLHFIFLLFSGNLLVLVSSIGINYGQIANNLPSPEKVVPLVKSIGATRVKLYDADPDVLKAFANTGVEFIVGLGNEYLTKMQDPTEAQSWVKSNVQAYLPATKITSIAVGNEVLTFNDTSLSGCLLPAMQSIHTALINLKLDRQVTVTTAHSLAILETSYPPSAGAFREDLKGCLSPILDFLSKTCSPFLINAYPFFAYKANPKQISLDFVLFQPNDGIVDSGNNLHYDNMLFAQIDAVYAALGSLGYKKLPVQISETGWPSKGDDDEVGATPENAKKYNGNLLKVVSQKKGTPAMPEYDLNIFVFAMFNENLKPGPTSERNYGLFKPDGTPAYGLGFSGISTGGGNSGHNNSGTNTPTPPSYILPPENPNNGYMSISSAERLIWRRSIGILSGVGLILISISISLP >cds-PLY95017.1 pep primary_assembly:Lsat_Salinas_v7:5:227698282:227698869:1 gene:gene-LSAT_5X107960 transcript:rna-gnl|WGS:NBSK|LSAT_5X107960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVAIKIWDPQKAAKQLAVEALDQESKDDISCIDLQRKNLHSSLPSFDGKIWRNNRCWLAVVFLVIRVNRDIGLIEVHRDHIFNYLFIV >cds-PLY96638.1 pep primary_assembly:Lsat_Salinas_v7:7:45121645:45123784:1 gene:gene-LSAT_7X33421 transcript:rna-gnl|WGS:NBSK|LSAT_7X33421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDGQQNEHDKGLLSNLAGYATGAAGHYPPQQGGHYPPQQGGGYPPQGAYPPAGGGYPPAAYPPAGGGYPPQGYPPAGGAYPPQQGYPPAGYPGQSGSHSSHSSGGHGPGMGTVLAGGAAAAAAAYGVHHLTSQSHGGGHGGHSMPQGAHNIMGSMGHMGGGQYKQGGKHGKHSGGKFKQGKHGKGGKHGKFGKHGKGGKKWK >cds-PLY63517.1 pep primary_assembly:Lsat_Salinas_v7:9:25096825:25099315:-1 gene:gene-LSAT_9X22700 transcript:rna-gnl|WGS:NBSK|LSAT_9X22700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLGVHQKTLIDRAYGGERPSLPPLRKYLIHVTYQASTYVVGVAGWATRLKIISESVGIEPFSANVDVEGNMVNLGLWDTADLVLTPDFVWKDRWHGAAQRWWILVEDSENDHIYPSELFTLTKRATKGEPHKLSFTVPIFEPHHPQYYICVVSDSWLHSEAFYTISFKYLALPEENGFSLEEDLDAPPGQRYKMKVVPTSKNITFGVAASEARYQGTFSYFNFVLILLQNICSIMISTYFLCIGSLLKDQKE >cds-PLY88595.1 pep primary_assembly:Lsat_Salinas_v7:5:131963079:131964175:-1 gene:gene-LSAT_5X56080 transcript:rna-gnl|WGS:NBSK|LSAT_5X56080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKTSLVTDYRLIGDKSSQSLRNLSTTPHIVGYVYMQLSVVSALTIRIYCFFFWWTYNDTLRAKYNTKMAGYECSYC >cds-PLY95607.1 pep primary_assembly:Lsat_Salinas_v7:6:138743978:138745141:1 gene:gene-LSAT_6X83780 transcript:rna-gnl|WGS:NBSK|LSAT_6X83780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHSASEGLKKIKKPIRSSEGTGGAYFMQHPSGKKHVAIFKPIDEEPMAVNNPQGLPPSTNGEGLKRGTKVGEGALREVAAYILDHPLTGPRSTKMEMGFSGVPPTIMVKCLNEEFNHPQGYDGGEKNIKIGSLQMFVKNCGSCEDLGPRDFPVEEVHKITVFDLRTANADRHAGNILMNRESDRIVLIPIDHGYCLPENFEDCTFDWLYWPQAREPYSQKSLDYINSLDAEQDIALLSSYGWDLSLECARTFRISTMLLKKGAKKGLSPFTIGKIMCRETLNKESMIEKIVEKAHDSMITGMSEVVFLETVSKIMDSELENVCV >cds-PLY96696.1 pep primary_assembly:Lsat_Salinas_v7:6:76336051:76337492:-1 gene:gene-LSAT_6X56540 transcript:rna-gnl|WGS:NBSK|LSAT_6X56540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKILANLIVMGSGILIRGMVQAYRQALQNASKSGVAQETLQNAVRRGSKAMTEQEARQILGVTEQSSWEEIAQKYDNLFERNAKNGSFYLQSKVHRAKECLETVYQPKDHPGDPVG >cds-PLY98314.1 pep primary_assembly:Lsat_Salinas_v7:7:166980765:166983068:-1 gene:gene-LSAT_7X99101 transcript:rna-gnl|WGS:NBSK|LSAT_7X99101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTGKTNTLAEKEKSECVHEQRTKVERTKGDDIGKENSEDRNERGTEAKNTKDGGEEKQREIEKGNAEDRVLYLSGKKKSENQNKKGEKADKTKGNKGDTHPSFSLGLSQDSDQTSSKKSNESSPKKSLTKKQIKDDHQKVVIRLAKKNVLNPYPISVSIPTEVGPSKHDLDQPREKKLADAFKSPFKCRITDTKPKLTHQESIVCEWLFNLQGNTSDVVVQTKYGQTAYLTSTLSDERKYEKFKENFHDSTNGYKKILNIKDIDMKPSIEILDNSAVEGDYEGKYGVIMKPLKNLFVRYFKEINHPRENAISKESIKPQRLEMSWRTVKNKVDCGVFAMRHMETYMGQPLSKWKPGLHKESAVQQTTLEKLRQRYAHIMLTSEINMLKAKVLDLAEKYQKVEFKVRTDHAYKAMQTIQKRLKEY >cds-PLY90367.1 pep primary_assembly:Lsat_Salinas_v7:2:198718770:198720230:1 gene:gene-LSAT_2X119880 transcript:rna-gnl|WGS:NBSK|LSAT_2X119880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDQIISPPPHIIVFPSPLQRPINPIFDLAELLCLSGLDVTFLLIPYTHSLLLRHINIESRLNPYPNFRLHTISDGLPPDHSESNPTDLLLSLETLTKPLFRYLLTSGKLICDERGPVSCIISDGIMSFVYDVANEIQVPVISALTLSPSCLLHLSNLPNLTGAARVSDPTIGENHADSIIKHDLFHPFPKHLINQIQQLPQSHGLILNTFKALDASILSQIRSICPNLYAIGPIYKHLGFRQEAKRMTPLLNYSGVQNNDCLLWLNSQQPKSVLYVNIDNHSQLTRVQTFELWHGLVNSEKGFLWVRRPDSISVEGRIPAKLIKGTKEKGFIVDSASNNQVLAHKAIGGFLTDGDWDSTLQGIVEGVPMIYWPTSWDQRVNSKFMSKTWKLGMHMEERCDKNIIEKTIRDVMGSKGHELIENVHKMKKLTRKSVNIGGSSYAMLDNLVNNIISMHL >cds-PLY82186.1 pep primary_assembly:Lsat_Salinas_v7:1:14633786:14634410:-1 gene:gene-LSAT_1X13141 transcript:rna-gnl|WGS:NBSK|LSAT_1X13141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRSRQSAASVITDEQINDLVSKLHQLLPEIRNRCSDKVSATRVLQDTCSYIRSLHREVDDLSERLSELLDNTDSTQASIIRSLLMQ >cds-PLY93731.1 pep primary_assembly:Lsat_Salinas_v7:3:169739686:169747485:-1 gene:gene-LSAT_3X104021 transcript:rna-gnl|WGS:NBSK|LSAT_3X104021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRNGHIHSPTIHLKEHVDSTTKTNDQKTEGSISSCHHKETKPGRVANSEAPISVIGRKVMIVVDPSIEAKNALQWALTHTIQSHDIVVLLYYKKTTSKQGNESTKVKATKFPGFLYAMKHTCQMKCSDVQVEIFLLEGKDKGTTIVEEAKKQEATMLVLGQKKQSMTWRLLLTWAGKPMNGGGGVVDYCLQNATCMAVAVRRKNKRVGGYLITTKRQKNFWLLA >cds-PLY95284.1 pep primary_assembly:Lsat_Salinas_v7:8:112984955:112985984:1 gene:gene-LSAT_8X74580 transcript:rna-gnl|WGS:NBSK|LSAT_8X74580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALELITGSGPSGSETGEGSGRPPAKACDNNNRYPENNLQGEKEEVAKNNEHEGGFFDCNICLDMASDPVVTCCGHLFCWPCLYRWLHIHEKECPICKGEMSIKSVTPIYGRGNPTRVVVEEDSGLKIPHRPQAKRVESWRQTISRNAFTMPMEEMIRRLGSRFDLTRDLVPGQPENPREVTSNSLLNRYLISRGFRRGENLEPDLSPSPEPELNRLGQPEPARSMEDRDSVSSIAAVIQSESLTVDTAAEIDSRVVLSTSSSRRRSESSSRVSDVDSGDSRAPRRRRLI >cds-PLY84668.1 pep primary_assembly:Lsat_Salinas_v7:2:150801232:150808265:-1 gene:gene-LSAT_2X78700 transcript:rna-gnl|WGS:NBSK|LSAT_2X78700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTAKKEENEGKREDLWGLARSKEKATKLRWPSVGADASCKAAVGRLGWWQSAFPTAFLSIFEFELADVIPVVKTSIGGTKVVGRLCIGEY >cds-PLY80835.1 pep primary_assembly:Lsat_Salinas_v7:4:283888936:283892299:-1 gene:gene-LSAT_4X144520 transcript:rna-gnl|WGS:NBSK|LSAT_4X144520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTFYFFSIIFLSVWTNNFIQHSNACVFTLKMHHRFSEPVKRWSEGINKLTPGDFPEKGSVEYYSQLANHDKIFRGRRLSDSDEERLTFSDGNSSFRISSLGFLHYTTVSLGTPGQKFMVALDTGSDLFWVPCDCTRCASIDNTAYSSDFKLSIYSPTRSSTSKKLTCNHSMCTHRHDCEESEAFNTCPYSVSYVSSQTSTSGILMEDLLHLETEDSEGEIIDAFVTFGCGQVQSGSFLDIAAPNGLFGLGLEKISVPSILSREGYTSDSFSMCFGHDGTGRITFGDKGNPEQDVTPFNLDSSHPTYNITVTEVRVGSSLIDSSFSALFDSGTSFTYLIDPHYTRLTQRFHVQTKDSRHPTDQRIPFEYCYDMSPYANTSLIPSISLTMKGEGQFFIYDPVIVISTNREVVYCLAVIKSTELNIIGQNFMTGYRIVFDREELILGWRKSDCYDIENSTDSSSKPLNPKDVPPAVAAGLEGHREGSVSTGRSSGGYCKRFCYWIQTWICLCLLVVLDLLL >cds-PLY79234.1 pep primary_assembly:Lsat_Salinas_v7:9:182941484:182941720:-1 gene:gene-LSAT_9X111760 transcript:rna-gnl|WGS:NBSK|LSAT_9X111760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPDDHLAWMKIADPEEIQEVSMHLVRDPYFPQDAPTNHPNKEDPYENEEPNDESILQDAQEIDDDGPIEENEDLV >cds-PLY80187.1 pep primary_assembly:Lsat_Salinas_v7:8:189896728:189900177:-1 gene:gene-LSAT_8X123201 transcript:rna-gnl|WGS:NBSK|LSAT_8X123201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVSEVGETARKDYVDPPPAPIIDMAELKLWSFYRALIAEFVATLLFLYITIATVIGYKNQTAPCGGVGLLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAIAYMVAQCLGAICGVGLVKAFMKSPFNSLGGGANSVAPGYNKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNEKVWDDHWIFWVGPFVGAMAAAAYHQYILRAAAIKALGSFRSNPTN >cds-PLY97758.1 pep primary_assembly:Lsat_Salinas_v7:4:375237305:375240845:1 gene:gene-LSAT_4X185401 transcript:rna-gnl|WGS:NBSK|LSAT_4X185401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MASPTTDEKQSPAKRVAVVGAGVSGLAAAYKLKVHGLNVTVFEADGRAGGKLRSISQDGLIWDEGANTMTESEADVSSLLDDLGLRDKQQFPLSQHKRYIVRNGQPVLIPSNPIALIQSSFLSTQSKFQILLEPMLWKKNTSDPQESVAGFFQRHFGKEVVEYLIDPVVAGTSAADPESLSMRHAFPELWELEKKFGSIISGAVQSMFTSRSGKKSPPSNSKRRRRRGSFSFLGGMQTLTNALCKEVGEGELNLRSKVLEMSYSCDEGSRVGNWSIYCGPDEENKQQSFDAVIMTAPLGNVKEMKITKRGNPFLLNFIPEVSYMPVSVVISTFKKENVKRAVEGFGVLVPGKEQENGLKTLGTLFCSMMFPDRAPQDLYLYTTFVGGSRNPQMAKASRDELKQIVTSDLRQLLGAEGEPNFLTHYYWSKAFPLYGHNYGLVIDAIQKMEKELPGYFYAGNHKGGISVGKAISSGCKAAESVISYLDSYSVDS >cds-PLY87621.1 pep primary_assembly:Lsat_Salinas_v7:8:112656287:112657353:1 gene:gene-LSAT_8X78860 transcript:rna-gnl|WGS:NBSK|LSAT_8X78860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHNNGSPEIEGPIPKVQKIDDRNGNSDQPLFRVKKLSEKAILPARGSSLAAGYDLSSAIDTKVPARGKALVPTDLSIAVPEGTYARIAPRSGLTWKHSIDVGAGVIDADYRGPVGVILFNHSDSDFEVKTGDRIAQLIIEKIITPEVVEVDDLDSTVRGAGGFGSTGV >cds-PLY65343.1 pep primary_assembly:Lsat_Salinas_v7:6:41490491:41494101:1 gene:gene-LSAT_6X29641 transcript:rna-gnl|WGS:NBSK|LSAT_6X29641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable DNA helicase MCM8 [Source:Projected from Arabidopsis thaliana (AT3G09660) UniProtKB/Swiss-Prot;Acc:Q9SF37] MLEEFYDALERNPKEALLCMSAAVHTTLHSKSNDEELDEHAKVNIRLHNHPDSMIALKNLKAAYIERLVSVRGTVVKVSTVKPLVIQMSFTCSKCGNTIARDFPDGKFSPPSSCGTHGCKSRIFNPIRSSAIPIDFQKIRIQELLKSEHHEEGRVPRTVECELVEDLVDMCIPGDVVTVTGIIRVINNYMDIGGGKSKGRNQGLYYLYLEGVSVKNSKSQSEETESVAKATDLLDLYSFSQRDLEFVSGITLALFGGVRKNSMDQNKVPVRGDIHVIIVGDPGLGKSQLLQAAASVSPRGIYVCGNATTNAGLTVAVVKDSMTGDYAFEAGAMVLADRGLCCIDEFDKMTAEHQALLEAMEQQCVSVAKAGLVASLSAQTTVLAAANPVGGHYNRAKTVNENLKINSALLSRFDLVFILLDKPDELHDKRVSEHIMSLHVANGDHSQTMNKLTSVSSSQSIGETSGSLVSRLRLDSRKDIDFVPLPSPLLRKYIAYARTYVFPRMSKPAAKILQQFYLKLRDRNTSADGTPITARQLESLVRLAQARARVELREEITVEDALDVVEIMKESLFDKYVDEHGVVDFGRSGGMSCQKEAKRLLSALNKQSEKEQKDCFSEKEMFNLAKKIGISEENFDRLVEIINTAGFVTKKGPKTYQVVSSSYSRSQLSR >cds-PLY72421.1 pep primary_assembly:Lsat_Salinas_v7:3:190690164:190691133:-1 gene:gene-LSAT_3X113161 transcript:rna-gnl|WGS:NBSK|LSAT_3X113161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDGYPDAFDRVVHITQGDISKDIYAGCKGMRCWAESDCSVCEQVLSMDIYKLGQHFDLFRINSFYFTIVGFYFCTMLPMITMYIFFLLKNVFD >cds-PLY73599.1 pep primary_assembly:Lsat_Salinas_v7:6:128162278:128166005:-1 gene:gene-LSAT_6X76141 transcript:rna-gnl|WGS:NBSK|LSAT_6X76141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METANIRDVLTSFSPSLDFLAISSGDGRIKIWDTIKGHVQTDFANIVSTDETDFFAKPEGGHLSVDYTCMKWLSLEKKKKRKLRNSLLILGTGGGDVLALDVSAGQLKWRVSDCHPGGVTAVSFPTNGSCVYTAGVDGMICELDSITGNLLAKFKASTKAISSMSVSPDGKVLATAAAQLKIFSCSDRKKMQKFSGHPGAVRCMTFSDEGKYVISSASGERYIAIWEVDGSKKKSSCCVLAMDHPAVFIDTKSIGVEGLSVLSISEMGVCYLWNGINMEELRNSIPSKISMEDNLSFKGSVPTIFSAKLQSFSKPATGNLFLAHGLIIKPSFEKITLQCGSDVMLKSSLDGILIPLTQSQKSKKGVENKTQITALDRANTEHALLPVLNISNVDDGDSRDMTSSKDNMEMDQVTMCLEDKLRTEGILSSDNDLTSNKIQLSKLLKGVNLEDNMPQKQMIAAVSSMTPDDAYTLLKVLLAMWQSRSIDGQCILPWVCCLLINYSDYIKSQEPTSQLLDSLYKLTKSKGEVIQSLLQLSGRLQLFTAQINKAADKSQILKQVEESEDEDDEEEEELLYDEEDESSSDGDNNN >cds-PLY80348.1 pep primary_assembly:Lsat_Salinas_v7:7:155492129:155492828:-1 gene:gene-LSAT_7X92201 transcript:rna-gnl|WGS:NBSK|LSAT_7X92201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRRIRNRSSTADGYLRYLKPGALAQLRDSKIIAKSHHRSSASQIYLHRVSSLSPLSSPSRSPNAAGVGQQQQEEGTGTVAAADGGSPCFSVRFYGPRCPQRKKLMAARSMFFLDPNPNTPTSDGPEPVIDAFPNDFLVAH >cds-PLY81029.1 pep primary_assembly:Lsat_Salinas_v7:9:175170263:175170454:1 gene:gene-LSAT_9X107780 transcript:rna-gnl|WGS:NBSK|LSAT_9X107780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDARFNDGRFGGARWQQLMVSELNSGVAASSSTLDLPIRRDSHRNSLSADESGSGEGVSVVM >cds-PLY88891.1 pep primary_assembly:Lsat_Salinas_v7:3:223226868:223228930:1 gene:gene-LSAT_3X129181 transcript:rna-gnl|WGS:NBSK|LSAT_3X129181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVLRPPSTMSSLILPKLHSPNLKFHKPTNHKFTFPHLLTKHRTIFCTNTNANATPWDPPPVTFASQDEFLPGPTNLFETVDSSQTKTTEPIKTNTKNSYIRWPMWIVGPTILLATGIIPTLWLPISSIFLGPNIATLLALTGLDCIFNLGATLFLLMADACSRPTGQDADPGLSRAPLGYRFWNMVATVSGFVVPLSVFIFSQKGILEPQIPSISFAIVLGPYLLLLAVQMLTEMLTWHWESPVWLVTPIVYEGYRVLQLMRGLKLGGEIGAPGWTVDMVRVLVCWWVLVLGVQIMRVAWYAGFTAHLRKDESSKGIDVDAESSS >cds-PLY77351.1 pep primary_assembly:Lsat_Salinas_v7:2:96701727:96702626:1 gene:gene-LSAT_2X42320 transcript:rna-gnl|WGS:NBSK|LSAT_2X42320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHELQNESIVDYDLLLYAGSFLLPLFLFHVVVAKGRFSLPAPSVPHVRYWEPCHAVVATPLLIGFELLLCIYLENSHGASLAVVVDAVRKGAAA >cds-PLY78558.1 pep primary_assembly:Lsat_Salinas_v7:1:105506710:105508297:-1 gene:gene-LSAT_0X4920 transcript:rna-gnl|WGS:NBSK|LSAT_0X4920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASCVSSSASAFTLQSDFLRNRSPKPVSLSWSSSFPQFNLSLNSVSSNRNLKNKGFVVEAAWTRRSRSELDKKPNKKSWKQRTDMYMRPFQLNVFFSKRFVHAKVMHRGTSKVISVATTNSKDLRNTLPSLIDNEACRVIGRLIADRSKEADVFAITFEAKKNERIEGRLAIVLDTIKENGIIFV >cds-PLY69333.1 pep primary_assembly:Lsat_Salinas_v7:7:80282407:80284517:-1 gene:gene-LSAT_7X57041 transcript:rna-gnl|WGS:NBSK|LSAT_7X57041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQPKVKLRVKVKTVSKKREVSDEDDSDFQSRPGSSKKPKRETKILKKDKKKVVVIKEFPSLKNRCSPGSLLGVIQGLSYEQKDCVRAIGFGSLLGMKMIDVPLKIVYYILDHFNFESLKVEFDNCEVSVDSKYVQEMLGLPSGGSLLSNVDYISENNEESCIFEWKKRYENIDKLRLKQLKNELVRTSVADDNFRIKILVLFINTFCESTSMGKCNMNPLYLIRRDTDLSSIDRCDYIVDCLVRTKKVYNPEKESSFFYGPAAYLMLLYVDTFKFDHLQVTRKRPIIFYWTSEKIRFLEDILQESVGFGCGHVNEAYIEEEFQESEYNEEESGGDEVESNDEEDLCDEDEEDFDVNKVSDVEVYESKISCMYQNMEDLKKDLVVKIDEGVLKFPQSQNLKNWKLLFPVEDLSIKSFDFNYVSQKYKEPILTPGFVHVNDEDYGNDFLNDDENVENYNQGKCSGGQGDGSDPHEGNIGKKNYVEGKGDDDEDDEQGNGSGCDKEEALNSNYVVENVTRSVGLTDSQEGTDGCINQKLVEHDLNLNFTGIDDGKYLFMYF >cds-PLY83577.1 pep primary_assembly:Lsat_Salinas_v7:5:18339937:18342813:-1 gene:gene-LSAT_5X9221 transcript:rna-gnl|WGS:NBSK|LSAT_5X9221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMASCGCTIGVYTKLVAFEKQPISKAAFFGSDPLKHLCTSTKPRLSYSLSTMIPKASATAIEDGSYQETDVIPTPKVIIDQDSDPNATVVEITFGNRLGALLDTMNALKNLGLNVVKANVHLDSSGKHNTFSITKSSTGRKVEDPELLEAIRLTIISNLLEYHPESSAQLAMGEAFGVEAPKQKLDVDIATRIRISDDGPDRSLLSVETADRPGLLVDLVKIITDINVAVESGEFDTEGLLAKAKFHVNYKNKALIKPLQLVVTNSLRYFLRRPSTDESSF >cds-PLY81040.1 pep primary_assembly:Lsat_Salinas_v7:4:347302036:347304796:-1 gene:gene-LSAT_3X135201 transcript:rna-gnl|WGS:NBSK|LSAT_3X135201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFAFLLPLFSFLLFSTTTTTSAQPYKPTDYFLLNCGGATTTSNRKWDTDERSKFMPSTTSFTSTPNKRDPSIPEIPYSSARIFNTSSFTYTFRVTSGPKFLRLYFYPATYSGHQANQSFFSVSSNGYSLLSNFSAFLTASYLGTQLVGSPPVPNIIKEFIIYVKPTQSLNVTFTPSPNSYAFINGIEIVSLPENLYYKSKDLNYVGQTSGPMITEDTSLENIYRLNVGGSQISSKDDTGMYRSWDQDDRYLLSAIGLTPVNMTPIVYTADTPNYTAPELVYATQRSMGNQSELYNLTWLLPVDSGFYYNFRLHFCNIIPQYTRQGAVLFRIFINNHTAEERADLFYWTQGTGYPVFKDYVIFVNDFDGSRRKQDLWLAIGPNRDKSEQYHDAFLNGLEVFKLSLFNGSLAGPNPELQPTPPSPPPPSPTGKKKTPPYAAIIGGIGGALVLMLSVLGFIVFRRVKHSGDKSDEQKSKDFGLPSGRCRRFTIQEVKDATREFDETRVIGRGGFGMVYIGYIDNDTTAVAIKRLNALSKQGFHEFQTEIGLLSKLRHVQLVSLIGYCDDEGEMVLVYDYMSNGTLQDHLYKGKNPHLPWKRRLEICIGAAKGLHYLHTGANRAIIHRDVKSTNILLDENFVAKVADFGLSKLGPKEKGVDYVNTTVKGTLGYLDPEYYKYQQLTEKSDVYSFGAVLLEVLCSRPVIINRGVPDEEMNLAEWGRKNYGKGTLHEIVDKRISDEIAPNCLMKFGEVANSCLRMKGSKRPKMDEVVWRLEFALQLQEAVEKMDGEVSGDMKGGSGMSGNQEFMFPVKEEDVSVVEEYEYNYELEGTSTGVGIQHGLTSTDGSSHEVFVSETVLPR >cds-PLY77468.1 pep primary_assembly:Lsat_Salinas_v7:4:50519395:50519986:1 gene:gene-LSAT_4X34480 transcript:rna-gnl|WGS:NBSK|LSAT_4X34480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHQVLTNMVSLSLLLLLDTDIYVWWKATKRHLSTRIDRVDSSLDEVAEITAAKKEEVRQDKGCSRCSICT >cds-PLY87352.1 pep primary_assembly:Lsat_Salinas_v7:1:97743871:97744636:-1 gene:gene-LSAT_1X79461 transcript:rna-gnl|WGS:NBSK|LSAT_1X79461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLKRKIAKYSSKNKVQDIKSIGILKPATCTLVCKQIQQRSYCCCGAPTVCGKTRQGCRSRCKGDKCCATK >cds-PLY68704.1 pep primary_assembly:Lsat_Salinas_v7:7:84566042:84571987:1 gene:gene-LSAT_7X58100 transcript:rna-gnl|WGS:NBSK|LSAT_7X58100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRKNMVENLISMLDIGGVEDTILMSGIEAKLTSVKVDGGGDVEFVKCECCGLTEECTPTYIATVRENNQGRWICGLCTEAVKEEMERSCSESEEEALDRHMRFCKNFRSSVSSPPSNPTEELISAVKQLLFRSLDSPRAGEIHHHHR >cds-PLY80064.1 pep primary_assembly:Lsat_Salinas_v7:4:348249388:348252094:-1 gene:gene-LSAT_4X172461 transcript:rna-gnl|WGS:NBSK|LSAT_4X172461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGFNPTMETLEEDNLIAAANVIVMALDSNKNITSETRKILMDLGTRLTSMAKVSVHEDDEENEDEDEELGDIKERVNSIQEKIMNWERDESMIWDSDPEEAKEYLKTVDEARRLSESLENLKLGRDDNALLRNVNALLQTSMARIEEEFRHMLVHNRQNFEPEHFSFRSSEDDGLDENSVLSFGDDSIDDSVQRDSISRGAEVFIMDLVNPVVIPDLKGIANSMFDSNYGRECSQAFISVRKDALDDCLFILEIEKLSIEDVVKMEWVSLNSKIRRWTKAIKIFVRVYLASEKFLCEQIFGQGESVSSLCFTESSKASMLQLLNFAEAIAIGPHQPEKLLRILDMYEVLSDLMPDIENLYSDENGSYIRTECHDALMRVGDCVKATFIEFENKVGANTSNTAFPGGGNHHLTRYVMNYIKTLTDFSDELNACLSDHRVGDNLDSSSSPDTSPGHEDDSNNGNSSSSPMALHFRSLMSILECNLEEKSKLYKDEALGHLFMMNNINYMAEKVKNSELRNVLGDDWIRKRNWKFQQYAMSYERATWSSILNLLREDSLHNSGSSNSTSKTVLKERLQAFYTAFEDIYKNQTGWSIPNTQLRDDLRISMSLKVIQAYRTFVGRHANTISEKYIKYTADDLENYIMDLFEGAPKSLQSFHRR >cds-PLY87316.1 pep primary_assembly:Lsat_Salinas_v7:8:132598477:132600889:1 gene:gene-LSAT_8X90920 transcript:rna-gnl|WGS:NBSK|LSAT_8X90920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGCGCFYWNRVTDVAVPDIDSFSLPSPIPEWPPGHGFATGSINLGELEVREITKFEFIWGSDIKNRRKGVNFFKPVDIPDGYFCLGHYSQSDEKPLRGFLLVAREVKKTNSPALVDPTDYTLVWCPDDWTEDNNVHGHSHGHGYFWLPIAPEGYKALGFIVTNKPAKPNLNEIQCVREDLTTTCEPHCILLKKHSKIADSLFTVWKTRPCHRGMHEKSVPVGTFFSSCIWSHGEDLNIRCLKNLNDNINSMPNLDQIHALINHYGPTIYFHPDEIYLPSSVSWFFEKGVLLHKKGGSKGERIDPSGSSLPKGERNDGEYWIDLPKDETAKKIKKGDLKSAKVYVHVKPALGGSFTDIVMWIFCPFNGPGCIKIGIMNYPLTRVGQHVGDWEHVSLRVSNFTGELWNVYFSQHSGGVWVDASDLEFIEGNKPIVYSSRNGHANYPHPGEFLQGSANLRIGIRNSAARSNHALDSSKDYEIVAAEYLGDGVVNEPCWLQFMRKWGPTTVHDSRAEVSRILNRLPETLRCSAQNIFDKLPNELYGEDGPTGPKEKSSWFGDEKC >cds-PLY82289.1 pep primary_assembly:Lsat_Salinas_v7:1:67325655:67327730:-1 gene:gene-LSAT_1X57321 transcript:rna-gnl|WGS:NBSK|LSAT_1X57321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNSVHEGRIYQLKLFCDKDYPEKPPTVRFHSRVNMTCVNHETGVVEPKKFGIVANWQREYTMEDILVQLKKEMAAPHNRKLVQPPEGTYF >cds-PLY73797.1 pep primary_assembly:Lsat_Salinas_v7:7:68343655:68347332:1 gene:gene-LSAT_7X48681 transcript:rna-gnl|WGS:NBSK|LSAT_7X48681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLQDLQNVTNSYDHTSSHEDFLEQMLCGLQSGVSWPEISTGTGGGGGAGQNKPVFPWDVDHFDDQSSFLASKLRQHQISAGTGGAGNPSSAVKSLLLQQQWLLSRGLTGAGDSGLFENDIIDASSFKSPSGDNSIQTLFNGFAGSLQSNQTQDFHFPPAQSFGSQGTTASLTNQGPTSGGVTAAGGGGSGPPTQPRQRVRARRGQATDPHSIAERLRRERIAERMKSLQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGPAPLVADISTEGGRDALEGGAAAGRSNNGTSSSNNDTMTVAENKVVKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCHPSSSRNNHQLLGGGPSSPNVSVLTAQSANGIMPPEVSPSKNPPPS >cds-PLY90089.1 pep primary_assembly:Lsat_Salinas_v7:6:17789968:17792378:-1 gene:gene-LSAT_6X13481 transcript:rna-gnl|WGS:NBSK|LSAT_6X13481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVLEELFPLLYSEEFKGKFGTLKGSILRNLSDSMSIDSCSELPDLDSCRNEKAALILKFVAMASILLAGIIGVAIPLIGKKRKFLRTDTNLFFAAKAFAAGVILATGFVHMLPDATSALTHTCLPKNPWSKFPFSGFIAMMAALGTLLADFLSTQYYERKHEKQIQAVRVESVDMAAEAGIVPVAGKEDHHGKVFGEEDGSGIHIVGMHAHVAQHRHSHASGQEGCEGQASNFSDVESHSHMQSHSHGLDNDDDDNGIRHVVVSQVLELGIISHSIIIGLSLGVSQSPCTIRPLLGALSFHQFFEGFALGGCISQAKFGSLHSIVMACFFAITAPVGVGIGTGISKFYNPNSPRALVIEGILDSISAGILIYMALVDLIAADFLSKRMRCNGRLQVVSYVALFLGAGLMASLAAWA >cds-PLY79917.1 pep primary_assembly:Lsat_Salinas_v7:8:16276987:16280765:1 gene:gene-LSAT_8X12801 transcript:rna-gnl|WGS:NBSK|LSAT_8X12801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKDENENPVSEVDEDEEELSNGEDEEEEDFDEDEDEEDEEEEEPPVTSESRMRAERARMEGVFHRISSERVPLRVHDVVIKGNMKTKESLIEAEIGALKTATSVQELLQAATIANARLQKLDIFDSVNITLDSGPSELPGTSNVIVEVVESKNPLTGDIGIFTKPEARSWSLEGSLKLKNLFGYGDLWDGSLSYGWDQTSEASVGISLPRFMRLFTPVMARVSLLSQDWLKFSSYKEQALGLSLGLLSTKHHDLAYNLSWRTLTDPSQMASESIRRQLGHGLLSHLKYTFKIDKRNSPLRPTRGFAFVSSSQLGGIFPDYRSLRFVRQEFDLRYALPLGFARAALNFGVAGGVLFPWGSEFLNTPTSLPDRFFLGGNSSPICSLGGPTSLLGFKTRGLGPSEPKRQFKTNSEDTNSERDFVGGDLAVTGFADLSFDLPLKVLRDCNIHAHAFACAGSLTKLTENSFRDFSFQKYRDSFRSSAGFGLIVPTKLFRMEVNYCYIVRQHEHDRAKTGVQFSFSSPL >cds-PLY91458.1 pep primary_assembly:Lsat_Salinas_v7:MU039433.1:35981:36211:1 gene:gene-LSAT_0X19361 transcript:rna-gnl|WGS:NBSK|LSAT_0X19361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYYVVEFYRSDAKFFSIFGTGKLFASINIEWEEVELLNEGPIKDPELGYVFKKKNSNKKDFFRARHKYLVHTTML >cds-PLY76842.1 pep primary_assembly:Lsat_Salinas_v7:3:3445038:3446343:1 gene:gene-LSAT_3X1800 transcript:rna-gnl|WGS:NBSK|LSAT_3X1800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGWVKSLQCKARARDDVIESHHLHLLPASSSCRKSFQSLKDVVETTKQKPRKPKPSQPPPTELPSRKPKNPVSRKPDNVTRVRSSNVSSNPRRHPRPPDSYFPALTDLAEGHPSRNVVEIIFHTSWSPKVFSGRIEMVFKVQNLARTVTRFEEYREIVKSGSGSTDNGGGGLNGFGEDHARCVADGNEVMRFQCLGTTSGGAYETAGGGPWSFQWGKGAALCTFSGSGVAHERAGGGRGRKAMLVCRVIAGRVGKQLEFDSVYNGRVGYDSVSGENGELLVFDSRAVLPCFLIIYKL >cds-PLY89874.1 pep primary_assembly:Lsat_Salinas_v7:3:252356970:252360015:-1 gene:gene-LSAT_3X139040 transcript:rna-gnl|WGS:NBSK|LSAT_3X139040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGLNDPKTNVINMGDQQQQTSVHVYPDWAAMQAYYGPRMAVPPYYNPAVASGHAPPPYMWGPPQHMMPPYAAFYPHGGVYAHPAVHLANSPLNIDSPAKSSGGSDRGLMKKLKGFDGLAMSIGNPSNGEGGNNDGNSHSGETEGTSEGSDGNTTERGKNGGKRSSEGSLILSEVEKAEPQRADGASSKMMTGVGILSPNPVSALNLKNFPNTAADITSPIVTLMPNEALFQNDRELKREKRKQSNRESARRSRLRKQAEAEELAVKVEALNSENLSLRSEINRLTDNSEKLKLQNAELMEKLKEVSSLSTANLISRVDNSSGELYETNKNTNTNTRQLLDSSPRAADAVAAG >cds-PLY97655.1 pep primary_assembly:Lsat_Salinas_v7:5:239895937:239897428:1 gene:gene-LSAT_5X118420 transcript:rna-gnl|WGS:NBSK|LSAT_5X118420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYIIARLPILSTSKPLFVFSGREVGNTMTTVTHHLHTVFLVFQIGYPPLLDATTLLFWAQVAQEYGEELSDF >cds-PLY90837.1 pep primary_assembly:Lsat_Salinas_v7:6:155674706:155676844:1 gene:gene-LSAT_6X94060 transcript:rna-gnl|WGS:NBSK|LSAT_6X94060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNPFDLLGDDDNDDPIQLLAKLPVAVPTTKKSPVGGVAAKPAAKLPSKPLPPAQAVREARTDGQRIGNRFGGRGTGRGGRGGFNRESADDENSFGNNNGFSGGYRASENGDADKATERRAPRYGNRGGRRGGYTNGDATEGERPRRVFERRSGTGRGNEFKREGAGRGNWGTATDEVAPETEEPVTETEKIVDSEKQENGADANQENTVDVVEEKKPEEKEMTLEEYEKVLEEKRKALTSLKTEERKVGLDKDLAKMQLLSNKKNEEDIFVKLGSEKDKRKEAADKEEKAKKSVSIEEFLKPAEGERVNGRGGRGRGGRGGFRGGFVGGARMNNVVAPSIEDVAQFPSLSVK >cds-PLY68999.1 pep primary_assembly:Lsat_Salinas_v7:9:139349614:139350608:-1 gene:gene-LSAT_9X89100 transcript:rna-gnl|WGS:NBSK|LSAT_9X89100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSIYLHTVKVYGLMSRVFQVSIKMFSLSASLIPSSTTQLVRSEVQKVGQIKPNGHCLLPPRPLSIQPATFGRQTQISQLKSAAFICASALNARCGAEQTQTVTRQSSTITIAPVQGKEKSPDLDDGGTGFPPRDDDGGGGGGGGGGGWSGGFFFFGFLAFLGFLKDQEKEGAYGDDR >cds-PLY90068.1 pep primary_assembly:Lsat_Salinas_v7:6:17592352:17593717:-1 gene:gene-LSAT_6X13201 transcript:rna-gnl|WGS:NBSK|LSAT_6X13201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKLNVLRSKVAEASKFLSKNGNTYYKHTLEQNKKYIQDPPTIEKCQQLSNQLLYTRLASIPSRYDAFWKELEYVKQLVKTRHELKTEDMGIAALFGLECFAWYCAGEIVGRGFTITGYYV >cds-PLY91701.1 pep primary_assembly:Lsat_Salinas_v7:7:26359704:26366028:1 gene:gene-LSAT_7X19401 transcript:rna-gnl|WGS:NBSK|LSAT_7X19401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPLTHLEYALFQLTPTRTRCDLLIFSGHNKEKLASGLVEPFVSHLKFFKDQISKGGYSITLRPPTTSSFWFTKLTLQRLVRFINSPEVLERFIRIEREILQIENSVHSNDGEEHAGEGGSLSSDGSTKKSTDPSKLKAGEAGDDVQEESSKIQLQRLLETRKAMLRKEQAMAYARALVAGFETENMNDLILFADSFGASRLREACIEFIELCKKKHNDGLWMDELAAMAAYPPSELAYTGTSGILIATESNASALMNDLNSEQNNPEDNTDQAPSTPANVTMQHPWPNQIPQYMYNFQGPQGPRYPYPYPGMPPYYPPHMSWPTNDDSGHGRHHRSSSKKKSKPRLSESSEEEEDDSNGDGDSDSVSDSGTVSKHEKSTKKNKKKSSKTVVIRNINYITSNRKNSDGGSDNSDEDEVDGVLESLVKHHSKSSKSHEKKKKGADSDTESGKRNENWDAFQNLLLKDDDVQDEHFTIKNDMDMGSENYVKNQPKTGINNDSFVVPERTGVNESRKVNPQDFENGVRMRGRDSSDGDMVISQRYGESTDGNTGFRDLGSESSMIRNKREEDWFVVKNSEGTMVNSTFNDDFGSMKNAFSLETTKKIVPIDDSFMVQPQTTAPYESQWRSTDVSMVEGVAKPDATDGQVKSGFYEPDELYLMVSRDSGVEPPVRSSWTPELDYGAEVQFTKSEPKPAPVETKDQVEEPTPVKPKTKKVVKPKPLSRSLPGDKRLPFVSRPVVHKSKREQEDEIRKKMEEIAIERQKRIAERTAAAAGSGSTNKTKTGATKSSQPKKTNSKVAGM >cds-PLY78674.1 pep primary_assembly:Lsat_Salinas_v7:9:49001294:49004653:-1 gene:gene-LSAT_9X41461 transcript:rna-gnl|WGS:NBSK|LSAT_9X41461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTIKKAFSSVIFLVATVFSTLYIASSSSDEVDALLRWKATLHSQNSDLLLPSWIDLHHNSSVQRTVSPCNWYGVSCNADGSIQGLNLSSSGLNGTLDQFSFSSFPNLTYFELSLNYFSGIIPPEVRYISKVDYLDFAFNNFSGIIPPEIGQLSSLVALHLFNNQFNGSIPKSICQMKFLSELALSGNHLYGSIPTCLGELSKLSYIGLDRNNMSGPIPYELGNLSDLRRLYMYNNSLTGSIPTTFVNLKKLIVLRLDNNQLNGTIPIEIGKLASLESLELQYNQLSGPIPHSLGELKSLNLLRLFSNQLSGPLPHELGNLIFLSILELSENKLNGSIPNSFGNLQNLKILNLQNNQFSGPIPQELGKLQLVQIEISENSFSGNLPDKICNGGKLERLLVGYNNLSGPIPKSLYNCSSLIRLRFDGNQLTGNISESFGIYPNLNYISLNDNKLYGELSNNWSKCKNLTNIEMGGNRVSGKIPASLGNTPQLMSLDLSSNDLVGEIPQEFGRLTALWKLVLSNNQLSGGIPPELGSFAELSYLDLSTNKLNGPIPSSLGGCTKLFHLNLSNNQFTHEIPAQIGKLIQLSDLDLSHNSLTAEIPSALSSLSSLENLNLSHNKLSGNIPKSFEQMNGLRSIDLSYNELQGPIPNSIGFRNISIEELQGNKGLCGNVTGLEQCASASGSANRNHKLALMISLPLLGGLLLGGLIGMLIFFSQRKRKSSTQLVVEEGKHREDFFSISDFDGRDTYDEILKATEEFNGAYCIGKGGCGSVYKAQLASGDVVAVKRLHSSSAIVNHNDFVNEIKALTRIRHRNIVKLHGYCAHAQNSFLVYEYLQGGSLADILRNEAAPTLDWMQRMNIIKGVAHALSYMHHDCSPPIVHRDISSKNILLDSEYEACVSDFGTSKILNKNSSNRSNLAGTFGYIAPELAYTMKVTEKCDVYSFGVLTLEIIKGEHPGDLVTSLTFASDKKTDLKDLMDHRLPIPHPEIKRVLTSIMILAIRCVKSNPKMRPTMYDVSQQIAMVHL >cds-PLY61648.1 pep primary_assembly:Lsat_Salinas_v7:2:47685970:47686517:1 gene:gene-LSAT_2X21120 transcript:rna-gnl|WGS:NBSK|LSAT_2X21120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHFIRREDDENEDVSNDTDMEFETFEREFADEETYCAIFDIGYNKVVTKKGNMAKALIEGLKSFLIVKY >cds-PLY80609.1 pep primary_assembly:Lsat_Salinas_v7:6:13500821:13503016:-1 gene:gene-LSAT_6X10001 transcript:rna-gnl|WGS:NBSK|LSAT_6X10001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGKEGSFGIKISSNEGKLPETRNKFKVWMYKIWEFAKEDINRVTFSLKVGLAVLLVSLLILFQAPYQVFSTSIIWSILTVAIMFEYTVGATFHKGFNRVLGSLFAGVLAIAIAELALMSGPVAEPIIIGISIFIIGSVTSFMKLWPTFVPYEYGFRVILFTYCLIIVSGYRSGNPIRTSIERLYSIAIGAIIAIAVNTLIFPIWAGEQLHKELVKSFNSVADSLEECVNKYLSNDGSDHSEFTNTLMDEFPDEPQYKKCRSTLNSSAKLESLALSAKWEPPHGRFRHLFYPWSEYVKVGAVLRYCAYEVMALHGVLHSEIQAPYNLRSIFETEISEATNKAADLIRCLGKDICDMKQTIKSTLVRSVRSSSERLQRAIETHAYLLASSYQLSDHNSSIPLPINGFSKSNQLAEFKRENEEEGEMNSQRILSVSWESTAALSLATFTSLLIEFVARLDHLVEAVHHLSQMAKFQHESL >cds-PLY68897.1 pep primary_assembly:Lsat_Salinas_v7:2:194130260:194135052:1 gene:gene-LSAT_2X115380 transcript:rna-gnl|WGS:NBSK|LSAT_2X115380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTTKVPILNPPLVTFEHKRDAYGFAVRPQHVQRYREYANIYKEEEEERSDRWKDFLERQSEGAQLPVNGGSDKAPEGDDLSSEKPGHDDLKPQEDEVSETKSHGVQIWAHIRPSLRAIEDMMNARVKRKISGTKTDEDPETENVASVEDSEDEFYDLDRPESDTTPDIPASDGVTPSIPAADGTAAPQESSIPWKQELEFLVQGGVPMALRGELWQAFVGVKARHIKNYYQNLLLSDTKNDNNVDQQIQEVDEASKDSKNDSMNAPEKWKLQIEKDLPRTFPGHPALDEGGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWALMGILDDYFEGYYSEEMIESQVDQLVFEELVRERFPKLVNHLDYLGVQIAWVSGPWFLSLFMNMLPWESVLRVWDVLLFQGNRVMLFRTALSLMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNEERLEDLRNKHRPAVEAALIERTKGLRMWRDSQGKMVNGALSRMNSGSSNADELYVGVSGDVEIDSLPDLQEQVAWLKNELCKVLEEKRSAILRAEELETALMEMVKQDNRRELSAKVEQLERDVAELQQALADKQEQENAMIQVLMRVEQEQKVTEDARRYAEQDAAAQRYATEVLQEKYETATASLAEMEKRVVMAESMLEATLQYQSGQTKAQPSPRSANQDSSTIRTSQELTQEIPARKISLLSRPFVLGWRDKNKAKPAEEHVDVKATTTTTTTTVDEQSPKPLQNIQLDVGGEEQNLKLVQEEHHDHNGHEMEQVSLTTLDS >cds-PLY94643.1 pep primary_assembly:Lsat_Salinas_v7:1:41132813:41135269:1 gene:gene-LSAT_1X36540 transcript:rna-gnl|WGS:NBSK|LSAT_1X36540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVSKWFFVIMIVSLLKPQISFGVSEISQEFLKFAKKSEVFDWMVGVRRKIHENPELGYQEFETSKVIREELDKLGISYKHPIAVTGVVGFIGSGEPPFVALRADMDALSMQEMVEWKHKSKVPGKMHACGHDGHVTMLLGAAKILKNYSHLLKGTVVLVFQPAEEGGGGAKQVVDSGSLENVKAIFGLHVSPQQSLGQVFSKSGAILAGSGVFEAVITGKGGHAAIPHHSIDPILAASNVVVSLQHLVSRETDPLDSQVVTVAKFHGGGAFNVIPDSVTIGGTFRAFSKQSFMQLKQRIEEVIVGQASVHRCNASVTFGSKDKPFFPATINHEGLHKFFQEIAGDVLGVDNVKETPPLMGAEDFSFYQEIIPGYFYFIGMKDEKKGTPASLHSPFFELNEDVLPYGAALQATLAARYLGQTQDLERHQHVEL >cds-PLY73343.1 pep primary_assembly:Lsat_Salinas_v7:7:62600252:62602182:1 gene:gene-LSAT_7X44680 transcript:rna-gnl|WGS:NBSK|LSAT_7X44680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGARGGGRSGSHIGGRDAGYRNASQSHNNAESQPSSSIRGSNILEQVRSNPSKRKFIEVDSENEFTDQISMIRAITCILKTMFDGPWTSWKKVDKEHRDAMWEHFKGLYVWPEETDVLARKQATLKRPPTGVELYARLHTKWSTQEYITPKATKVKEAYESAMVAKFGDDTSCHPLLDNETWCDVSGGAKKGRIYGFGSVSDPARFLEGTSSIITSQEVVYERVRNEMRGEMDAKAAEIEGKRQQIREEMDAKVAAIDAKQQQIDAKYEAMEKMYAVLQNMMGN >cds-PLY87805.1 pep primary_assembly:Lsat_Salinas_v7:4:293149569:293153159:-1 gene:gene-LSAT_4X148841 transcript:rna-gnl|WGS:NBSK|LSAT_4X148841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRDPEIEEGGGGGGGGGSLKKPLLHTGSWYRMAGMSSRQSSMFASSAQILRESVSIFLCVFIVALGPIQFGFTCGYSNPTQADIITDLKLKISEFSVFGSLANVGAMVGAIASGQIAEYIGRKGSLMIAAIPNILGWLAISFSKDYSFLYMGRLLEGFGVGVISYAVPVYIAEISPQNMRGSLGSVNQLSVTIGILLAYLLGLFLPWRVLAAFGTLPCIFLIPGLFFIPESPRWLAKMGFIEDCETSLQVLRGFDSDISSEFNEIKRSVANSGKRATIRFSELKRRRYWYPLMVGIGLLLLQQLSGINGVLFYSSDIFKSAGISSSKAATFGLGAIQVVATAVTTSLVDKSGRRVLLMQLVAKDSSLYGFLGILSLVGLVIMVTGFSLGLGPIPWVIMSEILPVNIKSLAGSVATLFNWLAASIITMTAPLLLTWSSGGTFIIYAAVSTFTLVFVKLWVPETKGKTLEEIQWSFR >cds-PLY86446.1 pep primary_assembly:Lsat_Salinas_v7:8:4217291:4221603:-1 gene:gene-LSAT_8X3181 transcript:rna-gnl|WGS:NBSK|LSAT_8X3181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSYPDVGDGGHHSQSSSPSVTSESPPELDPDVEITAADEPPQHVGLSSESINRNLPGGRSTPDTSSVTTCSQQQQQQQQQHGRIKQNKIHGLLGAKFFDSKIPTKKKLKWLNRLASVRADGTVQFEIPDEIRQQSLDFGTKVEATTTTTTITEDEDEDEDATAIPDFPPLQIVMLIVGTRGDVQPFVAIGKRLQEYGHRVRLATHSNFKEFVKASGLEFFPLGGDPKVLAGYMVKNKGFLPSEPSEIPIQRSQIKEIVFSLLPACTSPDLDTNVSFKVDAIIANPPAYGHTHVAEALNVPLHIFFTMPWTPTSEFSHPLSRVRQPVANRLSYQIVDALIWMGMRDIINEFRKKKLKLRPITYLSGSYSSPHDLPYGYIWSPHLVPKPKDWGAHIDVVGFCFLDLASTYVPPDPLLEWLQNGKKPIYIGFGSLPVQDPDGMTKIIVEALQITKQRGIINKGWGGLGNLAKSKDFVYLLDNVPHDWLFLQCAAVVHHGGAGTTAAGLKAACPTTVVPFFGDQPFWGKQVHARGVGPPPIPVEDFSLKKLVSAIHLMLKPEVKVAATELAKAMADEDGVKGAVDAFHKHFGRRKAQSPPAKHPTHFSLSVRHCLGCT >cds-PLY78810.1 pep primary_assembly:Lsat_Salinas_v7:9:172545440:172548278:-1 gene:gene-LSAT_9X105760 transcript:rna-gnl|WGS:NBSK|LSAT_9X105760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSIQGSDGGGVERKPRQLGGISDALSIASDLGFSVRSPPSKKLSFSYDKKCEDFVRLLHELTMVQRKITDLHVELQGRKEDMNVSHLTHVSEMEKKIETLARITRILRGVIQNKDRILARLHQPYPVDCIPVEAEYQKQFSELLMMAASDYGTLTASVSDLHWAENFKEPPSIWREMLRPIPVALVSCTRYFEAITAMRESFVTLQKMRAGSSQSSSKYASRRTSPTESECVTPRSSANDLDLKSP >cds-PLY77243.1 pep primary_assembly:Lsat_Salinas_v7:4:178185830:178187432:1 gene:gene-LSAT_4X104561 transcript:rna-gnl|WGS:NBSK|LSAT_4X104561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLSSQLRILRSVQRSNAITTSSPLPLTLRPSSALVSRHISSVTGNVSNKRVEDIVPIATGHEREELQAEIEGRDILEINFPEGPFGTKEAPAVVKSYYDQRIVGCPGAEGEDEHDVVWFWLKKGEPHECPVCSQYFKLEVVGPGGLPDGLDEVDEHH >cds-PLY92549.1 pep primary_assembly:Lsat_Salinas_v7:5:79189998:79195366:1 gene:gene-LSAT_5X36480 transcript:rna-gnl|WGS:NBSK|LSAT_5X36480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSELLAKEGFVRDNFNRKKVRFNRTVAAPDHDSISLPIYICRDRKSIDVPKHKKSSSVVSSKGRLSSASRRSDEPAIDEVATKAVISILSGYAGKYLKDKDFRDSLRNKCQSCLVRRSNGLSDNGVFANMELGIESIEKLIDNPGTIKELKMKALRNSIGFLTIVAALNSKESRHGTTCGTPNSHLSACAQLYLSIVYKLEKNDRISARHALQVFVDSPQLARTHLLPDLWEHFFLPHLLHIKIWYHKQIDNLSDDCSKDQEEQINNLSKIYEDHMDMGTIQFALYYKEWLKTGGQPPATLPSVALPSINLLSSSSSRRRRSSSFGNFLHRAVFGAPIEKQASMESDYGAMEQKEEEEEELCLDDYDNNQQRNVQSTLSDLRSSSSKPDYTRFLPCQTLQTESRFTRSSSIASSSSDLTRAVSSITTSENLPECETAIRVITKSWLDDQLIEKQLSNPSVIEGMLEVLFASTNEEILELVISLLTELVSKNPANGKIILTLDPRLEGFTELMRNSSLFLKAAILLHFVKPEAKQMISTEWIPLVLRVLEFGDQTQQLFSVRCSPQIAAYYFLDRLLTGFDEDRNLENGRQVIAIGGLSLLLRRITNGDDVEKCKAVSVIYWCIQADGRCRHYLADNLNPELILALLVRGKEVDGNEIVFSLLVELICLHRFEQRTKLFDKLLKGLDCLNTMQILLVSLQKATQEKRPLIATIMLQLDLMGDPLKSSVYREEAIDAITEALDCQICNENVQQQTAKALLILGGRYAYTGTPEAENWILKEAGYDESLEGGFHGRYFIVQGSKHLNEDDEIEHWQRKAAMALWISGGEKLLRAIGESIGYGIPCLARASLVTIAWMSKFVHTVGDGDVLQSATLSTLIRKLIDSLNRDNTIEERVLASFSLLALSKSSGFMFEISEDEKMAMVVHLRNISKVTWTAKRLASVITGSPSRRHSGV >cds-PLY70766.1 pep primary_assembly:Lsat_Salinas_v7:3:136416763:136421677:1 gene:gene-LSAT_3X91040 transcript:rna-gnl|WGS:NBSK|LSAT_3X91040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSISPTSCLPMISTQKKKTGVIAPKRFVQRVKKENELFRGYMHQDAHEILNFLLKELVDILEKETKGTKEHSSPPEKIPNGIHVPLANGVRKEPLVTWVHKNFQGILTNETKCLRCETIIARDETLLDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKQPHILVIHVANMPNLKGFFSIIMVMVYLNQLPMSYTRYIPLPISGLDSWLKTPSIYVFDCSAAGMIANAFIEDWTPSSSSLVTSPRDYILLAACEAHEKVMSFLLIIPGRQTNRKKLLGELNWRSSAFIDYSLDCSPVSYPMLPPTHQHHMWDAWDMAAEICLSLDCSPVFYFGYGLTTCHYRDELGQERAYVSETLFTFVFLSFVLLFVFVVICFYLFDAMQHVAAEGIALVKQAEDVASNKKAEKRLQVDPATWTIMIFRVC >cds-PLY97275.1 pep primary_assembly:Lsat_Salinas_v7:1:44082212:44083888:-1 gene:gene-LSAT_1X37680 transcript:rna-gnl|WGS:NBSK|LSAT_1X37680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGVKSSDFYQVLGLEKGCTEAELKNAYKKLALRWHPDRCSAFGDSKHVEEAKKKFQDIQEAYSVLSNANKRFMYDVGVYDSDDDENGMADFLSEMAVMMSQNKPAENGEEAFQELKDLFEEMFESDIESLSSSSQTGSATSHSSLFSSCGESLSSNKRGSSEMSDTKVEDAQFEGFCIGTGGLPPGRFEERGKGRSNRRVCSRKGWR >cds-PLY98739.1 pep primary_assembly:Lsat_Salinas_v7:6:104164287:104167296:-1 gene:gene-LSAT_6X66601 transcript:rna-gnl|WGS:NBSK|LSAT_6X66601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGDAVHPPEQPSMDQVSSPLSAQILEFCESELFPETIQNSEVASSSNYCYDDQSSYTTNLSFPPDIIKYPTPIDNATKIAKSNNTFSIIFDEEITENSLDFTTSPNHSLPQYQFGHHDQFDLSLLQNQIVDHNNAINGQIQAYHHPHPNDHVVPKMGSVLPTVCEDDCLSSMPPSKFMCLNKTSSPNCSFIDPAVTSYLSGNSNPPLPAVESSGIFNGNLFLGNEIQPHDLDFKGDNGSIFCPDPLPRPYNPNELQALSSESHHLVNGSGSCATQLASEITSLETDTFRVASKLTTEERKEKIHKYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDEYGENNRTTCNNHEEDTDEDIKSFRVVVKEEEENFESSDIFAHISGMNSFKYNYSIQSWI >cds-PLY69992.1 pep primary_assembly:Lsat_Salinas_v7:8:63630323:63631534:-1 gene:gene-LSAT_8X45360 transcript:rna-gnl|WGS:NBSK|LSAT_8X45360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSSVPNEKEKTPQTTTGQAPMVLPSDSQPATNPPPASDSDSSKGGGAPQQSGQYVVGVPPQQGEIPTWSTGLFECFDDLPTLVITAFAPCVTYGQIAEMIDRGQQTCFVYAALYAGIMYLTGCGCLLSAYYRIKMTQIYNLPNDPIINILVHLICEPCALCQEYRELQAHGFNMQLGVGWRNQSPEIQQSGGVMVPPTVPGGMSR >cds-PLY96673.1 pep primary_assembly:Lsat_Salinas_v7:7:47149820:47156617:-1 gene:gene-LSAT_7X36481 transcript:rna-gnl|WGS:NBSK|LSAT_7X36481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSGYAMVVLFALIVGSPLIQSHLDHRRHLCILTESPLLHIAAAPTSSHTSSPTETISGIVSFWLTSSYQISYYVSILAAKSVFVVSLAATCNKTIWPGIQASGGQPLLMEGGLELKPLQSRNLTAPKGWSGRFWGRTGCTFNVYGLGTCTTGDCGSGIYCNGAGGEPPASLAEFTLDSPVDFYDVSLLDGYNIPISIIPQDDSGLCPSVRCDTDLNLHCPPNLLVRGDRGQIVACKSACTAFQSPEFCCTGQFQNPNRCKPTKYSQYFKRACPTSFTYAFDDMTSTFTCRESDYVIRFC >cds-PLY83295.1 pep primary_assembly:Lsat_Salinas_v7:4:126938469:126940840:-1 gene:gene-LSAT_4X80081 transcript:rna-gnl|WGS:NBSK|LSAT_4X80081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPHDIRRPFKRAAISDQQKRRELSLQRQAQNRNEAQLQARRLASSILSLPNHNSEPQHLEPVELVPEPITDAGDITDIDIRQAAKLKGPEARRWFASQLMLPEWMIDVPDRLDHDWYVFARPSGKRCFVVSSNGTTISRLRNGSLLHRFPSSLPNGARTREGSRSAQSYCILDCIFHELDQTYYVIDMVCWAGISFYECTAEFRFFWMNSKLVESGACEDPSTYHRYRFSLIPVYNCDHEGLQTAYTGQVPYAKDGLLFYNKHAHYQTGNTPLALVWKDETCSEYVIDTDNKGQIPNQQQVVLEVQENGELVTSDDPPVVLGCLNAGFIQETGLNIGNLVRFAVSEGGLTFMNGKVEKADLQYIGKVHRARAFADSYSKIVFQYMVRHSPLRIEDLFASMGTSSEQRDNEVEMVG >cds-PLY74661.1 pep primary_assembly:Lsat_Salinas_v7:5:177273379:177274926:1 gene:gene-LSAT_5X79481 transcript:rna-gnl|WGS:NBSK|LSAT_5X79481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSSSSSTKNSCDDNPAKKTANSSNKFVIIGLPLSTLHTPSLLFFKSTSPTTITHLQKLVTESSNNLIHNLHNSIDKCLNFLHLFASENPLFAKLQSLSSEYHNFCQINRRNQRNLKSVWNHDFAAIIPGDSVAGLVVSNGVLNFLNIYNTLLVVRLVLTWFPNSPQAIVSPLSTLCDPYLNIFRGVIPPLGGTLDLSPILAFLVLNALTSTASALPAELPSTEASSSSQTSPSFHTQIMSHFTSSQKKWMKRFDTKRSNGSSVDH >cds-PLY83000.1 pep primary_assembly:Lsat_Salinas_v7:1:16978078:16979487:1 gene:gene-LSAT_1X15301 transcript:rna-gnl|WGS:NBSK|LSAT_1X15301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRVKSKIGGFNSMRARVQVRSPSPRHKKSYSLGRLNDGVKTVAFSGSENSSGELNFDISREMLKGETETDDGCGNKVMVVVDSSIEAKGALQWALTHTVQNQDTVILLHVASASKLGCKSSGEVNQRVYEHLCSMKKNLQIKRPEVKVEIEVRQGKEKGPAIVEAAKQEHVSLLVLGQRKQSMMWRIRTMWAGKRSKSRAVDYCIQNANCMTIAVRRKNKRHGGYLITTKRHKNFWLLA >cds-PLY72617.1 pep primary_assembly:Lsat_Salinas_v7:5:44484323:44488111:-1 gene:gene-LSAT_5X21400 transcript:rna-gnl|WGS:NBSK|LSAT_5X21400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRRLRQWWTMSPSPPPPLPPPFPGGGLGLPPPPPMYEAPYQPMYGASPPPMHDGSPPPPPPPPLYGGSSPTTPHPPTPPPLPPPGISEPPPPPLHARVPPLPTTPLPPPPPLYGGAPPTTPLPPLYGVAPPLPPGPPGGPPPPPGARRGRGRGRGGPGTRRSNLKPLHWNRVTRALQGSLWEELQRHGEPQSVPEFDVSELETLFSAIIPKKAASKEAQQIYMAAILALNNQPNMPRVVHTFTPQFADYKKIEHYFYMHHRPASEVYSTIRIQNVMDLKKRVYKRVFEYYEYTIERIDGTISMFTDAEIPHLNPHDLKFLLVYFGEKHDKGVVQYRDILMRVRKALKDYIQRFSRVDMELAKSFGVEHLVIEAPNTKFDNTEQHRSETILQEICRYGNKKHFFRLSEAQKFDNFTLHNLVNLVVNHESADENLKKHVLEILQCHMNAKIKMSFPDMVVAILAMNDNLIDSDQIQDFLKFYPTKEEMEQVKKKFTDDSETMSKHEQYILDLMKVPRMDAKLRAFLFKIKFNIQLTTEFRTSLNTVNSACDELRRSTKLKEIMKRILYLGNTLNQGTTRGAAVGFKLDSLLKLTDTRSSTSKMTLMHYLCKAFASKSPSLLDFHKDLVSLEAASKIQLKVLAEEMQAILKGLEKVKQELAASANDGPISEGFRKTLKEFIGQVEAEMTSVSDFYSVVCRNADALAIYFGEDPTRCPFEQVTMTILNFVRLFRKCDEENFKEEAKMEEVKGVNVN >cds-PLY70686.1 pep primary_assembly:Lsat_Salinas_v7:3:105954886:105957089:-1 gene:gene-LSAT_3X78100 transcript:rna-gnl|WGS:NBSK|LSAT_3X78100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYMCTDSGNLMAIAQQVIQQKQQQEQQLHQQQQHQQPLVTVNVNPFWSTTGHQSPTLAYGFSDPFEGGAADVTGAEEAAGFQFPNLDHHHHQHPGFRFADFVAASGSVGEFDTDEWMESLIDSTTESSTWQPSSDFTLYAADPFSDCPSRLTCSTDLKNANSTWPPPPPPVLASDHLLPPEKEIKPPKTEKSLPSKPDIASTSSPERILTKPLLKTLTDCARVAETDPGNAITPLIRLRDSLSADDGDPTERVAFYFAEALHSRVTRKPRAVSDITSREEFTLTYKALNDACPYFKFAHLTANQAILEATENADKIHIVDFGIVQGVQWAALLQALATRPAGKPTRIRVSGIPALILGDSPAPELLATGNRLREFAKVLDLNFEFEPILTPIEKLNVSSFWIDADEFLAVNFMLQLYNLLDENSIAVEKALKLAKSLNPSIVTLGEYEASLNQVGFLQRFNNALNYYSAVFESLEPNMTRDSPERLEVERSLFGRRIAAAVGHEEEGSKRERMEGREQWRITMENSGFETVNFSNYAVSQAKILLWSYNYSEMYNLIDSHPGFLSLAWNDVPLLTVSSWR >cds-PLY64440.1 pep primary_assembly:Lsat_Salinas_v7:9:104257642:104263164:-1 gene:gene-LSAT_9X75061 transcript:rna-gnl|WGS:NBSK|LSAT_9X75061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTVCNKNLDVFDFKDESPRVALRITSKLDKIRNAAIDKYTFLATAAAGENISEEELKYVPSLGIDAINITHMATTLIEDPSAVMVTDSNDAFTVAEQPPPQSTPELEIDLSESEVNVATTSASPSNNKLNSVILDPLPNLPEFICSDGPSSRSESHLKSSQKQDEAIEMDPDENGSLCEGSSSSSDVSEDDGVVVGTSSNHCIARWEMNSEDIGAVVFYPDYMVYRDSYYTDSVISFNSNSIKMKASTTDGDDKTFKFKWGIEDILQIRSYWHEQVEMTMVVIYVHTKELTENVDCNSDTKLKFAVIGSQCQWYEKLEAIASLNVIYKDLWTNMLDSEDVVLGHTREPNSKYLPNFSRPFEEVVYPKGDADAVSISKRDFDLLQPDTFVNDTIIDFYIKYLKNKIKPEERHRFHFFNSFFFRKLADPDNDPFDASKGIAAFQRVKKWTRKVNLFEKDYVFIPVNYNYHWSLLVICNLGEVATYKDEDVNKSMRVPCVLHMDSIRGSHTGLKGLLQSYLKEEWKERKQEASEDICSRFDNLRFISLELPQQQNSFDCGLFLLHYVELFLEEAPLHFNPFKITNSFNFLNMDWFPPADASLKRVVIQKLICDLLENPHQEQQCHLTCSTTTNFPKDDPSTSFELESFMGKQLSTNNFDELNLKGSLTPIEQEEVEGGRHGCSETESAIQQGNGMTVDNDSDSQSSLETSTSGCQDEDSDSDSDSVEIVNGVDVEEVGIGLKKKRDEITDCTQIDDDDDDTCIDMMVVPCDRIPTKKLRITQESKRV >cds-PLY81907.1 pep primary_assembly:Lsat_Salinas_v7:8:120323903:120326677:-1 gene:gene-LSAT_8X84620 transcript:rna-gnl|WGS:NBSK|LSAT_8X84620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWGTVTTEDLIEALKEVDWSSPPRPFNEFVSKFTVPRSSNKWNSRLKCNLYYYRTNYFLMILFILGLGFLRRPLAIVAAMSTALTMAFLNDSFASTFSEKVTRTIRQLSPHLAAKMRHPLSPVLRGRPSSKRTIYICGQRRWTFVLAFSAVSFILWFVSCGLLTLLWALTTGLLATVVHASFRTPNLKARLNTFREEFRAVWRNYSEL >cds-PLY65718.1 pep primary_assembly:Lsat_Salinas_v7:5:273219431:273222114:-1 gene:gene-LSAT_5X142820 transcript:rna-gnl|WGS:NBSK|LSAT_5X142820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHPHVLHAYFVIQFIIISFVFITPGSSRPWPHTSFPSSLPNETIDTKLCKDTSCIKTASSDYGNIIQELPFGVLYPSSVSDIKHLVESSYNSTTPFTIAARGNGHSVRGQAMAKDGVVVNMGSMSSNENERISVNGNSSVGFYADVGGEQLWINVLGTTLDHGLAPVSWTDYLYLTVGGTLSNAGISGQSSFHGPQISNVLEMDVITGKGDFVTCSKNMNSDLFYAVLGGLGQFGIITRARIVLDKAPNRVKWVRLIYKDFSKFTKDQEHLISVDGFDYVEGSLIMKNTPASDFFSPSDESMINSLASENGILYCLEAVKYYDDHIINTIDEELEMILTGLSFESGFIFKKDATFFDFLNRVRDEELLLQSIGLWDVPHPWLNLFIPRSRIMDFNEGVFVDIIQKQNRSSSGPFLVYPINRKKWDDNMSVVIPEDDEDMFYTVALLNSAKDYKDWAIMDEQNTEILQFCDKSGIKVKQYFPHYGKKEEWMKHFGKKWDIFNERKAQFDPKMILSPGQRIFNSE >cds-PLY63612.1 pep primary_assembly:Lsat_Salinas_v7:4:132294811:132295314:-1 gene:gene-LSAT_4X82281 transcript:rna-gnl|WGS:NBSK|LSAT_4X82281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSYFILWLVQVIQAWYCHLLEMMLTWKTRAQLQHKYLHFYLFFIAKVTLRIINIWKKKSLFLYLFLCVSSTKQLKWSSMTSLIKSYSEARVYAKENDLCFMETSAKTVANVNDALHEIRKLLLLAHFNFN >cds-PLY69726.1 pep primary_assembly:Lsat_Salinas_v7:9:105597291:105599206:1 gene:gene-LSAT_9X75781 transcript:rna-gnl|WGS:NBSK|LSAT_9X75781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANILRRGFGGARCRWDHGSVVAAALGRRSCMSDALVEVKPGEVGIISGIPEEQLRRRVLIYSPARTASQQGSGKVGKWKINFLSTQKWENPLMGWTSTGDPYANVGEAGLSFESEEAAKAFAEKHGWEYVVKKHHTPIIKAKAYADNFKWKGPPKINQN >cds-PLY72952.1 pep primary_assembly:Lsat_Salinas_v7:5:9693241:9698348:-1 gene:gene-LSAT_5X5200 transcript:rna-gnl|WGS:NBSK|LSAT_5X5200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTISPSSTSDPATSSFIPEKMATKLPVEIGTKGTVGSLIIQEIEYFNRLEIPVAAQTRKNGRHTKPRRKNRLKVNVNGGTPEKSITTLPSYASSYIDDFKGDFPLKTISEITEPLKPMKFVLVGKIVNIRQNLRWCYGACYKCGKKINKVPKPNLSYTAPDNISETVEIQCLDPDVISQTDESFTPSTVDKSSAISPLKISTDLKRNLHDIYDVDCGGDMSSTKTKRKSIGEGNTLLVPKLEK >cds-PLY93358.1 pep primary_assembly:Lsat_Salinas_v7:9:58566589:58568018:1 gene:gene-LSAT_9X51840 transcript:rna-gnl|WGS:NBSK|LSAT_9X51840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAYTYVSELWRKKQSDVMRFMQRVRCWEYRQLPSIVRVTHPTRPDKARRMGYKAKQGYVIYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLKVLNSYWLNEDSTYKYFEVILVDPAHAAIRNDPRINWICNPVHKHRELRGLTSAGKKYRGLRGKGHLNHKARPSRRATWKRNNTLSLRRYR >cds-PLY91575.1 pep primary_assembly:Lsat_Salinas_v7:1:10591207:10591936:1 gene:gene-LSAT_1X10381 transcript:rna-gnl|WGS:NBSK|LSAT_1X10381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSRPPRCKPDTLNTACFYENLPTLLDLFCIRDQVDLDPIQLNIHKSTKKLRLNT >cds-PLY77514.1 pep primary_assembly:Lsat_Salinas_v7:4:47932483:47933996:1 gene:gene-LSAT_4X30840 transcript:rna-gnl|WGS:NBSK|LSAT_4X30840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVKATPTTDLNRNTDWFTYPGVWTTYIFILFFSWLLILSVSGCTPGMAWTIVNLSHFLVTYHFFHWMKGTPFAEDQGIYNRLTWWEQIDNGKQLTRNRKFLTVVPVVLYLIASHTTDYQHPMLFLNTVAVIVLVIAKFPNMHKVRIFGINGDM >cds-PLY98917.1 pep primary_assembly:Lsat_Salinas_v7:7:49250652:49252131:1 gene:gene-LSAT_7X35041 transcript:rna-gnl|WGS:NBSK|LSAT_7X35041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIKWILHWQPNAGHTVNSQILAEVSQCVESINGVKEGKWKATLSFYRPVLKEQANPSEFPRDFLGISLPEQPNKYYLVLRGQRLVLEADSTIQTIMEKLQSYKTRVALNFEGFQYQLGDFQLRVGKVVSIQSESLRGIVMEMEYRPISSWEKSHKIMGEFFDIWQEALSKRSLAGHFVHMEPNFGEFGLSGQYTLQHTAVQYATIMLQMIATAQSVRN >cds-PLY79863.1 pep primary_assembly:Lsat_Salinas_v7:8:18239878:18242418:-1 gene:gene-LSAT_8X14321 transcript:rna-gnl|WGS:NBSK|LSAT_8X14321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLQQPQLDLESGGGDGGGDDTRRQSGSSDGSEESYRTSIGPAGMVPEEVVETGISSSVSETSAVDLETGGGGGGGGGNKVHLLKIEKDCRICQLSLDVNNQESGNGIPIELGCSCKDDLAAAHKQCAETWFKIKGNRTCEICGSTAKNVAGVDEAEPIDQWSETNGSTPSRATAPMATSETRNFWQGHRFLNFLLASMVFAFVISWLFHFNVPS >cds-PLY77274.1 pep primary_assembly:Lsat_Salinas_v7:4:164469928:164471441:1 gene:gene-LSAT_4X98941 transcript:rna-gnl|WGS:NBSK|LSAT_4X98941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVTGKFFQDVKLPQQKTQDDGVLFPAVLSPNHNTDPTAVELHDFEEAIRAQKVWLESLLQKTGAILFRGFPVASPSDFDQVVKAFSYVEFPYFGGIAPRTKVVGRVYTANESPPHMGIPFHHEMSYAPDFPTKVFFYCDEEPGKGGETPIVLSHIIYEKMKEKHPEFVAQLEKHGLSYTDVINDEDDPSSFTGRNWKSKFNTDDKSIAEERAAKLGLKLEWIGNAVKLTSTPLPAIRFDKESRRKTWFNTIAVTYSDPAGKTFSSAGGKTVQIGNGDPVPDHAVEDYFKIVEEECVTIPWKKGDILLINNLMVLHGRRPLLKPPRRVLASLCK >cds-PLY76289.1 pep primary_assembly:Lsat_Salinas_v7:7:124628661:124629979:1 gene:gene-LSAT_7X77020 transcript:rna-gnl|WGS:NBSK|LSAT_7X77020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEQMNDSSYFIPPELVIDIFLRLPVKTLIRCTSVCKSWYALIRNPNFVALHFNSNGSYRPECRDNGYVLLGCTNFCHIVCDRSFKSLYAVETPFAVGPNRKSSLNFIGSCNGLLCLAPGLEYNLGNDVYIWNPSIRSHKKLPPSQFSDEFTDERWMVSRLGFGFHESTNDYKVIRLIYFPECRNFTSVDAAPLVEVYSLKTDSWRIIRTEVPPIVTQSVVTFHKGFFYWMGFKSIRDDPMENYIMSFDLDNEKFKEIEQPSVDFPFSLLSVRGSSGSLFAIYSKFFGGQNDILVLWKMDEYSKSWTKAYTIQCNRGVWWTIGFTKSGKFLYANLEKKLVSFDLESLETQVHDLGISFSRSAVDINYMESLLLFDH >cds-PLY82138.1 pep primary_assembly:Lsat_Salinas_v7:1:15523298:15528881:1 gene:gene-LSAT_1X13920 transcript:rna-gnl|WGS:NBSK|LSAT_1X13920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAAAPETTLVDAIKDGSSAAPQEMDMETIDSDAAAAVNGGKREREEGVTAESSEDGNESKKAKVDQEEKSVEEQRLEKDAAKSGPVALGYKNFETSVQMFDYFFKFLHFWPPNLNVNKYEHAMLLDLLKKGHLEADKKIGGGVNAFQVRYHPHWKSRCFFLIREDESADDFSFRKCVDQILPLPENMAVKPDVNKALGSGGHGGRGGGRGGGRGNWRGRGGGGRGKRN >cds-PLY80988.1 pep primary_assembly:Lsat_Salinas_v7:9:177462548:177463347:1 gene:gene-LSAT_9X109081 transcript:rna-gnl|WGS:NBSK|LSAT_9X109081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYDDVEIEDMEWNEELKAFTYPCPCGDLFQITKEDLKIGEEIARCPSCSLYITVIYNMEDFTNQKSKTNIEPAKQQPVAVA >cds-PLY71631.1 pep primary_assembly:Lsat_Salinas_v7:9:135657030:135658410:1 gene:gene-LSAT_9X87041 transcript:rna-gnl|WGS:NBSK|LSAT_9X87041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 35 [Source:Projected from Arabidopsis thaliana (AT1G56210) UniProtKB/Swiss-Prot;Acc:Q9C7J6] MASTEAEEPSQSFKTKTSVLRVSIHCQGCKRKVQKLLQSVPGVQEIRIEAKLQRVEVTGDVSAETLLRKLVKAGKHAELWPEKPPEIRVKETEKPTTTSQGSVSEESPVSVKEIKPPTTKTENPVEDPKKVSKTAAPGGGEPAVSGGKGDESVGKSEGGKESAEVKAEEKKPETGAASNQASQPASEKKEEQNEKDGGGGGGGGEGKKKKKKKAQNSDKNGSSSGQPPSNGRSVNGGGGGGGSPPPTRPPTNPSPPRHNGYQYPPPHYYTPPPAPVYTVSYNTAQPPVNSYTASYYTPPPPQSYAYSHFSSQMAPPPYVPPDYDSYRQQPSDSFEMFSDENPNGCLVM >cds-PLY69596.1 pep primary_assembly:Lsat_Salinas_v7:8:308107425:308112351:1 gene:gene-LSAT_0X33621 transcript:rna-gnl|WGS:NBSK|LSAT_0X33621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1-complex protein 4 [Source:Projected from Arabidopsis thaliana (AT2G47210) UniProtKB/Swiss-Prot;Acc:Q8VZL6] MDAKDILGIPKSSLPIPQEKKSRPQKDSQRKPDGISREVYALTGGVAPLMPSIDANQLKKRHQPENEKITWEWLAFTNSARKDNLQLYHWVRVVNGTPPTGDYSFAKYNKSVDVIKYTDEEYEKHLTDLTWTKEETDQLFDLCERFDLRFSVIADRFSSSSSRTMEELKNRYYSVSRTILIARAPTPADVSSHPLVKEPYNISQEIERKRALSMVLSQTKHQERKDAEVLSEAKKITESRMAAKASFVLDFSNLAAQDAELPVTSNISPEGVEKTSAPADTASPSSIQVAAGGGGGAPLMSMADNAAAAAASLRMLRVYLRTYALDQMVQAASSSAGLRTIKRVEQFLQELKVNLKPKVPTKAVCAEHLELRKEILTLLNLQKLLQNKEEGSYNETPDTPKRVMDLDRTFIPDPVVSFGERVVKRDQKRKGPGAGPGREAPSSPAQSKRPRKLKATDS >cds-PLY75042.1 pep primary_assembly:Lsat_Salinas_v7:2:66723187:66725640:-1 gene:gene-LSAT_2X30681 transcript:rna-gnl|WGS:NBSK|LSAT_2X30681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAATVLPEPLRSVIYFNFKSPQLPPFFSDTIGPLTFQRKYQPHLLWTLEHVKKNTEDQTHQNVDARSKAS >cds-PLY64133.1 pep primary_assembly:Lsat_Salinas_v7:1:982461:983741:-1 gene:gene-LSAT_1X721 transcript:rna-gnl|WGS:NBSK|LSAT_1X721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFKSSDVNLWKESLSAYESRIESIGKPNLVSLDQFYCKELPHLIRQRNPNPHITIPELSKLMQWKLTRGKWRPRLLDFVSSLNEDTVISASQKAFKSLPDVSKAISELTVLKGVGPATASAVLAAYAPDIAPFMSDEAMVAALGNSKEYTLKQYLVFVDKLQAKAKELSVDEEEEVFTPSDVERALWSCAKAVASPKTKSDVNNSSKKKRKR >cds-PLY95587.1 pep primary_assembly:Lsat_Salinas_v7:6:138745887:138746657:1 gene:gene-LSAT_6X83800 transcript:rna-gnl|WGS:NBSK|LSAT_6X83800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKNLSPPPSGRTNLASCIVATIFLIFITIIILIIFFTLFKPKTPAIAVTAVQLPSFSTTNTTVTFTISHYIAVNNPNRGVFTHYDSSLQLLYAGNQVGFMFVPSGKIEARRTQYMAATFSVKSFPLLSVINRQPEGMNGLRIGPSLEIETKMEMPGRVRVLHFFTHHVEATAECKVVIAINGGSVLGFRC >cds-PLY73525.1 pep primary_assembly:Lsat_Salinas_v7:3:107810186:107816450:-1 gene:gene-LSAT_3X78701 transcript:rna-gnl|WGS:NBSK|LSAT_3X78701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRVETKSNSDNEEENTTKNNKIPRKGRMVIFEDKYDEGVKLRWVRVANEFLGVLHCSLFMHTQNKITYRNAAKVPDILIVPSDLTYLVKVLSLEGTSEGGEEVKCMCVNPGRLERSEGGGHFVELNFHGTPYSSSASFIHI >cds-PLY93918.1 pep primary_assembly:Lsat_Salinas_v7:7:180783761:180784111:1 gene:gene-LSAT_7X107461 transcript:rna-gnl|WGS:NBSK|LSAT_7X107461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKNQSEFQDFLPLMADKLGGEGLIGELCNGFQLLMDADKGVITFDSLKNNSSLLGLEDMSDDDLRSMLKEGDFDGDGALNQMEFCVLMFRLSPDLMEQSQFLLEEALEHEFDQM >cds-PLY69361.1 pep primary_assembly:Lsat_Salinas_v7:7:80874745:80875195:1 gene:gene-LSAT_7X56641 transcript:rna-gnl|WGS:NBSK|LSAT_7X56641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTHRRLRRVCPHHCRSRRALISCIFTSTPATSTPDESCFDSEYIQCRVSLCDSLLIDFVLKSTNVLLYHPLFQTLMRVTFRSCFLLSPCLLYGLKMDKLV >cds-PLY79501.1 pep primary_assembly:Lsat_Salinas_v7:1:34263646:34265197:-1 gene:gene-LSAT_1X32600 transcript:rna-gnl|WGS:NBSK|LSAT_1X32600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSPMPTFYKVYKKKSTEGFQSIPYVVGLFSAMLWIYYALLKGNAMLLITINSVGIVIQTFYICVFLFYAPKKARMESVKLIMLFIVVGFGLIVLLTEFLSKGAKRGVIVGWICLVFSLCVFVAPLGVLRQVIKTKSVEYMPILLSLALTLSAVMWFFYGLLLGDFNIAIPNTLGFTFGIIQIILYFVFKNRKPVINDKISEFKEKMSEMDEPRIPEIKDHNTIDMVKLNATLRPEYTQNPHAVRSPIMANHTIEVAA >cds-PLY76660.1 pep primary_assembly:Lsat_Salinas_v7:4:118049883:118054049:1 gene:gene-LSAT_4X73301 transcript:rna-gnl|WGS:NBSK|LSAT_4X73301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQKKPSPPQEQPSASSSDVGGRRKRPSFRNVVLEVMNFRKFSKYMEPLIRKVVKEEVESAMEKHMAAMNWDIENKKDSFAPRRLQLQFLSNLSLPVFTGTRIEGGDCNTLKVALIDACTGKTVPSGIGSSATVEIVVLEGDFDSIEGDNWTVDEFNSNIVRERQGKKSLLLGNVRLKLEQGIGLVGDLSFTDNSSWTRSRKFRLGARVLDNCDGDRVREAKSESFVVRDHRGELYKKHHPPYLSDEVWRLEKIGKEGAFHKRLNKDSIKTVKDFLALSYLDPKRLRKILGSGMSTKMWETTVEHAKSCVVDDKKLYLYCPRSLNRNGVVFNVVGQVLGLLSDSKYVAIEKLSEVEQAEAHKLVISAFQHPEKIVPYDDEASLRTGTCSISEDIYPSNTKMITTRDPEFSKITTPHKKGRFDYPQMITSSPEAMTSIYSVLGIDSTDEYGLQSMESDDLRFDQPLNLVSDTLICDAGTLKSHHDDDEDMQYFGTSSSSQKVDLECAVDGFFFPHSAIGKAQRRWKIVSSVLKWLSLMLEIRERDIKYSGPR >cds-PLY97597.1 pep primary_assembly:Lsat_Salinas_v7:5:233740817:233745583:-1 gene:gene-LSAT_5X116421 transcript:rna-gnl|WGS:NBSK|LSAT_5X116421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TIP41-like protein [Source:Projected from Arabidopsis thaliana (AT4G34270) UniProtKB/Swiss-Prot;Acc:Q8VXY4] MEWEADEKEVKAAGAELLPDGRRGLRIHGWEIESSNRSILTSLNLQSWEEKLETTHLPEMMFGDSCLVLKHMSSGTKIHFNAFDALLGWKKEALPPVEVPAAAHWKFRSKPFQQVILDYDYTFTTPYSGSETVESGSDSEENKGCLKWEDCDEEINVVALASKKEPILFYDEVILYEDELADSGVSFLTVKVRVMPSCWFLLLRFWLRVDGALMRLRDTRVFCTFNQNSKPLIIREICWRETTFKALASKGYPSDSASYNDPNIISQRLPIVFHNTQKLKIPS >cds-PLY67621.1 pep primary_assembly:Lsat_Salinas_v7:5:24618146:24620176:1 gene:gene-LSAT_5X12001 transcript:rna-gnl|WGS:NBSK|LSAT_5X12001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEIQKPEEAKKPEEETKPAAGDEKKGEDSKEPPTPPPPQEVVLRVFMHCEGCARKVRRCLKGFEGVEDVVTDCKTHKVVVKGEKADPLKVLERVQKKSHRKVELLSPIPTPEAEEPKKPEQEEPAKSEEKKDEQPTVISVVLKVHMHCEACAQEIRKRILKMKGVESVVPDLKSSQVEVKGTFPAAELVDYVHKRTGKIAVIVKQDPEPKKEEDANGKDEKKGGDGGGEKKEEKKADEGGEKQEATAKPEDAAAAAAVLELRKNEYYYYQPANYQLYPPRYAAEAAYAYPPAPEMFSDENPNACSVM >cds-PLY87184.1 pep primary_assembly:Lsat_Salinas_v7:5:154098800:154099147:1 gene:gene-LSAT_5X67940 transcript:rna-gnl|WGS:NBSK|LSAT_5X67940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRDRKDKIINQNQEDIEVLENGLIEREKDISDLKEKIKEKYVKKKGFSSVSVQKEEVPGECSPSRNPSFHPDEHLSCPCTDKPHTDKLHAEKPQVAHTRKPHLTLPRRLTLPL >cds-PLY81087.1 pep primary_assembly:Lsat_Salinas_v7:6:130719878:130725845:-1 gene:gene-LSAT_6X79440 transcript:rna-gnl|WGS:NBSK|LSAT_6X79440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALIASNSIGFVKSVRGEDSWVSVKINGASSSSFKRKKTFVACYDYGRKKISQNAPEKLEPLWEDGYGRNRKTMDLIKSDGGPPRWFCPVACGMPLKDSPVLLYLPGIEGTGTGLVLHEKSLGKVFHVQCLHIPFHDRTPFEGLIKIVEESVKIEHNLSPNKPIYLLGESFGGTLALGVAARNPTIDLILILANPGDYVKMEMVNIHIGQLLGNLTGDMSHMMTTIIPKDTLKWRIKLLESAAAYANSRLHAITAQVLVLASGKDKLLPSKNEAHRLSGLLKRCNIRVFEENGHAILLESGVNVLSTIKASQMYRHSSKFDIFRDFLPPSMTEFKTLPMEAWWFRVSMGAAMLSTMEDGKIVRGLAGIPDEGPVLIVGNHMLWGFDYFVLVSEFIREKKSVLHGLAHPEIFQLGVEYEHILMPCVDIMKLFGGIPVSGRNLFKLLERKSYTLLYPGGAREVFHRKVCYLSSY >cds-PLY65465.1 pep primary_assembly:Lsat_Salinas_v7:7:60554368:60555416:1 gene:gene-LSAT_7X43621 transcript:rna-gnl|WGS:NBSK|LSAT_7X43621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSASLRCLAKTLTVQPSEKNPKSIVCIWSKDYRPLLIIEELLCKEEKGLAKGTARESHSEDWGHREYIIQEGCSSSDCAREISGVASFQHLRGESSHYTVPGRV >cds-PLY86738.1 pep primary_assembly:Lsat_Salinas_v7:2:197096931:197099757:-1 gene:gene-LSAT_2X118840 transcript:rna-gnl|WGS:NBSK|LSAT_2X118840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEEAVSYVRYPLRTPQTVHKSTGSSTSSTTRRNSIENSNRKSDPAMFRKLDRKKPNDQKLNIAMKKMHESLYREERSSSKVAKIIDSYSINLIQQESEDLYVIRNQLLQIKTQQSNLFDLLKEQLRDEKFKAKEEDEDSAQKMARVIQRITELHDKGFKSNCEPQSSWQQVFPYSIWHCYLGGAKVSKANQGIMEGYVDFAFER >cds-PLY74085.1 pep primary_assembly:Lsat_Salinas_v7:9:11895136:11895780:1 gene:gene-LSAT_9X8601 transcript:rna-gnl|WGS:NBSK|LSAT_9X8601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATFTQYEFQTSDYSPETSCSWDELLFQHNAHPFNQFESDDMFLPDMLAAVESAEFPKLNSSAMKEEVVTYEPEKMKSYRGVRKRPWGKFAAEIRDSTRNGIRVWLGTFDTAEAAAMAYDQAAFSTRGPLAVLNFPVERVEKSLQEMKYGLEEGCSPVMTLKKRHCLRKKTVAGKKKAVAMNLPEQKSTVVLEDLGVDYLESLLLLGESSTPQL >cds-PLY94946.1 pep primary_assembly:Lsat_Salinas_v7:4:110030313:110031215:1 gene:gene-LSAT_4X71080 transcript:rna-gnl|WGS:NBSK|LSAT_4X71080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANISSNNKPHYHARSKSLPSTSHQQSIFNKLYRFQDSQETTTSCSSSSSSALIGNKLNCLNDMYESVQPFLTLPSTQQSLAQGCYKEQLNKFLDELVGFLDLCSTTKDALSISMDCAKELQSVIRRKTGTNHGLTSSIEDYLSQRRKVKKVVCKTLSVLQKQGASSVKGSHIHITQSRINILEEMRLNTLAVFESLLTFILASNTHSRPKGWSLVSKMIGSKRVQCYETLEENKLKKVDDELHAVISYKKTNSDSSVVENIKVGLAEMEFSLLDISDQLECLCRYLIKTRVSILNILSC >cds-PLY81688.1 pep primary_assembly:Lsat_Salinas_v7:3:159558318:159559051:1 gene:gene-LSAT_3X100041 transcript:rna-gnl|WGS:NBSK|LSAT_3X100041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSMFDDFAANGSVASEVRSSMEGSGLGSPGHRYEVRGMVWGKVKSHPWWPGHVFSESLQPLLCEDQRGRVFSFLPSLEISNSTTFVKAVEEAIDEVSRRNALGFTCMCRSKQNFRKTYVKGYDVVNVVDYEHGSVFSINAIEKARASFQPSSALDFISLEPIVEHVGTDLIKNKARVVSYRMAVYEEFDDTYAQAFGHELDHPSPAKGNQLIYYLC >cds-PLY88217.1 pep primary_assembly:Lsat_Salinas_v7:8:149837503:149844400:1 gene:gene-LSAT_8X100840 transcript:rna-gnl|WGS:NBSK|LSAT_8X100840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSVSDLPAMYSLLSNSLSGDVALRKPAESTLAQSENMPGFCSCLMEVITAKDLASQTDVRLMASLYFKNSINRYWRNKRDSSGITNEEKSHLRQKLLSHLREENYQISLTLAVLISKIARIDYPKEWPELFSVLAQQLQSSDVLTSHRIFMILFRTLKELSTKRLASDQKNFAEITSQFFEYSWHLWQTDMKTILNGFSSLTQITNSNASEIHQDELYLTCERWFLCSKIIRQLIVSGFPSDEKSLQEVRPVKEVCPLLLKAIQSLLPYYSSFGEHHLKLFEFIKRACTKVMKILIAIQTRHPYSFGDQNVLPLVMDFCLNKITDPEPEIMSFDQFLIQCMSMAKIVLECKEYKPIMTGRVVDDTVVTLEQRKKNISGAVAGVLSSLLPNERVVLLCNVLIRRYFVLTSNDLEEWHQNPESFHHEQDAVLWSEKLRPCAEALYIVLFHNHSQLLGPVVVSILQEAMSGCPPSVTDMTSGLLLKDAAYGAAAYIYYELSNYLSFKDWFDNALSLELTNDHPNMRIIHRKVALILGQWVSEIKDDTKRPVYCALIRLLQDRDLCVRLAASRSLYFHIEDAAFSQQEFSDLLPVCWKLSFKLIEDVQEFDSKVQVLNTISVLIAYVGDIIPYALELVQFFQKSWEESSGESLLQIQLLNALKNFVVALGYKSPMCYNVLLPVLVSGLNLNSPDELLEDSMQLWEATISNAPSMVPQLLAYFPSLVDILERSFDHLKVAASIIEGYIILGGTEFLSLHASSVAKLFDLVVGNVNDKGLLSILPVIDVLIQCSPSDGPQLISSTLQKMMVICLTGDDRVPTSTAVKASSAAILARILVTNTNFVGQLGSEPSLLMLLQNAGFPVGENILLCLVDVWLDKVDNVNYIQKKTFGFALSIILTLRLPQVLDKLDQILSVCTSVILGGSEDTAEDESSSDSMSSSRPQFPSKEFRRRQMKIADPINQLSLENSVRENLQTCASLHGDAFNTAMARMHPAALAQLKQALKMT >cds-PLY73225.1 pep primary_assembly:Lsat_Salinas_v7:8:178970990:178973096:1 gene:gene-LSAT_8X116200 transcript:rna-gnl|WGS:NBSK|LSAT_8X116200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTATTSATATVLPYDLPSNNLNEAITQKSLLNLLTTKCTTSLQHLKQTHALILKTNHFQDHYVSGALIKCYSYPYFNTFDSSLQVFHQVPNPNVFVWNSVIKACFDNNNPSLALLFYLKMVVSDSKPNKFTYPILFKACMAVKSVEEGGQIHCHVVKNGFMEDGYVKSAGIQMYSSFERLTEARMILDYSESDVICFNAMIDGYLKCGEIESAIVLFNSTVKKNVGSWNAMVSGLAKCGMVEAARKMFDEMPERDEISWSAMIDGYNKNGCFKESLEVFRMMQKAKIKPKKFVLSSVALACANVGSLDQGKWIHGYARRNYIELDAVLGTAFIDMYAKLGRLDLSWDVFETMKTKEISSWNAMIRGLAMHGRANDAIDIFSRMKKERLKPDKITFVGLLNACAHGGLDDVGLQYFNQMEEVFGIEPTVEHYGCVVDMLGRAGRLTEAEEVIHNMPITPSPAVFGALLGACRVYGDVDVGERIGKILIEMDPRNGGRYALLSNIYAKAGRWEDVERLRVLMKENGVKTTTGKSTIDLDGVVHEFKIGESDHPKTREIYAMVDEMIVKLGVEGYVPKTSEVLFDIDEDEKETTLWRHSEKLAIAFGLISTKPGSPIRVTKNLRMCEDCHSAIKIVSRVYERDVVVRDRLRFHHFRNGKCSCKDFW >cds-PLY85231.1 pep primary_assembly:Lsat_Salinas_v7:1:148505129:148505754:-1 gene:gene-LSAT_1X105160 transcript:rna-gnl|WGS:NBSK|LSAT_1X105160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIGGSGEGWWLEEAMNRMNEEDQYEGGLEKVGMELGKRKVKGMLLDRDYLVVTRINGIGGSGKTTLAREIYRDDEVRSYFNNEILFLTISQSPNVQQLRQYIWGFLSRSKFNGSSDIAPQWPRNQYSHRNTMTPTLVVLDDVWLDQILHQLIFNIHGCKTLVVSHIKFPSIIL >cds-PLY97391.1 pep primary_assembly:Lsat_Salinas_v7:4:16010794:16012335:1 gene:gene-LSAT_4X10921 transcript:rna-gnl|WGS:NBSK|LSAT_4X10921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQELIVIDDLLFALVGIEGRYISINRVGGNDNSIMFNVDGSMVLALQDFRVVLDQELGFLCCTYLD >cds-PLY67756.1 pep primary_assembly:Lsat_Salinas_v7:9:164675239:164677273:1 gene:gene-LSAT_9X102161 transcript:rna-gnl|WGS:NBSK|LSAT_9X102161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMASLSLSTLPTSTPTKKPLFSKTSSHVKQSHRFKVSCNSAANNNEKTVKNSETPKLILPKTPLEMQNVDRRNLLLGLGGLYGAANLTSIPSAFGTPIAAPDNISDCVTASSNLQNANDAVRGLACCPPVLSTDKPKDYVLPTNPVLRVRPAAQRATDEYIVKYKAAIQAMKNLPDEHPHSWKQQAKIHCAYCNGGYNQEQSGFPDIQLQIHNTWLFFPFHRWYLYFYERILGKLINDPTFALPYWNWDNPTGMVLPAMFETDGKRNPIFDPYRNATHLPPAIFEVGYNGTDSGATCIDQISANLSLMYKQMITNAPDTTTFFGGEFVAGDDPLNKEFNVAGSIEAGVHTAAHRWVGDPRMANSEDMGNFYSAGYDPLFYVHHANVDRMWKIWKDLGIKGHTEPTSTDWLDASYVFYDENEELVRVYNRDSVNMTAMGYDYERSEIPWLHSRSVPHTKGANVAAKLVGIVKKVEDVTFPLKLNETVKVLVKRPTKKRNKKNKQEANEMLFLNKIKFDGEEFVKFDVFVNDVDDGVETTAAESEFAGSFSQLPHGHKHGTKMSMTSGAAFGLTELLEDIEAEDDDSILVTLVPKIGCDDVTVGEIKIKLVPIV >cds-PLY90593.1 pep primary_assembly:Lsat_Salinas_v7:6:55070849:55074747:-1 gene:gene-LSAT_6X39960 transcript:rna-gnl|WGS:NBSK|LSAT_6X39960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKHAGWGVMASHQSGETEDTFIADLSVRLATVAFLVKGPIYLVCISCTEEPYESLRSQLELLYGQMVLILTESVSRYFEKNPKFDMTPLLGGTDVVFSSLFHSFRNPASFLHAYTYLPLPCETRQAVAAILQDVSDSGVIYSMLMSKYKVVSLVGAQKAQLHPDDMLLLANFVMSFESFRQTRLRAMVARDEFRRRRRSKAATIVQVDLE >cds-PLY67660.1 pep primary_assembly:Lsat_Salinas_v7:8:261421145:261425529:1 gene:gene-LSAT_8X152261 transcript:rna-gnl|WGS:NBSK|LSAT_8X152261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNDEVIWQVLRHKHCSYMAKITAGIFCRNEYNLTGICNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHMPNKLWERVKLPRNYEKALEIIDNNLMYWPKFLVHKAKQRLTKMTQMRIRMRKLALKTREKIMTTPRKEKKREARREEKAEKAAVLDKNIEKELLERLKNGTYGTEIVNAHAEAFNKFIEQFEGPEMDVNEEYEMETEFVEGEYEEEDDIEDYNGLPTGDDDDLDDGDEDDDEEDDAEVAVDRKRGRKDSKYALKKQERDAKKKKGRVLVEFLEGQKFFFDGALTTNLKELHMNPNDLKDVTSTTV >cds-PLY74387.1 pep primary_assembly:Lsat_Salinas_v7:6:153641762:153642132:-1 gene:gene-LSAT_6X92421 transcript:rna-gnl|WGS:NBSK|LSAT_6X92421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLIWMFWSIDREGSVSGGRRGRSDRGKSREAALFLSGSTGRKGKRLSGFWIEGLVWLRGCEGKEKENRSSGAFGGLGKKEEERW >cds-PLY79800.1 pep primary_assembly:Lsat_Salinas_v7:8:15874073:15876333:-1 gene:gene-LSAT_8X13180 transcript:rna-gnl|WGS:NBSK|LSAT_8X13180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMAYENGGFEEEAHLYASPHEDMESLVLHDDDTNNNLSKSNGGAQGRDDNQRHYPHNSFNSFLEPPSYAEAVFRSFDGDHAKQINSHGVASTSMPSSNSNYLKISVTDPREEEDLVAGGNTYVTYLITTWTNLPEFNGTEFSLRRRFKDIVTLSDRLSDSYRGFFIPMRPDKSVVGKQVTQKQEFVEQRRMALEKYLKKLAAHPVIRRSEELRVFLQVQGKLPLMKTTNMASRMVDGAVKLPKQLFGESVESAKGGGDLLRIFKELRQSVTYGWGATKPPLVEEDKEFMEKKMKLQEFELALGNVSLQAESLVKAQQDIGETMGQLGLAFVKLTQFETNEAMFNSQKARARDMKNVSTSAVKTSRLYRELNAQTIKHLDKLHDYLGVMLDVNNAYSDRSNALLTIQTLVSEVSALNSRIEKLEAVAFKVFGGDSSRIQKLEELKETMKVTNEAKNQAVREYEHIKENNKIELERLDKERREDFIGMMKGFVVNQVGYAEKMSSAWEIVANETSGYAERCR >cds-PLY90075.1 pep primary_assembly:Lsat_Salinas_v7:6:17204188:17212719:1 gene:gene-LSAT_6X7561 transcript:rna-gnl|WGS:NBSK|LSAT_6X7561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLHLQHYTLNVLLKILWSVSDIVKPSRGKRKMKRGKDEEKTMGPMFPRLHVNDTEKGGPRAPPRNKMALYEQLSIPSQRFNGGALPFNPNSTANSVPPSSSTQGTMNERATFSPLQQSSSMHPTRMTDNRHSELNNQRVQQQEHKRRQEDDDFRVPIFDQQSGTSQNHQNRENEGITPFGAAAFSGRLSNVQNARQIINKAQKENSQDFTRSASNQLSRQNPKVPLKETNESSNYSHRHASNNGNLRDYRGDSQADNTLWGDSGLNEASKASGYENTSVPVMEALRSPNNNDPTNADAVSETSMVDSICGVDISPDDVVGIIGQKHFWKARRAIVNQQRVFAVQVFELHRLIKVQRLIAGSPQLLFEENLYLSKPPKVTPIKKIPIEYVIKPKNINDENALALEKPNDETEFSAENAPGKASLSTVQNGSQTTYCRPFSGNPLPVPPPPADISSWNYNPPPGHQWLIPVMSPSEGLVYKPYPGPGFMNPVYGGCGPMMGPNFGNYGVPHPSDHHYEGPTGVHPFAPPASHGYFPAYNMPPVNPPVSTQTQTQTQTQTQTRVKSKACKDREVQASTASSRSDKTWNRNALSLFPTSPPPPAAPVAAPAVAQVGVAEEAPRVIRVVPHNARSATASVARIFRSIQEERKQSEDGDIIDCVDIYKQPAFKHPALKNHTIQVALVLTQGYSYSGAKTDIKVWTPYVEKEDEYSTSHVLIQNGDVDDFEIVETGWAVNPSVYGDHETRLYVYWTADGSIKTGCFDMTCPGFVQVSHEVALGAAIYPISNSKGLPYQITVYIYKDPKTNNWWVNYGESINIGYWPGELFVFLKHHGLMVKWGGEVYSTRVKTHPHTATQMGNGYTPSPIFENCGTMKRMRVEQNSEPLMIPEWSDTIVDEYRCYDILYEVDYVDDPIFYYGGPGRSPWCP >cds-PLY73137.1 pep primary_assembly:Lsat_Salinas_v7:2:191211786:191213414:-1 gene:gene-LSAT_2X112800 transcript:rna-gnl|WGS:NBSK|LSAT_2X112800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGTGAKSSNKGLGLSGGDGWEAVATLIVLHQLALSSIIEQHTTQILMTKDQKLKDVDMAHLEQQLIISMSTEDVGYVYTRGYSSRRTETKEKTHEINDLFGSALKGKTEDRVASQLNLLDDDGMNRNN >cds-PLY96353.1 pep primary_assembly:Lsat_Salinas_v7:4:357044222:357045376:1 gene:gene-LSAT_4X175700 transcript:rna-gnl|WGS:NBSK|LSAT_4X175700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCVYSSESGSSRTNLPTGSSSSSSGTPSISKLQLSPDLTSYQDACRSDPDLQSLDSSLQYRTSRVINSLATGVEVRSLSLDSLREVTGSLLDMNQEVVNILIESKEDIWNNEELFSLVKDFFDLSILTLDFCTSLEDCLKNARYSLSFLQIAINQFDGDNDYLKTLEQFKKFEALEGPFSEEFFELFQSVYKQQLSMLKKLQIQKGKVDKKLKSAKTWRKLTNMIFVITFSTVIICSVVAAAVAAPAVLTALAAAAAVPLGSMGKWVNSLWKKYETELKGQREMIRSMRMGNSIVIKDLDNIKALVDKLGIEMQGLVQNAQFAIKEDEEEAVALAVDEMKKTVNDFAKTIDELSDHSDKCCRDVRRARLVIVQKITKHPSGSI >cds-PLY91261.1 pep primary_assembly:Lsat_Salinas_v7:3:74763152:74766215:1 gene:gene-LSAT_3X57780 transcript:rna-gnl|WGS:NBSK|LSAT_3X57780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKMEEETSSSVAPSAAANRRDPYEVLNVSRDSSDQEIKSAYRKLALKYHPDKNASNPEASELFKEVAYSYNILSDPEKRRQYDNAGFEAIDADGTDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEDALSGNVTIKPLPLGSSVSGKVEKQSAHFFGVNVTEEQAQAGIIVRVTSATQSKFKLLYFEQDNGGGYNLTLQEESEKTGKVTSAGMYFLHFQVYRMDSSVNALAMAKDPEAAFFKRLEGLQPCEVSELKAGTHIFAVYGDNFFKTASYTIEALCSATYESSTHKLKDIEQQILRKRTELRQFETEYRKALAQYQEVSNRYNQEKQNVEELLKQRDNIQSSFTAGRPVVVGGKVGNGNNSREESSPSEEDGKDKSSKKRWFNLNLKGYNDKKST >cds-PLY78186.1 pep primary_assembly:Lsat_Salinas_v7:8:177348374:177354732:1 gene:gene-LSAT_8X115601 transcript:rna-gnl|WGS:NBSK|LSAT_8X115601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDHVVVNVSGLTTMEEVKKLGILGKEGTSSENGNHQELEKHKFSFLGNEEEEIEKKACRICHEDDFVKNLEAPCACNGSLKMAHRACIQLWCNEKRSTICEICKQPYQDGYTLPPSAHETILDVNDIDGWDEEFFIRTRLFAAVVPQRPFGINPYDGITIGDRAKAIFILAILLISMDLMMIWLAFSVLVEHIGHMLSIICLIFLVFLMLIYTLTWIDSLSGHRRRRLESAGASTRLTAIPIGMPIPHSMHRRRVLQHQSESDEDKDSISE >cds-PLY74081.1 pep primary_assembly:Lsat_Salinas_v7:9:12675482:12677900:-1 gene:gene-LSAT_9X10441 transcript:rna-gnl|WGS:NBSK|LSAT_9X10441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSIGASSTPFDSFKSKKVLRSSVGKQHSADEADGAPDQMPLSTKTPATPTQPPRRSLTRRQALRSVSQVREAAKHLRKSDLKPSVSSDPLTSSVTPSKTLTTKPKTTKALPKRYEILDEFFNSLQSSIRILGLKGSMSTFTSISRAVESLTDRRFTYSHLAQLKFILPEGIEIKKILVRDERTSCMKPELDVTLNFSIIQDDEKLKSESKNILMTKLFRSRLVSYYKSNPEGDEVPEGMLPEPFNQSSCVLSSNAMKNPNLGSVQDTVSQLQPLVASHMPQSFKRRFSKQVSDNSSAKVACVSIAPSPVQKCSNLPETPIKSFSKNGNISSIDGTPAKLFSTPMSATPAQAVRMPVRSLITPDEEDSIMSPTKSVPNKLTRRSGVRRLFDTPVKNKTPSVKIASSSSSDDDSLCDILSGDLFASIKEKERKAKEENDPAISHAKWRKQMIAGLPKLFDSLLFIFQKRSVITKEELIHTIISCRLEIVDRREVEEQLMLLKELAPEWIHQKMASSGDLLFCVNKISSPESIRTRLSEAN >cds-PLY67030.1 pep primary_assembly:Lsat_Salinas_v7:5:283272544:283273801:-1 gene:gene-LSAT_5X149261 transcript:rna-gnl|WGS:NBSK|LSAT_5X149261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNLSTNVSLEGVDTSAILSEATSTVAELIGKPKAYVMIVLKGSVPMAFGGSEQPAAYGELVSIGGLNPDVNKKISAAVAEILSSKLSVPKSRFFLKFFDSERSFFGWNGSTF >cds-PLY74261.1 pep primary_assembly:Lsat_Salinas_v7:1:77497444:77498349:1 gene:gene-LSAT_1X65560 transcript:rna-gnl|WGS:NBSK|LSAT_1X65560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFLFLLLLILHSTTTTYSQTTASAPAPPGPANITKILEKASQYTILLRLFHMTQVGDQINTQLNNSDQGITVFAPTDNAFSGLKTGSLNSLSDQEKVELVQFHVIPTFLSTLQFQTISNPLRTQAGDSTYYEFPLNITTSGNQVNISTGVVNATVANTIYTDGSLAVYQVDKVLLPMSMFGPPAPAPAPVTEKTKKKSNAVDDTSSSDDGSATADASGTASGKRNLHGFIVGLAGAITFIGMLCS >cds-PLY99540.1 pep primary_assembly:Lsat_Salinas_v7:1:115296418:115297857:1 gene:gene-LSAT_0X16140 transcript:rna-gnl|WGS:NBSK|LSAT_0X16140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEETLFLTVSQSRMYNNYGNIFGGFFQEASLMYNKKSLLGLKFFLPSLRLVRFSGRIESKLHRLSSNGSKIAKHRTLWHVVTKKELAPRPSDRKWKTSKRSHFMRNLIRERITELLKVGKDKRALKVAKRKLRTHKRAKMKRLVEALRRKRGEGQVCKVIRNPGQSYTIFAKY >cds-PLY72630.1 pep primary_assembly:Lsat_Salinas_v7:6:47953998:47954387:1 gene:gene-LSAT_6X34980 transcript:rna-gnl|WGS:NBSK|LSAT_6X34980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKEEPVMGIPYNSNYQHPPVYAPPQQQYYVGNNPNYQPPPPQQYYVGNNQYQFGQIPPNAVVGDPKGVPLQQTIYRDTPAPINYAFCGSAGLSSFRYSYRFLTLLGRFMDLQDKIQSQNHYIENKP >cds-PLY63729.1 pep primary_assembly:Lsat_Salinas_v7:9:86826843:86827382:1 gene:gene-LSAT_9X67041 transcript:rna-gnl|WGS:NBSK|LSAT_9X67041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDNTQAWRRFGAEYLGLYGPEIVIKTHQKFLGHVHVGEEETDSISCYTPFIIVNHALYSCASSAGFGIVPSMKSTLFLGMRNSLISRDQSG >cds-PLY81921.1 pep primary_assembly:Lsat_Salinas_v7:8:120318686:120322286:1 gene:gene-LSAT_8X84641 transcript:rna-gnl|WGS:NBSK|LSAT_8X84641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53900) UniProtKB/Swiss-Prot;Acc:Q9M336] MASARISSTPPVHFTFSSPAPPFLHNLSHSLANNFSCSNRRQPRTRFLSLKSQATAEKKAPLDNRMLVFVPPHPLIKHWVSVLRNEETPCPIFKNAMAELGRLLMYEASRDWLPTISGEIQSPLGPATVEFIDPREPVAVVPILRAGLVLAEHAASILPATKTYHLGISRNEETLEASVYLNKLPDKFPAGTRVFIVDPMLATGGTIMAAIDMIKDRGVANQHIKVVSAVTCPPALQKLSEKYPGLHVYAGIIDATLTDKGMIIPGLGDAGDRSYGT >cds-PLY90316.1 pep primary_assembly:Lsat_Salinas_v7:2:199311850:199313321:-1 gene:gene-LSAT_2X120441 transcript:rna-gnl|WGS:NBSK|LSAT_2X120441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSRIAFLFSTLVIFLISASISASNDGGNETDYQALLKFKSMISNEEGLSSWNSSFHFCDWSGVSCGKQNNKRVTALVLESRGLHGSLSPYVGNLSFLHVFSLRNNNIQGMIPHEIGRLSRLRFLYLGSNKISGVIPTNLFHCSNLKDLGLYANKLVRSIPKEISFLSKLTYLSVYDNNLTGGIPPILGNITSMELFSATRNPLGGSIPDTLGNWKILTEFYSGACNLSGIIPHSIYNLSLLTNLSSPVNQLTGSLPSAIGEMLPHLEFLQLRGNKLTGPIPPSISNCSKLKFLELMDNNFRGKLKIDFAKLKDIYFVSLGNNIYGFGEADDMKFIDTLKNCSRIKVLHLSNCTFQGVLPTSIDAIGNLSLLTGLWLTSNRLEGHIPSSLGNCHHRLELHLDDIINSVEKYLLNFFNLHL >cds-PLY90663.1 pep primary_assembly:Lsat_Salinas_v7:6:51207997:51208221:-1 gene:gene-LSAT_6X36861 transcript:rna-gnl|WGS:NBSK|LSAT_6X36861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFDLASWDSVSRNFSISLHICSYLFLIHRQCIPTIYGNAQVKLDFSFFLELRFGYVCFSLLLLVVIELLVWSL >cds-PLY82526.1 pep primary_assembly:Lsat_Salinas_v7:2:183100372:183101311:-1 gene:gene-LSAT_2X105441 transcript:rna-gnl|WGS:NBSK|LSAT_2X105441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSMMAKAYYEASSDHVKKLAHGFFDSMDNDGDGKIDRREFLEFIRDEGCGQMTNSSFFDQMDRDKNGTLDFFEVMTVYYIVKSGRPFCDKCNKFITSTYLTCVGCLEDPNGGYSFCICLDCYRTQIPKHMHDGLCRFVDNYSLLEAMTKSKLNEQRSMGAKSRPNETNSNPGRPPMAMSNNQLTWNPWLPTVPVPYNSHRPPQLHHSHSWSPGSPSANHTVIIQSNYSYHYPQPPPVQHQHVAAPNNAIVPYRVSSIT >cds-PLY88727.1 pep primary_assembly:Lsat_Salinas_v7:7:193908952:193911075:-1 gene:gene-LSAT_7X114220 transcript:rna-gnl|WGS:NBSK|LSAT_7X114220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGEMKPFKDPIIGGERTDFVESGKSSMCRGSTSTDISDESCYSTLSATISKPHKSNDSRWEAIQSVRLQDGNLGLNHFRLLKRLGCGDIGSVYLCELTGTKSYYAMKVMDKVSLEGRNKLLRAQTEKEILQSLDHPFLPSLYTHFETDKFSCLVMEFCPGGDLHMLRQRQPGKRFCEQAVKFYVAEILLAMEYLHMLGIVYRDLKPENVLVREDGHIMLTDFDLSLRCSVSPTLVNLFSSSLENDPLQKSSGGYCIEPPSSSSCIQPSCVVSTSCFSPRMFRSKKDKKSKSKSEIGNQVSPLPELMAEPTGVRSMSFVGTHEYLAPEIIKNEGHGSAVDWWTLGIFLYELLFGKTPFKGTGNRATLTNVVGQPLRFPESPVVSFPARDLMRGLLVKEPKQRLAYKRGATEIKQHPFFEGVNWALIRCATPPEIPKAVVFERNSSPMTSLCEKKAAAVVVAPEKKGSDNYLEFDFF >cds-PLY96811.1 pep primary_assembly:Lsat_Salinas_v7:2:171438320:171440873:-1 gene:gene-LSAT_2X92941 transcript:rna-gnl|WGS:NBSK|LSAT_2X92941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfite oxidase [Source:Projected from Arabidopsis thaliana (AT3G01910) UniProtKB/TrEMBL;Acc:Q0WWA1] MPGIRSPSDYSQEPQRHPALKINAKASYPFDFFPKDIAQIINTKSESKALGIWTDGEPFNAEPRRQDLVSSYVTPTEFFYKRNHGPIPIVDDIQRYCVSVHGLIEKPKDLYMKDIMNLPKYNVTATLQCAGNRRTAMSKTRTVRGVGWDVAALGNAIWGGAKLADVLELVGIPKLTSTTPAGGKHVEFVSIDRCKEEKGGPYKASIPLSQATNPEADVLLAYEMNGETLNRDHGYPLRVVVPGVIGARSVKWLDTINIDSQVCQGFFQQKDYKMFPPTVNWDNIDWSTRRPQMDFPSAICSLEDVNVGKNGKIAIKGYAVSGGGRGIERVDISIDGGKTWMEASRYQKPGVKYIADEERSDKWAWVLFEAEADINSNTEIIAKAVDTAANVQPEKVQDIWNLRGILNTSWHRVHIQVRHSSM >cds-PLY79033.1 pep primary_assembly:Lsat_Salinas_v7:3:7825911:7828062:-1 gene:gene-LSAT_3X4220 transcript:rna-gnl|WGS:NBSK|LSAT_3X4220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSPCIKEVSRACFRRCCPTQLLGLPEEQSPKQAISPSRYDFIAATAFSLPPNAQFTNHESLPDLERSFSTMKKAYPHYPETDRADRIRSDEFYHLSNNNHVCLDYIGHGLFSYSQQLHSNAPFFNIIYKSVNLYAQITHGGQEQEFESVIKERIFRFMNISSDDYSLVFTANQSSAFKVLADNYPFHTNRNLLTVYDHENEAVETMIESCKKRSGRVDSALFSWPNMRIQSKRLRKSVSVVDKNKKKKKKKGLFVFPLQSKVTGTRYSYLWMSLAQENGWHVCLDANAFGAKDMETLGLSLFHPDFLICSFYKIFGENPSGFGCLFVKKTRSTILNNSSTTTGLVNIVQASNRPLFLQQSGSLNNPGKREDIAMASSSSTSSSSISNQETFEIQEIKGINKEKTELSFSDLWKLDKSVDKNEASTSGVTSDIEFRGLDHADSLGLILISTRVRYLVNWLVNAFGSLQHPHSEDGVPLVRVYGPKVRVDRGPVVAFNVFDWKGEKVEPTLVQKLADRHNISLSYATLKHVSFVDKSCEEKERLVEVKGIENDGRNSPMNKRKEKIEVGIPVIMATVGFLTNFEDVYRVWVFVSRFLDADFVEKERWRYMALNRTTVEV >cds-PLY85483.1 pep primary_assembly:Lsat_Salinas_v7:3:43300853:43308213:1 gene:gene-LSAT_3X33900 transcript:rna-gnl|WGS:NBSK|LSAT_3X33900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSSRGNSSYGQQQQQPKPQSYSGQSAYSQNLGADAASQMPIASRHSTLLGGHPPAGTHYGGQYTSVYGSTALNSALQVPPTSSKGAGPSILEGRSGYGSTMQDSPKFTSGDYPAATQKYGQKGEKMLTDYPSGDRDRLPYTERASAYSGRDLQNESSVRFADSIAFGHQHQPDIYDRLDAASALRQELLHAQSLQSASVDGSSRQADYLARAATVRHGGQELLPYGGRMDADLHAMSMISGTPSILGAAPRRDVEDLVYANPGYGVSLPPGRAYASGKSLHDSDYPSDMLARDGYARLDREIERRDEDRRREILRERERERERQRERERERERERERERERERERERERERRREREIMLERREKERKRGLEVRRERTPPRISRDRGGSTSVKAGRTLRRESPASSHRRHSPVKEKRREYVCKVYSSNLVDTERDYLSMDKRYPRLFISPECTKVIVNWSREELRIPFNTPISFEHDFVQEDTPTEHEKATKTVADGIGKLESGTTRWNAKMILMSGLSNNALEELSSERNYEDRIPHLCNMLRFAFLKDGSSFMAIGGSWDTIDGNDPSVDKSTLVQTVSRYAKELTGLDLKKCQNWNPFLEIHYDRIGSDGLFSHKEVTVLYVPDLSNCLPSIDAWKEQWLAHKKAVAERERLHALKREKSREKKEGQKDKDSGKPKDLKKDEKVGKKKESGTSGSASKTNGTGKTVTKVKAKEVEKEGEKTDAVTEKTEKTEKKDVVETVDEGNTSATKIQTEKATGVQTPGSGKKKIIRKVIKKKVVAEKDGNAPKQTDTTDTKNSEETTTTNTDVIVEEAEPSVTPNPSTVKTKKKITKKTPVVKSVKKEDESTQSEVTPVKEPEGSEEKPKTADVAGANVKTVVKKKIIKRVTKRKIAVKDKVVKETEAGTTIAEGDQGNEIKSENSEAVAEVVPPVTEQQKDSGVSNESGKKQVKEEKKGKTEGSSVIKAKTENDDKSEVKDKKKDKDGKTESKSKEVKDKKKTEEPPRHPGFILQTKGDKNSKLRSMSLSLDSLLDYTDKDIEESTVELSLFAETFYEMLQYQMGSRILTFLQKLRIKFVAKRNQKKRQREEASDKKEKDKTKKSSPKRLKTEDVKAESKSDKTETLQEVGDEKTVVKDEKMVADDVDNTKPEDESEKEEDPEFDPEEDPEEDPEEDDEEMADASPKNEAREDEKKVDMDVKSENVEEKMKVEEDNAAAKVTVNPDTKLQAEEKKENTKKKKEAPVTINKELLQVEDLRLMLHAMGKFMSHRDIKELVQSALLESNTGRDDRILYNKLVKMTDL >cds-PLY98262.1 pep primary_assembly:Lsat_Salinas_v7:7:171790741:171793820:1 gene:gene-LSAT_7X102200 transcript:rna-gnl|WGS:NBSK|LSAT_7X102200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerase [Source:Projected from Arabidopsis thaliana (AT1G78650) UniProtKB/TrEMBL;Acc:Q9SYL7] MADIETLGILDEIQALVSDKLQVVSYKWLSRNFLVPSNTAKKLLQEFVEKQGSQLEVLYSLSGWLKNNPSVYHTKLVLSSKLSEAKEEFGDNYSVQVYSVQACIPKDPAVLWNAEFIQAEELFKEPPNVVNCLRDNRFCGVSNSFVKRNAEGTPIVSKASFQEKKVEESNPKPDKGKDNQNGSHRAQVIEQEVKPVPKKDQAPQLPNKKKAQNDKTSSGTSGSLASMWGRASVKPKPESAPVKTDNPIPVSNDAQVLANELEEDDSSGDEDKQMNFKRGSNGDGNNRKRRVVIDYSDEEDEIKDAVSLASPDPPKKQVSVDSKKSSKKTTALENKSLDFDELKEEKVKVSDIDAKNILKEENHDKKIVKPISNEKICPEKDDVEQKAKGSDAAQKATKKRKVLKTRIDERGREVTEVVWEDEEEKETKPDDNTRKNKDDNNTITTNRPLAAAAAAPKKVGNVQSNATGKAGNKKAISKDPKQGNIMSFFKKK >cds-PLY64367.1 pep primary_assembly:Lsat_Salinas_v7:4:22349080:22351496:-1 gene:gene-LSAT_4X15680 transcript:rna-gnl|WGS:NBSK|LSAT_4X15680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASASFVKLNASSSPWIGQRSFNQRPGSSPRLPATRVSVIRAGSYSEELVKTAKTIASPGRGILAIDESNATCGKRLASIGLDNTEANRQAYRQLLLTTPGLGQYISGSILFEETLYQSTTDGKKMGLVPLPGSNNESWCQGLDGLASRTAEYYSQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHSIDTTLEVAERVWAEVFHYLAENNVLFEGILLKPSMVTPGADHKEKASPETIAKYTLTMLKRRVPPAVPGIMFLSGGQSEMEATLNLHAMNQSPNPWHVSFSYARALQNSVLKAWQGLPENVEAGQKALLTRAKANSLAQLGKYSAEGESEDAKKGMFVKGYTY >cds-PLY71333.1 pep primary_assembly:Lsat_Salinas_v7:7:185335990:185337932:-1 gene:gene-LSAT_7X110740 transcript:rna-gnl|WGS:NBSK|LSAT_7X110740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEFNHFGFSSTNIHQHLPIRRFSQAARVEEHHQFLSDDHNSSWELLHHLDDQVYPPEMAPASADSMEEFQFVDSFFNIENIYNEDSSYNNSFFPEVVLDDTFLIPDDNGDFPMMIDMSMSSSYEDATSPEMSVQPAATVDNQDHVNVNGVDDGLQLVHLLLACAEAVGCRDTQLADSILSQIWYSANPFGDSLQRVSYCFAMGLKSRLIHLQKGTISMANNWEEGDVTREEKIEAFHLLHQTTPYISFGFMVANDAIVQAAQGKDQIHIIDLGMEHTLQWPSLIRTLASTKLIRITGILGERGETAELESEMESVVAGANSVGIEVQFRLIPGPATPAMLTRENLGIKEGESLFVNSILHLHTYVKESRGSLKTILQAIKKLGPTLLTVAEQDANHNGPFFLGRFLESLHYYSAIFDSLEARMGRNSMERMKIERNHFAEEIRNIVAYEGTERVERHERADQWRRQLGRAGFQVVAGMKDRQAMVMKSLGGGDGYTVGNDQKGNVLLGWKGRPIMLAAAWLPSSSS >cds-PLY66094.1 pep primary_assembly:Lsat_Salinas_v7:1:182976890:182977735:1 gene:gene-LSAT_1X119041 transcript:rna-gnl|WGS:NBSK|LSAT_1X119041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQDHALSLDQINVIVGTPTENTFGPNDSILGYNDLTWRTDLTHLHPYVSSSAKASSIINPVMRVAYCILASLIAPREERSTISVLELKILNAMAHPDDNLIPHYDSFLCNKLTCLSTSRSDKISCGGIVRLFSKSAPVWALYSRIHQPLPSETYLTTGVLESMRMFQVEDGNHNWTMGQNHDLRLLITLRTGTSLPLGILTTTPIRKSHHISSLTPSMKRKKRKLEIVTRVARRHHKILLPWVVPTHPIKPDTYPITNNILINSNRSIPASTLTIKISRA >cds-PLY73408.1 pep primary_assembly:Lsat_Salinas_v7:2:38920728:38920974:1 gene:gene-LSAT_2X18100 transcript:rna-gnl|WGS:NBSK|LSAT_2X18100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENIQLKMKLSKCWITRQNEFCFQHFLLLTMGETDDIMEAVKMEAVDLLRVNF >cds-PLY66423.1 pep primary_assembly:Lsat_Salinas_v7:9:38484094:38486243:1 gene:gene-LSAT_9X33741 transcript:rna-gnl|WGS:NBSK|LSAT_9X33741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRRSNRSRHTKFHVFTTVCLIAIIFTYMFNTNTFVSNISFNFTPNTTIPFLSVNLLDNQTFIVNQLKSTSTLHNPVLIVKEVIVQKSVSEDDQNPLVPPINLTKKQRMSWFKNKLPEFDMLNSNQEFEIRAKEFFQDCNVRFFMTWISSPLRVFGKREFLAVDALFKSNPESCLMILSNVMDSDFGFQTLKPIIDRGFRVQALTPDLNFLFKNTPAKSWFDHIKNGNRDPGEIPLAQNLSNLIRLVVLYKFGGVYLDTDFIPLKDFSGLRNSIGAQSVNSLGNWTRLNNAVLIFDKNHPLLYKFIEEFALTFNGNRWGFNGPYLVSRVVEREATELESNFTVLPPLAFYPVDWTRIGGLFLRPVNQAHRKWAETKAAQIKESSYGIHLWNKQSRRFRIEEESIMARLISEHCVICKFFKKSQRLSHNSFSISS >cds-PLY62808.1 pep primary_assembly:Lsat_Salinas_v7:4:31212012:31214870:1 gene:gene-LSAT_4X21041 transcript:rna-gnl|WGS:NBSK|LSAT_4X21041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRNSDSPVQTQMAVAVFNSPLGREYHGTSKMEGMKHFTGRRRVFVQTESGCVLGMELDRSDNAHTVKRRLQIALSLPIEESSLTFGDMVLNNDLSAIRDHSPLLLTRNILHRSSSTPCLSPTGRDTRQKDQSVPVEILGHSSNFNQTKPLVDEVVNAIISGVDPIPVNGGLGGAYYFKNCQGESVAIVKPTDEEPFAPNNPKGFIGKTLGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTALVKITHSIFNVNDSVCNNNNNNNNNKKKTERKKKLFSKIASFQQFIPHDFDASDYGTSSFPVSAVHRIGILDIRIFNTDRHGGNLLVRKMKNSEVGRFSEVELIPIDHGLCLPENLEDPYFEWIHWPQASIPFSDDELEYIEKLDPFHDSDMLRSELPMIREACLRVLILCTIFLKEAARFGLCVGEIGEMMSREFRRGEEEPSELEVLCMDARRLLAEKEIKNENGNENDIAFDNHESENQFQFEIDCEDTISAFDFTPKMSENFVNNNLSFHLRNPLSKLEEAIEEEESEEDVSNLSMSFKNNCSFSDKLKKKTENMSYVGVNSSSGHRSANELMPAGASFVKLSDMNEEEWSLFLEKFQDLIYTAFVKRKSISIGQRQRQRLGTSCQF >cds-PLY76734.1 pep primary_assembly:Lsat_Salinas_v7:7:60829183:60829344:1 gene:gene-LSAT_7X44440 transcript:rna-gnl|WGS:NBSK|LSAT_7X44440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNGTLYHHLHKAESPLNWVQRLKIAIGAGRGLDYLHTGVGTQQGVIHRDVKS >cds-PLY86725.1 pep primary_assembly:Lsat_Salinas_v7:4:7829020:7832088:1 gene:gene-LSAT_4X4881 transcript:rna-gnl|WGS:NBSK|LSAT_4X4881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:High affinity nitrate transporter 2.5 [Source:Projected from Arabidopsis thaliana (AT1G12940) UniProtKB/Swiss-Prot;Acc:Q9LPV5] MEVTQNSDNNDRKFALPVDSEHKATVFRLFSVATPHMRAFHLSWVSFFACFVSTFAAPPLLPIIRDNLNLTATDIGNAGIAAVSGAVFARVAMGTACDLFGPRLASSSLILLTAPAVFLSAIANSPAAFLMVRFFTGFSLATFVSTQFWMSSMFSPGVVGTANGLSGGWGNLGGGATQLIMPLVYSLIHTQIGSTKFTAWRIAFFIPAIFQTLSAFAIFFLGQDMPDGNYVRLENSGEKHKDSFSQVFYHAITNYRGWILALTYGYCFGVELTIDNIIAQYFYDRFNVNLHTAGIIAASFGLANLFSRPGGGILSDVVAKRFGMRGRLWTLWVVQMLGGLLCLLLGKVGSLSASIAVMLVFSVFVQAACGLTFGVVPFVSRRSLGVISGMTGGGGNVGAVLTQVIFFRGSRYSTEEGMMLMGVMMMCCTLPILFIYFPQWGGLFCGPKKGSTEQDYYLSEWSKAEKEQGFHKMSLKFADNSRTERGKKGSSAPIPSNYETSPTFV >cds-PLY81250.1 pep primary_assembly:Lsat_Salinas_v7:4:305840210:305840554:-1 gene:gene-LSAT_4X155940 transcript:rna-gnl|WGS:NBSK|LSAT_4X155940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNSFIEKLGQGGYVSVYKGQLPDRQLVVVKLLDKGMGDEEDFINEIGSIGKTSHVNIDSLLGFCFDGNKRALIYEFMPNGSLDKCLRRDESHLDWNTLFRIAKGIARGLQYLH >cds-PLY62870.1 pep primary_assembly:Lsat_Salinas_v7:9:130676165:130677357:-1 gene:gene-LSAT_9X84520 transcript:rna-gnl|WGS:NBSK|LSAT_9X84520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVFCNNKIPLFFVVLLATLTSLAQSQGTRNGFYQTSCPRVEAIVQSAVAAADRANAGVPPGLVRMFFHDCFVNGCDASILLNGPSTERTAPPNSLLRGFEIIDAAKTELERVCPGVVSCADILALAARDSVLLAGGIARWAVPLGRRDGLVSRAADTANLPAFNDAVDVQIRKFAEKGLNAQDLVTLSGAHTIGTAACALFSYRLYNFNNTNGPDPSIDQAFLPTLRNLCPNGGDGSRRVALDTGSQNRFDNSYYANLRNRRGVLESDQVLWSNPTTQRLVQRFLGVRGLLGLTFNVEFARSMVRMGNIEVKTGTQGEIRRVCSAIN >cds-PLY84223.1 pep primary_assembly:Lsat_Salinas_v7:7:77284221:77287773:1 gene:gene-LSAT_7X53500 transcript:rna-gnl|WGS:NBSK|LSAT_7X53500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGTFLPNRPALPLQLTKPTQSTQQQRLGFNPTTLPPQPPSPQSFPIDPLVQHLIHLSSPPPTTTHKQKPIRSVKSSNTHIEFPSTRSYSKKHAPNRTHFRKPYSSSLLTLEDPKVQLAGIDGDGDGSLDFLSIECKSMLDSILEQSSSSLHLFFYSVKFKLIELDLVSLLKGLDRSGNWEKALSLFEWVLEDSRTNQSFNIDNQMIELMVKILGRESQHTIMSKLFDKYGVGDYSLDVRAFTTIIHSHSCTGKYEKAIYLFDRMKAIGLSPNLVTYNVMLDVYGKMGRSWDKILNLLTEMKTEKLEFDEFTCSTVISACGREGLLEEAKTFFASLKSQGYKPGIFTYNSLLQVFGKAGMYLEALNILKEMEENNCTPDLVTYNELVAAYVRAGFHEKGVDLINTMAQKGLKPNAITYTTVIDAYGKCGKEDKALSLFNVMKKSGCVPNVCTYNAILGMLGRKSRSEEMMEILLDMKSNRISPNRVTWNTMLAMCGQKGMHLYMNWVLREMKSCGFEPDRDTFNTLISAYGRCGLEIDAGEVYREMIKVGFNPCITTYNALLNALARKGDWKSGESVILDMKSKGFKLSETSYSLMLHCYSKGQNFKGLDAMAKEIYEGKIFPSWMLLRTLILANFKCRSLSGMEKAFQELQKHGYKPDLVIFNSMLSIYARNKIYDRARKILDLIHENGLQPDLVTFNTLMDMYARTGECWEAEEILKSVQNSGRKPDLVSYNTVIKAFCRQGLMDEARRVLSEMTNNGIRPCIVTYNTFVAGFAAQGSFLEVNDVISYMIEHDCKPNMLTYKTIVDGYCRAKKYQEALEFVGSIRKMDSSFDEHSLQMLASCARTNVL >cds-PLY97956.1 pep primary_assembly:Lsat_Salinas_v7:3:18401704:18406230:-1 gene:gene-LSAT_3X14141 transcript:rna-gnl|WGS:NBSK|LSAT_3X14141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTNHDRSESQNGDSEHNPDPKSPPHEDPAIQSTDGPSPGNDVDHPSKLDQIITSNDTDIDSTNVDPEPELEPEPPKEPTPTVLPKDQIIPSNDTDMDSTNVDPEPEPPKEPTLTVLPKDEAKPSVAMRELLGELKNVDVNEDSPSAAVATPSRSQDNKQPKGRNSAAMALINSISSSDEEGRTRQRMLKFAAKRYASAIESNPDDYDALYNWALVLQESADNVNADTNSPSKDALLEEACKKYAEATNLNPALHDAYYNWAIAISDRAKLHGRTKEAEELWKQATKNYEIAVKLNWNSPQALNNWGLALQELSAIVPAREKQTIVRSAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTSRTGVPVVGNEIPFNELYSQSAIYIAAAHALKPNYSVYSSALKLVRTMLPLPYLKVGYLTSPPVGNPVAPHCDWKRSKFVLNHEALQQIHDDQQKQISGDRTSIRVEILNIVSVKECSDLTLPLGPSFSIDTIHGPFFLIADSREYMDTWLDAIRLVYTIFARGKTDVLAGIITG >cds-PLY77760.1 pep primary_assembly:Lsat_Salinas_v7:2:170330177:170330581:1 gene:gene-LSAT_2X91001 transcript:rna-gnl|WGS:NBSK|LSAT_2X91001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFLQKLFRKKQSSTSLITNLNRRILSQSTHLLDPKPGLTVAPIPFFNGLPKTTDESNLNPPHLSAFYPTFAFESFLNPISQLGFIQHVVPEEDIVSGDDERGIWADSVKKKRKKKMNKHKLKKLRKRLRRKT >cds-PLY72983.1 pep primary_assembly:Lsat_Salinas_v7:8:64666242:64666627:-1 gene:gene-LSAT_8X46180 transcript:rna-gnl|WGS:NBSK|LSAT_8X46180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTATTNHRQMQEDDVEYTTVAIHSQVLKIKQELEKTMQPELRSVLREFSRQPKRSRSPLGITNRRISVGNA >cds-PLY74354.1 pep primary_assembly:Lsat_Salinas_v7:5:73809679:73809843:-1 gene:gene-LSAT_5X34701 transcript:rna-gnl|WGS:NBSK|LSAT_5X34701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYDNPHHDAKLRGVCVPLPSSHCKGTDGACYCCIGPNMNICIRGLSLCNTICS >cds-PLY79935.1 pep primary_assembly:Lsat_Salinas_v7:3:109182721:109184400:1 gene:gene-LSAT_3X79720 transcript:rna-gnl|WGS:NBSK|LSAT_3X79720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTSRKKIQKDKDVEPTEFEESVAQALFDLENANQDLKSDLKDLYINTATQIDVSTNRKAVVIHVPYRLRKGFRKIHLKLVRELEKKFSGKDVVLIATRRMVPPPKKGSAATRPRSRTLTAVHDAMLEDIVYPAEIVGKRIRYRIDGSKIIKIYLDPKARNDTEYKLETFAGVYRKLCGKDVVFEYPITEA >cds-PLY89962.1 pep primary_assembly:Lsat_Salinas_v7:2:191840984:191844141:1 gene:gene-LSAT_2X113481 transcript:rna-gnl|WGS:NBSK|LSAT_2X113481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPNILFLSSVLFLLLTISSAVDTITTDKAIRDNDTIVSDGEMFEFGFFTPGNSKYRYLGIWYKKILPQTVVWVANRETPLTDTSGVFKVNSMGTLLLLNGNNTLIWSSNSSLSVTNVNIVAKLLDSGNLVVHDNMSSTDEDPIWQSFDYPTDTLLSGMKFGKDFITGINRCLTSWKSLDDPSPGLYVTWLDTNGYPQSFQRRGSVITLRFGPWNGVRTNGLPSKPNPIYRYDFVLNEKEAYFIYNLINTSFLSRLVIGPEGHEMRLNWDDPSQGWVPYLAATVDICAPYGLCGSYGSCNINSSPVCSCMEGFEPKHPKEWNAGDWSGGCQRKKPLKCGNEDGFQAISGLKFPDTRKSWYNLSMNLGECKRECKRNCSCTAYANVDIRRGGSGCLLWFNDLMDIRESDEDQDLYIRMAASELTGIKSGFNKKKVIEVALGSSIGFILICLAVVVYIRKKWSHAQIQGTLMKTLCEDYTDGGQNKDVELPFFSFSEVSKLTNNFSIDNKLGQGGFGPVYKGVMEDGREIAVKRLSETSTQGLDEFKNEVRCIHRLQHRNLVKLLGFCAQKKEIMLIYEYMPNRSLDQILFDETRSSMLDWNHRFRIISGIARGLLYLHQDSRLRIIHRDLKAGNILLDNDMNPKISDFGLARRFKGYETGSNTKNVVGTYGYIPPEYAVHGIFSTKSDVFSFGVLVLEIVSGMKNREFSSQEHGDNLLGHAWRLYKDDKSLDLVSGSLRESCIILEVLRSIHIGLLCVQNQPEDRPTMSSVVLMLGNDGVLPQPKPPAFFTEPDLHLLAPTTQQYSAVNITTSLTGR >cds-PLY90750.1 pep primary_assembly:Lsat_Salinas_v7:3:35547947:35550082:-1 gene:gene-LSAT_3X27220 transcript:rna-gnl|WGS:NBSK|LSAT_3X27220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein NPY1 [Source:Projected from Arabidopsis thaliana (AT4G31820) UniProtKB/Swiss-Prot;Acc:Q8H1D3] MKFMKLGSKPDTFQADHESNSIRCVSSELETDFTVTVDDVKFYLHKFPLLSKSNRLQKLALSASEEDSDVVHLVDFPGGPKAFEICAKFCYGTTVSLSPYNVVAARCAAEYLEMTEDIDKGNLIFKIEVFLKSSVLRSWKDSIIVLQTTKSLQQWSEDIEITTRCIESIASKTAIDPSYVNWSYTYNRKLAATNRVAEVGSVPKDWWAEDLCELDIDVYKRVMLAVISKGKVEGDVIGEALKTYCLMWLPDCNDPFETDDAHVEKYKSLVESMIFLLTLDKGVDCSCSFLLKLLKVSILVEVDPLIRDDLIRNVSLKLDEASVHDLLIPAEHPQTTVYNVELVQFLVDRFLNPKSVDEEEETKDLVLVNPRRCTTVGRLIDGYLAEVGRDKNLTLSAFMTLSRSIPDSARPVHDGLYGAIDGYLKEHPSLTKEEKKKVCELIDVKKLTSKASSHAAQNDRLPLRMVVQILFHEQARVTSAVKLAALTKQEPVEQNWEIKVPLRSKSLRTPSRMKMNDDGDLEKQVMSSGGIRQLVPSRSRRILDRFWVVGMGQRRSHGESKSTVTSGSSQTKSSGSSSRKQRFSIS >cds-PLY98361.1 pep primary_assembly:Lsat_Salinas_v7:5:316721094:316721573:1 gene:gene-LSAT_5X174300 transcript:rna-gnl|WGS:NBSK|LSAT_5X174300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRKEIERKFHDSWINMLYTPPSPPPPGNKYDQQTLDLAREIANSDHHHTNELEREAETKFSASGEEDDELGSQKLTRAQRKRLRRKKLKEAASHRRQIIGPELPPTGDDQIDDEVNNVHE >cds-PLY86954.1 pep primary_assembly:Lsat_Salinas_v7:5:255408663:255410351:-1 gene:gene-LSAT_5X131401 transcript:rna-gnl|WGS:NBSK|LSAT_5X131401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g15930 [Source:Projected from Arabidopsis thaliana (AT3G15930) UniProtKB/Swiss-Prot;Acc:Q9LSB8] MIKGYSRTALTQHAISMYIQMLRTNLKPDHYTFPFLLKGFTRSISSKIGKAIHSHVYKFRFDSNPAVQTSLLHMYSLYGNMDDTRRVFDTSLKNDPIAWNIMITSYNRSKLFNESKNLFDKMEKTQVKPTLITLVSILSACSKLKDINGTKHIHQYIKNNKIDPNVKLNNALLDAYATCHEMDTALEIFTNMKTRDVISWTVILSGFLNIGKFDLARDFFDRIPVKDSVSWTTMINGYVSQNRFKESLTLFKKMQDAKIEPDEFTMVSVVTACANMGALELGEWVKVCIDKSHNLKIKNDVFVGNALIDMYFKCAEVGKAVDVFKKMVKRDKFTWTNMIVGYGMNGFGNEAINMFNEMMKESIKPDGICYLGVLCACMHSGKVDEGRKLFDDMRIHHGIEPEVEHYGCMVDLLGRSGRLSEAYEFINVMPIRPNSVVWRALLGACGIYKDVDLGEIVARKLVELEPDNGAGYVLLCNIYGACNRWEDLREVRNLMMNKRVTKTPGCSCIEVYGSIHEFVVCDRSHFRCDEIYSMLERITHDLKVSGYTPQSNETFLDFGEWE >cds-PLY71649.1 pep primary_assembly:Lsat_Salinas_v7:9:134843409:134844931:-1 gene:gene-LSAT_9X87001 transcript:rna-gnl|WGS:NBSK|LSAT_9X87001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMSCFPRYRLGRILGMFQIVLGALVIIVSVSSLYRFYSAGFFIHNEDICKQFYGVRDVYEGFDVMALSAAVDEVLHKMLSLQETLESTVEQLEKNKDLSKTNISMLDYKNFIQQQVIQPLYTAHITLRQIRLPKIENGTTNEDPLINTFVTEEIKKYITPKENRVGKKNIYGTEKVYNTIGHGCVSMKKELEEYMDYDIGSFCKDDWNIAQNLMIKGCDPLPRRRCLTRASKLYQKPYPINESLWRIPDGRNVRWSHYSCRNFECLSSKNPKRGFSKCIGCFEMEKERVKWVINTTVSTDFLIEDVLRVKPDEIRVGVDFGIGTGTFAGRMREHNVTIVSTALNLGAPFNEMIALRGLIPLYVTLNQRLPFFDNTMDLIHTTGFMDGWIDLQLMDFILFDWDRVLRPGGLLWVDRFFCSRKDLDDYMYMFLQFRYKKHKWAISNKSKDEVFLSALLEKPPRSL >cds-PLY99447.1 pep primary_assembly:Lsat_Salinas_v7:6:20648979:20651197:-1 gene:gene-LSAT_6X16320 transcript:rna-gnl|WGS:NBSK|LSAT_6X16320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLVVGAVVSTIVTVRRHKDIEINVRKAGGKKTGIIAATAVTTAATTATVIILCKHTDFKKVCEETLSRVGLKEPRDLVKANFNAAMESILVAIEKSYTLQVAAKDPMAAKAIEQCNELLHVSIEDLKRSVDQVNIFDLPKLKQNIIDLRVWLSGAVTWQETCLDAFENTTGDSKMSMWKFMETGNRLTRNSLAILDGVAELSIDVQKSPRKVPKPRPKPLTMPISVNWKADLTINPMALRQDTGNGMNNNPADSQPATVGGDSKPMTGNRRMLDQLDDDFQDPVVHSNLQMVGDSSSKDDEIPTPETKPVMPLQQDDNTQYPSWADGPRRSLINADPSTLKPNAIVSQDGTGNFKTITEAVDSVPQKQTEPFIILIKAGTYKENVAIPRHSNNIVLLGEGPATTKITGNKNFIDGVSTFHTATVAVNGDGFMAKDLWFENTAGPEKHQAVALRVSADMAIFQNCVMDGYQDTLYTHSYRQFYRRCNVSGTIDFVFGDAAAVFQDCHMIVRKPMSNQACMVTAQGRKDSHSVGGIVLQGCTITAEPNFLNAAPMPKSYLGRPWKEYSRTIIMQSFIDKNIDPEGWAPWTGTFGLDTCFYTELDNKGPGADTSKRVKWKGVKRMSPREASKYTPARFIQGDSWIKGTGVPYDSGMMNI >cds-PLY95877.1 pep primary_assembly:Lsat_Salinas_v7:5:317547036:317547983:-1 gene:gene-LSAT_5X173741 transcript:rna-gnl|WGS:NBSK|LSAT_5X173741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein B6 [Source:Projected from Arabidopsis thaliana (AT5G07110) UniProtKB/Swiss-Prot;Acc:Q9LYQ4] MSSTQSLPISDPPSSTTGNAAWSSTISATPALRAFLARLTESTRRAFARRRPWYELIDRTAFSKPDSLTDATSRIRKNFNYFRVNYLTLLSGVLAFSLLSHPFSLFTIVSLLCAWLFLYLFRPADQPVVINGRTFSDKEILGILVVLTVFVVFLTSVGSLLMSASLIGLGIICVHGAFRDPEDLFLDDQEIPGTGLFSSFTGAATSAAVADVMARV >cds-PLY77860.1 pep primary_assembly:Lsat_Salinas_v7:1:22358289:22359615:-1 gene:gene-LSAT_1X19541 transcript:rna-gnl|WGS:NBSK|LSAT_1X19541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATRLIRAWRPSSAISAPSSSQTTLTSVKVKCMSNAIGFSLENNRLPGDGERKPVVLAKAASCSEVAVNVVVAAPTQTSQGVDVATLVAIVRTSVFRRLYVVTRSRSWRSIVQLFIEKAIIDCRFFTMFAVAGTLLGSVLCFLEGTFLVFESYFHYFHSLSHHSDHGRIMQLLIESLDMFLIGTAMLVFGMGLHVMFVGSQSQGSQLPSSNFFGNFHLKEIPSWVGMKSVTQAKSMIGYALMLLLQVGVLEKFKSVPLVTGLDLACFAGAVFVSSAALFILSKLSLDT >cds-PLY74814.1 pep primary_assembly:Lsat_Salinas_v7:5:59274997:59275650:1 gene:gene-LSAT_5X27740 transcript:rna-gnl|WGS:NBSK|LSAT_5X27740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHRNNESPLISTHLTNVREFLYAILFLLLVAAYLACTRLLFVFRASSELQIEFEKVKSMMIPSSMIDLRKLLDRYHTSELNSFWLKNLFLVAVKKLGYSLGGNMLLGGGPAYRVKSIRSKKKYLNINLIDIIDLISIIPNPINRITFSRNTRHLSHTSKEIYSMIRKRKNVNGDWIDDKIESWVAKCDSIDDEKREFLVQFSTLTTKKKKELIKFY >cds-PLY80172.1 pep primary_assembly:Lsat_Salinas_v7:8:116129112:116131125:1 gene:gene-LSAT_8X79680 transcript:rna-gnl|WGS:NBSK|LSAT_8X79680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNQSVSSKLEPWHDLSGKVVMVTGASSGLGRDFCIDLAKSGCRIIAAARRIDRLVSLCDEINQLGRNGGAVTPGNCEIADDVRAVAVELDLSGKGPAIEASVKKAWQAFGRIDGLINNAGVRGNVQSPLELTEEEWESTTRTNLTGTWLVSKYVCIRMRDAHHGGSIINISSIAGLDRGQLPGGLAYATSKTGVVTLTKVMAMEMGAYKIRVNSINPGLFRSEITEGLMEKDWLNNVAERTVPLRTFGTADPALTKLARYLIHDLSRYISGNVFIVDAGVTLPGVPIFSSL >cds-PLY68240.1 pep primary_assembly:Lsat_Salinas_v7:3:103463964:103466270:1 gene:gene-LSAT_3X77440 transcript:rna-gnl|WGS:NBSK|LSAT_3X77440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQLLHMKGGNGDASYSNNSLLQRKVISMTKPILKKATVDLYSAMNFPKTIVMADLGCSSGPNTLLVAATFIKMINEISLKMGHEPPEIQIHLNDLPGNDFNIVFLSLPKFLESLRKDVCHSNPSTPSCYFSGVAGSFYTRLFPSKSLHFVHSSYSLMWLSQVPELEETNKGNIYMSSTSPSSVIRAYYQQFQTDFSMFLKCRADEMVSGGRMVLTILGRRSDDPCSKECCYIWELLAMALNDMVYEGRIEESQMDSFNIPQYTPSPKEVKNEVEKEGSFLIDCLDVSEVTWDSSTNNNIIRLEECKESDIGGYNMAKCMRAVAEPLLVSHFGLSITKEVFLRYRKIIIKCMSKEETKLINVTVSMTTKS >cds-PLY77726.1 pep primary_assembly:Lsat_Salinas_v7:9:19482962:19483835:-1 gene:gene-LSAT_9X14641 transcript:rna-gnl|WGS:NBSK|LSAT_9X14641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGYVEEITRPVTAGEVLKNYPNHVLSKPCSQGVVRRILILSNSSELKRGSIYFLIPSSSVPENKRNPQKKDPKKPKKIPTVAVGQPADVSTGENTAPLNSSDVVVVSEKKKLGHRRARRTVQGGEWRPHLESIFEE >cds-PLY81268.1 pep primary_assembly:Lsat_Salinas_v7:MU041743.1:2269:3018:1 gene:gene-LSAT_0X45480 transcript:rna-gnl|WGS:NBSK|LSAT_0X45480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMNKSLFLTYLYLLIYILLSSGVILYNKWVLSPKYFNFPFPITLTMIHMGFSGAVAFFLVRVFKVVTPVKMTFEIYATCVVPISAFFASSLWFGNTAYLHISVAFIQMLKALSMQNCYLYILFL >cds-PLY70988.1 pep primary_assembly:Lsat_Salinas_v7:9:69905217:69910456:-1 gene:gene-LSAT_9X60180 transcript:rna-gnl|WGS:NBSK|LSAT_9X60180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKDVVAGSVAGVKDLPYNIGEPYSSAWGSWIHSRGTSKVDGSPVSIFSLTGSNSGDGRLAAGRNGVKRLRTVRHPNILSFLHSTEDEIIDGSSTKVTIYIVTEPVMPLAEKMKELALQGTQRDEYYAWGLHRIAKAVSFLNNDCGLVHGNVCLESVVVTQTLDWKLHAFDVLSEFDGNNETASGPMLLYDWLVGTQYKPVELAKSDWTSIRKSPPWAIDSWGLGCLIYEIFSGLKISKTEELRNTSSLPKSLLPDYQRLLSSTPSRRLNSSKLVENCEYFQNKLLDTIHFMEILNLKDSVEKDTFFRKLPTLTEQLPREIVLKKILPLLGSALEFGSAAAPALIPFLKIGSWLSPEEFNIKVLPTLVKLFASTDRAIRVGLLQHIDQFGESFTAQIVDEQVYPHIATGFSDTSAFLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNLATHLNEGTRKRVLINAFTVRALRDTFPPARGAGVMALSATSSYYDAQEIAVRILPNVVVLTIDPDSDVRSKAFQAVEQFLQIVKQYHEKVSNGDNSELTGSGISSLPGNSSILGWAMSSLSMKGKPSEHTHTPHLSASSSAPNISNMPNATSVVADVQSATMVRACSTADIADHHHHNHEAAAPVSTMSINDGWGEIENGNENENGVVNNVIENEEKNGWDDFLPLEDINPPPALSSIQAAQKRPLHTKPQVPNMRGKHATSVSKNEDEELWGSVSGHPPKAAASTKKAAVDNDDDPWAAIAAPPPTTRAKPLAASSGRGRPSKPALPKLGAQRINRTSSSGV >cds-PLY98764.1 pep primary_assembly:Lsat_Salinas_v7:1:8319457:8321009:1 gene:gene-LSAT_1X8460 transcript:rna-gnl|WGS:NBSK|LSAT_1X8460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-interacting partner 15 [Source:Projected from Arabidopsis thaliana (AT1G76920) UniProtKB/Swiss-Prot;Acc:O49279] MENIFSQLPQDIIHQIFSRISLQQVIVCRSVSKILNTTLSSPSFTHLLATTSPFPLLALRPPHRYHHLSPSPVLHLFDPTESKWLHFSLSFLPFPSAHPIASASSLGLLYLWADSPSPDSNKSLVVCNPLTRQYKTLPQLGSAWSRHGSVLVDSVNNRVIVLNELAALYYSNSTDSWLKFSSNLPSKPRSPILVNDSIIALCDVGSPWRSQWKLFTSTIQNLQTSQPWNTLEKYEWGDVFDILKRPRLLKGFGNMILMIGGLKSSFSLNASCSTILILKLDLCTLEWGEAGRMPLEMFRCFQESSKFKVFGGGNKVCFSAKRVGRLALWESNEKGKEEWRWIDGVPGNGDGLYRGFLFDARLDASP >cds-PLY71856.1 pep primary_assembly:Lsat_Salinas_v7:3:59798187:59799723:1 gene:gene-LSAT_3X46740 transcript:rna-gnl|WGS:NBSK|LSAT_3X46740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPILTVLEESQVSPPPATIGDRSLPLTFFDFSWLAIPPMHTLYFYELPITKTHFTETIAPKLKNSLSIALQHFFPFAGNLIVFPSPSKKPEIRYVEGDSVKVTIAECNLDFNDLSGNHPRECDQFYHLIPILKRTAEAFDYITIPILTVQVTLFSNCGFSIGITNHHAVGDAISNFDFLKAWTSIAQSGTDESFLAKGTFPLYDRLVKYPKLLDDVYLGYAKVETFNEKYQPPTLSPTDKVRATFILTRDVVNRLKKLVSNQLPTLPYVSSFTVACGYIWSCIAESRNDELQLFGFSIDCRTRLDPPVPMEYFGNCLMYTAATEKPTLLTGKEGFVTATKLMGENLHKTLNDKDGIVKDMDWFFKLLSEEMPTTMINVAGTPKLRFYDLDFGWGKPKKYEIISIDYSESISMLASKEPNGDVEIGLCLSATEMEAFVRIFNDGLETYI >cds-PLY95053.1 pep primary_assembly:Lsat_Salinas_v7:5:228067439:228069153:-1 gene:gene-LSAT_5X108261 transcript:rna-gnl|WGS:NBSK|LSAT_5X108261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTKAPISVDGIGATRNPRWSLAGVTALVTGGTRGIGYAVVEELAELGAAVHTCSRNEAELNQRLQEWSAKCFNVTGCVCDLSSRPQREQLVEQVSSLFGGKLNILINNVGTNIRKPTTEYTPELYSMLMSTNLESSYHMSQLAHPLLKASGAGSIVFISSVAGLVHVGVGSVYSAIKAAMNQLTKNLACEWAKDNIRSNCVAPWFTKTSLIEEVLDNKEFLDSVASRTPLKRLGEANEVSSLVAFLCLPAASYITGQTIAVDGGFTVNGFM >cds-PLY80480.1 pep primary_assembly:Lsat_Salinas_v7:2:135895221:135896639:1 gene:gene-LSAT_2X65001 transcript:rna-gnl|WGS:NBSK|LSAT_2X65001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNFKLAYNLLRRPDGTFNRHLAEFLDRKVPANVNPVDGVFSFDIVIDQTINLLSRIYRPANDTEPDATLSTTFSQLKKPVSTSDVVPIIIFFHGGSFAHSSANSAIYDILCRRLVTLCNAVVVSVNYRRAPENPYPSAYDDGWTALEWVNSRPWLQSKDSKAHIYLAGDSSGGNIVHQVASRAVKSGIQVLGNILLNPMFGGNTRTESEKRLDTKYFVTIQDRDWYWKAFLPEGEDRDHPACNPFGPRGVTLEGVKFPKSLVVVAGLDLIQDWQLAYADGLKKAGQDVKLLYLEKATIGFYLLPNNDHFHTVMDEIRSFVTPISDCE >cds-PLY74421.1 pep primary_assembly:Lsat_Salinas_v7:6:11376293:11378623:-1 gene:gene-LSAT_6X9001 transcript:rna-gnl|WGS:NBSK|LSAT_6X9001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLYVQAVPPTDLNRNTEWFMYPGVWTTYILILFFAWLVVLSVSGCSPGMAWTTVNLCHALVTYHFFHWKKGTPFADDQGIYNRLTWWEQIDSGKQLTRNRKFLTVVPVVLYLIASHTTDYQNPMLFLNTIAVFVLVIAKFPHMHKVRIFGINAEE >cds-PLY68654.1 pep primary_assembly:Lsat_Salinas_v7:5:156194735:156197858:1 gene:gene-LSAT_5X68141 transcript:rna-gnl|WGS:NBSK|LSAT_5X68141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGALMSKFWFMMFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTHPTVGSNVEELVYKNIRFEVWDLGGQERLRTSWATYYRGTHAVIAVIDSTDRARISIMKDELFRLLPNEDLQNAVLLVYANKQDLKDAMTPAEITDTLSLHSIKNHDWHIQACSALTGDGLYDGLGWIAQRVTGKATS >cds-PLY66930.1 pep primary_assembly:Lsat_Salinas_v7:7:17459542:17461917:1 gene:gene-LSAT_7X13361 transcript:rna-gnl|WGS:NBSK|LSAT_7X13361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase HT1 [Source:Projected from Arabidopsis thaliana (AT1G62400) UniProtKB/Swiss-Prot;Acc:Q2MHE4] MGISCFNPFRFKKKEKPISYPSSSSKSPWKTEMENMERKRFDSLESWSMILDSENVETWEVAKEDQEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPTHKEETRIMLEQQFKSEVSLLSRLYHPNIVQFIAACKKPPVYCIIMEYMSQGTLRMYLNKKEPYSLSTETVLRLALDISRGMEYLHSQGVVHRDLKSNNLLLNDEMRVKVADFGTSCLETQTQESKGNMGTYRWMAPEMVKEKAYTRKVDVYSFGIVLWELITALLPFQGMTPVQAAFAVAEKNERPPLPASCQPALAHLIKRCWAAEPSKRPDFTEIVQALEKYDECVREGLPLTLHSGLITKNMILDRLKCCVAMSSNSIPVHA >cds-PLY73448.1 pep primary_assembly:Lsat_Salinas_v7:4:179366920:179369512:1 gene:gene-LSAT_4X106240 transcript:rna-gnl|WGS:NBSK|LSAT_4X106240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPSTLFQGPRNWMLLPGDYSRHLRRRFLLSSSPIHLQDSDPVCPLLHNRCVVAYFHDDSRRPQRRPLSSSSSTSSTTASDGGYEDEQHKQAAREAVSEILQENGVSKEESMEIAMKSPKYLEMLMDSVRDLDELFQSATITTSSSTTTCSSISGYKKKVFDMGKQKGDKGLVPVLESMVGLPLPSAMHIARYLSSHTPPNLLHKVKYLKQILFSDVDGKVPIGRSGRRMMMHLSVPVDEDVQQTLSFLEKIQARRGGLDLLSSGDGSFRYLIESFPRLLLLSVESTIKPMVNFLESIGVPKGCIRNVLLLHPPILFYDIKKEIKPRMQLFTKVYGEDKEFGKMLLKYPWILSTSIEKNYEEILAFLYAEKVAEVSIHRAIKSWPLILGCSVRKMKLMIDQFHELDITDKMLHQVIATSPQLLIQKPQEFYKVVSYLKDLGLEEETIGRILGRCPEIFMLNIDKTLKKKVEFLSEIGVSKNHFPRVIRKYPELLVCDVNKSLHPRMMYLLKIGLSKREIAFMVRKFSPLLGYSIEEVLKPKYEFLVKTMQKPLKEVVEYPRYFSYSLEKKIKPRFWVIKGLNCECSLQDMLGKNDEEFAADYINLPPPP >cds-PLY76029.1 pep primary_assembly:Lsat_Salinas_v7:5:318281940:318284523:1 gene:gene-LSAT_5X175501 transcript:rna-gnl|WGS:NBSK|LSAT_5X175501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHQWLSLVGTIWLQSISATNSNFPSYSSQLKQLLSLSQLQLNNLAFASDSGKLFGFLSGMAADHLPLWLVLLIGSSLGFIGYGVQYLFLVTNKVSSLPYSLLFLLTVLAGNSICWINTVCYMIAIQNFPLDLQLAVGLSTSYQGLSAKIYSAVVDVVFKSSSLTSIQTTTSYLLLNSILPLVVCLITSPFVTVVTTARSKRLSRGFLVIFTITIFTGTYAVITSLESRKRLMFTPLVILIGMCVFLLAPLVVPLVEKLREKMEHKCLIRSYGKKVCDESSMEENGLGVVDEHCVKDEGVVMCDGEEMEAKVMVKRLNFWLYFFVYLFGATIGLVYLNNLGQIAESRGNSSTSSLVSLASSFGFFGRLFPCLLDYHLSRAKYKISRPALIALMMVPMTGAFFLLLNGSNICLHISTAIIGICTGAVSSASVSTTAELFGAKNFSVNHNIVVANIPIGSFVFGDMAAFLYRKQGLANGYNGKCMGVKCYQTSFVIWGSLCFLGTFLAIILHSRSRKA >cds-PLY62275.1 pep primary_assembly:Lsat_Salinas_v7:5:161935151:161935778:-1 gene:gene-LSAT_5X70761 transcript:rna-gnl|WGS:NBSK|LSAT_5X70761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVSNAIFLPNSIFLDVVSSTDPPISFDDNNSVRPTNSAYEFESGGEATHQVKSVVPPKIS >cds-PLY85788.1 pep primary_assembly:Lsat_Salinas_v7:8:250529379:250530828:1 gene:gene-LSAT_8X147781 transcript:rna-gnl|WGS:NBSK|LSAT_8X147781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNFVEVFPYNFSSSTSLTAASSNLSLNLMKDNSKTTYSDYNNSHNQLEDDTELDLIGKNPKCSSHEVVVDHVPPTTSSGIGNSEGNDFNSVSGSKLINTIKKGEKKIKKAKCAFQTRSQVDIIDDGYRWRKYGQKAVKNSKFPRSYYRCTYQECNVKKQVQRLSKDEGVVVTTYEGTHSHPIEKSTDNFEHILTQMQIYSSC >cds-PLY90336.1 pep primary_assembly:Lsat_Salinas_v7:2:198545580:198547087:1 gene:gene-LSAT_2X119660 transcript:rna-gnl|WGS:NBSK|LSAT_2X119660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTNTSIEIRHPPPPSLPVLQEAEAVLFSYTTIWHVFWFYFGFIQQYYYYIYSSKSKMATSATTSDVQVIPFHNCKGCIDKMKKAFRKLNGVELIEMDPEIGIFTISTLENLEGIRAALQKKFRKKSFIVLPGPLPPLPPPTTEPSAPPMPITPEEDYYVIGYPIDSTTTEEDYYVIGYPVDP >cds-PLY72633.1 pep primary_assembly:Lsat_Salinas_v7:6:48311615:48313885:-1 gene:gene-LSAT_6X35261 transcript:rna-gnl|WGS:NBSK|LSAT_6X35261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNSVHEGRIYQLKLFCDKDYPEKPPTVRFHSRVNMTCVNHETGVVEPKKFGILGNWQREYTMEDILIRLKKEMASPHNRKLVQPPEGTCF >cds-PLY90744.1 pep primary_assembly:Lsat_Salinas_v7:3:36401371:36402883:1 gene:gene-LSAT_3X28040 transcript:rna-gnl|WGS:NBSK|LSAT_3X28040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGAICFHARLPLQLTQPQQRLYRGFVKRNGFRCSKSNDAPQLLKVVVSGATEILRLLSSFNKNELYEMVPEQKYETSASSLDDIMMILKSDYDNAYFVTGNFTSGIYTDDCIFEDPTIRFRGKELYSRNLKLLVPFFEHPSISLQSIKKVINSETESVMASWRLRTYLKLPWRPLILIDGITIYDLDNRFRIVKHVESWSVSPLEAIGQIFTPSSGRPV >cds-PLY64308.1 pep primary_assembly:Lsat_Salinas_v7:4:26493813:26494419:-1 gene:gene-LSAT_4X19041 transcript:rna-gnl|WGS:NBSK|LSAT_4X19041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTETVRLTEYSLLMHLDPEDQEAAKTVKKPSATIVELALIIFNAHGSFSCAYNVLVLLFSLH >cds-PLY74126.1 pep primary_assembly:Lsat_Salinas_v7:9:13879697:13888737:1 gene:gene-LSAT_9X11241 transcript:rna-gnl|WGS:NBSK|LSAT_9X11241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEALEMARAKDTKERMAGVERLLELLEASRKSLSSSEVTSLVDCCVDLLKDNNFRVSQGGLQALDSAAVLSGDHFKLHLNTLVPATVERLGDGKQPVRDASRRLLITLMQVSSPTLIVERAGSNAWTHKSWRVREEFAKTVTTAINLFASTELPLQRAILPPILQMLYDPNPAVREAAILCIEEMYAQIGSQFREELHRHQLPSSMVKDINARLERIEPKHHPSNGRHAPADAKLSNHNPKRSSPKAKSSSREVSLFSGESDVNEKPVDPIKVYSEKELIREFEKISSILVPEKDWSIRIGAMQRIEGLVIGGAIDYSCFRGLLKQLVGPLSTQLADRRSSIVKQACHLLNFLSKELLGDFEACAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVSRVLPRIADTAKHDRSSILRARCCEYALLILEYWADAPEIQRSADLYEDLIKCCVGDAMSEVRATARACYRMFSRTWPDRSRRLFSLFDPVIQRIINDEDGGMHRRQASPLLRDRNPQVSLNTHISTPSNPPGYGTSAIVAMDRSTSTTLPSSVTSLSSGLLSSQNKGTERSLESMLHSSKEKVTAIETMLKGLDFDRAKSSSLDLGVDTPSSRDPPFPLAVPASNNLHGISRSSNHDGGLALSDIMSQIQASRDTSKHSNETFSSHSSYTARRVEKHPERNSYEDHNDIRVARRSVNRQYIDTPHRDTHSHPHSLSHSHHVPNFQRPLSRKNVSGRMSANRRRSFDDSHLSSTDTPTFTEGPSSLNDALTDGLSSNSDWHARVAAFSYLHSLLQQGPRGIQEVIQSFEKVMKMFFQHLDDPHHKIAQAALTTLSDLIPACRKPFESYMERILPHVFSRLIDPKESVRQPCSSTLEIVGKIYSVDSLLPALLRSLDEQRSPKAKLAVIEFAVTSFNKNVPNPEGYGNSGILKLWLAKLTPLVHDKNTKLKEAAITCIISVYSCFDSTSVLNYILSLSVEEQNSLRRALKQLTPRIEVDLMNYRKDRHRSISSYDPYDVVGTSSEDGYIGVSKKIPMFGRYSSGSVDSDGGRKWTSVQSDDHLYQDIHTSNGVNATSDKVRSWNTRSEVLEGNPSMEVSSVPCVNHDDEKTAAELEFSLLKLTELKSDFSADSGPSIPQILNQICNGNDEYSAASKCSALQQLVDASLVNDSSIWTKYFNQILTAVVEVLDDSDSSVRELALSLVVEMLKNQKEAMEDSVEIVVEKLLHVTKDSNPKVAIEAEHCLSIVMSEYDSFRCLSVIVPVLVTEDEKTLVNCINCLTKVVGRLSHDDLMAQLPSFLPALFDAFSNQSADVRKDNVVVNDRDATEFSLLQLYQTGVVVFRLVMGGDGHCDEDNEPYLSI >cds-PLY97181.1 pep primary_assembly:Lsat_Salinas_v7:2:205927741:205931451:-1 gene:gene-LSAT_2X126680 transcript:rna-gnl|WGS:NBSK|LSAT_2X126680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTPLRLVRCPKCRKLLPESPDVPVYQCGGCGAVLQAKKRKNDTVDTAPRKLEEESSSGKLKMEQVSDDQKPSSSTNHSINEPNRKTSDHNDVHISSELLGQDNQDATAKKAENYTVDAVASNGVKDYSSGKQKMKQVSNDDEACSSSNQQSLVSSIHEPDPNSDRNDPRSSTELSGHGDPESSPEATAHNRKNQDQVHDHDFDEIKSRSRSRSPEAVVHDGIDKDGQLINNRVSENCENEVEFEDSSRELTKSPEKCSFSEVNEIQKPSRKPIADRKIDRDSNRGSKSSFKSLIAEKLLDTRQKKVVYMDEDDIPSEDGSADLCHRRRLFNRISSEETFENERFGGTSYEYEGSVSSFDGTDNQIPTKKHVDSVNEYHQKHHRREFHPSVRSRRGKDEHRSMQSQPFYGNAIPSRHRPPVNVNAITGNPKMERLELLKMVRELQDQLERTNVSQSAQLPSSYYYDHGLNHPRRYAFSGEVNRRRDGGSGSGTCHHCYPQPQEHRHFSAQQIPRPHQHQHQHVCCNGPHYVPSTCYSSESDFTTPEPDDIHRHRIDVPKPKPTYKKSPKKRYVRPISGGSPWIACYRCSEVLQLPQSFLVFKKRCHSLRCGACENVLNFTVSDGTHVHRYYPEEMMAAPPSSEVEDYGDVRAGLGPGPVSCSDISFQKSYSTETDRNGSREFFEERRKAGPSGPSSSSRASGRRKVISEIEEVEPGNNGSPLHWLMGYASPSKVIRG >cds-PLY70244.1 pep primary_assembly:Lsat_Salinas_v7:9:1948370:1952024:-1 gene:gene-LSAT_9X3480 transcript:rna-gnl|WGS:NBSK|LSAT_9X3480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITISLFSRCSAPPTVVVSPGSHRSGSVFRPEYGLRLRKSQFGIKTLRLHSSNSSNGQSKEEEYKSSSSRFLDEEGVVEDMDGYLNYLSLEYDSVWDTKPSWCQPWTIALTGTSIIGGSWLILHNVLATSVASTLICTWWYIFLYSYPKAYKEMIAERRKKVTSGVEDTFGLRNRYNSRKQLFYPNSHLARIIHWLQQKIGRKNKA >cds-PLY72147.1 pep primary_assembly:Lsat_Salinas_v7:7:57554372:57558885:1 gene:gene-LSAT_7X42100 transcript:rna-gnl|WGS:NBSK|LSAT_7X42100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEGGGDSNFPPKTNQSDAAEVSTATPMDIPAAKKLARQLDFNSIGSSVPPAASLARTQVVLPEHPQRPVVVHVQHPAPPPPPPQPKQSLLQQQQPLRPLKPESPKARARQNAEGKDGTPKKPKQCNCKHSRCLKLYCECFAMGIYCDGCNCVNCHNNVENEPARRDAVETTLERNPDAFRPKIASSPHGNRNRREEAGGKHNKGCNCKKSGCLKKYCECFQANILCSENCRCMDCKNFEGSEERQALFHGDSVNNMVYLQQAANAAITGAIGTSGYGSPPVSRKRKGPEVLYGGHAAKDSVFHRVAHFHETNHVKDSTPSSSCPSVPGARVANNAPVGPSKYTYRSLLADLIRSDDMKELCAVLVVYASEAARVLSDETNAKEKTRNINRESSLTSSITDLQQSPKEGDAQKAMADESSSERPMSPGTLALMCDEHDSVFMNSDSDGFLDHDHADNNNNNNQKNHGRLPNGQIITQTYVEQEKTVLTTFRDCLNKLITLGELKGKFLPFKETQCSSLARSDYGGQSQMEAVESSTTNAHWNPSINGFSRPTHNDNQNNMKPPFHLENGDLKLKHEKDMQ >cds-PLY63971.1 pep primary_assembly:Lsat_Salinas_v7:7:119112334:119114575:1 gene:gene-LSAT_7X73220 transcript:rna-gnl|WGS:NBSK|LSAT_7X73220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor 38 [Source:Projected from Arabidopsis thaliana (AT2G40650) UniProtKB/Swiss-Prot;Acc:Q8LB54] MANRTDPSAKSIHGTNPQNLVEKILRSKIYQNTYWKEQCFGLTAETLVDKAMELDHLGGTHGGNRKPTPFICLVMKMLQIQPDKEIVVEFIKNEDYKYVRILGAFYLRLTGTDIDVYRYLEPLYNDYRKLRRKLGDGKYSLTHVDEVIDELLTKDYSCDIALPRIKKRLTIEAIGALEPRKSALEDDFEEEEEKDEDEQPMDTDVGGHEKDYYRGQSPTKERERDRKRDSHRHRDRDVDREYERDYDYERERGRGRDRDRDRDRYRGRDERDYGRERRGGRRRSRSRSRSRSKDRKERGRDDGEERRRRHGRDRDRDRDRDSSGSPRRMQDDVKPAKKKKEKEKEKKKDDGTDHPDPEIAEANRIRASLGLKPLRP >cds-PLY86869.1 pep primary_assembly:Lsat_Salinas_v7:8:50003399:50005460:-1 gene:gene-LSAT_8X37921 transcript:rna-gnl|WGS:NBSK|LSAT_8X37921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAANPSSSSSSSPHPLHPCLSNPQRLHRPCLFKPFGSHDRKLRSVRCQIPKFEPKRSAVNSDVTEATSSSSSSIDFLTLCHSLKTTKRKGWINHGIKGPESIADHMYRMALMALIAGDLPGINRERCIKIAIVHDIAEAIVGDITPSDGVPKVEKSRLEQAALNEMCNVLGGGMRAEEIQELWREYEDNASLEANLVKDFDKVEMILQALEYETEHDRVLDEFFLSTAGKFQTEIGKSWAAEIIARRNSRLAKKLN >cds-PLY79120.1 pep primary_assembly:Lsat_Salinas_v7:2:16139150:16141682:-1 gene:gene-LSAT_2X8661 transcript:rna-gnl|WGS:NBSK|LSAT_2X8661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIGVAIDKLLEVEQLPGESVESKEHEDHYNKICEDYNHLMETLPKGNGWRAKHLYNYNGFWISPKYIKANLLLHAYFKPQPTDIFLASFMKSGTTWLKALIFSTLTRHLYSFSDHYLHHHGPQSTFPFLESECENFPITDFTHMSSPRLFATHFPRTLLPESMTSCKFVYICREPKDVLVSKWVFMNKIREKDLPPFSFDEAFDLFCEGVSNYGPFWEHVLSYWRASLESPEKILFLKYEEVKRQPEVVVRRLAAFMGIPFMAEEVEKGVVENIVKLCSFENLSNLEVNKKGVEKFGTVEVENREFFRKGEIGDWRNYLSDEMKQRIDGIIDEKFKGSGLIFGS >cds-PLY86434.1 pep primary_assembly:Lsat_Salinas_v7:1:10404557:10406739:-1 gene:gene-LSAT_1X7480 transcript:rna-gnl|WGS:NBSK|LSAT_1X7480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLYHHPYSIESQKVRLALEERNIDYTSYHVNPVTGKNMDPSFFRMNPTAKLPVFQNGSHVIFNTIEIIQYIERIALVSSGSGIITQSSKKVIEWMYKIQEWNPKFFTFSHIPEKYYMSVSKFIRRVIIARMSESPDLATAYHTKLKQAYETEEKLRDPQVLQTSTQHLIRLLDDVEAQLEQTLYLAGDEFTLADVMLVPVLARLGLLGLENDYINCRPKLAEYWKLVQERPSYKKVIGKHFGGWKRQKTLFKTWCIVRMRCLLKRY >cds-PLY72293.1 pep primary_assembly:Lsat_Salinas_v7:9:104878978:104884390:-1 gene:gene-LSAT_9X75300 transcript:rna-gnl|WGS:NBSK|LSAT_9X75300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box protein AGL24 [Source:Projected from Arabidopsis thaliana (AT4G24540) UniProtKB/Swiss-Prot;Acc:O82794] MAREKIKIKKIDNITARQVTFSKRRRGLLKKAQELAVLCDADVALIVFSATGKLFEYSSSRQLRGQELQGLTIEELEHLETVLEGGLSRVLQTKMMMITNGKRPGTTDNVLNNPEDHGSSPSSVTTHVNSCNSEPPLEDDGSDTSLKLG >cds-PLY93143.1 pep primary_assembly:Lsat_Salinas_v7:9:3285606:3286672:-1 gene:gene-LSAT_9X2700 transcript:rna-gnl|WGS:NBSK|LSAT_9X2700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFIKITRLNKISENILMKWRGTLRIFTTTLLSLLLPLSFLLLARLSTADYLLSLNDFPAAQPPSLVFFSLLLNSIPLSPLHILVSLLCVASLVHTLNNGRITFPSFSVSPGTTVRPRLYTAWIVLCTLQVCVGLGIEGSIGAGIDGSGFGHERNFICRIVFFLGLYATTEYWWRTIVKPVVDDTIYGFEVEERWVERVVMGVSLGCLWWWRLRDEVESLVVVTEMKREMDVGVGMVDFMGWWLYYLVVTIGMVRVLKGLIWFALILLYRKIEQVRDENDSLRVEEKV >cds-PLY65944.1 pep primary_assembly:Lsat_Salinas_v7:4:136555584:136557993:1 gene:gene-LSAT_4X84201 transcript:rna-gnl|WGS:NBSK|LSAT_4X84201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSGTQGLLFVLALSLLSICAQQSVGRVTLENGVKSKVYLSPKITQHPGSVSNKFYYDIEFPKGHIAIKSFNAEVVDEEGNPVSLQETYLHHWVAVRYYQRKGIKEPKYNGNLGFHQSDFVIARNAGVCSKGLTQFFGLGSETRKTDTHVPDPYGIEVGNPLQVPNGYEEKWLFNVHAIDTRGAVDAMGCTECRCNLYNVTEDEYGRPLKPDYVGGLFCCYDGTQCKVKNGVQSVKRNLYLKYTVEWVDWSDSIIPVNIYIFDVTDTWENTGIHDCLIEFNVEKNENANDFTSIRRSKASFPISGDVVYGAAHLHSGGIGSALYGEDGHVICSSKAIYGEGNEAGNEAGYIVGMTTCYPKPGSIKITKDEVLTLESNYSSEKSHTGVMGLFYILVAESSSTLDASVQIHQDSVVPIFFWGVSVFGLAIFAAVVVSYRRQRQSEDGYHSISTST >cds-PLY89308.1 pep primary_assembly:Lsat_Salinas_v7:2:49921393:49921710:1 gene:gene-LSAT_2X23540 transcript:rna-gnl|WGS:NBSK|LSAT_2X23540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFKSNNISEDNNNNQGWQKVTYAKKNRKNQPKQQVPQPKALPNGSVVAGNDNVFTAIEKKSEERRKVIEAQRLSIYDPAPPPVKSSRKKNYSCVNLSPSFFSLL >cds-PLY84913.1 pep primary_assembly:Lsat_Salinas_v7:6:14844156:14847831:1 gene:gene-LSAT_6X10800 transcript:rna-gnl|WGS:NBSK|LSAT_6X10800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHSMARQADMNKENVHSANIQKPTERITRARAKALGISGNLPPLHPLAKQEPKQGQQPKSKRGPSDNKSSSIDVGSRFQSKRRAVFKDVTNMAFDDLNIKVINDMANLEPAVCVTNMAFDDLSMKVIYDMVKVEPAVCVGSQGQKGKVKEIEDMNKQSIKELREITSQLSIKVDSSNDEEVLDIDSKHKDPQMCSLYAVELYNNLRVTELKWRSSSDYMQTVQKDITQEMRGILIDWLVEVCEEYRLASETLYLTVTLIDRCLSKMYIEKQRLQLLGITCMLIASKYEEICAPRVEDFCFITDGTYTRREVLDMEYQVLEILSFNLSVPTVKKFLRRFILAAQSSYKVSFVEFEFLANYLAELTLIEYGFLKFLPSLVAASAVFLAKWTLDQNEHPWNPTLEHYTNYKASDLKATVFALQDIQLNNSPRLLAIRQKYRQKQVKKSETMSLLLFYCCLFCVMWSDDSCFVTTV >cds-PLY61925.1 pep primary_assembly:Lsat_Salinas_v7:4:220277784:220279596:-1 gene:gene-LSAT_4X120041 transcript:rna-gnl|WGS:NBSK|LSAT_4X120041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFHLSFSTIVATSFSVIVALFLLHSLNRKKANRGKNQKPPQAQGAWPIIGHLHLLRGSQLPHYVLGDMADKYGPIFTIKLGYHQALVVSNGSIAKECFTTNDKAFATRPKAEATKLMGYNYAMFGFATYGDYWRQARKMITLEVLSQRRVETFAHIRASEVRVSIKDIYDRWVLNKMSENSEMMKIEMSQWFGNLVVNIMVRIIRGKTFSPHDDQERVRFQTVVKKFIELMGAFVVSDFIPYLKCFDMGGYIKEMKKMAIDLDNIFGGWLQEHKTIKSFTQQHESNQLGFIDVLISILQGVSKEEFRDFDDDTIIKSACKQLLIAGLDTTSGTLTWALSLLLNHPKTLEIAQNEIDEHVGRERLVEESDLKNLVYLNAIIKETLRLYTAAPLSIPHESIEDCIVGGYNIPKGTRLVVNVYKMHRDPNIWSDPLEFRPERFLTRHKDIDFRGKHYELLPFGSGRRMCPGIPFALQAVGLTLASFIQQFVLKNPSNEPIDMSETSGLTISKSTPLEVLLAPRLASNMY >cds-PLY90485.1 pep primary_assembly:Lsat_Salinas_v7:2:7584818:7588538:1 gene:gene-LSAT_2X3721 transcript:rna-gnl|WGS:NBSK|LSAT_2X3721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCYPKPVNNLSSTNNSSAVFRSDVKKKEPMIVKHPSTAAEGGSDSGSAANASGETVPPSGRIVTPNLKMFTFYELKSATRNFRPDTMLGEGGFGRVFKGWVDGETYAPSKVGIGIAVAVKKSNPDSAQGLKEWQAEVKFLGKFSHPNLVRLIGYCWEDKEFLLVYEYMQKGSLENHLFKKGAEPIPWNTRIKIAIGAAQGLAFLHTTEKTVIYRDFKSSNILLDGEFNAKLSDFGLAKLGPANGESHVSTGVVGTYGYAAPEYIATGHLYVKSDVYGFGVVLLEIITGLRVLDTNRPSSQHNLVDWARPSLPDRRKLRKIIDPRLEQDYPSKGANKASELILSCLEPDPKNRPSMEEVVVILEEISAIKTKPKEANVKTPRSTGPHSDRRYQSANQSPFHARNGRGVRADNRSPIRSY >cds-PLY64262.1 pep primary_assembly:Lsat_Salinas_v7:7:4077212:4081973:1 gene:gene-LSAT_7X3921 transcript:rna-gnl|WGS:NBSK|LSAT_7X3921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVIEKILESTAAGDAGKVVFSFEFFPPKTEEGVENLFERMERMVAHNPTFCDITWGAGGSTAELTLEIARRMQNMVCVETMMHLTCTNMPVEKIDHALETIKKDGIQNVLALRGDPPRGQDKFVQIQGGFACALDLVEHIRKSYDDYFGIAVAGYPEAHPEVIEGNGLATPEAYQKDLAYLKRKVDAGADVIVTQLFYDVDNFLKFVNDCRQIGITCPIVPGIMPINNYNGFIRMTGFCKTKIPSEITAALEPIKDNEEAVRNYGVHLGTEMCKKIMASGIKTLHLYTLNNEKSALAILTSLGLVDEAKITRPLPWRRPTNLFRLKEDVRPIFWANRPKSYISRTGGWEQYPHGRWADSSNASYGALTDHQFMRPRARDKKIQAEWVVPLTKFDDISEKFMKYCLGQLKTSPWSDLDALQPETKIINEELASINLKGFLTINSQPAVNGAKSDSPSVGWGPAGGYVYQKAYVEFFCSGEKLNALVEKSKAIPSLTYLAVNKEGTLVSNVGKNDVNAVTWGAFPGKEIIQPTVVDPASFLIWKDEAFEIWSRGWAQLYPQEDNTSRSLLQEVQNTYYLVSLVDNDYINTNLFTVFKGF >cds-PLY76184.1 pep primary_assembly:Lsat_Salinas_v7:4:52496963:52502652:1 gene:gene-LSAT_4X34660 transcript:rna-gnl|WGS:NBSK|LSAT_4X34660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKINYSIPGCLYSNQFYFFICIGCLVFGCCSYETNAVPYDSFTISSFTYGRTVLRPYDWRYIRVDLPTWFSSMTLSLESDVNLDKKSIMIVDKNNLPMICAREGSPPLPDSYNTSLIGLELDPILNGSLAIEGLQFAEKCYPMQKKVMIRLTNEQISPGVWYFGLFNGIGSTRTQSKMINRGSGYSFTGNVSVEGCVSPSISGQFCNQTVDHLSCVDQKSTQGIITSCKNDFEGSCIDSNESKLYSLDLLGISEEIMISLKSNNSSNTTLMCYARHGAIPSSSTHDYSGNVNNAPLVIRSPKVGRWYFTILPLNFSNEDTKFCYSLEWKLLRCPINKAGLNCTWERYTLQTILRKNPSVPFESYYLPVSDKISSNSANFLLEPLLSNSSQGQSQSQSQHIAWTYFLVDIPSGAAGGSIHIRLNSDTKINHELYASYGGLPFEDKWDYFYANSSSNSNGSMFFKLYASDEKTISFYIVYVRGGTWSFGIKHLTSSSKSQSQSQTTMSISIERCPRRCSSHGTCQNVVEMSGLSLYSYCSCDRDHGGFDCSVEIVSHQGHIWQSISLIASNAAFVFPAYWALRQGAFAEWVLYTCSGISSGLYHACDVGTWCVLSYKVLQFMDFWLSFMAVVGTFVYVTDIDEGSKRTIHTVVAIVTALMAMNGPTSSSNIVLVIAIGATGLLVGLLIEFFTHYRRFPFSAELFLNMLHRWQMVKEWCRNLIKTILKRFQWFFVIAGFAALTMAAISWSLESTNSYWFWHSMWHVSIYVSSFFFLCSKVKAMNCANGEPENRTYELTRQDSLSGSQDLGENGRVR >cds-PLY77600.1 pep primary_assembly:Lsat_Salinas_v7:2:163661598:163663904:1 gene:gene-LSAT_2X84360 transcript:rna-gnl|WGS:NBSK|LSAT_2X84360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSVTSSTSARGIAAIVGVGPNLGRSVARKFAHEGYTVAILARDLARLSRFADEIAREEKAQVFAIRIDCSDTQSVREAFEGVLSLGFVEVLVYNAYQPIPWQYGGPSSFSDIRIDSFQKSLAVSSVGAFHCAQQVLPGMVERGRGTILFTGCAASLSGIAGFSELCCGKFALRGLSQCLAKEFNPRGVHIAHVIIDGLIGASRASTMPQRSLVGEQQGVGGDGSMDPDSVAQTYWYLHIQPRGAWTQEIDVRTPNPRFF >cds-PLY77547.1 pep primary_assembly:Lsat_Salinas_v7:2:164936897:164939568:1 gene:gene-LSAT_2X86281 transcript:rna-gnl|WGS:NBSK|LSAT_2X86281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYLSETSSEPSTVNSWYDVGYISMRLPRTLNALLLSLLSLSVLNLINHLKESDPPILINISQIFGFLEVLLNATNENLPPNVIKQLARELKNLDEIPPEGIKVGVNDDDFSTIYADIEGPAGTPYENGVFRMKLILNRDFPHSPPKGYFLTKIFHPNIATNGEICVNTLKRDWNPSLGLRHVLIVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHALKPKPKFKTGAISESTAALNVDQTNTSVCSVDPKTAVSGAVVPPPTPLAPSLNTMKGASGQEPPTTALNSIVEMGVSSGSGGGAPPPTAAAAHNKKEGGLAKAQGGDKKKIDARKKSLKRL >cds-PLY87697.1 pep primary_assembly:Lsat_Salinas_v7:6:45643578:45644590:1 gene:gene-LSAT_6X34561 transcript:rna-gnl|WGS:NBSK|LSAT_6X34561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGFVLPPTESAEILKDNELFCIKRKDEATPDAENLLDEVQADEEQFQDEEPVLKDVGQLINLTLTHAKNHQPLSGSTTFNRIELPTNKDPLNALYVGSHGLYTSELIQLRRKFGRWKEEGRMKELSNL >cds-PLY88877.1 pep primary_assembly:Lsat_Salinas_v7:4:253795944:253799027:1 gene:gene-LSAT_4X135380 transcript:rna-gnl|WGS:NBSK|LSAT_4X135380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFAEAPAGNATAGEKIFKTKCAQCHTVEKGAGHKQGPNLNGLFGRQSGTTAGYSYSAANKNKAVNWEENTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKSSTA >cds-PLY91293.1 pep primary_assembly:Lsat_Salinas_v7:5:250988036:250991730:-1 gene:gene-LSAT_5X126041 transcript:rna-gnl|WGS:NBSK|LSAT_5X126041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDITEVSIIHHVGIVFLVIWLLSSFGYSHPIVYFISLIYLYLVHENFSIRFRRKVRFEERRQANQKRVLSDSESVRWLNHMVERIWPICMENIVSQKILLPIIPWFLQKYKPWTVKEAVVQSLYMGRSPPMITEMRVCRQSTGDDHLVLELGLNFRTADDMDAILAAKMTKRLGFGMSAKMHLTGMHVEGKVLVGVKFLPKWPFLGRLRVCFVEPPYFQMTVKPIFSHGLDVTELPGIAGWLDKLLTVAFEETLVEPNMLVVDVEKLVSPEQETWFSVDAKEPIAYAFVEVVEGSDMKPSDLNGLADPYIKGQVGAYRFRTKTQKKTLSPKWFEEFKIPITSWDVPNVLQIEVRDKDHFIDDTLGDCMIKINDFRDGERHDMWLPLQNIKMGRLHLAIKVIEAECDRDVASKNEFTKKPLVVEKSEETNADSFEPIDVEGLKETGIWVHRPGADVAQVWEPRKRKNKNKNRSHKDSESVASSTRSESFPSNDSSSSDDSLEGNKVKSRNPVKRGFRKIGSMFNRSPKPENDTPRSFKERDEKECDSPPQNVRAVNKREIGVKLVMEVDKDNLVSQGQDPRVKFEESSERSDEESPGKTGVRDTVKGILKSTGHSARGMMHALSRKGSNKSRDSDSNGDFGPILSPGCELSPDGPDQAASPTGQMGSSPLSPTVELRSSPLSPTGQMESNPLSPTGQVNAGEPDMLMMDTVDVNGTNKGDEEMPPEPQVISSLN >cds-PLY95572.1 pep primary_assembly:Lsat_Salinas_v7:4:226178832:226179319:-1 gene:gene-LSAT_4X124761 transcript:rna-gnl|WGS:NBSK|LSAT_4X124761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSSKDPSAETEKGLTPKSSESLKIGSPIIIVEAPKMLKTAASMPCLRVNSGLVKAGDVGRIMARKPKDVWAVRLAIGTYLIDGIYFKPLQLDENN >cds-PLY77144.1 pep primary_assembly:Lsat_Salinas_v7:7:184263107:184267815:1 gene:gene-LSAT_7X109781 transcript:rna-gnl|WGS:NBSK|LSAT_7X109781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAATSVNGEVTAFLVCFLVFIGLRISVCKAQAAAPAVYMFGDSLVDVGNNNHLPLSIAKADFPHNGIDFVDGKPTGRFSNGMNAADFLAKKMGLPTSPPYLSLIGDATLPITGVSFASGGCGILNSTGEKFKQSISFTQQVEYFSLVNDHLVRRLGPSGAQVHLSKSLFAIVIGSNDIFAYFKTSSTVSIKYTPQQYFDLMVSTFKGLLKMLYELGARKVLVTGVGAIGCSPIQRKQNKTGGCNVGLNYWSVKYNDGLKTMLQEMKSELDMNYAYFDIYNVMVNLFQEPETYGSCKRD >cds-PLY96963.1 pep primary_assembly:Lsat_Salinas_v7:4:173872518:173873405:1 gene:gene-LSAT_4X102021 transcript:rna-gnl|WGS:NBSK|LSAT_4X102021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAYPAARVRKYNWSVKAIRRKTTGTGRMRYLRNVPRRFKSNFREGTQAAPRKIGAASSA >cds-PLY87299.1 pep primary_assembly:Lsat_Salinas_v7:4:197169405:197172987:-1 gene:gene-LSAT_4X113481 transcript:rna-gnl|WGS:NBSK|LSAT_4X113481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLEMLTQITIAFILASLILVCYMAFSKTKHSTTLNLPPGPPTLPVIGNIHQLAGSVPHRALRDLAKKYGPIMHLKLGNVSTIVFSSPRVAKEILKTHDIIFADRPKSEYTKIFYYNRTDIASAPYGDYWRQMKKICILQLLSEKKVKSFGSLRDEELGRLCDSLRSLSGSVVNFTEMIVGLINNIICRATFGNDYKAQDQIVLIQLIKDLLLTSGAVNVGEFFPRLKFVNVVLGIKSKRLKIHKQLDKVLEDALEDRKGKRDAGMERSDERLVDVLLRIKEEEEVQFPITLDNIKAVLLDMFVAGTDTSSATIMWAMAEMMRNQRVLKKAQQQIRETSRQKVTFTKNGTNSYPYLKLVIKETLRLHPPAPLLVPRECREQCKIDDYDIPAKTKVVVNAFACALDPEYWEDPESFIPERFENSSIDFIGNDYEFIPFGAGRRMCPGITFGTNSIETTLFELLYHFDWELPQGMEPQDINMEESHGITTTLKAPLQLVPFVHSQPNN >cds-PLY69743.1 pep primary_assembly:Lsat_Salinas_v7:MU044283.1:38015:41723:-1 gene:gene-LSAT_0X37500 transcript:rna-gnl|WGS:NBSK|LSAT_0X37500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLANTRERQIGTDERDIEKEGRLKATLIGSRAATVVWGGAGGPSTTRLHSQIRVGIVEYEDEPWLPFKFDKVDDPYESETDYDDSNSNKVQEEDEDSKVEESENDEISDTNMDEPEEGEIRNKDSSSARIETATPATPAANSDNEPLVLENRGQLPSLPEETALIEPRQSSNTLKEVTQSKPNDDLMKIVDTNSESKQLDTENIAKVDPIRPNNNLDGRVLNGCFGTFPTTIPNFNSPTPSLQRQSPNTGRSSLKRKRNSSFT >cds-PLY89015.1 pep primary_assembly:Lsat_Salinas_v7:3:72706866:72709673:-1 gene:gene-LSAT_3X62240 transcript:rna-gnl|WGS:NBSK|LSAT_3X62240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDRVITKRQSDRETKEMRGEMPEIQLGAHTIRSHGTKVARAHMHDWFVFIFLVIMEIILNVIEPFHRFVGAEMMEDLKYPLQDNTVPIWAVPIIAILLPFVVILIYYFIRKDVYDLHQAVLGLLFSVLVTGVLTDAIKDAVGRPRPDFFWRCFPDGKGVFDPVTSNVMCTGLKSVIKEGHKSFPSGHTSWCFAGLGFLSWYTAGKIRAFDQRGHVAKLCIIVFPLLIAALVGVSRVDDYWHHWQDVFAGGILGLTVASFCYLQFFPPPYDIDGWGPHIYFQMLAESSNDTQTGSVNGLNVAPLEIENVRMHSRGGMGIGVSDDNSLNEI >cds-PLY92494.1 pep primary_assembly:Lsat_Salinas_v7:2:152417334:152417660:1 gene:gene-LSAT_2X76301 transcript:rna-gnl|WGS:NBSK|LSAT_2X76301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSHKSSEQGDTTKRMAIIEERTGMDVLYSDKTGTLTLNKLAVDKTLIKIFAKDCDKDTMILKGARASRVKNQDACIVNIIVDVKEAHAWIDEIHFLSFNRVDKRR >cds-PLY97390.1 pep primary_assembly:Lsat_Salinas_v7:4:16859802:16861593:1 gene:gene-LSAT_4X10301 transcript:rna-gnl|WGS:NBSK|LSAT_4X10301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNNYLWKCPLTGLNLGKATETWLKTLKKCHPSVEEAKKPLITNSQSHAIRASPSQRRDYYYMSARSLVGSSTPPNKGYLWTTRSGPASSMGMAAAADEDDDHSSKDESSLEIPAKTTSQPRSIPRSVGYGAFLVTSLNFPSRTKGLMQVYAHRKLLQDGGGSQAMYGQWLGWMMAAIYMGGRIPQIALNIIMQYVYYRYFMKPDINTNDE >cds-PLY86364.1 pep primary_assembly:Lsat_Salinas_v7:8:27518399:27518800:1 gene:gene-LSAT_8X17760 transcript:rna-gnl|WGS:NBSK|LSAT_8X17760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMKTTNAKKASIEKNIRNENNPPKDLTGADPEKKKRRNKKPVETYNTYIFKVLKEVHGDIGISNKAMEIMNSFVNDMFQKIAQEASKLAKYNNKSTLSAREIEAAVKLVLHGALAKHAVQEGTKAVANFTRS >cds-PLY70134.1 pep primary_assembly:Lsat_Salinas_v7:3:12259395:12259947:-1 gene:gene-LSAT_3X11521 transcript:rna-gnl|WGS:NBSK|LSAT_3X11521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFESYNIVAIYWIKANPPCLCRDQPVLKLREAWKPNNPARRFYNCAKSMISNDSCNFFQWLDPTLPKHHKDTLWNMKLRIEDLLVRNDQVVELQKKVEKHKLLRKAEKELVEDRIQELLIEIESLNKI >cds-PLY64514.1 pep primary_assembly:Lsat_Salinas_v7:8:124269561:124270794:1 gene:gene-LSAT_8X85861 transcript:rna-gnl|WGS:NBSK|LSAT_8X85861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDKRKHPEVGTSPTTPLEFKVLSSTGIIKCDMGQGQGRIGLGILAALENIPAKKSNFRCRSPMGNEAGSLEEENTIVTRHKPDKSYTKAHIEIREQPSIFDISPARFSDDGMKMRTPSEFLSSCHLCNKRLNGRDVYMYRGEKAFCSPECRSRQIGMDERREKHCSSHASSTPSNATSPHVLFATGIFAI >cds-PLY91861.1 pep primary_assembly:Lsat_Salinas_v7:8:197990521:197990820:1 gene:gene-LSAT_8X127720 transcript:rna-gnl|WGS:NBSK|LSAT_8X127720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILMPRITQAKKILERSFSNGSRTKKSMDIPKGCLAVYVGEQEKKRFVVPVWFLMQPTFPELLDQAEEEFGYSHPFGGLTIPCSEYMFTDLASGLGAL >cds-PLY84440.1 pep primary_assembly:Lsat_Salinas_v7:8:280518064:280520600:1 gene:gene-LSAT_8X159800 transcript:rna-gnl|WGS:NBSK|LSAT_8X159800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLSHTKTIPILFLFVFFTHVLAKNLTDECVLDVQLSSDCKTANWDGFFSTDCCESAFEDYLSALSKHTNQTGSIFLNLIQQQTCRSSLEGSNGRNLLSCGVEKLTSGSGSCSDFTLTDVDNKLESSLSSLDQDCEVLESRNDCSSCLERWKKIGALTMNSSVEETEICRFALLVSMVSRKISDFKWVQAVYQCLGQHQISFRLDQSQNLEDDNHKHKKKRTTVVVILVGGLIGIMIIIMALWIWFRRSVAGKLPMGKVDMSVIRSPFPKEVSTKLTVRDVYAATNDLKASNFIGEGNAGKVYKGVLVNGQNVAIKHIVNDGEMETFVREITSLSHVRHPNLVRLLDHCEGEGECFLVYELCHWGNLSEWLFSKDKVLTWIQRLHIAIDCARGLWFLHTYPGGCIVHRDIKPTNILLNVNFQAKLADFGLSKIIDTGLSHVSSEVRGTFGYVDPEYQKNSRVNPSGDVYSFGIVLLQLLSGQRVINIDLQRPMPLGKMAKMLTRDGSMTEFADPKLNGDYSFKAFECMINLAVSCIGMKQQRPSMEQVVAGVEKALDISIRDRSLTPSFSSDTV >cds-PLY93439.1 pep primary_assembly:Lsat_Salinas_v7:9:58795531:58802015:1 gene:gene-LSAT_9X52080 transcript:rna-gnl|WGS:NBSK|LSAT_9X52080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGYVFNTYHMCYVLPSSIVLNPSKYFQNLSNPPLPSSKPFLLTTLSFPWFLALIGSGSLTRSECRKSCRSHRLQVRPAAPFSSNIDNESTVEHQITRKNATKVNNMNHFDDMFDLEHVTIEKADMNINGSLRKTLMANLKVSALLGCILSAVG >cds-PLY76584.1 pep primary_assembly:Lsat_Salinas_v7:5:223407642:223409642:1 gene:gene-LSAT_5X104920 transcript:rna-gnl|WGS:NBSK|LSAT_5X104920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKVEGCKCQFRISRKSDPLNKHKSMGYLDSVISSANPNLPGCGVSPVTGGGLSQDSKFSYGYASSPGKRSSMEDFYETRIEGVNGKQIGLFGVFDGHGGARAAEYVKHNLFTNLLKHPKFISDTKSAIAEAYSHTDKEFLKSENNQMKDAGSTASTAIIVGSRLLVANVGDSRAVICRGGNAYPVSRDHKPDQSDERRRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKKFVVADPEIEEEKIDESLEFLILASDGLWDVVTNDEAVAMIKPIQSPEDAAKMLMQEASQRGSADNITVVVVRFLDTQDA >cds-PLY76746.1 pep primary_assembly:Lsat_Salinas_v7:7:61959236:61961053:1 gene:gene-LSAT_7X44161 transcript:rna-gnl|WGS:NBSK|LSAT_7X44161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYAKMELQLALSLSSSSSSSSTQFDLNDQNHETVQGKAMFGFMKTEDLLKRKLCVNGDDDEEEFHVPETLPLLFCNNSNEDYGDDVNEVESTFMFINHKNGGDENGVIGWPPVKSSRKKLCHQNHGGSGGDDGRRGSGGSKSMYVKVQMEGDGIARKIDLNLHHSYHTLVHTLAHMFGKCKEEVKLTYQDQEGDWLLATDVPWGYFSNSCEL >cds-PLY78365.1 pep primary_assembly:Lsat_Salinas_v7:9:9790558:9790953:1 gene:gene-LSAT_9X7620 transcript:rna-gnl|WGS:NBSK|LSAT_9X7620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLASQSSSRMASDLRYAATRASTLMVAATNRVCRASVNERHLKVMQGVVESTREEIRDLEAERQVLSEQNYIIACEKAALEDHVATLEDQSERLARQVSSLTMEKGVLSGDLARCQRQLDRACVDGVVA >cds-PLY85180.1 pep primary_assembly:Lsat_Salinas_v7:9:147492926:147493726:1 gene:gene-LSAT_0X7180 transcript:rna-gnl|WGS:NBSK|LSAT_0X7180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNEETFPSGSSSAPPHPEHDAVSVKLAKLLAFQDSASHSKGKGISIGSRQGGDEDSHQSVSELKQEIMLLKQESIEKDFLIGSLDVRVSNLEQENSVKDAKISELQANLGDITALFFDLKQRLHQKLGNDFQPLSIEGKKIYVSSSDPVNPPSQHVSERVVRPAPNANLDTFLSSGPSFAQERREKQDRIEQLKAKMLVMKHLDQNAPGDHPEMFLRETGKKFTDKYGDRSGILMWGYDADKKMWAVKRKCSRIEYCDHIRELHI >cds-PLY92506.1 pep primary_assembly:Lsat_Salinas_v7:2:152615131:152616312:1 gene:gene-LSAT_2X76760 transcript:rna-gnl|WGS:NBSK|LSAT_2X76760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSLVVSLICCPRITINREDDHTVCTGQPCVNLNICDETHLDMVWLKTLGEFVHNKDKPGMRVVYHRASMYQRLLVSSQPKVARRYEPGNQAKGDVLHVSYYYINSNLDGYAISSLQVYPNTPIDLYKDPKHIPLIQVYEPLVADIGHFILLTEQEAYNEEELVNRMLGYLEPEYFNAEPITEKVDIYAFGLALLELITCIRIRELQGYKAHEYWHDICASQEMELVYILADKHKLLKSEMKFFSEQILLSELKLSASDWRIPIIFIVSCSIIACWEQFDWWLSWWKGRLAEDATGEEAWAIQIQFLETSLEDKNFWKAGVMI >cds-PLY63961.1 pep primary_assembly:Lsat_Salinas_v7:3:172422368:172428586:-1 gene:gene-LSAT_3X103380 transcript:rna-gnl|WGS:NBSK|LSAT_3X103380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQNQVAHAVHVLNHDAQSCNRVSANQWLVQFQQTDAAWEIATSILTSDHPKPYVSDLEVEFFAAQVLKRKIQNEGHCLQLEAKESLVNALLLAAKRFSLGPPQLLTQICLALCTLILHAVEYHKPIEKLFYSLQNLQGQNDGNIAVLEMLTVLPEVVEDESATYKTKSGHRVDYGPELLSHTSPVLEFLLQQSKESFDGVIQLHDRSRKILRCLLSWIRAGCFSEILPVSLLAHPLLSFVFNSVQVLSSFDLAIEVLIELVSRHEGLPQVLILKVGFLKDVLLRAFSSGDEKVISGLACLMSEIGQAAPSLIIEANPEALVLVDALLSCVLFPSQDWEIADSTLQFWCSLASNIVRMEDELTENSKHVKDVFSPVFLALLDALLSRSQVDDSTYGDTATRELPDGLAQFRLNLVELLVDICQLLKSAVFLQKIFFGGWLSSNVQIPWKEVETRMSALNVVADVVLQEGQTFDLSMVLHLVTILCDRESYEPKGFMCIVHRSLVDVIGSYSKWMSSYVTNARPLLLFFAAGMSEPLCSHACATAFRKFCEDATAVMHKPSSLEMLMWIGEGLEKRHLPLEDEADVIGAVTLILAHLPNTDLRNNLLLKLLSPSFESIGKLIDGDHVLTLRQLPAAYTHLVNSSVRGFYRIGTVFGHLVTPLSTSPDIDNSIIALLETFWPMLEKFFQSEHIENTSLSMAACRALSQAIKSAGHHFVTLLPKILDYLSTNFASFQTHECYIKAACIVIEEFGNKEEYGALFMSTLERFTHASSVMAINSSYVCDQEPDLVEAYCSFTSIFLCTCPKEVVASSGPLLEVSLQKAAICCTAMHRGAALAAMSYMSCFLEFGVTSLLESVTSNSASMVVQVISHSGEGIVSNVVYALLGVSAMSRVHKSATILQQLAAVCSFSEKTKWNSLLSWDSLRGWLHSAVRSLPGEYLRHGEAESLAPVWLKALGDAAFDYVESRTSDGDKNHKHMRGKGGRFLKSLFREFVDSHRNIGT >cds-PLY88606.1 pep primary_assembly:Lsat_Salinas_v7:MU040060.1:5177:5735:-1 gene:gene-LSAT_0X43561 transcript:rna-gnl|WGS:NBSK|LSAT_0X43561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLKKRAFEVIDNGPDDADSDDKYGAVFKPLIDNAIEDSLYKVDCGVFAMRHMEGYFGEKCSKWNVVFXRRWYSRKNYGKVENEICSNNLTSEINTKRDDVLKAAYEYQEFDQKIRGKHS >cds-PLY75354.1 pep primary_assembly:Lsat_Salinas_v7:6:179597436:179599042:-1 gene:gene-LSAT_6X110021 transcript:rna-gnl|WGS:NBSK|LSAT_6X110021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIPVIDFSKLNGEQRTQTMAQIANGCEEWGFFQLVNHGIPVELLERVKKVSTDCYKLEREEHFFKDSAPVKLLKELVHKKSEDKVDNMDWEDVFLLSDDNEWPPKTAGFKETMTEYRAELKKLAEKLMEVMDENLGLPKGCIKKAFNGGEGEKAFFGTKVSHYPPCPHPEMVTGLRAHTDAGGIILLFQDDEVGGLEILKDGKWTDVQPLRNSIVINTGDQIEVLSNGRYKSVWHRVQAMPNGTRRSIASFYNPSLNATIEPATQLVEKDNKEAKQFGYPKFIFGDYMSVYTEQKFLPKEPRFEAVRGAYDEKDLIFSV >cds-PLY85221.1 pep primary_assembly:Lsat_Salinas_v7:1:148996959:148998504:1 gene:gene-LSAT_1X105461 transcript:rna-gnl|WGS:NBSK|LSAT_1X105461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFAPLPLSYPEEIQHDLSPFILIHKNGRIERLVGEEITPPSTDYTTGVRSKDVQISPETDLSARIYLPGTIISQEHKLPVLIYFHGGGFLFGTAFSTMFQPFHNRLALEAQTIIVSVDYRRAPEHLYPTQYDDSWEAIKWVASHANRNGSEPWLNDYADFERLFFGGESAGGNIAHQMGMRIGLGKDLDAFGDRVKLSGIVLIDPHFWGETLIGGEVNADVKEINILEKLWRVMNPSLSSFDDPLINPAKDPNLSKLGCRRVLVSVAEKDLLRDRGWYYHDVLVNSAWNGQVDIIEAKGEGHVFHLYPPFRENALTLFKTLFSFINGDNYIDVHNSG >cds-PLY92734.1 pep primary_assembly:Lsat_Salinas_v7:8:67297754:67301945:1 gene:gene-LSAT_8X47821 transcript:rna-gnl|WGS:NBSK|LSAT_8X47821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKILVVGGTGYIGKRIVKASLAQGHPTFVLMRREIGYEVEKLQTLLSFKQQGARLVEGSFSDHQSLVNAVKEVDVVICTMSGVQFRSHNILLQLKLVDAINEAGNIKRFLPSEFGIDPSRMEHRVEYGKETFEDKMIVRKAIEDAKIPYTYISANCLGGYFVGNLSQTNTLVPPKNRVSIYGDGNQKVVFMDEDDVATYTVKSVDDPRTLHKTLYLRPHENILTHNQLVQKWEKLTDKTLQKVHISAQDFLASMKDLDHAYKAGFERIYQIFYDGCLMNFEIGKHGEEASNLYPEVRYTRMDEYLQRYL >cds-PLY64682.1 pep primary_assembly:Lsat_Salinas_v7:7:138867020:138868100:-1 gene:gene-LSAT_7X83841 transcript:rna-gnl|WGS:NBSK|LSAT_7X83841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNSNLCAVVLLISILIVAASAGSFYDDMDISFGGERAKILNGGQDLSLSLDQYSGSGFQSKHEYLFGRFDMQLKLVPGNSAGTVTTFYLSSQGAGHDEIDFEFLGNSTGNPYTIHTNVYSQGKGNKEQQFHLWFDPTAAFHTYTIVWNSLRIIFLIDNIPVRVFNNNDAAGVPFPKSQPMRVYASLWNADDWATQGGRVKTDWTNAPFTASYRKFNANAKKVGPNSVSTSSINDNQSWSTQGLDAAGRNRIRWVQTKHMIYNYSNDRKRFLNGISAECKTSRFL >cds-PLY77725.1 pep primary_assembly:Lsat_Salinas_v7:9:19922471:19931595:-1 gene:gene-LSAT_9X18461 transcript:rna-gnl|WGS:NBSK|LSAT_9X18461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin like protein [Source:Projected from Arabidopsis thaliana (AT3G22480) UniProtKB/TrEMBL;Acc:Q56X68] MAMAAAFCILLHLSTIDVWWYDCSGEDVLGFRDVQWSTILYTAAARGQIEVKDLTDLDIVHMDNLNDSQRIIIMAGNAEPINEQEVAAKYGNIRSEMNQIYSKITELEMEVSEHSLVINAIQPLDPTRRCYRMIGGVLVERTIKEVLPAVQQNKEGIEVVISRLNEALERKKKELTDFETKYKIRIRKNDGESMDDNSKKEGSAQGVLVGPANA >cds-PLY93134.1 pep primary_assembly:Lsat_Salinas_v7:3:55322572:55324772:-1 gene:gene-LSAT_3X43201 transcript:rna-gnl|WGS:NBSK|LSAT_3X43201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAGATGGSPGLIADALTEKDIHGSYTSGGWKSNVATQVSTFKLLELVGLEMDLPVIMMSADGRTNLVLRGIRHGVCDYLIKPIYEEQLKNIWQHVIRKKWNENKEHENSGSIEHKSGGGADDNHDSEYGSSVNGGGENGVLTCHKKRRSIKEEDDCELESDVPSASKKPRVVWSMELHQQFVSQVSSEWEASALIEVNGLEVEFM >cds-PLY76479.1 pep primary_assembly:Lsat_Salinas_v7:5:198013915:198019736:1 gene:gene-LSAT_5X88680 transcript:rna-gnl|WGS:NBSK|LSAT_5X88680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSERRRRKKIRGMKLNINNNENLVISQQLMMRNHSSDSSILSQNFLHNDLVIRNEVCNGVGSNYECEIHWSNRWWLFWSKGSKEDLTIEITFLFGHHLKE >cds-PLY80518.1 pep primary_assembly:Lsat_Salinas_v7:3:91902407:91906847:-1 gene:gene-LSAT_3X67981 transcript:rna-gnl|WGS:NBSK|LSAT_3X67981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGFFHLVDLKQGTMARKIGTQKRNVHGLEAPRNSLDLPVGTYKSYYTQQDDGVHNKHQGTHDWYETGYFPAEAPMKKLISDEMTKKPKSRGNAPSIVARLMGVDTMPIDTKPVNDFYKEKLTRSSSASSTVSSKSIKQMNLDSFHLNEETDSDEWSVNTSSKKPMPREHPQEEELQKFKKEFEAWQTARFKECSKIVENVAVSDQFIAQQNLNKQKMALYANPVETHEDLRKSRSLKSDEKRSFFKRRETLSEDFDQYSAPTKIVILRPGFDNVSNSEEPWATSSSHASEDRNKIEDFLEEVKERLKLELQGKSVKRGGGIETPYSERLSDPKQIAQRIAKQVRESVTKDLGTNLLRSESTRSYQTNSPEFISRDTRKHLSERLRNVLKRESSSSHSHSIDETENDIQSKSFRCGPDDDHDDMILSSPVNLVRSLSAPVSSGTSFGKLLLEDRHVVTGAHIRRKHEGNEKLLVKKERKDRFNLKEKVTNLKYNLSLRARLFGRIRKIHSANDQFRENDRSFVKDIMNGPTVMMNFPDRPENSTEVPPSPASVCSSIHEEYFRYCASPTTTPLDDNDMPQAFRDISSNLNELRKQLNELGKGRVYEESQIEEQPYDNEVDTVDLEDENEAYIQDLLVASGLYHNSFDKSLSKWDTFTKPITNTVFEQVESSQKHIVNSNKLSSTESDQRKIDHKVLLDLLNEVLSIVLARRKGTGIRPPHGDTLLDQVWGMLREYLHAYVDKSFYFFDTMVSRDLRSMPWMELANEEIDVVAKEVECQIFKDLMEETMRDMVRL >cds-PLY63000.1 pep primary_assembly:Lsat_Salinas_v7:8:183940008:183941893:1 gene:gene-LSAT_8X119401 transcript:rna-gnl|WGS:NBSK|LSAT_8X119401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMSFNGFHRLYYIISIALLLQPPSAIAGDSILSRFQQYLQINTSHPSPNYHQAADFILSQAKSLSLESRTIEFVKNKPLILLKWPGKNPDLPSILLNSHTDVVPVEPHKWSHPPFDATIDPENGNIYARGSQDMKCVGLQYLEAIRKLKDSKFEPLRTIYVSFVPDEEIGGLDGAKRFANSKIFDEMNVGIVLDEGLASPEDKYRLFYAERRAMSLVIKATGAPGHGAKMYDNTAMENLLKSIESVRRFRASQFDLVKAGVKAEGEVISVNMVFLKAGTPSPTGFVMNLQPSEAQAGFDIRVPPIADHDQASLERRIAEEWAPASRNMTFEIRQFKQKDSGKPILTAYDSSNPWWTLIEEAIVKAKGKIGKPEIFPASTDARYFRMKGVHAIGFSPMANTPILLHDHNEFLNKEEYLKGIEVYESILKAFASFVEAKNGEDSLGDEL >cds-PLY92076.1 pep primary_assembly:Lsat_Salinas_v7:5:323540870:323542509:1 gene:gene-LSAT_5X177720 transcript:rna-gnl|WGS:NBSK|LSAT_5X177720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRSSSVNTLFSWVRRQSTKAKIFLAITAIISALVILKHSVQNYNNFFIAAESVHAAGIFVLIYKLSTLKTCSGLSLQTQELTAIVLAVRISCSPVIGYNVHTVLDIAAFVATAWVIYMMRFKLYKSYNEHLDKTPKSYLLIPCAIMAVFVHPNSVIPLFSKMKWAFGVYLEAIVVFPQLRMMQRTKMIEPFTAHYVFALGVSRFFGAAYWILRVYESTEAYLFLLGRGYFWVPMVLVSEAVQTFILADFCYYYVKSIVSSNLLVKVPQV >cds-PLY96320.1 pep primary_assembly:Lsat_Salinas_v7:5:192459337:192459903:-1 gene:gene-LSAT_5X86001 transcript:rna-gnl|WGS:NBSK|LSAT_5X86001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLHLLVLCGAKSGAAVDVIKRISVSICSLVTKVKLMEERNMKPLDLNLAALSARCSKDLELNLAKSLLSEMSQCTTAYPYNQLFGALV >cds-PLY78391.1 pep primary_assembly:Lsat_Salinas_v7:9:10410611:10411836:1 gene:gene-LSAT_9X8120 transcript:rna-gnl|WGS:NBSK|LSAT_9X8120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPSVEIINNAMFCNTVHALAYTDTHQKWLIRKHLLSLHIEFSSLSPSVAMFTHNDGTMVNLLKAEGYLHISQYLPSIHVSIWIHEHYPHKPPIVQVTSKSNPTYPIRPNHPFVDPSGVTTSSYLHTWGPFGHDLLGLAYSLVKIFSLDHPFYFASAPTLSHPSYMSKTDCMDRLWWMLHYDMMTLRETTNDEVENLTILQADMSMRVDITTSIIIGLDHERVNLKQRVKEITDESDILINWLAVNKVNLSVAMGGKVEDAFECIDTYSHLALELLAEDQALEDLMYALEKALEKGVIRYEHYMKQVRSLARHQFFFRAKIERLKEVKIFKLLD >cds-PLY92538.1 pep primary_assembly:Lsat_Salinas_v7:5:78892715:78893161:1 gene:gene-LSAT_5X36300 transcript:rna-gnl|WGS:NBSK|LSAT_5X36300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSRISTGSSNHRVAKEVLCDCKLPTRIRTSKTKDNPGKNFRMCPNSLKLGEKCKVWEWIDEEPENMKPIAEDTLSDVADYLIQVLEDVTSVREEVKQLKVMVCVLIMLVIVKVMFSG >cds-PLY91663.1 pep primary_assembly:Lsat_Salinas_v7:8:10167656:10172313:1 gene:gene-LSAT_8X7320 transcript:rna-gnl|WGS:NBSK|LSAT_8X7320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWRVSSSKDAKKKTSKDSIIDTIRKFRISSDKKSGGSRRGCSDTVSEIGSLSRIQSRSVSPSKESKLVARCQSFQERPQAQPLPLPVLHPSRMTRTESGLSVSGKQKQEKGSKTPPFLPLPHMRTRPDAGDFDGADSVFSECYSDSDDPPDSSQLSPLASDYDTGSRTTAGSPSNMIVKDQLPVTKREVPKPHDHLFDNHISSSPPRRKPLRSHVPNLQVPSHGAFFSAPDSSISSPSRSPLRAFGTEQVINSAFYTSKPNPDFPFLGSGSGHCSSPGSGQNSGHNSMGGDMAGLFWQPSRGSPECSPLPSPKMTSPGPSSRIHSGAVTPLHPRAGGGADRSEDVKQKSHRLPLPPVVTISNSLPFSQSNSAATSPSVPRSPGRPDNLPSPGSRWKKGKLLGRGSFGHVYVGFNSESGEMCAMKEVTLFSDDAKSKESARQLGQEVSLLSRLSHPNIVQYYGSEMAKMVVVYMNEQVDDKLYIYLEYVSGGSIYKLLQEYGQFGELAIRSYTQQILSGLAYLHAKHTIHRDIKGANILVDPNGRVKLADFGMAKHITGQTCPLSFKGSPYWMAPEIIKNSNGSNLAVDIWSLGCTVLEMATTKPPWSQYEGVAALFKIGNSKELPVIPDHLSDEGKDFVRQCLQRNPQHRPTAAQLLDHSFVKNAAPLERPLLPYPYPEPSSDPNGGIGHPRNRQLLDSDRLVTHSFRASRPGFGSSDVQIPRNISCPVSPIGSPLLHSRSPQHQHQHQHQHVNGRMSPSPISSPRTTSGASTPPMGGGGGKIPFHHVNQSVYLHEISKPVNNIPDMFRSKQSQSPGRHFGGLTHELLHGGHAVLADSVSHLLLRDRVHFKPSLDLNPL >cds-PLY87285.1 pep primary_assembly:Lsat_Salinas_v7:4:331263621:331264391:-1 gene:gene-LSAT_4X164361 transcript:rna-gnl|WGS:NBSK|LSAT_4X164361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQSNTNLSYGKVGVLTSTLSTLSSEPIIEINGITMKIKIVEVDLDWNPFHQFSRSSEESSSLEDDGEDEEEDAETDDEDEHVSDTILIKNCNTELEEGEIGTDEAEEVMESNVGILMKNNIDNNCSLVVASSPADMATDENIDGDGNVTPWELQGSQLNSDARGNCQGGSNNYETTHKMAVNGYLTQNFETRNVGLEDMSNGLMQNLNRSGCFGPFSNSLGEEANRTSQINNNFEGSHDKRRRILTPIPEVSMEI >cds-PLY75267.1 pep primary_assembly:Lsat_Salinas_v7:7:65196946:65208882:-1 gene:gene-LSAT_7X46620 transcript:rna-gnl|WGS:NBSK|LSAT_7X46620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSANASSYDVFLSFRGEDTRHSFTDHLYKTLMGAGIGTFRDNEEIRRGEEVKPEIETAIKQSKASIVVLSENYATSTWCLDELVLILEQRKEVNHFVLPVFYHVDPSDVRKQNKSFTIEVKGSSRWTDHNVNMWKKALKEVANLAGMVLSGPETNFLKEIVDTIYNKLDRKEVHLPPNLTGMATRYEEINSWLNQSNIEFLAICGMGGSGKTTLAKYIYDSNWRYFENTSFVENIGRRCKESHDLLELQEQLLNDILGGKKRKIPGVSQGTCKIEEALQTKRTLIVLDDIAEKSQLVALLGTGTINAQSKIIITTIRENTDNWFKSTYSRCQEYKIKLLNEDESLELLSRHAFGSKAPMEGFEELAMQAIRYCEGNPLAVEVLASSLSNENTILYWKSRLNVLDKDFDSRIQSVLITSYESLPSILEKELFLHIACFFIGKDKDYVVKILEPDYCALSGIKTLSNKCLLSVSPNNKLMMHRLIQEMGKNLVRLESSKFPARRSRVWLSSDSYKILRKGEGSETMEGLALDMQLLKEDKVAFKSLDLKTDALKKMDKLKLLHLNFVYLNGSYENFSEDLRWLCWLGFPLTTIPPDLFMGNLVAIDMSYSKLEVLDPPMVLQSLQILNLKDSYDLFEIRNMSMIPHLETLILWNCHNLVRVCETIGNLTSLALLNMTGCRKLLLGVTEQHTFSLPHSLHRLFLKDCNLECTDSFTLSFNVQLSLQYLNLGNSLFECLPCYDHLKYLRVLDLSLCSRLKWLVCLPSTLAELYVYYCLSLEKITFQEHRFTLQEFGYEGCISLCEIEGFIKLVPVTKLEENDLGHMKWLKEYQNQEVCLVGDDELTKGRTPCVEMLYEFDIMSTSLPDIKNPNLKPEYVSASSALSFDVPLCPRNRKLKGLDVTLRYKISGDDDLAWFVKISTSNGVDLMYNPKVFGKPGSGNVGIWLSYWPIGNTLNTGDKVSVSISVMSGLEVEECGVSLVYVDEKVAEETLENNMGWVEVLGGDLSRFQLRTGAYYLCRRDFFELMEIGRLTPHWFSILVGDTIDSTEVRGWRKTGRPKQMNPSFTELKTVRCIIHGPELEEVYKIPQMSKSSFLDKTLEFPSSILGESMQSTTTSKFGAIVRKAEDISEDASIYMKEISEINEPNEIDQLHLTKNMSEELSDEIQEYSTSSLHGDKLKSVSGQMKKIVLGFKSGSPSKRAEVMKALSGLGIQLIDVVIEDGNLTVIGDVDSMDVIQHVSKIEGVYSISVEPEKKIKEERETGVAPSYKSAKEHKHRKIQKIVLEFKSGSRSQGEMVLKALSALQGIQSILFDLEEGSVTVIGDVQPAEIFHRTWMIEDVYIINVNDTFEPIKKIYETGISPAFKFSEEEKEFQQDRKTCSVM >cds-PLY95425.1 pep primary_assembly:Lsat_Salinas_v7:9:191295853:191300603:-1 gene:gene-LSAT_9X117920 transcript:rna-gnl|WGS:NBSK|LSAT_9X117920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHGSSKSSSSDDSTNGGGSNSKSHTSRRERIKTKLHLHRHRDRDRDRSVRTSRGSHSQHPKLSTLEDFAGIALVTLISAEMKFKDKWLACISIGEQTFRTEISDQTNKPTWNSEIKFLLEKNGPHVAKISVFETNRLRKNNLIGHCEVDLFEFLIRDSDSDKKVFDVMDPSSSNVVVGNISLSCSIEDPTETEKSFARRILSIVDYNGDGKLSFSEFSELIDAFGNQQAAKKKEELFKAADENGDGDVSMDELAILLAMQQEKEPLINRCPVCGELLEVSDKLNSMIHMSLCFDEGTGNQVMTGGFLTDKQASFGWMFKLSEWAHVSSYDAGLNSGSNASHIVVFDRRKKRLVEEIIDGKIVLSMRAIYQSKVGLGIMDKGAKELLQSISEKQGKKMNSAESAKDIQPFLKFFKDQINMTEVKYPLDHFKTFNEFFIRELKPGARPIAYVGRDDVAVCAADCRLMAFKTAEESLRFWIKGKKFSIRGLLGNSPFSDTFTGGTLVIFRLAPQDYHRFHFPVSGKIEQIVDIPGCLYTVNPIAVNSKYCNVFTENKRAVSIISTADFGKVAFVAIGATMVGSITFTKKTGDYVQKGDEFGYFSFGGSTVICVFEKDSIALDEDLLAYSARSIETLVSVGMQLGVSVKKRTQLPLSTSNASFYVETA >cds-PLY72410.1 pep primary_assembly:Lsat_Salinas_v7:3:188222313:188223011:-1 gene:gene-LSAT_3X112281 transcript:rna-gnl|WGS:NBSK|LSAT_3X112281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSDPFVKVKKPSALSARTMETKSKSPKPKKMNITLKMGQEVFEGLNPFDVKQKVGAEELKKEMEVMKKLHDQELKKKDKKFAMKLKELSFKDVVRKEELDKGEGEVDENVIEKAREGVGGESAEDQDVEGSKKGEDMAP >cds-PLY65867.1 pep primary_assembly:Lsat_Salinas_v7:4:85231334:85235689:1 gene:gene-LSAT_4X57281 transcript:rna-gnl|WGS:NBSK|LSAT_4X57281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQKARVSKELNEKHRKILEGLLKLPENRECAECKSMGPRWASVNLGIFICMQCSGVHRSLGVHISKVRSATLDTWLPDQIAFIQSMGNKKSNSFWEAELPPKYDRVGIENFIRAKYVDKRWISRDQKVETHLSIIRKENVPLYKPGTTTKAIVLFPEPKQTPQLYNLNKTTPPLPSSTVVDQQVIIQPKQIEPEFKPEESKAIVVVPDQKVNNDYNATDLFDIPPVDANGFKPSSSNEDPQAKTQTLDNDAKKSSNKVKSEFEDLFDGLDWVAQEPCTQVKNDTMKLFEKSTTIQPLPVPQQQYKVMGNHQNGTNGFHRLPNQQIGNIKSSQPIGNPGFYTTSSMYGGSRVVPSTKTLGGSRPSTTSAGQLSLPTQLGGDYDFSSLTQGMFGKR >cds-PLY62323.1 pep primary_assembly:Lsat_Salinas_v7:4:87857298:87865070:-1 gene:gene-LSAT_4X57900 transcript:rna-gnl|WGS:NBSK|LSAT_4X57900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAVWFALRKSLHCQSGPADVHDPNFQGKNLSKIVTKTKKSSTSTGGKSGCSRSLANLKDVIHGSKRHMEEKPTTMATTTTNASPRSIGSSELLNPIAHEVVLSNSNCELKITGFGSLQDGNGVGGDGEGVSSRFEGTLKPGTPGPHHYHHHHKLTSSPMTNRRNSNGFSRASRGLGSGFGAINASKARASFEGEGHGIFNLACHKCGEQFVKWEALENHHLSKHAVTELIEGDSSRKIVELIYKTSWSKCHTNSGGIERILKVHNMQKTLSEFEEHRETVKVKASKLQKKHPRCLADGNELLRFHGTLVECNLGLNGSSSLCQSNNCKVCQILRHGFIIKKNGNNKNIVGGVFTTSTSQRAFEAIDVNNGSCLRKALIVCRVIAGRVHKPLENIQELANQSGFDSLAGKVGIYSNIEELYLLNPRALLPCFVIICKPQT >cds-PLY85232.1 pep primary_assembly:Lsat_Salinas_v7:1:148719324:148719575:-1 gene:gene-LSAT_1X105361 transcript:rna-gnl|WGS:NBSK|LSAT_1X105361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVKGLVKRSNGWDTEELEWRLIARRWVTKKDNDELEVAKKKVYGMRSGTDKEHGSSSCRSSISQSEKDHRVSCEDLSAEQLS >cds-PLY62816.1 pep primary_assembly:Lsat_Salinas_v7:4:29468484:29468978:1 gene:gene-LSAT_4X20461 transcript:rna-gnl|WGS:NBSK|LSAT_4X20461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVHFRSFEYEIQKLRDFSKERHDLFVEQVIKIKESMDQKMAELKSEMAKEVEKIQHNYTLLHSKVDVVATAIIKLVEFNTDYSTKLEEKSEKDSQVFAKLEEFLSSIKEMISKVDLPNQSSVSQESISQLVSNIETNIKVELAAILKLVLRLPTNVPCVVHLS >cds-PLY98793.1 pep primary_assembly:Lsat_Salinas_v7:7:23003911:23007245:1 gene:gene-LSAT_7X18380 transcript:rna-gnl|WGS:NBSK|LSAT_7X18380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKATMTLKDFHGGSIPSDLPLPSAPGVTVRPLNHTGFDRHTSWTNPMGRQDHRLRPGSAGAVRTYDDKTPFLSHNVQIGRNFDEDERKPLDGGSTPRRTVSDENIRGGSGGGGGGVAVRQEPKFEYPLAGNAPTRPATTPVSQFPGGSSASYAARFSESSNVGVNSGPSPNAWGPRKVAGITEPVAASWSAPDAATKLAHASALEKVSSGRWLSNQHKQVDVEVIRHPEPEAKVQTKGNMYTTPEAARSWTTNEVVPPVAPREVPRTDVVQDLQPSEVSERPKLKLLPRSKPVENLEPLVAYKQAGEAGMVDTGNESYGNANATEDGNQGFDRPRVNLKPRSQPIEQMERNTDRERNSLFGGARPRELVLKERGVDDVAVNHDTIQSPPNRAKKEITRADNPPVTSRQNGKPEPLDHHRTASKTRDHVEKSDAQRRSNWRNENRKIEKQPPPPPTPQERPPSPETWRKPVEPTPPVGPRYGKAASAVELAQAFSKSVSDPKVGLRGVPGQGQIPFSRLTGQPATRPHQINGH >cds-PLY69287.1 pep primary_assembly:Lsat_Salinas_v7:7:131078018:131080724:1 gene:gene-LSAT_7X77940 transcript:rna-gnl|WGS:NBSK|LSAT_7X77940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKDEDDMRGEIEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVEWLPDRDEPAGKDYSVQKLILGTHTSENEPNYLMLAQVQLPLEDAEYDARHYDDDRSDFGGFGCANGKVQIIQQINHDGEVNRARYMPQNPFIIATKTVSAEVYVFDYSKHPSKPPLDGACSPDLRLRGHNTEGYGLSWSKFKQGHLLSGSDDAQICLWDINGTPKNKSLDAMQIFKVHDGVVEDVAWHLRHEYLFGSCGDDQYLHIWDLRSQSVNKPVQSVMAHQSEVNCLAFNPFNEWVLATGSTDKTVKLFDLRKITTALHTFDCHKEEVFQVGWNPQNETILASCCLGRRLMVWDLSRIDQEQTPEDAEDGPPELLFIHGGHTSKVSDFSWNPCEDWIVASVAEDNILQIWQMAENIYHDEDDMPAEESRGA >cds-PLY98106.1 pep primary_assembly:Lsat_Salinas_v7:3:247336037:247336597:-1 gene:gene-LSAT_3X136461 transcript:rna-gnl|WGS:NBSK|LSAT_3X136461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLCVVFFPILFSLLLTLTASWANADSGNTDATMTINSFEKGGSGGGPSECDGKYHSDNTPIVALSTPWYNHGKRCFKSINIYYKDRSVQATVVDECDTSRGCANDIVDASVAVWNALAVSKGEWGETKVTWSDA >cds-PLY72945.1 pep primary_assembly:Lsat_Salinas_v7:5:109165630:109166987:-1 gene:gene-LSAT_5X45220 transcript:rna-gnl|WGS:NBSK|LSAT_5X45220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHHRLNQQLPSSNLPAKILCKVMNVHIRAEPKTDEVYAQRTLLPDTNACIWPVLYERLSSAKFNSTSAKAKWRSSNEDTSTLYLYSRPFASRTSYVKLLRTMKRETLKLIETFLDKAEDQVQGCYD >cds-PLY85638.1 pep primary_assembly:Lsat_Salinas_v7:3:88400278:88404393:1 gene:gene-LSAT_3X67820 transcript:rna-gnl|WGS:NBSK|LSAT_3X67820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHGFKKSEEGLREFVASSGFSFDYGNIGSLLVNELYKIGWIKYERVLLLRPSSGIFDEWRCFNRQQPGNTDGNSESMHLSNHVQEKSNGPTEKFNSNQLNVIIRMKLEQLQLLKTAKLDAILRRRAMQHREIQSHESDKFLSYFKPCIVPLEGGVATGFKKPEEMEFETRLYICKGKRVVHVAATTFDWIIITSPEAEIEKEMDIEKMDDETESPKHKEEHGLGLHTADIVMEENAHGDDVNQSNEKDEDASGAGNELVGVVSDENLLELLELAMSSNTAETVKRARELMELGVDSMDLMSQMATLIMDIFAGAYQVIEASVDSLFDGRSLTEAEIERLKHALKLLSEFEKQLRLSSERSTWFTATLLQLGLVPSANPTPSGSSRRQSSRTTEDDPSATYQDIYFQKQRQDSQYTPQKSTPMYPPKPIRQNSASPKDTLQSMRQLMNGGAITASSVPHHDDDDDVIILNNVSKRSNSNILNDIWARCIEKCHSKTLRQLLHTYGNLVSISKDKGILVAYIVFRDKDIKSRANFISITGSTLGFFFTLYLAYHSVVFHLWLKNHRVEVFDAFASCYSSPNKNVQISYSTLVLNYAVLLIEKKDEEGQSHVLSAALEIAEGETSEVDAKYRALVAIGSLPSSVGRRPVISRDGVWDALSGGQHPNTVHQVMTN >cds-PLY82461.1 pep primary_assembly:Lsat_Salinas_v7:2:182922149:182924114:1 gene:gene-LSAT_2X104321 transcript:rna-gnl|WGS:NBSK|LSAT_2X104321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTISDLTYRYAGNPLQIWILRKWKPQFRRHETRFLGVDKNVCIFLALKFRTNQSYIKSIFTVSNCYTLSCYNCPNLDEYQKILENNIYVDFGLASAIERIPHTVTIPRMWFRFVSKTALNDFAENPPYLRDFIGLLSRLRECKKRDGESFVVLVLTDASGDELAINLWKECIDEPEKFNLEELAPPLTTTIAVVTSLKPSSFGDKSPYDKEGKRKRKQ >cds-PLY89160.1 pep primary_assembly:Lsat_Salinas_v7:3:22006910:22010562:1 gene:gene-LSAT_3X16260 transcript:rna-gnl|WGS:NBSK|LSAT_3X16260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLMLPSPSSCSTSSSSSPFSRRSFSRGFINSEARIPASANNIRCEISKSLNGKPCVPIIKDGMLSNFMEAGRMNHAVMNGKLKIFSGTANSALSQEIASYMGLDLGMIQIKRFADGEIYVQLQESVRGCDVYLVQPTSPPANENLMELLVTIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGADRVLACDLHSGQSMGYFDIPVDHVYCQPVILDYLASKSICSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMLDDMIDTAGTISKGAALLHEEGAREVYACCTHGVFSPPAIERLSSGLFQEVIVTNTIPLKEQHYFPQLTVLSVANLLGETIWRVHDDTSGNGIAT >cds-PLY89300.1 pep primary_assembly:Lsat_Salinas_v7:2:52395651:52396011:-1 gene:gene-LSAT_2X23040 transcript:rna-gnl|WGS:NBSK|LSAT_2X23040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENFGVQNLKVGQLVEFTTFERGFRCAWFRCKVVVDSSQIVPISKADIGRTHMWLKSTVPLGCVVGRSTVSVKLELLPLTGGIITLDSLRVNS >cds-PLY67583.1 pep primary_assembly:Lsat_Salinas_v7:MU044895.1:119183:120388:1 gene:gene-LSAT_0X41780 transcript:rna-gnl|WGS:NBSK|LSAT_0X41780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEPHTPRNTITTKLPWEILFLQILPRLPVKALPNAMCVCKKWYLYLKSGAFASTYHHHVVSNDDHQNHHKYLVVSTTQRHMHSIDCETPKDGLMVARNLPFFLPFRGNMSILTSLHGLLCIGTIQPQNPGEYYDLILWNPLTGDYKMLSEPKKGCYHKECYKISEGIFGLYYISSDDDYRLLRVTHNLNIYIYSLKSNSWRMVESTADFQQRASKWTSRGSYWEQPSHILLNEKLNFLKQVDRGGTFIFSYSVMRFDTKTEKFTEISIPSFGNQMTNCLGFMVLGGRIHFCVAILIEEENYMTNRRYYEIIELWRMDGDGYWTKVLTYGPMSFFLWGRSILHWMRNGNLLIRHLDSVYLLDMKKHTKEMIFTCQSMDSKIPPTGKYVETTVSPNQRHVYV >cds-PLY80829.1 pep primary_assembly:Lsat_Salinas_v7:3:191169202:191169615:-1 gene:gene-LSAT_3X112681 transcript:rna-gnl|WGS:NBSK|LSAT_3X112681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRNPNSKINLYYDQIEVVVSYKSNSIAQTLLPPFIQGTNNETTIRATLTSLMMSCVHDQESIKRSHGVITFDVTMTARVQTRVWWGITWALTVDCSNLQIAVGNGGSGTLVGGSKKCMASASDDQMNSTKFLYRRW >cds-PLY90591.1 pep primary_assembly:Lsat_Salinas_v7:6:52213525:52217422:-1 gene:gene-LSAT_6X38401 transcript:rna-gnl|WGS:NBSK|LSAT_6X38401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRVFVQRGSSAAGPSSSSNNTNRSSSSSSSSLPQAQVTGDDVQEQILSEDLPVETVEIDRNDGLDVPTTDKSEDETVSEDLSKRIGGLRVNEEEEEKDVKKSDDYPSQPTSGSPSPHPHPPPPPAPPTPPPKPSSTGSNSRRFTPGNSAALRIGSSRRATAWPVVSTGSPRSHPENDGYNSADEQNPCFGSSYDDAERERQFEIDIRRTQGYEVKRMSEDGNCLFRAVADQVYGDSEAYDLARQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVDPRRLTIGAGLGFSCLQGTNVDKDQVKAAIKAQQDQQIDNALMAEARFCSDMELTEKEIEHMVMAASRAEYMANDKFKQQLGQTESSTSDAQPSSSGGSISGPSGSESKGEGTVLCNGMQMVLSMGFSYVQAMEAYSIFGDDVDSMVCYLLETSSRRKGKATE >cds-PLY88085.1 pep primary_assembly:Lsat_Salinas_v7:6:184275373:184275633:-1 gene:gene-LSAT_6X111460 transcript:rna-gnl|WGS:NBSK|LSAT_6X111460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGRCEGTIGGPEGSANEDDEREARRLCRWHEVSVFGREKDRLLRGSTRPKVRSIEAAVVSVGAARSCSSSFSFACFSLDSGLRRK >cds-PLY85107.1 pep primary_assembly:Lsat_Salinas_v7:1:45726283:45730157:1 gene:gene-LSAT_1X40680 transcript:rna-gnl|WGS:NBSK|LSAT_1X40680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive DNA (cytosine-5)-methyltransferase DRM3 [Source:Projected from Arabidopsis thaliana (AT3G17310) UniProtKB/Swiss-Prot;Acc:Q8H1E8] MYEITNLSDSEDEFKPDPETITKTEAIDYNGFPYENMYSRHVGDNGASSSGSNIRSSLLGMGFPPDLIDKAIRQNGEDNMDLLLETLIAYTALQKSNPKSLHSAKNDESAPGGGKRKAILISHSESSGSLDCLFGDEQDVSSRSTNPRNISVKEDLDEGCNGIDDKKASLLMMNFTIEEVEFAMNKLGEDAPVNELVDFIFAAQLANADDSNKIHETKNEEATTETLFGTMDKTLRLLQIGFSEQEISSAIEKYGAEVSIQELAESIVCDRMEGPSIKNEEDPFLSFGDNSWMLGNRFKSSSMGAKNVLDANFYANLALRTEESSKAAASKIKETETINSFNSKQPKEESIDEQISLLQKPKPEFEDLNSYSTPARSASKPMSSKVLQRQLKYKQKRMAAVGVPKLIQPASCTSVDQMVAKAPLFFYGNVMNLSHDSWVKISQFLYAIEPEFVNTQFFSALSRKEGYLHNLPTENRFHILPKPPMTIEDAIPETKKYWPSWDTRKQLTCINSETIGITQLCDRLKNILVSSKGVLSVEQQKDLLHQCKTLNLMWVGRNRVAPIEPESVEKILGYPRYHTRADGLCLGERLQSLKHSFQIDALGYHLSVLKSMFPEGLTVLSIYSGVGGAEIALNRLGIRLKAVVSIEPSEIKRKILKQWWENSDQIGELVQIENIQKLSSTKLESLIKKFGVFDFIICQNPYTYAPKSVTMAAAESESFAGLDFSLFYEFVRVLQRVRSSIKIR >cds-PLY90150.1 pep primary_assembly:Lsat_Salinas_v7:7:12840129:12840722:-1 gene:gene-LSAT_7X11241 transcript:rna-gnl|WGS:NBSK|LSAT_7X11241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSFATASSTSSLPSRFLYPPPTTFSPNPAASASPFAPSTFVEPSSSIPLAAFAFPSSTTSSTAPLPISGFRPIGASIAAGPTSQSPTVSGLPALPPPWHLPLDPALRLRPPVQPGENLPAQSSRVAVHLLHLRCPPLQLSRNRWPQFPVPLLRLRFPQVQPWGNRFPQLPVAVLHFLLIFCLLVHHQAPLLASL >cds-PLY99502.1 pep primary_assembly:Lsat_Salinas_v7:4:57424796:57426155:-1 gene:gene-LSAT_4X38461 transcript:rna-gnl|WGS:NBSK|LSAT_4X38461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRQVASRLLTRSTNTYPAGAAATLRRPYSTEVSADNAPGDSAFVEAWKKVAPNIDPPKTPIQFMQPRPPTPSTLPSKLTVNFVLPYASELSKKEVDMVIVPATTGQMGILPGHVPTIAELKPGLLSVHEGNDVKKYFISSGFAFVHSNSYADILAVEAVPLDRIDPAQVQKGLSEFTQKLGSASTDLDKAEAQIGVDVHSALNSALTG >cds-PLY74508.1 pep primary_assembly:Lsat_Salinas_v7:7:32633289:32633855:1 gene:gene-LSAT_7X25041 transcript:rna-gnl|WGS:NBSK|LSAT_7X25041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMSRIWSVLNESKEAGNDNTAPLLNSGIDYKEWRKQLQHSLDKLRDHFCRQYVLNFIYSRDGKTRPDANIYLCGEGDDVSWNSSPLPSLPFQALFGKLQQLAIVAGEVLLRKEKIQKVLLARLTETVVMWVMSNEEDFWGVLENEVEKLQPQGLQ >cds-PLY64983.1 pep primary_assembly:Lsat_Salinas_v7:6:96579208:96583192:1 gene:gene-LSAT_6X64741 transcript:rna-gnl|WGS:NBSK|LSAT_6X64741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSIDVAASSVSSMYSATDVLKNRVGVYMETTKKFLGLTCQKILKVGRDDPRRAIHSVKVGLSLTLVSLLYLLEPLFNGIGQNAIWAVMTVVVVLEFTAGATLCKGLNRGLGTLLAGSLAFLFEYIARETGKVFCAIFIGALVFLIGAMSTYLRFFPTVKKNYDYGVIIFLLTFNLITISSYRVEDVLKIARERLYTMAIGSGVCIVMSLFIFPNWSGENLHNFSVSKIEGLAKSIEACVNEYFSDRETNMGKDNSMEDPIYENYKAVLDSKSTDETLALHTSWEPRHSWHCDPIPWQQYVKLGAVLRHFGYTVVALHGCLRTEIQTPKSVRLLFKDPCTRLATEVSKALMELADSIRNRRQCSPEVLSDHLHQALQDLDTALKSQPRLFLGPNGPNNTANMLALVAETTRLKPEKQLSSVKTESSALYEWKSKRVSKQLEVEGRFLRPTLSKIAITSLEFSEALPFAAFVALLVEAVAKLDLVIEEVEELGRIACFKDFDDHVIVDVDEKSKMEINLPSDPVE >cds-PLY79714.1 pep primary_assembly:Lsat_Salinas_v7:8:124782905:124792763:-1 gene:gene-LSAT_8X86320 transcript:rna-gnl|WGS:NBSK|LSAT_8X86320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDGYPDAFDRVVHITQGDISKDIYADVLNCGLLQDQRIGIEEIRNDEWFKKNYVPARVVEYEDVNLDDVNVVFDDSEEERGGDEQQIDEDACPLSLNAFDMIILSQGLNLSSMFDRGQMRVEGLSANKKSHFSVILEVFQVTSNFVMVDIQKAAGDGAEYLKSSLSSTSSSWDLNATERMVRWVSLLMMMKRTACASSYHQKQPLINLHVPLRVTIKAMAKAFGVTVDFIDLSLSTVHKSFERFPKAFMDNLHIPLSSSCLGAWNPSTNCFCIEVEFSLWRTKCLTKVLKKEVVAFNVCSGETPTSVVGSGQGTEKKWRMKGGKAAAIAIIFRRGFMRFNFAPQKKSSKKEQKTHWK >cds-PLY88988.1 pep primary_assembly:Lsat_Salinas_v7:8:242176220:242178262:1 gene:gene-LSAT_8X144620 transcript:rna-gnl|WGS:NBSK|LSAT_8X144620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTVWSFIFDSGTLHGRWMCWRILIHVKQNRGTNIMVTAMEMPQRVRNARWRMSKSVLWELIPHGQKVRLVVLLLFFL >cds-PLY87882.1 pep primary_assembly:Lsat_Salinas_v7:3:44190551:44191598:1 gene:gene-LSAT_0X8940 transcript:rna-gnl|WGS:NBSK|LSAT_0X8940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKISKKSLSKFQTCLPENAANEVKFLDLTTSSPRRVVLPTKNLHRFLHFCQFLLPTRLLDQFIDHDDSSWSSSWSVMASRSRKNQPGGRPNFPWYTFPHMVSFGVLSQWNGRLEWMKQRNVHVPVVVNWGWIEQVGLLEGIEPIFGKSFDGLQGRFMCIAWRRLFQIQEIIYKELVIEFLATISFRRKIGALKDGNLNSCLGGEKRELSLVELVIRMEIYLSSEVHTEYYIEFITGTI >cds-PLY90132.1 pep primary_assembly:Lsat_Salinas_v7:7:14391434:14392856:1 gene:gene-LSAT_7X11660 transcript:rna-gnl|WGS:NBSK|LSAT_7X11660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCKKHYADLSSVVGVCASCLRERLFSLVAAQEQAQAKAQSQDQTVEEKNRNLDTHPVFPRSVSPYISRRKSDNSAASTAAAAWPNSNQHNSERNRHRSVPDQRFFSTPQVGPTVGCYNPCRKKKHSFIRFSLLTNLFRSKKRNEVDLDSDPRVSVSNYGGSYGGGDSVTSAMPSPSWFSTIRSGGGCRKKQPFCFNESSNSAASVMRKHYREDRGMSPVRYSDAYGGVEDEFGDGSSGYESCESRKQTPWRTPAHPSVRRGGGGHGKNVSGLTFCLSPLVRASPNRHWNHKGMPPVDGGEIRAPVKPHLSNTKTFCANRSKKLADLGRLNTTR >cds-PLY97381.1 pep primary_assembly:Lsat_Salinas_v7:4:14854458:14856535:1 gene:gene-LSAT_4X9761 transcript:rna-gnl|WGS:NBSK|LSAT_4X9761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLNGSAFFILFLVIQSFAILTVAKDFDFFYFVQQWPASYCDSRRGCCYPKTGKPAEDFSIHGLWPNYKDGSYPSNCDSSNPFDDSKVANLESVLQKQWPTLACPSGDGLKFWRHEWEKHGTCAESVFDERGYFEAALSLKKKANLLHALENAGIKPTDGKFHTMGQMKDAITKSVGYAPFIECNVDSSGYHQLYQVYQCVDASASNFIECPVFPHGRPCGNKVEFPSFSSASSHDEL >cds-PLY70506.1 pep primary_assembly:Lsat_Salinas_v7:1:71577453:71577772:-1 gene:gene-LSAT_1X62261 transcript:rna-gnl|WGS:NBSK|LSAT_1X62261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVILLILITISIATKASIATTGDGNTNRKINVKVCHTFSTIPTGCQDEKCNDYCRDLKGDFAYGKCFYPYTCDCQYVCG >cds-PLY89523.1 pep primary_assembly:Lsat_Salinas_v7:4:159923541:159924185:-1 gene:gene-LSAT_4X96080 transcript:rna-gnl|WGS:NBSK|LSAT_4X96080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPPKLSVIDFSLKNLIPNSSSWITTCSEAMHALEKHGVFIAKYDGVPQELDDAIFSASRDVFDLPTEVKVLSTSDTPYHAYSGKTPVMPLYESIGIENATTPEGVEFFTKLMWPSGNESFGKSALMFSKAVTELYQIVMRMVAKSYGIEEQCETLLGSSLQNLRFMKYLCPQEDEGNPLGMLPHTS >cds-PLY96479.1 pep primary_assembly:Lsat_Salinas_v7:2:124979405:124981179:-1 gene:gene-LSAT_2X58341 transcript:rna-gnl|WGS:NBSK|LSAT_2X58341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGLKAVAVITGGESSVKGSLQFFQEANGVTHVSGKITGLKPGLHGFHIHALGDTTNGCISTGPHFNPLKNDHGAPQDDVRHAGDLGNIVAGEDGIANVSIEDKHIPLIGQHSILGRAVVIHADPDDLGRGGHELSKTTGNAGARVGCGVIGLQSSV >cds-PLY74889.1 pep primary_assembly:Lsat_Salinas_v7:2:155142532:155145167:1 gene:gene-LSAT_2X79400 transcript:rna-gnl|WGS:NBSK|LSAT_2X79400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic group IIA intron splicing facilitator CRS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16180) UniProtKB/Swiss-Prot;Acc:Q9LF10] MVFRRTKRVKAVTAADITLNDELLERLRDEARRMRKWVNVKKAGVNDGVLEQIRLIWKTDELAMLKFDMPLCRNMDRAREIVEIKTGGLVIWSKKDTHVIYRGCNYREMRSSFHGGKEETKPVQGSLYERETDRLLDGLGPRFIDWWMPKPLPIDADLLPEIVHGYKPPSRLSLMNAPSKLTDNELTHLRKQAYHFPTHFVLGRNQNLQGLASAILKLWEKCHIAKIAVKWGIPNTNNEQMAYELKVLTGGVLLLRNKFYIILYRGKDFLSPTIADLVSNREMEIRSFQHLEETTRSNISQTFDYIDETLSDLDHVSTIGTLKEFEMIQSKHHGFNSGISDSEVEVEAEKFKLEKEIRNQERKYFILKMKINKASKELMKLSSACKPTEPNEDQEIMTSEERECYRKMGLKMDSTLVLGRRGVFDGVIEGMHQHWKHREIVKVVTMQRVFSRVLYTSQCLEAESGGILVSIEKLKLGYGIIIYRGKNYKRPLKISRNLLSKREALQKSLELQRIGSLKFFANMRQQAIYELKCKLEKLSK >cds-PLY74430.1 pep primary_assembly:Lsat_Salinas_v7:6:11437633:11439628:-1 gene:gene-LSAT_6X9101 transcript:rna-gnl|WGS:NBSK|LSAT_6X9101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEMIGPRLYCCCNCRNHVALHDDVISKAFQGRNGRAFLFSHAMNVSMGPKEDRHLMTGLHTVADVYCSDCREVLGWKYERAYEESQKYKEGKIILEKSKIVKENW >cds-PLY90293.1 pep primary_assembly:Lsat_Salinas_v7:2:199462814:199463540:-1 gene:gene-LSAT_2X120741 transcript:rna-gnl|WGS:NBSK|LSAT_2X120741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLHDWLHSSASDSRLNLLQRIKILLDVASALDYLHNHCLPTIVHCDLKPSNILLDDDMVAHVGDFGLARFLGANSNKNNTSGIRGTIGYTPPEYGVGSEMTSSGDVYSFGILLLEVMTGKRPTDNIFNEGLTLHKFAQMSLPDHVTDVLDDDLLNFLQKEAIATQCTEEKAKKIEECLALTVKIGVSCSVDFPVQRMNIENVVHELQQILDMLK >cds-PLY70966.1 pep primary_assembly:Lsat_Salinas_v7:2:43820124:43820450:-1 gene:gene-LSAT_2X19281 transcript:rna-gnl|WGS:NBSK|LSAT_2X19281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEVVSGGEDNQFAILLLSIRECERRNSKRSVHRSFAHNECRSSTLEFLALLRSHGTMEAMENGYDTEPINLQIEFEIHNPKSLYLVRALPPGLKSSLLCPSTFVYSN >cds-PLY83213.1 pep primary_assembly:Lsat_Salinas_v7:1:50149742:50150320:-1 gene:gene-LSAT_1X44601 transcript:rna-gnl|WGS:NBSK|LSAT_1X44601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVITSEFEIASSLPASKFFNAYRDFNNIAPKVDPETYKTLVDIEGDGGAGTIRDISFGDGVPFTNGKLKLDVVDSNNFSIIYTIFEGDILMGQLDSMTHHVKFIPSPDGGCVYKPTVVYNCKGETQLPEEALNMVKEGFKKTFNAIEGFIHANPQTY >cds-PLY95363.1 pep primary_assembly:Lsat_Salinas_v7:8:226442171:226443781:-1 gene:gene-LSAT_8X138340 transcript:rna-gnl|WGS:NBSK|LSAT_8X138340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNPWGLGLHLIFICVFLFATKYTCLGVGNVTVVCSQKERLALLRFKGSVIKNRYGMLSSWVGEDCCQWERIHCDSLTGTVDSLNLRGGPSYSEGEGYLVGNEVNSSLAELRHLKYLDLSWNDLRGSRIPKFIGSFKQLRYLNLSYAGFQGIIPPHIGNLSNLKVLDLRSNDNLTSDDMSWTFGLPSLEHLDLSWVDLGGAKNMDMVLYNLPSLKVLSLCGCRLSNVHLGPSLNSSRILSNIKHLDLGSNSFKGPLPGFFRNMTSLEFLDLSGFNLSLSWNFANVLNMIPSSLSELHFSSCWLDNKTFLSSAHFNISMLSNIQHLDLSWNSIEGIIPSFFTNMSSLRVLDLSGNMLHSWVPIMPDLLELDLSSNHFKNIEDVGIWRQCHLKQLFALRNPFEIERIDPPQNVSECSQYALERLDLSGCLNGTIPEAFGRLTNLRVLLLMSSSLTGPIPESLGRLRYLEELYLSDNRLTGPIPTFLGNLSRLDLSYNQLNGPHPSISRKTCFITSNSVVFKFVKRDYSRLNWATCQTL >cds-PLY89349.1 pep primary_assembly:Lsat_Salinas_v7:5:124618074:124618497:1 gene:gene-LSAT_5X55000 transcript:rna-gnl|WGS:NBSK|LSAT_5X55000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKILTAIQTRHPYSFGDQNVLPLVMDFCLNKMTNPEQEIISFDWFLIHCMSMAKIVLECKEYKPIMTGCVVNEKVVTLEQRKKNISGAVAGDGIGEGMEWFIDSIPLE >cds-PLY73538.1 pep primary_assembly:Lsat_Salinas_v7:9:77552913:77555500:-1 gene:gene-LSAT_9X63040 transcript:rna-gnl|WGS:NBSK|LSAT_9X63040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWPLHIFLNKLLNGGYNVHEDDVNLDESNNFKSAFRNEKKGKKDLSIQSHFIDVPHIKQEHTWDCGLACVLMVLKTLHINHYDIEDLHAFCNTNSIWTVDLAYLLHKFSINFSYITVTLGANPNFSLESFYKKHLGDDIVRVNMLFNRSREAGINIECRSINGDEIAVLIMSRKYIVVALVDQFILSQSWIEDFYISDFYIGTSGGYTGHYIVICGYDAVTDEFEIRDPAISRSRGTISSKCLEKARKSFGTDEDILLIHLENVDT >cds-PLY85352.1 pep primary_assembly:Lsat_Salinas_v7:5:243178038:243178340:1 gene:gene-LSAT_5X120321 transcript:rna-gnl|WGS:NBSK|LSAT_5X120321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSEVGGEIDDPTHFWDLLIYDLPVGAPNLVYVLLTATFRLSSSFCLKCIGFDKSSIHLLAQHDSKDFTGTSNQRCFFAPHNFGLVATISHFWLLNIRRY >cds-PLY95499.1 pep primary_assembly:Lsat_Salinas_v7:6:14623398:14625577:1 gene:gene-LSAT_6X10661 transcript:rna-gnl|WGS:NBSK|LSAT_6X10661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G11980) UniProtKB/Swiss-Prot;Acc:Q9SZ63] MTVWCSASISRLSFTSFSKVAASFPLQCRSVISRRISAKMPTGSSSIQITHTLKLPSQPSDPVTVVAAPGVSDSEFRLAIGSSLFKQWLKNIQSEKGLLVDGSLSLKQVLIQGVDMFGNRLGFLKFKADVIDKETGQKVPGIVFARGPAVSVLILLDSQGKTYTVLTEQVRVPVGRSILELPAGMLDDNVGDIAGTAIREVEEETGIQINLNDMIDLTSFLDPSTGCKVIPSPGGCDEELSLFLYRGSVSRDVIKELQGKETGLREHGEMIKVHIVPYDTLWRMTPDAKVLMSIAIYEMAKREGLLPHRE >cds-PLY87168.1 pep primary_assembly:Lsat_Salinas_v7:5:260475443:260476000:1 gene:gene-LSAT_5X130440 transcript:rna-gnl|WGS:NBSK|LSAT_5X130440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAYPAARVRKYNWSVKAIRRKTTGTGRMRYLRNLPRRFKSNFREGTQAAPRKIAAAAST >cds-PLY78207.1 pep primary_assembly:Lsat_Salinas_v7:4:226600754:226602630:-1 gene:gene-LSAT_4X124941 transcript:rna-gnl|WGS:NBSK|LSAT_4X124941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFHLYFSTIVATSFSVIVVVFLLHSLNTKKANRGKNQKPPQAQGAWPIIGHLHLLGGSKLPHYVLGDMADKHGPIFTIKLGYHQALVVSNGAIAKECFTTNDKAFATRPKAEATKLMGYNYAMFGFATYGDYWRQARKMITLEVLSQRQVERLAYIRASGVRVSIKDVYDRWVLNKRIENSEMMKIEMSQWFGNLVLNIMVTIIRGKRFSPHDEERVRFQTVVKKFIELMGAFVVSDFIPYLKCLDVGGYIKEMKKTAIDLDNIFGGWLKEHKTVKSITQQHESNHGFIDVLISILQGASKEEFPDFDDDTIIKSACQQLLIAGLDTTSGTLTWALSLLLNHPKTLEIAQDEIDEHVGRDRLVEESDLKSLTYLDAIIKETLRLYPVAPLSVPHESLEDCSVGGYNIPKGTRLVVNLYKMHRDPNTWSDPLEFRPERFLTSHKGIDLRGKHYELLPFGSGRRMCPGIPFALQAVGLTLATFIQQFVLKNPSNEPIDMSETSGLTISKSTPLEVLLAPRLASNMY >cds-PLY86086.1 pep primary_assembly:Lsat_Salinas_v7:7:140346947:140349707:1 gene:gene-LSAT_7X84280 transcript:rna-gnl|WGS:NBSK|LSAT_7X84280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSSGLSRRDGASIPFMGSLHPKSRPSPFLSIGLVLLGAFLIIGYLYSGSGGNNAAFNRLEGGGSCTSEIFQALPYLKKAYGESMHKILHVGPDTCAVVWNLLKEGDDKETEAWGVEPYDLDDSDANCKSLIRKGIVRVADIKFSLPYRSKSFSLVIVSDALDYLSPKYLNKTLPELARVSSDGFIIFSGYPGQRSLKVAELSKFGRPAKLRTASWWIRFFVQSRIEENEEAIKKFELAAAEKHYQSSCQIFHLKSFI >cds-PLY89548.1 pep primary_assembly:Lsat_Salinas_v7:4:158809338:158810524:-1 gene:gene-LSAT_4X95601 transcript:rna-gnl|WGS:NBSK|LSAT_4X95601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGIVVIFDFDKTIIDIDSDNWVVDELGATDLFNQLLPTMPWNSVMDKMMNELHLQGRSIEDIENVLKRTPIHPRVVPTIKAAYALGCDLRVLSDANLFYIETILKHLGIRECFSEINTNPGFVDDKRKLRILPYHDFHVFSHGCTLCPPNMCKGKIVERIQAELAKEGKKRIIYLGDGAGDFCPSLKLGGNDCMMPRKDFPVWDLICKNRKLLKAEVHEWTDGEDLERVLLQLIGKMMLMEDENTNQMFDCKFETIAHEVLPKPLYVP >cds-PLY75504.1 pep primary_assembly:Lsat_Salinas_v7:9:34106294:34108303:-1 gene:gene-LSAT_9X30301 transcript:rna-gnl|WGS:NBSK|LSAT_9X30301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVRLLISDIVMSFMWVWSSVIIKLFVHKVLGFGHHGFPVEVFRSSLSILNMFFFAYLAKLTNGGAYNPLTVLSSAISGNFATFLFTVGSRIPFQVLGAISGVRLILSIFPEIGRGPRLNVSLFEGALTEGLSTFTIVLISHGVSTKLPGSFLRKTWISSVSKLALHILGSDLTGGAMNPASVMGWAYARGDHITKEHLVVYWVAPMVATLLAAWTFRYLVRPSNSKQDKQKKTD >cds-PLY76144.1 pep primary_assembly:Lsat_Salinas_v7:4:54040519:54043806:1 gene:gene-LSAT_4X36980 transcript:rna-gnl|WGS:NBSK|LSAT_4X36980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPTEVVVSPPFVFLTSVKSELRPEIQVAAQNCWVKKGGAFTGEVSAEMLANLGVPWVILGHSERRALLNETNEFVGDKVAYALSQGLKVIACVGETLEQREAGTTMEVVAAQTKAIAVKVNKIENLRRTRNSYVREPFHLTLSDEGSGCLGNFDRKESFDFSEILINKDDDSMMKLDRQIDKFS >cds-PLY96627.1 pep primary_assembly:Lsat_Salinas_v7:7:44143047:44143652:1 gene:gene-LSAT_7X30240 transcript:rna-gnl|WGS:NBSK|LSAT_7X30240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENIRLNEGIAGGLDEEDDNAASGTTKSLVWIGLSQQTLCEFEEKGKD >cds-PLY70490.1 pep primary_assembly:Lsat_Salinas_v7:1:72430763:72433770:-1 gene:gene-LSAT_1X61581 transcript:rna-gnl|WGS:NBSK|LSAT_1X61581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTKVDPGSINDSQQYEVYNYTQTLDHFNFNPESYTTFQQRYVVDSKYWGGPTNSSPIFLYTGEETNIMGDVKFVGFVRVLASRFKGLVVYIEHRYYGTSMPFGSKDEAYKNASTLGYFTSEQALADYAQIIIDVKKNMSAENCPVIAIGASYGGMLASWFRMKYPHAAYGALAVSAPILYFMGLTPENGYASIVSTDWNSTSTTCYNTIRESWFEIDRVAAQPQGLSSLSQMFNTCMPLNTTQDLKYNLEGIYDVLAQYDNPKDNYLQTFCNATGEKTYILDKIMAGVRAIYGTICNDIYDMSFNKDFGWDWQSCTEMVMPMGQGENDTMFQANPFDLDEYTKECQQVFGVTPRPYWAPIEFGGYGIKTVLEKFASNIIFSNGLRDPYSSGGVLQNISDTVVAISTQEGHHCLDIATPDATDPDWLVEQRNTEINIIEGWLSKYTVPSAN >cds-PLY97926.1 pep primary_assembly:Lsat_Salinas_v7:4:84211311:84213731:1 gene:gene-LSAT_4X55080 transcript:rna-gnl|WGS:NBSK|LSAT_4X55080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSILYQAPIHEHNGYRRGYCCVASHLQPNLLKPLDLTDCKAAFRMCGFGYDNANDSSASANFHRPFSILICGLVIICLFSTLSIEMVGEGRTEAKVDRFVYSYHLDSKRKAVRINNQDRTCLPEVMLNTVAATILVLKICTSGDWSSTLKRYRRMGFDMLKMFNITLIMELLKELLVL >cds-PLY84583.1 pep primary_assembly:Lsat_Salinas_v7:1:32184461:32185396:1 gene:gene-LSAT_1X27481 transcript:rna-gnl|WGS:NBSK|LSAT_1X27481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGEQERSRIVTLDHPLPSGTTKSRRQHPPSCSLGLPTQPTPTHYHRCCRGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGLVEIFFSRHSPIWYGYKGGNLKWLERLSYVNTTVYPFTSLPLLAYCTLPVVCLLTGKFIMPEIDTLASLFFISLFLSIFTTGILELRWSGVSIEEWWRNEQFWVIGYQSWGSLFGKLFFAFWVIVHLYPFLKGLMGKQNRTPTIVVIWSILLASIFSLLWVRIDPFVLKTKGLDVCIQNKEDESRPDVRIQNKEDERGERPMIDSDGDIRWVSVDCEENMETVDRL >cds-PLY83814.1 pep primary_assembly:Lsat_Salinas_v7:3:52532628:52533887:1 gene:gene-LSAT_3X39400 transcript:rna-gnl|WGS:NBSK|LSAT_3X39400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTGWAQIVEISASRKLSSMENRSEILFQQYTLLLSYFQYCQHILDPDVPTIVLQSLLVEEQESGDLDLEKDQAELARANLSILRKEAQSILDLAIKDATQGSESGKIMAFFVLDALICIDQAKFEQLAQAMNVINRLSEQFL >cds-PLY72537.1 pep primary_assembly:Lsat_Salinas_v7:2:139190234:139191830:-1 gene:gene-LSAT_2X65920 transcript:rna-gnl|WGS:NBSK|LSAT_2X65920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL32 [Source:Projected from Arabidopsis thaliana (AT4G40070) UniProtKB/Swiss-Prot;Acc:Q8W571] MESCNICHRLFIIPVAMLPLAAAQSFRGSSSSAYPYEIGGTVSSPVKIILAVLIFGMFLIAFFSLYLRKCLHDSAESRRPVVIANNHRTRLKFPCGLDRLIVESFPVFLYSDVKVLKIGKGALECAVCIMEFADDERLRFLPKCHHVFHPDCIDAWLASHTTCPVCRADLTKANLEGDSEFNHELAHSQIDESRTEIGSRDHLIHIDDERVAPKFPRSHSTGHSLVPLGENCERYTLRFPEEVRKQIVTLKRAKSCGCGVDFPMEGSSRKGLRGGGGVHGGVKRWNPDRLCGRSDLWNFTVTRMPVFIAKSGSLGKSDPAQSSSSTSDPV >cds-PLY86806.1 pep primary_assembly:Lsat_Salinas_v7:5:15099915:15101569:1 gene:gene-LSAT_5X8580 transcript:rna-gnl|WGS:NBSK|LSAT_5X8580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSNLSASIISKQKSFRLIHVDHMYSKWLFFRASSPYPHLGSARLPPEARPHLEIKNDALDIPRFRDATAAENYMKTLPRKVASTKFVCRTILTSLGVLEEVTQLFHNIRRENLLNLIRHTYELPTREFLADCGYDSEKRKSTFQLIGDRRYIDFATINDILGLPSSSNTSTVFDVLPIEFNHETFWMEITGGIFLSAVRDKATSIIHTCLRIAHRILVCTGFAGKEAGQVTKKELFFLWCMTRRDSPPILDFASFLFP >cds-PLY77598.1 pep primary_assembly:Lsat_Salinas_v7:2:164946893:164949242:-1 gene:gene-LSAT_2X86240 transcript:rna-gnl|WGS:NBSK|LSAT_2X86240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTNGISSKLIPSFLRCLNSSSPSFTTPRFRFAQRTTINTATTTIFAPPAQPEQSTIDNSNDDCVYNFEDTKRLFSSVKTGKLIRSAANLSMASVEPVVDLGMWVMGSRLMQMGLLREAVLGGIKHTVYEHFVAGSDAGEAGRTVKKLWESGLRGMLDYGLEHAVDNESCDKNAQQFIKTVESTQSLPPSSVSFVVVKITAICPISLLKRVSDLLRWEYKHKNSSSFVLPWKLKTLPIFVECSPFYHTLTKPSPLTMAEELDLELAQQRLTTICNKAIESNVPVVIDAEDTSIQPGIDYFTYSAAIMYNKGQKPLISGTIQAYLKDAGQRLFQTKKAADKLELPIGFKLVRGAYMSSERKLANSLGVESPIHNSINETHRCFNDCASFMVDELSNGPGGLILATHNLESGKLAARKAGGLGIGRESDKLEFASLYGMADAMSFGLRNSGFKVSKYLPFGPVDKIMPYLLRRAEENKGLLSSSYLDRHLMMKELKRRVKACVGQVPMVGRKRERPMV >cds-PLY79238.1 pep primary_assembly:Lsat_Salinas_v7:9:182929178:182931962:1 gene:gene-LSAT_9X111801 transcript:rna-gnl|WGS:NBSK|LSAT_9X111801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCRKATKLYCFVHKVPVCGECICFEEHQICVVGTYSAWVVDGEYDWPTKCCHCQTVLTESNDPQITRLGCLHNIHTNCLVSHIKNFPQDTAPDGFTCPACSTPIWPPKNVKNSGSRLHSQLKEAIMQSGNEKSLFGNHPISSPPKHSHGPPPAFDSDPLKPKVPSGNSSSKPSSINVVEKDAPHEPNFSKSPNSGATTRKTTPQGEKLTSEFSYYADDEDANKKKYTRRGSCCDKFLRSLVPFWSSALPTLPVTAPPKKEGNADDTSSRHHRPSRMDPRKILLVIAIMACLATMGVLYYRIAQHGLEDKLVDQVVQQ >cds-PLY80716.1 pep primary_assembly:Lsat_Salinas_v7:8:149523818:149524967:1 gene:gene-LSAT_8X100620 transcript:rna-gnl|WGS:NBSK|LSAT_8X100620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVQSSKVAGCIRVGKWGKQSGGPQNEWSFALEKDHKLVKITIDHGELIYSLMFTTKCGGVLHNSNKFGGWNGGDTVSEVHFEGDEEITEVGGAIGNRGGNLVISLLSFKTNKRTYGPFGCATENVFSLPWHKGSLVGFYGLAGYYIDAIGVYLKAFENIIQVGTWGKTEPGSPQNVWSFQLEKNHHLKKITIDHGDLIYSLMFTTQCGSLTQTTETFGGWNGGDTVSEIIFERDEEITGICGTSALSRGSVAGLPIISSISFTTNKKTHGPFGNVRGTPFPVSWDVGSFVGFYGLAGYYIDNIGVYLKACK >cds-PLY83233.1 pep primary_assembly:Lsat_Salinas_v7:9:122858877:122861801:-1 gene:gene-LSAT_9X82181 transcript:rna-gnl|WGS:NBSK|LSAT_9X82181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable L-cysteine desulfhydrase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26600) UniProtKB/Swiss-Prot;Acc:Q3E6S9] MASNNLHRHRDENGAATTAMATDTPNSHNSSPCKKHKRFDIDIRSEFSHHDPAVARINNGSFGSCPSSVIEAQQRYQLRFLEQPDDFYFNHLKPSILRSREAIKTLINADHVDEISIVDNATTAAAIVLQQVKWSFFESKFNPGDTAVMLHYAYGAVKKSVEAYVTRAGGHVIEVKMPFPVASNDEIITAFRNALELGKSNNRKVRLAVIDHITSMPSVVIPVKELVKMCREEGVDRIFVDAAHAIGSTRVDVKEIGADFYTSNLHKWLFCPPSIAFLHCRNPELSNLHHPVVSHEYGNGLAIESSWIGTRDYSAQLVVPEALKFVSRFEGGLDGIREWNHQKVIEMANMLAKSWGTHLGSPPDMCSSMAMVGLPTCLEIMSDSDGLKLRSHLRESFKVEVPIYYRQPEVGEVNPITGYARISHQIYNIVDDYYRFRDAINNLVNSGFTCKVFQD >cds-PLY96808.1 pep primary_assembly:Lsat_Salinas_v7:2:171505143:171506790:1 gene:gene-LSAT_2X93020 transcript:rna-gnl|WGS:NBSK|LSAT_2X93020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQMDFDRLLLFEHARRNAEATYAQNPLDTENLTRWGGALLELSAFQSVEESRTMIKDAISKLDEALSIDPNKHDTLWCMGNAQTSYAFLTPDKDAAKSYFDSAYTYFQKAIDEASFICTNGCKIYSFISVQLLNTLISFIYICFIYTIMHDPGNELYQKSFEVAAKAPQLHEELHKQNFSQQITASGPGAGAGSSTSSNANARGLKSQKSCDLKYDICGWVILAVSIVVWIGFAKANVPPPEAH >cds-PLY85063.1 pep primary_assembly:Lsat_Salinas_v7:7:7418801:7419696:-1 gene:gene-LSAT_7X6840 transcript:rna-gnl|WGS:NBSK|LSAT_7X6840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATVDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLFSKEATGGEKQFSSQGHQGNFSKNEGSGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIQPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGGYQQHRHLRYHSVINKAPLKALHHLPLPLLP >cds-PLY98994.1 pep primary_assembly:Lsat_Salinas_v7:7:50194424:50198414:-1 gene:gene-LSAT_7X36701 transcript:rna-gnl|WGS:NBSK|LSAT_7X36701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSIFNLEETERSSRLRQIMETFGFTYVCLWSHFPNPSKYISHANSIVFVFPCKNRSCLIFIDGTYKEENKQESSSSGSLAMRSFIDYQKSIFLIDDYSGGVPGFAFMHNLPYMERKGLELLRLASNPAQLQFYEEARIKTAIFMGCKNGEIELGMSNGSSLQTNFEIVLKNLFPGDFPQELLPQPVVQARPSSSSSSLRSLSMDNSTEHSPFVFNMLQTSSYMPEIYPIEEASLDRQTPNQTPSSSAMRSEDPLQHALYQIRTNKLIPYREHEESVMTQAILAAISSSSSPSCSSSYHLQAPRVATAFKRYRSCLGCTKQRVQSRQNLNRRSLSFFRNLSEARAQQSQMIQTTRPTSNQLHHMIAERKRREKINESLEALKSLLPPGSKKDKASVLSKTKDYINSLKSQVNELNRRNKILEAEHSRKEALNQDSGNISGERLTVRIMDIGESTSESRVMELELNVRGDLILVDLVGRVLEFIKQVENVSVMSVNAGTQRTLETEALTNRVVLRLKIQGNEWDESWFQEAVRRVLDDLAQ >cds-PLY72385.1 pep primary_assembly:Lsat_Salinas_v7:4:147455862:147457866:-1 gene:gene-LSAT_4X91400 transcript:rna-gnl|WGS:NBSK|LSAT_4X91400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G14110) UniProtKB/TrEMBL;Acc:F4JFR1] MTVVAGCSSCALPRPISTPSHRITYGKLVPLVHNFRTSHGVSLCINAMQKKLDQCAASTTNETGQQFYGPLGLNQKLGMGMGFVGISNALLLATPLDAMADTSDATQSIFNMNMPVLLLVALIGATVGGLLARQRKAELQRLNEQLRQINAALKRQAKIESYAPALSYAPAIKIPENEVFVDPRKQELISHLKNGKNFLRNQKPENAFFEFKTALELAQKIKDPIEEKKAARGLGASMQRQGKHREAIKYHSMVLSISEREGEDSGNTEAYGAIADCYTELGDLEKAATFYDQYIARLQAD >cds-PLY79223.1 pep primary_assembly:Lsat_Salinas_v7:5:300536752:300537972:-1 gene:gene-LSAT_5X160181 transcript:rna-gnl|WGS:NBSK|LSAT_5X160181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METKEVVVLVVPFVAQGHLNQLLHLSRVLSSYNLPIHVVGTTTHNRQAKLRIHGWDPTSAINIHFHEFETPKFASPPPDPNASDKFPSHLIPSFQLPSHLREPFAKLLADITPTTRRVIIIHDYLMSSVVQDVVSYENAEAYVFHCASAFTTFSYFWEGKGKPCLDDDDESYKQLMKVPAFEGSLPAEFIESMMSHQVCNTFNSGNLHDTCNVFDRKYIDFLAKEGLSGSTKQWAMGPFNPVAISDKENLGKRHMSLEWLDKQAKDSVIYVSFGTTTSLSDDEIRELANGLENSQHKFIWVLRDADKGDIFDGEVRRVELPKGFEERLGEQGLVVREWAPQLEILAHLATGGFMSHCGWNSSMESITMGVPIAAWPMHSDQPRNAILVTEVLKSGISXWIGSIVVS >cds-PLY70513.1 pep primary_assembly:Lsat_Salinas_v7:1:71526834:71528228:1 gene:gene-LSAT_1X62140 transcript:rna-gnl|WGS:NBSK|LSAT_1X62140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEFTHLVVVKFKEEVVVEDIIKGLEELVSQVDIVKSFVWGKDIESMEMLRQGFTHAFMMTFGSKDDFTAFQTHPNHMQFSATFSAAIEKIVLLDFPVVAFKTPQ >cds-PLY86391.1 pep primary_assembly:Lsat_Salinas_v7:8:283647241:283649229:1 gene:gene-LSAT_8X160141 transcript:rna-gnl|WGS:NBSK|LSAT_8X160141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKKITRVPTEKDFVDVVLSRTQRQTPTVVHKGYAINHIRQFYMRKVKFTQTNFHEKLSTIVDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNLIEKIAKDYVKLLKYGDSLYRCKALKVAALGRMCTVTKRIGPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNVIEMVSITALAHLRAAVLFFLDISGSCGYTIAQQAALFHSIKSLFMNKPLIIVCNKTDLQSLEGISEDDKKLVEEMKSEAMKTLVVDGQQVLLTMSTLTEEGVIAVKNAACERLLDQRVEVKMKSKKMKEYLNRFHVAMPKPLEERPVCISEAVVEAKAMEAEKVKRKLERDNENENGGAGVYSASLKNHYLLADDEWKEDNIPEILDGHNVYDFIDQDILQRLEELEKEEGLLQEQGDGEDEEMEGEDLTPEQQKELNEIRKKKSILIREHRIKKSTAESRPIVPRKFDKDKRFTSERMGRQLSSLGLDPSKAMKRVRSESMGRKRERSSDHGDGMDVDDDEGSNKKMKIRSKSKSRSMSRPRVHELVPGEGYKDSAQKVKAFKMGKSSVHKRNKAAKKGEGDRVIPTLKPKHLFSGKRSNGKISRR >cds-PLY96631.1 pep primary_assembly:Lsat_Salinas_v7:7:45511897:45513690:-1 gene:gene-LSAT_7X34160 transcript:rna-gnl|WGS:NBSK|LSAT_7X34160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKIRTSYXIYFIFIRKSNSSKALNLPPGPPTLPIIGNLHQIGLELPHRAFRSLSKKYGPIMSLQLGQISMIVVSSPKLAEEVLKTNDLALASRPYALLADILLYGGIDIAFGRYSDYWRQMKKIVTMELLSVKKVQSFMGFRAEEIDRFTEVVQSSVGKPVHIRQRVMYMNNTVVCKCLFGNNCRQQDVLIELVEQVVALSSGFYIADLFPKLSFLSVISGMKSTLNHIHETLDKIFNEIFEDRRIKRQTTGPTEDDLVDVLFNIKERGGLRFPVTDNNIKAIFLNMLIGGTDTSVVTIEWAMTELMKNPDVMKKAQAEVREVFKGKKTVLESELNGLVYLKHIIKETLRLHITIPLLLPRECMEQCQVGGYDIPKKMKVIVNGLACGTDPEYWDDPETFKPERFEKTSYDFFGTSPEYIPFGGGRRICPGIAFGLVSIELTLARLLFHFNWELPNGMTPKDIDMTESHGVTAIKKSSLEVIPTVFIPFS >cds-PLY75978.1 pep primary_assembly:Lsat_Salinas_v7:1:42143745:42144180:-1 gene:gene-LSAT_1X35520 transcript:rna-gnl|WGS:NBSK|LSAT_1X35520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAANPDASYLFVVSECYEASRNQHDDRMYGVCVVDVATSKIMIGQFGDDTECSVLLSQLRPVEIIKPIKSLSLETERVLLRQTRSPVLIPLEELWDAEKTMCEVKEIYKRIMFE >cds-PLY94834.1 pep primary_assembly:Lsat_Salinas_v7:2:179741923:179746223:-1 gene:gene-LSAT_2X101721 transcript:rna-gnl|WGS:NBSK|LSAT_2X101721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVNVIKWRPWPPLLSKKFKVKLVVKKMEGENCDLVHADPEKDNHRAVEIRWKGPKITLSSFRRTVKRNFTREEKVIEPNGVVQWDEEFHSICTLSGSKDNAYNPWEIGFTVLSGLNAGLKNKVPGLNAGLKNKVPVIGTASLNLSEFASAAEEKDFDLTIPLTIPCGATEPRPSLHIQLSLMELRGDQEPSEATQSQSQTSETSSGEKDELSALKAGLRKVKIFTEYVSIRRGKKTSREDDQESRSEEGDYSYRFDSESLEGSEEGESNEVKEEEEDAAAFRKSFSYGTLAYANCTGGSLYEDGVYYSNRNRKSDQNDDSVSTASVSEAYVVQNPKRSILPWKKRKLNLRSPKTKGEPLLKKAYAEEGGDDIDFDRRQLSSDEWVKANQSLKSEFGDDSFAVGNWEEREIVSRDRSMKIRADVFFASIDQRSERAAGESACTALVAVIADWFQTNRHLMPVKSQLDSLIRDGSLEWRKLCENETYRIRFPDKHFDLETVLEANLRPMSVVPGKSFVGFFHPEEVVEGSFDFLHGAMSFDAMWDEISRESELHNMGEEPRVYIVSWNDHFFVLKVDSDAYYIIDTLGERLFEGCNQAYILKFDKKTVIYELSESDSGDQMPMPGSDGSTTARKSITETLETKILSENVVICEGKESCKEYIKSFLAAIPIRELQADMKRGLLSSPLHHRLQIEFHYTRLQPPPPDPVPATAISAAGGDDSANTVAT >cds-PLY65279.1 pep primary_assembly:Lsat_Salinas_v7:1:151640940:151643816:-1 gene:gene-LSAT_1X105980 transcript:rna-gnl|WGS:NBSK|LSAT_1X105980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEREGTSSKEERGRKLKRICVFCGSRTGFRSSFTDAALELGQELVNRKIDLIYGGGSIGLMGLISQTVFNGGCHVLGVMPKALLSREISGETAGELRIVEDMHQRKSVMAENADAFIALPGGYGTMEELLEMVTWSQLGIHDKPVGLLNVDGYYDSLLALFDKGVEEGFIEVSERKIMVSALTAQDLITKLEVDR >cds-PLY66596.1 pep primary_assembly:Lsat_Salinas_v7:6:166954525:166961356:1 gene:gene-LSAT_6X101780 transcript:rna-gnl|WGS:NBSK|LSAT_6X101780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRASEAQTPAPAPGLSYTFYRTTCPQLESIVRTQLQTVFNSDIGQAAGLLRLHFHDCFVQGCDGSVLLDGSASGPSEKDAPPNLSLRAQAFVIIENLRRLVHNACNRTVSCSDLTALAARDAVFLSGGPNYSIPLGRRDGTTFATRNATLANLPPPTANTTTILNSLSTKGFTPTDVVALSGGHTIGIAHCTSFTPRLFPTRDPTMDQTFFNNLRLTCPTNVTDNTTFMDIRSPNVFDNRYYVDLMNRQGLFTSDQDLYTDSRTRGIVTNYAINQTLFFRDFVNAMIKMGQLSVLTGTQGQIRTNCSRVNSNNLFILPGMENNEDEKLASY >cds-PLY67130.1 pep primary_assembly:Lsat_Salinas_v7:5:292315210:292319868:-1 gene:gene-LSAT_5X152921 transcript:rna-gnl|WGS:NBSK|LSAT_5X152921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVPPSGPSKHHNSVPRLRFIPATVVYGSKRLFLKHFFLHIRLGRNLREKPLSYQKKEGVARPGKRSMLWVSNHVCSPCVRIPASVGTQRAAFAFGVPSYTYGFHPNTRNSTLLCLTQVNWFREHSATFWRLSLSTRFTAYVPFTPSHSEEHLPPPSYRGCWHGVSRGFFLESCHDRALDERALQAALPFFTHAILLDRAFAHCPRFPTAAPRGSPGRVSVPVWLIIRKDQLSIIGLVSLYLTNYLILRRLIKQRFLAFFRIWSELFGRFPRVTHPFATLFSTLLTSWARQATFS >cds-PLY97920.1 pep primary_assembly:Lsat_Salinas_v7:4:83013036:83014867:1 gene:gene-LSAT_4X55400 transcript:rna-gnl|WGS:NBSK|LSAT_4X55400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLHLLGFPSGKQVHLPRFEFYRSIYAFEKAQKLDPTSKLSIFNGLKDWSGSIVLSLFLSQDPPLPLDLKGETFLA >cds-PLY84670.1 pep primary_assembly:Lsat_Salinas_v7:2:151347199:151348562:-1 gene:gene-LSAT_2X78320 transcript:rna-gnl|WGS:NBSK|LSAT_2X78320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYCVEEASKTSSTGVKLNHFTPSFSDDFWAVNGVSGDDFFVDGLLDFSSDGSFLEEDDNSQVLKHTDDSKNDKISSLSPVKIVNNEDKATTIVSDTELCFPVDDVADLEWVSQFVEDSFSGGGYFLTCSERKPETETVNLVAVNPSFRNLVQKKARSKRSRTGGRVWSLRLSSSLTDSSNSCSSSSSCTSNALLPTQEILGRPPTVKRQKKKKPVATADTPGSGWPQQPRRCSHCLVQKTPQWRAGPLGAKTLCNACGVRFKSGRLFPEYRPAGSPNFSSEVHSNNHRKVLEMRQKKEAEEGGPPPPVAGSGRSI >cds-PLY69101.1 pep primary_assembly:Lsat_Salinas_v7:5:276349676:276351587:1 gene:gene-LSAT_5X145221 transcript:rna-gnl|WGS:NBSK|LSAT_5X145221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 6 [Source:Projected from Arabidopsis thaliana (AT5G56580) UniProtKB/Swiss-Prot;Acc:Q9FJV0] MKGMKSLKQLKLSVPAQDAPITSFLTTSGTFHDGDLLLNLKGLRLTSDDKENRPSDAKEIDLQFSLEDLETIKVIGKGSGGIVQLVRHKWIGRLFALKVIQMNIQEDIRKQIVQELKINQASQCPHIVVCYHSFYHNGAISLVFEYMDRGSLTDVIRQLKTILEPYLAVLCKQVLQGLVYLHHERHVIHRDIKPSNLLVNQKGEVKITDFGVSAMLANSMGQRDTFVGTYNYMSPERISGKTYDYKSDIWSLGLVILECAIGRFPYIQSEDQQNWPTFYELLDAIVSKPPPAAPSDQFSPEFCSFISSCIQKEPKDRSSALELLSHPFIKKFEDKDIDLAILVSSLEPPISFSK >cds-PLY90986.1 pep primary_assembly:Lsat_Salinas_v7:8:254239780:254240202:1 gene:gene-LSAT_8X148641 transcript:rna-gnl|WGS:NBSK|LSAT_8X148641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKSSDYKRVFDHFDIDGNGMISPSELQHGVGLIWNEEILLEEVEVVVESTQGNNRQLGFEDFVSLMESEKEDEKLEDLRKAFRMYEMDGTDCITPKSLNRMLNRLDEFRSVDECAGMISRFDLNGDGVLNFDEFKQMML >cds-PLY92627.1 pep primary_assembly:Lsat_Salinas_v7:2:160768796:160777409:-1 gene:gene-LSAT_2X84701 transcript:rna-gnl|WGS:NBSK|LSAT_2X84701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILCFYLDELVFDFGFTVNPSGCDRGRSTWEVVSQRTIKARKMSKYAHRMGRGGYPTLRRKLIEEKVISKEEIPPRSIMWCKGRESKGEFKDEDVTPMADKLVNEQDQTLKLVLAHLNAKGADFPNLSHTIGISSDKIVESSETAVSLKTKEPSEPVTPVPNIVSHEDHQLENSMKRKPTYISFNTLLKKKMSNTNKMNT >cds-PLY97471.1 pep primary_assembly:Lsat_Salinas_v7:6:91611547:91611982:-1 gene:gene-LSAT_6X62900 transcript:rna-gnl|WGS:NBSK|LSAT_6X62900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNGTNTSGFGYDSSIHSVTVEPTVWDSYIHVHKDAGKWRNKIFPHYEDLCIIFGKDRVQGNKAKDFSQMEEDANNEEQSDQIEDAFKNKPHKMKNLQTRAQRREKVLMLLVWD >cds-PLY65985.1 pep primary_assembly:Lsat_Salinas_v7:4:135932491:135941092:-1 gene:gene-LSAT_4X84580 transcript:rna-gnl|WGS:NBSK|LSAT_4X84580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADFRVCVVLSLLCAIAVSVESKYMVYDTAQGVVKGKLNVHMVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSMVQSLLVDKNRKFIYVEQAFFQRWWRDQSEAIQETVKRLVSSGQLELINGGWCMHDEAAPHYIDMIDQTTLGHKFIKQQFNVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSVFFGRIDYQDRAKRKGDKQLEVIWRASKSLGSSSQIFAGAFPENYEPPSGFYFEVNDDSPIVQDDINLFDYNVQERVNDFVAAALFQANITRTNHVMWTMGTDFKYQYAESWFRNMDKLIHYVNQDGRVNALYSTPSIYTDAKYAETDSWPLKENDYFPYASDINTYWTGYFTSRPGVKGYVRMLSGYYLAARQLEVMKGRNKAGPTTDSLGDALGIAQHHDGVSGTEQQHVANDYSKRLAIGQKETEVVVAESIACMVSSTPGCGSLATNFQQCPLLNISYCPPTETDLSSGKKLVVVVYNSLGWKRSDVIRLPVVSENIAVYDSNGKEIEYQLLPIVNDAIALRNYYTTAYTGKSPSSTPKYSLAFTASVPPLGFTTYVISTTKKPASNSVNEAFYKHTETGKDWIEVGTGNLKLIYSGSEGKLSQYVNSRSSITASMKQSYSFYAGFDGTTGEQASGAYIFRPNGTYTIGTQEQTPIKVLNGPIYDEVHQKINPWIYQITRVFKNKEHAEVEFTVGPIPVDDGVGKEIVTQITTTMKSNKTFYTDSNGRDFLQRIRDYREDWDLEVNQPIAGNYYPVNLGIYLKDETSELSLLVDRSVGGSSIVDGQLELMLHRRLLYDDGKGVAEALNETVCVGNDCRGLTDEKTGTNFQVSTFSGMDSSYSLPDNVALLTLQELEDGKILLRLAHLYEIGEDKDLSVMTSVELKKLFAKRKIDQAKILLAQDPNYKKGFIFGHVGNILKVVEKFTNANPMPRSQRKGRLSNIVDYIR >cds-PLY96025.1 pep primary_assembly:Lsat_Salinas_v7:3:194459839:194461800:-1 gene:gene-LSAT_3X116100 transcript:rna-gnl|WGS:NBSK|LSAT_3X116100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENGGFSAEDLSTIGGIATVSLLHSFIPTHWLPFSVVGRAQKWTLSRTLLVTAFGAVLHVLSTSLLGITAITISNTIAGEETVHKLASLLLVFLGGSYIILFMRGKGGHTHSHNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFSTVTVMTSLVALSFYGASKLKFHWMERYDKLLVGTVLCLVGILTLLFHHHDHDHAHHHHHTHIHT >cds-PLY94492.1 pep primary_assembly:Lsat_Salinas_v7:2:156536739:156539050:-1 gene:gene-LSAT_2X82020 transcript:rna-gnl|WGS:NBSK|LSAT_2X82020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYRNKLVLAPMVRVGTLPFRLLAAQYGADITYGEEIIDHKLLKCERCINDVIGSTDIVEKGTKNVVFRTCEQERNQVVFQMGTSDAVRALKAAELVCKDVAAIDVNMGCPKAFSISGGMGAALLTKPDLIHDILTMLKRNLCIPVTCKIRLLNSTQDTVELARRIEKTGVSAVAVHGRKVSDRPRDPANWSEIAHVVDALSIPVIANGDVFQYQDFHNIRAVTGASSVMVARGALWNASIFSPQETPFEDVKREYVRKSILWDHDIKSTKFTLKEMIMHYTSLELPEGKAVTKSESLVDIARIYGEEKYYESINTKRNGVTFGSLNSREYS >cds-PLY71651.1 pep primary_assembly:Lsat_Salinas_v7:9:135905150:135906023:1 gene:gene-LSAT_9X87640 transcript:rna-gnl|WGS:NBSK|LSAT_9X87640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGFILDLFPIVVPLMLLPKPFILKAHHNRTHQGDSYVALEGTDESLVEGGHHGSHDHEEEFEFSEVLRFEQLGQIGGGGGCKCGEKVGPTTKSALLALATHATDPDEAESLKFLASCARKDEYAQWIVSSQRSLLEVMEAFPSAKPPLGVFFASVAPRLQPRYYSISSSPK >cds-PLY79075.1 pep primary_assembly:Lsat_Salinas_v7:3:7256746:7258886:1 gene:gene-LSAT_3X4681 transcript:rna-gnl|WGS:NBSK|LSAT_3X4681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGVSSSPPISKKLDLKPSVSAYESKHLKSPSRKNEVLRVGKASFLSTNRGDRPNSLVPWVIKCKWISKSNWTKQMVAQLPKVFDSLLEIFVAHPDPVMSKKELVQILISDHFEAAEETSY >cds-PLY65931.1 pep primary_assembly:Lsat_Salinas_v7:4:138456161:138456880:1 gene:gene-LSAT_4X86320 transcript:rna-gnl|WGS:NBSK|LSAT_4X86320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKEVTKETIQSKSGVLNRTEKPTKKPTDSPIQQHIQDPTFESFEPQNVDSSNPASFQKGIKKIWKPQFNRKGLLFRVVYVPISHASKKRQATDMAQKLNKNKRKHQDPLDNVIVKTDHDSDSGRSDGRIEDFVLGSPRRDSPLKLNFEATRDPDFNVNITNTITRINSNDESLTSILEKTLVVPPRVSHTESNMEEVQTPYILVDLSDKDTNVIMGEGVQNNECFLLPLKLQPSSHLQ >cds-PLY96675.1 pep primary_assembly:Lsat_Salinas_v7:7:47384342:47424367:1 gene:gene-LSAT_7X36640 transcript:rna-gnl|WGS:NBSK|LSAT_7X36640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKNTFSFNVTISLLILNYYWVCTAGNGAYLSTDQHALEAIRAQITVDHSGVLSKNWTSDTSFCTWSGVSCGVQVQTQRVIALRLSSMGLVGKISPYIGNLSFLILIDLTNNSFHGSIPFEIKKLHHLEKVYMGRNDLTGNLPLSYFNNMPRLEKLYMNDNNFTGTLSLFFLDNMPSLKTLVLSYNSLHGNIPPEVGNESSLQDLYLNNNQFTGLVPPAIFNQSSLRRIELSSNALSGSLPKDMCDHLVKLRLFYISGNKFTGPIPSNIYKCQQLRYLSLSMNKFNSSIPREIGNLTLLKELFLGQNDLQGSIPEEIGNLKRLQKLSIFAANLVGNIPQIIFNMSSLRFFDISNNSLSGVLSPNVYINLPNLEGLHIFSNQFTGQVPHGFWKLKGIRRLMLSLNNFTGSIPPEVGNLTRLKNLYLGRNQFTGAIPDEICYLDQLEHLSLKENFFSGNIRFNISTLKMLDLTRNLFSGDILAAVGFWLPNLEELYLSDNKFHGSLPNSIINASKLSTIDVSINSFTGPIPFIIGRLELLERLHFSQNYFTTEGSEIEFLSSLTNCRKLRMLSFAKNPLNAFLPDSLGNLSTSLEVFQAFECGIKGNIPSGIGNLTSLRTLSLDMNELEGNIPAILGKLENIGQLYLESNRLQGKIPREFCLLKNMGELYLSNNRLSGNIPPCLGDIGSLAWLFLDSNTFNSTIPATLWSHKSLVVLNLSLNLLIGDLPSSVGSSNSPLSTLDLSFNRLSGAIPVNLGGFRMLSKLSLAHNNLQGSIPESIGTLISLDSLDLSQNNLSGVIPRSLEALRYLEHLNLSYNMLQGEIPSKGQFDNFTASSFMHNKNLCGAPRLEVLSCRSGHHESRFLSSLKYILPIIASAVGLASIVYLLRRHKTKIRKNIEPSLGHQLTRLSYYELVRATESFDESNLIGHGAYGSVYKGKLSNGATVAVKVFNLLSERGSKSFDVECGVLRNIRHRNLVRIISSCSNLDFRCLVMEYMSNGNLEQWLYSHNNHLSVVQRLQIMIDVASALEYLHHGQTTSIIHCDLKPSNVLLDNDMNARVCDFGIAKMFGEEEFMLRTTTLGTIGYMAPEYGMEGIVSTRADVYNFGILLLETFTRKKPTEEMFCGQTSLRSWVLEVGQHSIFDVVDMNLINGELSTKQESLTSIFNLAMDCTFDSASHRINMKETVIRLCKIYKSFVANN >cds-PLY91683.1 pep primary_assembly:Lsat_Salinas_v7:8:13090815:13092506:1 gene:gene-LSAT_8X10400 transcript:rna-gnl|WGS:NBSK|LSAT_8X10400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGGGRGGGGMIKTVHRTVRAGLGGGASQEPYSHSATTTTRTTNNRNQPINTTLSLSSNANLSSPCSYLNHHVPAPTNWVFSSSPITDDEFDWEYIHGGGSDDGESLNRVLYDDFVLGSVPSKNEVHHAVSSLQEVLDPIIENRRTYGTYDDGIEKISGPTVFHKSGSELDWIEPSLQLHDSTSALHDPRSTRVYDAFHLLQTDPSVQRMVISLSSDKAVWDAVMNNEVVRELRESVNEGKSISECSEDSVDESNPVIQVLRWIFVNTKEKMIEIVEKITQIVNELVRPTGKDEKWKKDADIGLNSFEEKLRSSFLLSIIVLLIVVVSRGRKS >cds-PLY79067.1 pep primary_assembly:Lsat_Salinas_v7:3:7608767:7615813:1 gene:gene-LSAT_3X4341 transcript:rna-gnl|WGS:NBSK|LSAT_3X4341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEQGLGMIGGSGGIGGGFTDMAVSLSGDQNRQLKAEIANHPLYEQLLSAHVACLRVATPIDQLPLIDAQLSQSHHLLRSYIADHHNQSLSPHDRQDLDNFLVKLIFQSFFTGVAEIVKIAILRITLGEGSGATMSDDEDEMPMDFSLDQSGGMDGGHDMMGFGPLLPTESERSLMERVRQELKIELKQGFRSKIEDVREEILRKRRAGKLPGDTTSVLKDWWQQHSKWPYPTGEPISQRRGRLYPFP >cds-PLY75874.1 pep primary_assembly:Lsat_Salinas_v7:1:172075308:172075910:1 gene:gene-LSAT_1X114721 transcript:rna-gnl|WGS:NBSK|LSAT_1X114721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKQRTTDTGDGWTEIQNGMSDMFTFYVAGFQDGTSKVDLRRVYDRFGQVLDIYISGKKNQRKHNFAFIRFKGVKDTRTLEAKMQGIKLRGITLLSNLAKYQKDKSQHRKPLTGRQADKKTKSKIWGSVRDSRSFAQVAADITGTRINNFSPNILLNSKSVMGQWIHKKILIGEAHSLDYIANLPYPIFNTDDTKYLGGL >cds-PLY71415.1 pep primary_assembly:Lsat_Salinas_v7:4:238094584:238095423:1 gene:gene-LSAT_4X128060 transcript:rna-gnl|WGS:NBSK|LSAT_4X128060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIQYEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYDTAQEVKQTLQRYKELQDIIAILGLDELSKEDRLTVARARKIERFLSQPFFVAEVFTGSLIEFWAGAMNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGPGGEVIDTFPYFVSGVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGIGAFLLVFKALYFGGVYDTWAPGGGDVRKITNLTML >cds-PLY73181.1 pep primary_assembly:Lsat_Salinas_v7:4:267737829:267741044:1 gene:gene-LSAT_4X138080 transcript:rna-gnl|WGS:NBSK|LSAT_4X138080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVTIAAEGILKKVLSIAAGELAISWGYKEKLTSLHKTLELIRAKLRDAERQKGTEAVMVWLKQLKDVVGEADDVLDEVDYEMLRCQIKKQDRMARKVVCLPSLKRFSFRYKIGHKIQNINEKLLKINTEANGLGLQNEHPVGPVIDRLYWRETIPNQEEFKIVGRDDDKMLIIGLLTQARKEEKLRIVPIVGMGGIGKTALAKLVYNDEKIDKHFNVKAWLCVSVKVDINTLLAKIYECLSQKKPTSDLRVNLIKSLEEKLASKRYLLVLDDVWVEQRPYWEEFRSCMLNVNSQNGSGILVTSRKLEIGTHDMIKDSCHLKGLSNDECWSIFREKAFVAGKSPSLEIEVIAREIVKKCGGLPLLLNVIGGMLANYNDMEKWLSIKNSKVWDLEEEKDRVQKSLELSFDNLPNFIVKKCFAYCSIFKKDMVMEKEELIQLWMALGLVQADEERNKGTEDVGNDIFQILVSNSLFQDVERDKYGHVTGCRMHDLVHDLSLSLSKHESLRVVGAINDDISHIPQVKHLAFYQEQNKDNELEANVYMFIKRDTVARSLHTLFFNGEVEKKISFQQFKSIRILKLKGCTIEKIDNSIGGLVHLRYLDLSGTEIRVLPQSIGRLYHLQTLKLLSCHDLKFPKSMRNLISLQYLKYGRTLPANIVGHLISLRTLPSFDVHKKKGYRIEELGRLKNLSGKLCISNLGNVCSKDDAIKADLSGKKNLCEIEFKWNSDNEGDNRNDKDVLEGLQPPKDVKMLKINKFSCDNFPEWVMNMCIYIDGKGTPLDKLVEITLNDCRSCLSLPKLQHLPNLRDLELSKMDNVTCLRSYLVECNDVTGSTMLLSPSLRSLRLFRMKRLEKWIDGETNSSIMISPVLEKLVIIHCPKIILLDECHPHPLASLEIWMCTGLMSIKSMKGLTSLVSLKIFLCPSLLEITDLPSQCHALKTLQIFHCEKLTSLPHRIFDCFAFLNELTLGSFSKELNSFPSLQGIEKLKDHLHSLTLYGEDHWKSIPEEIQHLTSLTQLNIVRFGISEVPMWLTNMPSLRDIDFSYCYGLDEEKVKRALRGKQMSSN >cds-PLY70233.1 pep primary_assembly:Lsat_Salinas_v7:9:1800351:1804956:-1 gene:gene-LSAT_9X3640 transcript:rna-gnl|WGS:NBSK|LSAT_9X3640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEDRRRHEQPTNSGRRSLKRKLEDELIVDHKFSSTDDVSQQDLLYGIRAQVEVLDSTFSSSEADRALVKRSLHALSEFAKNEDIVNLIVESGAVPALVRHLQAPQSPEEVVTGTIPYEHEVEKGSAFTLGLLAIKPEHQQRIVDAGALPHLVGLLKRRLDGQSSRALNGAIRKAADAITNLAHENSSIKTLVRVEGGIPPLVELLESSDAKVQRAAAGALRTLAFKNDENKNQIVECNALPTLVLMLHSEDAAIHYEAVGVIGNLVHSSPNIKKEVLLAGALQPVIELLSSTCSESQREAALLLGQFAAADTDCKVHIVQRGAVGPLIDMLQSPEAQLKEMSAFALGRLAQDTHNQAGIAHSGGIVPLLKLLDSRNGTLQHNAAFALYGLADNEDNVADLIRVGGVQKLLDGEFVVQPTRECVAKTLKRLEEKIHGRVLSHLLYLMRSSERNVQRRVTLALAHLCSPDDQKSIFVDSIGLDILLELFQSSNSKHQEDSCVALRKLAEKATSLNPVDAAGPSSPISQVYLGEQYVNNPTLSDVTFLIEGKRFYAHRICLLASSDAFRAMFDGGYRERDAKDIEIPNISWETFEKMMRYIYSGSVEVDLRIAQDLLRAADQYLLDGLKRLCEYTIAQDITLDKVAYMYDLSEAFNAVSLKDACILFILEHFDKLNSKPWYGSLMKRMLPDMCDYFMKILGKPLK >cds-PLY71158.1 pep primary_assembly:Lsat_Salinas_v7:9:83114517:83116372:-1 gene:gene-LSAT_9X65460 transcript:rna-gnl|WGS:NBSK|LSAT_9X65460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIRPARKTFTFEIVSFHTCYGYEQKVTSAVGKDLVKIDPKDGHVTVSTHNAPQRVKLALEEKTRRKVVLLYELIPQNTNQSIRNQSHHGDRKSSITSSTSNHVAHSKSQSIRMTIRYQNRSIRHTADTSVGEGHNVSSSIRYGKSYHRHELTLTKGSLSSYKCSGCKELGIGNRYICNNCSYILHPDCMYYKRITTHKFLAGSTFKFHQTRFDSKDRYCDACGSDIEGFFYHCDITSKDLHPCCLKLTEMVHVGETKFMLRRKLTTECFYCSSRKKDYKDNCWCYSLESQDMQVHVSCMKDALRNCLKGERNNNMSTMVVVRHGKQTSEGLKWAQRLLKVAVCVLSGNPFPLLDVALELLIE >cds-PLY96244.1 pep primary_assembly:Lsat_Salinas_v7:7:182904301:182905207:-1 gene:gene-LSAT_7X108060 transcript:rna-gnl|WGS:NBSK|LSAT_7X108060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKTIGSAAAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKTAGSSKASVDDE >cds-PLY77869.1 pep primary_assembly:Lsat_Salinas_v7:1:22960645:22962292:-1 gene:gene-LSAT_1X19080 transcript:rna-gnl|WGS:NBSK|LSAT_1X19080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OSB1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G47720) UniProtKB/Swiss-Prot;Acc:Q9SX99] MDTTVCRLRPLVRLFISSPCSRHLQAHRLFSSSSDDQSCRSRSLFFGESEKRSRGSDVYRQTLKTQRPPTIRWQKELENHASFIGRVNSPLKKFTTNGGLLCAHTHLKVESPSGSNRFLMIFLDMWEDMAELCVQHLKPNDYIYVSGYLRSFTLASNNGNVILQPKVIVKEINYVASNNKNTNNIIQDSGESILEKHRKRLHLWQVFFTNPYEWRDLRKSKINPRQPDFKHRSSGEALWLKPCDPPWVMRQLRLQDSRMGGIRLGERLSHRSSLSPLSYDDNRRV >cds-PLY64405.1 pep primary_assembly:Lsat_Salinas_v7:4:25719412:25722527:1 gene:gene-LSAT_4X18400 transcript:rna-gnl|WGS:NBSK|LSAT_4X18400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDTNSKTRRHGQRVKGGIITMPFIFANEVGEKLAVVGFQTNMISYLTQQLHMPMTKAANTLTNFSGTGSLTPLLGAFMADSFAGRFWTITVASIIYQIGMISLTLSAVLPNLKPPPCKTGENCEEPSTGQLAILYISLFLTAVGSGGIRPCVVAFGADQFDETDEKQKTNTWKFFNWYYFCMGASMLVAVTVIVYIQDNVGWGWGLGIPSMAMVFSITTFVIGYPLYRNMVPSGSPFTRLIQVCVAACRKRNLDMVSDDLLYENEELDASISVSGKLLHTKQMKFLDKAAIVTKEDNAKGQSKPNLWRLNTIHRVEELKSLIRMGPIWAAGILLITAYAQQNTFSLQQAKTMNRTLTKSFQIPAGSMTVFTLTSMLTTIVFYDRVFVPIARKLTGLERGISFLSRMAIGFCISVLATLVAGFIEIKRKNAAFEHGLIDKPHETIPISVFWLVPQYSLHGIAEAFMSIGHLEFFYDQAPESMRSTAIGVFWMAIAAGNYLSTLLVTMVHKLSAGHDGSNWLPDDNLNKGRLEYFYWLITLLQALNLVYYLFCAKFYTLKPIEVAHSRRVDEDDTNGKGDGVELVMNV >cds-PLY84208.1 pep primary_assembly:Lsat_Salinas_v7:7:77022260:77026016:-1 gene:gene-LSAT_7X54801 transcript:rna-gnl|WGS:NBSK|LSAT_7X54801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSIFFAWITFLFLLPSNSVSDFSEKYVDSTSGSVFWVSGSIFLYGGPLFIIAFLAILYLRISGEHEFEEKKKPKHATLRLWTFPVIVDGPFGVVTAAELIVILLVVVYIICALSVYAIQNYNLIPYYESQGKSHVMLKHTGLRFGSIGLICLMFMFLPVSRGSILLRLTNIPFEHATRYHVWLGHLTMTLFTLHGSCYFISWILENRVLHQVIDWKNNKIANLPGVISLSAGLLMWVTSLPQVRRLKFELFFYTHQLYVVFVVFMAMHVGDILFSMIAGGLFLYMLDRFLRFFQSRKSVEILSAKCLPSGTVELVISKPQDLKYNALSWVFIQVRELSWLQWHPFSVSSSPLDGNNHLSILIKVLGNWTNKLKSHILSLPEDQTDLHGLIQPNLKLKAYVEGPYGHESPYHLMYENLILVAGGIGISPFVAILSDILHRINECKPCMPRNVLIIWAVKTSEELPLLHSLDLESLFPEFHTKLNLEIQTYITQESEPPLEEGNEEKYATPFVYSTPSRCGMSGLVGTGNIIWSGTYVVVSTIEIFGRMCERWGNVDIGVIVCGPTSLRSSVAKECRCKNFGRRSNNPVFHFNSHSFDL >cds-PLY82883.1 pep primary_assembly:Lsat_Salinas_v7:4:18062906:18064260:1 gene:gene-LSAT_4X12560 transcript:rna-gnl|WGS:NBSK|LSAT_4X12560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMMNVVWTCIVLISLVIVVSSIDEDRKVFIAYMGFLPEGEYSPSLHHYEILKNITNPRFARKSLIRSYQRSFNGFAAYLSQEEQQKLTGFDGIISVFPCQKLHLQTTRSWDFMGFPTTVERSPTGESDTIIGIIDGGIWPESESFNDEGLGPIPARWKGECQGGTNFTCNR >cds-PLY90082.1 pep primary_assembly:Lsat_Salinas_v7:6:16325776:16326015:-1 gene:gene-LSAT_6X7860 transcript:rna-gnl|WGS:NBSK|LSAT_6X7860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIGAVRESGAEIRHLKATVRLKTTVVGAAGLKVTVGHCSNGSGCHMGRQLLETIGNGRGRRSLSGPSRRRRSQVEIDQ >cds-PLY78939.1 pep primary_assembly:Lsat_Salinas_v7:8:3025336:3029263:1 gene:gene-LSAT_8X2421 transcript:rna-gnl|WGS:NBSK|LSAT_8X2421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWGFGGRFYWGKRKEEERRRGIVVVFAWMSSEEKNLKKHVDLYSSLGWDSLVCHSQFLNMFFPDKATALALNLLNELIKELKRRPCPLVFASFSGGPLTCMYKALQIIDSKHDTHGNLDEYEVVRNCISGHIYDSCPIDFVSDLATRFVLHPSVLKVSHPPIIVKWIANGFSSSLDTLFPKRWNSLRADYWQTLYSTIGFGAPYLILCSENDDIAPYQTIANFAQRLQNLGGDVQLLKWSSSAHVGHYKHHPKEYKDAVAELLAKAVSIYSQKNEQGGGPSNGSTSSEPQHHLREAVSSSNQYHSLHRVALDLNGQFVVPAGLVAEYHEGRDLSSINDAPEERFITRSDLSTINAHGISSKIMFDECVPKYVEDWDLRLSSSFSSSSKHSHFNPIKCTQRSRL >cds-PLY77607.1 pep primary_assembly:Lsat_Salinas_v7:2:165316065:165316918:1 gene:gene-LSAT_2X89680 transcript:rna-gnl|WGS:NBSK|LSAT_2X89680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEHITASEVAGYGVGALLLCATISAPKIDSFISASQRSSLGMCKRCGDLRLIACSNCKGSGSLRQDGVFSLITSDEVKSKTSSLSCNKCGARGHFPCPECSKL >cds-PLY81103.1 pep primary_assembly:Lsat_Salinas_v7:9:68077700:68081713:1 gene:gene-LSAT_9X56661 transcript:rna-gnl|WGS:NBSK|LSAT_9X56661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein REVEILLE 6 [Source:Projected from Arabidopsis thaliana (AT5G52660) UniProtKB/Swiss-Prot;Acc:Q8H0W3] MGMALPNIGSLSTPTAAVAPPSTNSSSSSVDDASKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFIGSKTVIQIRSHAQKYFLKVQKSGTNEHLPPPRPKRKAAHPYPQKAAKNPPVLSNVTSSFQSSEFLQTNPSTLVKNTTMETGSLSHIAKDDIVSGGQGQPIAASVNYSSSNESAPKTRTTSETHEQRIHGLPSRVLPDFAQVYGFIGSVFDPNTAGHLHRLKKMDPIDVETVLLLMRNLSINLTSPDFEDHRKLLSSYEVDSDKENSDDAVDALLDCGYPSITYTDGAEQRFDDVDFAGMDKNEFVEFLERFACEICVNVYFCMPDVVFPDGLRLITNDMDYMELIEVGYASDCVIDVYMDHLGVNVHQWILEEQAEVGSSLDQLSNANEDREEVHSRMDMDDGIDMQDLHGGMDDIPRPNEDLQGEQDGDIHMEGDRDHDECIPMNKTKEDEFLSKLCPKEQVTPDSPPREDTYDPPEEVEIISTDQAIYNENVHWKKQKPVLGMRFVSPKQLKHMMCKYVVANGYQLCYKKK >cds-PLY89062.1 pep primary_assembly:Lsat_Salinas_v7:9:27966052:27968627:-1 gene:gene-LSAT_9X24280 transcript:rna-gnl|WGS:NBSK|LSAT_9X24280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDWVRITVRIRVRWTRWTVGLTRRRRNLRTSLMLTGVLLICILEEVILGDGIAREVHLTIKWLFYRENRDNNGLFLRFSSCQRFIQMTFFIVNEIEEDSGGMG >cds-PLY84747.1 pep primary_assembly:Lsat_Salinas_v7:5:229807989:229810234:1 gene:gene-LSAT_5X109820 transcript:rna-gnl|WGS:NBSK|LSAT_5X109820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDLKRMDVHEALDKARAEKERLEEEVRTKTDLYNNLRKAQLEMVTKFQETKLQSEKQAKELNARSEEMISKLEEELRDVEDQLKWKNEQFQHLEEAHERLQESFRCSKSEWEKEKSLLLDEISSVQRSLDAQIRISETLQTQLKICNQALAHEQSSKKLLEFEVSEYKSRFDNIYLECHEAKATIEKLSHKRDEEVAELRDTLATKDSQSKEMGYKLVYLEQENQDLTASLKEFQESQIVKSGPTASLKKLQRRYQDLEQIHKKCKENLEEKEVEWKSQFEKKRKEMDEYLSKLKLQNEQVKQLQEELENSKIQNLKDVSDDLPQKFIEIEKEKQLVENQSMLLETSLKACKIENQSLLCKANEQNEKIVDLQHQIVLLETLVKERTGTIEAQKQETEKYFKVIQDKESSLENVVIGFEQEKKSLLEVLEERNKRIEEVIEEMTNAFKNSEEKQIENDLIHHALQKMEIEVSNLCHKMKVKDESLLQASQHSEELQVKNLEKQTEIDVINQALHKMKIEVNNLFEKMKVKDESLFQASQHAEELQVNNLEKQIEIDVLNQAFEKMRIEVSDLSEKMKLKDESLLQAEELQVLLGIKKLETEKLKKQFEDEKRQLEAENQGLREDITILLSHREDLISQMETISEQMGEFSKDDEFLMGMLDNMLQKSSTLNK >cds-PLY72874.1 pep primary_assembly:Lsat_Salinas_v7:5:168448557:168453771:1 gene:gene-LSAT_5X75341 transcript:rna-gnl|WGS:NBSK|LSAT_5X75341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g56990/F24I3_70 [Source:Projected from Arabidopsis thaliana (AT3G56990) UniProtKB/TrEMBL;Acc:Q9M1J9] MAYQGGNLKSTSINGVKMYTVAGQHKSLATWLAPKKIRALRKDKNYLERVDLIQDLRFETATTRIKATPDGEFLIASGIYPPQIKVYELRELSLKFERHLISEIINFQVLSDDYSKLAFLCADRSICLHAKYGSHYSLRIPRMGRDIIYDNWSCDLICAASSPDLYRINLEQGRFLSSLTTQSPALNVVSRSKYHGLVAGGGEDGAVECFDMRTRSSIARLNAVTPVNDGDQEVTAIEFDGDGGYHMAVGSSGGKVMIYDLRSSSPIRVKDHMYGSPILNIKWHQTLNSEKPKLITTDKHIVRIWDPETGEGMTSIEPTGGEINDMCVFDESGLMLLALDTSQIPSYFLPALGPAPKWCSYMENLTEEMEEDAETTIYDDYKFLTQDDLEKLNLTNLIGTNLLRAYMHGYFIDFRLYKKAHAAANPVVYEEYLEKRKKEKDEEERSTRITIKKKLPKVNRVLAKRLLEDEELEAIKKDTTDVAVDVNVDVNTTKKASKKRKGLTSDVLQDERFTSLFKNEDFEVDENSLEYRALHPLATPVQPSLVKEHFEPFNEEDEFDSDEEDSQPSDDDETKDGKKTRAPRLYEVKDERHAEAFGNRRSLAKEDALPLEERVKALANRNQGSNALNKIKSGPGGSREISFISRSKGKYKEDEFDDDDDDDGFNHREKRRGVHSLGLKSDRGGFQGRGRGGRGRGGGGRGRGGGGGRGRGRGGGGGGGGRGRGRGRR >cds-PLY83290.1 pep primary_assembly:Lsat_Salinas_v7:4:233012530:233013779:1 gene:gene-LSAT_4X126681 transcript:rna-gnl|WGS:NBSK|LSAT_4X126681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAPFLTKTYQLVDDPSTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEFFKKGEKHMLCEIHRRKTSQPHVGLNHHHFTGINGGGNGFFSYPSTRDSISPPNSDDHLYCDSPPPLSSPTTTASATTAATMFGIFPNNSISSNIRGNSVTALSEDNERLRRSNNMLMSELAHMRKLYNDVIYFVQNHVKPVTPSNTYPSSLLLPSTNNPLMVQKQQQTTSFFNNANATVVYPSQPHQLELYDSTIRNIVRDQDTVNNAARTKLFGVPLSKKRVHPEYGSANTMVETHKARLILEKDDLGLKLMPPSPC >cds-PLY67266.1 pep primary_assembly:Lsat_Salinas_v7:5:135557785:135561421:1 gene:gene-LSAT_5X58820 transcript:rna-gnl|WGS:NBSK|LSAT_5X58820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine zipper 9 [Source:Projected from Arabidopsis thaliana (AT5G24800) UniProtKB/Swiss-Prot;Acc:Q9FUD3] MDNFTHNKKEEAFNDSSMCNPMSRTLSDYDIGELLKQSMAADADHRGDPFSAVDDVVFGNDYDCKSYAADGCSPDLAVHYRNLDIVNRFSSHGGITGSPLWSRNLTSKNSSITMTMDSQSSICVESPTSATKPKNVIGATSDDEQSDDDDTEIEAGQCEQSNDQMDVKRFKRMVSNRESARRSRKRKQDHLTELEQQVEQLRGEYTTLFKQLTSASQQFKDASTNNRVLKADVEALRAKVKLAEDMVARGSLTSSLSHLIQNHLTTPQLFNNQNMSRMGNVSPTITVRGDDHPGLPLPGQHVMVGHADIFKNGISSDAGSCVSDIWP >cds-PLY64627.1 pep primary_assembly:Lsat_Salinas_v7:6:38531443:38535293:-1 gene:gene-LSAT_6X29241 transcript:rna-gnl|WGS:NBSK|LSAT_6X29241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECNWEAASVILGQHQHQGLDLLGFAITENYDTALHIAASAKSSRLMEMFVKILVNQMTNEQLELQNKKYNTALCLAATTAGNLNIAVTMVEKNKALLDIPGHEGAMPLYMASLSGESEMVNFFYDKSNKMTGAFWTDENRSKVLRKCVELDLFDVAMKIVTDLPELSADNKVLELLARKTEAFAIIKPHFFSRFMHQIFSVICMKKVVPAEKPRDAMLLLRIIWGNIMKLPKAKIEDILGNQEIIGPADHNSQPLDILGNQEIIGPADHNSQPLFVAVNMGNTEFVVELIRQYPDLLWKRNDNNQTIFHVAIIYRHEDIYNILHEVGESIKDMITSVVDMQGNNMLHLAAMWPKEAKRDYNSGVGLQMQLMQREVLWFEEVKNMVPLWCQEKPGGDFGFLPHKLFEFGHRRLLSDNEKWMKDTANQCLVVAALIASIAFTAAFAVPGGYNQNNGIPIFLHHRAFIVFVISDAISLALSSISILIFLSIFISRYAENDFLESLPKKLMKGLAALFFSITAMLVGFGVSFFTFLQDKLIWVPVMISMLISLPIILFARLQYYLVMDVYQSTYGSRNLFKPKKPRLYPQNQNPRF >cds-PLY88866.1 pep primary_assembly:Lsat_Salinas_v7:5:54315756:54316613:1 gene:gene-LSAT_5X25761 transcript:rna-gnl|WGS:NBSK|LSAT_5X25761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALPQLLPSPSSSYLTHHNNPRLNPHHTLSFSLNSKISPPHHLRRRHRNSTSSLRCSSSSERHENIASTNSNDIVELPLFPLPLVLFPGAILPLQIFEYRYRIMMHTLLQTDLRFGVIYTDATSGTADVGCVGEVVKHERLVDDRFFIICKGQERFRVTKIVRTKPYLVAEVVWLEDRPSGNGEEDLEGLASEVESHMKDVIRLSNRLNGKPEKEAGDLRRNLFPTPFSFFVGSTFEGAPREQQALLELEDTMVRLKREKETLKNTLNYLSAASAVKDVFPSSSS >cds-PLY84597.1 pep primary_assembly:Lsat_Salinas_v7:1:29959092:29960644:-1 gene:gene-LSAT_1X25761 transcript:rna-gnl|WGS:NBSK|LSAT_1X25761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGPPANDCCAICHGNFHIPHQANCSHWYCGNCILEVWNHGSALQPCKCPLCRRHITLLVPSQESSEQADNPEASEIIRKIESYNRIFGSHSTGLSQRLQDLPFLIKRLFREVMDPQRSLPFVIKARVFLALLSTVIYVLSPVDIIPEALFGILGLLDDVIIALIFFLHVGTLYRAILVRRYGGSST >cds-PLY84893.1 pep primary_assembly:Lsat_Salinas_v7:8:67719654:67721795:-1 gene:gene-LSAT_8X48080 transcript:rna-gnl|WGS:NBSK|LSAT_8X48080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g03380, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G03380) UniProtKB/Swiss-Prot;Acc:Q9ZQ74] MKKLKNVLQICFHRSKFLHPRFHYTTLIPSATHQELDPTISYINSVSSNPLFHLLNSCRTLPSVMELHSLLIVNGHSTQLNSQTKLVSLYGSLGDTKTARLVFDQMSNPDMFSCKVMIRWYFLNDLHLETIMFYRCMTKCLKEHDNAVFSIVVKACTKLRDINEGKKVHCHIVKAGNPDGFVLTSLVDMYAKCGQINCSRRVFDDIIDKDVVSWTSMIVSYVQNGCPEEAFMVFNRMRSDLIQGNQHTFGSIISACTKLRALHQGKWVHGYAIKSGIGLNSHMVSSLVDMYVKCGAILDARSLLNEHQEAMTGCPSVDLVTWTSMIVGYTQNGYPNEAIALFTDKNWIDILPNSVTIASVISACSQLGDSKLGKVIHSLGVKLGLEDGNVRNALVDMYAKCEMIKEARYLFDTISNKDLVSWNSIINGYAKIGCTYEVTRLFNQMRYEGFHPDEITMVSLLSCFDLHLGSSLHAYSIKGFLSFDNVYIGTSLVNFYAKFGKLKTACHVFDKMPQRNTVSWNALINGHGIQGDCSGSMELFNDMLKENLDPTDATFTSILSACSHTGMIEGLKFFDLITKEFEFVPKMKHYGCLVDLLARYGNLEEAFNFIKKMPVRPDVTLLGSFLHGCSMHSRFDLGEAVMTWMFDQNPIDASYYILISNLYASDGRWNQVLKLRELMNMKKIKKSPGCSVNLSGLNHATPLKLSETRGFHL >cds-PLY99616.1 pep primary_assembly:Lsat_Salinas_v7:5:241848030:241850931:1 gene:gene-LSAT_5X119080 transcript:rna-gnl|WGS:NBSK|LSAT_5X119080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPELTSGANNTSFFNGNDHRLFATSISAPQRQLLDVVKPDPGWPEFVAYGGQLGYGYMNQNTPGGGDQTFLPANSNSVSVSPKKRKADKGQSLQVVSEKDKKIKGCAEEGDSKITHQYSNCDNKSSNSKEASTNASSKEKSKVSEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQKQVEFLSMKLATVNPELDFNIESVFTKEVFQSPIPGLGCSSEMATSTYFQLNSTEQLVSYCGLDMEINSTEMAIRRSLNAPIPIPETFMDSSCFNQIQPTATWDADLQNLYKMEFEQGTLIPFQSHQFTGSNEVSNMKMEM >cds-PLY79003.1 pep primary_assembly:Lsat_Salinas_v7:3:9136125:9137341:1 gene:gene-LSAT_3X8001 transcript:rna-gnl|WGS:NBSK|LSAT_3X8001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGIYKTLPQHTYSWSQGRATFYKYQGFWNVQNFPEKTILAQSYQAQPSDILLCSCPKTGTTWLKALSFAILTREKFDHASASPLLTTLPHDCVLFLENHLAKIKENPSDLPLLATHLPYSSLPKSVIASNCKIVYIYRNIKDVLVSYYHFVRELVNLSVEDAPFEEAFDDFCQGISSFGPYWDHILEYWKASQERPDRFLFLKYEDLKKDASCNVKQLAEFLGCPFSVEEEKAGVLENIINLCSFDSLSNLEVNKSGMYQADEVSGVEHRLFFRKAKDGDWENYFTDEMKEKIDKLIDEKMSGTGLVLK >cds-PLY82067.1 pep primary_assembly:Lsat_Salinas_v7:8:53726212:53729911:1 gene:gene-LSAT_8X39840 transcript:rna-gnl|WGS:NBSK|LSAT_8X39840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSPAQAIFHLTLLLIISSCIPPSFSQSTPATTSPPTKIGNGYTLVSLQESPDGGLVGRLQVNRRNYIYGADIPFLQLYIKHETDDRLRVHISDAQQQRWEVPYDILPRDRPPTPSTQTIGRSRKNPITVSEFSGGELIFSCISNPFSFAVRRKSNGETLFNSTSDQSSPYNSLVFKDQYLEISTSLPKDASLYGLGENTQPHGIKLNPNEPYTLWTTDQSAINLNMDLYGSHPMYMDLRNVGGEAYAHGVLLLNSNGMDVLYRGNSLTYKVIGGIFDFYFFSGPSPLAVVDQYTQLVGRPAPMPYWSLGFHQCRWGYHNLSVVEDVVENYNKANIPLDVIWNDDDHMDGHKDFTLNPTAYPQPKLQDFLQRIHARGMKYIVIIDPGIGVNSSYGTYQRGLAKDVFIKYDGKPYLAQVWPGAVNFPDFLNPATVSWWGDEVRRFHEIVPVDGLWIDMNEASNFCSGLCTLPQGKQCPTGTGPGWICCLDCKNITKTKWDDPPYKINASGTHVPIGYKTIATSAVHYNGVREYDAHSMYGFTHAIATHKALQALQGKRPFILSRSTFVGSGHYTAHWTGDNQGTWNDLKYSIHTMLNFGIFGIPMVGSDICGFYPQPTEELCNRWIELGAFYPFSRDHANYYSPRQELYQWETVAESARNALGMRYKLLPYLYTLTYEAHTTGAPIARPVFFSFPNIQELYGLSTQFLLGESLMISPVLDQGQTNVSAVFPPGTWYNLFDLSQAIISKETQTVTLDAPLHVINVHLYQNTILPMQRGGLISKEAKMTPFTLIVTFPAGATEARAKGKLYLDDDELPDMSLGNGQSTYVEFYASASKENVKVWSDVTDSNYALDKGWVIEKVTVVGLAGIGGQFAIDVEGNAGILDVSKFKMVETEHKFLNEMKKVGEEENKSVMVEIGGLALPVGKQFTMSWTLGIS >cds-PLY89210.1 pep primary_assembly:Lsat_Salinas_v7:8:268850982:268851197:-1 gene:gene-LSAT_8X155220 transcript:rna-gnl|WGS:NBSK|LSAT_8X155220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHGVVVVSWSIGDVHLKDWLLSEPERTILPLTDELEYPILVSDGVWDDVHNTWYLYFVNFRQNYTNGYCG >cds-PLY87904.1 pep primary_assembly:Lsat_Salinas_v7:4:236087073:236090403:-1 gene:gene-LSAT_4X127021 transcript:rna-gnl|WGS:NBSK|LSAT_4X127021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTLIFSSKFELFMLISFVEGAMEHWYIYYSCYNSTDQKKKQRLFRKRIEFFYNRQHDFRIYRQREFERSRFWFQPETATTDEKFAGMIIIFCIKKVVYITAMFQILAVFAGPSLRSIVSKQVGPTEQGKAQGCITCLCSFASIVSPLIFNPLTALFLFENAPFEFPGFSLVCASFAVKKKTEKSAAEIALLVENVMVELEVVAEKDAELNRQSKPSINKLKKLPLLTEVLSKKQLQLEFLDHGVLEDPN >cds-PLY95847.1 pep primary_assembly:Lsat_Salinas_v7:5:65277564:65278770:1 gene:gene-LSAT_5X30680 transcript:rna-gnl|WGS:NBSK|LSAT_5X30680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQEQEQMQFLGFFGIFKETFKIISTYKEIFTKISLSIILPLSFIFLAHIEVSEYLFGKILDNEDIRDQTPQNTRKYSTLSDLISSEWTYFWVFKVAYFIFFLVLSLLSTSAIVYTIACIYTSKHITFKKVMSVVPKVWKRLMVTFVWNFIIFFVFNLLALVVFACWVAWVDFTNTGVLILIVLAIVYVVLFIYISVVWHLASVISVLEDDYGIQSMLKSRELIKGKTGVSMAIFCFLNICFITIQVGFESHVVIGKHSLVVRTIYAILFFLLLSVLILFGLTVQTIIYFICKSFRHENIDKSLLANHLEVYLGDYLPLKSKDVQLQNFDI >cds-PLY78174.1 pep primary_assembly:Lsat_Salinas_v7:5:123924087:123924683:1 gene:gene-LSAT_5X54921 transcript:rna-gnl|WGS:NBSK|LSAT_5X54921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIGESPVHIFLEKISFTKVTERNEAETAYNEAYKAVIKDNVECSKTVLLTPQKPISGFTPQKHVSIPRSLNQLNAKIALESILSIKEKDAMKKPSAKKFAKLWDNLISYTEVHSLMGFYPVARRPGPKAVFLADLSDPMTLWDYFIHGFIDTIYLEGTNLHCISEFPSAVQTIIRNYKIQFAKQERGLFIKMHSSYL >cds-PLY66318.1 pep primary_assembly:Lsat_Salinas_v7:5:288389748:288390336:1 gene:gene-LSAT_5X153701 transcript:rna-gnl|WGS:NBSK|LSAT_5X153701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGGLGMDLQTKATTLPKPVVDPKRLSKWNYDGLSTGQAPGEDNKVNFIRLQLRQHMKFNGSYYVGYSKVIEISECLKGEGLGHCYF >cds-PLY88821.1 pep primary_assembly:Lsat_Salinas_v7:4:92341622:92343614:1 gene:gene-LSAT_4X60740 transcript:rna-gnl|WGS:NBSK|LSAT_4X60740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICIRVNTLNTTTEAINEKILEIQFDKRLQDTVKNGDIESLSVLKSQIPGLDYVVFVKGSGPDDIQYDYQQDRPRKEIIVICILACSAHVEKGDLVAVSVGVEQPSRDNGWAIGITRGIVLQGLKTDPQYLARDGLYIGQGTTTMSRAGIFHGLSSLVVDMTDRVFKLTSFNDPQEGERILDMCAAPGGKTTAIASLMKDKGEVITVDRSHDMVLEIHNLAAELGLTSIKAYKLDATCSALGLRPRLFAGEDPRIGGPGLIL >cds-PLY93930.1 pep primary_assembly:Lsat_Salinas_v7:3:165207386:165209451:-1 gene:gene-LSAT_3X102560 transcript:rna-gnl|WGS:NBSK|LSAT_3X102560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIGETQQGYVEDVLKFEGDISRKFLFIHNCIRIRKWLSAFTLVVSPSSVPLLVINIKRKVLRETIRKCFF >cds-PLY94647.1 pep primary_assembly:Lsat_Salinas_v7:1:40666867:40668193:-1 gene:gene-LSAT_1X36040 transcript:rna-gnl|WGS:NBSK|LSAT_1X36040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVASSAEVAREILKTHDLSFSSRPSLTIPNILLYGCKDVAFAPYGEYWRQLKSTVVVHLLSSTRVRSFQQVREKEISRMISMLEDSHGSLVDIGSLLISLTNNIICRVAVGRAYDGSKLTDILCRLLHMFTVFSVGSYIPWLKWVDRVSGLEGKAKKVAQEFDEFIEDIIEEHLNNKKSANEVQDLVDILLDVQRDNTIDFNFHRDTLKAVILNMFLAGTDTTYTSLQWTIAELIKHPRVMKKLQKEATEIAKGRSMIVEEDLEKMEYLKAVIKESLRLHPPVPLLVPRISTQDVKLKGYDIPKGTQVIINAWAIGRDPDIWKQESEDFMPERFFKSLIDYNGVNFEWLPFGGGRRKCSGIQFGVVIMELALANLVYKFDLTLPNGAKREDLDMSEEFGIVLHMKSSPKVMATPRATY >cds-PLY97312.1 pep primary_assembly:Lsat_Salinas_v7:1:177608669:177611390:1 gene:gene-LSAT_1X117041 transcript:rna-gnl|WGS:NBSK|LSAT_1X117041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKNTFQSGFLSILYSLGSKPLQIWDKEVVNGQVKRTQDEDIQSNILEIVGTNVQSTYITCPADPSATLGIKLPFLVMIVKNVKKYFTFEIQVLDDKNVRRRFRASNFQGMTRVKPFICTMPLRMDEGWNQIQLNLADLTRRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPMQKQ >cds-PLY64791.1 pep primary_assembly:Lsat_Salinas_v7:2:101961459:101965362:1 gene:gene-LSAT_2X46281 transcript:rna-gnl|WGS:NBSK|LSAT_2X46281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/U3 ribonucleoprotein (Utp) family protein [Source:Projected from Arabidopsis thaliana (AT2G43650) UniProtKB/TrEMBL;Acc:Q8L3P4] MAKGSKGQSSNKKTPQKSLSTFSDSDMDDEIDVFHKQRDIVPLDLDDDIAESDEDNDQHVFEIEDGSDDEDDDEDDDEDEDEDDTLAAKIAKQQKSLKAKIGGAKDETQDDDEEDKEKKSIAWGRGKNIYYDNDKGESSEDEEEAEVVRLQNEKTKLYSNADHGLDDDDDDDEDEDEDDEDEDEDEEEPTFEEKMKKGKVSSKSKVDNEDDTDTGVEIVMKDPSALSKEEQMDIVYNSAPELVGLLSELNQAVDQLETKVDPILNKLKEEKNLNKPGIQYMELKKQLLLSYCQAITFHLLLKSEGHSVLDHPVLARLVEIKNLLDKMKPLDENLSFEVEDYLKKHVSTTTINEIEISPTINTHKPTIELSETQKEPHLETKIVNGGKRKRENDKENEKRGLQSVEMLKVRAALEEKLKQKGVFNIKASKTDGIKKNAKPLNGKFEAFDDFDDETFNIDGSQNKSRLSQLVTQIKKPRVISGDDDLPKRDDIGERRRKHETRVLASAGIHSDDDMQNDETTLEANGVDDDSNEDGETESETDLYKETKIKRDAKLAAKAQKYSRTAPVVAMPETLVDGKRHINYAMEKNRGLTRKRNKDLKNPRKKYKLKHKKKEVARKGQVRAHRTPTGPYGGEGSGINNTLSRSIRFKS >cds-PLY79612.1 pep primary_assembly:Lsat_Salinas_v7:2:166230634:166233779:1 gene:gene-LSAT_2X88081 transcript:rna-gnl|WGS:NBSK|LSAT_2X88081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKVQSKTYFPGSMMDLNNGFCNSMWDPYHDDRASASEKSQCYNSFMMMQAMDGYSGYAKEQMRQTILKQDSIFRHQLEELHRLYKRQRDLMSELTMKEHCNFSMPLKDYTPLNSKSSTNQRRVIDLELPADVYEDNEGKPQFQKNVHKLADLNEPIHMEEAPNSNSELWYLSKVSQQREIFDKKELSYNNGTLQGDPKKPVEHLSKKRTIFGVELCERSNTPVDSSQNLEKKLPPWLMMKPNLCNEQPKGKETTIYQMNMDSLQHHSHQFFKKSEITKLQTDNHHQSITKILGVSIVNCSQSHDQNALKENGKNNLRDHIDLNLSFDEEEAPSSTPYIPESVVKIATMEIDLEAPATLESETDTPSTDEVFMDADEDLVKVAAEAMMSMSSSEPPPPPEAADTLLRWFAEVIASGNKKDPEELDNDKEFIIPEGMDYLEYMTLKIKETKEEYICYKPIEEDDVSLLRKRTTRKGQGKRGRQRKDFQRDVLPGIVSLSRREVTEDIQTFEEAFTGIGVSWQSKRKVSGKNGRGRRRLVASDPSSQTPPPPPPPPSPPVEVVEQSVCREEKSLSGWGKRTRRLPRQRCQNNGGNHQSLALKC >cds-PLY61852.1 pep primary_assembly:Lsat_Salinas_v7:6:58438072:58440831:-1 gene:gene-LSAT_6X43080 transcript:rna-gnl|WGS:NBSK|LSAT_6X43080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSCVFIISSLLLLLLVTTTTSQLVAAGGNDINKCLDMERDALLLFKAPLQDPYEHLSKWTAEGDDCCNRKGVTCSKQTGHVTGLDMHFYGLGGEISPSLLNLTYLTHLDLSFNAFNGIIPTFIGSLTRLRYLNLGWNDFNGTIPRSIGSLTELIFLDLSFNSLYGTIPPEFGNLTNLQVLRLGNVGSCRVEKVEWLSHLSHLEELWMDGISLATANHWVDVISSLPKLFELSLEGCELSQVMYPYSSFLNSSSSSIAYLSLGKNNLTSSMYRWLFTLTSNSLVRLDLSGNMLDGIPKYLGNLCSLEDLDFSYNSAVVKFPDFLNNLSGCTSLSLQDLYAPKSQFTGSLSDDIQKFSSLYFLDLSHNHLNGSISEKLWELPRLQTLYLSSNNLTDPSTYHLSNISYVEYLYLSSCKLLGPRFPKWIQTLKDLTVLDLSNTGISDTIPLEFWDSWPSQLIYLNLSSNNISGKVPDLLSNFAYDSVIDLSSNSFHGPILNPSTLSILNLSRNKFSGGISFICQAVDGFLSFLDLSHNSLTGQLPDCLWHFNQLEVLNLGHNNLFGSLPPSIGSLIQLKVLYLYKNNFSGELPLSLKNCTSLKSLNLGANNFSGNVPVWIGENLSGLYVLILRSNNFFGTIPLQLCRLPNLQVLDLSMNNLHGSIPSCLSNLTSMLHQGGFSQDVSLETFVKNTSYGWGKYVDHAMIEWQGDEHEFFNTLKLLKSIDLSSNNLTGQIPNEITNLSDLIALNFSMNTLSGEIPQHIGEMKKLLTLDLSRNNLSGRIPSSMSQMSLLNYLDLSYNNLSGKIPSSTQLQSFPPSRYNGNKGLCGPPLTKNCPGDEEPEVPPLIGKGEGDGEDTDDEVMGWFVIGGGMGFATGFWIACGALLLNRRGRRAFFQFYDSFKDWVYVKVVVFISSMQKA >cds-PLY96001.1 pep primary_assembly:Lsat_Salinas_v7:9:42954665:42955456:-1 gene:gene-LSAT_9X38820 transcript:rna-gnl|WGS:NBSK|LSAT_9X38820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWGTLIVVSLVSAAGSTFYIEQFSNLKGNEEIPAQMFDVIQDISGFAILIIYSWTPFSKNEKLKIGVGMFCSVISCVCAWRLEVSRLKAVIEQGDDDDDTSISFLWLVPQFSILGCMEWLAEEGSLNFFKSQIEEPIKSYREEYMEVVMCLGILVNTFLILILKTQGWFGYDVNNGTRLDKYYRLLVYLCSVNLIIYYCIAKCFYKETEQERHSAHGNLQLSESTDHATTGNSYRRKRFLVLLSRQVSFVFDTLF >cds-PLY96210.1 pep primary_assembly:Lsat_Salinas_v7:3:92887495:92889183:1 gene:gene-LSAT_3X69001 transcript:rna-gnl|WGS:NBSK|LSAT_3X69001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFGASTMSNNMGLAYSGDGASMYTSISRPTSSPSPSPTPTYNNPNGSGGGARISGENHSMALVTVGLDTSGGEAVKRKRGRPRKYAPDLSVTPVARLPPAEAAAQGSGFSSPALSSGKKPRGRPPGSLNKQPAAASGSPGVGFMPHILDAKAGEDVLGKLMWFSQNSTRAVCILSANGAISNVTLQQSATSGGTVTYEGRFEILSLCGSFMVCESDGQRSRTGGLSVSLSGPDGRVLGGNVAGLFTAASPMIVGSFVPASQKQRKTEAEIVNTTPVNVGTTSGSSGGGIGSPLVHSNNSNPQGMANMPWR >cds-PLY84653.1 pep primary_assembly:Lsat_Salinas_v7:9:102135278:102137868:-1 gene:gene-LSAT_9X74380 transcript:rna-gnl|WGS:NBSK|LSAT_9X74380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKVKLLGFWGSPFTLRVKCALSIKEIEYEYVEEDLPNKSPMLLQYNPVYKKIPILVHNGKPIVESLVILEYIDETWKNHPLLPEDPFERARSRFWAKFVDDKCVPTIFQTFSSTSDLKDKAAKETRENLKTLESSLNPHKPFFGGEKLGFIDITVAWLGIWAQMVEKIVDVKLLDDEDTPLLNAWFRYVLDLLVIKDNIPPLDRLLAHNKDFHDQLIATNS >cds-PLY91384.1 pep primary_assembly:Lsat_Salinas_v7:8:46762105:46763701:1 gene:gene-LSAT_8X36281 transcript:rna-gnl|WGS:NBSK|LSAT_8X36281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAVMGHEGDGGGEPPHPFGGGFSDHQNDAVPSKRRGMTVNKKMHKLFNANGERPLKIKFDINTHMPIGEVYECFIREDVDVNAFLQNLAFVTTIGDIIHSFKNQVNNEENNDGEDEDT >cds-PLY92462.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:342194:345817:-1 gene:gene-LSAT_0X14781 transcript:rna-gnl|WGS:NBSK|LSAT_0X14781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDVLMGANRNGDVREVNRKYYDRDVCRLFLVGLCPHELFQLTKMDMGPCPKVHSFQLRKEYQEAKAKGQDNYDRELEDVIDRLIVECDRKIARALKRLSEEDAKAAIAISVSEVTQTPEIFELSKQIKEKLKEADQNDLEGKADMKIRALEMVEELRTQRADKQSMLLLDAFNKDRASLPQPLPNPPPLAPLPVATPDARTQELINEKLKKAEDLGEQGMVDEAQKALEEAEALKKLSMHLPPRQEPVTDSSKYTAADVRVTDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIREKLADLQPSNYLMCPHLVPKLFLDDQKNESGKWSGKEAEIEIVTEQVAESVHGTTIEGAATAIIMTVTVNVIVGMTVIGPVAMTRGVDIDPGHAQGNALGIMIVKGVMIDTSHEVKQMRKKVSLYWLVVF >cds-PLY94576.1 pep primary_assembly:Lsat_Salinas_v7:8:181829417:181831558:-1 gene:gene-LSAT_8X117920 transcript:rna-gnl|WGS:NBSK|LSAT_8X117920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNHVYMGHSFPLDRNFQSRKLQEFKSYFFQREHHVSLASSSSSPSPSPSSPATTGSGVFPVIAYGADPTGKTDSTDAILRAISDAVSGDGVGFLMNGINNVGGVQISLEGGIYKISRPIKFPVAGRGNILIHGGTLKASDNFTTDDYMIDLSSPSSSSSNSQYNYEFITLRDLMLDCNFKGNGIRTINNLRTSIDNCYIAHFTTTGILVQGGHETYIRNCFLGQHITAGGDPGERNFTGTGIDLRGNDNAVTDVVIFSAAVGISISGQANMITGVHCYNKANGFGGTGIYLKVPGITQTRIVNSYFDYTGIVAEDPVQLHVSDCFFLGDAFIVLKSVRGVVDGINIVNNMFSGSDKGIDIVQLDQTNREFTEIGHVVVDKNNVKGMSLKATIANGVTRVNGTSWSIDFNKILVFPRRIKFVQHTLMTDAGDSFPNTVLRNISENQVLIQSDATVEGSASVTVDQGLWMSG >cds-PLY97944.1 pep primary_assembly:Lsat_Salinas_v7:3:18251403:18254326:-1 gene:gene-LSAT_3X14041 transcript:rna-gnl|WGS:NBSK|LSAT_3X14041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHGIKLAVHLINIYFGDIVSKVCECLLRKGTLSLQQVLRFTELNKQNATNALLVLIQHNCVQAFSIEQQGGFGEPPKMVTQYMALHDNIIHHMRFPKFLDIVSKELGQECMEIFEGLLQHGRLSMNQIMDRHKGMHKALTSNENTTAADVLHENFSKLAEARYIERCPAHSPFLKTEKEDGAKKKTPKSKMADASQTLEARALAEASLMESIRFLVEADTDTNGAPDDNSKKKPITEAVGEKRKQPETGATNENKEILWRVNFEEFVRRLRHKCCVAHVTTRMDNEAGIVLSAIFDASRRNETIVKMEKTVPLSMDTIYEEAMKSEKGRSLTLERVRDSLVQFGCELPIIGIDETYSIDLKKIIDEAQVQEVESIVMKKYGREAYRIFRLLLQSERLCETDQISITTFVDKKDALKILFQLWKDDLLHMERLGNEGTKMESMYWKLNKVSVWEQVVDDMYHAALNLKLRLEYELHQSDVNGKGKAAGEEEMAKRVKLREKWAVLDSSLMILDDAIMLFRDF >cds-PLY75197.1 pep primary_assembly:Lsat_Salinas_v7:2:203648818:203651075:-1 gene:gene-LSAT_2X124481 transcript:rna-gnl|WGS:NBSK|LSAT_2X124481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLRAPATIFLRNGATVGSGDNFSGSKLSSSSVSPPSVIVCAAAKGGSSNNRPITGVVFEPFEEVKKELNLVPTVPQQSLARQKYADDSEAVINEQINVEYNVSYVYHAMYAYFDRDNVALKGLAKFFKESSEEEREHAEKFMEYQNKRGGKVKLQSILMPLSDFDHAEKGDALYAMELALSLEKLTNEKLLHVHAVANKNNDVQLADFVESEFLGEQVEAIKKISEYVAQLRRVGKGHGVWHFDQMLLNEGAAV >cds-PLY77432.1 pep primary_assembly:Lsat_Salinas_v7:9:108917622:108920819:1 gene:gene-LSAT_9X79200 transcript:rna-gnl|WGS:NBSK|LSAT_9X79200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWLPRSIANSLLIDGEDEDEDDRPTVANDYNNHLPQSQSVTEQEFNPNQDGSDPQTLTTGLKEDLSEFTESLARQLWGVASFLAPPPPPPPPPPPPPLPLRHSSRTTPKPDTSASIRRDSSDGDNISNSICEDSRFGGETGVSNSSIYPNDNKEDSHTDFVGVTDEVLAFAMNIAHHPETWLDFPLTEEEELDDFEMSDTQWNHASFVEHVVPRLAALRIELCPIHMSESYFWKVYFVLLHSRLNKHDACLLSTPQIVAARAMWMKELQIQMKSKPDFLEGNTSHTLNCHQDFSATSSDFVSTTVTAGKQGVVEKYETRGGGVPSVESFGDDEDDWIHENNSELDGYNNYGVDVPVGAHDDISFSDLEDDDCTLPIRSKVI >cds-PLY99435.1 pep primary_assembly:Lsat_Salinas_v7:1:213931252:213933256:1 gene:gene-LSAT_1X130640 transcript:rna-gnl|WGS:NBSK|LSAT_1X130640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLFRGFLTLLITLICTLQWSSLAFNSKKSYIVYLGGHNNGLEASEIKESHFEFLRSILGSEVSVDEDMIYSYDKHINGFSAILDEDAAAKLADHPDVVSVFPNKGIKLHTTRSWDFLKLERNGVIDSSSLWRKARFGKNIIIANLDTGVWPESQSFSDDGYGPIPSKWKGGCENQTSVACNNKLIGAKYFNKGYIALYGALSTSMNNARDHEGHGSHTLSTAGGNFVSGVSINGIGIGTAKGGSPKARVAAYKVCWPQTITGGECTEADILKGFDVAIEDGVDVLSVSLGGPPSDYFQDAIAIASFHAVAKGITVVFSAGNDGPAPGTVTNVAPWIITVGASTIDREFQAFVELRSGLRLKMATLNMEIQPIRTDPCHYGFR >cds-PLY61965.1 pep primary_assembly:Lsat_Salinas_v7:5:160795287:160800544:-1 gene:gene-LSAT_5X71601 transcript:rna-gnl|WGS:NBSK|LSAT_5X71601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFIRVQERFSHVLTPRIRASLEYIYLFIAITLFCILVVMHANYVQQPGCSSELTGVATTEAQLIQIKITSAGLWSQSESGYDSKNVVDKETESNDLKVAEQNVDKSNFEDAQFWSTWVSSSSRSGKSPLKFWKSDNELLESQSETSTNTENVEDTFRKPKKDESRSRFLMSAKESLKAAIFRVLRKWHGRLSFFWRHSTRIVGSIWDIAGIHLHIDIYKYLRILHLDKLNSLAVQWLETRSNAFEPTYLYTMEKGYFLLPEKAKAQHNIRTVNISISARDSCFGNRWQQLLINRLVGYDTILMNSLLNSPGQGYLYNYQTKEFYNLTYAHEQPEGSARFGDYFATKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHRLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWLCELFTLISVRTPISMKFFPRFFLLYFLVFHIYFFSYTHGFSYLALSTTAAFMQHLILYFWNRFEVPALQRFMQNRRSQFQQQPDFHITSSTILASTLHITRLNPLRNVTPNPPRGGQPAPPPIQTNGPPENPATQPPPPENQETQPPIPVADGGGNPGTMNSFSSMLLWILGGASSESLNSFLSMFRDMRDQGQGQGQTYADAPRQENRATQTSSQ >cds-PLY87809.1 pep primary_assembly:Lsat_Salinas_v7:4:293234842:293236576:1 gene:gene-LSAT_4X148880 transcript:rna-gnl|WGS:NBSK|LSAT_4X148880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHGDHASHETWMNVARHNMSHGDHASYQNTIDIVKEYNAQFNEKVIAIMLDTKGPKVRSGDVAKPILLHEGQEFNFTINRGVSTNNTVGVNYDGFINDVEPGDILLVDVIDGGELKSRRHLNVRGKSATLPSITVDFYVVSFVKDAEVVHELKDYLKRCNADIRVIVKIESADSIVNLPSILSASDGAMVACGDLGAELPIEEVPLLQEDIIRRCQKLQKPIIVATNMLESMIDHPTPIRAKVSDIAIAVRQGVDVVMLSGETAHGNFLLKDVKVMHTVTSRIESSLRVNSNSVSLLRHLAYKICTNR >cds-PLY99781.1 pep primary_assembly:Lsat_Salinas_v7:9:53150048:53153782:1 gene:gene-LSAT_0X7801 transcript:rna-gnl|WGS:NBSK|LSAT_0X7801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYNHFGVDLLLSSLFGCHFFSFQITLTGFMFLLISASLGYIYSPQLDSPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACALEALAFGSTAMCGRYTFWFWVISAVPFYGATWEHYFTNTLILPAVNGPTEGLMLIYVAHFFTAIVGAEWWAQDVGKSIPLLGWVPFIDGIPTYGAVLFCMIVFAVIPTLTFNVQNVYKVVCMRHGSMLKALAMLVPFGVLLAVVLLWDFMSPYDLIGSYPHMVVMGVGLAFGFLVGRMILAHLCDEPKGLKTSMSMSLFYLPLAIANALTAWLNEGIPLIDEKWVLLGFCLYTGALYLHFATSVIHEITTALGIYCFRITRKEA >cds-PLY73316.1 pep primary_assembly:Lsat_Salinas_v7:8:261112827:261117261:1 gene:gene-LSAT_8X152101 transcript:rna-gnl|WGS:NBSK|LSAT_8X152101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIALYRAHYDVELKAVEIDVALPDIKSHIVDHQFTCYKYPGNGYSGFEITYSDSTKNISIMFTAQHGSFFLSPLLMQLWNPMWNEISVTKMDGMSKELIIRGRLEAINFSIKSLKYIGEGNYSGEDTVRVTTMNKHGKHDLDIPVIVNPRNDPPFINVLEFIMLDNVTEDEGLMIFDRQRNNFNFSIGDLDHIYFPDTTEMVVRLVSTLTSPWPEEMPLSLLWK >cds-PLY77679.1 pep primary_assembly:Lsat_Salinas_v7:9:19553400:19555105:1 gene:gene-LSAT_9X14680 transcript:rna-gnl|WGS:NBSK|LSAT_9X14680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIVSDGSNERQSITWQSVATLQRSQEQIRSGQIRYVAEPMSMWYKSHCMRLQAIKAIPTFLFLSFFKVSQYHSTVFLPKDQPFLFLGENPNQSKARYSFYCSWYKSIIFTFRNQIKIKIKCFTMLLHLRFFFFLILLLHVPSISPHKKFPAILIFGDSTVDTGNNNYITTPIKANHYPYGKDFPGRIPTGRFSNGKLAVDLLASLLVLGVKQTIPPFLQPDIPANELRTGVCFASAGTGYDNLTADITRVLPLSQQLIYFKNYTQMLDKIFGKEEAERIINHALVSVSAGTNDFIFNFYDIPTRRTVFDINNYQEFIIQMLHNFIKELYKLGSRTLVITGLPPIGCLPIQITSKFHRRFGRTCVEEQNVEAQAYNKKLLQFLPRIQTSLKGSRIVYADVYNPLMDIINNPEKYGFRETMIGCCGTGLVEAGPICTPVTPLCQNSSEYLFFDSIHPSEKAYGYVTECIKKQILDKL >cds-PLY64463.1 pep primary_assembly:Lsat_Salinas_v7:3:14982924:14986240:-1 gene:gene-LSAT_3X10401 transcript:rna-gnl|WGS:NBSK|LSAT_3X10401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIGCVWETLACLTAAIMVLNSCTTAAGEVTTCSGIVPMVYRNDKISITDFGGIGDGRTLNTKAFNEAIYRIKHLRRRGGTLLYIPPGVYLTQSFNLTSRMTLYLAKGAVIKATQNTANWPLIAPLASYGRGRERPGGRYISFIHGEGLHDVIITGENGTIDGQGGVWWNMWRQRTLQFTRPNLIEFKDSRGIIISNVIFKNSPFWNIHPVYCSNVVIRHVTILAPPDSPNTDGIDPDSSSHVCIEDSYISTGDDLVAVKSGWDQYGIKYARPSNDITIRRLTGSSPFAGIAIGSETSGGVYNVLAEHITLKNMGIGIHLKTNIGRGGIIRNITVSDVYMVNVRKGIKISADVGDHPDKNYNPNALPVLKHVRIKNVWGKKVQQAGLIHGLKNSPFTDICLSNINLQGPIISTRNVPWKCSYVSGGAIHVNPSPCLELIRTRYKCR >cds-PLY99568.1 pep primary_assembly:Lsat_Salinas_v7:7:189734561:189735846:1 gene:gene-LSAT_7X112460 transcript:rna-gnl|WGS:NBSK|LSAT_7X112460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFQSGSRKQDNDDQNTLKDLGDVNDPKNRVNSDVNAKRRSMGDEVLDVNTHIYQEQYFASDDEDGTEDFDFPDNDTLNDGGFEIGESSNPNLGKVLNLLFNFSLSIRFYTC >cds-PLY95307.1 pep primary_assembly:Lsat_Salinas_v7:4:297788323:297790613:-1 gene:gene-LSAT_4X152541 transcript:rna-gnl|WGS:NBSK|LSAT_4X152541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQKSKILIIGATGYIGKFVAEASTKEGHPTFILTRESTIKHPEKSKLLNNFKTLGAKLVIGDLYHHESLVKAIKEVDVVISTVGGESVADQVKLIAAIKEAGNVKRFIPSEFGTDVDHVNAVEPAKSTFKGKAYIRRAVEAAGIPHTFIACNGFAGYFLPTVGQMDTYTAPREKITILGDGTPKVVFVKEEEIALTTIKTVDDPRTLDKALIFRPPGNTLSFNEIVSIWESKIGKTLERTYVSEEQLLKNIKEAPFGLSIVLSIMHSVLVNGSATNFEIEPSFGVEASELYPDIKYTTIDEYLTQIA >cds-PLY79464.1 pep primary_assembly:Lsat_Salinas_v7:9:4085160:4087237:-1 gene:gene-LSAT_9X5860 transcript:rna-gnl|WGS:NBSK|LSAT_9X5860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLFKKYEEYMQTQAEKKLPGVGFKNLKKILKRCRREMTHSQISLQSQSSLLLNDSADNTHAYCLHPCPVCDGSFFPSLMKEMSVVVGCFNERAQKVLDVHLATGFHKYFMWCKGKLHGQGNGNHHSLIQEGKDLVGYALINAIAMRKILKKYDKIHDSKQGQAFRSQVQSMHMELLQSPWLCELIAFHINLREITKADMRRKGSEILLEGCSLVFNDGKPSLSCELSDTVKLEIDLTCSICLATEPTAKCPLCRQAGVYKGSLHLDELNILLSRRCPEYWEERLQSERAERIRQTKEHWESQSRAFLGI >cds-PLY82201.1 pep primary_assembly:Lsat_Salinas_v7:1:13808309:13810355:1 gene:gene-LSAT_1X11401 transcript:rna-gnl|WGS:NBSK|LSAT_1X11401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPTHHPKPTTTTTTTTHPPDPTVTTVQNISNHFSRLYLNHKSRSSIRTSTQPPPVDTHLQAISTVSDGSTTASLTKSHSQKTRISQKRGKPHYYYYYHSDKPVKEQPVIDEEQEDNIKRAIVVSNKIPTKKAEDYVNLKSPNQEKSGDEVKKLQQGYDITKQSLSSIGMGKGRRRSFGSSSQAELADFFACNGVKVVSADMPPYMQIHVVDVTRKTYDSLEKFTAKTLALTLKKEFDGVYGPAWHCIVGSSFGSFVTHSVGGFLYFSMDQKLYVLLFKTAVQRAH >cds-PLY82745.1 pep primary_assembly:Lsat_Salinas_v7:2:145832596:145834462:1 gene:gene-LSAT_2X71900 transcript:rna-gnl|WGS:NBSK|LSAT_2X71900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIGHNNLNAKLVLLGDMGAGKSSLVLRFVKGQFLEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITSADSFTRAKKWVQELQKQGNPNMVMALAGNKADLEDKRKVTAEEARVYAEENGLFFMETSAKTAANVNDVFHEIAKRLPRAQPTQNPAGMVLVDRPAEGARAASCCS >cds-PLY64415.1 pep primary_assembly:Lsat_Salinas_v7:7:83088958:83089176:1 gene:gene-LSAT_7X59621 transcript:rna-gnl|WGS:NBSK|LSAT_7X59621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVALKAKGKSSKGSKPSPDNSPSKLLKEWSTWTMKKAKVITHYGFIPLVIIIGMNSEPKPSISQLLSPV >cds-PLY76662.1 pep primary_assembly:Lsat_Salinas_v7:4:116883306:116884207:1 gene:gene-LSAT_4X74100 transcript:rna-gnl|WGS:NBSK|LSAT_4X74100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGISKAFVASFLLSLFLLQLVQAFQPNQLDDTSNDNYPSTKIDCEGACAARCKLSKRPNLCNRSCGSCCGRCNCVPPGTSGNYESCACYANLTTRGNKKKCP >cds-PLY65259.1 pep primary_assembly:Lsat_Salinas_v7:8:231298564:231299034:1 gene:gene-LSAT_8X139380 transcript:rna-gnl|WGS:NBSK|LSAT_8X139380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYADVQMFMEKLKQLMYSNDIPVINNPSILCETPQFQLLYEELGSMIQTLFNNEHQDLHNFEKVRKLKKRFQDAAEEAEDIVDIFLSAVHCKNNEYFPISDVFQTSLHLEFVMRSIQLTKIEFITTSIDNMKMDSSQRPDTLQMQSAGTSRTRNSS >cds-PLY64549.1 pep primary_assembly:Lsat_Salinas_v7:6:37565462:37572551:1 gene:gene-LSAT_6X27040 transcript:rna-gnl|WGS:NBSK|LSAT_6X27040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNKEGEGSSSHQIYPADGVYAPATPAKPSRSENTISKDWLQTPFDEALFEDSQANKNFSCWENNLGQNFEINGGICDNGNNIRFSIDDVEPWHSIPCIDLLALADANGSAKLHNFLSDLNAPPPETVVTEPVAEVIIAQSQEAEISTIVESNQEKGLSEQINLNETPQQPKQRRRKHRPKVIKERKPRSAKKPATPKPDGSSTGKRKYVRRKPLEKSTPKSTPTKTKTKTPVVEGIIDLTKDEEEEKTEKSCKRKINFDEVDLTVEKTCSTSTIPITPSKTETQQGRSRSINDVNGNGNQSYKTAKCRINFLQETHEKGVITNVSSPNESNCSTSAQGSKQQIENGNGIGVSPFPDSLEAYLSVYTDYNNNSSNNNNKPESLPVIHKKKRIRKRKTSMISVWENGIKVSYDQQSYRYMQASTGFKGEAIYEAEIQVSKATKKRAKKTTTTMVVASSYQDQISFTNNLGCQPNLRWNFDELVKQFERMDINGLMEEKNRVAIVPYLSRNNEKNVDVNVNVNAIVPFEGHGSVVPYDGLFNPIRRRKPRPKVDLDDETSRVWRLLLEDINSQGINGTDEDKAKWWEEERRVFSGRADSFIARMHLVQGDRRFSRWKGSVLDSVIGVFLTQNVSDHLSSSAFMSLVSRYPSKSKSSSEPLHEENSVVSVEEPLDEEETMMLKDNEKREVVYSNEVSKNVAYTVDFNENSECGVEDIISSQNSTDTSPSSVQSSIAHSQSQSQTTEEQQKSRCQATEEHQKDRCQATGLNEYTSFVELLHMQGSSTSPCCNGSENTLLPKECGSEESGLSAESASQARGKTVETISSQESHKTNEVRQVFDKVVEFNSGGSSQTVDKGRSVIDKVRAGKKQVKVEWDKLRLQAEVKEKKERTPYTMDSLDYEALMNADVSDIADAIKERGMNNVLATRIKALLDRIVQDHGSVDLEWLRDVPPDKAKEYLLSFRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLETIQQYLWPRLCKLDQKTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLGLPAPEGSVASITENKTGQKLIGMSDQPNEQLQQLSELQNCNQPNEEHQKLSEVQNCNPVVEESSTPGTIIEAPSTSGPIVEVPLSPEPIIEVPTTPEPEQIQQELDIEDFCEEDGEEIPMIKLNMEEFTQNLQTYMERHMELGEGDLSKALVALTSEAAAIPVPKLKNVSQLRTEHQVYELPDSHPLLEGLDTREPDDPCSYLLAIWTPGETADSIQPPAGQCSSQESGTLCNEETCFFCNSTREANNQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIDVPRSWLWNLPRRTVYFGTSIPTIFKGLTTEDIQYCFWRGFVCVRGFDQKTRAPRPLMARLHFPASKRAKTKTDANANAN >cds-PLY99355.1 pep primary_assembly:Lsat_Salinas_v7:1:81250123:81251739:1 gene:gene-LSAT_1X67281 transcript:rna-gnl|WGS:NBSK|LSAT_1X67281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDVKAEPIAAAAVWPTVNPSVNGGASGTPTTCVFQSGDTIKFSSGVHQVPIIENHEALQNTFEKNARGDKWDFEEDMALMSAWCVANELQFHGKNQKKTSLWAQVKKLYNEAQSENPKKLGLRNDNQMRGRWKRLNENAIKWIESYRKADKQKTSEMSLKDIENEAHKIYATCGSTYNDIIVFNEVMCKYPKWELLNESDTPSHEDDESGDSTKRIRTNEEGDYCFLSNTETPNSGGSTINREYKKKEKCSLFHNECVMDLHAIRITRESEVEIMRKRLDLDQRKEERKVKKEERKSKKVYHMHLNTLLAKEHLSPKDKDMKHHLLSMLYGK >cds-PLY91568.1 pep primary_assembly:Lsat_Salinas_v7:1:11209639:11210937:-1 gene:gene-LSAT_1X9401 transcript:rna-gnl|WGS:NBSK|LSAT_1X9401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKEPIFPDNFTYPFVIRSCGGLSDIYLAKQVHGHVLQSGVQSNIVIENSILDMYIKCDGITDGHKVFDEMTDKDVISWNSLIYGHIKLGQMKRARELFEGMTEKSIASWTAMISGYTRIGSHEDALHIFRMMQLTGVKPDWISLLSVLPACTQLGALELGKWIHFYAEKNCFLEKISVCNALIEMYSKSGNINQARQVFDKMLQRDVISWSTMIGGLANHGKAPEAIKLFQDMQKTTIKPNKITFVGLLSACAHAGFLEIGLKYFDSMENDYNLNPGIEHYGCLVDLLGRTGCLDRAFELVNKMPMKPDSVIWGSLLSSCRTKGNLELAVIAMEHLLELEPEDIGNYVMLSNIYAGLGRWDGVSRVRKLIRGKIISKRTPGCSSIQVDNVVQEFVSCDDSKPFSGEVYQMLDLLALHNETTDFCKVLQI >cds-PLY73004.1 pep primary_assembly:Lsat_Salinas_v7:9:35781449:35781694:1 gene:gene-LSAT_9X33561 transcript:rna-gnl|WGS:NBSK|LSAT_9X33561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEGEEEESELMRVVRVTKTKLQGEEMESWIEEIIDSRLGGVFSRKQAAKLVEIGVSCVDEDRNKRPTMDSVVQVLIDCEP >cds-PLY91882.1 pep primary_assembly:Lsat_Salinas_v7:8:196799373:196799942:1 gene:gene-LSAT_8X126540 transcript:rna-gnl|WGS:NBSK|LSAT_8X126540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLVCLPSLIADARSFRKLKSLWTSKYKSDVPKGHLAVYVGEIQKRRFVVPISYLYEPLFQEFLSYSEDEFGFDHPMGGLTISCHEDAFVELTAALYAS >cds-PLY83809.1 pep primary_assembly:Lsat_Salinas_v7:3:53027472:53028828:1 gene:gene-LSAT_3X41501 transcript:rna-gnl|WGS:NBSK|LSAT_3X41501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWTNKDPTNFIPRGFPFSTPRWKKNRTFSSNLYSSPPCDTRQQLQPASERKWKQPQSENNFNFSNATHKVPAGDSPYSRAKHVQVVDKNPSKAVSLFWAAINSGDRVDSALKDMTTTMKKLDRSNEAIEAIKSFRHLCPLEEQESLDNIMLDLYKSSGRIEEQRQLLELRLKQIEDASMQKMNRTRIARFQGKKIQITMGQEYSRLLGNLAWIYLQQDKYKVAEEIYRKALSMEQDKNKQCNLAICLMYMNQMTEAKFLLNSVEDSTRGERQMDESCAKSYDRAIQVMLELESCKRHEHDAHFLRFLVETNQQTP >cds-PLY84007.1 pep primary_assembly:Lsat_Salinas_v7:8:35479094:35481923:-1 gene:gene-LSAT_8X28320 transcript:rna-gnl|WGS:NBSK|LSAT_8X28320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGKRASTTAGKPIKCRAAIARKAGEPLVIEEVIVAPPNHHEVRIKIICTSLCHTDVTFWKLEHPPAIFPIILGHEAVGVVESVGEGVHEVVEGDTVIPIFLADCGECKDCLSAKSNLCTNFPPRPSHGMQRDETSRFTDINGDTLYHFLNVSSFTEYTVIDIAHVTKINPTIPANRACLLSCGVSTGVGAAWKTAKVEAGTTVAIFGLGAIGLAVAEGARLCGAKRIIGIDVNQDKCEIGKKFGVTDFVNSSNIGDKTLSQIIIEMTDGGADYCFECVGLTSLVNEAYASCRKGWGKTVMVGIDHPGAMLTLSSFEILYSGKSLMGSFFGGLKPKSDIHLLEKLYLDKELKLDEFVTHEVNFDDINKAFDLLLEGKSLRCVIYMNK >cds-PLY91018.1 pep primary_assembly:Lsat_Salinas_v7:7:178474723:178475386:1 gene:gene-LSAT_7X105600 transcript:rna-gnl|WGS:NBSK|LSAT_7X105600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRYSFTLFHFSVPLSPSPVRDVLDNLVLISTIPSLPINKEEEVGGFPVRKSSPVLSESGLRNRGRGSLTSLTSQSSVIVPINPTNSNIISTNNALGVGQASEMAKRPMLGTDERMVQQHPPVSSLSSRMMLPQSGVKSTDGDNGNGGEGGGVGTRVFSPSGVPGIQWIPGSSFQTQHEGGQFRGRTEIAPDQREKFL >cds-PLY87528.1 pep primary_assembly:Lsat_Salinas_v7:8:95576508:95576744:1 gene:gene-LSAT_8X67321 transcript:rna-gnl|WGS:NBSK|LSAT_8X67321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEYRSKWMKHRWSTGQAMIDEAGMDRRWRGGALVDGSPPQMGQRRRKLASPEWSSIDDGRRQGNGDEFEAKNDSG >cds-PLY98258.1 pep primary_assembly:Lsat_Salinas_v7:7:170842190:170842633:1 gene:gene-LSAT_7X100441 transcript:rna-gnl|WGS:NBSK|LSAT_7X100441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNATRLLFFCLRKGCEGKAMVVPMEQGSSNNSVDRIVGGSCSMKKVWDARGLLLVVEVGNRAAAPDVCLRVFFFFFFFFDSNQQNLGGFLVLILTGERTRAEYHRMGSGVWFDGGDYVGHRREAFDLWRPSLRFLSSFSPIGSNTIR >cds-PLY96799.1 pep primary_assembly:Lsat_Salinas_v7:2:172069044:172071781:1 gene:gene-LSAT_2X94561 transcript:rna-gnl|WGS:NBSK|LSAT_2X94561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAPCTILNLFFHQIFAKYRDSFDPPYYNQQTPLSIQNFLILEKERMERNGYGMLAENPIAATKEGGDFTAAPAEVSRRRKPVMKKDKCFIKKKRVSDSDDAYVPPPLPPNITERGRLAFLFYKKLHKSDVNSIGRIVLPKKPAEAHLPVLLKNDSVILQMNDLNTGDEWSFKFRYWPNNRSRMYVLEGTGSFTKKHGLEADDYILLYQDLVDQNYLIRAIRNGYEEEYEKEATEVEGNKAIEKDDANLMNQAVAGGLEFNYNLPNTDQPTNFPNMDGYGGDGGWSLMNDDCDIYFNEIINSFSSSWDDEFMYPPPIQQITSFENLSSEDLSQV >cds-PLY64931.1 pep primary_assembly:Lsat_Salinas_v7:8:133779318:133786099:1 gene:gene-LSAT_8X92740 transcript:rna-gnl|WGS:NBSK|LSAT_8X92740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAMDSPGKIHRIELENFKSYKGHQVIGPFYDFTAIIGPNGSGKSNLMDAISFVLGVRTGQLRGAQLKDLIYAFEDRDKEQRGRKAFVKLVYRLGNGTELLFTRTITSAGGSEYRIDDRVVNWDDYNAKLKSLGILVKARNFLVFQGDVESVASKNPRELTVLLEQISGSDEDKRLYEDLEEKKGAAEEKSTLAYQKKRTIVMERKQKKEQKEEAEKHMRLQEELRSLKKEHFLWQLFNIENDVEKANEEIENEQSSLQEIINELDGYENESRKKEKEQAKYRKEIEKREKKLVEKKNKIDKNQPELLKLKEEKTRLTAKLKNTGKELDKRKEEKKKHTVEIEKLRNDLDDLTKQLDNLQSKGQSEGGKLHLGDDQLEAYNRIKEEAGMKTTKLRDEKEVQDREQHADVEAQKNLEENLQQLESRKQELESQQKQMETRLKKIREAIDKHNDELKRLRKEQTDTTKKLGDSKKKYENLKAKITELENQLRELKADKHENDRDTKLSQAVEALRRLFPGVHGRMTELCRPTQKKYNLAVTVAMGRFMDAVVVDNEHTGKECIKYLKDQRLPPMTFIPLHSVRVKPVIEKLRALVIDKAILFAVGNTLVCDDLDEAKHLSWTGERYKVVTVDGILLTKAGTMTGGTSGGMEARSHKWDDKKIEGLKKKKDGFEAELQELGSIREMQLKESEASGKISGLEKKIQYAEIEKKNTEEKLAKLTAEYSNIKNEIGYIEPELNKIRENITTRRRKILSLEKRINEIVDKIYKRFSESVGVDNIREYEENLLAAAQELAEERLSLRNQQSKLKYQLEYEKKRDMGARIAKLESSQTELKNSLVEVDEREKQLKSMIEKATEEIDVLKEEIQEWKSQSEACEKEMKEWKVKISAATTNITKHKNKIDSKENQLEQLSSKKQDILEKCELEQITLPTVADAMDVDSETGPVYDFSQLSRPHQHNAKPAEREKLEAEFKQKIGSIVSEIDRTAPNLKALDQYAALQEKEKAASKEFDEAREEEKLAGREFETVRTRRLTRFMEAFNHISGNIDKIYKQLTKSSTHPLGGTAYLNLDNEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFSIHSYKPSPFFILDEVDAALDNLNVAKVAGFIRSKSCEGERAANQETLEGNGFQSIVISLKDTFYDKAEALVGVYRDSERACSRTLTFDLTKYREA >cds-PLY67892.1 pep primary_assembly:Lsat_Salinas_v7:1:54894666:54896216:1 gene:gene-LSAT_1X49081 transcript:rna-gnl|WGS:NBSK|LSAT_1X49081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNGGTVRDAAARRRRIAERGAERLALITGRAQSTSLSSSPLPPSVVESHQSSTAPCPPSIPRNPDQFPLLDEHNDSPSITKPAIDDVKNDVQITKTSVEASSAPIKTQSFPNPTTITEQPPSISTSNNPHKSKSQTNLGQTLTPNRLRPAITSSQNTRTYCSIIAALLVLLSYAGFPILGNNSIKYIILSRPLVLLLLTNITLVVAPVLLEKVNREGHRGSLTGEVGGFGDQLGMALEWGMLMKSGLSALFIDCSVYSVVVVCGMSFLKKFGW >cds-PLY87101.1 pep primary_assembly:Lsat_Salinas_v7:5:256687231:256687440:-1 gene:gene-LSAT_5X131141 transcript:rna-gnl|WGS:NBSK|LSAT_5X131141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGVAEGLWELVDLHENNGEIMKSVKRIETIYQNTVSFLPVIEFKTRLRIATMLLKHSHNVNYAKSHLE >cds-PLY85661.1 pep primary_assembly:Lsat_Salinas_v7:6:84660989:84670514:1 gene:gene-LSAT_6X59140 transcript:rna-gnl|WGS:NBSK|LSAT_6X59140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPENRNVITFYKPLTLIVGANGAGKTTIIECLKLSCTGELPPNARSGQSFIHDPKVAGETETKAQIKLRFKTAAGKDVVCIRSFQLTQKASKMEYKAIESVLQTINPNTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDSSTLKKKFDDIFSATRYTKALEIIKKLHKDQAQEIKLSQKDLEKLQILKDAALKLRQCITQDHEESERLGSKMQDLDRHIQDVDAKIRQTEAILKEKRKLDDQVATKTAERSALFKEQQQRFEDLAEENDDPDEDLRKWNEQFNARIAVLQDKIKKSNRDIKDIETKSNISQQQINKYNGEVGKLKKEAEIYESLKKERDSTIQELYRQHNLGSLPKTPFSNDVASNHISRLNSRLKDLERNLDEKKKSMDEELKVAWNLYGDSSDRCKEVEAEKRAKLEIQRGTMARKKEKENERDKLERDISDDKMRLIDQKEKSLSIEVERLSKQLTEGDFETKIQRKAAEVQSLAIAVKKLVDEKDEMAADAEERFSLSIKKRELESHKKKHKKILDEHKNKIKGVLKGRLPLDKDLKKEVSQALRALTTEYDDLNSKSREADKDVSAMQLKIQDVSNNLAKLHKDKDSRRRVIESKLQTLDHNSANIESYVKVLDVAKEKRDVHKSKYNIADGMRQMFDPFERVARAHHICPCCERPFSAQEEDDFVKKQRVKAASSADRMRSLAVESSEAESNFQQLEKLRLMYEEYNKICKESIPLAERNLVELKEELDQKTQALDDVLGVLAQISSDKGLVESLMQPVDTCDRILGEIQQLQQEVNDLEERLDLCGVGVKSKEEMQREIRTAELTKENSERELEKLREERRDLELNFQRVSSRWHLEREQKTKSEMALKNLVKLEEELEQIAEESNQLDIEMKHLQESVGPLSKEMEKYRSAHDNKKKKLSQEYEEEAELKRKFHQGVDMLDKLISKIEWQVPYEKENILDKLKDLEEKLCVENSKLENYKIRNQEISAEVSKTENLVLNQDNLRRNIEDNLRYREVKAKVDACTLEIESLEERILGISASEAHLGKLTKERERLLSELNKCHGTLDVYKKAISKNKVDLKNPEYKEIDKRHFDQLLKLKTTEMANKDLNKYYNALDKALMRFHAMKMEEINKIIRELWQQTYRGQDIDYISIHSDAEGGATRSYSYKVVMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDVPNAESLAAALVRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAEKYYRVAKDDHQHSIIEAQEIFD >cds-PLY70311.1 pep primary_assembly:Lsat_Salinas_v7:4:98896022:98896517:1 gene:gene-LSAT_4X63960 transcript:rna-gnl|WGS:NBSK|LSAT_4X63960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPQDKVKTEAILNRCYLQRLVPKWRLELELLLKNGVKFEIEALSVHSLSFLSKEYLPSKIQGLLSESPVQL >cds-PLY61717.1 pep primary_assembly:Lsat_Salinas_v7:5:215031186:215036609:-1 gene:gene-LSAT_5X98660 transcript:rna-gnl|WGS:NBSK|LSAT_5X98660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMFCTHVASNFHQWHLKPTHLCKPSVITVAHFSYLISRPTAVNLSDVATKLKKIVTNSVATATDANQVFLAYIEAAEVMLEDDVASNKAISLATFGFGTALGVIRALHVDEVLERAYCTETRPFNQGSRLTAYELVHDKIPATLIANSAAAALMKTRSIHYVIVGAYRVTANGDTANKIGTYSLALSAKHHGVQFYVAAPLTSVDLSLSSGNEIVIEERLPKELLNTCGGMGEQVATSEICIWNPAFDVTPTNLISGIITEKLLVREDALAEFKTNLLQQNLKIIQSLLLLQLPGVQEKLKKQIKEVELAQSKEVSLHWPGMAESVKVIGGIYVAFSHLGEIRTLGMLHSRFKWVTSAFCEHLVPMQKEEPKRYHGDYHTRCYE >cds-PLY90991.1 pep primary_assembly:Lsat_Salinas_v7:8:254293660:254294938:-1 gene:gene-LSAT_8X148600 transcript:rna-gnl|WGS:NBSK|LSAT_8X148600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSTSSPFESSSSSSSSSMENFSNLPIMSLWSKIVDNISILNNTDGLSLGVMNLAIVIPQVLNTTYQLLILDRCAYRLQSIIRSGFEETTDPPVCLRNEFKKPRISSKNISISEKRQKHE >cds-PLY71239.1 pep primary_assembly:Lsat_Salinas_v7:6:18603486:18605632:1 gene:gene-LSAT_6X13861 transcript:rna-gnl|WGS:NBSK|LSAT_6X13861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPKESVGMTFDLPQEVLEVLPSDPFEQLDFARKITSIALFTRISALESESSVLRDELMDRELVIEDLQTQMDSLNSSLSDVLDRLSRADQEKENLLNENASLSEQVKKLNRDVAKLQSFRKTLMMSLQDEEGSSARNTPIVAPDNSSLSSQSFAGEDEETETEPPSNASQFNEPVTDASSRAHISPGMFLVSQTSTPRLTPPGSPPSLSASGSPTRRQSISFSTTRSMSDDHRSSVFSSTTSTPYTSMTGRTRVDGKEFFRQVRGRLSYEQFGAFLANVKELNSHKQTKEDTLRKADDIFGPENKDLYAIFEGLISRNIH >cds-PLY90715.1 pep primary_assembly:Lsat_Salinas_v7:8:143197143:143198788:1 gene:gene-LSAT_8X96680 transcript:rna-gnl|WGS:NBSK|LSAT_8X96680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIENTAGYMIPVLPSNDLSYPFGQQGLDLSDSELRETAYEIFVGACRSSGGGRPLTYVSQSSGRSSDKASSLPSLQRSLTLTAASKVKKALGIKHKKKNNSSESNASSTENRPATIGELMRVQMRISEQIDSRVRRALLRIAAGQLGRRIESIVLPIELLQQFKSSDFPNQREYEVWQKRKLKVLEAGLLLHPKLPLDRKDPSAQKLRQIIRAAHDRPIETGKYSEAFQTLRTITMELASRSSDDYTPDTCHWADGSPLNLRLYQILLEALFDVEEPTSMIEEVDEVLELVKKTWGILGIDEKLHNLCFSWVLFNHYVSTGQVENDFLFAADNLLLEIKKDAKSTLDSVYTKTLCSTLNSMLEWAERGLLGYHESFYRGNIDLMQSILSLALSAATILAEENPRENGGMKVIDVANAKVDIYIRSSMRKAFNQASFDLLH >cds-PLY88479.1 pep primary_assembly:Lsat_Salinas_v7:1:150627441:150627929:1 gene:gene-LSAT_1X106201 transcript:rna-gnl|WGS:NBSK|LSAT_1X106201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTFESLLTIIFFTLLVQGHGQCQLKDLIVLTSKTPRQIQDVQEWQVMFVNNCKCNLKDIIVSCKGFQSLEDVDPNVFAPIGNDKFIVNGGLPIEPFASIMFLYADPQQFVFESVSHDMVCVST >cds-PLY81102.1 pep primary_assembly:Lsat_Salinas_v7:9:67115157:67115832:1 gene:gene-LSAT_9X57841 transcript:rna-gnl|WGS:NBSK|LSAT_9X57841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHVSCETVINRFFRFPKLLDIDAKNRIKIHRGSRTTKLIYVSLLEIIDKINTSIFSPDTTYVALLVFKTTSKAYGFEYQLVDVCIGFNGDRSQTRMVYLDPEVGWRRGLRSRRGIRMFSKVGFSSWDVPFPSKENGPKQRDDGWFAIEIEEHFNGGGDAVELELRVEKVNGGSWKTGLVIQGIEFRLKKLQVRIKN >cds-PLY62881.1 pep primary_assembly:Lsat_Salinas_v7:9:130404247:130405708:-1 gene:gene-LSAT_9X84700 transcript:rna-gnl|WGS:NBSK|LSAT_9X84700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVFSHNKTPLFFLVLFASLITLALGQTRVGFYQTSCPRVEAIVQSAVAAANRANPGVPPGLVRMFFHDCFVNGCDASILINGAGSERTAPPNTLLRGYEIIDAAKTELERVCPGVVSCADILAIAARDSVLLAGGIARWQVPTGRRDGLVSRAADTTNLPAFNDPVNVQIRKFAEKGLNTQDLVTLSGAHTLGTGACLVFSYRLYNFNNTNSPDPSIDPAFLTTLRNLCPNGGDSRRRVALDTGSENRFDTSYFANLRSGRGVLESDQVLWGNPTTRTLAQRFLGVSGLVGLTFNVEFARSMVRMGNVEVKTGTQGEIRRVCSAFN >cds-PLY97340.1 pep primary_assembly:Lsat_Salinas_v7:4:286070991:286072649:-1 gene:gene-LSAT_4X145621 transcript:rna-gnl|WGS:NBSK|LSAT_4X145621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGATEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIVLVGTKLDLRDDKQFFVDHPGATPITNAQNVKGVFDAAIKVVLAPPKSKKKKKAGQKACSIL >cds-PLY77420.1 pep primary_assembly:Lsat_Salinas_v7:3:147252123:147254604:1 gene:gene-LSAT_3X96981 transcript:rna-gnl|WGS:NBSK|LSAT_3X96981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor BIM3 [Source:Projected from Arabidopsis thaliana (AT5G38860) UniProtKB/Swiss-Prot;Acc:Q9FMB6] MVRTVKNHHHQEEEEDEEDFISRTTDASSQKDGRRNDQKASSHRSKHSETEQRRRSKINERFQILRDLIPQNDQKRDRASFLLEVIQYIQFLQEKLQVYEGTFHGWSPEPTKLMPWRGNSVTDTFTDQSQPIKNGSLHEGNIVFSPPMLSNTQSLIEHELSHSSAARAAALDIPLQQNTYLPEPDPDPARAARIPHEYWDDRSLMNEDATPNELQELKMQSEGDRDRDRISDAYSKGLLNNLTQALGSCGVDLSQANISVQFDIRKGTSSGSTSTSFGIKEHDFLSDGNQGMEHCGIRRRDDDVVYDQVLKKPRTQQNQ >cds-PLY62872.1 pep primary_assembly:Lsat_Salinas_v7:9:131543206:131546434:1 gene:gene-LSAT_9X85500 transcript:rna-gnl|WGS:NBSK|LSAT_9X85500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVIQESRYDGEVDAWTLGVSAIEMAEFQKINLQQVLFIISIEPAPMLEDKEKWSLVFHDFIAKFLTKDPRIRPTTSELLKAKINRVAMALEAAHVASETTILGYGTLGAKMNEEYGDTVPSKPHQVPKGVPLMGSSVKPDVLEEEREGGHGLSVVVWETSDITKVGLSKNTIEDLPVELSSCASLETFVLSRNKIKMWPSAILKSLQHLVCLKLDNIPLKQIPSGGFEVASKLHILDLSGNADCLPEYTAFSSLLQLQGAISEALMYPLLVVCKSICNLRMAAAQEVVDKVRQHSGVLVDRDFRVVFDQELGLILKLGDMMIGWIHEGFQSFFRQLNDELMKHEGASL >cds-PLY89404.1 pep primary_assembly:Lsat_Salinas_v7:4:122110772:122115907:-1 gene:gene-LSAT_4X77061 transcript:rna-gnl|WGS:NBSK|LSAT_4X77061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASASQKTSHSSGDSLPIRRQIVPASASASAAADVFVVQDWNNDDQPLPIKNSVISPSDPSANASTKGIQVLTRAQTSHPLDPLSAAEIKVAVATVRAAGATPEVRDGMRFVEVVLSEPDKNVVALADAYFFPPFQPSLLPRSKGGAVIPTKLPPRRARLVVYNQKSNETSVWIVELSEVHATTRGGHHRGKVIASQVVLDVQPPMDAVEYADCEAVVKDYPPFREAMKKRGIEDMDLVMVDAWCVGYHSEADSPSQRLAKPLIFCRTESDSPMENGYARPVEGIYVLVDMQNMVVLEFEDRKLVPLPPADPLRNYTPGHTRGGVDRSDVKPLQILQPDGPSFRVNGHYVEWQKWNFRIGFTPREGLVIHSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFIGGVETIENCVCMHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALQPGEVRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAYNQVVEVDVKVEEPGKDNVHNNAFYTQETLLKSESQAMRDCNPLSARHWIVRNTRTVNRTGQLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTPYACGEDFPGGEFPNQNPRVGEGLASWVQQNRSLEETDIVLWYVFGITHVPRLEDWPVMPVERIGFMLQPHGFFNCSPAVDVPPSPGACESDVKESHVKETIATKSVSNGLIAKL >cds-PLY91160.1 pep primary_assembly:Lsat_Salinas_v7:4:163583992:163586824:-1 gene:gene-LSAT_4X97661 transcript:rna-gnl|WGS:NBSK|LSAT_4X97661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTIIASFNCRVLDFKELHEDNHEDHTKLHRLQIENNKAMKNPSAISFAHQVFVLIPHRYRLLSLLVDEFFCGFSPPPLSHNPSVMVSKERWEKLEGTFVLPEKPDRVVLYLEGPAPGVNILIESLVVTCATSHDSTKCVL >cds-PLY96438.1 pep primary_assembly:Lsat_Salinas_v7:4:342792820:342793282:-1 gene:gene-LSAT_4X169361 transcript:rna-gnl|WGS:NBSK|LSAT_4X169361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACSFFDSSSKVCEGVGYERCYGFAVDTTRRKRRRASWWSENTPSMEEKEMFLGSNRSRRRRTAEVSLQAFVCSMFNRNQWRKKRCLGLMFDRRKNEEISDCLDLL >cds-PLY62357.1 pep primary_assembly:Lsat_Salinas_v7:8:113488739:113496806:-1 gene:gene-LSAT_8X76220 transcript:rna-gnl|WGS:NBSK|LSAT_8X76220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQYNLELGHAANNGLGIAFSLLEPIKEQFPIISYGDFYQLAGDVVEVIGGPDVPFHPGRKVEMDMANCGCGGRWSNNGGGGRRSDGGGGGRYSSAFLRKKKKM >cds-PLY98540.1 pep primary_assembly:Lsat_Salinas_v7:1:36041132:36046422:-1 gene:gene-LSAT_1X30481 transcript:rna-gnl|WGS:NBSK|LSAT_1X30481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTDDGRTGLPENYNRHDHYHSDTEVVAVDRKAFSGPLSGPLNKRGHRKSPRFNIPDGAPGSSSGSVKSSNDDYVEITLDVRDDSVALHSLKTADGADVEDPELTLLAKGLEKRSSFGSSVVRNASSRIRQVSEELKRLTSFSKRQPASRLERTKSAATYALKGLKFISKNDGGAAWIALEKRFDDLTKPTNGLLPRSLFWECIGMNQDSKEFAGELFDALVRRRNITGDAINKEQLKDFWDQISDQSFDSRLQTFFDMVDKDADGRITEDEVREIISLSASANKLSNIQKQADEYAALIMEELDPDNLGYIMIENLEMLLLQAPQHNVRGESRNLSQMLSQKLKTTHSGNPIRRWYEDFRYFLMDNWQRCWVIVLWTAVMVALFTWKYIQYKDRAVYKVLGPCVCIAKGAAETLKLNMALILLPVCRNTITWLRNKTKLGVVVPFDDNLNFHKVIGVAISIGVGLHAISHLACDFPRLIHATEEEYKPMEQFFGKQAKNYWHFVKEPEGYTGIIMVVLMAIAFTLATPWLRRGKLNLPSFLKKLTGFNAFWYSHHLFVIVYTLLIVHGFKLYLTKKTSKKTTWMYLAVPIFLYACERLIRAFRSSVKPVKILKVAVYPGNVLAIHMSKPQGFKYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLKVVFSEVCQPPRNGKSGLLRADCQGENPNFPRVLIDGPYGAPAQDYKKYDVVLLVGLGIGATPMISIVKDIVNNMKAKEEEESALERGSSDTGKLQKNKSGPTSANNFKTTRAYFYWVTREQGSFDWFKGVMNEAAEMDKNGVIEMHNYCTSVYEEGDARSALITMLQSLNHAKNGVDVVSGTRVKSHFAKPNWRNVYKRIALNHTGSRIGVFYCGAPAPTKELKQLALDFSHKTSTKFDFHKENF >cds-PLY85467.1 pep primary_assembly:Lsat_Salinas_v7:3:43078253:43080846:-1 gene:gene-LSAT_3X33481 transcript:rna-gnl|WGS:NBSK|LSAT_3X33481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKELLCRKCPDDAPAPPVYGFDDPEEENPDEMVATVRVLRQKLPIQDRLMKMKIVKNCFSSSEMVEALIHQFDCGRKKAVEIGKQLARRHFLHHVFGENEFEDGNHFYRFLEHEPFIPRCYNFRGSTNDLEPKSATTISLKLAKIMSAILESYASEDGYHLDYLGISNSEEFRRFVNLMQELQRVDISTLSVSERLAFFLNLHNAMVIHAVISIGHPGASVIDRKSFNSDFVYVIGGSPYSLTTIINGVLRNNRRPPYTFTKPFGSGDKRLELAFPQVNPLIHFGICNGSKSSPPVRFFTPQGVESELRFAAREFLQKDGIHVDLAKRTVHLTRIFKWFSVDFGQEKEMLKWLNGYLDATKAGLLSHLSGDGGAVHVAYQDYDWSINC >cds-PLY94083.1 pep primary_assembly:Lsat_Salinas_v7:4:171066334:171067384:1 gene:gene-LSAT_4X100640 transcript:rna-gnl|WGS:NBSK|LSAT_4X100640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLYPQCVYDAFSKYQLPELLRTPLNSLCFQIISWEVGSIGEFLSAALHPPEPLAVQNTSIGSEIRENDDNGCFFFRCFDLILTIVAVLGVRDPFLLAQEKKDVSNPATFTQGHFGLFLISFHSETSMSFKTMDDGQVLLYAVLTTLATSFHALKPLKVPT >cds-PLY97780.1 pep primary_assembly:Lsat_Salinas_v7:4:374861403:374863825:1 gene:gene-LSAT_4X185661 transcript:rna-gnl|WGS:NBSK|LSAT_4X185661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKIGINGFGRIGRLVARVALLSDDIELVAVNDPFITTDYMIYMFKYDSVHGQWKKDIKVKDSKTLLFGDKPVTVFGMRNPEEIPWGEAGAEYVVESTGVFTDKEKAAAHMKGGAKKVVISAPSANAPMFVMGVNEKEYKSDITIVSNASCTTNCLAPLAKVIHDKFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKAASYDEIKAALKAESEGSMKGILGFTEDDVVSTDFVGDLRSSIFDAKAGIALNNNFVKLVSWYDNEWGYSNRVVDLIRHMSKS >cds-PLY69685.1 pep primary_assembly:Lsat_Salinas_v7:5:211259878:211271002:-1 gene:gene-LSAT_5X96021 transcript:rna-gnl|WGS:NBSK|LSAT_5X96021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSAKQLLSTIESTLLGTTAPSASQRIELIHALRSSLSSLQSPLSISPPKPSDRAQVQSKEVRLPDSGSIPLDDQDVHIALKLSDDLHLNEIDCVQLLVSANQEWGLQGRTPLDILRLAGGLWYTERRDLITALYTLLRAIVLDQGLEADLQADILKYVEDLINGGLRQRLITLIKELNREEPAGVGGPNSERYILDSRGALVERRAVVCRERLILGHCLVLSLLVVRASPKDVKDIFSTLKDSAGDIGGSTDIVKNQIAYSLLFSLIISLISDALSASPERMSILSHDASFKHDFHETVMAIGNNQMIEGCMHCVRLAWAVHLMIILDVTDARETNNDVRNISSCLELVFSNNAFQFLLNSVLRTPAYQNDDEDMIYMYNAYLHKLITSFLSHPLARDKVKETKEKAMTALSPYKMSGSYDYVLDGNMPPQQVSESAPQTFVSLLEFVSEIYEKEPELLSGNDVLWTFVTFAGEDHTNFQTLVAFLKMLSTLASSEEGASKVYELLQGRTFRSISWATLFDCLSIYEEKFKQSLQNSGLILPEFQEGDAKALVAYLCVLRKVMENGNPIERKTWFPDIEPLFKLLSYENVPPYLKGALRDSISTFIHISPNLKDTVWGFLEQYDLPVVVAPQITHGSTSSTHIMTSQVYDMRFELNEVEARSEHYPSTISFLNLLNALIAEERDATDRGRRFIGIFRFIYDHVFGPFPQRAYADPSEKWQLVVACLQHFQMILSMYDIKDEDIDIVATQSHLVTPQSTPLQMQLPVIELLKDFMSGKTVFRNIMGILLPGVDSIIADRTNQTYGLLLEKSILLSLEIIILVLEKDSSVSDFWRPLYQPFDVILSQDHNQIVALLEYIRYDFHPQIQQRSIKIMSILSSRMVGLVPLLLKSNSSGLLVEDYAACLELRSEGCQIIENTSDDPGVLILQLLIDNISRPAPNITHFLLRFDLDSPVERTVLQPKFNYSCLKVILDILEMLPKPDVNAMLHEFGFQLLYELCSDPLTCGPTIDLLSTKKYQFFVKHLDNIGIAPLPKRNNTQPLRISSLHQRAWLLKLLALELHVGNVTTSNHREVCQNIVAHLFGESEYDIDHNILHITLEDPANRAIGRSKVLELLEIIQFRSPDTTMKHSEFLSHTKFGPVADDILGNPSTYEKGGVYYYSERGDRLIDLNAFRDTLWQKCNFENPQLSTFGSEAELEEISASRKLSSMENRSEILFQLLDASLNASSSPDCSLKMAGMLTQVSITCMAKLRDERFINPSVLNSDTVTCLDVIMTRRMSNGACHSILYKIMMAILKHDTSEALRRRQYTLLLSYFQYCQHILDPDVPTTVLQSLLVEEQESGDLDLEKIDQDQAELARANLSILRKEAQSILDLAIKDATQGSESGKIMAFFVLDALICIDHEKFFLSQLQSRGFLRSSLMSISSVSYQDSTHSIDPLQRLCALEAHLALLLRISYKYGKAGAQILFTMGAFEHIASCLVHNMQIKGNSIRRFDTSFGKDSFADVHRQRMVVSPLLRLVFSLTSLVETSDFFEVKNKIVREVVDFVKGHQLLFDQVLRQDVSDADELAMEQMNLVVGILSKVWPYEEGGEYGFVQGLFGLMHTLFSRDSSFLSSAQSKQKKSELSVLRLCFSLSSYLYFLVKKKSLRLQVSNNSTNYNAPAGQQQPTLSLLGFLLNTVTTALENAAEEKSILLNKIQDINELARQEVDEIINMCALEGQVASSDNIQKRRYVAMVEMCRVAGNRDRLITLVLLLAENLLNIFFIHFQNSSLSDSNEDVKALTYGDVGEDLNTFCSKLVPILERLEVLREDKVGHNLKVFQRLVTSLKELTIQRLGF >cds-PLY73282.1 pep primary_assembly:Lsat_Salinas_v7:8:284552803:284553618:-1 gene:gene-LSAT_8X160440 transcript:rna-gnl|WGS:NBSK|LSAT_8X160440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVCHDLLSSTWEEGDARDQLSTQTYVEKKLKIFGFELQHTSLDESTIKTNHLRPTEGEESVNSSSTTIEKNDLPTEVKKFECQYCFKEFVNSQALGGHQNAHKKERLKKKRLQLQARRERINYYLQPYTHNKHGMNFDFHGYYDSEFESNISFSRYDDDLLSFRDPCNFTLTHVDRSRGNYIPVSMKPAPRVVELKQNHADLDLQLSLSSNSIM >cds-PLY85786.1 pep primary_assembly:Lsat_Salinas_v7:8:250600197:250605974:-1 gene:gene-LSAT_8X147740 transcript:rna-gnl|WGS:NBSK|LSAT_8X147740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Histidine kinase [Source: Projected from Oryza sativa (Os01g0923700)] MSFIHLIGFGLKVGHLLLMLCCSFLSVISVNRFTNCIEMKPKSGVKIWMKFLEIFSGNACKIHHHYYQYIGSREVRKAWLRKLLILWFVFGTILSLWLFWYLRSQAMEKRKETLANMCDERARMLQDQFNVSMNHVQAMSILISTFHHGKNPSAIDQDTFARYTERTAFERPLTSGVAYAVRVLHSEREEFEKQHGWSIKKMTPVNKDEYNPEELEPSPIQQEYAPVIFAQDTVAHEDRENVLRARALGKGVLTAPLDLIKSNRLGVILTFAVYKRDLPSNATPEERIEATDGYLGGVFDIESLVEKLLQQLASKQTIYVNVFDTTNISNPISMYGTNVLDTGLQHVSPLNFGDPSRKHEMRCRFKQKPPWQILAISTAFGIIVITLLVGHIFHATLNRIAKVEDDYHEMSELKIRAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLMDTVLDVTQQDYVRTAQASGKALVSLINAVLDQAKIESGKLELEAVCFDLRAILDDVLSLFSGKSQEKGIEFTEKGHIFVTVHRVEEVMESIGVETEPSKKDTLSGLPVADRNRSWEGFGPAMGISDSITLIVSVEDTGIGIPTEAQPRIFTPFMQVGPSISRIHGGTGIGLSISKCLVNLMNGEIGFHSIPKIGSTFTFTAVFGFDSIPKVNGQSKSEFHGMKAIIVDPRPVRAEVSRYHIQRLGMKVEIIPSLINNSVSTNTSKVIFLIEEDVLDQDSRTITLNHKIPAKMFLLTNSIGGSRKTGLPVITKPLRVSMLAAALQRAMGGGSSVRNGEVPKVSLSKLLVGRKILVVDDNNVNLRVAAGALKKYGAEVVCADSGRKAISLLEPPHSFDACFMDIQMPEMDGFEATRMIREVEYNMNNRMQNGNISKWHVPILAMTADVIQATHEQCIISGMDGYVSKPFEANQLYQEVSRFFL >cds-PLY68727.1 pep primary_assembly:Lsat_Salinas_v7:5:279399227:279399634:1 gene:gene-LSAT_5X147400 transcript:rna-gnl|WGS:NBSK|LSAT_5X147400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIGDVEKMVAVGLIWGATNALMRRGALISEQQILKQKSSSSKTHKNPILKTLIDWFNLLLVWQYSVPFLVNLSASATFFAILSDTPISLAVPVTNATTFAATAVFGMLLGEETRVGLTLFGTFLIVLGVYVCVV >cds-PLY72212.1 pep primary_assembly:Lsat_Salinas_v7:7:52667390:52670939:1 gene:gene-LSAT_7X39020 transcript:rna-gnl|WGS:NBSK|LSAT_7X39020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSNEYVTVEIGEGNTYPYPSNVYTPSFISLKLSGRDNYSMWKTQMICLLKSHDMFGFIDGTFISPDHEASSSSSVSGKEKVGEHQTHQKLWTRSDALVKGWILGSLSEETLRYVLNRLTEKPHQERNADHDFSAKDVWHELQTMYGPPVLPQVSPVVEDTLLQDKDIAQELQRLYNYTRFGIWVWVEEILSQGRVTVMDKITNNGNTLLHVAVGISKNSKLLEILLERLPENTQLLDLRNSDGSTLLHVAAIIGNTEAADILVARNPELLFAKDNEGQTPLALALSNMNTQTARHLLQHINDTNDDRQKEALFSGTTGDGLLVTLISSKDFRFAIKLLEHYKTLNSDVVLMAIAQNFPRELNLFENFIGSGDLVRCAYGFCGTICNIISSRRVFRLIINVTGFMLMLLLFIPRMLVWLLIKERVETHEDARRLLWHVSGLIKSENTPTSYHHYYTNPILEATRQNAFEVVEVIVSYFPNAIWSANEDGHNIIQYVVINRSEKVYNLLYQMSEHRNIYRTIRDSHGNNLLHLAARLAPKNKLNLISGAALQIQRELQWFKEVERFICPLSIVQKNSFNGTPQTVFTREHKELVIEGEKWMKSTAESYTITAALIITIVFAAAITVPGGSDQNKGIPIFTNNTAFTVFAISDAISLFAAVTSLLMFLSILTARFAEQDFLFKLPTKLIIGLATLFISTTAMIVAFGATLYLVFGQRNSKILIPIAVLTCLPITSFVTLQFPLVLELMSATYGRSIFGVNRSFSYSGRQILI >cds-PLY94279.1 pep primary_assembly:Lsat_Salinas_v7:1:91525578:91525841:1 gene:gene-LSAT_1X73620 transcript:rna-gnl|WGS:NBSK|LSAT_1X73620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSVPPYVVVDTEAGQNGVEELGSGHADTSADVPEAGQNVYPPQESQHHLLRPAPHPQSRVVYPLDSNDPGEAKCQGQSVRINHQLN >cds-PLY78846.1 pep primary_assembly:Lsat_Salinas_v7:5:307693877:307698569:-1 gene:gene-LSAT_5X167061 transcript:rna-gnl|WGS:NBSK|LSAT_5X167061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKGAQNYGRKESTDKSSLDRSEYAAWAKDVQECEQNYQVDSKYGLSDSEVEKRLQKYGTNELEKHEGQSVFRLILDQFNDTLVRILLAAAVISFVLAWYDGEEGGEMEITAFVEPLVIFLILIVNAIVGVWQESNAEKALEALKEIQSEQATVIRNGRKISSLPAKELVPGDIVELRVGDKIPADMRVINLVSSTLRVEQGSLTGESEAVSKTTKPVPEETDIQGKKCMVFAGTTVVNGNCFCMVTDTGMNTEIGKVHFQIHEAAQNEEDTPLKKKLNEFGEVLTMLIGLICLLVWLINVKYFLSWEYVNGWPTNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVAKLVAMGNAPNSVRSFKVEGTTYNPLDGKIQDWPAGRMDANLHTIAKIAALANDAGIEKSEKGYVATGMPTEAALKVLVEKMGPPSGLSSDSSTDHDDLMVCSQEWRKVEHRIATLEFDRDRKSMGVIVTSKSGKNTLLVKGAVENLLERSSYIQLLDGSVVELDNKAKKAILDSLNELSSSALRVLGFAYKEDPPEFTTYNGDEDHPAHNLLLDPANYSSIESNLIFVGLAGLRDPPRKEVKQAIEDCRAAGIQVIVITGDNKNTAEAICREIGVFGQHEDISSKSLTGREFMDHKDQKGHLSYKGGLLFSRAEPRHKQEIVRLLKDAGEVVAMTGDGVNDAPALKLADIGIAMGISGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAAIGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKYIMKKPPRRSDDSLINTWILFRYLVIGLYVGVATVGVFVIWFTHDSFLGIDLSQDGHTLVTYSQLTHWDQCKTWQNFTVAPFKAGDRIFNFDSNPCDYFQGGKVKAMTLSLSVLVAIEMFNSLNALSEDESLLTMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSLNEWMLVLAVALPVILIDEVLKFVGRLTHGTEATTPRRLKRKTE >cds-PLY63818.1 pep primary_assembly:Lsat_Salinas_v7:4:294787369:294789150:1 gene:gene-LSAT_4X152320 transcript:rna-gnl|WGS:NBSK|LSAT_4X152320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESLQIPIAFYAIIAILTFFFLSWVRRKPLPPGPMGWPIIGNMLMMDQLTHRGLARLAEKYGGILHLKMGFSHTIAVSSPEMARIILQEKDNIFANRPATIAITYLTYNGVDLAFANYGPFWRQMRKLCVMKLFSRKRAESWDSVRDEVDTMVKATAINSGTPVNLGELVFGLTHDIIYRAAFGSISHEGKEEFIRILQEYTKLFGAFNLADFIPFLGFIDPAGLNTRLPAARAALDGFIDKIIDEHLRKGKKTGDEGLDNDMVDEMLAFYSEEGKVNEGGDLQNAINLTRDNIKAIIMDVMFGGTETVASAIEWAMTELMHTPEALKRVQQEMANVVGLDRRVEESDLEKLTYFKCVIKETLRLHPPIPVLLHQSSEATEVSGYHIPKGTRVMVNAYAINRDKNSWEDPDTFNPSRFLQNGAPDFRGSNYEFLPFGSGRRSCPGMQLGLYAMEMAVAHLLHCFTWELPDGMKPSEIDMGDVFGLTAPKAIRLVAVPTPRLLCPLY >cds-PLY63699.1 pep primary_assembly:Lsat_Salinas_v7:9:86564324:86564886:1 gene:gene-LSAT_9X67381 transcript:rna-gnl|WGS:NBSK|LSAT_9X67381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAPFPIAFKVLKATMAEFPALNGQSISYVVLQFPNGTVNPPHIHPRASELLFVLMGDIFLFPKGLVHFQFNSDSKNPALALSAFGSASAGTVSVLCRSLVGVDVCIVCCCSEIGAHFSACGRRGECGLLSPFLWWWYCLWHKGEFQQQLQLITS >cds-PLY73380.1 pep primary_assembly:Lsat_Salinas_v7:6:111945492:111947673:1 gene:gene-LSAT_6X69260 transcript:rna-gnl|WGS:NBSK|LSAT_6X69260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAVEVLCGQRLCSAQFGIPDPKSDAVLPEPDSVSIDFVSIPDLVVESSSTKFTPTIRSGSHTDIGPRRSNEDEHIRIDNLSNQSELYTWPLPTSFYAIFDGHGGSEAALYLKNHAINLLFTDPDLPQTSTINESFLQKLENSHSKAFLLADKALADECSISDYCGTTALTALILGRHLVVANAGDSRAVLCRKGVAVQMSQDHRPSLLEEKIRVESVGGFIEDGYLNGELAVTRALGDWFMKSPGGFDSGLTGKPDIRRAVLTEDDEFLIIGCDGIWDVMSNQEAVAVVRRELGRCDDPQRCAVELVKCALSRDTSDNITVIVVCFWAAGSGRGQRPRFRCNGLTEEARARLRSLLEGN >cds-PLY89979.1 pep primary_assembly:Lsat_Salinas_v7:8:208347709:208349187:-1 gene:gene-LSAT_8X131420 transcript:rna-gnl|WGS:NBSK|LSAT_8X131420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPTMTILEESQVSPPPATVCDRSLPLTFFDFSWLTLPPINTLFFYDLPITKTEFTESIVPNLKNSLSITLQHFFPFAGNLIIFPSPDQKPEIRYIEGDSVKVTFAECNLDFNDLTGNHPRECDKFYHLIPLLKQTTKVSDFLTIPVFSVQVTLFPNNGFSIGMTNHHSLGDASTRFCFLKAWTSIAQSGTDELFLTNGTLPVYDRLVKYPKLDESYLKNAKVETFNEEYQPQSLSGPTDKLRATVILTRSVVNRLKKLVSTQLPTLVYISSFTVACAYIWSCIAEARKDEAELFGFTIDCRARLNPPIPAAYFGNCVTFTMALEKTSLLMGKEGFVTAAKLLGESLHKTLNDKDGIVKDFGSFEDLFAKMMPTSWIGVAGTPKLKFYDLDFGWGKPKKHETISIDFNGSISMAACKESNEDLEIGLCLSATEMEAFVNVFNDGLEAYVRVD >cds-PLY77096.1 pep primary_assembly:Lsat_Salinas_v7:MU042616.1:47509:51060:-1 gene:gene-LSAT_4X76461 transcript:rna-gnl|WGS:NBSK|LSAT_4X76461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase-like 9 [Source:Projected from Arabidopsis thaliana (AT5G63380) UniProtKB/Swiss-Prot;Acc:Q84P23] MADASSVNPQNGFCSKTKVFHSLRSPAPLPPPSQPLSITDYAISLLRSDPAFSPSTTHFLIDSATGHRLSYTDFIRQFQSLSAALVNKFPTLAKNQVALILSPTSIHIPVLYFSLLSIGVTVSPVNPLSTKSELTDLVGLSNPVIAFATSSVASKLPSSFPLGTIIIDSPQFLSMLQNPHSNFSSQLVKQADTAGILYSCGTTGRIKGVELTHRNFIAITSAAHNNRFMKDGNAPHEHPVSLFPLPLFHVFGFFMLIRAASVGETLVLMERFDFENMLKAVEKYKVTYMPVSPPLVVAMAKSDLVPKYDLSSLLLIGCGGAPLGKEVAKSFSARFPNVDVVQGYGMTETGGGVTGMNGPDECEHHGSAGRLSPNVEAKIVDPETGEALSPMQQGELWLRGPMVMKGYVRNKEASVATLDSEGWLKTGDLCYFDSDGFLYIVDRLKELIKYKAYQVAPAELERYLQAIPEVADAAVIPYPDEEAGEIPMAYIVRRPGSKINEAQIMEIIAKQVSPYKKIRKVAFINTIPKTPAGKILRRELVKHALSTASSKL >cds-PLY95125.1 pep primary_assembly:Lsat_Salinas_v7:1:95641583:95646304:-1 gene:gene-LSAT_1X80280 transcript:rna-gnl|WGS:NBSK|LSAT_1X80280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNVTLYMLKLKDPGQKALTNYISGTENVKGSRNGQNPDDTLYQKWLLTYSSLQKALAAANITTFLGAEEVETGEDLKPELVRAIRESRASIIVLSQNYPNSSWCLDELVLILEQRRISNQIVIRIFYHVEPYHVRKQQSSFGDAMAKHRQTMEAERNADKRSHLAQKMDLWNKALTEVANLQGWDVYGRQEAEFIEEIVNDIYNKLHISSRSALPVLIGMDSFIEFLTLWLKDASPDTTNILTILGMGGMGKTSLAKYVYGLHSHNFYTSSFIENIRRRSDSKFNGLLDLQKQLCEDISKPSLLRVHDASVYTSNIENVVASKKVFLVLDDIDRLNQLDALLGSKGFHPESKIIITTKDASLIDSCSLFKTKFKPKHTNYLLQGLDKTESQQLLFSHAFMSKDPKAGYEEVSEKLVKYCEGHPLALEVLGKSLHNKSVAYWEEVIKGLEKGIQVSGINNVLRESFDSLPYEDDKELFKHIACFFVGMDRGVVETILNTCGINTSIAFTNLIDRCLLSIGRNNKLMMHRLLEVMGRFIVREESPNKPWKRTRLWYPEESFKVLKEGNGMRNILGFTFDTRMLENEKVREAFELNTDALSKMDELMLLQLNYVKMNGSYKNFPEKLRWLCMHGFLLKSIPLDLPMENLVALDMSYSNVESFDVYYSNQLPPQRRQKQLTQSFSKDKRLLGSLKILNLSFCQQLRSLGGFDELPVLERLIVTNCINLLEVSESIEQCIQLFLIDLRYCNKLEKLPSTIKKVKTLLLDGCTLGESLVDIDMDTKISFSPIPKTIPDDMKFLEISLPSSLVRLSLGNNNLSTESFPMDFRCLSMLRDLYLDDNLIISLPNCVKSLPRLEILSMRNCKMLTLVENPPHTLTHLNLISDYKPLLQKVVFDPDMSPLNFIVNWELSAPSSFEFEGMVKIQPIAGVEEKVLLTLGWTEVDFLNLNKGTEESKIQMYYEFGIFSTIYGGEEMPNWITNTSNLRSISFTIPSSPNNLKGLNFCYVQKFRFRYECFDLPKIIICNKTKNLTWIYKHCTDVVTIGGYWVTFLSHWMFGMNEMEDGDDVTITVRENLGQVMKCGISFVYDDGKTEEEEDVLGYYKSWNHIIGGDLNGFQLTTGEYLLYIKQFMRNINSIEFSPHFVRCGIAEGASFKVFVGEEFNDLFDGSTQMRSYIN >cds-PLY94606.1 pep primary_assembly:Lsat_Salinas_v7:8:180156454:180160463:-1 gene:gene-LSAT_8X117740 transcript:rna-gnl|WGS:NBSK|LSAT_8X117740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEEEDDFPSIESVTPQSKIDTVFQSKTEKGIRKLCFELLDLKDAVENLCGNTQSKYLAFLRLSDEVVEMEHELNDLRKNISAQGILIQDLLSGVHHELSEWSKANNTEKLQKDTEFDFPNESQDEKRSSLENIDLLLAEHKVEEAIEALETEERKHPDLKTSSDSLSNEFSKRKSLIENQLVDIIEQTDLKVAVLGLLKLGKGPLAHNLFLKSKAARLQKSIQIFLPSCPCYPETFPATLSNIIFSTISSTTKEMGTIFGDDLLYNNRLVQWAEREIELFVKLVKENAPSSETVSALHAASVCVQASLNHCSVLESQGLKLSKVLLVLLEPYIEEVLELNFRRARKLVFDFSGNDEMLPLSPRLASPLSTFAISSDGMLVDSGTRFIFMVKDIVEQLTRLVILHFGGNILTRILKNFDQYMDELIKALPEPSEDDSLVELKEAVPFKAETDSQQLALLGTAFTIAEELLPMVMSRIWSVLNESKEAGNDNTAPLLNNAIDYKDWRKQLQHSLDKLRDHFCRQYVLNFIYSRDGKTRPDANIYLCGEGDDLNWNSDPLPSLPFQALFGKLQQLATVAGDVLLGKEKIQKVLLARLTETVVMWVMSNEEDFWGVLENEVAKLQPQGLQQLILDMHFTVEIARFAGYPSRNVHQMASSIIARAIRTFSGRGLNQQSALPEDEWFVETAKAAINKLLMGGDGSDTSEIDEDHIILHDDEDDDDEDDDELHDEVISDSDGSPSSLSTITTSESFASAESQMLDSLSDFTDPES >cds-PLY91437.1 pep primary_assembly:Lsat_Salinas_v7:7:133331724:133332454:1 gene:gene-LSAT_7X80341 transcript:rna-gnl|WGS:NBSK|LSAT_7X80341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNQYDVSVQKPKDKSDPKQCQMSTEEDIDYSQRGQWLRAAVLGANDGLVSVASLMIGVGAVKKDVKSTILSGFAMLVAGACSMAIGEFVSVYSQLDVEVAQIKRSQQEKEKEQLPNPIQAAVASALAFSLGAIVPLLAASFIGDHKVRLGVVVAAVSMALVVFGWAGSILGGTPVVKSCFRVLIGGLMAMAITFGLTKLIGSTGI >cds-PLY90264.1 pep primary_assembly:Lsat_Salinas_v7:8:14312399:14314249:1 gene:gene-LSAT_8X13741 transcript:rna-gnl|WGS:NBSK|LSAT_8X13741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLSDNSCDMKCICLRLTITSSLLSRIYDMGYDKCVDDGEPTIVYLWCIEGVLYCKVIQVPLVVDCLQPVVNIVPLQIPARYSAPINHLASASALNTTGKGQFLRRCNYPPVSNFHSPIMGSRHGQCMVNTHSANIPLGCNNFVVSHGHEDMQALQKVYLEALLAQHNQQYSSPQQKQYRSIWKQKLESAIVEEKNIIFPEIVPHAHSLMTDVFGNYVIQKFFEHGTKSQRRELAGQLIGHVLPLSLQMYGCRTEMVAELDGSIMKCVCD >cds-PLY63993.1 pep primary_assembly:Lsat_Salinas_v7:4:13308394:13308863:1 gene:gene-LSAT_4X9140 transcript:rna-gnl|WGS:NBSK|LSAT_4X9140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLEFSIAETTKKLWCFGTTIDTQPVIDRGKTLKEQDIAQEAVKSVVPFRAIAFWSLSSTLLRFWSQIIDLEF >cds-PLY92160.1 pep primary_assembly:Lsat_Salinas_v7:8:4965605:4967053:-1 gene:gene-LSAT_8X3841 transcript:rna-gnl|WGS:NBSK|LSAT_8X3841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLPWHPLLSSKTQRFHPSTNKLFPNSPRPNSIRVQAFRRSDFDGFAKRMASGEAWRDAWRSANNGFEQILYETKKTAERIDRQYSVGRRLSSVAQSASDRARELDRDYLITQRWRTFTLDFSQNWPRYRKQFSDFLDTPLGRSFGTIFFLWFALSGWLFRILIFAIWVLPFAGPLLIGAVANNLVIKGSCPACKRQFMGYKNQMVRCGGCGNIVWQPKGDFFTKRGNSASSSSSSKSGPEIIDVEFEEK >cds-PLY82148.1 pep primary_assembly:Lsat_Salinas_v7:1:14043679:14049078:1 gene:gene-LSAT_1X12260 transcript:rna-gnl|WGS:NBSK|LSAT_1X12260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSAC1 [Source:Projected from Arabidopsis thaliana (AT1G22620) UniProtKB/TrEMBL;Acc:A0A178WK36] MAKSTKIHPTTDPETDPSSYSLEKFKLYETRARFYLIGSDRKKRFFRVLKIDRMEPSDLNISEDPVVYPPQEVKSLLQRIAEGNRATGGLSFVAKVYGIAGCIKFLESYYLILVTKHRQIGCICGHAIYSIEESQIITIPHVSVQTDVAHSKTELSVDLTKDFYYSYTYPIMRSLQKNVLSTGNEGMPYDDIFVWNAFLTQPIRSRCKNTIWTIALVHGNFKQIRLSVFGRDFSVALLSKRSRHFAGTRYLKRGVNDRGRVANDVETEQIVLDEEAGSCKGKMSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYEATKLHFEDLEKRYDNPIIVLNLIKTVEKRPREMMLRREFTNAVGYLNQILPEENQLRFIHWDFHKFAKSKSANVLGVLGGVASEALDLTGFYYSGKPTVVKKKSIQLSRTSTAREASLKDLRSVSGDLSVATSNNTITDATPQDRDPNHNHNQKMKKYNHSNKDPQFQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTDDPKVDADSSIAAALMDMYQSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPQEGKPALWELDSDYYLHVSGIGDDLIPEGYLPSDGGGGGEAVRFLGGSLTPVAACKEDFSRMKLTSFSKLIERTSGAIKNVRLCSEPDHKPPGNSGMAPDAVEIQLKSPNWLFGHKKFEEGGNNGMLKKVASDELAMRGREDEKKFDELCDPNWVSSAIHTNEEDVFKRYLAMTSIDEANGWYGGTLLAEQDESSEVYRHYAQFCQNPIMEPFQNDSDKEKHYAEVLGSVAVVECMDDATVEDEMEAALMEYELIGADLGICPKTFNALAIDPTHLTRWMIGEHRLPTS >cds-PLY99239.1 pep primary_assembly:Lsat_Salinas_v7:6:185250890:185251183:-1 gene:gene-LSAT_6X113501 transcript:rna-gnl|WGS:NBSK|LSAT_6X113501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIGMTGFKTINMKFFALLILLLTLFLFTSSEGRAVSLKSNKGATSTETTISTNNHYHGQDSGFVVINEGDFRPTTPGHSPGAGHSVGPTATFDPQP >cds-PLY61882.1 pep primary_assembly:Lsat_Salinas_v7:6:59769101:59771030:-1 gene:gene-LSAT_6X44140 transcript:rna-gnl|WGS:NBSK|LSAT_6X44140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIPWLEEEEEEERNKGKNRGDTGAAAVSFQSHTHSSQFTRYFRKQREELGMMASHTPQLQFYSWELIQFLDYDMFQL >cds-PLY81665.1 pep primary_assembly:Lsat_Salinas_v7:2:25371168:25372281:-1 gene:gene-LSAT_2X14460 transcript:rna-gnl|WGS:NBSK|LSAT_2X14460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLKSSPSSSSSVPPGRWKNDVFLSFRGEDTRNNFVDHLYSALLQKGIHAFKDDEKLDKGKPVSTELLKAIEESRFSVVVISKTYADSSWCLDELVKIMECQVHMGQMVLPVFYHVDPSHVRGQKRDFDTAFQQHEDTFKGEMEKVNKWRKALAAAANLSGWHVTETGNGGESAIIKEIHYKKSPY >cds-PLY70579.1 pep primary_assembly:Lsat_Salinas_v7:1:90569451:90570261:-1 gene:gene-LSAT_1X74321 transcript:rna-gnl|WGS:NBSK|LSAT_1X74321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRHLTKGSWAFSDQDQGWAVSDCTAEALMCLLFPSNMPKKIAGEKDDTTRLYEAMNVLLYLQACRTLSLHYCSSDRVQPYSSNTQKRRNRTLNFEWNTLT >cds-PLY81755.1 pep primary_assembly:Lsat_Salinas_v7:3:30798595:30799914:-1 gene:gene-LSAT_3X22340 transcript:rna-gnl|WGS:NBSK|LSAT_3X22340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEAITLLHVKDSSPHVASFNTVMSSFSKMGFVDIAQSIFCLMLKFGVKPDAYSYNILINGLCIAGSIQDALKLTNDMVKHGVKPDSVTYNTFAKGFQVLGKINGASKMIQETLSQGLNPNSITYTSLICGNCQEGKVDESMNLKNEMVSYGHQVNFISYSVLVSSLCKIGRVDEALCLLYEMEIEGLKPDVMYSVIIHGFCKQGEIQKAIQVYMEMCNNRIFPNVFTHRAVLLGFCGRGSLFEARKQFHMLTSGDDIQDIVVLYNIMINKYAKLGMNHESIQLFDQITERGIDPTIVTFNSLIYGFCKARDLIGAMRSFHNIGDHGLVRNAITYTTLMNFFCEEGNMQKVFDMKKEMESNGVEPTHVTYTLIIRSLCKQKKLQESMLQINHMFSHGIFPDEVSYNILIQSFCKAREIEKAFELHDEMMSHNLKPDAVT >cds-PLY88329.1 pep primary_assembly:Lsat_Salinas_v7:6:47676432:47676701:-1 gene:gene-LSAT_6X35340 transcript:rna-gnl|WGS:NBSK|LSAT_6X35340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEQSFSYGGFRASELDLPSDYELHAMETQLQIEEMRDEMRQQLGEFREEIRNLKRKITMMGVVGVAVIPLIGVRVCVECSGWGFCWV >cds-PLY93289.1 pep primary_assembly:Lsat_Salinas_v7:4:300761595:300765368:-1 gene:gene-LSAT_4X150681 transcript:rna-gnl|WGS:NBSK|LSAT_4X150681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSVNKSFKYDVFLSFRGEDTRTNFVDHLYHALQQKSILTYKDDERIKKGKKISDDLIGSIEDSRFYIIVFSKNYASSSWCLDELVKILECHRTTEHTAYPVFYDVEPSEVRKQSGAVGEAFAKYEMEESAGKWRVALKEAADLAGWELKKTADGSESERSYGIFSKLIESYLKHRHEAKFIQKIIEELSLELRCFSFNIDEKLVGMETQIKNIVLSLGTGCDDVRMIGIKGMGGAGKTTLARALFDQISFQFEGKSFVENVREVSNASLSGLKSLQKQVLRDALNDNNIRVSSVHEGKSTMKKMMPNRKVLIVLDDVDRIDQLEVLAGEPNWFKAGSVIIITTRDEQVLVAHRVKFIHDVNLLSDKEAICLFSRFAFGRDIQIQGYEELSRKVVRYAAGLPLTIRVLGSFLCGKDEPEWRDALERLKTIPETETLKKLELSYTCLEEDYKEIFLDVACIMKGWQKDKAIKALESCGFRARNGLKVLQQKSLITIYKNFDGDHLGVHDHIVEMGMNIVRRGHPDEPQNHSRLWKIDEIEEILVNDLGTEATRYIQFHTIKFNPHIFRKGLRKMKELRFLSVCGYCSSDLELSIVSPELPNALRYLHWKGYPFRSLATTFQANNLVALKMDGSKRKVLNNLRFLNLSSSRLSTFDLELTPNLEKLDLKMSYCLEELHMGNECQKLTELIITHSNLRKLDLGMTPNLKKLILTECRKLVELHTPIGCLKKLVHVNLSGCLRFRSFKFNIDDYTSSGGDKSLEVGPLAELHLFVESLERCQLHPDNNLPTFQFDCVYTEDRPSLTRNLEMLFSVGMCACTNLETFSRSICGLQRLRKLELKGSFLGVIKDLDQLESLEELILLFTKIKHLPNSIFKLKHLKSLNLTSGGLLPNLHEKHGRLEHLGELDLLYSKIIKHLPGNFCILKSLKSPYFLSCCLLEKLPEDLGQLECLENLDLSCTEIKHLPDSICMLKRLKYLNLNDCSLLEKLPEDLDQLECLEELSLGKCELLRNIPNSICEMKCLEYFYLHDCIRVEKLPEELGRLECLKELDIDGTSISHLPQSILLLKGLLVIGSIQLLESHSFTPIIQAPTKRQKHRYIMYYCARVPIMQASTAGVSSTALQG >cds-PLY67697.1 pep primary_assembly:Lsat_Salinas_v7:4:1869584:1870793:-1 gene:gene-LSAT_4X1961 transcript:rna-gnl|WGS:NBSK|LSAT_4X1961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKDGFRIHTALSILTHNQHNELVKSFHIDPRFHPQLSSPNKTITNPALGYVDAGGETETNLDYVIPDSPTKSIGLTPLSSPTVTPNSSSKGASIADPTGAHKCRFRPSVSSSTIGIPLLSSPKKACTSCSSSNRGLGVTDTTFNLPPITFASSDEALREYLLTSDPVLVSVLDLILSSPEAPPTSSEAIVYSTPI >cds-PLY86877.1 pep primary_assembly:Lsat_Salinas_v7:8:50278870:50281527:1 gene:gene-LSAT_8X38000 transcript:rna-gnl|WGS:NBSK|LSAT_8X38000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTICFNPDVSLSSRPLLSLPSNHASYMFDEMFERNPLLFSSRKCCCCYSAANISMCNRLTVINPSFLCKGLSQSTLIQWSLSKRLIFHGSSRQFYGNTSGVSSFTDGNYYHEKLSSFNDRRKHRQRGFGHKRKKKFLGNVSDAYVNDVDVMLSLLTDEVGLEYSGEKECKQIDKNHFICDNMEKKNVDSGVVKRDQRCDKEQGKILEKKDTVKGHYGELVDRVANKSGSRMKCKQFEKLSDKDNSVIDSTFSSQKLKVISEMIKPQKINLSGTSSMSESRMKNCEEISTEVCHMVKDIKEEKHQKTNHLIKITTVSSENSQERSRISDTHVTNSENSSVSHRKKSEKHKLYPSLEMKMVEGITDGGHKGPSDEMWHMTDASSDHDITSSSEILDGVKKNIRSLLTVIGDVIRFRCSSPRSESHIPHSGGGKCSSCLSLTSEAWFSSHDSNNDSTPCNQESPIPFPPTNKTSHVSLSDSKFDEWEDAYSFEDKKRKEDEMFMREALLEAKKAADVWEVPVGAVLVHNGKIIARGYNLVEKLHDSTAHAEMICIREASKILGSWRLSDTTLYVTLEPCPMCAGAILQARIDTLVWGAPNKLLGADGSWIRLFSDGNEGKDATPIDKLSAPVHPFHKNMSVRRGVLAEECSGIMKNFFRLRRKKKSVPESPIPLEPESPIPSEPESLIPPTSITVSHHHSDFLSKIQHAFKIIFCL >cds-PLY87955.1 pep primary_assembly:Lsat_Salinas_v7:4:338686590:338687748:1 gene:gene-LSAT_4X167880 transcript:rna-gnl|WGS:NBSK|LSAT_4X167880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFPQIQFFEEFSPATEDTEEKVTKFLSFPMADKSFNLEHSSLQASMAIGSKAASSSGQFTLSKLKDGMSSGMIMVKKEKVPSPLPPKRVQVDINIKTSSSQKRKTFKATDLSLGNLGAKEALRKLVSFSQPVTNDISWSTVDLKVKAEKAELASKEQVRVCMANNEDLLMRVAPLSK >cds-PLY80147.1 pep primary_assembly:Lsat_Salinas_v7:3:41100326:41100655:1 gene:gene-LSAT_3X31241 transcript:rna-gnl|WGS:NBSK|LSAT_3X31241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSINIDEDDYFSNHTSEHFTQPPPSAASPSADSPSVASPSSYPNKRAKPSTPRPRAPSASPDPPSCASPKPSITADDLALEMQKALRHLTQGPTIPQCLRSLSCSS >cds-PLY89730.1 pep primary_assembly:Lsat_Salinas_v7:7:42795445:42796005:1 gene:gene-LSAT_0X28381 transcript:rna-gnl|WGS:NBSK|LSAT_0X28381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAANAECVWGTPWGGKGGSRTWEFIIPDGSTLTKIDLSSGDALDFISFTYKDGSSSMTMSISSGLVGISGRVGLFGDNTVITSVTFWTNIGTYGEYGTNPRTDFSFGVTLGKFSGFYGKCGNSVDSLGVILQA >cds-PLY92782.1 pep primary_assembly:Lsat_Salinas_v7:2:148523884:148528430:-1 gene:gene-LSAT_2X74501 transcript:rna-gnl|WGS:NBSK|LSAT_2X74501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESTRSSSPNAPPNLSATRIQNTRSWRLGLFLSAVATFVIALLLVRFDAFDAAPYSSDELAKKPISAIPRTNPRVLHGSEKIGEGQLLAPEDILYDPKLGVIYTGCVDGWIKRVTVNDSVVEDWVNTGGRPLGLALGYSGEVYVADAFKGLLKITQDGEIEALTNEAEGVKFGTTDDVVVAKNGLLYFTDASWKYELHDFALDIFENRPYGRFMSYDPSTKQTKVIARDLYYANGVEISPNQDFVIFCETPMMRCMRYYLEGEKQGSIDVFIDRLPGMPDNIRYDGQGHYWIAIPTEHTYEWGLARKYPYIRKVLAFLEKYLKRPSVEKNSGVIAVNLDGKPVERYYDPKLTFVTTGIKIGEHLYLGNLAKNFIIRLNLTQYPAIASSSPT >cds-PLY76756.1 pep primary_assembly:Lsat_Salinas_v7:7:61867254:61872152:1 gene:gene-LSAT_7X44181 transcript:rna-gnl|WGS:NBSK|LSAT_7X44181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHETDIHDLSDDADYAAASKQGSSSVTRSDSSKKSSSSDPEDAQIVYLKDNVTIHPTQYATERISGRLKLIKQGSSLYMTWIPYKGQSSNPRLSEKDKNLYTIRAVPFSDIQSIRRHTPTIGWQYVIVVLSSGLAFPPLYFYSGGVREFLATVKQHVLIVRSSEDANVFLVNNFQDPLQRTLSSLELPRSLANGTPSSSFRGSPSDNNNQERLDTVTTSEDNHSGSGQLNIRQRQKTHDPARDLSIQVLEKFSLVTRFARETTSQLFRDNLVDGHGHGQSQSQSQSQSQSQGERRRNNWASKDHQPLEMAPIDDPIVPKLVPVPSDPIEFDKLSLVWGKPRQHPLGVEEWSSFLDYEGRIMDPDALKKRIFYGGVEHNLRKEVWAFLLGYYSYDSTYAERQYVVSVKTAEYMTIKNQWQSISPEQAKRFTKFRERRGLIEKDVVRTDRSLSFYEGDDNPNVRLLHDILLTYSFYNFDLGYCQGMSDLLSPILFIMQDESEAFWCFVSLMERLGPNFNRDQNGMHSQLFALSKLVELLDSPLHNYFKQNDCLNYFFCFRWILIQFKRQVEFEYDKTLRLWEVLWTHYLSEHLHLYVCIAILKRHRGKIMGEEMDFDTLLKFINELSGQIELDPVLRDAEALCVCAGENGAASIPPGTPPALPIPEASIYQQQDDEVL >cds-PLY88266.1 pep primary_assembly:Lsat_Salinas_v7:8:152981578:152982576:-1 gene:gene-LSAT_8X102680 transcript:rna-gnl|WGS:NBSK|LSAT_8X102680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVAVGVVGRNPVDSNLRFSCFSIFTIEAATKYLLSKLSDLQFFVGESMHDDSSIVFAYYKEGATNPTFLYFVVGLKEMKC >cds-PLY93492.1 pep primary_assembly:Lsat_Salinas_v7:9:87180455:87180619:-1 gene:gene-LSAT_9X68681 transcript:rna-gnl|WGS:NBSK|LSAT_9X68681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVLKVGSIIKRKGDIIKKMCEATKARIRVLDAPVGTPDHIVSCFQILLFSFNL >cds-PLY90301.1 pep primary_assembly:Lsat_Salinas_v7:2:198466407:198467070:1 gene:gene-LSAT_2X119500 transcript:rna-gnl|WGS:NBSK|LSAT_2X119500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTVFSFRIVGFHNCDGCTRKVRNALRRFHGLQLVNLGRESGIVTVVSIAEHHPEVIRYALERQMKKSVVIVSINQNPSSTVSATVPSQRTFDLQGLGEAMLGMFQVLDGVEITRSDTLRVNFIHRENPPVVRVEPRRNISSYGDVDVEHAPRRPTPRAPPWPVVEPSAPLISTEEEEVYGYPPEFYYGYSTIDHSHDHRPDGCCRIM >cds-PLY65714.1 pep primary_assembly:Lsat_Salinas_v7:5:272789217:272791649:1 gene:gene-LSAT_5X143101 transcript:rna-gnl|WGS:NBSK|LSAT_5X143101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLSDGSQFDARQYDSKMNDLLSADGQDFFTSYDEVYDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFTKGLDVIQQAQSGTGKTATFCSGILQQLDYNIIECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSAGVHVVVGTPGRVFDMLRRQSLRPDYINMFVLDEADEMLSRGFKDQIYDIFQLLPSKVQVGVFSATMPPEALEITRKFMNQPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTREDERMLFDIQKFYNVVVEELPSNVADLL >cds-PLY87942.1 pep primary_assembly:Lsat_Salinas_v7:4:33384117:33384392:-1 gene:gene-LSAT_4X21901 transcript:rna-gnl|WGS:NBSK|LSAT_4X21901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSLSRSVGSSYHIWVIKSANSASRMCYYGLDTPMSISKSEKNPGRRYFGCKYFPDEDEDYGYFEWYDGEVSSWYKELSYEIMEKRRKKNH >cds-PLY73414.1 pep primary_assembly:Lsat_Salinas_v7:1:40267261:40268299:1 gene:gene-LSAT_1X34740 transcript:rna-gnl|WGS:NBSK|LSAT_1X34740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGAFGGNRGARPVPPEKGVFPLDHMHLCDLEKKEYISCLKTSGHKSEICRHLSKKYLECRMEKNLMARQDMSELGFGKEDISEVTKEKNEDGRIKS >cds-PLY93287.1 pep primary_assembly:Lsat_Salinas_v7:4:301106059:301107049:1 gene:gene-LSAT_4X150840 transcript:rna-gnl|WGS:NBSK|LSAT_4X150840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVNHPNETLDSRVFGRNRYKFLALTAIILLALSSILTSTVTLRFSAGSLNNFSDDIAGGSLILDDFDIIEIEAREKAVKHMWDAYINDRRIKLQPFWQAAFVAAYEDLSGDVAEVREAAISEIAKMSFYSTDIRLPDLEIESTALQLQELLA >cds-PLY95027.1 pep primary_assembly:Lsat_Salinas_v7:5:227605129:227607002:-1 gene:gene-LSAT_5X107861 transcript:rna-gnl|WGS:NBSK|LSAT_5X107861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRLLAMSILRRCMINNGRNLHGENMFQSPELTAAVVLNLRPFHQGVIGRDEDFGNFKWRRMMSSTAEPAAKPPSLKQGDAGTEKKEGGDEVMPASYWGISRSKITRDDGSEWPWNCFMPWDTYESNLSIDLSKHHVPKNFLDKFALRTVKLLRIPTDVFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRKFQQSGGWIKALLEEAENERMHLMTMVELVKPKWYERLLVLAVQGVFFNAFFVAYISSPKLAHRIVGYLEEEAVHSYTEFLRDIESGAIENVPAPAIAIDYWRLPKDATLKDVITVIRADEAHHRDVNHFASDIHFQGKELREAPAPVGYH >cds-PLY79044.1 pep primary_assembly:Lsat_Salinas_v7:3:9896012:9898636:1 gene:gene-LSAT_3X7261 transcript:rna-gnl|WGS:NBSK|LSAT_3X7261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTKVVQGSVLAITDNVVVSLDEVLNAVRMVKKEVVQDFNPLIINQAASLSSLAVDSSSTDFVISMCKSSDFPGDKLLEELSRVLKPGGEIFFHHTCAAAAAKETMKSSLKRKLLVVGFSDIEVVEIAEAQSFGIKAKKPTWKIGSSFSLKKPVKSLPKVQIVDDMDLIDEDSLLSEEDLKKPQLPPVGDCEVGSTRKACKNCSCGRAEEEEKVQKLGVTMEQLENPKSACGSCGLGDAFRCGTCPYKGLPPFKLGQKVTLSENFLTADF >cds-PLY80008.1 pep primary_assembly:Lsat_Salinas_v7:9:45189508:45191299:-1 gene:gene-LSAT_9X40961 transcript:rna-gnl|WGS:NBSK|LSAT_9X40961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLMMKMSSSTSRNSDLIRRKSSNSSSSSTSATHLNHNHKRRGIRTDKNSGVLQMTVDGVSPIVHDNNEQQTPETTLFDTLLDAAGAVSATNDSETTGNVSRQEIVRTSSNGPQKTVDDVWKEIVASCKQEVPDELMTLEDFLAKAAAVEEEDVKIIPQPLTSERLSGGIFSFENPMHPLNVDGVVGFGIGADEMGNRGKRRAIVEPLDKAAQQRQRRMIKNRESAARSRERKMAYQAELEALAVTLEEENEALLRAKAEQTRKRYKQLMDNIIPVTETETRRKTKYVLRKVRSLEW >cds-PLY62825.1 pep primary_assembly:Lsat_Salinas_v7:4:29900367:29900953:-1 gene:gene-LSAT_4X17160 transcript:rna-gnl|WGS:NBSK|LSAT_4X17160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPESKISSYVVSIATYLIWAWDFLTHLSFYQQRVQLDQVVGNHHQLGATQFHQKMSSEESVECAVCLSKIEEDDEIRVLRCDHLFHKGCLDRCIEYKHTACPLCRDILAGPRMVCELGRELLVFAFCSNNSSSDDDFDRWWIR >cds-PLY90875.1 pep primary_assembly:Lsat_Salinas_v7:1:57377398:57379068:1 gene:gene-LSAT_1X48281 transcript:rna-gnl|WGS:NBSK|LSAT_1X48281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFPEFRLLAMVAIVFAGAMEISHAYDRPPPREDLFVSLAEDADSTTPQQIHVSLVGEDRMRISWITDEHTPAMVNYGTSPGKYERSANGTISSYEYINYTSGEIHDVVIGPLDPNTMYYYSFAPGSTPEYSFKTPPAQFPIKFAISGDLGQTEWTKTTLEHISQANYDVFLLPGDLCYADMVQPIWDSFGRLVEPLASKRPWMVTQGNHEMEIIPATHPTPFTSYNARWHMPFEESGSTSNLYYSFEVSGVHVIMLGSYTDFGPGSNQYRWLESDLKKVDRSKTPWLIVIIHAPWYNSNVDHQGEKQSVDMKESMEGLLYEARVDVVFAGHVHAYERFIRVYNQNSDDCAPVHITIGDGGNRGRSAGKYEEPQPTISVFREVSFGHGRLEIVNSSYAKWSWHRNDDDISVQSDSIWLKNLASDPACNKLEI >cds-PLY80728.1 pep primary_assembly:Lsat_Salinas_v7:3:84796131:84799198:1 gene:gene-LSAT_3X63880 transcript:rna-gnl|WGS:NBSK|LSAT_3X63880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLNDLINLNLTESTTKIIAEYIWIGGSGMDLRSKARTLPEPVTDPKKLPKWNYDGSSTGQAPGEDSEVIVWPQAIFKDPFRGGNNILVICDAYTPAGEPIPTNKRHAAAKIFSDPKVEKEIPWYGIEQEYTLLQKDINWPLGWPQGGFPGPQGPYYCGIGADKAFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDEIWAARYILERITEIAGVVVSFDPKPIKGDWNGAGAHTNYSTKSMREEGGYEVIKKAIEKLGLRHKEHIAAYGEGNERRLTGRHETADINTFKWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTAMIAETTLLL >cds-PLY93869.1 pep primary_assembly:Lsat_Salinas_v7:5:331994732:331995184:1 gene:gene-LSAT_5X185380 transcript:rna-gnl|WGS:NBSK|LSAT_5X185380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRQFIENTCKSTPSYNLCLSILLANPKSQNADLTGLALFVVDAVKNKGVKTLQQIDSLKKSMPELTPTLMQCGDVYKIVVGVDVPLTINALNLGNPKFGEDGMADTTIESQACERSFQEHGQTSPLTNMNKDMEDVANVARAIIRMLL >cds-PLY71424.1 pep primary_assembly:Lsat_Salinas_v7:MU043955.1:77809:78668:1 gene:gene-LSAT_0X15161 transcript:rna-gnl|WGS:NBSK|LSAT_0X15161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQQGEIEGSGSSPSFSFYASDTSTSMAIAKVIREEQASRFRESGDSDEDDFRFSLDLSEEEVSAKEIDSQGWTIFPLFNRDLLVNDEAKSKDNEINASGSITGQLRKLFIEEGEESSSCSSSDADDLEVLPSGTFCVWRPKTEGGSSSVMTKIKKSSSTGSLLKKWKLRYMLRRSNSEGKDALVLLTPKQKRNSGEVSKVAGKLKTQTPVHELFYVRKRAENEVGKRKSFLPYRQVGLFTNVNEMAKMLPF >cds-PLY63921.1 pep primary_assembly:Lsat_Salinas_v7:MU045790.1:123:1799:-1 gene:gene-LSAT_0X46160 transcript:rna-gnl|WGS:NBSK|LSAT_0X46160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAISSLILVIVVVSAVVGTTQSKNHSQMGGDSQSPSAMVSIKAVCDSTLYPDTCYSSLAPLVNSTNIHPDQLLKLSFLVAIEELSKASTLPELKNCSELLELAIDHLNDTLSTDIDLKSIGSLLDDLMTWLSAAGTYQQTCIDSIQENGVGYLKKSTELTSNSLAITKGFSNAASSFNQRRRLMSIAEGSDDEMPEWLSVKDRKLLQKTKLPAGIKADVVVAQDGTGKYKKISEALMAVPDKSKKRFVIYVKKGVYFENVRVEKPKWNVMMIGDGKDSTIVSGRLNVVDGTPTFQSATFAVFGKGFIARDMGFRNTAGAAKHQAVALMSTADLSVFYRCRIDAFQDSLYAHSNRQFYKECNIYGTVDFIFGNSAVVLQNCNILPRRPMTGQQNTITAQGRFDPNQNTGISIHKCTIWPYGNLSDVNTYLGRPWKNHSTTAYLNNMMGSFIHPKGWLPWVGTTAPDTIFYAEFANYGAGAAIKNRVTWKGLKFITTKQATKFTVKRFIDGDKWIKAAGVPYTSGL >cds-PLY91574.1 pep primary_assembly:Lsat_Salinas_v7:1:12020235:12022862:1 gene:gene-LSAT_1X10140 transcript:rna-gnl|WGS:NBSK|LSAT_1X10140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPAATALQLRSSGMPPSLSGLNPMISMCNYATSSSSPRYALSSGNRCRICRCSIESATTVPDRAWVVLKESRSGSRLSWFRTSSTSSDKGFPISAMNSEDDGGGGGETEKGLLASEVKPSRLNRRQRSSLGGSCSPPPLLPGNPDLLRIPGVGPRNLRKLVENGIAGVAELKKIYKDKFYGKSNQKMVEFLQSSVGIIHRNHAESITTFIKESVNEEMKDGGANSDAIPAQKKRLTFCVEGNISVGKTTFLKRIANETLELQDLVEIVPEPIDKWQDIGPDHFNILDAFYSEPQRYAYTFQNYVFVTRVMQEKESSGGIKPLRLMERSIFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMLRKREEEGGVSLDYLRGLHEKHESWLLPFQTGNHGVLSVSKLPPHMDASLHPGIKDQVFYLEGNHMHSSIQKVPALVLDCEPNIDFSKDIEAKQQYARQVAEFFEFVKKAKEVPESGSSQSQVLSPHSGGLWVPPQVQGKNFPESLKSLDLRKAMSLMSRTGST >cds-PLY75254.1 pep primary_assembly:Lsat_Salinas_v7:7:65941412:65941609:-1 gene:gene-LSAT_7X48020 transcript:rna-gnl|WGS:NBSK|LSAT_7X48020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGKSDLQGSQEVMRLVGDDRRKQQLTTTVVHSSGDDGDRGCSDGSAVSFSPFPGELDEREGWEE >cds-PLY74002.1 pep primary_assembly:Lsat_Salinas_v7:1:32519342:32523892:1 gene:gene-LSAT_1X29601 transcript:rna-gnl|WGS:NBSK|LSAT_1X29601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NPX1 [Source:Projected from Arabidopsis thaliana (AT5G63320) UniProtKB/TrEMBL;Acc:A0A178UIE5] MAPTFPVEFAGQRVSKKLSSQNNFTQMGKTRKVSKGYSHGFVPNYRHAVDTMANSEGFGSSGRLDTEMTASEDSCAPNRKSLNLNPDSSQHFGVPIQVLSLSKLSSVERKELGLRLKHELEQVRTLQKNIGMVSANSNVGVLSPSDVHSCSAGQRRPIPEYSRSMSLPMPKRKGPPGRSGGSRSKKSGSARVESTKKALPPTSGTGNAMLMKQCEALLTRLMGHNFGWVFNTPVDVVALKIPDYYTVIQHPMDLGTVKTKLMSGRYMDPWAFAGDVRLTFSNAMTYNPRGNDVHIMAETLSKYFEVRWKPIEKKLSVATEAVVPMRQNVVEPETETPVSMPPYKKKKTTSFGNETKQEAVKRTMSDAEKRKLSIELESSISDLPERIIDFLKESSSHGNATVEDEIEIDIDTLSDDTLFKLRKLLDDHFLEKQKNMVKAETCEIELHNESGFSNSSMQACKANEGNEEDVDIGGDEIPISSFPPVEIERDTAVRNSKSSSSSSSSSGSGSASSESDSGSSSGSESDDAKAPTIVNNAKDTMTMGSEVNNTEQIPVPDDAKDCVDGEQKCDAGESEGHQEGESDAPASAASEKEKERERQVSPDKLYRAALLRSRFADTILKAQEKTTGKVEEQDRERLRLEKEEVEKRRKQEKARLEAEAKAAEEAQRNAELEAKRKRELEREAARQAIQKMEKMVDINDNSGFLDDLEMLSVAPAQPLESLIDETSADCGLGLVDPSPLPLGLGGGGGGSGSAFNFDGKRNPLEQLGLYMKDDDEDEEEDDELAPPTQVDDPEEGEID >cds-PLY74116.1 pep primary_assembly:Lsat_Salinas_v7:9:12013795:12013974:1 gene:gene-LSAT_9X8461 transcript:rna-gnl|WGS:NBSK|LSAT_9X8461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANPDPLFLKVHLHYNSVFTRHPHRYAGGDTFMFTDHDLSGMDLHRCCEFIERFVGEPF >cds-PLY95232.1 pep primary_assembly:Lsat_Salinas_v7:6:97301154:97301869:-1 gene:gene-LSAT_6X65181 transcript:rna-gnl|WGS:NBSK|LSAT_6X65181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFGCTLQKKVFVVGHDWGAHAAWHLGLYRPDRVKGIVALGVVFSARSPDISPIQSLTKPFRDSFYMTQFQESGRAERAFAKYDWLTLMNKFLLINHGDVLVAPLGMEIIDHMETPSSLPPWITEDELQTYADKFQETGFIGGLNYYRAIDL >cds-PLY73466.1 pep primary_assembly:Lsat_Salinas_v7:4:179503972:179504763:-1 gene:gene-LSAT_4X106061 transcript:rna-gnl|WGS:NBSK|LSAT_4X106061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKIFKQRHRTKLLKQVYRLEMFKKLHRTKLMKQIEDHLEADLEGDVNKIEDNLEMGVDVNEIEDHLEAVQEDVSIQDLDVNA >cds-PLY87562.1 pep primary_assembly:Lsat_Salinas_v7:3:166535202:166537662:-1 gene:gene-LSAT_3X102840 transcript:rna-gnl|WGS:NBSK|LSAT_3X102840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGRLRLPIALMYSIQIQESEFSTQLSICCYTHCSLKNPLTLMSYVTPVMALLTALLSLILNPWDEFRSSSYFDTSRHIIRSCFLLLFGGMLAFFMVLTEYILVSVISAVTMKEXQISDLTIPESMQLAVGNVLGAVFDGQNDENGSASNAQITQITKMPLVLPVEASIFALFLIVLLGAFYVVHSVWVAAEAYSAPSIVLTSHSHDGLHVFDDFREAYAWLSHNTEVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKDAWQIFNSLDVKYVLVMFGG >cds-PLY96506.1 pep primary_assembly:Lsat_Salinas_v7:5:337489411:337491564:-1 gene:gene-LSAT_5X189480 transcript:rna-gnl|WGS:NBSK|LSAT_5X189480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLSHNRPISDVSSDLTIDVGSASFALHKFPLVSKSGRIRKLLMEAKDSKVIRINLHNVPGGSEAFELAAKFCYGVNVEINLANVAKLRCTSHFLEMTEEFSDKNLETRTETFLKETVLPNITSSISVLHHCENLLPVSEEINLVTRIITAIANNACKEQLTCGLSKLESNYPSKPVLLPSESDNWWGKSLTVLSLDFFQRVLTAVKTRGLKQDMIARILMNYAQNSLQGLFLRDPQLLKGSFSDLELQKKHRVIVEMIVSLLPTQSRKSTVPMAFLSSLLKSSIMASTSTACRSDLERRIGLQLDQVILEDILIPANSHGNNHTQLYDTDSIFRIFSIFLNLDEDDDDDDNLMRDEGEMVYDFDTPSSPKQSSILKVSKLLDCFLTEIAIDSHLPASKFIALAELLPDHARLVNDGLYRAVDIFLKVHPNLKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNHLFFGSLNTQFPQRSSSGAGSGCISPRDNYASVRRENRELKLEVTRMRMRLTDLEKDHVSMKQELVKSHPANKLFKSLTKKLGKLNTLFKFKDVRGVGSRPGSESRFLFQKRRRNSIA >cds-PLY95343.1 pep primary_assembly:Lsat_Salinas_v7:5:267812073:267812587:1 gene:gene-LSAT_5X138801 transcript:rna-gnl|WGS:NBSK|LSAT_5X138801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEIITWRGFRNIPPATPSKRSKGKKNRKVTLNSNPKSVPLSLGLLDELLKARRFVIDPPRVGHNLILGDFLWSDPSMKPGLSPNKERGIGFLWGPDYTQEFLQKNNLKLIIKLLMQDKKKGLV >cds-PLY81512.1 pep primary_assembly:Lsat_Salinas_v7:8:159209793:159210495:1 gene:gene-LSAT_8X106200 transcript:rna-gnl|WGS:NBSK|LSAT_8X106200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSGDAPPSFSTGGLNHIDQEQFQVDAQPQSWQNQPPSQGSMGHGQGNSVNQFQDGSSYRGLPQPDPFVVGDSEKGPSFTALGHGQGISYNQDGLSNRDQYGVSGQPLSVGAMVKGGFSNPSGGGIDHYGDDSAPGLSDVARREKSQTGGSSADIQGSSDPLEFVGMSLVGKNFKKYYPDAYYKEKDDY >cds-PLY78381.1 pep primary_assembly:Lsat_Salinas_v7:9:9294048:9296596:-1 gene:gene-LSAT_9X6080 transcript:rna-gnl|WGS:NBSK|LSAT_9X6080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSNGIVDTLMYDFLRKSGGVAVIDGGLATELERHGADLNDPLWSAKCLLTSGNLVRQVHLDYLEAGADIIITASYQATIQGFEAKGFSKEESEALLKKSVDIALEARDIYYEKYGESCRPILVAASVGSYGAYLADGSEYSGDYGNAMNLEFLKSFHRRRVQILAESGADLIAFETVPNKLEAQAFVEILEEGIKIPAWFSFNSKDGVNVVSGDSLSECAKIADACKKVVAVGINCTPPRFISGLILAIKKVTSKPILIYPNSGETYDAEIKQWVKNTGVADGDFVSYVNKWCEIGASLIGGCCRTTPNTIKAICRTLPSRSA >cds-PLY62124.1 pep primary_assembly:Lsat_Salinas_v7:6:46752453:46754000:-1 gene:gene-LSAT_6X33780 transcript:rna-gnl|WGS:NBSK|LSAT_6X33780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDHKNVEVPPFFVCPISLELMKDPVTLSTGITYDRESIEKWLYAKKNNTCPVTKQVLSDLDLTPNHTLRRLIQSWCTLNPLSGVERVSTPRIPISKSEILKLLKDLKYPNLQMKSLKRLKVIVLENETNKRSMEAVGAVDYLAHILSDQKNVTSSSPAAEDSDVEGFELVTPADEALGILYHMQLSQTGLQGLFAKTGDFVETLTSVMQRAANYESRTYAVMLLKSMFEATSQNMQVTSSLKPEFFMELVKILNDQISQKATIATLKLLINVCLWGRNKIRAAEAAAVPALIDLLLDSSDKRLSEMVLLALDLLCQCAEGRSELLKHGAGLAVVSKKIFRISQLASDRAVRILHSVAKFSGNTTVVTEMLHLGVAGKLCLVLQVDCGSKMKEKAMEILKMHARVWNNSSCIPSNLLISYPS >cds-PLY99190.1 pep primary_assembly:Lsat_Salinas_v7:6:129891173:129893302:-1 gene:gene-LSAT_6X79121 transcript:rna-gnl|WGS:NBSK|LSAT_6X79121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVSCFNNGFPFQPQYSLHHHHHHDSSLQEANPAVVSFDMTKEKIRKLFNNVELSVSSYDTAWVAMVPSPNSPDSPCFPDCLKWLMENQLNDGSWGGGLLHHHSSLPKDTLASTLACVVALKRWNAGEHHINKGLCFIESNFASATDKNDQRSPFGFDIIFPDRLAEITKQPFDDVYAAAQMCNASQIMHQEELAFGEAKDFLDQTILNASIFSEVEEALNSKVFHGPKVASSLE >cds-PLY71868.1 pep primary_assembly:Lsat_Salinas_v7:3:60066201:60067903:1 gene:gene-LSAT_3X47760 transcript:rna-gnl|WGS:NBSK|LSAT_3X47760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVNEAGETARRDYVDPPPAPLLDMGELKLWSFYRALIAEFVATLLFLYVTVATVIGYKKETDPCGGVGLLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAIAYMIAQSLGAICGVGLVKLFMKSYYNSLGGGANSIAPGYNKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNNEKVWDDQWIFWVGPFIGALAAAAYHQYILRAAAIKALGSFRSNATN >cds-PLY83317.1 pep primary_assembly:Lsat_Salinas_v7:1:63689121:63692739:-1 gene:gene-LSAT_1X53540 transcript:rna-gnl|WGS:NBSK|LSAT_1X53540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNSQINQSHSFHNLFTLQKPFLARDLDATHHSSSSAYSPTTILLLPTTTKKFNATHSRSTRPTSAGGKIKAIKNPLLANITKVSGVIHTKSTYLFSVTPSRVLDDALSFVWTYVLLEFVSKDLDSKGEPKRVKTKAPYDTFGGQYTFHFDVPDDFGEIGAVLVENDYRNKIYIQKIELSDKVTFTCNSWVHSSRDNPQRRIFFADKCYLPSETPEALKSLRKKDLEFIRGTGEGERKAFERIYDYDVYNDLGDPDNKKSDLARPVLGGKKFPYPRRCRTGRKMSSTDPLTETRSSDPFYVPIDEDFSEIKSVSFGARTLYNLLLSVIPRIGTALIGKDEDFLLFEDIDQLFDEGVLINGSDKEAASVLPRIIHEVADAPDDLIKFDPPETVRRDTFFWLRDDEFSRQMLAGLNPCSLQLVTEWPLMSKLDPKVYGPPESAITKEIVEREIKGFMTFDEALAQKKLFMLDYHDLLLPYVSKTRDLEGVTLYGSRTLMFLTPAGTLTPVAIELTRPSSEWQPQWKHVYTPSLGATGSWLWKLAKAHVLSHDSGVHQLVSHWLRTHCAIEPYIIATHRNLSQIHPIARLLHPHFRYTLKINALARQSLINAGGIIESTFSPGKYCMQLCSDAYDLLWRFDHEALPADLISRGMAVEDETAPHGLKLTIEDYPYANDGLILWDAIKQWVTSFVNNYYPKASLVESDEELQAWWNEIRTVGHGDKKDESWWPHLKTQDDLIGIVSTIIWVASGLHSAVNFGQYDFAGYFPNRPTIARTKMPNDDPTDKEWQAFLNRPEDALLKCFPSKKQATIVMAILDVLSTHSTDEEYIGKTVEGPFEAEPAIKKAYEEFRARINEMELIIDSRNADHNLRNRSGAGLVPYQLLKPFSEAGVTGKGVPNSVSI >cds-PLY76630.1 pep primary_assembly:Lsat_Salinas_v7:4:115980621:116004587:1 gene:gene-LSAT_4X74600 transcript:rna-gnl|WGS:NBSK|LSAT_4X74600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRIGQGDKDDPYLFSTNNFVGRQIWEFDENYVATPEELEQVKQARCFFFNNRHKQKPCGDYLWRFQFLREKNFKQTIPREIVVEENEISYGIVTNTLKRSVRYWAALQASDGHWPSANNGCHYFMPPIIMCLYITGHLDTFFLAEDRKEILRYIYYHQNEDGGWGFHIEGHSIMFCTTLNYICMRMLGEGPDGGHENACSRARKWIIDHGSVTAIPSWGKTWLSILGLYEWSGSNPMPPEFWLLPSFLPFSPGNIWCYCRMVYMPMSYLYGKRFIGPITPLILQLRKELYSIPYHEVKWTKVRHACAKEDIYYPHPWLQDLAWDAIYVAVEPILTRWPFKNLIREKALTTTMKHIHYEDENSRYITIGCVENIXLNLRRHILLILNLCRHYVCLLVGWRILMGTISRSI >cds-PLY77537.1 pep primary_assembly:Lsat_Salinas_v7:2:165110684:165113355:-1 gene:gene-LSAT_2X86040 transcript:rna-gnl|WGS:NBSK|LSAT_2X86040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVRSGRGGGGSASSSAPRNFVSYRIFVSAMFTLLFFATASVLFSSHPAHFSDNSGMQTTGRAYMQRTFLALKSDPLKTRLDLMHKQANDHIVLVNAYAAYARKLKLEISKQLKMFEDLAQNFSDLSTKPTYHTALFETNEPLDEEVLRQFEKEVKDKVKVARLIIADTKESYDNQLKIQKLKDTIFAVQDLLTKAKKNGAFASLIAAKSTPKSLHCLAMRLMGDRIANPEKYRDEEPKPEFEDPLLYHYAIFSDNVIAVSVVVNSTVKNAEEPWKHVFHIVTDKMNLAAMTVWFKKKPVERGAFVEVKTVEGYTFLNSSYVPVLRQMESANSQNLYFGATRDANNNIRNQKHVSMLNHLRFYLPEMYPKLHRILLLDDDVVVQKDLTALWKLDMDGKVNGAVETCFGSFHRYAHYLNFSHPSIREKFNPKACAWAFGLNIFDLDAWRREKLTDKYHYWQDLNEDGGLWNSGMLPPGLITFYSRTKSLEKKWHVRGLGYNPSISMDEINAAAVIHFNGNMKPWLDIAMNQFKHLWTKYVDREMDYVQLCNFGL >cds-PLY89531.1 pep primary_assembly:Lsat_Salinas_v7:4:158428710:158429316:-1 gene:gene-LSAT_4X95401 transcript:rna-gnl|WGS:NBSK|LSAT_4X95401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTYQSSQENIIDDIKMQRIRNFLSRASRSDRVGLNLMLREGILPNVQDYDNITALHLAGSEGHDSIVELLLHYKVNVNLNDRWHKTGEETNKMTATGNRENITGDFSSSTGEGDKRDDSR >cds-PLY72773.1 pep primary_assembly:Lsat_Salinas_v7:4:372826493:372843153:-1 gene:gene-LSAT_4X183881 transcript:rna-gnl|WGS:NBSK|LSAT_4X183881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATEIDIPDFLSSKKDEREDYGLSSEILEEKIAEFSGNKVKQLEVAESVNTEQMETESETFFQALSVPIVSADEGTTESCKAVTTEDQEERKSTKIEEAKPFHDQSVQIVASKEETEEDKSINSQEDQREQKTEGSVESCSEIKKEEDTEEIKPNVEPDEKKDSITVKTEPQIESDDGIKDTIFEGGLQEKTYHGEGNEAEAVKTKEHIEDDVEETTFQHATTVAYVEEGQTLNAAPKDISKEEEVEDNRIDPQEAIEKCENGAKEEREQEHEESVDKFVQSSSEIEQKEDTEEIKPSVETEEKTEVIPEDCITSQTEPHVISAEHEIKNDEAIQSEETGLQKVEYKEEVKHSDSSLTEIKSESPIVKEASEETVSEKTGYEEGLQLSDSYNIALKENEMASKVEDEEKEQEDKSSDAQEASEECVKEEREQKSEENVNKIVDEETSSEKVEYEEEVKHSDSSNVEINEKTLPILSKDEDLERKQEGNTLEKDQGDDTVTSSHDATYEGGLKEREHHSEVNEPEVVKTKESIGEDTEEIRTSEETILEKVESEEGLQFYDSSNIALNENEIAYEEKEQEVYVSGKGQGDGDDVTSPQDATCEDIKETTIDEHASTEVAHLEEAQSLSPMPEEILKEEAEDKPSDAQEASKKCDNEAEEKSEQKPEEIVNKSAKSSSETEQGEDTEDIKPSVETEQQASEQYESGANEKIEQNPEEIVNKIEDTEEIKPSVEIDEKEQVKITDYEEDVIEVCAETQLEKGNFEGVEPSDNDKDQEKEKEQESIISEEDQGKDDITCSQDATTIENLPVFVKPRENIDEDTQEIKSSADTKDDIKIDEEALVNDDDQTKKSESPLVKEVYDATTSEKAESELNENEIVSKEENQEKEQELKMSEEVQGGGDDVTRSQNASSEDIKETTIGQQATPVANIEFEEQNQSVIDVQEDKKEQKSAESDNKIVQSGSEIEQEKDTEETKPSVEVCEEASSEKIEYKEEVNYSDSSNTETMVKEVCEETVSEKVESEEGLKLSDSVNIDLNENEIASKVEDEEKEQEVYVSGKGLGDDVTSSQDATFEDIKETTTGEHVTTVAYLEEEQALNSTPKEILKEEAEDKSTDVQEASEQDESGANEKIEQKPEESVNKNENAEEIKPSVETDEKEQVKITDDEDVTEVCEETHLEKGKFEGVEPSDKDEHQEKEKEQEQEQESIISEEDQGKDDVTFSQDATSIQDLPETKYDDEGKEPEFVKPREYLEEDTEEIKSSAETKDDIKIDEEALVNDDGQTDKSESPLVKEVCEATSSEKAESELNENEIVNNEENQEKEQEIKMSKEVQGGGDDVTSSQNASSEDIKETIIGQKATPVADIEFEEPNQSAIDAQEDKTEQKPDESDNKTVQSCSEIEQEEDTKEIKPSVETKQQELLHDDCITSQTKSQVESEEHEVKSDEPIQSDNGQKENVDSSPVNEVCEETSSEKVEYSEEVKETTNEKEIVNKVEDEEKEQEVYVSGKGLGDDVTTTSSKDATCEDIKETTVGQQDTTVAYLEEGQAIGLMPKEILMEETEEKPSDAQEVACISAQTESQEETTETEQKNEESMKEVYEETTKEVCDETSSKKVESEQEVKAIETFKDEEQEKDQVDMSTEGQGEDNVTSSQVAALEEDLQEKNYQEEGKEPEVVETRESVEENIEEIESSIETKENMEQQGLLHVITTDVCSSTEIEPKKETMENEEKIEEDAIVNDEFESPLVKEVCEVTGSEKAKPELNENEIVSKEENQEKEHELKMPEEVQGGGDAVTSSEDATCEDIQESTIGQHVTTVVDFEESKDDSALTKEILKEEIEDKSIHAQEASEQYDDNGAKDKIEESASIIFEQEMYTEEIKPSVETDEKEQQEVCEETDLKKTVSEEGINSDMYQNEKEIEILSKDEYQEKEQELNIPEKDQSEDEVICSQDTVAASEDVFFETRESMEEDNELISTNVKTKEKVEQGELPHAITTDVFTSAQVESQEEKTETEEKNKEPIEHDDDQMETPPIHSVKEVCDETSSEKVESEEGIKAIETFKDEDQEKDQVNMSAEGQGEDNVTTSQVAALEEDLQKKNYQEEGKEPEFFETRESIEEDTEEIKSSVETIEKKEDVVNDDGQTEKSESSLVKEVHEVTGLEKVESELNEDETVSKEENQAKEKDLKMSEEVQDGEDDVTSSQNASSEDIKETTIGQHATTVVNTDERQEQTEMTKEIFLEDLETVSEVQIPGVGLESEDSNMKEECLTTATHVDEEKETETKEVSSAETTEIGENKSLYEKEDVTQENQLNSTEDCSEISKDVILTKEVPLETQKEKGTDDLKEQIVEEYSNSTELQEKSTEDQKAPVISYSDPLEIDESPKQALESVCDVQTPEVVVDSEDSKVKEESIVSATTEYTDENNGEKEHEVIANETSSTENLELHGELKPMSKMVIEEYQVKETKPQPEQSDITPENIMENVILPEKDLADEKTTTSDEKELTDEVSSELGQPKGQIVEEIQAGPTETISETIEEEEITKKDEHLSHDSIENPNDTTTKEEKCFQDARTREIEPVEQQNDGLDEASKEKSKDSNETTEVKPQEDIVPSSSLVTTTEETLKTLPLDLESVYDVKIPELVPKSEDSNPKEDRLTSATTTYVDEEKQTVTKEISSDEKTEQTTKIGADDSLYEKEDMKEDVTQENQLNSTEDCSEMSKDVILNEEVPLNIPKEKETDDLKEQIVEEDSHSTELQEKSSEDQKETAHVIPYSDPIPVGVSEVRTDEISSEETTESKQVAEHLSISSRDAVFIKEDLEVIKPTSESVIEEDEVKETNPEPKKSDITSENIIKYTSEKDLADEKRDIKEDVTLAPENQIDNTENQSETIKNIILTDEVVVETEKEEEKNEVNEHVSEEDICPPELDKTSTSNQKDLDDEIEYSKPIPAGNNEVKEVKAESPTELENIQSAEAPDLEFEKKEKDDELISEIQTPETTLKMEMEEEHPEVTNDTCQTPVDGSLSEKKLVSIVPLEELASSTVEDQTKELVLETDKTQVDHSNISNKDRNLEFIEEEFPKILVTEEKTLEEDANKEKETLSESQADDLTKTTSDSISSPTEQGIEVRSEESISRGYDDIHKSEEKPTEVFEIASDVAEIVPEGSKVSESLPGNVQEASPMMLLEKNNPETTTTIEKITPEVAVIDVQKREKDFDYTPEAITVDAVNNAECSDIQSVQKKEVDENIEREIPTEKEPLELDAPVTPGTTTTEDLEISQKHVTDDLIKISKIQPEIQTYEGSACTVDNQSSNKPHLDESIERKLLTGNKDVANELGEPTTKPLIAEADDLKATKSSGTESQCSSEAFGEEKKMGNEKEKSQTAVAESLIEERETALTKDQQVDKEETGEKGTKTDEENEEEDEDDNQMIDAPVMVEASKDIEVKTPKKSHNILSGVGSKVKHSIAKVKKAITGKSSPSKPPSPKEKDQVST >cds-PLY63132.1 pep primary_assembly:Lsat_Salinas_v7:4:65176976:65178481:-1 gene:gene-LSAT_4X45420 transcript:rna-gnl|WGS:NBSK|LSAT_4X45420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASADDQHTNFKHFCRICKKGFMCGRALGGHMRAHGIGDDTGNLDDDDPPSDWEDKQGNKRMYALRTNPNRLKSCRVCENCGKEFLSWKSFLEHRKCSSDDGESLVSSPESEADDDDGYDDHHGGRKDCGGGASGWSKRKRSLRAKVGSFNSMCPSSEDEDLALAKCLMELSNARVDPVETDAEDSCASPTREEQRRNPMFTTAAFLSPFTRPPPPIDKAKGVATTPKGMFECKACKKVFNSHQALGGHRASHKKVKGCFAARNDQFDDTIVDDDVITHDQLFPSPKPISSYQVNQGPSAATPVPAPLVGAARRKSKVHKCSICNRIFASGQALGGHKRCHWLTSNMSDTTSLAKFNFHEHIEQLHRRALALPSQILNKSKALDLNLPAPADDIAGLRRDRLRLEVSTEINLHSWNVDHENVTDGDGKDQNRTGDQQDDQKNTSNLEKEATTTMEDDEADSKVKLAKLSELKDMSNVSGSSSSWLQVGIGSTSDVGPTHDP >cds-PLY88476.1 pep primary_assembly:Lsat_Salinas_v7:1:150398954:150400789:-1 gene:gene-LSAT_1X106300 transcript:rna-gnl|WGS:NBSK|LSAT_1X106300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, RBP11-like protein [Source:Projected from Arabidopsis thaliana (AT3G52090) UniProtKB/TrEMBL;Acc:F4J5R0] MNAPDRYERFVVPEGTKKVSYERDTKIINAASFTIEREDHTIGNIVRMQLHRDGNVLFAGYKLPHPLQYKIIVRVHTSSQSSPMQAYNLAINDLDKELDHLKAAFEAEVARVSGEY >cds-PLY70054.1 pep primary_assembly:Lsat_Salinas_v7:5:202215267:202217907:-1 gene:gene-LSAT_5X90401 transcript:rna-gnl|WGS:NBSK|LSAT_5X90401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIFEKFKIWSLLICVISQLGHGYYLPGSYPHKYVVGDQLSVKVNSLTSIDTEIPFSYYSLPFCKPPEGVKDSAENLGELLMGDRIENSPYKFKMHANQTEIFLCQTKPLSSEEFKLLTSRIDEMYQVNVILDNLPAIRYTRKDNFLVRWTGYPLGIKVQDTYYVFNHLKFTVLVHKYEETNVASVMGTGDAAEVIPSVNTPESDIPGYIVVGFEVTPCSVQHNAQSLKNLKMYEKYPSTIACESNTVTMAIKENEPVAFSYEVSFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLTHYEELDKEAQAQMNEELSGWKLVVSDVFRIPTYPALLCVMVGDGVQILGMAMVTILFAALGFMSPASRGTLLTGMLFFYMVLGILAGYVAVRLWRTISSGDQKGWASVCWKVACFFPGIAFFILFILNFLLWGSHSTGAIPFSLFVILILLWFCVSVPLTLVGGYFGAKAPHIEYPVRTNQIPREIPAQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVLILLVVVCAEVSLVLTYMHLCVEDWRWWWKSFFASGSVALYIFLYSINYLVFDLKSLSGPVSATLYLGYSLFMVIAIMLATGTVGLLSSFWFVHYLFSSVKLD >cds-PLY70774.1 pep primary_assembly:Lsat_Salinas_v7:3:136396276:136397816:-1 gene:gene-LSAT_3X91021 transcript:rna-gnl|WGS:NBSK|LSAT_3X91021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTKASVVAEKRRKVGNGEPKSPFSQITTEKETETGTSAGDHNVVSCFSVNGSTEELEFADLKDEFETIASCNLDTRASKPSTESKPPEMLKSKPSSTTTNCRRRTVPTAELEEFFTAAEKDLHKRFKDKYNFDIVNETPSEGRFEWVQLKP >cds-PLY77107.1 pep primary_assembly:Lsat_Salinas_v7:7:183031238:183031618:-1 gene:gene-LSAT_7X108020 transcript:rna-gnl|WGS:NBSK|LSAT_7X108020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHAIVAVLLFVISIATLVQASTEVDCPKQIKQQSMQYCHMFLSRIETTTAMKQEEQKPHDSLLQRCCQQLGKLDESCRCMEIREFVRVQQRGTVWDASRMKRLLQEAPNLPKICKLGPTFCKI >cds-PLY74586.1 pep primary_assembly:Lsat_Salinas_v7:7:35367756:35369796:-1 gene:gene-LSAT_7X27081 transcript:rna-gnl|WGS:NBSK|LSAT_7X27081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFYTLSPGIDFRLEDIRSMLDTKFLGTRTYGKQEKVDMFEIFQLKQNSRIHAGGTRTSKGFVGFGAEQVILVRDNYVKAEICEYVGKRALVLTIEECKGLEFLDEANWQRLWICEKNEELSKPMFDYWKGMCLVKVRKLNDSIAATCYSYLGDHERAGKFYLEKCGDIDATAECFLLARCYRDAAKAYAKGDQFSNCLSVCRKGEVFDKGFQYIEYWKEHVHVRSKEIEQLEQDFLESCALSYYERKDTIPVAQTLVLSASGIKNSVEANQ >cds-PLY87691.1 pep primary_assembly:Lsat_Salinas_v7:6:45737742:45742987:1 gene:gene-LSAT_6X33960 transcript:rna-gnl|WGS:NBSK|LSAT_6X33960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNDEDHKFQVLEATCSNTTTEYLYSLWNLVYQSHQQKQYSKPMPWIGMYIALASLLCVLAMVADLLHGLRNRKLWFPCKYFTLNAASLTVIAVAIKLPMDPNNLMPSLVDQATKFGSMSFMCTMMANLLPSLAIKDNKGLVSNIIALALLVISLVVNFCIQISTGILFFTPDDAFYPYKISILDRFIYVVGCVVPILMLLIIYACSALAILKSKQILELNYQRANQTALKDPELKAGRLTVEKLKQYVSNYWIMAGTGSPQFMTACSATTSAAGVICVASTGFLIYAIIINFPYLGYEKQDTSDYKWSMLVIFIIQSVGVILGTIAPLARCFATLSFELSINRIWKQIKVSKVESYWTQKLYDWKHSRIPFPSGSRKCKIVIQHLKIQRFQKTVVVACKMITMIIILFLICVLCCRGCWKWLKAMFSPSHHTSGQQPKEQLGKDKDLRGYVLQLENDIQFTERTLKRLLKSVNRLIQKAEKQPKNLMKLLSESLGFEGLGKFDSNHVPSLLSEEYVNCWSLPLVTLTSIAMSLPDIQKNMVDCLLNGVSEGLLYVTLVEESVINATDHDHAGIQKAAETLWVEVEVYHKWLGTKLPNPTSKVNTPGDILQWLRDKAKNKVLNVENMDITDRNDNWKYKSICANSMYRITETILLSYHDNIKEVNQEELFSHVSSMIADIIAACLTNLPQVILMKCHESVIEKREASVQAAAQLLGETTQIIIALQDRELPRLNPDELAFIDKWCAYLTNPFP >cds-PLY91842.1 pep primary_assembly:Lsat_Salinas_v7:6:32631684:32632295:-1 gene:gene-LSAT_6X26380 transcript:rna-gnl|WGS:NBSK|LSAT_6X26380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSVCISNSVDDARIPIRATYKNLYRWPESDAEFMKKTMAGSSQHRRDGPGIVDSISCRQLYLRSYTFSKKETAGRTKKCLDRVRQKVVAHQRNKRKGAEVGRGRRRCMVVMRRVKAVSRNAVSAVFKRLLLFCTVDSRIR >cds-PLY74625.1 pep primary_assembly:Lsat_Salinas_v7:7:36944248:36947635:-1 gene:gene-LSAT_7X27381 transcript:rna-gnl|WGS:NBSK|LSAT_7X27381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:2-succinylbenzoate--CoA ligase, chloroplastic/peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G30520) UniProtKB/Swiss-Prot;Acc:Q8VYJ1] MARYYSEAHICQCLSRLSTCRRNSPITIYGSRVKTGQEFVKGVLDLSCGFIHFGLKSGDVVAISAFNSDLYLEWLLAITFVGAIAATLNYRWSLEEALFAMEDVKPIMLITDNEYNTNWHSDFQAHYVPSLKWHVLMDTHNRYISTGNNILTTNMLKMPSPKPQSFNYTSTCNGVAIVCFTSGTTGRPKGVMLSHSALIIQSLAKIAVIGYTEDDVYLHTAPLGHVGGLSSALAMLMVGGCHVMMPKFEAESALEAIEKHHVTSFITVPAIMSDMISFIREKDTCKELIMVKKILNGGGSLSANLIKEATNVFSTAVLFSAYGMTEGCSSLTFMTLNDPTKQITSEQEEGVCVGKAAPHVELKISTEDSDSGHVGQILTRGPHLMIGYWGDRDHHIPMKSRWHETGDIGRIDDDGNLWLIGRMKGRIKSGGENIYPEEVESVMLKHSGISGIAVIGIPDSRLSEKLVACIQLNQNWTWTDSDSDSPSQTLQCISTQTLHQFCRTNNLTGFKVPKMFIRWNKKFPMTTSGKLRRDELKAQVMLHLNLLSSSL >cds-PLY78526.1 pep primary_assembly:Lsat_Salinas_v7:1:103392415:103392796:-1 gene:gene-LSAT_1X83900 transcript:rna-gnl|WGS:NBSK|LSAT_1X83900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAAVGVGVRALLIVLFCVMVAVFANLFAIHGFISCFDFSARWGVAILMEFSVYPVVMGVRMLIIY >cds-PLY80920.1 pep primary_assembly:Lsat_Salinas_v7:8:134020445:134021146:1 gene:gene-LSAT_8X93101 transcript:rna-gnl|WGS:NBSK|LSAT_8X93101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYLKKIKSLIGAKKVLRLLGTKLRLHKLQASKTKHRIIKKRSTTTKGGSGCLSLSFQSRFKRKRKEVHRYPWKKTKTVYVDQLFLEKKEVGIPVVEGITVNTVEGTSDKCGGGLADEMWESLVMGSPQMEGINERAEEFISRFRADLLVQEITARRS >cds-PLY99478.1 pep primary_assembly:Lsat_Salinas_v7:4:58205815:58206924:-1 gene:gene-LSAT_4X38821 transcript:rna-gnl|WGS:NBSK|LSAT_4X38821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRRKSKRVSKRAKKQIKEDRISRLPDDLIDHIYSFLDSKFSVQSSLLSRRWRNTWKGHPRLKFKTDLSTGHKFDNFVHKFLSKRKKDAEIPIIDIISNSIPIRLLKKIIAYSMSHGTKVLSILYMYDIPTRRGGFDLSLLKSHFLQDLYLDIDFELLKSPNLTWYLPTLTTLHLQRVTFTLDPPNDDGSLELFSSFSNLKYLVLLDCRLWNVRTFYITSSALENLTLICLVRSCQFVISAPNLSSFIYDHMTPSLLLANDLDLLEMVSFRTIYDRPTENPPNYVETMINTFHQLHKVKHLILDKDAVLYISRFCGLRERRPCPFVSLESLTLDEMPCSIFRKRVVFDDILSYFRSGSPGCEAYIEYID >cds-PLY88484.1 pep primary_assembly:Lsat_Salinas_v7:4:292357237:292357455:1 gene:gene-LSAT_4X150400 transcript:rna-gnl|WGS:NBSK|LSAT_4X150400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDIWDCFSHPSPRGDQVVSHSPVIEEAEYDMWMSRPPVPTDMDFIKDEEPHEDEGPYKDEEPLKRIRTTSR >cds-PLY82184.1 pep primary_assembly:Lsat_Salinas_v7:1:14012274:14016833:1 gene:gene-LSAT_1X12180 transcript:rna-gnl|WGS:NBSK|LSAT_1X12180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRGTSCWTSLIVFLLISPTIFNSSMSELDSSLSAKRRRMSEKVRKMFYHAYDNYMTYAFPHDELKPISKTFTDSLSELGNLKLENLPKGYNGSALTLVESLSSLVILGNNTEFERAVMWLSENLNFDVDARINLFECNIRLLGGLVSAHILATDSTNRLIQGTYSNQLLVLAQDLGTRFLPAFITPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGSLSRLTGDSRFEDAALHALRKLWGMRSSLNLLGTTLDVATGEWIEYSSGIGAGVDSFYEYLIKAHILFGKEEFWRMFQSAYLGVQKYFRYGSWYHEADMRTGRATYWQLTSLQAFWPGVQVLVGDVAAANLTHREFFHVWKKFGVLPERYLLDHQIVHPTEKYYPLRPELAESTYYMYQATKDPWYIEVGEAIVNSLNLHTKVEGGYASIRDVTTMQLEDHQHSFFLAETCKYLYLLFDDSALMGGNYVFTTEGHPLPVVSDWHERLPDSYIPRNWTSIKIETQKKQASAMSMQVCPANLLKPRLDNGQQIESVCHVPDTRDDHRCLTDDDCGIDSLNCRRRSCSMAGYCGLWLLI >cds-PLY62635.1 pep primary_assembly:Lsat_Salinas_v7:3:37001641:37003377:1 gene:gene-LSAT_3X27360 transcript:rna-gnl|WGS:NBSK|LSAT_3X27360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRALEWLRPVVETMAWDYCIVWQFGDDPSRYIEWTGCCCNGSRGVCRNVKEEIDATKQHFPQLCRDTYIKHSLKTKACEKLAKIPFHLPLYSGIHGEVAMSAQPAWIHDTFGTQVLIPVNGGLIELYTSKQVPRDEIVIELLTTQFNTFSAQHILNPKIEASPNVSYPWSEHSSLVSVDSTQVSPTQSDVNQPINLLGYDISGEAKEYAKTKQKTGKKHHQSNSLMAERNRRNRIKDGLFALRALVPKISKMDRASIVGDAIEYIKELQKNVQELEDELKGLEEDDLKSHEDEVEVCKQKRANQPSPVKKGHTKTEVKVEVHQIGAKEFLVKIVCGKKRGGFSRTMEIVDSLRLEVVDIHVTTCNGHVLNILKVEVKGKEVAAENLKDSLLKELGVLYAY >cds-PLY87243.1 pep primary_assembly:Lsat_Salinas_v7:1:51232906:51235089:-1 gene:gene-LSAT_1X42580 transcript:rna-gnl|WGS:NBSK|LSAT_1X42580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDNCKVLSDTYRLRWTLREDDNVIDIGLEGAIDIGNYMAFGWADPSNKKDYMLDADVAVTGITEEGMPFVDDYHITKYSACMKNKNGRAEGVCPDILYHNDEKVNNTILVYGHRKDGVSFIRYQRPIKSVDKNYDWDVDLKKNMTCIWALGSIRPPDSIQPLYLPENHGKTYGHVDVNISESVNECSGPLDAKNKQDQDLVIAEKNEPLVVTLGPALHYPNPPNPSKVLYINKKQSPLLRIERGVLVKFSIQAGHNVAFYITSDPVGGNAMSRNVSETVYAGGQMAHGVQSNPKELEWSPDRNIPNEIYYQSLYTPKMGWKIEVVDGGLSDMYNNSVFLDDQQVTFYWTLSKKSISIAARSEKKTGYLAIAFGEKMKNSFAYVGWVDGNGTGRVNTYWIDGNNVQSIHPTNENLTYVRCKNEQGIITLEFSRPLKPECDGDKKVECKNIVEPTSLLKVIWAMGTKWSADNLTDSNMHSSTSSKAVRVSLLRGSAEADEDLKPVLAVHGFMMFLAWGMFLPGGVLAARYMKNFNSDFWFKIHVYSQCSGLTITFLGILFAAAEVRGLHLNSLHVKFGILTLILGFIQPINAYFRPKEAPDGEQPLRQRVVWEYMHGYAGKLAVCIGVISIFTGLKHLGDRYNAENVKGLTCALVIWVLVGVVSVLYLEYVRGRSVRERSGGRGNFVLGDGEDEETDLLSPSTIDEGNMERIMGSSIRKEIQLEPLGR >cds-PLY71988.1 pep primary_assembly:Lsat_Salinas_v7:8:147527794:147531322:1 gene:gene-LSAT_8X99320 transcript:rna-gnl|WGS:NBSK|LSAT_8X99320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKFWSNQGDSDTEDEVTDSEQDDVNEGQEAAISAPGANKYLDNSDSDSEDGDSHKRVIKSAKDKRFEELSATIDQMKNAMKINDWVSLQESFDKINRQLEKVMRVTESDKVPNNYVKALVMLEDFLNQAMANKEAKKKMSSSNAKALNSMKQKLKKNNKQYEELINKCREAPESFEDQEDVEEVSEEEDEDESGSEIDDPTNAESESESGDDEDEEEEEDEPGWEKKMNKKDKLMEKQFKDPNQVTWDTVNKKFKEIVAARGRKGTGRLELVEQLTFLTRVAKTPAQKLEILFSVVSAQFDVNPGLSGHMPINVWKKCVQNLLVILDILAQYPNILVDDSVEPEENETQKGTDFDGTIRIWGNLAAFLEKVDVEFFKSLQCIDPHTREYVERLQDEPLFFVLAQNIGEYLERVGDHKASAKVGLRVVELVYYKPQEVYDAMRKLSEQDLENEEEPKAMGQGPISFVTTPEIVSRKPSFPESSRELMDSCVSHIYKHGDERTKARAMLCDIYHHAILDEFSIARDLLLMSHLQDNVQHMDISTQILFNRSMAQLGLCAFRAGLIAEGHGCLSELYSGGRVKELLAQGVSQSRYHEKTPEQERLERRRQMPYHMHINLELLEAVHLICAMLLEVPNMAANTHDARRKVISKTLRRLLEVNERQTFTGPPENVRDHVMAATRALTKGDFQKSFDVINSLDVWRLLKNRKNVLEMLQDKIKEEALRTYLFTYSSCYETLKLDQLSEMFDLSSGQTRCTVSKMMINDELRASWDQPSECIVFHEVEHSRVQGLAFQFIEKVAVLAESNERAVEARLGGGGLEGLPARRREGQDYAGVAGGNKWEGNMGYGGRAGSGGGGRAGYGGGGRVFGPGQGYQRNQSRGGSGYQGSRYHDGVYGGGGGRSSVVRGGQMDGSTRMVSLNRGIRA >cds-PLY91761.1 pep primary_assembly:Lsat_Salinas_v7:9:17423494:17423799:-1 gene:gene-LSAT_9X16260 transcript:rna-gnl|WGS:NBSK|LSAT_9X16260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVMTPRTPVVVILVLMVVIGGLQAAEICNMTEDGLMACKPSVTTEKPVYPSPECCKAVSGADMKCLCSYKESLILPSLGIDPGLALGLPVKCNLPAPPC >cds-PLY73479.1 pep primary_assembly:Lsat_Salinas_v7:2:99010053:99011613:-1 gene:gene-LSAT_2X43561 transcript:rna-gnl|WGS:NBSK|LSAT_2X43561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPDVTKCGPAELPIGVEPINCCPPITTNILDFKPPQSNTLRVRPAAHLVVNEDYIAKFNKAIELMKALPHDDPRNFMQQANIHCAYCDGSYKQVGFPNLEHQVHTSWLFFPYHRYYVYFFEKICGKLIDDPNFAIPFWNWDAPDGMQIPDIFTNKNSQLYDPLRDTNHQPPSVVDLDFNGVDKNLSRSELTSKNLSVMYRQMVSSAKTASLFMGRPYRAGDEPNPGSGSIEVSPHAPVHSWTGDPKQKNWEDMGTFYSAARDPIFYAHHANIDRMWSIWKTLGGKDFTDKEWLDSSFVFYDENADLVRAKVRDCCDSKNLGYVYQDVDIPWINCKPYRPSERAPVSYDHIPYTKNVFPGNLDKVIKVLVPRPKKSRSKKEKEEEEEILVIDGIEVKTDEFVKFDVLINCEDDGMSATADQLEFAGSFVNVPHNHDHGKNMKTRLRLGISELLEDLTADNDEKVLVTLVPKTNGSGISIQEIKIEYEVR >cds-PLY65955.1 pep primary_assembly:Lsat_Salinas_v7:4:137104035:137107852:1 gene:gene-LSAT_4X85240 transcript:rna-gnl|WGS:NBSK|LSAT_4X85240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPEKEIIFRSKLPDIYIPKHLPLHSYCFENISDFSERPCLIDGATGEVHTYAEVELTSRKVASALHQLGINKGDVIMILLPNSAEFVYSFFGASYLGAISTMANPFFTAAEIIKQAKASSAKIIITQSAHVPKVRDFASDNSVKIVCIDSAPEGCLHYSELISGDETKLPEVEISSDDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNLWIHKEDVLICVLPLFHIYSLNSILLCGLRAGAAILIMQKFDIVPFLQLIEKYKVTIGPFVPPIVLTIANNEELVDKYDLSSIRTVMSGAAPLGKELEDTVRMKFPNAKLGQGYGMTEAGPVLAMCLAFAKEPFDIKSGACGTVVRNAEMKIIDPETGASLPKNQRGEICIRGDQIMKGYLNDPEATKSTIDSEGWLHTGDIGYIDDDDELTIVDRLKELIKYKGFQVAPAELEALLLTHPDISDAAVVPMKDEAAGEVPVAFVVKSADSSITEDDIKKFIHKQVVFYKRINRVFFIDAIPKSPSGKILRKDLRAMLAAGVPN >cds-PLY72491.1 pep primary_assembly:Lsat_Salinas_v7:2:142640080:142642786:1 gene:gene-LSAT_2X70260 transcript:rna-gnl|WGS:NBSK|LSAT_2X70260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKVYVAIGNDVQEGFATLEWTLRKWSSSQISIVILHADINRDFVYTYYGKLPASYVNDESVDLLNKYQQGKLNKILQQYIDFCGEVKTETLNIEKYDEPIHKRILQLISGLRICKLVIGATFFRKFGNLIMGLKKIQQKKPNFCELYLISGGKLIFLKEENNEGFIEDDQGVMIAKLNKKRGTFKGWIGKMYPRNPKSPSDSPSSSSSADSPSIWERSAEEIEEYFNKLLDSNEDEEESGQASNAILENNGSTELDIPQDLNSRQKTGFLRVKICEAKDTIHLKRREAKESVQRYSKAEWAITLCNSRVDDIEARLNEETTKRGDLEKELEIVTEQLIETRNGIEQTRSTIDSTFEIQRELSNKLKSSTLSKSQSEEQLTKMIHRRIDMIQEIEKLRKQKDVMQRRIEFCRDKEAIETAARLNHLTFSYKEFTPDEIKSSTEHFSEHSRIKCSGDFTNVYRARINHTTVAVKLYEVSEEEFGSKVKILSRLQHPHLVAMLGFCTELKCIVFEYMHGSCLRNVLFSNGRKKQALNWRSRVCIATEVCSGLTFLHLSKPKPIVHGNLNLTHILLDRNNVAKLHGFRLDSSYNESDIRSDVKDFGSLVLQLLTGRNWSGILDEVNEASVMEVLDQRDGEWPLQVAMELARIAIRCSGGNTGMTMVMKEMEELRKKADEVGNSKISVDFDEETVIPSFFFCPILQDIMRDPHVASDGFSYELSAIERWFGMGHNTSPLTNLELEHNRLTPNHILRSLIQDWHNKRSISVS >cds-PLY62170.1 pep primary_assembly:Lsat_Salinas_v7:2:153427641:153428303:-1 gene:gene-LSAT_2X77300 transcript:rna-gnl|WGS:NBSK|LSAT_2X77300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRAMSTRRGRRGYDQLISEPTVDDYLLPEPQMIRSTTLPPNFYGDLPLKYVSAPKVPAKMDFIEKQVKKVSKVHPLFSLFEKRSRRKKATAKPEFSRYMQYLKEGGSWDSNSNMPVIY >cds-PLY74383.1 pep primary_assembly:Lsat_Salinas_v7:6:154203958:154205042:1 gene:gene-LSAT_6X93360 transcript:rna-gnl|WGS:NBSK|LSAT_6X93360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATGSGGPAAAKIVWNERQKRFETEDKQAYLQYELRNGGKLMDIVHTFVPSSKRGLGLASHLAVAAFNHAQSNSLSVIPTCSYISETFVPRNPSWKSVLYSEDLKSSI >cds-PLY97380.1 pep primary_assembly:Lsat_Salinas_v7:4:13607414:13609873:1 gene:gene-LSAT_4X8641 transcript:rna-gnl|WGS:NBSK|LSAT_4X8641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFHGVGLRRALELAFCIAGIWSAYIYQGVLQETVSTKRFGPDKERFEHLAFLNLAQSAVCLQWSFVMIKLWGKGKGVRAPWWSYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGSLVYGIRYTFQEYLCSLLVAGGVSIFALAKTSSKTINKLANPNAPLGYGLCFLNLVFDGFTNATQDSISARYPKTNAWDMMLGMNLWGTVYNTVFMFGWPEASGYEAVQFCKHNTEATWDILYYCLCGAIGQNFIFFTISRFGSLTNTTITTTRKFVSIVVSSVLSGNPLSRKQWGSVVMVFTGLSFQIYLKKKRPKRMKKKKKNV >cds-PLY91463.1 pep primary_assembly:Lsat_Salinas_v7:MU039433.1:95826:100314:1 gene:gene-LSAT_0X19321 transcript:rna-gnl|WGS:NBSK|LSAT_0X19321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSAFAINAPCFINASSLKKSSSSSKSPSFSARFTCNSSSSSSSSPSSSATPPRLIRNEPVFAAPAPIITPKWTEDMGNESYEDAIAALKKLLIERGELEPVAAARIDQITAELQTPDAKAPFDPVERIKTGFVKFKREKYETNPALYDELSKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVVRNVANMVPPFDKTKYAGVGAAVEYAVLHLKVEQIIVIGHSRCGGIKGLMTFPDEGPHTTDFIEDWVKVCSPAKAKVVAESGSSSLDDQCVSCEKEAVNVSLGHLLTYPFVRDGLVKKTLALKGGHYDFVNGTFELWGLDFGLSPPTSV >cds-PLY69821.1 pep primary_assembly:Lsat_Salinas_v7:6:2412785:2413166:-1 gene:gene-LSAT_6X181 transcript:rna-gnl|WGS:NBSK|LSAT_6X181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTGSTGSMTSRFQNVNVNFRNRNNPMVLCRCGVEGSFSISWTDKNHGRKFRGCTNYKDPSRYCKFFMWLDPPLPSEDYKKLMYQMHLALVGMADGNA >cds-PLY63407.1 pep primary_assembly:Lsat_Salinas_v7:7:147515710:147516120:1 gene:gene-LSAT_7X87340 transcript:rna-gnl|WGS:NBSK|LSAT_7X87340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSICYLALAFFVSQSSISPDFKLEKWSLRESGKLHPNPCIIKERDKRGPVCPHLHVYSGGGDGSSLEINFWVREYDVLVL >cds-PLY82815.1 pep primary_assembly:Lsat_Salinas_v7:1:85840911:85841731:-1 gene:gene-LSAT_1X72560 transcript:rna-gnl|WGS:NBSK|LSAT_1X72560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARMVMMVICVVVTCMVVAAPYAEAITCGQVVSSLTPCIGYLTNGGAVPPACCSGVKAVNSAAKTTPDRQTACGCIKSASASMSNINAGNAASLPNKCGVNIPYKISPSTDCSKVQ >cds-PLY97932.1 pep primary_assembly:Lsat_Salinas_v7:3:18465932:18466589:1 gene:gene-LSAT_3X14260 transcript:rna-gnl|WGS:NBSK|LSAT_3X14260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAQRAGAEIVYGSEECYSHSIELLKELGFPRGVLPLKDLQECGRVRETGFVWMKLKAPYEHFFEQTNTRVSYDTEVTAYVEKCKMKKMTGIKSKQLLMWVPIVEMSMEDANSHKIYFKIPVGIGKSFPITAFMTEEEKKKYIEEGI >cds-PLY80149.1 pep primary_assembly:Lsat_Salinas_v7:3:40377074:40378631:-1 gene:gene-LSAT_3X31000 transcript:rna-gnl|WGS:NBSK|LSAT_3X31000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWNTKWDWESLDISSPKKLQSTDWGIKEGEDIDGCFSLSDIGNKSSAKSSISESSLKEVVIKGSNLSFPNASVCSSDQSIGLKLGKRTYFENNLPGGNNTKTSSSSNTPVKKVKFSSQNTPIPRCQVEGCNLDLSSAKEYHRKHRVCENHSKSLKVVVSGLERRFCQQCSRFHGLSEFDGKKRSCRRRLSDHNTRRRKPQQSRNLSSSFYEGGEELSFVFNNQNRHGGHNRVTHSLGTQFPNDVSNSMPTLAFNRMMSSKGISAGVFDQGSDAGHDIRRALSLLSNDSWDSCEVDFGGLNQPMHRNGPTMAQHGVHVAHHGLPFSSPEYRWQVDHQSVVPHTHFEESHLLKAPYSSIQYGNPMDEILKPL >cds-PLY93545.1 pep primary_assembly:Lsat_Salinas_v7:2:173579796:173581410:1 gene:gene-LSAT_2X95641 transcript:rna-gnl|WGS:NBSK|LSAT_2X95641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACIPSQLPLDQQQSSQKMIRMKSLGLRASQDNPLIDCDDELSKSALFAFRAKEEEITKKKMEVKERVQAQLSRVEQETKKLAEIRNDLESLDDPSWKEGANVRKKVDLVNKELKSLGQNCLKKEKEYKEAVEAFNEKNKEKAQLVTRLMELITESEMARMKKLEEINKKLNPLPKHN >cds-PLY75648.1 pep primary_assembly:Lsat_Salinas_v7:1:101257754:101264701:1 gene:gene-LSAT_1X82240 transcript:rna-gnl|WGS:NBSK|LSAT_1X82240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSAELNLEKYRIPLDEILHVTSNFSPETRIGDGGFGMVHKGELSKDGQTRTVAIKRLNQDGYQGNNEFRNELEMVSSFHHPNIIAFIGYCDEANEMIIVYDYAKNGSLDHYLQKPDKMRSLTWAQRLKICLGAAKGLKYLHSGLGEHNRVIHRDMKSANILLDDNLEAKICDFGLSRFGARNLEDTQLLTKIAGTRFYMDPLYAERSRLTKESDMYSFGVVMFEMSSGTLVYNQKCFGDDDKPQYLFDVVRSCYDDDRKAARPDKLIDPDIKDHTDMKSFHIFNKIAHKCVNLKLEQRPTMETMIRKIELALEIQLNHQESPATRGLDSFLIPLEEIYLATQNFNQETCIGDYEYGVVHRGQLYERWQNRTMAITRLYPKSYLRWGQDFKKELRIISSLHHQNISPFIGYCDEANERIIVHEHAVNGNVANYLENLRSKLPKLTWAQRLKICLGAARGLQCLHLVLGEESSETKGSIHCENILLDENMEAKISFFGLSRQGPTCYNPNEHISDMFSFGEIMFEILSGRRATDINKYIDVEDQFEQLQEYYRNNRLNLFIDDYIRDQIDSPCLNILIDVAYRCIKRRSHRHIITEKLTYGRWSRRRRGRGTERETPYVASSRDLQFTMNEVVERIEDATDLDAYGN >cds-PLY80615.1 pep primary_assembly:Lsat_Salinas_v7:4:11374435:11375980:1 gene:gene-LSAT_4X7381 transcript:rna-gnl|WGS:NBSK|LSAT_4X7381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTDKTSDDGENEKLRYGVSSMQGWRTSMEDVHAALLDLDNSTSFFGVYDGHGGQALSKFYAKYLHQQVLKQEAYATGDTGTAAQKSFLRMDEMMCGQRGWRELAILGNKMDQFSGMIEGLIWSPKGNDLKGLNDNWSTEEEYKMMTCLPSLSQKEILVKLFQSVGTYNTYPGWIPSTRGPIS >cds-PLY76762.1 pep primary_assembly:Lsat_Salinas_v7:7:99020910:99021511:1 gene:gene-LSAT_7X67141 transcript:rna-gnl|WGS:NBSK|LSAT_7X67141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTFHITTPPPFTLAAPRHHFATNLRSLHRLHLRGRLRYTTTCSYKVAIEHEGETTELEVDPDETILEKALDSGMSVPHDCKLGVCMTCPAKLLSGSVDQSEGMLSDDVIERGYSLLCVSYPKSDCHIKIIPEEELLSLQLATADD >cds-PLY79380.1 pep primary_assembly:Lsat_Salinas_v7:9:2412680:2414985:-1 gene:gene-LSAT_9X5920 transcript:rna-gnl|WGS:NBSK|LSAT_9X5920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPVLHLFFVLLTLFFAPTSAVHHRRIASHNYRDALTKSILFFEGQRSGKLPPNQRMTWRRNSGLSDGSAMKVDLVGGYYDAGDNIKFGFPMAFTTTMLSWSVIEFGGLMKNELGNAKTAIRWATDYLLKATAQPDTIYVQVGDANTDHACWERPEDMDTQRTVLKIDRNHPGTDVAAETAAALASASLVFRKSDPAYSRVLLKRAIRVFAFADKYRGSYSDGLKSYVCPFYCSYSGYQDELLWGAAWLQKATRSRTYLNYIQANGVTLGADESDNTFSWDNKHAGAKILLSKAFLVQKVQSLHDYKGHADSFICSLVPGAPYSQTQYTPGGLLFKMDNSNLQYVTSTTFLLVTYAKFLTKAHKVVNCGGAIVTPRRLRTIAKKQVDYLLGDNPMKMSYMVGYGSRYPKRIHHRSSSLPSLSAHPAKIDCGSGFTFMHTENPNPNILVGAVVGGPDGHDQYTDERSDYSQTEPATYTNAPLVGTLAYLAHSFGQL >cds-PLY62631.1 pep primary_assembly:Lsat_Salinas_v7:3:37411831:37416399:-1 gene:gene-LSAT_3X27781 transcript:rna-gnl|WGS:NBSK|LSAT_3X27781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSETRSPMPLLYRRHSSGEVMKNLASVSSSLLPAFGTIVGDDSPLLKKYVIAPYDRRYRWWQAFLVVLVIYSAWSSPFELAFKDVATGSLMYIDLVVDLFFTIDIILTFFVAYLDKTTYLLVDDHKKIAIRYVTHMMFPMDVASTLPFQSLYRLFTGKLHHGQAFGFLNLLRLWRLRRVSELFSRLEKDTRFSYFLTRCTKLICVTLFAVHSAGCFYYWIATHHSPSEETWIGSIIQDFEDKSIWLGYTYSLYWSIVTLTTVGYGDLHAVNPGEKVFNIFYMLFNIGLTSYLIGNMTNLIVHSAVKTFMMRDSINEILRYASKNRLPEGLKEQMLAHMQLKFKTAELQQEEVLEDLPKAIRSSIAQHLFRKTVEKTYLFKGISEDLSSQLVTDLKAEYFPPKVEVILQNEIPTDFYIIVSGTMEVLTHQNGMEHFLTKLGPMDMIGEIGVFFNIPQPFTVRSKKLSQVVRISHHHFKELIQPLSKDGKTIMANFIQYLKDLKKEVQEEIPFLTDLLRDLNIEQMTSLNESRNHDEMSNYDQEGIQGTPIDAPSRSFPSMVIIHGHHPDDQTTDRGKTGKLVRLPETVEEILKLAEEMVGKRGTVIVMEDGSQVEDLDVLRDNDHIFIL >cds-PLY97160.1 pep primary_assembly:Lsat_Salinas_v7:8:245503769:245507961:1 gene:gene-LSAT_8X146341 transcript:rna-gnl|WGS:NBSK|LSAT_8X146341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMHWHEIPKINPSDHDSKFVKYCWNNLWRKGRIKMSFDVLSNGFVNSSQKEWVMKLARAEFVFKIEFPGEYKIIFELRTIHSKGGRKDTNLEGGCKSNIVSTGVYFAPLPTFIQILKQKSTMGFQSLPYVVSMFSALLWMYYAFIKKGDTFLLITINALGSLVEFIYIIIFLVYATPSVKKHTIMVVSATMVLCIVISLGSFYFLEGVSRALVVGWICVGVSVCVFAAPLTIVFQVVKTKSIDFMPFPLSCFLTLSAMMWFAYGMFTSDLCVTVPNVLGFVLGIIQMSVYKYYKQNRRKVPGILEAKEKEHIININTSNSEVYPVDSGQSSATETEEEKNTTKGGGEVIEHNKCRPRATVDVEPCGVEVVTISVKPVLIICTA >cds-PLY91803.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:316597:321350:-1 gene:gene-LSAT_0X1300 transcript:rna-gnl|WGS:NBSK|LSAT_0X1300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSTIMGAFGFGIGVPTGLVIGYYLFIYYQPTHVETPKVRPLVERDTKSLEQMLPEIPMWVKNPDHDRVDWLNKFIELMWPYLDKAICKTVKTIAEPIIKEQIPKYKIDAVEFDTLTLGNLPPTFQGMKVYSTDDKELIMEPSFKWAANPNIHVAVKAFGLRPTIQVVDLQVFASPRITLKPLVPSFPCFCQILVSLMEKPHVDFGLKLLGADLMSIPGLYRFVQELIKTQVANMYLWPKTLVVPVLDPAKAMKRPVGMLNVKVLRAMKLKKKDILGASDPYVKLKLTEDKLPSKKTVVKHKNLNPEWNEEFHLVVKDPESQALEIIVYDWEQVGKHDKMGMNVIPLKEITPEEPKVMTLELLKNMDPNDTQNEKSRGQIMIELVYKPFTDDQIPAESKDGEVIEKAPEGTPEGGGLLVVIIHQAEDLEGKHHTNPSVRMLFRGEEKRTKPVKKNRDPRWDEEFSFTLEEPPTNDRMHFEVVSTSSRMGLIHPKETLGYVDIQLGDVVSNKRINGKYNLIDSRNGKLQVELQWRTSS >cds-PLY93665.1 pep primary_assembly:Lsat_Salinas_v7:2:202129699:202133101:-1 gene:gene-LSAT_2X123501 transcript:rna-gnl|WGS:NBSK|LSAT_2X123501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPSDIESVLHFFRKNHLSVSESALIDDIFEKSQVGSSDFHNFLFPPSLPPLKIPAARCLPPPLKPAEDLATSDASSDQEFISLGSSTTDLCSSEFTNPYGIRIPTGANSHASSDRLSQFGTARDYHEFDMQNDLNWYKEKDEDYAMPMPSCFDHSDPFGRPTEDKYVTTLDQNNQNENLERVLDPLLDPLEKTNRLHKVWPTSIGYLKEGIEVTEYYEPDTKSTTLCSALKGIDLNDFHDFVGDSKRTHDFQDNTGEKDFDFNVNSDGAFDGEIQEFCDRDSAKVEEGEAIATNEDEYEVFDLRIIHRKNRTGFEENKDLPIVLNSVIGSRYVVTEYLGSAAFSKVVQARDLQTGVDVCLKIIKNEKDFFDQSLDEIKLLKLVNKHDPADERHILRLYDYFYFHEHLIIVSELLRANLYEFQKFNRDSGGEPYFTMTRLQVITKQCLEALEYLHGLGIIHCDLKPENILIKSYSRCEIKIIDLGSSCFQNDKLSLYVQSRSYRAPEVIIGLPYDQRVDLWSLGCILAELSSGDVLFPNDTLVFLLAKVIGMIGPIDSDMLMRGQETSKYFTNEFDLYRINEETKQQEYIIPQETSLEEQIQVSDTLFIDFISDLLEINPKRRPTATEALKHHWLSFPYET >cds-PLY86223.1 pep primary_assembly:Lsat_Salinas_v7:8:54886236:54886535:-1 gene:gene-LSAT_8X40560 transcript:rna-gnl|WGS:NBSK|LSAT_8X40560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIDKRMQLVVIASTPSHPEMLEAGRVEAEFQKENVVSMIPSADATNDDQPISHIGDQSEIDDYEGLLDIGIMPQVVSAVPLNVVYPDSYFEGEDFSGN >cds-PLY73807.1 pep primary_assembly:Lsat_Salinas_v7:7:69556477:69557765:1 gene:gene-LSAT_7X50080 transcript:rna-gnl|WGS:NBSK|LSAT_7X50080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAENNHRREEEADESELYSGWADLTHECLLNILTRLTVEDRWRGIMLVCKSWLQASKDPSLHSVFDLESSFESSFESRPRESFRWWTPQFERKIDNMLRSVVNWSDGGLTKIRVRHCSDRSLSLVAQRCPNLEVLSIKSCPNVTDESMVKVASECPKLQELNISFCYEISHKSLLTLGRKCTNLKILKRNFMNDLDPSRHLGIVPSDYLNACPQDGDSEAAAIGTLMPQLLHLELQFSKLTAKGLALISKGCQNLEYIDLSGCVNVTSRDIANSTSNLTKLKTVKKPNFYIPRSAFQGERYGHWSLYDERFQTDAFRI >cds-PLY97790.1 pep primary_assembly:Lsat_Salinas_v7:7:119957028:119959296:-1 gene:gene-LSAT_7X73641 transcript:rna-gnl|WGS:NBSK|LSAT_7X73641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDADSWAARLSSTSKRYQYALQSRSSDMFMGFEDIEVDDDIREEFPCPFCTGYFDVVGLCCHIDDEHHVEAKNGVCPICAVRVGVDMVAHITLQHGNKTYMQQKRKCRKGSSVSTLSLLRRELREGNLQSLFGGSSYIVPSANAAPDPLLSSFILPIVDDLGSTQSNSTAESVSVTKSTTEKVSERKAQSPPLSIEDKEERSRRSEFVQGMLMSTILGDEL >cds-PLY75831.1 pep primary_assembly:Lsat_Salinas_v7:3:69645850:69648908:-1 gene:gene-LSAT_3X53720 transcript:rna-gnl|WGS:NBSK|LSAT_3X53720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69200) UniProtKB/Swiss-Prot;Acc:F4I0K2] MASFPSFTQFCSLPRWHSNLPSIPSINLVKFPNVRLCSRFAITAVPRRKLAENTSDGEEDDSEKKTTRKRAPSRRRKKAELDVPEENSIPETIISNTDEKTSLPSESTTVPEKPQRRTRKKEIAIASTSSNLEEEEEEPEKKVTRRTRVKKKMDDTIDQSSETELSELEDDTDNEKDIQFDENVEEDISFTYSWPPLICCFGSTHHAFVPSGRRANRLLDHESHERMKDALWAPEKFVRAPGGCASDVALCLANIGSNAVLMGKIGDDDFGQALLYHLNENRVQTRSIRIDSKRTTSVSRMKMSKRGSLKMTCVNACAEDSLKKSEINVDVLKEAKMFYFNSFSLLDKKMRTSALQAIKISKQLGGLIFFDLNLPLPLWHSREETQSIIQEALELADIIEVTKQELEFLCGIVPSERFDTKDNDRSKFVHYDPDQIGSIRPDNLQLLFVTNGTSKIHYYTKEHNGSVLGMEDPPITPFTSDMSAAGDGIVAGLMSKLIVQPHLMTDKEYLVHSIDYAINRGVTQQWLQARTRGYPPKPGMEDDEFFSDPNGLRTISQKAFRTLIPVEEEEEEVSLDVKRDDGDDLEEDEGANVVIDGDYDSEDISDIGMEDEEPVRRVVNQVVGAKRRGL >cds-PLY92547.1 pep primary_assembly:Lsat_Salinas_v7:5:79222467:79225611:-1 gene:gene-LSAT_5X36521 transcript:rna-gnl|WGS:NBSK|LSAT_5X36521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANYSEPSSSLSFTSPSHVSNGSNGYNISSSSIPETRTNLEIISLNKLSSHLEKLLIQTDSNSNSDFNSSYSDAVIVVEGNHVCIHRCILAARSKFFCNLFNESKDSVEEDGKSKYIMSEILPFGNVGYDAFLVFLNYLYTGKMKSSPPEVSTCIHGECPHDACRPAIAFAVELMYASVIFQRRLLNFIEKAFVEDVIPILLVAFHCELTHILTHCIHRVARSDLDDITLEKTLPPEAARDVKSLRNTLPESAAREPKSEEDALREKRIRQIHKALDSDDVELVKLLLTESNITLDEAHGLHYAVSYCDPKVVKEVIDLDQADVNRRNARGYTVLHVAAMRKEPSIIVCLLSKQVSVFETTREGQNALGICKQLTRPKDYNTKTEHGQEANKDRLCIEVLEREMIRNTMGKDVIVSSSDMTDDLHMKLLHLENRVAFARLLFPSEAKLAMEIANAQTTSAYPVETGRRFFPHCSEVLDKFMLDDLPDLYFLEKGTPEEQVIKRSRFVELKEDVQRAFTKDKAELPSILTHGIKNRARKYS >cds-PLY73960.1 pep primary_assembly:Lsat_Salinas_v7:4:160019676:160020843:1 gene:gene-LSAT_4X97261 transcript:rna-gnl|WGS:NBSK|LSAT_4X97261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKLSVIGFSLKNLIPNSSSWITTCSEAMHALEEHGAFIAKYDGVPQELDDAIFLASRDLFDLPTDVKLLNTTDTPYHGYTGQIPSMPLFESLGIENATTTGGVEKITKVMWPSGNENFSKSVLMFSKAVAELYQIVMRMVAKSYGIEENCESLLESSVHVLRFMKYLCPQGDEGNPLGVVPHTDISFMTILHEKQVKGLQIKTKEGQWIEVDPSPSSFIVMAGDVCMAWTNGRIEAPYHRVMMQGKEERYSLGIFTFIRDLNIQIPQKLIDEDNPPRFKEFDHYKYIHYHRYTNDGKKSECPIESYCGIN >cds-PLY87700.1 pep primary_assembly:Lsat_Salinas_v7:6:45623760:45626084:-1 gene:gene-LSAT_6X34600 transcript:rna-gnl|WGS:NBSK|LSAT_6X34600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFQIYGALGILFFIFYLIPPASINATEIPQQHTKSSSTQINSNSVLVALLDSHYTELSELVEKALLLQTLEEAVSRHNITIFAPSNEALERHLDPEFKRFLLEPGNLKSLQNLLLFHIIPSRVGSKEWPEEDLASVAYETLCVEEAENHLPLTRENSGEKIVVGLAKVIRPDDVIRPDGVIHGIERLLVPRLVQEEFNRRRSLSSISAVLPEGAPVVDPRTNRLKKPATPAPAGAPPALPVYDAMAPGPSLAPAPAPGPGGPRHHFDGENQVKDFIQTLLHYGGYNELADILVNLTSLATEMGKLVSEGYVLTVLAPNDEAMAKLTTDQLSDPGAPEQIIYYHLIPEYQTEESMYNSVRRLGKVQYDTLRLPHKVVAEEGDGSVKFGQGEETAYLFDPDIYTDGRISVQGIDGVLFPFEQTVEKPASKVAPAPPTKKIAAEQKRGKLLEVSCRIAGAFGQDFQFKSCNLDL >cds-PLY88119.1 pep primary_assembly:Lsat_Salinas_v7:9:7585452:7587238:-1 gene:gene-LSAT_9X7060 transcript:rna-gnl|WGS:NBSK|LSAT_9X7060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQPNESEMETYLQTRLDIHDEKIEVLAKQMNSLTQSIHAMKDLINKLPKSIEKRIPEFQTPGGKPYLPNPKVETPLFKGPNNITGVFNEAPIYTADPRDWINKVEIFQEGNMEDYIEKFKALSALIPNLPLNPTPSSIQLPSVDDKKDSNSKPYSSYLVTTLTPKFESVLQMYHHYLISTGSCLTSYSKGELIVPLMSFLSIDSSNYFDKPRCKMKLPSSIWIGTLVTISEVKSTSGITMQGVATFSKVQSTFSRNEVALLLDAGEGITGVLDNSKRFAAKKHDFLTCVEGLKGFDSDELLNIDKRHDFKLSFYKIQQNRWENLILNERRIIGVGHSNIATSVDGCDAGPHFENNDPRSWFRSLLVYSGGFFFDVIRAKHPNRQEAWATSMDGDVFNFSSRMDHILSVGSCLHQDTDLKGTTPDYQWEGRGKAKVQGCQRTLVSMMSQRQYIEEVKANEKSVHRGECRENKKIVLAITQHGGDLALFESLMASTMWWVPAVKEFLGVMHSNPSCMLTRQILHILDLHLWITTHMSMLQMVWIVFQTLGLRTSLFQDGGIDRERVKY >cds-PLY90755.1 pep primary_assembly:Lsat_Salinas_v7:3:36417592:36419417:1 gene:gene-LSAT_3X28060 transcript:rna-gnl|WGS:NBSK|LSAT_3X28060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKRSTRKSSAATSNRSPSESPPKFKFNFSCFNNVSTSYSSPMKNTSNLNIISSPEPPTGISPAPPLTSLSKAPSTIVDLKEMASSNVDSIKRHLDFSHSEILKDMEASHSRLHKRFKIQNQACQETVNEAEKEFMKMNDRIKETRDAMKASYMELIAETQASTNRVCKTTIPELLQSVDRSIDALKSRYGIASTSS >cds-PLY79006.1 pep primary_assembly:Lsat_Salinas_v7:3:9106227:9107858:1 gene:gene-LSAT_3X8081 transcript:rna-gnl|WGS:NBSK|LSAT_3X8081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQIIYLAAHEYRAVAPDPRGYEDTTGAPIDDPTKFTTLHVVGDMVALITALGVDMVFVVGHDWGAMIAWSLCLFRPDKVKALVNLSVHFAPRKPHQKNVEIFRVAYRDDHYICRFHEPGEIEAVFASLGTKKVFKKFLTHRDPDPFYFPKDKPFGALYDTPVILPSWLSEEDFDYYTKKFEQTGFTGGINYYRCFDFGNFLFNMLGKWKESEYSGQSVPVGGLAYYVTAPCQGYYSFRMKKRNILRIMQTQVYHYKLLGNEFLMEMD >cds-PLY68118.1 pep primary_assembly:Lsat_Salinas_v7:8:34580035:34581573:1 gene:gene-LSAT_8X27941 transcript:rna-gnl|WGS:NBSK|LSAT_8X27941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVYLFILFLLSIFISFLIVLHISRHQNSVIPINWPLLGSLPATIVNAHRLHDYATDILTCNDGTFVFKSPLTTNTNKLCTTNPLDVHHIMSKNFSNYPRGHRFRKIFDILGDGFSNTDGDLWHFHRRTIMPLLKSPCFGSLLEDVIWNKVESGLLPVLEYLSMQEVEIDLQDIFERFSFDTICKLLLDHDPKSISLDFPYIPCEKALSEMAEVMFWRYIMPRNVRKLQQLLRVGNEKKLSDAWKTLDQFIYTIVAQKQDELNELMKCEFKDEKFKLSTALLREYKDQGGSSGNPDKFLRDVLLNLLIAGRDTTSSALSWFFYLLAKNPMIEDKIREELHRELEKKINVGKKDFRAFEFDKLVYLHGALSEALRLFPPVPYQHKTPLQPDILPSGHQVDRKTMIILSYYSMGRMKSIWGDDCMEFKPERWISVDGRIIRQPSYKFPAFNAGPRACLGKNMSFTQLKIVAATIICHYHVDLVEGHLVFPSNSVILKMKNGLKVTLTKREVK >cds-PLY63176.1 pep primary_assembly:Lsat_Salinas_v7:4:312460940:312466519:1 gene:gene-LSAT_4X158180 transcript:rna-gnl|WGS:NBSK|LSAT_4X158180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSMVERRLQVCIKMDTEEHESEWNAAQSIFISEDLLAAAKLQLQFLAVVDRNRWLYQGQTLQWAIYRYNAFWLPFIAKHSESKITSGPLVVPLDCEWIWHCHRLNPIRYKSDCEEFYGKILDNSNVVSSINQETSRKETEETWNKLYPNEPYEYDMSRASSTEFSESLYNGKTQSFSKYDFVLAVERQSPFFYQVSRPHFKKDLFLQEAVARYKGFLHLIRRNRERSLKRFCVPTYDVDLIWHTHQLHPLSYCKDMVELLGKILEHDDTDQNRSKGQKLDTGFSDTTKQWEETFGLRYWRAGAMYRGGAPSPITTTPCIPDPTTPTPSGDADSANGLQRLIHLPKINYIEILLEFVEIKNLPETEKGKVNVVFSKSHSDGIFNSKKKLVIQSESGQKQVAIFQCEPNGYLLFELVSTSKKPNSLGSCFFSLEEFHGKVSVEKWLDLDLNLNLVGSEPIQLKVAASCTFPILAPHVVQMLLHSSSPFQKGCCSFPCVTRFHKNWMWIVDAYGDEIIRLQFRDMKKTKGSEIVGFTRAEETLVVGQFLGQKWSLMDSLWSLTFPETKDSHFLLIGPHMVKLVYGRKLDYEPKHNNDKRTKENDFMTAVEFSAEYPYGKAVAMFDLKSGVLMLQEEWFALPGILSAFIVCKGRT >cds-PLY99120.1 pep primary_assembly:Lsat_Salinas_v7:8:70957863:70959348:1 gene:gene-LSAT_8X51000 transcript:rna-gnl|WGS:NBSK|LSAT_8X51000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLAIFFLGFLAILSPVHGRGGRGGGAGWKNAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTAMFNNGLSCGSCFAIKCVNDAKWCLPATIVVTATNFCPPNNALPNNNGGWCNPPNHHFDLSQPIFQHIAQFKAGIVPVAYKRVPCRRRGGIRFQINGHSYFNLVLITNVGGAGDVHAVAVKGSRTGWQQMSRNWGQNWQSNSYLNGQSLSFKVTTSDGRTVVSYNVAPARWSFGQTFSGAQFH >cds-PLY64874.1 pep primary_assembly:Lsat_Salinas_v7:3:17827604:17832407:-1 gene:gene-LSAT_3X12921 transcript:rna-gnl|WGS:NBSK|LSAT_3X12921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNHPPPLHTRLTCRRTWPNRIFALIYACNIVAILYHQTTILFHTTNTTVSVLMLVADIVLAFMWFTHQGFRMNPVHRRTFPENLPKDETKYPAMDVFICTADPYKEPPMVVVNTALSIMAYDYPTEKLSVYLSDDGGSKLTLFAFMEAAKFAKQWLPYCKKNGIMDRCPEAHFSSHYPRFPETHEIKSMYERMKVKVEESVVCGNVDLDDDDDKKYGHVFNHWNTEFTRVDHPTVVQVLLNGTIDNDVQGNVMPNLIYVSREKRKGTPHRFKAGALNVLLRVSHTMTNAPIVLTMDCDMYSNDPKTPLEALCYFLDPSKDSQNIAYIQYPQLFHGINKEDLYGAEFKFIFQINMTGLDGLIGPSHAGTGCFFRRGAFYGKPSSCTFEQSQSQNQRQAIQSNEVLARAHEVARCDFEAQTEWGSKVCYRYGSLVEDFYTGYLLQCQGWRSVFCLPKRPAFLGDAPMNLHDLLNQTQRWSMGVLDMAFSEYNPINYGSKILPFLQTLCYLHYTFWPIWCIPLFIYSILPQLAIIHSFPLFPKVSNPWCLLYVFSFIGAYCQELFEFILRGGTPRGWFNNQRAWIIRSLSSYIFGVIEYTLTKLHVSSSTFNVTNKVIDKEANIRYEKGMMEFGVESPFFYPISVVALVNLVALIVGIMKMIKNGGFEDLFVQLFLVGFGVLNSWPIYEAMFIRNDHGRMPLKITLRSIIIASMVYLTSPLVF >cds-PLY96166.1 pep primary_assembly:Lsat_Salinas_v7:8:269957894:269960023:1 gene:gene-LSAT_8X155761 transcript:rna-gnl|WGS:NBSK|LSAT_8X155761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSRDDYIKILLPLYEASVTCDWDAAKAIIDKRPELVRFAITDRYETALHIAASAEPTKLAEEFLKNLVNMMEEKDLELENRGGDNALFAAAVSGSPKMVDILLKRHKGMSIPLAASTYCGNHNMARYLYDASEKMKSLTQMDRIFTLNHCVTADMFDIALKILTDYPEIMDAPAESQFILDALSGKVDAVNKKEPIIIWKIVDSIFAKLYMKKRVSKKDHQALNLLTRVLNSTIKFNKLVIDKILFRRVEDGVQKYSGIVFNAAAVGNTCFIIELIRIYPHVIWMPNDDGHTIFHIAIMHRHQGIYNLLYEIGSRKYVIASWTDKKENTILHLLGLTIEKVQLQTQSRVSLLLQRDLLWFHDVEKMLPPPLREHKNKEGQTAYQLFFENNKDLVSGSLKWMKDSMVVATLIVTVAFAVAFTIPGGYDQENGFPIFIHEPTFLVFIIADAISLFSSSTSLLVFLSIITSNYGQRDFLYSLPRKLVIGLVTLFISVAAMMLTFVASFFVLYRNGLKWVPIIIGILAAMPVIVFAALQFPVWLDMFRSMYDSRYIFHPKRNMLYNKNPRL >cds-PLY73703.1 pep primary_assembly:Lsat_Salinas_v7:5:205559705:205562517:-1 gene:gene-LSAT_5X93661 transcript:rna-gnl|WGS:NBSK|LSAT_5X93661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVFVSSFLLLMQLPIIFTSQLSHTKPCETYNILYSSDFGLYLNGELVNKDWFCKFIKLHNANHCFIGKNFVNHCFEEDLFSDDLPVEAGRRVLRDLVIKETKKSVFRTKYMAMGGTGMLFLCCSFICPCFFRSKRKDTDDAALVKDSNSLESAPSVEMNSVSGKIPASPLRVPPSPGRFSMSPKLDRIGSVHLTVSQVARATQNFSPSLKLGEGGFGTVYKAQLQDGQFVAIKRASKEHFDALRSEFRSEIELLAKIEHRNLVKLLGYVDKGNERLIITEYVSNGTLREHLDAVHGSCLDFSQRLEISIDIAHGLTYLHLYAEKQIIHRDVKSSNILLNERMRAKVADFGFARLGAAESGKTHVMTKVKGTVGYLDPEYMRTYQLTPKSDVYSFGVLLIEILTGRRPVESKRVPEEKVTIRWAFGKYNKGEAMDLVDPQMREAVDEEIFEKMLSLAFQCAAPTRADRPDMKTVGEQLWAIRMDYLRQGRRG >cds-PLY90899.1 pep primary_assembly:Lsat_Salinas_v7:1:56356185:56356719:-1 gene:gene-LSAT_1X47561 transcript:rna-gnl|WGS:NBSK|LSAT_1X47561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSNTNTPIALFLAFNLLFFALASGCTTCPAATLKPDQSGATCPIDILKLGVCANVLGSLIGIIIGHPPVKPCCSLIEGLVDLEAAVCICTAIKANILGVVLNVPLSLTLLLNVCSKNVPKDFQCA >cds-PLY78339.1 pep primary_assembly:Lsat_Salinas_v7:5:210610223:210612149:-1 gene:gene-LSAT_5X96981 transcript:rna-gnl|WGS:NBSK|LSAT_5X96981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENFKSNPDQHEPKDTYKIAYIIHFLLGAGNLLPWNALITAIDYFGHLYPEKHVEKVFSVAYMSSSLLVLIIMISSSKFSRLITFQVRMNMGFTMFVVSLMVTPTIDWAWKPQPNEKSNATFYTVVASVVMCGLADGLIGGSLVGSAGNLPKRYMQAVFAGTASSGILVCFLRIITKASLPNDPQGLKKSAHLYFLVSTLILLVCMVFCHLLYKLPVMEHHYKSLQQTTSNSTIKFWEVARTIQWPAIGIFIIYTVTLSIFPGFLAENIESTLLKDWYPIILITIYNISDFLGKSFTAIFVIKSIAKATWGCMARLLLYPLFTACLHGPKWAKNEVFVVLLTVVLGFTNGYLTSVIMIMAPKSVPPSESEMAAIVMALFLGLGLVSGSVLGWFWII >cds-PLY88198.1 pep primary_assembly:Lsat_Salinas_v7:3:211723444:211724619:1 gene:gene-LSAT_3X124481 transcript:rna-gnl|WGS:NBSK|LSAT_3X124481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTTLPTLLFAGLFLAGILLPDPVASQSCNCGRQECCSKYGYCGTTQEYCGKDCRKGPCSLPAPNNNADVPGIVSTAFFNGIVAKSASNCPGRSFYTRDTFLRVLRDYPHFGRSGSIDDSKREIAAFFAHVTHETGHFCYIEETGGRSKDYCDRTKQKDYPCISNKGYYGRGAIQLSYNYNYGEAGKNLGIDLLRNPDIVATDQVVSFKTALWFWMEHAHWDFASGNGFGASIRAVNKIECDNGNAAAVTSRVSYYTDYCRQFGVQPGGNLRC >cds-PLY68961.1 pep primary_assembly:Lsat_Salinas_v7:9:140590894:140594771:-1 gene:gene-LSAT_9X90020 transcript:rna-gnl|WGS:NBSK|LSAT_9X90020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYQWRKFEFFEEKYAGKCLVPDEVAGKIECCSSGRGKIAVGCEDGTVSLLDRGFKFSYGFQAHTSSVLFIQQLKQRNFLLTVGEDEQISPQLSSVCLKVFDLDKTQPEGTSTPIPDCIQILRIFTNQFPEAKITSFLVLEEAPPILLIAIGLDNGCIYCIQGDIARERIKRFMLQVEKSQDKSQCGITGMGFCVDGKAFHLFAVTPTSVSLFNLQTQPAARQTLDHIGSNVNSVAMNDRLELIIGRPEAVYFYEVDGRGPCWAFEGEKKFLGWFRGYLLCVIADQRSGSNTFNIYDLKNRLIAHSIVTKEVSHMLCEWGSILLIMNDKSALCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADAAATAEVLRKYGDHLYMKQDFDEAMSQYIHTIGHLEPSYVIQKFLDAQRIYNLTNYLEKLHEKGLASKDHTTLLLNCYTKLKDVDKLNVFIKSEDGEIKFDVETAIRVCRAANYHEHAMFVAKKSGRHEWYLKILLEDLGRYDEALQYISSLDQSQSGVTVKEYGKILIEHKPMETIEILMGLCTEGASNGTSYSYMLPSPVDFLNIFVHYPRSLMNFLEKYITKVKDSPAQVEIHNTLLELYLSSDLNFPSMSLENGTTTNGNGKLVESPKEDTKNMENDHEERLQKGLLLLKTAWPLDQEQPLYDVDLAIILCEMNSFKEGLLYLYEKLKLYKEVIACYMRSHDHEGLIGCCKRLGDSGKGGDPTLWXRGLGKGGDPTLWADLLKYFGELGEECSKEVREVLNYIERDEILPPIMVLQTLSSNPCLTLSVIRDYIARKLEHESKLIEEDRRMIDKYQEETLTMRKEIQDLRTNARIFQLSKCSACTFTLDLPAVHFMCMHSFHQRCLGDNEKECPECAPEYRSVVEMKRNLEQNSKNQDQFFKQVRNSKDGFSVIAEYFGKGIISKTSKKESGGGSLNSNGNSTHGF >cds-PLY81618.1 pep primary_assembly:Lsat_Salinas_v7:1:50645343:50650214:-1 gene:gene-LSAT_1X43400 transcript:rna-gnl|WGS:NBSK|LSAT_1X43400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPDRLEASRESIGWIRRGEDMDQRVLLHHNRGSFGGFSLCSLHGMDKKRRCRVCATQGIEAIGAINPIHQVPAIVDGRFKLFESNGTMKEHRYLRFNRQVDLGQNCQVDVGGSIFLQQILDKGASSTKDGVVLVSVHHGGAGTTAVGLKSAVQWLCEMLKTNMDRGVQRHEDEFRNRGNSFGCIISASH >cds-PLY67450.1 pep primary_assembly:Lsat_Salinas_v7:6:70000575:70003630:1 gene:gene-LSAT_6X49900 transcript:rna-gnl|WGS:NBSK|LSAT_6X49900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 7.1 [Source:Projected from Arabidopsis thaliana (AT5G19640) UniProtKB/Swiss-Prot;Acc:Q3E9B5] MASNNVPLEISIIHDERAPIHQQPKPKRKTGGWAPAFLLLANQALATFAFFGVGVNMVLFLTRVIGQGNADAANSVSKWTGTVYLCSLLGAFLSDSYWGRYLTCAIFQLILVMGLVLLSLTSWLFLVIPSGCGDGVNHCFPTSTKGTMMFYLSIYMVALGYGGHQPTLATLGSDQFDESDPKHKEKGTKGAFFAYFYAALNIGSLFSNSILVYYEDIGHWTTGFWVSMAASVVALLLFLCGSFHYRYVKASGNPLPRVGQVFVAACRKWKVRVDDEKQLYEVEGLESAIKGSRKILHSEEFKCLDKAAVITEYDLMGAQNDWRLCTVTQVEEAKCVIRMLPIWLCTIIYSVIFTQMASLFVEQGAAMNSYIGKFHFPAASMSIFDIVSVLVCTLIYRTVLVPLVGRLSGNPKGLTELQRMGIGLIIGLLAMIAAGVTEVERLKRSIPEKHTSSMSIFWQVPQYVLVGASEVFMYVGQLEFFNSQAPDGIKSFGSSLCMASISLGNYVSSLLVHMVMSITARGDKVGWIPEDLNDGHMDRFYFLIAILTVFDLVLYVYCARKYKCISVEENGNQPKKEEA >cds-PLY71876.1 pep primary_assembly:Lsat_Salinas_v7:7:120653483:120656868:1 gene:gene-LSAT_7X72820 transcript:rna-gnl|WGS:NBSK|LSAT_7X72820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLLIALNNSHLQRNYQRERYFDVGSSSFYTPKESNKEIAPEKPLDDEIVLELDQMEKVYNIEDILSYRSAAEHELQVEGLGKHLRCVGIDVVAPHSRKPESRYIMSCFDDKVGKKEWDLLLWLLLLMFRELIDQAIKEKRFVLTRDAKLLRHEYLLRKKYIECKAY >cds-PLY69443.1 pep primary_assembly:Lsat_Salinas_v7:6:122271901:122273680:1 gene:gene-LSAT_6X72941 transcript:rna-gnl|WGS:NBSK|LSAT_6X72941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCAPNSPPSPSESLIEEEKEERTKKRKCRAKIQGQSQSSLSRGDTRAAANSFQSHTLSSQFTRYFRK >cds-PLY72109.1 pep primary_assembly:Lsat_Salinas_v7:7:57957838:57959199:1 gene:gene-LSAT_7X40921 transcript:rna-gnl|WGS:NBSK|LSAT_7X40921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESNEVIAPFIMKTYQMVNDSSIDDLIRWGNSNNSFIVVDSLAFSQRLLPAYFKHNNFSSFIRQLNTYGFRKVDPDRWEFASEWFLRGQVHLLKNIGRKRQVNSSRGKYSGNMRGDDEEEEEEMVMEIARLKQEQKALEEELVGMNKRLEATERRPEQMMALLCKVAEDPEILSRMMLEKVQRSKRLVDKKRQRRLLTPPTSLPSSSSFQISNSIKREEDYGCQRVGGDVVSSSEGYYSNEQLWRSPPSPVSPSTVWLWNKDTRSAGDDGVCFPVVSEPMNGSNRYSNHTGGGGGNNVYFGDGSTDPDVRPPPPPYPFSLLGGGF >cds-PLY74767.1 pep primary_assembly:Lsat_Salinas_v7:6:120603575:120603937:-1 gene:gene-LSAT_6X72060 transcript:rna-gnl|WGS:NBSK|LSAT_6X72060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKNNQFERDDEADVEDIHQEELEHEHLEDENGLEVGVEFRVHDPTVKWNQMKPTAGELYESPAQLRFALTNYVVANGYQLWFMKSDKSRVIVRCGKKMQKNHVLLGSMLRGSIMNVLFR >cds-PLY97711.1 pep primary_assembly:Lsat_Salinas_v7:8:7030609:7032631:-1 gene:gene-LSAT_8X4920 transcript:rna-gnl|WGS:NBSK|LSAT_8X4920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEVRPITRKRGQRGIAAFKKNYRPEEYNVKFNENNIPNGGMSTQFMSWFGMNVQHRFPIDEDPGKVKDKYFEDLWLEAKKQWNIESDDMKDYMKRRAVKLASNFKSRLVSKFAKSAKAKKNSAKKKSQNHVGRGGWPGLEKKAPIIWPQLVAKYEFLESIQNERSKLYLMSFAKKDKETKMYDLPQTAIENFKFLVMTTCDLLLKVADTELKVASGMAWPTSETVIHSKPVNEGCVKVQVDEIVEIYENLPVHAVTQTDEVEFVKHLLHSIVQWPRYALKLANKTPSKSNSGTRMGSNHSSPQIHVDDTTTSFYRPQFEENQFPYHHQMDANEPFQGGLVDMILSMNPQQIDLNALGSGSGPRL >cds-PLY82953.1 pep primary_assembly:Lsat_Salinas_v7:1:17289684:17292515:-1 gene:gene-LSAT_1X15020 transcript:rna-gnl|WGS:NBSK|LSAT_1X15020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSISVSLFSPLARSTHSRNWGIRSSSAAPPNVDFTSLESAIAKKDSDGVKEALSQLSEIGWAKKWGSQPYVSRRPTSLRELTILGMKNAENLAIPSVRNDDWGFFVPYLIGSISLVVLAVGSISPGLLQAAIGGFSTFFPDYQDRIARHEAAHFLIAYLLGLPILGYSLDIGKENVNLIDEKLEKLIYSGQLDAKELDRLAVVAMAGLAAEGLKYDKVIGQSADLFTLQRFINRSKPQVKPQEQQNLTRWAVLFAGSLLKTNQALHEALMSAMSNKATVLECIEAIEKAAV >cds-PLY88283.1 pep primary_assembly:Lsat_Salinas_v7:6:126496659:126498444:-1 gene:gene-LSAT_6X77341 transcript:rna-gnl|WGS:NBSK|LSAT_6X77341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQQIWEALEFHNSLHFHHRDTTSDNPWPWICRSLLLQLASKSHPTQVLTAGILEGWDPGFPHVGINKNRLTSANYTTWNASLHSGDLADVWVSYDAETQMLNLSWQYGAENTSGENTSLSYQVNLKEVLPEYKKMKLAVGITIPLSVLLVGGTVIFWRKNNHLQRSQETVALTSINDDLERGTSPRRFSYQGLILATNNFYDDQKLGERGFGCVYKGYLSRDGIAIAVKKISQGSKQGKKEYITEVKIISRLRHRNLVQLIGWCHDQTQFLLVYEFLPNGSLGSHLFNKRSPLEWTVRYKIATGLAFALLYLYEEREQCVVHRDIKASNIMLDLGFNVKLGDFGLARIMDHELGIQTTSLAGTLGYMSPEYITTVKANKESDVYSFGVVVLEIACGRKVNDRGDENSDLGLVQWVWDLLGKGQLLLRVDQMLRMEFDVKEVECLMMVGLWCAHPDRNVRPSIKQAIQVLKFEAALPNLPVKMPVAMYYAAPDASIVSFSGGTMTNNSMDLVRGTKIYVQNQCFKNRFFS >cds-PLY86317.1 pep primary_assembly:Lsat_Salinas_v7:9:34898095:34902455:-1 gene:gene-LSAT_9X33021 transcript:rna-gnl|WGS:NBSK|LSAT_9X33021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain protein 9 [Source:Projected from Arabidopsis thaliana (AT5G02030) UniProtKB/Swiss-Prot;Acc:Q9LZM8] MAEGFELCHIPQQSRRDKLRVVLQNHHTSDLQGCAGLGRLPLYDQSSQNLLACANIKSSLMASDSSNASDYMTARVIMDNISNESSDLQSVRDSGNDHCNFFYRHQDLRFIQHDHDQSPFHGGDFVALSLSSQYTHNGTPPPVELNLHSYGGGDDTPTAVMNHGLYTGYASILKGSKFLKPAKQLLDETCDVGFRFSAEKFPVNCGLIDPPPLDNLRTSVDDPSCGGAYKRKRSTLISLLDEVYKMYKYYYQHIQTIITSFETVAGLSNAAPFANLDIKAMSKHFHSLKNAITEQLQFSVKPHISYGKKEFMVSENFEKGHYGQMGFVEHQPVWRPQRGLPKRAVTVLRSWLFDHFLHPYPTDTDKQLLAKQTGLTRNQVSNWFINARVRLWKPMVEEVHTLETRQAHKLSSHIQQQEASCVSNSFSYQPMNQEPPSKRTRNDFMCDNKYNNQHIMEGNNEHMMSFHNNLSIHNVNSHATANRGVPLTLGLCQNNNIFTLSEAFSLNADGGSRRFVGGGGLDMQNRQAGRELIGDRVLHDIGG >cds-PLY85672.1 pep primary_assembly:Lsat_Salinas_v7:7:158026979:158027830:1 gene:gene-LSAT_7X93381 transcript:rna-gnl|WGS:NBSK|LSAT_7X93381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIALALAFTALVAFASAHSTIITTTIEEESTFSKQQCSRQLQGQRLNQCQRYLAQGQSLYEEDDRSQKQGGLQLCCQELQFVDEQCQCEAVKEAFREAQKMQQQQQGQQGGSFGSKQIRQMMQKAQNLPNQCKLQTRQCQVGKISITTFTTITEDTTYSRRGSQQQCEHIRSRQFNQCQNFIQRQMGSYATLLMSVSRQGQQPQGLEQCCNELQNVEEECQCEAMQEVYRQAQRQQQQGSQQRSRRGGQPQTQDLQQIVQSLPNQCKLEVQQCRIPSTMF >cds-PLY88363.1 pep primary_assembly:Lsat_Salinas_v7:9:18090455:18092275:1 gene:gene-LSAT_9X15700 transcript:rna-gnl|WGS:NBSK|LSAT_9X15700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKQYVSSSSPSLKIATTGGLITLSNLNLHFPSSPHCCRDPKSSSSSFPLIAAAKFVISHRNAVCYAVQESSAASTVAAETKEDKEGESKAAAAPAKPKAPAKAPVKALPEMMKEDVIPSLRSILETQQDISELELFFEDNKLEGSFMKKGIPYSFWALFPDGNITGPKGFSISSYGSTASNVEPFLVDEKKVTSKLLVFWVEKRLAAQGIIPVWTD >cds-PLY92775.1 pep primary_assembly:Lsat_Salinas_v7:2:149619336:149621529:1 gene:gene-LSAT_2X75560 transcript:rna-gnl|WGS:NBSK|LSAT_2X75560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQWDSSASQPEAPFQSKKLDNSLLYNKYLWATLIVCSLILSVAAMLYLCKKKKFLAGFKRRMEYMKDKIDAHELMLRKFQVEELENATNHFAKECLIGSGAFGNVYKGTFEGDITLAIKKARDDSYTSIKEFRNEVKLLSKVKHPNLISLVGYCEETGRGREPLTWRQRVNIAIQAAKGIAHLHEGIKPSIIHRDIKPSNILIGDRFEAKVSDFGLVRSGPIGDNSHVSSQIKGTPGYLDPAYCTSFHLSQFSDVYSFGVILLQLIAARPAVDSNRCRTSYHIIEWAKPHIERGNIEEILDTNLLLGPCNMDIMLKMGRLGLRCVVKEPKQRPTMTQVFRELEAALLSADRFIHHLLPPRPLAATSGGRSTELRNGQGTDHDSNSVSLDGVGLQRFRVDMESVSFQSTSLRCLDSDSLIFNVDEEMSFCVDEDLSIPRD >cds-PLY95999.1 pep primary_assembly:Lsat_Salinas_v7:9:43206592:43209500:-1 gene:gene-LSAT_9X38660 transcript:rna-gnl|WGS:NBSK|LSAT_9X38660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSWPEGSPCRRSKATQELIQWPENSYCVEDQALDIFGNTLSFMSSYSVNKVSKIPINNISSNCLDGRRSIATDKMVKTVKNSRGCYIRKRSWTSTKVTSLIDDGHVWRKYGQKEIINAKHKRNYYRCTHKFDQGCQATKQVQQTEHEPTKYKITYNRHHICNNFLSTPQMIYDSINLEDTSILLSFESNGLVDKEQVGSHFPSYLHDKSKEALSFSELTDNQPLCSLPSNLIMSDQSAPSLRSTRLAHGDVISKEVYSPIFEMDQILETIDYSENPFDLAHCELISLNYSFNV >cds-PLY78192.1 pep primary_assembly:Lsat_Salinas_v7:8:304667722:304668957:-1 gene:gene-LSAT_8X165521 transcript:rna-gnl|WGS:NBSK|LSAT_8X165521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHMHEFTPQKLVILCLLPKHQKSKLKNLPSIILEFIQDLQTNLQKHQEHQEHTLSVLGLLAQIKLPYSSCACTSLHLSPSTVSDTLIQSTHYK >cds-PLY77580.1 pep primary_assembly:Lsat_Salinas_v7:2:163398417:163406166:1 gene:gene-LSAT_2X84180 transcript:rna-gnl|WGS:NBSK|LSAT_2X84180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANNHGGSGSKFVSVNLNKLYGQPSHHNYPPHSGSYGPGVGTNRARPGGHASSGGGMVVLSRNRPLQKAVPKLSVPPPLNLPSLRKEYEKIDSSGAGGGVAGGAGSGSGSRPTSSGMGWTKPGNVALQEKEVGGDTLASESVNYTEGAAATKGSGTYMPPSARFGGGLGHGDTSSAHHPNMPTLEKAMVLRGEDFPSLRAALPSSSGPAQKQKEGSFQKLKQLVSEESSNDLRNNSRFSSPAHIQSSHRIANGMNENGGQSPRRSNSARPDHAQKQEDPLPLIRLNPRSDWADDERDTGYGFAGRSGRDQEFPKSETYWDKDFDVPRSSILPHKPPNNLSERRGQHMGNIAKGPTSEVLKPDPYRRETNMHSREDRENNSWRSSSVHDDRSTVPQVISNRNNVTVPDSYNNSKYTPALGDNAHHGVVSGNYVRRDTGQGRQGGQRHWNHSVESPRYQNAAGSNYGREKSSFSKSERNYLDDPYQKDFSSSVYDERDPFTGNLVGVVKRKKEVIKQTDFHDPVRESFEAELERVQKMQEMERQRVVEEQERALEQARREDEEKRRVIIEEEERRRRMEEEAREAAWRAEQERLEAIQKAEEQRVAREEEKRRMLLEEERRKQAAKQKLLELEAKIAKREAEVGKSGGDDEVPAGGKDSDASMDSDLDNWEVSQRMVERITTSASSDSSAIDRPFDRPQFSREVSSSFVERGKPVNPWKRDAFEVGSNSSFLLNDQENGHHSPRREAPIGGRSFPRKDFYSGGGYMSSRSPFRGGIQDPDTDGFPHDHRWNSFGDGDSYGRTRDIESEFYDNVGEVWGHGQGHSRGNRLYMNNSESDKLYPYGRSRYSMKQPRVLPPPSLSSIPKSSVRGENELSAPSSSLESTARTGGSYGGVQDKLEQSDLVDVQQEIMAQKLEKNDTLRCDSQSSLSVSSPPSSPTHLSHDELDDSSVIPTGGDGNETPEHDANDEPGEVREVTTMAAGDDDEEWSLENQDEMQEQEEYDEDDDGYGEEDEVHEGNDENINLTQEFQHMGLEEKNSNNVMENLVLGFNEGVEVRIPGDETDVNTIETPEEKQDAVDSVNSVINESVVQPQNATSDSSTTTHALPSVPIQTDLPVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPPVGSSMGHLHHQSQPPLFQFGQLRYTSPVSQGILPITSQSNIHQTHFNMNQTSNSGALPNQFSQETMKKDNHENWSRGLTGIASKPVTQTEEKLHGTPDNNKSSQKDVPLSKAPGPNKGKRPTYPPKNSGSRSFHGYDPSPVNEYRKNRRPIQRTEFRVRENSDRKQTPGIGSSNFDDKFKHMGASRSGYRRHMVPVKSLKRVVPDGSGSHEMKETARLTPPKTQSSEGGNLKRNMNILEEEVDGSDAPLQSGVVRVFKQPGIECPSDEDDFIEVRSKRQMLNDRREQREKEIKAKSRVAKQPRKTRPSVQGSNKISVSLVGEASKKEPSADFSTVSSQSQPLAPIGTPAVDTNKTPQRGSGIVEDSSSSRMFETENKAVDDVQTSLGDWGNARMDTQVIPLTQTQLDEAMKPARFNTTHVTSIGDHTNTNTNTNTTTLVTEPILPSSSILTKGKSISSSSSPINSLLAGEKIQFGAVTSPTILPPSSRVVSHVIGAPGSFRPDMTQNISKTENDCNIFFKKEDSEAEVEAVAAIDRDEIVGNGVGPETGPVSVSNDQQSGGQSKPEESLSVSLPADLSVETPPISSLWPPLPSPQSSSTAQMLSHFHGPTPSHFPFYEMNHHHPPPPHHPHPMMTGPVFAFGPHDESGGTQPQSQKTTNSGSNPRHMPPPWQNHSGMDSFYGPPAGFTGPFIGSPGVQAPPHMVVYNHYAPVGQFGQVGLSFMGATYIPSGKQPDWKHDPTTSSVSVSRGEEDMMVSGQRHLAPGSPLLPMGSPLTMFDVPPFQAAPDMPRWSHVPHAVPMSLPLQQQPPEAMTGPSQFSSHVGHPVDQSTFPPNRFSEPQTSTPSFPDELGLVVDSSGPSISTTSAALVTGTTTKTVDVGGETTNAFKNQQHHHQQGNKNLSSSQQYNNNNNNHHHHNHHGYGYQRGGGGGGMSPKTKSMGGPGPGPGPQWSPHRRTGIFHGRYQSMGPEKSFPPSTKVKQIYVAKQPAPAASGGSSSTAG >cds-PLY71494.1 pep primary_assembly:Lsat_Salinas_v7:7:190951065:190954001:-1 gene:gene-LSAT_7X115560 transcript:rna-gnl|WGS:NBSK|LSAT_7X115560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQETKNLTIKISNSTQKPRKEIVTNIVSISSSPYNSPSLISPPSSAFVSALQSPYISPRATREDLNHPHETETINNGGSTTTTTPSTFTHPSTPVSYCGSGNDDIPSTSYTPPSERYDFSDDTKLKIVNCVPVHLPSSDVNMNPTTTTAPRVSFSFPVPRISFAKGSVSPANAKLRSCDVYIGYHGQGQNPNLVRFCKWLKSELEVQGIACFVADRAKYADSQSHEIADRVICSVTFGIVVVTKDSLSSYLSLEEIRFFAQKKNLIPLFFDFDTNEVSNVLMSSDSKECKDAIDGLIKSHEFKLEANEGNWRYCVSRTAGILKGKLGRMSVAEKEIDNSDEMPYPRNRFFVGREKEITEIETAFFGCTDENETNTHVRTPGTSEGLADEESENDRKFINLEVGKCKEPKLEAWVEPVIGRNSLKRPKYKKTKSGKYKSFGSSIVCINGEPGIGKTEVALEFAHRYSQRYKMVLWVGGEARYFRQNILNLSLNLGLDVSADEEKERGRIRSFDEQETEAFKRVKRELFRDMPYLLIIDNLETEKDWWEGKDLHDLIPRNTGGSHVIITTRLPKVMTFDPIQLQPLPLKDAMLLMKGRRRKEYPGQEVEILGKFDEKLGRSSFGLWVIGSLLSELAILPSTLFEAINHIRLDENEINSSHFEEVFWGNNKFLLKVLIFCITILHEAKGSTNVLASKMLLVGAWVAPLPVSSNLLASSANNITASTNRFKKWVKCANMTFFCCSGFLESQTSKSEEDSALVLVKLGLARRANQKHGCCIHFHPITQTFAKLKGSLLTAKATIHGIRKTGNPVFNSDHLWASAFLVFGFKSEPPLVQLKAHDMVLFIKKTALPLAIRAFTTFSRCNSALELLKVCTNVLEEVEKSFVSQIQDWCHGSLCWKKKMNSHQRIDEYVWQDVTLLKATLLETRAKLLLRGGCFDNGEELCRTCISIRTVMLGHNHAQTLAAQETLAKLVRMRSKI >cds-PLY72474.1 pep primary_assembly:Lsat_Salinas_v7:2:138774460:138775093:-1 gene:gene-LSAT_2X66400 transcript:rna-gnl|WGS:NBSK|LSAT_2X66400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSASSKRNVELQADHPCKCDMPSRVKISRTPDNPGRKFRVCQNSLSARTPRCNFWEWLEDDEYQLQKNSDLGQIYNLTFKLGNLENEITYCRKKLEEEKNSDLVFRQELDKVKWKLFTHKAALFVLFFFFVCNESYD >cds-PLY76546.1 pep primary_assembly:Lsat_Salinas_v7:8:90795189:90796937:-1 gene:gene-LSAT_8X63361 transcript:rna-gnl|WGS:NBSK|LSAT_8X63361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEVVPKLTTLLKRFLTSKQLKQLHGLILVHGLNHLEGLVIRHLITSPSDYNQGIVHYLRLILRHSKQPDVASSNSAIRYFCDHGGFQEAFAQYMELQRSGLLPSTFTVASALKACARLGNGNGGIMIHGQIHSHGFCGDVYVETALLGFYKSVNDMETAKKVFDEMSERNVVSWNSMIDGYIRSGNLSIAEEFFSGMPNKDVVSWNSMISGYSRTRDMEKALRLFQEMPERNQTSWNVMLSGYVESDKIDLAQNFYNLMPQRNIISCITMIGGYSKCGDIESAREVFNDMFQKNQLMYNAMITCYSKNSRPKEALQLFDKMLQLKPNIQPDKMTLATVISACSQLGDFTFGSWIHDIYMKQIGITMDDHIRTALIDLYSKHGSIDKALKLFHELHKKDIISYTAMILGCGNNGKEHIAIQLFDEMMESKIRPNLVTFSGILTALSHVGNVEESYKCFNSMKRYGLVPTPDHYSLMVEILGRSGRLDEAYELIKSMPMEPHSGVWGALLLACSMHNNVELGEIAAKHCFELESDSSGYGSLLANIYAGVGRWEDAKRLRKRVHEKGSIKVPGSSWMDHLQE >cds-PLY83462.1 pep primary_assembly:Lsat_Salinas_v7:9:88319986:88322811:-1 gene:gene-LSAT_9X69180 transcript:rna-gnl|WGS:NBSK|LSAT_9X69180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:decapping 2 [Source:Projected from Arabidopsis thaliana (AT5G13570) TAIR;Acc:AT5G13570] MSSAGLPRSSSAPLKDGIPPKELLDDLCSRFVLNVPKEDQQSFERILFLVEYAHWFYEDNSVENNPSLKSFTLKEFTSLMFNSCDVLKPYVPHIDDIFKDFTSYKVRVPVTGAIILDQTYERCVLVKGWKGTSWSFPRGKKNKDEEDDACAIREVLEETGFNVSKLINKDEFIEMTFGPQRVRLYIVGGVKDDTTFAPQTKKEISEIAWQRLDELQPANRDVISRSVAGLKLYMVAPFLKSLRSWISSHQPPIAPKPDTPARAMSVWKARGSSSGTNLVMTETPSNNNPVSVSVSVSNSSEKVGPGRSFRSFQFNSGPILQAIESAFL >cds-PLY67337.1 pep primary_assembly:Lsat_Salinas_v7:4:20115663:20116563:-1 gene:gene-LSAT_4X13841 transcript:rna-gnl|WGS:NBSK|LSAT_4X13841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSGKLIGHVEISKKGDVFHDLFRHNPHQIVAISPDKVHDCELHAGERGVVGSTICWHYTHEGKKKTSKQIIEAVNEENHMIVFKIIGGDLVEEIYKTFTIILHVEQKGDGQVATWTFEFEKPDISTPYPTSLMDYLCNLVKDLDAHSSTK >cds-PLY91245.1 pep primary_assembly:Lsat_Salinas_v7:3:75997698:75999744:1 gene:gene-LSAT_3X59100 transcript:rna-gnl|WGS:NBSK|LSAT_3X59100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHCGIHQNTTFASSREEMRSSVSLSVTFGNGEPMVCPKPRRLGLFTTTNDSVRPLRWQMCYQSEGYESKAGPELLDIIFAKGGGYGGSSEQTCTQIASSPPFFSGSPPSRVSNPLIQDALFGDDKVSPVSPRSMIPNPSSSGMSSSSPSFSSARKGGCLRANFGNKPAVRIEGFDCLDRDNRRNCSIPALA >cds-PLY79972.1 pep primary_assembly:Lsat_Salinas_v7:9:45917433:45920265:1 gene:gene-LSAT_9X42380 transcript:rna-gnl|WGS:NBSK|LSAT_9X42380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLLHHHPCCKFLPPLDTFITCKTPINRYISCPKTPKPFNNLPFLTKYSICRNTHVVNCSNNEVIDEFTNTQLDQTQENEIEELGLLGKPSPMPVINVPPQVESEYIKPQKEEVLEPFYKFFRDGKSAEETSDSEDVSEEISMEEEEDKKVSVEYYDPKQGDFVVGVVVSGNEYKLDVNIGADLLGTMLTKEVLPLYEKELDNLLCDFEKNPEEFLMKGKMGIVRNEEALSGGPVAGQPVVEHGTVLFAEVLGRTLSGRPLISTRRLFRRLAWHRVRQIKQLNEPIEVKITEWNTGGLLTRIEGLRAFLPKIELVNRVNNFTELKENVGRRIFVQITRISEDTNDLILSEKEAWNAKHLKEGTLLEGTVRKIFPYGAQIRIGESNRSGLLHISNITRGEFASVNDVLAIDEKVKVLVVKSMFPDKISLSTAVLESEPGLFLSNRERVYSEASEMAKKYRQKLPAVSTIRKLEHLSDALPFNVEENMYANWKWFKFERDNEPK >cds-PLY71228.1 pep primary_assembly:Lsat_Salinas_v7:6:18637425:18643858:-1 gene:gene-LSAT_6X14301 transcript:rna-gnl|WGS:NBSK|LSAT_6X14301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G73370) UniProtKB/TrEMBL;Acc:W8Q3K7] MFFLSPATPSLILLSKLFYRFKLFMDLGIKDSLANALKQSRYHAKRCFDRFTSRGRRLVKPQELIYAIEKTIDDELERTKVLEGSLGQILRSTQEAVVIPPYVVLAIRGSPGRWDYLKVNADDVTVHAITPTQYLALKESIYDKDRLKSEDTVEVDFGAFDFCMPRLTLPSSIGNGFNYISKFTTSKLSGDLENAKPLLDYLLALNHRGEKLMINETLDTVSKLQKALIVADVYLSAHPKDEPCRTFEHKLKEWGFERGWGDSAERVKETMKMLSEILQAPDPCNMESFFSRLPVIFNVVIFSIHGYFGQSDVLGLPDTGGQVVYILDQVKALEEEILLRIKQQGLNAKPRILVVSRLIPEAQGTKCNEEMEPILNTMYSHILRVPFHTSKGILPQWVSRFDIYPYLERFAQASLILCLTCIEMEDAASKVLEVMECKPDLILGNYTDGNIVASLMAKKFGVTQGTIAHALEKTKYEDSDINWKKFEKKYHFSCQFTADLISMNAADFIITSTYQEIAGSNKRPGQYETHAAFTMPGLCRVVSGINVFDPKFNIASPGAEQSVYFPITEKEKRLTSFHPAIEELLFNKEDNNEHMGYLVDRTKPIIFSMARLDTVKNITGLTEWFGKNKRLRSLVNLVVVAGFFDPSKSKDREEMAEIKKMHELIEKYKLKGQMRWIAAQNDRTRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFVTNQGGPAEIVVDGVSGFHIDPNNGDESSEKIADFFTKCKVDVEYWDQLSQAGLQRIYECYTWKIYANKVLNMGSMYGFWKQLNKENKQVKQRYIDILYDLQFKKLAKTIEIPNITTPVKTEPTKQRQQPKTDAPNEPPTVVAQEPVHPPRTMSSSRSMRLSSMTNAGKPLLVFVSVLIVVYASKNLYRSDHGK >cds-PLY87152.1 pep primary_assembly:Lsat_Salinas_v7:5:258676303:258678192:1 gene:gene-LSAT_5X133201 transcript:rna-gnl|WGS:NBSK|LSAT_5X133201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTRVADAFKAHPMVNQKHLDLKSMKELPESHAWLSQDGSPSYGSSSSEQVPVINLKDPNAMKLVGHACKTWGVFQVTNHGVPTNLLEEMEAAGRKLFALPIQQKLKAARAPDGVSGYGVARISSFFPKLMWSEGFTIIGSPYEHARKLWPNRYSRFCDVIEGYKHEMNNLAQRLMWLMLGSLGVTTEDVKWDGSQGSCPALQLNSYPACPDPDRAMGLAAHTDSTLLTILYQNNTSGLQAHREGAGWVTVPPIPGALVVNVGDLLHILSNGLYPSVLHRAMVNRTQHRLSVAYLYGPPSNVQISPLSKLTDHVHPPLYRPVTWSEYLGTKAKHFNKALSSVRLCVPLNGFVDANDHSGVPVG >cds-PLY99558.1 pep primary_assembly:Lsat_Salinas_v7:8:81072106:81075912:1 gene:gene-LSAT_8X57861 transcript:rna-gnl|WGS:NBSK|LSAT_8X57861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDMMFLKWWLKVVLIFLIVMVFGVKIFVLLWWKPRKIEEHFAKQGIRGPPYCFFIGNAKELVSLMVEASSKPMPFSHNILPRVLSFYHHWKKIYGPTFLVWFGPTVRLTVAEPDLIREIFSSKAEFYEKNEAHPLIKQLEGDGLLSLKGEKWALHRKIITPTFHMENLKLLIPNATTSIVTMLEKWLDMSNSDEVEIEVSQWYQNLTEETVTRTAFGSSYEDGKHIFQLQAQQMVLASEAFQKVTIPGYRFLPTKRNRESWRLEREIKKSLMRVIERRRENWDKEGMENGPKDLLGLMIQASTKESVNSSPATAPAITARDIAEECKSFFFAGEQTTSNLLTWTTVLLAMHPQWQVIARDEVLKVCGPRDIPTKDDVSKLKTLTMILNESLRLYPPIVASIRRAKADVQLGGCKVPRGTELLIPILAVHHDQAIWGNDANEFNPSRFSDGVARAAKHPVSFIPFGIGVRTCIGQNLAMLQAKLTLAIILQRFSFRLSPKYQHAPTVLMLLYPQYGAPIIFKSLLSNSSIKEDQGL >cds-PLY81672.1 pep primary_assembly:Lsat_Salinas_v7:2:25735425:25736887:-1 gene:gene-LSAT_2X14120 transcript:rna-gnl|WGS:NBSK|LSAT_2X14120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTHIDNIHQSDFMFCEICGTMLSFDSRKYVRCPLCNFKKKTIEIAGKEIKYTVSEEQIRRELGLSSIEVSGERTRSMDYNVRCPKCSNKGVYYHTQQTRSADEGQTMFYNCPNCGYNFTDNT >cds-PLY87005.1 pep primary_assembly:Lsat_Salinas_v7:5:266604299:266606030:-1 gene:gene-LSAT_5X137180 transcript:rna-gnl|WGS:NBSK|LSAT_5X137180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQQRKSFCTMADVYGVILDSPNLHKNLDLVFLGHHHAKDDDSFQFDFKLPDIRRPITKFVRTREVGEFLSGALAGAMTKAVLAPLETIRTRMVVGVGSRKISGSFLEVIEKQGWQGLWAGNAINMIRIVPTQAIELGTFECVKRVMTSAKEKWSKEDPSVQIGHVKLSFPLSWLSPVALGGAAAGFVSTLVCHPLEVLKDRLTVSPDIYPNLSIAVSKIYKNGGIGSFYSGLSPTLIGMLPYSTCYYFMYDTIKKSYCTAQNKKALSRPEMLLIGALSGLTASTISFPLEVARKRLMVGALQGKCPPHMAAALSEVVREEGFIGLYRGWGASCLKVMPSSGITWMFYEAWKDVLLGDKHRE >cds-PLY72301.1 pep primary_assembly:Lsat_Salinas_v7:4:61181128:61183069:-1 gene:gene-LSAT_4X42380 transcript:rna-gnl|WGS:NBSK|LSAT_4X42380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHQLLNSLSKPAVCISFLLLFLHPLSGGATTPLPHIVSFQKGFTQLFGGDSNLLRSDDDNTVHLHLNQYTGAGFRSSDLYNHGVFSARIKLPSEYTAGIVVAFYTSNGDVFEKTHDELDFEFLGNIKGKPWRFQTNLYGNGSTSRGREERYTLWFDPSKAYHRYTIFWTSSKIIFYIDEVPIREILKSDEMGSDFPSKPMALYATIWDASTWATNGGKYKVNYKYAPFVTEMTDLVLHGCISDPIQELLTDNCAQMDKQLETLRYNNITPKQHIAMKRFREKYMYYSYCYDTLRYQIPPPECVIDSTLRQRFKENGRLKFERRHHRHSKKGSQVLSSQVYEIQEQD >cds-PLY68802.1 pep primary_assembly:Lsat_Salinas_v7:3:64708312:64709357:-1 gene:gene-LSAT_3X49860 transcript:rna-gnl|WGS:NBSK|LSAT_3X49860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g27050 [Source:Projected from Arabidopsis thaliana (AT1G27050) UniProtKB/Swiss-Prot;Acc:P0CJ66] MNNRKRDKPYFSRHTPYNFPKRRRPLPSDPATDGDDYFEGKPTSSGNTNTAVVVTGLSSNCSVLDLKSRFEIYGSISRTRMDSGGVANITFRSRDSAESAIAASLDPSFGITLHSIRVQVMWASDPVPQWREGVKKKEAYSSSSKLLKPELPLSRHGRGNKLGSVIVNSRDEKKDGNTTINNAIESSSGRRKGLNLPIRGREIVAYDDIL >cds-PLY73968.1 pep primary_assembly:Lsat_Salinas_v7:5:104905841:104908337:1 gene:gene-LSAT_5X46220 transcript:rna-gnl|WGS:NBSK|LSAT_5X46220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEDDNHLEATRARFSSVLKRHGELTERLSRDSDKMVFERLQKEFEAARASQTQGWFIYKVCLDTEQWNDGLLATIRERVHMEAERKAMQLPLDSSMLPISMFNDKITYKVGTKVICCLEGARIGIQYETSFAGEARELYHCVLESKSFLEKMTVLQHTVPFFLPIREVENDLLSSNAMKFIDHIGDLLQAYVDRREQVRLIKDLYGNQIGQLYHSLPYHMIEFVVDDFDCKVTVSLRYADLLSILPTGISVVAWPMNQSKKSPTGGKKGNLLHGSNKSPIRLSYAEDALRTLSLPEGYT >cds-PLY99370.1 pep primary_assembly:Lsat_Salinas_v7:7:144552012:144554810:1 gene:gene-LSAT_7X86481 transcript:rna-gnl|WGS:NBSK|LSAT_7X86481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTALWKLPIIFVVENNMWAIGMSHLRSTSDPKIWKKGPAFGIPGVHVDGMDVLKVKEVAKEAIRRARRGEGPTLVECETYRFRGHSLADPYELRDPAEKAHYATRDPITGLKKYLTENKLASEADLKAIEKKINEVVEEAVEFVDESPAPSRSQLLENVLADPRGFAIGPDGSYRCEDPKFTEGTAQVCYFTCVVKVADVAAILRTKSHNLFRQLGLRHIFVVPRASRVIGMITRKDLIFEVVLLFQKIEGVEVCLFGMYVQEFGAECPQPNHRHVYLSYLDSVKYFRPDIKAVTGEALPTFVYHEIKTVTWEALRTSLVEDALNQTLRIIAQKLVIL >cds-PLY67102.1 pep primary_assembly:Lsat_Salinas_v7:4:242558134:242559683:1 gene:gene-LSAT_4X129940 transcript:rna-gnl|WGS:NBSK|LSAT_4X129940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILLCILLFVSISVFLYRRRSLPGTNWPVIGIAPDLILNAYRINDFATDLVKQGNGTFMLKGPWFANMDMLVTSDPTNINYVLSKNFPNYPKGPEFRKIFDILGDGIFNSDHEVWEIQRKTIMSLLKHPDFNSHLEKNIRNKIEKGLLPVLDLVSHNQQAIDLQEIFQRFTFDTICSLLVDFDPESMSVHLPYNACEKAFTDAEEALLWRHVLPEKVWKLQQRLGMGKEKKLTEARKVFDEFLDKCLSRKEEGFGNDGRVEKEETTTGLLKSLMTSFQGQTGTSGDSRRYLKDTILNLMIAGKDTTSAGLSWFLYLVAQNPRIESKIRREIEKEVGDANWKSLGVKELSGMVYLHGGLCEALRLYPPVALQHKSPSEVDVLPSGHAVNEHSKIILSFYSMGRMESIWGKDCMEFKPERWFTEGGKGGVRHEPSYKFTAFNAGPRTCVGKEMGFIQMKMVASAIIYHYHVELVQRHEVCVGDSIIVHMKHGLKVRLSPVN >cds-PLY82362.1 pep primary_assembly:Lsat_Salinas_v7:5:312886852:312888749:1 gene:gene-LSAT_5X170401 transcript:rna-gnl|WGS:NBSK|LSAT_5X170401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHMESTSAEKTTSLKSSILSRYDEVFHAHTSDIKRVNEKITVDCEKFLQYYEDSCSLMDDLTRSLQQREDELKKKQRKLQKEKTKLELKKRVRERLSSCKQLINESCTSRKLAEDLKGELDVLHERRAELESQLKAEDYESLESEIIQLETDLQVIHDDDCIDLEEKMMNLKRKLILKDEELQVLKQLENSFRSAIQTKNEELQDARWEFIDGLKACSFGGGIGIRRMGLVDSTPFFIGKRKENAAKFSSLCRQLLEDPEWYPFTTITDGSDQKEIINEEDGKMVILKSECSDEQYRAVVTALIERNRYHKNGRDLMEEVWNYRENR >cds-PLY80168.1 pep primary_assembly:Lsat_Salinas_v7:8:116082315:116082839:-1 gene:gene-LSAT_8X79641 transcript:rna-gnl|WGS:NBSK|LSAT_8X79641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPCQDLSIDVTPPIIHEELSTLIAISIVNARRLDAIITQMGIVNNLIGIQTGTMAKLIQPPPPLPPLNQQPRSPPLTSTSPLSLPQQSLLSPSSLKPLQSPMSTQQPLLPSQPPPSLTTTPSPQQQFLPPMMRPRKLPKTIPANKNYRKQIQTNSTGLENGVLFNRGRTDTDL >cds-PLY82662.1 pep primary_assembly:Lsat_Salinas_v7:MU041517.1:1083:2813:1 gene:gene-LSAT_0X44341 transcript:rna-gnl|WGS:NBSK|LSAT_0X44341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILSPYSSSFLDTLFCNEEHDHQWSLEDGDGDEDEDEVTQTTLTDSSDLHFPSLGQQDLFWEHEELVSLFTKEQEQEKQTTCTFSFDQTDPSVFLARKEVVEWILKVKAHYGFTPLTAILAINYLDRFLSSLHYQKDKPWMIQLVAVSCLSLAAKVEETQVPLLLDLQVEDAKFLFEAKNIQKMELLVMSTLKWRMNPVTPISFLDHIVRRLGLNNHLHWDFFKKCEALVLDLVSDSRFAWYKPSVLATATMLRVIDETDLPNYIDYKSQLLDLLKTTKENVNECYKLVMELPYNHHNKRNPEENETTYPVSPAGVIDFTCDESSNDSWEINDHLFNQPSFKKTRFDQQFGFSSFLSFEPFMSPR >cds-PLY87448.1 pep primary_assembly:Lsat_Salinas_v7:2:134906494:134912726:1 gene:gene-LSAT_2X64500 transcript:rna-gnl|WGS:NBSK|LSAT_2X64500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFTNSFRFFRCYNQLALPISTARLSRQGCSFDTLRFLSTPRRSSRLTSVSSKRRENSATEGVEDGGEGGNGGLVTVAAGSDGESRHGRIVPTELHKEATEAYMSYAMSVLLGRALPDVRDGLKPVHRRILYALHELGLASRKPHKKCARVVGEVLGKFHPHGDTAVYDSLVRMAQDFSLRCPLVSGHGNFGSVDGDNAAAMRYTECRLEALAETMLLSDLDQDTVDFVPNFDSSLKEPSLLPARIPNLLLNGSSGIAVGMATNIPPHNLGELVDALIVLIHNPDATLQELLEYMPGPDFPTGGIIMGNLGILEAYRTGKGRVIVRGKTEIEVSDSRTKSVAIIVKEIPYQTNKSSLVMKIAELIQNKTLEGISDIRDESDRSGMRIVIELKRGADPAIILNNLYRSTALQSSFSCNMVGILDGQPKQMGLIELLKAFLDFRCSVVERRARFKLAQARDRNHIVEGILIGLDNIDNVIDVIRKATSNSVASADLRKKFQLSEKQAEAILDINLRRLTLLERGKYVNEGKSLTDQISNLEELLSSKKQILQVIEQEAIEIKNKFSTPRRSALEDADNGTLDDLDVIPNEEMLLALSEKGYVKRMKPDTFNQQKRGTIGKSVGKLRVNDTMSDFLVCRTHDRLLFFSDKGIVYSAAAYKIPECSRAAAGTPLIQILSLSDGERITSIIPVSDFAEDQYLLMLTANGYVKKVSLMYFSSIRSSGIIALQLVPGDELKWVRHCTNEDYIAMASQNGMVILSSCDIIRALTRHSRGQIAMRLKEGDRMACVDIIPATKQDGIESESESSTPDTPNRAHGPWLLFVSENGYGKRVPLSHFRKSPLNRIGLKGYKFSSEDKLAAVFVVGFTTADDGESDEEVVLVSQSGTVNRIKVRDISIQSRYARGVILMRLEHAGKIQSASLISAAETDVEEEVEVEAAVA >cds-PLY73468.1 pep primary_assembly:Lsat_Salinas_v7:4:182860091:182860863:1 gene:gene-LSAT_4X107041 transcript:rna-gnl|WGS:NBSK|LSAT_4X107041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVPQVSDLLNILTWSFPLNPGSNASNNSIMDTPKLIDLKHGSPLEMDISPPLLAATRWPFFVFLGGTLFCLLSSSTCHLFGCHSHRLSLQLLQLDYIGIAVMIITSFFPPIYYIFQCSPHWQFIYLGGVTIMGGFTIITLLSPALSTGKFRSFRAFLFMAMGLFGLLPXYISKY >cds-PLY80936.1 pep primary_assembly:Lsat_Salinas_v7:8:255515095:255517406:-1 gene:gene-LSAT_8X149561 transcript:rna-gnl|WGS:NBSK|LSAT_8X149561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPEIFPVNRFICHEDTSSSDTLVKDITGSPPVAADSLPSPRRRRHCFLHPRRRQTFYLLANVLFFLPVADILNLFDRLLISLVGNQEMKLLAEVDKLGSLINNYVTQLICYLIDVRYWHHYSVHIVPCWPGTSVELSHPNAELRLLKVFYHKIYKQVQNFGVKVATHKLEYKKMVKHVEGYK >cds-PLY81197.1 pep primary_assembly:Lsat_Salinas_v7:8:287288407:287290593:1 gene:gene-LSAT_8X161801 transcript:rna-gnl|WGS:NBSK|LSAT_8X161801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDQRRKRKRSLKPTHHFFSLRSLLYFFSFFAFFFILFRRNTPSFHHVVVLPGSSTSSSIHRLFSGELTRFKIDDRVLFPDHILILLSGIGIGSWNVVGNGKGLECVYRNNYTEEEEKGVAVLSVDEYNDIQWLVRCPLPPTNFSSVVTLRSRGAITVADTTVVAMNSWENLAYEAVLDGNTAVVFVKGISHRQDKASDPNRFRCHFGFGNQNYILTSKALTAAQEVVRCALPKSLEIHPEKSQGIRATVSFQLPMRNHHHRTIFVPSVAKLSSSKFEINTPKHDLCVCTMLWNQADSIREWITYHSWLGVQKWFIYDNNSDDGIESVINKLDLEGFNVSRHVWPWIKTQEAGFSHCAIKAKNECNWVSFMDVDEFYYFPNLPGRDALRTLVSNYTSSPSIGELRTRCRSFGPSGLRSPPKNGVTVGYTCRLQRPERHKSIVRPEALDTTLMNVVHHFHLREGFRFLDVGQNTAVINHYKYQVWEAFRAKFYRRVATYVADWKDSQNEGSRDRAPGLGTEPIEPADWRLRFCEVWDTGLRDVVLANLDDVLSRGRYLGGIDI >cds-PLY82123.1 pep primary_assembly:Lsat_Salinas_v7:1:15858875:15860686:-1 gene:gene-LSAT_1X14341 transcript:rna-gnl|WGS:NBSK|LSAT_1X14341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTTGTMSQVFEGYERQYCEISANLSHICASASVLDGEQKKQRVSEIAGGIDEADTLLRKMDLEARSTPAGTKASLLAKIREYENDLNSLKTELKRLQPSNPNANAARDELLESGRADAMQVSADQRGRLMMSTERLNKSTDRVKESRRTMMDTEELGVSILHDLHQQRQSLLHANTTLHGVDDTIGRSKKLLTNMSRRMNRNKWILASIITILLLAVICILYFKLTR >cds-PLY96387.1 pep primary_assembly:Lsat_Salinas_v7:2:87548998:87549780:1 gene:gene-LSAT_2X37141 transcript:rna-gnl|WGS:NBSK|LSAT_2X37141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSYSNTFEALDASILDQIRSLCPNIYCIGPLHTLLKSHLPKSTQHNVSNSLWTENRDCLSWLDTQLPKSVVYVSIGSMATMTVDQFVEIWHGLVNSGYPFLFVKRPGSVTGDYDESNVQGNLVGETNRRGFITTWVPQEEVLSHSAIGGFLTHSGWNSTVESMVEGVPMICWPLKVDQHVNSWFVSEVWKIGIDIKDTCDRFVVEKAVKDVLDVRRSEFFRSAKVVAEAGAKSVSENGSSWMDLNRLVEDLKSNDFVCK >cds-PLY74884.1 pep primary_assembly:Lsat_Salinas_v7:2:155147701:155149543:-1 gene:gene-LSAT_2X79421 transcript:rna-gnl|WGS:NBSK|LSAT_2X79421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTSSIAAKLAFFPPTPPSYTVVGDASGNGKLFIPEAPRREGVDVLKLRTKRGNEIVTVYIKHPKACATLVYSHGNAADLGQMLELFVKLTHRLRVNVVGYDYSGYGQSSGKPSECNTYADIEAVYKYLKEKHKVKDNELILYGQSVGSGPTIDLASRVSGLRGVVLHSPILSGLRVLYPVKRTYWFDIYKNIEKIGLVNCPVLVIHGTADEVVDYSHGKQLWELCKDKYEPLWLNGGGHCNLELYPEFIVHLKEFSLALGRK >cds-PLY62252.1 pep primary_assembly:Lsat_Salinas_v7:5:162124205:162125655:-1 gene:gene-LSAT_5X70981 transcript:rna-gnl|WGS:NBSK|LSAT_5X70981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFTVSYSGYVAQNLATASGKSNTCRIFHEVFSRPRIFQNPDRDPIAGYGPAATAGSRSIPSTSMSPTLDVGDRIMAEKVSYIFRKPEVSDIVIFKAPPILQEFGYSSGDLRDGSSHQGTVTSMEPNEGLFVLNSETSKKGKIKVVHLVDVPGHSRLRPKLDEYVPRAAGLVFVLDAVEFLPNCRAVSEYLYDILTKSSVVKRKIPLLILCNKVDKVTAHTKEFIRKQLEKEIDKLRTSRKAVSDADISNEFTLGIPGEPFSFSHCVNKVTVAEASALTGEIQPLELFIRERVKP >cds-PLY93071.1 pep primary_assembly:Lsat_Salinas_v7:9:26689385:26694529:-1 gene:gene-LSAT_9X23441 transcript:rna-gnl|WGS:NBSK|LSAT_9X23441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCINLSLTNLFNRLARKPYKQVNPMTTHLSKRQCLVVTFDITKGGIVKKKNSKLQEIWQQWSLYLIAGSVVCCAGQTFNTCLFLEISTASPMKSLSILFPHPTILSNRRLSQGWLGWRRHQDPPISFDDNNSVRPTHSAYEFESGGEATHQVTSVVPPKIS >cds-PLY75296.1 pep primary_assembly:Lsat_Salinas_v7:3:156473831:156479420:1 gene:gene-LSAT_3X99741 transcript:rna-gnl|WGS:NBSK|LSAT_3X99741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCPNQLPEGVTAARDTKDCSPSSSSSSSSSSSSSSSTSSAFMVNSEIGAVLAVMRRNVRWGVQYMDNEDQLEHPLIKSFKEIRKKIFSWQHQWHTINPILYLQPFLDVIQSDETSAPITGVALSSLYKFLTLEVLDLDTVNVSDALHSIVDAVTSCRFEVTDPASEEVVLMKILQVLLGCMKNEASVKLSNQHVCNVVNTCFQIVHQAGAKGELLQRTARHTMHELIRCVFMHLPDVVNKEDEVTHEVNVPSNEQSSTSNGYTGSKIESVGGSLNLSAVVPEVKMDETSGEVGQTKNHVVTEPYGVPSVVEIFNFLCSLLNAVEHSGIAPRSNSLAYDEDVPLFALGLINSAIELGGAALSQHPEILALVQNDLFHSLIQFGLSPSPLILSTVCSIVLNLYHLMRVKLKLQLEAFFSSVLMKIALNKHGASYQQQEVAMETLVDLCRQPTFIHEMYANYDCDISCSNVFEDLSNLLSKSAFPVNSPLSAIHVLALEGLIAMISGMAESIGDEAPPLEPDPSSIEDHESFWKVKCENFENPDAWVPFIRKMKHIKKKLAIGSDHFNRDPKKGLQFLQGMYLLPETLDPISVACFLRYTTGLDKNLVGDYLGNHDQFCIDVLQEFAGTFDFRDMNLDIALRVFLETFRLPGESQKIQRVVEAFAERYYEQSPDILANKDAALLLSYSLIMLNTDQHNAQVKKKMTEEDFIRNNRRINGGNDLPREYLSELYRSISENEIKMTPEHGVGFPVMTHDNWVGLIHKSRQTAPFIVCNSGDNSGDRINHEMFAILSGPTVAALSVILDLVEQEDVLQVCIDGFLDVAKIAGSYHLDDVLDGLLVSLSKFTTLLIPMSIEDSVLAFGDDSKARKATVAVFTIANSYGDYIRSGWRNILDCILSLHKLGLLPARLARDAADDFDSVSDPDSGKSTVTPVSTKPALPPSRKPSGLMGRFSEFLYYDTEKPAPQPSEEQVEARNRAMETVKDCHIENVFTENAAIFCLELLITVALNNRDRIMILWQGVYEYIANIVQSAVMPSALVEKAVFGLIRICRRLLPYKEDLTDDLLKSLQLILKLDARVADAYCEHITQEIMRLVKVNVGQIKSHMGWRTITSLLSITARHPEASEPGFETLEFIMSDGAHLLPANFVLCVNAARQFAESRVGDVVRSVKSVDLMAGSGVCLVRWSREAEEASLQVYEDIGEMWLRLVQGLKKVCLDSREEIRNHAVLMLQRCVTGLDGIYLEDEMWLQCFELVIFGVVNDLLEIVEEKSVKEYRNMEGTLVLSLKLLSKAFLHSLPCISRLVSFEKIWVGVLNGMKRYMEVKFRGKRSEKIYELVPELLKNTLLVMKATGILSLPDSDSGGGGDSGGVWNQTWVHVKTLAPLLQSEVFPDEEPEPRTVGATTPVPEANLLVP >cds-PLY73613.1 pep primary_assembly:Lsat_Salinas_v7:5:205841782:205847230:1 gene:gene-LSAT_5X93780 transcript:rna-gnl|WGS:NBSK|LSAT_5X93780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNQRAIQDLKQILANVKHEPKFPGMKELSTRNDKDRGRVPLNPTRKLSYRREPTRASDELNNTPSDSVKRKIDRHGEKRGWYSHLAKENDITLARIEEQLAKARGKIRNAIVKRSFTSSPKDRSLFPKRTVYKNPFAFFQSHTEMMKTFKIWTYKEGDAPLMHDGPMKLVYSIEGDFIEEMEREGNPIVANHPDEAHAFFIPLSVTNIVHYLFTPEEAWGFRERMQAILEDYIKVIAEKYPYWNRSNGADHFYVSCHDWGPVVSTGNPKLFKNFIRVLCNANSSEGFDPSRDVSMTESTAPFNNIPVVSSGHSPYNRSIFAFFAGGIHGDVRKRLFEHWGNNEDKDIQVYNYLPKGENHTEWLSKSKYCLSPSGYEVATSRLTEAIYVGCVPVIIKKNYVIPYNDVLDWSQFSVQVPVDDIPNLKKILQDIPFSKYLEMQKRLIEVQRHFAVNIPAKPFDVFHMIFHSVWLRRLNVRLLKS >cds-PLY82088.1 pep primary_assembly:Lsat_Salinas_v7:1:128466016:128466234:1 gene:gene-LSAT_1X96540 transcript:rna-gnl|WGS:NBSK|LSAT_1X96540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLCFCGKMVIVRTAWTNKNPGRRFWGCPTEVRKCFFFFFFLDFSINLPLHVMIFFCFLNFKGIQMSIYWVV >cds-PLY61957.1 pep primary_assembly:Lsat_Salinas_v7:5:159823256:159830002:1 gene:gene-LSAT_5X69640 transcript:rna-gnl|WGS:NBSK|LSAT_5X69640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLVEAEDDGDGGEEQIEVSESEVEGTECVEKKNGRKRGLDRNTDTKSQEKKNRPCVLQKQAVSIEEEREMTIIVVLSSDDESESPEEAEEGMADAHTALRTPDEDGNGTNKLINNKEDKKEKWKRNMSTRNDGINHADIFNHQDDVGEKGGKKKHMQSAPQIENTGEEDQDKRSQADINSSSNDGPKPKYYAKNMGNLIKVVSNMCHQCQRNDKGRVVRCQKCTTKRYCVPCMKKWYPNMTEEMFAERCPVCCDNCNCISCLRNVHPKVKEKIDFKPNDDQKVRYSIYILHVLFPFLKRLNEEHIKEKAIESKIQGSSLSEVRLKKVNCSLDERMRCDCCKTSIFDLHRSCPSCHYDLCLQCCFELRDGNLQGNKEEVMFEFDNPGFDYLHGGKPLKVKKASEDPAPEEKQNYDWKSLDDGRIPCPPESMGGCGLGILELMHVNQLDSFSELLEKAQKFLKMHKLEDDMQDMPEKWCSCSDADGQQLRKAASREDSNDNYLYCPHAIDIKPGDLKHFQWHWSKGEPVIVSNVLETTLGLSWEPMVMWRAFRQVMNTKHDKLLDVSAFNCLDWCEVDINVHQFFSWYMDGQYDNKGWPTILKLKDWLLSNSFEERLPRHGVEFITSLPFKEYTHPRDGYLNLAVKLPENSLKPDMGPRTYIAYGVHQELGRGDSVTKLHFDQSDVVNLLTHTATVTPNSKQLKQINILKQQHKAQDQRELFGLVGKTQQDVDNTKDATSEHAVGLKKQEEETGIDCLESEVEKNLCDMDIVEETVMVLRLRL >cds-PLY82239.1 pep primary_assembly:Lsat_Salinas_v7:1:68609644:68610630:-1 gene:gene-LSAT_1X59901 transcript:rna-gnl|WGS:NBSK|LSAT_1X59901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERLKTQTHDFLNEESFSRLPFSPHLPPKENSIRLFGKEFGGGDLATVITDESSSAKSTTTFIQNETKENGESCRKFECNYCCRNFPTSQALGGHQNAHRRERLQAKRPHILPFSIHRSSSKPQLHNAINNHNHNLTTTTTTTSPPYRHQSTIKNIIDYSNNLRFYGGKTSYTSHQTPINGRPLALWRFPMVVHNSTTFNHGSLLNSSDTGSRSGNLYMHELQQNIHDQVSLDLHL >cds-PLY89039.1 pep primary_assembly:Lsat_Salinas_v7:9:27991832:27992093:1 gene:gene-LSAT_9X24460 transcript:rna-gnl|WGS:NBSK|LSAT_9X24460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLRGRYQGKLLHCAGPLYETLPPPRRLSAVIPVVRSASKGRPGSHPVVTPPIVSPGPTRSAVDNAVDSKAGIVTNTR >cds-PLY91387.1 pep primary_assembly:Lsat_Salinas_v7:8:46068619:46069908:-1 gene:gene-LSAT_8X35861 transcript:rna-gnl|WGS:NBSK|LSAT_8X35861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDPVIVASGITYDRESIQRWQFHGKNKSCPVTNQPLPIASEFTPNHNLRRLIEAWCASDRVVPAPSKQSSLQLKVIAINLIKNLKQQELQLESLKTLESLASESKNNRICFKDVGVVRAMVTIVVSCYQNRTTVGLKEALNVLYLVGFTEDETHSVLEEYDRILNSLTWVLGFDASTYNDTTMKPHALVLLKDLINYAKSHVLERLKLDFFKTIVVILRQRENKNLEQRIKEGLHVLLSTCTWPRNCSMMIQAGAVFELVELELGSPDKETTELIMAVLFHLCSSADGRAQLLGHAAGLAMITKRMGKVSHKVDERALMIISLISKFSATDGVLQEMVRVGTVEKLCMVLQVNGRHSHPRDKAREILKRHSNVWKDSPCLDITLLTRNPR >cds-PLY66217.1 pep primary_assembly:Lsat_Salinas_v7:1:175883256:175883708:1 gene:gene-LSAT_1X116380 transcript:rna-gnl|WGS:NBSK|LSAT_1X116380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLKPIDAILFVLFLCVVDYVCGENLVEAEKNLNGYPEVNSENGCISKTDISCGVIKDIDEEGHQVVNLTGGLDDDIRGLKDYVKESQTQDVASAPGQIVTLFFRGFFQFLLNVIRDIYSGTPAGGAAGGNNNQGGTNGGGGSMPGAGGK >cds-PLY87041.1 pep primary_assembly:Lsat_Salinas_v7:5:266427710:266428859:-1 gene:gene-LSAT_5X137520 transcript:rna-gnl|WGS:NBSK|LSAT_5X137520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKVQRIMTQPIKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDEAEEVSIKKKTRKPLGRILLKGDNITLMMNSYVTCCLWESDEEHLHQCRLKTRFASYVRHCYDEGNCV >cds-PLY83080.1 pep primary_assembly:Lsat_Salinas_v7:8:234921541:234922181:-1 gene:gene-LSAT_8X142120 transcript:rna-gnl|WGS:NBSK|LSAT_8X142120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRPYKKGRGNKGQTFDKDKVEMENFGELPFFSLYRIAKATNNFSIDNQIGEGDFGPVYKGVLEDGKVVAIKRLSETSQQGHNEFQNEFICIAKLQHQNLVKLLGYSIHGNEKILIYEYKDKKDWTHFYLV >cds-PLY81566.1 pep primary_assembly:Lsat_Salinas_v7:2:126863671:126867918:-1 gene:gene-LSAT_2X59301 transcript:rna-gnl|WGS:NBSK|LSAT_2X59301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPIRLPEPPGSPPRGVPDIFEGGVYGVVKRAVIIGNGFPASENQSIGLVRALGLSEKQTLYRVTRPSGGINEYLHWLPVSVHKQIYYIIRLIYSYSQVLFTTKGSKVKSLTSENGGNVGLSSILEADVKSIVTMARGTADKDGPLLVVACGRDTISIASSIKRLASENVFVVQIQHPRRQVSRFDLVVAPQHDYYALTPHAQEQVPKFLRKYMTPDEPPTKNVVLTLGSLHQVDSAALRSAAIAWHDEFAPLPKPLIVVNIGGPTSRCRYSTDLAKQLTTYLKGVLDTCGSVRISFSRRTPDKIATYVRKELAEFPKIYIWDNEEPNPHMGHLAWADAFIVSADSISMLSEACSTGKPVYVIGAERCKWKFGEFHKTLKERGLVRPFTGLEDMSESWSYPPLNDTAEAANRVHEALAERGWRLRP >cds-PLY89175.1 pep primary_assembly:Lsat_Salinas_v7:3:21153372:21153944:1 gene:gene-LSAT_3X15620 transcript:rna-gnl|WGS:NBSK|LSAT_3X15620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYQPRSSNHNESDDHHDNRRLYNPYQDLRVPAQTLYKLPTSPEYLFQEESIAQRRSWGENLTYYTYIGYLSGAVVGVGKGLVEGVKASEAGDTMKLRVNRILNVSVHAGRTIDNRAGVIGLLYAGIESRMVKARDADDIINSVVAGLATGALYKAAAGLRSAAVVGAIGGIAVGLAVTGKQILKRYIPI >cds-PLY75937.1 pep primary_assembly:Lsat_Salinas_v7:9:198528033:198529135:1 gene:gene-LSAT_9X122660 transcript:rna-gnl|WGS:NBSK|LSAT_9X122660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGNIKFKAFDLGGHHIARRVWKDYYAKVDAVVYLVDAYDRERFAESKKELDALLSDESLANVPFLILGNKIDLNYAASEEELRFHLGLTGLTTGKGKVNLENSGVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >cds-PLY96716.1 pep primary_assembly:Lsat_Salinas_v7:6:77537219:77537664:-1 gene:gene-LSAT_6X55620 transcript:rna-gnl|WGS:NBSK|LSAT_6X55620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNAGGFKADNGFKSGYLQHLGQALKESLPNVGILAKPHMESRIRTMKKEWQVVYDMITGNNTSGFGYDSVNRCGTVESPEVWDSYVKVHKGAEKWRNKSLPHYDDSCIIFGKDRAQGNRAEDC >cds-PLY77160.1 pep primary_assembly:Lsat_Salinas_v7:8:26005775:26008521:-1 gene:gene-LSAT_8X20021 transcript:rna-gnl|WGS:NBSK|LSAT_8X20021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTNTEDTPDNPSPATFGKPWPEFNDGLTYRDLVRSPDSGLTLIDFYSIKHQSSAPLLGWLQRIENGQITVNGTVITDPKTVLRIGSELVYHRHPSKEPYAPHLLEVLFEDDHLIALNKPSGLQVLPGGQFQQRTVLTQLQWHMKNQESCPVPVHRLGRGTSGILLCAKTKLAKTKLAALFAEKTSQVVGNRKVNNEVNKVVKMSKIYRALVCGIINDDEIVIEEPIGTLKYPGVAKGLYVASSSGKPALSRVHVLKRDVKNNHTVVQVEIQSGRPHQIRIHLSFIGHPLLGDPLYVNGGIPKCCAPETVDETFAEDGGYKKPANPVPGDCGYYLHAHQLILCHPITNELCKITAPLPPVFLANESSESTNQE >cds-PLY89985.1 pep primary_assembly:Lsat_Salinas_v7:8:207869774:207871283:1 gene:gene-LSAT_8X130961 transcript:rna-gnl|WGS:NBSK|LSAT_8X130961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASHPIYTLLEQSQVSPPPNTLSDRSLTLTFFDILWLASPPVHHLFFYDLPITKPHFTETIVPKLKNSLSITLQHFFPFVGNLIVFPSPEKKPEIRYVEGDYVDVTFQESNLDFNDLTGNHPRDCDKFYHLIPLLGKGVKVSDYIKIPVFSVQVTFFPNHGISIGMTNHHSLGDASTRFCFLKAWTSIACSGTDKSFLANGTLPFYDRVVNNPKLDESYLKRSKVETLNEGYQPPSLSRPTDKSRATFVLTRTVINRLKKMVLTQLPTLVYVSSFTVACAYTWSCIAKSRNDELQLFGFAIDCRARMHPPVPQCYFGNCVWGCITIAKTTLLTGKDGFVTAAKLLGESLHKTLTDKDGVVKDIGSFDGLFSEGMPSTMMGVAGTPKLKFYDLDFGWGKPKKHETISIDYNGSISISACKESNEDLEIGVSLSATEMDAFVAIFEDGLKAGV >cds-PLY69595.1 pep primary_assembly:Lsat_Salinas_v7:8:308204408:308210338:1 gene:gene-LSAT_8X167620 transcript:rna-gnl|WGS:NBSK|LSAT_8X167620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQRHPHYFLTKGSQVEVSSDEDGFNGAWFVATVIHPPPSISTTKKTKSLNHHTNNNLVYVEYHNLISEDGSSRRLREYANVSYVRPSPNPDPNAAAPHFQLNDLVDAFYRDGWWTGVITTVVDSSNFVVAFQNPPDQIQFNSSDLRVHRRWDGGRWVQPEIQRTAGLMFTVGKKVEVCFEGENLRDVWFPATVVENSGNNSFLVEYQQPGIGDEATVHKVTVDYLHIRPSPPHLRDKNFVLLEKVDAYSDFGWWSGVVTKELAGNRYNVFFKHTKKEREFDCSRVRPHMDWKGGKWFTTSQRSKRGKGVELKTPTTDSSRKKGRLETELIAEESCIRGEGVNKDFSGAKEDPVPVKTSSQENVEKDVTKDLTECNGNGMTVSEEKKLEVLNVAEGERKEASPCVGLAPLTVVDKEGKEGEAETVAPTPTPKRKRGRPPKLQATSLETPVAAAVAVAVNDEIEKEGVGVGPPEMESGQRSASSVTKPLEKLPAEDNNDVQNLENTSSNNKQQLPNENVQVQPQPQPQLQEGKKYSSMKGKRGKRRTISINSESPPTEDCREKKADKVKDDILNSPTPTRNVEAASVGKSVSDDQPLSRWLVQSPSVDGHGTEGTGSVEQPRERERDMESEMMIVTSPAAAASGLGFCDGLPFMISSPTLWKTIESMDALRKIPQKPHFRPLEGMKESGREGLAIGSMVTFSTVVDRTCALRFEDPRSAIEECLETLAELEPHGFQVDLIRDRLTQLLLIKDKQEDLQQQLLSQQNLEEAHMEQIKLDAEIDEIDTEITQLEERRRQLLSTKEKHDSHMALTKAAGDRLHQQLGVVGTEFHLLAAASFF >cds-PLY67204.1 pep primary_assembly:Lsat_Salinas_v7:6:133559354:133561381:1 gene:gene-LSAT_6X80600 transcript:rna-gnl|WGS:NBSK|LSAT_6X80600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDTGNGQLSVPPGFRFHPTDEELLYYYLRKKVSYEAIDLDVIREVDLNKLEPWDLKDKCRIGSGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIHLLSNSKRIGMRKTLVFYTGRAPHGQKTDWIMHEYRLDDQYSNAATPSEVQEDGWVVCRVFKKKNHNMRSPFQADDLQQEDDHQQLIDQDTNKNGSGGGHSLHLQALHGYECNMTSNTTLFEGSMHLPPLLTSPPDHTPYFLAPRQSNEASTMMINDMDCSRNLLSLMSASRAGCGTCSSGHNQRTQDKPLLATSNLTADWSFLDKLLASNPHHHSGITFDNHHHQGTCNDPSSSQAFHHPDVSATSHIFPFHYHGYETEVLKFSK >cds-PLY73260.1 pep primary_assembly:Lsat_Salinas_v7:8:211457669:211460059:-1 gene:gene-LSAT_8X132041 transcript:rna-gnl|WGS:NBSK|LSAT_8X132041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWTNKDSANSTPRGFPFSTPQPEKKRCYSSNMYSSPPFPIRQPPLPASEKKWTPPQSGNSNSLFHVIHKVPAGDSPYVRAKHVQLVDNNPSKAVPMFWAAINLGDRVDSALKDMAVVMKQLNRSDEAIEAIKSFRHLCALDAQESLDNILLELYKRSGRIDEQIGLLQSKLKHIEEATCMNGNRTKLARSQGKKIQITMGQEYSRLLGNLAWAHLQQDKYKLAEEIYRKALSLEPDKNKRCNLAICLMYMEKMTEAKFLLSTVKDSNRNRDFEEDSYAKSYERAIQVMEELEMEKRRNSDNQSSFSSFLSRHKESDSNEKATTKGKRSNSVSDPGFRRAYASPSPICGTPRVPFTQPRRRDWERSDSSGCFRKLHFETSGEVEREPSKVGDEMTEIPSKVVVEKKSWADMVEEDEQNQNLLDENTDYGDDDDENINEEEGEDYGDENTDCNVMNRKIESLGLQDGYQTQPGTAKSKKVDRCLTFGSMSQNRSEEENYWCSSSERKESLGYESLNGGNGGFPARNGFKDIVLTSERPRTLE >cds-PLY78661.1 pep primary_assembly:Lsat_Salinas_v7:9:50189267:50189728:-1 gene:gene-LSAT_9X45080 transcript:rna-gnl|WGS:NBSK|LSAT_9X45080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKYTVIGFRLPLKVWILETFPEVLRFAHHTENEIPRMRAWRIKTQLSLKQCLRILDVSVENNIPCVFEPTPAEIHLPFFVRYINWTLDKVQSPPQQ >cds-PLY86652.1 pep primary_assembly:Lsat_Salinas_v7:4:280851297:280856282:1 gene:gene-LSAT_4X142700 transcript:rna-gnl|WGS:NBSK|LSAT_4X142700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIWSSFRTVITSVCTCGGGNHHEGLGPMSCMGVRLRIQDHHVVIDNGILQVTLSKPEGLVTGVRYNGLDNILEVLNHEGNRGYWDVVWSALDDSGRKGVFEVIKGTSFKVIVETEEQVEVSFSRPWDPSLRGKVAPINIDKRFVLLRGSSGFYSYAIYEHLGSEEWQAFSLGETRIAFKLRKDKFHYMAVADDRRRYMPLPEDRLPGRSQPLAYQEAVSLVNPVVPAFKGEVDDKYQYTCENRNLMVHGWISNDPPVGFWQITPSNEFRTGGPLKQNLCSHVGPTCLAVFVGAHYAGDDLVPKFGQGEPWKKVFGPVFMYLNSTMCGQDPLTLWDDAKRQMTVEVESWPYSFPASRDFPKSHDRGNVRGRLLVRDRYIHKDDIPANGAYVGLAPPGDVGSWQRECKDYQFWSEADGRGDFCIKNIRGGEYNLYGWVPGFIGDYRYHVPITITPGCDIYVGDLVYEPPRDGPTLWEIGIPDRSAAEFHVPDPNPNYINPLFLNNPNSNFRQYGLWERYAELYPHQDLVFTIGESDYRKHWFYAQVPRRKQDNSYEGTTWQIKFKLQNLQRAIYKLRIALAGASLAEVQVRVNDPNKPRPVFTTGLIGRDNAVARLGIHGLYWLYNIDISGSLLVEGENTIYLKQPRNQSPFQGIMYDYIRLEGPPC >cds-PLY89145.1 pep primary_assembly:Lsat_Salinas_v7:3:21287708:21289495:1 gene:gene-LSAT_3X15800 transcript:rna-gnl|WGS:NBSK|LSAT_3X15800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCLSIFHSKSSPEPKEENPPSKPKSETRLVKSTGSISFPRNIPEIYKEKEHTLTKFSFSDLRNATSNFNKLLKIGEGGFGCVYKARIRLSETQKDPLVVAIKRLNKQGMQGHKEWQTEVQFLGVVDHPNLVKLLGYCSVDGDRMQRLLVYEYMPNKSLAVHLFSQTLPPLPWKTRLQICLGAAEGLAYLHEGLEIQVIFRDFKTSNVLLDEKFNPKLSDFGLAREGPQGDRSHVSTMPVGTYGYAAPEYVETGHLKAKSDVWSFGVVLFEILSGRQAVDRNRPKGEHKLIEWVKLFPADSKNFRMIMDKRLNNKYCVDDARSIAKLAVRCLYKNPDDRPTMSQVVKGLRDVISDSQIGLVYDK >cds-PLY76106.1 pep primary_assembly:Lsat_Salinas_v7:9:31538463:31538907:-1 gene:gene-LSAT_9X27740 transcript:rna-gnl|WGS:NBSK|LSAT_9X27740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQISSNIMKKASVLAVVAFSVAATVSAQATAPAPSPDAGVAFSVPISGVVIGSSMLLSLVALFRH >cds-PLY64486.1 pep primary_assembly:Lsat_Salinas_v7:3:15255343:15256815:-1 gene:gene-LSAT_3X10681 transcript:rna-gnl|WGS:NBSK|LSAT_3X10681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKITIPLFFYLFLFKSISGADDCVPTSCSPTGPQVRFPFRIRGRQPSHCGFPGFDLSCNKRNRTILHLTSSRSYIVNRISYGAQIIYIDPEFCRPKRIGDFRLTGTPFDFSSVRSYNFYNCSLQKSTYMFPAVALPCLDSGNHSVIAVRTGLIPEGETPENCKDIATISVPIRWYGHIREELELMWFTPFCGPCEIEGRMCGLRNGNGETTCFGSPVGIQRNAKYGLSIGIGISILICILGIVCYTATKARDYGRSRHQNLDIFSITISPRPQSATGLDLSTIESYTKTVLGESCRLPKEDDACPICLADYKPREALRTIPECNHYFHVECIDEWLRLHATCPMCRNSPQKFIFG >cds-PLY87644.1 pep primary_assembly:Lsat_Salinas_v7:1:122667127:122671580:-1 gene:gene-LSAT_1X92961 transcript:rna-gnl|WGS:NBSK|LSAT_1X92961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFVTRQLVQPYRDKPNEGTLAIYIEFSPMADPSFDSGRLGESAIELWRIVDRGLRLSALSPPAVYMGNMHGIDRLSLAAEIPLMPLPLFSSPSTTRLDSRRPQSSSDQSGGPVAVNESIANKGVGVGQPVPMLGRIQSQLFASLTATTVAELPCTVKLRIWYHDSNNPCACGKGLGVVIERISRCPIHSGTISCKIDDAGSHEINGVRRRDVLNCFGAAISMELVASSSPISCPFIEAANVADLIQRRQRSDFQSSIKMTLYKAIKANPELISPLLTLALNDSMTYDKPTKTRGPNGSIRFSSEIERPENKGLSAALSMVEEAKKEIDSYSKGGPISYSDLIQLAGLMKVNNSGIRRGKESSS >cds-PLY74755.1 pep primary_assembly:Lsat_Salinas_v7:6:119891724:119892720:-1 gene:gene-LSAT_6X72480 transcript:rna-gnl|WGS:NBSK|LSAT_6X72480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPIGPSYRPPLVVAIAEHYKYLRKLHIDGWRTNRIGNEGLTAIAKHSANLQELVLIRVNPNSASLEAIATNCQKLERLALCGSETIADGEILGIASKCVALKKLCIKGCPVSDEGIEAFAWGCPNLVKIKDENKKQKFK >cds-PLY73929.1 pep primary_assembly:Lsat_Salinas_v7:3:37741849:37744754:1 gene:gene-LSAT_3X28520 transcript:rna-gnl|WGS:NBSK|LSAT_3X28520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDTTTLSYWLNWRFFLCALWVVIAMIAAAILIIKYEVFNKRTSHRKEDEHDVEPIGILYEDETWKTSLKALHPVWLLVYRLIAFGVMLAVLIANLITAGPDVLFFYTQWTFTLVTVYFGLGSSLSIHGCYKYWNEVDDDNNNHVLDTERGTYIAPSEGLNSHRIPINPNNNHKETHERKTAGAVGLTDSVFWFIIYPFLTPAGYSLTLLDVSMHSLNAILLIIDMILNRLRFPFFRLAYFGLWTCIFVIFQWIVHACVSMWWPYSFLDLSSPYAPIWYLGVGLIHLPAYGIFALLVRGKQLLLSRFVDTNGA >cds-PLY98657.1 pep primary_assembly:Lsat_Salinas_v7:5:304428144:304429514:-1 gene:gene-LSAT_5X164521 transcript:rna-gnl|WGS:NBSK|LSAT_5X164521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEILKEIGSGSYGVVWGAWDEHTDEVFAIKKLKMRCNSAKEYINLTEVKALRKMNHPNIIKLKEIIKEDDSLYLVLEYMECSLCERMTCRKKPFSEDEIRDLCFQIFQGLAYMHDNGYFHRDLKPENLLVSKDVIKIADLGLAHEMNGKPATDNIGSRWYSAPEVLLGQEYDSSVDMWAMGAIMAELFTRRPLFPGKNDGDQMYKICSVIGSPTETTFSIVNSNYLVFPQLPGVQLSSLLPSASLEALDLIATLLSWNPSARPTAMEALEHPFFYTCYKVPPPRPIPLVFKSCAFCYQREEDPTKQLIRLLPENPFYETVLDPSENMIRLLPENPLYGSEAVDPSENFRPLLPQNRFDGSEKGDPSENMVSLLPENSLPGSKNCGLLSKMEMKQDSLKLKTRTKNCTIGVESDAVHIDELEIAYIDKLEKNKRGTGKRLNFKRIKGKRLNKQT >cds-PLY99673.1 pep primary_assembly:Lsat_Salinas_v7:9:55343354:55346293:1 gene:gene-LSAT_0X9721 transcript:rna-gnl|WGS:NBSK|LSAT_0X9721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAHHRSLKNYMKIQTDITPQMWGILINLLIEVYLKFDLMQLVGLTSLLLASKYEDFWHPQETTILHRQSHNDSTTGKVIELDPKKTLNMRRVVTKHTIGKELLYSFILFTNTMLEQGISHKESVNPSNIMPFEALDGIEFARGDPNSTWGCVRAAMGHPKPFDLKYVAIGNEDCWLKKYHAYPDIKMIFNCDGSNGPLDHPVDMYDFHIYANASTVFSMANTFDHTSRVGPKAYRDSSLQDCNKASEAKDAEVLSESKKIIGAPADTASPSSMQVGVEVLH >cds-PLY62822.1 pep primary_assembly:Lsat_Salinas_v7:4:31669780:31673408:-1 gene:gene-LSAT_4X20761 transcript:rna-gnl|WGS:NBSK|LSAT_4X20761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKVTPQKSILELLVESPNVDSQMILYWNFGNPQSLEAIVEQVRDGSSLRVYLLPEFQFVQAPSMGRSTTQEPTIPIEVPSEETTGENNNSGSRGTLTSAQRISASSGFNEVSPDPYRREAKHFTEIHVLNKDLRIIIKPKPCVGVGPLCNAQQVSMMA >cds-PLY74847.1 pep primary_assembly:Lsat_Salinas_v7:8:107614334:107614795:1 gene:gene-LSAT_8X73560 transcript:rna-gnl|WGS:NBSK|LSAT_8X73560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQSALTNGGQLHCGGMVSIIAEHLGLHLPNNPTNIISGHTRLSLEVLEIMHLFHRHPNGDVYWTVDGKEYLRIDSRNKKILALANDIPYTNWHLQSNLGVTVARRPSTTTSTPPAQTTTAGASSSSRPIPLPEHNLYMGEFARLDHHYTSLQ >cds-PLY82521.1 pep primary_assembly:Lsat_Salinas_v7:2:187218920:187222571:1 gene:gene-LSAT_2X108761 transcript:rna-gnl|WGS:NBSK|LSAT_2X108761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANARTDKEALNSISLDLPPLLIHKISFKFKNRTSEIEKAAILALGGSPSEIRGRAKMSRNLSFTKLFTPRMNRTSSLPVTPITHSNPESVHGRNTIEKVLIDRGMHRSNSVPALIKDESVTQIESLGGVFRIIPTTTKVSQGTHLTINVDPTVEPDGNGDERKAEDIREEEAVCRICMVELQEGVDDTLKMECNCKGELALAHKECAIKWFSIKGNKTCEVCKQEVKNLPVTLLRIQRSQSHGLNGNGGMNEYEGVRYRVWQDVPVLVIVSMLAYFCFLEQLLVNKMGSGAIAISLPFSCILGLLASMTSTTMVKRRYAWIYATIQFALVVAFAHVFYSKLNVTGVLSVLLATFAGFGGAMCGTSIIYEFLKWRGRWHDWSNQPPAPEEPPQQGGSPETTQPEQPTVSGQTGESSQHSRP >cds-PLY97662.1 pep primary_assembly:Lsat_Salinas_v7:5:235795903:235799261:-1 gene:gene-LSAT_5X114660 transcript:rna-gnl|WGS:NBSK|LSAT_5X114660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDSEFTKVDNLAILLEDPPMAHLDLKFIVDGLRRCCLVSTLTFSPTIYQYLIKEFWGSASVKRNNNDEEYFEAYIQGKKVLVTEDIIREALQIDIKHEYPREIIDNHIKEVLGQMGYEGTFPPTHKKLLPPCWKYLAHVFVSCISGRRSGANEISLANTGAITTSEPEIEGEKSPFLAEKLKIVVLLTIALIKNAKVEDYVAGFDELGGSDDFSTEEPEERLGKGEVIFFEGESSLKPSKKPQTRNVRHGSKSNDDSDSE >cds-PLY74776.1 pep primary_assembly:Lsat_Salinas_v7:6:116262608:116289035:-1 gene:gene-LSAT_6X69600 transcript:rna-gnl|WGS:NBSK|LSAT_6X69600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVAVNGGGGGSSGSAEQLSSCSRRQDITDEKELHHDGSKLKVEDMKLMNSLVEAEEEDHVRFLRRLRERIDRVGNEFIPKIEVRYENLLVEGYGYVGDRALPSLYNVTFNSIENTLQFIGLAPSKKKKVKILQSISGIIKPSRMTLLLGPPGAGKTSLLLALAGKMDHDLKVSGNVSYCGHQLSEFTPQRTCAYISPHNLHTGEMTVRETLDFSGRCLGVGLRYKLLTEILKMERNAGTKPDPDIETFMNATTNPTRETNYVTEYVLKILGLETCADTMVGDQMRRGISGGEKKRVTTGEMLVGPANVFFMDEISTGLDSSTTYSITKFLRQMVHVMDLTMVISLLQPDPETYKLFDDVILMSEGQIVYQGPRENVLEFFEWMGFKCPERKGVADFLQEVTSKKDQEQYWFKVDQPYRFITIPEFSQAFKSFHIGQKLETDIHIPYDKSKTHLDALFKEKYGISNLELLKACFDREWLLSKRNSVLYIFKTFQLTFMSLVGMAMFFRTEMHVGNMEDGGKFFGSLFFGLLIVMFNGMAELALTVMRLPVFYKQRDALLYPAWAFALPIWVLRIPLSLMESGIWVVLTYYTIGYAPDAIRFCQQLLTFFAIHQMALSLFRLLAAIARTEVLANTLGAFIMLLIVTLGGFIVAKDDSEAWISWGFYVSPMMYAQNALVLNEFLDKRWSMPNIDPRINASTIGEALLKSRSFFTEDYWFWICLGALLGFSIVFNILFILALTFLNPLVDAKPVIKKEKEIKNADNRLNTKEVYEGINMVDVRKTSRRNDSNIVAHRMERRGMVLPFKPLSLAFNHVNYYIDTPFEMKAREVKDNRLQLLKDVSGAFRPGILTALIGVSGAGKTTLMDVLAGRKTGGYTEGNIFISGYPKNQSTFARVSGYCEQNDIHSPNVTVYESLLYSAWLRLSSDVNTRTRKIFVDELMELVELNPLRDSLVGLPGVDGLTIEQRKRLTIAVELVANPSIIFLDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLMKIGGQIIYAGPLGHQSHKLVEYFQAIPGVPRIRNGYNPATWVLEVTSSSVEHQLNVDYAQIYAKSTLYQDNQELIRDLSVPPSGSQDLFFQTKYTQPFIIQCKACLWKQHWSYWRNPQYNLIRFITTIVIAGLFGAIFFKKGGKIQKLQELQDFLGALYAVVLFLGAINQNAVQPVVAVERTVFYRERAAGMYSSSPYALAQVIIESTYIAIQTSIYIFFLYPMMGLGWTVAKFLWFYYYLLMSFIAFTLSGMATMALTPAPQISAVLIYFFICLWNLFSGFIIPRPQIPIWCRWYYWANPLSWTVYGLITSQIGEKDDMLEVPGAGNMTLKSFINERFGYEYDFLPIVATAHIGWILLFFFIFVYAIKYLNFQNR >cds-PLY81371.1 pep primary_assembly:Lsat_Salinas_v7:4:36879206:36879595:-1 gene:gene-LSAT_4X23840 transcript:rna-gnl|WGS:NBSK|LSAT_4X23840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQMSKKRKFVADGMLFVKLNEVLTRELAEDGYSGVEVRVTPIRTKIIIRATRTQNVLVEKGRRIRELTLLVQKRFKFPKNNVELYTERVNNRGLCDIAQAESLCYKLLGGLTVRRACYGVLRFVIVR >cds-PLY85998.1 pep primary_assembly:Lsat_Salinas_v7:3:132233748:132234160:-1 gene:gene-LSAT_3X90280 transcript:rna-gnl|WGS:NBSK|LSAT_3X90280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFQILEGILKKKLVSLMRKVTVELKILLLHEQFPQSHYQQGTLSPISDYWTLNQSMQLCNLKDK >cds-PLY98522.1 pep primary_assembly:Lsat_Salinas_v7:1:40005085:40007393:1 gene:gene-LSAT_1X35320 transcript:rna-gnl|WGS:NBSK|LSAT_1X35320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQSENASEIITSKELNNGMDEDMSDGGTELVNLSESENEEIVKEYGGSNTEIVVISDDDDDDDANLGKTSKPTKKRKCRGMSELMRDPVGPDIESTLHLSDSVDEYTDESDEDFTIDDYDDKDDSDDDETEWMLLKKQKAVKITSRSNRSRNYKKKKTCAPKPKPKPSNRGPKGRTKRAKLHPHPQPHNNFAARKLAVAIEAEVALAANQKRSGLYDMRGGTGQTVVGQPVAGVGGLKDPGPVVTGTPGKQWTLVCLLNRNPADFTIIGPGNKYMTWF >cds-PLY64837.1 pep primary_assembly:Lsat_Salinas_v7:2:31392928:31396534:1 gene:gene-LSAT_2X15941 transcript:rna-gnl|WGS:NBSK|LSAT_2X15941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATCSTLSIQKSYKYDVFLSFRGEDTRKKFVDHLYFALQRQGVHTYKDDERLEEGKRINDELLKSIEESKFYIIVFSKNYASSSWCLDELVKIMECQKATLQIAYPVFYDVDPSEIRKQLGSVAEAFARHKNKEKIWKWREALEEAANLVGWDLRNTADGHEAKVVNKIVEKISLELRFISSNVDENLIGMESRIKDIMSSLETGVEDVGMIGIKGIGGGGKTTLARAIFDKISFQFEGKCFVENVREVSKTSLFGLRLLQEQILCNLLNDKTITLRSVHEGKSIMKKMLCGKKVLLVLDDVDHLDQLDALAGGINWFKPGSRVIITTRDEQVLIAHRVNSVHDVSLLSDEEAICLFSRYAFGRDIPIQTYKALSLEVVHYAAGLPLTIRVLGSFLCGKNELEWEDALERLKRIPLKETLEKLELSYTGLEDDYKEIFIDVACLLKGWMIDDAIRALESCGFHAKNGLRVLEQKSLITISRDKVLSMHDHIEEMGRNIVRCSHPDEPIRHSRLWIQKEIEDVLAHDLVPEATRALTINTFSWHGKENICFGNMKKLRFLRVVCENDTLYEVGREFPNALRYLNWQFYPRCCLPESFQANNLVALEMSYSRIKQLWGDGEAKVLNNLRFLDLSYSDLMTLDCGLFPNLETLNLEYCCCLVELHVPFGCLRKLVYLDLNRCWGFKSLSFIKHLESLQVLDLSYLYLTEFPDILSEHSTNYSLLELKFSGSKIEELPSSIGNLQKLVSLDLSWCESLKTLPESIFCLTSLKRLNLVNCVIEELSEDIIHLESLEWLNLGGTCVKHLPNSICMLKHLKTLLLARCKVLEKLPEDLGLLESLEKLNLAYCKIRDVPSSICKLKHLSKLDLCNCDQLEKLPEKLGDLNCLKVLNVEGTCISHLPHSISLLKGLKIIGFKSEDSSILVK >cds-PLY89401.1 pep primary_assembly:Lsat_Salinas_v7:4:122355147:122361783:-1 gene:gene-LSAT_4X77101 transcript:rna-gnl|WGS:NBSK|LSAT_4X77101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRYDDRIVDAGSYHQRRSDFMGLPPTAGPPAVGAAPNYVRGGPAPFRGPPAPPPSFVGGGRAAGSGYPPFEPGVRGGFNIGAGGGGRGGRGFGSGRDGGGRMSNGGFDGGRDRGGRGFNSSRGGSRVGRGFDRGYQGGGREGGRGGRGGGRSFDGGRGGGRGGRGSGSKGDLDSIALPKQDFGNLVPFEKNFYIEFPSVRDMTDQEVAVYRGRREITVEGHDVPKPIRMFHEAGFPGYCLDVISRLGFVEPTPIQAQGWPMALKGRDLIGIAETGSGKTLSYLLPAVVHVSAQPPLVHGDGPIVLVLAPTRELAVQIQQEAGKFASHSNIRSTCIYGGAPKGPQIRDLQRGVEIVIGTPGRLIDMLEARQTNLKRVTYLVLDEADRMLDMGFEPQIRKIIAQIRPDRQTLYWSATWPKEVESLARQFLRNPYKVIIGSPVLKANQAIKQVIEVVTDMEKYSRLIGVLKEMMDGSRILIFVETKKGCDQVTRQLRMDGWPALSIHGDKSQSERDWVLAEFKSGRSLIMTATDVAARGLDVKDIKCVINYDFPSSLEDYVHRIGRTGRAGAKGIAVTFFTHSNAKHARELVKILQEAGQAVPLALSSLTRSTGGGGSGGNFRSRGRGGYGNRGMMSGSNTGRMTTRLRKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPIVNVDKLWSMVPQDVRENASADKIPVVDVTQHGFFKVLGKGMVPPSHPMVVKAKLISKTAEKKIKEAGGAVLLTA >cds-PLY91763.1 pep primary_assembly:Lsat_Salinas_v7:7:42968513:42969996:-1 gene:gene-LSAT_7X29640 transcript:rna-gnl|WGS:NBSK|LSAT_7X29640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLKCPGFRFKPTDVELAMYYLKKKLLGKKLHPEVIAEINLYDFSPWDLPAKSILSGDLEWYFFCSYSKKYSSGSRTNRSTETGYWKGTGKDRDVKYNGRKVAVIKTLIFHVGHAPKGTRTNWVIHEYRMKDEDLANQGVAQEAYVICKVFEKRGAGPQNGAQYGAPFEEEEWDDDDDEDNETSTVTSLGVATPTLLVNLNNASSSTPSTVTSFENERHIDQPSNQDDMLLTHGDAASLLQDNNTQVRNFYQDYICFVFL >cds-PLY66269.1 pep primary_assembly:Lsat_Salinas_v7:3:48089516:48092133:1 gene:gene-LSAT_3X37940 transcript:rna-gnl|WGS:NBSK|LSAT_3X37940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCFELDIRRSKQLISRIAVLEWCQFSYVTVGVTLLALVEEVYAIMEIRTTETSENPTSLPVVSTETTETLEQLTPPVIAHLQTTETSEPPPPPPPVTNMPESEASLPEVTNTHTTESLEETQTPLTEVTNTPATEPSDDTDSTPPPVTNTQSTETLEQSILPPLTETKTTETIEQSVIPSSIVTDNSHHDNLVASPENHQVIEMRQETAEEGEEIRSILKVIAATGKFCHDWEKLRSMLSLHLKQVISEYPQAKMTIEEQKVSLGETHAELVKRLDDALHSFVDGPPFTLQRLSEIILDARSLYPNLSKLALALEKNLSVTSTLTMSTDPDPQSLITTPNGLDKLTEDPNPNPNSNPNPNSVQNPNPQVESDAVMENGVLPLTAVDRDEVMTEVEADVGDVMTMDMETYEKSSEESSPMTTGDS >cds-PLY91409.1 pep primary_assembly:Lsat_Salinas_v7:3:56368236:56370636:1 gene:gene-LSAT_3X43821 transcript:rna-gnl|WGS:NBSK|LSAT_3X43821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGFCLIILGVLAILGSVEGDLEMGFYGSSCPKAEKIVQDYVNQHVPNAPSLAAALIRMHFHDCFVRGCDGSVLLNFTSASGNQTEKVAVPNQTVRGFDFIDRLKSLLEAECPGIVSCADIVALAARDSIVLTGGPSWRVPTGRRDGLMSNASEALAQIPAPFDNITILTQKFANKSLDLKDLVLLSGAHTIGISHCPSFTNRLYNFTGVGDRDPTLDSEYADNLRSRKCRIQNDTTTIVEMDPGSRKTFDLSYYALLLKRRGLFESDSALTRNSNTLTFINQLLQGSIANFYTEFGLSMEKMGQIGVKTGTTGEIRRNCAVVNS >cds-PLY84371.1 pep primary_assembly:Lsat_Salinas_v7:4:214581899:214585127:1 gene:gene-LSAT_4X120120 transcript:rna-gnl|WGS:NBSK|LSAT_4X120120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRFLKMNLISLMKRVETKSNSDNEEENTTKNNKIPRKGRMVISEDKYDEGLKLRLRGKTLDMQYEKETNNDSYNGHENVVLKHQVSDCRHQTLFHRLVDWDGILKSRRIRDFDNYATRLVGKFESVDTYYRHCSSAAYVTKVVIPLLCISALDDPVYTWEAIPWDEYVDQAPYVNVSNGMVTAMTNDPSSPTDNVLSLEGTSEGGEEVKCMCVNLGRLARGKRGGHFVELNFHCTPDTSSASVIRILKQHSDM >cds-PLY99328.1 pep primary_assembly:Lsat_Salinas_v7:1:69702050:69702697:-1 gene:gene-LSAT_1X59720 transcript:rna-gnl|WGS:NBSK|LSAT_1X59720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMNSPLVVAVANISADLCQYIACNPERLHSQQVLHLLFCFPFQQFRRFALCVWTFFCFPPHTNFYTSSSSSSSVSSDEDSHSD >cds-PLY81547.1 pep primary_assembly:Lsat_Salinas_v7:2:127829210:127830615:1 gene:gene-LSAT_2X59641 transcript:rna-gnl|WGS:NBSK|LSAT_2X59641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVSSFIITILLILFSVSCLADDYSSTSLKVPCLSDLSALEDDLSYSLYHESCPDLEGIIYRKVKEWVAKDPTLAPSLLRLHYHDCVVRGCDASILLDHEGSEKTSNMSKSLRGFEVIDDIKAEIEKKCPKTVSCADILTTVARDATVLASGPYWTIPYGRKDGRVSLAKEAMIVPKGSESVTSLIEFFQSKGLNVLDLVVLSGAHTIGKTTCESVQHRLYDYKGTKKPDPSLDPKYLNYLRRKCRWASENVNLDGETPNTFDTQYYQNLKKNMGLLSTDQFLYHDSRTKPVANGLSFEPTLFKNQFGVSMVKLSSILDLSSQDDGEIRVDCKYVNKY >cds-PLY65017.1 pep primary_assembly:Lsat_Salinas_v7:1:119772459:119773292:1 gene:gene-LSAT_1X91741 transcript:rna-gnl|WGS:NBSK|LSAT_1X91741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQLKNIIDALIGAGDFAAWANILFNPKINSSIPTSTTAALIPTTATMFVPGNDALSHLSASATGAYNFDPFIIPYHILPQRLTFSELQLFKTQTRLPTLLPSKTIIITNNTPSNFTIDDSLIMQPDIYQNPAVCVHGIAAILDYTMYGEAPPAPPASPPPPASTLDETLTPSPEVARNCSSDLVFSDDDFFFFMMVILACVVFIPNW >cds-PLY97107.1 pep primary_assembly:Lsat_Salinas_v7:4:74616037:74618821:-1 gene:gene-LSAT_4X50141 transcript:rna-gnl|WGS:NBSK|LSAT_4X50141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEADYEVRVKAEPITTAPLSSGTLGGHLARRLVQIGVKDVFSVPGDFNLTLLDHLIAEPGLNLVGCCNELNAGYAADGYARAKGVGACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFTQEMQCFQTVTCAQAVVNNLDDAHEQIDTAISTALKESKPVYISISCNLPGIPHPTFAREPVPYFLPPISSNPLGLEAAVEETAKFLNKAVKPVIVAGSKLRVSKAQKAFMEFADASGFPIAIMPSAKGLVPETHPNFIGTYWGAVSTNFVGEIVESADAYIFVGPIFNDYSSVGYSLLIKKEKSIFVQPNRVTIGHGPSLGWVFMADFLSALAKKVKKNNTALENYQRIFVPNGVPLKYEKNEPLRVNILFKHIQEMLSGESAVIAETGDSWFNCQKLRLPENCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTAQDISTMIRCGQNTIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLVNAIHNGEGKCWTSKVRTEEELVEAIATATGAHKDSLCFIEIFVHKDDTSKELLEWGSRVSAANSRPPNPQ >cds-PLY90038.1 pep primary_assembly:Lsat_Salinas_v7:MU039662.1:18214:18717:-1 gene:gene-LSAT_0X34861 transcript:rna-gnl|WGS:NBSK|LSAT_0X34861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRGVDRIGVSLGSHRPIVILKLPCLVEKKRTKFFFIIVDTGKTQPDDVCWLVVRGLLVPAYPKGGTGSKTRIFIFLALP >cds-PLY81400.1 pep primary_assembly:Lsat_Salinas_v7:8:32015111:32015653:1 gene:gene-LSAT_8X25200 transcript:rna-gnl|WGS:NBSK|LSAT_8X25200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYVFQRDRRVRSSSRMEWKERKKKDLSLNGGSQVGLSHKCHKCKEDCNHYSRDANQVLIDELSKKASIGSCQFLEFFGVLANSQSIVSRATHILVHPQRVIALEVPGFVFSGVNITIGKRLVVLNIEQYMNSNIQMGSCSVVMKTLVLAPGFPDMDF >cds-PLY69007.1 pep primary_assembly:Lsat_Salinas_v7:9:139183473:139185764:-1 gene:gene-LSAT_9X89280 transcript:rna-gnl|WGS:NBSK|LSAT_9X89280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTDNAYRVDTTSRLAQWRIDNLASCTYRKSDPFKIGKWNWRLVIEKNRNLYIKLYPEISGLTKETPPIASFIIRVVSSLGGRKALVHPEIRDKQLKTSDDFVWALEVPLTGKFIIDLEFLDLKTASTNGGESCSVWTEGLTQKDLRSTAISSLGKMLSESIHTDIIIHASDGSMGAHRAVLAARSPVFQSMFSHDLKEKEMSAINISDMSIEACQAFLSYIYGNIEEQDFLVHRLHLLRAADKYDVVDLKEACHESLLEDIDTNNVLERLQIASLYRLEKLKICCMQYLVRFGKIFDILEEFDAFIKTADRELIAEVFNEILSVWKGF >cds-PLY94652.1 pep primary_assembly:Lsat_Salinas_v7:1:40785592:40787651:1 gene:gene-LSAT_1X36281 transcript:rna-gnl|WGS:NBSK|LSAT_1X36281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIPHPYDPVYYSIPSIPPLEYAGYEDPNAINTLFVSGLPDDIKAREIHNLFRRRPGFDYCQLKYTGRGNQVVAFATFLNHPSAMAALRDLNGVKFDPQTGSTLHIELARSNSRRKRKPGPEAYVVIDKRSIATKDDQDSSDDGDSETEEPSETDKDNAARSDEETTGDPDNTVGAENEQAENTVDGACSTLFIANLGPNCTEDELKPVLSQYPGFNTLKVRNRGGMPVAFADYEEVEQATDVMNALQGSTLQSSDRGGMHIEYARSKMRKR >cds-PLY81918.1 pep primary_assembly:Lsat_Salinas_v7:8:121710665:121712054:1 gene:gene-LSAT_8X84441 transcript:rna-gnl|WGS:NBSK|LSAT_8X84441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEWPEWISEILISNYETSGKNASMSSSFKDVEDLVHSFLVIMLEHSMHQNDGWKTKVIAATAVGVAAYGLPPEVSKVEVENVAQISMPISEE >cds-PLY88967.1 pep primary_assembly:Lsat_Salinas_v7:8:129528681:129530928:1 gene:gene-LSAT_8X89940 transcript:rna-gnl|WGS:NBSK|LSAT_8X89940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKVYTLKEVAEHNDPKDCWLIIDGKVFDVTKFLEDHPGGDDVLLSATGKDATDDFEDVGHSTTAKSMMDEFYVGDIDSATIPSKVEYKPPKQAHYNQDKTSEFIIKILQFLVPLVILGVAVGIRFYTRSV >cds-PLY63701.1 pep primary_assembly:Lsat_Salinas_v7:9:83947547:83950408:-1 gene:gene-LSAT_9X66300 transcript:rna-gnl|WGS:NBSK|LSAT_9X66300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PHIP1 [Source:Projected from Arabidopsis thaliana (AT3G55340) UniProtKB/TrEMBL;Acc:A0A384KSV0] MVLSNKKLKEKLRAQLTEALAKSESQANQSNLNDSQTPQSFKSLLNLVTQKPKLSKREKRRVTVPSNHENGDEKRESEEGSNGLKTNKKRKREKDGVEAKEVNEAKDLSENKNSKKKNKKKKNKKKKVVVKEESVLQKPNAVSEEQEQAVAEPIQIHTSNEDANISTKIYVGGIPYYSTVDDIRSFFEHCGSITEIDCLKFPETGKFNGIAMISFRTEAASKRALALDGSDMGGLSLKVQPYKATRDKKVSDFAPAMLEGYNRIYVGNLSWDMTEDELKKFFSDCSISSIRLGKDKETGEFKGFAHVDFSDSLSLTMALKLDQKPLFGRPVRIRCAVPPKSVNPSSNPDPTFGKNEVNGKLTHSDVTDDVARKLKRQTCYECGEKGHLSSSCPKKQAADVAKSGRETFASVDSQKVDDKVIEFVGDAALKWRTCYECGERGHLSLACPKRQVADVANSGREAFGSVVVVDNDVSDSKVKRRTCYECGERGHLSSACPKKQDADVANSGREAFDVREVVDEKMIQPVSDGKLRRRTCYECGERGHLSSLCPKKVVADVADDVEKVEKAEVAEVVDNVVSEGKLRRRTCYECGERGHLSSLCPKKQAADVAKVRKEPDDVEVEALKTTVADVASGKIRRRTCYECGEKGHLSSLCPNKKEADA >cds-PLY90120.1 pep primary_assembly:Lsat_Salinas_v7:7:14420369:14421499:-1 gene:gene-LSAT_7X11681 transcript:rna-gnl|WGS:NBSK|LSAT_7X11681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLILFSFFFVIPFIDNEDPSVVNNGAQSSLSQLTKLKRWQWWTLVVINICFLLIGQVVAVILGRFYYDQGGNSTWLATLIQTIGFPILFIPYFLFPSSKKSSNTSSTSVSLPVLFLIYLVIGVLIAGDNMLYSVGLLYLSASTYSLICATQLAFNAIFSYFINSQKFTALIFNSVVVLTLSASLLAINDDSDKPNGVTSRKYVLGFVATLSASALYAFLLSIMQVTFQKVLKKETFSVVLELQIYTSFFATCVSLVGLFASGEWRTLGGEMGGFGKGGAAYMMTLVWTAVAWQVCSVGVVGLIFVVSSLFSNVISTLSLALTPLAAVVVFHDEMNGVKVIAMLMGLWGFSTYIYQNYLDDEKAKRISADATGTQPK >cds-PLY73098.1 pep primary_assembly:Lsat_Salinas_v7:9:22529790:22532580:-1 gene:gene-LSAT_9X20500 transcript:rna-gnl|WGS:NBSK|LSAT_9X20500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper (bZIP) transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT2G36270) TAIR;Acc:AT2G36270] MVVLESEIMSPGEVESPLQSADQNQSRNNNVQNTLVPQLGRQSSIYSLTLDEFQHTLCESGKNFGSMNMDEFLNSIWTAEENQAHGQAAHPPAAATSTTPAAMATNGGATSSGQFLMGLNTSSAEQNMMVKQHSLPRQGSLTLPGPLSRKTVDEVWSEIQKTQHEHQQERNNSGNAQVPGSAQRQPTYGEMTLEDFLVKAGVVREQNHSNAPPVQQQPPPPFGLYPNGNNRVVGPPPGSAHMGRPVTAIPPYSPLIREAPGYPGGGKRSSTYQPQPISCYGGMVGNGGGYGQGLGMGSPVSPVSSDGITTSQLDGGNQYGMDMGGMRGGRKRIIDGPVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNMLKEENAQLKQALAELERKRTQQVFEEMRMKTLPKAQKTKEKLRVLRRSSSCPP >cds-PLY86205.1 pep primary_assembly:Lsat_Salinas_v7:8:225214756:225215337:-1 gene:gene-LSAT_8X138200 transcript:rna-gnl|WGS:NBSK|LSAT_8X138200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKFVSTQLPTLQHVSSFTVACAYFWSCFAKLRNDELQVFGFAVDCRARLVPPIPATYFGNCVAPCGAMAKTKILTEKEGFVTAAKLLGECLLKMSDDKVGIIKDAKTWFDFPFKGMPTFITVTGTPRLKFYDTDFGWGKPKKYETISIDYSVEISLYASKDSNEDLEIGVRLSPTEMEAFIPIFNGGLENYI >cds-PLY77214.1 pep primary_assembly:Lsat_Salinas_v7:3:245723478:245725956:1 gene:gene-LSAT_3X136160 transcript:rna-gnl|WGS:NBSK|LSAT_3X136160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTAIPSNSPSSSSESNTTNIDATPLLSTTSAISDQIFLTRQFVRGPPSLRGAARFLRRASSRRMLREPSMRVRESAAEQIEERQSDWAYSRPIVILDLIWNLAFVTVSVAVLVMSLKESPVMPLRVWIVGYALQCLLHMVCVYVEYKHRYQQRSFEANNDNSNSATSGNEGLENVDFASSRLQNDDDTSVAKHLESANTMFSFIWWIIGFYWVSAGGQSLSSESPQLYWLCITFLAFDVFFVVICVAVACLVGIAVCCCLPCIIAILYAVADQEGATKDDIDRLPKYKFKRIGNFEKENEEIQEAFGGLMIECDTDSPIEHVLPREDAECCICLSAYDDGTDLRELPCCHHFHALCIDKWLYINATCPLCKFNILKNATQGNDV >cds-PLY95664.1 pep primary_assembly:Lsat_Salinas_v7:2:115251768:115256974:-1 gene:gene-LSAT_2X53140 transcript:rna-gnl|WGS:NBSK|LSAT_2X53140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVVAICALQNLVMHSRSNKRAVAEAGGVQLVLDLIGSGDMDTSIQAAMFIKLLFSKHTIQEYASSETVRAITAVIEKDLWATGTVHEEHLLALNTLFRNFPRLRAFEPATFSIPQLVTSLKTGSEATQEAALDALFLLRLAWSACPADVSRSQSNLRIIFTPYETTEIGPLKCWRGGLCLSKSIGDRDVGEFIIPVPHVKQVKPFDGSGPNG >cds-PLY92368.1 pep primary_assembly:Lsat_Salinas_v7:3:125289449:125290072:-1 gene:gene-LSAT_3X86981 transcript:rna-gnl|WGS:NBSK|LSAT_3X86981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSEQLRDISSRYEHDKKVWVAVVKEPSHRITVLKPDHSQLSLQAHHCANLVPDLNNMVSIVQALVKYNKEQIKRRKLHNQLDDTKGVLQMMSTKQTRNFDRMVNHG >cds-PLY75336.1 pep primary_assembly:Lsat_Salinas_v7:5:52488845:52491795:1 gene:gene-LSAT_5X26101 transcript:rna-gnl|WGS:NBSK|LSAT_5X26101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFRLGLRRAESSSLGKAMAQELNSSAPATATATAATVTSTSTTKKSLWPSALRWIPTSTDHIISAEKRLLSLVKTPYTQELVDIGSGPPGSKVRWFRSASNESRFINTITFDSKQDSPTLVMVHGYAASQGFFFKNFDTLAKHFRVIAIDQLGWGASSRPDFTCTSTEETEAWFVDSFEEWRKAKNLSNFVLLGHSFGGYIASKYALKHPEHVQHLILVGPAGFTSETEHKSERLTKFQATWKGAVLNHLWESNFTPMKVVRGLGPFGPNLVRKYTSARFGEGEGLAEQESALLTDYVYHTLAAKASGELCLKHIFSFGAFARSPLLQRSSEWKVPTTFIYGFQDWMDYKGAEAARKNMNVPCEIIRVPQAGHFVFLDNAKGFHSSVLHACRRFLSPDMANYPLEEGVISV >cds-PLY97789.1 pep primary_assembly:Lsat_Salinas_v7:4:375078665:375088250:-1 gene:gene-LSAT_4X185460 transcript:rna-gnl|WGS:NBSK|LSAT_4X185460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMGNKKVDLGHVWMAAHFPHRIRKEFVQQTNISSSVDKILVDQVPVVTYRILGFLLLGVTRLYSKKVEYLLIDCNHSLYEMKVYLEGRKKVNINVGGMCLPEPSSQRSKTNIVAMDAPESSSRKKSNTFIDAMRAEFSSITLPQNFELDAFDLQVVEDESSSEHHVKSNLEIVLPDTDAWENDETGHHSEASPENLRTRFSLEDRLAPMELDEPDEEVTLPKIPFLEQDPEWRKTSSCDNYMTFEDRNDKHQDEEECTTGEKEEKSVLETLMDDNITVKPSPQKLSVAVNVTPQSKGPSVSGKHKSDSMVVRTPAVQERVIRASISNSRKRKCVYDDVTVIRNVVYKDWVTNASDLVAKRTKVPTTRSRTRSCDYIFIQPIIPCFPSDLRSAISRIEVEQLQLQAAIEVADDGVGIGSCDAAAAAIMLKSAEENEAEIIAPLTPTTQSASKANQEGPTSSCEDMFPINDQESDNLQSVSRQGPSSSHLQNQGHENWSALTKSVGGYLHSSFTHKKDEVVKLSQILKEKTKRESAMFFSEILPRHELSYDDDLFDESDENSDMFVESEDSDDESDAGENDADDLGEEEDDDSE >cds-PLY97250.1 pep primary_assembly:Lsat_Salinas_v7:1:44199609:44201578:1 gene:gene-LSAT_1X38480 transcript:rna-gnl|WGS:NBSK|LSAT_1X38480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQPKVLTTKEADIQMMLSAEVHLGTKNCDFQMERYAFKRRNDGIYIINLGKTWEKLQMAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGCHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGVINQGHKWDVMVDLFFYREPEEAKEQNEDDGPAPADYVDYAAGALGMGDNWSAQIPDAQWAGEMAQPPIAGAPVVAGVTGWTGAEAPVSGGDGWEAVAAPVPQAEGAAATGWE >cds-PLY79160.1 pep primary_assembly:Lsat_Salinas_v7:4:218122081:218123905:-1 gene:gene-LSAT_4X122621 transcript:rna-gnl|WGS:NBSK|LSAT_4X122621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYSSLNSLAAPTTAVRGVTVPSENQSAASPALNEVTISADHPPLRVIPGSYGIPFFGPIKDRLQYFYGTGGPVEFFKSRVQEFRSTVYRTNMPPGPFISGDPKVIALLDAKSFPTLFDVSKVEKKDVFTGTYMPSTKLTGGYRVLSYLDPSEARHAELKNLMFFMLKSSSSRVIPQFESTYTELFNTLEIQLDKTGEAPFNDVGEQAAFRFLGRAYFEVNPEDTKIGKDGPTLINKWVFFNLSPILTLGLPWYIEEPLLHTFRLPAFLIQKSYQKLYDYFLSAATTVIEQAENLGIPKDEAVNNILFAVCFNTFGGMKILFPSTLKWIGLAGENLQTQLAEEIRGAIKSYGGGMVTMAAIEQMPLMKSVVYEILRIDPPVTFQYGRAKRDLTIESHDAVFNVKEGEMLFGYQPFATKDPIVFDRPEEFVADRFVGDGEKLLKYVWWSNGPETEITTVENKQCAGKSFVVLITRLFVVEIFRRYDSFTVDVATSALGSSITITSLKKAST >cds-PLY71585.1 pep primary_assembly:Lsat_Salinas_v7:1:115653156:115655915:1 gene:gene-LSAT_1X87640 transcript:rna-gnl|WGS:NBSK|LSAT_1X87640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFSRISLESYLIPLEEINRATENFNQQRCIGGGGFGAVYKGQLSERWQNLAAAIKRLSRDSFQGEREFRNELELISKFHHENIISFIGYCDEDKEMIIVYEYAMNGSLDYHLQDPHKMSCITWTQRLMICIGAARGLSYLHSGLGEHNRVIHRDVKSSNILLDNNLVAKVCDFGLSKSGPRNQSDTQIYTRVAGTQFYMDPAYHESGILRKESDVYSFGVVLFEILSGMLVYHEKSFGDDCQQNLITAVRRYYNKEPHKVIDPNIRDQIDTRAFDAFQEIAYECISFNLMERPTMDKVIERIEKALTIQTHKPSASIPAARSMIESVVYKLKYSASPDEQQIAASEIHLLAKGHDDNRVAIVQAGAIPMLSHLLGSPDTLTQEHALNAILNLSIHDENKGIIVSSGAVPGIIYVLQEGNMEAQENAAATLFSLSSMDKNRVTIGSAGAIPPLVLLLSEGTQRGKRDASIALYNLCMYQGNRIRALKADVVPILMKLLGEPHGVLKDESLAILVILSSQAEGKLAIGKEAAVPILVEVIGNGSPRMKENAVGILIEICSINQKYLVEAQELGVKEKLMDLLHHGTDRGRRKAGHLLEKMSRLAEQQKLFARA >cds-PLY68167.1 pep primary_assembly:Lsat_Salinas_v7:7:71244315:71246801:1 gene:gene-LSAT_7X51040 transcript:rna-gnl|WGS:NBSK|LSAT_7X51040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGHGPPYGGRSTTETPGMMRHGHGSYSLSHTIDPLPHHHHPERGGGGGGDNKLVAQSSEIERLAGDNKRLATTHLALHQDLVATQQEIQKLRAHIGSIQTESDIQKIAKMEVHIRAGEDVKKDLQKAHNEARALVATRQELIGQIEQVTKEFEKVHADVDKLPEMQGELDGLRLEHQKLRSTFEHEKRKNTEKVAQMEIMEKDLVRMAREVEKLRAEVLNADKIPHANVYGGPYMNPEIYPPPPRMHSGGYVDGYGNHHHHHVPMGGGVAGEGMISYGGVHHGPAGPGPGGYPQWGGPQMVPYGGVHHGPAGPGGNPQWKGPPYDNSYSRT >cds-PLY65324.1 pep primary_assembly:Lsat_Salinas_v7:4:251043327:251045846:-1 gene:gene-LSAT_4X131960 transcript:rna-gnl|WGS:NBSK|LSAT_4X131960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVTFLLLVSSLILSFYFILNRKPNASKSLNLPPGPPKLPIIGNIHQIAGALPHHAFRELAKKYGPIMHMQLGQISTIVISSPLLAKEVFKTNDLALASRPYTLLADIVLYGSSDVALGPYGDYWRQMKKMITVELLSAKKVRSFSGFREQEIDHFIEFLRSSCEKPIVIREKVTEMINNIVCKSSFGDNCKQQDVLIELVDDLGRLVSGFYVADLFPEFGFLSVISGMKSKLTKIHKSLDKIFDDIFEERKTKRQRNGVSEDDLLDVLFTIKESGGLQFPITDDNIKAVFVNMFTGGTDTSAMTIEWAMTEMMKNPNVMDKAQREVREAFKGKKKITESDLQDLVYLKFVVKETLRLHPPLPLLLPRECREQCQIAGYDIPVKMKVIVNAFACAVDPEYWDDAESFKPERFEKSTTDFMGTNFEFVPFGSGRRMCPGINFGVTSIQFALAQMLYYFNWKLPFELSPKDVDITENDGATAVKKVPLMLTPTLYSSFSH >cds-PLY82128.1 pep primary_assembly:Lsat_Salinas_v7:1:14049261:14051447:1 gene:gene-LSAT_1X12280 transcript:rna-gnl|WGS:NBSK|LSAT_1X12280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASHALSFHSSQIYHRSISNSKPVTLQRGSIIIPHASKSSGFPLNSIFKKCETCGGQGAIDCGGCKGTGKNKKNGNIFERWKCYDCQGFGLKSCPSCGKGGLTPEQRGER >cds-PLY68479.1 pep primary_assembly:Lsat_Salinas_v7:2:214630929:214633448:-1 gene:gene-LSAT_2X136141 transcript:rna-gnl|WGS:NBSK|LSAT_2X136141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDMSLPTLFEQARKIHLLATDSAADKEVLRKGCEALQQCEEMISKLGLFSTNETKEDISTTNLKYILVPFYLAELTEKTGEEDRIEILKVAQAKSKEFLSFCDAMELVPEDELETSEQGGQNSFVDRRAKKIARFKRQRAAESKLLELKERKERRGRSTKAAAPLSTPADVNDVLDDDDDGEEEREAWLTTISLAICKALDLMEMLKKEEEMLSAIKEKKEQEGGIEISEAILEERAKTVEDWHRNAAARARYMKPAAPITCATFAQDVLEGRAKVSQPHDHKHQQPLLFGPASLVGPGGGTSHRERIAAQVFQPGHRMPTMSIEEAGLKEMDMMNKWQERTAKMIEEANTSWHNDKWKPRAGGGEDDEDEDEDRTRAFDDWKDENPRGAGNSKLTPCG >cds-PLY75399.1 pep primary_assembly:Lsat_Salinas_v7:6:180072976:180074886:1 gene:gene-LSAT_6X110160 transcript:rna-gnl|WGS:NBSK|LSAT_6X110160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEVKRIENHTSRQVTFSKRRTGLLKKTHELSVLCDAQIGLIVFSSKGKLFEYTTHPLSMSQIIDRYLKTTGSRIPDYNNREHLHSELTRIKKETSNLQLSLQRYKGDDLSSAQLDELNQLEQQLEYSIQKVRARKFQLWQQQVDNLQRKEKLLERENEEMHNWLMSKRQVEINQQEAAAMTELKLVGQEHQLFEQFPFYGSEEQPNSVLQLAANLPDLQLHSYQYHRLQPTHPNLHQESTSAQYHIYAFNDVVPCGYLD >cds-PLY89929.1 pep primary_assembly:Lsat_Salinas_v7:8:68887352:68900449:1 gene:gene-LSAT_8X48700 transcript:rna-gnl|WGS:NBSK|LSAT_8X48700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSSSPAPVISSQSWKYDVFLSFRGEDTRKTFVDHLYMALEQQGIYTYKDDETLPRGNSIRPSLLKAIEESQIAVVIFSENYADSSWCLDELACIMKCKKTKGQIVMPIFYDVDPSEVRKKKRKYGEAFDNHELENKKKIKSWRQAFVDDPWGWLSAPREQSRKYHEALAKHQLENNKKVESWRKALVDASNISGWDPKHVANGHEAKVIKEIVDQISHSLKLVIPSTNGNLIGITARVQGLKSELRIGLGGVHMVGIWGVGGGGKTTLASSVYNEISRKFDGCCFVENIREESSKNGLEKLQEKILYGILKQKQVQAGRVEEGKRMIMSRLCHRKVLIVSDDVDCIEQLKALAGSHDWFGEGSRIIITTRDEHVLTAHRVDVIHNISLLNNDEAMELFCKHAPQGHNPIEDYELLSKDVVAYAGGIPLALTVLGCFLCDKGINEWRSALARLKKIPDTNIVEKLKISFDGLTKDEKELFLDIACFFRGRYKNEGMMTMLDACGFHPVIGIKVLIQKTLITISDGRFDMHDLVQEMGHHIVRGEHPSNPGKHSRIWKEEDVVKICAMDATTELDMIEAIRFQDNGYSSVELVPQIVVNMKNLRWIDWRGNLATPFPTKFPSVELCCLRLDGISHKQLWEGYKHLPSLKVMELYGLKNLIKTPDFDGLPNLERFILHDSPYIEEIHPSIRRLKVMELYGLENLIKTPDFDGLPNLERFILHDSLHIEEIHPSIQRLENLIFLSIDDCPSLKIFPPVTQLNKLKTFSLSDCPKIVKLQQQNMNNLPHLHLDNSEKEVASCKKNSTNFSVTCLTCGDTEVKKPREDFIDVEKCCLEEPFLPHSNMNHHTMLQFFSKGLRNLHLWYCNLGDKETRSAVWDLPNLEKLNLSGNNFSQLNFSLFRLPRLKWLNVSGCEKLVELSELPSSIVVLIADWCSSLESLRDVSNCKLLWNLSLRMENKLSPLVGDILLDSMLQGIALEGHFVSVALDHLKIPKRFVGRLFRGKTFTLHLPHDWYNDFSGFLICIVTHMKSPHVDIIIKQQPDEDPPFELMQESNEYDGKTIFIGYVSFGSLRQISLLTSPYNIISFSLHRGYVCSLEAESYIGAELVPRVNKGDQVQTTKVAVDCSEFWDNEREDRSTLTLQYDSQSCIMILWQPYFCLQKVVVKVGVHNDREKQRAMKTASSLAGLESSAMNMHDKKMTLIGDIHPVDTVRKLRKICNAELVTVGPAKYNPFMIQNYCVQIVEEYPNACVIC >cds-PLY77397.1 pep primary_assembly:Lsat_Salinas_v7:7:9516868:9518042:-1 gene:gene-LSAT_7X9460 transcript:rna-gnl|WGS:NBSK|LSAT_7X9460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEKDEQVQDEKNISKALSLRNDKNEVELQDARQVLIDDIIDEKDEKMVSLKAKCSEEQYVAVVRAMNEMNEYDTSGKDHVQELWNIKEERKVSLKEGIRYILKEINN >cds-PLY82032.1 pep primary_assembly:Lsat_Salinas_v7:9:156159019:156160215:1 gene:gene-LSAT_9X98800 transcript:rna-gnl|WGS:NBSK|LSAT_9X98800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMPPDPSSTSEERAIDISGRTYQLYWCYQCHRTVRIASENPPIMCPRCFGQFVYEVNIARPRLVVEFTEFDPSPEARILEALSLMLDPPLPQGNQHDGRFTDVGIQPPWGRNHGWRRRRVRRNSPFDEMDGWDPESGILARPRSRSWIILTPNNLPRIPNSSNDNTNNDPEAENMIPRGVDPRNYFAGSELNGLIEELTQNDRPGPPPAPDSAINGLPDVKITQTHILNDSQSCAICMEEFKVGGRAKELPCNHIYHSDCILPWLRLHNSCPVCRTELPVPTITTDGTSDSSDDGRGRRQRCSRWRRLASVWPFQTRSGHPSSHGDTREDSRVHSCSIL >cds-PLY69893.1 pep primary_assembly:Lsat_Salinas_v7:4:67696037:67708790:-1 gene:gene-LSAT_4X46360 transcript:rna-gnl|WGS:NBSK|LSAT_4X46360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRIKQFMPKEKSGLEGRMIDIGNLKIQVRNVIAEGGFSCVYLAQDALNGSKQYALKHMICNDEESLDLVKKEISVMKSLQGHPNIVMLCAHTFLDTGRTKEALLVMEFCDKSLVNVLESRGAGFFEEKQILQIFRDVCNAVFAMHCQSPPIAHRDLKAENILLGSDGLWKLCDFGSTSTNHKRFERPEEMGIEEDNIRKHTTPAYRSPEMWDLLLREVISEKVDIWALGCLLFRICYFKSAFDGESKLQVLNGNYRIPEMPKYSSSITDLIKDMLQSFPSSRPDITQVWFRVNGLLPDESQKSLPDRPPEMLQADIHEGLSKPANKSSPVPRRSPPPPPTTTTAESSRNEGSGGPMGAFWTTQHAKESAVVEELKFDEEASGAERFTLHRTSPPKNEGRHSRSSQKMVQGKSGPSKDFEMNFFPEGGPKSNDGFNAFASEFGMNRVGKEEELEGEVERLREELKQVNMEKNELISKYEKLSAICRSQRQELHELKQQTLASTTPSSNKSSSKTQPSPGIQHQSPSPSPQQKEKDGRVWEVEKGLFDKGSPVPSLSPEQNSWQAFPEENPTNNSKSVRTRNGHQNKPAAEGGTTNWGFGAESFTAVPAAAGSHSQANTTPNISTTTNSGRSRYGEDFKNKERTDDDLPPSHQNRIPRGGRVAGNGRSAVMAPVQYPRMYAETDMEAQIHHLEQEAYSSVLRAFKAQADAITWEKEGLITELRKELRLSNEEHRELLGKVNADDVIRRIREWRQSGGILNTGQAVHDPLPSPSVSASRKKQKINSSGPSQSFGVPSPSFHPQQPVPPPNQPSSSSARRAPVSGTKGKNKKSGGGGGQIMGGGSSLKMQYPPSGPSGRGQLGNRVTSGPLANESAEAPAFSPLIGRKVRTRWPDDNNFYEAVITDYNPVEGRHALVYDISTANETWEWVNLAEISPEDIQWEGEDPGIPHKGGYGGGSGHGMSRPVGRDNGPGGGGGRGRGLPKSQAAARKDFPPSQNGIGKKGLDNIQLLHTDTLIKEVERVFGSSHPDPVEIEKAKKVLKEHEQALTDAIARLADISDGESDEGGRFMRGQAMDRE >cds-PLY74930.1 pep primary_assembly:Lsat_Salinas_v7:3:128707428:128709308:1 gene:gene-LSAT_3X87941 transcript:rna-gnl|WGS:NBSK|LSAT_3X87941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFTETLPAPPLHLTTKPCQNFTPMNPNQIHRTRPWPGFPAPNKALGTFGDANCMEQLLVRCANAIESNDATLSQQILWVLNNIAPSDGDSNQRLTCGFLRALIARAAKTGTCKMLTAALSNSNITIQTHKFSIIELASFVDLTPWHRFGFTAANAAILEAVEGYSTVHIVDLSLTHCMQIPTLIDAMAGKLEGPPVVKLTIAGATEDVPPMLDLSYDELGIKLVNFARSRNIILDFNVIPSSSSDGFSSLIEHLKLQNLVHGNETNEALVINCHMMLHYIPEETPTATTSTTPFSFEDATPRALFLKQIRSLNPTLVVLADEDADFTSNNLVCRLRSAFNYLWIPYDIVDTFLPKGSKQRQWYEADIGWKIENVIAHEGLHRVERLEPRARWVQRMRNTGFRGVGFGEDIVTEVKGMLDEHAAGWGLKKEEDDLVLTWKGHNVVFTTAWVPT >cds-PLY93263.1 pep primary_assembly:Lsat_Salinas_v7:6:158625357:158629052:-1 gene:gene-LSAT_6X96620 transcript:rna-gnl|WGS:NBSK|LSAT_6X96620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVGWLSMAAAAVVVTVLLVGGVNGFPAEDLVVKLPGQPDVSFRQYAGYVDVDVKAGRSLFYYFVEADKDADRKPLTLWLNGGPGCSSMGGGAFTELGPFFPQGNGRGLRINKKSWNKASNLLFVESPAGVGWSYSNTSSDYTTGDANTARDMHTFLMYWYKKFPSFRSRDLYLTGESYAGHYIPQLAIALLDHNAHSTGFKFKIKGVAIGNPLLKLDRDVPAVYEYYWSHGMISDEIGLTIMNGCSFEDYTFASPHNESVACNNALSQANSVIGDYINNYDVILDVCYPSLVEQELRLKKTVTKMSFGVDVCMSLERRFYFNLPEVQQALHANRTKLPYSWSMCSGVLNYNDNDGNINILPLLKRIIQNKIPVWIFSGDQDSVVPLLGSRTLVRELAHELEFPITVPYGVWFHKGQAGGWVTEYGKLLTFATVRGAAHMVPYAQPSRALHLFSSFIRGSRLPNNTRPSIED >cds-PLY65719.1 pep primary_assembly:Lsat_Salinas_v7:5:271767474:271769133:-1 gene:gene-LSAT_5X141661 transcript:rna-gnl|WGS:NBSK|LSAT_5X141661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine synthase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G72810) UniProtKB/Swiss-Prot;Acc:Q9SSP5] MASAPATTFFPKHRHPPPPCRHFHNLRSSKSTTISCTSSPPIITTTTSGNNRQSIPSLLPFSAKYVPFNAVTDECYSLDDIIYRSRSGGLLDVQHDMEALKQFDGKYWRTLFDSRVGRTTWPYGSGVWSKKEWVLPEIDNDDIISAFEGNSNLFWAERYGKHHLGGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMNKPLVGVGCASTGDTSAALSAYCAAAGIPSIVFLPANKISMAQLVQPIANGAFVLSMDTDFDGCMKLIREITSELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIIPGGNLGNVYAFYKGFKMCQELGLVDRIPRLVCAQAANANPLYLHYKSGWENFSPVKATTTFASAIQIGDPVSIDRAVYALTNSDGIVEEATEEELLDAMAVADSTGMFVCPHTGVALVALMKLRERGVIGKNDRTVVVSTAHGLKFTQAKIDYHSKSIKEIASKFANPPVEVAAEYGAVMDVLKKHLKKVS >cds-PLY98266.1 pep primary_assembly:Lsat_Salinas_v7:7:168168019:168170218:-1 gene:gene-LSAT_7X100040 transcript:rna-gnl|WGS:NBSK|LSAT_7X100040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTTSTSTWTNQRSRFLSSSKDVLGSSSTSISFCKKPNARTAQNSTLCALHTPSILQFPKQSVGKTSTCPVELPSSSSSSPVVQQNWNLIQKFAAMALDAVEDGLKAREKLQTLPKTADPNVQIAGNFSPVPEQPVRHCLPVAGKIPEHIQGVYLRNGANPLFEPTSGHHLFDGDGMIYAVKFDNGSASYACRFTETQRLVQERAIGKPVFPKAIGELHGHSGIAKLLLFYARGLCGLIDVTQGLGVANAGLVYFNNHLLAMSEDDLPYHVRVTPSGDLKTGGRYNFEGQLNSTMIAHPKLDPVSGELFALSYDVIQKPYLKYFWFSPDGKKSKDVAIDLGKPTMVHDFAITENFVVVPDHQVVFKMSEMITGGSPVMYDKEKVSRFGVLDKYAENGSDIKWVEVPDCFCFHLWNAWEEPESDEVVVIGSCMTPADSIFNECNEELKSVLSEIRLNLKTGKSTRRPIISPENDVNLEAGMVNKNLLGRKSKYAYLAIAEPWPKVSGFAKVDLSTGDTQKFIYGDEKYGGEPLFLPXXXXXXXXXXFRSRRRRPYLGLRSRRKNMEIRASNRECNDNGVRGDS >cds-PLY64107.1 pep primary_assembly:Lsat_Salinas_v7:1:2531926:2532402:1 gene:gene-LSAT_1X1521 transcript:rna-gnl|WGS:NBSK|LSAT_1X1521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKDNCYAFIERFSGGKCEQLYYCFPGVDFPKCLRLIRNELEYADFIAIAYECGAKVPMYVDHFGNTNMQEWLDEEREKIVDNIQEEVVDDIQVDAETSEIRHRHENINPRFFKKGNAPDYEMAENESEEADDLPNIFNEEQHWKDHHVLGMRFGNPK >cds-PLY93848.1 pep primary_assembly:Lsat_Salinas_v7:6:145126790:145127224:-1 gene:gene-LSAT_6X87781 transcript:rna-gnl|WGS:NBSK|LSAT_6X87781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFAPNPLVYLDPMRMSVRDVDFGGMYYKEFVLWVSKLTRRSCDNLYYCSTHERLAEGVRRIDNDADYFEFIEDGYMDKNGLRMNMYIDHQNEPIIDWADKEMLTGDEVFGVIEDDDTELQFSDIMEYEHEPDEEVHTFDKTVDD >cds-PLY92566.1 pep primary_assembly:Lsat_Salinas_v7:7:163340871:163341610:1 gene:gene-LSAT_7X96040 transcript:rna-gnl|WGS:NBSK|LSAT_7X96040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLSSPSILYISTILISLFFSTSSQTCSNHTFTSKRTFTSCKDLPYLNAHLHWTYFKSTNQSKIAFRAPHTPKGWIAWAINPYRRSMVGSQALIAFRNTKGMMSAYTTTITGYNPSMQPKKLSFHVSKLSVEYSNNEITIFAVVGPLANGPVVNQVWQVGSLDQNGVPMMHEMEFQNLQSKDVIDFSSF >cds-PLY88503.1 pep primary_assembly:Lsat_Salinas_v7:2:154361547:154362491:1 gene:gene-LSAT_2X79021 transcript:rna-gnl|WGS:NBSK|LSAT_2X79021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLIIGSLKKRKWDKNFLQSDCIVWVDVEGLLLRAWSKNSFRHILAKWGSIAHLDDNIGEDVYKSRVCIITSFLGIISEVIKISIDGELFPIRIKEAPGWNPTFMCEFNNIDNDSVDATYRFEQDHDGSNSNDSLIDKEEASFDPFGIYDVMREMDKEEEIKKSTKIHKVTLQGKNQNSIPINEDSTSHESLPVTGPGLAHAGHQNPSNHDPTPPSTPAEDMQEATQNPTDAVKYATTAPGVAKIVTTTTFAIKNAPAAPADASDATSTTSTPFFSNHPRNATAENLKIRGTNVVFTETWSPKDRPNSKLLGFS >cds-PLY95225.1 pep primary_assembly:Lsat_Salinas_v7:4:247519386:247522172:1 gene:gene-LSAT_4X132000 transcript:rna-gnl|WGS:NBSK|LSAT_4X132000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKIRGKAVPGRIILTLCIASFLVGTLFTRHTWPYTTILNDVHFENHEHKMSFLTPKDCDRKHKLVEDNSRDIMGEVAKNHQPIQSLDKTISTLEMELEAARTSLLGPKFMSERMKPSNKTSLQKAFVVIGINTTFSSKKRLDSLRETWMPTGEKLNKLEKEKRIIIRFVIGHSATPRGVLDRAIDMEEEKYKDFLRLNHVEGYHELSIKTRLYFSKVVSIWDAEFYVKVDDDVHVNLEYWKFGEEGNKYFRHATGQIHAISKDLATFISINSGILHRYANADVSLGSWLIGLDVQHIDDRSMCCGTPPDCEWKKQVSNVCVAAFDWSCSGICKSVERMKFVHNSCGEGDSVVWNVNI >cds-PLY92449.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:1334779:1337384:-1 gene:gene-LSAT_6X23281 transcript:rna-gnl|WGS:NBSK|LSAT_6X23281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDSKIKELKLFVESCQSDPSILHSPSLGFFKTFLQSLGAKIPPTSTSNGENGHTFNEDIIESDLELDDSDVVKPDIDPPQKMGDLLIEVTDENRDLAQTLKSKAIDAISQGKLDEAIEHLTEAIILNPSSAILYATRASVYVKLQKPNAAIRDADVALQINSDSAKGYKMRGIARAMLGLWEEAAYDLGIASSLDYDDEIGSLLKKIEPNVHKIKEHRRKYERLRKDMHTPAQTNGEVVAIHKAIELETRLTASSRSNQLVILYFTATWCGPCRFMAPLYAKLAEKHRKVVFLKIDIDEVRDVAAQWNISSVPSFFFTKNGKEIDKVVGADKTSLESKIAKYASA >cds-PLY64399.1 pep primary_assembly:Lsat_Salinas_v7:4:23392833:23394460:1 gene:gene-LSAT_4X16300 transcript:rna-gnl|WGS:NBSK|LSAT_4X16300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFSGRRRGGGEREAVEEEEMERLKRLHNFDLPCLKWGNQKLLRCMKVDSKGDVSAVDRKQSSESGGGGGCDGGKGTVIGTRRREMEFERRFRSSDDRKVESYYKFSSSEKLKARAGDGEIEATREKLMFDFQTEVGKMKDAILRETLVDPPPPPATTTTTATTSTSPAERPWNLRTRRAACKAPSPSNGVNGNGDIVKPNVSPVRNEGNKSPRPRPVGGVATATVTTSGEKRDRPKFSIPLSRRELEDDFTAMAGRRLPRKPKKRPRIIQKQLDTLFPGLWLTEITADLYRVPDDTETAKLCRSRAAYA >cds-PLY67425.1 pep primary_assembly:Lsat_Salinas_v7:6:69117042:69117991:1 gene:gene-LSAT_6X50460 transcript:rna-gnl|WGS:NBSK|LSAT_6X50460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIDTLLGKLEYFVVDSFTTSSCSIKLKSGEVAITNETVEAMFGLPNKGLDFKTLDECDKNDHLLEDWKRQYGKENYYNGNYLKNIRKTNIADEMFKLNFLTLFINTFAETETMGSCRINFIEKSIQCKDVASINWCEYIVDCLEKSKNKWRPNDKNCYFTGPLAFLVMAYADRVICEDVNLQRYSPFITEIDSEHLRFLEEYEVSRGVFGNLSLRENVDGVFYDEMMNQDHSKDRSVEESCGII >cds-PLY62701.1 pep primary_assembly:Lsat_Salinas_v7:6:55355317:55359223:1 gene:gene-LSAT_6X39681 transcript:rna-gnl|WGS:NBSK|LSAT_6X39681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYNSDDYLKLVDSVASWNNNTAQYLAHLWISSYQGDQQNRYSKPMPWIGMYIALASLFCIIAMVADLLHGFRRKTLWFPCKYFTLNSASLTVIAVAIKLPMDLSTLMPSYMDQATKLGSLGFMCTMMSNLLPSLATMDSKELIPNVISLAVLVITLVVNICIQLNTGALFYHVVDDGAGFDLHGYVKSLPSYVPDRHNRFTAAIYVGMLLMLLTIYVCSALAILKSKQILESKYQATHDKAINDQYLQQEGELTVEMLKQHVRYYWIMAGTGSSQFMVTCSVTTSASAVICASSVVLHILLLLFYVENLWDYKSDYKWSMPVILIIQFFGIIVGTISPLSRCFSAFIFKVSMKRFQNHIKITKVESYWTEKLYDWKQSSIPFPSSSRNCKIVMVNLKIIILNICIGFQMTVVVACKIIAVVTFFVVICVFYCIRCWKWLKAMFCTVPREKPERHLSGYVLQLQDDIEFANRTLKGMLKSVNHLIQKAEKRQPKNLMKLLAESRGFDGVEKFDSHRVQPLLSEEYLNCWSLSLVTLTSIAMSLPNIQKNKVDWLVSGVSEGLVYVRLVEECLNATDNHVSIQKAAKTLWVEVEVYHKWLGHKLPKHKPKVNTPGYILQWLRDTAKNKANEVESQDDNSIYKFICANSMYRITETILLSYHENIDEISQEELFAELSSMIADIFAACLTNLPQVIAMKCHQNAIEKREASVQAAAQLLGETTQIINSLQVSKEVMTYVTQEVKDLYHLLENKISAFGFGINSTISGKISSTSSVPEVPLSQYIPALXP >cds-PLY79037.1 pep primary_assembly:Lsat_Salinas_v7:3:9087017:9090291:-1 gene:gene-LSAT_3X8100 transcript:rna-gnl|WGS:NBSK|LSAT_3X8100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIAVVTGGNQGLGLEICRQLANEVLVVLTARDEKKGTNAVTKLQYSGLPDVVFHQLDVTDPASIASLANFIETRFGKLDILVNNAGVISSNADEEYFGGLNILLKEVGLKAYEKEKPSQKVETQTFEEAKKCLETNYYGAKHVTQALLKLLLKSTSPRIVNISSKMGQLQHVQDESVRKILSDVDGLTEEVVDQVVSGYFEDAKDQKLLEKKGWTINVSAYVISKAALNAYTRILAKNFPSISANAITPGFLATAFTSFQGTYTVEEGARGPVRLALLPEGGPSGQYFFMMEQSTF >cds-PLY94731.1 pep primary_assembly:Lsat_Salinas_v7:8:51374911:51378615:-1 gene:gene-LSAT_8X38401 transcript:rna-gnl|WGS:NBSK|LSAT_8X38401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68830) UniProtKB/Swiss-Prot;Acc:Q9S713] MATISAGGVGVGFGGGSVKLNSLNSLPPSPFLGKRLKLKPLQEPISHGSKVTILRPNLHSTVVAGVQLVDFVHDVFLGVGVGLPCTVMECGDMIYRSTLPRSNALTLTIPGAVLALGTLSYLWATPGVAPGFWDMFVLAFVERLFRPTYKKDDFVLGKKLGEGAFGVVYRVSWAKKPSSKEGDLVLKKATEYGAVEIWMNERVRRACSNSCADFLYGFLEKSSKKEAEYWLIWRFEGESTLADLIQSKEFPYNVESMILGEVQDLPKGLERENRIIQTILRQLLFALDGLHSTGIVHRDIKPQNVIFSEGSRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVAAALSPVLWQLNLPDRFDIYSTGLILLQMAFPSFRSDSGLIQFNRQLKRCDYDLIAWRKSVEPRANGDLRRGFELLDLDGGIGWELLTCMVRYKARQRVSAKAALAHPYFDKEGLLALSIMQNLRLQFFRATQQDYSEAVKWIVELMARSGTKKDGGFTEAELQALKEIKPKKKANAQRNVLASVLRFQRKVLKTLNESMDEFNQGRKSMWWSRWIPREE >cds-PLY62371.1 pep primary_assembly:Lsat_Salinas_v7:8:113139924:113142409:-1 gene:gene-LSAT_8X77420 transcript:rna-gnl|WGS:NBSK|LSAT_8X77420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKRRKHRLLSETFKDDKRVSTKENDEQDHHESWSILPSELLELIISRLTLKDNIRTSSVCKKWLSVALSVRKVNKPPWLMYFPKLGHLFEFYDPSKRKTYSLELPQLHGCRICYNKDGWLLLYKPRTQRVLFFNPFTREMIKLPRFEMTYQIVAFSNSPKSQNCILFTVKHVSPTVVAISTCSPNATEWTTVNYHNRLPFVSSIWNKLVFCNGLFYCLSLTGWLGVYDPIEHTWSIRIVPPPRCPDNFFVKNWWKGKFMAENKGDIFVIYTCYSENPIIYKLDQENKEWVEMKTLEGVTLFASFLSSHARTDLLGMMRNCVYFSKVRFYGKRCISYSLDHKRYYPRKQCHDWGEQDPFESIWVDPPDDVSALES >cds-PLY76215.1 pep primary_assembly:Lsat_Salinas_v7:4:53368705:53372306:-1 gene:gene-LSAT_4X36641 transcript:rna-gnl|WGS:NBSK|LSAT_4X36641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIEYTGEIVRPLIVDRREHLIYYSLVDAGSISLMGLKEASSSNDKFTLGRPFDLRRESDVVSPDATLQLKASDLDDDKLHYDIKSAEKHTALHSNLSEHTSDFDGESMLFLQRFFRTRVKPIVSTGPLKLDLALGIRGLPKSGAVDVIVIDNVVALIPQREITGVTGLGICVKVVKNKLAPAMKKAELEIEFGRGISRAYEVLQYLGCLKEGNS >cds-PLY90635.1 pep primary_assembly:Lsat_Salinas_v7:6:52829916:52830536:1 gene:gene-LSAT_6X39141 transcript:rna-gnl|WGS:NBSK|LSAT_6X39141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALFIVKGVLFSAFITMAINGLVRASDPDILFDYIVPPHVTVVDGNFFTYTNFRVLFNRSMDPTAIQASMTEFPALNGQSVSLSVLRLSPGGVSPPHTRPHATGLFLVLEGEFEVGFVDTTNKLYTQTLQTGDMFIFPKGLVHYQYNADMKKTAMAVAAFGSASTALVSVPSTLFKPDVDDVVLAKSFRTDVATIQKLRAAIRGKV >cds-PLY73074.1 pep primary_assembly:Lsat_Salinas_v7:2:30439858:30441846:1 gene:gene-LSAT_2X11861 transcript:rna-gnl|WGS:NBSK|LSAT_2X11861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADQKPQLFELNNGTMKVMVSNYGCIITSLFVPDKDGKLGDVVLGFDTLEPYLNGSAPYFGCIVGRVANRIKEGKFTLNGTEYSLPINNGPNSLHGGLKGFDKVVWEVVEHKQGDNPSITFKYHARDGEEGYPGDLSVKATYTLTSKTTLSLTMEATPENKPTPVNLAQHTYFNLAGHNSGTTILNHTAQIFANHITPVDETLIPTGEIKPVAQTPFDFTTEKRIGTSINEIGLGYDHNYVLDSGEEKLGLKRAVKLKDPVSSRVLNLWTDAPGMQFYTGNYVSGVVGKGGAVYGKHSGVCLETQGFPDSVNKENFPSVVVQTGGKYRHRMVYEFSVE >cds-PLY96340.1 pep primary_assembly:Lsat_Salinas_v7:5:191914955:191917386:-1 gene:gene-LSAT_5X84680 transcript:rna-gnl|WGS:NBSK|LSAT_5X84680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCLALSLQPTNGSDILLQTREWFPPARALVALSAFRQTRLAFATGKNQNAEDGDTSLGDDPLAASSGQVIVGVESRYRVVYRLVNSIYVLGITTVDDDCVNNVFECISIVNQAVSVIVTACRGVDVTPEKLGRKYAEIYMALDIVLRGVSNIRLAAMLASLHGDSIAKMVHSAQSTESKIRGADSWNNIEAHSSEHESSELAFSSATFELPPETLEAGDEVAATLARTEQGPPIEQQEDSSKQEDDQTEKDPFAASDNLNKPVDLVGGFKKDKDQASDMAIVLSGLEVTTLPPAAATESTHIGVEGFEGDYGGIEFSKDGSTLPEDFEGINQAWGGGLDASEFVDSKKVQKHEGLGGLELLETSNTPITKNAPEPEPLEELLVKKPEMKGPEMYIREEINAEFRESLLARSGLMGTVFLKTLPPKSSDNNNNNQETEFSFRVENTNGVKRFVMNTSSITSLGNQTFHLKTPNSDQPIPILKYSFLPRFTPLPLRVRLVKRHSGTLLSVMIQYVSNPDLPSPLTNVTFVLKLPVDPRLLKVSPKAVLNRSERELQWHVDEIPLKGNPVRLRARMPVDSSGEDGGEEVEVVGFVKFCYEGVKSLSGISLLNVSEGKTDFYEVGHRFESGVYTCN >cds-PLY89938.1 pep primary_assembly:Lsat_Salinas_v7:4:4550263:4551877:1 gene:gene-LSAT_4X2920 transcript:rna-gnl|WGS:NBSK|LSAT_4X2920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSIEDKDCLHLASPQEIQKGNGSNRGSHHHRTALGKPTPSKWDDAQKWLVNLSRGVDKSQSNIEPRDSNADDRRLIAPVPKKDYPSSEDEEHGTIETKKVDLGDSMYVSSICVRDMGTEMTPMASQEPSRSATPVRATTPAKHSPAPSGSSTPVRPFTIIPAVAPVPKPDTGATTRFRRETEETNGENVVENRNPCQDSKMNPLETRAMAWDEAERAKYMARLRRKD >cds-PLY88600.1 pep primary_assembly:Lsat_Salinas_v7:5:126927808:126929948:1 gene:gene-LSAT_5X53781 transcript:rna-gnl|WGS:NBSK|LSAT_5X53781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFGYLQPLFPGESGVDQLVEIIKNNYLSGFIPTEIGNLSNLQTLDVSSNSLSGSIPTSLGNMRSLFNFFLGNRGLFGKHINQLCKDDNGGSTSSQPTEKSELLGWDARLNVIMGTTKGFLILVLQNSWKMKNLISQQL >cds-PLY99842.1 pep primary_assembly:Lsat_Salinas_v7:4:46029252:46029999:-1 gene:gene-LSAT_4X31381 transcript:rna-gnl|WGS:NBSK|LSAT_4X31381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAIFSAAPAPLSTINHRVISPMVCACVSDYSLTFRNANNKRSNLLPRIKSAASDSSSTAIIDDPASASEEESPAKIGARVRVKVPLKVYHIPKVQEVELNGKEGKIKEYVALWKGKQISANFPYKIEFLEKLEGRGDAPVKFFAHLRDDEFEYID >cds-PLY99137.1 pep primary_assembly:Lsat_Salinas_v7:2:11343022:11344443:1 gene:gene-LSAT_2X5381 transcript:rna-gnl|WGS:NBSK|LSAT_2X5381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METTIISKENIKPSSPTPSHLKTFDLSALDQIVLSPYVPIIIYYPNNNGDNSNQALQKSQVLKKSLSETLTKFYPLAGTLKNEGSIDCNDIGANYIVASIQCRLDEFLKQPDHHLIHGFLPFVPSFDKSSVGNQVTNVQLNIFECGGIAIGLCISHRIVDGASVYMFLKAWSNMARGVEEVEYPNFTTPSLFPAKGSWLRDIFKGLGRSLLKEGKCSTKRFVFGSDAIARLRSRAKSNGVQRPSRVEVVSSLIWKCAMDATKEASGIQKPSSLSHFVNLRSKLKSTLSHNFMGNVIWISNAVCLPSDETPLHSLVNKVRESISKVDAEFVEKAQGDEGCFAMQKSLEEMGGSTGGIDNYGFTSWCRMGFYELDFGWGKPSWVTGIVGDGSPVFMNLVTLMDTKSGEGIEAWVNLDESEMEILQKNQELLSYASLDPSPLLNGEIGAFGAGVDQITDQFVKESRFASNGLIFNA >cds-PLY80095.1 pep primary_assembly:Lsat_Salinas_v7:5:146545447:146546470:1 gene:gene-LSAT_5X64120 transcript:rna-gnl|WGS:NBSK|LSAT_5X64120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHESPAKSLSPHSSHASFPIIAVAIIGIFATIFLLVFYYIFVIKCCLNWHRIDILRRFSLSRNRNHHQPLVPHHLPSPEPRGLHESVIRSIPIFQFQKQKDSDDIVGECAVCLAEFQHDEKLRMIPNCAHVFHIDCIDVWLQNNPNCPLCRNSISISIPPHFPVPDHQQLIPPPALDEEDYVVIDLCSSNGNGGGGSNGQTNDGEDLQPISGEPIAITPSPRKKMGKKLRKKYGLLSSMGDECIDVSSRRKGDDQFSIQPIRRSFSMDSASDRQLYLAVQEIIQRNQMNNVEAIESIHECSSTGTGSSRLKRGFFSFGHARSAVLPLHLKT >cds-PLY70982.1 pep primary_assembly:Lsat_Salinas_v7:9:72448532:72450475:-1 gene:gene-LSAT_9X58780 transcript:rna-gnl|WGS:NBSK|LSAT_9X58780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCEKNVFSWTVMVTGSTENGLFHDAFRYFIEMQTYGIFPDAFTYSAFIQLCLGLDYLNLGKMIHAQIIKTRYTLNVRVATSLLNMYAKMRKVNDSWKVFSSMEEHNEVSWNALISGFTENGLFAKAFDSFLEMIEKGFTPNKFTFVSVLKAIGKLHDVNKGKHVHKCIVESDMESDVFVGTSLIDMYSKCGDISDARFVFETNFITCSLNMPWNAMLSGYIQCNCIQEALELYIRMCESNIKSDVYTYCSVFTAIATMKSLRLVRQVHGIVKKSGYESYDNDNDTVSLSVRNAIGDAYAKCGSLEDVKTVFDKVKERDVVSWTILMSCYSRCLQWEEALVIFSEMRKDGFTPNQFTFSNALVACASLCFLEYGRQLHGLIWKTGWNTDKCIESGLIDMYAKCGSLNDAKIVFESVNNHDVVTWTSIISSYAQHGDVVNALEMFKKMKDHGFEPNSITMLCVLFACSHGGRVEEGLYYFKTMKEIYNLVPQMEHYSCVVDMLGRVGRINDAYEFIKKMDVEPNVMVWENLLGACRVYGNIELGEIAAKKIISINPHDSSPYVLLSNAYVQRGSFMEGIGVRNVMKERGVKKEAGCSWICVRGRVHKFYAKDEEHEEKNELYFVLDVLKEKLRDMGYIPDLRYVLESDS >cds-PLY76634.1 pep primary_assembly:Lsat_Salinas_v7:4:115740489:115741040:-1 gene:gene-LSAT_4X73761 transcript:rna-gnl|WGS:NBSK|LSAT_4X73761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPIKTWLDTVSNTHDDHLKNTSMVVKELGVGISPVECIVCLSEVASGERLAMLERCRHGFHVQCVEAWLKDHPNCPLCRTPISGSNEDTQKHRVYLKKLYGIMSCYGFCAVENTSGWLARVCTPVFLRASVTCDITSR >cds-PLY86639.1 pep primary_assembly:Lsat_Salinas_v7:4:281573655:281574029:1 gene:gene-LSAT_4X142240 transcript:rna-gnl|WGS:NBSK|LSAT_4X142240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFATHEGKVTKYVENGHGEACDGAATAISTFSKKLVEGKEIHKRKYDLLLTKILKLQANHLKIFETKLNYLFDLMACFLNAHGEENIKLRASTTKLLLDVQNVIGNVRYFNKDYTEKLSKTDKT >cds-PLY72356.1 pep primary_assembly:Lsat_Salinas_v7:5:6075625:6079526:-1 gene:gene-LSAT_5X2920 transcript:rna-gnl|WGS:NBSK|LSAT_5X2920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTGFVSKARTAFHSAAAKAEKVFTDIKKSDSSTDLEELGKQSPISEKDDSPSGVIVTKEKNARWRPEPIKTKQDWQDKFKNIRFGKKGVPEADKSDDTSTSFPIFDENMFLPSLSPMPKEAEVGLLGSDDSNIDIIPSAAVLRQLAIGVETTRMVRSMKDLLMSSRDSSPVREKAGLNFSTVKSLVLRDKEDKIDMEFGTDEKVKVVSLISSLLGAEGYLSGRKSTPKLETNPTIISLLKDLHAAPPDSFVVELAEAIGCLKTLRKMASFWYRVVAELRRLWCDGQHIPGIPVDKIPDLNCCLLYQQLQVINCCISRKKRRAIATSSSLESVMMYAKVGSGESVVRLGAEKECENLTLLETGEPVYAPVMQEEPLLTEDLVKETEEFILRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWEPAGDVERKEDDSDSSSRGHLSMRMQKEGNLWREIWETAKPVPAVKQSPLYDEDLSVEGILHGLEDLSPSDLFEQLYVCVVDFQVCSGCAIAEARTSSNEYLYKMFLECKDYIVASCQAKNWFEKLDDICQVYETVVMMVLSPNEFIRLTKNQQTEDTPASSSELKARFRRLSFVFGNKDKSNNNNNTNNNGKTPPKNTEENHNNPLRQSITSIFSKKPPKPGGASPVTSIQNDWIDV >cds-PLY69305.1 pep primary_assembly:Lsat_Salinas_v7:4:105653957:105655278:1 gene:gene-LSAT_4X68441 transcript:rna-gnl|WGS:NBSK|LSAT_4X68441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGALSSSSPLILRCILRRPPPPTTTHISYIAPFLKPSNRTPSICLPTPRRPIYHATIRSLTPRSLSTSTSPSPSPSPEIQPAISEEEGAESDDESEVEINDNHPTETAIEKAVDPKISSAHKEMLSKLKGMSVKEKKELGSYAHSLGKKLKSQQVGKSGVTDSVATALVETLEANELLKLKIHNNCPGELDEVVAQLEEATGSVVVGRIGRTVIIYRPSLTKLISEEKKKQALKVFLKRRAAFKSSYQVLFIVKLKKKKKTITCANVFLYFANSFFDANVTLTTL >cds-PLY62167.1 pep primary_assembly:Lsat_Salinas_v7:2:152932560:152936304:-1 gene:gene-LSAT_2X77700 transcript:rna-gnl|WGS:NBSK|LSAT_2X77700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSWLLDDLKRLSLEGILTPETSVLLIVVGFCGLFLTLGQLASIVNKIHFYLAIFNRESLNAPGRDAIHITEIETYIDCDTFIPSINTSEFQPWYSSFPQLENGIRHSFTTYVCVKSSGIEAPKVNGTTWKGLKMAKLQLSPFPRNSKFATAFPLDTSVPMACYKVVVSKEFATAFPDRTFISPVVALPTKKGKMTVAMTDEEIVEIVMFPVVNEACRVLEEKIVVKASDLDIAYVLGMSFPSYRGGIVFWADVGSKHIYTSLKKWSEKYGNFYKPSRFLEERAMNGVLLSAPINMGSRAQS >cds-PLY74908.1 pep primary_assembly:Lsat_Salinas_v7:3:131722402:131724393:1 gene:gene-LSAT_3X88401 transcript:rna-gnl|WGS:NBSK|LSAT_3X88401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMAISRYVRMLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKDVSFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVTEARDELHRMLNEDALREATILVFANKQDLPNAMRVSELTEKLGLHSLRHRRWYMQSTCATSGEGLYEGLDWLSSNISTKAS >cds-PLY73704.1 pep primary_assembly:Lsat_Salinas_v7:8:170436994:170439088:1 gene:gene-LSAT_8X111360 transcript:rna-gnl|WGS:NBSK|LSAT_8X111360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTEAAEFKNTDLLAVAQPPDYHRQVAVLPSHDGLHFWQFMVAGSIAGMVEHMSMFPIDTIKTRMQVLGSCPIKSVGIRQAFGSIIKSDGAAGFYRGIGAMGLGAGPAHAVYFSVYELCKETFSRNHPNNPMGHAAAGVFATVASDAVFTPMDMVKQRLQLGSGSPYKGVFDCVKMVLKEEGFRAFYASYKTTVLMNAPFTAVHFATYEAAKSGLLGISPDIASDERLVIHATAGAAAGAAAAALTTPLDVVKTQLQCQGVCGCDRYVNGSIGGVLGTIVRKDGYIGLFRGWMPRMLFHAPAAAICWSIYESGKSFFLDLNNGNIDNRLM >cds-PLY66074.1 pep primary_assembly:Lsat_Salinas_v7:2:206614634:206617766:1 gene:gene-LSAT_2X127241 transcript:rna-gnl|WGS:NBSK|LSAT_2X127241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSHTFRFSCVYVLTIFTFFHCSLCIEYEKTALLEFKKDLIDDANLLSSWNSSNGDCCKWYGITCNNQTGRVTEIRLRGPDDMADFDNQEASVQKLGGKLNPSLRNLTSLEYLDLSSNDFGGNPIPTYIGSLRNLTYLNLSDSSFSGEIPTQLGNLSALRVLSVHRNLYSDDQYELRVESLRWLSGVSRLRHLDLSGVEVGQVFDWSQVTRTLLPSSLEQLHLSNCGLPPITPNLTINLSSLSVLDLSFNNFSTNSIPSWISSFRSLVSLNLANCEFKGSVPPGLMNINSLTTLDLSNNQLTSIQTTPETICNLREINLSWNKFDGKNLSEVLSSWFQCESSKLESLRFVGSGLSGNLPPQLGNLKNLVHIDLNKNSISGSIPDSLGNLSSLQTLQLAFNSISGTLPDSIGRLTTLVTMYLSSNLISGPLPDSLGRLSSLADLDLSYNEINGTLPQSIGQLTNLTSLNIEHNFLRGVVTEDHFANLTSLITLRASANTLRFELLDNNWEPPFQLQILNFNSWSLGPNFPHWLQNQTDLLILYLASTGISDDIPSWFWNSFSGLQYLNISDNNFSSMSVDDFFCSNEEQKQVIYLNMGNANISGVLPDCFSTWEFLNIFSIQNNNLSGKLPISLANLSSLESLNMRNNKLSGELPVNLMNSKSLLIIDLTENEFTGSIPIPIPIGDEATTLRLLNLRSNRFDGEIPDEICRIDSIQILDLADNNLSGRIPNCFNNFSVMTGKVEPSQMVGLARDEFRGNAWLMMKGRVNGYGSILGLVTILDLSGNDLSGDIPIEITQLVELRSLNLSVNGLTGKIPVNMGDMKMLEALDLSRNRLDGIVPLSMSRLSFLNSLNLSYNELTGRIPLSGQLQTFEEWSFVGNGLCGPPVRLMCERQGEGEGDAGEEEEEEGDGGSDGPEWGLITSIVVGFVVGFWVVVGPLIGSNSWRTKYFEFLYDIWCKFCVCMPKKKNSSNKRFHR >cds-PLY94883.1 pep primary_assembly:Lsat_Salinas_v7:2:178011036:178013836:1 gene:gene-LSAT_2X97721 transcript:rna-gnl|WGS:NBSK|LSAT_2X97721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRTTMAALFHPTTKLYSLPLKAFFSHSIHKPRRLIIRQSYPRAVRTTLSINTPRSLSSTAVPQISNDTISDLDNSYLSCSMPNSKRPLKIAVLLSGGVDSSVALRLLHAAGHSCTAFYLKIWFQEDFENFWSECPWEEDLKYAKAVCNQVDVPLEVVHLTDEYWDKVVSYLIDEYKRGRTPNPDVLCNTRIKFGAFMDAISNMDFDFVASGHYAKVIHPITDETNELSFLQLSKDMLKDQTYFLSYLSQAQLKRLVLPLGCIPKDEVRRLARKFDLPNQERKDSQGICFLGKIKFSEFVGRHIGEKEGILLEAETGDFLGSHRGFWFYTIGQRQGLGLAGGPWYVVEKDVKNNVVFVSRNYYSVDKRRRSFRVGSFRWISGSPPHNLNHLRCKVRHGPVFYDCSLHIEKDGNENIGTVQLPEDDQGLAAGQFAAFYEEEVCVGSGVILESWDDKGFPVCDKARDIAKMEDKSKLGKPVKIKPKPEDCLRDSVVRLV >cds-PLY83170.1 pep primary_assembly:Lsat_Salinas_v7:3:95826007:95828607:-1 gene:gene-LSAT_3X72021 transcript:rna-gnl|WGS:NBSK|LSAT_3X72021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHHQNEMNYPSSSSSYYDYYQSDTQNPTPNPPCDHFPSTTNASAPPDPSIYYSPDYYTNHPSTQYPNDHQNGTFQSFDSSNPSYDPNSIPSWYYHQSYDQNQNQNQAAVEFGGASVDESVYAYTGGNSGRQAGSSKVPAVRFDDYGRPIGVPDGGKTEQIVIEALENEKVIEGVYAYNGGNNGRKDQSSSRLPALRFDDYGRPITFGTDGGNTQRSSISSLDDAKIVKATPKVQVNEDLKSGVQKFRVILLSEGGGVQGDMDVLCQIGLDGIRMLDPATNRTLKLYSLETVTKWEVMDSNVFAFWTKSSIDVDERRVRLKSNSYTTTNILDMVAAASIQLKEMEEVTISEQPTEKKKVFPDWKNLIKPGNEEKDHWVPDEASTKCTTCKTYFGAFVRRHHCRNCGDIFCDKCTQGRIALTTEENAQQVRVCDQCMAEVTQRLSHVNEVAGRGSSGFNRHEDLAKKLEEEMEKKVRITESKSNVKEVECPTCTVHLQVEVPITGSKTIECSVCQHPFLVNAR >cds-PLY78590.1 pep primary_assembly:Lsat_Salinas_v7:1:101374523:101376168:-1 gene:gene-LSAT_1X82580 transcript:rna-gnl|WGS:NBSK|LSAT_1X82580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDKPEIIERGAKKNENEEGEENTGFLGKVKEFIQDIGEKIEETIGFGKPTADVSAIHVPKINLKQCDLIVDILVTNPNPIPIPLIDINYLIESDGRKLISGLIPDAGTIRAHGSETVQIPLCLIYEDIKNTYEEIQPGSIIPYKIKVDLIVDVPVFGRITIPLEKKGEIPIPYKPDVDIDKIKFEKFSFEETVATLHLKLENKNDFDLGLNALDYEVWLCGESIGGAELAKTQKIEKNGITYLDVPITFRPKDLGSAIWDMIRGKGTGYSMKGNIDVSTPFGEMKLPIKKEGGTTRLKKKDDNDDDDEVCEFYCVTYEFSIHDC >cds-PLY81219.1 pep primary_assembly:Lsat_Salinas_v7:4:104453693:104453932:-1 gene:gene-LSAT_4X68181 transcript:rna-gnl|WGS:NBSK|LSAT_4X68181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLKNDLRVSRVGPGGSLSAFFFLLIRVISQRLAMVRKKGNRHTWRAQYNGELYAAFRKDESLPKRSLLILSELVGQ >cds-PLY97377.1 pep primary_assembly:Lsat_Salinas_v7:3:245137077:245137268:1 gene:gene-LSAT_3X135700 transcript:rna-gnl|WGS:NBSK|LSAT_3X135700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMFAILPWPHDANAAWNMGEWDQIAEYVSKLDDGDETKLRVLGNTASIGDDANNGTFFRVVLL >cds-PLY72240.1 pep primary_assembly:Lsat_Salinas_v7:5:13459775:13462749:1 gene:gene-LSAT_5X6320 transcript:rna-gnl|WGS:NBSK|LSAT_5X6320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAQMVDIEAQSSYGKSSSPSSIFNKVDRPVILKFQEVVYTIRIKKEGWLKKNSDKSIEKQILKGVTGKVMPGELLAMLGPSGSGKTTLLTALGGRLGGKLGGTITYNGKSFSSIMKRNTGFVTQDDVLYPHLTVTETLVFTALLRLPRSLTSQEKAMHAESVINQLGLTRCKSSIIGGPLLRGVSGGERKRVSIGQEMLINPSLLFLDEPTSGLDSTTAQRIVSTLWDLARGGRTVVMTIHQPSSRLFYMFHKVLLLSEGNPLFFGKGSEVMDYFNRIGFSPSVAMNPSDFLLDLANGISSDDSSQEDQNTVKQKLVSAYKSNLAENLEAETLEADDHQDDSSDYKKSERWSTTWWQQFVVLFRRGLKERRHESFDSLKIAQVLIIASLCGLLWWQSDVAHLQDQSGLLFFYSGFWGFFPLFQAIFTFPQEREMLAKERSSGMYRLSSYFMSRTLADLPMELALPTVFCIITYWMAGLKRALGSFLYALLTLLLSVMVSQGLGLALGALVMDLKSATVLGSVIMLSFTLAGGYYVQNVPAFISWIKYISISQHTYKLLIGSQYAHGQTYPCGNKTCLVDDYPAIKSIGLDNQVISFVALAIMLVFYRVVAYLALMRIGVPKK >cds-PLY95996.1 pep primary_assembly:Lsat_Salinas_v7:9:40150517:40152445:1 gene:gene-LSAT_9X37700 transcript:rna-gnl|WGS:NBSK|LSAT_9X37700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLKHYSMGSWDMKTMFHCCLFIFFLGGLATLRFFRNNNLEAVLIISKVKVTDPTSTTTNINTSTPAFDDPRTLNIAPPSSTDSCDLFSGRWVHDNKSHYPLYKEHECPYLNGDLACLTYGRKDSKYQQWRWQPNDCDFPRFDGEAVVKRLRGKRLLFVGDSINRNQWDSMICMLQSSIPGKKEVNSKGLNGTLYSFRAHDYNISVDYYWAPMLVESNGDDPFDHRAHLRVIRSKAIEKHAKHWVDADVIIFNSCLWWRLPTVTLLKSAGSLMGAPNQVVEVIDSPRAYKMALETWSKWVHANINPSKTKLFYMGHTAAHAWATDWGGKKHETCYGETEPLMDYRFWEIGTDPNILRILESSLSKLKAKGVNVQMINITQLTQARRDAHPTIYRKLWRPFTEDEKKKPHSISDCAHWCLPGVPDIWNELLLAYIFPTTAIAYQ >cds-PLY75377.1 pep primary_assembly:Lsat_Salinas_v7:6:180121237:180127001:-1 gene:gene-LSAT_6X110261 transcript:rna-gnl|WGS:NBSK|LSAT_6X110261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSGGGVGGVASSSSSTLLCGGGRQRFEVELRPGETTIVSWKKLVKDANKAAKNNIENKAPTVSAPPVPLPVAANPALDSRIAPPSGDAAENEANDGPTGSRFSAVIEKIERLYMGKNSSDEEDLNDVPDDDEYDTEDSFIDDTELDEYFQVDNSAIKHDGFFVNRGKLERTNEPAVLPTEQPKKRRRKDSTKGDSGSNDGNAPNKHMKVNKKESRKVVVPIDKNAPTPSRSTTLPTVHNDDVKYQSPIIALGTVVKKPADPKSVMNPSPIKIMDGEAKVKNKAGVLQSKKVATKLKDGSPSSAILSQRVNEKGSGVQLKSHGQLVNNLEEVNQTVLPREKNVTHQQVDMKAPEIPQQPKTTHTVRKESSSLKPKSTNVMLEKAIRDLEKIVAETRPPSMEVPEADNSAQAVKRRMPPEIKQKLAKVARLAHAIHGKLSKELLNRLMSILGHLIQVRSLKRNLHNMVTMGLSATEEKDERVQQLKKEIDDMVKARVSMMMPEVIEQTGSSDDFQEASSKEKVVKSRFVTDDALEDKICELYDFFVDGLEVEEATPLVRKLYIELAEYWPKGWMNNHGVKKAIVRAKDRRKALHRQKEQEKMKRKKLLVSKSDTISGEAQHAPNKVATDSAAPIRSITTTLTATATTKPASSSNIPATDRPKHDKLKANSSNQTASTMPSELAKKKAKKNPETETALDAQTQHERKSYKQVTPESVTPAPESVTPAHPTVNKPADMA >cds-PLY65012.1 pep primary_assembly:Lsat_Salinas_v7:1:117193989:117194159:1 gene:gene-LSAT_1X86720 transcript:rna-gnl|WGS:NBSK|LSAT_1X86720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMKVGIVDFVVEFDMGILFSMDLVEKMLEMVFPTRCEVLSSPISLFKRYYLFVLY >cds-PLY66400.1 pep primary_assembly:Lsat_Salinas_v7:9:127437540:127447871:-1 gene:gene-LSAT_9X82001 transcript:rna-gnl|WGS:NBSK|LSAT_9X82001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPSIETDDALHLWLHMLNMNQNHHHHPEIGVFSNRISSMSGGCLAGGVGWVYANSIPVWRLLDTLFLLAVSRKTQILLFLLEDSRDPFPIAYINPTFLIQHRTRQSNKGCSISNILQFTICYSIQSTKPTSRKLKPQKMPMSKMHTTTLFALTALMALITISLPTQTEALIPYNRPLWDMMIPAEDPFKILEHNPLHLPKNLETINLARADWKETSGHHEISLDVPGLKREDIKIEVEESRVLKVSGERKAEEEVDGDKWHRAERTSGKFWRQFRLPGNVDMEKIMAHLEDGVLKIKVPKLAHEKKQSRVIDIMGQESSGGDIKATKTAA >cds-PLY82317.1 pep primary_assembly:Lsat_Salinas_v7:1:66075119:66076117:-1 gene:gene-LSAT_1X56581 transcript:rna-gnl|WGS:NBSK|LSAT_1X56581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARQMPILPLSTTSLFYILITLFSINDCHVKALSQEVKPARKSERLHKFRDLRTEQKREGPDRLPKRDAAMLKRQLSHLQTYLGGIKYMTGLPDIVIIVDLHEEYMALQECITLGIPTICLIDTNCDPGLADISIPANDDPISSIRLILNKLVFAICEGRSKVLHAFRVLYKVPESTRCVISSRKLEF >cds-PLY81758.1 pep primary_assembly:Lsat_Salinas_v7:3:34170981:34172757:1 gene:gene-LSAT_3X25381 transcript:rna-gnl|WGS:NBSK|LSAT_3X25381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTKGRDTGMKEKRGRSPILPRTVNDGQHETKGRSPSLSRKTEVRQQGKSLNPPSILERKKTSTSVESRKVPTIPRPSASMSRGPSAQNPKKQVSQPKTKSLRRPLDRPTPPSKVVSTKSKSSPKNIIVSSMKTSGSMKPKGSETSRSPLKSKNSINVKAKNGSIKNQTTKGLGSTIMAIEKKPIISDAISSSQNNHNIITHDQEPHNNSVVTLGSNDILHGEVKIEYGGQIRVCEPLDSVGLVGDQDMLGSEISCDDNVSFAESVDNKHTYDHDKHINQQTQADETFDIISDHLDYPIVDQEHQEVPKNEQVAQDVDVESVDENREAKDDVEVKQEDQEQEETELESEETKQEEPEHEETDTEEAEHEETKPDELEIKEDDVEVPKNEDTTLETVVKNQEVVMHGKKDSATYNVVIEETVSKLREQRKNRVLALAGAFETVISLESDVSPKDFSPKNLSPKLSPKNI >cds-PLY61727.1 pep primary_assembly:Lsat_Salinas_v7:5:216559014:216562963:-1 gene:gene-LSAT_5X99801 transcript:rna-gnl|WGS:NBSK|LSAT_5X99801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGNRKDDPIAINSTNVFAALGSLKKKKKSDKEQGSSKKGSSSKKKQDKESKAEKEQVFWAPAPLTVKSWADVDDEDDDDYYATTAPPPVWGAGAGMQQDKVKGNETPVEESESEDEGVDEIDDENDEENDHEAEKEKEKEKEGVAEKEVIVPKDNDRQLSKKEIKKKELAELEAVLAELGLSETTSQEDPHSGTQEKQNGEEEKKDKNAPSGESKSSKKKKKKDKSSKETKDSNSVASEEKVEGLSGVDVKEKLKKVAAAKKKKSSNKEMDGAARAAASEAAARTARLAAAKKKEKNHYNQQPVRMSIMAVWCWIFLKMVRSSSITTVSRGWRTVGALQGCEVDTGGGWLSMEVSDKRRRGWNRRGCLRRALRRCCVDSVFRVKTKTKG >cds-PLY83167.1 pep primary_assembly:Lsat_Salinas_v7:3:95226266:95226544:-1 gene:gene-LSAT_3X71200 transcript:rna-gnl|WGS:NBSK|LSAT_3X71200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQPQEGSYLTDDELDVQPIFMFAPPSSAKVVEDNKDGIISSFWRAMAKRKAILVMGKLPLILALPTSGGTISGGKVSSIYHFQSPSTRREL >cds-PLY74086.1 pep primary_assembly:Lsat_Salinas_v7:9:12718709:12720376:1 gene:gene-LSAT_9X10500 transcript:rna-gnl|WGS:NBSK|LSAT_9X10500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFELEEEESFEHTLLVVREVSVFKIPPRSTSGGYKCGEWLQSDKIWSGRLRVVSCKDRCEIRLEDPNSGDLFAACFVYPGQRESAVESVLDSSRYFVLKIEDGTGKHAFIGLGFTERNEAFDFNVALSDHEKYVKREIEKDADEASDESHINIHPAVNHRLKEGETIRITVKNKPASGAGMLSSAGLSEKIKPLSLAPPPEKVKSKPLSLAPPPVASGKIRSPIPPPPNDPAAVRMTSTTHNVAARNSTDAFTDFSQLERNLPSSGGSGSTTQSKSKSSAAGWAAF >cds-PLY91015.1 pep primary_assembly:Lsat_Salinas_v7:7:179160171:179165040:1 gene:gene-LSAT_7X106420 transcript:rna-gnl|WGS:NBSK|LSAT_7X106420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEKAGVSKELNARHRKVSSSSSSILEGLLKLPENRECADCKTKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKSNSYWEAQLPPNYDRVGIENFIRAKYEDKRWVAKEGREIPPPVVHEEKAPPPVQHTERKTYQTPVARVNLRVPPKGPEPVSVIPIPKAESSAVSSTESEAVSPPKHDFATDLFDMLSMDEKVPEASTDDLWAGFQSAAVQVPPITTDVSKPVDNINNQTKPNPVPTSGIEDLFKDSPPLSQPQSQKPQKDVKNDIMSLFEKSNNNNTTSPYALHQQQLAMLAQQQYLLMAAAAKSGGLPNLTGNGNGGQGQQRNLLPNQNWANVGYQFPGMHATPTPAPGKDELEKYLQQMGNMGLGAQIPAGTSFQVPTSSSVYNTMGLNATTNGVMPPGSMGGSGGSKPPAATASASQSAKDYDFSSLTQGMFSKP >cds-PLY84620.1 pep primary_assembly:Lsat_Salinas_v7:1:31823768:31825598:-1 gene:gene-LSAT_1X27900 transcript:rna-gnl|WGS:NBSK|LSAT_1X27900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSCNSPCAACKFLRRKCMPGCTFAPYFPPEDPQKFVNVHKLFGASNVTKLLSEVQPHQREDVVNSLSYEAEARVRDPVYGCVGIISILQRQIDVLQKDLDAAKADLMRYARNATPVMPHLSSIQPATSQHRTIDHFSAKRIGGLYQPPPPFPNPYANRQWNSYNSFNSRENLSGGGSGGAGGGVSGEGNI >cds-PLY82198.1 pep primary_assembly:Lsat_Salinas_v7:1:15866922:15867760:-1 gene:gene-LSAT_1X14361 transcript:rna-gnl|WGS:NBSK|LSAT_1X14361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEEEDVESTVFGPPAALIEWERLQLHPISDQFQFHSQSQSPSLSDPLGGREVWSTIHEDNQPPVVFPPVNHEGIHLHVHHHQDVREIDIERPRPPSPISRPAAMGESVGVRRWDGGLQVLRFKFASVISFLRSFSTSRGGLLRSHFPLAGSMVLLLLLYLRSRRRRRLRQNSIIQLISVIKEKDEKINQLLHQIARMNELLLATHHGVPLISKAVSS >cds-PLY71750.1 pep primary_assembly:Lsat_Salinas_v7:3:47202551:47204911:-1 gene:gene-LSAT_3X36380 transcript:rna-gnl|WGS:NBSK|LSAT_3X36380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELNFSMMNTVVCVVGVIVMIYGWRFFNWIWLKPKKMDKFLREQGLNGNPYKFLYGDIKEMVQMTAVAKSKPINLNDNIVPRVMPFLYYSAKTYGEGNNFFTWMGPRPLVHVTEPALIREILANYSQFQKQRGGNPLTKMLARGLADVEADQWAKHRKIINPAFHVEKLKHMLPAFYVSCSEMINKWEELTKERSSEVDVYPHLQTFTSDVISRTAFGSSYEEGRKIFELQKEQAVLVIKAAQSMYIPGSRFLPTKSNTRMKKIDREIKGSIKKIINKRVTAMKAGESSSDDLLGILLDSNYKEIKQQGDKNFGLSIDEVIEECKLFYFAGQETTANLLVWTMILLGQHTDWQDRARDEVLKVFGERKPDIDGLNHLKVINMILHEVLRLYPAGIVLGRMIHEDTTIGNITLPAGSLLYLHMMLLHHDSDIWGDDVHEFKPERFAEGVSKATKGQASYFPFGGGPRICIGQNFAMLEAKLALVMILRGFSFELSPSYVHAPHTIITLQPQFGAQLTLHKL >cds-PLY77140.1 pep primary_assembly:Lsat_Salinas_v7:7:183606943:183611481:1 gene:gene-LSAT_7X109061 transcript:rna-gnl|WGS:NBSK|LSAT_7X109061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKSLKSKKPPSTPSPPNHSSRSSSLSSHLAMIELKQRILTSLSKLSDRDTHQIAVEDLEKIIQTLSPDGITMLLNSLYEATNDANKPAAKKEAIRLLFFLCATHTESAASHLTKIIAHIVKRLKDSDSGVKDACRESIGQLSSLYLKGDGCENIGSVVSLFVKPLFEALNDQNKGVQAGSAMCMAKMVEMASDPPIAAFQKLCARICKYLNNPNFLAKAALLQVVSSLSQVGAISPHGLELLLQSIHECLNNTDWATRKAAADALNALALHSSNLITGKSSSTITALEACRFDKIKPARDSIIEALQQWQGLAGGSEDQKTPRNHDGEHSEPAKEDDKKTEAPVKNEEPEKTVGILKKKAPALSDKELNPEFFQRLERRVSGEVEVVVPRRFIKSSNDQNDLNGENSNSLINDSESGSHFKETHQIADRSTGGPSRRREYDDMNDQRDGIRSNKGNWLAIQRQLLQLERQQAHLMNMLQDFMGGSHDGMVTLENRVRGLERVVEDMARDLSLNNRRGGGNYMMGFEDSGRKYNGIGYSDYNKLGRNDDGMSSYMRGRGAPRRDVPENWDYPYGRNGQMGLRRGMESRSPKSENEMENNNRRGWGAARFGEGPSARSVWQASKDEATLEAIRVAGEDNNGPARTARVAVPEMTAEAMGDENVGQDRDPVWAAWSNAMDAVHVGDSDTAFAEVLSTGDDLLLVKLMDRTGPVIDQLSTEVGTEVLHAVAQFLPDQNVFDICLSWLQQLLDMIIENGTDIVGIPMELKREILMNLIEAASTIEPPEDWEGMAPDQLLLQLASVWDIDLQQLEK >cds-PLY69325.1 pep primary_assembly:Lsat_Salinas_v7:7:81397238:81397558:-1 gene:gene-LSAT_7X57201 transcript:rna-gnl|WGS:NBSK|LSAT_7X57201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDMKKKIKSDFEDFLKSKQYYHRLERVLKRVYLLYKPSGTEKSSFITAMAKFLSYDVYDLDLSKVVDGFVLKILLLQTTSKSIIVVEDLDWFITSTEIRSIPKS >cds-PLY75162.1 pep primary_assembly:Lsat_Salinas_v7:1:184704735:184705022:1 gene:gene-LSAT_1X120701 transcript:rna-gnl|WGS:NBSK|LSAT_1X120701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTGIESVNHKPSLFSMRFMTICDFVNTIHWDQKEKKRYQELLLMNLRELEGGAPNGIGGGGGAGGGGGRLVVKGLAECCRLEMQYEGARRYRVK >cds-PLY87514.1 pep primary_assembly:Lsat_Salinas_v7:8:96135605:96137395:1 gene:gene-LSAT_8X66961 transcript:rna-gnl|WGS:NBSK|LSAT_8X66961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKELWTTVASLMGVWAFSQTLLLAVFPPELRFALIKLFQRLFNCCSSYCYYDITEIEGVNTNELYNAVQLYLSSSASSSCSATSSNRLSLTRGLNSSAITFGLSHNDRLTDVFNGVSVVWEHIVTPRQSQTFSWRPLPEEKRGFTLRINKRDKSYILESYLDFINEKANEIRRKNQDRLLYTNSRGGSLDSRGQPWESVPFKHPSTFETLAMDPVKKAEIMSDLRDFADGQAFYTRTGRAWKRGYLLYGPPGTGKSSMIAAMANFLRYDIYDLELTEVQTNLELRKLLMKTSSKSIIVIEDIDCSINLTNRKETTTTTTGGGDRNSSTFVDGDIETSGTNNSITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHVFMSHCSFLSLKILLKNYLGCTPDDVETTVLQSLEEVTDAAEMTPADISEVLIKNRRDNKKALRELLEVLRVRAEKNRSGLQRLGADVEEMAEEEEKRALESPKEGGDAAAGGGGRWKGIRG >cds-PLY62350.1 pep primary_assembly:Lsat_Salinas_v7:4:87531833:87535030:-1 gene:gene-LSAT_4X58000 transcript:rna-gnl|WGS:NBSK|LSAT_4X58000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLQNPFVGAAFQSSLKPRNVNCLGYLGNKFPRKPRYDIIPRAKKNDWISHGIRFSQSFGENVEILWKNMGLRSGFVVKSVKEPFTRSKAIVRSLSTVWEEGLLLFQCSVFYAVISGVCLLLWYSQLKANTLIESKLFPSVCTTLSDYIQCDLHFCKAQSVSPLSITLESCWIGPHKEKFSCGEVPTLKLCSHPFSSLRTRTIVIDAVVYNRTLLAAQKRKYLWLGIPFTDGVLQKHLSTEEGIDNRTKIRRNAREKTAAQRSLSVLGTKRVSLIGLGNAPISSSSSIFAYRSLGESVASSAKASQANNVIVALASSQDLTPELKLTTASTIATGQVRAFGMIAGGSGITPMFQVVRAVLENPSDKTKVHLVYANVMGKVGPGGHPSAIETGTKFYISNLDYSISNDDIKVFEIDNMKAWKSVLIFATSYALGLFMIAKSPWYFLPLAWAWTETAVTGVKL >cds-PLY86062.1 pep primary_assembly:Lsat_Salinas_v7:3:194986712:194991902:1 gene:gene-LSAT_3X118060 transcript:rna-gnl|WGS:NBSK|LSAT_3X118060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVLSAFFSVLFEKLASDSLKKFTRLKGIDSEIKKLKRSLNQIKFLLHDASRKEITDESVKEWLNGLQHLAYDIDDLLDDLETEAMHRELTKERGASINVVRNLIPSCCTNFSVSTSMHSKLDDITTKLQELFEEKENLGLSVRSEIPKQMNRRLQTSLVDASSIVGREGEKDALLHKLLEDEPCDKNFSIVPIVGMGGVEPPLLAGAPGSKIIITTRKLWLLTQLGYNQPYSLSVLSRDNAVSLFCQHAFGENNFDSYPTLKPHGEGIVEKCDGLPLALIALGRLLRTKTDEDEWKEVLNSEIWSLGKRDEITPALRLSYHDLSSNSKLLFAYCSLFPKDYMFDMEELILLWMAEGFLRQSTKGKSMECMGVECFEDLLSRSFFQHAPNDKSLFVMHDLMNDLAMSVAGEFFSRLDIEKKKEIGKDDPGKYRHMSFVCEKYMVYTKFEAFKGANSLRTFLALSSERNSWQQFHLSNKILTDLVRDLPLLRVLSFSELSISEVPEFIGSMKHLRYLNLSKTEITHLPDNVCDLYNLQTLIVSGCYSLKKLPENFSKLKKLRHFDMRDTPLLKKMPLGIGELKSLRTLSKIIIEGDNGFSITKLKDLKDLQGKISFKGLDKVQNPMHAQEVNLSHRRLSELEVEWSDVFDNYRKEVVEQEVLNVMKPHNENLKHLSIVSYGGTKFPSWVGDPSFHRLAEVTLNGCKKCTSLPLLGQLPSLKELVIEGMDEVKVVGLEFLGTTDLAFPSLEFLSFRDMQGWEVWSTYNSGVVDTAFPCLQDLWLYGCPNLVGVSIEALPSLRGLSIYDCGHEVLTSLVRVASSITRLSISSISGLNDQVWGGVIEYLGAIEEVRITGCNEIRYLWESEAEASKVLVNLRTLFVNNCSNLVSLGEKEEDNCGSNLTSLTTLTLWSCKSLEHCSCPNNLKSLTIQFCTKLMEKELVGGQEKTKALISSNILMLESVVIIDWPNLKSVTYLSSFNHLSRLIIDNCPNMESFPDHELPKLSVLTHLRIVDCQSLDASFSGGLWPPKLCRLAIGGVEEAHFKVGPTDFSNFTCEHKFKRWKVGRCE >cds-PLY70227.1 pep primary_assembly:Lsat_Salinas_v7:9:1464613:1465898:-1 gene:gene-LSAT_9X4220 transcript:rna-gnl|WGS:NBSK|LSAT_9X4220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCHEAQPSLLQIPTGGMNLSMLRCRPQKEKVVVVMGATGTGKSRLSIDLAASYPAEIINSDKMQVYEGLDIVTNKITDEECDGVPHHLLGIVDPEADFTARNFVSTASITMKSIVGRGKLPIIAGGSNSFIEALIDDQNYEFRSRYEVCFLWVDVAMPVLHRFVSDRVDRMVDAGIVEEVRKMYNPNADYSKGIRRAIGVPEFDAYFRSEYSSSFDKKTQAKLLEEAINETKINTCKLACRQLEKIHRLRNVKGWKIHRLDATAVFQKRGGREADKSWAEMVAGPGSVIVNQFMYNFSHSRSFATAADGGGRAIKRGAAMAAAVL >cds-PLY96131.1 pep primary_assembly:Lsat_Salinas_v7:3:96481721:96482435:1 gene:gene-LSAT_3X72161 transcript:rna-gnl|WGS:NBSK|LSAT_3X72161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSQKSLTGVWNNIAGIKKALGKKEISIEFVLSKQHTTNGESWRCCLSSTGKYEVHDLRHRWDYTTSVMDGQISWLKEVPLKVNCFIWRAKMGRIPVAVELARRGVVLENLTCPMCNEYEEDSNHVLVDCSYARSVCEGVSRWCDIQLGPIHTVKDVLGSISQWGVFKKNRLPPDKVTDIIKSVVYHWVKNRGNIDKLNWASWSHCPLLF >cds-PLY73082.1 pep primary_assembly:Lsat_Salinas_v7:6:92728670:92731191:1 gene:gene-LSAT_6X61640 transcript:rna-gnl|WGS:NBSK|LSAT_6X61640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPPHQQPKSGVLHTSLTLVPGEACGSPNIQERGSNSDQIRDSPTESASSRETWPTPDPLTISKPLEAEHSVIRHITDKMSLRDISRERVDIIADRMHHLPNEYLDKLKNELRGLIEGMGDPRKHEESGGVMALQEAFNRIADVVQEAIKKMEMVEEEKVRMTKKARLALEACDQELKDKAREVAALKMERQKKKQQVEELQSIVRLKQAEAEMFDLKAAEARREADRLQRIALAKVEKSEDDYASRXVVVAAVVVVSCLR >cds-PLY84966.1 pep primary_assembly:Lsat_Salinas_v7:2:123664328:123667593:-1 gene:gene-LSAT_2X57360 transcript:rna-gnl|WGS:NBSK|LSAT_2X57360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTSWADAVDNAASGSDGYGVGGASNAPQKPAYVPPHLRNRPRTPEQMPGPPTSNNVPLPINDRSGYSGQTSGSRWVAPRADYGRPGYNTGGRGGGGGGRGGWGNRGGSWGGREYEANPFGNDDVDSAEEIASDQESSGINFDAYEDIPVETSGVNVPPPVNTFAEIDLGGALNLNIRRCKYIKPTPVQRYTIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGQSVQRPHGFRTVFPLALILSPTRELSCQIHLEARKFAYQTGVKVVVVYGGAPINQQLRELERGVDILVATPGRLVDLLERAKVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQTDMPPPGGRQTMLFSATFPREIQRLASDFLSNYIFLTVGRVGSSTDLIVQRVEFVQETDKRSHLMDLLHAQIDNGSGKQSLTLVFVETKKGADSLEYWLCGNSFPATTIHGDRTQPERELALRSFKSGKTPILVATDVAARGLDIPHVSHVVNFDLPNDVDDYVHRIGRTGRAGKTGLATAFFNENNMSLAKPLADLMQEANQEVPQWLTRYASRAAYGGGKGRRSGGRFGGRDFRKENSFNRGVGGGMDYYGGGGGGYGGGYGGAGAPSAWD >cds-PLY65331.1 pep primary_assembly:Lsat_Salinas_v7:6:39017047:39021094:-1 gene:gene-LSAT_6X31060 transcript:rna-gnl|WGS:NBSK|LSAT_6X31060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIQLSKSSIVTKILDTIMLNKDGLVLPEVSKLYEVVPPILIEVGKLCAYESEMYGREQLDLRNESRWEFLMSRAMIFFNLQEMMEPQGTMLLFVAARDDGAQIFKFGSMQYQWLVYSLKKPCICFSSTSASPYSVNISCTKVTRIIRAGVH >cds-PLY81693.1 pep primary_assembly:Lsat_Salinas_v7:3:29849008:29849416:-1 gene:gene-LSAT_3X23700 transcript:rna-gnl|WGS:NBSK|LSAT_3X23700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSARSSNILRLGHHGHDEQCPSCKCKNGINVERTAWTDDNPTCKFWNCKNSISSDGPSYKIFKWKDKEMEEEYYKDHLCKMRYEVCKKEDCNTIVKA >cds-PLY86891.1 pep primary_assembly:Lsat_Salinas_v7:5:266661655:266664997:1 gene:gene-LSAT_5X138721 transcript:rna-gnl|WGS:NBSK|LSAT_5X138721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDLVETGSYGYPFLGCHVVSSIILGYESKHPIVFQIDEGPQNVSQEAGQNNSSGVSELSTYQGSNFARISLIIGKSELEQMLKQMTFTRSETLYLIALLHSRTIEESPSDNFLAAIAIPRIASPTELADANKALRQDSKFINKNATMMASPQQPTTIENLPNELLVNIFIRLLAKQLAQMRSVSKSWNSLLSKSSFVKTQLHHSIFNKDKTLFHFSDDHYYGFKLTVNPNPQLISFIKLPPNPESPHTSIQVIDSVDGLICSSYSDTAIQIWNPSLSTLLDLPPYSMPSDEYDSIKIFFRFGFDPKTDDYKVVKLTAFADGSSVIWWMDVEIYSMKKGSWKFITERVPSHITWIDENEVVCVDGHDGHLHWLGHFGEEKVSKMILVFDLGSETFHEIPFPDSIVAFKCGRLDALGVLGGKLCVMSRDEDEDVGCVVWVMKEYGVAESWVKHHVFSQFIGDTYPFGFTSANKFLIQDQYYRLVLYDPVTEEAQILKNDFSFPEYEAGKIVEYVDSLVWVAPVKCEIVDGGGQNWN >cds-PLY65257.1 pep primary_assembly:Lsat_Salinas_v7:8:231834522:231838108:-1 gene:gene-LSAT_8X140821 transcript:rna-gnl|WGS:NBSK|LSAT_8X140821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRGLGLYLIFVGVFLVANSCSCLGVENTSIVCFEQERLALLKFKDSVEDGSGMLSSWVGNDCCMWERVHCDNVTGNIKSLHLRGSTGWLVGDEVSFSLAELRNLSYLDLSWNDFGGSQIPDFIGSFKHLSYLNLSDAGFQGIIPHNIGNLSSLKFLDISSNNELVADDMAWTSDLSLLEHFNLDYVDLSGAQNWEMVFYMIPSLRELSLKFCRLSNIDLSRSLNSSRILPNFKHLDLSFNDFKGPFPNFFQNTTSLVFLDLGSNSFNGSLPDFLQNTTSLVFLDLHFNSFNGPLPGFLQNMKSLVFLDLGFNYFKGPLPGFFLNMTSLTFLDLSDFDLGSTLNFANLLNMIPSLSELHLSRCGLHNMHLSPSHLNFSTLAKIQHLDLSYNSIGGTFPSFLTNMSSLRVLDLSGNMLNSSVPIIPGLLELDLSSNQFKQIEHVGIWRQCHLKKLSVSDNYFRIEMIDSTKNVSECSKYALERLILHGSLNGTVPKQLGRLKNLREIDLSFNRFTGSIPKSLRRLTFLEVLYMSRSHLTGPIPTFLGNLNTLDLSFNQLNGSIPKSFGQLSALTYVNLESNRLTGPIPTSLVGLVSLQSLDVSSNMLNGTIPVSIGQLVKLYALDISNNSLEGVVFEAHFANLSKLKELDTSSNTRLTFNVSREWLPPFVLKSLDLSSCIIANGFPQWLRNQRELKTLRLSNATISGPLPTWLQKMPIIPFLDLSYNKLHGPLTNLPNGGNFSLNRYDYDIRSLFLENNLFNASIPRSLCKRTDLKYLDLSRNRLTGKIPKCLGNLQELHTMILSSNRLSGVIPGSLALNSSLYRLKLNDNNFIGEPPLELGNLRNLEVLDLGDNQLRGNIPEWVGERLKNLMVLRLHKNNFTGRIPRSLCKSSNLQILDVAYNNLMGSIPDCLEELNAMKYDYSNPYYRRPEDNVIQVMKGVDLEYTKTWDLLFNMDLSSNKLSGEIPVKLTALVLLMGFNLSNNHLTGGIPYNIGNMKKLFSLDLSRNELTGTIPPSMAALNFLSHLNLSYNNLSGPIPTGNQLQTLDDPSIYTGNKDLCGAPLPKKCSDFEDPTTKRKKKHKTAQERVEVWLFYVDIMSGFVTGFWGVIGVLLFKKEWRWKLFRFAEETMDKIYVAVARVANIKRGREAT >cds-PLY89883.1 pep primary_assembly:Lsat_Salinas_v7:3:71034382:71034992:1 gene:gene-LSAT_3X53001 transcript:rna-gnl|WGS:NBSK|LSAT_3X53001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSGKKVAQFDIKSDCDVFHELWKTNPHHIPTLSPTTYQNCQTLEGEAGTVGCVLLWHYFHDGKDSTVKTLIHEIDEAKKSITFKALDGSDILEFYKTFVVHVHVDTHGSDNLVTWTIEYEKLNPDVPDPDTLLEFFKKVTKDIEAHHLKN >cds-PLY65018.1 pep primary_assembly:Lsat_Salinas_v7:1:116506609:116507589:-1 gene:gene-LSAT_1X86240 transcript:rna-gnl|WGS:NBSK|LSAT_1X86240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIAEIHQDIIQTHILTRLNGQSLANAGCTSLLLQSLCSDEKLWSDICSSDWPSTDDPLVKQAISNFPSGHRSFFSESFPSPSNRLTTTSPLPQTSQIISSVDIRYNDELMFSKVESTNTTPSDWFQTSPFRIDLLEPKELIPSVVKFSSDDQVMQSNLEKHMTLSWILINPTLNRAMNLSSKKPVSVQRNWLTDEIELNYAFVAVSGISVYDTVYVNCNIEITCGVKQTSGELYVSGMSLTVQDIDGKCLSGKTSMEVLQGLAVAERRSTRYNGGGDDLKERYEEYIQKRRERKDMMERRERRLDLVCVAGGIAFLMAFWSFALY >cds-PLY62591.1 pep primary_assembly:Lsat_Salinas_v7:9:73859029:73873287:1 gene:gene-LSAT_9X61840 transcript:rna-gnl|WGS:NBSK|LSAT_9X61840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRYKDIHSWLTESNAEFLTIYGMGGSGKTTLARYIVYSNSQNFESISIVEDIGSRSKEPHDLLQIQEKLFADISGGKKRKIPSVCQGTFKIEEALQVMKALILLDDIVEPSQLVALLGSGHINKRSKIIITTRENNLGKWFESRSWRCQEYQMKLLDDDESLELLSRHAFGSKTLMEGYEELAEQVLKYCEGNPLALEVLGSSLAQDNSILFWNSTLSLLGRDIDVGIQRVLIRSYNSLPHESNKELFLHIACFFVGKDIDYVVKILEHDYSAVSGIKILTNRCLVSVSANKKLMMHPLLQEMGRRIVHQESPKDPAKRSRVWLNKDSYDVLRKGKGSNTIEGLALDKRMLQEEQRAFKASHLNTDALQKMDKLKLLQLNSVQLTGSYENISEDLRWLCWLGFHLRTIPSDLFMGNLVAIDMSSSNLEVFDPPVVLQSLQILNLKDSFNLLEIRNMSRIPHLETLILWNCQSLVRVCETIGELTSLALLNMTGCKNLCKREHTNLLVRLVASTFGGAVGEQPTFSFPYSLHQLFLKDCYLECTDSFPLSFSLQPSLQYLNLGNSLFEFLPCYDHLKNLRVLDLSLCSRLKCILCLPNTLAELYVYHCKALEIITFKSPQFTLQEFGYEGCISLFEIEGFIKLVPLVKLEENDLGHMKWLKKYQNLEVCLVGDDELTKGRSSCVQILYEFDIMSTSLPDIKDPNMKPKYVSESPYLSFGLPSFPRNKKLKGLNVIFKYTISGDSWSSWFCKISTTNGVDLMYNPRVFGKPEFGNVGIWLSYWPIGNILDTGDTVSISIAVMRGLDVHECGVSLVYTDDKEAEENLGNNKGWVEILGGDLSRFQLSTGAYYLCRRDFFELMEVDRQTPDWFRILVGDTIDSTEVRGWRKTGRPKHLNQSFTELKTVRCIIHGPDLEEIYNIREMSKSFFLDKTLKFTSSMVGKMMKLARRPISRNTKIKFIQVTGCALACYWCFYPPGFNNNPQLMLPLMVVFHIFITILLIMFIILRVDRLFDESEQSSASQEEDNESDQYSASQEQD >cds-PLY93308.1 pep primary_assembly:Lsat_Salinas_v7:4:301499281:301509397:1 gene:gene-LSAT_4X153381 transcript:rna-gnl|WGS:NBSK|LSAT_4X153381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQPGAIDTTATKIICLTQVVTKDELKDDENYEDILEDIKAECVKFGSLVNVVIPRPNRDGEPTPPGVGKVFLEYMDTENATKARAELNGRKFGGNQVVAIFYPENKFNHGEYDE >cds-PLY64450.1 pep primary_assembly:Lsat_Salinas_v7:3:16178267:16180508:-1 gene:gene-LSAT_3X11961 transcript:rna-gnl|WGS:NBSK|LSAT_3X11961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVDSWWSWWSEVTSKKVEMLTFPVLTLSAISIAILVYISRKTRSRLPPGPRGLPVVGYLPFLGANLLDEFTKMGQRFGPIFKLQLGSKTYVVISSSDLAKVVLRDQDDTFANRDPPVAGIALTYGGKDITWSDNNNYLRNMRKVLMYEVMSHKNLEASLSFRRGGVRKTVKNVYETMGTEVDIGETAFSTLLRVITSIIWGKSFDEDEASNTLMVGFREVITNAMMVLGTNNVSDYFPVLARFDLQGVERKMKKEAQKLDGIFQKIIDDRVISLKTKESAEQQGRKDLLQVFLELKQENNESSFTDTQIKALFMDFFVAGTHTTTTVTECTMMELLKNPDIMKKIQDELEQVVGLNNIVEESHLPNLPYLDATIKETFRLHPTLPLLVTRSPNKSCKVDKYTVPKGSNVFLNVWAIQRDPKYWDNPLEFNPNRFLNHDGTTNTKFDYNGLNTNFLAFGAGRRRCPGVPLSEKMLMYLLASLLHSFNWTLPDVKEHEMSEKLVPKKQKSLIAIPSQRLPAKKLYI >cds-PLY79799.1 pep primary_assembly:Lsat_Salinas_v7:8:275855000:275865068:-1 gene:gene-LSAT_8X157680 transcript:rna-gnl|WGS:NBSK|LSAT_8X157680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHSGSASSSVAGTSGRSVAFEIDLNEAPLPSPREIVGGGVFAAEHRCGSCGELEGAMVICGDCGRRFHVECLGVREEQREWKCFECLIECRSGRRISLTAGGGCGSGLFDMNSSPPKEADGVEEDYFVNSELVLAASFPNPKMQDMRRNPFFGFTLSSQMAHPDMRLIASGFPSQNPARFAHMNLETATQKGNLVYLQALKDYISEKRGVLGDGWRVKFEYSEKNYKTSAVYFAPDGKRFDSMSEVAHHLGLIPAYNSFEKDEKGSGIVILQKGSHSTKRTKRGSNLKKDSNVANWTHGSQSFLDGFPVQFEDLFVMSVGKIDPHLSFHNTSQIWPIGYQSIWHDRFTGSIFIHNVLEGGDSGPIFRIHRYPCTNQSIPYASKVLCITKCESTHDDDDTNIHLMLTDDSPPPHLDDDKSSCSLKITSKPENNLQNDVIGEFIVEERSITSAWKKAVESLLKACREAYRGKNVLNFCCNHRVDEQYLGPTSDFESLRKFCYLAGPVNSIPDKILTIDELEACLQELRKWLEVDRFGLDVEFVQELIEQLPGVSSCSNYKSLNARCEGSSSQTIGSGFFMALSKNGLQHSAVSNSMRRSHKRQSPPGNLVASNLPPHLIGDVIQAYEICLRFYEVLGLDAPVSRHVLENELMNPWIDNLKPMKRSLNDLQKDTIMKACEVNKPDDDVSEDSDATEECEEEGYRAEAASKCTGVQLSKFHMSLLKVLIEDMLAKVKEIFDPFGVVESKSRKGKKKDAEVAGVGKKINLDMFPVNEFTWPEVARRFILVALCMDGNLESSELLTHECGKVFHCLNGDGGTLCGSLTGVAAMEADAMVLAEASKKLFSSMNNKVVDFIIDQKDDTGDSIKDTNMIDDDSPEWTQALEPVRKLPTNVGARIRRCIHESLNKNPPDWAKDILEHSISKEVYKGNASGPTKRAVILVLERMRVENPLQKPIIEKKEKEKSGVRTLSDAVMKKCRIVLRCVANADEDRIFFNLLAKTNLKPNDPDDTGVLGYPAMVSRPLDFRTIDLRLAAGFYVTSHESFIEDVREVWQNLRIAYRDYPDHMELIETLSKKFEESYEEEVLNLFNRIMENGNSFDSSSEEGKKELNSLLLETTESPIPPAPWEDGVCKVCGMDKDDDSVLLCDKCDSEYHTYCLDPPLARIPDGNWYCPSCKSTQPVPQDERCGTRALCRLRGKKKLQKEFTRNLMEILAPLADTMELMEYWELGIEERVFLFKFLCDEVLNSGVVRNHISPDSGDSEKKLRKLYKELKNQNKKEKEKESEDTCQEEQLSVSKSGDIQSQIKKEENDENKHAGPMKTGQAQESGCGVSSLQEKIATLESKIARPVVRRDYLGRDLLGRLYWILSSPERVVVSGPPSRRNEGEITKMPEMYDNDSLWTCYESDAEIQELIGWLRDDDTKEKELKETIRQWHMNRVNDVNALENHVQTVDDNPVYGTKARAALEKKFGSFSKKNGCKGKMVKNGKLYRCDCLELAGPTRHHCSSCHWTFLTNEELEGHNDGKCHNRQECEGPTKGKKVKLVKPQALTNHEESDSPFVFEEIRAKFCTRSSLKEEVKEIGLIGSNGTPSFVYPQDSNSALLLFSETKKLVNSQNGSTDILLLGTNETPSKVERLKPKGTSGKSLLKNRSSLRICQSSLKPLTGRVVEILRCLKINLFDMETALPEDSLRPSRGGLDRLRAWRSLVKSSQSIYEMVQATLMLEDMIKTEYLRKEWWYWSSPSTAAKISNISALALRIYALDAAIYYEKPPTPNLDPTEPLTPNSSKSEKKTSEKSNAKDSSEKSTPKNSQSQRASSSPVTTEGSRPKTRSKKRMRDSDT >cds-PLY78156.1 pep primary_assembly:Lsat_Salinas_v7:4:97010670:97012887:1 gene:gene-LSAT_4X61900 transcript:rna-gnl|WGS:NBSK|LSAT_4X61900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLVLDTAIRDWVLIPLSIVMVLIGILRYFVSKLMRTSQLPDAKIIKEGQVIIRARNLRAAANFIPPKAFRARKVYYTNEENGLLHVPKGQGQNPQAQMLSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENATDDTQRMMQMSGFGFDPSKSLGAEKDGLDIVQHDWVLPKFEQRAEAVLRKLVS >cds-PLY68195.1 pep primary_assembly:Lsat_Salinas_v7:8:119082360:119085313:-1 gene:gene-LSAT_8X82941 transcript:rna-gnl|WGS:NBSK|LSAT_8X82941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGSTSTGATSSIDRYSSGMEGKTQRKKTLDKSSSKKRWSFRFSKRSPQPPSLSLPDDSSATTRHPSPVKVTDEPPVRKPKPVLKITRSGSLRSLKIVRSKSKSSSESKGPTVEDLRSNRATFSSILKDSKFAEQVEPQVGVKEYEEILPVAKLCPYQHCSLHGHHHHHEPPGKRFSFLKRRSSKDQKGVNPKTPTTVDKPSGKKTEVKVTKKGSNLGSKGKKIEKPIGKDADFSIEFYAKTRTEHVPESSSGLDDADLANMMFGVNGNNQKEVQNLRIDDQESSIVNIDKKQGSINRNQMNMWRLIQRRMVSDSDLVAESGCELEQQVDKEQRSCNAADAMNQEYENRKMFVIKIVREAIQKVLLPEVPDQSITNISVRDHQKEPNPGADELMSGTQKGKPDGWSHIKKVTLLRRFITELEKVKRFNPNPLVPKSAAETVSLRPQTVGDKKISDDWMLDYALQQAVSELSPSQKRKVALIVKGFESVAPKLDDSKDSSLTDENGDYKDSDEQGVTEFDSAMKSEKEKYINMWHMIYQHVVTDITSKVGSELLHGGDDDDDDEQEVKDQSINNEFSPRVADMLIRQSVNEILLPEVEEDASLSLERKDVDVDIDIDIDGGESQTQQIIPKNWNKLRTLRRSIKDLESSRKLKPETPRQSAFHKEEEQEEREQVDLRRQKSHQKTKAEQWMIDYAVQHIVTKLTPARKKRVSMLVEAFEAVIPLPET >cds-PLY97273.1 pep primary_assembly:Lsat_Salinas_v7:1:43545973:43547558:1 gene:gene-LSAT_1X38221 transcript:rna-gnl|WGS:NBSK|LSAT_1X38221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSSMYSLWLHPLFFIRKIISLQSLDGVVNRFLVTFADAILSVYFRYCGLSLSTVRIHNNQTTMYIWAANQCNSNKPNLVLVHGFGGNSKWQFILQVTQLTRDFNVYIPDLVFFGDSYSSIPDRSVRFQAKCVCDGLKKMGVAKFSVYAISYGGFVGYRMAEEHEEMVEKLVIVSSGIVCKQDRKLEHVKNIGRSVVDLLVPKTPEDLRVLCQMTIQKYDVGRWIPDFFLWGFIAFECCMKEKEELVLDLLNEKPDIVYPVLTQETLLIWGDKDAVFPLDWGHQLHRHLGGKSKIEIIKNVGHAINFEAPHSLNQFITSFVSASG >cds-PLY76261.1 pep primary_assembly:Lsat_Salinas_v7:8:33641386:33641856:-1 gene:gene-LSAT_8X26541 transcript:rna-gnl|WGS:NBSK|LSAT_8X26541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSDVWGSISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWAFFLARIIAVGQRLGGFERHYGIKISKV >cds-PLY63109.1 pep primary_assembly:Lsat_Salinas_v7:8:76223236:76231449:-1 gene:gene-LSAT_8X54460 transcript:rna-gnl|WGS:NBSK|LSAT_8X54460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISVGSRDGRKGLSLRYGVEGGDMDLETELEEGEACFQNNNDDDSTIDPDVALSYLDEKLQDVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYQRSPACPHPKTPPKIPTNNQSISPNDLHVEGGRQSSVSTSNASQSTKHGPVLTGGASAPPPRGHLMNGKVKQEGHPLFNKAGDKFTSNGQSVNNFANISDQKSLKVRIKVGSDNLSTQKNAEIYSGLGLDVSPSSSLEASPVDSDDFCHLPNGSPHEESPTSILEMMTSFPVLGGLLLSPLPYELLYLNEKEKREDSSCGSVHKKSQETTLAVNRPDIKVDRNEKKPKTEGNLVPPELKNVNIICDGIGGVTKKEADMDNLSCEEPLSNGMRVDFRKGAAFKGGSSGVTPKEESLYPVLTPNDIRAEKPYKKDSNSDEKYPTFPTKSDSDVSKNGKVQPPKQKAAHKATSREKDSTKLATSSSGGKKKSKGSLENGFESVEVLKVKNDPSKSKNNAHGLKTDDPRGNNVKVRDTYKDFFGEPDPELEEGDDMALEEKPFGDRQKDNRVTEKGTLETNILSKDRLNGKKDQKPSSVVYPGMAPLIAPITGPTPVSDAASGTVAAVVNEDWVCCDKCEKWRLLPPGVNPSSLPEKWLCSMLEWLPGMNRCSISQEETTKAIMAHFPGPAPTAQGLQPVHPGGPMSLDPPPHPDQRHHLFGPQAAVKKKHGTKDVPNEPKQERPSLSSNSAKKNLQTSNKTRSLNGAHHSPSHLEFQDSGQSSSMIAEKHRLKHKEKKKLRENFVDEGNNNNNHNHNHNNNPLQLKIKNKRETGQDCSRDSKKVKTDDNHGTDKDWASDHKKYDDRLKDSKSASKVLGMHPKNPNGTPHVVHKRKSNEFEEETSENGHRKGKRVKVPEPREEEMFTSKGEGRIRVIDSSKRDHVPMPLHASLAATSSSSKVSGSHKTKTNTQEAKGSPVESVSSSPLRILNPDKLIISSRRNIEGVDNCQDAVSPKKDWEEDRGSFRSNTTFKKDLDVTESLDKGLGKVEIAPSLEFETFRVGTSGAKPSAQGHNDDRSHSNGSRSRKNGKGSSSRSKDKNRSSKSDIDKINLKISDVPIYEEKSKAGRHKSQEKPSVYPDKFEKGSASKKDSSKPLSENTSKRDPQPKHGHNDDSAPVPVHDVKQNNMSMERDVERISKKDVSGRGKSHSLPPTGKGQNDASRPPQPCVVSQKENGLLVDAAEGSDVLKGAKQKKKVENQSTSVRHPTPPNRHNKGRGDHDAPSPLRRESSSQAASAVKEAKALKHMADRLKNSGSNHESNSLYFQAALKFLYGSSLLESSHSETGKHGDMIQSMVMYGSTAKLCEYVAHEYEKSKEMAAAALAYKLAEVAYLKVVYSSHTNACKDRQELQSSLQIGPTGESPSSSASDIDNLNNNNPAAVDKAAIAKGVSDPQIAGNHVIAARNKPNFLRILNFAQDVNFAMEASKRSQIAYAACGSQPEHAHHKEIIKPALDFNFQDVEGLLQLVRVAMEVISR >cds-PLY63056.1 pep primary_assembly:Lsat_Salinas_v7:8:72901471:72904285:1 gene:gene-LSAT_8X51680 transcript:rna-gnl|WGS:NBSK|LSAT_8X51680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSPQTAVNGGENECDRVWNEIKAEARRDAESEPALSSYLYSTIISHSSFTCSLSPFRKEDGDREKGMGKIGKPLRYKGSTFHRIIPSFMIQGGDFRRGDECGGESIYGEKFADENFKLKHTDPGVFSKANAGQDTNGSQFFITTVINSRSDTVEYILCASLFPPEFFVRDMVWVKLLSKFDKVEVKALENIGAEAKGSGINDPTTTMEELYSLLLIIGHVLANEGKGETPLIPRLMEVVLFKFVGLVDVHSVKQSSSDVVKVGNKFL >cds-PLY82028.1 pep primary_assembly:Lsat_Salinas_v7:9:157186283:157187231:1 gene:gene-LSAT_9X98300 transcript:rna-gnl|WGS:NBSK|LSAT_9X98300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLFYTKTTPMSRCCSNLPDQEILIKESSNKQKGSSFSSQKRNPIPSPNLNNKASMPTFGFGNDEDDDIEDEESDFKMGRLIRQASLNSSDMDSHQQKTKVMKGSSSLPRYLSLNPERNQEKISSMRKFESMKERNRSKNSMKIVKNNGGAPTIPGGWVDKGSSEDMKAQIKFWARAVAFNVHQEC >cds-PLY67029.1 pep primary_assembly:Lsat_Salinas_v7:5:283517928:283519004:-1 gene:gene-LSAT_5X149441 transcript:rna-gnl|WGS:NBSK|LSAT_5X149441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNSKDDTPTTCFSSILRRLLCTGGLPTHPSSDTDNPEGSTRLLSRTDLSIKHQPSSPTRSTIPAGIVARLMGLDSIPKSPHTTVMRKSRSVGSVDFLPNFEILKQHHHHRARTSVSFREVPTFLRASHNHVYGKVDPVRKSEMGLFPIEERSQNLNKKKKVQSQKQNLKIKSEESVSCGQGKCRIRKKEVLNAAKKKVIDDGFPEEQKKKNCKSRKRTYNHPLPKMGKHDRKAKKKVMLKRKESEYSEEYCIKVLMEVCRLTRDETNGSVWVVNNLQDISYEIGQEILQVLVYEMIDVLL >cds-PLY63070.1 pep primary_assembly:Lsat_Salinas_v7:8:72970935:72971507:1 gene:gene-LSAT_8X51740 transcript:rna-gnl|WGS:NBSK|LSAT_8X51740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVRDSDIDLLTQTCGQELRVLKLDLCSGFSTDGLLQIGKRCNNLRIMYLQESLIIEKDGESLHELALRNTAIESLNFYMTNLVKFNLKDLELIKKNYIESLLSVKISECDLTDISDYFNHTVKLQESGGGAFSDQPENYVGLKFPPLLTSKALNYMSQPEIPVIIPFASRLTKLDLLYALLTIVCHSFS >cds-PLY87046.1 pep primary_assembly:Lsat_Salinas_v7:5:259568619:259569946:-1 gene:gene-LSAT_5X129661 transcript:rna-gnl|WGS:NBSK|LSAT_5X129661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPNKLPVITFNTKTLNRSNGAWQSTSHTVRGALENYGCFILATDKVSSDLRDTMFELANDLFHLPMETKVKNTSDLLGFGYGKYASMPLWEYFGIKGGATIEGTQSFTDLLFPSGHKVFCETAFEYMKLLSEIDHCVMRMVFDSYGVDAKECDRLIDSTLYLSRFMKYRSPREGEGIMGLFPHSDKSFLAVLDDDLKGLEIQMKNGEWIYPEPSPSTFIVLAGEPFMAWSNGRIHAPVHQVAMRTSEKEVVRNSLGLFSFMRATVEVPQKLVDDEEHYLQFMPFNQIEFLKYVTTEEGKASKCAIKSYCGVTTGAGAD >cds-PLY89758.1 pep primary_assembly:Lsat_Salinas_v7:1:58971093:58972857:1 gene:gene-LSAT_1X50681 transcript:rna-gnl|WGS:NBSK|LSAT_1X50681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLNRGNLRGRDWEEVASIVSERCEKQTKSVEQCKNKVDNLKKRYKLERHRMMNTNGGNATSHWPWFKKMEQIVGNSLPLKTVLSEENSAGGMSSPVRQSKRYGTATSSPSCQLTTIKPKPVTNSRWRRVVFKISGAALAGTGSNSVDPKVAMHIAKEVSMACNVGIEVAIVVGGRNFFCGDTWVTATGMDRSTAYQIGMMATVMNSILIQSALEKLGVETRVQSAFSMPEVFEPYSRQRAIRHLEKGRVVIFGGIGAGTGNPLFSTDTAAALRASEIHADAFIKGTNGDGVYECDSIGNVTFEHICFRELASRGVSSMDMMAATFCEENGIPVVIFNLHEPGNISRALSGEHVGTLIDQTGRAVV >cds-PLY79369.1 pep primary_assembly:Lsat_Salinas_v7:9:54112499:54117042:-1 gene:gene-LSAT_9X50221 transcript:rna-gnl|WGS:NBSK|LSAT_9X50221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASVAVAARGVSLTMPSSQSQSSRKEWRVVSDHPVQNSGNDDLDRSKLGQGDERLVYEGRESVNGGSDHELRLDDVVKQREQLQHLEVNLKARLIARSEVMGMQERFDSQIKEHITASVKLQEQLHEREKAIMELQRTLDDKDKELHAIRLDHEAAWAKEDLLREQNKELATFRRERDNAEAERAQHLQKIHDLQEHVQEKERQFLELQEQHRVAQETLIYKDEQIREAQAWIARVQEMDALQSNTNHSLQAELRERTEQYNQLWVGCQRQFAEMERLHLHTLQQLEIELSEARERNGTISDGTRTSHVKDVSHFVHNNGSQLEGRGGNSPAGDSRGVQNGDAEIVSSQNENVPAVQVAPQSMHGMPAFFSPGQLAPLHPYILHHQGLPQNVNSHLVQSHVGQFHSVPTWQNQQAISESLQIPIHEQYSSQNDQNSLRQYEASVNEQSQQNLQQISSQFHDSLRLNDSDNGNLHQGKNASFLSSHGSEAQSPPTEQQQLGSGGSIVSEVIQSVKVSEGTSAPVQERYAPSASETKMGLFDEETLLACVVRTIPPAPGGRIQISSTLLSRLTKMLAPLRWSDYENRHGKLVAFLGSHPELFVIEGDYIQVREGAQEIIAAMVAHAKVRAAAVADSATSSNLSLLSSVAVTPMARTRLKKTPLPAVTSNYGNANGVGVRLVTKQNDQMRQNGRPTATTTTTPNYNGKQQQQQQQGGRSRGSFQM >cds-PLY92482.1 pep primary_assembly:Lsat_Salinas_v7:2:152545331:152548711:1 gene:gene-LSAT_2X76660 transcript:rna-gnl|WGS:NBSK|LSAT_2X76660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDEDSLPISTPTTIYSSSALISNKKETLDYSIFRRGRYKFWALAAIILLAFWSMFTGTVTLRLSVGNLNRLSDDIANGPPIYDDFDVLEMEEREKVVKHMWDVYTNSRRIRLPRFWQEAFVAAYEDLTSDTPEVREAAISEIARMSLHSIHLDPPPLQSTNLRELSSRHAQQNNNKAGLPRKYR >cds-PLY85671.1 pep primary_assembly:Lsat_Salinas_v7:7:158085089:158085292:1 gene:gene-LSAT_7X93301 transcript:rna-gnl|WGS:NBSK|LSAT_7X93301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPVDVPAPPVDPVQVDDPHPDVDVPAQPVATRQRIRKYSERITKIGLRRKVLKKEGSTGHNPMVLE >cds-PLY67161.1 pep primary_assembly:Lsat_Salinas_v7:8:205505354:205508678:-1 gene:gene-LSAT_8X129880 transcript:rna-gnl|WGS:NBSK|LSAT_8X129880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAIRVHFGILLAILSIFEFSEGNHRASETASCNGGDWSSQNLDENTAEQVWIYCRKELGVGEITKTINKFNPNHEDIDTPSRKTLMHNARKELLSPVKHESLVKHVIRVDSVPKNKPPDHVLLLGIIVSTAIATLALFVLMVFCCLGGIHPRARSQCAQQDKKPKPVVNISPEGISGSSQAPQAVVGNKDLINSFSTNNLSANVSSQTLELGKLPLPPGRSAPPPPLPAAPPPLPPPAPPPPMPPAPKAPPPPPPRNGPLPPAPPKPGAMKKPPGPPLPKRGHLASGDEKGISGNSSGNKTKLKPFFWDKVNYAPNRSMVWRELNAGSFQFNEEMMQSLFGYSSTNQNKGETVKSASTQPKLIQIIDPKKAQNLSIVLKALSATIEDVCKAVTEGSMLRVELITSLIKMPPTQEEELKLRLYQGDLALITPADRFLKILVEVPFVYKRLEALLFMSSLHEESSPLKTAFLTLEVACTKLKNSRLFLKLLEAVLKTGNRMNVGTYRGGAQAIKLDSLLKLSDVKGTDGKTTLLTFVVQEIIQSEGIKSARSSHIKTNDQSDEYYRKLGLEVVSKLSNELSDVKKAAIIDGDHITSTVLKLENMLKKTRDLIDDELSKTEGAMEFCETFNGFMEHAESEIVWMLEEEKRIMMMVKSTGDFFHGNSAKDEGLRLFVIVRDFLKLLDIVCVDIKKNNEKNSKKKNIPLGKRVPPGPQSRQLSWSSVRDKLFPAMKDKKMDSSSSDDDDDDMSP >cds-PLY82659.1 pep primary_assembly:Lsat_Salinas_v7:5:84781429:84782166:1 gene:gene-LSAT_5X38200 transcript:rna-gnl|WGS:NBSK|LSAT_5X38200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHRREGLITRVNDDHMLWLEHFESNYVNLEIFSSETGKWICYKLPCPIPIQWQEPADGPIYCYGALHWEVRYNGLLAFNPYNDSKSVRVIPFPDDRDLLSKYLDTSSQLCGESQGTLRYFEVAHGRKQFYLFSMWSMKDYEKGEWCCEFKVRRSDLHSNDLELSNWLLDGWFLPLSFHPLNPNLVYLYCMEPERIFSYNILNRRLDFACKPIDRGQSICSVIPFVLPRWPVLVPIATFKSKKRR >cds-PLY68920.1 pep primary_assembly:Lsat_Salinas_v7:2:194218451:194219193:1 gene:gene-LSAT_2X116140 transcript:rna-gnl|WGS:NBSK|LSAT_2X116140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVFEGGQGHGSGRVSEGESGDEGTEYEEGGGRKEVQVKVLVKRGSKQQTRQMLIPSDCSLVQSTKQKEAAELEEKQDIKRLVLEYNDREEEELNALGNLPVGWSQSGGGRVVYRGHSWEGHGGRSGSRHRYPHHTGGGYYYSRRK >cds-PLY73818.1 pep primary_assembly:Lsat_Salinas_v7:7:69343342:69347222:-1 gene:gene-LSAT_7X49861 transcript:rna-gnl|WGS:NBSK|LSAT_7X49861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKYGFEGISTTRPTRPIPTSSKSFKNGIRKGSESLKSIGRSLGFGVSRAVFPEDLKASENKILDPQDTFLLTWNKYFVASCILAVSIDPLFFYLPVFDESKTCLGIDNNLAIIATTLRTVVDVFYLIHMALQFRTAYIAPSSRVFGRGELVIDPGQIAKRYMRWYFIIDFLAVVPLPQIVVWRYLHTSRGSDVLATKQALLIIILLQYIPRFARIVPLTSELKRTAGVVAETAWAGAAYYLLLYMLAIGAFWYLLSVERKDTCWQRACERKHFNKNFLYCGNERMAGYDTWRDVSGQILKDACSTDGDNPPFDFGIFEQALSSGIVSSKNFVSKYCYCLWWGLQNLSTLGQGLETSTYPGESIFSIALAIFGLILFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRLLPQDLRERVRRYDQYKWLETRGVDEENLVQNLPKDLRRDIKRHLCLGLVKRVPLFENMDETLLDAICERLKPCLYTNNTYIVREGDPVDEMLFIIRGRLESATTDGGRSGFFNSGFLKEGDFCGEELLTWALDPKSGANLPSSTRTVKALREVEAFALPADELKFVAGQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRYSKRKVLEQRRKEEEEAELAARKNGGGGGGGGGSSYSLGATFLASRFAANALRGVHRNRNLKSARELMKLQKPPEPDFTADAD >cds-PLY82487.1 pep primary_assembly:Lsat_Salinas_v7:2:185003625:185006196:-1 gene:gene-LSAT_2X108421 transcript:rna-gnl|WGS:NBSK|LSAT_2X108421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRPIGLALRQVTSSSCHNRHVRRRTCSGTFVNPFRSFRCYNTIPNLSNLHPNLRACSSPRSFSSSSKVNQCRYFNTAASASTAAVEQLNYDRSNAIADTIDAYSAIELALDSVVKIFTVSSSPNYFLPWQNKSQRESMGSGFVISGKRIVTNAHVVADHTFVLVRKHGSPTKYRAEVKAVGHECDLAILVVESEEFWEGMKFLELGDIPFMQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYVHGATQLLAIQIDAAINPGNSGGPAIMGDKVAGVAFQNLSGAENIGYIIPVPVIKHFVDGVEASGSYSGFCSLGLSCQPTENIQLREYFNMRPELTGVLVSKINPLSDAYRVLKKDDIVLAFDGVPIANDGTVPFRNRERITFDHLVSMKKPNETAVIRVLRNGEELELTVTLRPLKPLVPIHQFDKVPSYFVFAGLVFIPLSQPYLHEHGEDWYNTSPRRLCERALRELPQKPGEELVILSQVLMDDINVGYERLADLQVKKVNGVDVDNLKHLCQLVQECKEEKVRLDLDDERVIVLNYERARTATSHILKRHRIPSAMSIDLLTPDTTAQ >cds-PLY73855.1 pep primary_assembly:Lsat_Salinas_v7:4:207224616:207224968:1 gene:gene-LSAT_4X117360 transcript:rna-gnl|WGS:NBSK|LSAT_4X117360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSASYGGDFKEESYMVVAALSSDAFVLAVAAETVIILWDPEKNILMVVIGSSLRVGTYIHALASF >cds-PLY79048.1 pep primary_assembly:Lsat_Salinas_v7:3:6767168:6767703:1 gene:gene-LSAT_3X5161 transcript:rna-gnl|WGS:NBSK|LSAT_3X5161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNPNILFRNGLMKLFFLEANHEGKTMFEEAFTLGHLDSTFVLRMMVMAEGRHRKQEALDMLNNANRREKGKWNLRATCSKVHLKLNREGRKHVHFHGFHGTCAMHKSVISVSDAFVNGYKWVFRCEICLWDDCFVSFSREFGIICE >cds-PLY69509.1 pep primary_assembly:Lsat_Salinas_v7:6:44050190:44052177:1 gene:gene-LSAT_6X32421 transcript:rna-gnl|WGS:NBSK|LSAT_6X32421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTNTSNLDNLLLQTLMGRLQIRPPNPLQPQLSPLFNQTLEDLLLDSMNNISDTEDDQDDDDDDENNRRSKTQLAKEESKLEKAVIKIILSGNGDETLKPNSGQAVTIGEHHICVGYHVETGSEYRVWEWHGHIMLFDDENGYNPEYIYGNYFERMRIMPGKMKEEAAAAVNGGVGDKEEKSINSGLKELIGSSEDAGSGRILHRNVNVGSSRAYTCGGKGVAGDIGQGVVHTPTQPHNSIRRCSRYLK >cds-PLY82997.1 pep primary_assembly:Lsat_Salinas_v7:1:18576609:18581533:-1 gene:gene-LSAT_1X16121 transcript:rna-gnl|WGS:NBSK|LSAT_1X16121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAAGTETEKKEEEVKGGELLFCGTTAWDTIGRKKGCEGNLVSPTRLRPLVGVDIRYVASGCASCHCVALDVDGRCYTWGRNDKGQLGHGDQIQRDRPTVVSALASYKIVKAASGRSHTVVVTEDGISLAFGWNKHGQLGSGSAKNEIELYPVRCLVSEVKTVACGADFTVWLTSVEGATILTAGLPQYGQLGHGTDNEYNTKDSSVRLAYEAQPRPKAISAFAGETIVKVACGSNHTVAVDSNGHVYTWGFGGYGRLGHKEQKDEWSPRRLDFFTKNNVVPSNAVVSAGSVNCGGQMYMWGKIKNTGDDWMYPKPLMDLSGWNIDCMDSGSMHHFVGADNTCISWGHAQSGELGYGPNQQKSSSIPKKVESIEGIHVISVACGFAHSLVVVDRTNAADKLDQLEIYDGKAAGEGVEEAAAAAPAPAKQNNKKGGGGGGAKRKKSKELSDSEESKQESFDGDDDYSDEESNGFAKKSKRGGKSSGRGRGRGRSSAAAKEGGGGGASGAKRGRGRPKKA >cds-PLY84003.1 pep primary_assembly:Lsat_Salinas_v7:8:36295786:36299384:-1 gene:gene-LSAT_8X28780 transcript:rna-gnl|WGS:NBSK|LSAT_8X28780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFRDVNSVLCERLWLSLIIGRSHTLAAGLQPDIPVMIDILSKLSKKTSGTRIREAYAVAAKSGPVGRRIAQGMKVIAEGSLETTNFVLLINIDGQNVYALEDMNLLFGVDLDGVHKKKLKVLRRKPKTSSRRCKEYIVCWWNYNGIYWAFTCTAFA >cds-PLY63297.1 pep primary_assembly:Lsat_Salinas_v7:3:91567014:91567869:1 gene:gene-LSAT_3X68380 transcript:rna-gnl|WGS:NBSK|LSAT_3X68380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPTLPVLPRIDRLDRLLQMLEEKHSKSTRFGSTTTNNGSHDDDDDDDDYDHEDNHKEKLEEQMNCKTLLSALDDVHHKGTLIDRLSMLESRVLKLILDMEERSTSRSSSSTAYATREENELPVDNCAKKTIGEGKRKVNLKWMRWFSVRC >cds-PLY63755.1 pep primary_assembly:Lsat_Salinas_v7:6:26055700:26058665:-1 gene:gene-LSAT_6X18720 transcript:rna-gnl|WGS:NBSK|LSAT_6X18720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKILVGFLFNLILNLQITTIDARPTRLILLSDISVHESSPAAAPISSMADLPPGTHTDIQIHQNSNKKLVIGLVSASSLIGIVLLPLTCLWICRRKKLYKSAKINSRRLDSLKGLPLTSFIGRTNTTFNTQKTSVTTMDYNILQSATKNFQESEIIGEGGFGCVYKAQLNDNLLVAIKKLDNKTQNSIKEFQTEIDILSKIQHPNIITLLGYCVHDETKLLVYELMQNGSLESQLHGPSCGSNLTWHCRMKIALDIARGLEYLHERCKPSVIHRDLKSSNILLDSSFNAKLSDFGLAVMEGATSKNIKLSGTLGYVAPEYLLDGKLTDKSDVYAYGVVLLELLLGRRHLEKLTSSKCESIVTWAMPQLMDRSKLPSVIDPVIRDKMDLKHLYQVAAVAVLCVQSEPSYRPLITDVLHSLVPLVPVELGGTLRVAETAVVT >cds-PLY87627.1 pep primary_assembly:Lsat_Salinas_v7:MU040392.1:607786:609468:1 gene:gene-LSAT_0X11741 transcript:rna-gnl|WGS:NBSK|LSAT_0X11741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSENATTEPPVIDDNVKFGFLRKEMNTEKLAGTAIAYDRHVILCYKTHETWPSRVESSDSHPFPKLLAGALKARKNDIPVKTLMTICEGREGTELSDGDVLLFPEMVKYRGLKEPDINSFVDEVIVNRKQWSTGVQEKMTGSHIFVCAHSSRDKRCGFCGPILIKKFKEEAELRGLKNVYVSACSHVGGHKYAGNLIIYSVQNNKVSGHWYGYVSPNDVPELLDDHIGKGQIIERIWRYLLFVY >cds-PLY92453.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:984655:991254:-1 gene:gene-LSAT_0X34000 transcript:rna-gnl|WGS:NBSK|LSAT_0X34000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFHSKKSSDISSPEGSNSPRSIGSNSSSPIKSDKKKAKSASKDEPQTPISASLRQNQVKDGSNSSSTSNSKHQSRSKKDGLQGVESKVQNTTPITEKPSLGSGSSKLTKKGAAEGPATVSPILASSLGLNRIKTRSGPLPQESFFGFGRDGNSNDNKNKVNSKMSSLGSSNLSKSKNNDGGNFEDGFGTDKKKMSSSMENDGGSNSDRMSIESLPSRDHTPIVLGRSRLQNGESSSEAELNVLPSNQNFPISYAIWRLELHNVRYKNSSRGLKDVEPSKPSHSHVNLRGFSGGLRSSELCTPEMKTSYDSENPKESESPRFQAILRVTSAPRKRYPSDIKSFSHELNSKGVRPYPYWKPRGLNNLDEVLVTIRAKFDKAKEEVDSDLHIFAADLLPILEKNSETHPEWQETLEDLLVLAQRCAMTTPGEFLLQCEGIVQELDDRRQELPMGILKKLHTRMLFILTRCTRLLQFHKESGLAEDELVLQLQKSLHSADKNIPTTIKKDDISIPRTSKTSSTRQYYSQEQRGLHNMDWKKEQAPPPVEIVKGLDSPTSRNRMSSWKKLPSPGGKAPVTEVAPVKEVALAPVKDLQIQTATTTYKHQHKASWGWGEQTNIFDESSIICRICEEEVPTLQLEDHSRICAIADRCDQKGLRVDERLVRISETLEKLMESLSEGAKVSNSEESELVSPNDWSRRGSEDMIDCFPEGDNSVLMDDMKGMHSIVPYRTRLGPISDQMATSSAGSITPRSPLLTPRTSQIDLLLAGKGAYSENADLPQMNELADIARCAGNTPLDDSRSLPYLLTCLEDLKVVTDRRKFDALTVETFGARIEKLIREKYLQLFELVDDEKVDITSTVIDEDAPLEDDVIRSLRTSPIHSKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLGEGEHESQSSFLSESESQQERRKKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRNIPWPMVPDEMSPDAQDLIDQLLTEDPNQRLGARGATEVKQHQFFRDINWHTLALQKAAFIPSFESALDTSYFTSRYRWNTSQEHGYVASETEDSSDNGSISGGSSCLSNRHDEIVDEYGGPAEVGSQSCVNYSFSNFSFKNLSQLASINYDLLTKGLKDDQSTKPNE >cds-PLY96886.1 pep primary_assembly:Lsat_Salinas_v7:2:110490938:110491384:1 gene:gene-LSAT_2X51741 transcript:rna-gnl|WGS:NBSK|LSAT_2X51741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSRISTGSSNQRVDKDVLCDCELPSRIRTSKTKDNPGKKFRVCLNSLKPGKKCKFWEWIDEEPENMKAIEEDTLSDFADYLKQVLEDVASVREEVKQLKVMIQILILLVIVKVMFWG >cds-PLY93572.1 pep primary_assembly:Lsat_Salinas_v7:2:173368298:173369142:1 gene:gene-LSAT_2X95841 transcript:rna-gnl|WGS:NBSK|LSAT_2X95841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKITDILLKLGFYVLQKFDSERMVIDIEGKELKVTTDSVHDMLGIPIGGTKLTQLDQWPKDDISYDEWKQQFKKDSIIRLSAIKNVIVSTTQADFNFKLNFLVLFVNKFCESTSMGRCNMFPLSYISRKTYISNIDWCSYVLDCLFRTKNSYIPYSDTNFFVGPSAFLVLFYADNIHSEALTVTHNVQQFVIEVHRRLDIERHLNKKKVDLDLEN >cds-PLY98428.1 pep primary_assembly:Lsat_Salinas_v7:7:89989797:89993693:1 gene:gene-LSAT_7X62580 transcript:rna-gnl|WGS:NBSK|LSAT_7X62580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTDSLFTLPDQTCQRFTLSEIQLATNNFDETLVIGRGGFGNVYKCDLSKIGSVSQVAVKRLHAMSNQGGHEFEAEVKLLSKLRHANLVSLVGYCIEGKEMALVYEFMPNGTLKDHLCKADCTLSWSQRLKICIGAARGLDYLHTGTSTQNGVIHRDVKTSNILLDANFAAKISDFGLAKVGRIDQTQTYVSTNVKGTFGYMDPCYFYTGNLTRKSDVYAFGVVLFEVLSGRQAVDSTLDEEQWGLAAWAQDQIKEGKYNQIIDRRLMGQISKKMLEGVCKLSKSLFTYPTKKAPYNGRGRVDMILDNAVGRKSVMPDEVVGKQSSTPDHAESRKSMMLDGEVAGTYEMPDGTVGRRSDFSGLNLQLSNNRSVRHFTYTEVVRATNNFKHTEHSSSLNELIYKGWVDERTYAPTKYGVGLTIYVRKKYIETWKLELELEDFNHPNLVKLLGYCSQWGEFYCLYELVRDTTCLDKYLFIEPGSTSLPWVLRLKIAVGAAKGLAFLHRRKHPAYSQFKTNHILVDMDFNARLSDFEVENLYATKERFGYVLDGLADEKSDQSNPILAGAGVKSEISAFGVVLLEILTGMKLYDEKSPSGKQNLVEWATIFLANEFKLGLILDPQLLHNGYPPNGAFKLAQLVINCLQSTQTGCPSMKEIVQVDCASVKLQIGSLVRLSPSSLQQMIEYFTLGNNAKRKQRHNQFTYVAHRIKNTGYANG >cds-PLY71976.1 pep primary_assembly:Lsat_Salinas_v7:5:121317420:121319254:1 gene:gene-LSAT_5X53600 transcript:rna-gnl|WGS:NBSK|LSAT_5X53600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCFAGDQVWLQPATPPKGGPTAGGSLPCPQFRPFIMEHVAQELNGLDPNNFSGQGSTNSSSGLNGNRVSPAGIARSGVQPFSRGATAMPTSSGLGSPGLGLRRAPGAVVPAHVRGELNTAIIGLGDDGGYGGGWVPLVALKKVLRGILKYLGVLWLFAQLPELLKEILGSILKDNEGALLNLDQEQPALRFFVGGYVFAVSVHRVQLLLQVLSVKRFHHSQQQQNQNQQQPNAITAQEELTQSEISEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKSLASQSASDTPPAQRSRIELCLENHTGVEALSPENATGNSPATKSNIHYDRGHNAVDFGLTVVLDPALIPHINAAGGAAWLPYCVSVRLKYSFGENPNVGFLGMEGSHGGRSCWVRVEDWEYCKQRVVRTVEMNGGGGGGGDGSQGRLRVVADNVQRALHMCLQGLRGGGVGGGGGGGVGGGGGGNVT >cds-PLY69915.1 pep primary_assembly:Lsat_Salinas_v7:4:69570614:69570932:1 gene:gene-LSAT_4X46841 transcript:rna-gnl|WGS:NBSK|LSAT_4X46841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMFCISFLVLFPCHQCNGYILSNVGVGKPPKCNIECKKVKNEDYCCCGFEDTPCSGSLDVCIKNFAAAKYCCVYTK >cds-PLY72342.1 pep primary_assembly:Lsat_Salinas_v7:8:79881928:79882659:1 gene:gene-LSAT_8X58141 transcript:rna-gnl|WGS:NBSK|LSAT_8X58141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHLPWLQSSLPCLLLLLLIFSHPSYGDVGTAAQYSPPFLPTACYGYDPGQFPSSNLFAAAGDGIWDNGAACGRQYLVRCISAAEPGTCIPEQVIQVRIIDYALQTASPPSYDGTTMVLSDTAFGTIANFTATSMNIEFQQYASRSQSLYFISPKKANYS >cds-PLY86746.1 pep primary_assembly:Lsat_Salinas_v7:5:60152028:60156778:1 gene:gene-LSAT_0X37941 transcript:rna-gnl|WGS:NBSK|LSAT_0X37941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNSIKKLVINDNYSSFVLLSKLIDLPFHSTDYHVKMKCMRRSFSKNISDKGDECGNLVEFGASTLNVNYSFSNFSFKDDDTSIQGKGRKRGVAPRGRGRGSTTAKRGRKSDNTSSSIQDMMMSKDDDDEDVPKKANKSQPREQTQMMFVDRMAGANIPSLAVMEQT >cds-PLY83356.1 pep primary_assembly:Lsat_Salinas_v7:1:63158602:63158923:1 gene:gene-LSAT_1X54301 transcript:rna-gnl|WGS:NBSK|LSAT_1X54301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATFVDLSFSADHHIDCFRFVDNFQSLLKSTATASGSSTSSDFCKGKQHQQHQVSNEDWNSL >cds-PLY66100.1 pep primary_assembly:Lsat_Salinas_v7:1:109516242:109517052:-1 gene:gene-LSAT_1X85660 transcript:rna-gnl|WGS:NBSK|LSAT_1X85660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKLFRTWSSPFALRVVWALKLKGIEYETIYEDLANKSSLLLKYNPIHKKVPVLLHNGTPICESLVILEYIDDTWNKTTPLLPKDPVARATTRFWAKFNDEQLAPSVFNAYTSQGVEQEEAKAPALKNLEIVEKQLKGKKFFSGETIGFLDLAFGWIANYLEIFEETSGIKLLDEERFPLIMLWKDNFYNIPIIKESWPDREKLVTKFKVMREYFLSVKASK >cds-PLY99128.1 pep primary_assembly:Lsat_Salinas_v7:2:11779154:11781245:1 gene:gene-LSAT_2X6441 transcript:rna-gnl|WGS:NBSK|LSAT_2X6441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIDEEETIEQAAAARRERLKALKAAQQLLENPEEDGAPNDKEDENGNDDEENDVNVKFRNYLPHDKQLQEGKLAPPKLPKFEDPVASEPPIEEEKKDPFLNIAPKKPNWDLRRDVQKKLDKLEKRTQKAIFQLMEQQEKEKQLAEEDGKDDDSGN >cds-PLY65316.1 pep primary_assembly:Lsat_Salinas_v7:8:104248645:104250173:1 gene:gene-LSAT_8X70600 transcript:rna-gnl|WGS:NBSK|LSAT_8X70600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTIWEKEDGDGYGGDCRTSALLPETQVGGGGENGSMFEGSTKSMRIVREEEEECNSSSSSSIGDDSDDDREGDVENHYAYDHHNNNGSFDDAIQALEQALPIRRGISSFYNGKSKSFTSLADAWPSTSSSNSSSNSIQDIAKPENAYTRKRKNLGAFKLSNINTGRVSKKPKTTTITTFQQSQVNRPQLSLRSFSMVNLHQCNPTKIENC >cds-PLY75059.1 pep primary_assembly:Lsat_Salinas_v7:9:21532489:21532647:-1 gene:gene-LSAT_9X19620 transcript:rna-gnl|WGS:NBSK|LSAT_9X19620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRVISHGSEMETRSDLELEDECYEEILVTGDRLEGLNAFSEKRKPLYKGG >cds-PLY80625.1 pep primary_assembly:Lsat_Salinas_v7:4:256312606:256313733:1 gene:gene-LSAT_4X135300 transcript:rna-gnl|WGS:NBSK|LSAT_4X135300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSSGATRKADTKLAVKKTTAKGKAAKDPNKPKRPASAFFVFMEDFRKQFKEDHPDNKSVAAAGGGNDDDNEDSDKSKSEVNDEADEDESDDEEDDD >cds-PLY77085.1 pep primary_assembly:Lsat_Salinas_v7:MU042616.1:604511:607872:-1 gene:gene-LSAT_4X76901 transcript:rna-gnl|WGS:NBSK|LSAT_4X76901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAVIDEEIGIWSIWCCILARVCGHPKVASLKTVPLLFPELCAELFDGNSASGNLSYATSQTPSGHGSSSFHVTPLHLMDTPSINIDEDDFFSNHTSEHFTQPSPSAASPSGNPNKRAKPSTPRPRAPSASPDPPSSASPKASITADDLALEMQKALRHLTQGPTIPQCLEKLELLELDPIDPLRFAAYHIFGGTMNMREMWVNLPNDPQILRGWIEMTATSLGVLKDGKIVR >cds-PLY66264.1 pep primary_assembly:Lsat_Salinas_v7:3:48029582:48030730:-1 gene:gene-LSAT_3X37841 transcript:rna-gnl|WGS:NBSK|LSAT_3X37841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSLVTTTTAVFSFLILYLANITAKHRHSTTNDHHHHHHDSSVSNPRLQQAYLALQAWKLVIYSDPFNTTSDWIGPSVCSYTGIYCAPYPNDTNIQTVAGIDLNHADIAGFLPNEFGLLSDLALLHLNSNRFCGILPLTLSNLTLLFELDLSNNRFVGPFPSVVMSLPSLKFLDLRFNEFEGPLPPELFNKNLDAIFINSNRLTSVIPSNLGSSTASVVVFANNNLGGCLPPSIANFANTMEELLLINTNISGCLPQEVGFLYKLRVLDVSSNKLVGEIPYSIAGLAHLEQLNLGHNMMSGVVPLGVCELPNLVNFTFSYNYFFEEEGVCGNLTSVGIVADDRRNCLPERPLQRSKKECDAVLEHPVDCFELPCGHTHS >cds-PLY80645.1 pep primary_assembly:Lsat_Salinas_v7:5:245664167:245664712:-1 gene:gene-LSAT_5X122320 transcript:rna-gnl|WGS:NBSK|LSAT_5X122320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLFGSRTNKPNPSFEGIVVGEKPLILQIIHAGGVVERHYMAFPASSIIDKYPNFVLARPEIFRQPWDSIVPPEEILVPGQKYFLVPLRTVKKLRRRLRNPIPTSYEISNSNSLICQDKNTPSIKSSLLVKPKPISCNRRVRYKGVDSKTPNAKGSRKKMIVRFAPSLTVIDETQGFDD >cds-PLY78923.1 pep primary_assembly:Lsat_Salinas_v7:8:3242839:3245340:1 gene:gene-LSAT_8X2181 transcript:rna-gnl|WGS:NBSK|LSAT_8X2181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSECVLHPYTLSISRVRSSLRSHQATGFFCLQAGGSPFVHLSAASSGGDVSHLTPTNLSPKTKPKGKRYSTISPKASKDTTPLSYKFPPMEKKPKWYWRSLACLPYLMPLHETWMYAETAYHLHPFLEDFEFLTYPFLSALGGLPSWFLIAYFIIAYLAIVRRKEWPHFIRFHVVSGMLLEIALQVTGTIWRWLPTAWYWGKIGMHFWTAFAFAFLFTVLECVRCALAGMYADVPFVSDAAYIQIPYE >cds-PLY62621.1 pep primary_assembly:Lsat_Salinas_v7:8:108788500:108792255:1 gene:gene-LSAT_8X76040 transcript:rna-gnl|WGS:NBSK|LSAT_8X76040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGEKGGLIQKLIAIVNEISAISDYQYTVKKLFSNLARRLKLLTPLFEEIRDIKEPLSDDSHQALVSLMEAMESAKELLRIGSEGSKIYLVLERDQIMTRYQEVTARLEQDLSGISFDNLDISDEVKEQVELVLAQFRRAKGRIDPPDVELYEDLSSLYNKNINVEEDPAVLNRLAEKLHLTEITDLTHESIALHEMVTITGDPEETVEKMSSLLKRIKDFVQTETHDPKVETSHLPSCTQGTVVKNLKNSIIPDDFRCPISLELMKDPVIVSTGQTYERTCIEKWLEQGHSTCPKTQQKLTSTALIPNYVLRSLIAQWCETNGMEPPKRAKQSASACTPAERSMIENLLRKLNSISPDDQRTAAGEIRLLAKRNADNRVAVAEAGAIPLLTHLLTAPDSRTQEHAVTALLNLSICEDNKGSIVSSGAVPGIVQVLRKGSMEARENAAATLFSLSVIDENKVTIGSLGAIPPLVLLLSEGTQRGKKDAATALFNLCIYQGNKGRAVRAGVVPMLMELLTEAHGGMKDEALAILAILSSHPEGKLAIGKAEAVPVLVEVIGSGSPRNKENAAAVLVHLCSGDQKYLVEAQELGVMGKLMDLLHHGTDRGKRKAGQLLEKITRLVKQKLLAPGDHLPTEAHPQLPSNS >cds-PLY78345.1 pep primary_assembly:Lsat_Salinas_v7:5:209930399:209931458:1 gene:gene-LSAT_5X95300 transcript:rna-gnl|WGS:NBSK|LSAT_5X95300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSILASNGMVLATAMAAVSGTVLLLAFRLQKQPSTAAVTTVQFSIIPHPRPCISSDGKKREKKHKKVHFAEDVMEPSGNGEEFRRRLQSKNLSQNRCYSSNLKDEGAQKKIVKNEGIPANRMALYSGILRDRGLHRGSYC >cds-PLY72133.1 pep primary_assembly:Lsat_Salinas_v7:7:57584215:57584479:1 gene:gene-LSAT_7X42140 transcript:rna-gnl|WGS:NBSK|LSAT_7X42140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGEFVLTQEKIQILKAGKIYSFNEGNYQLWDDMLKIYMDDPKDPGSTRKPYSAWYICSLVGDFHQDTLVTKRARTGSL >cds-PLY63847.1 pep primary_assembly:Lsat_Salinas_v7:1:137019964:137020877:1 gene:gene-LSAT_1X98660 transcript:rna-gnl|WGS:NBSK|LSAT_1X98660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLDPKTSKVPQHFKTKIFLVESDRYQLGVHCISMVLSVCQRPLCLTLSKYEREKRIANHLKNRVDDACDRQTDKILSGSSIQSPQRVADIAILGLPILLFSQSR >cds-PLY74893.1 pep primary_assembly:Lsat_Salinas_v7:2:87131167:87131736:1 gene:gene-LSAT_2X38260 transcript:rna-gnl|WGS:NBSK|LSAT_2X38260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSHCPTDRNFILVDFHYNGTFVPNPLVYFDPDIQSVGDVDFSAFEYEEIMEFLQKLTRTRSKDIYFCLPQESSSQGIHTLVNDGDYKEFLDLDYANERRMNVYVDHYNEPIFEWIEEEENEDQYYRCEEDEDLILSDTYSVDYVEDDGEYPFPANKTMGDRFLNKLCLHTLNVDDLYEDAEYVQPQS >cds-PLY96533.1 pep primary_assembly:Lsat_Salinas_v7:5:336297595:336310565:-1 gene:gene-LSAT_5X188581 transcript:rna-gnl|WGS:NBSK|LSAT_5X188581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVGRRLTIILYCIVFHESIIILQSAITIILGLQVNVTLFGDIAYQLISYLEAHKQVARVIVLLQFARINVYNATPSVNSYYEQTRMFINANLPEIVTFTDSLVGLRGLQNPSASLTVESSKSYSESDDFLNNYKVKNVVDLIEPQEVGQYIIVGTIYGIRQDIDWYYDACTNCGKKVETEDVFSGPDSGDASVVLKCNGHNCKNKKISSVPRYKIPIRVQDDSGTITLTLFDRDAYRLVKKRARDLLDQIKQAGDNPRLYPYDLKCLEHKKMAFKIDVNSFNVSNNYNRFGILGYTVDSNVIDALEKKLAVEAGSPANNDDTEIASHEVSHETKSLKDAISQTGDNLTPTMAGKFEATSPFKYNSPTIVKKRNVGDTIDVDDYDNVNSSTKVPRLNSKVDGNTGLLIPKLEK >cds-PLY70442.1 pep primary_assembly:Lsat_Salinas_v7:1:73069666:73075088:-1 gene:gene-LSAT_1X64500 transcript:rna-gnl|WGS:NBSK|LSAT_1X64500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEECDNGESDCGFVRDVKELEQKLNGAKYRNDSLEINVERHKTKDPPNKTLPVRKSFPTILKDTTGSTWNAGGGFRDERSFAEVTRHKSRPPSSLQAPPPPIQLEDDDSMDR >cds-PLY86685.1 pep primary_assembly:Lsat_Salinas_v7:4:320181151:320181866:-1 gene:gene-LSAT_4X159740 transcript:rna-gnl|WGS:NBSK|LSAT_4X159740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIFPSTGYHVSFNGYLDDIFSDFPPQNPLLFSSSDNSTQKTNGSSSGSDDCGTNQWAVNMNDERRRKRMISNRESAKRSRVRKQKHLENLKNQVTRYTMGNEELKNRLRFVNHNGQLLKRENDRLRSASLMLQQTLSNLHQLLLVEDLHYPLL >cds-PLY87183.1 pep primary_assembly:Lsat_Salinas_v7:5:154310317:154311748:-1 gene:gene-LSAT_5X68001 transcript:rna-gnl|WGS:NBSK|LSAT_5X68001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHVDHASHQNTIDIVKEYNAQFNEKVIVIMLDTKGPKVRSGDVAKPFSFMRGKNSISPLKEESAQTTLSIFPLKAVKVMHTAALRIKSSPRVNSNSVSLSRHLAYKSHMGEIFAFHAATIANTVATPIIVYTRTESMAMILSHFRPSSTIFAFTNE >cds-PLY85877.1 pep primary_assembly:Lsat_Salinas_v7:5:42631267:42631611:-1 gene:gene-LSAT_5X20360 transcript:rna-gnl|WGS:NBSK|LSAT_5X20360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKDFLIVTLDVKVSRLENENSVKDAKISELQANLGGITALLFDLKQRLHQRFGDDFQPLSSKGEKIIASTSNLVNPSSQGVSERVVRPAPYVNLHTFLSSSPSFAQERREKQA >cds-PLY79052.1 pep primary_assembly:Lsat_Salinas_v7:3:8035764:8038645:-1 gene:gene-LSAT_3X5980 transcript:rna-gnl|WGS:NBSK|LSAT_3X5980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKDRQIEEWNGYYINYKLMKKKVKQYARQIEAGGVERRYVLKDFSRMLDNQIEKIVLFLLEQQGLLASRLEALGLQQDALQQEPDFVKINELREAYREVGRDLLKLLFFVEINAVGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAISRNLAELQDRQGSYLSIYDQPALPLQEPIIDTIIAAVDRLSYSTNFLTFLGQHALIMQEELPTPLEEHIDDERYHFMSLMLNLVNTFLYMVNTYIIVPTADDYSMSLGAAATVCGIVIGAMAVAQLFSSVYFSAWSNRSYFRPLIFSSIVLFMGNVMYAMAYDMNSITVLLLGRVFCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQVKFKFYKITIDQNTAPGWIMSFSWLIYLIWLWISFREPGQPRDENHAPESTTAPTNSDVLEKGSTTQPLLISSKPHNDEEDEDQECDVSEETTEDSRAPVNSIGAAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVVTTYYFGWTTGKVAIFLACLGLTVLPVNMIVGSYISNMFEDRQILLASEIMVCFGILMSFHVILPYSVPQYVVSGLIMFVSAEVLEGVNLALLSRVMSSRLSRGTFNGGLLSTEAGTIARVIADGTITLAGYLGESKLLNVTLLPSLVICIMSIVATCFTYNSLY >cds-PLY81175.1 pep primary_assembly:Lsat_Salinas_v7:9:21023070:21024992:1 gene:gene-LSAT_9X20000 transcript:rna-gnl|WGS:NBSK|LSAT_9X20000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVQDVLRSLAAGISPSCHGFSITRTLATSSASAIDPYANTNTSETLDDFERSIFGDLPGSSLTSSSFFQKLDQQKKALDRSRMNGPSRRSGFLDGLDDSFTSLTDGMDGKLKEAATYFEYDMNEIEKDDYKFRSDVTFWPGNTYDVKDLDLTKPGIRKPSKRLEFEVTTQEVLQKADFRNVRFLANFITEAGVIIKRSKTKISAKAQRKIAREIKTARAFGLMPFTTMGTKHFIYGRTMQDLDKDYEYEVYEQNFVDSDAS >cds-PLY65160.1 pep primary_assembly:Lsat_Salinas_v7:5:249584192:249584548:1 gene:gene-LSAT_5X124061 transcript:rna-gnl|WGS:NBSK|LSAT_5X124061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALEEGDISEGFKNMSQAGDGGGNDLTKGLWTPKEDALLVKYVTENGERRWNDVSRKFGLMRCGNSCRLRWFNHLRSNLKKEDFTPEEENTILKLHAKYGNRWSHLARHVHFSPFFSL >cds-PLY94989.1 pep primary_assembly:Lsat_Salinas_v7:5:5371174:5373732:-1 gene:gene-LSAT_5X3140 transcript:rna-gnl|WGS:NBSK|LSAT_5X3140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRIKRVPTVLSNYQKEESEDAGATGGCGRNCMRDCCLPGAKLPLYAFRKSDKVESSDKKEPPFAFLDSLLLGEWEDRVERGLFRYDVTACETKVIPGDYGFVAQLNEGRHLKKRPTEFRVDKVLQPFDRSKFNFTKVGQEEILFQFESSEDDEVKFYPNAPVNINNSPSVVAINVSPIEYGHVLLIPRILECLPQRIDHESFLLALYMASEAGNPYFRVGYNSLGAFATINHLHFQAYYLAVTFPIEKAPTRKITDFNGGVVISEILKYPVRGLVFEGGYSLEDLSNVVSDSCMCLQDNNIPYNVLISDSGRRIFLLPQCYAEKQALGEVSSELLDTQVNPAVWEISGHMVLKRKEDYDGASEENAWRLLAEVSLSEERFQEVIAIIFEAISCAVVVTEAGSLGDEDELRDVEDVDQVRDVDGSGSHKAGIVAGKQECMVQH >cds-PLY66541.1 pep primary_assembly:Lsat_Salinas_v7:4:341201476:341201967:1 gene:gene-LSAT_4X169041 transcript:rna-gnl|WGS:NBSK|LSAT_4X169041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIIWYLEDVRMVPTGSKVELLRESGLVLTDPQGTQVWRSGFISGVASGFLNDTGNFMIFGGNSSKMWSSFDYPANTLFPTQVILRGGVIISKMSKTNFSGGRFLFQLLEDGNLVLNTQDIPTVNVYDAYSIGNHTHHQPLTGNSISESLQEYILLLHWSFEI >cds-PLY95287.1 pep primary_assembly:Lsat_Salinas_v7:8:112868905:112869452:-1 gene:gene-LSAT_8X74541 transcript:rna-gnl|WGS:NBSK|LSAT_8X74541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHHSIMEMPVVDAVYGAEIRKARRDLHHLISSKSCAPIMLRLAFHDAATYCKETQTGGPNGSIRKPEEFEQSVNKGLKTAIDFCEQIKLKHPMISYADIYQVISIIFSTETNGFWFF >cds-PLY77206.1 pep primary_assembly:Lsat_Salinas_v7:8:25498820:25499450:-1 gene:gene-LSAT_8X19401 transcript:rna-gnl|WGS:NBSK|LSAT_8X19401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLHGYISPEYAVHGRFSIKSDVFSVRVVVLEIVSGKKNREFSHEAHSDNLLGHAWRLYKEDKSIELMSASLRNSCVVSEIQRSIYVGLLCVQHHAKDRPSMLLLMLISEGALPAPKQPAFFTEESYQEVDIVSSLEEYTITLLHGR >cds-PLY94900.1 pep primary_assembly:Lsat_Salinas_v7:4:111742928:111748312:-1 gene:gene-LSAT_4X70101 transcript:rna-gnl|WGS:NBSK|LSAT_4X70101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESNESCSSRSAESSPPRTVANSGNGNRQQMKKLEVYNEVLHRLKESCNDEANEPGFDDELLAHFNRLPTRYALDVNVERAEDVLMHKRLLQLAHDPANRPAFEVRLVQVSPTTDGSSDELVYSSSPKHQSIHPPPAFGSSPNLEALALEASESQIRNGDSAVNSYQILPRPMHEITFSMEDKPKLLSQLTALLAEVGLNIQEAHAFSTVDRYSLDVFVVDGWPYEETDQLRTALERELFKLEKRCWPNQQSLSASSELDQTVMPSQLNLTIPNDGTDVWEIDSRFLILDHKVASGSYGDLYKGTYRSQEVAIKILKTERVNSDLQKEFAQEVYILRKVRHKNVVQFIGACTKPPSLCIVTEFMSGGSVYDYLHKQKGTFKLPTLIKISIDISKGMNYLHQNNIIHRDLKAANLLMDEHEVVKVADFGVARVKTQTGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGVVLWELLTGKLPYEYLTPLQAAVGVVQKGLRPTIPKNTLPKLAELLERCWQQDPTLRPDFTEIIDILNQIAKEVGEEVDDRRKDKGFLSVLRRGNQ >cds-PLY82089.1 pep primary_assembly:Lsat_Salinas_v7:1:128532877:128539786:1 gene:gene-LSAT_1X96600 transcript:rna-gnl|WGS:NBSK|LSAT_1X96600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGQLVGDIGGLTALTSLDLSFNGGLTGSISPRIGDLKSLSILILAGCSFTGNIPPELGNLQELSFLALNTNNLTGEIPSSLGSLSKLYWLDIAENHITGSIPISTDTKPGLDQLKHAKHFHFNKNRLSGGIPEQLFNGDMVLIHVLFDGNQLTGKIPSTIGYVQTLEVLLDRNTLSGDVPLNLNNLTSLSELNLAHNNLYGDLPDLNGMNALSYVDLSNNSFHGSDPPIWLSTLPSLSTLVMEFGSLKGKLPQDLFSLSGIQQVKLKNNKLNDTLNMGSSISEQLQLVDLQSNEIEAVTLSSEYKNTLELSGNPVCDTALAHTAYCHVQQQTSKAYSTSLANCGSKSCPLDKKLSPQTCECAYPYEGTLYFRAPSFRELSSVNIWHSLEMSLWVKLGLTPGSVSLQNPFFNTDDYLQVYLQLFPGKGNHFNRSEVRKMGFYLSNQTYKPPAGFGPYFFIATPYDFFEVHGGTSIGIGTIIGIATGSTLVVMMLIGLFVYAIRQKKRAEKAIILSRPFASWASSGKDGGGAPQLKGARWFSYDELKKSTSNFSHTNLLGSGGYGKVYKGTVLGGQVVAIKKAQQASMQGGLEFKTEIELLSRVHHKNLVGLIGFCFEQGEQMLVYEFMRNGTLRDSLTGKSGIYLDWKRRLRIALGSARGLAYLHELADPPIIHRDIKSTNILLDEYLTAKVADFGLSKLISDSEAHVSTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVVMLELVTARPPIEKGKYIVREVKTKMDTTDEEEYGLRALMDPIIKNMTSLIGFKRFIQLAMQCVEDCATDRPTMSDVVKALENILTNDGLHTDSTSASSSATEFGSLKGAIKHPYSEATLKRNDSYGFDYSGGYSISAKVEPK >cds-PLY81117.1 pep primary_assembly:Lsat_Salinas_v7:9:68502729:68503199:1 gene:gene-LSAT_9X57560 transcript:rna-gnl|WGS:NBSK|LSAT_9X57560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARCVEDLIHGNNQDSITFKAKVWLNFCQLMKRKYCNLDSLYSKWITANRATMEFNGIYLNAICNPQSGVTEVDVMAKVRQIYKAKVGRAFSSEYFWGFVKGNRKWIDLKSPDNHVGMSKRSKTLESTHSQSSDARIRDINLNSDEPYEVPTLPMG >cds-PLY99250.1 pep primary_assembly:Lsat_Salinas_v7:6:184560709:184564021:1 gene:gene-LSAT_6X112720 transcript:rna-gnl|WGS:NBSK|LSAT_6X112720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVVQVIDAADLMPKDGQGSASAFVEVNFDGQRQRTQTKIRDLNPAWNETLVFNVEDPRNLPFSTIEVTVYNDRNPNHQKNFLGRVRISGDSVPLSETEGFVQRYPLDKRGLFSNIRGDIALKIYAVHGQFSSNLPPPAQPPPPQQHHHARHEDDWNDHFKSTKQVPVEAEINNNHFPFENEYKEYDDSDAKKKKNKEKQKEVRTFFSVGTGGHGGAPPPVPAAPPPVTVESKSNFMRAGPPNMMHMHQQFPGQKPPPNFGLVETRPPVAARMGYRGADKTATTYDLVEQMHFLYVNVVKARDLPSMDVTGSLDPYVEVKLGNYKGVTKHHEKNHHPVWNQVFAFSKERLQSTILELVVKDKDLITKDDFVGKIRFDIVDVPTRVPPDSPLAPQWYRLEDKNGVKVRGEIMLAVWMGTQADEAFPGAWHSDAHGISHHNLANTRSNVYFSPKLFYLRIHVIDAQDLIPVDRSRHPEFYVKINLGSQMRTTRISQIRSLNPSWQEELMLVASEPFDEFLIISVEDRIGPGKDVCVGRTNVPVRRIPQRIDYRKALEPVWIDLGRPTHWDDDSEKKKEVKFSSKIQLKLCLDAGYHVLDESTHFSSDLQPSSKFLRKQSIGILELGILSAQNLLPMKIREGRMTDAYCVAKYGNKWVRTRTLLNTLTPRWNEQYTWEVYDPCTVITVAVFDNCHISDKDESRDQRIGKVRIRLSTLETDRIYTHYYPLLVLTPNGLKKHGELHLAIRFTCMAWVNMVAQYGRPLLPKMHYVQPISVKHIDWLRHQAMMIVATRLARAEPPLKRENVEYMLDVDYHMWSLRRSKANFNRIMALLSGVTAVFRWLDGICQWRNPLTTILVHVLFVILVCYPELILPTVFLYLFVIGLWNYRFRPRNPPHMDARISQAEVVHPDELDEEFDTFPTSRPNDIVRMRYDRMRSVAGRVQTVIGDMATQGERAMALLSWRDSRATAIFIIIALVWAVFLYVTPFQVVAVLFGLYWLRHPRFRNRMPSVPFNFFRRLPSKSDLLL >cds-PLY92508.1 pep primary_assembly:Lsat_Salinas_v7:2:152382669:152383127:1 gene:gene-LSAT_2X76341 transcript:rna-gnl|WGS:NBSK|LSAT_2X76341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLYSSSSTSSWTPQQNKLFERALAVYDRDTPDRWQKIARAVGGKSAEEVKRHYEVLIEDLRHIESGNVPFPNYRS >cds-PLY85084.1 pep primary_assembly:Lsat_Salinas_v7:7:7181682:7182771:1 gene:gene-LSAT_7X8121 transcript:rna-gnl|WGS:NBSK|LSAT_7X8121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLTSHPYCWKLARDLLVNALQFIMHPYGLSPDLTIKVFSMLDTRSVFCAAATCSFFQKCAIDPLCYINIELGTLESKIKPNKDPKTKDAMVSTIIQRAGSAIQSIKLDIKWPYISEADDDEQWEYMEGPPFLTGCCLSSLCANGGVAGYVLIILE >cds-PLY93746.1 pep primary_assembly:Lsat_Salinas_v7:6:147761070:147771565:1 gene:gene-LSAT_6X88680 transcript:rna-gnl|WGS:NBSK|LSAT_6X88680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILRSSVAQLHHHHHQSNQPSRVLPRKSSSENNRIKFPISPHTLSLSYSDVQLKRWDSRLVVHRRVSGEFSDGIIDQDEHEPPNYAQISPQRLEHKTSFVEIPKTTNSLLPQVVLASTILVLIFPPSYTWFTSRYYAPALCFLMFAVGIYSNEKDFVEAFNRPQAFFARYLAQFVQKPFIGYLFGTLFMTICGFPTSLGVGIMLTSCVSGAQLSNYATFLTDPMMAPLSIVMTSLSTATAVFVTPLLSLLLIGKRLPVDVKGMVSNILQIVVSPVAAGLLLNRFLPKISSAIRPFLPPLSVVVTSLCVGAPLAININSVLSAFGISVLLVVIALHLSAFVLGYTFTGIAFHNSPDVKPLQRTLSYETGMQSSLLALALANKFFQDPLVGVPPAISVVIMSLMGFSLVMIWGKKKKV >cds-PLY71149.1 pep primary_assembly:Lsat_Salinas_v7:9:82243322:82243807:-1 gene:gene-LSAT_9X65721 transcript:rna-gnl|WGS:NBSK|LSAT_9X65721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKIQEVIFDECNKLLDEISKMREKNKKSLNRAFGELKSEHENSLKSLNKSITKSREITLQNELTKTLACIEFLRFYSNVVHLEELAKQVEAQMANAINLTLKMVASHLKPLQPVIKTYPRSVLKVSLSHLKQGGEGERISISRFQKSFLYHPKVFLQFNL >cds-PLY98980.1 pep primary_assembly:Lsat_Salinas_v7:7:52068974:52069833:1 gene:gene-LSAT_7X37940 transcript:rna-gnl|WGS:NBSK|LSAT_7X37940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEHKNVYRTIKDSSGNNLLHLAARLAPSNKLNLISGAALQIQRELQWFKEVEGFGCPLNIIQKNSFGETPHMVFTREHKDLVVEGEKWMKATAESYTITAALITTIVFAAAITVPGGNNQDTGIPLFTNIIAFRIFAISDAVSLFMAVTSLLMFLSVLTARFAEQDFLFKLPTKLIIGLATLFISTTTMILAFGATLYLVFGQSNSKIIIPIALLTCLPITSFVTLQFPLVIDMISATYGRSIFGKKRDKPFY >cds-PLY92267.1 pep primary_assembly:Lsat_Salinas_v7:2:209331250:209331874:-1 gene:gene-LSAT_2X129721 transcript:rna-gnl|WGS:NBSK|LSAT_2X129721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLTTTKKPSTSSRRKQEEETEMAMKEQSKTPQLCKTGCGFFGNPNTRNLCSVCFNIELKKETCKHTDRIVAHVNILPDKSPSNNNHQSLNIINTKNRCRVCNKRVGLIGFSCRCGRTFCGLHRMPEEHVCDFDFKTAGRAVLEEQNPVCVADKLESRV >cds-PLY73705.1 pep primary_assembly:Lsat_Salinas_v7:8:170789224:170791225:1 gene:gene-LSAT_8X111501 transcript:rna-gnl|WGS:NBSK|LSAT_8X111501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPEVLSSILHKCSKTRAFRYGFSLHAVAVKMGLESNVIISNHVLNMYAKCGKMEFARQVFDDMPERNLVSWSAMISGYDQAGKPLEAIELFARMKVDLPNEFVFASAISACASLSAVNIGKQIHTKSVILGYSNISFVSNSLVSMYMKCKQCKDALSVFTKSSEPPNTVAYNAIITGLVEHEQVEKAFEMFKLMIQQGLVPNHFTLVPLLGNCSTTDNLRIGMELHCEAIKLNLDSIPFVGNVLIKMYSKFTQIEEAEKIFWSIKEKDMISWNTFITACNHALDHAKGIIVFKNMIETHSSIPDEFTYTSALSSCSGLTSSLLGRQIHGNLIRTRFINHDMDIGIANALINMYAKCGSIRYAVTYFNQMSFHNLVSWNTLMAGFANHGLGKEAMGLFKQMKGLHIEPDSVTFVALLAALNHTGLVNEGKFYFNEMMKTYGITPNVEHVSCIVDLLGRSRRVKEAEEYMERFCFEDDPVVLGCLLSACRVHGDLVIGKRTAGRLLKIEEISSSPFVLLSNLYASESMWEGVADARKQLKDSLLKKEVGHSLIEVKGCVEKFTVGRICHSRIEEILGVLKVLSFLDHDYSWCY >cds-PLY70262.1 pep primary_assembly:Lsat_Salinas_v7:4:205209638:205209967:1 gene:gene-LSAT_4X116320 transcript:rna-gnl|WGS:NBSK|LSAT_4X116320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSTTKLFMHTLCVTLFILIIISFQAFVSSSTAQEPDPPPNPRQKHEPAAPPSPAQQKEEIEDYGDWNSPPYTGGGGRNTGGPIPHPWVDEEEEEEAANVSDDIHLLA >cds-PLY99324.1 pep primary_assembly:Lsat_Salinas_v7:1:69864614:69866676:1 gene:gene-LSAT_1X59501 transcript:rna-gnl|WGS:NBSK|LSAT_1X59501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFHLPLLPAERLAFRSCGNELLVVGGQQEARKLKDRHFKEPIQVVDDKIVLAARKSNFSMDKDPEFNSKHVTVISNDSKVIKKILKEGEGALTLNEGAFVLTMLEYETVFEKKSFDGDTPLNFITDKVDYVFEEGQIGDANVVVNGATVNLGLCDTIGQEDYNKLDLQDDKYFFVKYPGATPITNAQVFSIHQSVLLLKVSCCFGIISIVGLLFLA >cds-PLY89692.1 pep primary_assembly:Lsat_Salinas_v7:8:42111276:42112267:1 gene:gene-LSAT_8X32340 transcript:rna-gnl|WGS:NBSK|LSAT_8X32340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGKKRREINYKEADGVGKNRLPPPPVRSANSCHIPSALTMAISGSGKLTVDVEEHKRGGNGHGDGNLIENKLGAYEKPDFVVSGTRLKIMMFSLSIIIYLNNVKFNSF >cds-PLY83239.1 pep primary_assembly:Lsat_Salinas_v7:8:251858371:251864328:-1 gene:gene-LSAT_8X147700 transcript:rna-gnl|WGS:NBSK|LSAT_8X147700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLVDDVLDAGENLGRFEVPGHNDFSRKMKIGRTLNWDKTKGNNLRQLLVSTYTNCGDERISRGDILERIFGGNFLSISGDVVTGKGVAAKELRFPHKKCVLDRSLVKCHRISELVHGVRLTMDSDEDNVEQGSTELKYLEFLNKIVALFLGLLSNVYTFIKEHIGISEKTIASVESTVGSAVGPLVNKLTDFLQSILVFVDNKIDEYAPSSLKSLLGNLHSQITNPTDTANAALTKTKSLLGGIPILGNVLGGVTDSISNTTDAAHELIDKTTSLVTDNPLSQALNTAAGKMMEEAQSAGKKAALKSVYASLTLLLLPLIAEVWYKANTKEWFRRLANLILPLIEKLCNAYNDLVEKMVDKGYSFFDYLPTIPIKEMEAAYKLVKTKNDSLAAVAGGIEDVGEMASNIVGNIPLVGGIASSITETADHMIDNTASLVMDNPLSQAVESAADNMIQGTEEFATKAALHSVYSALTLLICPAIAEVCYKLSQYKIIKAMGKAILPLIEKLCEWYNQKLEDLDEQGYSFFGYLPTMPIEEMKVAYMLVKTANAGLETVGSGLSAVGDVVGLNDDEE >cds-PLY83491.1 pep primary_assembly:Lsat_Salinas_v7:3:176893266:176894417:-1 gene:gene-LSAT_3X104821 transcript:rna-gnl|WGS:NBSK|LSAT_3X104821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26710) UniProtKB/Swiss-Prot;Acc:Q9LSE4] MAVAKLLLPSSLNLPSKLKITRESPSLHNQPWSLKKNKRRHPPGISSSLHETATIAVQTPLFVLADAAVGYSSASYYTSLGLFVISVPGLWSLIKRSVKSKVVQKTFVEQLKEGKKKEPNQIAGEILSFFTRNNFSVLDKGETITFEGTMVPSRGQAALLTFCTCISLGSVALVLTITAPDVGNNWFGLIVFSPLAGAYYWKRASRKEQIKVKMMVAEDGTLSEIVVQGDDQQVEQMRKELQLSEKGMVYVKGIFER >cds-PLY91988.1 pep primary_assembly:Lsat_Salinas_v7:7:90875925:90879381:1 gene:gene-LSAT_7X62840 transcript:rna-gnl|WGS:NBSK|LSAT_7X62840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSTNSNGKESQPSTECSQACRKFEFPEILLATANFDESLVIGKGGFGKVYKGNIINGKSLVVAAIKRLDSMSTQGATEFWAEVEMLSKLRHCHLVSLFGYCNHEKEKILIYEYMPNGTLEDHLHKLGTPLSWLQRLKICISAARGLDYLHTGTGIDVGVIHRDIKSSNILLHESWAAKISDFGLSRIGPTNQPSTYVNTLVKGTFGYLDPNYFTTGRLTRKSDVYAFGVVLLEVLCRKRAVDRSLDEEQWGLVTWAQGSIKEGSLKNIVDSEIRGQISTKCLKEFSRIVERCLLSNPKQRPTMAEVVVSLDSLMILQEKTNTSSQGVGKTICGKMFDMFPSTLDGENSVPGDSKVSDNYKAKNRLAGDTLGSNNIDCTSAIPSLKVFKFSDLKKATRNFSQDLCMDDFGEVFLGWIDKKTFAPSTQSTGFAVAVKRSKDLQQWQNVVTVLGLLAHSNIVSLLGYCDDKEHKYFLVYEYVQNQKLSNFLFRGVVQQLSWGTRLMIMIGVANGLAYMHMSKHQVIHGFLKSCSILIDQDFNAKLGSFEMARFCNDIGNIDGELSMKTDIYSFGVILLEILTGQRALDSKRPPYQFDLVKWTTPFLADRRELKKIIDPRLEQNYPLERAFECAALALRCLAKDPKDRPTSEEVLWGLEQIYVVNK >cds-PLY94386.1 pep primary_assembly:Lsat_Salinas_v7:6:9159926:9162247:-1 gene:gene-LSAT_6X6340 transcript:rna-gnl|WGS:NBSK|LSAT_6X6340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLKLGVDVAGAHNLLPKDGQGSSSAFVELYFDGQKYRTTVKEKDLDPVWNESFYFNISDPSILSNLTLDAFVYNNVKDTHSRSFLGKVSITGTSFVPYSDAVVLHYPLEKRGIFSRVRGELGLKVYITDDPSIKTSDPIVNMAQNTKSVHEPVKNSEKRHTFHHLPHETQTQAPANTGVPPAPPPAMRYGYEQIKPNPPPQPPKLVRMYSESSSQPVDYALKETSPYLGGGRVVGGRVIRTDKASSTYDLVEKMHFLFVRVVKARDLPSMDITGSLDPYVEVKIGNYKGVTRHIEKNQNPMWNIVFAFSRERMQASVLEVVVKDKDLVKDDFVGFVRFDLNEVPLRVPPDSPLAPQWYRLEDKKGDRIKSELMLAVWIGTQADEAFPDAWHSDAATPVDSSGAASTLIRSKVYQAPRLWYVRVNVVEAQDLVPTDKSRFPDTYVKAHIGNQVMKTKTVQARSLNPLWNEDLLFVAAEPFEDHLILTVEDRVGPGKDEILGRVIIPLAMVEKRADDRIIHSRWFNLEKPVAVDVDQLKKDKFSMRIHLRICLDGGYHVLDESTHYSSDLRPTAKQLWKPTIGVLELGILNAVGLHPMKTRDGRGTSDTYCVAKYGHKWVRTRTIVDNLCPKYNEQYTWEVFDTATVLTVGVFDNSQLGDKGSNSKDLRIGKVRIRISTLEAGRVYTHSYPLLVLNPNGVKKMGEVHLAIRFSCTNFVNMLYTYTRPLLPKMHYVRPFSVMQLDMLRHQAVLIVXXGPQPPRHSGKRWWSTCQM >cds-PLY99196.1 pep primary_assembly:Lsat_Salinas_v7:4:368038010:368038540:1 gene:gene-LSAT_4X180320 transcript:rna-gnl|WGS:NBSK|LSAT_4X180320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIFNHCRSLKIQTRVESNHDYLLFISDDSINTSSVVRYLFKVKDLVFGKRRMLMGKMFVSLEGSLVVNGGGCDLGERKTSMEEDW >cds-PLY72997.1 pep primary_assembly:Lsat_Salinas_v7:9:37481520:37483795:-1 gene:gene-LSAT_9X35100 transcript:rna-gnl|WGS:NBSK|LSAT_9X35100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKYVLGKQHIANDLHLLNSSPLANLLDLCLKSKSSTKQTQQIHARIIKSRFHSETFILNRLIDVYGKSGSVDDAYKVFDQMPERNIFSWNAVLSTLTNAGLLDEANKVFDQMPVTDQCSWNSMVSGFAQHDRFDESVEFFVHMHSQDFVLNQYSYGSALSSCAGLRNIKMGTQIHASIHKSPYERNVYMGSALIDMYSKCGSVDSAQKVFDSMTSRNVVSWNSLITCYEQNGPPSEALHVFTNMMNSKIQPDEVTLASLISACATLANLNLGREIHNQVIKSNKLTKDLVICNTLVDMYAKCGRISEARWIFDTIPSKNIISETSIVSGYAKSANLETARSMFVSMADRNIVSWNALIAGYTQNGDNETALGLFLQLKQEGVFPTHYTFGNLLSACANLADLRLGQQSHTHVLKHGFKFESGPESDIFVGNSLIDMYVKCGSVEDGKRVFRKMVHKDWVSWNAIIIGLAQNGYGSEALEFFKEMLESGEKPDHVTMIGVLSACSHGGLVDAGRGYFNKMRSEYGIEPMTDHYACMVDLLGRAGFLDEAKNLIDTMRVPPDAVVWAALLGGCKVHGNIELGKEVAEKLMEIDGKNSGVYVLLSNMYAERGNWGDVKRVRKVMKEKGVVKQPGCSWIEVEGKFHVFMVKDRRQIRKKQIYFVLRGLTDVMKLFGYVPDVYDLEANEEGCLELNESQELDNFQMID >cds-PLY70323.1 pep primary_assembly:Lsat_Salinas_v7:4:97956808:97958097:1 gene:gene-LSAT_4X63201 transcript:rna-gnl|WGS:NBSK|LSAT_4X63201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTTNVDPYNPTNNEETSQPLLQIQSLNLRLLPDAVKAQKKNKKIDQPKPVQEHVEKEVSKPVQEHVEKEVSKPVQENVEKEVSKEVIPSKIGFLKCTKKPTKIPQHSHVRPSVPEVEVETTTKSQHTHSSTKGVKKIRKPQINRRGVLLREIPAHV >cds-PLY89352.1 pep primary_assembly:Lsat_Salinas_v7:5:70201305:70214017:-1 gene:gene-LSAT_5X33600 transcript:rna-gnl|WGS:NBSK|LSAT_5X33600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSNLYTLLQSSPYCPSSLLGSFKPNVQTMQSPMNRAYSGDQLSIPRLKFEGKRRLSVVKSIPKAEINDSSTVEVKATEDVKEIVNPVYVPTPSNRPLRTPHSGYHFDGTTRKFFEGWYFKVSIPERKQNFCFMYSVENPAFKKPLNGLEQLQYGQRFTGVGAQILGANDEYICQYTEESHNFWGSRHELKLGNSFTLQNGKKPPNNEVSPQVFNQSVVEGFQVTPLWNQGFIRDDEKTPYAKTVKTARWEYSTRPVYGWGNVGSTQKSTAGWLAAFPVFEPHWQICMAGGLSTGWIEWGEERYEFQNAPSYSEKNWGGGFPRKWFWVQCNVFKGASGEVGLTCAGGLRQLPGLSETFENAALIGVHYGGIFYEFVPWNGVVEWEVTQWGYWHVTAYNETHKIELEASTKDPGTTLRAPTTEAGFAAACKDTCTADLTLKIWEKANGKLILDVTSDMAAVEVGGGPWFNTWKGRTYTPEIVSRAINLPIDVEGILGSFPLLKPPATHVDTHEIVAVKMENRQTKHPQLLYEAKLYNYLQGIVGIASIHWSGVDVEDNVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMLTRIELMHAKGFLHRDLKPDNFLMGLGRKANQVYVIDFGLAKRYRDPTTSCHIPYKANKNLTGTARYASCNTHLGIEQSRRDDLESLGYVFLYFLRGSLPWQGLKGATKKQKYDKICEKKVSTPIEVLCKNHHVEFASYFQYCRSLTFDQRPDYGFLKRLFRDLFTREGFDFDYVFDWTILKHQQSEKNKPQNHSTSRAVPGVINRPMPVHSNKRQGVNDDSYQIGATDRRRSSNMMMTRPNENISLGKHVFRV >cds-PLY71583.1 pep primary_assembly:Lsat_Salinas_v7:1:115978049:115980829:1 gene:gene-LSAT_1X87900 transcript:rna-gnl|WGS:NBSK|LSAT_1X87900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGVNYQNHLIPLEEINRATENFSQKRCIGSGGFGAVYKAQLSEHWKNLTVAIKRLAQDSYQGEREFRNELEMISKFHHENIISSIGYCDQGGEMIIVYEYAINRSLDHHLQNRSKMGCITWIQRLTICIGAARGLNYLHSGLGQHNRVIHRDVKSSNILLDDNLVAKVSDFGLSKLGPRNQPDTQLITKVAGTQFYLDPTYHESHILRKESDVYSFGVVLFEILSGNLVYHKMSTGDDERQFLMTSVRRYYKKQPHNIIDPHISDQIDSNSFDIFQEIAYQCISLNLMERPTMDKVIERIQKALTIQIQSVLHKLSTNGPHRLSSLEIRLLAKIMDDNSHIYTHQLTTPNSQTQEQAISASHNFSNIFEDEKGYKASSGSVPVIVFVLNVGSMEARENAAASLYMLSLFDENKEYIGTEGAIPPLVLLLSIGSHKGKNVAITILLSLCLDQGNQGRAVKAGGVTILMELLTETRGGLKEDALSLLAILSRHAEGKLAISKAKAVPVLVEVIGSGSPNNKENAAAIMVELCSGHQKYLVEAQEHGLVGKLMDLLQHGTYIGKRKAEQLLEKIENHRHDHHHSDT >cds-PLY79836.1 pep primary_assembly:Lsat_Salinas_v7:8:15368582:15369851:1 gene:gene-LSAT_8X11480 transcript:rna-gnl|WGS:NBSK|LSAT_8X11480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMVDDISFPPHITTTKPLSLLGYGLTDIEIHFLQIKFTAIGVYIDPEIVAHLQKWKGKSGSELAGDDDFFDSVISAPVDKYLRIVVIKEIKGSQYGVQLESAVRDRLAADDKYEEEEEEALNQIVEFLQLKYFKKDSVLTFSFPATSNTAEIGFSSEGKEEPKTMKVENGNVVEMMKKWYLGGTTAYSPSTILSLANALSLELSK >cds-PLY97733.1 pep primary_assembly:Lsat_Salinas_v7:1:20957319:20958703:-1 gene:gene-LSAT_1X19021 transcript:rna-gnl|WGS:NBSK|LSAT_1X19021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIGRLLGPRYWYGGWDGKKWLLDVFVLDTMSLEWRELAVTGTLPPPRCGHTATMVEKRLLVYGGRDNEAPGWTQLKLPGQAPAARCGHTVTSGGHYLLMFGGHGTGGWLSRYDVYYNDCVVLDRVSVQWKRVNVSYYLYGYICFALTSLVFYDLQTYF >cds-PLY85773.1 pep primary_assembly:Lsat_Salinas_v7:1:48333865:48339155:1 gene:gene-LSAT_1X45081 transcript:rna-gnl|WGS:NBSK|LSAT_1X45081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEANAGLVAGSHKRNELVRIRHDSDGGPKPLKNMNGQICQICGDTVGLTENGDVFVACNECAFPVCRPCYEYERRDGNQACPQCKTRYKRHKGSPRVDGDDDEDDVDDLENEFDYAQGRRQWQGEDPDLSSSSRRHESQQPVPLLTNGQQVSGEIPIATPDNLSVRSTSGPLGPGDKPVRYMDPRQPVPVRIVDPSKDLNSYGLGNVDWKERVEGWKLKQDKNIMQMANRYNGGEGRGGEIEGTGSNGEELQLVDDPRQLMSRVVPISSSHLTPYRVVIILRLIILGFFLQYRLTHPVNDAYPLWLVSVICEVWFAFSWLLDQFPKWSPVERETFLDRLALRFDREGEPSQLAPIDVFVSTVDPLKEPPLITANTVLSILAVEYPVDKVSCYVSDDGSAMLTFESLSETAEFAKKWVPFCKKHSIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRVNALVAKAQKMPEEGWSMQDGTPWPGNNPRDHPGMIQVFLGHSGGCDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAYGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRRALYGYDPVLTEADLEPNIIVKSCCGSRKKSRNVNKKYVDKKAVMKRTESNTPILNMDDIDEGVEGYDEDRSLLISQKSLEKRFGQSPVFVAATFMEMGGIPPTTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHTRGWISIYCMPQRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYNGKLKLLERLAYINTIVYPLTSIPLLAYCVLPAVCLLTGKFIIPEISNYASMWFILLFISIAATGILELRWSGVSIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKANDEDGDFAELYIFKWTALLIPPTSVLIVNLIGIVSGVSSAINSGYQSWGPLFGKLFFAIWVIVHLYPFLKGLLGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTTDDTLDAVKGQCGIDC >cds-PLY77559.1 pep primary_assembly:Lsat_Salinas_v7:2:164683435:164688463:-1 gene:gene-LSAT_2X86600 transcript:rna-gnl|WGS:NBSK|LSAT_2X86600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GAMETE EXPRESSED 3 [Source:Projected from Arabidopsis thaliana (AT5G16020) UniProtKB/Swiss-Prot;Acc:Q9LFS2] MSLTLEVFSILILFIFRLVSAASESGFLNLPSYQHFISDKDRPTKSLRLSNPLIGDDQKIYTCLEKNLMIFHNTGSISRMIPLNYTCNLDKDRPTKSLRLSNPLIGDDQKIYTCLEKNLMIFHNTGSISRMIPLNYTCNLGITPVLGASRKIVYLVAGNRVLRVDTTNTRISKNATQVFLGPETGVEGMNEIIGLSISITSFCVLVTIKRTGLFAYSYDGKLRWSTGPVITQSKKYRQGCWKNFTDCFFDSAPVIDHCDANIYVWNNQGELYAVSIRSPHFKWIQNLSSFGKNLTVTAGNNGKVYITVADRAIVLGLDAGTGSVVWTQNIGPLSTQDPDPVVDISGWISIGSLDGFLYSISPSGTLKKFPEKTVIWMQRIYVNDTVMVVNPVLDCSGYAVYISQRKFEGKISQIIGEYTYVSAGTPLNADFKLVVPTTGSVYWNASYPGSVSNLFYESDLRHFLLDESVLLAFLSVSDTGNPFPCFGTRNDPITFVIIRSNLVLYINFIFQFSTEEKHALRCSMMETKHVTLYTGNEKAIILFLFFETILMIVLVGLVQFCCIFWMKKKVKNQDLAKFLEKRKSLRIQKKVFDRTITELQKKAASNEEIEQLGDLVREREDIERKLSTTYSLGKDTTTQESKSLIPLSDKKSGSLSFKSGRRKESMATISNSSLEDDSDDDNEVEEGELKGKGSMEIESFSDDEALNIVQTQVKILDEGDNQRDLPTGGGSMRRRPLSLRSTK >cds-PLY63567.1 pep primary_assembly:Lsat_Salinas_v7:9:149609730:149611215:-1 gene:gene-LSAT_9X95401 transcript:rna-gnl|WGS:NBSK|LSAT_9X95401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQEKQIKKGQVNVEPGTNAMTLVFGKEKGGFLKGVGTGATYNRYFNVPRIKGSSKEEIKDLKVALRNGKLELEKKDVELKALSTKVNEQDQTLKLVLAHLNAKGADFQNLSHTVGISSDKIVESSETTPVSLKTKQPSESVPEIISDQEHQHQNSKKRKPAYISFDVLLNKTRSNTNKKSS >cds-PLY77563.1 pep primary_assembly:Lsat_Salinas_v7:2:163344035:163345223:1 gene:gene-LSAT_2X84120 transcript:rna-gnl|WGS:NBSK|LSAT_2X84120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPYTTTQAPSPSKQPLDSLIYSHKSWSPDLHRDEEWIRRKGKHNHHQRSKSVTDEDIDELKACIELGFGFEHSPKLDDRLSNTLPALGFYHAVNKQYFDTISKSSSISSSVSSYSYAVSEPDLSSPASSPHAIFGRGDNPHTVKTKLRQWAQVVACSVRQSSSSSSS >cds-PLY97898.1 pep primary_assembly:Lsat_Salinas_v7:4:89948238:89949544:1 gene:gene-LSAT_4X58721 transcript:rna-gnl|WGS:NBSK|LSAT_4X58721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIFPRSAPTLFLLLTTTLLSLSTTLFFTGAANANADADLVSELQSIQSRSSSGVVHLSDNLLRRIVTTKPRSFSLIIFFDAIQLHDKSELHLKSLKSEFFLLSKSFGINNENSPSALSKLFFCDIEFGESQSSFAQFGVNALPHIRLLPPDATTLKTDSISMDAGDFSRLAESMAEFIESRTKLKMGQIHRPPILSKTQIGFLIAAFLISLPFLFKRLLAGETLLHDKRVWLSGSVFIYFFSVSGTMHNIIRKMPMFISDRNDPSKLVFFYQGSGMQLGAEGFAIGFLYTIVGLLLAFMTHVLVRVQSRIAQRTIMIIAMIISVWAVRKVVYLDNWKTGYAVHAYLPSSW >cds-PLY70896.1 pep primary_assembly:Lsat_Salinas_v7:9:15988396:15991011:-1 gene:gene-LSAT_9X17361 transcript:rna-gnl|WGS:NBSK|LSAT_9X17361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLISTSKLRSLSVKHNRTLCHVFQFTQKPANNDNLDHIANLLKQPNWEHNIYLKSLASHMSPESACKVISFHNENVALCVRFFKWVCKQSTYCYSMDSRIHLSNLIMRGNYFGVLHKVIVVLIKDCSNTVNEAFRLIRVLDDMRKFGFRISYPCYSTLLMCLSKLQMGLSAFSVYKRMVNDGFILGSIDYNTLINALCKNGFVRGAEMFVSRIVKLGFNIDVHISTSLVLGYCRIGDLKEAFQVFDVMSKRDGCGANEVTFTILIHGLCEAGKLTEAFALKEKMVMNGCHPTTRTYTVLIKAICDLGSTDKAMYLLDEMLKKGSNSNAHTYTILINGLCMEGKIEEANSMFRKMIKDGLIPGTITYNALINGYCKQERVVSAFELLAMMEKRNSRPNIRTFNELMEGLCRIGKPYKAMALLRKIVENDLRPETLTYNILVDGFCKEGQLGMAFRILNSMDSLHLESDGFAYTTLIDQLSKEGKVDQANGILGLMMKKGVILDEVTFTVLIDGYCKNGKTENAVLLFNRMVKHLTLTSPHIFNSLIDAFNKDTKVGYSNAIFGKMLKCGVTPSVVTYTILIDGYLREGNFDQSLKVFESMKLCNCPPNVYTFTIFIDSLCQNGRMDEGLKLLETMCDTGVSPNVVTYTILIKAYVKSGELDHAFGILNDMVKDKCPPNFETFSSLLEGLVISNSGKTKNKNASFTVFKEMNATHSVEFLQKAKKHGVGESDVNSFLIMGLYKVGRIVEGYELAQEMVKNGYFPDITSCSQILEHLCHQGKYDDCVVWMKMMFDHGPMPSFESCCSLFHGLRKEGKIREMQDLMSDLLWKAGVEEKDGVLSYMESLLNCDEHDACLELLKVVEELNHQERPII >cds-PLY80132.1 pep primary_assembly:Lsat_Salinas_v7:3:107135268:107135667:-1 gene:gene-LSAT_3X78501 transcript:rna-gnl|WGS:NBSK|LSAT_3X78501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTQAVVDGCNSGHIGGYSGDVWYPQPTPKDHPWRYMLNQAMTSHISRTTINAQLRYAAGVKDMLDNYFKGEEFHPNITL >cds-PLY77044.1 pep primary_assembly:Lsat_Salinas_v7:8:152292405:152295586:-1 gene:gene-LSAT_8X102901 transcript:rna-gnl|WGS:NBSK|LSAT_8X102901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLMEGVQHLRIPLEDIKLATNNFGDDNFIASGGFGKVYLGELILSGQPITVAVKRLDRTFGQGDREFFMEIQMLSCYKHKNLISLIGFCYEGAESILVYEHAKHGSLDKYLSDSNLSWTQRLQISLGAACGFNYLHNDVGQQHRVLHRDIKSSNILLNENWEAKISDFGLSKIGPSNVDLTFLVTNACGTVGYVDPEYVRSGILTKESDVYSFGVVLFEILCGRLALIKTYQDERRALSSLAKMYCEDNRLEEIIDPNLMNKVKVASLKQFSMVAYQCLRENRSERPTMGWIVQNLHKALELQDSSKGFIRVGTLGRRSEDPQNNWSFELEKGHNLVKITIDHGNGIFSLMFTSESKGVLHDSDKCGGLAGGETVSEVIFEGDENVIGINGTIGSRDGFTIISSLSFQTNKRTHGPFGRATESVFSIPWEKGSLVGFYGLASYCIDSIGIYVKPNEEIIRVGTWGKTHPGSPQNVWSFQLEKNQHLKKITIKHSDSIISLIFTTQYRSLTHTSERVGHDWIGYIPDSERTVSEVLFDWNEEINSINGTIDFSTGYCPGYRVITSISFVTNKKTHGPFGKKRGELFSVSFDSGSFAGFYGLAGAYIDSIGIYLRTL >cds-PLY70920.1 pep primary_assembly:Lsat_Salinas_v7:9:16562320:16564393:1 gene:gene-LSAT_9X17860 transcript:rna-gnl|WGS:NBSK|LSAT_9X17860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSEVFEGDQSVAVAKVTTELKKELHRLVKAIIEDSSSEDENGFGCIDRANQTLQALKELKEGGGKQRSSSIKRLNDNNRNNNESSTSVWASCPQEFRCPLSKELMRDPVILSTGQTYDRPFIQKWLKAGNRICPRTQQVLSHTILTPNHLVRDMITQWCKNRGVQFPGPLQYPEQDGLTEADRDLFISLLKKMSSTQSEQKEAARTLRSLTKRMPSFRALFGESLEAIPQLLTPLAQNKSQTEIHPDLQEDLITTLLNLSIHDNNKKLVAETPMVIPLLLDALRSGTIETRSNAAATLFTLSALDSNKSLIGKAGALKPLIDLLEEGHPLAMKDVASAIFNLCITHENKARAVRDGGVRALLNKIKNRVHVDELLAILAMLSSNQKAVEEMGDLGAVSCLLSLIKETNCARNKENCIAVLYTICYYDRTKWKEMREEESNYGTLSQIMQNGTSRAKRKASGILDRLNRAINLTHTA >cds-PLY93234.1 pep primary_assembly:Lsat_Salinas_v7:6:160998524:160999190:1 gene:gene-LSAT_6X98241 transcript:rna-gnl|WGS:NBSK|LSAT_6X98241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNGYKSIIELQEVEFNNIGQDCGDKQNKFANDCGVLTSRLISIEYPTWKKVPGNEKDNLLIIKKCWNICDDKRKTNMLKTYNENWRSFQKRVEKNFLANEHKRNPLETYLYMEKIALQKFNEMISSKEFQIISEKAKMSSKFNTNPARLGPHGYQGNKPKWEQ >cds-PLY91696.1 pep primary_assembly:Lsat_Salinas_v7:8:12200712:12204992:-1 gene:gene-LSAT_8X9260 transcript:rna-gnl|WGS:NBSK|LSAT_8X9260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLRRAASSFRSNSEKRHFFAHATHRMEETGLYGCNHLKTPKGFQRFVDEAIERSNELVGYISRMPSSAEIINAMDEISNTVRILRISMSFASSRKLMFERFRDFVDEAMKASMRINEYLHYLNTNLTLYNAVLKADQDQTLTSNEAKRVAHDLRIDFEKGGIHLSAEKKDRVSQLNIEIARCCAQYNENIAKDPGHVDIYPASRIPKNLHHLVKPVNRSTSPGQKVNIREKGFRIVTEPSTVSAVLQWAHDDEVRKMTYIKSNSVPRANLGVLDNLIAARHEISQIMGYKSYAEYALNSNMASSPDVVSSFLVELSKTVQPKAFEEFESICKFKREKNGQQDIGLEPWDEAYFTRAMKSSAYNLDFSVVASYFSLTHCIKGLKILVESLFGMKFLNCPLAPGESWHPDVMKMALHHPDEGDLGYIYLDLNCRQGKYPGCAHFAIRGGRRVSKTEYQLPVIALVCNFSKPHNSSIVRLNHSDVDTLFHEFGHALHSLLSRTDYQHFSGTRVAFDMAETPSNLFEYYAWDYRVLKKFAKHYSTGDSIPEKLVESMVGAKKMFSGMELQQQIYYALVDQTLYGDLALRTIDTTSIVADLRKQHTNWEHVKGTHWQTRFSHLLYYGAGYYSYLYAKCFASTIWERVCKEDPLSLETGAAIRTKLLQHGGAKDPAQLLNHLAGDGITRSYQNHGHGGGIVPDITSLCNELNLRR >cds-PLY97432.1 pep primary_assembly:Lsat_Salinas_v7:4:16525524:16527120:-1 gene:gene-LSAT_4X10660 transcript:rna-gnl|WGS:NBSK|LSAT_4X10660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESLSSVSTSIVLPPGTPFHHHHHRRRIHLRSSSFSTSCLHPDHRHPSRYLSTFVTPSNPKLHPYGSRVDGMACKLNAVGNRGGTKGEDEGEEDDEVEKALRLDGTIPGSSNEFVEQVSSRAYDMRRHLQQTFDSSSYDVLESNPWRGDAKPVYVLTRRENQLCTMKTRMNRSEVERELGMLFSKGRKLRNQTKKPTPNKFQMLVEDVRDGVLVFEDETEAAKYCDLLQGNCEGVAEIEASSVFDLCQKMRALAVLFRRGRTPPLPESLKLNLSARKRSLEDQDDVI >cds-PLY76256.1 pep primary_assembly:Lsat_Salinas_v7:8:32808703:32809477:-1 gene:gene-LSAT_8X26780 transcript:rna-gnl|WGS:NBSK|LSAT_8X26780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSICDDPIRILFRFGFDPKTDDYKVVKLASFLQPPSFMDPIVAAILGFHLKSRGLQEHDGHIHWLCSVDEGKEQTIVAFDLGLETFCEIPVPDFVRDFSLYKRFNALGVLGGKLCVMSCVEDGECEVWVMDEYGVAESWVKHHVFSQFSGDIDPYGFTLHNEFLFNAYKSHLALYDPNAATVKTFKIAWFKVLGVAKIVNYVDSLVWVAPGECETRASLDCISEKKHQ >cds-PLY99720.1 pep primary_assembly:Lsat_Salinas_v7:9:56606508:56611046:1 gene:gene-LSAT_9X48180 transcript:rna-gnl|WGS:NBSK|LSAT_9X48180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYGVPRELSDLQKNRSLYKPELPPCLQGTTVRVEFGDATAAADPSGAHAIARSFPHTYGQPLAHFLRATAKGSNAQIINEHPAVKVGLVFCGRQSPGGHNVVWGLHEALKSHNPKSTLLGFLGGSEGLFAQKTLEITDDILATYKNQGGYDLLGRTKDQIRTTEQVNSAMAACKALNLDGLVIVGGVTSNTDAAQLAETFAEAKCSTKVVGVPVTLNGDLKNQFVEANVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVAVECTLQSHPNMVILAEEVAASKLTIFDITKQICDAVQARAEQGKNHGVILLPEGLIESIPEVYALLQEIHSLLRQGVSADKISTQLSPWASALFEFLPPFIRKQILLHPESDDSAQLSQIETEKLLAELVEAEINKRLKEGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHSCYHILASGLNGYMATVTNLKNPSNKWRCGAAPITAMMTVKHYGRGSGSGAITLGKPVVHPATVDLRGRVYELLRQNATRFLMDDVYRNPGPLQFDGPGADSKAVSLCVEDLDYMGRIKELNEYLDKVRTIVKPGCSQDVLKAALSAMSSVTDILSVMTSQRLE >cds-PLY99056.1 pep primary_assembly:Lsat_Salinas_v7:6:151868964:151869636:1 gene:gene-LSAT_6X90781 transcript:rna-gnl|WGS:NBSK|LSAT_6X90781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHKRTIRPNAKKLNALFFKREKIPWETFAKKVKAAQQGFLGEPDEMKTGRGEFHEYPSTCICKKPFKFSDLDSNYNANQTLTKSSFNPEAETEYGRNEEDQGVQIIVDGGGVVEEKGDVNFLSD >cds-PLY73515.1 pep primary_assembly:Lsat_Salinas_v7:4:21905207:21907398:-1 gene:gene-LSAT_4X14941 transcript:rna-gnl|WGS:NBSK|LSAT_4X14941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLQNHTPLFFNTAGGKLPIRFDERNILKHRRYRVSCSNSYLSNKWKRQYTSVLIVPTGVGASIGGYAGDALPVARTLASVADCVISHPNVLNAAMLYWPMPNVMYVEGYALDRFAEGLWTLQPVHQNKVGLVLDAGIEEQLRIRHLQVADATRASLGLPVLEYIVTDTPLEVEKWMNPKNGQATGRIKHPDSLLRAVETLCDRSINAVAVVARFPDDDIEDVDDYRQGKGIDLLAGVEAIISHLVVKNFMIPCAHAPALLPPPLTKSLCPKSAAEELGYTFLPCVLAGLSNAPQYLTNEYESQRNGCVVGSDVDSVILPADACGGDGVLAFANKRNKPLIICVQENETVLNDTPDKFGIDVVKVSNYWEAIGVVAAHKAGVDPNSLRRDKIKNINTNSLIPSNACVASTSRIFA >cds-PLY75977.1 pep primary_assembly:Lsat_Salinas_v7:1:41773571:41775960:-1 gene:gene-LSAT_1X35880 transcript:rna-gnl|WGS:NBSK|LSAT_1X35880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAIITYVLLLITCTTIFQIPPTCNADDTELQTYIVQLNSPHGQEFSQPNDLEEWYRSLLFSETVVSVSNEKPVMVHMYHHVITGFAAKLTTQQAKAMENMKGVLSVRPESLFQLHTTRSPHFLGLHQNSGFWKASMYGKGIIIGVLDTGITPGHPSFHDEGMSRPPARWKGKCEVAGCNKKLIGMRNFYSGDNTTPIDEDGHGTHTSSTAAGSPVHNANVLGNANGTATGIAPLAHIAMYKVCGGFFVSCPDSAIAAGVDAAIEDGVDVLSISLGGRSTQFYEDIIGIASFAAMQKGIFVSCSAGNSGPTGATLSNEAPWILTVAASTIDRRIRTTVYLGNNKSYDGESLYQPKNFNHKFRPLVYPGKDGKLVDVKGKVVFCDGSRGCTSEQVKAAGGAAVILANGKYFCETTIAETHVIPASVVGYGEGIEIKKYLNSTSSPVATILFRGTVLGINTAPELASFSSRGPNSASPGILKPDITGPGVNILAAWYKSDDNNTRNKAHFHVTSGTSMSCPHLAGVAALLKREHPDWSPAAIKSAIMTTASQVNLNKHAIVDQRDPRLLPADVFAIGSGHVNPFKSNDPGLVFDIQPSDYIPYLCGLGYTQKQIELITRKKVSCSKTIPEAQLNYPSFAVSLKRGDSKTYSRTVTNVGMPNSTYTVGEIHVPHGVKLVVGTDTDTAAGLSQVHELSFTAVQQKVTYSVTFSRDATAEVNGPYSQGHMTWVSGQYSVRTPFSFKFE >cds-PLY72613.1 pep primary_assembly:Lsat_Salinas_v7:5:45009876:45010064:1 gene:gene-LSAT_5X21881 transcript:rna-gnl|WGS:NBSK|LSAT_5X21881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDREEDEAPPTHDGIGGEGKMARRRLILVLIGRSIATREIVGGYNVVVDHGTGEGMGWVGQ >cds-PLY65157.1 pep primary_assembly:Lsat_Salinas_v7:5:250178741:250181208:-1 gene:gene-LSAT_5X125020 transcript:rna-gnl|WGS:NBSK|LSAT_5X125020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMENPPAKEVESLSLTARDGLNPSNSTTEMSVEEKYRIVRSIGEECIQEEELLNLLTKKPQPICYDGFEPSGRMHIAQGVMKTINVNKLTSAGCKVKIWIADWFAQLNNKMGGDLNKIQTVGHYLIEIWKAAGMNLEDGKVEFIWSSEEINSRAYEYWPIVMDIARRNKLPRIMRCCQIMGRSEQDELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDDIKRKNKPIILSHHMLPGLLQGQEKMSKSDSSSAIFMEDEEVKFPQFIYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFFFFFSFKFVYKLFILMIFFLNKIRVYTSYEELIAAYEKGELHPADLKPALSKSLNRILQPVRDHFKNDEKAKALLKRVKAFKVTR >cds-PLY95122.1 pep primary_assembly:Lsat_Salinas_v7:1:92726482:92728149:1 gene:gene-LSAT_1X74120 transcript:rna-gnl|WGS:NBSK|LSAT_1X74120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANPLVIALVVVFSFMESTVATTYNVRTMGAKTNGRTDSTKTFMAAWSGACGSSKPATIYVPNGRYLVGGLRFSGPCKNKAITIRIDGTLVAPSNYVAEYWLRFNVVEGVTILGGILDAQGAGLWACKASRRNCPSGATSLAIFNSKNVVVSGLSSLNSQMFHIVVNGCNNVKVVGVNVVAPWNSPNTDGIHVQLSTGVSILNSKISTGDDCVSIGPGTTNLWIENVACGPGHGISIGSLGKDLKEEGVQNVTVKRVTFKDTDNGLRIKAWARPSSGFVNGVLFQNAVMTNVENPIVIDQNYCPGSKNCPRQVSGVKISNVKYQDVHGTSATKVAVKFDCSKKNPCRGITIQDVNLSFKNQLPASAYCVNAAGRASGVVKPTSCL >cds-PLY79161.1 pep primary_assembly:Lsat_Salinas_v7:4:218638002:218639655:1 gene:gene-LSAT_4X122960 transcript:rna-gnl|WGS:NBSK|LSAT_4X122960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDKELRRACESAIDTKQRIIMSIRVAKSKGAWGKGKIGSKGSQMAKPRVIAISTKDKGAKSKAFLRVFKYTSGGALEQAKIYKLKRLAKMEVVTTDPSGCTFMLGFDNLRNQRVAPIQWTMRNLDDRNRLLVCILTICKDAMGHLPKVVGIDIVELALWAKKHKSIAFQGMCFCCLILISSLVSSRIASMCFLP >cds-PLY72562.1 pep primary_assembly:Lsat_Salinas_v7:2:142938204:142938800:-1 gene:gene-LSAT_2X70801 transcript:rna-gnl|WGS:NBSK|LSAT_2X70801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNCRWSCPGFTTFFLDKCYSIRTKTTGDICIGGLITLIGLGVGLQFPVSEYVPVDDPPLYLLDCLALTWMDLLPEELHDDDDDDARDDDEMQVNTGNTDSPFEAEEHYDLPIRQLSPPSYAQPSGAHFEPQNEYQSYQQHNESGPEFPLDIYSQLVALRL >cds-PLY80901.1 pep primary_assembly:Lsat_Salinas_v7:8:126003435:126007333:1 gene:gene-LSAT_8X88000 transcript:rna-gnl|WGS:NBSK|LSAT_8X88000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHKSFKPAKCKTSLKLATSRIKLMRNKKGVQINQMKRELAQLLETGQDRTARIRVEHVIREEKMVAAYELIDIYCELIVARMPIIESQKTCPIDLKEAVTSVLFAAPRCSDISELVDIKKQFTSKYGKEFVSAALELRPDSGVSRMLVEKLSAVAPDIQTKVKVLTAIAKEHNINWEPTSFEEKESKPPNDLLNGPNSFENASMANANPSKIQPSNVNAVHSHEKKAGPPLDFAEQNRKYIVDNGAATSSSGITSEKMEMRGDDDFSSGGQNWNMGFKDATSAAEAAAESAERAAMAARAAAQFASHEKITTRDETPRKSKIINQQMDQSENENKRKDNERVHEANTRSNKSNSSKESKLESGKKNSFSGDRKPKITNQQIDRNEHGEKDNDRFHQAYTGSSRKTSSIESKNEFESGKKEGFESENINLFAEEMFKKQPSVHSTTSSDEPDDEVVADDRKAGKRSVVGNPFAVVDQRNAFSQSTKSKSDLDDQRDNEAVFDDDDDSPKFDTGFEYDEVEATSYFQSPVQESSTHSLENAHIWSPKRNESIKFEKMSSQSNLFSESVSFGEHSVKSMEASETEDGAPVSFDHSDDESESEFNGKNKLDDVLSANPEPLPRKSRIELNDLVEEESSFDDDPKDEEKRHSSSILLKKQDSLEDNNDYDFGKELKFGTLTGGLRNKGGVLKFPPYTKPTTTDALNLSKKSVDESSVTKTENETSLNSSLDSRVGRMGDKKASVTISTSDSDSDDSGDKFPARSRFVPPTPFFDDDDDYVETASEEVSKKAPISSVRLRTELSRRTRGSPSVSNSKTRVDPEPKTVISPEPRFNSRKTTEIGQEKPKKEMETSIPKSGLTPRNSDESGEKKIVGKTEKQSVENIAKKPSYVHPKLPDYDTFAARLQTLRNEHK >cds-PLY69842.1 pep primary_assembly:Lsat_Salinas_v7:6:3480037:3481883:1 gene:gene-LSAT_6X3960 transcript:rna-gnl|WGS:NBSK|LSAT_6X3960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQLGTSSTSMRSIDDKNERKIKQSADSRFPLTFLEMAGASGVVLCFVVGLAGVYLTLPDSDYSFLKLPRTIEDLHILREHLESYTSDYTMQVLVGYCTVYIFMQTFMIPGTVFMSLLAGSLFGVLKGVALVVFAATAGASSCYFLSKLIGRPLISSLWPDKLVFFQNQVAKRRSGLLNYMLFLRVTPTLPNTFINVASPIVDVPYHIFFLATSLGLIPAAYVTVRAGIALGELRSIGDLYDMHSIGTLFLIGLVSITPTLISNKNKA >cds-PLY87946.1 pep primary_assembly:Lsat_Salinas_v7:4:338561451:338563561:-1 gene:gene-LSAT_4X167821 transcript:rna-gnl|WGS:NBSK|LSAT_4X167821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGYGTSKIPTILVNFSLLLGLSLIIQIYVIGEEGILIELELAGFSYLRGPEDGGKKIELKPRYLMEHDKDVGDVVVGFDRYFNYYKVQYGTLCVRENPWCLFLTTNRDAVTHLTDAQEWAGGGSMVGALVGSTQREPLTVGKPSTFMMDYLENKFGITKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSLSMLQNPNNSIQPDFYTNKISDFLSLKVATV >cds-PLY86586.1 pep primary_assembly:Lsat_Salinas_v7:1:133338833:133339090:-1 gene:gene-LSAT_0X19981 transcript:rna-gnl|WGS:NBSK|LSAT_0X19981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGIEKTTLAKVLYNEQKVKDCFEVREWVCVSKECDVFNISKAIFHAVSWKNKDFANLDLLHVALKEEVSKKRFLLVLDDVWNEG >cds-PLY81825.1 pep primary_assembly:Lsat_Salinas_v7:3:34115827:34120488:-1 gene:gene-LSAT_3X25440 transcript:rna-gnl|WGS:NBSK|LSAT_3X25440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSEMEDDHVEDMEVEVLSSMWPEDIDEAGKKFNVEKPGLHEDMLEDLAFIEEPSIVDFKRLVELTSYSEKGSSQLAYLVKNWEYKQENAVRLLREELENLSKQQHEVQLKKLEIFEQHRFEESYEGDKRPVSVLDGVYEIFQDVPKKRNDVIVEDKRVEIDAEYDTVIYWKQKALHLGKLLEESVQREHILLEKLEESIENLEKQSSPVEELSQILKRADNFLHFVLQNAPVVIGHQDNELRYRFIYNHFPSLREEDIIGKTDVEIFKGGGVKESQDFKREVLEKGLPGKREITFETELFGAKTFLIYVEPVFSKDGEKIGVKKREKMAKLREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILSTTKLDKDQKQLLGVMLSSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVIKHVLQTAAASLKKMLILEGHVADDVPLEIIGDVLRIRQILTNLISNAIKFTHQGKVEINLYVIADPYPEGQQEPKNINTDDSSIPTKSDLLLNGHEENEPQSHETVVWIRCDIKDTGIGIPETALPNLFKKYMQAGADTARKYGGTGLGLAICKQLVELMGGHLTVSSKEHCGSTFTFILPHKLSHPCDGSDDTDEFGDMADNDESEDEDITCGFFQFKPHTLGSVFSSKDRISVLPENLYSIPSSKVALKEINSMDDDSSCFDTSSEPESCSKCNSENENTASIVVASCSPSSKTDLCDTWEARKDSNRSSECSSSVGNQEDVGNGRSTRKPKILLVEDHMVNVMVARRMMRQLNQDMDVVNNGAEAVRAVQNCPYDLILMDVCMPVMDGLEATRLIRSYEKTGNWDEARKAGVEPEPHDHDHDSPFHNQISRKRIPIVAMTANAMSESGEECFENGMDSFITKPVTLQNLKQCLEQYVE >cds-PLY76407.1 pep primary_assembly:Lsat_Salinas_v7:2:65256991:65258644:1 gene:gene-LSAT_2X29061 transcript:rna-gnl|WGS:NBSK|LSAT_2X29061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPIIIVLIIVIMLIFCARFLYKKSLSYRHPLPPGPMGLPFIGCTIQMLLNQPTFRWIHDLMDQFNTKILCIRLGSSTHVITVTSPEIACEFLKKQEAIFISRPDFISAYLMSDGYHTAAMSNGDQWRKMRRIISRDMLSSQVHKWLQPKRDQEANQLLGRFFGKESEDGAPGEEETEHIAAIFNILKYLYAFCITDYHPWLRGKTDFDGHEKSMRSALKIARKYQDPLINERIQMWENGDRMEKHDLLDVLIQHDNPKVTIVEIKAQIIEIMGATVDNPSNAVEWTMGEMMNEPTLLKRAVEELDHVVGRSRLVQEQDLPQLNYLKACIKEAFRLHPFAAFNPPHVSTMDTTVAGYFIPKGSHVLLSRRGLGRNPNVWADPLRFNPDRHLQGAEKQVVLTDDELRMISFSTGKRGCPAVVLGSTITTIMLARMLQGFTWKPICKEVPINLDENHDDLN >cds-PLY64985.1 pep primary_assembly:Lsat_Salinas_v7:6:96757349:96762341:-1 gene:gene-LSAT_6X64820 transcript:rna-gnl|WGS:NBSK|LSAT_6X64820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGFSQHLPCFNPTAAAKRRREQPELIFTPAEPLDETLGHSFCYVRSSARFLSPTHSDRFISPSQSLRFSPSHESSSSRSRQPGGVPETGFKAISGASVSANTSTPRTVLQLENIYDDATDSVGGGIKSSIVNGFESTSSFSALPLQPVPRGDAGTASSGPMERAFFMSGPIERGALSGPLDCNIGSDSGNSNRVPFSAPLNGGIYVKKKRKKGIPGMRKAFHRNFPPPWVVPVRNFVSSRKENANASGRGRDELDMKTESDVQWALGKAGEDRVHVVVSEEHGWLFVGIYDGFNGPDAPEFLMGNLYKAMYKELEGLFWDSEEAQSCQQMQHEEEAPKITTTETETDTDKDTGGNMKKVTFQSGGENESRRKRLWEYLAQEELEDGLDLSGSERFAFSVDDALNISKTTSGVSKRSVLLSKLKNGFNRHKESGRLFAWRFGLDAKEHKEVENRIEERSNTGRGCRMKKIGPVDHDLVLKAMSRALEVTELAYLDMTDKVMDQYPELALMGSCLLVALMRDEDVYVMNLGDSRAIVAQHEEPQEVGSSGDNGAVVEGIIESKDALDCLHDAPAPAHDMKLMALQLSTDHSTSIEDEVTRIKKEHPDDNQCIVNGRVKGRLKVTRAFGAGFLKKPKWNDSLLEMFRNEYIGTEPYVSCEASVRHHHLCPRDQFLVLSSDGLYQYFSNQEVVSHVQIFMEKFPDGDPAQHLIEELLLRAAKKAGMDLHELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKYL >cds-PLY69041.1 pep primary_assembly:Lsat_Salinas_v7:9:142901719:142903412:1 gene:gene-LSAT_0X15420 transcript:rna-gnl|WGS:NBSK|LSAT_0X15420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKISSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKAPAASAAKKDGEEVEGATEETKKSNHVARKIEKRQEERKLDPHVEEQFSSGRLLAAVSSRPGQCGRADGYILEGKELEFYMKKLQKKKGKGAA >cds-PLY82986.1 pep primary_assembly:Lsat_Salinas_v7:1:17344009:17347930:-1 gene:gene-LSAT_1X14940 transcript:rna-gnl|WGS:NBSK|LSAT_1X14940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELPQPRPFGAQGRKPTHDFLSLYSPSQQDPSPTPPGGYLETHDFLQPLERVGKNGATKEVGKKIEEPPPTGKSLPPSPAPPTAVEHILPGGIGTYSISHISCINQSQRVGKPEGVLIAAAQSSGSDKNDETSNCSSYTGSGFSLWEESTVKKGKTGKENIAGNRHVVRDGGMKIGGVPSPWMTSVERPSQSSSAHNHQSTTISSLSSSRPSSTQKNHSFVEMLKSGKNTQEDEDDEEEEFVIKKEPSSHYKGVLSVKVDAAHNDQKPNTPRSKHSATEQRRRSKINDRFSMLRELIPHGDQKRDKASFLLEVIEYIQFLQEKVNKFEDSCRGWNNEPPTIMPWNHNQRVTEGFVDQPQVQNGASGPALLYAPKVNENKCIDLPKKGQNIFDSDMSSLETMKEIGQHPQLTNKGSPFPSPLQPNIYSPGCGSTSATAPIPAMVADTVNTSSHLQHSRSCTSDCTLATDKLKDQELTIESGTISISTIYSQGLLSTLTQALQSSGVDLSHANISVQIDLGKRATVTTHDSSTPVLKKHGVPTINETVGRSRLASTREEKSDKGLKRFKTSRN >cds-PLY70937.1 pep primary_assembly:Lsat_Salinas_v7:8:227818837:227822400:-1 gene:gene-LSAT_8X138520 transcript:rna-gnl|WGS:NBSK|LSAT_8X138520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHVGKPFDEMGLQSLPNVLENSCSLEQNKPDFKELDLGSATSISGSGGGVRGAEKDPLRRRSNRSHSGELNASSIPANTTPEKLRSGSGELNRRGGHRRAYSTGAPLIYSGSGTIHFTGSPATTTSTSSTTTTTNSGGSGGGGNVSSVNSPNTNLYPTGNICPSGKILKSNMNSRTPTTRPEKLGLGMGTGNYGHGSIIKGGGRSVESNGEIGIILKKAMISHDVEEVKNAGNELYKRGNFTDALSLYDRAITISPENAACRSNRAAALTMLGRFGEAVRECEEAVRLNPGYQRAHQRLASLYLRLGYVERAYHHLSHSQQNDITEIQKLQTLEKHINRCADARKIGDWKGALRECEAAMLTGAVSSPHIIACKAEAFLKLHQLEDADSTLSELPKLDPFPSSCAKVKFSGMFSEAYVLYIRAHVDMAFGRFETAVAFVEKAGLIDFGNTEVAMLIKNVKLVNRARTRGKDLFNSGRFTEACVAYGEGLKYNLSNSVLYCNRAVCWSKLGLWEKSIEDCNLALNFQPNYTKALLRRAASYAKLERWGEAVRDYEILRKELPGDNEVAESLSRAQDALRLTRGEPSGIDRIRASTHSCEPQTSNIKSRNH >cds-PLY72288.1 pep primary_assembly:Lsat_Salinas_v7:3:167574661:167576791:1 gene:gene-LSAT_3X106880 transcript:rna-gnl|WGS:NBSK|LSAT_3X106880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPIKVLVCQTCGDEGFTNAFVYCVKCLEFVIHRYCLKEMPKSYTERVIWYCESCQPTVENEVTSDPQKKETKKNIDPIWNENMSSYSNSSCSSSYLIEFDEWEARIGKQNQRIDEIIDDVIMNYPHMILEDHPFTERTRYRAIDSLIEETKGAILNHNIPKKKRTNRKRISAFKRKEHNNDSQWQNYEYNFQDNYYRHARPIIDPIWRGSFKVLGTDYDDLFEGFVGHLSTKACGKVFEEANMMPSLLILEMHPKTFLWPKSFQDCEPSDDHIGLYFFPGDPINEKAFDGLVLEMMDDDLAMRVASTNADLLIFTSSVLPKSLRRFRGKYYLWGVFRAKTNDGPKSICSSPSPSDSSQDYYFPDSSQDY >cds-PLY71772.1 pep primary_assembly:Lsat_Salinas_v7:3:45081047:45083035:1 gene:gene-LSAT_3X33621 transcript:rna-gnl|WGS:NBSK|LSAT_3X33621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFTDSAPLSSVSTPLHSGSIPKEEDQYVASISDVHEITCWGCGLRLILSPYTPVFKCGWCGAITNHNAHKQNDKHFWWRRLRDRCFVIILLLFMLFIIGSGVWAIYPVIFSVSYLCGVSHLTITGVLSLTTLSTYVLAAFRSPGAPPPIAWGSYPVVGRGGLDRYNFCHFCSKPKSPNVHHCRSCRMCVLDMDHHCPFIGNCVGAGNHRSFILFLISAVVSTFYVSIVSAYVAFKIWPPLRHIPTTVLTKSTVVTILTEYTFSFLISVEYLPIRGFVLIYLFFASVSVEIGLGVLLWQQLCYIYEGKTYLSQLSSNSNGNDEVEEKDCQNLVRFFGFPYPATRCMLSFWNSKKIHKK >cds-PLY87341.1 pep primary_assembly:Lsat_Salinas_v7:MU040479.1:12833:13024:1 gene:gene-LSAT_0X29560 transcript:rna-gnl|WGS:NBSK|LSAT_0X29560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTHNFNFLYGHILTPTITTPIENQNSRSKLVLVIFTSTTLIIFDTSYMHKRRGALYLFWPSG >cds-PLY89008.1 pep primary_assembly:Lsat_Salinas_v7:3:72663610:72665526:-1 gene:gene-LSAT_3X56960 transcript:rna-gnl|WGS:NBSK|LSAT_3X56960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEELEPLFDYSRVQPLDVVCLDDDDSLDSSTLIPSKRRKSSESAVEKVQDASKVIDINGGGDDDDLDWLEPPPKVVVDKEKLSENSTIKELRLKKQELMSFTESAKDMLRTVEESVKRDLKASMDSSSESPIPIEKPLKPAVERPKIVISIQDKKDMKQFRVYMDDKFEKLFKMYAEKANRKVESLVFSFDGDKIDPSNTPSSLDMEDDDIIEVRVKSS >cds-PLY95310.1 pep primary_assembly:Lsat_Salinas_v7:4:298607422:298608695:-1 gene:gene-LSAT_4X151541 transcript:rna-gnl|WGS:NBSK|LSAT_4X151541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA small subunit methyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G66360) UniProtKB/Swiss-Prot;Acc:Q9FK02] MLRCSKSSINRFPGYILRQLAVQVHQLRAKSGRPPFKNRHDDDDEDNNGGNTRKRKGNQEDRLQLYKSRGQHLLTNPRILDSIVRSSGVGPGDTVLEIGPGTGNLTLKLLEVADKVVAVEVDKRMIISKDALKTDFPHFDLVVANIPYNISSPLVAKLVFGGYHFRSATLLLQKEFAIRLLSNPGDSEFNRLAVNVKLVADVEHVMNVSKRDFIPIPKVDSSVVKIHLKTEIPNVNLNEWWAFTKTCFGKKNKTLGATFKQKKKVAELLNLSKVTNFDENIMPLDVFNSSDDEKDESIFLGSFKEKLVGILRSADLEDKRPSKLSNEELLYLLSLFNKEGICFHDQEKPMDINASFASS >cds-PLY94311.1 pep primary_assembly:Lsat_Salinas_v7:7:165366860:165367162:1 gene:gene-LSAT_7X97401 transcript:rna-gnl|WGS:NBSK|LSAT_7X97401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSVRKLVIHNSYGLQYNESCNRNYLIQNELLICDRKYDRLIWDERSWNWNYDCTRIRNSNHVLGTKVDGIDWNRNCSFVCEHLYESPFQLLSQKLYS >cds-PLY66308.1 pep primary_assembly:Lsat_Salinas_v7:5:288454735:288455687:-1 gene:gene-LSAT_5X153560 transcript:rna-gnl|WGS:NBSK|LSAT_5X153560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKVYPNAIVLETKEPPSVAADTPVVLTVWKKSLLFNCHGFTVFDSNGNLVFRVDNYTAGGNAEIVLMDASGRSIFTIRRKRLSLLDNWVVFDGETTANPRFTVTKHVNFLGTKSLAHVSFPAPGSRTKKVMYEIEGSYSQRCCGVYDEKRRRVAEIRAKEAVGGVGFGGDVFRLIVQQPEIDPTVAMALVVVLDQMFM >cds-PLY96828.1 pep primary_assembly:Lsat_Salinas_v7:3:257056250:257058421:1 gene:gene-LSAT_3X140101 transcript:rna-gnl|WGS:NBSK|LSAT_3X140101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHKANMGGGNFNDEEKAIVAAVLGTRAFDYLIASSAGNECSLTSSANDDNLQNKLSDLVDNPNSGNFSWNYAIFWQISRSKSGDLVLVWGDGSCREPREGEEFEIARILSIRLEDENQQRIKKRVLQNLHVLFGGLDEDNYAFGLDRVTDTEMFFLISMYFSFPQGQGGPGKCFSSGNHLWYSDALRSTSDYCFRSNLSKSAGIQTVVLIPTDVGVLEVGSIRSIPENMELLHSVRSSFSSNSNTSLVSPLLSKLFNQDMGLSLRQPQFREKLTVRKPENHQPFPKIENPQILISNSRNRITGSNWAQFSTLQNPATEMQIDFTATATGAVSRPVSGDSEISDVEASGREEMAITARTDDKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYITDLQKKLREMESERSSTSSMDTPNNSVSNLQKIEIEAGEDEVTVRVTCPLDTHPMSKVIQGFKEAGIRVLESKMGAGNDKVFHVFVVKSEGSEELTKEKLMAVFSKESTSSLH >cds-PLY70067.1 pep primary_assembly:Lsat_Salinas_v7:8:114041844:114046191:1 gene:gene-LSAT_8X76441 transcript:rna-gnl|WGS:NBSK|LSAT_8X76441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPSEQRSQMSDQRGMSSIRSGGLSREFSTRKSMLQLEDTRLSVPGMLSRNASKRATSIRRRDGPMLERQVSSAARGLSGLRFLDRVITGKEGDAWMGVEKRFHRFSKNGKLQREKFGICIGMGDGSEFSGELFDALARRKGIDVSEGITLGQVKIFWEDLTKEDLDTRVHIFFDMCDKNGDGLLTEEDVKEVLIMSASANKLSAFKKQAGTYAALIMERLDPDQHGHIEMWQLETLLKSTTSKETCKLTAEDTTDLAKTMIPEDYRNPMRKFCTKMFESGLEHWKVMWVLFLFWEMNIALFMWKFHQYNLMPSFQVLGYCSCTAKGAGETLKFNMALILLPVCRRTLTSIRETFLGKLLPVDENINFHKIIALAIVIGSVVHTVAHLSCNFIRLSTCPNNQFMAVFGALFTEQPTYMDLLLSIPGWTGIVMMILMAISFLFATSSFRRNVNNLPRPFSDLAGFTSFWYTHHLLIIVYFLFIAHGYFLILTVGWYRKTTWMYLLIPMVCYASERILTTDHTLKVDTIKAIIYTGNVLALYMTKPTGFKYKSGMYLFVQCPRISRFEWHPFTITSAPGDDYLSVHIRTLGDWTKALREEFAKASCEAQQKNSVEGNLVRIETNAKESQVKYPKIYIKGPYGAPAQHYRNYQVLLLIGLGIGATPFISILNDLLHHLKQSRLGDFNLNKRAYFYWVTREQGSFEWFKGVMDDIAENDHNNIIEMHNYLTSVYEQGDVRSALISMVQSLQLAKDGVDVVSQSKIKTHFARPNWRKVFQTLATTHKGSKIGVFYCGARALTKQLRNLCKEFSLTNTTRFHFHKENF >cds-PLY72642.1 pep primary_assembly:Lsat_Salinas_v7:3:182810790:182813743:-1 gene:gene-LSAT_3X109781 transcript:rna-gnl|WGS:NBSK|LSAT_3X109781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGAECEEEVNPTEDEEEEEETVIGLGSYKGKVRMVRGDPSDEMMLLWGLQQPTFSKPNAFVAQSSLNLSLDACGRCLSISQSPSSLGVPGVTGSVMWDSGIVLGKFLENAVETGLLVLQGKKVVELGAGCGLVGCIAALLGSQVILTDMPDRLRLLKKNVEDNLYGDVRGCATVNELTWGDHADSALVEPLPDFVIGSDVIYSEEAVTDLLDTLLELSGTQTTIILAGELRNDAILEYFMEVAMEDFIIGRVDQEGWHPDYKSPRVAMYVMVKKSMP >cds-PLY82891.1 pep primary_assembly:Lsat_Salinas_v7:4:18620199:18621386:-1 gene:gene-LSAT_4X12981 transcript:rna-gnl|WGS:NBSK|LSAT_4X12981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQYSSNMPPPEYATGVPAHPIITPGQWSTGLCDCGKDVPNCCLTCWCPCISFGRIAEVVDKGTTSCGVSGALYSILCLFTGCECVYSFMYRSKLRQQYMLPEQPCNDCLLHCFCECCALCQEYRELKYRGFEPSLGWQGNLASHNQGIVMPPIGPGEMKR >cds-PLY80548.1 pep primary_assembly:Lsat_Salinas_v7:6:12489307:12489705:-1 gene:gene-LSAT_6X10260 transcript:rna-gnl|WGS:NBSK|LSAT_6X10260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTIEGQDRVLATALQIVKSLNINTKANKDMILILSNFDNWLSNITDLMEGGAGDRFVSAEKIILRHDSGLGEPSSRSSSTWEESSTETAEYLEAVDTIIQLTKDLNIQSDADSDIMDRAENALQLAKNPSS >cds-PLY93357.1 pep primary_assembly:Lsat_Salinas_v7:9:64048997:64050417:1 gene:gene-LSAT_9X55041 transcript:rna-gnl|WGS:NBSK|LSAT_9X55041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCKPLEKTKLKHKKGLWSPDEDQKLREYIVNYGHGCWSAVPINAGLERNGKSCRLRWINYLRPGLKRGAFSMHEEETILTLHGLLGNKWSLMSQHLPGRTDNEIKNHWHSYLKKRVAKSESLEAQNTNTGNVESSSSYMNSMSRNLSFDSSDTANRSYIDMDQQIPQTQINKLPKILFADWLSLEEFHGHNGLEFNSGASNGSNYQYTPVNELISNEGSIDSTVTASHESNYQTEDMFHNNQMKIDQIFNFTGGDINIEDFLYM >cds-PLY66628.1 pep primary_assembly:Lsat_Salinas_v7:3:65134193:65150710:1 gene:gene-LSAT_3X51421 transcript:rna-gnl|WGS:NBSK|LSAT_3X51421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVPLGTHFHHCCRLESPKIRINSRNSKRSHLYYSRKGSFMVKNGAKFHKFRPSFDCWVLSTTVRASSNSSTSDTAVLDPPLSDDVISGETFPLQRIEKVEGKILIRLDSGKDEENWQLTIGCNLPGKWVLHWGVNYENDIDSEWDQPPAEMIPPDSITIKDYAIETPLTKSSTVTETDSIHEVKIDFNTKSSIAAINFVLKDAETGSWYQYRGRDFKVPLVDFSYANENAVGTKQGFAIWPGTSGELSGVMVQSENSVSEDENRSCKLKEFCEEHSIVKETLVEHSMNVYIKKGSDASNNVLHMETDIPGDIIVHWGICKDESLKWEIPSEPHPDNTSVFKNKALRTQLQHGCTGVFPLDEETSGFLFVLKLKDTNTWINNMGNDFYIRIPKESVSQNPENNNDEEAVSGAYTDEIIDEIRHLVTGISSAANRKTKSKEKQEIILQEIEKLAAEAYSIYRSSVQSLPQEIDEFEAVDVDVEPPVKVSSATGSGFEILFQGFNWESNKSGRWYGELQEKVEELASLGFTVVWLPPPTESISPEGYMPRDLYNLNSRYGNVDELKALVKKFHQAGIRVLGDAVINHRCAHYQNQNGVWNIFGGLLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRKDLSEWLCWLRKEIGYDGWRLDYVRGFWGGYVKEYMEASKPYFSVGEYWDSLSYTYGEMDHNQDAHRQRIVDWINDTNGSAGAFDVTTKGILHSAIERTEYWRLSDQNGKPPGVLGWWPSRAVTFIENHDTGSTQGHWRFPGGKEMQGYAYILTHPGTPSVFYDHMFSRYKSEISALISVRSRNKIQCRSTVKIVKSERDVYAAVIDDKVAMKIGPGHYEPDGGSHNWKMAAQGNDYKVWEAS >cds-PLY98858.1 pep primary_assembly:Lsat_Salinas_v7:7:25983724:25985188:1 gene:gene-LSAT_7X20000 transcript:rna-gnl|WGS:NBSK|LSAT_7X20000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLSSNKRSSSSKFHQHSSRSSRAPPPVDVETVKEVLSETPNHNPFMKIEDDPKKVTSRHNSFKIRQQDHVHEDPYVSEIGSTMSESVSTTTFDDDFDVFRSKVIDRSPLKARNRQQSSGELQPVRKSPVRGKEQSPGRVRLTPERNSRGTGFGSAGRQRPGSGNASAARSRSPAKRTVAGGCSTGRSEIGRSLSSRRTGKSPGRVGSDLHERIRKPELRSGREREEGSWPSTAMNNDESLENPLVSLECFIFL >cds-PLY95216.1 pep primary_assembly:Lsat_Salinas_v7:1:212341840:212343496:1 gene:gene-LSAT_1X129360 transcript:rna-gnl|WGS:NBSK|LSAT_1X129360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMASLFIILTLVSYHVSISHAIYYNAPKPISIYNKAPRAVNAIDACRRKTTNWAYNRRALADCTVGFGKGAIGGKNGKTYVVTNPTDDPINPKPGTLRYGVIQTQPLWIVFAKDMVIKLKNELMVNSYKTIDGRGVKVEIAYGPCITIQHVSHVIIHGISIHDCKPGKSGLVRNSPTHLGHRQGSDGDAIVIFDSSYVWVDHCFLARAIDGLIDIIHASTLITISNNFFSQHDKVMLLGHNDNNMEDKIMKVTIVYNHFGEGLVQRMPRVRLGYAHVANNKYDEWHMYAVGGSANPTILSEGNYYTASKIASIREVTKRENNKNGWKNWKWRSSKDVFLGGAYFIPSGYGSCAPGYSRAQSFPVAHGSQVPGLTANAGPLRCTKYKAC >cds-PLY88192.1 pep primary_assembly:Lsat_Salinas_v7:5:221277030:221281280:1 gene:gene-LSAT_5X101801 transcript:rna-gnl|WGS:NBSK|LSAT_5X101801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACSSSIIGVSVYHKNPSLEQLSRKIAAPAAPVHLPRSADKTHFTSLKVTSRLKPNSAGVGGGSAFVTSATAAPNSVLSENAFKGFGVFDEDSSLDDSDREYSDESESEASIVDAGNVADDDELSITKLGLPQRLVQSLEKREITRLFPIQRAVLVPALEGRDIIARAKTGTGKTLAFGIPIIKRLTEDDEERISPRRGQRLPRVLVLAPTRELARQVESEIKESAPYLSTVCVYGGVSYNSQQNALSRGVDVVVGTPGRLIDLIDSNTLKLGEVQFLVLDEADQMLAVGFEEDVEHILEKLPSQRQSMLFSATMPGWVKKLSRKYLNNPMTIDLVGDQDEKLAEGIKLYAIPTTSTSKRTILGDLVTVYAKGGKTIVFTQTKRDADEVSLALTNSITSEALHGDISQHQRERTLNNFRQGKFTVLVATDVASRGLDIPNVDLVIHYELPNDPETFVHRSGRTGRAGKVGNAILMFTNSQRRTVKSLERDVGCHFEFVSPPAVEDVLESSAQQVVATLAGVHRESIEFFTPTAEKLIEEQGASALAAALAQLSGFSKPPSSRSLITHEQGWTTLQLTRDPTLSRGFMSARTVTGFLADVYSTAADELGKIHIIADERVQGAVFDLPEEIAKELLTKDVPPGNTITKITKLPVLQDDGPAGDFYGRFSNRERSSRGGGSRRGGAGGSRGGWGGSSRYSSDGEGDSYRRGGRSGGGSSWSRGGGGGGSRTGGGGGGSDWLIGERRSSSPSSFGARSGSRTRDSRNFDGACFSCGQSGHRASECPKKRDY >cds-PLY68080.1 pep primary_assembly:Lsat_Salinas_v7:5:293168259:293173564:-1 gene:gene-LSAT_7X61661 transcript:rna-gnl|WGS:NBSK|LSAT_7X61661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRWWLRVGGGGRSYIMILWQRWGKREWAIAAAGFAIVLLAFSFFLSSDSSSPDQFSGTTDTDFVPLVLLRNAVQRGAYCLDGSIPAYHFQKGFGSGTRRWVLHIEGGGWCNTVASCSFRKGTPLGSSNFMEHQVQFSGILSNDPSHNPDFYNWNKVKIRYCDGGSFAGHPESEQKNDTKLFFRGQLIWEAMMDELLSIGLSTAQQALLSGCSAGGLATLIHCDDFRGVLPNDAKVKCLSDAGFFLNEKDVAGNATIQSFYHDVVNLQGVAGSLKKDCVAKMEASKCFFPEQIVKRTNTPVFLVNPTFDFWQIKHILVPGPLDPNDSWYKCQLSIQNCGPEQIQVLQGFRNSFLKALLEFEQMKGGGMFINSCYIHCQTWMSETWHSPNSPRINNKVSSHLIQLQFGPFNSTTQRRWKKPAVTAQTRLEDRTRDLKLDNLMTNLRKLSLILSFHSLMSGRRRGPFVSVQIMSRWNRIVGLNVPIGGFLRKYPHVFDVFPHPVKRNICCKLTSKMTLLLQEESNAIRDMESDTVNRIKKLLMISITGTLHIHALRLIKRELGLPDDFRESILSKYSEFELVDLEIVKLIDRDGVPEDLKVAEVEKWREREYKEKWLSEFETKYAFPINFPTGFKIKAGFKPKLKEWQRLPYVKPYEQKESFRASSCGGIERFEKRAVGILHELLSLTVEKMIEVERLAHFRRDFGIPVNVREVILKHPGIFYISTKGSTQMLFLREAYCKDCLVSPNPVYIVRRKMLDLVLMRRRNTRDLTSRPRDVKESVAGDWNGGGDLEGDFVISNLENFDDDNDDNEDDQ >cds-PLY86073.1 pep primary_assembly:Lsat_Salinas_v7:7:140242861:140245263:1 gene:gene-LSAT_7X84200 transcript:rna-gnl|WGS:NBSK|LSAT_7X84200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELKILEQYILGGIVATWLGFALIYFLGVKKSKPDDGLDLHKQTNELVRTQPRNDGAIDVIIVGAGVAGAALAYSLGKDGRRILVIERDMGLQDRIVGELLQPGGYIKLINLDLEDCVEDIDAQKVFGYALFKDDKSTSLAYPLEKFTRDVAGRSFHNGRFVQRMREKAATVPNVRLEQGTVTSLVEEKGVVRGVRYKTKAGQDIAANAPLTIVCDGCFSNLRRGLCKPEVDIPSSFAALLLKNCEAPYPNHGHVILADPSPILCYRISSTEIRCLVDIPGKKIPSVGNGEMALHLKTNVAPQIPLELRGGFIAAVDEGKIKTMANRSMPAAPQPTPGAILLGDSFNMRHPLTGGGMTVALSDVVIVRDLLRPLATLNDTIALCEYMNSFYKLRKPVASTINTLAGALYKVFSASPDEARTELRQACFDYLSLGGMFSSGPIALLSGLNPKPSSLVLHFFSVAIFGVGRLLLPFPSPQRTWLGVRLIMVGILTCDTF >cds-PLY69717.1 pep primary_assembly:Lsat_Salinas_v7:4:174934996:174935920:-1 gene:gene-LSAT_4X101860 transcript:rna-gnl|WGS:NBSK|LSAT_4X101860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVGPVPGLLLSEIFPSRIRAKAMAICMAVHWVINFFVGLLFLRMLEKLGPLILYTAFVSFCLVGFLFVRKNVVETKGKTLQEIEMALLPSAELIY >cds-PLY88344.1 pep primary_assembly:Lsat_Salinas_v7:2:10307012:10307696:-1 gene:gene-LSAT_2X4041 transcript:rna-gnl|WGS:NBSK|LSAT_2X4041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQENLRGSTDTNQVSNVAYHESPLFERGKLYEEYSARRNERLKRKRGESEVEKNTPCKQYLGVRNESAKKTDVKKFESGRKMITPLVDRKEVGSTMKKEVGSTMRYSLRSSCKENKKPPLGMSFDRSMEGTVEKKTITVRRTARKGY >cds-PLY77759.1 pep primary_assembly:Lsat_Salinas_v7:2:170078379:170079358:-1 gene:gene-LSAT_2X91420 transcript:rna-gnl|WGS:NBSK|LSAT_2X91420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPHHIEQRRPITRPFERSFTKQKLVQKNPKRPPIHGTPMPFTLDDLRCQILVGPNKRHRPGICRLCNQLRMAEPCKMVRYRQADHGNRRFSVPQWWWWWFSWI >cds-PLY99176.1 pep primary_assembly:Lsat_Salinas_v7:6:129356389:129359429:-1 gene:gene-LSAT_6X78461 transcript:rna-gnl|WGS:NBSK|LSAT_6X78461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFMIEYQKLQIPLEYIQQATDNFGDSHFLAEGGFGKVYRGELILSNGPTMAAVKRLNPSNNQGEADFWREIMLLSKYKHENIILLLGFCYQSKERILVYEYAPKNSLNNHLHDPKFTWIQRLKICLGAARGLEYLHNPRGGQQRVLHRDIKSANILLDENWNAKIADFGFSKYGPANQEHSILFSDPKGTLGYCDPVFIETSFYKKESDVYSFGVVLFEVLCSRLCVDYKYDDMRRSLPAFVKNSSKEKIRDAVIDVNLLQQMEENSFDTFITLAHKCLEREQKERPSMELIVKKIETALKYQEQKEVVFSDNVKSTSSSTAYAVKLEKPDLLPQKEEVGRNQLEENPDDEIKKWSSGKEGNLRALLSTLHEILEPESCWEPVSSTDMIDSDAVRKSYKKAIYLIRPNKLTQRGASTREKYICGKVIEMLKVGLVSFKSEERAIKEKQISEEGDQLRILGGQLKGRERCLGDLLSNLQYILGPESGWEPVSLSGLWSTADTDEEYHKSLLLTDPDTLNNRGASMKEKYIYGEVRKILKV >cds-PLY73729.1 pep primary_assembly:Lsat_Salinas_v7:8:169775056:169777614:-1 gene:gene-LSAT_8X111160 transcript:rna-gnl|WGS:NBSK|LSAT_8X111160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFLTKSPLTGDLCALTFSICVALSLLRCFEETARRGVFDQKLNRKLVHITFGLQFMLCWPLFSSGSQGAFMAAVVPGVNIVKVLLIGYGIVKDEATVKSMSRFGDYRELIRGPLYYVCTITLCCTVYWRTSPIAIAAICNLCAGDGFADVIGRRFGKKKIPYNIDKSFAGSIAMAVAGFIASVGYMYYFSMFGFVEKKSEMVVGFFVVSLMSALVESLPISTKLDDNLTVPLANVLLGTLVFL >cds-PLY92201.1 pep primary_assembly:Lsat_Salinas_v7:6:74907895:74910984:-1 gene:gene-LSAT_6X53641 transcript:rna-gnl|WGS:NBSK|LSAT_6X53641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTANNAYQIVFDKVAEINASKECWNIRVKVVMLWKPTYTNNPNVVANLEMILIDHEGCRIQAIIKKNLIPVFESLFDEGAVREISNFAIANNEGEYMLVPHKHKINFYKTTKVRVSIDFVDTVDHFLGEVVSTDPMRVIVEYGREKRLMNLVAQDLSLNSLDTNVESSSRTSQLNSDTVVANPEDYYLRSQIKNIDEIPDLMRIRIVVCVQDESGSSSFVLFERHVKYLIHRGNQWLMEKIAKDQGRQQIPDEFKILLNKKFVFKVQISMFNLQNNYRAYTVHKLTDDERVLAEVFKRSPNHQHHNINDNGTPINKPNKENTNSVHDNNLDVVDLEAVTPSSSTRKRPIEIDANTDSLEWSSSRTRAVRDTLKIPKLEKLD >cds-PLY94255.1 pep primary_assembly:Lsat_Salinas_v7:8:69829645:69830094:1 gene:gene-LSAT_8X50301 transcript:rna-gnl|WGS:NBSK|LSAT_8X50301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVPAAATTIRVGGPPATAAANALSTGGGRDGDCLSEMHMFVTECRNKGKKELRSLVGVAKIYKRRLVLLGRPMISQSPGRIVVEEFKSGEVCSFVGEEIKKQIDSRNIRLMGEIIVFTRSMKNWTIGGKFVKEKEGKGMKKGDRGKRD >cds-PLY88506.1 pep primary_assembly:Lsat_Salinas_v7:2:154975245:154976223:1 gene:gene-LSAT_2X79541 transcript:rna-gnl|WGS:NBSK|LSAT_2X79541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASISSSIVANVSRTTATQASLAAPFTGLKSNVAFPVTKKANNDFSSLPSNGGRVQCMKVWPPIGLKKYETLSYLPPLSDAALSKEIDYLLRNKWVPCLEFELEHGFVYREHHHSPGYYDGRYWTMWKLPMFGCTDSAQVMKEVEECKKEYPNAFIRVIGFDNVRQVQCISFIVSKPPGVL >cds-PLY97280.1 pep primary_assembly:Lsat_Salinas_v7:1:44402770:44404041:1 gene:gene-LSAT_1X38680 transcript:rna-gnl|WGS:NBSK|LSAT_1X38680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit b, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG00130) UniProtKB/Swiss-Prot;Acc:P56759] MKNVTDSFVSLGHWPSAGSFGFNTDILATNLINLSVVLGVLIFFGKGVCANNRKQRILNTIRNSEELREGAIEQLEKARARLRKVEIEADQFRVNGYSEIEREKLNLIDSTYKTLEQLENYKNETINFEQQKASNQVRQRVFQQALQGALGTLNSCLNSELHLRTISANIGILGAMKEITD >cds-PLY96579.1 pep primary_assembly:Lsat_Salinas_v7:4:369354928:369356229:-1 gene:gene-LSAT_4X181700 transcript:rna-gnl|WGS:NBSK|LSAT_4X181700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNLAKVLTILLLFFVFATPSIAEIKSVKIRSNDRGQIFFDYFKFTNTGHLSIAVSSLSVTSLPVTSNISRPDLSRFGFFLLSEQLEYRFFREQRWSNISCALDSQFTSLLFTFQDLSYPHQSSFNKSYTMTYPNAYSLYFANCNPLSHVTMDILTKFYNMHNDTTKDYLSAGITKLPGLYYTFSIIYGCFLWFWIIVCCLNHKSFHMVHFLMVVLLDLKEMSLICAGEVQESVKVTRTRSYEWDILFYICQFLTSAFLYTVILVILDGLSFLKPKSSKIVLMIVIPLQGLATLLSKVTGVTRCKSDPPFSEFGLLVVEAVLYVVVIISICSSLCLTEKANRNHLEKFALCSCFSVVVGVCLFINLILGVVNNTCYSYQWESNAVQEIITLVFYIVMFFMFRPTDNLEYFVQLDKACAVKEVDKTCDAKELGV >cds-PLY76608.1 pep primary_assembly:Lsat_Salinas_v7:5:223711839:223719891:1 gene:gene-LSAT_5X105701 transcript:rna-gnl|WGS:NBSK|LSAT_5X105701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNGGGDSIGFDKAMRHNWSQHAKYLSQDKILKSNFLISLSTQKLSVEEIKAATARSFSCKVQNVTKAHNSQAEKAWQALSNLKLSSRSYIKPGKSRKVEKNGYSASFQDDKRASQQCSSDGNNKFTAQTWEDQNSNENNVEHIKPDQTTNLSTTVTTSVTETKRAETIQNGGYESDSTSVCRNDISGINRNHAKNWYHLHGLQEIDDEETYQNLDVDQTLTEHFQSSCTIQQSISSSPPFTPAANNSIVACEDISLPPELDVDCGHGFKACIQLPKLFQLGTCPEAATHLQDMKDMLISISNDLIDNDSELNSDELEKLRHDRLQLKKQIQQLKQYCSSILMDDEGCKTNFSAFTTARDLKYQTPLAFTSRVDPVRLDDQFYMHNKWDPPSISCSSVGNFGNFSTPVERELYVRKNVKVNYIDGSDDKKWSKKDFSWTKKLEANNKKVFGNHSFRPNQREVINATMSGNDVFVLMPTGGGKSLTYQLPALICPGVTLVISPLVSLIQDQIMHLLQANIPAAYLSSNMEWTKQQDILRDLCSGHCSYKLLYVTPEKVAKSDALLRQLENLYARELLDRIVIDEAHCVSQWGHDFRPDYQSLGILKQKFPNIPLLALTATATASVKEDVVQALGLVDCIIFRQSFNRPNLRFSVIPKTKKCMEDIDTFIKDNHFDECGIIYCLSRNDCEKVAKKLQEFGHKAAFYHASMDPDERASVQKMWSKDEVNIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQHSSCILYYSYSDYIRVKHMLSHGSIEQSSFASRSTTLNSGRVLETNTENLLRMVSYCENEVDCRRFLQLVHFGEKFDPLSCKKTCDNCSKTHTLVDKDVTQIAKHLVELVKSVRQEFSGTYVLEVYRGSMNQIVKKNQHDTLKLHGAGKNVAKGDASRVLRHLVIEEILLEDIKKSDLYGSVSSILKVNEKKACNLLEGQKTIMLRFPSVVRVSKSTTTTPAKGFLTSSKQTPSRVDTPAPAQSQPEVDSNLSTKLYGALRELRTLLVKEAAEGVMAYHIFGNATLKSISRRVPRTKEELLEINGIGKAKVSKYGDRVLETIEITLNEYISINVDSDSDSINFPKRGHDNDFTYKEPDSTNGLEIVDYPDDDQSTWRL >cds-PLY69991.1 pep primary_assembly:Lsat_Salinas_v7:8:63535894:63537640:1 gene:gene-LSAT_8X45561 transcript:rna-gnl|WGS:NBSK|LSAT_8X45561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNPTQVVVLLKSQQIRHVRLYDADPAMLLALSGSGIQVTVTVPNQQLLAIAQSNATAANWVSRNILPHITTTNITAISVGNEVLTTTPNTASILVSALKYIHSALIAASLDSQIKVSTVHSSSIILDSFPPSQAFFNRTWEPVMVSLLEFLQSTDSYLMLNVYPYSDYIQSNGAIPLDYALFRPLTPEKEAIDSNTLLHYTNVFDAVVDAAYFAMLYLNYTNIPIVVTESGWPSKGDSNEPDATLDNANTYNSNLIKHVLNTTGTPKHPGVATSTYIYELYNEDLKPGSVSEKNWGLFDSNGKPVYVLHLIDSGSVLANDTTDETYCVAKKDADKKMLQAALDWACGPGKVNCSVMLQGEPCYEPDTVVAHATYAFDSYYHRMAMADGTCNFNGVATISTTNPSRDGCTFPGSGGSNGSFINGTSVAPSTNSTTSVGFSVLDSVSFVRVFGACLWTMAFL >cds-PLY83379.1 pep primary_assembly:Lsat_Salinas_v7:5:94249430:94250619:1 gene:gene-LSAT_5X43421 transcript:rna-gnl|WGS:NBSK|LSAT_5X43421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHVTARNLRGDSASWSLDSPSRIADLVAGSRLDSTSPDMDSASLRCLAKTLTVQPSEKNPKSIVCIWSKDHRPLLIIEEWLCKEEKRLAKGAARGSHSEDWGHREYIIQGGCSSSDCARVISGFASFQHSRGESSHYTVPGRV >cds-PLY62884.1 pep primary_assembly:Lsat_Salinas_v7:9:130743879:130745348:1 gene:gene-LSAT_9X85160 transcript:rna-gnl|WGS:NBSK|LSAT_9X85160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFLLFGFTELALKPISQVFTRDNTKFVNRAIVGERNVFQEGFQWVAFAGVIGNPMDFARSVGVGIKDFLSVPARSFMKFPSCTSCNWKS >cds-PLY94704.1 pep primary_assembly:Lsat_Salinas_v7:2:85477783:85480189:-1 gene:gene-LSAT_2X38101 transcript:rna-gnl|WGS:NBSK|LSAT_2X38101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELLHGSLIPQFKARQPILIFSKRTKKQDSLLVVNLFRENFPISYTRCRTLESHWDNTQSIRHPAVSSNDDSKVPELIFTRLQLSDEEYLGMQRRSFGHYIAREAVLNEEYWMAAWLRAEAQWEASSNKNFRHAQNFKMKYSDQEYKALKKRCWGQDGNLLQCFCLVAVKKEVKNVKRTVLNSIVGTMDLSIRQYLQGETYPGEVKKQSGVLACKQPDDAHKYAYISNVVVALYARRRGIALNMLHLAIHMATSLGMKKLFVHVTTGNKPAQELYKKAGFKVCTLYSXRKYFPRIYREYLLRGS >cds-PLY63675.1 pep primary_assembly:Lsat_Salinas_v7:4:134299913:134317894:1 gene:gene-LSAT_4X84140 transcript:rna-gnl|WGS:NBSK|LSAT_4X84140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDWCLSGTTTLHIELEDVAADVVGKPAAMVTGMGYVTNSAILPVLVRHKVLREHIADGQPRTHRPWKKIIVVVEGIYSIEGELCKLPEIVSICKKYKYTCPAHLYATSISPPAAQHIISAIKVILGEDGSSRGAKKLAQIRENNNYFRSELQKMGFEVLGDNDSPVMCIMLYNPAKIPAFSRECLKRNVAVVTVAFPAAPLLLARARICISAAQGNIWSKHYRSSVRDLITSITMATRYWIVSLPVHSSATSLWSRLQESISKNSFDTLLYRFNIPNLRVGTLDSLLALSDDLLKSNTFIEGCSHKIRRQIEDLEKASGILASSLTVDGVPVDSYLTKFVWDEAKYPTMSPLKEIVDGIHVQVAKIDDDLKVRIAEYNNVRSQLNAINRKQTGSLAVRDLSNLVKPEDIVTSEHLVTLIAVVSKFSQKDWLSCYETLTTYVVPRSSKNLHEDNEYALYTVTLFNRDADNFKIKARERGFQIRDFEYNSETQEGRKQELEKLMQDQESLKSSLLQWCYTSYGEVFTSWMHFCAVRLFSETILRYGLPPSFLSVVLSPSVKNEKKVRTLLETLCDSSNSTFWKTDEEGSMGGLGGEADTHPYVSFTINLI >cds-PLY88413.1 pep primary_assembly:Lsat_Salinas_v7:4:56775121:56778969:-1 gene:gene-LSAT_4X38920 transcript:rna-gnl|WGS:NBSK|LSAT_4X38920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDEMQTKLIQQGQEDDSDLKRRIWVESKKIWRVALPGIIARVCAFGTIVVTQSFIGHISETDLAGYALVQALSVRFVNGILLGMSSATETLCGQAFGAGQNHMMGIYLQRSWIVDLITLTILLPVFIFGTQIFKLVGEEESIANSGGYISLWFIPFVYNFVFSLTIQMYLQAQSKNMIIAWISIFQFTIHIPLSLLFIYKLNMGLSGAMLALSFSSWFLVIGEFIYIFGGWCPHSWKGFTVAAFKDLLPVVKLSISSGVMSYGTMLFWSYLLDTWKMPSVRVANELGRGNAKAVKFTIKVLLGTSITIGLFFFILCLTFGKKLAYLFTSDVRVADAISDLSFLLSFSVLLNSVYPVLSGVAVGAGMQGAVAVVNFVCYYLIGIPLGALLGYTTTLEVKGIWIGLIGGVITQTITIIYLIWRTDWDDQVKKASERLGRFYLKLNEDPYEISNES >cds-PLY89098.1 pep primary_assembly:Lsat_Salinas_v7:9:28359292:28360410:-1 gene:gene-LSAT_9X24141 transcript:rna-gnl|WGS:NBSK|LSAT_9X24141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLLPIQKPRWGELDEEDDEKDYYSTLLPKQVIGPDEHGVKKVVEYKFNNDGNKVKITTTTRVRKVATSRLSKSAVERRSWLKFGDAVHEDVGARLTMVSTEEIIFERPKAPGQEDTNASGDPLTRGAVLIVCRSCGKKGDHWTSKCPYKAENLNHPSVSSVTDDSEKGDVYVPPIKRGGADMRRRNDENSVRVNNLSEDTREPDLHELFRPFGSVSRVYVAMDQKSQMSRGFGFVNFVRREDGEKAIAKLNGYGYDNLILAVEWAAPRPK >cds-PLY97757.1 pep primary_assembly:Lsat_Salinas_v7:4:375075993:375077976:-1 gene:gene-LSAT_4X185480 transcript:rna-gnl|WGS:NBSK|LSAT_4X185480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVAPQAFSGNLKKAVAGIRRINLEGLRWRVFDAKGQVLGRLASQISTVVQGKDKPTYAPNRDDGDICVILNAKHIAVTGRKLTDKFYRWHTGYIGHLKERSLKDQMAKDPTEVIRKAVLRMLPRNKLRDDRDLKLRIFPDSEHPFGDKPLQPYVMPPRQVREMRPRARRALIRAQKKADQQQQGANKISKGKKRDETHNSQLTASA >cds-PLY97844.1 pep primary_assembly:Lsat_Salinas_v7:2:216062441:216065080:1 gene:gene-LSAT_2X136980 transcript:rna-gnl|WGS:NBSK|LSAT_2X136980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTTFGTCSWLLLWNTISRPPLSSSCFGTPPLSSSCLGFLPCPRNPSRLPIVRAEASSNDKGTFGLGKSKSKPSDSGEQKWVAKGLITESLPNGMFWVRLENGDVVLGYVSGKIRRNSIRMLPGDKVKIEVSRYDSTRGRIVYRIGHGHTTIVFLSRRY >cds-PLY69140.1 pep primary_assembly:Lsat_Salinas_v7:5:285071048:285072487:1 gene:gene-LSAT_5X150361 transcript:rna-gnl|WGS:NBSK|LSAT_5X150361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHLYAVSGEIDNACLLFDKMSERDVVTWNIMITQLVKKGNVDEAYGFFSRMPERNVRSWTSMIMGFVQCGKPKEAIDLFTQMEDKMLSPNEVTLVAVLAACADIGALDLGKKIHDSLNKKGFTTNIHICNTLIDMYIKCGCLESALTIFNNMEKRTIVSWSAMIQGLAINGKGEEAFKLYSQMIQSQIKPNGVTFIGLLHACSHMGMVKKGLQLFTNMTKDYKIIPRIEHYGCMVDLYSRAGLLQEAHEFIKNMPIKPNGVVWGALLGGCRVHKNIEIAEEAIKHLLELDPLNDGYYVVLSNIYAEAKRWDDVARVRKLMRDNGVKKTPGSSSISINGKVHEFVAGDETHPENDAIQERWKELLEEMKKRGYVPNTSVVLLDIEESEKVRFLLGHSEKLAVVFGLMKTGDGMPIRVMKNLRVCEDCHVALKMISEIVDREIVVRDRSRFHCFKNGVCSCKNYW >cds-PLY79697.1 pep primary_assembly:Lsat_Salinas_v7:5:253795058:253796257:-1 gene:gene-LSAT_5X127461 transcript:rna-gnl|WGS:NBSK|LSAT_5X127461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGDLLEDSWFFGNLLHTKTRTISRCYSDLTSSSCPPLPPLCSNQESIVKNERKNSSVSSRKQPPIAPRNLNRTPSLPNSVETQSFIMKNTDTSPHLSRTSSMTTSEEETDQDEDEEDQESEFSLGRLIRQASMNSSHTSNPSRKTSKATMENMGKKPELGQEKIRDEKKTERNRSKNIKISGKNKNGGGAPAIPGGWVDKSSSEDMKAHIKYWARAVASNVRQECS >cds-PLY88058.1 pep primary_assembly:Lsat_Salinas_v7:6:183672747:183673049:1 gene:gene-LSAT_6X111881 transcript:rna-gnl|WGS:NBSK|LSAT_6X111881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARNHKGAQAATPDVLFDRLRRGRRLRGPGDRSNVGDEAASLFSFSSGCEVRRKEEGRRESGRQQHVADGGFGSFFRPEKAWGAMRKTNSHSTADGRVWQ >cds-PLY90770.1 pep primary_assembly:Lsat_Salinas_v7:3:36423575:36425613:1 gene:gene-LSAT_3X28120 transcript:rna-gnl|WGS:NBSK|LSAT_3X28120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTVIDSHFLALTAIVTFCYQLSFFVVTALLKFDKVTDFAGSTNFVILALLTLILKGSWHVRQVVLSFFVVVWGLRLGVFLLMRILNWGEDRRFDEMRENLGKLAVFWIFQAVWVWTVSLPVTIVNASDSNPGIEARDIIGWIMWGVGLTIEATADQQKLMFKNSPANRGKWCDAGLWGYSRHPNYFGEIFLWWGIFVGSTPVLDGAEWLVVLGPVFLTLLLLFVSGIPLLEVSADKKYGNVAAYRHYKNTTSPLIPLPPVVYGSLPSWLKVGFLFEFPLYNKNLPKEEVLGW >cds-PLY87634.1 pep primary_assembly:Lsat_Salinas_v7:MU040392.1:835021:835317:-1 gene:gene-LSAT_0X11620 transcript:rna-gnl|WGS:NBSK|LSAT_0X11620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPLKTPIRCKKSAKIDGDSTTSPIVSSESMIKVEFVLENSVVGDVNKAINEGGEPDAGGDKIIEDLPLVSEDVGKGKQGEGDGIVYLENDESDETIV >cds-PLY90970.1 pep primary_assembly:Lsat_Salinas_v7:5:287424858:287425175:1 gene:gene-LSAT_5X151540 transcript:rna-gnl|WGS:NBSK|LSAT_5X151540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSYVASLMSSEITMQDKQAISRPLSDSPFSPINLIPTFNPNATASRSTTPPLLTTSPQTTTNLSLKNVVKYIAILTWALAYCHEKHVIHRDIKSENWLLDHEEY >cds-PLY64678.1 pep primary_assembly:Lsat_Salinas_v7:7:135360542:135361741:-1 gene:gene-LSAT_7X80941 transcript:rna-gnl|WGS:NBSK|LSAT_7X80941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSISKHCCGSIPISHHRDKLESELKHPASSLELFDRTHRTKKPKESTSNNDYVTPKAMMSVVFVDRICEEIKDEFRAEREEIEAQKQDITKIYNDILKLTQRSNLPN >cds-PLY82658.1 pep primary_assembly:Lsat_Salinas_v7:5:84606709:84612751:-1 gene:gene-LSAT_5X38041 transcript:rna-gnl|WGS:NBSK|LSAT_5X38041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVCVYHQTPLICILLLPMQNSHHSATLLSQHQGSQINTMMRKIIGPWLHLLSLAMYSAATSRTVRSRVTVGVAPTFKSAQRAAQRKEFYTKLEQKHQALKAEKMEYEARTKEEQEEAIKQMRKSMVVKANPVPSFYRQGPPPKVELKKLPLTRAKSPKLSRRKSCGDTTHPSVVDEKALCSRVRHSLGTYKPVSATSSPVKPPTTQGRTVHGNGMSRDRTTKPVNEPAKPSPRKLAKETNANIAVQS >cds-PLY99494.1 pep primary_assembly:Lsat_Salinas_v7:4:57452197:57453480:1 gene:gene-LSAT_4X38480 transcript:rna-gnl|WGS:NBSK|LSAT_4X38480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TL4 [Source:Projected from Arabidopsis thaliana (AT3G60220) UniProtKB/TrEMBL;Acc:A0A178VKV8] MDIGTPPPPLLSTESNPTTTGINIPQVYNNGGTVPHQHHHSSSPSSSSSSTSSVIIVMIVVSSAIIVSAAIYLLIRFLSRRCNRSFRTTFSPTDDVVSDNRTENEHVHHHVISVGNNGVESLPLFTFSSLTGNIAGGDCAVCLSKFKGIDQLRLLPLCCHAFHAQCIDAWLKSNQTCPLCRSTVNPTEDDVLNKIAAASGGAGGRVGGNRSNSSFRIEIGTISQRREPSDSARRSYSVGSYDYVLDDGYEIPVESTHRRGISDCTSVDKDSTTPEPPGQNLAAEVAAGGGSGRRNWLRDYMDRVSLSLSSRTQSFRGSGRFFTGSSRRSDIVDDFEAGHGRIGEEISELFRWLSGV >cds-PLY82541.1 pep primary_assembly:Lsat_Salinas_v7:2:188246653:188249348:1 gene:gene-LSAT_2X110060 transcript:rna-gnl|WGS:NBSK|LSAT_2X110060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator-like APRR7 [Source:Projected from Arabidopsis thaliana (AT5G02810) UniProtKB/Swiss-Prot;Acc:Q93WK5] MSNSQGGSKGQQVNNCVKSEYQGDVNRFVSIGPGSQGDDESRTSRIDENVQDRCNQVAVEVNPNAPPQAPPQQLQGSLVHWERFLHVRSIKVMLVEDDDCTRHIVTALLRNCNYEVIQAANGLQAWKILENLSNHIDIVLTEVVMPCLSGIGLLCKIMSHKTRKNIPVIMMSSHDSMGLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQAQKSVNSKSNVRYDNSSKDGDDNENTSGGSDDGSGTQSSWTKHGIERESPESAIPSNQISEHPDSTCGIVIRSLQTQPIRDTNNHKPQPYTDNDENGKEIATSMENGKTEKIMNANGIHDSNGVETEELGTKRARPPSNSDEEEVQNGCNILRHSELSAFTRYKTTSNAIKCTPGIIASCSQPDNRSNMVKKESKRDVHSEGYLIYQGSSEQVIPNDMTPGNGNVVHDQELHIHHHHHVHHYHNIETDEPLPMSNDDDDDDDDDDDDFGLTQLAADAPHCGSSNIMGGGNGKAEANLQNYSLNKSASGSRHGSNVPNAVNSEVAKLENDVCLGDKNKITDNDNDNGNDISTQRKAALTKFRQKRELRCFQKKVRYQNRKRLAEQRPRVRGQFVKGKSRDSSTNAADG >cds-PLY61924.1 pep primary_assembly:Lsat_Salinas_v7:4:219420706:219423505:-1 gene:gene-LSAT_4X120901 transcript:rna-gnl|WGS:NBSK|LSAT_4X120901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELNSQKQASFFRVIRVFNFFTERMEKKKGKRIEGPNSFKVMGDGEEQGVVKIVEFGLGRIYQAPLKPLFNNGLLTLKPLFQGEEVKATPTPFQSVDLQSIEGMKVVCHELAAASNGPRGSLMDELVKDAGKLISCLVAKVAKTFEFSLMAASSRSFKYVSEDFSKYN >cds-PLY90989.1 pep primary_assembly:Lsat_Salinas_v7:8:254221012:254221455:-1 gene:gene-LSAT_8X148660 transcript:rna-gnl|WGS:NBSK|LSAT_8X148660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCDDLSKESCGEEMESFITIIQVSFSSNKSTVEGWYTLLGFLIVVAVQQEWYEGMNENYSFCCQMAWNGPFHSSYFVFEKLFPAFYLLTLFKPSPTAQQNSFYYLNIVRLLFHKIWFA >cds-PLY96391.1 pep primary_assembly:Lsat_Salinas_v7:2:88992296:88995464:-1 gene:gene-LSAT_2X37261 transcript:rna-gnl|WGS:NBSK|LSAT_2X37261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFSRISSVLLLCVFVVLSSSISISSASEEEKEYVLTLDHSNFSEIVSKHNFIVVEFYAPWCGHCQQLAPEYEKAASALSSHDPAIVLAKIDANAEENKEFIEKFEIQGFPTIKILRNGGENVQDYKGPREADGIVTYLKKQVGPASYEIKTSEDVESLIDHKKIFIVGIFPKFSGEEYDNFMILAEKLRSDYDFGHTTNPELLPRGESSITKPTLRLLKPFDELFVDSKEFNVEAMEKFIEEASTPLVTLFDQSPEYAVFLTKYFESAEAKVMFLVDYNHDKIDNFKSLYHEVAGSYKGKGLHFLIGNVPDSQGVFQYFGVKEDQAPVLILQDTEGVNYVKPNVEVSQIVPWLKDYTEGKLKPFIKSEPIPEKNDEPVKVVVAKSLREMVLDSKKNVLLEIYAPWCGHCKKLAPILDEVAVSFEKDPSVVIAKLDGTQNDIPSDTFDVKGYPTLYFRSASGKFTSYDGNRTKEDIIDFIHKNIEPVSESLKDEL >cds-PLY86667.1 pep primary_assembly:Lsat_Salinas_v7:4:318859850:318862500:-1 gene:gene-LSAT_4X159600 transcript:rna-gnl|WGS:NBSK|LSAT_4X159600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLKSDGSLCLMDTITRSQSQGMVASTTPKLENFFGGVTMGTTDLDTDAMYYNHENGTQNYQIQPQHYQDYNGLYQTIQLQEAKESQIASGSSCGLYLPTIGEGWVSTDYHSGSGGGGGGGGGGLQSLSLSMSPGCSQSSSCVTISQQQMTPANVTESVVMETKKRGSEKVDQQKQIVHRKSLDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQVYLGGYDMEEKAARAYDLAALKYWGSSTHINFPVENYEQELEEMKNMSRQEYVAHLRRRSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEDAAEAYDVAAIKFRGVNAVTNFDVSRYNVERIMESNTLLSGELARRTKAREPMTEVVANEAHNGEHKTLSNDVTSDGSMLEWKTEVYEQNSGGGVEGDESSTIKNNGDSGEECMKRNKNLPMVLGMPPAMNTWIPTVAATTTQLRSHMPIFAAWADA >cds-PLY94099.1 pep primary_assembly:Lsat_Salinas_v7:8:9968903:9970134:-1 gene:gene-LSAT_8X8701 transcript:rna-gnl|WGS:NBSK|LSAT_8X8701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANHYLFPAGLRRCGKSCRLRWTNYLRPDIKRGAFTFEEEQTIFQLHTLLGNKWAAIASRLPGRTDNEIKNYWNSHLRKRIPNLQAKSDSMSAEDIKPKLLSTSHMAEWESARVEAMDPIVTGGGDCDYFMKAWNSVGGDAFQRGKESESMIKVESESVITMQAEEGTNSNSYSPNSYKTEKSSDITLDLLLDFPNEGEYLEYLNDNSA >cds-PLY96679.1 pep primary_assembly:Lsat_Salinas_v7:7:43347619:43348278:1 gene:gene-LSAT_7X30001 transcript:rna-gnl|WGS:NBSK|LSAT_7X30001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQSGTHSSPKYGGSGGIPQWVSFSDEEVLIGISGNFKEYENYTVITSFSVQTNICTYGPFGLGGGSNFSIQLASGTFAGFYGKCGIYLDALGVILKP >cds-PLY87796.1 pep primary_assembly:Lsat_Salinas_v7:8:223012955:223013854:-1 gene:gene-LSAT_8X137401 transcript:rna-gnl|WGS:NBSK|LSAT_8X137401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKLEGKVAIITGGASGIGAATARLFAKQGACAVVICDIQDELGKDLCKSIGSHCCTYIHCDVSDETQVKSLVDWTVETYGKLDIMISNAGIASKSDQTVVDMDMKHLDDLFAINIRGMVACLKHAARSMVEQKVKGNIICMSSVLGRQAVLQHTDYCMSKHAVIGLMKSASKQLGQYGIRVNAVSPSVVATPLMCNMLEKEAAEVEKIFEPFTSLKGVILKAEDVAHAVLFLANGESGFITGHDLAVDGGFTISYI >cds-PLY94045.1 pep primary_assembly:Lsat_Salinas_v7:7:99193570:99194780:1 gene:gene-LSAT_7X67001 transcript:rna-gnl|WGS:NBSK|LSAT_7X67001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSKQEEVVASELLPFLRVHTDGTVERLYNPLLAPLSTAETKSGVWSKDIIISPEVSARLYLPDLSTLDQTTNKKLPILVYFHGGGFCIESAFSTLCHQYINTIASQANALVVSVDYRLAPEHPLPAAYDDSWNALQWVASHSSKTHNEKNEPWIIQHGDFKRLYIGGDSAGANISHHIALRASEEKLHGGVKILGAFLSHPYFLGSKPVGAEPVANREMSLLYKTWMFVYPGCQDGIDNPCINPFVKTAPSLASLGCRRLIICVASKDELRDRGVYYYEAVKESGWEGELSIFEVEGEGHSFHIFNPETQNAKDMFTRLAEFLRGC >cds-PLY74865.1 pep primary_assembly:Lsat_Salinas_v7:8:106058914:106062372:-1 gene:gene-LSAT_8X72401 transcript:rna-gnl|WGS:NBSK|LSAT_8X72401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGELASNRATFRSKFELEKRHHSHSDIRSKVVKSQPKMESSTPSMEMEEIIKHMKHLPNYLENGKHDRALSFGVMDWNRLQKWQDFQTHRHEFVKTNKCSSSSSNSSSLFSTDGLSPQSSQSQSQSQTWSPSSQKVNRVTLQSHFTSSPNQDFIINKTPETRNSNSFKSPDVKTRSISPLRRLSFSSKSSGPTERPESPSNRAHSSPLRRLLDPIFPSKSQEDSRTKAKLKLDFKEIKVDDDISSSSSTTTRKQALFQTTIKNDRLLFTFAVENNDILAATLTSLTSSGKNNNGNSIYTFFTVHEVKKKNVKWLSHGTKSKDYGYVPNVTAQMKVLNSSKSQEFVLFSVNSNVQPQEELEAIVVKLSRNQEKFNTTVVLPGGTHGVPSKGEPSSLTDRWRSGGVCDCGGWDEGCKLKILTNLNTGAQFELFVQGEIGEKKPMFNLSPLKEGIFSVDYNSSLSDLQAFSICISVVESRKMSRNIQLRTHCHEGVPVIYTPIPGIKRSVNGKVVSL >cds-PLY81114.1 pep primary_assembly:Lsat_Salinas_v7:9:68100547:68103053:1 gene:gene-LSAT_9X56621 transcript:rna-gnl|WGS:NBSK|LSAT_9X56621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKRPPKLLHTGHWSILALFNNPRIRSSSSSFPQILKSNVQLHMAAGSLRKKAHGKRSSLVKPQQPSPMPISSNSPFRTRKIVFLVSVVSALAVIALSWNTWSSFRSTDVVSEQIHSIEVVNEFPHDPAAFTQGLLYGGNDTLLESTGLNGRSSVREVDLQTGKIKALQNMDYSYFGEGLTLLGQRLYQVTWLEKTGFIYDRYDLTKFKKFTHNMKDGWGLATDGKVLFGSDGSSSLYHINPQTMKVITELVVKYKSYEVHNLNELEYINNEVWANIWQSDCIARISPVDGTVIGWILLPELREGLLASGNRIDVLNGIAWDVDKKRIFVTGKFWPKLYEIKLQPLRKHLRAPVEQMCLRAPVHFN >cds-PLY93926.1 pep primary_assembly:Lsat_Salinas_v7:1:196238305:196239716:1 gene:gene-LSAT_1X127421 transcript:rna-gnl|WGS:NBSK|LSAT_1X127421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIETTFWDEAANSFDKEAIEALPSPVIVAITSMKVTQYLGNLQLTATPASYIYINPTIPEAAAMAAEFVERHNQNPVLKIKYQKSKDVQVEKKRNRFPLVDLLSQNPNAGAQFTCKASLVSIDASKGWFYKACHECRKKLQKRGNTLACEDHDQVAKPNNLFFITSYIADETAQAKIVIFDAAARMLFQTDCNTLIDHHGYTDPYTLPAPLTILIGQPKIIQFRFARFCRPGAKDFVADAVFEDIVSPEKESHTETDIINQPTASSSSKKKHPLHQLILHLLLLLLQQKPFKSVSQHHPSHPLPLKLNITK >cds-PLY98377.1 pep primary_assembly:Lsat_Salinas_v7:5:316143664:316147997:1 gene:gene-LSAT_5X173280 transcript:rna-gnl|WGS:NBSK|LSAT_5X173280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ETO1-like 2 [Source:Projected from Arabidopsis thaliana (AT5G58550) TAIR;Acc:AT5G58550] MSISTATHDGCSSSSPRSAKIANLIRQFTNTMKSGGLKFKDRCKKPTQVHALTINSILSSSSSNSDESTTLPFVNAKSLLPYGLPDTHLIEPPLDPHLNPLDFINSISDLYKRIIHSSSESESCGLYLEQYALFCAVGDPKLLRRSLQSAREHAVDIHSKVVLSAWLRYERREDELVGISAMDCIGKVLECPKSALIDIAYDPSHSVFDRCQCVSENASENLNVTGSRTSLNNEFLVHGNDDEIVWFCIGNEVITCLRPKIASLSSPLNTLLYGNFIESAKETIDFSRTGISKEAMRGVEVFSRTKQMENFFSPSIVLEILSFSQKFCCEEMKSACEVYLSPLISTIEDALIFIEYGFENMASLLVASCLQVLLRELPSSLNNPKVLTLFSSSESMEKLASVNHSSFLLYYFLTQVAMEEKMTSVNTVTLFERLIESATDTWQKTLAYHQLGVLLFEREEYKHSQTCFEAAFEMGHVYSATGIARTMYKQGKTYLAREVINKILSENKPNGWMYQERSLYTLGSKKILDLEEATKLDPTLSYPYKYRSVSMVGENQINEAVEEINKIIRFKISPDCLELRAWFHLCLEDYPAAVRDICALLSLDPNYMMFHGKMRGDYLVEVLNQKVQQWTPADCWVELYDRWSCIDDIGSLAIIHQMLVHDPGKSLLRFRQSLLLLRLNCQKAAMRSLRLARNLANTKHERLVYEGWILYDTGYREEALSKAEESILIQRSFEAYFLKAYTLADASLDSDSSSFVIQLLIDALKCPSDGLRKGQALNNLGSIYVDCGKHDLAADCYINALDIKHTRAHQGLARVYSLKDERKAAYDEMTKLIEKAGNSASAYEKRSEYCDRDNATSDLSMATQLDPLRTYPYRYRAAVLMDEQKESEAVEELTKAIAFKPELQMLHLRAAFYESMSEYELALRDCEAGLCLDPTHKDTLELYHRTQKAAEYT >cds-PLY67909.1 pep primary_assembly:Lsat_Salinas_v7:1:54898888:54900105:-1 gene:gene-LSAT_1X49060 transcript:rna-gnl|WGS:NBSK|LSAT_1X49060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSNEMVKKIMKKIGSEKNLDPGVKESLKKCLPDSKVVMGRAQRGIFAGRHIQFGNQVSEKGGNKTRRNWKPNVQEKRLFSYILDRHIRVKVTTHALRCIDKAGGIDEYLLKTPYKKMDTEMGLLWKAKIENMYQELGNMEVVFFPQEEETNLAEEFKEMRIQQRVARRDARREAYGWCPKIEQIEEGSEDVEVVHHDELVANS >cds-PLY80016.1 pep primary_assembly:Lsat_Salinas_v7:9:46701195:46701431:1 gene:gene-LSAT_9X43340 transcript:rna-gnl|WGS:NBSK|LSAT_9X43340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANAGYDLCETRVLSNLHNEKTKEIGTEIGEKHIRGYHSEDQKVSSHSLFCWKFKKVSSNSSKPLVGSIEKLGTLELK >cds-PLY68839.1 pep primary_assembly:Lsat_Salinas_v7:3:64469014:64473212:1 gene:gene-LSAT_3X49981 transcript:rna-gnl|WGS:NBSK|LSAT_3X49981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKAPLNTKRASPTRWFNRLYTVVYAVAIFALIYRHYCNLINSPFTTIFLLVADLVLAFLWVAWQPFFLNPVHREVFPENLPQVAKESDYPRLDVFVCTADPFKEPPVGVLNTVLSLLAYDYPTEKLSVYLSDDGGSQLTLFAFMETAKFAKHWLPYCKKYNIMDRSPEVYFENDLSLFPERNDIQTMYENMKATIKDVMDRGTVDIDQINDERAIKALRKWTNEFTRHQHPTVIEVLLKNNEDKDVTGHYMPNLFYVAREKNKATPHHFKAGAINALLRVSAKLTNAPIFLILDCDMYSNDPKTPLRMLCHFLDPNVDPKLAFVQFPQRFYNINNNDTYCTEHVLENQICSVGMDGLDGMSFMGTNAFFKRDALLGKPNEPQGIWNEPNDSENGLALARHVADCSYEDNTKWGSEIGFRYGTLVEDAYTSFRIQCLGWKSVVCKPTRAAFLGNMPVALHDFLSQARRWYMGLLQIALCKFSPLTFGMKYMNPLQALCCAYIDFRAFWSIPIIIYAFLPQLMLLNSSAIFPKVSDPWFSLYAFLFLAAYGKSLLDYVVAGSTFRKWWSYQRMWLIFGCSTYLFSFFDWLVTSLGMSTFEFNVTSKVLDTELTKRYQQGVFTFGVDSPLFVSINIVAIVNLFAFLVGIKQVLINGRFEELFGQLFIAGFGVINGWPIYEGMVLRSDKGKMPGKTTFKSVCGALIIYWVFSSTC >cds-PLY70553.1 pep primary_assembly:Lsat_Salinas_v7:1:88263384:88264291:1 gene:gene-LSAT_1X75701 transcript:rna-gnl|WGS:NBSK|LSAT_1X75701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADWSHSPPGFSHEQKKKSLRKESKEEAEEFLELATKPLKALPYVTSGQTFIAFKKQEGVPVVDIFSNVLKFFLMLIGRFLTDGRVNVGVKCDLSKNLTMKINALVEG >cds-PLY89374.1 pep primary_assembly:Lsat_Salinas_v7:4:122578243:122579697:-1 gene:gene-LSAT_4X77381 transcript:rna-gnl|WGS:NBSK|LSAT_4X77381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASISAAATAAASFTYATPSSSSSSSSPLFTLQKPTTRILLSSSFVSKSSTNLFLHNPSSSSANASHRRRSLTVRAARGKFERTKPHVNIGTIGHVDHGKTTLTAALTMALASTGGGIGKKYDEIDAAPEERARGITINTATVEYETENRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHVLLAKQVGVPNMVVFLNKQDQVDDEELLELVELEVRELLSSYEFPGDDIPIISGSALLALEALTENPKIAKGQNKWVDKIYELMAAVDDYIPIPQRQTDLPFLCAIEDVFSITGRGTVATGRVERGTVRVGESVEIVGLKDTRTTIVTGVEMFQKILDEALAGDNVGLLLRGVQKIDIQRGMVLAKPGSITPHTKFEALVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGKVTSIMNDKDEESKMVMPGDRVKMVVELIMPVACEQGMRFAIREGGKTVGAGVIGNILE >cds-PLY90545.1 pep primary_assembly:Lsat_Salinas_v7:6:51186761:51187778:-1 gene:gene-LSAT_6X36801 transcript:rna-gnl|WGS:NBSK|LSAT_6X36801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATEITTVAPTQSQPLQLQDRVAIVTGGSRGIGRAISLHLASLGAKLVINYRSNSTEADLLVSEINSKSQSESPQAVSIKADVSDPIQVKALFDAAESAFDSPLYILVNSAGILDSSYSSIPNSSLDEFDRTFAVNTRGAYLCCKEAANRLKQGGGGRIICLTSSMVVALRPGFGPYAASKAAVEAMVKILAKELKRTRITANCVAPGPIATDMFYKGKTEEMVNMAIEESPLGRLGLPEDVAPLVGFLASDAGEWINGQIVRVNGGYV >cds-PLY74573.1 pep primary_assembly:Lsat_Salinas_v7:7:32537078:32538631:-1 gene:gene-LSAT_7X25160 transcript:rna-gnl|WGS:NBSK|LSAT_7X25160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTPSPTTRKEALLLRRYEIGHVLGQGSFGKVYVARNVKTNEQVAIKVIDKEKILKGGLIAHIKREISILRRVRHPNIVQLFEVMATKTKIFFVMEYVKGGELFGKVAKGRLKEEMARKYFQQLISAVGFCHSRGVFHRDLKPENILLDDNGDLKVSDFGLSAISEQICGDGLFYTFCGTPAYVAPEILGRKGYEAAKVDIWSCGIILFVLMAGYLPFRDQNIMIMYKKIYRGEFRRPRWFSPELSRLLKRLLDTNPETRITISAIMEDKWFRKGFKHTKFYFEDDKIFSLKDEEVDDDCIAYASDQSATESESESDGKRRSRPMSLNAFDLISFSPGFSLSGLFEDGSEESRFVTGAPVFKIVSKLEEIAKVVSFSMRKKDCRISLEGSREGVKGPLIITVEIFELTPSLRVVEVKKKAGDKGEYEEFCERELRPGLRPLMHPGTCGPKRTVSAPE >cds-PLY98542.1 pep primary_assembly:Lsat_Salinas_v7:1:39598547:39606714:1 gene:gene-LSAT_1X34040 transcript:rna-gnl|WGS:NBSK|LSAT_1X34040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDIYKASSSIRLGSLRAASGKTPSLRSGSTSVWRNSGMDVFSRSSREEDDEEALKWASLEKLPTFDRLRKGLLFGSTGPSNEVDINNLGFEQRQHLLDRLVKVADEDNEKFLLKLRNRIDRVGIDLPTIEVKYEHLTVEADVNTGSRALPSFINFHIDFIEGLLSMFRVLPNSKKHITILDDVSGVVKPSRMTLLLGPPSSGKTTLLLALGGKLAKELKTSGKVTYNGHELHEFVPERTAAYISQNDVHIGEMTVRETLAFSARCQGVGSRYEMLAELSRREKDANIKPDPDIDIYMKAAATAGQEASVVTDYTLKLLGLDICADTMVGDQMIRGISGGQKKRVTTGEMIVGPSKVLLMDEISTGLDSSTTFQIVKSLKQMLHILEGTAVISLLQPAPETYDLFDDIILLTDGKIVYQGPREHVLEFFESMGFKCPERKGIADFLQEVTSKKDQQQYWMRRDHAYRFVTAKEFAEAYQSFHVGRKMGQDIATAYDKTKSHPAALTTENYGLNKKELLKACTDREILLMKRNSFVYIFKLFQLLVMSLITLTVFFRTEMHRRTTEDGGIYVGALFFGVVMIMFNGMSEISMTIAKLPVYYKQRDYLFYPSWAYALPSWVIKIPVSFLEAAVWVILTYYVVGFDPNIARFFKQYLLLLLVNQMSSGLFRFIGALGRNMIVANTFGSFALLLVFALGGFVLVREDVKKWWLWGYWSSPMMYAMNGIAVNEFLGNQWKQPLNGTTLGKIIITSGGFYAEAYWYWIAIAALVGFILVFNLCFALSLAILGPFGKTQSNAAQADSDTEVELSAMTTGNDATQTKKKGMILPFEPHSITFNDVKYSVDMPQEMKEQGVSEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLATDVNENTRKSFVDEVMDLVELNPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQELYVGPVGRHSCELIKYFEDVNGVSKIKDGYNPATWMLEVSTSAQEIALGVDFTEIYRNSDLYRRNKALIAELSVPRPGTNDLYFPTQYSQSFLVQCMACLWKQRWSYWRNPPYTAVRFVFTTFIAIIFGTMFWDLGSKKTTQRDLINAMGSMYAATLFLGVQNASAVQPVVDVERTVFYRERAAGMYSALPYAFAQVLVEVPYVFAQSAVYSVIVYAMIGFDWTAAKFFWYLFFQFCCLLYMTYYGMMTVAITPNANIAAIIAASFYGLFNLFSGFIIPRPRIPVWWRWYYWGNPLAWTLYGMVASQFGDFDTELTSGETVKGYLRRYFGFKHDFLGAIAGVHIGLVLFFAFIFAYSIRSFNFQKR >cds-PLY70271.1 pep primary_assembly:Lsat_Salinas_v7:2:90509294:90514839:-1 gene:gene-LSAT_2X39121 transcript:rna-gnl|WGS:NBSK|LSAT_2X39121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGARSSKSSNTKKGSSHAHGHHSSGIQPNELKQSHFQGDKTPSRMSTHSSTLEKKQTKEPVSTPKTNVSEYSVNEDDFYDGIPRFPMTLSEKSKSRRFRVSARKAGSLGIEKAVGVLDTLGSSVTNLNSRSAFVTGPTTKGNELSILSFEVANTIMKGSSLMNFLSNQSIRHLKEVVFLSDGVQNLVSKDIDELLRTVAADKRGELKIFSGEVVRFGNRCKDPQWHNLDVYFEKQNRGLTRAKQLKGDPEVVMEQLLTLVQHTADLYRELSVLDKLEQEDQQKNLEGTNSSPVSKESFSGHELMFLKQELKDQRKQVKLLKKKSLWSRSMEDVMEKLVDTVIYLNRQIKNVFESAYDDVSLSGCPNMQHKLGPAGLALHYANIVLQIDSIVSRSTPMTSIARSTLYQSLPPDIELSFRSKLASFRVGKELDLQDIKAEMEKTLCWLSPVAINTAKAHHGFGWVGEWADTGPGGGRKPNGLNDINRIETFNHANKGQTEFYIVDMLLWLNHLINRSKITANVDEMQSNTESPKDQNQKPSQEASHVLPISSSDDLVLEQKDATIVKCIEGSE >cds-PLY64932.1 pep primary_assembly:Lsat_Salinas_v7:8:132963235:132966636:1 gene:gene-LSAT_8X92080 transcript:rna-gnl|WGS:NBSK|LSAT_8X92080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAAEKKKQLEQAERMKAIEEETQTQEEPERPLKRLRLRHQDGQSSPSSPNSNGALLKRPKLEVDEVPEPVSPLKRKGKQPVLPNDLARIEGSDVIHSGDVVVADATGSYLAVRRLRNRGKEPLSPHTAPREKRLVKSSDVHFKEPKVETGVNVMPKKKNHALIIPKDEPHSDDPPHYQVPLAVIMPESLTNGDASTYNNLETEPDSESVDKSNHVHETSNGNELIGIPNETTAKKVDIASSSSGEIKISLCVNGKTKLSATSVDTLLKTMEDKCLKSYKLLDPSFSVKKLMKDMCECFLKLESNSTTEPPVAIDNNGNDHEKQVDQNGIIENNTMSSSSDDFVNDIAKGQESVVISLVNEVNTECLPSFHYIPKNAVFQNAHVDFSLARIADDNCCPTCFDDCLTSSTPCPCALQSGGEFAYTSEGLVKEWLLDDCIQMNRDPQKRVVLYCKECPLERSKNEEILEQCKGHLERGFIKECWLKCGCNKKCGNRVVQCGIKHKLQVFMTHGGKGWGVRTLEDLPKGAFVCEYVGEVLTNTELYNRVAQSSKKDEYAHPVLLDADWGGESEFKDEEALCLDATYYGNVARFINHRCFDSNLVEIPVEVENPDRHYYHLAFFTTRKVKALEELTRDYGIDFDDDEHPVKAFQCQCGSRYCRDIKPSTTRSGKRRLS >cds-PLY85815.1 pep primary_assembly:Lsat_Salinas_v7:8:174066700:174067537:-1 gene:gene-LSAT_8X114160 transcript:rna-gnl|WGS:NBSK|LSAT_8X114160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVGKNVIHHPCHPHLLTCAIPKPILCECSACGMEYKGIFYQCSTCAGGFTIHSECAFLPKTLLIQEKKDGAFYHTHPLIISYSFPKIDQQAKHHPRCREDLWIYKCDKCMYYAHLYCATSKQVSSTGFGHTVKDYNDADYPHLFHLPFPDETYSIPKHLFFQQTRFGTFTMS >cds-PLY85081.1 pep primary_assembly:Lsat_Salinas_v7:7:7082815:7085539:-1 gene:gene-LSAT_7X8200 transcript:rna-gnl|WGS:NBSK|LSAT_7X8200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAKRFSVKTDTSVFKRLGRGNQENITRSHQVKNLVCKYWLEGRCTRNPCKFKHPDQAISISKYAWKNPNTLKSENSPPIEKPRTKFSKNKENSNLNQNVHKSQQKLLTNEVNPGQTKSIPKKNSENLHPGFYGKGLSMVTRLEGHTKAITGIALPSGSNKLFCSSKDKSLRVWDCNSGQCGAVFAFDDECGTLVNEGQWIFVGLRDMIKAWNLNTQHEVIIRGSGGQVNAITMFEDVLFAGMEDGTILSWKSTSETSFSAVATSLKGHTGPVLSLVVGAKRLYSGSADNTIRVWDAETLECIQVLSGHSGDVTTVLCWDQYLLSGSLDKKIKVWGETENGKIEEVYQHNVDDDVVAFCGMHDAEGKPILLCSCRDNGVCLYDLPSFVERGRVVSGRDIQAIHVGPDGLFFTGDEAGLISVWKLTLTGGGESYYPNLVNDKRQ >cds-PLY66629.1 pep primary_assembly:Lsat_Salinas_v7:3:64995117:65003791:1 gene:gene-LSAT_3X51501 transcript:rna-gnl|WGS:NBSK|LSAT_3X51501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQEQSNIWEGYVDWKKRPAIKGHHGGMLAASFVLVVEVMENLAYLANASNLVLYLIKHMHFSPSKAANHVTNFMGTAFLLALLGGFLSDALFTTYHIYLISALVEFLGLVILTIQARSSSLKPPECNPIEATIFPCEEVHGAKAALLFLGLYLIALGVGGIKGSLPVHGAEQFDDNSIKGRKQRSTFFNYFMFSLSVGALIAVTLVVWIEDNKGWEWGFGISMLTILLSIPVFLAGSAFYKNKIPSGSPLTMMFKVLIAAILNACVARSPSNAIVSMSLSPNDTQQQQTVTKDLEETETPTTSLKFLNHATTNKPAYTFLQCSVKQVEEVKIVLRVLPIFGCTIMLNCCLAQLSTFSVQQASTMNTKIGSLKVPAASLPVFPVMFIIFLAPIYDHIIIPFARRATKSEMGVSHLQRIGIGLLLSVMATAVAALVEIKRKRIAMRAGINPLEPLPITFLWIAFQYLFLGSADLFTLAGLMEFFFTEAPANMKSLATSLSFASLAMGYYLSTVIVSVVNSVTGDSGHPGWLSGENLNRYHLEKFYWLMCVLSALNFLHYLFWARRYKYQSTGAKL >cds-PLY63743.1 pep primary_assembly:Lsat_Salinas_v7:9:86370072:86371387:1 gene:gene-LSAT_9X67581 transcript:rna-gnl|WGS:NBSK|LSAT_9X67581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTDEELLMDAPLIEVAFQRCLLEEKLRHETHQHIDLFADGIDKKRLSKYQYTKCLQLGNGTGVDKKVIADVSEGLEKHRLLYVMRCDCMVQWTAAIIKVLEEK >cds-PLY74459.1 pep primary_assembly:Lsat_Salinas_v7:7:35472982:35473410:1 gene:gene-LSAT_7X27160 transcript:rna-gnl|WGS:NBSK|LSAT_7X27160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLIATEVGGSAEATPLDAQVELFDVLLDGCKEAVKGGAMDPKANDVLSRVVELQLLAKRVNCYNDPISQFQALMYLKRATWSKGCGWS >cds-PLY95518.1 pep primary_assembly:Lsat_Salinas_v7:4:142768058:142769294:1 gene:gene-LSAT_4X87520 transcript:rna-gnl|WGS:NBSK|LSAT_4X87520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFKAFLTDHGVHLLEKRFLQALDKMGKTCHLYLTKDHAIFLHNLLNGDGIQSIAQFQKEALFEDYRISSQNDDRIAFTIDLSLLHRALRSIVTIYTEFGGSHSCPTLNRLQIKLVKKLPPHSQQPMPFLTFETKGYKSAVIQDVPISKPLSRSDVLELQAALDMAQDLPQTLVQVPDMNQLQNFVDRMKNVGDVLNVSISKSGDLHLQISTTLVTLGAEFRKLVVIGEQAAVPAGDDSLSAQTRSRRAIERGDAMNVQVSVKHFFKSLQCHLAKPDSAFYGIGEQGSCLTVVFQFFIPGTRQTDKSISLHCRLPVLDPGTN >cds-PLY91048.1 pep primary_assembly:Lsat_Salinas_v7:2:54332798:54334199:-1 gene:gene-LSAT_2X22501 transcript:rna-gnl|WGS:NBSK|LSAT_2X22501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQGNPIPRVALVVGVTGMVGITLTEALKKPLALGGPWAVYGVSRRPLPTWFPSSLLDKHIMLDTLNPENTNKILSPLSNQITHLFWIAIYANESEDLSISMNSTMLCNVLGALTLSPNSNLSHVALQTGTKQYLGPIYDPILSRKIIPHEPPFKEDYPRLQFPNFYYALEDIIFSYSKSLTYSIHRSSIIIGASSRSFYNALLTLSVYALVCKHENYPFRYLGNKFTWGHFCDASDARVLAEQQIWASITNSAKNQAFNCTNGDVFTWKMLWGVLCDEFSVEFVPFDEKHKFSYVDFMKDKGHVWEKIVEENGLYKTKMEEITCFGAMDAVSNFQIQHVCSMNKSREFGFLGHANTLASIKMWIKKLRQMKILP >cds-PLY73765.1 pep primary_assembly:Lsat_Salinas_v7:2:95692919:95699949:1 gene:gene-LSAT_2X41300 transcript:rna-gnl|WGS:NBSK|LSAT_2X41300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPVVVSLKTLFSVLAVIMLALAVWGVGSDGLASCVDIRKKWVLVTIINYSINLGVILAWIVYKESSWIRIAILIPSALFAGSTITSAYIAMQLFLLSPQESSKDPLYFVLMKRQKEDAIMGYKRGPSILTTRVIISALGCLMLGTFVYVLIVDGSQFHSQLFSACGIASWIDISFMIVTLSVWVAYKESSWISAFFWILSLVCFGSIGTCVYIVVQLFYLSPQQPVSLVLFNSNNKDLLSNDPLLMAHTNA >cds-PLY89034.1 pep primary_assembly:Lsat_Salinas_v7:4:353481664:353482260:1 gene:gene-LSAT_4X174621 transcript:rna-gnl|WGS:NBSK|LSAT_4X174621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPPTNNTTYAVSATSAATGFRWSSPIPYLFGGLALMLILIACALIILVCSYKRPYSSSNSSENVTGDQEKTSTPEFRMELSPEMEPKIVIVMPGDINPTYLLKPAPPAGVYDHLDQV >cds-PLY96847.1 pep primary_assembly:Lsat_Salinas_v7:2:108961805:108964544:1 gene:gene-LSAT_2X48921 transcript:rna-gnl|WGS:NBSK|LSAT_2X48921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDPNQIPAKPTPISDEVLTGPLINGKRVQFGSAKLLKIIGDEDEVITSIHTTSRAEIRILEHAPAKKDGQLYIQILGSDLQVQIAEFLIKEAIKEGYTEPLIPTPLMPTPIFKLVINIELDQVEPFMGINILKMESESKTWIEVDTLDRKVKNKELKVRSNGAAKEVGEQLGKMDIDSGIEEEQPERSSLY >cds-PLY63361.1 pep primary_assembly:Lsat_Salinas_v7:7:151883808:151889442:1 gene:gene-LSAT_7X89100 transcript:rna-gnl|WGS:NBSK|LSAT_7X89100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQFVKKDDDRDEEAEYSPFLGIEKGAVLQEARVFNDPQLDSRRCSQVITKLLYLLNQGETFTKVEATEVFFSVTKLFQAKDIGLRRMVYLIIKELSPSSDEVIIVTSSLMKDMNSKTDVYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGTVRSPLAQCLLIRYTSQVIRESSMNNQGGERPFYDFLEGCLRHKAEMVIFEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMSHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESGVDRLMKQITNFMSDIADEFKIVVVDAIRSLCLKFPLKYRTLMNFLSNILREEGGFEYKKAIVDSIVILIRDIPDAKESGLLHLCEFIEDCEFTYLSTQILHFLGVEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKFGAVVDSLKPRIFVLLRRCLYDNDDEVRDRATLYLNTLGGDGSVVETDKEVKEFLFGSLDIPLVNLETSLKNYEPSEEPFDISSVPREVKSVTLAEKKSAGKKPTALGAPAATPISTADTYEKLLSSIPEFSSFGQLFKSSAPVELTEAETEYAVNVVKHIFDKHVVFQYNCTNTIPEQLLENVAVVVDASEAEEFAEVATKPLKALPYDTPGQTFVAFEKPEGVPAVGRFSNVLKFFVKEVDPSTGEVEEDGVEDEYQLEDSEIVAADYMLKVGVSNFRNAWESLGPDFERVDEYGLGPRESLSDAVSAVITLLGMQPCEGTEVVAGNSRSHSCLLSGVYIGNVKVLVRLSFGVDSSKEVAMKLAVRSEDESVSDAIHDIVAN >cds-PLY85449.1 pep primary_assembly:Lsat_Salinas_v7:3:42203463:42208929:-1 gene:gene-LSAT_3X32241 transcript:rna-gnl|WGS:NBSK|LSAT_3X32241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPTDTFTLSYSGAAPPPLWRSSTTECCHSAAARCLGPPRPNLEVVIGVRCHLTSVMAPKQPNTGLFVGLNKGHVVTKKELAPRPSDRKGKTSKRSHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRSGGGAEKKK >cds-PLY95927.1 pep primary_assembly:Lsat_Salinas_v7:9:42186874:42188575:-1 gene:gene-LSAT_9X37760 transcript:rna-gnl|WGS:NBSK|LSAT_9X37760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLHTIHANKGGWQKAHATFYGGEDASGTMGGACGYGNLYSDGYGTNTAALSTTLFNNGQSCGSCYQLRCIDDPKWCLHGIITITATNYCPPNYALANDNGGWCNPPLRHFDLSQPAFLKIAQYRAGIVPVAYRRVPCVKRGGMRFTINGHSYFNLVLITNVGGAGDIHGVSIKGSKTGWQRMTRNWGQNWQSNSYLDGQRLSFRVTAGDGRTVTSYNVVPAGWQFGQTFQGGQYENF >cds-PLY73021.1 pep primary_assembly:Lsat_Salinas_v7:9:36857988:36859382:-1 gene:gene-LSAT_9X34661 transcript:rna-gnl|WGS:NBSK|LSAT_9X34661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMNRYERKQTVLMFPWLGHGHISPFLELAKKLSNTNLFNIHLCSTPANLKSIKTSPAAIQFIELNLPSLPELPPDLHTTNGLPSHLMPLLKKAFDVATDEFSGILKTLKPDLLIYDIIQPWAPVAASALSIPSVVFITTSVAATMVHFHLSNHPGIALPFSNIYYRSYEYGKVVKGLEDMRKDEDFVMECVNRSSDMVLVKSFKEIEGKYSDYLSVLTDKRIVPVGPLVAEPVEEEEDEKQNSVMQWLETKAAGSTVFVSFGSEYFLSDDDLEEIAYGLEISDVNFIWVLRFPKVERKLSLSEALPLGFLERVKDKSLVIEGWAPQGKILGHKNIGGFVSHCGWSSAIEAMKFGVPVIAMPMHLDQPINARLVEEVGIGMEVVRDENGRLSREKMAAVVRNVVVSKLGEVVREKAKQMSSVLSMKGEEEIESAAMELLQLCSRGGGGGAGPSETNEAIEIGEV >cds-PLY87920.1 pep primary_assembly:Lsat_Salinas_v7:4:33817639:33820173:1 gene:gene-LSAT_4X22160 transcript:rna-gnl|WGS:NBSK|LSAT_4X22160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTWDETDRGNYYCGPLLVLLVSSPYNKRVVCASKFADPNEVKVSDRIFSRIGSSSEKVFQTKRGYVLGRGMIEIMQAGLWIHTHVIDAWTNVLNYEEKLKSNSAVNRYFFDTSMVRQFIFDKNIPFIERYDKFESNIKDAMKKDKELLTFEKVHLKILFSRYLMRVNHKSALILEGVEPERVNMNWPMRDNHVDCGVFCMRHMETYMGYKTINWTCGLPKRMKTTTECVKRFTH >cds-PLY64100.1 pep primary_assembly:Lsat_Salinas_v7:5:230897051:230897752:-1 gene:gene-LSAT_5X109601 transcript:rna-gnl|WGS:NBSK|LSAT_5X109601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAENQDRSKTIVSYVMSQISQQSNVIDVIEGLIMGHIEEVCKGYLGKLVEEPICEDIDGQQSVRLDEFEAFTDDYPTYDNFDVEYSVPNGEYIEVDMENLEGMISDDSGDAFYSQNGDGSDYSGDDSDDSDYIVHVSNLQFYMDVDMSDFQSVVDVDEHGILNKEIESIWNDIVDGELEVIQSDDYQYAGFYEDERTKMLKELNRSTPCSHGEIHLKPYRVGQCFKTKKEVVD >cds-PLY80289.1 pep primary_assembly:Lsat_Salinas_v7:3:207133464:207141565:1 gene:gene-LSAT_3X122280 transcript:rna-gnl|WGS:NBSK|LSAT_3X122280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD5B [Source:Projected from Arabidopsis thaliana (AT5G43530) UniProtKB/Swiss-Prot;Acc:Q9FIY7] MFNRSRQFCLQINITSQSIRNFQFSILFSYNPAMEKSPIDIEDDAKSSKPIVSIDLTLSPPSKPIKTEDAMAIDTPGFPVKRTITSTGGTRITTTPMIKEEEQEGKDCYIGDDGCSKAKKPRMSFSEFLDATNTKVLSENDPRYLAFVKKERMDESEPVLDVEPISVSNKAGFNQNSQNVSVKSEQVDESETKPQVKPIPVKKELDGFQVGKQEQKPKLVQPISSMPQSLYSLHLKREREGVTDDKKLNPILIENGDFEEEPDWLLVGRTAITGVSTTKGRKLEDNEIVHFAFPNIDSRSKSSYWTNSRAAIAASGIVRFSTKRSGEIGRLPMEWSKCLIPLVNSKKVKVLGRCVAAPVCLSMMQEIMLYISFYIHHSIFSEDGKSSWKLESSSNIDSTLYPLLTLFKLLKKNPFQKAEFTPEELDSRKKATLEADINEAASSLPVVKRQKGGEPLLLEPNKDAQILSESSLNKLVGAADMYNLEEMDPPTTLRCDLRPYQKQALYWMTESEKGVDVEKAEETLHPCWAAYNICDERATAIYVNIFSGEATTKFPKATQTAIGGILADAMGLGKTVMTISLILSRTGKETLEIQEKKDSIKSRNNNESFSKRPKGGTLIVCPMALLSQWKDELETHSTPNSLSVFVHYGGERSNDPKVIAEPTVVLTTYGVLSASYKTYSENSIFHKVDWYRVVLDEAHTIKSSKSQCAQAAFALSAYCRWCLTGTPLQNNLEDLYSLLCFLRVEPWCNWAWWNKLIQKPYENDDKRGLKLVKAILRPLMLRRTKDTKDKEGRPILVLPPTDIQVIECEQSEAERDFYDALFKRSKVQFDQFVAQGKVLHNYANILELLLRLRQCCNHPFLVMSRGDTQQFSDLNKLAKRFLDINSDSSAPNPSKAYIEEVVTDLKKGENTECPICLESADDPVLTPCAHRMCRECLLSSWRSPIQGLCPICRQNLRKTDLITCPTESKFRVDVEKNWKESSKVLKLLECLESIRGKGFGEKSIVFSQWTSFLDLLEIPLKRRKIGFLRFDGSLSQKNREKTLKEFNETSDKMVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRTVCVRRFIVKETVEERMQQVQARKQRMIAGALTDEEVRSARLEELKMLFR >cds-PLY77385.1 pep primary_assembly:Lsat_Salinas_v7:7:9215735:9216296:-1 gene:gene-LSAT_7X9880 transcript:rna-gnl|WGS:NBSK|LSAT_7X9880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIQPLKSWYQITKKQIPKLETFLQMKEDRGRKYPPLCIRPMATEMENWPLLADALRTAAKP >cds-PLY66385.1 pep primary_assembly:Lsat_Salinas_v7:4:119145804:119148229:1 gene:gene-LSAT_4X75421 transcript:rna-gnl|WGS:NBSK|LSAT_4X75421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLRGADFKWYDGFFLAMLATSIIIIAINWNHYHLCTHPLHIWIVVDYSTVFVFRLLMFVDNGLASGLGLDFGRQQRDARFCGRVVVLSILCVLLYPFLWAWTIIGSLWFSSARDCLPEEGQKWGFLIWLLFSYCGLICLAGISMKTWLRRRQAHSLRAQQGIPLSEYGVLVDMIRVPDWAFETAAGQEMRGMGQDATSYHPGLYLTEPQREAVEALIQELPKFMLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVGCIDEWLRLNVKCPRCRSSVFPNLDLNALPTIPADPDGSTVATTRYMRRSEPSSQSYLLRMQGFLRPIRMGNADSGSDSALETAENGGVSSDDSRNRNRNDNSC >cds-PLY90175.1 pep primary_assembly:Lsat_Salinas_v7:7:14721086:14725204:-1 gene:gene-LSAT_7X12380 transcript:rna-gnl|WGS:NBSK|LSAT_7X12380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKESKRAKTLEGELDGVDLISNLPDRVLQLILSRLQGTEEAIRSSILSRRWRYLWTSIPSIDIDYTRGLEHDPQMVLESIGFKNFVSWVLLNKPIDLDSFRLRCADYYDMTTIRQWIHAAVVRKVKQLDLMFSPIDESEDIEMPYCLVTCGSLEVLRLCLLGYHLCLPKITGFSALRVLELNDVTLKKGDLVKYFLESCPLLEDLSLLHCYADKLVIACPKLKNLRIENRERIFDYETEDYIDCRMSSSVTICCPKLVLLNLTGSVSRDFILYNLYSLKKAVILCRDFLNQLDPPCDFFERISQVESLSISLYCVGQAYWPEEDEPVSLPNLKTLEITVDAVHRLIPFLKCFPDLESLHLIFINESSLLDLMEKFFYRADKCKLKNAATINFVTPRLKKVEFHDYDEEHLALARALLENGSALEEMVFIWIDEAKFHEKSLETMNQVSNFHKASSNVKLRFVIKPRQTESILAPKRFEVDSSKCSSNSSIKLLGC >cds-PLY71530.1 pep primary_assembly:Lsat_Salinas_v7:7:30346371:30349078:1 gene:gene-LSAT_7X21941 transcript:rna-gnl|WGS:NBSK|LSAT_7X21941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAKSHRIFKDRAMNRVDDLQGMFMDLQSARKDSRNIDMAVLEEQVHQMLKEWKKELNEPSPSPSLQQGASLRSFSPDISRLLQLYDEEDDATSGLAAPKPDPDAQNTTQNATFHKNFLATQMCQEQGVDTSFGINTTGVHNPGVDTQFDYISFDMPRGFEQNCNDGVYGMWGEDALPQIATYLPNICPPPSAFLGPKCALWDCPRPAQGWCSERAVQWWCTNYCSGLHATIAQNEGQPGMTPVIRPKGIELKDNLLFAALCAKAQGKTVGVPECEGAATAKSPWNAPELFDLSVFEGETLREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVINESGWLKRSYYMDPQPMKFLEWHLYEYEINKYDTCALYRLELKLVDKKKSPKGKIANESVINLQKQMGRLKGRGKGCATVYPDLSQTKRTLDGEYGGNTGFNYLIEDLGGYYLT >cds-PLY63071.1 pep primary_assembly:Lsat_Salinas_v7:8:73448144:73449378:-1 gene:gene-LSAT_8X52980 transcript:rna-gnl|WGS:NBSK|LSAT_8X52980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELVLFFMKDLMESSKEAAECAACAMMSQLTAQGMKLLIPSLLKVLTDTHPKFQSAGQITLQQVGSVIKNSEIASLVPTLLLGLTDPNDHTKYSLDILLQTTFIKSIDAPSLALLVPVVYRGLKERSAETKKKAAQIVGNMCSLVIDLKDKLPYT >cds-PLY89570.1 pep primary_assembly:Lsat_Salinas_v7:4:366919308:366922424:-1 gene:gene-LSAT_4X176840 transcript:rna-gnl|WGS:NBSK|LSAT_4X176840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLP1 homolog 5 [Source:Projected from Arabidopsis thaliana (AT5G39930) UniProtKB/Swiss-Prot;Acc:Q9FLE2] MAYGGTPSGPPAASGSSPAMKQVKLEKESELRIEVSFNSSLRLRLLNGTAEIFGTEMPPENWLIFPPRMKFAIFTWYGATIEMQDNTETDYVADETPMISYVNVHAVLEGRRNRAKASPSDSDASQGPRVIVVGPTDSGKSTLSRMLLSWAAKQGWKPTFVDLDIGQGSITLPGCIAASPIEMPIDPVEGIPLEMPLVYFFGHNTPTVNADLYRVLVKELAQILERQFAGNPESRAAGMVINTMGFIDGLGYDLLLHAIDTFQATVVLVLGQEKLCSMLKDVLKRKPNVDVVKLQKSGGVVSRNSKFRASARSQRIREYFYGLSNDLSPHSSIANFNDLSIYKVGGGPQAPASALPIGAQPVADPMRLVPVNINRDLLNLVLAVSFAKEQDQILSSNIAGFIWITDIDIPRKKITYLAPSGGELPSKFLLMGSLTWIET >cds-PLY74057.1 pep primary_assembly:Lsat_Salinas_v7:5:91170848:91171255:1 gene:gene-LSAT_5X41640 transcript:rna-gnl|WGS:NBSK|LSAT_5X41640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGFNFAHINNQQERIREKNKQRGDKSIQDAEKRKDGVKQGGNKKVHPGGSPSMDILGSSKNN >cds-PLY64658.1 pep primary_assembly:Lsat_Salinas_v7:7:139016547:139019228:-1 gene:gene-LSAT_7X84001 transcript:rna-gnl|WGS:NBSK|LSAT_7X84001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLQESLNRFKKQQEKCQSTLKSIAADSKTTIKTTAPPAPRVVPRANTSTELPSLPIKFSNDTERLQHINRIRISPVSSQIKRVVDLLFKSRQSFTAEQINEACYVDVKGNRAVFESLTKNPKVSYEGKRFSYKSKHNVRDQKELLRLVRTFAEGIAVADLKDAYPTVMEDLQALKAARQIWLLSNFDSQEDIAYPNDPRVPIKVDDELKQLFRSIELPRDMLDIERDLQKNGMKPATNTAKRRVMAQNGNIYNKPKQKKKKTEISKRTKLTNAHLPELFRNLNG >cds-PLY66139.1 pep primary_assembly:Lsat_Salinas_v7:7:31295655:31297715:1 gene:gene-LSAT_7X23261 transcript:rna-gnl|WGS:NBSK|LSAT_7X23261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNPVIGKLPYGETFFHHATGRCSNGRLIVDFIAEKYSVPYLPPYLTIVESLKLKGEHGVNFAVAGATALDSKFFYDQGIGQALWTNHSLTTQLGWFKQLKSTMCTTKQECDRYFKRSLFLLGEIGGNDYNYAFFLGVTVNKLKRMVPSVVGLITAAARMLIEEGAKELVVPGNFPIGCLGAYLTLFETKDKTAYDENGCLKAHNTFSKYHNAQLKLSLEKLRHKYPQARIIYADYYGAAKALFHIPHHLGLENGALNACCGGGGPYNFNYKARCGHIGSKACKDPSTYANWDGVHLTETAYRHVAMGLLNGNFTSPPI >cds-PLY66116.1 pep primary_assembly:Lsat_Salinas_v7:7:31777495:31778550:1 gene:gene-LSAT_7X24001 transcript:rna-gnl|WGS:NBSK|LSAT_7X24001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRVGRQFSCLVFVFAGAEPEISLEIVLCGHYRGKLRHREVYLVETLPPHVSEKHMWELVGLLSIEGGNVGPSGPASSSQLVVGVKCPTRFPSSASADILVVGQAGKGTTHIRKKQSVRVVLSSEEETESDDKEIVVVPGSSRLLHPPCIDSVAIDISVYSVLGGTLGVSGDSSPSDKPHMVDEMRIASHPLASEAYVPGWVVTKYSLLLEDIVTQEWSSCAHPLVTMKLLAAQSGARMIGDLRYVAAQTSALMIAAVDRVCRLGMNEAQLKTLPDVVASLRGNFVIQRHNVECCLRKIALWHMRRLLWKTMWPL >cds-PLY73480.1 pep primary_assembly:Lsat_Salinas_v7:2:99505307:99507300:1 gene:gene-LSAT_2X43760 transcript:rna-gnl|WGS:NBSK|LSAT_2X43760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSSTPPDLKKFVVDEGHGVKGVSELNLKILPELFIQPVEKRLNMSKVLPDELIPVIDISASEDPKVIKSVCDAAEKLGFFQIVNHGVPLSIIESVKEATHKFFGLSSEEKKKYLSRNTPSKNVRYLTSFSPEVDKAYEWKDQLSCFYVSDEETLKFWPSVCKHEALEYLKTCDSVIKTLIKILITGLGIPNLNDTYESLFMGSKRINLNYYPVCPNPELSIGVGGHSDASTLTVLLQDQIGGLYVRKQDSDCWIHVPPVKESLTINIGDAFQIMSNGRYKSVEHIVVANAHENRISVPVFVNPRPRDVIGPLREVMNSGEKALYKQVLYSDYVKHFLGKSTDGKGTVDFAKN >cds-PLY72922.1 pep primary_assembly:Lsat_Salinas_v7:9:194480819:194490790:1 gene:gene-LSAT_9X118481 transcript:rna-gnl|WGS:NBSK|LSAT_9X118481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:glycoprotein glucosyltransferases;transferases, transferring hexosyl groups;transferases, transferring glycosyl groups [Source:Projected from Arabidopsis thaliana (AT1G71220) TAIR;Acc:AT1G71220] MKTRIRSGFQILIIIAVCIIVCGYSVSAETQRPKNVQVALRAKWPGTSLLLEAGELLSKEWKDLFWEFIEAWLNNESGNTDPFTAKDCLQKISNYGQSLVTGPLASVFDLSLTLRSSSPRLVLYQQLAEESLSSFPLSDDINPSPGGKCCWVDTGGALFFDVPELLLWLRDPHKNGDGFQQPELFDFDHVYFDSSKGSPIAILYGALGTNCFKDFHRTLVEAAKEGKVKYVLRPVLPSGCESKSGYCGAIGTKDPLNLGGYGVELALKNMEYKAMDDSEIKKGVTLEDPHTEDLSQEVRGFIFSKILERKPELTSEIMAFRDSLLSSAVSDTLNVWELKDLGHQTVQKIVRASDPLQSMQEINQNFPSVVSYLSRMKLNDSIKEEILANQRMIPPGKSLMALNGALIDIDDIDLFMLLDMAHQELSLADQYTKLKIPSSTVRKLLATLPPPDSNTIRVDFRSDHVHYLNNLEVDARYKQWRSSLNELLMPVFPGQLRYIRKNLYHAVYVLDPASICGLETIDTIVTLFENSLPVRFGVILYSGKLIEKLEENGGELPSSDSSIKEDLSSLTIRLFLYIKESHGIEKAFQFLSNIHKLRMESGEEDAPLLHHVEGAFVETLLPKAKTPPQDTLLKLENEQSFHEESQESSLHVFKLGLAKLECSLLLNGLVLDSNEEALMNAMTDELPRIQEQVYYGRINSRTDILDKYLSENGIHRYNLQIVGGKAKPKFVSLTPSILGDESLLNNIEYLHSVGTMDDLKPITHLLAVDVTSRKGIKLLHEGIRYLLADSPRGRVGFLFNSDIDSDSNSLIFMKVLEVTASSYSHKKKVLNFLDQLCSYYESSQESQEFLDKISELADANGIQSKGFKSAISEFSVDKFTRHLKKVGRFLNGQLGLEKGDNAVITNGRVVRLTDDATFLSQDLHLLEALEYKQRTKHIADIIEEVTWENVDPDTLMSKFMSDIIMSITSSLSTRDRSSEGARFEMLSAEHSAVVIGSENSSIHIDAVLDPLSSSGQKMASLLRILWKCSQPSMRLVFNPMSSLVDLPLKNYYRFVTPTMDDFSSTDLTVHGPKAFFANMPLSKTLTMNLDVPESWLIEPVIAIHDLDNILLENLGDTRTLQAVFELEALILTGHCSEKDHDPPRGLQMILGTKKNPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSSDLYLLQDGQEMRSTKRIIIDQLRGKPFHLGVVKKKGKEHEQLLIPSDDDESSRKQDDKDSWNSNILKWASSLIGGNVQTKKGVKTEVENVSNGRKGKTINIFSIASGHLYERFLKIMILSVLKNTQRPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELITYKWPSWLHKQQEKQRIIWAYKILFLDVIFPLSLEKVIFVDADQIVRADMGELYDMNLKGRPLAYTPFCDNNRDMDGYRFWRQGFWKEHLRGRPYHISALYVVDLIKFRETAAGDNLRVFYETLSKDPNSLSNLDQASDLPNYAQHTVPIFSLPQEWLWCESWCGNSTKARAKTIDLCNNPMTKEPKLQGARRIVAEWPDLDLEARHFTSKILGEAVSPVEKVVSPPAQPHVPEEAGPPPTEEEDEESRAEL >cds-PLY99510.1 pep primary_assembly:Lsat_Salinas_v7:1:114935355:114935972:1 gene:gene-LSAT_1X88900 transcript:rna-gnl|WGS:NBSK|LSAT_1X88900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIFFDNSCFVFCEQLTDFGLSKVGLINSTDDLSGPAVSGTSLLGDNGTQSSLSSPSLSATGTQQERRKNRSSIGQLQTIWHPRFFWEMYMMIFDNILKRNIPWPAVPDEMSPDAQDLIDQ >cds-PLY87162.1 pep primary_assembly:Lsat_Salinas_v7:5:261042012:261044013:1 gene:gene-LSAT_5X134641 transcript:rna-gnl|WGS:NBSK|LSAT_5X134641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVPLEHDYIGLSEPSSMEKASESSNHSSESDKNNALNLKATELRLGLPGLGQDLEENACKNSPVKNFVSGAKRGFSDVNFDGSCKWGFNGGYEGDSVKGSCSTTSVLFGINSGKESKQTQQPSPLPLEEKKKASVTTENGRSRTPPSSKAQVVGWPPIRSFRKNTMTANLSKNDDANAAEENLGCLYVKVSMDGAPYLRKVDLKTCKNYSQLSKALEKMFDRFTLGQCTSNGLRGQEGLCESNLKDLLHHNESVLTYEDKDGDWMLVGDVPWEMFIDSCKRLRIMKGSEAIGLAPRSMEKSKNQIRGV >cds-PLY62692.1 pep primary_assembly:Lsat_Salinas_v7:6:55754214:55755445:-1 gene:gene-LSAT_6X41440 transcript:rna-gnl|WGS:NBSK|LSAT_6X41440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGLINCYSEFSVQVSESSSCSSYKNVSSCVSPNLPPSILTAATNLYKTTLSTGNHHLITVTWCRNATTQGLQISSGDDPTMAFRLNTNSRLFRKKKGSKAFEINNSKFEVFYDLSSAQYHAGAEPVEGYYVLVMVDSELALFVGDMAEEAVVKKVKTSKQTAKFSLLSRREHFYGNTLYATKAQFSDAGTCHDIMIQCTGDDDGVKYPSLSVSIDKRVVIRVKRLQWNFRGNQTIFVDGLSVDLMWDVHDWFFNTGSRSGSGSGQAVFLFRTRNGLDSRLWLEDKVKKKEFSLLIYATKS >cds-PLY65895.1 pep primary_assembly:Lsat_Salinas_v7:5:38596467:38596986:1 gene:gene-LSAT_5X18640 transcript:rna-gnl|WGS:NBSK|LSAT_5X18640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELSVPLVRVLCFKPNIICCTEIDMQRVHQFVVAILDISHWRITKAKSCFTTILFKNVITPYQIVLKAKYKHKVNEF >cds-PLY92227.1 pep primary_assembly:Lsat_Salinas_v7:6:76069611:76070404:1 gene:gene-LSAT_6X53340 transcript:rna-gnl|WGS:NBSK|LSAT_6X53340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHYYFFLCTLLTFSLSFYGISAANHQVTNFAEKTPGGIRFTKEIGIPFTKTLMGTINNYIWTTILQQSDPADRKPVPTVNIYIVDFKGAEAITWGDNINVSAVYLLGYQGNLKWEFTSLLYHEMTHVFQWNGEGKAPTGLVEGVADYTILKANYFPPAFAKPGTGNKWDQGYDFTARFLEYCDGITPGFVAKLNKKMRKTFDVKFFQELTGKPLDTLWKNYKAKYGNIKHGDEITEFVN >cds-PLY76875.1 pep primary_assembly:Lsat_Salinas_v7:3:6202782:6205327:-1 gene:gene-LSAT_3X3260 transcript:rna-gnl|WGS:NBSK|LSAT_3X3260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQMDRRPYMDEFEKLLVRMNTPRVMIDNAGCVNATLVMIDSARKDRILLEAVQVLTDLNLLIKKGYVSSDGRWNMDVFHVTDLGGNKLTDNNIINCIQHSLGTIRNTRSKSIDGMTAIELTGTDRVGLLSEVFAVLSELKCDVVESKVWTHNGRIAALIYLKDCDSGCSIEDSQKIEKIEARLRNVLKGDNDIRSAKTSVSMAITHTERRLHQMMFADRDYERSANIKTSGECDHSPLVSVQNCLEKGYSVLNIQCKDRPKLLFDVLCTLTDMQYVVFHATINTTETGAYLEFFIRHMDGTPISSEAEKQRVTLCLRAAIERRASEGVRLELCTMDRPGLLAEVTRTFRENALNVTRAEISTTMGTALNIFYVTDALGNDVDSRMIESVRQGIGSDCLRVKELPFRNQQKGENEEQHGGGSGLGGAVLLSLGSLVKKNLYNLGLIKSYS >cds-PLY90625.1 pep primary_assembly:Lsat_Salinas_v7:6:53246654:53248436:1 gene:gene-LSAT_6X38721 transcript:rna-gnl|WGS:NBSK|LSAT_6X38721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHVPVEVIGNILSRLGAARDVVIASTTCKKWREACHRHLHMLSFNSDDWPVFRDLTTSRLEILITQTIFQTKGLQGLSILMDDVDEFSASAVIGWLMYTRETLRCLFYNVRTTPNVNILDICGRQKLELLALAHNSITGVEPNYQRFLFLKSLSLSYVSISSLDLNLLLTACPKIEFLGLISPEIAITDAQVTVELTSQTLKKVYVKAISLDKFSLEADTLEKIHLEDCAFELFELSGKGTLKQFRMHDVSIFHLDIFDPVDDLEVVDVSNFTVIWSKFYQIISKCSKLKTLRLWNVVFDDEVEFVDLESIAVCFPDLRYLALSYDLRDDLKEGVLHYSLQGESAFENVSVLDLGWMILDEHFVDWVECLLRRCGRLKKLIIHGVVSESKTHVECHMLASFTSSIVQLMRKYIHVDVQFVYE >cds-PLY84545.1 pep primary_assembly:Lsat_Salinas_v7:1:32325482:32327148:1 gene:gene-LSAT_1X27321 transcript:rna-gnl|WGS:NBSK|LSAT_1X27321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLSFCLLFPLIPVILALFLFIPFARFSSASKTKKNQPPSPPKLPIIGNLHKIGLNPHRSLQALTQAHGPLVLIQLGSVPVLVASTAEAAREILKTHDVIFANRPKLSIPDTLTYGSKNIAFSQYGEYWRQVRSIAVLALLSSRRVQSFKRVREEETRLLIDKIGENCGSLVDLGDLLNSLTNNIVCRVALGRTYHGMKFNDLLSRFAYLLGAFSVGNYIGWLSWVDRLSGLEARTKKVAEEFDEFLESVLEEHINKKRAVEGDIGGENYENQDYVDILLDAQRENTTNFILDRDIIKATIIDVFAAGTDTTFAAIEWAISELIRHPKVMKKLQKEVSEIAQGKSMITEEDLEKMNYLHAVLKETLRLHTPLPLLISRKSTEYVNLMGYDIPAGTQVIINAWAIGRDPSLWEDAEQFKPERFLNSCIDFKGVHFEFLPFGAGRRGCPGIQFAIVINELVLANLVYKYDLALPDDVRGEELDMSEYTGLTLHRKFPLLVVPNPHF >cds-PLY63079.1 pep primary_assembly:Lsat_Salinas_v7:8:72770598:72770939:-1 gene:gene-LSAT_8X51581 transcript:rna-gnl|WGS:NBSK|LSAT_8X51581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRGSTEAAFGGGDGSQEWQPRGGGRRRVASDNSSTASFPLRLAAHDGGDEGGGESLVVVKKCGGRRVMTTTSSGGCGWQRLLRQPPAVVSGFLLDKNDERRIWEGDTGSE >cds-PLY82047.1 pep primary_assembly:Lsat_Salinas_v7:9:158295781:158298072:-1 gene:gene-LSAT_9X99300 transcript:rna-gnl|WGS:NBSK|LSAT_9X99300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor IIIA [Source:Projected from Arabidopsis thaliana (AT1G72050) TAIR;Acc:AT1G72050] MEEERIETQREIPIFRDIRRYTCEYCGIVRSKKTLINAHIQSHHQDKLLEKEDDGECKLNVCEECGVSFRKPAHLKQHMQSHSLERPFSCPMEDCNHSYRRKDHLNRHLIQHQGKIFECTIKNCKSKFSIQGNLTRHIKEIHDDDDSDSEATQEQKTTYTCPEPGCGKVFQYASRLQKHKESHVKLDTVEALCGECMKYFTNEQCLKAHIQSCHQHINCEICGSKHLKKNIKRHLRTHEKVVSEEKERIKCSFDGCDHTFSKRSNLAVHVKAAHHQEKPFVCSVSGCGMRFAFKHVRDNHEKSGSHVYTIGDFVEGDDEFRSRERGGVKRKLPGVIDALMRKRVLPINESDCIHGSDYISWLLSTGDED >cds-PLY75266.1 pep primary_assembly:Lsat_Salinas_v7:7:65243609:65264232:-1 gene:gene-LSAT_7X46580 transcript:rna-gnl|WGS:NBSK|LSAT_7X46580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSIANAGSYDVFLSFRGEDTRHSFTDHLYKTLRGAGIGTFRDNEEIRRGEEVKPEIETAIKESKASIVVLSENYATSPWCLDELVLILEQRKEGNHFVLPVFYHVDPSDVRKQNKSFTIEVKGSSRWTDHNVNTWKKALTEVANLAGMVLSGPETTFLKEIVDTIYNKLDRKEVHLPPNLTGMATRYEEINSWLNQSNIEFLAICGMGGSGKTTLAKYIYDSNWRYFENTSFVENIGRRCKESHDLLELQEQLLNDILGGKKRKIPGVSQGTCKIEEALRTKRTLIVLDDIAEQNQLVDLLGTGTINAQSKIIITTIRENTKNWFKSTYYRCQEYKIKLLNEDESLELLSRHAFGSKVPMEGFEELAIQAIRYCEGNPLAVEVLASSLSNENTILYWKSRLNFLDKDFDSRIQSVLITSYESLPSILEKELFLHIACFFIGKDKDYVVKILELDYCALSGIKTLSNKCLLSVSPNNKLMMHRLIQEMGKNLVRQESPKFPARRSRVWLSSDSYKIFRKGEGSETMEGLALDMQLLKEDKVAFKSLDLKTDALKEMDKLKLLHLNFVYLNGSYENFSEDLRWLCWLGFPLTTIPSDLFMGNLVALDMSYSKLEVFDPPMVLQSLQILNLKDSYDLFEIRNMSMIPQLETLILWNCHSLVRVCETIGDLTSLALLNMTGCKKLLVGVAEQHTFSFPHSLHRLFLKDCNLECTKSFPLTFSVQISLQYLNLGNSLFECLPCYDHLKHLRVLDLSFCSRLKWLVYLPSTLAELYVYYCVSLEIITFQEHRFTLQEFGYEGCISLSEIEGFIRLVPLTKLEENDLAHMKWLKEYQNQEVCLVGDDELTKGRTQCVHMLYEFDIMSTSLPDIKDPNLKPMYVSASSTLSFDVPVCPKNRKLKGLDVTLRYTISGDDDFAWFCKITTSNGDDLMYNPKVFGKPDSWKVGIWFSYWPIGNTLNVGDKVSVSIVVMSGLEVSECGVNLVYADDEAAEETLENNKGWVEILGGDLSRFQLSTGAYYLCCRDFYELMEVGRLTPDWFRILVGDTVDNIEVRGWRKTGRPKQLNPSFTELKTVQCIIHGPQSAGDVPGAAAATASASASKFVDEFLASRLAQVKDQVMEADKSRGGMFDGLFRSKFYTKCKSQIKMMETRLDLIMTNRNATQKYLRSDVADLFKNGLDSNAYKRVGQLYVEQNLSSCYEFIEQSCLLILNYLPAMAKQRECPEECHEAISTLMFSATSFPDLPEMRKLRSLFADKYGNHLEPYVNKEFVKKLKADPPTKDIKLQMMQEIARECGIEWDYKAFEQKLEKQPPFE >cds-PLY87708.1 pep primary_assembly:Lsat_Salinas_v7:1:4238261:4241565:1 gene:gene-LSAT_1X3680 transcript:rna-gnl|WGS:NBSK|LSAT_1X3680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQATCSSSMHPHLFTASPVQLPYNTSNPTLFFTYFLFDVFLIQQKPPHLFLILTAPSDGGLFSDSFVVFAPSPSSISVADSKSDPLHCQSRSQSFNFYPDERLKTKKGVYVCTIWATSVTTSMACTSAKRTAFKPDVRHDACTRFNVVGGVKKSVMGTDVNGSSSSIFSGMRQELMSLPSAHQQD >cds-PLY97484.1 pep primary_assembly:Lsat_Salinas_v7:1:193784528:193786840:-1 gene:gene-LSAT_1X124841 transcript:rna-gnl|WGS:NBSK|LSAT_1X124841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAALDLHSASGNLSYATSQTPSGSSSFHGAPLQLLDAPSINIDEDDFFSNHTSEHFTQTPPSAASPSADSPYVASPSGNPNKRAKPSTPRPRAPSASPDPPSCASPKASITADDLALEMQKALRHLTQGPTIPQCLEKLELLELDPIDPIRFAAYHIFGGTMNIREMWVNLPNDPQILRGWIEMTATSLGVLKDGKIVR >cds-PLY69060.1 pep primary_assembly:Lsat_Salinas_v7:3:206780784:206782237:1 gene:gene-LSAT_3X121280 transcript:rna-gnl|WGS:NBSK|LSAT_3X121280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTSVISGYDRPTELKAFDQTKTGVKGLVDAGIRQIPRIFIHPPETSPKTSTIFEIPVVDLGSTDRASTVEKIRAASENLGFFQVVNHGIPVTVMDEMLQGVRRFHEQDVEVKKQFYTRDLSRTVVYNSNFDLHSSPAANWRDTFFSFMAPSPPPPEELPEVCRDIQIEYSNQVMKLGGLLFRLISEALGLNDNHLRDLDFDKGLSIAGHCYPACPQPDLTMGTTKHTDGGFLTVLLHDEIGGLQILHQNQWIDVPPIPGALVINIGDLLQARSVLQILSNDKLRSVEHRVVANEKGPRVSVACFFGPSLAPSKKVLGPLPELVSDENPPRYRETTFHEYGQYSSSKALDGVPRLLHWRI >cds-PLY95700.1 pep primary_assembly:Lsat_Salinas_v7:2:114907828:114910698:-1 gene:gene-LSAT_2X53260 transcript:rna-gnl|WGS:NBSK|LSAT_2X53260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYQPFFLLLSCLFPLAIFAYIISSRRNSRLPPGPKGFPVIGNLLEFGDKPHQSLSILSKRYGRLMSLKLGSNTTIVISSPDITKEFFNTHDVAFLNRSPPKAIQIGDYHKYSIVWMEAGDQWRKLRRMTKEYMFSVQQLDASELLRREKVQELVKHVNRCCIEEKALNVGACAFTTSLNILSNFMFSIDLAEYGPKSTQQFQDLVLQAMQAGAKPGLPDLFPILHSLDPLELIWSENVYAKKMLAIFDKIINDRLKTRSDGVSTKSNDVLDLMLDQQSSFTQNDMRHLFLTLFMAGTDTTSSTLEWAMSELIRNPEKIKKARLEVDKLMQNNNNGNIQESDISQLTYLQAVIKETLRLHPPAPFLIPRQALHDVAIQGFIVPKNAQILCNVWAMGRDPNIWSDPEMFMPERFLDVKIDYKGQDFELIPFGAGRRMCPGLNLANRMLHIILGSLIHKFDWKLVGNTRPEDIDMGEKYGITLQKAEPLMRDIAFDKDTWNKVFEAERVGMFQYLSTWFHFGLISNNLMASVYWVSPNNRICVRYRGRKHTVKTRFTGFEGDVEAVRAKAPKGIHPQCWSDAIDHFLKDKHKQ >cds-PLY67472.1 pep primary_assembly:Lsat_Salinas_v7:6:73193060:73193769:-1 gene:gene-LSAT_6X52380 transcript:rna-gnl|WGS:NBSK|LSAT_6X52380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYKEACNICNTISLMLVIVNLGMVLLLGSKGSLPSLAMMVMVFFGSTKGVMRKDKEMCENQLIQLESCLPYMGGKAKVPSKDCCSDLKIVLTKNKACLCNMIKEYNPSLGFKFNDTLALSLPDTCNISDNVFECPSLLHLQLGSPDAKVYEDHAKSTKSKNKTIGLYQVEKALNLQNI >cds-PLY80164.1 pep primary_assembly:Lsat_Salinas_v7:3:41344615:41345496:-1 gene:gene-LSAT_0X37121 transcript:rna-gnl|WGS:NBSK|LSAT_0X37121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNRRYHRMKIMLYLAYDRGSEKKGLISKTWERCKSFRGGGANRSSKIKRPFLKKSRSWPPIDAKEGKALNIAPKGCFSVYVGPQRQRFVIRTEYANHPQFKAFLEEAECEYGYKKEGPLELPCEVNDFVKVLMEMEDGNVISGVKGCIFGLKGDQYESHHYHNQCKIGNK >cds-PLY64868.1 pep primary_assembly:Lsat_Salinas_v7:3:16855352:16855843:1 gene:gene-LSAT_3X12120 transcript:rna-gnl|WGS:NBSK|LSAT_3X12120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQVKGMVNSTVTDFYDKLYRTTKSIKFDLTEVCNVARERHEIIVKSLYEFKKFVEINIKEVYELLSTVVKKNDGLFLGVQKNVDCLLVATRTLVEDVRAFNVRYKEALEKKKEDDDTLFKGIDTSINTFQERILNVATDSRSLLFDVHVNKSISLIEACFKS >cds-PLY68301.1 pep primary_assembly:Lsat_Salinas_v7:1:27327511:27331681:1 gene:gene-LSAT_1X23861 transcript:rna-gnl|WGS:NBSK|LSAT_1X23861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDLHLYILLLGIAWSIFNRYFVPRIKNLPPTPLLALPEISYLTLFKQLPIHRALYSIAARHGPILLLHFGCRRVLLISSSSLAEDLFSKNDAVFAHRPKLVVGKEFGSNYSNLAWAPHGGHWRHLRRVSCLEILPFHRLPEQHDSLTDEVKLLLGRLFHSQKKTVELKPLFLDLVLNVMMKMFAGNGFCPKKMTCEGNGMESISMVDYVTHSFRMTTDEQDVGYFMPLLKTLGLITLEQRCKELQKKGDSLMDSLIEELRSKMRDIEGSGQKEGKVIEFLLARQKENPERYPDKLIRGLVQVLMSAGTDTTVGVLEWAFSLLLNHPEVLRKAQNEIENYVGDDKRFLKQSDMEHLPYLHCIVKETLRMYPVAPLLVPHESSKECSIGGYYIPKGTMLMVNAWAIQNDPNVWKEPEKFMPERFEKIVGERDGFKLMPFGYGRRSCPGKHMAMRVITFTLGSLLHTFDWERVSENMVDMNEQTRLALFKAQPLMAVCHPRATMMNLRSQI >cds-PLY97262.1 pep primary_assembly:Lsat_Salinas_v7:1:43778586:43782059:1 gene:gene-LSAT_1X38001 transcript:rna-gnl|WGS:NBSK|LSAT_1X38001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGAGEGFEVTKYGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVSKSSDIVLMVLDASKSEGHRQILTKELEAVGLRLNKKPPQIYFKKKKTGGISFNSTMQLTHVDEKLCYQILHEYKIHNAEILFREDATVDDLIDVIEGNRKYMKCIYVYNKIDVVGIDDVDKLARQPNSIVISCNLKLNLDRLLAKMWDAMGLVRVYTKPQGQQPDFSDPVVLSADRGGCSVEDFCNHIHRSLVKDVKYVLVWGSSARHYPQHCGLSHVLQDEDVVQIVKKKERDSEGRGRFKSHTTGPARIADREKKAPLKN >cds-PLY77345.1 pep primary_assembly:Lsat_Salinas_v7:5:143342967:143348354:-1 gene:gene-LSAT_5X63520 transcript:rna-gnl|WGS:NBSK|LSAT_5X63520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSWSLLAASSQVHVAVVSQILSFLFFLFFSKGALGVTFNIVNDCGFTIWPVIISTTSLNETTFELTKGSSRSFQAQSVWLGSIWGQTGCNYNRSGKWSCATGDCRINGTNDMACSVYDVTIPATVVDFRINQTEIHSQDFYHVNIAQGYNLPILVEATSGSGSSLRSCAKTGCVEDLNQRCPTELSSANGKVCMSACLAFGSPEYCCSDSFSSPSSCKPTAYDQLFRSACPRSFTYSTPSDLWDLETTTFTCNGADYTIRFCAPADSFSTIKLGGQLKSTDQLVSVRGNFTLGFFGEDYSYLGIWYTSDVQSTKVWVANPNAPIISTPGTHTLSISAKTGDLVISAGSKTLMRITDVKLGANANVTATLEDNGNFRLINQVDKRVLWQSFDHPSNVLLPGMKLGYDMTTGQNWTLTCWLSNENPKSGPFTLSWEPTQKGSQRLVIRRRGRSYWTSGNLNNQIFQYMFALNGPGSQSMYNLTFVFNNKERYFSYDGNIAALPMWILTPKGQIRDINNATAWSPEFCYGYDSGNGCMESSLPQCRTENDNFSKKNGDFAQDRTKSATDGNSSLSINDCFVKCWNDCNCVGFSSSTINGSGCVIWTGINSFLVNPHDNSTSKYVISQNLGNRNTGNKTQKSKNWIWISISVFIPLVFLCFGALWYIKKRKHRQKGYERRKRDEYFLELTTSESFKDIHQLEPDGVKGNDLLLFSFASIMAATNDFSFQNKLGQGGFGPGKLSDGREIAIKRLSRTSSQGLVEFKNELVLIAKLQHTNLVRVLGCCIHGEEKMLIYEYMPNKSLDFFVFDKNRKAELDWPKRFIIIEGIAQGLLYLHKYSRMKVIHRDLKANNILLDESMNPKISDFGMARICEQNVTEAMTNRVVGTYGYMSPEYAMRGVFSIKSDIFSFGVLILEIVSGRRNSSFVNLDDTYSLIGYAWVLWQQGDSLELKDLSLGNTCDEQQFLRTVHVALLCVQENAIDRPTTSEMISMLLNDSISLPTPNRPTFLMGGGDSKSTSYETKAEDFSVNNVTISVVGSR >cds-PLY87783.1 pep primary_assembly:Lsat_Salinas_v7:1:46177639:46181094:-1 gene:gene-LSAT_1X40080 transcript:rna-gnl|WGS:NBSK|LSAT_1X40080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSKPSSFRLSLAVVVECLGASIVATGSLKEHGSEWDHGTTLCSYAYGDNEGTSPERPISSVRTTVTCYTLRSSLDRAYGGERPPLPPSKILIHVTCQASAYVVGVSGWATGLKFGSDSIGIEYNTHHNIGIAVFALGTIKIGFQLIDVEDASDFTELVSKRDGPVTFLQLLHIPANPDGNEKFLSLHPLLIVVASDEATRPTMGQNQCYLSPAGRDGSVVSHQFHWFPVLVCGKVETRCSFLDKQGIHCVGFWICECSCGASVPSLDHLMYDKGWNLSSKLCPCKGCQVLEKLENGSHTVKGVKLISQDNKALSVILLPLKNMVCRHWVAIVAERRQQAVSKKGYALKCDWWSLGAIMFEMLVEYPPFYSDDPMSTCRKFLWVNLIMDTCGAFALAIEPPNDGLMNRFDCDCYLDNIA >cds-PLY90343.1 pep primary_assembly:Lsat_Salinas_v7:2:198827070:198827952:-1 gene:gene-LSAT_2X120081 transcript:rna-gnl|WGS:NBSK|LSAT_2X120081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIIPSFFGGRRTNVFDPFSLDIWDPFEGFPFNNNNNFRSLSDRVGSSETASFAHANIDWRETADAHVFKADVPGLKKEEVKVQVEDDRVLQISGERNKESEEKGDTWHRVERSSGKFMRRFRLPENAKVDQVKAAMENGVLTVTVPKVEVKKPDVKSIQISG >cds-PLY91819.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1430407:1434526:1 gene:gene-LSAT_0X621 transcript:rna-gnl|WGS:NBSK|LSAT_0X621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMDYHGDMGRLDPELLQLNEVSSLAIKSNSYVAEKLFEQWLSLPETTLLVKSLVSKAKSGLTLNVSAASSSPKSACSNSVPPLSPRSSSGSPRVTKQRAGPSVLSSPLKVVSEPVKEFIPQFYFQNGRPPPNDLKDKCLTRTNQFFYGHANGLSLEEFKPVTKEICMLPSFFSSTLFKKIDVASTGTVTRDAFVDYWINGNMLTKDTATQIYTLLKQPDLRYLTQAIFEDFKPILRELLATHPGLEFLQSTPEFQERYAETVIYRIFYYENRVGNGRLTLRELKRGKLIAAMMHADEEEDINKVLRFFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSEVEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGVLTRNELQFFYEEQLHRMECMAQEPVLFEDILCQIVDMIKPQEEAYFTLQDLKGSKLSGSTFNILFNLNKFMAFESRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDADDASNGSGDVWDESLEAPF >cds-PLY92193.1 pep primary_assembly:Lsat_Salinas_v7:6:75262811:75263230:1 gene:gene-LSAT_6X52760 transcript:rna-gnl|WGS:NBSK|LSAT_6X52760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVYMKIDLHFAGLFTRYPVISYSDGVEKRFEDVDFAGMDKNEFLLFIQSFTNETCVDVYYFMPDIEFPEGLRIIATEKDYQELIEVGYDYGCVIFVYMDHICVNVHQWIVDEMVEVCTPGDKYSDVGDVIEDLHVETE >cds-PLY66133.1 pep primary_assembly:Lsat_Salinas_v7:7:31049009:31049230:1 gene:gene-LSAT_7X23461 transcript:rna-gnl|WGS:NBSK|LSAT_7X23461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIILLLLSNLSKSEATSYRGLKSLDSRKILLDLGYDLSKLKYDHNRRVMTGTDQLAPGGPDPQHHKKNPNKS >cds-PLY70341.1 pep primary_assembly:Lsat_Salinas_v7:4:99351655:99353487:-1 gene:gene-LSAT_4X64361 transcript:rna-gnl|WGS:NBSK|LSAT_4X64361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMAGVGGGGSKKLKRAARRLLHTCGSFSFRQSHLEPPSPTVVIASGSTNNSPKPSKVNPDNFTENVSNADPTTSSSKTMCAICLETLNYSSCSTPGQAIFTAQCSHAFHFDCIASNVRHGGVTCPICRAQWTHLPRNLKTPPYSNLTDDPILQILDDSIATFRVHRRSILRTARYNDDDPIEPSHGLDLNHPRLHLSILTTEPHPSCSSSQPLDSTFRPACLSVRLAHQPAMDLVLVACPNGPHLRLIKQSMALVVFSLRPIDRLAVVTYSSAAARVFPLRRMTSYGKRAALQVIDRLFYMGQADPVEGLKKGVKVLGDRAYENPQSCILHLSDNPTRSYHGFDAEVAVRVHRFHVGYSFGTSNGFVMHEFEEFLGRVIGDKIRDIQLRIREDGRVVRLGELSGGEERRIPVSVREGGSVWVEYSYIEDGVRECLRSGEVVVGVGLGEKGEAAGGGGGGGDGGGGNEDGRSSNAGNWDYHDPYMARRWAKHLHGYRL >cds-PLY84824.1 pep primary_assembly:Lsat_Salinas_v7:4:145136452:145137033:-1 gene:gene-LSAT_4X90441 transcript:rna-gnl|WGS:NBSK|LSAT_4X90441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDPSACSVFGGALGMLGDSSPSSKPYMVDETRNVSHPLASKAYVLGWVVTKDSLLSEDISTQEWRSCAHPPATMKLHAAQSSARMAGDLQYAAAQTSALMVAAAGRVCRLGMNEAQLKTLQNVVASLRGECRDSEAERCMLSEQNNIVACEKDALEEHVATLEDQVERLENQVNSLARENGVLVSELARCQC >cds-PLY77004.1 pep primary_assembly:Lsat_Salinas_v7:6:65142620:65143252:1 gene:gene-LSAT_6X47781 transcript:rna-gnl|WGS:NBSK|LSAT_6X47781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQELLSGIPHDIYEQLPDEDKSSPFNVWNALKNQFEGIGKILTNRKKVTLIDIDNFKMLPHETLFDPYSRYNIVVNRLKKLKGERSQEDFKMKLLNNLSPKWDRVHMIILQTTINLDAMSLFDLYAELQQHDQKVDNLAQPTPFDNQGLARGNSAPMENHSQNLIAHHNPIANHFANQFANQGYGAYLYQSSSMVQQCNQDPYMPTQQPL >cds-PLY89473.1 pep primary_assembly:Lsat_Salinas_v7:8:91727702:91738321:-1 gene:gene-LSAT_8X64840 transcript:rna-gnl|WGS:NBSK|LSAT_8X64840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVQIRRRKVTRRWRSIHRRSLDSSTTSSHSLVSIQSLPTVVEIAIETPDDSTVTLKGISTDRILDVRKLLAVHVETCHVTNYSLTHEVRGPRLKDTVEVVALKPCHLTVVEEDYTEESAVAHVRRLLDIVACTTAFGGSSNSPKNTSTAAPPRSKESGSSDGETGLDKKSNGEDKSPKTDVSISSDPSEKGDAAAEIYPPPKLGQFYDFFSFSHLTAPIQYIRRSARPFLEDKTDDDFFQIDVRVSGGKPMTVVASRKGFYPAGKRIISSHSLVGLLQQISRIFDSAYKALMKAFIEHNKFGNLPYGFQANTWVVPPVVADNPSVFPPLPVEDENWGGNGGGQGRDGKHDHRQWAKEFSILAAMPCKTPEERQIRDRKTFLLHSLFVDVSVLKAVATIKHLADSNKLSSSNGPIDSILHEERIGDLVIRITRDVSDASVKLDAKYDGSRILGLSQEELAKRNLLKGITADESATVHDTSTLGVVVVRHCGYTAIVKVEAKVNWDGKPIPQDIEIEDQPEGGANALNVNSLRMLLHKSPGAARVEDLQCGNVLVKKVLEKSLEKMKEEECKDRKSIRWELGACWVQHLQNQEKSDSKKVEEAKVEPDVKGLGKGLLKGIKKKSEDKNGKTEEVDTVNKSDNKETVKLDEEQEMMWRKLLPEAAYLRLKESATGLHLKSPDELVDMAHKFYDETALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMCSLGRVVELADKLPHVQSLCIHEMIVRAYKHILQAVISAVDDVTDLASSIASCLNVLLGTPDTSDLENLKWYWVESFLSKRYACEQKSDYRGTLRKFAILRGLSHKVGLELVPRDYDMDSAYPFKKSDIVSMVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNFGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGPEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYIAPDADMKAREAQKKQARAKLIKGKPGGQNEEPLLDEIQKEEILSPTPTPSHHIRKNSSDKENKSEIRNEDLKNKKTDLVQKEEIQKEQNGYLVETDNSDEGWQEAFSKSGRKSSTSRRPNLAKINTNFINGGVSQSSKYRSKPTNFTSPKTSEPAVTKKSVKTEKPTTKSNPSSPASNDQTVKRSPVISSISVKEAGKLFSYKEVALAAPGTIVKAVAEQLPKENPTDEISTAVEEPKKEDEQHKKDVEEVKETPEVDTVIEPETVDNTDPGVESVESSEISKVEIETEPIAEVADEDDDKTPNEEGSQSQSQSESQSQSPGMETETERERAKEAASKKLSAAAPPFSPSNIPVFGSVLKDHGGILPPPVNIPTMVTVTPVRRNPHQSATARVPYGPRLAGGYNRSVNRAQRNKPPAFELVVDGAHLIPPTVMNPHAAEFVPGQPWVPNGYPVAPNGYLVTSGGYPISPNGYAVNGFPSQNGYPVSPVDSVESPVSSVDSPSVVTTQEVCVTVETPTEHVGENKEEVLENVEKIGEEIQSVTVVVEETQSVMEEKAAEIVDAQSPEIVDEKPIKNGEVETIEVTS >cds-PLY80037.1 pep primary_assembly:Lsat_Salinas_v7:9:46359415:46360202:-1 gene:gene-LSAT_9X42841 transcript:rna-gnl|WGS:NBSK|LSAT_9X42841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALLNFFKQAWTSIVKVFTGCSSKSDEAPTTTTLDISTAVDSETTTITTLDTELISSGGGGKTHADLLGAELNTSSGTGEKDILATSMISSGGGGHTHDTTL >cds-PLY76609.1 pep primary_assembly:Lsat_Salinas_v7:5:223755172:223755645:1 gene:gene-LSAT_5X105621 transcript:rna-gnl|WGS:NBSK|LSAT_5X105621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKEGKLVEKSTSGRTMVRSEPVGWVSHRVCNRLARVRCGELHCNQQRMTQIRNSICKRTSMKLNRNTWVDQRKVKIGSPANVRFGRTTAKIGQKRPLVVECDNRGDRLKIIESGEGVDWAVAEALAFATMLVEGNHMRLSGQDVERGIVPELPP >cds-PLY97773.1 pep primary_assembly:Lsat_Salinas_v7:4:376276759:376277055:-1 gene:gene-LSAT_4X185840 transcript:rna-gnl|WGS:NBSK|LSAT_4X185840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTGATSGGRDCVKHRRFEKNNSSLVCDVPSLTGHDFSDSEFVVAVLGIEWQWRQQLRSFNSSQSHRQNLRVVELSLAGNKHGGSSVSLSSPANRKWE >cds-PLY64662.1 pep primary_assembly:Lsat_Salinas_v7:7:136419324:136421916:-1 gene:gene-LSAT_7X81641 transcript:rna-gnl|WGS:NBSK|LSAT_7X81641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAELGKPHAICIPYPAQGHINPMMKLAKLLHFQGFHISFVNTHYNHKRLLRSRGPSSLKGLRDFRFHSIPDGLPPSDAEATQSIPDICESVPKHCLEPFCELITKLNGGEESDVPPVSCIISDGCMSFTLKAAERFGLPEVLFWTPSACGLLGYTRYRELLQRGYTPLKDMNDITSGYLETSLDWIPGMNNIRLRDLPSFIRTTDINDILFNYLMTEAETLPRGTAIVLNTFDALEQDSVNPLIEINPRTYTIGPLHLMQQHIHDDRLKDIGSNLWKEDESCIMWLDTKDFGSVVYVNFGSITVMTKEQLIEFGWGLANSKKNFLWITRPDIVGGKEALMPQEFVDETKERGLVTSWCPQEQVLRHSAIGVFLTHSGWNSTIESISSGVPVICWPFFAEQQTNCRYSCVEWGIGIEIDTDVKREEVEAQVRDMMDGKKGKIMKSKTLEWKKEAEEAVAIAGSSYLNFEKLISEVLLRKCS >cds-PLY89610.1 pep primary_assembly:Lsat_Salinas_v7:9:39747536:39749659:-1 gene:gene-LSAT_9X37520 transcript:rna-gnl|WGS:NBSK|LSAT_9X37520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISLTQSSGFGGGDFYSGERRAKRRRSKDDVVFYDMFASDDPDTDSEGGSIKKKGRNDLFKKRQAKDEETIGAFEKHTKGIGMKLLEKMGYKGGGLGKNAQGIVAPIQAKLRPKNMGMGFNHYKEAANVPTLQESVDEIKVSGIQQKEKPWLKQGSSNKKEKEYATAEEFFVKKQEQGLDVVQNMRGPQVRLLTNLENLNSDEKLRENDNKPMPELQHNINLIFDMAKLDKKKILQDLRNERETFVILHNEKEKLKDNAALEKKQLDTIEDMVSVMERLSLLGTLTLESLATSFVDLHTRFPNEYKIFSLATIASSFALPLFIREFQGWDPLQNPTHGLNVMSLWKDLLEEDEIFDSLFMEVVFPAVVRLSGTDTWQARDPEPLLRFLDSWEQLLPHSVLQTILDNIVMPKLTSAVDSWDPLCETIPIHLWVHPWLPLLGPKLETLYHTIQNRLESVLHAWHPSDMSAYYILSPWKTVFDPLRWEQIMVRYIIPRLLAVMHEFEVNPADQKLDQFYWVRIWACVIPIHHMLHIMDVFFNKWQEVLYQWLCSKPDFQEVRNWYLSWKDLIPSELLSNEHVRYRLNLGLNMMNQAAEGLEVIQPGLREFEAQKAAAQVAKGCAAEEMGGAGGVMSLKEVIEVHAQENNLMFKPKVGRMKDGHQVYGFGKVSMIIDSLNQKVYAQIEDKWSLVTLEQLVKLEKSSVLRRH >cds-PLY80320.1 pep primary_assembly:Lsat_Salinas_v7:7:156448239:156452035:-1 gene:gene-LSAT_7X92481 transcript:rna-gnl|WGS:NBSK|LSAT_7X92481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDFEFFLYVSLLISTLFLILNLAKKRKHTTSSPLINLPPGNMGWPFIGETIGYLKPYSATTIGAFMEQHISRFGKIYKSNLFGEPTIVSVDPGLNRYILQNEGRLFECSYPRSIGGILGKWSMLVLVGDMHRDMRLISLNFLTNARLKTQLVKEVEKNTLWVLDSWQENTSFCAQDEAKKFTFNLMAKHIMSLEPGKPETEQLKKEYVTFMKGVVSAPINFPGTAYRKALKSRATILKFIEMRMEERIQKLHEGNDLEGLEDDLLGWVLKNSNLSKEQILDLVLSLLFAGHETSSVSIALAIYFLETCPKAVHQLREEHEEIAKMKKQSGEKDLTWEDYKKMEFTQCVINETLRFGNVVRFLHRKAIKDVRYKGYDIPCGWKVLPVIAAVHLDPTNFDQPHLFDPWRWQGATLISSNNFMPFGGGPRLCTGSELAKLEMAIFIHHLVLRYQWELTDIDQAFAYPYLDFPKGLPIRIHCLK >cds-PLY99059.1 pep primary_assembly:Lsat_Salinas_v7:6:151076141:151077414:-1 gene:gene-LSAT_6X91520 transcript:rna-gnl|WGS:NBSK|LSAT_6X91520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHACDSRHLGVFHKEPGKELHLITSKTPEIRAGNEGRNCNETNMEDKESKHEFPSEELKMHKGNDIELKVSQDGTKPQGWKNHARLTMESKQKDSKEKINNSKDSSVTEDVGVMDYAQPHRKPPIHNLQP >cds-PLY75801.1 pep primary_assembly:Lsat_Salinas_v7:3:68853910:68855285:1 gene:gene-LSAT_3X56241 transcript:rna-gnl|WGS:NBSK|LSAT_3X56241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKMMTKLQRFGTIRQLHTIISRETIRPSSPTPPHLKTYNLSLLDQFAPDMHTPSVFFFRNYKKSDTNILKKSLSQCLTHYYPFAGRLTTLPASYINCNDEGVEFLEATNDSLLDNFLHKNEQDETIDQLFPYGLSSTARASCSKLLEVQLNHFAGGGVAVALSMSHKLADAATVANFINHWATITRGGSPVNPCFISSSTSNEIRMPKLIVKDIDKVTYATRRFIFPNSKLNELKDKVISMGAAPMNPTRVEVLTSLLYKHAVSAAKTKSGSFEPSNLSVAMNMRNKFVEKYPETAVGSIFTWTTVKLVDSGEIRLSEMIAEVRKAKMELEGIRDEQEVVEKFANTSSSLQGDVYYSSSVCRLPFYEVDFGWGKPVEVIIRIPDVEEKTLILMDTPSGDGIAALVHLPEEEIAILQNDKEFLTYVEEV >cds-PLY79071.1 pep primary_assembly:Lsat_Salinas_v7:3:9813072:9822829:-1 gene:gene-LSAT_3X7360 transcript:rna-gnl|WGS:NBSK|LSAT_3X7360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRPRAAEKRVLGQGSIVLTSGSSLAIPPAPVYTPTEEEFKDPLAYIYKIRPEAESYGICKIIPPKNWKPPFALDLDKFSFPTKTQAIHQLQARPPACNSKTFELEYNRFLEEQCGKKAVKKKVVFEGKELDLCKLFNGVKRFGGYDNVVKEKKWGEVFRFVRSGGKISACSKYVLCQLYKEHLYDYENYYSKLDRVKEKSCKRGVQGEKKSGQQSCKRSRINEAGDNIKLQHKGKDEEYDQICEQCRSGLHAEVMLLCDRCNKGWHIHCLSPPLARVPPGNWYCSECFNSEKDSFGFVPGKQLTLEAFRRVADRAKKKWFGSTSASRVQLEKKFWEIVEGSVGEVEVIYGSDLDTSVYGSGFPRAADERPSSIELNEWDEYSDSPWNLNNLPKLQGSMLRAVHHNIAGVMVPWLYIGMLFSSFCWHFEDHCFYSMNYHHWGEPKCWYSVPGSEASAFEKVMRNSLPDLFDAQPDLLFQLVTMLNPSVLQENNVPVYSVLQEPGNFVITFPRSYHGGFNFGLNCAEAVNFAPADWLPYGGFGAELYQHYRKPAVLSHEELLCVVAKNDFDSNAIPYLKKELLRVYNKEKSWRERLWKNGIISSSFMAPHEQPNFVGVEEDATCVICQQFLYLSAVGCSCRPSALVCLEHWENLCECKPRKRHLLYRHTLSELNDLVIMMEKDTSEETTQKRNTRKLSTCTKDSPSLSKKVKGGHVTQAQLAEEWLVRSCKILQMPYSSDAYASALKEAEQFLWAGSEMDPVRELAKNLAEAQNWAEGVRDCLSKIELWTRRDDCKVERVKMEYVSSLLDVSPVPCNEPGHLKLKGHEEEARVLIQEIYAALSTSSNVSIVDLETLYSKASKSAIYVKESEDLSTKLSAVKLCLQTVRNCISEKTPDVIEVDVLNNLKSEIVELKLQVPEVSMFLDFAKRVELCQSRCTEMLKGSITLKNLEVLVQEYDGFTVNVPELKLLRQYQSDALYWISRFNNILKNAHEREDQENVFDELICLEKDGSLLKVQVDELLLVDVELKKACCRVKAWKVLRSKMPLESIQQVMDVATELQIGNEKVFKEVSDVLARAVCLEEKAKHVLACEVHMSEYEDVLRMSENLCALVPSIDGVKGALLVAKSWLIKSKPYLVSDLSVMSDADSLLKVDDLKELVLKSKLLKMCLEERSLLEDVLRNYIKWEHHACSALHDAESLLNILDVNLSYDIVFKLGDQITKMESIMKAEYSLRFDSVVIPKLQETCAILQWCFIALNFHAVDPTLKAKKHHVAYASRPFWRSLVDGMNWLKKALEILGPCNDNKRFDLSDVKETLRQYKMIKISFSLILDRLLDAVKRHNVWVEEVKSFFNRSSGDRSWSLLLQLEGVGSTDAFSCTEMEMVASQVQKVKEWKQRGRDIVGVKAGDDNLLLNALSQILDSLDRSLEVYNKKDGCNQRRFCMFCSCESGDQELSTCSVCMECYHMQCIKPSLGGGTTQSHASAHMCPHCHIIESGKISQLKIDAKRPELDMFVKLLSDADNLCVEIEEKVLIGQIVEKAVAYKDCLTKIVEFSLASLGNDPSLVFSKLSTALKAVEVAGVYDGESNSKFEFALARNSWRGVAIKVPLEDNLWKRLTEIKEIGLQWALKAKEVSADSGALELHKVFELIDKGENLAVHFDNELKLLRERSMLYCICRKPYDRIPMICCDKCEEWSNVKLKCEEEPQTPSPRPVELRREAESKDISSRRRSGIERLLWRNKKPFRRSAKKRIQLDIFSSFFHVHS >cds-PLY67252.1 pep primary_assembly:Lsat_Salinas_v7:6:133959144:133960424:1 gene:gene-LSAT_6X82700 transcript:rna-gnl|WGS:NBSK|LSAT_6X82700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGRKDGRREEYQLFSIMKFAGTFKFRLLVMPISFKYLKNIETDSSGNFIVYQEMRCYFLTAQRLDTESSMEAVIGFQMNKGKEDVYFAIRGSKTADDVILIERNRERNIQRWKVARTDFMPPKWSLVTKD >cds-PLY73946.1 pep primary_assembly:Lsat_Salinas_v7:5:36548044:36549911:-1 gene:gene-LSAT_5X18061 transcript:rna-gnl|WGS:NBSK|LSAT_5X18061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKAAAYYDELTRKGGGAARFKQGLGFSSSSPDVTGNSNHSDAVPARGSALPSSSSFLSSFVRASSPSKSSDQKQAQIESIQNKLKKKPNSSSSSRVSDDHHFRDRESDKHSSRRRRSRSRSRDGDERSRNRRRHRSSSRDRDRKEEKDRYRDRGKGSRRRSRSLSPRHRRSEKSRADDDDRNARKEKTGRVDYAKLIEGYDKMSPAERVKAKMKLQLSATAKQDEANGMGSGWERFDFDKDAPLDDEEIEAAEDDVDLVKHIGQTFRFSAVEARREEKIKATHDEAIFGSSSLAPPVDTDSEAEGNDITRESPETAPVATSLISDQVRSLQQGSWRDRIRKA >cds-PLY65328.1 pep primary_assembly:Lsat_Salinas_v7:4:251378659:251378980:1 gene:gene-LSAT_4X131560 transcript:rna-gnl|WGS:NBSK|LSAT_4X131560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGLNPNILFRDGLKKLFFLEADHEGKTLLEEASALGHLDSTFVLGMMVMAEGRQRKNEAMNMLNNAYRITNGT >cds-PLY75450.1 pep primary_assembly:Lsat_Salinas_v7:7:74617714:74619274:-1 gene:gene-LSAT_7X51761 transcript:rna-gnl|WGS:NBSK|LSAT_7X51761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINFGFYSRADDYFYTNSGIDWDTSAVERAYEWVEDSIVTRPNQLGRWQASTLNALLESGVDPANGFTLDHLQGTKISGSTFDDSGRRHGAVELLNKANPENLKVVVHAIVDRIIFSTSNSLAATGVTYHDSRGTNHEVHVRKNGEVILSAGALGSPQLLLVSGLGPVSYLSSLNIPVVSDHPFVGQFMADNPRTGVNVLVPVILPDVGVRVVGIAKSGPYIESSVVPRLTPSINFIPFLGSLPPLINLSIVIIGGKVTRPLSTGSLHLISPFDVDVSPSVRFNYYSHTEDILQCGNAVEVLRNFLQTRTMEEYKFGDIFGGKDFKYLGPSLPEDPSDMDSIATFCRESLSTFWHIHGGCLVNKVVDSHLKVIGVDSLRVVDASTFFNSPGTNPQATLMMLGRYIGVNILNERAADDIHS >cds-PLY96006.1 pep primary_assembly:Lsat_Salinas_v7:9:41538820:41541767:-1 gene:gene-LSAT_9X38321 transcript:rna-gnl|WGS:NBSK|LSAT_9X38321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLPKEELEDEASPITASIKCRGSRYWVTKMHVDGFRFDPASIMTRGSRNHRDHIYNKAQLVEASDNPETQAEYEKEISPDWWGNKFGFVSGGLLGAQSKRKKSNSTSEITQNSNKRTAFYEEDQENLYKLVQDKATSGKQGLGIKDRPRKIAGVRFQGTKTSFSDSEREESESEDDHSLKKQKIDDVSESKVKLKKLCRKLLSQVPEKSLKLKKLKALIDENSSIFSNFSSKKDSLEFLRQKLERSEKFIVEGKRKLSGNRNFEGRVHPLTRANYLASPPLVVAYALVGTLSSVGGQLVISSDGVADWLRNQQQHKQVSFVK >cds-PLY68201.1 pep primary_assembly:Lsat_Salinas_v7:8:118958643:118960375:1 gene:gene-LSAT_8X82720 transcript:rna-gnl|WGS:NBSK|LSAT_8X82720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRSHVRFRFTSHQRFNNYLAPFFLFLTALSLILFLALRSSPHSISKTLSNSDAISNSISDTANNLPEVPRFAYFITGTRGDGVRLHRLLRAVYHPRNYYLLHLDLEASDAERVDLAKNFKEVNNVMVVGKANLVTSKGPTMIACMLHAIALLLKQGKDWNWFINLSASDYPLMPQDDILHIFSYLPRDLNFLEHTTNIGWKEFQRARPIIIDPGLYHSKKSGVFWAKEKRSMPSSFKLFMGSSWVVLTRPFLEFCVWGWDNLPRTLLMYYTNFLSSPEGYFHTVICNHKDYQNTTVNHDMHYIKWDNPPKQYPVNLTIQHFSDMVESGAPFGHSFAQNDPVLDKIDHELLGRSVDQLGRSVIYLKADAIKPSMSSKRLEKLVSHLLSTENFRPKQCK >cds-PLY69620.1 pep primary_assembly:Lsat_Salinas_v7:4:330522218:330524174:-1 gene:gene-LSAT_4X163661 transcript:rna-gnl|WGS:NBSK|LSAT_4X163661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSKQQNVCPQNPIFAIDDALLCDEQENDFDFGYGFGSSEHENEIVKEKDPNFTHFQQGLLWEEDELSTLLSKEKTTHLICDESLMVLRKESVDWMIRISTHYGFVALTTILAVNYFDRFLISTSFQRDKPWMNQLVAVACLSVASKVEEIQAPLLMDLQMDGTKFVFESKTIMKMELLVLSSLDWKMNPVTPLAFFDYIMRRLNLTTHNLHYEFLRRCERILLSVVNDARFLGFLPSVMSAAIMCIVSKEIEPDNASDYQNQLLNLLKISKENIDDCSKFITEVSISSNNHGTIFSQTHKRKYSSVPGSPSGVFDAYFSSDNSNNSWMIGSSVSSSPEAPLKKNRVQEQQMRLTPVNRVSISVLSNNH >cds-PLY69750.1 pep primary_assembly:Lsat_Salinas_v7:5:232013164:232013901:-1 gene:gene-LSAT_5X117240 transcript:rna-gnl|WGS:NBSK|LSAT_5X117240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVDSDSNSVSEAGKSNSDPVFMRKVFFSKELGDDDDGKPENLFPPFFMQLPSELILKILDSVSGVDIAKLSCVCSELRYLASSEDLWKQKYIIAQFGNYQERLGSERSFKERFAKAWEGRKSGNGVSSLNVMKRNRFNPFGCDLEGDDNEWREIVRLCQLRVGVP >cds-PLY71303.1 pep primary_assembly:Lsat_Salinas_v7:8:102990840:102993314:1 gene:gene-LSAT_8X71601 transcript:rna-gnl|WGS:NBSK|LSAT_8X71601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFAHSTLGHFVFLLFLISTIQHVNGSDLETLFKFKNSLINGRNLTTWTSTEDPCNNNTANWEGIFCTNGTVSAIKLERMGLEGNIDATILARLKSLVSISFMDNNLKGSFPDFGMLYGIREILLSNNKFSGEIPANAFKGLKWLEKLYLANNKLMGQIPVSVTSLPMLKELLLENNHLEGEIPKFYKTNLTLANFANNHFNGRIPEGFQNFSASLFLGNEQLCGQPLEECQRNGASTATIIIIALVLATAVGAVLFAVVILSSRRHQDPDFARIQNHGSTVLGASANLSMKEKGASSARKEKKADLSMKLTFLIDDEEVFDLADLLKASAEVLGSGMFGSSYKTALASGKVMVVKRFRHMNNVGQEEFYEHMKRLGRLRHPNILPLVAFYYRKEEKLFVSEYIDNISLSYHIHGNQSNEIRSLDWPTRLRIMKGVVKGLHSLYIKLPSLIVPHGHLKSSNVLLNKNYEPLLADYGLVPVTNPEHAFDLMMAYKSPEYKQHGRISKRTDIWCLGIMILEVLTGKILANTLHLGKGNESDLTDFINSVANQELNIDVFDKEMAGFNKSSEEEMIKLLKIGLSCCEKDANKRMDIKQVVEKIEELKEKEGMEDDFQSTYSSEPDKQSLREITDDNTN >cds-PLY71753.1 pep primary_assembly:Lsat_Salinas_v7:3:45931340:45935066:1 gene:gene-LSAT_3X35281 transcript:rna-gnl|WGS:NBSK|LSAT_3X35281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSGSKDLNSIIGVSLSDDKRFDASQYAFFGQNLSDKADFGCLEVEEDDDNPLNGLGDDEYRLFDREEEPGVGSLSDLDDLSTTFSKLNRSVSGPRHPGVIGDRGSGSGSISRESSSASEWLQERELPEWLDHHISDTETHHGTRKWSSQPHLYSDSKPLYRASSYPQEQHQFFSEPVLVPPPAQTNLSSPRQHSHLLNLSSAITNPHLPLNLSRYNQIIPPGLSRYAQAQAQNNWANTNNMLHVDHAGLLSNTFQQKLLHNGSLSPHFIPPGQHLIGPFSPLQLCPVPSRPLHLTKYEPKTKSTQKKHSGRLSRQGSDGSGNQKNDKFRVQFKSKYMTSEEIESVLRMQHAATHSNDPYIDDYYHQARIAKISSDSSSKSKSRFCPSHLKDSPSRSSRNSTESHHVNIDSHGRISLTFIRRPPPLLEVEPPPGSGGGSDQKSEKPLEQEPMLAARITIEDCLCLLLDVDDIDRLLQFSQDNGAQMRQRRQILLEGLATSLQLVDPLGKTNNSVGLTSKDDIVFLRLVSLPKGRKLISRYLQLLSPSSELARIVCMAIFRHLRFLFGGLPSDTGALTTTTALVKTVSTCISAMDLNSLSACLAAVVCSSEQPPLRPLRSPAGDGASVILKAVLDRATQLLSNRGVQNPMLWQASFDAFFGLLTKYCLSKYDSLVQAMYTQVPPSTEAARAIGREMPVELLRASLPHTDSNQRKMLVDFSQRSMQVAGLTGHRGSGGQVAQESVRG >cds-PLY78285.1 pep primary_assembly:Lsat_Salinas_v7:1:131821659:131822075:1 gene:gene-LSAT_1X97020 transcript:rna-gnl|WGS:NBSK|LSAT_1X97020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKPLNAAPMGSPVVEQIARKGDLISDRLPLVHYLHLCHPLIVESKGMEAAVLSSSGSEGCSFFYPTTITRGGKVFGPLLIGEERKTKTVRKWPVTLNRLQGRVAIGGGKSLIFFSLVGMQANQEQSLGGVSWHLKRR >cds-PLY77173.1 pep primary_assembly:Lsat_Salinas_v7:8:26685524:26687898:-1 gene:gene-LSAT_8X21240 transcript:rna-gnl|WGS:NBSK|LSAT_8X21240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDYTREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDKAIEKDEGLPPALLGSCNERARQLHALPSGRLLTALICEYLDWAQLSHTLKVYLPECNLQKDVWKSELKDFSNKNGYDINRNGDSVPLLLDVLEGFLKFENQSQVRSGGRRPVMHEQDSLTNFDSRSRRPPLGRPIPSSQASDRRGGSLNSGYNRRYESDDIDIPEDIMRASAALENLQLDRKTRNFTTTWRHGGDGCNEDDGRVDRM >cds-PLY94979.1 pep primary_assembly:Lsat_Salinas_v7:4:109584470:109587051:1 gene:gene-LSAT_4X70820 transcript:rna-gnl|WGS:NBSK|LSAT_4X70820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGFPSHVFMKKFPTCTPDLRFVAEGDDPRVIYSKKLNLCGCLNLPVFELDGETCLGVVEVVTTSQKINLRDEFENICKALEAVDLRSSEFLIHPKQKDFIEPYDAVLSEIQDVLRSTCNTLNLPLAQTWGRCEGRSGPISVIESASYVYDPEILGFFEATSSQQLVSGEGIAGKALGTNQPCFTDINDFCRTDYPISSYYGLNAAMAIRLRSTYTGPTDFILEFFLPRNCKRDDEQKQIGTSIITMIKQISWSLHLINAEELMKDMKTRDESWISNMVEAREKGETVILSMGCHKEDREEETNTRHESWIADMMKARERGENIILSMGCHKEEPEEEFQVINQFYNGLENQSFLEWGTRTGSKGQSKRPSIKNRVKTERNISLQDLQQYFPGSLKDAAKSIGVCPTTLKRICRQHGIMRWPSRKIKKVSHSLKKLQLVIDSVQGAEGMIKLGSFYTNFPDLNSPISLTPKPKVNNRVNLLKKSQTPSNSSSSCSRDSSSSSGNNFPMHTKNENAHGSQKRKLSSYNNMNDLLSTPKDKLVDDHRFMEAIPPTLNDEGIFRVKATYGDEKIRFRMSKNWSFMDLHQEISKRFNIYDMGNIRIEYIDDDSEWVLLACDDDIEECMDLHTSINNKTIKLVVHRSTHPSFMW >cds-PLY82838.1 pep primary_assembly:Lsat_Salinas_v7:1:86858858:86859617:1 gene:gene-LSAT_1X71780 transcript:rna-gnl|WGS:NBSK|LSAT_1X71780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILAITMVALYGGATAQSSGCTSVLISLSPCLNYISGNTSTPSSGCCSQLASVVQSQPECLCQVLNGGGSSLGLSINQTQALALPSACNVQTPPTSRCNAASPGNSPSETTPSSGTTPSDKGSETNNVPSTDNGSSDASSTKFATIPIVFTLLFAAYAMVF >cds-PLY99071.1 pep primary_assembly:Lsat_Salinas_v7:6:150494100:150497098:-1 gene:gene-LSAT_6X90301 transcript:rna-gnl|WGS:NBSK|LSAT_6X90301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSQTSSDVENPTHTSLIEADHGYVPLLDANLPSQAQENGQQPSKSLLLLISGLLATSLVVALIVGNIHIHTHDKDVQLPERMDPVEQGVSDKSFRLPANVSSFSWDTDMLDWQAPAFHFYPGENWMNGPVFYKGWYHLFYQYSPEAAVWGPIVWGHAVSRDMVHWRHLPIAMERDEWYDVNGVWTGSTTILPNNKLVVLYTGSTNESVQVQNLAYPANPSDPLLVNWVKDPANPVLVPPSWIDIKDFRDPTTAWLTPEGKWRMVIGSKVNRTGIALLYDTDDFKSYELQDGWLHDVAGTGMWECVDFYPVSKDDFGLDTGTFGPGVKHVLKASMDDDRCDYYALGDYNLREGKWVPDDRSIDVGIGLRYDYGIYYASKTFYDQHKKRRILWSWIKETDSEKTDIKKGWASLMALPRTVALDPKTGSNLLQWPIEELDKLRSNLKEFNNVKLEPGSLELLSVGPTSQLDVMVEIEVDKKVVSGLKVGSKVPYNCAHHGGAGVRDALGPFGLLVLANKNMTEYTPTYFYIAKDKHGDLSTFFCIDQSKSSIAKDVDKSIYGSTVPVLKDEKLSMRILVDHSIVEGYAQGGRTCITSRVYPTEAINDDAQIFLFNNATNVTVTASLKVWQMGLKTKNESGWVWLGPSLILLVVFGFVLWMWQNQKRNNGI >cds-PLY73169.1 pep primary_assembly:Lsat_Salinas_v7:6:127708935:127711792:-1 gene:gene-LSAT_6X78060 transcript:rna-gnl|WGS:NBSK|LSAT_6X78060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDAERRLLEDQLELQLQEQRESIVALDEALASDPQNPEILEVHAELLQAIKDAEEGLFVLKRARLLQEVDILQKTKEGDKGLNQNPVDSKHKEVEADDTNQSKEVEVEYSIGSKCRFRHSDGRWYNGLIVALEGCNDAKVCFLTPTSENQLMCKFFLQQRCRFGSKCRSSHGIDLPLSSLKKYTPTKWNQSLVGSNVWATSEGKIGIWREAELESWDDELGSGRVVFRDNGTASNVGIESLSLSEYAQMSDEYNTDSYSESDSDYENEDTSEGLGLGLGFLESSNLQRGIQTETAIFAKWENHTRGMASKMMANMGFREGMGLGVNGQGRVDPISVKVLPPKKSLDYALESVQNKDSQEKKRSRGGKRKRDKKFAAAVRAAKEVEEPVSDIFSLINTQLAGKEGFSGKKAAEKKDVPGGKNGERRALVAFDDEVKELRGRVEKLEEMARRNKKEKVVYEAAVRKLDETRRSLAAVEAAQASASNAVASKEKEKRWLKF >cds-PLY83576.1 pep primary_assembly:Lsat_Salinas_v7:5:18051040:18052465:1 gene:gene-LSAT_5X8960 transcript:rna-gnl|WGS:NBSK|LSAT_5X8960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRPAEETPRIKLWNSNVDLVVPNFHTPSVYFYRPSGATNFFDAKVMKDALSRALVPFYPMGGRLKRDEDGRIEIDCQGQGVLFVEAESDGVIDDYGDFAPTLELRKLIPAVDYSLGIESYSLLVLQVTYFRCGGVSLGVGMQHHAADGASGLHFINTWSDMARGLDVSLPPFIDRTLLRAQDPPRPVFEHVEYQPAPPMKSTSETSSDETVVSIFKLTRDQLNVLKSKSKEDGNTINYSSYEMLSGHVWRSVCKARGLPDNQDTKLYIATDGRARLQPALPPGYFGNVIFTTTPIAVAGELQSKPTWYAASKIHDALVKMDNDYLKSALDYLELQPDLKALVRGAHTFKCPNLGITSWARLPIHDADFGWGRPIFMGPGGIAFEGLSFVLPSPVNDGSLSIAISLLAEHMKLFSNFLYDI >cds-PLY65606.1 pep primary_assembly:Lsat_Salinas_v7:8:40359178:40362522:-1 gene:gene-LSAT_8X31441 transcript:rna-gnl|WGS:NBSK|LSAT_8X31441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGLQKSKRVSWASDLNLCQVKLFLSDESPSQVGLSGQDHLQAKESWHSDDNLPPGFEGIQPANLFQNKLSQITLIQWKCPSRFILDPNWQVTAGEESKEVDFESQREMRILEAVYPRPSAIPPNPASPIHTDNSPYNNDHHTPLIPITPIEDDDTSPAKSSIPPPPPPTTTNHMSNGMAPPGIEPDVMNAAYNALTTAMSSSQGNLIDPNLLIKILSTPTLIEKLVSSHGPLLPPITATTTTTTTQPPSSSSGGPLYPPPPRSGGGAVSVSGPAESGPGRKDLSYYKSLIQQHGGEKGEQMMNNNNVKTKGKVMKTCIYYNSTRGCRHGANCIYQHDSSISSSSSSTSSVSQQRVSMPEAQTAKRMKMDSREITGT >cds-PLY78379.1 pep primary_assembly:Lsat_Salinas_v7:9:10097460:10103768:-1 gene:gene-LSAT_9X7841 transcript:rna-gnl|WGS:NBSK|LSAT_9X7841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSGDGVVTDGIESTKAGGEVDGSMANANHVNVDDDSQSDSEPVVEWFVSVALLVKFKGFVSVFKKTASGGLNDRKILGNEALRFSHRIPSKKLTGAERVGVPLGSFELNTASLPDDLQDYDYSVNPAVLKRSTHILYDRGWWRSSSVVKRGCGYERRWRKMSDLEVTVVIDGRSGGFR >cds-PLY63318.1 pep primary_assembly:Lsat_Salinas_v7:9:134036272:134037123:-1 gene:gene-LSAT_9X86460 transcript:rna-gnl|WGS:NBSK|LSAT_9X86460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWEEDSNEYIRKNLPYELVCTCSNCLLVIRIQPTVTNCEPVKYSDGQQHVNEEEVVGEAFEDSVLQKLQKHMGDFNYPRLAYFVAATSGSNKVQCKKCLKS >cds-PLY86973.1 pep primary_assembly:Lsat_Salinas_v7:5:265589628:265590463:1 gene:gene-LSAT_5X136741 transcript:rna-gnl|WGS:NBSK|LSAT_5X136741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRVAISSPGDLDFGGLVSHLLDVPDGIGKIIFPSSRSHHGNGESEGNIAVDILETPKELVMYMDVPGLSKSDIQVTVEEEKLLVVRSNGKRKREENEEEGGCKYLRLERRPPRKLMRKFRLPENCNMSAITAKCENGVLTVTVEKIPPPPKSRTVEVAIA >cds-PLY81658.1 pep primary_assembly:Lsat_Salinas_v7:2:24413315:24417566:1 gene:gene-LSAT_2X11660 transcript:rna-gnl|WGS:NBSK|LSAT_2X11660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSTEQVSPERREAESLAASTGALTVLEKSFSNLSDPQTQTIPISSLKECFELSIDYESCEASFKPENFPGLLNHLNHSIVEQFFSAEENGLNWIAFLNGYTKCCGRMTTSDSLNNLLRVFGSTITKSGATTGLQIDSSDGDYKINGHLLPADLLMLLWMCWAMYWDSRNSKGGVKFDLVDVNNLVLSAVSSCGDGGSELNVWDDSLLGSDIQLPIGKLHVWVLKTVPNLPECLVQFVYSRLSKFAPQQEKMEASSSSTNDNHSNSESHTYLLTPGKAWSISLTLRSTMHEEILKTCFAHHDEVKENLLYRASLHGKGLNRFWSNVEGYNGPILLLISATSEDHSWTIGALTHQAYENKDTFYGTSGSLYAISPVFDHFTSSGKEKNFVYSHLHVAGYEAYPKPVGIGFGGSNGNERIFMDEDFSKLIVRHHAYDKTYHPGSLFPNQGYLPTEAQVLDVEVWGLGGKKVKEAQNSFLKREQLFTEQRRKIDLKTFTNWEDSPEKMMMDMVSNPSAVQREKR >cds-PLY80855.1 pep primary_assembly:Lsat_Salinas_v7:4:326193975:326194395:1 gene:gene-LSAT_4X162200 transcript:rna-gnl|WGS:NBSK|LSAT_4X162200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLQSATTSLILLLLALLFISSLVESTTTITTSPTAAPVPSPNDEIDAGGSFGCDKKCELRCSRSGWRKMCLKLCGICCGKCKGCVPSGPYADKAQCPCYRDMKNQKGRDKCP >cds-PLY93170.1 pep primary_assembly:Lsat_Salinas_v7:3:257686930:257695406:-1 gene:gene-LSAT_3X140700 transcript:rna-gnl|WGS:NBSK|LSAT_3X140700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELDLDAFLQSHDTTGSDDDDQHHGSTVDELLLIDSDDSSPSSSPRSYPISHDVSSPQSNTNPVDANDSDSSRLTPPQLVNDRDRQSKFRSISQINSGDTFSVSRQLPPLFGAVRSNAKPGAALAAAAAASRSIPTPHAAAIKLRREKSSMESLDPKASIGSELCYESGTGSEISHSDAKLFEEDLKLENLETAPVESTGSFEASGEVNAGDGSRNGWRITDEDFPCEEMNTDVAKASEADSFALEFGDDTRSSAAKPQISSPLNNEDGFNSTTSVLDSSSNELIEGNHTESAHISTLSMGEMFPFSHEHVNTKEVEDSISVSSEDTNLHENNVEFGLQAEGDDMPAQSDVSAGMDSILHEENEKNDGRTQALKPLQFAEELEKKHAFTGLHWEEGAAAQPMKLEGVHRGSTVLGYFSVSTDNTITRTISSPAFRRDHGTPQALAVHLNYIAVGMSRGVIVVIPSKYSPHYADNMDAKMLMLGLQGDRSYAPVTSMSFNQHGDLLFAGYADGHYTVWDVQRASAAKIVTEHKAPVVHMLYLGVDSQVARHFNIVSGDSKGVVKLIRFSSSSFFNRFSTSKTLTLLDEATSTVVCASPLLSEESSGGSSSIPGDSTLGEEGVVIFVTHQSALVAKVISNTPEVYAQLPRPDGVREGSMPYTAWKYIAPSRVDNVQLKESETAPLLAIAWDHNVQVAKLVKSELKIHAKWSLESSAIGVAWLDDQMLMVLTSAGRLCLYANDGSLIHDTSFGVDGGKRGDDVIGHHTHFSNVEKAHHNCIAVRGASLYLLGPTHLVVSRLLPWKERIEVLRKGGDWMGAFNMAMMLYDGQAHGVFDLPRALEDVQKTIMPYMAELLLAYVDVVFSYISVALGNQKEKMDSEGDGVSVIKDQYTRVGGVAVEFCVHINRTDILFDQILSRFESVQQKETFLELLEPYILKDMLGSLPPEIMQALVEHYSTKGWLQRVEQCVLHMDISSLDFNQVVRLCQEHNLYGALIYLFNKGLDDFKTPLEELLRVFRNNDSENAPSLGYRMLVYLKYCFSGLAFPPGHGSLSPARLPSLRMELIDFLLEHSNAPSTWGLTNLSSTEVYKNLYHLLELDTEATLDVLRCAFINSTPKDSRSQSQDLIQRTVDVLALVIKTGKAISTWPSKDDTGRILEFISHFVACGEAKISKELLGQIFEYLTLDASIPLNLESKNNDICKKREKEVLALLEVVPETDWDDRYLLDMCEKARFYQVCGFIHNSKHQYIAAIDSFMKDVDEPIHAFSYISNLLQQNNDKRPDSLEAAVISRIPHLVQLSREGTVFLVVQHLFQEFQQILLELKSHPKSLFLYLKTVIEVHSKGTLNFNSLTKAEPRVDNQTDRLHDFLEKISDFPKFVRENPLHITDEITEMYLELLCEYEPKSVLNFLETCESYRVDHCLRLCQEYKIIDAAAFLLERVGDVGTALSFTLSDLMDKFHMLDAVVQSVYDLNAIMKKKEVNDILYVVYTCVGLCQRNSSRLDPNESEALWFQLLDTFCEPLTNPNPGVGGDKKDCGSKSKWKIKGCDEILRKLFSIFIKEIVEGMIGYVRLPTVMMKLLSDNGHQEFGDFKATILGMLGTYDFERRILDTAKSLIEDDTYYTMRLLKRGASHGHGPQSLLCCICNCLLTKDTSASGIRVYNCGHASHLHCAPPGTGSRTGRGGGGGGCPICMPKNKGIRSSTSNDYEVGLVSRPSSKNKSSQGIGGVHLHPHLHETDPLDHRQISRYEILSNLHKQKEKRTMVQVDNIPQLRLAPPAVYHEKVKKGVGMLRVGESSDGIGIGSQKNTQIKDLKKTKGSGVRFPLKSNIFGMFLF >cds-PLY68013.1 pep primary_assembly:Lsat_Salinas_v7:8:249127002:249132963:-1 gene:gene-LSAT_8X147381 transcript:rna-gnl|WGS:NBSK|LSAT_8X147381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-chloride cotransporter 1 [Source:Projected from Arabidopsis thaliana (AT1G30450) UniProtKB/Swiss-Prot;Acc:Q2UVJ5] MRNEEIESSDDNELPSSAPRVGRKYGPVVAHDNDPVVLEMSSFDHGSSSNPLNKVKTSFQPNSGAVAKEESISDDRGVNGEHKESKLELFGFDSLVNILGLKSMTGEPMPVPSSPRGRDDGSNPAQHPLIQSSSVVKSGTMMGVFIPCLQNILGIIYYIRFSWIVGMAGIGGSLAVVALCGLCTFLTAVSLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSMYVLGAVETFLNAVPKAGLFRETITQVNGTAVAEPITTPSLHDLQVYGIIVTIVLVFIVFGGVKMINKVAPAFLIPVLFSLLCIFIGIFAAREDHPAVGVTGLSLKSFRDNWSSSYQTTNNAGIPDPAGEIHWHFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLAATLTTTALYFISVFLLGSVATREKLFTDRLLTATIAWPSSSIIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVAEGSEPHIATFFTAFLCIACVVIGNLDLISPTITMFYLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLLGASLCIVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGASNVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVDILDGDYHECAEDAKTACKNLATYIEYKRCEGVAEIVVAPSMSDGFRGIVQTMGLGNLKPNIVVLRYPEIWRRENLTEIPSTFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKEMFESCKIQVFCIAEEDSDAEELKADVRKFLYDLRMQAEVIVVSMKTWDHGDDHAQDDSVEAFVAAQARIKDYLAQIKEEEKQQFMVVNEQQVEKFLYTTLKLNSTIMKYSRMAAVVLVSLPPPPVNHPSYFYMEYMDLLVENIPRLLIVRGYRKDVVTLFT >cds-PLY90732.1 pep primary_assembly:Lsat_Salinas_v7:3:36391704:36394958:-1 gene:gene-LSAT_3X28021 transcript:rna-gnl|WGS:NBSK|LSAT_3X28021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLETGTNTAAAGHQHDTPPPPESTNHHHHFFLPLPSNFLLQTPKLVIIFALVAFSCLLLYQSSPSLRFPFNYNSFGINSSENKADELKSILKRAATSNNTIILTTLNDAWVEPNSMFDLFLESFKIGNQTQRFLKHLVVIAMDQKAYTRCLKLHPHCYNLSTEGMDFSEEAYFMAADYLKMMWRRIDFLRSILDLGYNFLFTDADIMWFRDPFPHFHEDGDFQIACDYFRGNPFDLNNLPNGGFTYVKSNKKTIQFYKYWFESRLTYPGLHDQDVFNKIKFDPFIQDNGLQIRFLDTMFFGGFCEPSKDFDKVCTMHANCCVGLENKVHDLGIMLQDWRKYMQSFVNQTTKVHTVSWTVPQSCRGSFNRPRVAKKKDHHGSKS >cds-PLY99363.1 pep primary_assembly:Lsat_Salinas_v7:7:145795737:145808622:-1 gene:gene-LSAT_0X4201 transcript:rna-gnl|WGS:NBSK|LSAT_0X4201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQSTLCNNFRSESIKFRKLSYFSGRSSKKDSRKMAPLIISLALPSETGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPIMSVQFSNHTGYPTFKGQVLNGKQLWELIEGLEANNLLYYTHLLTGYIGSVSFLDNVLEVVKKLRSINPTLTYVCDPVMGDEGKLYVPQELVSVYREKVVPLASMLTPNQFEAEQLTGFRIASEEDGRKACKHLHAAGPSKVVITSISIDGNLLLIGSHQKGTGDLMTALLLGWSNKYPDNLDKAAELAVSSLQNIRGL >cds-PLY62630.1 pep primary_assembly:Lsat_Salinas_v7:3:37066949:37070499:1 gene:gene-LSAT_3X27460 transcript:rna-gnl|WGS:NBSK|LSAT_3X27460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAVSEVWVVVIILVFSLQSQVLVISQNVTCNSDDLRGLRGFMSGLESPIVGWWPTNSSSFSSFNCCNWVGITCNSSSGRIVALEVQKKRLTGSFSDSLSNLDQLQTLNLSRNFLKGPLPISLFHLAHLKVLDLSCNRFNGVLPVNINLPALEELDISDNTFRGFLPSGLCVNSTGIRVLRFAVNYFNGTIPPEFENCTFLEHLCVASNLLSGDIPEFLLRLPRLRELALQDNRFIAINGIGNSFSHLVRLDISSNRLSGSIPDFFRSFPNLSYFSAHSNNLSGGIPPSLSNSQSISSLSLRNNSLNGLIDFNCSLMVNLTSLDLGTNHFSGTIPDNLASCQNLKALNLARNRLIGQVPETFKNFPSLSYLSLSNCSLNNLSNSLKVLQHLPNLTVLVLTMNFHTEELPPDDHLQFKALKALVIANCRLTGSIPPWLNGLSQLQLLDLSWNHLTGSIPASLGDFRSLFYLDLSNNSLSGEIPKSLTQLQSLISRDISLEEPSPDFPFFKRRNMSSRGSAVQYNQIMSFPPSIDLSSNLLSGEIPPEFGNLKKLHVLDLKQNHLSGTIPSSLSGMRMVETLDLSYNNLTGTIPPSLVNLSFLSKFSVAHNNLRGFVPNGGQFLTFTNSSFEGNPGLCGEGRGVYTCNTRRLPVSSIRKSKKNIGKIVGMAIGICFGTLFLLALMFMVVVRVTSRQEVDPERDEEDEDDDGNKNFEESKLVLFHNNKSMSIDDLLKSTNSFDQSNIIGCGGFGLVFKATLPDNRKVAIKRLSGDCGQVDREFQAEVETLSRAQHPNLVLLQGYCKHKNERLLIYSFMENGSLDYWLHEKPDGRSILDWNTRRKIAQGAIRGLAYLHQSCDPHILHRDIKSSNILLDEDFEAHLADFGLARLILPYDTHVTTDLVGTLGYIPPEYGQASVASYKGDVYSFGVVLLELLTGKRPMDMCKPKGSRDLISWVMQMKMEKRESEVIDSFIFNKDQDKEMLLALEIACVCLNESPKLRPSTQELLSWLDNLQLKTQ >cds-PLY92660.1 pep primary_assembly:Lsat_Salinas_v7:2:161304607:161307463:-1 gene:gene-LSAT_2X85441 transcript:rna-gnl|WGS:NBSK|LSAT_2X85441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSITAAELNYIVHRYLTESGFQHTAFNFGFEAAINKSTIDGTKVPRGALVTIVQKGIRYLEMEANLNDVSMLTLHLFFSYFLLSIAILIISFQDCQNDTEVDEDFSFIQPFDLITKNVNQLRQIVQERRKGKQKEKESVEKEKDVKDKPIKRKLRKLIIDDDDEEEEKNVQNLPKGPTQLDISTSSTPQTRVRILKSEVLNLKGHTDGVLDCAWHPSGSLLASVSEDSTGRIWTIPERSNDRYSLQHGPIKSVELKHSKGRTKEKNKDVTTLHWNMDGTLLATGSIDGKARIWSTNGELKRTLIKHKGPVYCIKWNQKGDYLVTGSKDETAIVWEKKDYGSQQFKFHSGPILDVDWRNNYSFASSSTDHMIHVCNIGENQPVKIFSGHQGEVNCVKWDPTGSLLASCSDDTTAKIWSMKQEKHIHDLRYHTKEIYSVKWSPTGPGTINPNKKLLLASASFDSTINLWDVEYGRTVVNMNGHRDCVHTLSFSPDGEYLASGSMDRFLHIWSVKDGKIVKSYNSNGSIFEVCWNKEGDRIAACTNNNSVFVLDFRK >cds-PLY73043.1 pep primary_assembly:Lsat_Salinas_v7:9:36128011:36128229:1 gene:gene-LSAT_9X33181 transcript:rna-gnl|WGS:NBSK|LSAT_9X33181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDLTDTSSIMRLVEQTTFIIVKSPRSGGRNHRSTGGNRRYGAEARRYGDCGLSIVGYKNEEGNDDGRAWRR >cds-PLY69955.1 pep primary_assembly:Lsat_Salinas_v7:4:69625544:69626359:-1 gene:gene-LSAT_4X46800 transcript:rna-gnl|WGS:NBSK|LSAT_4X46800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVHRDIKAANVLLDRHLTPKIADFGLAKLFSEDRTQARTAPAGTLGYMAPEYAYTGQLTYKVDVFSFGVLAMEIVTGTPNVYPPADDLEYLTIVHRATALQQQDESLIHLVDSRLGLHFDAEEALRMIRIALLCTNNNPNERPLMSEVVDLLQGNINLQDYRVRSPESPETGHGEFSNLVLSPETYHGEFWNLVLREHIEEDIYLE >cds-PLY97573.1 pep primary_assembly:Lsat_Salinas_v7:5:236794077:236795504:-1 gene:gene-LSAT_5X115840 transcript:rna-gnl|WGS:NBSK|LSAT_5X115840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANCHVVFFPFMAYGHTIPMADMAVLLASRGLKTTIITTPTNAPRFSRSIQKTINYDHQMELHIIQFKDAELGLPAGSENPDQPVSDELLSTFFEAISMLQEPVEQFIRESHPNCIVADMFYPWSTEIAAKFNIPRIVFNGTGFFPQCVANAVGLIDHAKNVQSDSELFIVPHLPHEIKLTRKQLPHFESEAFKGFLKVLIEAMEAEVKSYGVIFNSFYELEPEYVHHYREVMNRKGWHIGPVSLCNRNTEDKSERGKKSSIDEHECLKWMESKAPDSVVYVSFGTIVKVTRSQVYEIAMGLEACNEYFIWVIKNEQEQWLPEGFQERTAANGKGLVIKGWAPQVLILDHESVGGFVTHCGWNSVLEGVTGGVAMVAWPVMAEQFYNAKLVTDVLKIGVSIGDVEWSATASCDGVERVAIEKAVARVMGGEEGDEMRRRAQVLKEKATAAVKEGGSSYSDLNAFIQDIKTFKSDS >cds-PLY96764.1 pep primary_assembly:Lsat_Salinas_v7:2:170781121:170782813:1 gene:gene-LSAT_2X93580 transcript:rna-gnl|WGS:NBSK|LSAT_2X93580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITTFETERYHPNLVASWITSTTEAISTESTAARGISIGEKVLFPDQVMILLKYPPSSPLLTQHEIDCVYSSPNSSRRSSPLSIGGAYLDHQIVRCKLPPRGMIASVSLNAHELLPSGPTHDWKSLAYEAMIDRDNTTVVFVKGLNLRPGKPSNASKFRCVYGSDLSDPKLLLRSEVVSIAQEIVRCKTPSSLLNVPLKNDNSNPIKVSIKINGKGILDSIARPELKASPDPPVSIQHRVCACTMVRNQARFLQEWIMYHARIGVDRWFIYDNNSDDDIENIIESLVNQNYKITRHLWPWIKTQEAGFAHCALRAQDLCEWVAFIDVDEFLYLPSGVRLETLIRNQTSKPDVAELRISCHNFGPSGLKKIPPEGVMVGYKCRLGLPERHKSIVRPEKLNPSLINMVHHFDLKDGFKYVNVDRNLMVINHYKFQVWEVFKEKFYRRVATYVSDWQDEENVGSKDRAPGLGTRAVEPGDWTSRFCEVNDSRLRDWVLKRFSDPDTGLLPWQKEERVL >cds-PLY73559.1 pep primary_assembly:Lsat_Salinas_v7:5:58753535:58753816:1 gene:gene-LSAT_5X27801 transcript:rna-gnl|WGS:NBSK|LSAT_5X27801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNSTIQNYRSSDNFYLNLKTCYGYPLGIKFGGNFEFNFGFRMRNGVRALKNDDDQNWWNFPTVNAVNISPPVATTILAPRKVKKAPVEKK >cds-PLY75193.1 pep primary_assembly:Lsat_Salinas_v7:2:203907301:203909872:1 gene:gene-LSAT_2X125620 transcript:rna-gnl|WGS:NBSK|LSAT_2X125620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGSENQGFEEAQLYASREEMESLVLDDDDNNNNNNNDNISSSRSNGGANGDGSQHHHPLSSSLPFAEIPTTYDDDPLLSSPSAHKSPNAFNSFLEPPSYADAVFRSFDGDHGKQINGHGVVSTSSPSSSSDYLKISVTDPQKDQDLKNSLVPGGNTFVTYLITTWTNLPEFNGTEFSVRRRFKDVVTLSDRLSDSYRGFFIPLRPDKSVVESQVMQKQEFVEQRRMALEKYLRKLAAHPVIRRSEELRLFLQVQGKLPLMKTTDVASRMLDGAVKLPKQLFGESIVSAAVDPNEVSQPAKGGRDLLRIFKELRQSVTNDWGETKPPVVEEDKEFLEKKKKLQDFELELSNVSQQAESLVKAQQDIGETLGQLGLAFVKLTKFESEEAMFNSQKVRAADMKNVATSAVKASRLYRELNAQTVKHLDKLHEYLGVMLAVNNAYADRSNALLTVQTLLSELSNLNSRIEKLEAAASKIFGGDRSRVRKIEELKETMRVTDEAKNCAIREYERIKENNKNELHRLDKERHDDFFGMLKGFVANQAGYAEKMASVWETVANETSGYAKTF >cds-PLY64383.1 pep primary_assembly:Lsat_Salinas_v7:4:26233404:26233948:1 gene:gene-LSAT_4X18820 transcript:rna-gnl|WGS:NBSK|LSAT_4X18820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPKNFHHHGENVEFSEAEDNAFYAELTRQILLIMDDDDETHARINRKGELPELQGRVVVTSGNYFSWWEGGRSMEVPGWMERLWASNGAGTGVFIPRVVAGGKTRRRRHNKPRRNDGGRMHHV >cds-PLY94290.1 pep primary_assembly:Lsat_Salinas_v7:7:165180795:165184437:-1 gene:gene-LSAT_7X97540 transcript:rna-gnl|WGS:NBSK|LSAT_7X97540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRMEEEDYGGDYDYLFGPEEHGLKKFIEYKLNDEGNRVKITTTTCVRKLTNARLSKRAMERRSWPKFGDVVQEDVGVILTMVSTEEIIFERPRAPGTKVEDSNAAGDPLAQMSKRGDVLMEAEGVVMAILMPGHPIARQEEEKYFSALLLYLLYYSNIDADAFGFDSFLVI >cds-PLY66781.1 pep primary_assembly:Lsat_Salinas_v7:3:67162479:67164713:-1 gene:gene-LSAT_3X52780 transcript:rna-gnl|WGS:NBSK|LSAT_3X52780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPASLSRRLGDGTGIPFMGSLNPKSRPSPFLSIGLVLVGAFLIIGYVYSGSGGSNIDNVALSRLEGGVSFSTEINQALPYLKKNESNANCKSLIRKGIVRVANIKFPLPYKSKSFSLVIVSDALDYLSPRYLNKTLPELSRVSSDGFVILSGYPGQRKVKVAEMSKFGRPAKLRSSSWWIRFFVQTKLEENEVATKKFELAAAKKAYQSTFRELAASHPLSELRTAEELEDAVRAKGEFKNQESEGEIHPNDGLRKAKELETYISLREELYKKTKDFHSKIIDFETAIRRPYYHFRPLNVTELENWHNYLDFIEGCDDLN >cds-PLY84211.1 pep primary_assembly:Lsat_Salinas_v7:7:78194129:78196832:-1 gene:gene-LSAT_7X56001 transcript:rna-gnl|WGS:NBSK|LSAT_7X56001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNVHSRIVTAAGTSETEIPLIATVETERKVEAEVKEEKPVADHPTVTEVAPAAETTIPKKKRPGYCVFRVIVPVHKVESIIGRNGDLIKKMCEETKANIRVLKGPVSDPNRIVEISSKEDIEAPLSPAMDAVIRVFKCVNGFPENESDGVASIPFCSIRLLLTSMQATSLLEKQGSLLKRIQENTGCYIRILSCDEVSKLSTNSDDRVVNLKGEGLKVLQALEAILKHLCMFLVDHTILPLYEKTLRPGQVGLNTRHSKKRRRIVAAEAPHKEGMVCSSLVKVPPELPQVQPATACVTVENKADVPPELPQVQPATACEPIETKTEVLVSVDDSNMVEDQALTGLEPGEITEEPQARVPLVPPSSKKSPPSAATEAPVEPQKEPIMCNSLVEVEVPPELPKVQPASVVAIETKRRMSVFEEEILSVLKMIAHNINNKPEPPPKPTFEDCEKKLNELGWAKDDPLHLVALTIFCDEKENYRELWMKLNPERCANWVRIIGRSKRFT >cds-PLY64323.1 pep primary_assembly:Lsat_Salinas_v7:4:23522378:23523712:-1 gene:gene-LSAT_4X16381 transcript:rna-gnl|WGS:NBSK|LSAT_4X16381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSCSENYLDELRRGSWTLEEDNLLINYITRHGEGRWNALAKSSGLKRTGKSCRLRWLNYLKPDIKHGNLTPQEQILILELHSKWGNRWSKIARHLPRRTDNEIKNYWRTKVHKQACHLKIDSNSKRFVETLRRFWMPRLLEQVEQNSSSSSSSICTSTSETDQNNLSTSPQTEQTLMVSSVTSSSLSNKADNPNTSSSICSSDSTAMVFPEHVNLQTNPNGEIAYYDSSRQYNDCYHVNISEFDMGFIHLDMQAVGPGDMSALEFQLTEIDWMKDDELASTSWNMDELWHFRK >cds-PLY81351.1 pep primary_assembly:Lsat_Salinas_v7:4:36370177:36372123:-1 gene:gene-LSAT_4X22920 transcript:rna-gnl|WGS:NBSK|LSAT_4X22920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERWGAVNKLQIETSGKEKCRMVWSLKHAVNIKRVLVFKEHLRSAVMLEQASYCLDDKGISRKTSIFENTSYAKCNCVGS >cds-PLY81604.1 pep primary_assembly:Lsat_Salinas_v7:2:41155236:41157184:-1 gene:gene-LSAT_2X18420 transcript:rna-gnl|WGS:NBSK|LSAT_2X18420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRLHKGITIASSSSPLSISKQILDEASPIAIFCSSISKVRCASSSSDGMSATAGKPKKKTQDRLSGVIDAVNDRKLPAELRGQRNNIRSETDIINVVEQRIWHSMEEGQFENLPGKGKPLNLNSNPHADPADDTLYRILSRNKCAPEWVELNKEIRIGVAEWRLSLKKAWVRKESGDNSKWDENSDSLKLQLRIINDKVFRYNLIVPFGRQMSGFKWEKEVARLNEVEQPSS >cds-PLY68848.1 pep primary_assembly:Lsat_Salinas_v7:3:62533877:62536011:-1 gene:gene-LSAT_3X49001 transcript:rna-gnl|WGS:NBSK|LSAT_3X49001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNVGILCAVMLDTKPFHVLQSLILLVFASQLQKSSYANTASGAVHRDGDYHQAVHVWIFAESTQELLLQRRADCKDSWPGLWDISSAGHISAGDSSLITVRRELQEELGLTLPNDAFELLFVFLQQSVTNNGNFINNEFDDVYLVTTVSPIPLEAFTLPESEVSAVKYISIEEYKQALDKEDPKYVPYSLEVQYGQLFEIIMKRYHCNVEAPSLDLQKKLNRYAPISLTSELTGLTKENKEALMLLIHAVRMMDDIFHQQVLFNNPSLREWLKGNAHKSHFYKLKWSYYSVLP >cds-PLY99650.1 pep primary_assembly:Lsat_Salinas_v7:6:81391121:81392349:-1 gene:gene-LSAT_6X58060 transcript:rna-gnl|WGS:NBSK|LSAT_6X58060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSQIQCHKPQPPSTSITSTKSIEISIQNLIKSYKTRQKWEFFSGSTKQTSNNKPPWRNHLTSFLESTPVHIIAIILLLADLVMVVLELSKTLVSCPKSKRINKKVDVWYHWVGIGILVILATKSVALVVGCGRSFFKRPGLVADGVVLIGALVCEVLMERVGVGLIVVVSLWRVLRVVESVFELSDAAIEVQITSILCQFELLNEENEKLRGIVIEKEKIIEELQEELDIGA >cds-PLY72559.1 pep primary_assembly:Lsat_Salinas_v7:2:139486336:139489755:1 gene:gene-LSAT_2X65701 transcript:rna-gnl|WGS:NBSK|LSAT_2X65701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase TMK1 [Source:Projected from Arabidopsis thaliana (AT1G66150) UniProtKB/Swiss-Prot;Acc:P43298] MRTHVGLKLLSTIVLILSSIFLHGYSQSDTNDAEVMLALKKALNPPPDLDWSDPNPCKWTRVVCSDEKRVIRIQIGHQNLQGTLPASLSNLTQLERLELQWNNISGPLPTLNGLSSLQVLMLSNNLFTSIPADFFTGMSSLQSVEIDNNPFSSWVIPESLKSASTLQNFSAVSANITGKIPDFFGPDDFPGLVNLHLAFNELEGELPMSLSGSQLESLWVNGQKLGGKIDVIQNMTFLKEIWLHSNSFSGPLPDFSGLKELEVLNLRDNSFTGPVPVSLTNLESLKSVALTNNMLQGPMPKFNNSVKVDMAKDTNNFCLPEPGDCDPRVNTLLLIAKSMDYSPKFANNWKGNDPCADWFGITCNNGNITIVNFQRMGLTGTISPEFSALKSLQRLVLANNNLTGTIPEELTTLPALTELDLANNNLSGKIPVFKSNLDLNTAGNPNIGKVITNSSDPNSPNSSNSPNSTGVSLNGKKKSKNWLGVVLFSVLGGIIVIFLIAILALCLYKKKQKKFSRVQSPHAIVIHPRNSGSDNESVKITVAGSSVSVGGLSETHTIQATEGNDIQMVEAGNMVISIQVLKSVTNNFSKENILGQGGFGTVYKGELHDGTKIAVKRMECGVITGKGLAEFQSEIAVLTKVRHRNLVALLGYCLDGNEKLLVYEYMPQGTLSRHLFNWPEEGLNPLEWTRRLAIALDVARGVEYLHGLAQQSFIHRDLKPSNILLGDDMRAKVGDFGLVRLAPEGKGSIETRIAGTFGYLAPEYAITGRVTTKVDVFSFGVILMELITGRKALDESQPEESMHLVTWFRRMHLNKDTFRKSIDQTLDLTEENLASVSKAAELAGHCCAREPYQRPDMSHAVNVLSSLVEMWRPSDESSEDIYGIDLDLSLPQALKKWQAFEGGSHTMDASSSSFLPSLDNTQTSIPTRPYGFAESFTSLDGR >cds-PLY94603.1 pep primary_assembly:Lsat_Salinas_v7:8:180412362:180412643:1 gene:gene-LSAT_8X117581 transcript:rna-gnl|WGS:NBSK|LSAT_8X117581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCCPENEEDSEATKACHPRPSTATSSLRRTHLSLPPSSSSSSEQEVEAAKLPLPPTVVATVDLRHHHILIRSRWVNWELTKSADHRPLSLLL >cds-PLY64784.1 pep primary_assembly:Lsat_Salinas_v7:2:102522377:102523577:-1 gene:gene-LSAT_2X44840 transcript:rna-gnl|WGS:NBSK|LSAT_2X44840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQNQTLVILKSMILAISMVVLCGRGMAQSTSGCTNVIISMSPCLNYITGNSSTPSSGCCTQLASVVKSQPQCLCEVLNGGGSSLGLNINQTQALELPKACDVQTPPTSQCNAASPGSSPSTPNNPSGSGRGSNTVPSTDNGSSDATVMQLTLIPILFSLLVATYTIVL >cds-PLY82867.1 pep primary_assembly:Lsat_Salinas_v7:4:18405554:18412498:-1 gene:gene-LSAT_4X12821 transcript:rna-gnl|WGS:NBSK|LSAT_4X12821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRTPTTSVGGNGGGIPLTTTTSAQSPNPSDTGDQSHHRSAASRAIQFHPAKPAIIDLFNLYLGRNGRHKSDDSIREPPNKTQKRVIALNRDIHIPPCDGQFLLDFEQLQSQFPGQEQLRAVLESVFVSMIIQCSGHAPRSEFLLFALRSLYSIGYIYWDSFLPSLLSSVTSAEMSHIESPNPESSLISVHGIGSPSQSANETSCTALSPVKSSIKDSPISSLRKLSCEMIIFAMEANLKPSTYADIFYHMMKWLVNLDHKALFEWLQKCLDVVWMLVDEKKCHVPFYELLRSGLQFIENLPDNKSLFTLILEVHRRRDMMAMYMLMLDQHLHCPTFGSQRLLSQAAINMIGESVPHPRYSPITYPSVLGEPLHGEDIAFSIQRGSVDWERAMRCIRHALRNAPSPDWWRRVLLVAPCHRPQPQGSIAGAVFSSDMICEATIDRIVELLKLTNSDVNCWQEWLIYSDIFFLLMKSGCIDFVDFLDKLILCITKEYNQEILKTNHVTWLLAQIIRIELVMTTLSTDSRRVETTKKVLSFHKEARPSDSNNPQNTLLDFITSCQTLRVWSLNSSTREYLNSNQLLKGKQIDEWWRQVSKGDHMMDYMNLDDRSIGMFWVVSNTMAQPACEMVLTWLTSAGHAELPGQNNEKITVMREVNPVPVSLMSGFSINLCLKLALQMEDVMFFGQHAPNIAMVETYCRLLLIYPHSLFRSHLSHLLQRNPETLNKPPATLLVLEILNYRLFSLYRYQGKSKPLMYDITKILSTLKGKRGDHRVFRLAENLCMNLIMSLREFFFVRKEGKGASELTETLNRVAVTNLAIIIKTRGIADVDHLLYLPTMLEQILTNSQHTWSDKTLCYFPGVLREALIGRVDKRGLAIQAWKQAEATVIHQCTQLLSPPDDPTYVMTYISHSFPQHKQYLCAGAWVLMRGHPENINSANLGRVLGEFSPEEVTANVYTLVDVLLCNIHLEIKHGHSLQDVISNVCGNLAHFIWKHELLPPDVLLLALIDRDDDPHALPIVVNLLERQELQQRINFYVANCGSSPEHWLQTGIFNRNELQKALGNHLSWKERSPTYFDDMAARLLPVFPLIIYRLIENNLTDLADRLLQFHSSFLHFYPLNFTFVRDILSYFYPHLPQNLIFRILSILDIKKTPFSESFPQHVNSSNNISPPLEYFTSLLTNLVNNVIPPLLSDKTNQSESTFKPQNQKPFYQIQDPGTHTQLILETTVIEILSLPITPTQIISSFVQIIISSHFQPKFNQSSCLMIQACGLLLAQLPVEFHTPLFAEVAHVIKESSWHCNGYGYVLLDPTWACEENTSTALGNMVALLHAFFSNLPHEWLEKTHLLVNQLRPVSSVGVLKIAFRIIGPLLPRLANAHTLFVKTLELLLSMMVDVFGKNSQQPSNPVEASDITDLIDFLQHVVHYEGEGGPVQTNSKPKADVLSLCGRAIENMRSDLQHLLSHLKTDIL >cds-PLY70710.1 pep primary_assembly:Lsat_Salinas_v7:8:166887270:166889220:-1 gene:gene-LSAT_8X109701 transcript:rna-gnl|WGS:NBSK|LSAT_8X109701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRFQWMEALLPLGIIAGMLCIAGNVQYTIHKAAHGRPKHIGNDLWDVAMERRDKKIVDEKLSPASN >cds-PLY77490.1 pep primary_assembly:Lsat_Salinas_v7:4:49062717:49067323:1 gene:gene-LSAT_4X33120 transcript:rna-gnl|WGS:NBSK|LSAT_4X33120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNVKRCKLEEIDADTTDDSHRHYPSGSFTKKQRTNTVFVANADKEACFIDYENVVNAWCTGGVPCTPTDLKSSSGSIQLLKSSRSRLSVCPSRFNDSVIGLWKKENDTDMITNIKKRKSPFCDRESVPKKQSMEVMVGEMYTSSSSSATSIGNNNFNSNKKARKTIKNKNKKESYAHGDFHRGEIVWAKCSNRFPAWPAIVIDAQQEAPVSVKKARVPNTACVMFYGYSKRGTRDYAWVKDGMIFPFLEYLDRFQGQTQLYGCKPDDFRKAIEEAYIIENGNLNSCYEDKQECSSDSDSASDCEPDSDSDSDPDSDSDSDLVEIKIVYNKKEEQPCESCGLIFPNETMNNALCERCTPKVNKNIITECKSQPSVDQLAVDKSPPKPRRLTENNAQSTLPDKISVICNNMEGIYYPNLHLVECKCGSCGTRKQTPSEWERHTGSRAKKWKVSIKVKGSMLPLEKMLADNNVHYVKSSPLDEQQLFSFLQEKYEPIHAKWTTERCAICRWDEDYDINKIIICNRCQIAVHQECYGVRDVHDFTSWVCRACETPEIERECCLCPVKGGAMKPSDIEPLWVHVICAWFRPEVAFLSDEKMEPATGLLRIPPDSFVKACVICKQIHGSCIQCCKCATFFHAMCASRAGYCVELHSSEKGGIYNTKWTTYCAIHRTPADNGIVIRTSSEVFCATGLLQKQNQKQSFRGSRLVSCANVNLSSSSTSENNEFEPLSAARCRIYTPSTTKNDVKEALFHRVMGPIQHPVDAIDSLTPNSEVQDLNSCLTFKERLDSLQRMEKHRVCFGKSGIHGWGLFARRKILEGEMVLEYRGEQVRRSVADLREVKYRSQGKDCYLFKISEDIVIDATNKGNMARLINHSCMPNCYARILSMGEEESRIVLIAKSNVAAGDELTYDYKFDQDEQDEVKVPCLCRASNCRNFMN >cds-PLY66124.1 pep primary_assembly:Lsat_Salinas_v7:7:31582393:31583743:1 gene:gene-LSAT_7X23061 transcript:rna-gnl|WGS:NBSK|LSAT_7X23061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L34, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G29070) UniProtKB/Swiss-Prot;Acc:Q9LP37] MACVSSVCTRFGAHSTTASLPFLNVSRVTRSSLSLKPAAAAPNSANTSGLLHCSFVSSSSSPLSLSSSSSSTFAGSSLGLDLSSRSGMEAVKRRGLVVRAGKKFQLAQTKRNRSRKSLARTHGFRLRMRTTNGRAVLKRRRAKGRWILCTKSNPSSGKRA >cds-PLY71939.1 pep primary_assembly:Lsat_Salinas_v7:3:23731871:23732817:1 gene:gene-LSAT_3X17620 transcript:rna-gnl|WGS:NBSK|LSAT_3X17620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRSSKLFYIPLFVIQRRIKVGETLLQLVVDDSVVPFNDSDASVVSNGSKSNEHKLELRKSHANDNLSTPVVRSLAKQHGIDLADVTGSGKHGRILKEDVLKYGVEKGIIDDKPAFNPTSIEPMSGPEEQLQEMAESTIIGWFVSLNQVNRDFPKSLTSHNVPLII >cds-PLY95267.1 pep primary_assembly:Lsat_Salinas_v7:8:136692004:136696778:1 gene:gene-LSAT_8X93421 transcript:rna-gnl|WGS:NBSK|LSAT_8X93421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKKPSKNQDSKKTSSSPKSQQQSSRKQNRKGENPIRIPPITEPCSDSRCSSTWICRNSACRATLSIVDTFCKRCSCCICHLFDDNKDPSLWLQCTSESDSLSVSQSAESCGLSCHIECALQRRKVGVVDLGQLMQLDGSYCCASCGKVSGILGYWKKQLTIAKDARRVDSLCYRIYLSFRLFDGTSRFHELHEIVKEAKTKLETEVGPLSGVSAKMARGIVSRLSVAGEVQSLCNTAIQKADEISSTVSLAALDIKEGSLPAACKFIFEEVTPFSVVLVLIELSTASCSDIIGFKLWYSKTAEETHTNTKDPVSTFPRSQRRILISNLQPCTEYSFRIVSYTATGDLGHSEAKCFTKSVEILHKNPDRVTIPRDEGTSGTKDVESDSGFKVRDLGKILRLAWIEERGYLDDITGSDLSRLSQIMKPEASKPDEPPSASRALDLNVATVPDLNEELAPVNESSNADVDHGSGGSENWAHPHGANGNGEVPTVESRADVSRKRPGRTEVNDCDTNSASALINMSPGDLDGNFEYCVKMIRWLECEGYIKQEFRLKLLTWFSLRSTEQERRVVNTFIQTLMDDPSSLAGQLVDSFADIINNKRPRNGFCSKLWH >cds-PLY84564.1 pep primary_assembly:Lsat_Salinas_v7:1:32421056:32423072:1 gene:gene-LSAT_1X27281 transcript:rna-gnl|WGS:NBSK|LSAT_1X27281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLSFCLLLFPLIPVILVLYLFTPFARFSSASKTHKNQPPSPRKLPIIGNLHKIGLNPHRSLLALTQKHGPLVLIQLGRVPVLVASSAEAAHEILKTHDVIFASRPKLSIPNALTYGSKDIAFSPYGEYWRQVRSIAVLGLLSSRRVQSFQRVREEETCLMIDKIGESCGSIVDLGELLTIFTNNVVCRVAFGRKFHGVKFNDLLKRFTYLLGAFSVGNYIPWLSWVDRFNGLEARTKNIAVEFDEVLESVLEEHISKKRAVEGDVSGENEEGQDLVNILIDAQREHTTTSFTLDKDVIKAAIMDIFAAGTDTTSTAIEWAISELIRHPRVMKRLQKEVTEIAQGKSMISEEDLEKMHYLHAVIKETLRLHTPLPLLISRQSTEYVKLMGYDIPAGTQVIINAWAIGRDPSLWEEAEKFWPERFLKSSIDYKGMHFEFLPFGAGRRGCPGIQFAIVINELALANLVYKYDFAVPDDMRGDELDMSEITGLTLHRKSALLVVATSCF >cds-PLY89152.1 pep primary_assembly:Lsat_Salinas_v7:3:22365474:22368685:-1 gene:gene-LSAT_3X16681 transcript:rna-gnl|WGS:NBSK|LSAT_3X16681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKTKGVLGSLCGKIWKVGRDDPRRVIHALKVGFSVTAVSLLYLMEPLFKGVGENAIWAVMTVVVVLEFTAGATLCKGFNRGFGTMLAASLAFLFEFIAREYGKVFRAIFIGASIFLIGTSTTFLRFFPKVKKNYDYGVLVFLLTFNLITVSSYRVDDVMKLAKGRTYMIGIGSGICILMSLFIFPNWSGEDLHNHTVSKIEGLAKSIEACVTTYFCEEERDVERDEMISEDQIYENYKAVLDSKSTDETLAHHASWEPRYTWQCHKFPGQQYVKIGSVLRHFGYGVVALRGSLQTEIRTPRSVKLLFKDPCIHLASEVTKSLMELAYSIRNRQHCSPELLTEPLQQALQDLDVALKSQPRLFLGPNTAQNTPKMLAMLTSTARQKYEHHFSSINTESHTSINKKPKGGQDGAGKFLRPSLSKFAITSLEFSEALPIAAFVSLLVELVARLDLVIEEIEELGRVACFKEFKHGDDVILDVDRRKSTEINLTSFASVE >cds-PLY79878.1 pep primary_assembly:Lsat_Salinas_v7:8:18516085:18516372:-1 gene:gene-LSAT_8X14521 transcript:rna-gnl|WGS:NBSK|LSAT_8X14521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISHFGFTNHECFSSELRTRFRIGDGDEPEAFRGGNLAVVNSLSTTSAALNLMTPAVEDSLSTTPAALNLVEVEEEAVSGGMYLGLNQRMGVEKK >cds-PLY65946.1 pep primary_assembly:Lsat_Salinas_v7:4:139539137:139541190:-1 gene:gene-LSAT_4X85741 transcript:rna-gnl|WGS:NBSK|LSAT_4X85741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLRKIAGRIQSGRSSKRFKLLCNKHLYSTNNKQVSIEANNNGDGEKKSDGSLTHHDSYRDLEKLDFMTAAKILFTTPPKQKKFGLDFHLVQLFFVCLPSLAVYLVAQYARHEMKKMDAELERRQIEEAKKMKEKEGEVLKSNPQLMEVKERLDSLEKTVKEIVMESKFQRSVKVEGEIPQKKMADEKKERNGGDNININQNVAKSGGNEK >cds-PLY89189.1 pep primary_assembly:Lsat_Salinas_v7:3:21292977:21293285:1 gene:gene-LSAT_3X15840 transcript:rna-gnl|WGS:NBSK|LSAT_3X15840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNEDDDNKRIRNYFSFFRGMYQTCWSKRDEGENLDQRPRNAMGPSLADKGIWGRCNDEEEARRSWWVVGREGSSRTLQLMSLVGCSLLASSCYRFMFHR >cds-PLY87144.1 pep primary_assembly:Lsat_Salinas_v7:5:261336611:261337482:-1 gene:gene-LSAT_5X134520 transcript:rna-gnl|WGS:NBSK|LSAT_5X134520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDSAYSFASHTVLHLFWFFLFAVAAVTTTVGAIEFPVGGDVGWRIPATNETELYTVWASRRNFHIGDTLRFRYKNDSVAVVKKWGFYHCNASSPIAFFNDGDSVINLDNVGTMYIISGDSDRCKEGEKMKLEVMGSDPGSDFPPSISSPPESPYSDIAPSPSQFSGYGGSPAFSPRYSSSSSAISFSISLFVIALIVGLGLILLSI >cds-PLY65185.1 pep primary_assembly:Lsat_Salinas_v7:7:59695965:59699182:-1 gene:gene-LSAT_7X45660 transcript:rna-gnl|WGS:NBSK|LSAT_7X45660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ethanolamine kinase [Source:Projected from Arabidopsis thaliana (AT2G26830) UniProtKB/Swiss-Prot;Acc:O81024] MGAVNLLNAMEVSEEVRNGCDIEVVRSSLAVDHTLPLPEMKPQLVELCKDLFKQWSNLDESHFSTEKVSGGITNLLLKVSVKEENGNWVHVTVRLYGPNTEYVIDRERELQALHHLSAAGFGPKLLGVFENGMVQSFIHARTLETKDMRNPKLAGEIAKQLNSFHQVKVPGSKEPQLWNDILKFYKKASKLTFDDCEKQKKYTQISFKEVEVEILELKELTGHLKSPVVFAHNDLLSGNLMFNDNEEKLYFIDFEYGSYSYRGFDIGNHFNEYAGYECDYTLYPNKDEQYHFFKHYLNPEKPNEVSDNDVETLYVETSLYMLASHLYWALWALIQAKMSPIEFDYLGYFFKRYNEYKRQKDVCFSLAKSYNYKS >cds-PLY89498.1 pep primary_assembly:Lsat_Salinas_v7:4:149290976:149293849:-1 gene:gene-LSAT_4X92321 transcript:rna-gnl|WGS:NBSK|LSAT_4X92321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKFVGERAKRLIANNTIASVVKLNQYQTSSTLSSSNNCCNRSHLPRLNSPLNSHLRCYSVMASKGSKTAPVTLETLNPKVLECEYAVRGEIVSIAQKLQQDLQTNPGSKPFNEILYCNIGNPQALGQKPITFFREVLALCDNPSLLDKRETNGLFSSDSIARAIMVLDQMPGRATGAYSHSQGIKGLRDTIAAGIKARDGYPADPDDIFLTDGASPGVHMMMQLLIRSEKDGILCPIPQYPLYSASIALHGGTLVRYYLDEATGWGLEVSELKKQLEAARQKGITVRALVAINPGNPTGQVLAEENQRQIVEFCKKEGLVLLADEVYQENIYAADKKFNSFKKICRSMGYGDIPLVSFQSVSKGYHGECGKRGGYMEVTGFSTEVRQQFYKLASVNLCSNISGQILASLVMSPPKVGDESYDSYFSERDGILKSLARRAKKLEDAFNSLEGVTCNKAEGAMYLFPRIQLPNKAIKAAEEAKKAADAYYAIRLLNSTGIVVVPGTGFGQVPGTWHFRCTILPQEDKIPAIVSRLTDFHKKFMNEFRG >cds-PLY63490.1 pep primary_assembly:Lsat_Salinas_v7:9:168107394:168109436:1 gene:gene-LSAT_9X104640 transcript:rna-gnl|WGS:NBSK|LSAT_9X104640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQVTASGSLQPSSNPYPFQICRTPIEPAQLHAVSLKTATFHDPLISSRLISLYAHSKCSSLDHVRSVFDQIQEPTLHSWNTLIKSYVENQRSQESLLMFLEFMSRSGLMPDVFTLPCVIKACGRLMATKEGEQIHGLILKIGLRDDVFVQSSLVSMYSKFKDINSARKVFDGMTEKDLVSWNSLMDGYVKSGNIELAKELFDEMTERDVVSWTVMVDGLSKHGKVDDARKVFDEMPSRNLPSWNAMINGYMKAGDFLSAHSLFDQMEDKDIITWNSMIAGYECNGRFSEALKLFTQLLNSGYIPTTSTLVSTLSAISYLALLTKGRWVHSYIVKNSHKLDGILGTSLIETYCKCGSIESALKIFKSIHNKKLAHWTAIIVGLGTHGQANHALELFQEMLKLNIIPNGITFIGVLNACNHAGLVKDGQRFFKMMVNDYKIEPTIEHYGCLVDIFCRAGCLEEAKNVIESMPMKPNKIVWMSLLSASRIYKNVEIGEYAGHNVTQIDPKCIESYLLLSNMYATTNNWDKVSHIRETMRKMGLKKDPGCSFIEFENGVHEFIVGDRSHPQSNEIYHKLSEIRERLKSVGHVPDKSQVLLHIEGDNEKEIELESHSERLAIAFGLINYKPGIPIRIVKNLRVCNDCHSVTKLLSKIYDREIIVRDNNRYHHFKNGSCSCMDYW >cds-PLY93346.1 pep primary_assembly:Lsat_Salinas_v7:9:63777578:63778529:1 gene:gene-LSAT_0X29801 transcript:rna-gnl|WGS:NBSK|LSAT_0X29801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRAYIDHRWTNERHLDFLKSVEASFVRTMLENSDDRVFLPMDRYLPDSCESTLDSKRMTTAPTGAKRRKRHFPAVEKRVRRLRLQFSSPHEEDQVVPEIKYIKTEDDDNKWTSS >cds-PLY96107.1 pep primary_assembly:Lsat_Salinas_v7:3:102071920:102074267:1 gene:gene-LSAT_3X75181 transcript:rna-gnl|WGS:NBSK|LSAT_3X75181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAEVAKVNEANTILRFIIMGEEGQVISCHTVDAWNQHLQKDKNKLIVVDFAASWCGPCRVIAPFVAELAKKMPHVTFLKVDVDELKVIASDWGVEAMPTFLFIKDGKSVGKVVGAKKEELQQTIIKHSGNSSTSA >cds-PLY72119.1 pep primary_assembly:Lsat_Salinas_v7:7:58979639:58981475:-1 gene:gene-LSAT_7X42880 transcript:rna-gnl|WGS:NBSK|LSAT_7X42880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PGR [Source:Projected from Arabidopsis thaliana (AT5G19930) UniProtKB/Swiss-Prot;Acc:Q0WP96] MEDQLVQVMIAVLISSLIALRAYRKNSLDVSGAFAGFFVMAVHLSVNYRFGAMLLAFFFTSSKLTKMGEDRKRTLDADFKEGGQRNWIQVLSNGGIASVLVIAFWGLTTSQDTCLDSKQSKIITALVGGLIGHYSCCNGDTWSSELGVLSDEQPRLITTFRPVRRGTNGGITKAGLVAAAAAGSVIGLAFILPGFFTKSCTNDAFLKQLFVIPLSAIAGLAGSLIDSILGATLQFSGFCSVRNKVVSKPGPTVKRISGLSILDNNGVNLVSVFLTSVLTSFICLYIF >cds-PLY61895.1 pep primary_assembly:Lsat_Salinas_v7:6:57364182:57366827:1 gene:gene-LSAT_6X42181 transcript:rna-gnl|WGS:NBSK|LSAT_6X42181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPCVFIMFSILLLTTTTSQLVPVGGDDIGGVDMKKCLDKERDALLLFKAPLQDPDGRLSSWTAEEHDCCKWSGITCNNQTGHVTELDMGFYGLGGEISHSLLNLTYLNHLHLSGNSFNGTIPTFIGSMTELRYLDLSFNSLYGTIPPEFGNLTNLQDLDLDSVGRCRVENIEWLSHLSHLEWLRMDGISLAKQNHWVDVILSLPKLTHLSLGGCELSQVVYPYSSSFLNSSSSSSSSIEFLHLGNNSLTSSMYRWLFPLTSNNLRTLDLLGNRLDGIPRYLGNLCSLESLDFSYNSAAVKFPDFLNNLSGCTSLSLQKLGLSGNQLNGSISEKLWELPRLQTLYLSSNNLTVPSTYHLSNISYVEYLYLRSCKLLGPRFPKWIQTLKNLIDLDLSNTGISDTIPLGFWDMWPSQLKYLDLSSNNISGKLPDLLSNFAHGSTIDLSSNSFCGPIPNVPSTLFSLNLSRNKFSGGISFICQIVDGLLEFLDLSHNSFIGQLPDCLWHFNQLKVLNLGHNNLFGSLPPSIGSLIQLKVLYLYKNNFSGELPFSLKNCTSLISLNLGANKFSGNVPVWIGENLSKLYVLILRSNKFFGTIPLQLCQLPNLQVLDLSMNNLHGTIPSCLSNLTNMVQQGGFSQDVEYFRNVTDNLFAFEIYADHATIEWQGHECEISSTLKLVKSIDLSSNKLTGQIPYQITNLHDLVSLSLSNNNLFGEIPQKIGKMEKLLTLDLSRNNFSGGIPPDMSQMSLLNYLDLSYNNLSGRIPSSTQLQSFPPSRYSGNARLCGPPLTKKCPGDEESEATSVIGKSEGDGEDTDDELELWGWFYIGGGMGFATGFWIACGALLLNRQGRRAFFQFYDSFKDWVYVKVVVFVSSFQKSR >cds-PLY70586.1 pep primary_assembly:Lsat_Salinas_v7:1:89366407:89366685:1 gene:gene-LSAT_1X74860 transcript:rna-gnl|WGS:NBSK|LSAT_1X74860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEYQETKRRSMDFTRTIVTICMMILVVVGPTTMASRPFPLDVHPDKVCCPPPGSPCCNVSFPHGPEEDDFNVNTVAPSPSPSMDHAKMAHP >cds-PLY95848.1 pep primary_assembly:Lsat_Salinas_v7:5:65634357:65638468:-1 gene:gene-LSAT_5X31221 transcript:rna-gnl|WGS:NBSK|LSAT_5X31221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVAICGTVGAGKSSLLSCILGEMPKLSGTVKISGTKAYVPQSAWILTGNVRENILFGNDYDKTRYDKTVKACALTKDFELFSTGDLTEIGERGINMSGGQKQRIQIARAVYDDADIYLLDDPFSAVDAHTGTELFQKCLLGMLKEKTVLYVTHQVEFLPAADLILVMQNGRIAQVGTFKELLKQNIGFEVLVGAHNQALESVLAVENSSRESEQTQGEEEPTLITELAQTRQDSDHSLCVEMSKKEGKLIHEEEREKGSIGKEVYWSYLTLVKGGVLVPIILLAQSSFQTLQIASNYWMAWACPTDSNDEIINGMGFILLVYTLLAVGSAFCVLLRASLVAIAGLSTSEKLFNKMLHSVLRAPLSFFDSTPTGRILNRASTDQSVLDLEMANRIGWCAFSTIQLLGTMAVMSQVAWQVFAILIPVTGVCIWYQRYYIPTARELARLAGIERAPILHHFAESLTGAATIRAFHQQDRFIEKNLYLIDNHSRPWFHNVAAIEWLCFRLNQLSNFVFAFSLILLVTLPDGIINPSIAGLAVTYGINLNVQQASVIWNICNAENKMISVERVLQYSNLTSEAPLVIEDSRPSSQWPQNGTISFTNLQIRYADHLPSVLKNITCTFPGKKKVGVVGRTGSGKSTLIQAIFRVIEPTEGFITIDGVDICKIGLHDLRSRLSIIPQDPTMFEGTVRGNLDPLDQYPDVEIWEALDKCQLGDIVRGKEEKLESSVVEGGENWSVGQRQLFCLGRALLKKSSILVLDEATASIDSATDGILQQIITHEFKDRTIVTIAHRIHTVIDSDLVLVLSDGKIAEYDTPSKLLERENSFFSRLIKEYSMRSNFDTFVS >cds-PLY70571.1 pep primary_assembly:Lsat_Salinas_v7:1:88418487:88423018:1 gene:gene-LSAT_1X75641 transcript:rna-gnl|WGS:NBSK|LSAT_1X75641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICSISGEVPEEPVVSKSSGLLFEKRLIERHILDYGKCPVTGETLTTDDLVPIKTGKIVKPRPVQAASIPGMLGMFQNEWDALVLSAFASEQQLHTTRQELSHCLYQHDSACRVIARLKKELDEARTMLSSLERQAPMPATTAVSSNAYAISNGKRAATEDDEFGPDGKKFRPGISSSIITELTDCNAALSQQRKKRQIPPTLVPLDAIERYTQLNSYPLHKTNKAGILSIDIHQSKDLIATGGVDMNAVVFNRSSGEIVSTLSGHSKKVTSVKFVSDGELVVTGSADKTVRVWQGSENGNYDCKHVLKDHTAEVQAVTVHATNNYFVTASLDNSWCFYDLSSGLCLAQVEDSSVSEGYTSAAFHPDGLILGTGTSGSIVKIWDVKSQANVARFDGHVGAVTAISFSENGYFLATAAQDGVKLWDLRKLRNFRTFTPYDENTPTQSVEFDHSGSYLAIGGSDIRVYQVANVKSEWNCVKTFPDLSGTGKINSVKFGPDAKYIAVGSMDRNLRLFGLAGEENDAAMEAES >cds-PLY71029.1 pep primary_assembly:Lsat_Salinas_v7:5:43487192:43489980:-1 gene:gene-LSAT_5X20880 transcript:rna-gnl|WGS:NBSK|LSAT_5X20880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRQFSGSKFVNGLHLHSIGEDSNKSRLKALPHLTLMAVLVEHMEGQRDLITHKSIWHLSDQSIKNVYTFYIMFTVWGCCFFGSTKDPYYDSEHYRKDGGDGTGHWVYEKQEDIEEKARSELWREELIEEIEKKVGGLRELEEAKEEELVK >cds-PLY81482.1 pep primary_assembly:Lsat_Salinas_v7:8:159095450:159095771:1 gene:gene-LSAT_8X106160 transcript:rna-gnl|WGS:NBSK|LSAT_8X106160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGPSPKYSSRDIASHTTLKPRKDGQDTQNELERRDLKEEFVELERRHFSSKDKGYVGKITSRHMTLTFICNM >cds-PLY90917.1 pep primary_assembly:Lsat_Salinas_v7:4:337716112:337716567:1 gene:gene-LSAT_4X166840 transcript:rna-gnl|WGS:NBSK|LSAT_4X166840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSPTSNFNDENSAIVSALRHVICDGNIATPSTSSDVIGNSQSQPSPGEYEICGECGMRIPDHCLGCQMFTGNSGEETGKRTKNVYRGVRLRPSRKWAAEIMVPGTHERKWLGTFDTAEEAARAYDVANIQYRGKKAKTNFPVEEYSENAN >cds-PLY87520.1 pep primary_assembly:Lsat_Salinas_v7:8:97566879:97569404:-1 gene:gene-LSAT_8X68221 transcript:rna-gnl|WGS:NBSK|LSAT_8X68221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISYLLYVTISIVLWVQLQPTLASVEITKGINGLDKLVLRQTHGSTVDVYLYGAHVTSWKNDQGKELLFLSSKATFSPPKAIRGGIPIIFPQFSNIGPLKSHGFARNRVWTKENNSRPLQSKPINEVFVDLLLKSTEDDLKIWPNRFEYRLRITLGLKGELTMTSRIKNTNTDKKPFNFTFAYHNYFAVSNISEVRVEGLGKLDYLDNTKNRTKFKDTRDIITINSEVTKVTTSLALIVLLYHVVWNPWKEKSKTVDDLGPDDYKSMVAVEASAIGKPVILNPGQEWKASQNLSDVAVTVGLEVQVL >cds-PLY84382.1 pep primary_assembly:Lsat_Salinas_v7:8:78404233:78405724:1 gene:gene-LSAT_8X56120 transcript:rna-gnl|WGS:NBSK|LSAT_8X56120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSIFFAFLFISPSFSAFVAPITKRHVQTTPFYILKLHLKTPLQPTDLLLHVGATFNAVDCTRNYTSTTFHPVPCNSSLCHSLQSNCDINTGKTVVPGGCVILPHNSFAHVDSLALPTTDGRNPGQLGVFHDFVFTCSDESSRLLQGHAKKEVTGLAGFGLSKFSLPAQVSTAAASSVFALCISGSPSAPGVAFFDLIKPYYFLPGIDVSEHLNYTPIFSYPVAITTRKTNTKRYEDPYFIGVKSINVNGKPIVINQKLLSVDKNGNGGTKISTTNPYTVLERSIFTAFIEAFTNESSIVKLKSTNPIKPFKICYEADDVLETHLGPNVPAIDLVMQNDVIWTVWGKNSMVRIVEEGVDVWCLAIVDGGVRPTSSMVIGGHQLEDNLLQFDLGAKRLGFSSSLLQHKTMCANFNFTTI >cds-PLY73721.1 pep primary_assembly:Lsat_Salinas_v7:8:170482124:170484781:1 gene:gene-LSAT_8X111440 transcript:rna-gnl|WGS:NBSK|LSAT_8X111440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKEYGLLGPFKTIPKEAIYCSRIHEKHPFGIFIYGENPQELSFSLVLLELSLVLVLTHIIRHLLKPLKQPRVISEILGGMIVGPSCLSRYKKFANWIFPGQSAYIYRNIGTFAFMYFMFLSGVKMDLLMIKKATKKQWYIGMVGMVVPTAATALVAVCVRNSLGPEMMKVSSIWGISASLAITAFPVLHPIMRELNLLSSDIGRMALATAVIGDVVGINGLVAFEIAKQAEGRPLAPLGYAMSGLFFSVIIFGGVRLLMFWIIKITPEGKPVEQVYVISILAGVLAVAFLTDMLGLAIVNGPWYLGLAIPDGPPLGSTLTHKCESFVLEILMPFAYLNIGLMTDVFAMRDDWSKLQPLFFVALTGYLTKFVSTLLVTRFFDMSMRDGVTLSLIMSLRGQVELLLFIHWLDFQMIGIPQFTMFVLLTTVMTGIATPLINIVYNPNRPYMINKRRNIQHTPPNTELNVLVCFLDEESVPGMIHLLEVSNPTVHSPFLVYALHLVELVGRAAPMFIDHTGEPKENDKKSQKDYSGAVHKSFQTVHETRGDVIKVHSFTSVAPKRSMYQDVCELALNRKASLIILPYNMSPMRGLAGTDMIQNSVKSLNYTVLDHAPCSVAMLVDKGDFRAPTNLRMSVTLQYHFAMLFLGGADAREALACADRMAGNPNVSLTVIRFLAYNGEGDNEMEKKLDDGLVTSFWVKYEGNEQVAYREVVVRNGEETVAAIRAMNSEDYDLWIVGRKLGVNPILIEGLSSWSENLELGVIGDYVASVDLGSTASVLVVQQQVLRDKETNSGGLRGRISRYFSDILCF >cds-PLY97428.1 pep primary_assembly:Lsat_Salinas_v7:4:14724195:14724687:-1 gene:gene-LSAT_4X9900 transcript:rna-gnl|WGS:NBSK|LSAT_4X9900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRCAVCKYFRRRCPSNCIFSPHFPPNNPQRFTCVHRIYGASNIGKMLEELAVEDRAIAVETLYYEAKCRVQDPVYGCAGLIPWLQQELNIAQSELAKTRAQIALVSANYVAFQQNGVEDDPSNSWFS >cds-PLY87372.1 pep primary_assembly:Lsat_Salinas_v7:1:98466155:98469824:-1 gene:gene-LSAT_1X80680 transcript:rna-gnl|WGS:NBSK|LSAT_1X80680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRKKSSERSPGETESSVGSISSHSERFSDDQIYLNQNLQSLEIGSKSDPRQSEHNNDVKTLSEKLEEALANINAKEELVKQHVKVAEEAVSGWEKAESEASSLRQQVEILNVRNSTLEDRILQLDGALKECLRQLRQTREEKDQIAHEALEKKNSETESSSTSIDIDLLHKLEMSEKENSDLKLELSSMAEELEIRLIEMELSNQAAEQASRQLLDSVKKVAKLEAECRKLKFSLEKGNDHQTKDRKNSSINERNQMESFVEIDLMDDFLEMERLVGLPESNEVGERLKKEREDELNASRKRLEEAESNLSELENELKTSRERLEEAESKSVRLENELNASRNRLEESESKLGKLENELKPSRKRLKEAESKLAEYENELKASRQRFEEAESKLHDRENELKVSRNRLKETEFKLDECEKELRASIYRLKEAETELEDRENELKPSRKRLERAESKLAELENELKTSRYRLEESETKLVVNENELKKGEFKLAEVENELNASRKRIEEAESKLAKHQNELKLSRYQLDEAESKLAETESRLEMAESRLEAIYIKKEEAESRCKALESELESLLINHEKERDLWGKSEAKCRQLENKVTRLQHELHGRKSVNRPEELRFRRAKQDKELAMAGNKFAECQKTIASLTRQLKTLATIDDFLVN >cds-PLY78064.1 pep primary_assembly:Lsat_Salinas_v7:4:353892758:353897612:1 gene:gene-LSAT_4X174001 transcript:rna-gnl|WGS:NBSK|LSAT_4X174001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFKRAKDIIKSETDNSEQGSKIECNVDKPFASLQGKSFKEYGDFYIHKPTLGLNEVAIKGREVFVTLWGRYADEINAYVSKHTGYFVLLIQCAKLKLVRDRSYINNTYMATKLYIEDDIEEITTFKKSFMIKVRVQGLVGVVSLTIFDREVRNILKLSAADLLSKYERFGNTSEFPIELNSMIDKKLAFKIVVKTYNSSRFGRSYNISKITDNVDIISALEKIEKRNLHLKKQLVVRMLLPTLLIILICRIFPLASQETVGCKDATSHTADNTPMLNIPSGICTSPSSRTTNNLNSPPTSVKRKLEDVYDLDDDVYESATKPNAPRIGDEKVGGTTKLLIPKVEK >cds-PLY95971.1 pep primary_assembly:Lsat_Salinas_v7:9:40409774:40411557:1 gene:gene-LSAT_9X37180 transcript:rna-gnl|WGS:NBSK|LSAT_9X37180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKHYSVGLWDIKTMFKCSVVMFLIGGLVTLSFYYRNNNQEAINSKDKVTLSTMTTSKITNDDENTNRITITKTNKRVFDHQRRLKLAPLSLTDTCDLFSGRWVHDNNSHYPLYKEDECPYLLGDLACLTYGRKDSKYQQWRFDGKAIVERLKGKRLLFIGDSVNRNQWDSMMCMLHSSIPGKKKVSLGGTLNNTLYTFRAIDYNISIDYYWAPMLVESNGDDPSNHRLDHRIIRIKAIEKHARHWVDADILVFNTYLWWKLPIIKLLKSPGSLLGGPNQVYDEIDNLRAYKKVLETWSKWVHIHIDPAKTKMFFMGLTATHSRAKDWGGKKHGSCYNETEPVMEDGFWESGTNQQMLQILESLLSKLKAKGVNVQLINITQLTQYRKDAHPSVHRKLWSPLTDAQKKNPESVSDCTHWCLPGVPDIWNELLLAYIFPINPPTNK >cds-PLY75739.1 pep primary_assembly:Lsat_Salinas_v7:4:333731567:333732491:1 gene:gene-LSAT_4X164881 transcript:rna-gnl|WGS:NBSK|LSAT_4X164881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPKGRGCKCIDSCCWFIGCMCTTWWLLLFLYHCLPAHLSGFRSPEAPGVRLKNEGLTPLHPVVLVPGIVTGGLELWEGRPCSHGLFQKPLWGGSFAQILQSHCVSWSICHSTMKQDSTRQAFGSDRFRVLLLQITLFIGISFGLFLSRIWLKLGNNGNKKVVVVPHSMGVIYFLHFLKWVEAPPPMGGGGGPGWCDKHIKAIMNIRPVFLSVPKAVSGMLSAEGKDIAFFRAMAPGVLDSEILGLQTLEHMMRVGQTWDSVISQSVD >cds-PLY98624.1 pep primary_assembly:Lsat_Salinas_v7:1:36300318:36301229:-1 gene:gene-LSAT_1X30661 transcript:rna-gnl|WGS:NBSK|LSAT_1X30661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPPQQQSWINIAELKKQIIRKLGPERSKQYFDYLNRFLNLKLSKDEFDKLCVRTVGREGILLHNQLIRSILRNACTKVPLGNKKPSDGPFIQNGSNPVATRASSPLVLPNGDILSPTQRKIRTGARRSALKPNGKTNYSSPSSPIDTRKPVQHHQEVIKQPQHEVVSGRIPLHAPLGIPYCPVSIGGARKAPPTKYVGVSDTNSLLDTITLRARMEPIAATQGLQGVTVDCANAINNGLDVYLKGLIRSCFELNGARLGHEPVKISPISLLDFRVAMEINPRRLGEDWPLLLEKICMRAFEE >cds-PLY62400.1 pep primary_assembly:Lsat_Salinas_v7:5:309574248:309575022:-1 gene:gene-LSAT_5X168561 transcript:rna-gnl|WGS:NBSK|LSAT_5X168561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSTRSVQIHIKSNGNAFHKLWKSNPHQVPSLTPTNIHNCQINEGDVGNVGCILFWNFFHDGKECVIKTITQDIDEEKNSVTFKGLEGDLMELYKTFVAHVQVDIHGPDSIVTWTVEYEKLDPNVPDPDTLMDFYKKVTKDIETHHLQN >cds-PLY81427.1 pep primary_assembly:Lsat_Salinas_v7:3:217705044:217705424:-1 gene:gene-LSAT_3X126281 transcript:rna-gnl|WGS:NBSK|LSAT_3X126281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPLVVLLFCAACAEGEYQGDDGVALVVASGLRNMVAGVAASEDMKVAIGIGVRHRGLRLGPTRRSLKYPMVTGSVVSAGIERSRTQGRRFFRLLLLFPTVDRLSMVVVFGDHVDPPFDFSTSSFD >cds-PLY66207.1 pep primary_assembly:Lsat_Salinas_v7:2:168133037:168135062:1 gene:gene-LSAT_2X90540 transcript:rna-gnl|WGS:NBSK|LSAT_2X90540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFRNLFIPLVLCFSIFSPNFHLQSSGLREMRDSMIRLPSDDSDGHPFDPSRVTQISWHPRAFLYRNFLTDEECDHLILLAKDKLEKSMVADVQTGKSIESMIRTSSGMFLKKAQDEVIAGIESRISVWTFLPVENGEAMQILHYENGQKYSPHWDYFQDKINQERGGHRIATVLMYLSNVKKGGETIFPHSELKESQPKADMDWSTCAKTGYAVKPKKGDALLFFNLHPNATTDPLSLHGSCPVIEGEKWSATKWIHVRSFDVKPVISSQTQGCRDENVNCTFWAARGECDKNPIYMVGSVDTRGHCRKSCNN >cds-PLY70070.1 pep primary_assembly:Lsat_Salinas_v7:8:114069938:114072770:1 gene:gene-LSAT_8X76381 transcript:rna-gnl|WGS:NBSK|LSAT_8X76381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACTRFVTLKAIHGTWTHSQLLSPRIHTSGIVRAFSALKSPPSTAVFYEKHGPPDTVTKVIELPPVPVGANDVCVKMLAAPINPSDINRIEGVYPVRPPLPTVAGYEGVGEVHSIGSAVQGLSPGDLVMASPPSPGTWQTHVVKDKDFWHKIDRDTPVEYAATVTINPLTALRMIEDYVDLKSGDAIVQNGATSMVGQCVIQLAKLRGIHTINIIRDRPGSDDAKEKLKKLGADVVFTESQLDVKNVKGFLDNLPEPALGFNCVGGNAASLVLKFLRQGGTMVTYGGMAKKPVTVSTSSFIFKELSLKGFWLQKWISSDRKEECREMIDYLLGLIREGKLKYDMELAPFSEFHTALDKALGKLGSQPKQVIKF >cds-PLY77773.1 pep primary_assembly:Lsat_Salinas_v7:2:169890448:169894427:1 gene:gene-LSAT_2X91641 transcript:rna-gnl|WGS:NBSK|LSAT_2X91641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVGMAPLTAEKVDGDSMFVDKLPEEINEMKIRDDKVEKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGQGSFGIVFQAKCLETGEAVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETAYRVARHYSKANQRMPMIYVKLYTYQIFRALAYIHAIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSVGCVLAELLLGQVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRTPPEAVDLVSRLLQYSPNLRCTALEACIHPFFNELRDPSTRLPNGRPLPPLFNFKPQELKGASLELLAKLIPEHARKQSPFLGF >cds-PLY70494.1 pep primary_assembly:Lsat_Salinas_v7:1:74085235:74085693:-1 gene:gene-LSAT_1X63001 transcript:rna-gnl|WGS:NBSK|LSAT_1X63001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKVRSGSNGKKNNGILKLKLVLERLQKGLFLAKKRRESFSGQEMVPKDVKEGHFAVIASDDYVERRFIVPITYLRHPEFLRLLERAAEEYGFDHEGALMIPCRPSELEWILEKQRRSEDGENWHSYKTMVESC >cds-PLY77665.1 pep primary_assembly:Lsat_Salinas_v7:5:174266053:174268268:1 gene:gene-LSAT_5X76940 transcript:rna-gnl|WGS:NBSK|LSAT_5X76940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCLVGCCASLTCGLCTSAASTITKKSARLGYCGLFGLSLIVSWVLREVGTPLLKQIPWINTSDTLSDEWFQMEAVLRVSLGNCLFFTILALLLIGVKDQNDTRDAWHHGGWIFKILIWALLIILMFFLPNPVISVYGWISKFGAGFFLLVQVIILLDATHSWNDAWVARDEQKWFVALLVVSITCYIAAFAIPGVLFIWFNPSGYDCGLNIFFLVMTMILSLSFGIIALHPAVNGSLLPASVISVYCAYVCYTALSAEPRDYACNGLNKSKAVTTSTLILGMLTTVLSVLYSALRAGSSTTFLSPPSSPRAGERASLLESDELEEGKKGKKDSEPRPVTYSYMFFHLIFASASMYSAMLLSGWSSSESSDLIDVGWTSVWVRIGTEWVTAGLYIWSLLAPLMFPDREFY >cds-PLY74810.1 pep primary_assembly:Lsat_Salinas_v7:5:59272769:59273201:1 gene:gene-LSAT_5X27700 transcript:rna-gnl|WGS:NBSK|LSAT_5X27700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNLLKIITYLQNTVSIHPISSDSGCDMVPKDEPDMDSSNKISFLNKNPFFDLFHLFHDRNRGGYTLHHDFESEERFQELADLSINNRTELDLVYHKRFAFSIDSYGLDPKQFLNGVFNSRE >cds-PLY93117.1 pep primary_assembly:Lsat_Salinas_v7:8:252865566:252866140:1 gene:gene-LSAT_8X148880 transcript:rna-gnl|WGS:NBSK|LSAT_8X148880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPNKYYGDTYHLIAKNCNHFTNEVSMRLTGKPIPGWVNRLAKLRSFCNCLLPGNSQVAAVRHLPDHATISDEESDSGDSSLTKGSEEDEVDDDHHHHLLTESNSDMAFYGLKNFTFQLHLYLQIMLI >cds-PLY69491.1 pep primary_assembly:Lsat_Salinas_v7:6:44442682:44443266:-1 gene:gene-LSAT_6X31561 transcript:rna-gnl|WGS:NBSK|LSAT_6X31561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEPNAGGSSSDSRQKATRKRTGRPFKSAPPHQTPAATPCGACKFLRRKCIPGCIFAPHFTTDQGAALFAAVHKVFGASNVSKLLMHLPVHRRPHAVATISYEAQARLFDPVYGCISTVIGLQLQVAALQTELAMVQNQLINNRVSVATAIQGSLQQPTPVHHLVGYTNGSSASNNNVLNMNNFDPFVFADEML >cds-PLY91515.1 pep primary_assembly:Lsat_Salinas_v7:7:141221609:141222499:-1 gene:gene-LSAT_7X84501 transcript:rna-gnl|WGS:NBSK|LSAT_7X84501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGEWTKSRQRKWKRLSMTGDRPTWNHVGVTTMFVSNLPMEISKKSLAMTFVKFGEVVDVYIPTKKDVRKKYFGFVRFKKITDEYELEKALEGVKYGGRTLDVNIAMFERKPTGGSSVRGSIKNREQKKKPSIPESYVRKNRSYAAVVAEDRETTRIPPPPPQKQIVPICLSNDSPLRGWIKGKYTLIGVLHSFDHLEKAPYSIKNCDGSRCEIKYLGGLRIAVKFMNDMSREVFMKGWVEWFKEIDSGDIAEFHYERIAWIKISGLPLEMWSEENFNAIVATYGYVVVPFVVEQT >cds-PLY83728.1 pep primary_assembly:Lsat_Salinas_v7:4:40855325:40855663:-1 gene:gene-LSAT_4X28340 transcript:rna-gnl|WGS:NBSK|LSAT_4X28340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRRPRLRVDIDSSASSSSFPTTSTSTSSASSILLTVHKYSKDFKEGTCAVCLGEFEENDEVRIMPECAHVFHVTCIDMWLFSHGNCPLCRANATPRAQDVLLSILNSRNME >cds-PLY63149.1 pep primary_assembly:Lsat_Salinas_v7:4:311036927:311039748:1 gene:gene-LSAT_4X156861 transcript:rna-gnl|WGS:NBSK|LSAT_4X156861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKKKTPDNQNVKKKRFLITVNVLGSSGPLRFVVNDDDKVSEVIDSSLKMYARGGRLPVLDSNLENFLLYPANAGSEAMKGNDVVGSCGERNFLMCKKKRHPQMTEARSEMITGHSRNRGWKAWFKILSH >cds-PLY67618.1 pep primary_assembly:Lsat_Salinas_v7:5:117909715:117916363:-1 gene:gene-LSAT_5X51640 transcript:rna-gnl|WGS:NBSK|LSAT_5X51640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGCGSGIERVTGGGSGNGIKWVLLVFQYWQMDKLSLLRIERKFHVAYQLLDKMLKSGVKSIIKPEMDMSNLRRSHGGSGDVDDSSLQSGDWRTQLRAAARERMVNRIMVRVKRHHPYSGHEELQKLRKTSARFEEQIYNAATNQFDYMRRISLKMLTIDTRPRRPMPLSYAMHSNYGAINGNPSNPATNMLSQDQMQAPSPSGSYKSSSRKLKS >cds-PLY62250.1 pep primary_assembly:Lsat_Salinas_v7:5:164185028:164188868:-1 gene:gene-LSAT_5X72540 transcript:rna-gnl|WGS:NBSK|LSAT_5X72540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKIDPYSFDVFYFLTVSRLKSTIVAKHLLGTLKPPLLGTLKPLCRIEFKLLHLLRLMDDKESTFEEIIDAYLTYLQVTFVNPAMDRALSILQKFALDAQKGKIVKDKIRFGAPWKHPPRKDDPFLCSKWEKLQLMDFIQSLVSAEFGLCPTNGYSRWIMCITQLGQVPYLSFRFNKLFKASGYQVSAIGNLH >cds-PLY69637.1 pep primary_assembly:Lsat_Salinas_v7:5:112074101:112077231:1 gene:gene-LSAT_5X49640 transcript:rna-gnl|WGS:NBSK|LSAT_5X49640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTILFLYFTVLISIFITCASLDTISANQTITDGNTIVSAGQNFEMGFFSLGTSRKRYVGIWFKKISTRTVVWVANRETPLSDTSGLFKLRQGALQILSGNNSVIWSSNSTGSDTARDLVMQLLDTGNLILRDKENLIWQSFDYPGDTFLSGMRIGVDLITGKDRHLTSWKSNDDPSAGPYVFRVDPNGYPQFFVKRDVIPESRYGPWTGVTFNGMRNLGENAIFTHQFVVSENDIYYEYELLTKAFVSILRLTPDGKMGNWNWANRTENWSFYSGARIDDACTPYAICGSYGRCNINFDKNHNCSCLEGFEPRPSEERSLADQSSGCQRINPLACGNPDGFRKVSGVKFPDTNHSWYNLSMTLGECETACMRNCSCTAYANLDIRRGGSGCLQWFDDLMDIRESDETQELYIRIAASDISSPTTSEYGSRKNKQTSVVIVLSTLGLVVVCVIFALYVAWRKRKRPRKTILVSLQDHEDNYTNESLNSDKEMLPFSLSMIAKATNNFSPNNKLGEGGFGPVYKGVLEDGREIAVKRLSSTSKQGLDEFKNEVGCINKLQHRNLVKLLGYCILGDEKMLIYEYMANKSLDLFIFDESGSFMLDWPLCFGIINGIARGLLYLHQDSRLRIIHRDLKAANILLDNDMNPKISDFGLARWLSGYETEANTNKVVGTHGYISPEYALHGLFSVKSDVFSFGVLVLEIVSGKKNRGFSKQEHHDTLTGHAWRLHNEGRSIELVGSHLRHSFVDFQVVRVVHIGLLCVQHHADDRPTMSSVVLMLGNENSLPPPKQPAFFTKDKLLEFTPSSSLPTLDSVGELTITHLNAR >cds-PLY80058.1 pep primary_assembly:Lsat_Salinas_v7:4:348608544:348609443:-1 gene:gene-LSAT_4X171681 transcript:rna-gnl|WGS:NBSK|LSAT_4X171681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLFGLTELALKPISLRGYNMGEIVAAKNIIEVTTELRIPVRSTHVYLFAEHWDDLGSSKDVKGNPKEVYRRMSQGSSYGFGAKLGLVHAEYVVDHNSGTSALFFRFGERF >cds-PLY77169.1 pep primary_assembly:Lsat_Salinas_v7:8:25997089:26000818:-1 gene:gene-LSAT_8X20001 transcript:rna-gnl|WGS:NBSK|LSAT_8X20001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 28 [Source:Projected from Arabidopsis thaliana (AT2G35770) UniProtKB/Swiss-Prot;Acc:Q8S8K6] MHAEANLLFIDSPAGVGFSYTNTSFDQITGDERTAKDAHAFLVNWFLRFPQYKHRPFYIAGESYAGHYVPQLSQIIVRLNKGVKNPEINFKGFLLGNPLLDDYYDNIGTFEFWWNHGLISDTTYQILNESCPYDSFLFPSGDCYKYLLRAYSEFGDINFYGIYDDPCDDLGTKLPLPWMFRGNNNCLIKYTKLYMNRPDVQKALHANVTRLPYSWITCSDIVRGSWTDSPTTMLPIFKELIKAGIRIWVFSGDTDAVLPLTGTRYSIKALKLETLIEWHAWYDKEKVGGWSQVYKGMTYLTVKGAGHEVPMDRPKLALTLFAHFLKNITLPSSAH >cds-PLY85474.1 pep primary_assembly:Lsat_Salinas_v7:3:42328167:42328505:1 gene:gene-LSAT_3X32721 transcript:rna-gnl|WGS:NBSK|LSAT_3X32721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVACFFGMVDEAIGLLESMKRNGFMPSVITYNIILLGLCKAHRMDDAIHVLEEMVDTGCQPNQTSYILLVEGVGFLGRRAEAIDLGHSLFQMKVISQESFTRLKKDSPIYGF >cds-PLY76190.1 pep primary_assembly:Lsat_Salinas_v7:4:53396527:53396919:-1 gene:gene-LSAT_4X36661 transcript:rna-gnl|WGS:NBSK|LSAT_4X36661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMRGRKSEKVRRIFQQFDFNIDGGINRGVVYVLVVTVYPRVKISDEQINAIFNEVFRMYEEFIDKEKDLTYEGLLYRYDNGASTNDRDFDALGLKIKIDNDNEDASCPALEEASTSSVLEMASSTIFNG >cds-PLY87878.1 pep primary_assembly:Lsat_Salinas_v7:3:44340716:44342759:-1 gene:gene-LSAT_0X9241 transcript:rna-gnl|WGS:NBSK|LSAT_0X9241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAGEGALSVMGPRPMDLSSITPYSGSGSKQRTSSLLSPIMLLTGHQSGIYTMKFNPSGTVVASGSHDREIFLWHVSGECKNFMVLKGHKNAILDLQWTTDGSQIISASPDKTLRAWDVETGKQIKKMAEHSSFVNSCCPSRRGPPLVVSGSDDGTAKLWDLRQRGAIQTFPDKYQITAVGFSDASDKIYTGGIDNDVKIWDLRRNEVTMTLEGHQNMITGMQLSPDGSYLLTNGMDSTLRIWDMRPYAPQNRCVKIMEGHQHNVEQNLLKCGWSPDGSKVTAGSSDRMVYIWDTTSRRILYKLPGHTGSVNECVFHPTEPIIGSCSSDKQIYLGEI >cds-PLY90570.1 pep primary_assembly:Lsat_Salinas_v7:6:53579139:53581603:1 gene:gene-LSAT_6X38661 transcript:rna-gnl|WGS:NBSK|LSAT_6X38661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADENPPTXXXXXPAAPEAAALVQNSPPRSSTSEETLEPPPPPVSVTENETPLSLPLILTSKETSISPPSSPPSSTAATTNTTLGEVIEQPEKTSSHDHKKIPQSMVSFKEESNRIRDLSGLEKVSLDELKQLVQEAIANKDFAFSSTSEDPTSEIKSNPDEISIWGIPLLKDDRTDVILLKFLRARDFKVKDSFTMLKNTLRWRKAFSIDALVDENLGDDLEKVVFMHGYDTEGHPVCYNVYGEFQNKELYQKTFSDEEKRTRFLKWRIQFLERSIRKLDFSPGGVNTIFQVSDLKNSPGPGKRELRLATKQALQLLQDNYPEFVAKQVFINVPWWYLAFYTMMSPFMTQRTKSKFVFASPSRTSETLFKYVSPEHVPIQYGGLSVDYCDCNPEFTIDDPAAVVTVKPCTKQTVEIIVNERCNFVWELRVVGWEVSYSAEYVPKNENNYTIIIQKARKISANDEPVISHSFKINELGKILLTIDNPTSKKKNLLYRFKVDPLNE >cds-PLY76942.1 pep primary_assembly:Lsat_Salinas_v7:7:52352894:52355790:-1 gene:gene-LSAT_7X39341 transcript:rna-gnl|WGS:NBSK|LSAT_7X39341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVIVVVPLFLYEGTPANRRFFSLLLRRAVAVSRHHQSSPPNQTSGDVNKQEEKRRAGIHQLFYQALVGVRAYVIDLILSNGEVTVIDKITNNGNTALHVAVGATKKADLLQKLLEKTPENTQLLDLRNSDGSTLLHVAAIVGNTQAADILVGRNPDLLFAKDNEGHTPLAIALSNMHTETAQHLLQHIGNDLEMGTLFSGSGGDELLVTAISSKDFRLACDLLGRYKTLHGDAVLMAIFLNFPRELNMLEEFSSLSIELDVQQFSVFKGLMLIYVYVAGWPYIKERVRTHLDAILLLASVCDLIRFRNDPMCYHQYYMNPLYEAIRQNSYDVVEYILSYFPDALTSANEEGHNIIQYAVINRSENIYNMLYQMGEHKNIYRTVKDPSRNNLLHLAARLAPSNKLNLISGAALQIQRELQWFKEVERFVCPLNIIQRNSFDETPQMVFTREHKDLVVEGEKWMKATAESYTITAALIVTVVFAAAIRVPGGNNQDTGLPVFTNNTAFTIFAISDAISLFAAVTSLLTFLSVLTARFAEQDFLFKLPTKLIIGLATLFISTTSMIVAFGATLYIVFGQRNSRILIPIVVLTCLPIISFVTLQFRLIIDLMRATYGRSIFGKKRDDTF >cds-PLY97279.1 pep primary_assembly:Lsat_Salinas_v7:1:43719881:43721148:-1 gene:gene-LSAT_1X38020 transcript:rna-gnl|WGS:NBSK|LSAT_1X38020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEEYIMNLCTQILKFKTDFDLACHYLSIKGVSAIRRLMKIDNNRIAEACGAVIVNRPDELQESDVGTGARLFEVKKTGDEFFAYIVDCQDLKACTALLIGASKDLLDQVERSLQWPYEVVSVAFEAIPQTLAQNCGVNEVAAWVATIASVKVATFVVLTLFTNY >cds-PLY92341.1 pep primary_assembly:Lsat_Salinas_v7:9:177916557:177916838:-1 gene:gene-LSAT_9X110301 transcript:rna-gnl|WGS:NBSK|LSAT_9X110301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSRKEEPKARFEPIVKKEPMAKETLFRDDSIIDNDSEEEITEEELKRRKVCEAKMDKYHRIICEAEEKERADKKHKLFFRVKSSCSPSGP >cds-PLY85442.1 pep primary_assembly:Lsat_Salinas_v7:3:42732610:42735549:-1 gene:gene-LSAT_3X33041 transcript:rna-gnl|WGS:NBSK|LSAT_3X33041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVLYQNPHEARLLFGRGFRAGMDRREQKKLAAKNEKELRDEIRKKDGIEERPEEAAAQRLKEEAADMYDTFDMRVDRHWSEKKLEEMTERDWRIFREDFNISYKGSRIPRPMRSWVESKLSNELLKAVERAGYKTPSPIQMAAIPLGLQQRDVIGVAETGSGKTAAFVLPMLTYITRLPPISEENEAEGPYAVVMAPTRELAQQIEEETVKFAHYLGIKVVSIVGGQSIEEQGFKIRQGCEVVIATPGRLIDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNFKPENEDEELDEKRIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQHVIMVKESEKMPRLQKLLDELVDKTAIVFVNTKKTADHVSKTLEKSGYRVTTLHGGKSQEQREISLEGFRTKRFNVLVATDVAGRGIDIPDVAYVINYDMPSNIEMYTHRIGRTGRAGKTGIASTFLTLHDTEVFYDLKQMLTQSNSPVPPELARHEASKFKPGSIPDRPPRRNDTVFAH >cds-PLY75161.1 pep primary_assembly:Lsat_Salinas_v7:1:183856812:183860603:1 gene:gene-LSAT_1X118981 transcript:rna-gnl|WGS:NBSK|LSAT_1X118981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAGLSAVLELHDKYASPVHIAADLLSQELYYDFDLGVSPANFRDILLHSHALEGITMSMHMGDFNYPRLAYFVAATSGSNKVQCQKVLEELDVSHI >cds-PLY91582.1 pep primary_assembly:Lsat_Salinas_v7:8:217211137:217213134:1 gene:gene-LSAT_8X136300 transcript:rna-gnl|WGS:NBSK|LSAT_8X136300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNILLAECDPSPVFRSGKWEKYLAKNKRDLLRMSDQIEKGREFEKKADKMLNGWGFFGSKFKYAGDLYEKAANSYKLAKSWTKLDLYMLSWLTQNEPASAYADTAHSYKKTSTKACIANLEQALSIFMEIGRLSMAARYCKQAISYYNKASDIFQGEEVTTSANQCKQKIAQFLAQLEQFQKAIEVYEEIAKQSLNNNLLKYGVRGHLLNAGICQLCKGDGNTRGE >cds-PLY93269.1 pep primary_assembly:Lsat_Salinas_v7:6:158723997:158730036:-1 gene:gene-LSAT_6X96540 transcript:rna-gnl|WGS:NBSK|LSAT_6X96540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGARRRSKSLWDNKEEAIPQSGKILDSSFHGDTSPKRSNSEANDVFMSDDFSHDPMQQQPNENIPYRGGNRDRSRSPPRSRVRDRDRNRDERQESYDSGDIRNDSISRASSHRSSKDFASGNSRRGSQGRFVHPESGFRDDRNKDSDGHGHRKDADYHRDGGNNNNNRNNSYDNNSVKPPCRFFMRGNCNRNRCKFSHDVPKSEDYVERSHDENNKSWNDPSWNQESQSRYEQDFTQNPITLNEAEKSHDMNGGPKLEEGKQPLEASRNGIPTENKEQEQFSQANEKQILASALELLYSLPNSGSSGAPVSDPIKAGILADSVEQGNQIPVESDVKEEETEKKKDGNLKNTNNSGKNEVQGKVEEGDEKAMRQFKIVLVEFVKEILKPTWKEGKMSREVYKTIVKKVVEKVSSSIQGVQIPRTQEKIDQYLAFSKSKITKLVEIYGRSSLQSLNILFKSSANWET >cds-PLY82644.1 pep primary_assembly:Lsat_Salinas_v7:5:84728553:84730728:1 gene:gene-LSAT_5X38100 transcript:rna-gnl|WGS:NBSK|LSAT_5X38100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKTKQQSEENNSPTTEHEIPRQTIEDAASEKLDSLKSLNAMLLKETVERRHQVDSLTQINCSLESELNRCKSDKVSLQSELSGLTERAVTLEIEKESVSKVVALQLDEMTEVSRREKAAMEEKIGGLEREMSRLLEEKNAIEKTKKSKESEIGSLNARLNTLVTQIGEENVALSKICEERDGIRAQLHDRIQYEKGLRSKLTEAEKREAEITENSQKVKAAYLGLIEEKKSLERKVDSILKEKDLVEMNLVESNRVVDVLKRDIEKMMKEKMEIDDDRDVQERKKNELHVSVHRLNELVYKLKKGEEQLLMKVADLENRHAMDSEKEAKMSIEINILVQEKQELEASIQKLTQEKCLVSKNLQEALKMIEHQKLTINQMLEEKAKIEEQSNKFKSTIATLENSNKIQIDKIKQLESEINNQKSAFDRATTESKNTRAKLDEEKLKLKNSNEKISKMEKGVEETRKKLSKMTTETEKLVAEKNKLAESLAKTQKEHDDTKAKLKLSEGKKNQILKILKTTNVIRSSKEDDNMGIDQEIKNHVTETEAMKKAFKDKETNVEELKKQLELVNKGKSFWTMVSSATTVLAAISLAYVARSC >cds-PLY66604.1 pep primary_assembly:Lsat_Salinas_v7:4:313965777:313968867:-1 gene:gene-LSAT_4X157881 transcript:rna-gnl|WGS:NBSK|LSAT_4X157881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPPLLGVEDGQGNVSASTMESIFQKTSGLTERNYLGLSDCSSVDSSAVSNISEVNRNNLNLKATELRLGLPGSQSPERDPQTNSENLDEKPLFPLLPSKDGICSSASQKIIVSGNKRGFSDTIEGNWMFASSATDSDASKTQGKANNSNTQSPMIKDSTTSSKAPLPITLNKVNSSSSNPPAAKAQVVGWPPVRSFRKNILATNSKNNDEVDGKPGPGALFVKVSMDGAPYLRKVDLRSYTTYQELSSALEKMFSCFTIGQCGSQGAPGRESLSESKLRDLLHGSEYVLTYEDKDGDWMLVGDVPWDMFIGSCKRLKIMKGSDAIGLAPRSMEKSKNRN >cds-PLY63843.1 pep primary_assembly:Lsat_Salinas_v7:7:101883731:101884579:-1 gene:gene-LSAT_7X67421 transcript:rna-gnl|WGS:NBSK|LSAT_7X67421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESNRPPPKMGNQEKQVVEDGNHNNTSRYTRQDTRRGISIFIVVILLLAGITAGVLWIVYHPHKPKFSVVDASVFALNISSTPYISITMQFTIVTRNPNNRVSIYYDHLAAFLFYKNQAITAPLMLPPLYHERDSTVSFSPILGGGSVPVSMEVVNGLETDEGYGAVSFRLVLMGQMRWKYGDIRSGRKGVHVGCDVFVGLKRGVVGQVPLLGSPVCRVDI >cds-PLY88507.1 pep primary_assembly:Lsat_Salinas_v7:2:154318872:154320306:-1 gene:gene-LSAT_2X79040 transcript:rna-gnl|WGS:NBSK|LSAT_2X79040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPKTLHVAMLCSPGIGHLVPALLFGRRLVTHHNLQVTILAVTTTTTPTAQSQLLTPFTADIHLPVIQIPAADISSVVSPDAKVVTKICTMMRETIPTIRTTISSMDPRPDVFVADIFSTESWVIAEEFGMPKYVFNTGNAWFTGLFTYSPVLDKKVVGQYVDQTELFEIPGCKPVRPEEVVDPMLNRDDEDYRVYLNQAIGVTLADGMLINTWENLEPQSLQALRNNKILRSIPVYTVGPIAKKYEPVGLKTEVIEWLDKQPERSVIYVSFGSGGTLSAEQITELAWGLELSQQRFVWVVRPPAGYDKEVSFFKSGHSGEPNSEPEYLPEGYLTRSKKMGFVVRSWAPQVEILSHTSVGGFLTHCGWNSTLESISSGVAMIAWALYAEQRMNATMLTEELKVAVRPEVLPTKKVVGREEVEKMVRCLIDGEEGKAMTEKVKRLKEGAEEALSVNGSSYISTCKFVDDCWSRIQQSI >cds-PLY89019.1 pep primary_assembly:Lsat_Salinas_v7:3:72858158:72859883:1 gene:gene-LSAT_3X62081 transcript:rna-gnl|WGS:NBSK|LSAT_3X62081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVKLHRIKEWGDPQKEEFYFYMKSYSPVDNVNALLSYLEIDNHEGLVREHMPGRYAELDQYWGESQVMGPGPRADG >cds-PLY88853.1 pep primary_assembly:Lsat_Salinas_v7:5:131424637:131426809:-1 gene:gene-LSAT_5X55300 transcript:rna-gnl|WGS:NBSK|LSAT_5X55300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENYKNESSLSRFFFDTTIVTEEILNELKLEDMKFRLFATPLRIYTKKFDVKPSFRDVALVFFPIVDDGKYYLLILNLRSSLYYIADHAKRTGTLERKYGMIPNLVKKLFCNYLTSQHHPMAKALTFKVGRVMNISWQVEKAGTECGIYLMRHIEGYMGENEGCWECGLTGKMPADVSATIKLRTKYMARLLTADFNKFKNMIVKDFEAFCKLDILEQDMLLRESAENRKKKKRKTRGRSYKKR >cds-PLY77894.1 pep primary_assembly:Lsat_Salinas_v7:1:26299994:26302268:-1 gene:gene-LSAT_1X22141 transcript:rna-gnl|WGS:NBSK|LSAT_1X22141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMFLFSDYYSITTPISSSPSALCDPKTSWLQQQQSHTPFNSKPTDISFKMRSTFIHIAILYFTFYGITLAASNQNPHDDALSLLAFKSVADTGNNLGYSVQNISAVCIWQGVQCQINGKVARLVLENLNLAGVFAANTLTRMEQLRYLSLKNNSLTGPIPDLTGLVNLKSLFLDHNYFTGSIPPSISSIHRLRNLDLSDNKLTGVIPVELCNLERLNYLRLDSNHLNGSIPPFNQSYLQIFNVSDNFLTGHVPVTPTLARFGPALFSINGRLCGVIVRIECGISGQFFGKNSSSSSTPPWVGRGKSGELKPMEGVTNSNSTKHKRLILLVGLPGGLLIVITLVVCIILSIKTLEKKKKKRRRKGIVPTREIIEMAEAAEVMKREEGGDLEKKKKVSKLHQGMRTEKSGNLVFFTGESQLFTVDHLMRAPAELLGSGTVGTTYKALLDNRVIMSVKRLDASILEGTTNEAFERQMEVVGRLRHPNLVALLAYFVAEEEKLLVYDYQPNGSLFTLIHGSKSMLAKSLHWTSCLKIAEDVAQGLCYLHQACSLVHGNLKASNVLLGSDFEACLSDYCLSTLFNGDGVSAAYKKPESHQPTAKSDVYSFGVLLLELLTGKTESEQPDLTPDELVKWVKSNRDNGGGGMEMEEKRVEMMTEVAIACSVRTPELSPTMWQVIKMLQEIKEAAVMEDCGLNPWIETS >cds-PLY64691.1 pep primary_assembly:Lsat_Salinas_v7:7:135364081:135367335:1 gene:gene-LSAT_7X80960 transcript:rna-gnl|WGS:NBSK|LSAT_7X80960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVYRIILVLLSGLTFFILSCSSTVDRLHINQPIKDGNTIVSHGEMYELGFFSPGKSKNRYLGIWYKKISTFTVVWVANRETPISDTSGVFHVTKQGTLMISSGNNTLIWSSNLTISSVINPVAQLLDNGNLVVWDELYRNKEKLIWQSFDYPGNTLLPGVKLGKDLISGREWFLTSWKNPNDPSKGLHKFWVDTNGYPQIFVGEAQDVQTWIRIGPWNGIGFQGLPVDNMNPIFYVEFVLNEKEIYYTYKVKSPTSIQRFILVWDGIARRLQWIKRTQEWVGYGNVLVDSCSRYGPCGPFGSCSIKSSLPCSCLEGFEPKVHEEWNAWDWSNGCQRKKALDNLDCRTSNSDVFHKISGVIFPDTRGSWYNKSMNLGECEVVCRMNCSCSAFAHLDIRNGGSGCLLWFDELMDVREYDDDHQELYIRMAASELSGKGKFGFNKKKGELAMVLSVSSVALLLAAVVYACIKRMRRLHKMGRGSKDKDHMSVHMENLDELPFFSLIKIAEATNNFNIDNKIGEGGFGPVYKGVLENGRVVAVKRLSETSQQGLDEFQNEVICIAKLQHRNLVKLLGYCIHGNERILIYEYMDHKSLDSFLFDETRSSMLDWPQRFHIIHGIARGILYLHQDSRLQIIHRDLKAGNILLDSEMNPKISDFGLARKFVGQDAITKTKKVLGTHGYISPEYAVHGRISIKSDVFSFGVVVLEIVSGKKNRGFSHEGHSDNLLGHAWRLYKEGKSIELVSACLRDSCVMSEVLRSIHVGLLCVQHHAEDRPTMLSVVLMLISEGALPPPKQPAFFTEDSYHEVDNVSSPMEYTITLLHAR >cds-PLY71153.1 pep primary_assembly:Lsat_Salinas_v7:9:80296817:80297017:1 gene:gene-LSAT_9X64080 transcript:rna-gnl|WGS:NBSK|LSAT_9X64080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCVCVLVRRTNGDHFCLCEERMRRARKRKRELREELCWVGTTIKLKTLDFNPFQIPLIPSYRGTPF >cds-PLY78749.1 pep primary_assembly:Lsat_Salinas_v7:9:51482089:51483653:1 gene:gene-LSAT_9X45961 transcript:rna-gnl|WGS:NBSK|LSAT_9X45961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEPQKIVDVMDQQQLTHNQFESDHQQQQNLLMQSNEIECIIAERQRQWQETIDPQNLVVDKVIGHGSEGVVYKGSYKGQTVAVKVLDDNGKKKISEDSMGIVKLGLMEEVEVWKNLDHPNVTKMIGATMSMITSGSRHKIKNPKPENNFCVVSEYLKGGSLRSYLLKLKHQDKKLPYKTVIRFAIDIAKGLSYLHSQKVIHRDVKPGNMLIDKKQTIKLADFGESEIEPPELLITCGERGTRGYMAPELVSRHPHGRKCDVYSFGICLWEIYCCDIAYTYDLGILTPDIYKYTRPSIPRHCPRSLAKLMEQCWDTDPTKRPEMEEVVVILEEIKKSQELQSRWRHPEGWFKLFKLRRR >cds-PLY94722.1 pep primary_assembly:Lsat_Salinas_v7:1:200854181:200854492:1 gene:gene-LSAT_1X125220 transcript:rna-gnl|WGS:NBSK|LSAT_1X125220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQTHGLPTLFTLSPTNPSNIHLNSHSKYVRVRTSKIRADVSLEVEEHPFPFPPNAIRRKLDSRCLGGFSLGIDNGLSRTSLAISKGFSVRPLKVSSSHLHLH >cds-PLY87023.1 pep primary_assembly:Lsat_Salinas_v7:5:266705285:266706268:-1 gene:gene-LSAT_5X138680 transcript:rna-gnl|WGS:NBSK|LSAT_5X138680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITFSSAICGTNILEVFEKQIDSPADANKGSTMGTGTVALRQDSKFINENATMMGSPQQPTTIENLPNELLSNIFIRLLAKQLAQMRSISKSWNSLLSKSSFVKTQLHHSIFNKDKTLFHFSDDHYYGFKLSVNPNPQLSSFIKLPPNPESPHTSIRVIDSVNGLICSSYSDSIIQIWNPSLSSILTLPSYSVSSDGYGWIKIFFRFGFDPKTDDYKVVKLTAFANGFRVKWWMDVEIYSMKKGSWKLITERFPLHITWIDENDVVCADGHDGHLHWLGCTNGKEDTKTIVAFDFGSETFREIPLPDSTLNHNHIMVLLGVLGESFV >cds-PLY80415.1 pep primary_assembly:Lsat_Salinas_v7:8:171776669:171777180:1 gene:gene-LSAT_8X112501 transcript:rna-gnl|WGS:NBSK|LSAT_8X112501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLKEILKRQPVAATIRLTIPAGAARPGPPVGPAIGQYKLNIMAFCKDFNARTQKYKPDTPIAATVKVFKDHTFELTIRSPSVTWYLKQAAGITSGSRMPGHIVASSLTLKHIYEIAKVKQSDPYCQYMSLEAISKSIIGTANSMGIKVSKELD >cds-PLY75283.1 pep primary_assembly:Lsat_Salinas_v7:3:158127419:158131075:1 gene:gene-LSAT_3X99160 transcript:rna-gnl|WGS:NBSK|LSAT_3X99160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTILKFEQGKGKDGKNISRPASVQRGLSILEMEVEQINKGIAQIHLNELSIHQTPQQAPSTSSPPSAAIAFPQISCCRQPEEATPINKLGHKSSKSYV >cds-PLY63642.1 pep primary_assembly:Lsat_Salinas_v7:4:133831118:133831477:1 gene:gene-LSAT_4X83680 transcript:rna-gnl|WGS:NBSK|LSAT_4X83680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPVSPTLKKCQALHMSQNLEQKKTRHKDPLCQVTVETDNDSDSGRSDISNEYFGIRSPQRDTPPNSNFEATWDPDVTVSISNTDTHINSNDQTTRSIPENTMVMPPGVSHTESNMEEV >cds-PLY83714.1 pep primary_assembly:Lsat_Salinas_v7:4:43769413:43770719:1 gene:gene-LSAT_4X30280 transcript:rna-gnl|WGS:NBSK|LSAT_4X30280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKGKEHDGGFRREKGVDRLVVEESGRWEELNPEILALIFVRVLPVDEMVRNVPLVCKPWMEVVEGPCCWRDIDVAEWCQRHNESRVVDLAVRKLVYWSNCTFQLLSVYRLGNIGFDFVAKHARCLTVLQIPMSEITDDMVVNHVKSLPNLRVLDISDCYNITVKGLEAFGNQCKSLVSLKRNMSPQRNWPNFAEPNPPVDDSEANVIANSMLNLQHLELCYGCFGEGGLSEILTKCKSLTCLDIQGSWNVKLEGDIEERLQRIEYFKSPCAYIDYIDEFYDSGEDSL >cds-PLY79506.1 pep primary_assembly:Lsat_Salinas_v7:1:33689525:33691713:1 gene:gene-LSAT_1X33101 transcript:rna-gnl|WGS:NBSK|LSAT_1X33101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWVQSCSGLGYLFGSISPVIKKSMGYNQRQIAMLGVAKDIGDAIGFIPGSLCEIAPIWVVLFIGAVQNFVGYGLVWLTTTHTLPELPLWVLCVCIFVGTNGETYFNTGALVSGVQNFPKNRGPVVGILKGFAGLSGAILTQVYTIFNFPDQSSIIFLVAVGPTIVILSVMFMVRPVGGHRQVRESDDTSFVFLYSVCLILAAYLLGILILQDLIVLNQITVTLLTVGLLILVLLPIAIPVFLVFFSENLPEERLLLNEDEKKDGNEMIMSEMEDEKTSEVDLLSAYERQKRISHLQARLVQAAADGAVRVKRRKKGPRRGEDFTLMQALVKADFLLMFFSLVLASGSGLTIIDNLGQMCQSLGYENPHIFVSMISIWNFLGRVGGGYVSEVIVRKYAYPRPVAMGVVQVVMAGSLFYYAIGAPGAIYIVSVVIGLCYGAHWAIFPSTASELFGLKSFGALYNCLALASPTGSLIFSGVIASGIYDYEAKKQMTINHRIFQDNEELTCYGTICYSITCGILSALCVIAVALSVTVVYRTKGVYAQLYGTSRT >cds-PLY96465.1 pep primary_assembly:Lsat_Salinas_v7:2:124440299:124445346:1 gene:gene-LSAT_2X57861 transcript:rna-gnl|WGS:NBSK|LSAT_2X57861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKACVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALMDARKLIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNTVSELESQIDSFEAEMEGLSVKKGKARPPRLTHLESSIVRHKAHIMKLELILRLLDNDELSPEQVNDVKDFIDDYVERNQEDFDEFEDVDMLYNTLSLDKVEALEDLVIIGPPGLVKGVGASVAVLSTKNALSSPPVQSPGASVQEQTEETLSQENISEHGPRTPPPKAIAISSSPPTPSGTHATTPITVPTPSHNLTASSVPPSPSPVRGVLDNSVSTIPSSPINKEEEVGGFPVRKSSPALSESGLRNLGRGSLTSLTSLTSQSSVTLPINPTNSNIISTNNALGVSQASELAKRPMLGTDERMVQQHPPVSSLSSRIMLPQSGVKTSDGDNGNGGEGGGMGTRVFSPSGVPGIQWRPGSSFQTQHEGGQFRGRTEIAPDQREKFLQRFQQVQQQGSSTLLGMPPISGGTHKQFSTQQNPLLQQFNSQSSSGLGGAPPASPNTATSAQQQNLIHLQSSQQMSTVSKDSEINNSKVEEMEQQQQQQSVTSDDSAPDPAQSPVLNKNTSNEEDPKVSYALDMQGSGTMTEAAQSTRDVDLSPGQPLQSNQSVSLGVIGRRSLSDLGAIGDNLSGLAVSLGGTHDQQYNLQMLESAFYKLPQPRDSERAKSYTARHPAVTPQSYPQVQAPIVNNPAFWERLGSDNIGTDTLFFAFYYQQNAYQQYLAAKELKKQSWRYHKKYNTWFQRHEEPKFATDDYEQGTYVYFDFHIGNDEMQNGWCQRIKTDFKFEYNFLEDELIV >cds-PLY72469.1 pep primary_assembly:Lsat_Salinas_v7:2:141759178:141759495:1 gene:gene-LSAT_2X69941 transcript:rna-gnl|WGS:NBSK|LSAT_2X69941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIELPSTTHTTENSKPPVSTSLIAAPPRPTTEPSHPPLPLLSSKPTEHPVIVAAVARDASQTPFGSLSPPQLLPRQKTSSPADSSLPSRPRSQPPRCFDPSPSSV >cds-PLY85028.1 pep primary_assembly:Lsat_Salinas_v7:4:223195970:223197779:-1 gene:gene-LSAT_4X123620 transcript:rna-gnl|WGS:NBSK|LSAT_4X123620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLFSIQQNYTLLAGALVIIFTLFVLSSLVPKTGQYRAPPKAGGAWPIVGNVNLFTGSSGPHRALAAMAAKYGPIFTIRLGIHKVLVVHSWQIAKEIFTIHDTIISTRPKYLASKIFGYNYAMFGVAPYGPYWREMRRIISFELLSSSRLNQLKDVRVDELDSCIKNLYNHWREKKDDQGKVLVDLKKWFGEFNMNVVLRMVVGKRYSGATDEEEEKEMSRSREVMRKFFYYMGLFVVGDTLPFLGWLDLGGHEKAMKKVAYEIDVMGEKWLDEHRRKRDSGEAFEEKDFMDVMISTVEKGGFGNYDADTIIKSTCMVLIASSADTTTVMLTWMMSLLLNNPQSLRKAQEEIDKVVGKDRQINESDITNLVYLQAIVKETLRLYPAGRLGGMREFTEDCTVAGYHVPKGTWLMVNLWKLQQDPEIWSNPLEFRPERFLEGNHKHVDVKGTNFELIPFGAGRRSCPGTALALQILHLVVGTLLQNFDIRTPDDGPIDMAETAGLTSAKASPLEVLISPRMACNTI >cds-PLY97935.1 pep primary_assembly:Lsat_Salinas_v7:3:19313726:19313878:1 gene:gene-LSAT_3X15040 transcript:rna-gnl|WGS:NBSK|LSAT_3X15040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLFLRHFHGTTVKGRQTVGPKQNHNGNRTTMKMAINRISILSESESELE >cds-PLY66123.1 pep primary_assembly:Lsat_Salinas_v7:7:31571274:31571513:1 gene:gene-LSAT_7X23101 transcript:rna-gnl|WGS:NBSK|LSAT_7X23101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQPQTHLNVADNSGARELMCIRIIGANNRRYAHIGDVIVAVIKDAVPNMPLERSEVVRAVIVDTCKELKRENHSLCVG >cds-PLY72261.1 pep primary_assembly:Lsat_Salinas_v7:7:87431885:87432816:1 gene:gene-LSAT_7X61001 transcript:rna-gnl|WGS:NBSK|LSAT_7X61001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDISLMGFDTPFFRHLHHILDANEDNNTNSKSSNAGPTRAYVRDARAMAATPADVKEYPNSYVFIVDMPGLKSGDIKVQVEEDNVLVISGERKREHDQEEKEGVKYVRMERRIGKFMRKFSLPENANTDKISAICQDGVLTVTVEKLPPPEPKKPKTIQVNVA >cds-PLY85270.1 pep primary_assembly:Lsat_Salinas_v7:3:71988727:71992129:-1 gene:gene-LSAT_3X54180 transcript:rna-gnl|WGS:NBSK|LSAT_3X54180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGFRLLTTPLLLWSFLSLSLFSSASIINHAAGSRLLLRAVNSGGDQPDFALDLNATNFDAVLKDTPATFAIVEFFAHWCPACRNYKPQYEKVARLFNGANAVHPGIILMTRVDCANKINTNLCDKFSISHYPTLFWGPPSKFVGGSWNGKDEKSKIVSIEDGRTSDRLLKWINTQLGSSYKLEDEKYENDELFQSNFSDPGQIARAVYDVEEATSLAFDIILENKMIKQETRATLIKFMQLMTAHHPSRRCRRGSADILVNFDDLYPSNILSPSEKNNTQHGGLTKFEICGKEVPRGYWMFCRGSKNGTRGFSCGLWVLLHSLSVRVENEESQMAFTSICDFIHKFFACEECSQHFYNMCSRVSTPFTTTRDFVLWLWTAHNEVNERLKSTEALYSTGDPKFPKSIWPSRHLCPECYTTARDGDWDREEVYKFLGRYYGQMLVSLYMEKEKSLFGQAQMKIRAVSDDLIQSTNALVVPVGAAVGIAVASCLFGALACFWRSQQKNRKPRRNWS >cds-PLY71354.1 pep primary_assembly:Lsat_Salinas_v7:4:346088000:346091216:-1 gene:gene-LSAT_4X170400 transcript:rna-gnl|WGS:NBSK|LSAT_4X170400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSELELNRSEKKKKKSKSKDQDTINKNSDDATDAVDYLIKPQSFTPSIDTSEWPILLKNYDRLNVRTGHYTPLPSGYSPLKRPLAEYIRYGVINLDKPANPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARLHSDVPDVAKVARALETLTGAVFQRPPLISAVKRQLRIRTIYESKLLEYDADKHLVVFWISCEAGTYVRTLCVHLGLILGVGGHMQELRRVRSGIMGEKDNMITMHDVMDAQWSYDNYRDETYLRRVIMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIENGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPTASMKKKLITEGKLSKHGKANEKTPAEWLRNVVLPTGGDSVVASLAAAPVNFSSIYSKFQKQGTGKANPES >cds-PLY92610.1 pep primary_assembly:Lsat_Salinas_v7:7:159933563:159934839:1 gene:gene-LSAT_7X94941 transcript:rna-gnl|WGS:NBSK|LSAT_7X94941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSNSLSALEDTFLSTKDTYERHKVVFTLLTSIASIGTAWTGYTLRHLHETKVEQRLDSIENAMKRNYDLDGKEFKKMVGNGNSNAAACVATSGVTLVIGYGLGWRGGRWYANRKFKREQMKLLGQVKPKKWQLKKILGRLRKSNTAVKASVKASESAIPVAHNAA >cds-PLY67174.1 pep primary_assembly:Lsat_Salinas_v7:6:162250347:162250514:-1 gene:gene-LSAT_6X99361 transcript:rna-gnl|WGS:NBSK|LSAT_6X99361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSCPLSTENGGGSTTASVGQKVAMGGWSSTGDGRGSPVDDDKGQRKKMTEERWQ >cds-PLY63291.1 pep primary_assembly:Lsat_Salinas_v7:3:90450752:90453948:1 gene:gene-LSAT_3X69700 transcript:rna-gnl|WGS:NBSK|LSAT_3X69700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MTPVSSKQYTDDVSLLMVLIDTNPLFWSSTATPFSFSKFLSQVLSFLNSIILLNQLNQVVVIATGFNSCDYIYDSSLGHGNLRAETLLQKLEEFVIKDEELNKQESVDGIGSSLLSGSLSMALCYIQRVFRSGSIHPQPRILCLHGSQDGPGQYVAIMNSIFSAQRSMVPIDSCVIGSQHSAFLQQASYITGGVYLKPQQLDGLFQYLTTVFATDLHSRNFLQLPKPVGVDFRASCFCHKNTIDMGYICSVCLSIFCKHHKKCSTCGSAFGQPQTSVSTSNQKRKAPDS >cds-PLY84139.1 pep primary_assembly:Lsat_Salinas_v7:6:191301994:191302299:1 gene:gene-LSAT_6X117421 transcript:rna-gnl|WGS:NBSK|LSAT_6X117421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSADAPPTSRYHGIWLISFSSRRQQWQKTASRENEGGYLVVLGGIAAANGSSEDPSQPLDSLACFRRRGWLRRLEMGSRQYNNGLVVGGARVVGGSWRLD >cds-PLY61748.1 pep primary_assembly:Lsat_Salinas_v7:5:214425955:214426792:1 gene:gene-LSAT_5X98841 transcript:rna-gnl|WGS:NBSK|LSAT_5X98841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAGYGNHQLECSAIDERSKLLAFEVIGLEEKVDQPCQVTLVCSRALNFIGGRYLKRLESILDAINSNLYLVAINRYGASDGYPSQQGIIRDAQAALDHLIKRTDIDTSQIVVFSGAVGVVVTKK >cds-PLY84834.1 pep primary_assembly:Lsat_Salinas_v7:4:145277265:145279790:1 gene:gene-LSAT_4X90500 transcript:rna-gnl|WGS:NBSK|LSAT_4X90500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERAVEVARELRQRLAIARSGGRFPAAFNFDLANIRVDPASEGKRNSHRGVWIVILIAKNNGGRREAAIDCFINEGASDSSQLSLYHLLGRGSKPGNFPVTGSKCETGNGETARSAPPASGNNPEATNKRKRDTSDGIHDNKEKISTEVTRVVDTTDTVETAGVKEVEMKDAEAIDEPSDQGIKEVEMQDAEVMYNTTTHVEENGKAEGVADVHEESKLNPDVNDFSGKQDQGTKETEQPANESKSNEKESPHTDMSNGSGQQVLGEVATLLKQVQESDSKAKLEVEVKVKKMDHGVTKENGETEKANSAAQVSRP >cds-PLY97056.1 pep primary_assembly:Lsat_Salinas_v7:4:350724283:350724606:1 gene:gene-LSAT_4X172840 transcript:rna-gnl|WGS:NBSK|LSAT_4X172840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIAVGVNRSTIANHLLVVEAGVLRNHIVGEVAASDEVQYKKPTDDCRCSPPVNCVVFAAMHDVCDWEKPPPCLLWLLKTKMDMRYRFSNGNEGEAKRQHRCRRCLR >cds-PLY98122.1 pep primary_assembly:Lsat_Salinas_v7:1:141245139:141249790:1 gene:gene-LSAT_1X102280 transcript:rna-gnl|WGS:NBSK|LSAT_1X102280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVLSELSEGSSSSSSSSTHGYTYDVFLSFRGVDTRNSFTAYLHKALMDANITTFLDDEDIETGEDLKPELESAIKASRASVIVLSKNYATSTWCLDELVLILEQRMTSNHIVVPIFYHVESTYVRKQQSSFGDAMAKHRQKMEAETNANKRIKWAQKMERWNKALIEVAGLKGKDVNGRLEPEFIEEIVKDIYRRLHVPLRSPLPLLIGMESSIKFVSSWLKDASSHKANILTIFGMGGIGKTSLAKYVYGLHCPEFNSSSYIRDISRRCDENFHGLLHLQKQLCDDISKARSIQVQDISIYTSMIENTVACKEVFLVLDDINSVNQLDALLGSKVFHPRSKIIITTKDTWLTESCALFKTNVKPKHIKHELKGLSEIESQKLLCFHAFVSNDPNAGYEDVSRKFVMYCEGHPLALEVLGKSLHNRDVAYWEDRIEQLKKEIGSPINNILRTSVDSLPSNNDKELFKHIACFFVGFDRNVVETILNACDISTRSGITNLIHRCLLSIGWNNELMMHQLLQRMGRSIVHEESPDKPWKRSRLWCHVESFKVLKHKKGKGNLLGLALDMRMLEKKKLHASFELKTDTLSNMYNLMLLQLNYVQLKGSYENFPEELRWLCMHGFPLKSIPLDVPMENLVALDMSYSNIESFDICYSNSQQIQSRQKFIGWCSKRKKLLGSLKILDLGFCEQLHSISGFDEFSALEMLIVRNCTNLLEVCDSIKQCVELVLVDLSYCIKLEKLPRIIGMINKVETLLLDGCYLGKSQFDSKDMDSTKMVKDGDIGTNTISSSSTILEVVPCDSKLFAISLPSKLVRLSLVNNNLSTTSFPMDFRCLSLLRELYLDDNPIVSMPNCVRSLPRLETLGMSNCKMMTSVENPPHTLREFILYYDCKPLLRKVTFDPGMSTLELSIGWKMLAPSSFEIDGVLKIQPMTSVEEKVLCSLGWSDLDFLAERRLGTLFVNRGREESEIQIYYEFGIFSTIYGGREMPDWITERNTGPSISFTIPSSPNKLTGLNFCLVQLPLDVEFVHLPKIIISNITKNHTWIYEHFIGNANVDGGCLTFLSHWMFVTNEMEAGDHVTITLPISINFAYPVTKECGVSFVYDDGEKNEEEDALRYYKSWNHIIGRDLMGFQLTNGKYLLYIARFLALDHEVPLWHYLDGEYARFKDKQVSFRAFSERMSGILEDGS >cds-PLY97768.1 pep primary_assembly:Lsat_Salinas_v7:4:374783720:374784431:-1 gene:gene-LSAT_4X185720 transcript:rna-gnl|WGS:NBSK|LSAT_4X185720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid transfer-like protein VAS [Source:Projected from Arabidopsis thaliana (AT5G13900) UniProtKB/Swiss-Prot;Acc:Q9FFY3] MEEGNAQGSGGGGGGGGGGSACLNQLIPCLNYLNNQGQGQDPPDSCCDPLKSVIKSNPECLCSMISNQGTKNAENAGINVTKAQELPARCGQRVNPISCITSTSKTTPGTSDSQSLGNSMVYLLYPLMLIIAAVYA >cds-PLY79045.1 pep primary_assembly:Lsat_Salinas_v7:3:8832095:8832779:-1 gene:gene-LSAT_3X8420 transcript:rna-gnl|WGS:NBSK|LSAT_3X8420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVISAQGLKKTSSLSSLFSHHLRPFITLTTTPPSSSGGVNQSHVYTTTVDHEGGVNPTWGDKFDLSNVIDSSFFSHKYSYIYLQLYTNRLLLGPRFLGWCGIPASDIADGFSPAGTARQLSYRLRKKDGSRGHGVVNVVVKLDSSLFQARRRVDSVVQRLPEVRFDRVAIGIPVKLLPAVSHQGSSVV >cds-PLY91685.1 pep primary_assembly:Lsat_Salinas_v7:8:12713574:12719313:1 gene:gene-LSAT_8X8781 transcript:rna-gnl|WGS:NBSK|LSAT_8X8781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHIKEVCLEGFKSYATRTVVPGFDPFFNAITGLNGSGKSNILDSICFVLGITNLQQVRASNLQELVYKQGQAGITKATVSVVFDNSDRSRSPLGYEDCPEITVTRQIVVGGRNKYLINGHLAQPSRVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKDAALKTLEKKQGKVDEINKLLDQEILPALEKLRKERMQYMQWSNGNAELDRLKRFCIAYEYVEAEKIRDNAVHGVEEVKAKITEIDSNTETMQTEIQEMEANVTKLTAEKDATMGGEVKALSDKVDAISCDLVKETSVLKNQEDNLNTEKKNAVKIEKNLEELKQSVEDRANAVKSAEDGAADLKKRVEELKKSLEEHEKEYQGVVAGKSSGNEEKCLEDQLGDAKVAVGKAETELKQLKTKISHGEKELKENTTKLLSKRDEAVSVEKELKIRQKDVENVEKALKSLSYEEGQMEGLQKDRMVESEAVHKIKEEIRMISSRLGNIEFSYRDPVKNFDRSRVKGVVAKLIKVKDSSTMTALEVCAGGKLFNIVVDTENTGKQLLQNGDLRRRVTIIPLNKIQSHPVPSRVQTAATKLASKGNAEVALSLVGYDDELQTAMEYVFGATFVCKTSDAAKEVAFNRQVSTPSVTLEGDIFQPSGLLTGGSRKGGGDLLRQLHALGEAESELSLHQKRLSEIESKINELLPLQKKYNDLKTQLELKSYDLSLFQTRAEQNEHHKLSETVKRIEQELAEAKSAVNEKQALYKKCVAEVTNLEKSIKDHSSNRERMLKDLEKKIKDVKKNMQSASKDLKGHENEREKLIMEAEAVKQEQASLENQLVSFEKQISVLTAEVDALKTKVISLKDEHSEAQRELNAARKKVKECDSQISRILKDQQKLRNKMGEKNLERKKLENEVKRMEMEQKECSSKVDRLIEKNSWINSEKQLFGRSGSDYDFTSRDPHKAREHFESLQAEQAGLEKRVNKKVMAMFEKAEDEYNDLISKKNIIENDKSKIKLVIEELDEKKKETLKVTWVKVNSDFGSIFSTLLPGTMAKLEPPEGGTFLDGLEVRVAFGGVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTVASKKS >cds-PLY63255.1 pep primary_assembly:Lsat_Salinas_v7:4:201173103:201173881:-1 gene:gene-LSAT_4X111760 transcript:rna-gnl|WGS:NBSK|LSAT_4X111760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPEDQLNQLREIFTRFDLDKDGSLTHLEVAALLRSLGLKPSGEQIHKLFKNMDSDGSGTVEFNELVSAMSSQMMSEDILINQHELMQIFQSFDRDGSGFITPAELAKSMTKMGQPLTYRELSEMVRNADTDGDGVISFKEFQGIMARSAADSLGFTL >cds-PLY89991.1 pep primary_assembly:Lsat_Salinas_v7:3:84199310:84202302:-1 gene:gene-LSAT_3X64740 transcript:rna-gnl|WGS:NBSK|LSAT_3X64740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLDMSLDDMIKSRRNTERGRGRGGARRGRGQGRPTATGPPRKGPLRVNSAPPSAHTIAKASSPKPLPWQRDLLEDSLKAAGLPGLDNAAKLYVSNLDIGVTNEDIRELFSEIGELNRYAIHYDKSGRPNGTAEVIFARRNDAFQAFKRYNNVQLDGKPMKIEIVGSKSDAPLSPRVNLVGGVNGQRTVVMMPGVARGRGGGAFNRTSGRRTRGGIKSSRGGGVVNKRGGGGGRGRGGGGRARGRGRKAAGGDKSVDELDKELEKYHAMQT >cds-PLY74308.1 pep primary_assembly:Lsat_Salinas_v7:9:186751257:186755024:1 gene:gene-LSAT_9X114580 transcript:rna-gnl|WGS:NBSK|LSAT_9X114580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPITGDHRFILFLLPLLCFIVHSSSQSDQRQILIKFKSTLQNSNSEVFNTWNGKTPICNFTGIVCNSDDLVKEINLSQQQLAGTLPFDSICSLKSLQKVSLGANHLYGTISTHVSNCTNLEYLDLGNNSFSGEVPDLSSLTQLKFLNLNLSGFSGSFPWKSIGNLTGLTFLSLGDNPFDRTPFPLEILKFRQLYSLYLSNCSIEGKIPEEIGNLSLLESLEISDSYLVGEIPVGITKLTKLRMLELYNNQLSGILPVGLSNLVNLAEFDVSTNNLEGDLSELRNLTKIESLQLFENNFSGTIPEEFGEFKFLTQFSIYDNKFTGELPAKIGSWADFEYIDVSQNFLTGPIPPDMCKMGKMEKLLMLENNFTGGFPETYADCSSLLRIRVSNNSLSGRVPDGIWSLPNIGMIDLAINQFEGQVAPNIGEAKSLTQLYLANNRFSGQLPVEISNVSTLVEIELVSNQFSGEIPSRIGNLKKLSKLHLQYNIFSGAIPESLGSCVSLDEINLAGNSFSGEIPATLGSLPTLNSLNLSGNKLSGMIPARLSSLKLSLIDLSNNMLIGRVPSALLLVAYNGSFAGNPGLCADGSKDLRQCSPVSNNSDHLKVAVYCFIAGALLLVLSLSCFLFMKLRQHDHKDPINRGYSWDVKKFHVLNINEDEILKSLKQENIIGKGGSGNVYKAVLGGGEQLAVKHMWKFVPDSGGRSSAAILPKGKRRWSEYEAEVAALSSLRHMNVVKLYCCISSEDSNLLVYEYMPNGNLWDRLHTYKKMEMDWNVRYEIAMGAARGLEYLHHACDRPVIHRDVKSSNILLDEEMKPKIADFGLAKIVHAEKVIDSTHVIAGTHGYIAPEYGYTCNVTEKSDIYSFGVVLMELVTGKKPVEPEFGENKDIVYWVHNEMRTKDNLIALVDSNISKDVKEEAVKMLSIAFHCTMKIPTLRPSMRMVVKMLEEIKPNSLIDVVIDKVGENVISQYKN >cds-PLY85460.1 pep primary_assembly:Lsat_Salinas_v7:3:42836302:42838744:-1 gene:gene-LSAT_3X33141 transcript:rna-gnl|WGS:NBSK|LSAT_3X33141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGFGRHLQEDFEDVYVDDEMLDGLKQDYGDEETTLLNKMEELRDVGSVARVFFDTNFLADEILDVSIEYNTTQSLFDSMLKLHIRNLPIKQSLKDVGLGVLKYK >cds-PLY88442.1 pep primary_assembly:Lsat_Salinas_v7:8:86264436:86265343:1 gene:gene-LSAT_8X61201 transcript:rna-gnl|WGS:NBSK|LSAT_8X61201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKEMEVVKGVDLQRYMGRWYEIASFPSRNQPKNGTDTRATYTLKEDGTVHVLNETWSDGKRGFIEGTAYKADPNSDEAKLKVKFYLPPFLPIIPVTGDYWVLFLDDDYQYALIGQPSKKSLWILCRENHLDDEIYEQLIEKAKGEGYDVSNLKKTTHTDPPPETEGAPADTKGVWWLKSIFGK >cds-PLY95364.1 pep primary_assembly:Lsat_Salinas_v7:8:226881827:226882350:-1 gene:gene-LSAT_8X138780 transcript:rna-gnl|WGS:NBSK|LSAT_8X138780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVLKNNFVLIFGLLICTVDNVRGTSPVGEEKTTHVHGELFAQGNCVTRTNNIACRVSDTEHGRHVLCNVTGKINGDIRGELVDCVKESLLGSASNLVGTTLQLILNGFSAFFGLVSGFLNDSGGSVGGGGRMFGDGVGDVAGMDVIDGGK >cds-PLY83535.1 pep primary_assembly:Lsat_Salinas_v7:1:64427340:64430113:1 gene:gene-LSAT_1X55141 transcript:rna-gnl|WGS:NBSK|LSAT_1X55141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNNQTPPYSPRSLRHPSSSRSIHRSVNYIFKEQRFLFIIIGIGIGSTFFIFQPSLSTITTTTTNTIKSHEFTSMPRPISISDRESTTSHRRPVNHGATGRVPVSVSRRRIRTVVTGGAGFVGSHLVDKLMARGDEVIVVDNFFTGRKENVVHYLENPRFELIRHDVVEPILLEVDQIYHLACPASPVHYNLKYLNCLLNSYDYKTNVMGTLNMLGLAKRVKARFLITSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRNEGVEVRIARIFNTYGPRMCLEDGRVVSNFVSQAIRKQPMTVYGDGKQTRSFQYVSDLVDGLMALMEGDHVGPFNLGNPGEFTMLELAQVVRETIDANASIEFKDNTADDPQKRKPDISKAKELLNWEPKVTLREGLPLMASDFRDRILKEIEGDDDK >cds-PLY75960.1 pep primary_assembly:Lsat_Salinas_v7:5:248298636:248301246:1 gene:gene-LSAT_5X124761 transcript:rna-gnl|WGS:NBSK|LSAT_5X124761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGTQSKRDLSLEIQSQIPILRPSIHARRANITVKFQDLYGFTVEGNVDDANILNEVREKVREQGRVWWSLEASKGANWYLQTDVSSALKASLKFSVLVNAITLKKLIRKGIPPVLRPKVWFSLSGAAKKKSTVPDSYYNDLIIAVEGKVTPATKQIDHDLPRTFPGHPWLDTPEGHASLRRVLVGYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYSSNLTGCHVEQRVFKDLLKKKCPSIYAHLEAIEFDVSLTTLRVWDVLFYEGAKVLFNVALAIFKMKEEELLTTHHVGDVINVIQNTTHHLFDPDDLLTAAFDKVGFMTSTSINISKERKKQEPAVMAELDQRLRRLNSNNVEVDSLNL >cds-PLY77518.1 pep primary_assembly:Lsat_Salinas_v7:2:163822617:163822979:1 gene:gene-LSAT_2X84480 transcript:rna-gnl|WGS:NBSK|LSAT_2X84480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPKEDGWIEVRRTKTATRYEEQGKLTTFYVTGFPRDTSKPELWGPFGKFGRVVDIYLSGKMDYQKKYYTFVRFKVVVDEKLLEDKLQGIKCRNITLKVNLSKHPRKPLKTSRTSIDIGT >cds-PLY68318.1 pep primary_assembly:Lsat_Salinas_v7:7:97510226:97512758:1 gene:gene-LSAT_7X65120 transcript:rna-gnl|WGS:NBSK|LSAT_7X65120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEEDGISALPDCLILEILCRLPSTKDAIRTGTLSKRWANLWTWVPTLLFRHFDIPLSQCLKNPNSRSNFPLLVEKTLTQCRQLKLKKFQMHTKYDIRFEAQFNNCIRHAVRCNVEKLSLEFLYTRREAEFQLDQFFFVNSCFTDLRLVGCTLNPSGAVSWKNLKSLCISTKNLDVDVMVNILSGSPLVETLVLKDCYGDTGLNITSDDESESESEAHIIGINAPNSSSLSQCRQLQLKKFTVVSDFDNEFQSQLNSWIRYAIRCNVKEIVLILWNTGFESELTLDQTLVTNSCLTELRLDGCMVNPIGAISWRSLRSLYISYRSLDEDLIENILSGSPVLVTLELNDCYGHRRLDITSKSVKNLVLSGFSDFYDESVANIIEINAPNILSLTIKHVLLSKLLLVNVSSLVKANLNYVFPSPRHHPTMTKEAEQDTLKGFIMNLGHVKELQIGYLCSEIMIPQYPIPLTDSIPSKNSYEPNTPSVSLMKGVEVEDYSKKPLAKKSELNNTSIQNSDFESDSNVVKGGNKTGSKVEITDLRNGNETAPGITDSDSNVICEPQLQVTEKDSISTGTHSDSVVLQNGNVTNTLLESDLQFLGKESGCVSMAKENDVMDGKDATNWNV >cds-PLY93224.1 pep primary_assembly:Lsat_Salinas_v7:6:159577722:159578161:1 gene:gene-LSAT_6X98941 transcript:rna-gnl|WGS:NBSK|LSAT_6X98941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSLSFSMFTLGTVAPQFTGVSIVEDGGEGITMGLEMNWEGNPNIILDIKTRLGVGFPVQVKNIAFTAFLG >cds-PLY79626.1 pep primary_assembly:Lsat_Salinas_v7:2:166607205:166609994:1 gene:gene-LSAT_2X90160 transcript:rna-gnl|WGS:NBSK|LSAT_2X90160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGGGESSRINNSTLKKRTKKDEFTESLARIAVAQICESVGFRGFQQSALDTLSDITCKYIQDIGKTSNFYANLAGRTESNMFDIVHGLEDLGLSQGFTGASDIDHCFSESGIIKEISQYIGVSEEVGFAYSIPPFPVIKERLPIPSFFHAGKTPPVDHIPPWLPCFPDPNTYTTLASDSTEQTETRHDRVDQDQKVMGLPLLNNPFLAFPLECGEKEVSLVSLPARLVEEEVARNHSLWAKHLSELGSFAPVIHGFNSRDADNEESRKDIVVERSRSVQLKFQISKTCSGNLEAMSWFTTDDELRDDKKRRKEKILMELLENGKHTEMMQM >cds-PLY67438.1 pep primary_assembly:Lsat_Salinas_v7:6:69534300:69534581:1 gene:gene-LSAT_6X49600 transcript:rna-gnl|WGS:NBSK|LSAT_6X49600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRARVRRSNDLRRSEVRCERLGYESRIGCERRIRCESCRAAANVSKCTKVLGLHSKVSACEKLPGLHAKVSETGSFTARVLGACEYLRSFAGE >cds-PLY80429.1 pep primary_assembly:Lsat_Salinas_v7:4:361421462:361423018:1 gene:gene-LSAT_4X177720 transcript:rna-gnl|WGS:NBSK|LSAT_4X177720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKNPLRRFMQINWRNSRKSLKRNGDDDDDFYDAVGEPSAPDNTDPPPPAPSSDTDSTVDGSQCDSYAPAPFYRLPSSTQTSPLSKSPWSSYTEQRDPNSGDYVGLMTSLVREEGHIYSLAASGDLLYTGSSSKNIRVWKSQKEYSGFKSQSGLVKAIVISGEKIFTGHQDGKIRVWKASTRDPKVHKKIGTLPSFGSVIKNSIIPMNYTDIWRNQIKHFDAISSLSLNEDQTLLYSGSWDKTMKVWRVSDFECLESISAHEDVINTVVAGFNGFVFSGSADGTLKVWRKDQTQEKRPKHYFSHTLLQQEFAVTSLAVNPTGMVVYAGCSDGIVHFWEQEKLIHGGLLRGHKLAVLCLASSENMVFSGSADKNVCVWLRDDGGMHKCLYVLNGHTGPVKCLAVEVEEERRGGGGNGRCILYSGSLDKSVKIWRMSTHITPRNNQQLPPPAPQRIDGSRRSRKETPNNFLSFLQRRGSQRKK >cds-PLY89715.1 pep primary_assembly:Lsat_Salinas_v7:7:42125263:42126556:-1 gene:gene-LSAT_7X29421 transcript:rna-gnl|WGS:NBSK|LSAT_7X29421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSKVKIEFNALDPRTAACMEFLAQCNARTAKESNPSCQLLVKRRTDSHPPQISVTFVNGVEEVFDATSTPAQTIRKMILEKGTQLETEQMFREAGEKWPVIIPEEELRQSFPGTKPRKAEDKKQ >cds-PLY91257.1 pep primary_assembly:Lsat_Salinas_v7:3:77113487:77113825:1 gene:gene-LSAT_3X59860 transcript:rna-gnl|WGS:NBSK|LSAT_3X59860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHSFSTSTPNDNDFYAELTRQILLLTDEDDDVQVKKKGDCRRFYQRPVGGGWPVMPGNCFFSWSEGGEVEVPGWMERLWAANGGGTGVFIPRAGVLHRSRSKRHKKNTEEQ >cds-PLY82021.1 pep primary_assembly:Lsat_Salinas_v7:9:157256128:157257175:-1 gene:gene-LSAT_9X98361 transcript:rna-gnl|WGS:NBSK|LSAT_9X98361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWAWKEEHLDIILVPSGFLIMCVYHLFLLYRYLKHPETTAIGYENHNKKAWVEKMLLIDTKDRGFVVAVLNSHLSASTSLCSISLVLCSLIGALLGNSSNNFLTSTFIFGDTSKSTSSIKYIGILSCFLLAFACFVQTTRHFVHASFLISMPTGSVPVLCIQKSVIRGNNFWTVGLRALYFAITLLLWIFGPIPMCVGSVATVIMLHFLDVNKAPMIEYGSHKGSNSDHLRNIGHELASVVRPFEHNGRP >cds-PLY62594.1 pep primary_assembly:Lsat_Salinas_v7:9:74205411:74207127:-1 gene:gene-LSAT_9X62101 transcript:rna-gnl|WGS:NBSK|LSAT_9X62101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLMPLKDAVATGILSKRSISIWCNLIHLSIDGGERLDKIIKIPMLRDPVQSMYIRKVNSVIKNHKGPLVQEFRIRFDLDCGNNRAIDCWLQFVMNKKVQTLELDLMNHVKFRMPANSYDFPLHFLTENGRIFLELHYLKKLVLKGVNFDLVSFTYKGPAIDLRLGHLPKMKELDICQGAEGLQNNIFSQIASCVSYIQVLSLNILQTERLMLNSIPELPNVKKLRLAIGAYNDNCLLCFTYIANACPSLETFTIQLLWGSPIWRKRKARCYSTPSRPYNNLCVLELMGYYGRMSELELVNHIIENAAALKQIVIDPRCQGRIGNTPVKKLQKNLKIEETARTYAQSQLKSVTPQGVKLVIL >cds-PLY79745.1 pep primary_assembly:Lsat_Salinas_v7:4:265321262:265322198:1 gene:gene-LSAT_4X137581 transcript:rna-gnl|WGS:NBSK|LSAT_4X137581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWIKNLLGKKEEKINKKEGGPTSPPCTTAIIPATPSPSHRRRWSFRKSNNKEIVVNHKSCRSFDSIITSQLVSQALFDYAIQQQNYTTMLVVPPPKAATYGLPRVVLAAAATRIQAAFRSYLVPPPLFLLSSQKQARRALCALRGLVKLQALVRGHLVRKRTTTMVRCMKALVSIQVRARYQRIQMVEDVESQSHLHATSRRGFVIAHERRLSAPQFVNSHSYYSNVI >cds-PLY66927.1 pep primary_assembly:Lsat_Salinas_v7:7:20657994:20658614:1 gene:gene-LSAT_7X15940 transcript:rna-gnl|WGS:NBSK|LSAT_7X15940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAALRWSINREEGPPSLGFDEAEEAKQPSCWGLLLFDSVTAAVHEKGKYEVSVVLMWKHEEGEGEGRGNERKESLRLYKNTSNGGVIDWNEEEE >cds-PLY83008.1 pep primary_assembly:Lsat_Salinas_v7:1:19242312:19247884:-1 gene:gene-LSAT_1X16721 transcript:rna-gnl|WGS:NBSK|LSAT_1X16721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 5 [Source:Projected from Arabidopsis thaliana (AT1G45130) UniProtKB/Swiss-Prot;Acc:Q9MAJ7] MEAITVYKLLTFFLIFTHLHLVKSTVTYDQKSLIINGQRRILISGSIHYPRSTPEMWEDLVIKAKNGGLDVIDTYVFWNVHEPSPGIYDFSGRYDLVRFIKTVARNGLYVNLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKAAMQGFTQKIVGMLKAENLFESQGGPIILSQIENEYGAQGKSIGAAGKAYINWAAKMAVELNTGVPWSMCKEDDAPDPVINTCNGFYCDTFTPNKPYKPTIWTEAWSGWFTEFGAPIEQRPVPDLAFSVARFVQKGGSFFNYYMYHGGTNFGRTSGGPFITTSYDYDAPIDEYGLIREPKYGHLTELHRAIKLCEPAFFSSDAKNISLGQRQNAYVYEGNGNCAAFLSNFEMDFAVRVVFRNHHYKLPPWSISILPDCKNVVFNTAKIGTQTSRMKMVRTGSSLESWETYHEDISTLASGPSLTSVGLMEQINITRDSSDYLWYTTSIDTRLSETGPRKATLTVQSRGHGVHIFVNGRLSGSAYGSRETTRFTVRAPVELRGGTNIISLLSIAAGLPNVGTHFESRDTGILGPVSLDGLDQGTIDLSRGTWTYKVGLNGETMNLNSPNGVSFVDWSRVSLVNQHQQPLKWYKVYFDAPEGNEPLALDMKSMIRGQVWINGQSIGRYWTKHASGKCGSCKYTGAFRPGKCQVGCNVPTQRWYHVPRSWLKPRQNLLVLFEEVGGNISKISLVKRTQVNVCSSTFEHHPVISNYQTESSGETTMLHDTKVRLQCGLGQSISSIKFASFGTPFGSCGSFQQGTCHAPNSLELIEKSCVGQESCEVMASNNYFATDPCPNVLKRLLIEAVCSI >cds-PLY69860.1 pep primary_assembly:Lsat_Salinas_v7:6:3094686:3096769:1 gene:gene-LSAT_6X4181 transcript:rna-gnl|WGS:NBSK|LSAT_6X4181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGPVEEDNNRWPPWLKPLLRESFFVQCKLHADSHKSECNMYCLDCMNGPLCSLCLNHHEDHRAIQIRRSSYHDVIRVSEIQKFLDIASVQTYVINSAKVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIVGTSKNFEKKRKILPEKKHLLMAVSDSDDSYSSGSHLRQLSNHNNIRIHSFTPSTPPPTAASFRTAKRRKGIPHRAPMGGLIIEC >cds-PLY74928.1 pep primary_assembly:Lsat_Salinas_v7:3:131496583:131500137:1 gene:gene-LSAT_3X88541 transcript:rna-gnl|WGS:NBSK|LSAT_3X88541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSMIMIMINPHSSFKTLPFLLLLILHQSSALTTDGIRLLSFKYSVLNDPLGVLDTWSYTDATPCSWHGVVCENTCVTGVSLPSSGLTATIPANLGSILHLREVNLSNNSISGSIPLSLYNAPELETLDLSNNLMSGEFEFVGGWRSLRYLNMSGNSLTGKLPQNLAALSNLTVVSLTGNQFSGELPSGFESVEVLDLSKNSITGSLPEKFGSGDLVYFNISHNNLSGEIPPEFAIKVPITATIDLSFNNLKGAIPESDILVNQDEESFAGNPELFGKPLKNLCESSSSSTTGPNSTTFTPAIAGIPQSFPSTPSHNSSNSKSRFKTSTIIGIVVGDIAIVAVLALVFIYIVKKRRGAPSNRHQDEAKASNREYDWGSSEEEHKWLRSWACLMKRRNTGGEDEEEGEEESSQNSSSENSDTESRPPETIKLDNPVTKDVEKRGLVTVDGGEKELELETLLKASAYILGTTGSSIIYKAVLEDGTMLAVRRIGENGLDRFKDFENQVRVIAKLVHPNLVRIRGFYWAADEKLVIYDYVPHGSLANARYKKVGSSPSPLPWEVRLKIAKGTARGLLYIHDKKQVHGNLKPSNILLESDMEPKIGDFGLERLLAGDQSYKVGGSTRNFGSKRSNTSRESFPDIVMGSNPSPSPSSMGCISPYYAPESLRSLKPSPKWDVYSYGVVLLELLTGKVIISDEFGPASMTWSSTLTVEEKKKVLRMVDVAIRADLEGKEDALLALLRVAYGCISPVPQKRPHMKEVVHALDKFPLASFSYY >cds-PLY65231.1 pep primary_assembly:Lsat_Salinas_v7:8:20220837:20223381:1 gene:gene-LSAT_8X15560 transcript:rna-gnl|WGS:NBSK|LSAT_8X15560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELSRVSFFFLLLLLPAAVHSIGVNYGTLGDNLPSHSQVAQFLKSKTVIDRIKIFDVNPDIIKAFANTGILVTVTVPNGDIPSLTNARNALRWVNANIKPFHPATKFHYICVGTEVLHWGPQNLVDNLVPAMRVLHAALVKAGITDVKISSPHSLGILQESTPPSNASFRPGWDVGNLAPMLQFLRKTKSGFMVNPYTYFGYSPANANFCLFKPNAGMFDRVTGKRYTNQLDQLLDAVYISMKKLGYPDVEIIVAETGWPSGGDPQNKHANPVNAAAYNGGLLKRDASGVGTPLMPGRKFETYIFSLFNEDLKGPSLDEKNFGLFRPDFTQVYNIGILRGSQQQPTGPSPKPSLPAAPTRGKKWCVPKPDATDAALQSNIDYVCSKGPYCKPIQTGGACFEPNNVRAHAAFIMNAFYQKNGRNDFNCDFAHTGVVTFNDPSKGSCKYMS >cds-PLY73196.1 pep primary_assembly:Lsat_Salinas_v7:4:263144568:263144968:1 gene:gene-LSAT_4X136381 transcript:rna-gnl|WGS:NBSK|LSAT_4X136381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQNRCIQEGGQWTCLVVGAKTNGALGASTDLSSTTPDVLSSELCKKLKGFQAVSYVKGLNDFLQNGLLQVPNLMSMNFL >cds-PLY93661.1 pep primary_assembly:Lsat_Salinas_v7:2:201934962:201937761:1 gene:gene-LSAT_2X123380 transcript:rna-gnl|WGS:NBSK|LSAT_2X123380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSYDYYKVFGFNRKFKITERRPPPDVIDAFSLCTNRELQMSPDQFRRFLMEFQGEEDVAIDDAKQKMEQALHHFRPNFTRCSFTIDDFFKYLFLDDLNGPITSQVHHDMTAPLQHYFIYTGHNSYLTGNQLNSDCSEVPIIKALRRGVRVIELDLWPNSSKDGIHVLHGRTMTTPVDLYKCLKSIKEHAFVSSPYPVIITLEDHLTANLQAKVAEMVTEIFEDVLYCPEAGGNDEFLSPDALKHRIILSTKQPKEYLESKLDSGEEELFGVEETPSDLKNETATEEVLSKFVAIDFDDEENEDLENEKLGQKAVVKYNQLIGIHTAKAKNGLRKALRVGSGRGKRLSLSEQTLERAASLYGTDLVRFTQKNILRVFPKGTRVTSTNFRPLAAWMHGAQMVAFNMQGYGKSLWMMHGMFRSNGGCGYVKKPDFLMNRGPNDEVFDPKATLEVKITLRVKVYMGDGWRMDFSQTHFDAFSPPDFYTKMYMVGVPGDVTKKKTRIIKDDWIPIWDEEFTFPLTVPELALLKIVVREHDVSEKDDFGGQTCLPVSEIKTGIRTVPLHNKKGDKFDHVKLLMRFQFE >cds-PLY79178.1 pep primary_assembly:Lsat_Salinas_v7:5:58115261:58119175:1 gene:gene-LSAT_5X27340 transcript:rna-gnl|WGS:NBSK|LSAT_5X27340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSCCANFKPNLHVRKGLDGIENGFWGNKIKPFATHFCKSSRTQTRKIKKLGVTQSVLESPVDEEMLKFETPFFDERKVDPKSVASIILGGGAGTRLFPLTSKRAKPAVPIGGCYRLIDVPMSNCINSGIRKIFILTQFNSFSLNRHLARTYNFGNGMSFGDGFVEVLAATQTPGEAGKKWFQGTADAVRQFIWVFEDAKNKNVENILILSGDHLYRMDYMDFVQKHVDTNADITVSCIPMDHSRASDYGLMKIDKTGRIVHFAEKPKGNLLKSMQVDTTLLGLSEHEALKNPYIASMGVYVFRTDVLLKLLRWKYPSCNDFGSEIIPSAVAEHNVQAYLFKDYWEDIGTIKSFFDANLALTEQPPKFDFNDPKTPFFTSPRFLPPTKVERCRIVDAIISHGCFLRDCSVQHSVVGVRSRLDQGVELKDTMMMGADYYQTDAEVAAFIASGRVPIGVGQNTKITNCIIDKNAKIGKDVVIANKDNVDEADRSDEGFYIRSGITVILKNATIKDGTIV >cds-PLY63368.1 pep primary_assembly:Lsat_Salinas_v7:7:153362243:153363467:-1 gene:gene-LSAT_7X90540 transcript:rna-gnl|WGS:NBSK|LSAT_7X90540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMSSLVLSGFRILLVVLAISEMITVKGIKYCEKPATYYRGKCVDEKCLNNCKTFESAEKGHCTTGQEKLVCICVYDCAKMNPPPGGWPPPPPKGKTPIII >cds-PLY77252.1 pep primary_assembly:Lsat_Salinas_v7:3:57377337:57380485:-1 gene:gene-LSAT_3X44620 transcript:rna-gnl|WGS:NBSK|LSAT_3X44620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSESEEGNQRLQSSFGASSSSVPKLQSHIPFNHPDIRAQITQFPPNINIENTIKRVGMPQSHPQMPPLSPSSQNLTLATGASHSRTLSQPSYFSLDSLPPLSPYRDPTSALTSDQMVGDHESLPLPPRKTHRRSNSDIPFGFSTILQSSPPLIPSSRGLKGLEVKRESNWERNGSESKVGEKNSEGEVADDFFSTYMNLNNLGRLNSFRGENCEDLDSRASGTKTNGGDNSDNEATSSNNMQQRLGVTLVTDKKEGVKRSAGGEVAPTTRHYRSVSMDSIMGKMNFADESVKLLPSSGGQIGKLSPCDSIDPNSETFRLEFGNGMFSGTELKKIMTNENLAEIALTDPKRVKRILANRQSAARSKERKTRYITELENKVQTLQTETTTLSAQLTLFQRDSASLTSQNNELKFRLQAMEQQAHLRDALNEALTAEVQRLKIMSMEQNGDAAKFSQLSLDTRLYQLHQDT >cds-PLY75989.1 pep primary_assembly:Lsat_Salinas_v7:1:41720992:41721490:-1 gene:gene-LSAT_1X35920 transcript:rna-gnl|WGS:NBSK|LSAT_1X35920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVFLFPTSLIMEPDVLDATITLGLKHRFMWLLLSVSSTMGYAASLTDFLVTKHTSALTLQLKYCIWYGRNAKGVVAVVILILIFRNPITPLGIAGYSLTVMGVIAYGEAERRYKLKQCLQFSQ >cds-PLY66702.1 pep primary_assembly:Lsat_Salinas_v7:6:80654804:80655396:-1 gene:gene-LSAT_6X57680 transcript:rna-gnl|WGS:NBSK|LSAT_6X57680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLLDKLLPKLKEGDSRVLIFSQKKEVQMFRFCTKEGCTIDVTVRTQIQSKAMQSGLAMQHLGDLLLELGRTMPTLHIGKSLVVGIGPKVGC >cds-PLY85519.1 pep primary_assembly:Lsat_Salinas_v7:2:196462739:196465801:-1 gene:gene-LSAT_2X117281 transcript:rna-gnl|WGS:NBSK|LSAT_2X117281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSVNHLSQRCIYGMEKTRDNRSRTDKEKEELDRAISLSLAEGLKKPNGYGWRAKNDDDLAKSVQDDLHSSHPPYVHREYQPTGYRICGGCNLDIGYGNYLGCMGTFFHPECFCCRACRYPITEHEFSLSGKDAYHKSCFKELTHPKCEIPTNGAGLIEYRCHPFWSQKYCPVHEHDNTARCCSCERLESVNVRYISLGDGRSLCLECMESAIMDTGDCQPLYHTIRDYYEGMNMRLDQQIPMLLVERQALNEAIVGEKHGFHHMPETRGLCLSEEQTVTSIQKRPKIGGHAMIGMKTQHQKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPDVEEGICQMLSYMWLESEIMPGSTSSAPSSSSSVSKKGGKSRVENKLGEFFMHQIAHDASPAYGGGFRAANAAVNTYGLRSTLDHIRLTRSFPL >cds-PLY62652.1 pep primary_assembly:Lsat_Salinas_v7:1:34945227:34945508:-1 gene:gene-LSAT_1X29941 transcript:rna-gnl|WGS:NBSK|LSAT_1X29941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHAHNSARRNPSCRDDELVMSSKAVAAICYGGRSPFQLLRLLCIWSESVEKVNGCGEGPDDGRNLWLWVVFIAGKSPPSLVVFSIQKKTKEGK >cds-PLY76357.1 pep primary_assembly:Lsat_Salinas_v7:6:170527976:170530438:1 gene:gene-LSAT_6X103160 transcript:rna-gnl|WGS:NBSK|LSAT_6X103160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTKCFSITASRNWCLRSTFSSFGLRSTVTDLGDGTTIHCWVPKTRKETRPDLLLLHGLGANAMWQWGPDIIPRFVHHFNVYVPDLVFFGDSYTTRRERSESFQAQCVYRVMEANSVKRMRVVGLSYGGFVAYSLAAEFKEAVERVVICCAGVCLEEKDLAEGLFPVKTLEEAADILLPQTPEKMKELMRFTFVKPPVKTPNCILVDFIDEMNKEHVEEKKELIHALCKDRKLSEIPKIPQPTMIIWGDKDQVFPLELGYRLKRHLGDNADMVVIKNTGHAYIVEKPKEFYKHLKSFLLPPIPPLPPPPPTTTTTTTAAATTTAANGHANFTILPPQ >cds-PLY97691.1 pep primary_assembly:Lsat_Salinas_v7:8:8389371:8391819:1 gene:gene-LSAT_8X6520 transcript:rna-gnl|WGS:NBSK|LSAT_8X6520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVIGPATAVRIPAGRFTRGCCLLSKASNVFVPWNTITRNNNLTKDGIRASAGQLLGPVEQAKPQLYHPLEDISDCEESDENGDAILKPAETSRTIIETNSKGLLMFSGLVSDEVYENVFLPDLPYVKGEHGNIYFQVKNDEDVLQALASGDNLVQVIIGLDTTEMVNEMESLGQSDDDSDFEDEDSDFEDDESDNYGKDWVSVLDDGDEDSDRSLGDWAKLETMRLSHPIDFAKQLADFVSEVPINYMDQPPSGISIHGLLRPAFVGENSKQELQKDESFGNGTSYYKLEMIKMQLISAHGNQAYVEVEDFSRSGPDAVAHSADKIISRIKSGGDKMTHAFKSLCWRCKGIQVEEVALIGVDSLGFYLRVCSGRQVETLRFTFKNRASSEYGVESQLNGLLFPLTMSQQLKKEVKL >cds-PLY63209.1 pep primary_assembly:Lsat_Salinas_v7:6:86274421:86275343:-1 gene:gene-LSAT_6X60441 transcript:rna-gnl|WGS:NBSK|LSAT_6X60441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome B5 isoform D [Source:Projected from Arabidopsis thaliana (AT5G48810) UniProtKB/Swiss-Prot;Acc:Q9ZWT2] MNSNKVFNLADVSPHNNNKDCWVIINARVYDVTNFLNDHPGGDNVLLDVAGKDASDEFEEVGHGSAARLMLDEYYVGEIDHVEVEPLYDISKNMAPKYNRNAKKQQTITKEEKGLGINFTLKFILLVVSTTILGVAIGFLLYK >cds-PLY80117.1 pep primary_assembly:Lsat_Salinas_v7:5:146633482:146633796:-1 gene:gene-LSAT_5X64221 transcript:rna-gnl|WGS:NBSK|LSAT_5X64221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIGHAKHSWSPNYRRYMDENHLNGVTNEDMAINILQSQLELSLIREEFQDQLRELRRIVDRDLDAMNREVDDVRDGQILKIISFLCKEHMLRWSSSTTNVRS >cds-PLY70566.1 pep primary_assembly:Lsat_Salinas_v7:1:88845355:88846170:-1 gene:gene-LSAT_1X75300 transcript:rna-gnl|WGS:NBSK|LSAT_1X75300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSSVTATGFSSSFHGSWGTSITGEDYATMVSKTTPNQVRVGKPLRSGPMMGNVNEGKGLFAPLVVVTRNIVGKKRFNQLRGKAIALHSQVITEFCKSIGADSKQRQGLIRLAKKNGERLGFLA >cds-PLY68463.1 pep primary_assembly:Lsat_Salinas_v7:2:213376647:213380732:1 gene:gene-LSAT_2X133640 transcript:rna-gnl|WGS:NBSK|LSAT_2X133640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYYCSTTWSLFLVVLLEVVVCISGRVGLGSRLYANNNQIWESPNHTFAFGFAPASDDTSSSHDNRYQLGIWFANLPGDRTLAWSAYLNAPVTKEAILELDTTGNLVLTDGRTTAWTSNTTDAGVQSMELLENGNLILYTSNQDIAWQSFSHPSDTLLPGQPLTISVELTSSRIPTRGGGYYTLKIQQQPTSLSLGLTYNLLADPYNLSMEAQSNYSYWETPEFSNVTGDVVAVLGQAGSFGVVYGGDSVGAVYVYKNDNDKSELSSASNITNRPAVLRRLILETNGNLRLYRWDDDVNGSRQWVPEWAAVSNPCDIAGACGNGICNLDRSKTNASCECLPGSSTKGDDFRCTSNSSFTGNCRAPRQNSTNSQFKIQTVNQTNYYYLESAVIANYSDIPTVSKCGDACLSDCDCVASVYGLNQETPYCWVLRSLEFGGFEDSGSTMFVKVQSNASSSSEQKNDSGMSNSTRTKVLVVPITLSMLVLIGLLSCLLYIYVHKRRGLKRALKNSIIVSGAPHNFNFRILQNSTNHFSELLGTGGFGSVYKGTLGDGTLIAVKRLDKMLPHGEREFVTEVNTIGSMHHMNLVRLCGYCSEGSQRLLVYEFMKNGSLDKWLFHSRKTRERLLEWPTRFQIAVGTAQGIAYFHEQCRNRIIHCDIKPENILLDENFCPKVSDFGLAKLMGREHSQVVTMVRGTRGYLAPEWISNRPVTVKADVYSYGMLLLEIVGGRRNLDMNYDADNFFFPGWAFKEMRNGEVMKIADRRLEGAVDAEELLRTLKVGFWCIQDDVNMRPSMSEVVMMLEGSVEVNEPPMPQSVLELIEEGLDHVYRAMKREFNQFSSFTVTNMTSQPSSRATCSYSTMSPR >cds-PLY97992.1 pep primary_assembly:Lsat_Salinas_v7:8:287141039:287141643:-1 gene:gene-LSAT_8X161300 transcript:rna-gnl|WGS:NBSK|LSAT_8X161300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSGGWIYKNSPIPITKKPDLNDPVLRAKLAKGTIACNVGLAVLEPSMIGELVDPFATPLEILPEWYFFPRCKWNGIEKTVLET >cds-PLY94182.1 pep primary_assembly:Lsat_Salinas_v7:5:32717081:32719207:-1 gene:gene-LSAT_5X15901 transcript:rna-gnl|WGS:NBSK|LSAT_5X15901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein SPC25 homolog [Source:Projected from Arabidopsis thaliana (AT3G48210) UniProtKB/Swiss-Prot;Acc:Q93VK9] MTMQAGRVGDRDIRAKMAELRLITDKEIEIHQRRQEAAVLSLRKSLQSIKFDAQETVLNQDKIGKLKAQLRAVEDDLVKALSVKTRKEAKKMAIADSISATKARLEEHKKIVADQRTRKDEFAAIISESFEALKENEEKHSQDAERREEIEEAISWYNRVLGFRIETGHGIKFIFSNINKKNPNEEYYFTIRLENDIYSLLDCHPNVSEVKKLIHELNATNGLYRFVRTMRAKFQEVSAVENLTETGVHPQETSFISMSAPFSSVSTDSGSAMEEDDSRETRKARRVQGVQSSFQSPGSASSLRRRQSPRFKAKK >cds-PLY94262.1 pep primary_assembly:Lsat_Salinas_v7:4:313263535:313264432:1 gene:gene-LSAT_4X158041 transcript:rna-gnl|WGS:NBSK|LSAT_4X158041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESPTYYPPPPPLHHSDSSRPTLGFPLGTALLLIVIFSLSGIFSCCYHWDKLRHLRGSFTDAAADDDNDHVHSPSKPKPTFSEKLQNENQSLPVVMPGDRIARFIALPCPCEPEREEKIVVEEVQKTPKPPHVVITLSN >cds-PLY85533.1 pep primary_assembly:Lsat_Salinas_v7:2:196711076:196711246:-1 gene:gene-LSAT_2X117941 transcript:rna-gnl|WGS:NBSK|LSAT_2X117941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPLAPSATHLRCLTRHTKAKIVDTLPNPAFVLILHQIFYTLAFAFSLYGRH >cds-PLY74500.1 pep primary_assembly:Lsat_Salinas_v7:7:36999352:37001125:-1 gene:gene-LSAT_7X27461 transcript:rna-gnl|WGS:NBSK|LSAT_7X27461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACFMPFTNKNLDIFICVLRPTVAIIDDLVDTLKQFSFFTERLGCIHSSIFNSIHGNMIIWYGAWIKRSDENKELLHEALLLALTNLQSMAVLLDHDFMVAYGGEVRDGSPAAKFSTGDIVCFNTIHLLSDTKMNKMNEQDFVYTCFSVFQSYFHKMNGTVAGVCLKYESISTVINFYVWKNLQSCYSFALNSDNREILQNCFHDATVFMKYDVFKVVYVSADDVSSFQYYPPHKLLENQALEVVKDFE >cds-PLY98617.1 pep primary_assembly:Lsat_Salinas_v7:1:39879856:39880065:-1 gene:gene-LSAT_1X35061 transcript:rna-gnl|WGS:NBSK|LSAT_1X35061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATVGWCNSGCRSQYKTGQYHVFIHVSINMGLKGGPIWRILWGKIKKEKMKKKKKKRKKKVHGVLNTT >cds-PLY88098.1 pep primary_assembly:Lsat_Salinas_v7:6:181715426:181715677:-1 gene:gene-LSAT_6X111341 transcript:rna-gnl|WGS:NBSK|LSAT_6X111341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVMSPFPTNQWIQEYTTTRITQSGGEPSDDTSIAVHRDSSQGTIGQTGPKLIRKKSRASWRAPSIILNASLTDFRALVQQMR >cds-PLY62403.1 pep primary_assembly:Lsat_Salinas_v7:5:309892501:309894789:1 gene:gene-LSAT_5X168820 transcript:rna-gnl|WGS:NBSK|LSAT_5X168820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGIAVNDECKLKFLELKAKRNFRYIIFKIEEKQKEVQVEKVGEPAESHEDFAASLPDNECRYAVFDYDFVTAENCQKSRIFFIAWSPDTARVRTKMIYASSKDRFKRELDGIQVELQATDPTEMDLDVFKSRAN >cds-PLY87301.1 pep primary_assembly:Lsat_Salinas_v7:3:146513694:146514442:1 gene:gene-LSAT_3X95361 transcript:rna-gnl|WGS:NBSK|LSAT_3X95361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQANELTDSHYLLPPNPDLDHDTTYLTMNLYNSPIMQFNLNTPSNPFYNLHMNPQYHEINPYFSDEADDRQQRNLINERKQRRMISNRESARRSRMRKQKHLDELWSQVIWLRNENHQLVDKLNKFSGTHDRVLQENAQLKEEASELREMINKLQLNGTYPSLMDLDDINSNALYLRTESSNPSISISSSSEFF >cds-PLY74937.1 pep primary_assembly:Lsat_Salinas_v7:9:14461536:14463618:1 gene:gene-LSAT_9X12821 transcript:rna-gnl|WGS:NBSK|LSAT_9X12821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTFNFHTLCTPVYYSSRSYSYKSKTLAFSSQLPCQSLLKSKKRSMIPVVQNRKLRSRIYAAQSNFFKVLQKAYKVGKDGIEAGTNLVPDSIPRPIARISVGVIGAAVVLFLLKSFLSTAFFFLTTMGLIYFVFIALNKDEGPTGGGSTTTTSTEESLEEARRIMEKYK >cds-PLY69324.1 pep primary_assembly:Lsat_Salinas_v7:7:81698231:81719814:-1 gene:gene-LSAT_7X57401 transcript:rna-gnl|WGS:NBSK|LSAT_7X57401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTQMERSKSVLQPPAFGNLITVLSIDGGGIRGLIPAIILDCLETELQKLDGEDARIADYFDMIAGTSTGGLITAMLTAPNEEKRPLFTAKAIKKFYLQKCPKIFPQDSSLTKFVKNFFAPLYDGKYLHDCIRKKLPNIRLEDTLTNVAIPTFDISTLQPTIFSSYKMKKKPYLNALLSDICIGTSAAPTYLPPHHFETTDDNGEKHEFNLVDGGVAANNPTLIAMGEIAKQLIRKNSDFHVPQPLEYRRFLVISIGTGECKKKWKYNAKEASKWGLLGWWFNGNGSTPLLDIFTQSSTDMVDIHLSVVFKALDVEQNYLRIQEDGLERTLSSLDRATKDNLEYLIEAGEGLLKKKVSRVNLETGKFVPYSEKTNEDALKQFAKQLSCEKHLRDLRSPRPIKANPEPCIMSETKPNLGAFEKPSINV >cds-PLY78852.1 pep primary_assembly:Lsat_Salinas_v7:5:306707199:306719586:-1 gene:gene-LSAT_5X165781 transcript:rna-gnl|WGS:NBSK|LSAT_5X165781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHSTDSDSDFVSTAVPNDESDEEIYVKKRTGDRKYVEGVTKIKEAKRKRNSGDNRAAIKKQKTVKEQKTVKDILKELPSINTRSTPGLITDVVSFLTSEQKDWVKRMGFKAFLKINIKSIPLKLCHFVLKHYDEGTNSILIKGKRIKITKEKIHKVFGLPKTGKSLFDLDKVSEDHQVFDGWMKELEDGKANATNYKKIIQKSEQVDMNFKLGFIALFVNTFAESMPMGTNNLVPVRALVEVDDISKIDWCGYLLYCVKNSKGRWRPDNPKCYYRGPMLLLLLQKXTPLVTMWTTDKLKERQSFKIETGGFGVGNLIEQSSNLEREKNENQVNENQDTRIEEYKDNFDKMFNKFSSRKEDMYGIVSDCISKFPNVNITKEVKEKFIKLFSDPIFSSADNQNNENKKKGSHERVESQNGDERVESQNGDTGENDISSYKSPYMDKAVNLFDRIDLQNVLLIQVLIRCAQEKNRIQDFESMRPKHVIHHRVIDSWATVLNYEEQKSKSKPYRLFFNTKIMSSELLDETKSFDERFLTFETRIDKFLSNFTANVDFNDLKLKLYFIRYLEKTTFIINNIEGLRSTTVKMMKIDWNTKELTTEXKKRNGALLMEKLKNIVEKSKESGMWKWKKAVICEMYNL >cds-PLY89226.1 pep primary_assembly:Lsat_Salinas_v7:5:310013760:310016274:-1 gene:gene-LSAT_5X167661 transcript:rna-gnl|WGS:NBSK|LSAT_5X167661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEGNSSSSEATTEVAGRETAGTAAPCRWNPTKEQISMLENLYRQGVRTPTADQIQEITTRLRTYGHIEGKNVFYWFQNHKARQRQKQKQDHLSYFHQYLHHHHHLHQPAAVFPVPYHPNVVYGQCYFPQSDHLGFYPKVLIPSSTTIKKRSPRAVKPKLSSGAGTLVGGNNPIKPKMVNGNIHQETLDLFPLQPTGILQHREAPTSNVNHHSLTACTSSSSDRTQDQHYFDFFSC >cds-PLY96283.1 pep primary_assembly:Lsat_Salinas_v7:6:157155290:157156534:1 gene:gene-LSAT_6X96100 transcript:rna-gnl|WGS:NBSK|LSAT_6X96100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRLQILLGSAQGLAYLHEGLETQVLFGDFNSGDVLLDENFNPKLSDFGLERGQVRNLSYVRTVSFYASPEYVHCGHLKSNSDSWSFGIVLYQILSGRRVIDRNLPQSQQMLTNWVKQFPADSKIFWKMIDPRLDNQYSLSGAQKVAKLADSCMRNNPEDRPTMSQIIDVLQESIRDSEDERQNPLPESST >cds-PLY86963.1 pep primary_assembly:Lsat_Salinas_v7:5:260745631:260747036:1 gene:gene-LSAT_5X130860 transcript:rna-gnl|WGS:NBSK|LSAT_5X130860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELKAIGVLMTYPMSSYLEQELDKRFNLFRLWNLPQKNDFFKENSGSIRAVVGNTKVGADRELIDSLPALEIVSSFSVGLDKVDLGHCKEKGIRVTNTPDVLTEDVADLAIGLMLATLRGICECDRYVRGGLWKKGDFKLTTKFSGKKVGIIGLGRIGTAIAKRAEAFNCPISYYSRSQKPESKYKYFPSVVELASDCDILVVACALTEETRHIINRQVIDALGPKGFLINIGRGPHIDEPELVSALIERRIAAAGLDVFENEPHVPEELFGLDNVVLVPHIGSDTVETVKAMADLVVGNLEAHFSKKPLLTPVFID >cds-PLY85035.1 pep primary_assembly:Lsat_Salinas_v7:7:7079579:7080914:1 gene:gene-LSAT_7X8221 transcript:rna-gnl|WGS:NBSK|LSAT_7X8221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLDEDLIENILSGSPVLETLELHDCYGFRRLDITSKSLKNLVLLRYTVPYGESEAEIIEINAPNILSLTIDHEVLLLKLLFLDVSSLVKAHLDCTCTKFEDRKKTPNEAEQEMLKGYIMNLRHVKELQLGFFCSKVLSCLQGKGFLLPSNVKLTLVTDI >cds-PLY88134.1 pep primary_assembly:Lsat_Salinas_v7:6:8311697:8313457:1 gene:gene-LSAT_6X4521 transcript:rna-gnl|WGS:NBSK|LSAT_6X4521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGNNEDPSSDFFYNGPEHGHESVHEPESPMVQTPHYSGTHGGSNDADSNGSHRPFITRKGYKFGRQSIHRVIVKIFWQSINEPWITYKKIPKEIVTQMLERFRTQYRWDPNEEGLIREGFENTLKDRYRGRMRDAREASVKKLVQKEL >cds-PLY93335.1 pep primary_assembly:Lsat_Salinas_v7:9:58378536:58380184:1 gene:gene-LSAT_9X51540 transcript:rna-gnl|WGS:NBSK|LSAT_9X51540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIGSEMADECERTGGCKKNDGYDWMRSITIHRSLLEWLILLLLIMVEIASGVMKVNDPNFVNSLRDVLCGLQEEGRRQQPRMVGGLKAKRSREEKKKISSWDSFKRKLRRRYVPANHPITIIPKFSKSWKRKLDVIDFDESLGETVVIEEPTIVQESSVVTVKDFHPIYDTEDEDEMWEKEIKE >cds-PLY80108.1 pep primary_assembly:Lsat_Salinas_v7:5:147897280:147898856:1 gene:gene-LSAT_5X64321 transcript:rna-gnl|WGS:NBSK|LSAT_5X64321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSELVFIPSPGAGHLPPTVELAKILLDRDQRLSITIIVMKISLGAKHDTESATPIPRLRYIDIPCDESTMALISPKTFLSAFIEHHKPHVRNIIRSIIESNSVRLAGLVLDMFCAPMTEVANEFEAPTYIYYTSGASMLGLQLTLQAKRDEEEHDVTELKGSESELSIPTFVNSVPAKVLLDVLLDKEGGSKMFLDLAKTFRESKGILVNTFQELESHGVDYLLSSNADIPPVFPVGPILNLKNATNDGKTDEIMTWLNDQPESSVVFLCFGSMGSFSEKQVKEIAVAVERSGQRFLWSLRRPPPKGKIEYPKDYENPEEVLPEGFLDRTSSVGKVIGWAPQTAVLSHPSVGGFVSHCGWNSTLESIWCGVPVAAWPLYAEQQLNAFQLVVELGLAAEIRIDYRRNVGPEETEMIVTAEEIEGGIRKLMSDGEMRKKVKEMKEKSRLAIAEGGSSHAAIGRFIEHVISE >cds-PLY78721.1 pep primary_assembly:Lsat_Salinas_v7:9:47708697:47710083:1 gene:gene-LSAT_9X44101 transcript:rna-gnl|WGS:NBSK|LSAT_9X44101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEIVINKRVKTANKSIKQFKEELARCKNQIGKMGPGLAQRVMEVRKKRLIKEKRWYQTQRDMLNKQIFDLDRVIFAWDGIKEAQQIASCLKSANIELKGMMKILQDDQEDLMGGAV >cds-PLY80321.1 pep primary_assembly:Lsat_Salinas_v7:7:154073250:154073872:-1 gene:gene-LSAT_7X90181 transcript:rna-gnl|WGS:NBSK|LSAT_7X90181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSGNCYSKLCDEKCKDWEKAIHGACHKRESKNTCFCYYDCEKTSPPAKDGKSSPGKDGKPPPGKDGKPPPAAGGSPPPAGGGSPPPPAEGGSPPPAGGGSPPPPTAN >cds-PLY91928.1 pep primary_assembly:Lsat_Salinas_v7:8:202622762:202624428:1 gene:gene-LSAT_8X129120 transcript:rna-gnl|WGS:NBSK|LSAT_8X129120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYKKHISSLLLQLILLAFVFVSANGSYLKLGFYQKTCPNVEAIVAKVTADHISVAPSLAAPLLRMHFHDCFIRGCDGSVLLDSTKTNAAEKVGPPNLSLRGYQVIDAAKSALEKECPGVVSCADILSLVARDAVYQIKGPWWPVPLGRRDGRVSIALESLSLPAPFGNIAQLKAQFASKGLSVKDLVVLSGGHTIGTSQCSTIVIRLYNFTGKGDTDPTLDPKYVPFLKKKCKPTDTTTLLEMDPGSFRTFDEDYYTIVMKRRGLFQSDAALLDDKETSAYVKFQAQSHGSTFFKDFEESMVKMGQIEVLTGSAGEIRKHCALVN >cds-PLY95652.1 pep primary_assembly:Lsat_Salinas_v7:2:116591890:116592968:1 gene:gene-LSAT_2X53921 transcript:rna-gnl|WGS:NBSK|LSAT_2X53921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEDLLDLSKMFNAVKSLVRVPSVDPKYKIALLASKQDHCLVDLLHAWQDGKLPVQISSVISNHDRVGNTHVMRFLERHEIPYHYLSKSKEKNVEDEILGLVEDTDFLVLARYMQAFEAGVKLIGATTHFVTEELDGGPIIEQMVERVSHKDNLLSFIQKSENLEKQCLMKAIKSYCELRVLPYQHNRTVVF >cds-PLY70152.1 pep primary_assembly:Lsat_Salinas_v7:3:12639352:12641587:1 gene:gene-LSAT_3X9921 transcript:rna-gnl|WGS:NBSK|LSAT_3X9921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDHEDHKSESLMEKISDKIHGKDDSSSSSDSDDDKISAMKSKVFRMFGREKPVHNVFGGGKPADVLLWKDKKVSGGILGGVSIIWFLFEVMEYHLLTLVCHSLILTLAILFLWSNASAFINKSPPKIPQVVIPEKPVLEIVSSLRIELNNGFAAIRDIASGKDLKKFLSVIAGLWFVSIISNCYNFLTLVYILVVLLFTVPVVYDKYEDKIDPLAEKALIEIKKQYAVFDAKVLCKIPRSMKELKAKKKV >cds-PLY96026.1 pep primary_assembly:Lsat_Salinas_v7:3:194719543:194724559:-1 gene:gene-LSAT_3X115800 transcript:rna-gnl|WGS:NBSK|LSAT_3X115800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVSAFFSVAFEKLASEALKKIARSKGVDYELKKLKRSLDQIQDLLNDAAQKEIADKAVKRWLNGLQHLAYDIDDLLDDLSTEAMRRELTEEPGATTSMVRTLIPACCTNFSLSTKIHSKLDAITTELQKLVEERENLGLSVKDESPKHMNRRLETSLVDASRIIGREGEKDALLHKLLGDEACDKNFSIVPIVGMGGVGKTTLARLLYDEMQGKAHFELKAWVCVSDEFDIFTISKVIFQSVGGGNEEFKDLNLLQVALREKISKKRFLLVLDDVWSESYTDWEILERPFLAGAPGSKIIITTRKLSLLTQLGCSQPYSLSVLSHENAVSLFCQHAFGENNFDSHPTLKPHGEGIVEKCDGLPLALIALGRLLRTKSDEEEWKEHLNSEIWSLGKRDEITPALRLSYHDLSADLKLLFAYCSLFPKDYMFDMEELILLWMAEGFLHQSSTGKSMERMGVECFEDLLSRSFFQHAPNDESFFVMHDLMNDLAMSVAGEFFSRLDIETKKEVGKEDSRKYRHMSFVCETYMVYTKFKAFKGANSLRTFLAVSSERNSWNRFFLSNTILTDLLSELPLLRVLSLSRLSISEVPEFIGSLKHLRYLNLSQTDITCLADNICNLSNLQTLIVFGCPSLKELPKSFKKLKNLRHFDMRDTPLLKKTPLGIGELKSLRTLSKIIIEGDNGFSITELKDLKDLQGKISFQGLDKVRNPMHAQEVNLSHRRLSELEVEWSDEFDDSRKETVELEVLNMLKPHNDILKKLGIVSYGGTEFPNWVGDPSFHQLAKVTLNGCKKCTSLPLLGQLPFLKELVIRGMDEVKVVGSEFLGTGLAFPKLETLSFQGMKGWEVWSTNYSGVVDTSFPCLQELHIRSCPNLVKVSVEALSSLRSLKISGCSHRVLDSLVHVASSVSRLQISFISGLNDQVWGGVIEYLGAVEEIYIASCNEIRYLWESEAEASKVLVNLRKLDVGGCSNLVSLGEKEEDNSGSNLTSLTSLTLFGCDSLEHCSCPNSVKSLSIWNCNKLQEKELVGGREKPVINTNLRMLESIYITEWSNLKSITELTSFNHLRNLVIENCPNMESFPDHELPKLNVLTYLTIANCQSMDASFSGGLWPPKLHHLVIGRLKKPISKWGPQTFPTSLVDLILIGGRSEDASNLSQLSHLLPSSLTSLCIKEFEKVESVSMGLRHLTSLQHLTINNCPKAMDLPEMLLPSLLSLRIWECPNLKKTSSKRGSYRPLISRIPCIYIS >cds-PLY72528.1 pep primary_assembly:Lsat_Salinas_v7:2:139480478:139482655:1 gene:gene-LSAT_2X65721 transcript:rna-gnl|WGS:NBSK|LSAT_2X65721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMESPNGSTGEENLTKKSDASDRDHSLIHVKLAQDPDPSPKTHPVSLERDNAEITDDHSSSTTTPGTYSPSPTAIRSKKSVRWSHDLVEERTLPPLEKSDDDYDSSNPYVNRSQGSSNSPAFNINNSMDNIKGALGRWRKKVGEATKKAEDLAGNTWQHLKTAPSLTDAALGRIAQGTKVLAEGGYEKIFRQTFDTVPEEILQNSYACYLSTSAGPVMGVLYVSTAKLAFCSDNPLSYKSNDKTEWSYYKVIIPLQQLKEVNPSSSRANSSEKYIQVISVDSHEFWYMGFLNYDGAVKCLQDAIQTRGRISV >cds-PLY76113.1 pep primary_assembly:Lsat_Salinas_v7:9:31847805:31850801:-1 gene:gene-LSAT_9X27300 transcript:rna-gnl|WGS:NBSK|LSAT_9X27300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMLIQLMEEEIKAGKFLEFAAVHGNLYGTSVEAVEVVADAGKRCILDIDVQGARSVRASSLEAIFVFICPPSFEELEKRLRERGTETEEQIQKRLRNAKAELEQGKSSGLFDHVLVNDDLEACYERLKKILGLDGSSDATLKTQTEVFDLPMDFSLSKINEKILINSGIDERRIVLDLSSMKGGAPGRTRGLYFGHIDDLEGWPKWWAIAQGINWLGGIKFTVGLMGMVLSKGKIVKST >cds-PLY80517.1 pep primary_assembly:Lsat_Salinas_v7:3:92183828:92187507:-1 gene:gene-LSAT_3X68121 transcript:rna-gnl|WGS:NBSK|LSAT_3X68121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGCGGDGSDGGGAVTAVTINVSCTDGTKFTVQVWRQSTLFIWFVVFYLMHPITQLNTAEEGTRGGSGGLPAYAIDLSGFPEFEQMQHEFIQNPDMIVETIHQPFAQIMMSYPDVMRNILLSDPISRQVIDRDPDLASILYDPSIFSQMTEILRHTSVEFIRNLIRIAEGAGGGDGGSSDGGDGGSSGGATQTHPAVIDLSNPAVSHNVQDMNQQSLFSQLGQQQSTRMGVSQTSEGTVFIKKPGGVGGSGGSGGGCDTSSGGGGGGRGGGGGIGEGGGRGGDRGGRSDGNDGGGGGGNRGDFVNSPCLVVSVGPGEVAELEEMGFPDTRRNIEALALAATEGNIPDAVDRLLGGQ >cds-PLY82074.1 pep primary_assembly:Lsat_Salinas_v7:8:52262869:52263505:1 gene:gene-LSAT_8X38860 transcript:rna-gnl|WGS:NBSK|LSAT_8X38860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSDSSSSVDAEENAFYQELTRQILMLTDEDDDRYTAETRRRPVVHGGVGSRPVARNYYSWSETVRSPVPGWMESLWANGGGGTGVFIPSGVGVGVGVGVAAYGGGGGKKSGRRRRNNKVRKNNDRSGQKIHG >cds-PLY76568.1 pep primary_assembly:Lsat_Salinas_v7:5:224238753:224240579:1 gene:gene-LSAT_5X105281 transcript:rna-gnl|WGS:NBSK|LSAT_5X105281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFLPLKVHLLRVSICNKKANALGASFVYLPAGENLESRASATISPCHVTTFYSFIFQITRILLMNKIERATRRAQEQFQTILEEQSRFALDIDLDAPKIRVPIRLSSSSEYNSHFLLDFCHFTLQTEEDQPDDKGQALYTRFNIFGRDIAAFFTDGSSEIIESMNNSSQLSAYPGMDIQKDTPSVDMLAKQGEGVGDIVAETSKPGSTETNATDLALHGALLEIKTTIYGKMETEEHEVVEERGSNSENEFTLVESQR >cds-PLY85579.1 pep primary_assembly:Lsat_Salinas_v7:5:44282204:44284307:-1 gene:gene-LSAT_5X21501 transcript:rna-gnl|WGS:NBSK|LSAT_5X21501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSIDRSEIAFFDLETTVPTRHGQGFAILEFGSILVCPRKLVELESYETLVRPHDLSLISTLSVRANGITADAVVSAPTFSDIADKVYDILHGRIWAGHNIIRFDCVRLREAFAQINRPPPEPKGTIDSLALLTQRFGRRAGNMKMATLADYFGLGKQSHRSLDDVRMNLEVLKYCATVLFLESSLPDIFTENSWVSPNSTTRTRSNANATGPSISPSSSNSPPNQSASGVGQQAQHDPFDLGPLIDKIENEAMEEEPPTAAVETAAISDTDTIEFLDPDQVSIPSVTISLVPFFRGPQKIQILHRNTQLQIRCNALKIRFGISTKFVDQAGRPRLSFVVDAPPNLCGVLDACDNIAKRFVDSDSNSEWRPVVSRKPGFYNSPTVRLQLPTVAEGDSARWITEIYQKESSSLSVERVMFSRYDVAELEALIRQGSLVDACFLLDPYDYRQSAGIRLVAKKLIVDSN >cds-PLY69976.1 pep primary_assembly:Lsat_Salinas_v7:8:64329263:64333132:-1 gene:gene-LSAT_8X45100 transcript:rna-gnl|WGS:NBSK|LSAT_8X45100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase/pectinesterase inhibitor 6 [Source:Projected from Arabidopsis thaliana (AT1G23200) UniProtKB/Swiss-Prot;Acc:O49298] MAKIINSYFAFGFITFLHILTVFTPFGVVHGATPTTTFSLCSQTPHPEICTSLIGKSSSKETPLVVREATLMSTLAQAEHAHKLVLAMDLSSFEPRAKSAWADCLELYEDSVYQLNRSLGSTNSHDILTWLSSASTNHETCQNGFLDFNLSSHLQTFQSSLSGFSKYLSNSLAINKAITLSHVTFSKQLKGRRLMTTNDFPKWLSKRDRKLLATPSGGTSADIVVAQDGSGNYKTISEAIAAVPKLRSGNSRFVIHVKAGVYKENVEIKKTMRNLMFIGDGMGSTVVTGNKNVQDGSTTFRSASFAVSGSGFIARDMTFENTAGPAKHQAVAFRSGSDLSVLYGCAFKGYQDTLYVYSGRQFFRNCDVYGTQDFIFGNAAAVLQSCNIYVRKPMGGQTNTITAQARTDRNENTGIIIHNSVVTAASDLKGSGGSVKTFLGRPWKQYSRTVFMKCSLDSIINSAGWLPWSGSFALSTLYYGEYMNTGGGAGTGGRVKWPGYHVITSASEATQFTVGDFLDGGSWIPGTGMPYTAGL >cds-PLY99807.1 pep primary_assembly:Lsat_Salinas_v7:MU037944.1:500544:503394:-1 gene:gene-LSAT_3X53220 transcript:rna-gnl|WGS:NBSK|LSAT_3X53220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRWASLLCKSLKTIPSSFPLNFVPFSAYKTCNNRLLLPIITSPILARLFHGPCQPFDEMPRRTQSFFAIGFFDVLNLVELCKVKPDFRNIVNVHALALKVGVLDHLPTSTSLIIAYTRAGHYPSSLALFGEVSCKDVVLWNAMMTCTIENGQFTDSISFFVQMLHQGIKFDSVTLVIAISALTTITNSLLYLQAVHSLGLKLGLLFYSDLCNALINAHAKSGDLESSESIFMDSKVKDKFSWNSMITGCLRNHHPEKSLWYFKNMVSSGTQVDDVSLSCAIAASNSLLNLHNIGKTLHGFGIKLGLDETPHVSVQNALVSLYSKCGDIDAAEILFRGIYDKDLVSWNTMIQGFASNGMILEAFHLFSEMQFIKSSIQPDTVTILSVLSLCAESMLLREGKTIHGFFIKRLPTFDLILNNSLMNMYSKCYQIDKAENLFMSIKDKDLVSWNTMISGYTQNGNSRFAQILFKKLLYQCLECSLSTVLAILSSCDSPEFLKFGESLHSWELKLGFSNNIHAINSLIFMYTNCGDLKASYKLLQSVSKVVDTACWNAMITSCTQNRYFLEALKTFNLMRQETYTKPDSVTLVSVISASGTLESVIPGKLAHGIAHKTLFDRDVRVQNVLITMYGKFGDIESASLVFDLCVDRNLCSWNCMISVLSQNKEAKTALRLFKNMDFEPDEITIATILSSCTHLGTIRYGKQIHGYILRSNFHKNSFINAALIDMYSNCGRLDISIIIFRSSSEKTIASWNSMISAYGFHSEGRKAIEVFNEMVMTKIPPTKTSFINLLSACGHSGLVEEGVGYYNCMFDEYGVERVTEHNVCVVDMLGRCGRLSEAYEFIEKMVLRDEGVLGAMLSWCSYYGDVEMGKKVGEILFDLEPQKAGYYVCLANAYVGVGSWSDAVKLRKYVEDMRLKKPGGYSLVDIGL >cds-PLY64901.1 pep primary_assembly:Lsat_Salinas_v7:1:166178957:166182029:-1 gene:gene-LSAT_1X111600 transcript:rna-gnl|WGS:NBSK|LSAT_1X111600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVRPFLAFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVGQLGVGNAILIIVQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICESIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRSDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLHRKYSGNFLVDLLGKWKESEYSGQSVPVGGIAYYVTAPSSLADMAANPFHALFYLVFMLTACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKASELGLFGF >cds-PLY73017.1 pep primary_assembly:Lsat_Salinas_v7:9:36897813:36906628:1 gene:gene-LSAT_9X34740 transcript:rna-gnl|WGS:NBSK|LSAT_9X34740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTNRSDGAGKERLKWTQELHDLFEKAVNQLGGPDRATPKGILKTMGVTGLTIYHVKSHLQVQKSLKVKIEAQSRFLERIAQEYKTRQTISKYRKPISIISLPSLCDESESIIKDFDSDSEVGTCHMIRSSPESPPIKRARVVFIDDDVMYPEIFDLNSNNYTQNVPQLPKGNTFPWNIAFCHSPLIPSSHSSFH >cds-PLY70589.1 pep primary_assembly:Lsat_Salinas_v7:1:90713679:90714971:-1 gene:gene-LSAT_1X77381 transcript:rna-gnl|WGS:NBSK|LSAT_1X77381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCYESEETRYITIGCVEKSLQMMCWWAENPNGDELKYHFARVPDYLWIAEDGMTMMSFGSQVWDFAFATQAIIASNMTEEYDDCLKKAHFYLRESQIKENPSGDFTRMHRRFTKGAWAFSDQDHGWIVSDCTAESLMSPISGGFAVWEPAIPKPFLQLLNPSELFVDIVVEKEHLEPSACIIAALVEFHRVHPSHRKEEIEVSISNGIHYLEETQCLDGSW >cds-PLY79366.1 pep primary_assembly:Lsat_Salinas_v7:9:54202314:54207069:-1 gene:gene-LSAT_9X50301 transcript:rna-gnl|WGS:NBSK|LSAT_9X50301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNVVSLERFGSGFARGMLPVKVVRQVDCCANVSCLLQLKVLDFFQKRHLPTVHLASTVTDIVMAPDQKTFVFSEVSKHNKTLDCWVIISGKVFDVTPFMEDHPGGSEVLLAATGKDATNDFEDVGHSEEARDLMDKYYIGKIDKSTVPAKRQYVLSENQNYSSNKSGFIVKILQFLVPFMILGLGFAVRAYTKEKSD >cds-PLY86695.1 pep primary_assembly:Lsat_Salinas_v7:MU040590.1:252:638:-1 gene:gene-LSAT_0X45360 transcript:rna-gnl|WGS:NBSK|LSAT_0X45360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAACGVTKFNESTQPLVLVSDDNHDSVSRKQKRTISNRESARKSRIRKKKHMEDLVGQVSQLVSENKCMAINLKDTTQMFVKMESDNLVLKAQLAELTHEFESLNEISNGFNLVMSDVDYENKAWCAW >cds-PLY88006.1 pep primary_assembly:Lsat_Salinas_v7:MU040244.1:1228206:1228768:-1 gene:gene-LSAT_0X1880 transcript:rna-gnl|WGS:NBSK|LSAT_0X1880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRCSPSKTGRLSQTDKTLDEIMGLGRQSMYVISQLSSQGIAPNSFSHCLAGGDGGGIVAFGTSVMANVVFTPLVKSAWHYNVNLESISVIVETLTIDHSVFALSDHQPGIIIDSGTTLVYITEEAYTPVVDAVNTTSNHNLTSGL >cds-PLY67550.1 pep primary_assembly:Lsat_Salinas_v7:3:54871364:54872068:1 gene:gene-LSAT_3X43421 transcript:rna-gnl|WGS:NBSK|LSAT_3X43421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKVKLAFISNYHARRTSLKKRKDSLKKKLNELCTLCDIEACAIMYSPNESHPDLWPSKEGVENVVDQFHKIPEMEQSKKMYNHDTYIKSRITKTEEQIKKHIKDNWESEMHNKMSECFSRERCISNLPITDLNDLVKFADQRVSEIESLIESLKSKPPAAVPPRDSQPLEPQTVVCGSNADNPGMAGGMAANGYVPVVENPGTFDAVETTDEWFSDWIDNMVDTFGSFSRG >cds-PLY65194.1 pep primary_assembly:Lsat_Salinas_v7:7:59994600:60002697:1 gene:gene-LSAT_7X45441 transcript:rna-gnl|WGS:NBSK|LSAT_7X45441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGQKIETGHTYIIHDVCMDYYGKRVISTSSDATIKIISVTTTISTATSHPLTTLTCHNGPVWQVAWAYPKFGSLLASHSDGILLQHILLGDSTTKGIEKFETDLKTMHPSLTECRVLKSKLELSVIQYAIGISSEAHTKKLADYVGLPCRIARGCKYCVEDHRSSCLVKIQNDKSTREYVMDLIGQPGNMYNPDSSINGDILSSVPSPFQSSHLKEVEQVYVDRASISQVKSIEGGGGVCEEESSVVESKEMRCVPVPVPVPIDRNYRVCEMIETPKAVKYSSSEQLSDIDQGKSTIRSNSFPVTAPRYLTLEPSLAMDWLEIAWDDLHIKERIGAGSFGTVHRAEWHGSDVAVKVLTVQDFQDDQLKEFLREVSIMKRVRHPNVVLFMGAVTVRPHFSIVTEYLPRGSLFRLIHRPTAGEIMDQRRRIRMALDVAKGINYLHCLNPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELVTMQQPWNGLSPAQVVGAVAFQNRKLTIPINTPPALTSLMESCWADDPAQRPTFKSIVNSLKKLLKSPAQMGPP >cds-PLY98168.1 pep primary_assembly:Lsat_Salinas_v7:1:140987927:140995326:1 gene:gene-LSAT_1X102140 transcript:rna-gnl|WGS:NBSK|LSAT_1X102140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSELCEGSSSSSSTQAHRYDVFLSFRGVDTRHGFTNHLYNALMHANISTFFDDEEIETGEDLKPELEYAIKSSRASVIVLSKNYATSTWCLDELVLILEQRMKSNHIVIPIFYHVEPTNVRKQQSSFGDAMAKHKQKMEVETNSTKRNQWVQKIELWNKALREVADLKGKDANGRQEVELIDEIVKDIFRRLCVSSRFPLPQLIGMENSIELVTSWLKDATSHTTDILTILGMGGIGKTSLAKYVYVLHSHEFDNSSFIADINRRCYTKYNGMIDVQKQLYNDISKPSSVQVHDVSVYTSMIENALARKKVFLVLDDIGSIDQLDALLGSKGFHPGSKILITTKDAWLTQSSLPFKTNIKPNYTEHKLRGLSTIEARKLLCFHAFMCNKPKAGYEEVSEKLVKYCDGHPMALKVLGRSLHNRDVTYWEGYIDTLKKENNSPINNVLRMSFDSLSSENDKDLFKHISCFFVGMDKNDTLTILEACDIETKTGITNLIDKCLLNIGWYNELTMHQLVQEMGRFVVREESLSCPWERSRLWGHESFRVLNQEMGTKNVLGLTLDMKILENKRIRGSLELKTDALSMMDRLMLLQLNYVQTNGSYEKFPKELRWLCMHGFPWKSIPSDLPMENVVALDMSHSNIESFGIFYSYPQRLHKRLKKLIGSCSDDKRLLGSLKILNLSFCEQLRRLGGFDHLPKLEKLILNCCTSLLEVCESIEECVELVHADLSYCNKLGKLPRIIGMLKKVNTLLLEGCALGESRIKVNNIGINSITSSSTIVGAMPSYSKFFAISLPRYLVSLSLENNNLSTESFPVDFSCLTMLKELHLDENPIVSLPFCVRSLPRLQILGMSNCNMLTTIENPPPTLKYLSLYFGSSCNRLLRKVVFDPQMSPLMFIFGSEMFSTSSFEFEGIVKIQPVADVEEKVLHCLGWTNLDFLIGMCVGAYLDDRREESEIQMYYEFGIFSTIYWSKEMPNWITDRSMGSSISFTIPSSPNNLTGLNCCCMVTSPFTEDVHLEVPLMKITNITKNLTWIYQHYVGSVLDVGGKSLMLLSHWMFGMNEMECGDHVTITVTIRENPDVIGNAVAKECGVSFVYDNESKDEEEDALGYYKSWNHIIGGDLTAFQTTTGEYILSKWRILAPYMDLGMCGDGTLFKDEQVHFRALSQRKSNILEDGP >cds-PLY78802.1 pep primary_assembly:Lsat_Salinas_v7:8:299898378:299902031:1 gene:gene-LSAT_8X166521 transcript:rna-gnl|WGS:NBSK|LSAT_8X166521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIIILTHLNNTPTTHSQPSTYSADRCSWYRQLPAFRGSPHLTGDCNECSVEYGGLGLGYLYHCIALEEISCASGSVRRTFRAHSNLCIDQLVRNGRATQKEKYLPKLISGDHVGALAMNEPNAGSDVVGTKCNVERVDGGYVLNGNKMWFTNGPTAQTLVVCAKTDVAARSKLVQAKLEKESLRELS >cds-PLY87187.1 pep primary_assembly:Lsat_Salinas_v7:5:153934754:153936295:-1 gene:gene-LSAT_5X67841 transcript:rna-gnl|WGS:NBSK|LSAT_5X67841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQRTPHTCRNPDLIRGVGKYSRSQMYHKRGLWAIKAKNGGKFPTHEKKPAEAAVAVKPPKFYPADDVKKPLVNKRKARPTKLRASITPGTVLIILAGRFKGKRVVFLKQLTSGLLLVTGPFKINGVPLRRVNQSYVIATSTKVDINGVNAEKFDDKYFSKKVEKKNKKGEGEFFEAEKEEKSQLPQEKKDDQKTVDAALIKSIEGVPELKSYLGARFSLKAGMKPHELVF >cds-PLY75840.1 pep primary_assembly:Lsat_Salinas_v7:9:195599287:195643275:1 gene:gene-LSAT_9X120041 transcript:rna-gnl|WGS:NBSK|LSAT_9X120041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKLPAAEAANVAIQSIGCGYDISLDLRLEHRKRRYDSDFGNNPYRNCRLIEIEEDEGRDIVLPGGLLLLNVPKSIKCHEGQHTRLHSDVLSFPQMSEQFNQELSLSGKIPSGLFNYVFDFSGNWKKDASSTKTLAFDGVFISLYTVALEESHMVLCDHVKKAVPSSWEPALLARFIEKYGTHVIVGVKMGGKDVIYMKQQHTSSLEPADVQKKLKEMADKRFLDSKQISQNDKYDITHLRSADLDTSSSYSYKEHLLSICRRRGGSDDRNLKHNEWLQTVQSEPDVITMSFVPISLLLKGVMGSGFLSHAINLYLRYKPPFEDLPQFLDFQLPTQWAPVFGLLSRGPQHEQRSVTSLQFSFFGTKLYVNTYPVDVGKRPVTGLRLSLNCERNNQLEIHLQHLSAVPKFVQLEDSVTEILNFDSHDHKDYEKVQAKNFDHVCTATVESEDEFAIVTGAQLHVGDHGSKKVLFLRLHFSKLHGCIANRNPEWEVSPVQKLDSSISFMEVVYARQFQVPHAPLHEPKFVGPTETMQKLLKFVDTTEMKRGPQDSPGYWVVIGARLVVDKGKISLRVKYALPQMLTR >cds-PLY97622.1 pep primary_assembly:Lsat_Salinas_v7:5:238490975:238493769:-1 gene:gene-LSAT_5X113081 transcript:rna-gnl|WGS:NBSK|LSAT_5X113081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTDEIIKEVPGLVRIFKGGRIQKLYVPNIVPAGTDPSSGVISKDVVISQEVNISARLYIPNPTKSNQKLPLLIFFHGGGFVIETTTSSMYHNFLNLVVSESNVVAVSVDYRLAPEFPLPIAYEDSWEAIKWVAEHVNGNGPEPWLNEYADLQNIFIAGDSAGGNIAHHMAIRVGSDTPSGLSFQGAILLHPYFWGKERVGDESDWIKPEMVAYLDGLWAFAHPGSSGPDDPLINPDMDPRITGMGCSKMLKGLIHKELCICEFHGSKRCQRFGRLTSRDKVQRNSTIRKHSQTPMQSSSQASPHLPTTRYTMECPQSIPPQISGSRDARTFAQVITGNSNKRTNPSFAPIELKPKTFMSIWMSKSVLIMDAHSLDHIGNLSTTILTSENTKYSRGLNIAIRFSHSDFAKEYLDDTNRWRDWFKWLVQSDQQEIKYERTASIKILGVPLNLWDESSFKPLPFDKVEEDLDDKNDDEDGVSDTWIQEKDNDEEEGEFRLDNPVPESNTTTDTTTTQKCNEYRGEIGGGFPIPDSLSVNHKATINAVAPTTVEKSHLISPKPHKSHQNHTLTL >cds-PLY62396.1 pep primary_assembly:Lsat_Salinas_v7:5:309729648:309732522:-1 gene:gene-LSAT_5X168661 transcript:rna-gnl|WGS:NBSK|LSAT_5X168661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQTPKDIKKLLILHLRSIIGYYRKKAVSRSVKAGLQFPVGRIGRFLKLGRYARRVGSGAPIYLAAVLEYLAAELLELAGNAARDNKKTRIIPRHLLLAIRNDDELGKLLGGVTIAHGGVLPNINPVLLPKKTAAKEPKSPSKATKSPKKDKKAE >cds-PLY68854.1 pep primary_assembly:Lsat_Salinas_v7:3:63611187:63612008:-1 gene:gene-LSAT_3X50740 transcript:rna-gnl|WGS:NBSK|LSAT_3X50740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENGPCPETFSVADFPCHSFLKKLYSIALENPRSYDKLRFNRQKPGCRLLVTQCLRNPIERVFSAYEFSIEVAARFLVHPNLTSILKMSSRIRSKNGGISTLDIWPWKYLVPWMREDLFTRLFMSY >cds-PLY88404.1 pep primary_assembly:Lsat_Salinas_v7:4:156477258:156485424:-1 gene:gene-LSAT_4X94760 transcript:rna-gnl|WGS:NBSK|LSAT_4X94760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGVALTTAIAIDKDKNSQHAVKWALENVVDNISCVVLLHVLTQTTLPDEAGIGKDGRPPTEAEMQQFFQPFSSLCALKGVRTKEVVLKDSDASNALILYVTRNAITHLVVGYRSRLTRAFKSSSDVASSLQRSLPDTCNLYAVSKSKNQKLKSAIQSPAPNTLSASTNGTQSSSEEVDLWSKSKSNSINGTIGFGRTPFARSIARRVGHDDFTAMAPRDSLLASRTTVPTDLHDFNAKSSCKILDKSRTSNVYISSLNTDALDDETLRVKLELKKSMDMYHSLCKEATNAKQKETEIYQQMPPEAAEAARAMLEVEKQKSKSAIDAAQKAQLLAELEAHKRRKAELKAEHEASERKKLIITSTKSNTQYRKYTIEDIEIATDYFSNTLKIGEGGYGPVFRGNVDHIFVAIKVLRPDISQGETQFQKEVEVLTCIRHPHMVLLMGACPEYGCLIYEYMENGSLEDRLFRKNNTPVLPWTLRFKICFEIAAALHFLHQTRPQPLVHRDLKPGNILLDRNFVSKISDVGLARLVPPEVADDGAQYHHMTAAAGTFCYIDPEYQQTGILGTKSDIYSFGVILLQVITAKSPMGLTHQVSKAIKEGKFVDVVDPAVADWPVEETMCLAKLGLQCCDMRKKGRPDLGSVILPQLKRLGDFGNSS >cds-PLY61828.1 pep primary_assembly:Lsat_Salinas_v7:6:59778085:59778417:1 gene:gene-LSAT_6X44101 transcript:rna-gnl|WGS:NBSK|LSAT_6X44101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRRQVASLSSAPPSIDHQPDLRLLTPPEPHAHYLNPTLLLPITDKFTGTGAIGLVCQVIGVVAVVRFSEGLSPILTALEVLDNRSD >cds-PLY79982.1 pep primary_assembly:Lsat_Salinas_v7:9:45921116:45922727:1 gene:gene-LSAT_9X42400 transcript:rna-gnl|WGS:NBSK|LSAT_9X42400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSTTTQAVDALIKWKNTQSESNNPQLLPQDDFIYLILTLKKIPQKGGINGVRTNPNKVPLPHPLLSATSFSELCLIVDDRPKSKLTSQIAKKKIKEDGISVTKVIKLSKLKTDYRPFEAKRKLCDSYEMFFADKRVIPLLPKLLGKQFFKKKKLPLPVDLSHKNWKEQIERACSSALLFFSTGTCCVVRVAKVSMERDEIIENVSAAIEGVIEFIPKKLGGVRSLHLKFSESVALPLYQSLPDIKLRIEGVKEKNVEQELIEVKESGKKKKSKKERIHEVNHDMEEDEDEDEGGEIENDDVEVKPTKKSAKEKKGAKESDKKKRKGNVVEVSDAITAPSSKKAKKKVEEVVVENKKVEEKKTPKKGKINEVKNDLNEDEGGEILNTEVKSGKSAKEKKRKGNVVEVNECNTTPSDKKAKKKGEKPNGESGKKKGGKSASRG >cds-PLY86398.1 pep primary_assembly:Lsat_Salinas_v7:4:233139115:233140114:-1 gene:gene-LSAT_4X126920 transcript:rna-gnl|WGS:NBSK|LSAT_4X126920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTKHTKIIHKKRSKKDNNGDQNNVSDPVTRLRMQSNALRSGALLQNLGAFLLELGRTTMTLRLGQSPSDAVVNVGHVVFISAARPNPIMVQPLPFQPSKSFGTTTHPPPPPQVVVTGLASGTSSFGSALRPRNIDIRIRTGSTSNFINNSSTANRK >cds-PLY80964.1 pep primary_assembly:Lsat_Salinas_v7:9:177463572:177465793:-1 gene:gene-LSAT_9X109060 transcript:rna-gnl|WGS:NBSK|LSAT_9X109060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSKKKRRHGNGGIEPEKENDGGGVADYELSREQRIKANLERMQKLGILELSRNLKPPPKPKTIRPPKRLPPSPTSQRRSSRIQTLPTVDYSEMRVPNSNQKVIKDVKIEIKEGSQPEVYTEEHEKALGDHKETWTLCVDGYDDEGNRMYDAYAGKSCHQCRQKTTGHRTKCCKCNTVQGQFCGDCLFMRYGENVLEAKENPEWVCPVCRDICNCSRCRRVKGWEPTGNLYRKVLRLGFKSVAHYLIHTRGPNGKQEDMEDLKTSDEEGDEVDDRKNVSSVKNINDHDGGDGDSDYKSDDDDDDDDNDNDDDDNEGEDDD >cds-PLY71348.1 pep primary_assembly:Lsat_Salinas_v7:7:185160179:185161687:-1 gene:gene-LSAT_7X111020 transcript:rna-gnl|WGS:NBSK|LSAT_7X111020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLHNWNFSSTSSLLLHSISQSNRIDCETNCIYSRRRKLKVSSTLSSLKIGTDVIAEIAHNKVLIAAAACAAVGQLTKPFTASILYRRDFDPKVALQAGGFPSTHSSAAVASAMSLGLERGFSDSIFGIAVVYACLTMYDAQGVRREVGVHARTLNKVLNRNQFEPSSIKPLSNPESSKSPKFEETNSCKSPEWHKETTLVVTPDKIENGAISGLLKEAIGHTEIEVAAGALLGLLGSLIVYSL >cds-PLY88392.1 pep primary_assembly:Lsat_Salinas_v7:5:62643762:62644682:-1 gene:gene-LSAT_5X29921 transcript:rna-gnl|WGS:NBSK|LSAT_5X29921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLVVLCYGRVTMELLLVKWIHLQGLNQHLVLERVEAACLRVGVEKGGAALGCRAENEKKKHVGSADKMVKGEKKKKNMKWVESSENPRSFANQEVLSHSQEEGLYLVCSRKKKDRCTTAN >cds-PLY87457.1 pep primary_assembly:Lsat_Salinas_v7:2:135732869:135734794:-1 gene:gene-LSAT_2X64181 transcript:rna-gnl|WGS:NBSK|LSAT_2X64181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CCX5 [Source:Projected from Arabidopsis thaliana (AT1G08960) UniProtKB/TrEMBL;Acc:A0A178W3U3] MAVFSFPKTASTSLIILLTLIFFFLLQFPSSNNQQGLPTTQIHRRSLLNTTTTTTCSHILQIPQDRRCSFSTLHCSAHSNGLLNYFSFHFCHFNQNPFLSVPFLSLTVVLQFYILVKTAQDQFSVVVTKLSTHLNLSPSMGAVTLLALGNGAPDVFASVAAVGGGNPRTGFGAILSAGTFVSALVVGFVAIYAAPFAVSPAPFIRDVLFYLTAALFLFYVYLSAEIYLWQAVGFVGFYLFFVGFVFWMDLGMDSGGKLKRGGSEVQDHKGSMEIDPENGSNSMDFTKKKTTSGIRQAFNKISKTWDVPVRVMLNLTIPQSSPSEWNRFYRSANIALCPLALMYSCKSFIPLNHPISFLFPNIHLPLWLILLFGTSSLSLVHYIVEKKPPKTEQLPIVLMGFIMSVCWISTMAGELLNCLAALGSLLEVPHSLLGLTVLAWGNSVGDLVTDVAVAKAGQPAMAMAGCFAGPMFNMLFGLGSALVIQTFNVYPEAYELEFHVSIVVAFVFLVLSLMGSLLVVTWCRFQVPRFWGFCLVGLYVFFITLSLLIAKLQF >cds-PLY70473.1 pep primary_assembly:Lsat_Salinas_v7:1:72127841:72133786:1 gene:gene-LSAT_1X61441 transcript:rna-gnl|WGS:NBSK|LSAT_1X61441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGRGGGGGGRGRGRGGGQPSQSHSQDAGGRGNAAPRVPPQTVASTVTQFGNLSVGSSTPSPSTAPAAARATAAGTVPQQPVMQQNPVQTPPAPVVSAQQPITKAAQPPQASSAAPTSSRIIKAPPRTGYGTLGRKCVIRANHFLVDLGEKDPYQYDVTITPEVISKTRSREILKLLSELYKQSHLGNMLLAYDGKKSAFAAGPLPFESKEFVVKLNESNGREKEFKVNIKFVSRKDLHHLRQFLSGRQHDNPQETIQALDVVLREAASINDRQIIGRSLFSAEFGKGVLGDGIEYWKGFYQSLRPTQMGLSLNIDMSARAFYETKLVTEFVGEFLGKDLMRPLSDQERIKVKRALRGVRVEVRRENYMRRYKVQALTVQPTNQLTFPVDDTGKTMSVVQYFREKYNIHLRYPHLPAIQAGSDAKPTYLPMEICRIAGGQRYALKLNEKQVTNFLRATCQRPLDREASIKNTMVSNNYNANPLVSQAFGLAVKEQLTMIDARVLPPPHLKYHGTGAGSEINPSVGQWNMMNLKMINGGTVNYWAIANFSRHREDAVGRFIHGLVTMCQNRGIVFNPQPLIPMYNSAPNYIEKALVEIHTQCTAQLQKVAPGNSLQLLFVILPDAKGTYPRIKRVCETELGIISQCCQPKNVMKLSNQYFENVAMKINVKVGGRNSVLAAALANRLPYITERPTIIFGADVTHPSPGEDSSPSIAAVVASMDWPQVTKYKALVSAQPHRQEIIEDLYSTTTDARRGVIHTGLIRELLISFKKSTGHKPHRIIFYRDGVSEGQFNEVLMSEMDKIRKACASLEEGYMPPVTFIVVQKRHHTRFFPMKHGDKASTDRSGNILPGTVVDTKICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENKFTPDGLQNLTNCLCYTYQRCTRSVSIVPPAYYAHLAAFRARYYMEGAELSDSGSSHGGGAGGRATRDRVAEVRPVPVIHENVKSVMFYC >cds-PLY78553.1 pep primary_assembly:Lsat_Salinas_v7:1:102369066:102369874:-1 gene:gene-LSAT_1X84360 transcript:rna-gnl|WGS:NBSK|LSAT_1X84360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQNLSLPETKLENPNSPHRDWLDKFIEYKLRGSSIEIRNTLLVVVILITTATYQTSLSPPGGLWGDTGNSTLPQLADDGTPTIKHHYAGEAIMGTHRNQAPYAVFVITNSLGFYMSVYMIYMLTVDFPLMRELQISMIVLIVNHANCIFAIVPSDYKMLRITCVVIFGIFGILILLLGFRFLGRRSKWFK >cds-PLY70452.1 pep primary_assembly:Lsat_Salinas_v7:1:71329790:71331367:1 gene:gene-LSAT_1X61840 transcript:rna-gnl|WGS:NBSK|LSAT_1X61840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSVEDVEELMQHIQSRLPVEEAARTSVLSKSWLHAWSTIPTLRFHVDETKHLNMVDCTLSRYLRDNIPIERLELDVDINDVESSSLAEKWIRSVVASSSLKELSLSVSLTGASLTLPDEILSAARNLTKLRVTSASRQGVHSVWMKSNSIMNCVCVSLREVVLSGVRLSQEVLDAIFSSCSFLEKIDIYLDKQEEGRGRSIIKIKNLARLHEIRIYSHDGDSTDLEISGVPNLRLFRCNLFFGQFGRPDPRPFSAYSISLGSSVTELWLGGLITDESSLEMIKSGFPFLESLTLDMRCWTLGIFHFTCESLKTLSLMWSSPRRQIIDIQIYAPKLLDFIFVGYTLPSFKFPNSTLLVQTKFVLTLDTPLDASFFLKMREALTLSAKCHVSITIKNYKPSLDIDLVDLRTRLLFPPANNVQQLEFQMVEAEDECRTPFFDAFFEICHPKHVFAKPEVASGHTNHFFQLMLREVLEKKKTTRRNPTPYWPSYLKHVRIRRHHRWETLTNSHRTFLDEPTDFKLNWR >cds-PLY69498.1 pep primary_assembly:Lsat_Salinas_v7:6:42329417:42330903:-1 gene:gene-LSAT_6X33220 transcript:rna-gnl|WGS:NBSK|LSAT_6X33220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNVYQHHGLRSLLSSSASLRRFSTESAPPTNQSSSTKPLFETPSSGLVYGKLVGITKNTLKSDVLSLFEESKLSSDDLKVDYNPSYSPTGMIVQFSSRSAYDAALRAVAKKGRLYRMERADRANWDYIQPYDGKYVLLQGIPPNANFEDIERFLAGCEYDASSIRLFFRQGASGPTRMALVRCVSPTAAMSAMMITNRGFCFNNQILVNVLQ >cds-PLY84666.1 pep primary_assembly:Lsat_Salinas_v7:2:151309277:151311626:1 gene:gene-LSAT_2X78361 transcript:rna-gnl|WGS:NBSK|LSAT_2X78361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGEEVKGANEGNPNSKDHDNQTNLQLLNEKGASRKGKSCKGCLYYSSTLKSNSRNPVCVGITRSLPKVPRYYVGESEMEASKEGRSLADFRYGCVGYSVYSDMKNQNVDSQDTQKELPVCVGVEVLVDRKVTNANSAPTHAHAHNHNKDNAIPQPRVNRPAAQSTSSDDFFSRFTRNANVVASGVAKNVRKVGNQIKESVDDILYPYRRRPK >cds-PLY90979.1 pep primary_assembly:Lsat_Salinas_v7:5:287464275:287466128:1 gene:gene-LSAT_5X151640 transcript:rna-gnl|WGS:NBSK|LSAT_5X151640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMFEERQNQPKNAEKRIKYQEITEKGDEDGISALPDGLLLEILSRLPYTKDAIRTGKLSKRWENLWNWVPTLIFTHLDHHRRCECFQNPNSIYDFARLVEKTLTQCRQLKLKKFKLHSYYAIRFESQFNNWIRHAISCNVEELDLEFLYRDRDAEFRLDQSIFNNSCFTDLKLAGCMLNPSGAISWKNLKSLYISYLMLDEDLIENILSGSPLLETLVLEDFDGYRGIEISSYDESEDDIIKINAPQLKKLKKFEAASSEFDIKLELQLNSWICYVIRCNVKEIILKLSTNKFESESDFKLDQTLFTCSCLTDLTLDLCTCMVIPAGVISWKNLRNLCISYGSLDEELIENILSGSPVLETLELDLCYGYRRLNITSKSLKNLVISKYWNYLNSYDVSTSDADLDGYLDYCIGSEAHIDMKSMLLIFNH >cds-PLY97442.1 pep primary_assembly:Lsat_Salinas_v7:4:16815198:16816473:1 gene:gene-LSAT_4X10361 transcript:rna-gnl|WGS:NBSK|LSAT_4X10361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPAHTLNLCGVLSESKRIVNAHSRHFLALSVLFLLPLSCSIVIYPTLYLSNPRDHFVSSVGDYTFKSPETLIFPLIYTIVVYLLSTCALATITHSTYHGFYGRPVKFFPSLKSIIFSFFPLVLTTIAAKFFLFLISLSFFMFAFVIVKLAENLGFTVDYNSSNFLWFCAFLAVALGLVMLYFQVIWGLAPVIAVTESKWGFEPLWRSSYLVNGMKSVSLSLILVFGVLIGVWVWMTTNSVLGFAIVEDWNIWDFVLQTIISSSFLSFLTIFLLHYTAANTVLYMYCKALHGELAIEIAEEFAREYISLPFDEDKIPHIVTVVSV >cds-PLY96904.1 pep primary_assembly:Lsat_Salinas_v7:4:285199293:285199634:1 gene:gene-LSAT_4X145121 transcript:rna-gnl|WGS:NBSK|LSAT_4X145121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINKLISFVCSYFGSQTAHPPESPGTHGLGVEDKSCCVCLLRLEKARDEERRVLVCGHEFHKVCVDKWFDECRKTCPVCRFSFEDEEMKTRKSQELTAEMAIWFSSFHVAGYI >cds-PLY88438.1 pep primary_assembly:Lsat_Salinas_v7:8:88279948:88297806:-1 gene:gene-LSAT_8X61421 transcript:rna-gnl|WGS:NBSK|LSAT_8X61421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFVVGLIIGIAIGFALIIGFVRSENGRSKRRFALAASELIKANVEPILEQYRPIVLSSLTFSKLTLGTVAPQFTGVCVIEDESDGITLELEMNWDGNPSIILDVKTRLGLGLPVQVKDIAFTGVFRLIFKPLVNEFPCFGAVSFSLRKKTKMDFTLKVIGGDISAIPGVADALESTIHDAVEDSITWPVRKVIPILPGDYSDLELKPMGILEVKLVQAHGLTNKDLIGKSDPFAKLYIRPLRSKMKTSKVIDNDLNPVWNEHFEFVVEDISTQHLTVKIYDDDGIQASELIGCGQVKLSELNPGKVKDVWLKLVKDLEIHRDNKDRGKVHLELLYYPHGVGNEFINPFNSTYSMTSLEKVLEDGSGGENGNFVDNQRKMVITRGVLSVTVISAEDLPPADLMGKADPFVVLTMKKTGTKNKTRVVNENLNPIWNQTFDFVVEDGLHDMLIVEVWDHDTFGKFLTRLNSYSIWFGFDVDLDLKHMGTLEVKLIQAQGLTNKDLIGKSDPFAKLYTRNLHSMMQTSKVIDNHLNPIWNEHFEFVVEDTSTQHLTIEVHDEDKLQTSELIGCAQVKLSELEPGNVKDVWLKLVKNLESYRDNEDQGKVHLELLYCPDGVINPFSSNFSMTFLEKVPKDTSGVENGDEKRMIITKGVLCVTVISAEDLPSADLMGKADPFVVLTMKKTGTKNKTRVINEDLNPIWNESFEFVVEEGLHDLLIAEVWDHDTFGKDYMGRCILTLTRVILEGEYEDSIQVEGAKSGKLNLNLKCKKIYFDDIGETEQIVKDFVEATSDFDIGLYQQILQSNVDGVNDPHMHDVNEP >cds-PLY87118.1 pep primary_assembly:Lsat_Salinas_v7:5:259065769:259067156:1 gene:gene-LSAT_5X129340 transcript:rna-gnl|WGS:NBSK|LSAT_5X129340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGEYIQLPVIDFSLLNYRDPNTRVWESVKTEVFQALQEYGCFQAIVSGVSPELQNSVYDAMKELFDLPFETKSKNTASRMFHGFIGNTPILPLYESMGIDEPYIEKQVENFTNLMWPQGNAQVWRLHVPYHRVFIRENKTRFSLGLFALPKVGKLMKTMEEMVDEEHPLSFKSFDYDEFIKFLFRGGQGKEKYAVKAYCSVLN >cds-PLY68376.1 pep primary_assembly:Lsat_Salinas_v7:7:778753:781551:1 gene:gene-LSAT_7X201 transcript:rna-gnl|WGS:NBSK|LSAT_7X201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRLFRSKSCGRATRPRFQASFPNQIFDEQLEEQEDEDDVDYFYEDYSHCHNNPITTPFIGPDQHQDQGQSTNFTILALLLTTLRKSLVTCTVDDSDDASSSTSSLDIGCPTDVQHLSHVTFDRFNGFLGLPDELQPDIPRKPPSASVHVFGVSAESMQCSYDERGNSVPTILLMMQKSLYEGGGLQAEGIFRINAENGQEEEVRKQLNRGFIPHGIDVHCLAGLIKAWFRELPRGVLDSLTPDQVMQCNTEQECTRLVKSLPQTEAALVDWAINLMADVAKYEPENKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLVIKTLRGREETYGEFEVMSSPPANRIGNEKEEKFLSFPRKSGSVVGCEFICGERSPSHKLAVGSNNHESKQEGEEVLVVEGILERLSLRKGVRRLCRHPVFQLSKSTKKNRSTIVVGGGEALASS >cds-PLY93719.1 pep primary_assembly:Lsat_Salinas_v7:2:201557718:201559875:-1 gene:gene-LSAT_2X122641 transcript:rna-gnl|WGS:NBSK|LSAT_2X122641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Sedoheptulose-1,7-bisphosphatase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G55800) UniProtKB/Swiss-Prot;Acc:P46283] METGVACFARATILPSVASQHTTSSFASPRTISPSFTTRSLKSSSLFGEALRVMPRSSLKVSKSQNSSVVTKCEIGESLEDFLSKATPDKGLIRLLTCMGEAIRTIAFKVRTASCGGTACVNSFGDEQLAVDMLADKLLFDALTHSHFCKYACSEEVPELQDMGGPVEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGGDQVAAAMGIYGPRTTYVIAIKGFPGTHEFLLLDEGKWQHVKETTEISEGKMFSPGNLRATFDNPDYDKLINYYVKEKYTLRYTGGMVPDVNQIIVKEKGVFTNVISPTTKAKLRLLFEVAPLGLLIENAGGYSSDGTQSVLDKVIVNLDDRTQVAYGSLNEIIRFEETLYGSSRLKSGVPVGASA >cds-PLY95602.1 pep primary_assembly:Lsat_Salinas_v7:6:137995823:137998823:-1 gene:gene-LSAT_6X83520 transcript:rna-gnl|WGS:NBSK|LSAT_6X83520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGIVLAIVLIGCLSAISIAKEEANKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAPINAERTIFDVKRLIGRKFEDKEVQRDMKLVPYKLVNKDGKPYIQVKLSGGETKVFSPEEISAMILTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLQKSQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAFGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTVVSIKVYEGERSMTKDCRLLGTFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKASGKSEKITITNEKGRLSQEEIERMVREAEEFAEEDKKVKEKIDARNALETYVYNMKNQIGDKDKLADKLESDEKEKIESATKEALEWLDENQSAEKEEYDEKLKEVEAVCNPIITAVYQRSGGAPGGGAESTEDDDEHDEL >cds-PLY67251.1 pep primary_assembly:Lsat_Salinas_v7:6:134185577:134186807:1 gene:gene-LSAT_6X82860 transcript:rna-gnl|WGS:NBSK|LSAT_6X82860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNTKRLLLSDLVSSDKIDQVPSHYIRPISQRPNLQNVYHDHTIPLIDLHDLYTPNRSHVVHQIGRACRDYGFFQVKNHGVPESTIANMMNIAREFFKLPEEERLKTYSDDPTKTTRLSTSFNIRTEKVANWRDFLRLHCHPLQDYIHEWPTNPESFRDHVAEYCRSARGLALVLIEAISESLGLERDYINRQLGNHGQHMALNYYPPCPQPDLTYGLPGHTDLNLITILLQDEVPGLQVLRNGHWVAVDPVPNTFIINIGDQIQVLSNDRYKSVLHRAVVNCEKERISIPTFYCPSRDAVIGPASELVSEDEPAVYRQFTYGEYYDKFWNRGLATENCLDMFTVTSSSS >cds-PLY75083.1 pep primary_assembly:Lsat_Salinas_v7:2:94732320:94732574:1 gene:gene-LSAT_2X42101 transcript:rna-gnl|WGS:NBSK|LSAT_2X42101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPVFSQLDVHFQGMFTRNPIRYTGGITQRFSDIDFAGMDKDGCVVFIERFTKEKCEKLYYCQPDIDFPKGLNLICNDPDFTTS >cds-PLY63725.1 pep primary_assembly:Lsat_Salinas_v7:9:85937855:85939976:-1 gene:gene-LSAT_9X68001 transcript:rna-gnl|WGS:NBSK|LSAT_9X68001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCEMNRVGDDELQLIDFSEEDDYLIAFPFRDSLEDLRLSVTYDNLNECDRIKLFESINSQVVERIDQAQFESMEPRRPSFLRKSLAWDNAFFTSAGVLNLEELFMINKGFKKPESNHQTPRNKVTLKNQTSSGINQSPLLFNHHLLHILYKNRGFKYVSFSASKKSNICSQNKVKPVSGASKLVSSKHTNKASVEESESYSMKTKHKSRKAGLGTDLNVSKRSRWGDLSGLPKSTSFTSQKDLKADSSYESSSSASSSSKSSRKEINHKNTKPTSSTSPCSSIEGWLSSSSSNTPEYATTSSMETLLSSGPKRKIKSSGLRMPSPKIGFFDEGQPFKGSMQEKQSPLQSHNRNRLNKNKLQLSNLSPSVTPENCSKVRKAFNDGRKSGVSFSKLKVDVQIQKEISNKRMHIEEKKQKDEAMIDMKQKQDESDQKENVFSFEDQVNGLTKCLEVIDLDRDVGPEVKGQLSRTPLAEKVYGKH >cds-PLY83278.1 pep primary_assembly:Lsat_Salinas_v7:8:192522944:192523756:1 gene:gene-LSAT_8X123701 transcript:rna-gnl|WGS:NBSK|LSAT_8X123701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAGVCVAAADLGGNVLTEINGNPLGVLARQLGFPLHKVRDICPLYLPNGRTVNPEIDSKVEVSFNKLLDSVCKLRQSMMEEAKSIGVPLGTALEAFRQVYRVAEDPQEKILLDWLLANLAYANATLISNLSMVFWDQDDPFEMGGDHCFILGGNDLFIRALSENLPIFYNQTVEHIKYGSNGVSISANGQDYHADMVLCTVPLGVLKKKSIEFIPDLPERKKDAIDRLGFGLLNKVAIFFPYDFWGGEIDTFGHLSNKSSMKGEFFLFY >cds-PLY74378.1 pep primary_assembly:Lsat_Salinas_v7:6:154574598:154574918:1 gene:gene-LSAT_6X93640 transcript:rna-gnl|WGS:NBSK|LSAT_6X93640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLHFLPTEATTENLSSGEIGSCSQIAALLSLSSRSANPSFLLSQIHKPCKSTFLMRRRYANTPLGGDDEGSGGDKEVRNAAGVKKFVDVGEDTGDGRYGEQPSKI >cds-PLY95473.1 pep primary_assembly:Lsat_Salinas_v7:7:22251855:22253895:1 gene:gene-LSAT_7X17060 transcript:rna-gnl|WGS:NBSK|LSAT_7X17060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIISKLANELAEILAEMLGQKSDFFKENCVPSMCYIRMSRYPACPFSPEVFGLMPHTDSDFLTILHQDHIGGLQVLKDGKWISVNPKQKTLIVIVGDLFQAWSNGVFKSVEHRVIANKQFERFSTAYFLCPSFETMIESSEKSLIYKRFSFREFRQQVQDDVKRHGHKIGLSRFIL >cds-PLY93832.1 pep primary_assembly:Lsat_Salinas_v7:6:142941039:142947291:1 gene:gene-LSAT_6X85200 transcript:rna-gnl|WGS:NBSK|LSAT_6X85200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTCKCGNEAMKITSWTDRNPGRQFWNCTRCGFLRWSDPPMCARALVMISVATVTPKKVNDDGFEKKEEMKKEEVDPIVTFSKPPPMPPVLGPLVLFSLWETWSTPDDK >cds-PLY91499.1 pep primary_assembly:Lsat_Salinas_v7:7:141282786:141284193:1 gene:gene-LSAT_7X84560 transcript:rna-gnl|WGS:NBSK|LSAT_7X84560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLKMFKADLYPFLASQSCFRRNERRGSTGNIRIVKFSPNLVINRRFRISCRIQDADDNTKTNGEEPPESLFMKELKRRGITPTSLLDESWTASINDEIRYNEEDGSFSTRKTVSTDLDKSLFNQREQSMALNSEGLEGLIPRAKVLLSLGGTFFLAFWPLILLTIGSFTAIYLYFGPKFVHDGTTKQIQLPQYIDPYDLLEDERISQTSPMLN >cds-PLY83669.1 pep primary_assembly:Lsat_Salinas_v7:4:43581926:43582210:-1 gene:gene-LSAT_4X30161 transcript:rna-gnl|WGS:NBSK|LSAT_4X30161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVKTSVSRFRSLVQELTGRDSDISRYNVDRNCFDPVAPALTPTTSPQGEKAVESCDEKGMHFTGSESLLDDVFGVEQLEGMFPSYSLYESWLQ >cds-PLY96066.1 pep primary_assembly:Lsat_Salinas_v7:8:22514959:22517349:1 gene:gene-LSAT_8X16120 transcript:rna-gnl|WGS:NBSK|LSAT_8X16120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHFRKGKRSSSTQYDMEEEQEQEQENNSTDETSRLKIKEDYISKLPYEVLAQILSIYPLDSGSKAVAIFTGLWNRPCIQHKGRTVKLQDFESIISSFLVNFDENNPLKKPRKLEFHFDRGSIVTASIGLNKKLNLDFSKGKQEFPRQFGWEIVLNTLDFAQPSPYPFSVKTLRLTSVNYLSCELVSSLINKFRYVETLIIDKCNGLRSLKVEGLAKLTNLIVRDCVDLKSVFIQTLELKTLRYGGPLCWFSLKNVMYLEDVMLDFECPGFIHLNHHLYNPLLRAIRDVKVLTFHGWMFKDVFGPLLFSKQNEEHFRFSKLEDLWWVDSCMEDHNINWLFCFLKFCTSLKRLFITIDPRSYSTPSAGDNERPIKVQKGQLRKLKMVKLEGFKEEEDAMLLKERMLEVFGAEPRVVDVSQGMHTRCLIRIPKRQAFGKEKESKKVKFHYKFVEEVEGNGGLCSKHPHMP >cds-PLY93204.1 pep primary_assembly:Lsat_Salinas_v7:8:109413079:109414676:-1 gene:gene-LSAT_8X75100 transcript:rna-gnl|WGS:NBSK|LSAT_8X75100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNLVGRNLTFSVFKTTSVHRLFPRTSYSSQAASDNSFTVSYLIDSCGFPPDKAISASKCLSIQTPDRADSVIAFFKKQGFTETQISHLVRKFPRALACNPQINLFPKFEFLRSVGLSDSDIVKILIARPKFLRKSLKNSIEPTFNLLRDLLQSNEKTLVAIRRCAWVLDLDSRANVIPNMQLLRDVGVPGSKMLYVLTYHPRDISDTKEQFKKAVEEVVEMGVDPLKTNFMSAVHALRSISKSNLEKKMGTYEKWGLSKAQILLAFRTNPWCMMKSEEKINKVMDYLVNKMGFEASIVANNSVLISLSMKKRIIPRCLVYQYCLDNGLIEGTNECGFCWWLKSSDNIFMKRLERYETKAPGVLQFYREKLDHVN >cds-PLY79076.1 pep primary_assembly:Lsat_Salinas_v7:3:10096107:10098201:-1 gene:gene-LSAT_3X6681 transcript:rna-gnl|WGS:NBSK|LSAT_3X6681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTWISIFTGKSGFAASSTAEDVTKGIDGTGLTAIVTGATSGIGLETTRVLALRGVHVIMPVRTLESGKKVKESIVEKIPNAKIDVMELDISSLESVRQFASQYCSKGYPLNILILNAGIMTPPFSLSKDNIELQFATNHVGNFLLTNLLLDTMKKTATESGKEGRIVILSSEIHRMTYKEGIRFDKINDENSYSAFSAYGQSKLANALHAKELTRRFQEEGVNITANCLHPGIIATGLARHGGFNAFFYGVFNRFLKNIPQGAATTCYVALNPQVKGVSGEYFADSNLGKASKHAQDPELAKKLWDFSLNLTKSK >cds-PLY99784.1 pep primary_assembly:Lsat_Salinas_v7:9:53374541:53378553:1 gene:gene-LSAT_0X7441 transcript:rna-gnl|WGS:NBSK|LSAT_0X7441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASALAGDDLMRSMSRNMSRNMSRNMSRRGSLASRSTRGWGAASIREVFTTPGPDVFQKSGREDDEDELMWAAIERLPTYDRLRKGFLTQVLDDGKVVREEIDVANLGPEERQNLMKNIQERIDNDNERLLERLRDRIDRVGIEVPRIEIRYEDLSIEGDAFVGSRALPTLLNSTINSIEAMQMLIVMDVLGFMRLFPNKRRVVKILHQVSGIVTPSRMTLLLGPPGSGKTTLLKALAGKLESDMRVTGKVTYCGHEMDEFVPQRTCSYISQHDLHHGEMTVRETMNFSGRCLGVGTRYEMLAELSRREKAEGIKPDAELDAFMKSIAVSGQESSLVTEYVLRLLGLDICSDTMVGDEMRRGISGGQKKRVTTGEMLVGPTKVFLMDEISTGLDSSTTFQIVKYMRQMVHIMDITMIISLLQPAPETFELFDDIILLSEGQVVYQGPRKNVLEFFEHVGFKCPERKGVADFLQEVTSRKDQEQYWFNKSIAYRYVSVDDFVHFFRNFHTGLKISAVLHVPYDKSKAHPAALVTNKYGISNQELFKACLSREWLLMKRNAFVYIFKTTQITIMALFTFTMFFRTTMKHGDIDDGGKYYGALFFSLINVMFNGMAELALTIFRLPVFYKQRDSLFYPAWAFALPIWLLRLPLSLMESGIWILLTYYTIGFAPSASCFFRQLLAYLGIHQMALGLFRFIAALGRTQVVANTLGTFTLLLVFVLGGFIIAKDDLQPWMKWAYYLSPMSYGQNAIVLVEFLDKRWSAPNFDSMYRESTVGKELLKARGMFTEGHWYWICVLALFGFSLVFNVCFVLALTFLTPMADSKSVTDDDDAKKKKLASLATKSESKKGMVLPFKPLSLAFDHVNYYVDMPAVTMADSKSVTDDDDAKKKKLASLATKSESKKGMVLPFKPLSLAFDHVNYYVDMPAVSKM >cds-PLY86263.1 pep primary_assembly:Lsat_Salinas_v7:8:58225632:58228286:1 gene:gene-LSAT_8X41541 transcript:rna-gnl|WGS:NBSK|LSAT_8X41541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPQLIIIFLSVFLVISASTNKFVKSLFVFGDSLFDPGNNRFVKNCAAQANFPPYGSNFFGKPTGRFTNAQFLGIELKNHSKRYIKSSETAVGKIFRPMESTSPAVEVEFCRGNTNPSIATTTQNPN >cds-PLY98067.1 pep primary_assembly:Lsat_Salinas_v7:4:79703151:79706980:-1 gene:gene-LSAT_4X52360 transcript:rna-gnl|WGS:NBSK|LSAT_4X52360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAVNQFAECVTCHAWSPDHSMIALCPNNHEVHIYKSVEENWERIHVLQKHDQIVAGIDWSKSSNRIVTVSHDRNSYVWNQEGSVWVPTLVILRLNRAALCVQWSPKGNKFAVGSGAKTVCICYYEQENNWWVSKLIRKKHDSSVTSVAWHPNNVLIATTSTDGKCRVFSTFIKGVDAGKPRKSGSGSSLDAKFGEQIVQLDLSFCWAFGVKWSPSGNSLAYVGHNSMIYFVDHIGPSPSAQSVVLRDLPLRDVMFVSEKLVIGVGYDCNPMVFAADGIGSWSFVRFLDEKKSASSSARYGSQLTEAFGKFYGSSKQGTSNDKSRGGVHDNCINCIVPFKTSSGSNKMTSFSTSGLDGKVVIWDLKNQEDLLEYM >cds-PLY67362.1 pep primary_assembly:Lsat_Salinas_v7:4:60293222:60293458:1 gene:gene-LSAT_4X40261 transcript:rna-gnl|WGS:NBSK|LSAT_4X40261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNALVAEVALQTQHIAHSGGDPKTNDWIALFEKVLGAQRGHVRGIGPKPSIAGTSVPTEWQSQSQTPQPTQILINLL >cds-PLY77926.1 pep primary_assembly:Lsat_Salinas_v7:1:24862137:24863090:1 gene:gene-LSAT_1X21240 transcript:rna-gnl|WGS:NBSK|LSAT_1X21240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCQTFISDIDATVDSTPADDSIIGFDLLYLISYKKVTPFRVCLGELPPRSFDGAIATEVDSGSSPSKRELKLEKLNSLIQSPEMIVQGKKLLFQARLGEIDILSPFEFVDHLDSVQNPLASSIYDFYVLIETTGSNESNDK >cds-PLY81061.1 pep primary_assembly:Lsat_Salinas_v7:6:130689188:130689868:-1 gene:gene-LSAT_6X79480 transcript:rna-gnl|WGS:NBSK|LSAT_6X79480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVKFGATIIPLGFVGEDDILELIIDYNDMKRIPFLDQLMNEFNEGRINLREGMSGEIAKQPFHTPVVLPKLPGRLYFMFGKPIQTKGKENIVNDKDYLQELYMQIKFDVEKNVTYLLKKREGDPYRSIVQRLLWEMKYGDLDRIPSFDP >cds-PLY83185.1 pep primary_assembly:Lsat_Salinas_v7:4:287915356:287916610:1 gene:gene-LSAT_4X146600 transcript:rna-gnl|WGS:NBSK|LSAT_4X146600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEGLVVRGNIEIDMRRPFKSVKEAVMLFGEKVLAGEVYAGQQIKQAESFVDQSNVNQYRTKVGAIAAELEETKQTLEKAKEEDTCMAYYLASLKQELEETKSELKQLKSRKETFHPKQPSPVDKEIEEIKFIERAEVNHPEIEESSDEDDYLGFENNRSVKFASPPSLTKVMIEAPKQQETSPSSLKKKAQKKTLIPSLSGIFSRKKGGQSTRTPKSFK >cds-PLY80070.1 pep primary_assembly:Lsat_Salinas_v7:4:349245500:349252128:-1 gene:gene-LSAT_4X172061 transcript:rna-gnl|WGS:NBSK|LSAT_4X172061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKTQPKDVASQLSIPRNGDKNGIRTVARMEVIMTSPVQECLMMENGGGDDSAKLTKSKKRRQKKKVKLSAENSDSKRVLPHFYIISQSSLASFDQKQAASVPTSGDANTGSKREDCMETSSNKMISDKIATPDAKIVAKGEEGTTATDNTVSKKRKRLRKKKNQSSNDMVTVEKDLSKTATDDVKMVSKGENHMETSSNKMISDKTATPDAKTVSKGEDETKVANGEMVSGTTPTDNTMPKKKKKKKNQEASNDMVTNGEMVSDTTGTDDVKMVSKGEEDTKVVNGEMGSGTTATDNTVSKKRKRPRKKKNQEASNDMVTVEKDLSKTATDDVKMVSKGEETGTDVVKMVSDTTATDNTVPKKKKSRKKKKKNQEASNDMVNVEKDSTKTETNDAKMVSKGENHTKVTNANKGLIKTTKSYKNGRVTEQKIHRSTERGQNKDKQEGKKHEVCYASMGAKRVKMESVIQTGKEKLSGTTKPGKKLLIFDINGLLADIVSPRPQDVQADYYIPKRAIFKRPYLDGFLRFCFERFNVGIWSSRTKQVLYPVVDFLLGDLKKNLLFIWDNSFCTNTGMKTLEDKYKPMVFKDLRKIWLKWLDGTFHESNTLLLDDSPYKALLNPKNTAIFPLSYSYKDRNDDFLGPNGDLRKYLEVLADTEDVKTYVEQHPFGQSAIDQTHPSWAFYAKALKSQK >cds-PLY90544.1 pep primary_assembly:Lsat_Salinas_v7:6:55178276:55190742:-1 gene:gene-LSAT_6X39380 transcript:rna-gnl|WGS:NBSK|LSAT_6X39380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGDNRRRADRKDTRISKKQKLILSTEEKLETKLGFDLFTEGEKRLGWLLTFSTSSWEDQDTQREYSCVDLYFVCQDGSTFKAKYKFRPYFYAATKDKMEMDVDAYLRRRYEGKIADIQIVEKEDLDLKNHLSGLRKTYLKISFDTVQQLMDVKRDLMHVVERNQEKFNASEAYESIMSGKSKERIQDFIDCISDLREYDVPYHVRFAIDNDVRSGLWYDVSVSSDGIKLERRHDLLQRAEVHVCAFDIETTKLPLKFPDAEYDLVMMISYMVDGKGYLIINRECVGEDIEDLEYTPKAEFEGYFKVTNVKNEEELIKSWFAHMAEVKPGIYVTYNGDFFDWPFMERRAAHHGLIMKDELGFQCDTVQGECRAKFACHLDCFAWVKRDSYLPQGSHGLKAVTKAKLGYDPLEVNPEDMVRFAMEKPQMMASYSVSDAVSTYYLYMTYVHPFIFSLATIIPMPPDEVLRKGSGTLCEMLLMVEAYVANVVCPNKHQSEAEKFHNGRLLESETYIGGHVECLETGVFRSDLPTSFKLDSSAFTQLIENLDRDLQYAIKVEGKMDIETVSNYDEVKDAITEKLASLRDEPTREECPLIYHLDVAAMYPNIILTNRLQPPSIVTDEVCTACDFNRPGKTCLRKLEWKWRGETYTAKRSDYYHLKRQIESEVVAVDGFKSKSFLELPKVDQQLKLKDRLKKYCQKAYKRVLEKPTTETREAGICMRENAFYVDTLSEAKASGNPIKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNAHELVKKIGKPLELDTDGIWCVLPGSFPENFTFKFRDSKKKFTISYPCVMLNVDVARNNTNDQYQTLKDPINRTYTTHSECSIEFEVDGPYKAMILPASKEENKLIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLHGSTLEECYSAVASVANRWLDLLDNQGNDIADSELLDYISESSTMSKSLVDYGVQKSCAVTTAKRLADFLGDTMVKDKGLRCQYVVACEPKGTPVSERAVPVAIFETDPEIMKFYVKKWCKISSDIGIRSIIDWEYYKQRLSSAIQKTITIPAAMQKVSNPVPRVVHPPWLHKKVREKDDKMRQRKLNVMFSSMKKANEEEVRNDTNGKEHVLQEQEVVDLEDFGSKGKSSNSTPRPVVRSYENNGKTSSSKEKVDHGQSMNPVVDIPVEDNIDSHVDYQGWLNQRKRKWKQVREKKKKQKLDSLDKNTQRNGVTEIRSGVSNKKQAQGRTGVNSYFERHELALTRSHWQIIQLVPSSELGQFFAWAVVDGMMHKIGIKVPRVFYLNSKAPVTEDFPGRRVNKILPHGHHSHNLIEVIVDEDQFKTESRKLAAHLADPEVEGIYETKVGLDFSSILQIGCVCKVDKSAKKRNAKEGWNLSELHMKTTTECSYLESPIPFFYLYHSISEVRGIYVVYFPSSSVIHAVIVNPFQNKELTPNILDKQFREACQALSVESSMSRGSSNFKVEYVGTPKDAERIFQRTISEFRDEVHGPAIGVIECPDVKLMKLSIRALDDFPCVSIPSNARDCHYPTLAWQSFAAKIGMQRCAASPRWLNERISLSRYSHIPLGNFELDWLMHTADIFFARALRDHQQILWVSDNGIPDLGGDTEEETCYSDEVNQPVLIYPGAYRKVTVELKIHHLAVNALLKSNQINEMEGGTLFGFDHDLTSGSHLPNEQLGLDEATSCSSAFRVLKQLIQRCLADAVSSGNIFADAMLQHLYRWLCSPRSALHDPALHRMLHKVMQKVFALLLSEFRKLGATIIFANFSKVILDTGKSDLFAAQAYCDSLLKALQERDLFEWIELEPMQFWHSLLFMDQYNYGGLQAKLDQTDDNTYDEPQVELVSSWNIAENLPKETQDHFVFIVTEFMHLPWKFTQDETMKRAAIRNSDSCTPSITAAAAESFESCITEHLREQVNSYFTDRLLKIVRDLVLHTKGKGKSKEDEDNVYKGDPALEFIKHVCAVLVLDQNVQHDILIMRKNLLRYVRVREFAPEAQFQDCSFSFTLPNVICSYCNDCRDLDLCRDRGLLTQEWRCGVPQCGQPYNREVMENALLQIVRQRERLYHLQDLVCLKCKQIKAAHLAEYCGCAGSFCLKEEVTVFMKKMEVFLNIGIHQKFELLVECVSWILELKQV >cds-PLY63614.1 pep primary_assembly:Lsat_Salinas_v7:4:128762250:128762543:-1 gene:gene-LSAT_4X80760 transcript:rna-gnl|WGS:NBSK|LSAT_4X80760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMEHIEQKHEECLKLYVENFQYDVTKLRGIAKERRVLFIEQVKKVEESIKSEMSKEVEKVEHNYSSLHSKVDIIANTIKKLVEYYTLFSTKLDAKT >cds-PLY95709.1 pep primary_assembly:Lsat_Salinas_v7:2:111458659:111459720:1 gene:gene-LSAT_2X50780 transcript:rna-gnl|WGS:NBSK|LSAT_2X50780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGKVTKDENDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFAVGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWTFVALHGAFGLIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPRGNAL >cds-PLY67979.1 pep primary_assembly:Lsat_Salinas_v7:2:13154599:13156092:1 gene:gene-LSAT_2X6560 transcript:rna-gnl|WGS:NBSK|LSAT_2X6560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIHYLLKLRLIRLTLMLMTEAEADIPNAEAETLKKLVEREKEISDLEEKLKEKYVKKKRFSSVSVQTEDIHVECSSINSTYHPDEHHINSYTNKPHADKFHAEKSQVGHTPSVSPHTGTTLVQTNKPHAGKLQAEKVCTFKLPQASKIQAPSSTLNVRRSHAGKFPDVRPCLNNYFYRSNHQKKRMLSQTKPKKVWVPKKSIPEPTKMKIIWVPKRSSLQPETKQCREVRRKN >cds-PLY90018.1 pep primary_assembly:Lsat_Salinas_v7:5:120570996:120573401:-1 gene:gene-LSAT_5X52320 transcript:rna-gnl|WGS:NBSK|LSAT_5X52320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRVSLGIDEAEGGDGKEQRRKKKRWMRWGFVVDRNSRERRIRGEKGFVFLAGSIVINTRRRKKDSRTEQGKDECGYRGLQWCHGTDFPFPTSSDVSRETSALVNARVEWKETPEAHIYNGGDDEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIKGDVKISGYPKKQETFAHEVMDLVELNPLKDALVGLLGVNVDFKFNFLLPRRET >cds-PLY72971.1 pep primary_assembly:Lsat_Salinas_v7:9:123433821:123435098:1 gene:gene-LSAT_9X82681 transcript:rna-gnl|WGS:NBSK|LSAT_9X82681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQLIDQDYVLVSGPLGDTSFVASVSKVSQKAFKSEIPPVYSRVNIHSIPSVPLPIIGGTCSKIRLTGSFESQCSAPSGTFHGSVDIVDALEQPSIDSMARIKSLLSLTWSMRSPCCKAVKSQLQNVHGALMVSIVLGDSYLKQLWLKEVKEEVSNLQQQLYEKIMKERQVSNLFEE >cds-PLY61998.1 pep primary_assembly:Lsat_Salinas_v7:4:312789945:312791354:1 gene:gene-LSAT_4X157941 transcript:rna-gnl|WGS:NBSK|LSAT_4X157941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQPSSQQGLLQDLLPPTTETWPIFPNPQELGTMDTQLFPIQEDDLLLFTSSSSYLQLISSSMQPTFQSHYADESTYCFDTFTQDKGFMVPLMVEEEDDDGDGDGDQNAVCHDQIPTAFTMGSNGEKKGKSKKIEGQPSKNLMAERRRRKRLNDRLSMLRSVVPKISKMDRTSILGDTIDYMKELLEKIHKLKEQDIESDGDQLKLMGRFKEFNMKESQVRNPPKFDVERGNIDTQIHICCSTKPGLLLSTVNTLEALGLDIQECVISCFNDFFLEASCSEVPEHRSMITCEEMKQILFRNAGYGGRCL >cds-PLY91246.1 pep primary_assembly:Lsat_Salinas_v7:3:76061116:76061805:1 gene:gene-LSAT_3X59220 transcript:rna-gnl|WGS:NBSK|LSAT_3X59220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYPGRRRVYLLLLFIIFSVLQIWILSSDHCSIRSTTPPPPPPPPPPDSDAVKRSELHRKFFNGRFPHLSTDKNFQENKRVVPSCPDPLHN >cds-PLY87824.1 pep primary_assembly:Lsat_Salinas_v7:3:85727733:85730349:1 gene:gene-LSAT_3X65701 transcript:rna-gnl|WGS:NBSK|LSAT_3X65701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLSPNPPFSCGIMEIIRMLNDLFISTRKDAADVERDRLSSLPDELIHKILSFINIKDAISTSVLSSRWRFIWTSMPSLKFENLNNERYFSSFIYNVLSHRNNQVNYSVNLVLGRTVRDNESGTRILSCKFSHNLQQLSVTRLPGGNIVECPYSIIATPKWDLPALTTLHLHQVELSDYDDIGFFSKCTNLKNLSLNRCRMTETKVLNICLPGLSDLTLVSTPPDMELEEVVNVVTPQLKNLTIIRCEGEHLISAPGLTSLVIEGSQPWYVSTPSGFHSLEKVELFMYDPFKADIHRIVCLLQQLQSVKFLTLNLGILKRLFSQRKSLSSSMKLVPHKAFAFTNTKILKFTTKPVEKVYLEVQAQERVTTCTEVKNDDDVSPSAIFPMISCEEITAMEDMASAQVFVKQLGILVKECKENRNSDIDKARMDVHSKPYVEMHWAWELQWNLGTLMAVFRHEKINAKVDNFLMMAQIKQKYTNMHGCTACMIHPIITWLHEMRALFDRIEELITQLSASKRAMPPCSRLICWDGLRP >cds-PLY61758.1 pep primary_assembly:Lsat_Salinas_v7:5:302616617:302619723:-1 gene:gene-LSAT_5X164080 transcript:rna-gnl|WGS:NBSK|LSAT_5X164080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPIKIHLFFLLICFSVSSSLNSDGLSLLALKAAVTDDPTKSLTTWKETDLTPCRWTGVACNSDHRVTSIFLPNKNLTGYLPSELGAIVYLRHLSLSNNNFSKPIPDHLFNATNLLSIDLSHNYLTGPIPEKITTLKFLTILDLSSNFLNGSLPESLSNLTNLTGTLNLSYNQLSGEIPASYGLFQVMVSLDLRHNNLTGKIPLVGSLLNQGPTAFTGNPFLCGFPLETQCSDPEAQNPRVLSNPDTPKDPGSSVALPGKTNDSSGSVTVPLISGVSVVIGIMFFSMWVYRKKWRSREAKLGQKEKQENEQITVITNEEEGQDGKFVVMDEGFGLELEDLLRASAYVVGKSKTGIVYKVVAGRGSGAAVGAVVAVRRLSEGDGTWRLKEFEAEVETIGRVQHPNIVRLRAYYYANDEKLLISDFVSNGSLYSALHGGPANPLPPLSWASRLKIAQGTARGLAHIHECSPRKHVHGNIKSSKILLDDDLQPFISGFGLTRLVTTSITKSTSRKLSGSSQLSFTSSKSSFSSNYYVAPEARISGQKVGPKCDVYSFGIVLLEMLTGRAPDGVGLDNDGKGLEGFVRKVFREERPLSEIIDPVLLQEVYAKKQVVAAFHIALNCTEIDPEVRPKMRIVSDSLDRIKLQ >cds-PLY67020.1 pep primary_assembly:Lsat_Salinas_v7:5:283625264:283625734:-1 gene:gene-LSAT_5X150820 transcript:rna-gnl|WGS:NBSK|LSAT_5X150820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNSGDVQKGFPMVVVQIFYASPLSCFPDRMYAPKAPLSMFFITELDLKDKIINQNQEDIEVLKKELIERKKEISDLKEKIKENGVKKKVTEECSSSRSPSFHPDEHHIGSCTNKLHAKKSQTAHADKLQDEKVCTTKKSRTVKTSHADKLVHTSH >cds-PLY67590.1 pep primary_assembly:Lsat_Salinas_v7:5:75404804:75408579:1 gene:gene-LSAT_5X34860 transcript:rna-gnl|WGS:NBSK|LSAT_5X34860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRGYFSEIRFSLVLRRLRTAALVVVVFGFFYVVFNRAFDHDRILFPDYFVPSTHIFPSLLSDSLLDSGDTNLETVLKEASMEDKTVILTTLNKAWADPENSILDLFLESFRIGYKTSRLLNHLVIIALDEKAFMSCKRVHSHCFALVTEGYDLSHEAYFMTPDYLKMMWLRIDFLRSVLELGYNFLFTDADVMWFRDPFPHFHPNTDFQIACDHYSGNSTDLKSNIPNGGFSFVRSNIRSIQFYKFWYTSRELYPNLHDQDVLNNIKFHPFLIEIKLQIKFLSTSYFGGFCEPSKDLNKVCTMHANCCVGLGNKVHDLKNLLQDWKKFMALPPRLKMSPTPSWRPPNNCT >cds-PLY61699.1 pep primary_assembly:Lsat_Salinas_v7:5:216587297:216589084:-1 gene:gene-LSAT_5X99841 transcript:rna-gnl|WGS:NBSK|LSAT_5X99841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTGSDPNSNIGVGINWGTMTSHQLPADKVVEMMRENGFKKVKLFEPEKRIMEALIGSEIEVMVAIPNFMLLDMSQDPSYADYWVDANITTYAYPGGVDIKYVAVGNEPFLKAYNATYLQITLPALKNVQNALTRAGFGNQIKATVPLNADIYESPASNPVPSAGDFRLEIKDKTIEIIQFLYSNDAPFTVNIYPFLSLYGNAYFPMDFAFFDGSNKPLKDGNYLYTNVFDANYDTLVASLTKAGYPEMKIIVGEIGWPTDGDINANAKNAKRFNQGVIRHVLSSKGTPVRKGPLEIYLFSLLDENKKSIAPGSFETHWGIFEYDGKPKYELDLTGSKKNKGLAPVVGVQYMSRRWCVLNPRVKELDGLAKEIDYACSLSDCTSLGYGSSCNRLNLAGNASYAFNMYYQLQGQNDWDCDFSGLSIVTGEDPSVGECRFPVMIAFGSSVVVHRKIFKVLLGVLEACIVFLLLVS >cds-PLY68205.1 pep primary_assembly:Lsat_Salinas_v7:8:119239456:119245215:1 gene:gene-LSAT_8X83080 transcript:rna-gnl|WGS:NBSK|LSAT_8X83080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKREWKLYEWLMRLETGLGGTRSLIEASPDWWEEKIKEILSLYTKELPSMNYAANTGKKSMFLDRCVSNGKYCTLVLKSNSMEGSGEVVAAITFQIIPADTQYAEVPLAAGIGHLIYLEMRKRLQSVGVHSIFCWADEESEGFWLKQGFKSVGEVDAKGKARKLAIKADIRKALCFPGGSTLMIAHLHNESSAVSLQVSSLLKSYEKSTSVSIGQSQHPGPGVENLITGCQDLVCMDSLECMNTENNVEGIKISSDFDDARLCSCSSSGAKKRTWESSHTSLKSKKVKGGHMIVCQSESGCVSRNNNSYKIMLMNIADDAKKSNLTKIIEDLGGSVTSDGRVSTHVITGKIRKTLNFCTALCSGAWVISPAWLKESFRQGRFVDERDYIVKDVEYELKYRTELKNTVVKARANPGGLLKGFEVCLAAHVQPPVSTMSAIVRSAGGNVIGSVEKAKDSGKTIFVASEEGMEEALSAVKKGIATFSNEWFMNCVMKQELDLEAPKFAESL >cds-PLY68551.1 pep primary_assembly:Lsat_Salinas_v7:8:297526957:297529298:1 gene:gene-LSAT_8X164480 transcript:rna-gnl|WGS:NBSK|LSAT_8X164480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHAGIPTSSFFLSMCFADKSKSKTFLIAPKKSPLAPLPSPVLPATGVMRIRILIDTTDWGLRRPRRKDNGLEPDSHFPNSIHISNGPFVLHWNRCGILPDTQLQRTSSEMMKCVWIRFPPILIIFPPTSTASIENPSPASKFLLLLQKTTAERCRNFPNFTSCLLSSVKMVVQGSHLGQAITYFVCSDQTTEVKPEEMVRNLRSWDMKLCSHL >cds-PLY78665.1 pep primary_assembly:Lsat_Salinas_v7:9:52036958:52038662:-1 gene:gene-LSAT_0X34680 transcript:rna-gnl|WGS:NBSK|LSAT_0X34680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYTAIDTFYLTDKQLKNTPSRKDGIDEATETTLRRYGCDLIQESGNLLNVPQQVMGTGQVLFHRFYCKQSFSSFNVKRVAATCLWLASKLEENIRTLRRIINIFHIIECRRENLPLEHLNTSSKKYLELEADLKRCELQVLKEMGYICHVELPHKLMVTYLEVLEAPHQMIQEAWNIANDSLRTTLCVQLKSHVVACGVIYTAARRCYVPLPENPPWWELFDANKKDVDEVCRVLDHLYSLTKVRYIPVCKEDGSFTMCNTSLDSRSQPLAKEGASSGPLMLDDANTRKVEAKAVLKSKEHVTDEKERKSHKCKKDRFRKRKGARWLSRGVDKK >cds-PLY93512.1 pep primary_assembly:Lsat_Salinas_v7:5:325874250:325874633:1 gene:gene-LSAT_5X179960 transcript:rna-gnl|WGS:NBSK|LSAT_5X179960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLLWSFGGWFLIILPETINCMAKLRSSSQISLFSNPSSGSSLLCIALLIFIFFIIFLQSPTSMAAASFPSEATTSSYDESSRTSTTTMDFRPKRSHRQSHSKPTRSFEAGAHEVPSGPNPISNR >cds-PLY69340.1 pep primary_assembly:Lsat_Salinas_v7:7:79519905:79523307:-1 gene:gene-LSAT_7X55401 transcript:rna-gnl|WGS:NBSK|LSAT_7X55401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKRRLFFCMTLILVLFASSETLHDYEVDQSDDSSLKIKHEQLLSKILNLESSIDERSREINSKDERIKQLETNVLEKSNSLASLRSEIQSLQKKESFDAKEQMGEAHARAGELEKQVEDLRIEIAKQNTKKDALEARIHVAETKIAELNEKLVKLQRTNEEQKIRIHKTELALKKAEEERIRVQFKAARFSKELAEVHDSWLPPWLAVHLIHFQSFMVTHWNVYGKPALDVAFQKAMETQTQVQRWTWPYIDMVHTKWIPIIKEQWLTLVTNMEPHAQKFTFKTIEIYHVSMKKLQSQITNIKTILDPYIKEVKKFTKPYVNWLSKTLKPYVYKTHIFLKPYSKKLLRGYKRLSKYALKYHRQVRGNIHEVMKQNEITRAFATNELVWFMASALMVFPVMVLLTMVSSLFSKKSKKRSRNSHTSHTRRRAKRVHQDKTSTSK >cds-PLY66320.1 pep primary_assembly:Lsat_Salinas_v7:5:288429288:288430530:1 gene:gene-LSAT_5X153621 transcript:rna-gnl|WGS:NBSK|LSAT_5X153621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSSRLLCVLPSTIESSLYVLTYQSYPADGSDFEGLNNAIEILTCNDASVSVARESSTTLRLVDMQGCTPGLHALFTGEDDIWEAWLYIGLSKYVNMVYIGVIALKLRHGYAFSQSEKISQQNNRRHTFEFEQMPKFPICT >cds-PLY77330.1 pep primary_assembly:Lsat_Salinas_v7:5:145038864:145039663:1 gene:gene-LSAT_5X65420 transcript:rna-gnl|WGS:NBSK|LSAT_5X65420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHAHTQTTGDQKINKIHSMADGNTKSAIAPPLNHHLRPPLPPTPPPTHTKKQKRSSCCKCICWTLCILITLLVIFAAIIGILYLVFKPKIPNYSVDRLTISQLTLNLDLSLYARFNVQISATNPNKKIGIFYQKGSHLSVWYKNTNLCQGSLPVFYQGHQNKTVLNVALSGQNQYGRTLLAALQEQQQTGQIPLDLKVDVPVKIQLGKLKLMKVRILGKCMLVVDNLSANNKISIKATTCKFRLKL >cds-PLY69839.1 pep primary_assembly:Lsat_Salinas_v7:6:3191414:3192301:-1 gene:gene-LSAT_6X4060 transcript:rna-gnl|WGS:NBSK|LSAT_6X4060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTEVATVAPATDPHASPPITIILTIILLVIFFIGFFTIYFCRCFMQNVLYTWNTRHNPPGTQMGGPGSSGPPGLDPHIINTFPTFIYSDVKEFRRETYGLECAICLCEFENDNVLRLLTKCCHVFHQDCIDLWLESHKSCPFCRRGLETPFASPAKSSVSQNSTSMHEIQENELLEDTFTINIRDENERNNNTDTKEDNKKEKHVNIDIDRGEVKRTEKFPRSNSTGHSVTKNSKASEDEDKFTLRLPEHIQAKLIRGHNWTRSCTEFGEFKTRTFASSTGFGEASTSRDVSKV >cds-PLY91425.1 pep primary_assembly:Lsat_Salinas_v7:7:133384653:133384850:-1 gene:gene-LSAT_7X80300 transcript:rna-gnl|WGS:NBSK|LSAT_7X80300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSGVFGKSFKLTLPQLVDFPDFMVERSCYDASIERKWAIRDKCFVWWRNTEGGGSWWDDEVG >cds-PLY81297.1 pep primary_assembly:Lsat_Salinas_v7:7:115226056:115249157:1 gene:gene-LSAT_7X70960 transcript:rna-gnl|WGS:NBSK|LSAT_7X70960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARLKPLMLLRPAKKKKAGPKDASANTVKVQSSDESKVIIWTCTFSAPETTITLFNLEGLPVYHGCLQSPRVFANNTSSTGTIVHFELDDLNLQMANEYQESLKASHFIMETNTISVLHIAKIVLDFGKKAIESVSQDGSNLKSVLSVDITGIGMCLTFNSVQSLLSTALMFKVLLKSPSPTVNTSSSTQSEAPRPNKSSGNGIQLVKFNLERCSVNLCSDVSLDNEIVDDPKRVNYGSQGGRVLISVLPDGTPRTAKVASTASDDCKTVKYSIGLEIIHLGLSINKEKQSTQVDIQRIRSAYQEIMEDDSFGTKVSLFDMQKAKFVRRAGGLKEVSVCSLFSATTITSRWEPDVQLALTELGLRLKLLVHNHKHQEQEQELDLTKDKCSSSSSIDKEIKKEESVKKKESLFAIDVEMLTVTAEAGDGVETMIQVQSIFSENARIGVLLEGLMLSFNATRVFKSGRMQLSRIPNASDPAVKWDYVIQGLDMHICLPFRLQLRALDDSFEEMLRALKLVAAAKEKIIFPFKKKESESVKPKKPSSSKLGRVKLYIRKLTAEIEEEPLQGWLDEHYHLRKNDARELAVRLNFLDELLSKTTQSPAHVPVGDSDDDDDGQHKTTSTCLHDASSLEKMREELYKNSFRSYYEACQALVISQGSGACQEGFESGFKFSTSRTSLFSVIGTALDITLTEIEGGEAGMIQLVQKLDPVARESNIPFARVYGCNLNLQAGSLVAQLRDYTYPLLAATSGKCEGRLVLAQQATPFQPQTLHQVYIGKWRKVEMYRSVGGTTPPMKTFLDLPLHFQKGEVSFGVGFEPVLADLSYAFTVALRRAKLSVRDPNPFVVPPKKEKSLPWWDEMRNYIHGKTTLSFSEMLFNILGTADPYEKSEKLQMSSGHIEIQQSDGRIYISARELKIFTSSLESLLKNSTIKPPAGAQGPFFIAPTLILEVAMDWGCDSGTPLNHFLFALPSEGEAREYIFDPFRSTSLSMRVNLALRPQNQSQSKDTSFDSPTISLAPHDISWLLKFGNLNYLPPVKLRFFSRYPRFGVPRVARSGNLALDKVITEIMFRVDSTPTRIRHMSLDESDIAKGLTFKMSKLKSEVYLGRGKQKFSFDSRRDLLDVVYLGLDLHMPRVFLDREDPTSVIKVTSSKTTSQEKVVNDNSGGFLLSSDYFIIRKQSPKADCSRLLAWQESCKKSIEMKFGASICRRGSDSDDQERSDPSDDDGYNVVISDNCQRVFVYGLKILLAIENRNAILSWGAELGKAVAPPKPSPSRLYAQRKLLEDQNKTDHPLQEDVSNTTASTDQTETASPKSKEPSKSDQSPSPSNNIQHQTFDDIAKHVNVDGSQEDEEGTCNFMVNVIEPQFNLHSEDFNGRFLVAASSGRVLGRSFHKVVNVVLEAIEEASNGDGDGGCVPELIWNRSELSVMLEHVQAHVAPTDVDPGAGVQWLPKIRKSSPKVKRTGALLEQVFTPCDMYFRFTTHNGGTADLKVKPLKELAFNSDNMTAIMTSRQFHVILDVFNNVLLARPPKPQKSSIPKSAEDDEEMEEEAYEVVPAGVEEVELERVKLEQKERIQNLLYEDIRNLSLPSDTVVDVPSEKEEDILIVITTRPILVQMLRKEVINAQKSRKAVAASLRVILQKAAEQQLMEKEKEKNKGPSCAMHIYDFDRDYKDIGVVRFTIKCCVVKNCLPNAKSDTLLAAWNPPSEWGKKVMIRVDAKLGAPKDGASPIELFQADVYPLKIHLTETMYRMMCGYFFTEEEQDSHRRQEVWKVSTTAGLRRGKTKELEGSSKSTTTDPLVLASKKGKVSGHELRRSSSFDRTWEENVAESVANELLEQMQSLEQEELSKPKSKDSKTCAKSSKTGKPSKPGHEEKKVGKPTDGKKGKPEVIREFHNIKISQVELLVTYEGSRFAVSDLRLLMDSFHRVEFTGTWKKLFARVQKHVIWGVLKSVTGMQGKKFKDKLHSQNKEPNLANVPTLDHDSDNDDGCKSDNHGLAFPKRSTVAAVDGAGDGFVTSVRGLFSTQRRKAKAFVLRTMRNEGEESMPGDWSDSDDYSPFARQLTITKAKKLIKRHTNKFNSQKASLMHQSGFSFDGLPFSPRDHEASESDSSSGDPFEEYLEYKAAQEKAAQENDALENALAEKPPSTP >cds-PLY98608.1 pep primary_assembly:Lsat_Salinas_v7:1:37032833:37037293:-1 gene:gene-LSAT_1X32000 transcript:rna-gnl|WGS:NBSK|LSAT_1X32000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP96 [Source:Projected from Arabidopsis thaliana (AT1G80680) UniProtKB/Swiss-Prot;Acc:Q8LLD0] MGVDLDALVAESRFKKRKVTLDVYNGSSPCSISREIQTFLPTLQASDYFTEPCLTELAMRELMNPGHCQRVQNFTVGRYGYGKVKFFGETDVRWLDLNQIIKFKRHEIVVYEDETTKPVIGKGLNKAAEVTLLLQITSMKDQVTEKLRVSAERQGAKFISFDSNKKEWKFTVQHFSRFGLSDDEEEDIAMGDAGSDSDVQEPIPMNDSDGSDPHLLSHSLPSHLGLDPVRMKEMQMVMFPYEEDEDEELNESFSHHKQHIRSPIHHPSTKITRKTPLALLEYNPGSFGSTPNGSILLTQQKKPLPLTITKVQGFKMEVKETPVTKNYSRNIVDAALFMGKSFGIAWGPNGLLLHCNSSTINLQKAATDNVVRDENNKVREELIDSCFDSPLNFHKEINHETKEVEVDDYKIKIQKLVCDRLSLSHICRSYISIIEKQLEVTGLSPSKRVMLMHQVLVWELIKVLFSSREISSLDPDMEALPLIRRAEFSYWLQESVMHRVQDEISSLNDSNDLQHLFLLLTGRQLDTAVELSASRGDVRLALLLSQAGGSMVNRSDLDHQLNLWKTNGLDFSFIEKDRIKILELLSGNIHEALGDMNIDWKRFLGLLMWYQLPPDSDLISIFQTYHRLLENGRAPYPVPVYIDEGVVENGWTAGNDRFDLAYYLMILHGSEDREFGILKTMFSAFASSHDPLDHHMIWHQRAVLEAVGTFSCDDLHVLDMGFVSQLLSLGHCHWAIYVVLHMSNHEDYPNLQARVIKEILFQYCETWSGQESQREFIEELGVPSAWMHEALAVYHGYYGNSVNALEHYLGCGFWQKAHSTFISLVAHSLFLSGKHSEIWRIATSMEEHKSEIENWDVGAGIYMTFYTLRISLEEDDDAMTEMVSLKKKNDECKEFFSRLKESLAVWDSRLPLDARMVYAKMAEEISDLLVGDPGEGSSIEDKLECFSTIFDSPIPDDILSCHLQSAVSHFALHLTEAAS >cds-PLY91174.1 pep primary_assembly:Lsat_Salinas_v7:8:186790017:186792853:1 gene:gene-LSAT_8X120201 transcript:rna-gnl|WGS:NBSK|LSAT_8X120201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTTLHSRLSHTQSPAITRTSSSLRQITGNSLTWSRAFAPDRLLSAVSHSSLRGVKGSVKPLITCAAGTEPVAAANLAPGTPVRPTSIMVIGGTGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNGDLTKPETIPATLVGVHTIIDCATGRPEEPIKTVDWEGKVALIQCAKAMGIQKYVFFSIHNCDKHPEVPLMEIKYCTEKFLQESGINHVVIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDIARLTFIAIRSEKVNGKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVSVLKFTRQLTRCFEWTNDVADRLAFSEILTSDTVFSVPMNETYQLLGVDQKDIITLEKYLQDYFTNILKKLKDLKAQSKQSDFYI >cds-PLY88341.1 pep primary_assembly:Lsat_Salinas_v7:2:10159797:10165524:-1 gene:gene-LSAT_2X4301 transcript:rna-gnl|WGS:NBSK|LSAT_2X4301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATNEIMKQVIPILMVPINDYLRYIISCMKYVRNMDIKMTELNAARFSVEEHMMRNTSNHLEVPTYVEGWLKDVGKIMAKSEKVLSEVVSCFNLKIRHKVGKNAFNIIEEIDSVMRRHSLITWTDHPIPLGKVLDSMKASTSTPSIEHNVFRSREVTFKEALKALGSNHKGHMVALCGMGGVGKTTMMKRIKDVVEKRKMFNYIVMVVVGEKTDPIAIQKAVADYLRIDLSESTKSARADKLRKWFNDNSDGGKNKFLIILDDVWQFVDLEDIGLSTSLPNQGVNFKVLLTSRKKDICTMMGVKDNSIINVKVLEEEEAQNLFLQFVEIYDRELHQIRVDIVKKCCGLPIAIKTMALTLRYKSKDSWKDALSRLEDHETENVANEVFEMSYRNLQDEETKAIFLLCSLFPEDFDIPTEDLVRYGWGLNLFKKVYTIRKARTRLYSCIERLMDSNLLIESNYVGYIKIHDLVRDFVLDMYCKAEHASIVSHGNMHGFIENNMTDSCTAISLSCESISEFPRDLNFPNLTILKLMHGDKWLRFPQDFYKGMEKLQIISYDEMKYPLLPSSPQFSINLRVLQLHACSLRMFDFSCIGNMLNLEVLSFVDSGIDRIPLTIGNLKKLRLLDLRCSHGLCIEQSVLKNLIKLEELYIGNSSGLIDDNYNELSKRSSNLFALELEFLNNKDQMKNVSFKNLERFKISVGRRLDRYISESSHPYENTLQLVTSKGDILDSKLNELFVKTVVLCLSVDDMTDLEDVEVISSNSYQSSSLCNLRVLIVSGCAVLRYLFKLCVANTLSNLEHMEVYECDNMEEIIHNGTVGSGKETITFPKLKFLSLGRLPKLLGLCLNLNKIELPQLIDLKLKGIPGFTRIFPLETSTLFKGEVVIPKSETLQINDMENLKEIWPWELNRDEKVKLKEIEVNNCHNLVNLFPCNPMSLLHHLEELKVKNCGSIESLFNIDLDCNGAIGEEDNISSLRSIKVVNLGNLRVVWRIKGAYNSRPLVYGFQAVESISIETCERFRNVFTPSTTSFDLRALREMNIRDSGENKQNSELVESNQVQEQFLEAGVASWSLCQYAREIEIYKCDELSSVIPCYAAGQMQKLQVLTVRSCDGMKELFEKSGCDEGNGGIPRLNNVIMLPSLKILHITCCRGLEHIFTFSALASMRQLEELTITYCKALKVIVKKEEDNASSSSSKEVVVLPHLKSIVLLDLPELEGFFLGMNGFLWPSLDMVGIIDCPKMLTPYPSSYGMPWSFHNLIELDVNINSYVKKIIPSSELLQLQKLEKINVFSCWEVEEVFETAFEAAGRNKNSNCSSGSGFDDTSQTTTTTLFNLRNLREMKLNYLRGLRYIWKSNQWTVFEFPNLTRVDIWGCDRLEHVFTSFMAGSLLQLQELRIENCKHIEEVIVKDASGVVEEEEERTDGKMKEIVLPHLKSLVLGSLQCLKGFSFGKEDFSFPLLDTLCIKYCPAITTFTNGNSTTPQLREIETSFGLFYAAGEDINSIIKMKQEVNTCSLI >cds-PLY78904.1 pep primary_assembly:Lsat_Salinas_v7:5:306033800:306037306:1 gene:gene-LSAT_5X166160 transcript:rna-gnl|WGS:NBSK|LSAT_5X166160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCAGGDSSTKRSSKNKRNETTHNDQSNTKTSDTVKVEKCNEVKKESAQDAIQSSVTNESKTQREGGSINKARTFTYAQLVNATDNFKGSYFLGEGGFGKVFKGKLEDSDQIVAIKQLDPDGLQGIREFVVEVLTLSMADHPNLVKLIGYCAEGEQRLLVYEYMPLGSLEDHLHDDGPHRKRLDWNTRMKIAAGAARGLEYLHDKMNPPVIYRDLKGSNILLGEDYHAKLSDFGLAKVGPLGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVVLLELITGRKAIDNTRSAAEQNLVAWARPLFKDRRKFSQMADPVLEGQYPVRGLYQALAIAAMCVQEQPNMRPLIADVVTALNYLASQKYDPLNNPVHQSSRRSSRTQRSIDENKPNPDM >cds-PLY68092.1 pep primary_assembly:Lsat_Salinas_v7:5:294039870:294040112:1 gene:gene-LSAT_5X156900 transcript:rna-gnl|WGS:NBSK|LSAT_5X156900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCQARRSMEAMARDLHDARSRIAYHHHIIIDMSDVVDDVCGYAYSSQRTAIRAMITSAIAGGLALILAVELIWVIVFWC >cds-PLY79269.1 pep primary_assembly:Lsat_Salinas_v7:9:5382883:5384359:-1 gene:gene-LSAT_9X5620 transcript:rna-gnl|WGS:NBSK|LSAT_9X5620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPRTIGSSRHAIDTCTFQLHSWRPFHLPTTLNKTLEKSDNINNNNPTSSAKPHKRPCLSDRATSFAIENLDMSKLSLFDDDGSRSSVKSKRERIHWMAKKRRRRGSRSVSGRSSDRSGTRRRCCSVGASNAYGTCSDFMMANNAGTDSSGELFVNGGGGGDVNWTSDASEATARNLRRESTGGGDREISNSYMGLHHHHGNLDNQGNESGYGSEPGYRGDAEFGYGDELDEEEDDTRLLFWGNRFGDAQSMEIIGENTLQKAHHRCRRKKHELRMVDAA >cds-PLY76374.1 pep primary_assembly:Lsat_Salinas_v7:6:170433864:170435778:-1 gene:gene-LSAT_6X102961 transcript:rna-gnl|WGS:NBSK|LSAT_6X102961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTITVPAEVPPVSDDVEQLHKAFEGWGTNEGLIIEILAHRNADQRKLIRHTYAETYKEDLLKALEKELTSDFERIILLWTLDPPERDAFLANEATKKGAKSNHVLAEIASTRSSQDLLLAKKAYHLRYQKSMEEDVAHSTTGDFRKLLWPLVTSYRYEGDEVDMSLAKTEAKLLHDKITEKCYNDDDFIRIITTRSKPQINATLNHYKNEFGQDINKDLKADPKDEFLAILRATIKGLTYPVKYFEKRLRLSIEKTGTDESALSRIVATRAEFDMKIIKEEYKKRSSVSLDQAIAKDTRGDYEDMLLALVGTTES >cds-PLY99832.1 pep primary_assembly:Lsat_Salinas_v7:2:15787549:15788293:-1 gene:gene-LSAT_2X7841 transcript:rna-gnl|WGS:NBSK|LSAT_2X7841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFIFISLRIPRAAILFSYYIILLVNCGVATFIMPDNVTVPALIAFGDSILDQGNNNYFPTFIRANFPPYGMNFLGARATGRFTNAKTPTDLIAEKIKVKEYVPAYLNPFIQDQDMITGVSFASGATGLDPLTSRINV >cds-PLY77945.1 pep primary_assembly:Lsat_Salinas_v7:1:24553579:24560441:1 gene:gene-LSAT_1X20300 transcript:rna-gnl|WGS:NBSK|LSAT_1X20300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGFGGRFYWGKRRGGEEERRRGIVVVFAWMSSEEKNLKKHVHLYSSLGWDSLVCHSQFLNMFFPDKAIPLALDLLNELIKELKERPCPVVFASFSGGPLTCMYKVLQIIDSKCDTHGNLEDYQVIRNCISGHMYDSCPIDFVKDLATRFVLHPSVLKVSHPPIILTWIANGILSGLDALCPERWESQRAEYWHTLYSTIGIGAPYLILCSENDDIAPYQTISNFAQQLQSLGGDVNFVKWSSSAHVGHYRYHSEEYKDAVTELLTKAVSIYSQKTEHGNGSGPSNGSTSSEMLHHLEEPVSLGMRHSHSNPIQCIQRSRL >cds-PLY65213.1 pep primary_assembly:Lsat_Salinas_v7:8:20925203:20926674:1 gene:gene-LSAT_8X38221 transcript:rna-gnl|WGS:NBSK|LSAT_8X38221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSSISFNLLVQCVLANLTHCRLREFCSGLFWYRLHLPLTLTAYLPIPPYPSLLIEYTSLASSYSQFEVGSKESDYTSKTYASILLFSRSLPPQAFPSEATVVSHLLPTSGDRHSPQQHRRSLPPHKAKVDLYTSNRREEAVVVRSPSCRSHCASTFRSEEHMSNRKHIRKPRRAAIITRAKKSTQEHLRSIPSKLKQSQRNLKHHDKPKNILACEYKIFKVRISDASSDSLLHFSSKLYKKSEIS >cds-PLY74862.1 pep primary_assembly:Lsat_Salinas_v7:8:105981403:105985458:-1 gene:gene-LSAT_8X72241 transcript:rna-gnl|WGS:NBSK|LSAT_8X72241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFFQALIQKQHSIAWFWILLLVCVLNGKTTISASNYLVGLGSYDITGPAADVNMMGYANSDQTASGIHFRLRARAFIVAEPQGNRVVFVNLDACMASQLITIKVLERLKARYGDLYTEKNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVDGIEQSIIRAHENLHPGSVFVNKGEILDAGVNRSPSAYLNNPATERAKYKYDVDKEMTLLKFVDDQWGPVGAFNWFATHGTSMSRTNELISGDNKGTAARLMEDWFNQKRVSENENPRRVSNIVQVSQTNHHELLELASSFQSTSGQPTTKYLSISRRVRSVLRSADRPIFVSAFCQSNCGDVSPNVLGAFCTDTGVPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGERQFKKAADLFNSAAEKLTGKIDFRHSYLDFSQLEVKKGGNESVKTCPAAMGFAFAAGTTDGPGAFDFKQGDNTGNPFWKLVRNLLKTPSQEQKDCQQPKPILLDTGEMKVPYDWAPSILPVQILKIGQMVILSVPGEFTTMAGRRLRDAVGAVFKGKVHVVIAGLTNTYSQYITTFEEYETQRYEGASTLYGPNTLSAYIQEFTKLATAIVTGKTVEAGPPPPDLLSKQIGLLPPVVVDSTPLGVKFGDVSTDVPRNSTFKKGETLTVVFWSACPRNDLMTEGTFALVELLQGQDTWVPVYDDDDICLRFKWSRPSKLSAQSHATIEWRIPQSTVPGVYRVSHFGASKSLFGSISHFSGSSSAFVVK >cds-PLY85766.1 pep primary_assembly:Lsat_Salinas_v7:1:47413190:47413540:1 gene:gene-LSAT_1X41301 transcript:rna-gnl|WGS:NBSK|LSAT_1X41301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQAMNVDNPHRETLHKFFECWICEQNSYLEELVSVSNNHNPIENDGRSDSIDRESCQALRAVLLGEIKLGETRCDFDVFAYVAEQAARCVFVDRRMVADISKPSFLLEIRNSIRG >cds-PLY82140.1 pep primary_assembly:Lsat_Salinas_v7:1:14199255:14203785:1 gene:gene-LSAT_1X12460 transcript:rna-gnl|WGS:NBSK|LSAT_1X12460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNDFEGGRQEKDIVGSYFLELWRSKSKKAAVSYAKDVEMVNLDNECEELEEEEEPVPTELDTLNSSGGFSIVLPNKLSVQYPTVNLHGHDVGVVQANCPAPVKRLLYYFEIYVKNAGAKGQIAIGFTTEGFHMRRQPGWEANSFGYHGDDGLLYRGHGKGEAFGPTYSTGDVVGGGINYTSHEFFFTKNGQVVGTVEKDVKGRLYPTIAVHSQYEEVIVNFGKDPFIFDLKAYEATLRAKQQAVIERILIPQAASYGLIRSYLQHYGYEETLNVLDEASQTCIPPITTTTTGVHDNGFNEYGAYALNHRKILRKLIKDGQIDDAFAKLREWYPETVEDDTSAICLMLQCQKFIELVRVGHLEEAVEYGRSHFEKFYKLKEYEDLVKDCAGLLAYEDPKKSGGLGYLVEDSQRENLADAVNAMILSTNPEEANTKRSCLHSYLERLLRQLTACFLEKRLLNGNQGEAFHLPRVLLAKNN >cds-PLY82443.1 pep primary_assembly:Lsat_Salinas_v7:2:184095555:184095779:1 gene:gene-LSAT_2X107380 transcript:rna-gnl|WGS:NBSK|LSAT_2X107380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILMFRRSTVEQIYAASENLGFFQDVNHGIPMIVIDKMLQGVHRFHEQDLTMGTTKHTDGAFLSVLLQDENGGL >cds-PLY68272.1 pep primary_assembly:Lsat_Salinas_v7:1:27542618:27544813:1 gene:gene-LSAT_1X23581 transcript:rna-gnl|WGS:NBSK|LSAT_1X23581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVPYLYISFLLLLASYFFTLHLHRKFSNLPPTVFPSLPIIGHLYLLKPPVYRTFAKISAKYGPILLLRLGSRRVVLLSSPSAAEECFTKNDIIFANRPRMLFGKILGNNYNSLVWSPYGDTWRNFRRIASNEILSTLRLNEFQDIRVDEGRILIRKLLSHSSMVDMKSVLYELTLNVMMRMISGKRYFGDDTPEVEEEGKKIREILEESFLLSDAANVGDYLPILSCLGGKGLEKKLLALKEKRDVFFQGLIEQLRKSRDKKKKTMIEVLLSRQESDPKYYTDEMIRGLFMVLLSGGTDTSAGTMEWVMSLLLNNPQVLQKAQHEMDTVIGTDRLIDESDVINLPYLRCIINETQRLYPVGPLLVPHESSEDCIVSGYKIPSGTMLLVNQWAIHHDPNIWVDPERFDPERFEGLEGTRDGFKFMPFGSGRRSCPGEGLAMRVIGLTLGLLIQCFEWERVSEKMIDMTEGFGLNMPKAEPLVAKCKPRLQMEKLLLNV >cds-PLY67211.1 pep primary_assembly:Lsat_Salinas_v7:6:135108603:135110247:1 gene:gene-LSAT_6X81580 transcript:rna-gnl|WGS:NBSK|LSAT_6X81580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEMSLSVNVNGHSKVPPGFRFHPTEEELLHYYLRKKVAYEKIDLDVIRDVDLNKLEPWDIQEKCRIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIHSSFRRIGMRKTLVFYKGRAPHGLKSDWIMHEYRLDDNTITNISQDYGSGSNMCDSAQEEGWVVCRVFKKKNYHKAVESPQRSSSSAPSIDSTTNMQPLNKDGSTSVRDQFLVYVNGRSCKREMESPSLNNITITHDVYNPMQQFANPIDERFIHLPRLTSTTTIATSGHFSSATFDHQDSTFHAHNSMNHLLTETEHSRNTSRTMVNEHERRVHDHLDHWADLDRLVASQLNGKLSSSNQLYASYNEPNEELCFTVDHEEQEQSQLHGSTSMAKPAYTSEIDLWSFAQSSSQSSSPDPFYHMSV >cds-PLY77899.1 pep primary_assembly:Lsat_Salinas_v7:1:22386872:22388792:1 gene:gene-LSAT_1X19560 transcript:rna-gnl|WGS:NBSK|LSAT_1X19560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLAFPSLHNLNLDVPKTNPRRRATAVRLITASIKDSVPVPPLSATSADVAPTVSGNSSTNLPLRQVPGSYGIPFFQPLKDRFEYFYGAGGRDEFFKSRIHKFQSTVFRVNMPPGPFIANNPNVVVLLDAKSFPTLFDVSKVEKKDLFTGTYMPSTELTGGHRVLSYLDPSEPKHAPLKNLIFFMLKSSRSRVMPEFEATYSELFDELEAELAQKGKALFNDAGEQAAFRFLGRAYLETNPEVTKIGKDGPKLIGSWVLFNLGPLLRLGLPWFLEEPLLHTFRLPPALIKKNYQKLYEFFESSSSPIIEQAEALGISKDEAVHNILFTVCFNTFGGIKILFPNTLKWLGRAGTNLHTQLAEEIRGAIKTHGGGKVTMAAMEQMPLMKSVVYESLRIEPPVALQYGKAKRDLTIESHDAIFKVKEGEMLFGYQPFATKDPKVFDRAEEFVPDRFVGDGEKLLKYVTWSNGPETEGPTVGNKQCAGKDFVVMITRLFVVELFRRYDSFDIEVGASPLGAKITLTSLKRARV >cds-PLY68401.1 pep primary_assembly:Lsat_Salinas_v7:8:23685312:23687477:-1 gene:gene-LSAT_8X20961 transcript:rna-gnl|WGS:NBSK|LSAT_8X20961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQSKAEVAKKQKVVEDKTFGLKNKNKSKNVQKYVQALQLNAVPKPDPSKLAAKKKKDEEKAREKELNDLFKIAVVQPKVPPGVDPKSILCEFFKAGQCAKGFKCKFSHDLNIQRKGEKIDIFSDKRDEDTMEEWDQEMLEKVIESKGKEYNQNKPTDIVCKHFLDAVERKQYGWFWVCPNGNKECHYRHALPPGYILKSQMKALLEEEANKLAIEDEIEDQRAKVKTTTPMTTDLFMDWKKMKMDEKEAGLAAQRAERAKNDRMSGRELFLSDASLFVDDAEAYEKYHREEEPDNTTQKAQDNSSTGGPSTSTSVAAVSEEGNSQIHDDDDDEDDDDDDLDMDELNELEASLSRTSIQIREPVK >cds-PLY68245.1 pep primary_assembly:Lsat_Salinas_v7:3:103079998:103083881:1 gene:gene-LSAT_3X77260 transcript:rna-gnl|WGS:NBSK|LSAT_3X77260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECLKLIAALGFPKKRIGYLGLMLLLDERQEVLMLVSNSLKQYALVFVHSYLSYILCSSNMAALCSIRIAKKVPDLAENFVNPVVSLLKGKHHGVLLTAIQLCTYLCNLNEEALEFFRKICIEVLVKVLKDVVNSPYVPEYDVSGIADPFLHIRLLRLLCVLDHGDADASDSMNDILAQVATKTESNKNAGNAILYECVETIMSIEYISGLRVLAINILGRFLSNCDNNIIYVALKMVMKAISVDDQAVQRHRAIILECVKDSDALIRKRALEHVYLLVNETNVKPLTKELTDYLHVSDQDFKGDLIEKICSIVEKLSPDKIWYIDQMLMVLSELEQGVVVVTVYAGSFMTSLDMGEQAILQCLDVAAKAPHWPIGVDALSARCSKDLELNFAKSYNQLLGALVLKNYERQDATLVSWNSMYIVD >cds-PLY75334.1 pep primary_assembly:Lsat_Salinas_v7:5:52426125:52428050:-1 gene:gene-LSAT_5X26180 transcript:rna-gnl|WGS:NBSK|LSAT_5X26180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIISTSHVYYHLLFGLLLLHYAPPACPAAASYWTLLLPTIGISAMHMQLLPNDRIVIFDRTDFGSSNISLPAGKCRFDQNDMVLKLDCTAHSVEYDVSSNTLRPLMVLTDVWCSSGALDSNGSLVQIGGYNDGDHAVRVYRSCDKCDWEEMPSGLIQRRWYATSHILPDGRQIVIGGRRQFNYEFFPKTSPSEKAQSLPFLVQTYDRWIENNLYPFVFLHTDGNLFIFANTHAILFNYTDNKVVKTYPEIPGGDPRNYPSSGSAVLLPMRIVQDIVAVVEVLVCGGAPKGAYTNAKHGKFDGALDTCGRIMISDPNPQWVMETMPMGRVMGDMLLLPNGDVLVINGGSSGTAGWEHGRNPVLEPVIYKPDNSIGYRFEVQNPSIIPRMYHSTAVLVRDGRVIVGGSNPHEKYTFTNVLYPTELRLEAFSPSYLDPSSSGLRPEILASVFRTQFEYGEQMVIQFTVHDQVDMNLVLVTMVAPSFNTHSFSMNQRLLVLGGGNTTKIIGTSYYEVVVTAPPTGNVSPVGYYLLFVVHQGIPSEGIWVHLE >cds-PLY71548.1 pep primary_assembly:Lsat_Salinas_v7:7:28988040:28989382:-1 gene:gene-LSAT_7X22701 transcript:rna-gnl|WGS:NBSK|LSAT_7X22701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASITSFFFFFFLLLLTLSSTIHARESQFFAKISNNIPKEVQPLNTNQQDPNFIPQTQPDGGAYGLYGHESGQLPPSATANNFPLPANLPENYNPVAYTTPIHSSTQDFPDEFNGQVTGETGMYNSEKQEMGAKFASGGDMYNSGNQEMDTNGGNMYNSGNQEMGTNGGNMYNSGKQEMGSKFANGGDMYNYGKQEMGTNGGNMYNYQKQGMSDTRFMENGKYYYAPGGDGYKSVKPQFDDTRFVETEDGGNMYNSQEQGSEDMYNSEKQGFGETKYSGSTTNNANTYNMEKQGMSDTRFLENGKYYYDLNLEKNSRAFDSRNGYNTNGYNGNNYNSYDRYKYNSNSNSNGGYQNQEEFQYNQDNQFNP >cds-PLY98215.1 pep primary_assembly:Lsat_Salinas_v7:7:169106554:169108996:1 gene:gene-LSAT_7X101201 transcript:rna-gnl|WGS:NBSK|LSAT_7X101201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLGRRRQLVDEKYTRPQGLYQHKDVDHKKLRKLILDSKLAPCYPGDDDCSCDLEECPICFLYYPSLNRSRCCTKGICTECFLQMKTPNSTRPTQCPFCKTLNYAVEYRGVKTKEEKGQEQIEEQRVIEAKIRMRQQEIQDEEERMLKRQERSSSSRIIDSNEAEYFSRAATPSSEGEEIVSAPNSGVTTFRHPQRPRDNREDEFDLDPENIMLMEAIWLSFQEDGKNQRHNVNYGDAAQLAKYATEVRVLASMAPQAESSSLSSSSSSSSPSGGLACAIAALAERQQMGGESSTNYNNYNGNVSSTYNIMHPESTSLNTHLQDGGWGNNNNNETSYGYHHDDNEYGGHDEMEGGGGGGGGGGGGGIVPESFEEQMMLAMAVSLAEARARSSSGAPEVAWI >cds-PLY78378.1 pep primary_assembly:Lsat_Salinas_v7:9:9790969:9791328:1 gene:gene-LSAT_9X7640 transcript:rna-gnl|WGS:NBSK|LSAT_9X7640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEKRVVRVIDKVIESVEFSRRIQVVREACEALWFEKWKQLGGCSISVGQPEVPDPGRVGRRAKEVDVALSSLAETDFAGLFRLGKLDYDGFRQFCRRPREVLPQTLRADLCFDLLLVV >cds-PLY71081.1 pep primary_assembly:Lsat_Salinas_v7:2:162260146:162261080:1 gene:gene-LSAT_2X85100 transcript:rna-gnl|WGS:NBSK|LSAT_2X85100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATGEAQPAKHQEVGHKSLLQSDALYQYILETSVYPREPQPMKELREVTAKHPWNLMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLSTALALPEDGKILALDINRENYEIGLPIIEKAGVAHKIDFREGPALPLLDEMVNDVKLHGSFDFIFVDADKDNYLNYHKRLIDLIKIGGVIGYDNTLWNGSLVAPPDAPLRKYVRYYRDFVLELNKALAVDPRVEICQLPVGDGITLCRRIS >cds-PLY70419.1 pep primary_assembly:Lsat_Salinas_v7:9:123955155:123958306:-1 gene:gene-LSAT_9X83400 transcript:rna-gnl|WGS:NBSK|LSAT_9X83400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 36 [Source:Projected from Arabidopsis thaliana (AT1G16280) UniProtKB/Swiss-Prot;Acc:Q9SA27] MAEQEALIDENFPLFSQKPIKRSSKPVTTIADTPPPTHHELVKDTSSNPNPNTTRLTFSDLNLSDWALQTCKELGMKKPTPVQQHCIPRILSGEDVLGIAQTGSGKTAAFALPILHRLAEDPFGVFCLVVTPTRELAYQLAEQFRALGSCLNLRCAVIVGGMDMISQSQTLMQRPHVVIATPGRIKVLIEQNPDIPPVFSNTKFLVLDEADRVLDTGFEDELRVVFNCLPKNRQTLLFSATMTSNLQSLLEVSANKAYFYEAYEGFQTVDTLKQQYIFIPKNVKDVYLLHILSRMEDMGIRSAIIFVSTCRSCHLLGLLMEELDQEVAALHSFKSQSLRLSALHRFKSGKVPVLLATDVASRGLDIPTVDLVINYDIPRYPRDYVHRVGRTARAGRGGLAISFITQNDIDLIHEIEADLGKKLEKFECKENEVLEDITKVYKAKRVATMKMMDDGFEEKAKARKAQKMKSLADKGLLTKNKRRKRETCANASH >cds-PLY80228.1 pep primary_assembly:Lsat_Salinas_v7:6:123805755:123809153:-1 gene:gene-LSAT_6X74900 transcript:rna-gnl|WGS:NBSK|LSAT_6X74900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLEEFQYLKIQLEAIKSATRNFDNNNIIGKGGFGKVYKGVLSHSTGQSTVAFKRLDRNYGQGDSEFLKEILMLSCYTHQNLISLVGFCDEDGEKILVYEHASHGSLDRHLSITTLTWRQRLNICLGAAMGLCYLHDPKETQQRVIHRDIKSSNILLDEDWNAKVSDLGLSKIGPANQQHTFLATNVAGTFGYIDPMYAEKSILTKESDVYSFGVVLFEVLCGKLCFENQNGHLQSLVQTWKQSYEDKKLDEIIFHDLRQHMDPSSLETLSDIAYRCLHKSGEERPKMSEVVEKLEIALRFQVISEEAEPQMDYEEISKNAVPPLIYSSSEELTMLLSKGILVNEGKTWFWLNKNGENCEMISPIGSLIPIDPASPEDYCRKKSRFAVEYYAPFCLEFRTHVKTQFLSPHITYTINLVFSLDDSTKDNLGFTYKLAGQTQSSTSYFSDKREDGWLMAELYQFTSDTRNVDLEITFECPSAIAVEGIEFQPLERVEHQLLEDEEVDIPTVSDSDTYWTDKLPSDYEDIIKWSKGSQQWKTNKELYCILVKGFPIKDGKEWFSLDKNGRKCHLLRAKEALQKAEWRWQSLPESRFGKVAFGERHGFQIICISNILSPQTKYGSFLVYKVQEKRSAPWLSVKVHISCRYGYPHHSRYTYLVGPQTPILRPMNYQNTHTPLNRPKMEGLPRLRNDGWMEVQVWEFQTDSRGWISENLQLTVFDKSSLVGLIVEGIEFKPI >cds-PLY74177.1 pep primary_assembly:Lsat_Salinas_v7:9:13317285:13320379:1 gene:gene-LSAT_9X11460 transcript:rna-gnl|WGS:NBSK|LSAT_9X11460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPYSSSVTASQVGSYFVQQYYQVLQQQPEFVHQFYTDSSTMIRVDGESTETASAIFQIHTLIQSLLFSGIEIKTINAVQSWNEGIIVVVSGSVKSKYFSGWRKFVQTFFLAPQEKGYFVLNDIFHFASEEVINHLPPPMAATHNDDFQPISSTSPELLVAEDVLEVETRDNFNSLHLEGEDEGDYYTSQEHQHQNQNQHQQQEYDDNEDYEDEPQVEDQIHNHVDYVQEPLHHDTVEYVQEPLHQDKVEYVQEQIPAVEKPAPEPVKFTYASILQSKGKPVSSVPVQAPVVKSVAPVTEWNQQQPEPVASFVSETTPHVAEESLSNEEGESKSVYVRNLPTSVTSLEIFQEFKNFGRIKQDGVFLKNRKDVGICFAFVEFEDVVGVQKAIEASPIQLAGRQVYIEERRTNSSNGSRGGRGGGGVGGRGGGRGRGSYNDASRGGGGRYGAAPSNGRGNGFR >cds-PLY81531.1 pep primary_assembly:Lsat_Salinas_v7:2:126098557:126103217:1 gene:gene-LSAT_2X58660 transcript:rna-gnl|WGS:NBSK|LSAT_2X58660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLATLLEEPIDVDEDGEYKHHSIWSSSSKKAQTWRNWLKTHIFLANKHKSIKYHNILLSVLGCPLVPVSLLPPKIPFSQVSSSAQYIIQHFTAATGCRKLEGTVRNMYATGKVTMAMVEELSPNGSTTNTISNIGVPQKGCFVMWQMVPNKWLIELAVGGNKVVAGSDGNVAWRHTPWLGSHAAKVGIFFLLLIMGNVTMGPSSFINIIPRDLQKSIPIDKMEKQNHFIFCSILGLDPMAVAGVFSLAQYMGEKQIGDVDCFVLKISADDADLADRSDNTAEMIKHVMFGYFSQKNGLLVHLEDSYLTRVQTPGSFPMYWETYMATKIEDYRLTEGVMIAHSGQSDVIITRFGDNLRAGPVITRMEEKWTIDDLAFNVPGLSMDCFIPPEELHKDYTEENLDWRSNLNLDGSSSSS >cds-PLY92807.1 pep primary_assembly:Lsat_Salinas_v7:2:149227812:149229486:1 gene:gene-LSAT_2X75120 transcript:rna-gnl|WGS:NBSK|LSAT_2X75120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLKICLLLFSVTTIATKDAASETVEPIFIFGDSILDVGTNNYVKNCKAQVNRPYYGVDYPHSIATGRFSNGLNPADTVVALLGGYEESPPPFLALLAHSTNLTGDLLHGVNFASGGAALVKGIGKQIVGDVISLEEQIQQFATVQGNITALLGESKGQLLIQGSMYIICVGSNDIMNYVFSHPTTPEVFIANITAIYAIHLKNLYDLGARKFGLMSVPPIGCCPVARAFSGGDCAKELNDVASAFYTPLESLLKNLSSTLEGFKYSLGNSYNMSMSVIENPPPGFKDVKTACCGNNPLAGINDCKEQRYLCPKRDDHLFWDAFHPSEAASKLGARVLVFGEDPDFVTPINFSTLRKA >cds-PLY71704.1 pep primary_assembly:Lsat_Salinas_v7:3:47454018:47454212:1 gene:gene-LSAT_3X36101 transcript:rna-gnl|WGS:NBSK|LSAT_3X36101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFFGELILKKNLTLHFSSTSSESKTTILCDQRSYPTADEPLLESDYSDCAVCKDNFELDEEAK >cds-PLY62965.1 pep primary_assembly:Lsat_Salinas_v7:1:210554243:210555883:-1 gene:gene-LSAT_1X128641 transcript:rna-gnl|WGS:NBSK|LSAT_1X128641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLREPCMEDLPVAVMVDILSRLPVKTIIHCKCVCKQWQNIVVFDSYFADLQLSRSSPPCLMIYYKPGPLKWVEVEEGLYYNLHHHPVMSLDINIAPMTLLVGSVRGLVCLWQVNKNVDNTYICNPMTREYMILPRPQYYREGTTNIVYCFGVSSLTREYKVIRIFQRGIIRLPDSTVTYSLSEAEVYTLGTGQWRSLGHVPYWLNGSHTGPFLNGHAHWIIRYQVLPEKICAFDFDKETFELFPSPPSEVIHARQKNYFQKLDLLKGCLCLCATSNSKFTVWVMKEYGIKNSWHKELVIRKGISRDLDMLILGHPCLIECFKDGTILMASSGNNLLVYSPVSKTVTETKTFDGCFKGLAYRPGFHRLLNFKNEIVKVF >cds-PLY84105.1 pep primary_assembly:Lsat_Salinas_v7:6:187718524:187719344:-1 gene:gene-LSAT_6X114941 transcript:rna-gnl|WGS:NBSK|LSAT_6X114941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTVELEVTSSLPAPKLFKVYNDFDTIAPKVEPETYKSVSTIQGDGGAGTIKSITYGDGIPFTSSKHKVDVVDINNFSYSYTIFEGDVLMGIVDSAHHHIKFLPSSDGGSVYKHTVVFNCKGDNKVSEDNIDLMKEGLKKSFKGFEAYAIAHPEAY >cds-PLY98097.1 pep primary_assembly:Lsat_Salinas_v7:MU038094.1:117883:122018:1 gene:gene-LSAT_0X22641 transcript:rna-gnl|WGS:NBSK|LSAT_0X22641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPTYLCGSKTPCYFSKSASSFSRSMCSQQQKLNFASFGGACRVVQCPTQSRGRGVRVVCNVQKVDVLTRIEPESQASEGLSNRLSCVMKFGGSSVASAERMKEVADLILSFPEESPVIVLSAMGKTTNKLIAAGEKAASCVSIVSEIDELAFLKELHYRTVEELGLEKTLIEDHLEKLERLLNGISVLKEFTPRARDYLVSFGECMSTRIFSAYLNKIGVKARQYDAFDIGFITTDDFTNADILEATYPAVAKRLNGDWIRDPAIPIVTGFLGKGWRTCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYSGAEPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTLITKSRDMSKAVLTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQASELDHVVEELEKIAKVNLLQHRSIISLIGNVQRSSLVLEKAFRVLRMNGVNVQMISQGASKVNISLIVNDSEAEKCVKALHSAFFENDMSDID >cds-PLY72291.1 pep primary_assembly:Lsat_Salinas_v7:9:104567864:104568614:1 gene:gene-LSAT_9X75421 transcript:rna-gnl|WGS:NBSK|LSAT_9X75421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKWIEKPYVVESSDSEEDNDEDDSNDDVGDEEDNDNESSEVGNKERADEKEGTNKDEEELAAVMGEDFTQKMNSPPRLNKHIHFSNTSLFTSSVDDTVPHGSTPSIGDTTEPMIQGEPYPKLSPSPLVDIVPQVETLPPYANTHYYYCSSSGLTLVERDMATIKRLMALGDDDNVVVDDTPPNSLGDNPPPPPPPLTNLLPPSHPPPETPSPPLNSPP >cds-PLY97949.1 pep primary_assembly:Lsat_Salinas_v7:3:18867061:18869055:1 gene:gene-LSAT_3X14600 transcript:rna-gnl|WGS:NBSK|LSAT_3X14600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTLLILLLLTSSSFANSAFTSDHYSDALTKSILFFEGQRSGKLPATQRLKWRADSALHDGSSANVDLVGGYYDAGDNMKFGLPMAFTTTMLAWSIIEFGGFMQSELGNAKAALRWGSDYLLKAATATPGTLYVQVGEANSDHQCWERPEDMDTSRGVYKVSAQNPGSDVAAETAAALAAASIVFQDSEPSYSQKLLQTAMNVFKFADHYRGSYSDSLHNAVCPFYCSYSGFNDELLWGAAWIHRASQDTSYMTYIQSNGHLMGSEDDDFSFSWDDKRAGTKVLLSKSFLENKIEEFQSYKQHSDNYICSLIPGSQNSQAQYTRGGLFYKQEGSNLQYVTTSSFLLLTYAKYLDSNGGRASCGASTITSEMLIVQAKKQIDYILGDNPMKMSYMVGFGDRYPTHIHHRGSSVPSVRDHPDRISCDAGHRYFNSGSPNPNILVGAIVGGPDRNDNYADDRSNYIQSEPATYINAPFVGAVAFFSSH >cds-PLY75163.1 pep primary_assembly:Lsat_Salinas_v7:1:183981115:183981668:1 gene:gene-LSAT_1X118921 transcript:rna-gnl|WGS:NBSK|LSAT_1X118921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIETPNVEIWETDDDVDQVEETEAYKNYFCFMADDDKEPSPLHQKVVKKLLKDELTDTQFRLEALRCHMAKLESDLAIKTGAHIMSVEQYEIVLNQHDNIAKDNREMYAKINANINSYKESRGLNTQPELLPCDPSLFTRDNEDA >cds-PLY82280.1 pep primary_assembly:Lsat_Salinas_v7:1:67263293:67272756:-1 gene:gene-LSAT_1X57281 transcript:rna-gnl|WGS:NBSK|LSAT_1X57281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTLPTPPLKSSSQSVHGSTTSSPPLLSLLIFILTLILRPIVPLLLRIRTLIVFNFDFEFGHHLVLISLIVITIVAVAVVGVWWNLFSSILIAAPRQFSRNCEKMLGGLLTTTLVLILGYAYPAFECFKTIERRGSDNAELRFWCKYWVLIAILTVFERFADIFVSWVPMYYEMKLGLIIYLWYPKTKGTGYVYETLLRPFLSRHETDIERHLNEMRARAYDVAIHYWNNSSELGHAKLLEILQYIASQPTRPRSEGPRNYENFGDRSPPPPPSPPVAPTTTPQPDQAEERVIPTAPPMPNISRRHPSNPGELETPGSPSGPPLNPGEKEPVWLRFKRSQGL >cds-PLY74247.1 pep primary_assembly:Lsat_Salinas_v7:1:77471686:77474795:-1 gene:gene-LSAT_1X65541 transcript:rna-gnl|WGS:NBSK|LSAT_1X65541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINTTTAAATTTNTASQPSASSSSNTAAQSLGLKNFFKTPEGRYKLHQDKTHPSSLLPYALAKSITQITLAHIKDQPVQAATNTPPLQPNSSYSVASSGVRYVTSKFLGSSGNGSRMLGFVGGNGTSSKANGGTSKSSHVGGGLTNGVNNSTPGSNTPDGKGTFLIFNVGDTLYISELNSEEKDPIKSITFGNSNPICHAFDSKAKEGHDLLIGLNSGDVYSVSLRLQLQDVGKKLVGAHHYNKDGTLNNSRCTSISWIPEREGAFVAAHADGNLYVYEKASFNFNINSKDGPGDSTFPVIKDQTQFSVAHARSSKSNPIARWHICQGPINAISFSADGRHLATAGRDGYLRVFDFLNERLICGGKSYYGALLCCAWSADGKYILTGGEDDLVQVWSMEDRKVVAWGEGHNSWVSGVAFDSYWSPPTSEDDESENAVYRFGSVGQDTQLLLWDLVMDELVVPLRRPPGGSPTYSRTQSSHWDNVVPVGTLQPAPSTKDVPKLAPLVAHRVHSEPLSGLVFSQESIFTASRDGHVKIWMRPGSSDCQVSSSDSCTPVKSLK >cds-PLY99506.1 pep primary_assembly:Lsat_Salinas_v7:1:114479345:114482222:-1 gene:gene-LSAT_1X88641 transcript:rna-gnl|WGS:NBSK|LSAT_1X88641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALNEAIEKAYVDNLFDYAEVNRKEPVNNGMLLLLPKEELEDEASPITTSTKCRGSSLFDVVNVYGNQVEDDLLTTGSPLTNPPLIDMISNDPILLGVKLIAEAWDCGCLYQVGVFPHWGIWSELNGKYRDTVRQFIKGTDGSSGAFAECLCGSPNLYQEGGRIPRNNVNFICAHDGFTLADLVMYNHKHNLANGEDNKDGESHNNRWNCRQEGEFVSISVKRLRKRQRRTFFLCLMVSQGVLEAIHISCAGYPTNKAFADFVK >cds-PLY90256.1 pep primary_assembly:Lsat_Salinas_v7:8:13866474:13869570:1 gene:gene-LSAT_8X9600 transcript:rna-gnl|WGS:NBSK|LSAT_8X9600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFTEPFKQTGPCCFSPNARYLAVAVDYRLVVRDVLSLKVVQLFSCMDKISYIEWALDSEYILCGLYKKPMIQAWSLAQPEWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLLNTACIHVQWPKHASKGVSFTKDGSFAAISTRRDCKDYVNLISCQTWEIMGVFAVDTLDLADVEWSPDDTAIVIWDSPLEYKVLIYSLDGRCLAKYQAYESGLGVKSVSWSPNGQSLAVGSYDQMLRVLNHLTWKVFAEFTHLSTVRAPCSAAVFKEVDEPFQLDMSDLSLTDDYTQQNSEYGPQGLIDVRYDVVELPITLPFQKPPADKPNPKQGIGIMSWSKDSEYICTRNDSMPSVLWIWDMNLLELAAILVQKDPIRAAAWDPTCTRLVLCTGTSHLYMWTPSGAYCVNVPLPQFSVMDLKWDFKGSCLLLKDKDLFCCAAVPLLPDSNTDYSSDD >cds-PLY74194.1 pep primary_assembly:Lsat_Salinas_v7:9:24580859:24583917:-1 gene:gene-LSAT_9X22300 transcript:rna-gnl|WGS:NBSK|LSAT_9X22300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVDCKPGEAYNQVVEVDVKVEEPGKDNVHNNTFYTQETLLKSESQAMRDCNLLSARHWIESFRRGFMLWLSSSIRLPFVLMITCGRSPLDIFLFPSHRFLLAIVNKHQVGGGSSSGQNAPTQCFFHGCSFLEIDLGHLKVTNEVSWHGPAEDQASVHRDYFLGFPFHQLSCHELG >cds-PLY67617.1 pep primary_assembly:Lsat_Salinas_v7:5:117663716:117666960:1 gene:gene-LSAT_5X51821 transcript:rna-gnl|WGS:NBSK|LSAT_5X51821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRFKSLSALRRSFQRQSHSCYSTISAQRDNYPQHHRFEEDVLVEGRAFSRAAILNRPSQLNAITTSMAGRLQRLYESWEDNSQIGFVMMKGSGRAFCSGADMVTIYRLLQEGNVTECKELFRTLYQFIYLLGTYLKPNLAILDGITMGGGAGIAVPGMFRIATDKTVFATPEVQMGFHPDAGASFYLSRLPGYLGEFLALTGEKLNGAELVACGIATHYSLSGKLAWIEERLGQLMTDDPSVISDSLAQYGDLVYVDKTSVLHKIERIDKYFCHDTVEEIVDALKEAESHDELCSTALKKLKEASPLSLKITLQSIREGRFQPLDQCLGREYRTSLHCISKQVSGDFSEGIRARLVDKDFAPKWDIPNLEAVTKDMVDSYFAPLPTSEPELNLPTALREPYM >cds-PLY74309.1 pep primary_assembly:Lsat_Salinas_v7:9:186830430:186832971:-1 gene:gene-LSAT_9X114661 transcript:rna-gnl|WGS:NBSK|LSAT_9X114661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGNRRKDKGHSNNSFSGSARHRVGRASPNSTEEPRYRTTLVPIDMPIVTGVAGSNNQGAISQQTGMADPLADLAGILRRRARETGQMPQVPHTDYRPQLPPQTDYRPQSLQMFLRTGGNDGFEDYCFEGADAEATFRSPHTPHTPMAPNTPLTPHGSASRGISGGHDSNASDFHASSLPLIRRHGEKFGDQKIHNACIHLFWENLDHPWAQFSDIPNEALLQMFSRFGTMYRWYSQENENIFDAFKCVLKDRYRDRMKGIRKQSADMARNDGKPLPPKFCSYYDGMHNYRPERVPETVWQRLCDEKLMGKPPTQYDVFVQTHGTAESKKKYFEGNHENIEYCSQTAKEALEGYLHGLVNKFGEDPSNRKDDVDVWEESQLRRKGKKKGAIYGIGASDIHFLVLGTPSSQSTQSTQSDSTQQEVDRLRAQVSVMEQQQQQMKEQMEMVMRMINMSGNQPHGPPDNPPEDN >cds-PLY77186.1 pep primary_assembly:Lsat_Salinas_v7:8:25785690:25787475:-1 gene:gene-LSAT_8X19801 transcript:rna-gnl|WGS:NBSK|LSAT_8X19801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPNKQAEGAIVPGEMKGSNEQEQGEGQVGVDQSVSAVKNFLWHGGSAYNGRFSCVSNQVAQVLLNSHTHSLNWVTVALAVAEVAFEFEHGLFTPPFVDPTL >cds-PLY97973.1 pep primary_assembly:Lsat_Salinas_v7:3:18269059:18275338:-1 gene:gene-LSAT_3X14081 transcript:rna-gnl|WGS:NBSK|LSAT_3X14081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGTQKSYTTNPNDYKLLEEVGYGASATVYRAIYLPTNDVIAVKSLDLDRINSNLDDIRREAQTMSLIDHPNVIRAFCSFVVKQNLWVVMPFMAEGSCLHLMKTAYPDGFEESAISSILKETLKALVYLHHHGHIHRDVKAGNILLDTNGVIKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGSGYDFKADIWSFGITALELAHGHAPFSKYPPMKLLLMTIQNAPPRLDYDRDKRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKQAKAPELSVKKLFGDLPPLWHRVKALQLKDAAQLALKKMPSAEQEAISHSEYQRGVSAWNFDLEELKFRASLVQDDDEIQDIRDLDVNSTSFANNEASNSKAATIEKHSTSEIESSDEVVVPSESLSNKESNLPEIDDKGSIIVEEKNRKETEKPQADKEAVVAQAKNRILTTTGTGRNRQTQSGPLVAAAVLNHSKSDRTRTLERCETESLQAVDKIHHQVRRAPSFSGPLNLPNRASGNSLSAPIKPSGGYRDSLDDKSKANLVQIKGRFSVTSEDLDLVKDIPLCTVPRRSPQETLLRKSASVGEWIFDSKQPTPREMNSSSVSVHNNLPASVLMPHLQNLFQQTSLQQDLILNLLNSLQPSDVAAEASQNGKLPPLPRSSENNGAVEISDRERLLLTKVSELQSRLTVLTEELSAEKSKYVQLQQRVDAITNREDGQKGEADAS >cds-PLY67318.1 pep primary_assembly:Lsat_Salinas_v7:8:235459712:235460579:1 gene:gene-LSAT_8X141500 transcript:rna-gnl|WGS:NBSK|LSAT_8X141500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIWTEEDGFILKPLGIQLRRNENKLFILVNDCLTGDPTVHDLSLTQQNLCIKNSGKTVHIFLNNYQALV >cds-PLY78738.1 pep primary_assembly:Lsat_Salinas_v7:9:49431124:49436537:1 gene:gene-LSAT_9X41700 transcript:rna-gnl|WGS:NBSK|LSAT_9X41700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGGCSIVWFRRDLRVEDNPALAAGVRSGKVIPVFIWAPEEEGHYYPGRVSRWWLKQSLSLLDSSLKNLGTSLITKRSSDSVSSLLDVIKSTGATQLFFNHLYDPLSLVRDHRAKEILSENGIIVRSFNADLLYEPWEVLDDEGRPFTTFAAFWERCLSMPYDPEPPQLPPKRIISGDVSKCPSDTLVFENESEKGSNALLSRAWTPGWSNGNKALTAFINGPLLHYSINRRKADSATTSFLSPHLHFGEVSVRKVFHQARIKQILWANEGNKSGEESVNLFLKSIGLREYSRYMSFNHPYSHERPLLGHLKFFPWVIDEGYFKVWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDSHELERIDNPQIEGYKFDPNGEYVRRWLPELSRLPTEWIHHPWNAPEFVLQAAGIELGSNYPLPIVKIDTAQTRLQQALTQMWQHEANSRAVLENGIEEGLGDSTDDTPIAFPQDMQMETEQDNLGNRVTTTIRHYEDQMVPSLTVTTSLFRGTEQEEEEEEESSTDHRNGGHDDRRAEVPDDDDMNLESGREGIQSVIPQFNNQIDLRNINGGGGGSHSPAESSSGTSFRRERDGVMVPVWSPGNSDTSSYLQRHPQSHQVINWRQLSQTG >cds-PLY74678.1 pep primary_assembly:Lsat_Salinas_v7:5:176492281:176493463:-1 gene:gene-LSAT_5X78501 transcript:rna-gnl|WGS:NBSK|LSAT_5X78501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKKELLASAPWRAGGDDERDKFKDAKLKVTAQPGSTPTMHVPGKRKPKDVAADEDDSLEIDPELRYSFQRNFQFLQRVFSIDTLVKPLPYSMQYNVSRNLSFFTRIFTQFYDPQGIANAQKALGIGQEDKVRNVR >cds-PLY87483.1 pep primary_assembly:Lsat_Salinas_v7:2:134566090:134571078:1 gene:gene-LSAT_2X63381 transcript:rna-gnl|WGS:NBSK|LSAT_2X63381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHSKPEALPPPPPVIPSNFAPAKFDQSNRRPMARSELGTKGQRISLLTNHFNVKLSRTNDHFYQYNVAFFNEDGNPVESKGIGRRLLDMVHKTYESEMGGKEVAFDGEKTLFTVGALPGTKLEFIVVLENASSNRTIRGETKRSRRLPQSKPYKVTLSYATKIPIQAIVNALKGQDSEQFNDAMRVFDVLLRQHAAKQGCLLVRQCYFKNDPRNFIDIGGGVMGCKGFHSSFHATQSGFSLNIDVSTTMIVKPGKVVDFLLENQNVCCIKEIDWLKARRTLKNLRIKTFPSNLHYKIIGLSEKTCREQTFSLKKNNHRDEAIDITVYQYFVEYHHKHLEYSADYPCLVVGKLKSPTYIPLELCELISLQCYTKPLSNSQRASLVKSRQKPQDQMRALMGELKQSNYDADPLINATGITISNTFTKLEGRVLDPPKLKLGSGRYLVPSGGRWSFNNKTFVEPTRITHWAIVNFSSCCDMNTLRRDLPRCSQAKGLDLDPPSAVIHENSQFRCSPAHVRVDKMFEAIRQKLSSPPTFILCILPERKNSDIYGPWKRKCLVDLGIVTQCIAPIKIDHQYVTNMLMKINAKMGGINSLLSMEFANAIPLVSRTPTIIFGMDVSHGSPGRADVPSIAAVVSSRKWPQISRYRASFRAQAARLEMIDGLFEPVLEEKDEGMIRELLDDFYLSTPKLKPKNIIIFRDGVSESQFNQVLNIELNQIIEACKFLDEQWDPKFLVIVAQKRHHTKFFQANSEANVPPGTIVDNKVCHPKNNDFYLCAQNGKIGTTRPTHYHVLLDQIKFSADELQELVHSLSYVYQRSTTAISAVAPIRYAHLAAGQVAQFVKFDDMSDTASSHSGGDAGGFTQLPKLHHKVSSSMFFC >cds-PLY71558.1 pep primary_assembly:Lsat_Salinas_v7:3:53214538:53215018:-1 gene:gene-LSAT_3X39160 transcript:rna-gnl|WGS:NBSK|LSAT_3X39160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIKVKGVSSNHESQNQEHLLGTYGENDCKPSTPRGFFVLYVGEERKRFVIPTRYLSHPLFKMLLEKAHNDEYQKNGLMVPCSVANFREVVNKVTIE >cds-PLY81316.1 pep primary_assembly:Lsat_Salinas_v7:4:37585923:37587424:-1 gene:gene-LSAT_4X25061 transcript:rna-gnl|WGS:NBSK|LSAT_4X25061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYVERGVVKSNRSLWRLRTITDFFWAIINFISVFFATMFSMEKSDGYKKQSGSGKKWDGGGPGGGPYGGGGGQRGPPRGLDNVRGIDHNSLPACGSCCGG >cds-PLY67985.1 pep primary_assembly:Lsat_Salinas_v7:9:109405655:109412690:-1 gene:gene-LSAT_9X81240 transcript:rna-gnl|WGS:NBSK|LSAT_9X81240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFNWVSFLRITLLLLLVAAITVACFTLPVEKTLKNFLLWVEQDLGPWGPLALAVAYIPLTVLAVPASVLTLGGGYLFGLPIGFLADSIGAVIGATAAFLLGRTIGRSYVISKLEDYPQFQAVAIAIEKSGFKIVLLLRLVPLLPFNMLNYLLSVTPVSIWEYMMASWLGMMPITFALVYVGTTLKDLAAVTHEWSQFSKTRLALVVLSFVVSVVLMVVVTKVAKSALEKALAEDVDIAVVDDIGSNSSTVVDLHEPLLVQD >cds-PLY82037.1 pep primary_assembly:Lsat_Salinas_v7:9:157325269:157326378:-1 gene:gene-LSAT_9X98401 transcript:rna-gnl|WGS:NBSK|LSAT_9X98401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCVYHLFLLYRYLKHPETTAIGYENHNKKAWVEKMLLFEAKDRGFCVSVLSGHLSASTSLSSISLVLCSLIGALLGNSTNNFTSNFILGDTSESTNAIKYIAILSCFLLAFACFVQTTRHFVHGCFLISIPTGDVPVECIQKAVLRGSNFWALGLRALYFATTLLLWIFGPIPMFVGSVVTVIILHCLDINKDPMIQYGSNRSGNDGGGHLLRKIGHELTSVVEAFEHNGRPQRN >cds-PLY71267.1 pep primary_assembly:Lsat_Salinas_v7:5:182141436:182144568:-1 gene:gene-LSAT_5X81221 transcript:rna-gnl|WGS:NBSK|LSAT_5X81221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTALLRLPNQKALIDYMEFFSLPNLLLVVLVNFFPQFGTPRVGPRIRLLQTTILIQVGFPRVFLAKHKGREPFVVTMQPPNVTGSLHIGHAMFVTLEDIMIRYNRMKGRPTLWLPGTGHVGVATQLVVERMLAFEGIKRAELGREEFTKRVWEWKEKYGGTITNHKSKFTSITVKGDGPIVLVLAPTHELAVRIQQEATKFGASSKIKNTCIYGVVPQGPQVRDLQKGVDIIIATPGRLIDMLESHHTNMRRVTYLVLDEADRLIDMGFEPQMKKIIRLDCQTLYWSATWPKEVEQLARQFLYNPYKVVIGSQDIKANHSVQQHVHIVTENQKYNKLVTLLDEIMDGIRILIFMDMKKGCDQITRQLRMDGWSALSIHGDKSQAERD >cds-PLY98178.1 pep primary_assembly:Lsat_Salinas_v7:1:141364702:141366272:-1 gene:gene-LSAT_1X102361 transcript:rna-gnl|WGS:NBSK|LSAT_1X102361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKKIENVSCRQVTFSKRRSGLFKKAHELSVLCDAVVGIIVFSNTSRLYEFSSSSMKGIIDRYNRAIGSSTSQMQVETVEVGVVLESLVLMVEEVVIVAEGVSGGDGGGGNRGGGGDDRVSSNNDGGRVVV >cds-PLY99754.1 pep primary_assembly:Lsat_Salinas_v7:9:52430159:52435113:-1 gene:gene-LSAT_9X47120 transcript:rna-gnl|WGS:NBSK|LSAT_9X47120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSHGSSFSSFNSYFHALSDVPSRFIRRAGCVSTTFEETSRIRSRSGADMRKNLRWYDLICFGIGGMVGAGVFVTSGTASHDKAGPAVILSYAIAGLCALLSAFCYTEFAVHMPVAGGAFSYIRVTFGEFTAFLVGANLVMDYVLSNAAAARSFTTYLGTAIGVSAESKWRITVSSLPKGFNQIDIIAVLIILILTVIICYSTRESSVLNMILTAVHILFIIFVIMMGFWKGDTKNFTEASDPNHPSGFFPFGAPGVFNGAALVYVSYIGYDAVSTLAEEVKNPITDIPIGVSGSVILVTILYCLMAASMSMLLPYDLINPESPFTGAFMENSNGFNWVSNVIGVGASFGILTSLLVAMLGQARYICVIGRSGVVPIWFAKVHSKTSTPVNASVFLGILTAAIALFTELHVLLNLVSIGTLFVFLMVSNAVIYRRYVSLGTTIPWPTLSFLLSFSFTSIMFTLLWWFAPPGKPKGFMLGACSIIGVGLIQLFSYMVPQARKPDFWGVPLMPWIPCVSIFLNIFLLGNIDTASYIRFGFFSAVVVLVYVLYSVHASFDGEEDGIVCQKNVEMVKESIDIEDQTLKIQS >cds-PLY87307.1 pep primary_assembly:Lsat_Salinas_v7:3:155883455:155885790:-1 gene:gene-LSAT_3X98561 transcript:rna-gnl|WGS:NBSK|LSAT_3X98561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKKVKFDNFQPESVKFMAREIKILRKLDHPNVMKLEGIITSRLSCSIYLVFEYMEHDLAGLLSSPDVKFTASQIKCYMMQLLKGIDHCHSRGIIHRDIKSSNILVNNEGVLKIADFGLANFYDSMSRQPLTSRVVTLWYRPPELLLGSANYGPFIDMWSIGCVFGELFVGRPILKGRTEVEQLHKIFKMCGTPPDEYWTKTRHPLAAMFKPQFTYESSLRERCKELPRTVVDLIDQLLCVEPEKRVTANSALQAEYFYTKPYACDPASMPKYPPNKEMDAKSRETTRRRKLAGRVRSSGGSRNHRKVHAGVPEGPYHMRSREYVRPNASYNTLSEASQMTETLQDDSICTHSAQSTSSDGSFMYATKRRNLEKRHSMQRIDSLYSSEVYKPEELLSSVCHLSKIWHYVSNCFLQKKKLFF >cds-PLY63561.1 pep primary_assembly:Lsat_Salinas_v7:9:151831172:151831363:-1 gene:gene-LSAT_9X96021 transcript:rna-gnl|WGS:NBSK|LSAT_9X96021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADTVDQMLIQMNLAKSYRANLIEIRLDSLKGFNAQEAIQILVKLSPLLTLFTYRCYFYICHS >cds-PLY77358.1 pep primary_assembly:Lsat_Salinas_v7:2:64901148:64901823:1 gene:gene-LSAT_2X28381 transcript:rna-gnl|WGS:NBSK|LSAT_2X28381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATISLRKGNTRLPPEVNRVLYVRNLPFNITSEEMYDIFGKYGAIRQIRLGTNKDTRGTAFVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQQAKMSKKFDQKKKEEELTKLQEKYGVTAKDLQ >cds-PLY88849.1 pep primary_assembly:Lsat_Salinas_v7:3:193191138:193192625:-1 gene:gene-LSAT_3X115260 transcript:rna-gnl|WGS:NBSK|LSAT_3X115260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLFSRYLHTIPSPSPFKSSIIDYLTESLGFSKPRALSLSTRFSSSETNPQSVIQLLKSLGFNSTDIQNWVDESPQILFADAEKTLKPRIQFFQNLGLAGSELSKFISKNPGYLSNRFERLKPCVDVIKELMNHDNENLIRTLTRCNWADIKQPVTRISANIKYLEQCGIVGSQLVTITTRQPRLLIMGESELKDLVSKVLDMGFSLDSRMLVHALYTLSCMSDETIKRKFKLFQSFGFTKVECLDMFKRAPGLFRVSESKLNLGIEFFLNTVKFDKAVLVRRPTCLMLSLEERVIPRYKILNILTSKKILKKTPKFLNVMWLPEDEFLEKFISKNRDYADELLLAYKCGDLVVPKE >cds-PLY86546.1 pep primary_assembly:Lsat_Salinas_v7:4:297227549:297228094:1 gene:gene-LSAT_4X149040 transcript:rna-gnl|WGS:NBSK|LSAT_4X149040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAVKKEAHEAIDDASDSLLVAGHKEDQRKEKARTRRRRRRSPRNPSWVAHLVADSSQARRRHLSCLVGPDKGIRSRAARLSSMVLVAPPLPMVHDMGPRNRKNGESRGGCCPLGVFLAAIEGQTEAEGFACD >cds-PLY69681.1 pep primary_assembly:Lsat_Salinas_v7:5:213687588:213689316:1 gene:gene-LSAT_5X97461 transcript:rna-gnl|WGS:NBSK|LSAT_5X97461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGAKGLIMGKNAALNKDKDKDKKKPTSRSSRAGLQFPVGRIHRLLKQRTTANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSAKE >cds-PLY65376.1 pep primary_assembly:Lsat_Salinas_v7:6:40028105:40028611:-1 gene:gene-LSAT_6X30640 transcript:rna-gnl|WGS:NBSK|LSAT_6X30640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTRNSVHHRPKFLHLEAFVADQRISSCDEDDFDNFEMIKFDIWNTNDDYHINTQIPISKSMVTSRKQPIKPPRSNQRTLPNTGSLPVNVPDWSKILRDAYKQSDSDHVDDDDCRGGCDDHWLPPHEYLSRIRSASLSVHEGVGRTLKGRDLSRLRNAIWKQTGFEQD >cds-PLY77230.1 pep primary_assembly:Lsat_Salinas_v7:4:177421366:177422306:-1 gene:gene-LSAT_4X103920 transcript:rna-gnl|WGS:NBSK|LSAT_4X103920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLVKFDFITGSRRNSTLATLDGIDVMGSRLADEVISVIERNPKVEKISFIGHSLGGLISRYAIAKLYTQNQTYQDRSGKIAGVEAINFITIATPHLDSRGHRQVPMFCGVRGLEKVGYHSSVVVRRTGRHLYLKDNDNG >cds-PLY98451.1 pep primary_assembly:Lsat_Salinas_v7:1:9701369:9702104:1 gene:gene-LSAT_1X6921 transcript:rna-gnl|WGS:NBSK|LSAT_1X6921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLRRPPGHKAYPWDVFYLHSRLLERAAKLNSLLGEGSMTALPIVETQSGDVLAYIPTNVISIIDGQIFLYVDLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFASDFDKATHNQLARGQRLRELLKQSQSAPLGXTIPIRPSRGRRTEIGQVRKFLVELRTYLKTNKPQFQEIISSTKTFTEEAEAILKEAIKEQRERFILQEQAA >cds-PLY96542.1 pep primary_assembly:Lsat_Salinas_v7:5:336698858:336700666:-1 gene:gene-LSAT_5X189761 transcript:rna-gnl|WGS:NBSK|LSAT_5X189761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SLOW WALKER 1 [Source:Projected from Arabidopsis thaliana (AT2G47990) UniProtKB/Swiss-Prot;Acc:O82266] MAVDDRSEAIVKTFAVKPKLKPTTNKSTPTPESKYWKSFKPKQTQTLVSSITSLSFSPTAPHDIAATHSATVTIFSSQTLEPKSTISSFKDTATSACFRSDGQLIAAGSYSGQIQVFDPKKRSALRRLRGHSRPVHFVSYPRVDKLHLYSGGDDAVVKYWDVSSEILIHNLIGHKDYVRCGDGSPASDDMFITGSYDHTVRVWDVRVSNQGSMMNINHGAPVEDVIYLPSGGLIATAGGNNVKIWDVIGGGKLLHSMESHNKTVTSLCVGKIGKDSGEFSNQYRILSVSLDGYMKVFDYSTLKITSSIRYPSSLMSVAFSPDCTTRVIGTSNGILYAGKRKTDENLGSVKSEWGKYVGFGAIDEPEKRVLRPSYFRYFHRGQNEKPSKGDYLIMRPKKVKLAEHDKLLKKFHHKEALVSALRAKNPENIVAVMEELVSRKKLLMCVSNLGMEELGLLLSFLQRYSTMPRYAGVLIALAKKVVENRANDISGSEELKGHIRNLKRSIMEEIRIQQSLQEIQGIISPLLKIAGRR >cds-PLY87275.1 pep primary_assembly:Lsat_Salinas_v7:1:50898565:50900576:-1 gene:gene-LSAT_1X42940 transcript:rna-gnl|WGS:NBSK|LSAT_1X42940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNQRWVAAAFTSDALVAELLFLLKQSASSHVSPPPTTXRLKQSASSHVSPPPTTSTTTATTTASLNPVGWGDRKSRSKPPSTAAFSVTNGFGKGQQRSPSTPLSWSNGGAPSTSDGCDESSRPSDLSSGDRYVKVESSTTHMDNKSRKRKNDLHRVPKKEVGQFIQVSGKVESEIEIRKRQFVLPDLNETPVACEELAGL >cds-PLY71397.1 pep primary_assembly:Lsat_Salinas_v7:3:218114714:218115385:1 gene:gene-LSAT_3X126460 transcript:rna-gnl|WGS:NBSK|LSAT_3X126460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSISELACTYACLILSDDGIPITAEKITTLLKAANVSIESYWAGLFAKLAEKKNIDDLILNVGAGGGGGAAPAVSAPAAXXXXLLPLLPQRKRRKNQRKRVMMIWDSAYSIRSRFLIIFCCKNSNMHDFILFCGWMFKKQ >cds-PLY90711.1 pep primary_assembly:Lsat_Salinas_v7:8:141819538:141823751:1 gene:gene-LSAT_8X97321 transcript:rna-gnl|WGS:NBSK|LSAT_8X97321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFVDGQGGARAEYYEYKITWYFIFASIVAALGGSLFGYDLGVSGGVTSMDYFLKEFFHEVYKRKQEHLKETDYCKYDNQLLTLFTSSLYFAALLSTFIASHVTRNKGRKASILCGAVSFFSGAILNAFAQNIAMLIIGRCLLGIGIGFSNQAVPLYLSEMAPAKIRGAVNQLFQLTTCLGIFIANFVNNATEKHPKGWRLSLGLATVPATLMFVGGLFLPETPNSLVEQGRLEEGRKVLERVRGTTKVDAEFEDLIEASEAAKAIKHPFRNLLKRKNRPQLVIGALGIPAFQQLTGMNSVLFYAPVIFQSLGFGSGAALWSSTITTGSLVVATLISMAFVDKFGRRAFFLEAGFEMVCCMVAVAVTLALKFGQGVVLSKGIGIFLVVVISLFVLGYGRSWGPLGWLVPSEIFPLETRSAGQSMVVCVNMLFTALIAQCFLISLCHLKYGIFLVFAGLIVIMSLFIYFLLPETKQVPIEEIHLLWQEHWFWKRYCEPEDQVQQVGRKVEHV >cds-PLY71536.1 pep primary_assembly:Lsat_Salinas_v7:7:28948702:28949933:1 gene:gene-LSAT_7X22660 transcript:rna-gnl|WGS:NBSK|LSAT_7X22660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAETEIDIKQPEQVARVVTKRKPVFVKVGDLKPGTNGHTLAVKVLSATTVLDKKSRYTSSYTSRPGAAHTRISECLIGDETGTVLFTARNDQVDLMKPETTVIIRNAKIDMFKGSMRLAVDKWGRIEVTEPASFTVKEDNNLSLIEYELVNVEE >cds-PLY89726.1 pep primary_assembly:Lsat_Salinas_v7:7:42390407:42393832:1 gene:gene-LSAT_7X31061 transcript:rna-gnl|WGS:NBSK|LSAT_7X31061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAKNQEKKDVDQVSATCLYDLLHIDTKENWNDESDEQTSSSNSSAAKKRLASFSSESGNELCADCGSPSPKWVSANLGAFVCIKCSGVHRSLGVHISKILSVNLDEWTEEDVDNVIKLGGNEAVNSKYEDSIPENRRKPQPDSSIEDRSDFIRRKYVMQQFLNMEEQLSCPFNPAALNCSSAGLNSVVEKKYLNSMCMHNISQAFLNTRKRKDAEVKPARKSNSTAGMVEFVGLIKVNVVRGIDLVIRDVRSSDPYVMLCLGNQSVKTRVIKNNLNPVWNEKLMLSIPDDIPPLKVVVFDKDKFTTDDYMGEAEVDIEALVSAAKALENGNANEAEKKLAEDKDKPNVNKNGVVKLAERKAKQEVSLKLQNVESGEIVIEIECVPLTQ >cds-PLY76744.1 pep primary_assembly:Lsat_Salinas_v7:7:62179047:62185887:-1 gene:gene-LSAT_7X43900 transcript:rna-gnl|WGS:NBSK|LSAT_7X43900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIQRPPNDSWDYMLPGPPSRNNGGSADLRSTGLLAYAAGSSVAIVDSRSMQLVSVLPIPPPSASTANSTTSSSTSSYLSPFVTAVRWCPTPLRLDLLSHDPTSSHSHLLLAAGDRQGRVCLLDPRVKASAPLFLQTDPNSKLGIQDLCWIQSRPDSWIIAAITGSSLLSLFETSTGRCFFKYDAAPETFSCIKRDPFDSRHFCVVGLRGFLLAIKVHGDNSESDVVLKELQIPTDVSELNKLERDAAAASTSGSINNSPAMASFPTYVVKIAFSPHWKHILYVTFPRELVVFDMQYKTALSRINLPRGCGKFLDVLPDPSLEVVYCAHLDGRLSAWRRKEGEQVHVMCMMEELMPSLGTPIPSPSVLAVVISRSDSTLQNVHKHLSDGHHTSSPAMDFDNPFDFCDETPVISKTHLLSISDDGKIWNWLLTAEGPTENQKAASDVAIVAEISKDKDSSLDTNSGVDSSFGSVNDVVKQTDKENIRKGRRSSSKKNKDELSLKISLVGQLHLLSSSVTMLAVPSPSLTATFARGGNHPAVAVPLVALGTQSGSVDIVDVSANAVAASFCIHDSVVRGLRWLGNTRLVSFSYTQGNEKTGGFTNRLVVTNLRTGLNRTFRVLQKPERTPIRALRASSSGRYLLILFRDAPVEVWAMTKTPVMLRSLALPFTVLEWTLPTVPRPTQTAASKQSDTSAPPETDSNGGQEEFSETFAFALVNGALGVFEVQGRRIRDFKPKWPVSSLVSSDGPVRAMAYRLPHVVMGDRSGNIRWWDVTTGQSSSFNTHREGIRRIKFSPVVPGDRSRGRIAVLFNDNTFSVFDLDSPDPLANSLLQPQFPGTLVLELDWLPLRTDKNDPLVLCITGADSSFRLIEVNIEKRSGYGAQYGSIKERFRPMPLCSPILLPTAHALALRLILQCGVKPSWFNTCSTIINNKNNELSLGDLRSYLIDIPTIGDTVVPELLLKVLEPYQREGCILDDEMVQEYGSIVNKGSAARFSFSAAIFGETSEAYFWLQLPHALNHLMNNLANKSLQKEKEKTPVKSSSEEIDDVSLLTRISSKGKSGTGSSNTNTVSDGELKLMAFEQEELWESANERIPWHEKLEDEESIQNRVHELVSIGNLEGAVSLMLSTPPESPYFYPNALRAVALSSAVSRSLNELAVKVVAASMVSTDRSLSGTHLLCAVGRYQEACSQLQDAGCWTDAATLAATHLKGTDYARVLHRWAEHVLHAEHNIWRSLILYIAAGSLQDALAALRRAQQPDTAAMFIIACREIHAKFIEKLDPEKESDSLIKEKLVVLPGLNPENEDVIAAGELYGQFQQKLVHLCMDSQPFID >cds-PLY90061.1 pep primary_assembly:Lsat_Salinas_v7:6:16742538:16744606:1 gene:gene-LSAT_6X8680 transcript:rna-gnl|WGS:NBSK|LSAT_6X8680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHPKHLQVIVKIVPVKHGHKLRITWAMTPGIHHYMEGTTNVEHIIGLLFKYITLLQQSGVCKWIFDELSAIREMTFHYQDKIPPIDYVVKFSSNTQVNIPLLLRKSQYSRLWYNTNTTFSTPKAYVKLDFYCPFASSSPEANVLTDISTRLLMDYLTEYTYDAQVVGLYYVICHTDNGFQVTLTGYSHKLEILLDTVILKITTFEVKEDRFYVIKELLIKE >cds-PLY82254.1 pep primary_assembly:Lsat_Salinas_v7:1:65754567:65758399:-1 gene:gene-LSAT_1X56201 transcript:rna-gnl|WGS:NBSK|LSAT_1X56201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKALTEEAEKTGIESGKTDLAPVGKNTTKKKVKIVKKIVKKKIIRKVPKRVPVASSHCNDIEKVASPNPNDNSPMEAESFVPVEIENPNLVVNDSTEVEDMKEFEVSNKDKDVRLVENNDCCRVESQRSELVSASLLGDQTQIELHDSEHTINNMDAEEHAGEEGVKEQEEKVFKMDDGVSEDTKVEENVKEHTERSECGDANANLAGGLSNGVKEEEDMDKQVDNKEHIDLKLSDAVEPEEGMKEVVNNGLKSQGSSEKQVMSGEMVASRLNMKHRTKVFIHGFDKETKEEDIRKVFEKVGEVVEVRLITNFRSGKSRGCGFIKYASADLARLALNKYNTVEIRGRLCHTAAVDGSDTILLNNINKNWNNEHVLTLLQKIGIKNIDEVSVVSDPKNPNLNLGFAYLELETKRDAQVVYNKLQNKNIFGAHSNIKVTWAHLSADPVKEEIHNNNNNNTTTTTNNNNNNDKNSKSVYAENIPCTWGEKEVRDHFGKFGEIESIALAKNIRSTTRNDFAFINYKKCEGALSCIEALTCKKSMGDDGLKVSLSKSMPKVKSMKTISGSTGSTVTEVKQKTYLRPHKKPQNMKISSISRHDEDGRRKAESSSTTDELVKLLREQASWKHGGPSLTLTPGMNTGHHHHHQHQHQPSFGFGGKQLFTQVESRSLYHDPQSIPYSYHQTHLQIPNAAATTHPRFISRPTTDGVAMPMTSFPHIDPQHGRYTSGSFNGNPVPRYFQTRDQTTYHGSSSGSSIYRQMH >cds-PLY95047.1 pep primary_assembly:Lsat_Salinas_v7:5:226988300:226991367:1 gene:gene-LSAT_5X106820 transcript:rna-gnl|WGS:NBSK|LSAT_5X106820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEIVAYRLRSMVVAEVASLLHDDVLDDADTTRGIGCLNSVMGNKVLYLFSEKNKQGQIVALMIVPVLGISPESSSHASSIFNYALSLGIRNQFMNILRDVGEDSTDCELLETNQEHFIFFIRYSDSLSLFSVFILNIIKCLEMYGIPVPRYALVNRDKPYQDLEYFVEEEDFVEVHGQRFWKPFVEKPVYVAWNCIGTKLASGSVDQIAWVWHIEPHGHCKVKDLELKGHTNSVDQLCWDPKHADLIATASGDKNVCLWDVCSGKCSQQAKLSGENINITYKPDGTHVAVGNQVNEIAWNMSGDMFFLTTLPSGVGNPNLAL >cds-PLY61671.1 pep primary_assembly:Lsat_Salinas_v7:9:158465696:158468360:1 gene:gene-LSAT_9X99100 transcript:rna-gnl|WGS:NBSK|LSAT_9X99100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFVANFVILVCTCFGSCNKQGACNLKLLPYLSESYKLDNFDWCTYVLNCVKEEKLIWGRSDIKTFFNGASVFLTLLYVDRIQCRQMLMVRRYPVINNWTLEQLKVREMNEISNGGFGRPSATVESVDEVYRGSILEFHLEMKWCLVDMSKKVDKAFKTHGNLKIVEFYGMKLKELCSAYKAFRHSIYKCEYPFQSSGKSSSCDDKSNEFRDVGQSINNNVGGNKYGSANLFGKSGNMETKLHVDCEGGHKEKCVVEGIPSFNLGIDDDMHTPPKVSSGVVVNKYGSANLIGKSGFIETKLPLDCKDGHKEKCVVESIPSFNLGIEDDMYTPPKVNPGIDSYVSKNSVSVGISSDSVKGNEPKSRDETKKVKILEKDMISSRLKRSQTLPPVLRSPFVVRAVEIDSNLTKEENIKSNWLFSLCGNPTDDLFHSINGQRGERYMFESLCPGEFLFSGTIDCFVEVLNYDERARNLDTPSCFFFKTAVLDPAYMHSEACKYDDVYQNFKENVFHCLGESKERRNLKGIDLMFFPACANSHYFVFVFDFKNRKAVILDNILYKSSEKPYPHLTQNLVIMYEMEFVDQYMTWKTRGNSNDCGIFLMRHMETYKGGPLAQWKCGFKMESVEQILQLRNLRRRYSMKILLSEVNLMKNEVQQLLVEYQKLSANDSRVMYHEGIINIAARLAAFGP >cds-PLY90315.1 pep primary_assembly:Lsat_Salinas_v7:2:198366087:198368119:-1 gene:gene-LSAT_2X119361 transcript:rna-gnl|WGS:NBSK|LSAT_2X119361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TSC13 [Source:Projected from Arabidopsis thaliana (AT3G55360) UniProtKB/TrEMBL;Acc:A0A178VGP2] MKVLVTTRSGRELIDGGLVLDSSATVADLQEAIHKRIKKYYPSRQRLTLPLQPGSKEKPVVLQYKKSLKEYTTGNPNSDTITVVFKDLGPQVSYRTLFFFEYLGPLILYPLFYYLPVYQYFGYKLEKRVIHPVQTIAMYYWCFHYFKRIMETFFIHRFSHATSPLSNVFRNCAYYWSFGCYIAYYVNHPLYTPVNDLQVKIGLGFGLIMQISNLYCHVILRNLRSPSGNGGYQIPRGFLFNIVTCANYTTEIYQWLGFNVATQTVAGYVFLIVAASIMTNWALAKHRRLRRLFDGKEGRPKYPRRWVILPPFL >cds-PLY76298.1 pep primary_assembly:Lsat_Salinas_v7:7:123951805:123955149:-1 gene:gene-LSAT_7X74860 transcript:rna-gnl|WGS:NBSK|LSAT_7X74860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVPRQMLTRALHIRHIRQSSTSAAALIKDYDETPLTYLEGFPKPDPKHAETIHALPRGLSGKNISAKERKAGRVPSIVFEQEDGQHGGNKRLISVQNNQIKKLVNHLGTSYFLSRLFDLEVRADVGSDDVIEKVRVLPRLLHLHAATDAVLNVTFVRAPSHALLKVDVPLVFIGDDVSPGLKKGSYLNIIKRTAKFICPADIIPPFIEVDLSELDAGQKLVMGDLKVHPALKLARSKDEPICKIAGARASLEQKKSK >cds-PLY74394.1 pep primary_assembly:Lsat_Salinas_v7:6:154661245:154661684:1 gene:gene-LSAT_6X93680 transcript:rna-gnl|WGS:NBSK|LSAT_6X93680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTDKYMNGGCFQGTTRSIHELAATSEHLLLGLHLLKTPSLRHASDASHVPSFVVQQPFDPAS >cds-PLY65562.1 pep primary_assembly:Lsat_Salinas_v7:5:311898769:311900688:1 gene:gene-LSAT_5X170940 transcript:rna-gnl|WGS:NBSK|LSAT_5X170940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMPDPQIRTTTRNRNRPRRLSACHRHPSEPVTGFCALCLRDRLAGLDSSAAEAQVEKVAVCSRRSRGHAGASSSTAAPGLRRSKSVAAEKGESLNGLVTDQRRKSCDVRGRSTLSDLFVVDDTKKGGGGVARVESRNLGFSSFAEPVLETKEDEEDDDEEEHDDDDDDDDNEIRVSGDVFVRNEVDDDGDIEEGDLKTMKEFIDIELQNKRRNFWEAASVFSQKLRKWRQKQKQKKQNRDCNGGTDIDRSKLGQFRDTQSEVADYGLGRRSCDTEPRFSIDAHRLSVEDPRFSFDEHRSSWDGYMIARTIPRLTPMLSIVDSMIIPPPVNRGTITTMENLQMHSISEDGASSGQSNSNSDASTSNRGSSSSSMKSFSTKTTGLGGDEVKSASNARVSPANDVIFQGTKLVITENELKDWHLKSTKNSNIASVSVSNTPISTTVNNSSNMHKKAMPSKWRKVCNLWSHKKNLEEIPENVNSNPKLDRNTNSMNSRNFVLDRNRSTRYSTSDLDNNGLLRLYLTPFRNSTRRSKSGKSSSKGRNMSPSMASNNFQLN >cds-PLY81357.1 pep primary_assembly:Lsat_Salinas_v7:4:35349845:35353211:-1 gene:gene-LSAT_4X23560 transcript:rna-gnl|WGS:NBSK|LSAT_4X23560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha,alpha-trehalose-phosphate synthase [UDP-forming] 6 [Source:Projected from Arabidopsis thaliana (AT1G68020) UniProtKB/Swiss-Prot;Acc:Q94AH8] MVSRSYSNLLDLASGELPSPSFTRMSRQMPRIMTVAGIISDLDDDPSESVCSDISSSSVQHDRLIIVANQLPIKAHRKTDGSRGWTFSWDENSLLLQLKDGLGDDEIDVIYVGCLKEEIHPNEQDEVSQTLLETFKCVPTFIPLELFTRFYHGFCKQQLWPLFHYMLPLSPDLGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDFVWIHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCSRLLGISYESKRGYISLEYYGRTVSIKILPVGIHMKQLQSVLNLPETESKVSSLIKQFQQQGKTMLLGVDDLDIFKGISLKLLAMEQLLIQHPEWQGKVVLVQIANPARGTGKDVKEVKTETYSTVKRINESFGRPGYDPVILIDEPLKFYERIAYYVTAECCLVTAVRDGMNLIPYEYIISRQGTNNLDKVLGLEPSTPKKSMLVVSEFIGCSPSLSGAVRVNPWNIDAVSDAMDCALELSEGEKQMRHEKHYKYVSSHDVGYWARSFYQDLERTCKDHVRRRCWGIGFGLSFRVVALDLEFRKLSMEHIVSAYKRTTTRAILLDYDGTLMPQSSIDKSPSLKTIEMLNTLCRDKNNMVFVVSAKSRNTLEEWFADCEKLGLAAEHGCFLRSKRDEEWETCVQFEECGWKQNAEPVMMLYTETTDGSTIEDKETALVWSYEDADPDFGSCQAKELLDHLESVLANEPVTVKRGQSSVEVKPQGVSKGLVAKRMLTTMQERGMTPDFVVCIGDDRSDEDMFEVITSSVASGELISSKAEVFACTVGNKPSKAKYYLDDTVEIARLMKGLASVSEQSVNVLAFE >cds-PLY88985.1 pep primary_assembly:Lsat_Salinas_v7:5:161333787:161335130:1 gene:gene-LSAT_5X70381 transcript:rna-gnl|WGS:NBSK|LSAT_5X70381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDLPAKGTDLWELPLRYNNKGITIGSPPRPPPQVHENPMISKSKDQSSTDMRIGIKKRKRRNKQQNVVELEPSCWSRICPQDVVGIFLNGKLLAPEMKLPYVVKQWMAIVNSERKITKIGSSAENFCVKLTYARRE >cds-PLY93160.1 pep primary_assembly:Lsat_Salinas_v7:9:3352763:3354607:-1 gene:gene-LSAT_9X4760 transcript:rna-gnl|WGS:NBSK|LSAT_9X4760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCTVVEESNAAAVDLVSPPRIESANEKRLYRRLSALGATGGTVAQTLNQYIREGNFVKKIELERCIRELRRYGKYHHALEVMEWMDKRDINFSNTDFAVRLDLISKVHGVGAAEGYFHDLSPHLQNRNTYGALLNCYCKLKMTDKALALFKEIEAKNFTTTLAFNNLMTLYMGVDQPEKVSPLVEEMKKRKINLSNFTYNIWMHSCSLLGDIEGVERVFEEITKEKKETCNWTTYSNIAAAYVKVGNHEKALSALKMLENEMGLGLGQPSREAYHFLITFYAALNNQEEVHRIWNVLKSSFETISNMSYRIMLQALSKVDDLDGLKKCFVEWESNYSYYDTRVANAVISGYLRHGMVEEAELVFHGSVKKSKGPFVQTLALFMKHYIGIQQMDLALKCIETAATQSENSNWFPHIDSIHVLMKYFEKERDVESAEKLMEILKRLKCVDDKVYGLLLQTYIAADKMDPDMLHRIQEDGILVTTELQHMLKKVCPQ >cds-PLY65418.1 pep primary_assembly:Lsat_Salinas_v7:1:61428289:61429206:1 gene:gene-LSAT_1X52001 transcript:rna-gnl|WGS:NBSK|LSAT_1X52001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDSPRFDPSFQWEPSSPIPNFQPPILSSSSLLSPPPFVANHPFTPPKSAITTFFAPSHMSPPPPPPPHSTITTFSAPLKMPQPPLEMSTFFAPLRPSPQPSIITTTFAPSQRYAPPPTTTFFAPSKTSTPPLSTTDSQRLVAPSSVQPAQTLPPPSPPTTTTKTTTRKRSRKFKDRKIDDRGLRIRLPAPCAARLFKLTNELHFKTHGQTVRWLLQQSENAIIAATGTGTFPAGFSSISESITKNHHQTPISGPVMMNSTGYIPQSVSPNIIMRQAQLQQVVTEVEPLATTVNYGYPQMPYNYG >cds-PLY88791.1 pep primary_assembly:Lsat_Salinas_v7:4:92939869:92941848:-1 gene:gene-LSAT_4X59280 transcript:rna-gnl|WGS:NBSK|LSAT_4X59280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMMTQSPGAINNFCLFTDIATRLDPITPCKVSVNSCVVAKGCCSNGFQSSHFSSKSCFNRDKIHRSSHQTTSKRLLCQQQDAISSDDEEYRSSRNIAISLFKRYRNFIDRGGADNLKEFISAGVNAYALGCTDEGLRKELTNIKESGIEIQEMQTYGGATGLKSKICYQEVNECILWLSIIFITILCTPQPTIVRWSPTPPVSDEIKLQWKGFCAIIANAYYLRGMAWLPVKTLQLEQMAVVGCAEEPSVVASRMRLIFSTLEVVSPQWPRV >cds-PLY64017.1 pep primary_assembly:Lsat_Salinas_v7:4:296099441:296100023:-1 gene:gene-LSAT_4X152760 transcript:rna-gnl|WGS:NBSK|LSAT_4X152760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWLMLQQEKPDDYVVATEESHTVEEFIEKAFVCVGLNWKDHVVIDKQYFRPAEVDNLKGDSSKARKVLGWKPKVGFEQLVKMMVDEDVQLAKREKVLVDAGYMDVSLDIDLV >cds-PLY70945.1 pep primary_assembly:Lsat_Salinas_v7:5:151967326:151967703:-1 gene:gene-LSAT_5X66780 transcript:rna-gnl|WGS:NBSK|LSAT_5X66780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDNGGHDLNESPAARNKEDRIVVGRPAVLSILPEAQQRPRLSRRSQTTRPHPWLLLRSSATPPVAHNHHWRQALLGSVWQRGCKRRRKEGEGGAAAENRDREGGGCSFLLGLGFPYNYIPASIQ >cds-PLY89900.1 pep primary_assembly:Lsat_Salinas_v7:8:68253951:68256641:-1 gene:gene-LSAT_8X49320 transcript:rna-gnl|WGS:NBSK|LSAT_8X49320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPSLSSVLLHLFIIVTTCSISSIPTVVSDDVVDITGDLVRNGGKYYIIPMEGSDYPVPTTRGRIKLTDNIDGEKICPLDVVLDPSDDKLGDGFYFSQLTRQLYLQSSRIGIDSGPPVVECEASTSWTIPDAEAEAPSNLITTGGGFVGLFQVVRYRPIGPDRVFRPPTYMLQYCPETCFNISLYSYNGVTRLASGGAPFEFGTVNLDLLYNINRTQSSINPFPPPRLTTTTVNCRSMETPVRTLDLDGVLSESKRIFRANYSHFLALSFLFLPLSFSLIINPTLSLSGNFFTSDHFSKFPSNHQKPVVSNLLYILIVFVLALCAIATITYSTYHGFSGKPIKFFAAVKSLTFSFFPLVSTACVALVLLFLISLTFLLFVGVIVMMGQNLGFVLIDYNSIHFTWFSAVVGATLILILLYVHMNWSLAFVVVVAESKWGFAPLIRSWYLVKGMRSVSLWLLLYFGVFLGCTVWVNSDTLHAMSSQTYALLPMILGSSMLMWFLLWSTAANTVLYMYCKAFHGELAIKMADGLAHNYINLPFDDEKAHHAHVVTVVAA >cds-PLY73724.1 pep primary_assembly:Lsat_Salinas_v7:8:170781060:170782426:1 gene:gene-LSAT_8X111541 transcript:rna-gnl|WGS:NBSK|LSAT_8X111541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNFRFLTIIFLLTTPLAIISAQNCGRQAGNAPCSNGNCCSQYGFCGNTPEHCSPANNCQSQCTGGVTPPTTSGVGSIITGAVFDQMLKYRNDPRCRGNGFYTYNAFINAANAYNGFGTTGNDEVRKRELAAFFAQTSHETTGGGGWPSAPDGPFAWGYCFVREENPTSSYCDSNEWPCPQQYFGRGPIQLSHNYNYGLFGRSVGMDLINNPDLLATNPTLSFRSAIWYWMTPQGNKPSSHDVITGRWSPSAADRSAGRVSGYGVITNIINGGLECGQGRNDKVEDRIGFYRRYCSILGVSPGDNLDCYNQRAFG >cds-PLY73115.1 pep primary_assembly:Lsat_Salinas_v7:9:22284647:22285099:-1 gene:gene-LSAT_9X20800 transcript:rna-gnl|WGS:NBSK|LSAT_9X20800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKYPQVFVAIEMFRRKTCSIKKLVKKATYRTRYLSFEKFYVKQESLLRYGFEGYTSTSITTAAANIRRGFFAVYVGVERQRFVVPTSCLSHPLFKILLAKASEEFGYNQKNGLVIPCSVATFQEVVMVTKCCSCMFDFGRFLEEFII >cds-PLY67684.1 pep primary_assembly:Lsat_Salinas_v7:4:2672527:2675446:-1 gene:gene-LSAT_4X501 transcript:rna-gnl|WGS:NBSK|LSAT_4X501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRRATEIELDTMEGFASREKLHHHLNDQELDFNGHAVMSALIAPERSVKFHGSLVAQLLLKDENLPMNDFVSDWSSTLLTTVSQASKADDISLTRVALSAFLLSLERCPGAQRVVMEKGLHLMRETAKRTMNHKSVQESLAKGLESLCSGDMRLSLEEGQKWSCILLPWVFRETSSDAIRSSAITILSRICEDYGPSSIPISQGWLAIMLSDILKSRKLSLKGSAQPRDKVKTQIDQANVLSGTQSVNQLASAVVNLAVNDGANARTRHVPYRDSRLTFLLQGVVNEDASGDIMVLQQQIQPLKEELAILKRNNISRSLAFGPKVIEEATQEHENDCTRHDNKILKVSSKQLKSLETSLTGDLRRDQMSEASIKQLEAEIEQLNRLVRQREDDNKCTKMMLKFREDKIHDQIQNEAAQDNKENNYVRLEVCTWSFTFSHAQGYNRCLQQETAQCLTWVGHPET >cds-PLY69228.1 pep primary_assembly:Lsat_Salinas_v7:1:79875866:79877604:-1 gene:gene-LSAT_1X68441 transcript:rna-gnl|WGS:NBSK|LSAT_1X68441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNLNWSSGFVWLMTMSILFLIARSQLTEDFYSTSCPNLRNIVRKQVLNAIKTETRMAASLLRLHFHDCFVNGCDASILLDGNDGEKFAFPNINSARGFEVVDAIKTAVESSCSGVVSCADILAIAAQDSVLLSGGPSWKVLLGRRDGLVANQSGANTNLPGPTESVANIATKFLAVGLNLTDVVSLSGAHTIGSARCAVFSNRLFNFSGTNGPDETLLNQAMVSDLQAQCPANGDGNKTTALDRNSVDLFDNHYFQNLVNGKGLLESDQFLYSSDEAVSTTRTLVEIYSNDSRIFVNDFVRSMIKMGNTNPLTGESGEIRKNCRVVNS >cds-PLY74675.1 pep primary_assembly:Lsat_Salinas_v7:5:176771426:176772701:1 gene:gene-LSAT_5X78660 transcript:rna-gnl|WGS:NBSK|LSAT_5X78660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFIRDKRNSSSSTTTSPCADLRAAYNNCFNKWYSDKFLKGHWDKEECVSEWDKYRDCLSKHLEDKNLSRFLEAEGVKRIPAPIAK >cds-PLY64904.1 pep primary_assembly:Lsat_Salinas_v7:1:166725629:166727629:-1 gene:gene-LSAT_1X111941 transcript:rna-gnl|WGS:NBSK|LSAT_1X111941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCISDLNCEGVGAPLLLRIIRNWKNDVRRYETWYLGVDRFKRHKFSLTVSDTTDTISTIISDTLCEKLLKSTLQDLIANNNIVNRKTLPAFLTEQKGQTKNMSIQILKASAGDNLRFITVDIESSNSTSQTNVLTTPTQVPTTRLTMQESTPDSTMPITRTAHTLSYNITDDPTPSTDTKRSRKK >cds-PLY89155.1 pep primary_assembly:Lsat_Salinas_v7:3:22690631:22691803:-1 gene:gene-LSAT_3X16961 transcript:rna-gnl|WGS:NBSK|LSAT_3X16961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNISFLDLSHNKLSGPLTNLPSGGISRVLFLEDNLFSESIPRSLCRRTNLQFLDLSKNRLTGRIPKCLENLKTLATMIFSSNQLSGVIPSSVALTSLCRLNLNDNNFTGELPLELGNLRCLNVLDLGDNRFTGNIPKWIGENLTSLMVLRLRRNNFTGRIPESLCISSNLRILDVSHNNLTGTIPRCLGELSAMVEINPLPWYSGSIDYDENVIQAMKGADLEYTTNWELVYNMDLSSNKLVGEIPLELTALCTLMGLNLSNNHLRGSIPGNIGNMKNLESLDFSNNELIGMIPSSMAGLNFLSHLNLSHNNFSGRVPTGSQLQTLIDPSIYDGNKDLCGPPLPKSCSNHQGPTTVEPTKIHQYKCMVILLGHSVWFCNSILGYYCIFFL >cds-PLY89976.1 pep primary_assembly:Lsat_Salinas_v7:8:208961132:208965347:-1 gene:gene-LSAT_8X131720 transcript:rna-gnl|WGS:NBSK|LSAT_8X131720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIFGKNFKKHANVYSGRIYNSDRKWIIPFFVSLLVWITLISAAIFGFYSSSSSSSSSSYNHDSSHFDIVSFAKSDDSSGFFVDMNIEKPSEFPKEKAPRLAYLISGTKGDSKRMMRTLQAVYHPRNQYILHMDLEAPPRERLELTMAVKNDPTFSEVENVRVMAQSNLVTYKGPTMIATTLQAIAILLKESLNWDWFINLSASDYPLVTQDDLLHVFSNLSRTLNFIEHTKLTGWKLNQRAKPIIVDPGLYLSKKSDLAITSQRRSLPTSFKLFTGSAWVMLTRSFVEYCIWGYDNFPRTILMYYTNFVSSPEGYFHTVICNTEEFTKTAISHDLHYIAWDTPPKQHPRYLTIKDFEKMVNSSAPFARKFQKDDVVLDKIDQELLGRTHRFGRGAWCVGGSDRGSDPCAVRGDDSVFSPGKGAVRLNELFVELLSDDFGSKTCPS >cds-PLY94520.1 pep primary_assembly:Lsat_Salinas_v7:2:156372036:156372867:-1 gene:gene-LSAT_2X82160 transcript:rna-gnl|WGS:NBSK|LSAT_2X82160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPYYAPPQQHHGSSRPTIGFPLGTALLLIVVFSLSGIFSCCYHWDKLRHLRGDFSDADPDSDDSQHHSASKPKPPCSEKKQDCDRSLPVIMAGDQFARFIAMPCPCEPPRQEKITAEEIQKPPKPPHVVVTIC >cds-PLY64202.1 pep primary_assembly:Lsat_Salinas_v7:7:4244355:4244805:-1 gene:gene-LSAT_7X3760 transcript:rna-gnl|WGS:NBSK|LSAT_7X3760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSAVKKHFTFYLRLMTLSTNLFGASLLTWSFVPCFLNLMVQLSSQTNELYQLDAVAFCLLSAWCRDKLGLTLELGSFMAGVMISTIDFAL >cds-PLY99825.1 pep primary_assembly:Lsat_Salinas_v7:5:115062465:115072146:1 gene:gene-LSAT_5X50861 transcript:rna-gnl|WGS:NBSK|LSAT_5X50861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIRTRQGLLNAMAGKVGKRMDALLIPIELLSCVSRTEFSDKKAYIRWQKRQLNMLEEGLVNHPVVGFGESGRKASEMRILLARIEESESFAPSVGELQRIECLRSLREIPIALAERPARGDLTGEVCHWAYGYHLNVRLYEKLLSSIFDVLDEGKLTEEKQVLPVQPCAPHEIGHCWVDLSSEQNGEGGVVNGGSNSGCCSVFSGG >cds-PLY70790.1 pep primary_assembly:Lsat_Salinas_v7:3:136359516:136360164:1 gene:gene-LSAT_3X90980 transcript:rna-gnl|WGS:NBSK|LSAT_3X90980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIMRCIRLEPNRSMTIDEFKAWLRTYDDNRDGRISQQEFQEALHGLRIWFGGWKAKKAMEAVDSNHNGIIDSANEMEKLVKFAQKHLHMKIYES >cds-PLY71216.1 pep primary_assembly:Lsat_Salinas_v7:8:262089410:262089580:-1 gene:gene-LSAT_8X153401 transcript:rna-gnl|WGS:NBSK|LSAT_8X153401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPCCQATPAPLRQKIPRRLRGGGRPSSGADFSSPVAAVNYVATVSHRRPPLYRFR >cds-PLY89090.1 pep primary_assembly:Lsat_Salinas_v7:9:28921426:28922350:-1 gene:gene-LSAT_9X25641 transcript:rna-gnl|WGS:NBSK|LSAT_9X25641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKPREYLQVTHQDSDRIARLSIPPHQPQALHSFYEEFNLRGIRLDRFQPGFVSCSFTVPPRLTDRNGNLAVGAIASLVDEIGASVVYEKDVPMNVSIDMSISYLSTAKLNLKCLVIVVNKVLLAYALLDNDDELEISAKLLGRKGGYHGTVVSLKKKATGEMIAEGRHSLFSKPTSKI >cds-PLY85056.1 pep primary_assembly:Lsat_Salinas_v7:7:7108565:7112849:-1 gene:gene-LSAT_7X8160 transcript:rna-gnl|WGS:NBSK|LSAT_7X8160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNNEEQSRSLFGISLSDRPKWQQFLICSSGFFFGYLVNGVCEEYVYNRLKFSYGWYFTFIQGWVYLALIYLQGFTTKQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQLMFKSTKVLPVMVMGAFIPGLRRKYPPHEYVSALLLVMEMLFCSTVVGLPFLIPPMLLTGELFKAWSSCWQHPYVYGVLIFEAMATFIGQVSVLSLIALFGAATTAMITTARKAVTLLLSYLIFTKPLTEQHGTGLILIAMGIVLKMIPENKPTPRMVQKRKEVPLGNNDENRRNEEEEERRPLV >cds-PLY99574.1 pep primary_assembly:Lsat_Salinas_v7:7:189828377:189829433:-1 gene:gene-LSAT_7X112601 transcript:rna-gnl|WGS:NBSK|LSAT_7X112601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKHPQNMDYSVQLLDQCESPSGLCFKLSDQSLLQESSTTEKSFDFYKRIVKSNYKPDNYLFTFLINSAAQLVDKNFGLAVHGTALKYALDQDPHVQSGLINLYAEMGSLRDLKDLLFSINNPDLVTQTTMVVACAKLGDIKFTRQEFEITLDRDVIAWNAMKAGYVRFGEPLNGLELFNAMEMKGLKNVYTWSGAMGGLAMHGYGKEYLDLFTLMQQKNITRNEVTFISILKACSVAGHVEEGLEAFLIYDQRVEQYGCMVDLYGRLIRLDESLRFIQSMPCAPIAEA >cds-PLY86468.1 pep primary_assembly:Lsat_Salinas_v7:MU040696.1:795:1535:-1 gene:gene-LSAT_0X45861 transcript:rna-gnl|WGS:NBSK|LSAT_0X45861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGQRKLKEASHRRQPPFTFPPFSSLPSLQILIPSPNLHHPKAISFIFMGNCLFGGPSEDDRIIKVINSAGGIMEFYAPVTAECITDEFPGHGIFRGNDLFWKPIPHTEFLVAGNSYYLLPLDKRRGMKVGHVRSNSLPQNTAAPYRMSFDSRRVFKRSYTDAYSSSSRNNGCGFWKVKLVISPEQLLEILSQEGRTQELIENVRTVAKCGNEAAVSCNSSSALSDQWSLCSSSRNGSKKDVVLEM >cds-PLY78420.1 pep primary_assembly:Lsat_Salinas_v7:3:235821265:235825930:1 gene:gene-LSAT_3X132221 transcript:rna-gnl|WGS:NBSK|LSAT_3X132221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRELQSVERDIGEAEIERNKWEEKCWDPNVVIGTNLKELEALQIECKQTMRRLKLGNDFLYELNDKVLTLAEKVTPGFGNGFQATPRISTTNGIPSSLTPPSWDGFASLASYLFSWQEYFDSESKQGKELTEQDYSDMVALHFSPVSNISAYVSPEASAQLAATTTWGSRVTAVAFDPTCGGSVIAIVIVEGLAARKKLPQGQDENALSLVNGVLDYSQFKDVDMVIEV >cds-PLY82992.1 pep primary_assembly:Lsat_Salinas_v7:1:19056931:19057393:1 gene:gene-LSAT_1X16580 transcript:rna-gnl|WGS:NBSK|LSAT_1X16580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISEEMSVCMKVHITQGDHEGKGVIISWVTPDEPGSSEVIYWAENSELKKHAVGSVVTYKYYNYSSPYIHHCTINNLEVLVQGWDRKCHTQFWFTTPPKVGPEVPYTFGLIGIATIEFVLIH >cds-PLY68556.1 pep primary_assembly:Lsat_Salinas_v7:2:22658567:22658890:-1 gene:gene-LSAT_2X9481 transcript:rna-gnl|WGS:NBSK|LSAT_2X9481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVDNYYVGDVIEEEREDVSESDGVWESDSNASRVACQASIKGSSSNVMDEVTKDLPPIIHEDSIPSGNSANLYKNWEIPKDAVVISSPNSDMNQVKSHMNNVSLWE >cds-PLY75481.1 pep primary_assembly:Lsat_Salinas_v7:7:75698839:75703558:-1 gene:gene-LSAT_7X54581 transcript:rna-gnl|WGS:NBSK|LSAT_7X54581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSNSVKISAFDLMSALLNGKIFDTSNASESGESMIPPSLAMLMENRELLMILTTSVAVLIGCVVVLVWRRSSTKKSAKELEPPVIVVPKRVQEEEVDDGKTKVTVFFGTQTGTAEGFAKALVEEAKARYEKAVFRIVDLDDYAADDEEYEEKLKKESLAFFFLATYGDGEPTDNAARFYKWFTEGDEKGEWLKKLQYGVFGLGNRQYEHFNKIAKVVDDKLVEAGAKRLVPVGLGDDDQCIEDDFTAWKELVWPELDQLLRDEDDTTVATPYTAAVSEYRVVIHEKPDLFSEDYSQTNGHTVHDAQHPVRSNVAIKKELHTPESDRSCTHLEFDISNTGLSYETGDHVGVYCENLSEVVDEAEKLVGLPPDTYFSVHTDKEDGTPLGGASLPPPFPPCTLRKALASYADVLTSPKKSALLALAAHATDPDEAERLKFLASPAGKDEYAQWIVSSQRSLLEVMEAFPSAKPPLGVFFASVAPRLQPRYYSISSSPKMAPERIHVTCALVYEKTPSGRVHKGVCSTWMKNAVPMTESEDCSWAPIFVRTSNFRLPSDPKIPIIMIGPGTGLAPFRGFLQERLALKESGSQLGSSILFFGCRNRKVDFIYENELHNFVETGALSELVLAFSREGPTKEYVQHKMTQKASDLWNLLSEGAYLYVCGDAKGMAKDVHRTLHTIVQEQGSLDSSKAELYVKNLQMSGRYLRDVW >cds-PLY71910.1 pep primary_assembly:Lsat_Salinas_v7:3:26775546:26776478:1 gene:gene-LSAT_3X19661 transcript:rna-gnl|WGS:NBSK|LSAT_3X19661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFSTASTFLLFFFTLCFHIANAANFNIHNNCPFTVWAGATPGGGRQLNFGETWSLDVAPGTSTARIWPRTNCNFDASGRGSCQTGDCNGLLQCQAFGKTPNTVAEYALNQYMNFDYFDVSVIDGFNLPMEFSPTSGGCTSSSRCIADINGQCPDVLRTPGGCNNPCTVFKNQKYCCYTEDCGPTEYSRFFKDRCPDFLSYKNDRTGTFSCPGGTNYRVVFCP >cds-PLY69970.1 pep primary_assembly:Lsat_Salinas_v7:5:118590290:118593274:1 gene:gene-LSAT_5X52020 transcript:rna-gnl|WGS:NBSK|LSAT_5X52020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASGMDYGREGGGAGTILTPARFVWPYGGRSVYLSGSFTGWSEHWPMTPVEGCPTVFQTICSLPPGYHQYKFIVDGEWRHDEHQPFVTGNYGVVNTILLAREPDFTPSVLTPHTTSGSSMDVDNEVFQRVVRVSDCTSHDLLTRISDADLAVSRQRISVFLSTHMAYELLPESGKVIALDVELPVKQAFHILFEQGISTAPLWDFSKGQFVGVLSALDFILIMRELGNHGSNLTEEELETHTISAWKEAKLYLSKQTIDQGKIFSKRLVRVGPDENLKDVTLKILQNRVATVPVTHSFSDDGSYPQLLYLASLSEILKLVCRYFRHSTSSLPILQLPICTLPLGTWVPKIGETNRQPLAILKPNSSLSSALNLFVQAEVSSIPIVDDNDSLLDVYSRSDITALAKDKIYTHINLEEMTIHQASFAAGTRTICFSWRDPTKMPHVSTLRFSA >cds-PLY79726.1 pep primary_assembly:Lsat_Salinas_v7:8:124863997:124864427:-1 gene:gene-LSAT_8X86260 transcript:rna-gnl|WGS:NBSK|LSAT_8X86260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKAPMHELVPGEGYKDSTQKVKAFKMGKNLDWFLVRNLDFVQHNWLINVELDQIVAAVVANMVSSSRCCDVSKSFGLISVTGS >cds-PLY62375.1 pep primary_assembly:Lsat_Salinas_v7:8:113327977:113328822:-1 gene:gene-LSAT_8X77040 transcript:rna-gnl|WGS:NBSK|LSAT_8X77040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAHKQPNLETTHNHHHLIRRNRNPSVSSTSSQDLKRTHEEFIKNLEGACDDNHKVITKTSLLAAEEEGNHKAERSTTPPPPPQQQQQPEASMVVEEESGRERLKRHRVEMSGRVWIPDIWGQEDLLKKWIDCTVFDSSLEKNSVMSAREALIQEGRSTLRIENSC >cds-PLY69232.1 pep primary_assembly:Lsat_Salinas_v7:1:80245969:80248496:-1 gene:gene-LSAT_1X68181 transcript:rna-gnl|WGS:NBSK|LSAT_1X68181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVLLRLVFLTIFLLRFIAAQQNGGSVSVGASLTATPNVKPWLSSSGEFAFGFQQVQGTDNFLLSIWYEKIPDKTIVWYPEEGQMVPTGSKVELLRESGLVLTDPLGTQVWRSGSISGVASGFMNDTGNFVMFGSNSRKLWGSFDYPADTLLPTMFMESGEGINSTISKTNFSGGQFQLRFQEDGNLVLNTRDILSGNAYDAYYISGTYDDSNSTNSGKQVIFDATGYMYILRRNGQRFDLTPRGSLPSGDYYHRATLDSDGVFRQYYFPKNPTSNTTWKVIWFVPDNICVDLSDLSSTGACGFYNVCSFDGNRPNCECPKGFSLLDPNNPSGDCKPDFTPTCDEVDSNNGRGIFDFIELQNIDWPFSDYVHMNPSNENTCKSSCLEDCFCAVAIYRDTQCWKKKLPLSNGRKVASANVRAFVKYRIGDHPLQNTTRLPGENKDRKNLIVVGSALLGTSVFVIFVLVGVICVGFFVIYKKKPRNSYPIRKAVESNLPRFTYQELVEATDGFKDELGKGAFGIVYKGVIGKKTVAVKKLNTVVHNGEKEFKTEVDTIAKTHHKNLVQLLGYCDDGEQRLLVYEYMSNGTLAMFLFGDTRPTWRQRSYIAVGIAKGLAYLHEECSTLIIHCDIKPQNILLDDYFNAKISDFGLAKLLMMNQSHTNTGIRGTKGYVAPEWFRNTPVTVKVDVYSFGVLLLEIISCRKSLVYESGEKGVAVLTDLAWDCYQEGRLDTFVENDLEAFDDYKKLATFVMVGLWCVQENSSLRPTMRKVIQMLEGGIEVAEPPCPCSLSSY >cds-PLY85596.1 pep primary_assembly:Lsat_Salinas_v7:2:120322779:120323348:1 gene:gene-LSAT_2X54901 transcript:rna-gnl|WGS:NBSK|LSAT_2X54901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDYILNETRVESNGYVLYVIHRGSIRSKNELQILSRFRESASPIPSLFLQNIAQATPKTASHQDFDPNRVQPLEAHDSPVPGMPGGSRANLFGITYNPGLDPNHFLPNVSSYENVQDMDVDYLFDYFFGITEAGIGGPIEGDIGGPIDGGIGGMGGPIDGDRQAQMKDEQKAQVGQNDTKVQIYLFVC >cds-PLY90846.1 pep primary_assembly:Lsat_Salinas_v7:6:100592290:100593211:1 gene:gene-LSAT_6X63780 transcript:rna-gnl|WGS:NBSK|LSAT_6X63780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARSWRNYRVELHKYFKEIGGPEDPIKAKTKPPLDIRSKEDWEYLCDMCCEPKYMEEMKRMRNEHSLESMSDKLILENVIGRSSVRLFGWGRDSVVASNIAGSTDKSKHPSYNKLVDELETMKREHEVMKQILIKKNIMPPPLSTSLGRSHGDTSECGTSNHTQSGQTQDENIDIYDDM >cds-PLY64458.1 pep primary_assembly:Lsat_Salinas_v7:3:14446200:14446576:1 gene:gene-LSAT_3X10020 transcript:rna-gnl|WGS:NBSK|LSAT_3X10020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPAIPNRLPSLSCSQQSERSKAPTTSIMEDMLGLLEDGKMEVEAAISDCRREVVRGDALVGVVMVRGGLSRLVTAAGSPSSLVGNHEMGGRDVRKQM >cds-PLY72715.1 pep primary_assembly:Lsat_Salinas_v7:6:27957980:27958696:-1 gene:gene-LSAT_6X20801 transcript:rna-gnl|WGS:NBSK|LSAT_6X20801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFKVEITATHIIKPSSSTHDHLKTHDLSLFDQLSPWVYPPIIFFYDPKPNSNLAPRLKTSLSRVLSSFYPYAGRVNGDVFVDCNDAGIPYSEATVDCSLSYVLQQYDLNLMKQFVPLTEESVNPDHTIPLLVQVSYFKCGGIAIGACSSHKIGDASNFFTFIREWANLSLSDNPVLVPEFSISSLFPRIGFLNITGIQIPMKEMLVRKRFVFNASSISLLKAQTSSSSRVQAVTTLI >cds-PLY62398.1 pep primary_assembly:Lsat_Salinas_v7:5:309914196:309914699:1 gene:gene-LSAT_5X168860 transcript:rna-gnl|WGS:NBSK|LSAT_5X168860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLDDQSDVTNQNFVLKVVDDVPNILDDPLDNSTKTLSVYVIEPTICLDDPPRNIVKGDVPNSTHVSDDPPFDAVKPAGDVPEPSKVSEDPPHEEEQEYDVNVEMVFNEDDATITTFLKSISQFKFLQSSSSNPQVELDLQLSSDKMEVSVNHDDEDGNGDDEDKEK >cds-PLY95841.1 pep primary_assembly:Lsat_Salinas_v7:5:64032965:64035758:1 gene:gene-LSAT_5X29520 transcript:rna-gnl|WGS:NBSK|LSAT_5X29520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFRKAMGAVKDQTSIGIAKVASNMAPELEVAIVKATSHDDDPASEKYIREIIQLTSFSRGYVSACVHSLSKRLSKTRDWIVALKCLVLIHRLLNDGDMVFQQEIMYATRKGTRLLNMADFRDEAHSNSWDHSTFVRTYGFYLDQKLDLVAYERKQNNNGIQEPDKFREDRWNSPPSRGYNDFESPGYGGNMRRSRSSGDVREGDKKSITPLREMEPERIFGKMGHLQRLLDRILSCRPTGLARNSRMVLAALYPVIQESFKLYADICEVLAILLDRFFDMEYQDCVKSFDAYVSAAKQIDELVGFYNWCKDMGIARSSDYPEVQRITAKLLETLEEFVRDRANVSKSPEKKPEIIVKEEEPVNMNEIKALPPPETYTPPPVAAPPPPPPPPPPQPARDLLDLREDTLTADDQGNKFALALFAGGNTGKWEAFGSSSGEAEVTSAWQNPAADPGKADWELALVETASNLEKQKAAMGGGLDPLLLNGMYDQGIVRQHVSTAGLSGGSASSVALPGKTATRVLALPAPDGSVQTIAGDPFAASLTVPPPSYVQMADMEKKQQLLVQEQVVWQQYAREGMQGQGSLTKLTNGGYGGPGQPYGYPPVNGSGYYYPTY >cds-PLY77423.1 pep primary_assembly:Lsat_Salinas_v7:3:149599504:149599668:-1 gene:gene-LSAT_3X96501 transcript:rna-gnl|WGS:NBSK|LSAT_3X96501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRTRRNPGQPKPNPEPISTATIEALVAQHAADAISNLETLTSVALEGNIGECS >cds-PLY62422.1 pep primary_assembly:Lsat_Salinas_v7:7:116106613:116107657:1 gene:gene-LSAT_7X72020 transcript:rna-gnl|WGS:NBSK|LSAT_7X72020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMATPPPLSPESISINSRDRLNFGDLHTIYCDCGAEIAEQKMEVARSKEEMGRDLVLSRVQSLALHRRIERTEKKGPRDGFICWADEVESTNTTADTTLVTNLVLANKKLQSDVRKMKLWLFLSWAIFLWY >cds-PLY76672.1 pep primary_assembly:Lsat_Salinas_v7:4:115959160:115961971:1 gene:gene-LSAT_4X74580 transcript:rna-gnl|WGS:NBSK|LSAT_4X74580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPPKKTIHVISSWVRRQPPKVKAFLAVVTGMLALVLLRVIVHDHDNLFVAAEAVHSIGISVLIYKLMKEKTCAGLSLKTQELTALFLAVRLYCSFVMEYDIHTLLDLATLATTLWVIFMIRFKLKSSYMEDKDNFAMYYVAVPCAILALLIHPSTSHHIVNRIFWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDSRGHLLTALGYGLWPSMVLISEIVQTFILADFCYYYIKSVFGGQLVLRLPSGVV >cds-PLY92192.1 pep primary_assembly:Lsat_Salinas_v7:6:75029334:75032824:1 gene:gene-LSAT_6X52600 transcript:rna-gnl|WGS:NBSK|LSAT_6X52600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENSSIPGILEKITGKDKDYRYMATSDLLNELNKEGFKLDNDLELRLSNTVLQQVDGAACDVSGLDVKCLAPLLTDFGLSKVGLINSIDNLSGPAVSGTSLLGDNETHSSLSSPSPSLSSTETQQERRKNRSAVGTPYYLASEILLGTGNADWWSVGVILFELIVGIPPSNAEHPQMIFDNILNGYIPWYAVTDEMSPDAQDLIHQLLTEDPNQRLGARGATEENCCYFCAKAQICENMASSMDYYKGKGGKSGGSGFDDVPSSPKSPLSPKKPFEGFSNK >cds-PLY86998.1 pep primary_assembly:Lsat_Salinas_v7:5:257206235:257207899:-1 gene:gene-LSAT_5X132541 transcript:rna-gnl|WGS:NBSK|LSAT_5X132541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKATPSQQSLLDSDGQCNDDQSQCQLRRDGQGESQDSILVYQAGNSVKTMAHGAGDVASGAARGAMGFAQGAAMGATHLAQGAASAVSNTFHNPRNHNLHTRLPTENPQNPRY >cds-PLY75019.1 pep primary_assembly:Lsat_Salinas_v7:1:112536804:112537453:-1 gene:gene-LSAT_1X89920 transcript:rna-gnl|WGS:NBSK|LSAT_1X89920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNESNPNKPHYDITMSRRTRKPHSRALFGEKVSALNDDDEDKQVGKQKGKKFIEIISEKEEDAECRSSLEEYFTEEDNQLQLVVKQHEKEVDGVNLKKMVSRCAKMWGHMIKIKGGSRKKRVLQLTM >cds-PLY99383.1 pep primary_assembly:Lsat_Salinas_v7:7:145910501:145911748:-1 gene:gene-LSAT_0X4301 transcript:rna-gnl|WGS:NBSK|LSAT_0X4301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGSLEPLDLGVQIPYHFRCPISLELMRDPVIVVTGQTYDRPSIESWVATGNTTCPVTRLPLTDFTLIPNHTLRRLIQEWCVANRSYGVERIPTPKQPADPVMVRSLLSQAASESNSSHARLSALRRLRGLARDSDKNRSVISTHNAREVLLGVLFSETNSNSSELNLESLAILSSFPLSESECLLVSSDPDRISYLISLLSHSSIDVRVNAASLLENVVAGTRSPENRAEISNHDEVFDRIVGILNYPLSYPRAMKVGIKALFALCLVKQHRHKAVEAGAVEALINRLADFEKCDAERALATVELLCRIPQGCAAFASHALTVPLLVKIILKISDRATEYAAGSLLSLCSAAERLQREAVAAGVLTQLLLLVQSDCTERAKRKAQMLLKLLRDSWPEKSIRNSDDFACSDVVPY >cds-PLY83458.1 pep primary_assembly:Lsat_Salinas_v7:9:88315906:88317819:1 gene:gene-LSAT_9X69201 transcript:rna-gnl|WGS:NBSK|LSAT_9X69201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKAAVNFNGETYESPNYCTTLRQAEHSAAEVALNELACRGPSNSLAARILDETGVYKNLLQEVSQRVGASLPTYTTFRSGLGHLPVFTCTVELAGCIFTGEPAKNKKQAEKNAAMSAWSSLKLLTQQSESLSLQKGNTEEQEHVIVARALQKFRLKARMTNIPFPIRFPVPKPKTHSTQPPPSTTSKILPLICPKMAQTRRKDNNAPQKQFGVPTTNELSPSPSPPPPPDHRVHKFPAIGAAPYIPVRHFRPTHCGIAPPVTIRNTIPVFSAPPLPPPRMPGAPPQFGRPPAMCGGGGGAPPVTIRQAVPAFSGESKENVGASVMKPVAMSALVTEDKESKSKLGEAPTGNEEASVSKSLEPGFKGLQI >cds-PLY65766.1 pep primary_assembly:Lsat_Salinas_v7:5:274433298:274434433:-1 gene:gene-LSAT_5X145481 transcript:rna-gnl|WGS:NBSK|LSAT_5X145481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEEIPKYIIPASEAESMAKSRGLTVPQLLPFLVKSAQDIARVPISNFHVGAVGLGSDGRIFFGGNIEFPGLPLNHSIHAEQFLITNLAAHGGGPKLLYIAVSAAPCGHCRQFLQELRGISDTQIVITDQPQENPDYKPISSILPDAFGPFDLLDHDMPLILEKHENELLLVDYSLYSHNEKTSKLPNGYTELVKENEKNLEKEALAAARASHAPYSGCPSGVALMDCEGKVYKGSYMESAAYNPSMMPVQAALVAYMVAGGGGYERIVAAVLVEKEGMMVRQEDTARLMLKNVSPKCELKVIYCT >cds-PLY67375.1 pep primary_assembly:Lsat_Salinas_v7:1:171540599:171542661:-1 gene:gene-LSAT_1X114280 transcript:rna-gnl|WGS:NBSK|LSAT_1X114280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIVTVEDTYPGVDAELLNKACHGWGTDEKVVIAILAHRNATQRKLIREAYQDMYDEDLVNRLEHELSGDLERAVYRWNLDPADRNAVLASVAIHKEPHDYRVIIELSCTLSPEELADVKHAYHCRYKRSLEEDIASHTSDDLRKASRIGLVSIHRYQGDEVNLKLANSESIILRNAIEEKTFNHEEILRIITTRSKPQLMATLNHYKDEHGCNMTKHLKDDRDNEYTETLRTTLRCMSDPIKYFEKVIRNAIKSSGTNEDALTRVIVTRAEKDLEIIMEQYYKRNSVPLDHAIAKETSGHYKKFLLALLGKDD >cds-PLY88223.1 pep primary_assembly:Lsat_Salinas_v7:8:150389396:150390536:1 gene:gene-LSAT_8X101640 transcript:rna-gnl|WGS:NBSK|LSAT_8X101640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional monothiol glutaredoxin-S16, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38270) UniProtKB/Swiss-Prot;Acc:Q8H7F6] MAAMNLSSSAHTTTTSSSLRLLTASRTYTSPKSLLCFHSTHIKNLSFFSFPSSVSLKSTHTIKTRRPLLSLVVSALGKLSDMESVPVPPESDAVSAVFPPASGVYAVYDKNGDMQFVGLSRNIQSSILYHQKSVPELCASIKVGVVDNPDRSALTQAWKSWMEEHIEVAGKVPPGNETGNTTWVRQAPKKKADLRITPGRHVQLTVPLEELIDRMVKENKVVAFIKGSRTAPQCGFSQRVVGILDSEGVDYESVDVLDDEHNGGLRETLKRYSNWPTFPQVFVNGELVGGCDILSSMHENGELSGLFKK >cds-PLY64920.1 pep primary_assembly:Lsat_Salinas_v7:8:133647134:133648040:-1 gene:gene-LSAT_8X92641 transcript:rna-gnl|WGS:NBSK|LSAT_8X92641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPYSESYSNSPQDSGSFSTVASGSSSTSPAVATTPSRYENQKRRDWNTFCQYLRNHHPPLFVSQCSGANVLEFLCYLDQFGKTKVHNLICPFYGHPTPPGPCPCPLRQAWGSLDALIGRLRAAYEENGGSPETNPFGVRAVRLYLREVRDLQSKARGISYEKKKRKRLPTQGDVS >cds-PLY86097.1 pep primary_assembly:Lsat_Salinas_v7:7:139437454:139445106:-1 gene:gene-LSAT_7X83320 transcript:rna-gnl|WGS:NBSK|LSAT_7X83320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEIEIVSDSAKETKNNTAAGQIPAEVVIIDVFGACAYGDLPKLRKFVEEDGVSLSQPDGNGYYPLQWASLNNFADVAQYIIEHGGDVQAVDGVMQTALHWAAVRGSVAAADVLLQNGARVEATDVNGYRAVHVAAQYGQTSFLNYIVAKFHADFDVPDNDGRTPLHWAAYKGFADTIRLLLFWDAFQGKQDKEGCTPLHWAALRGNLEACVVLLHSGTKQELMVKDSAGFTPAQIAADRGHRHVSLILSNALRAQNSCWKDKPWIKKIGDIGYAPILFCLVFVSTLIFINSILFASNLVKVTAVVGLWGWTAVSLSIASLLMFIRCSSKDPGYVNISGGIENNADAEGHLLTIDLTNTAYWSGNWSQLCPTCKIIRPVRSKHCPACKRCVEQFDHHCPWISNCVGKKNKWDFFVFLLLGTLTSVISAVVALHRMWTSIPPLQNNESWIHHVIFKQPGAATFLFIDFFILIAAGTLIIIQAYQISRNITTNESMNATRYGYLQGKDGRFRNPYNHGFVKNCSDFLIRGYTDDDEITWPPLQQVSR >cds-PLY75146.1 pep primary_assembly:Lsat_Salinas_v7:4:62337092:62338144:1 gene:gene-LSAT_4X41101 transcript:rna-gnl|WGS:NBSK|LSAT_4X41101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKKRSSTGKQQNDDVPWSDLNNDVLLLIMMRGVVDFLVFSGVCKSWRSLALSNWKRFMVSKPPMFARISPSSMSMCISPIFSYKKTLCITDFEGRKFKTILPHCVGRKCVGLTCGYLILFGKKTKDFWLVNPITKHQLCFPRVPSTVCVYPCLRLRAIFFFSPSISWWVLVMVIRFTNAVWFSIAGEGAWNHVSSPLLIRDIHVFKGKIYTLNNSEIVRGGYQLCELRLNPHPKLVLLETKNFLKRRSLPMFVSSGENLYVLDYSGNGYSFHELDFGQMKWVLCENTKEEYGFFYSSKHITAFKAESRANPWLEYGRHVGSGNGCKARFFAEKMWYFPHDCVNVNLIHK >cds-PLY82501.1 pep primary_assembly:Lsat_Salinas_v7:2:187111952:187112699:-1 gene:gene-LSAT_2X108860 transcript:rna-gnl|WGS:NBSK|LSAT_2X108860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANYGRHGGGGGGGGHGYGGGGHRHGRGDYQRKRYRDDDRDRRGPDVAKRNSENETRRNSDFDSKQEKNPRFREHGDSDEEEDDRKRQK >cds-PLY84753.1 pep primary_assembly:Lsat_Salinas_v7:5:229693159:229693311:-1 gene:gene-LSAT_5X106100 transcript:rna-gnl|WGS:NBSK|LSAT_5X106100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVERGGGIVLGLLVLKEGRNGEITGVADTGDGKGGRPGVVNSEAIRKEM >cds-PLY81336.1 pep primary_assembly:Lsat_Salinas_v7:4:37080980:37083412:1 gene:gene-LSAT_4X24500 transcript:rna-gnl|WGS:NBSK|LSAT_4X24500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIRDNSFSVKAIENVVVGAKEPWNDQWLPFTNLDLLVPPFDVGSFFCYKKPSNGSFSAIVNTLKASLSQALTLYYPLSGEILWNGVAGENQLYCNNQGVDFIEAVADVQLKELNLYNPDESIEGKLMPKRLHGVLAIQVTELQCGGIVIGCMFDHRAADGYSANMFISSWADLNRSETPAMFPSFQRSILNPRCPTTYSSSIDDVFAPFLPLKPANNDQNHEDEDNLLVNRVYYIEGAQLKRLQLLASENGCRRSKLEAFTSFLWKMIALCFEDSGNHNQMCNVALAVDGRRRLSEGDGEEKEKLMASHFGNVLSMPYGSKRSEELMEMSLSNVATEVHDFLQTATEKDHFLDLIDWVEERRSMPLIAKAFAGGEMSVMVSSGQRFQIMDKMDFGWGKVAFGSCHVPSTRKDCYVMTLPSPTNNEDWVVYMHMPIKHMNYIEAHASQVFNPLNADYLKI >cds-PLY70183.1 pep primary_assembly:Lsat_Salinas_v7:9:798414:804581:-1 gene:gene-LSAT_9X1741 transcript:rna-gnl|WGS:NBSK|LSAT_9X1741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18g [Source:Projected from Arabidopsis thaliana (AT1G03380) UniProtKB/Swiss-Prot;Acc:Q8GUL1] MKKGKGKNTGLLPNSLKIISSCIKTVSTNASTVVRSAGASVAASIAASGDDQRDQVTWAGFDRLEVGSTSFRHVLLLGYQTGFQVIDVEDASDFTELVSKRDGPVTFLQLLHIPANPDGNEKFLPLHPLLIVVAGDEATRPTPSMGQNRSYLSPPGRDGSVVSHHQSGTSVGSTAVRFYSLQSNCYVKVLRFRSTVFMVRCSPLIVAVGLEKEIHCIDAVTLENKFTVPTYPVPQFGGQGMVGVGVNIGYGPLAVGPRWLAYASNKLLVSNTGHLSPKNLTPGISPSTSPSNGSLVARYAMESSKQLAAGIITLGDKGYKTFSKYYPEMLQDRPGSPMTSNPGWKVMNASSEVDNAGMVIVKDVISEAVISQFRAHSSPLSALCFDPSGTLLVTASIHGNNVNIFRIMPCTRGGSSNQTHDWSSSHVLLYKLHRGITSAVIQDISFSRYCQWISIVSSKGTCHVFALSPFGGDTSYRNHGFQSRSVNPVRSLPWWSTPSFVVIDEQPLPPPAPLTLSVVGRIKSNSSGFLNSVTNAASSVVGKTQMPSGAVASVFHNSMAYRNHDVSKNSNSLESLLIYTPSGYAIQYELLPSMGTQIDVGSRTQYQLGQDEESGVIFESRQWWQVCRRLDSPEREECISVTGQGSKTSFYNSRGNVSMGGQNLVKSDAVKRNDRAHWFLSNAEVQINSGRLPVWQNTKVHFYAMRCVNVEGFGGGEAQIENIPCYEVDIRRKDLLPVLEHFNTIKAGWDDRVYSAQKNPSLESGEDREKASDESVICLSKPASVSSTESSDGGSSRRIENLLDLDPVNNGNRPFLTSNHFDIKEVASVSPVQKEAVDFQEGYCKVVEPGGCSKLTAPIIGYGQTDGDGRVTSENEGEEDELLGGMFDLSEEGN >cds-PLY66476.1 pep primary_assembly:Lsat_Salinas_v7:5:327138139:327140458:-1 gene:gene-LSAT_5X182761 transcript:rna-gnl|WGS:NBSK|LSAT_5X182761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDFFPVRPECQELVPKTRFKPKVGKTLSERRWNAAFDKDGHLDIAGVLRRIQRGGIHPSIKGVVWEFLLGCYDPNSTSEERGALRAKRREQYASWKEECKKMAPIVGSGKFVMSPIVNDDGKPIEDNGMELADSDADSDKKVTQWKQSLHQIGLDVVRTDRSLVYYENEANQAKLWDVLAIYTWVDDDIGYVQGMNDICSPMVILLEEEADAFWCFEHAMRRVRENFRSNATSMGVQSQLSILSQVMRVVDPKLHQHLEGLDGGEYLFAIRMLMVLFRREFSFVDALYLWEVMWAMEYNPNMFAHYASNGSSEVVVTTKLSKKMLQQYGKYERKNVKNGRTDQKSLLAVFLVAGVLETKNKKLLNEAKGLDDVAQIMGDITGSLDAKKALSEALKIHKKLEFLKVKSFKAAKI >cds-PLY82131.1 pep primary_assembly:Lsat_Salinas_v7:1:15845740:15847495:-1 gene:gene-LSAT_1X14301 transcript:rna-gnl|WGS:NBSK|LSAT_1X14301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAGFPSDLRYAISKIEVVQLQQRIMEMQNKGDSTNSSKAFSCFLKQAPFYVVFPATISLGCLYLSKSSNLQDLLLVKLSKEMYDNFVSSFRLVFFWFHHVQVKVLQHDLFTNDVLYTEIVFDMSSLKQELLSIIPLFW >cds-PLY95412.1 pep primary_assembly:Lsat_Salinas_v7:9:190570355:190575078:-1 gene:gene-LSAT_9X117641 transcript:rna-gnl|WGS:NBSK|LSAT_9X117641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRTVSLFTLWFIIGFYNLSSVYGNAEGDALNALRTQLNDPTNILQSWDPTLVNPCTWFHITCDPSNLVTRLDLGNAQLSGELVPQLGQLINLQYLELYGNNISGKIPEELGNLTNLMSLDLYMNQLEGGIPDTLGNLKQLRFLRLNNNSLTGTIPVSLTGIDSLQVLDLSNNKLTGNVPINGSFSLFTPISYAGNNDLTLPPAPPQAPASPNSQSSSVGNSATGAIAGGVAAGAALLFAGPAIVLAYYRRRKPQDHFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFSNNNVLGKGGFGKVYKGRLADGGLVAVKRLKEERSQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRDRPATQPALDWPVRKRIALGAARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDEVMLLDWVKGLLRDKKLETLVDADLDGNYVDDEVEQLIQVALLCTQGTALERPKMSEVVRMLEGDGLAERWEEWQKEEIFRQDYNQAHNQNFDWIIPDSTYNISNEQLSGPR >cds-PLY67010.1 pep primary_assembly:Lsat_Salinas_v7:7:174362090:174362845:1 gene:gene-LSAT_7X103641 transcript:rna-gnl|WGS:NBSK|LSAT_7X103641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCIYHLILLYRYHIIPETTTIGFENHNKSAWVEKMLQIETRDRGFAISVLSSNLNGAISLASISLVLCSLIGALLGGSSTNFFTNHFIYGDKRHTTRSIKYISMITFFLLAFACFVQTTRHFVHASFLVSMPKGDVPMKYIQKALIRGSIFWLVGLRVLYIAGTLVLWIFGPIPMLVSSVVLVVLWHFLDTNKDEMIRYQSNHNGGNFLRNNNIGSF >cds-PLY75660.1 pep primary_assembly:Lsat_Salinas_v7:1:99819782:99824498:1 gene:gene-LSAT_1X80981 transcript:rna-gnl|WGS:NBSK|LSAT_1X80981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLDSYQEVGQRMLLLSRKSVRELGFTTSNRRYELPSNVFSCLKLTKIDLKNCFFKQPLELERFSNLQTLFLLNIDFGANFCQTEINLPKLKKLFLSNGTNVYSFNIKVTNLQMLFVYGCHDAMLLRLLHTPCLRLTDNPELDEGPALNHLETPLNQLLTVKMTVFEGSRTEILFLKLLLAHSPSLKKFTITPCGACGVDIVKDVIQFPEPHQKQK >cds-PLY75284.1 pep primary_assembly:Lsat_Salinas_v7:3:158187490:158187714:-1 gene:gene-LSAT_3X99241 transcript:rna-gnl|WGS:NBSK|LSAT_3X99241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALMGGFARIGNNEITVLVNDAEKSGDIDPQEAQQTLEIAEAALRKAEGKRQTIEANLALRRARTRVEAINAIS >cds-PLY96729.1 pep primary_assembly:Lsat_Salinas_v7:6:76624874:76627511:-1 gene:gene-LSAT_6X56400 transcript:rna-gnl|WGS:NBSK|LSAT_6X56400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTESNILVRILVLLCFSLTITRGNTISANQSLSGNQTMISEREKFELGFFKAGNSSNYYVGIWYKKVFSNPPTIVWVANRETPVSDIFQSELKIINGNLVLLNESKFQIWSTNVTTTSTSSIAVLLDDGNLVLRDRSNLVESVIWQSFDHPTHTWLPGAKFGYDNRTKKSQRLTSWRSNEDPGVGLFSLELNRSSNEYLSKWNGSQQYWTTGIWNGKSFDLLPEMRLNYLFNFSYHMNENESYVIYFVYDPSIITRFVMDVSGQVQQLAWMETGKDWNLLWSQPKTRCDVYALCGAFGICRQTRFQFCNCLTGFKPRSEIDWDQSDFSGGCVRKTDLQCGGNMEKADFVMIKADNPSPNNSMAVGSAGECRTICLNNCSCNAYTFVDNQCLLWDGDLLNFSEDNNSGKPVYVKVASKDLPYHKKSDWVIVGSIACGVFLAGLILVLIYRKKRFSVGKTTTEGSLVAFAYRDLKTATKNFSDKLGGGGFGSVFKGVLHDSSIVAVKKLESISQGEKQFRSEVSTMGIIQHVHLVRLRGFCAEGNNKLLVYDYMEKGSLDSHLFNEKQLLLNWKTRYQIALGTAKGLVYLHEKCRDCIIHCDIKPDNILLDADFQPKIADFGLAKLVGRDFSRVLTTTRGSIGYLAPEWISGVAITAKADVYSYGMMLFELVHGKRNIRHCEDSRSTFFPGLVANVLLEGGDIFSLLDTRLNREACVEEVTNICKVACWCIQDEAESRPAMSMVERILEGVSDVSMPPIPQIVTLFDENIQGDVVFFTDSPSNRCSLVRSSSSGGDSQSKSSSS >cds-PLY91163.1 pep primary_assembly:Lsat_Salinas_v7:4:162193677:162194003:-1 gene:gene-LSAT_4X96820 transcript:rna-gnl|WGS:NBSK|LSAT_4X96820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNKNGGGDGTYDGHQAGGGERLQAAVGCRMVAMGGDVGGWWSSDGLFTPGFCFHLTGVMIWGGMGGPGRDSDKSSCGGCWVVSRWQWVVGGDFCCPFMLEMDTQNSQ >cds-PLY87113.1 pep primary_assembly:Lsat_Salinas_v7:5:257527418:257529831:-1 gene:gene-LSAT_5X132781 transcript:rna-gnl|WGS:NBSK|LSAT_5X132781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDHIKGKANASSENIEFKWGRKEQNDGKKHDRQYYRSFTYDGVDYFLYDSVYIWCEGQPEPYIGKLTEIYETKHLEKKVKVVWYFHPTHVQKYLRGTQTLNNELFLASGVGQGLFNVNPLETIAGKCDVVCTSKDKRNPQASIEEVEMCDYVFYKTFDVEKLILSERFPDKIAGVEVGFFFNQRKHLEIGTPPKSNWNSKVAGKSNSSSKFETGHCSTAVEDESDFRVPPANIGRLTISSTSEYHLNKRKLQDSKVEPEKPTKRPKLDSSNWFKEQPWEERMQIANETGSLVLLENLDPSLTSLEVEDIVLDAFHKKASAKMIQCNAYSSPYNGQALVIFNSKDEADFAISELKTRCLMLGDLRPIVGSRPSLKETSKKSRYFGHLTIKTMKQMRSMDLRNVVSTSHFSQPNTIEFEMALEWCTLQMRLKFCWDALYKHQGKEIADLKTQLSNHKD >cds-PLY65751.1 pep primary_assembly:Lsat_Salinas_v7:5:275448203:275454943:1 gene:gene-LSAT_5X146280 transcript:rna-gnl|WGS:NBSK|LSAT_5X146280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRIFYLLIVFTIYGFSSISSNPNFGVSSTIDESTILDFHGDYSPPSPPPPSPPPHPPALSCEEDLRGIGSLDTTCELNISTIFVADVYIEGKGNFHILPGVKFKCLTSGCSIIINISSEFRLGLNSEIVAGTLHVTAGNATFEEGSIVNVTAMGGDPPEHTSGSPKGVFGGGGGHGGRGACCVVDNTKLPEDVWGGDAYGWSDLPEPYNYGSKGGATNKDDDYGGNGGGRVWVEVVDAVEVSGRIFADGGDGGVKGGGGSGGSIFVKSRKMTGSGILSASGGNGFGGGAGGRISVNVFSRHDDQTFFAHGGQSYGCPANSGAAGTFYDAVPRRLRVNNHNMSTDTDTPFFAFPNQPRWTSVDIQEYARAAVPLRWSRVQVQGQLSLSAGAVLSFGLPHDAVSEFELMAEELLMSDSVIKIYGALRMSVKLHLMLNSKMLIDGDGEGDPIIATSLLEASNLLVLKGGSVIQSNANLGVHGQGSLNLTGEGNVIEAQHLVLSIFCCINVRVEPGSMLRGPLENTTIDHSAHCELEVCPMELIHPPEDCNVNSSLSFTLQICRVEEIVVEGSIEGSVVHFQWVRSVLIKPSGSISASGLGCIGGAGQGKILNDGTSGGGGHGGRGGDGYYNNSIVVEGGVPYGDADFPCEFGSGSGDDNLGGATAGGGIIVMGSLEHSLSSLSIYGSLTADGESFGENIRKQDVKHAGGGSGGSVLLFVDRLTLGDSSVISTGGGYGSQNAGGGGGGRIHFHWSDITVGDEYQPVASVNGTINIGGGIGKGLGQPGNNGTITGIVCPQGLYGIFCEECPLGTYKNVSGSERDLCYDCPALEFPHRAIFTPVRGGVSDVPCPYKCVSERYHMPHCYTTFEELIYTFGGPWLFGCMLLGLLLLFALVLSVARVKFIGGDELPGLVPTRRGLQLDRSVPFLESLNEVLETNRHEESQNHVHRMYFMGSNSFSEPWHLPHSPSEQVAEIVYEDAFNRFAEEINCLAAFQWWEGSFYSILSVIAYPFSWTWLQWCRKKKIQRLREFVRSEYDHACLRSCRSRALYEGLKVSATSDMMLAYVDFFLGGDEKRDDLPPSLHQRFPLSLVFGGDGSYMAPFVLHSDNILTSLMSQAVTSTIWYRLVAGLNAQLRLVRRDQLKSTFRPIISWLDTYANPTLSVHRIRVDLACFQPTALGYYQFGLVVSAVEYQRAPPPSISSPHGLPDPEVQSSYFVNHRGKVEERVHFQESEPLMTHRRASGWILDDISLRTLRENMMWYPLSFIICNTKPVGHQDLVGLVISILLLGDFILVLLMLLQLYSNSMVDLFLVLSLPPLGILLPFPAGISALFSHTPKRSSGLARFYALWNITSLVNVVVAFICGYFHYKNQLPPNDNHLNSLSWSLSLDDGGWWMLPSGLMVCKVIQAQLIDYHVADQEIQDRTLYCNDPTVFWQS >cds-PLY98322.1 pep primary_assembly:Lsat_Salinas_v7:7:172012052:172015050:-1 gene:gene-LSAT_7X102501 transcript:rna-gnl|WGS:NBSK|LSAT_7X102501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKINETIQKVGEKYRSFLHEEAKNTHWRHGAPPTYDAVNQLFEEGRTKVWEKGSLEETVQNAIKSWEMELSHKIRIKDFKTINPDKFKLIVNGREGLSAEETLKVGSYNALLKSTLPEEYKYYKAEEETFESSHDVFRSAFPRGFAWEVISVHSGPPVISYKFRHWGYFEGPFKGHKPTGEMVDFYGMGILKVDGSLRAEDVEIYYDPADLISKLLKGELISQEESPAADAPPANTGNCPFEKQV >cds-PLY99122.1 pep primary_assembly:Lsat_Salinas_v7:8:70674134:70675665:1 gene:gene-LSAT_8X50960 transcript:rna-gnl|WGS:NBSK|LSAT_8X50960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSETNNPMPSKEQEEAVIKKKYGGLLPKKNPLISKDHDRAFFDSADWALGKQGSQKSKGPLEALRPKLQPTPQQQSRSRRSAYAPAGEEVDGGNGNHNASEEDMDNDEKSDTKDEDQPI >cds-PLY97621.1 pep primary_assembly:Lsat_Salinas_v7:5:233430124:233431289:1 gene:gene-LSAT_5X115440 transcript:rna-gnl|WGS:NBSK|LSAT_5X115440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGKVISCGRNQTNETRNATRHAEMEAIDVLLKEWKEKELTKHEVVKMFSNCSLYVTCEPCIMCASALSFIGIKEVYYGCAND >cds-PLY72916.1 pep primary_assembly:Lsat_Salinas_v7:9:194390142:194395939:1 gene:gene-LSAT_9X118641 transcript:rna-gnl|WGS:NBSK|LSAT_9X118641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQSLELLLIQFLMPDNDARRQAEDQIKRLAKDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITGHWAKLSPQLRQLVKQSLIESITMEHSPPVRRASANVVSIIAKYAIPGGEWPDLLPFLFQCSQSAQEDHREVALILFSSLTETIGDSFRPYFADLQALLLKCLQDETSNNVRVAALKAVGSFIEFTHDASEVIKFREFIPSILNVSRQCLASGDEDVAIIAFEIFDELIESPAPLLGDSVKAIVQFSLEVCSSPNLDSSTRHQAIQIISWLAKFKSSSLKKHKLIAPILQIMCPLLTESTNREEDDDDLAPDRAAAEVLDTLSLKLPKHVFPGVFEFATLSSQSVDPKFREASVTVLGVVSEGCLELMKEKLGPILQIVLGALRDPEQVVRGASSFALGQFAEYLQPEIISHYESVLPCILNALEDSSDEVKEKSYYALAAFCENMGEEILPFLDSLMGKLFAALQTSQRMLQETCMSAIGSVASASEQAFLPYAERVLELMKSFMVLTNDEDLRSRARATELVGIVAMVVGRARMEPILPPFIEAAITGYGLEYSELREYTHGFFSNVAEILEDGMIQYLPHVVPLAFSSCNLDDGSAVDIDDSDEDENVNGFGGVSSDDETHDEPRVRNISIRTGVLDEKAAATQALGLFALHTKSAYAPYLEESLRILVKHSSYFHEDVRLQAITGLKHILTAAHAVFQGHSDGASKAKEILDTVMTIYIKTMNEDDDKEVVAQACMSVADMIKDFGYVTVEPYMPQLVESTLVLLKQESVCQQIESDSDVDDDDTSHDEVLMDAVSDLLPAFAKAMGSHFAPIFSTLFEPLMKFAKGSRPSQDRTMVVACLAEVAQDMGAPIAAYVDTIMPLVLKELGSSDATNRRNAAFCVGELCKNGGVASLKYFGDVLRGLYPLFGESEPDDAVRDNAAGAVARMIMAHQDSIPLNQVLPVFVKVLPLKEDHEESMPVYNCICSLVLTSNPQIAPLVPDLVNVFAQVALSPVETPEVKAQIGRAFAHLLSIYGQQMQPLLSNLSPTHANALAAIAPKS >cds-PLY70595.1 pep primary_assembly:Lsat_Salinas_v7:1:89915960:89917257:-1 gene:gene-LSAT_1X74460 transcript:rna-gnl|WGS:NBSK|LSAT_1X74460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSCRHHQQPPLSHPTTTTRWWSEDSVAIVTGANKGIGYALVKRFAELGLTVVLTARDQSRGLQAMDSLKVLGVDHHVRFCQLDISDPVSIRCFVSWFRSSFKAFDILVNNAAVCFNAMNENSMEYAETVIKTNYYGSKQFTEAMLPFFRRSSSMSRILNISSRLGTLDKLNNPQMKAILGDKETLSEGRIDMVVNAFLEDVKEGRWKTQGWPEIWTDYSVAKLALNAYSQVLAKRYKGVVSVNCFCPGFTQTAMTDGNGKHSADDAAKMAAKIALLPVPVLNTGKFYVGSTSRGICSKL >cds-PLY64516.1 pep primary_assembly:Lsat_Salinas_v7:8:124394309:124396609:-1 gene:gene-LSAT_8X85760 transcript:rna-gnl|WGS:NBSK|LSAT_8X85760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVETLSSSPSIWRFNHRRWFPALFSSYKTLFALLWIVVFASLFIWQRNFVDGISIFRRPLPFRPLPRFRPVVFNLTDFGAVGDGVTVNTLAFEKAVFTISKLGKKGGGQLNVPAGRWLTAPFNLTSHMTLFLAEDSVILGLDDEKYWPLMPPLPSYGYGREHPGARYGSLIHGQNLKDVVITGHNGTIDGQGQTWWKKYRQKLLNHTRGPLVQIMYSSDILISNITLRDSPFWTLHPYDCKNVTIRNLTILAPLFEAPNTDGIDPDSCEDMLIEDCYISVGDDAIAIKSGWDQYGVAYGRPSKNILIRNLVVRSMVSAGISIGSEMSGGISNVRVENVLVWNSRRAVRIKTAAGRGGYIEDISYKNLTFENVRVGIIIKTDYNEHPDMGFDPKAFPVIRGISYSSIHGEGVRVPVRIHGSADIPIRNVTFRDMSVGTTYKKKHIFQCAYVSGRVIGTIFPKPCENLDLYDEQGTLVKKSVSENASDIDYDI >cds-PLY95910.1 pep primary_assembly:Lsat_Salinas_v7:6:106452561:106452764:1 gene:gene-LSAT_6X66741 transcript:rna-gnl|WGS:NBSK|LSAT_6X66741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEAFLEVTRESLIALSCCEPKKISESSHPEKPNGQHKVASVVDELRLKLISIASEPPSMDSPPNEF >cds-PLY80903.1 pep primary_assembly:Lsat_Salinas_v7:2:76442725:76443231:-1 gene:gene-LSAT_2X35401 transcript:rna-gnl|WGS:NBSK|LSAT_2X35401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLYDEEDIKEEEEIEEKEEEDSGPIYDTDGEREFIERMNLVTGEEIVEDSSPFYDTNGEDGHEEVKEEINFVVGNEIVETIVKEIDFVVTDKLVEEKVEESEEVEQVLDHEDVVVVKKHDDTRLITNRRSKNLKEGVTHQVPSSKQLRGREFIMLGFLFLWCTFS >cds-PLY78145.1 pep primary_assembly:Lsat_Salinas_v7:8:228920980:228928232:1 gene:gene-LSAT_8X139140 transcript:rna-gnl|WGS:NBSK|LSAT_8X139140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPIFTVASLHLIALSLQTTNASAVNLFHIIYLIVSYRSENADTIPKTKPRSYPPNSKVHTKTIQCLNTEPKSGYGGTPFNFRETASPPSSSPPHYRLIRIPSTIPKLTSVQNGSRLLLRLLPKDQELFYTALVVAIQIRFIRTRKLHLHLPIKYQKQRPPSITYQVHSVRSLFHISLEYTSNFKLYSGRNMGFIFVDHTNYK >cds-PLY88693.1 pep primary_assembly:Lsat_Salinas_v7:5:68677878:68693494:1 gene:gene-LSAT_5X32061 transcript:rna-gnl|WGS:NBSK|LSAT_5X32061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFLEKFGHLRIPLEEIRGATNNFAAKYLIGRGGSGKIYKGVLIRPGGSVRVAFKLLDRAFVQGSSDFWNEIILLSECRHENIINFVGFCDEGNQMILVYEFARNGSLELHLRSADLTWLKRLQICLGAARGLSYLHNQQRIIHRDLKSAHILLDQNWHAKIADFRLSKFDPASMMNAFLFTDMVGTLGYMDPLYIETGLLTKESDIYAFGIVLMEVLCGRLVNQEGKMVRRHYEERKLTEIIDPILRKQMSADSLDLFSAIAYQCLKTEHKERPSIIEVVEKLERVLELQPKFEEALAHQQVAHNLKDRREGEEDFKVKTMEHMKIPLKDIKSATHDFHEHFKIGRGGFGEVYKADLFHFDFRKYIAENRFQRLSLAEPSDYPKRQSTVAIKRLDRRYGQGPAQFLQELSMLPYLTHKNLVTLVGFCDEDRENILVYEYAPNGSLHDHIHSPNTTNSHTWARRLQICLDAASGLEFLHNGIGEHYRIIHRDIKSSNILLGQNYIGMISDFGLSKIGPANLEATFVMTQVAGTPLYIDPQYQKTGLLTKESDIYSFGVVLFEALSGRLVHFQRSKDDPEFLLKMAKRCFEKKIINEIIDSKFKKEFEKSGSSILDDETCPDSIKIYASIAYKCCNEKREDRPTIAEVVKELEKALKSHVNRVEAFRITLGDIRSATNDFRDKIEQGPVGEVYCGELQYLKGHGTVTIKRLCASVDSSGEEFIKEIARLYSYSHENVVPVLGFCEEGTERIIVLEHMVKGSLKDHLTNSSLMWKQRLKICIDAAYGIAYIHSHAETEQMIHGDLKSSSILLGDDWKASISDFIVFKGAGTLGYLDPLYPNTSSLTLESDVYSFGVVLFEILSGRLATETIIIDQQLPLGEVPNVEPQLINSARGSGDDERMIFLANWAAKCFKNKKVEDIIFHAIKKEIDPMSLVIFSTIANQCLREQRTDRPTMTKVVEELEKALDCQDEWEWEQKLPTDYKEIIQMSKRPVASTIRKKDIHSLLSSGMLLHNEKWFSISMDGARNEMASAKTFSYGDVSSVKWKSVQKSRFPKVARIPDISNLNIKIKIKTQFLTPEMMYGAYLVFKFCDKRKVSSRPLYVNLKYKKAGETLSAYFAEWEVGTEWLKVKLFQFSSNNGSIDVEILLESLSQYYCGRGAIYIEGIEFQAIRSVDFEHNNELKDGTNSEGVLINTQLDMDWVEKMLYRYEKIIRRYGKNVHVANGIDNKEVIYRLLSEGIHIDKGEKFFSLSKAMKNCHMLRASSVIYNSLNLRFSTPPTGLRCRFAKVAKILSHQDFRIKCDIETQMLSSDATYACFLVFKLSKKCRGLKCPVKARDLVPHRKERTKIISFRYPSTVNLDKIKWIPEQREDGWMEVKVWEFNVDNTHNDEFVPMDLKLTCFEGNMSGFIVYGIEFRPIA >cds-PLY79911.1 pep primary_assembly:Lsat_Salinas_v7:8:15641526:15643388:-1 gene:gene-LSAT_8X13420 transcript:rna-gnl|WGS:NBSK|LSAT_8X13420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTRSSIKRKPFANLTNIVRKPYPISPFSQSKRPGSSTASDSSIGSTQNPNHENHPLISSPDQPLLSTSPTLTPARLVGDEISYTQRRSTRKTLLKVKADVPFYQTSSQETRSKTKELSVPLCSSTLGNRNQSSIQKTTYDGNKTFMAPNCFSVEKIKDKQKAIDVSSSAHHMKNTKNIMDTPLFHSTMKIKDKGKENAVQSSYPHLENTNINLSHSSMKRKDKGKSIAVPFNYPPMKTKMMEVLAPSSGVNIKEKGERVTGSSTHFDHSQKDERNVVVTPLYHRTLKKNKESSCPPLLRTAINRNQGDESEDTFQSKLQTEPPPNKKKRCSSKESGEEYVLPQEFVEQQRAYFKEIDDFELEVEEV >cds-PLY71823.1 pep primary_assembly:Lsat_Salinas_v7:3:59806540:59811254:-1 gene:gene-LSAT_3X46781 transcript:rna-gnl|WGS:NBSK|LSAT_3X46781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENRFCTRFILLLITTSLISVYSDTDDNEYTPGPVTYNARSIIVNGNPILLFSGSIHYPRSTPEMWPDIIRMAKEGGLNTIETYVFWNVHEPVEGQFNFTGNYDLVKFIKLIAENKLYAILRLGPFIQAEWNLGGLPFWLRDVPNIIFRSYNEPYMTHMKRYSEMIINMMKKEKLFAPQGGPIILAQIENEYNHVQESYREKGIQYVKWAADMATGLYPDVPWIMCKQKDAPANVISTCNGRHCADTFGGPNGPNKPTLWTENWTAQYRVFGDPPSQRAAEDIAFSVARFFMNNGSMTNYYMYHGGTNFGRTSSSFVTTRYYDEAPLDEFGLIREPKWSHLRDLHKTLRLVKNPFLLGTQKIKNINKFVQIITYEKPESNICLAFLSNKHKKIHRTIDFKGKSYFLPRRSVAILPDCKTVVYNTELIVSQHNSRSFVLSKKANKFKWESYREPIPIAKDLPVMSKTPLELTSMTKDTTDYMWYSTSLHLDSDDLPMRPDILPVIQIQSLGDALLAFVNGEYIGFGHGSFIEKSFSFSKPVSLKTGVNHISILAMTVGLPNSGAYMEKRFSGIRAVILQGMSSGSLDLTLNQWGHKVGVEGESLQLYTEEGSKKVKWSPASGPGTPITWYKTNFATPEGNNPVAIKMERMGKGVVWVNGNNLGRYWENYRSPLGAPSQTEYHIPRSFLKPKNNLLVVYEESGGTIDGVAINTVNRDIICSFMYEDYPPNIDIWKMEGGVLKTNVESPKPEAQLTCDPDKFIKEIQFASFGNPWGSCGTYIQGTCQSPNAKQVVKKACLGKNSCRIPLDRAILGDPSGDKSCSQDKFKRLAIQVRCAKKKNE >cds-PLY77548.1 pep primary_assembly:Lsat_Salinas_v7:2:164512614:164515269:1 gene:gene-LSAT_2X87420 transcript:rna-gnl|WGS:NBSK|LSAT_2X87420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLWNGSSRTRSTNSLSYCVKENKQCIGWWVDKYFKDCLCNVNDEISFGLGIISLVCWGVAEIPQIVTNFRTKSSHGVSLIFLLTWIAGDIFNLVGCLLEPATLPTQYYTAILYTTSTVILVLQGLYYDHIYTLLKSRKADSIAASPEVEEAKKPLITNSQSHAIRASPSQRRDYYYMSARSLAGSSTPPNRGYLWTTRSGPASAMAMAAAADDDDDRSSEDESSSLEIPAKTTSQPRPIPRSVGYGAFLATSLNFPSRTKGLMQVYAHRKLLQDGSGSEAVYGQWLGWMMAAIYMGGRIPQIALNIKRRSVEGLNPLMFIFALIANAAYVGSILARSREWEKIKANMPWLLDAAVCVALDAFIIMQYVYYRYFMKPDLNTDDE >cds-PLY87624.1 pep primary_assembly:Lsat_Salinas_v7:8:112762786:112766288:1 gene:gene-LSAT_8X79040 transcript:rna-gnl|WGS:NBSK|LSAT_8X79040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERTAPVYQLESPHREWLRRSHVSECRCVERWRTSWRIGQRMYGGEYVGISGPPVAAADTEVGQNVMEGRRSGHADTSATAPEAGQNDVRTWKGRKGFGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY69648.1 pep primary_assembly:Lsat_Salinas_v7:5:112067825:112070264:-1 gene:gene-LSAT_5X49621 transcript:rna-gnl|WGS:NBSK|LSAT_5X49621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGNNEDPASDFFYNGPEHENEPEHGHESVREPESPMVQTPHYSGTHGGSNAADSNGSHRPFITRKGYKFGRQSIHRAIVKIFWQSINEPWITYKKIPKEVVTQMFERFRTQCRWDPNEEGLIREGFENTLKDRYRGRMRDAMEASKQLTGEDPSFIDLYYKTHLTAESKKIYFGGDKEAQVGFVNETSRVAIESYNTALSQKYGDDTTQHNVNDPELWTQTQLLRKGGKQKGPIYGAGYSDLHFLMMGAYCYESTSASADFAKSQQEVNELRQKMSNMEQAMEEKQSEMNLQMQQMRNEMELQVQRQLEAFMKQINPSDNPPSSS >cds-PLY69823.1 pep primary_assembly:Lsat_Salinas_v7:6:4668988:4674042:-1 gene:gene-LSAT_6X2800 transcript:rna-gnl|WGS:NBSK|LSAT_6X2800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVKEMYPLCCISLESSAIGDNSPEPMPTLSRSCSVADMRSDPNAIGWPSSGSDSGSGSSSFAGVLYKWTNYGKGWRSRWFLLRNGVLSYSKILRPESLIAADDVRFIGDVSSGRLKRLNSSGTRRNKHQKTVGIVHLKISSFRESRSDSRRFYIFTATKTLHLRTSLKEERVAWIEALASARNLFSLRRLNDKISIVQTDISLSTEKLKQRLLEEGTSEVLVKDCEQIMLTEFSEIQGQVRVLCEERSSLLDTLRQLEAANLETEPSGVPEGEYQLTQHELSDIQRGKYSEWSTTESSDDVEKQELEEGSEEEESYFFDTKDHFSGQIIAKESEFMDERNSKQLRFERRKKLPDPIEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYQYGKEGNSLQRLLNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPEKGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNIRTKFWGRSIQLDPVGLLTLEFDDGEVFHWSKVTTSIYNLILGKVYCDHHGLMHIVGNRELSCKLRFKEQSLLDRNPHQVHGVIEDSSGKKHATIFGKWDNSIYYTIGEGTSKTKDENLLWKRTMPPVNLTRYNLTSFAITLNELTPGLKEKLPPTDSRLRPDQRHLENGEYDMANSEKLRLETRQRMSRKLQENGWKPRWFEKDGEDGTYKYVGGYWEKREEQNWEDCPNIFGEFTQDTLFVNNNS >cds-PLY77918.1 pep primary_assembly:Lsat_Salinas_v7:1:23904899:23908088:-1 gene:gene-LSAT_1X20440 transcript:rna-gnl|WGS:NBSK|LSAT_1X20440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSATNGGGSDPQQQTQNSTQPAVVATTTTTAAAPPVVGVGPQQQQWVAMQYPAAAMVMQHQMMAPSPGHYSMAPHYVPYHPHHLHHPVAQQPQQGSGGGGGENRTIWVGDLHNWMDEDYLRNCFASTGEVASIKVIRNKQTGFSEGYGFVEFFSHSAAEKVLQSYTCLAMPNTEQLQLFRLNWATFSMGDKRSNNGSDLSIFVGDLAADVTDTLLHETFANKYPSVKAAKVVIDANTGRSKGYGFVRFGDDSERTQAMTEMNGTYCSSRPMRIGAATPRKSSGYQQQYGSQGGYMSNGGSQSDGDSNNTTIFVGGLDPTVSDEDLRQPFSQYGDIVSVKIPIGKGCGFVQFANRNNAEEALQKLNGTTIGKQTVRLSWGRNPANKQMRNEFGNQWAGAYYGGHMYDGYEYAMTPPHDPGMYAAAYGAYPMYGIHQQQVS >cds-PLY83164.1 pep primary_assembly:Lsat_Salinas_v7:3:95120734:95122271:-1 gene:gene-LSAT_3X71521 transcript:rna-gnl|WGS:NBSK|LSAT_3X71521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSHHYFGDSNGSDDAVSITIIESMKEDYGLFVWPCSVILAEYVWQQRSRFTGIRVVELGAGTSLPGLIAAKVGAHVILTDDSNRLEVLDNMRRVCELNNVKCEVMGLTWGVWDESIFSLQPQVILGADVLYDSSAFDNLFATVKFLLENAPGSVFITSYHNRSGHHLIEFLMVKWQLKCVKLLDGFSFLPSWKASGLSGNIQLVEIRV >cds-PLY84397.1 pep primary_assembly:Lsat_Salinas_v7:8:78969049:78971125:1 gene:gene-LSAT_8X56540 transcript:rna-gnl|WGS:NBSK|LSAT_8X56540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDTKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRPNVSKAELKEKLARMYEVKDPNSIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLVRNGLDTKVEKSRKQLKERKNRAKKIRGVKKTKAGDAAKKKK >cds-PLY76972.1 pep primary_assembly:Lsat_Salinas_v7:6:64212265:64212728:1 gene:gene-LSAT_6X46980 transcript:rna-gnl|WGS:NBSK|LSAT_6X46980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVATSETRSRGGVIDGEGRENEVIHRSSDLRKFSGDDDDQSSLLHTSCTAKAA >cds-PLY93463.1 pep primary_assembly:Lsat_Salinas_v7:9:63051933:63052634:-1 gene:gene-LSAT_9X54900 transcript:rna-gnl|WGS:NBSK|LSAT_9X54900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAFIESYNPFPSVSSESVPLAHFLTSCRSKSSVLNAAAESEVMLASRNPKKRAGRKKFRETRHPVYRGVRMRDNGKWVCELREPSKNMRVWLGTHPTAIMAARAHDVAAFAFRGRRACLNFADSVWRLPVPKSSSIVDIQKAAAEAAEAFRYSEEEVEIVDTKELPEILYYVDEEDIFETPEFFVSMAEXAPPRQWDMAVTRITSNFLLTSLYGPFRFSECIYSHLLFFNLK >cds-PLY68952.1 pep primary_assembly:Lsat_Salinas_v7:4:364059669:364062966:-1 gene:gene-LSAT_4X178540 transcript:rna-gnl|WGS:NBSK|LSAT_4X178540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNSIKKLVINDNYSSFVLLSKLIDLLFHSKDYHVKMKCMRRSFSKNISNKGDECGNLVEFGASTLNVNYSFSNFSFKLLLLAYFLDLNALLVLHSYSSSTTSQGMMTQVYKVRAKEEALLLGEEGGSTTAKRGRKSDNTSSSIQDMMMSKDDDDEDVPKKANKSQPRDTLFDACDFTKTKAVEGISRKAIRTLLSRGANLLGLLIAN >cds-PLY68740.1 pep primary_assembly:Lsat_Salinas_v7:4:185474437:185486546:-1 gene:gene-LSAT_4X108400 transcript:rna-gnl|WGS:NBSK|LSAT_4X108400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILALHELRVVDDFLPLELSITNVILGVSQKSEVTGETNVMHDWDPSDWWFMHEYPPPPPPSVVKDEGGGGNKGKKPTKTYNKSHSRSTVVMPMAVKNHQDKAEVNGPMTVSTTRKTWDPYIIVKSRDLIKLLSRSVLTPQVPVEHSKEVSDCKHLIKTLVMGVVGRQLGGIDGKSSI >cds-PLY72717.1 pep primary_assembly:Lsat_Salinas_v7:6:27886209:27888641:-1 gene:gene-LSAT_6X21521 transcript:rna-gnl|WGS:NBSK|LSAT_6X21521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTNPMDLGIFTSHGHHMEVYSLPCKRSRSRISAPFIFNEQIHKKPKTTIEILPDECLFEIFKRLNGTQERSSCASVSKHWLNLLSTIRKDEFTHSLNQQQNAHLSRFLKGKKATDIRLLAIAVGASSHGGLGSLSINGNNVTRGVTNLGLKAISRNCPSLTDLSLWNLSSISDEAIIEIANECHNLEKLDFCQIPISDNSLIAIAKNCPNLKELSIESCSNIGNEGLQAIGQSCQNLKSISIKNCSQVVDQGITSLLSSSSSYSLMKLKLESLNISDMSLAVIGHYGIEITDLALTRLCKVTEKGFWVMGSGQGLQKLKSLTITSCFGVTDLGLEALGRGCPNLKQFALRKSAFLSDNGIVSFAEVALSLETILLEECHRVTQCGVFNFVLKSKLKNLTLENCFGIKDLVPGIPIPFRCSDSVKSLSIRNCPGFGNNSLMLFGRICPQLQNVEFIGLHGITDECFAPLIECCEPGLVSVSLSSCPKLTDKLVSELCKIHGGTLEILNLDGCTSITDSSLVSIASDCLLLTELGVSGSGITDSGVRALACAVQLNLQILSISNCRFVSDKSLPFLVKLSESLVGLNVRQCHGISNSGVGLLVDRLWNCDILS >cds-PLY82831.1 pep primary_assembly:Lsat_Salinas_v7:1:86525853:86528463:-1 gene:gene-LSAT_1X73121 transcript:rna-gnl|WGS:NBSK|LSAT_1X73121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHFSRLCFVLPSDGDDHQPVVHNHRPKQLDTTSHKHPPRGLHIPDVLRRALHRLYDTKPDIHSCGFNPWHKQTSIVFHDTEGIQFPNDKVFVGHSPKIFSYSELYIGTKGFSKAEILGSGGFGRVYRAVLPSDGTVVAVKCLMETGERFEKTFTAELAAVARLRHRNLVPLRGWCVNEDQLLLVYDYMPNRSLDRVLFRRAAPVLGWDQRVKIVKGLAGALFYLHEQLEAQIIHRDVKTSNVMLDSRFNARLGDFGLARWLDHEFKYQNVSPSVKHYEIKTADTTRIGGTIGYLSPESFKKGGLATAKSDVFSFGIVLLEIASGRKAVDLTYPDEQIILLDWIRELADDQLLVKAADHRLQDGSYKVLDLELLIRLALLCTLHDPQSRPNMKWVVDALSGNACVKIPDLPSFKSHPRYISVSPPITSSNTTKTTTTITITTTTTVSGDSTTSTTAKAGLSRRGSKICPMVELCPMVEPPREISYNDIISATDNFSDSNRLAEVDFGTAYYGVLNNRDIIVKRLGMKTCPALRARFANELSNLGRLNHRNLVQLHGWCTEHGEMLVVYNYTANRLLGQLLSHHNHQRSQPVLQWAHRYNILKLLAGAVQYLHNEWEEQVIHRNITSSAIGVEPDMNPRLGSFALAEFLTRNEHDHHVEIDPKRSVHGIFGYMSPEYMESGEATTMADVYSFGVVVLEVVTGQMAVDFRNPDVLLVKRVHAIENQESDYKKLVDPRLDGEYDHKELVRLVKLAIACTWSNPGLRPTMIQVVNILDGRDKCPMEVADKMENMEEWKERNKLALSLVRRVQALGIQ >cds-PLY68487.1 pep primary_assembly:Lsat_Salinas_v7:2:213086317:213087207:1 gene:gene-LSAT_2X133420 transcript:rna-gnl|WGS:NBSK|LSAT_2X133420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSQTRTPDVDFKRRRKARWWSYIIGGVIFQIFIILVLLYIILRVRTIKLRLHSAKLQNLKTSNESSFTMFLHTQVTIKNSNFGHYKFDNSTIVLAYRGTHVGEVGFGNGYVKARSVKKIDMVVAVAVAVGLKTWSDHGGPGKITLAAKAQVTGKVHILKVIRRRKLAEMNCTMDVDIKTQAIDNLHCW >cds-PLY91332.1 pep primary_assembly:Lsat_Salinas_v7:4:246856289:246856644:1 gene:gene-LSAT_4X131200 transcript:rna-gnl|WGS:NBSK|LSAT_4X131200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQEPKPKKQSAPTAKIGSNKKTVSKPVSSSDESEFEYESSDDSDSSDEEEAPKKPAAVAKNGAAAATKKAK >cds-PLY99660.1 pep primary_assembly:Lsat_Salinas_v7:1:198546038:198549337:1 gene:gene-LSAT_1X128021 transcript:rna-gnl|WGS:NBSK|LSAT_1X128021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDPVFLFVNGVASPTSAPPVSTLLESHPGAYTTFRTHNNGLEFLFWERHLRRLSTSTNILFNSCPNLLFRPGINLTPVSLQQMKSLEWDSLIPSFVNNSMTKAIPAALKQRKPGTELAFTALVSGNLEKLIPNEKIGEEEIHRVFSLHLHVSLYTPPVFGVRTDGAHLALVGHGRDTANAKYSDWVRMRKPLEKLRPPSATELLLSNDGDHILEGCLTNFFVICRKDSIKDGYSLELQTAPISDGVLPGVVREVIIEVCLGIGIPIREVAPSWSKHHLWEEAFITSSWNSLSSKTWKDVMWKEKLFQECPGSITAVIQEEVMKRAKVEGHPVASFIK >cds-PLY95672.1 pep primary_assembly:Lsat_Salinas_v7:2:115928779:115929819:1 gene:gene-LSAT_2X52821 transcript:rna-gnl|WGS:NBSK|LSAT_2X52821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAELAELTELPLDIIDEILSRMPLIGYISGRPNQPSILSFLHINELKEQTPETNSVMEFRCQKSLMVLGSCNGLLYLSQNHDNDMINDMTTLVVIDPLRKERYELPPIDIMLGEYFQESCGLCFDDSTNTFKMVCVVVRNHATWQMKLADVRKNLRTMVHVLGTNSWIEIPQVPDYPITGEGVFSHGYMYWLAACVDESPNNDPRKVIWFNVKKEEFGWIDLPKETQTQDESFISHRLVDLHGELGYEYMCNNIEVWVLKKKEWVVYCRVDQKPPFPHQEIMVLGQWNKKGDLLMTNNPWEKSLPKRLFVYGQESGEIHEVKLVGWENGWEGDIRMYQSSRFTIHG >cds-PLY82634.1 pep primary_assembly:Lsat_Salinas_v7:3:104072529:104075667:-1 gene:gene-LSAT_3X76001 transcript:rna-gnl|WGS:NBSK|LSAT_3X76001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYVVGSWIRVAGQTVDRVGCSLQGSHLFEEQVSRHRTLMNLFDKDPAVDKDAFVAPGASVIGDVQVGRGSSIWYGCVLRGDVNSISIGSGTNIQDNALVHVAKSNISGNVLPTTIGNNVTVGHGAVLHGCTVEDEAFVGMGATLLDGTHVEKNAMVAAGALVRQNTRIPFGEVWGGNPAKFMRKLTEEEIAFISQSATNYTNLAMVHASENAKGFEEIELEKKLRKKFARKDEEYDSMIGVVRETPVELTLPDNILPQKAQKTTTAS >cds-PLY98741.1 pep primary_assembly:Lsat_Salinas_v7:6:102879462:102879701:1 gene:gene-LSAT_6X69001 transcript:rna-gnl|WGS:NBSK|LSAT_6X69001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIGYECAGGIKEILSNVNDLVPVDRVALEPRISCWRCTQCKEGQYNLCPDMKFFATPPVHGFLANQVKVILLHHHRPQ >cds-PLY75777.1 pep primary_assembly:Lsat_Salinas_v7:3:69929001:69929165:-1 gene:gene-LSAT_3X53420 transcript:rna-gnl|WGS:NBSK|LSAT_3X53420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGFEGQRSFLVSLDDGRRQWSGGSQGDKAAAVATGGDELEEIVVKFWYKFLED >cds-PLY99410.1 pep primary_assembly:Lsat_Salinas_v7:4:103084966:103085583:1 gene:gene-LSAT_4X65821 transcript:rna-gnl|WGS:NBSK|LSAT_4X65821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGYLQESMSHAKWKSSSETVDESNNVVSDNFDCNICLDTVQDPVVTRCGHLYCWPCVYKWMNLSNKVNTLCPVCKTEISEKTLISLYVPTSTVKPNSQEKIVSIPRRPCRVHTMPRQQHSLRDIRQEVPNVILRASPISGMFGEMVCKGLFGNSEDLLSGYGDSYILAGMSTQRARRQAIRDERSLGRICFFLFCCVMLCLVLF >cds-PLY73016.1 pep primary_assembly:Lsat_Salinas_v7:9:37029463:37030211:1 gene:gene-LSAT_9X34880 transcript:rna-gnl|WGS:NBSK|LSAT_9X34880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLHPSSFVRLVTEGYDRATKEKGLREQRNQTARKKLAGARLLLRRSWPIEKREAAPVLGRTEGGEETKAARLSLSRAAIADSSHPNGMLLWSIRLKKRSGPWVLRAAAVTRISIGLSGCLVLVHDRKEGKTEEESRMAATSRGRRIVRDRDFE >cds-PLY90171.1 pep primary_assembly:Lsat_Salinas_v7:7:14977697:14979308:1 gene:gene-LSAT_7X12140 transcript:rna-gnl|WGS:NBSK|LSAT_7X12140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKGETSDTSFTSVTTFNPKCREKIPQQYVLPPLQRPNRSLICHISTKLPIIDLSMLNHSAHRPQLINQVHAACKTLGFFQVINHGIPAAIMKDALDSAEEFFNLPSDKKMCYASTDVHKPVRYGTSLNHGTDKVLYWRDFIKHYANPISEWIHLWPSDPPSYKEKMGSYAKAVKILQKQLMEVVLESIGLNANYLHDDIEEGSQVMAVNCYPSCPEPDLALGMPPHTDYGTLTILNQSHQGLEIMDQDNVWHSVPFLQGALIVQLGDQFEIMSNGRYKSIPHRATLNLETKRMSIASIHSMKIEKKVGPAPDLVDEQHPIAYKEGSFREFLDHISSKSLSECKYIDTLKT >cds-PLY78376.1 pep primary_assembly:Lsat_Salinas_v7:9:8882966:8883838:-1 gene:gene-LSAT_9X6400 transcript:rna-gnl|WGS:NBSK|LSAT_9X6400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVHPTPNRRNITVRYDFASQSNAADVICRQKKLRRLPHIFAKVLELPFYSDADVSIQETSDSLKFVVDTDDDIGTDIAAHTIEIYPGVTKIVVGGRRVVNSCANPVNELALDVWRFRLPASTQPELATASFTDGELVVVVPKDVNLDGNQGDLWDEGNETGQFVLVQ >cds-PLY90679.1 pep primary_assembly:Lsat_Salinas_v7:6:52076653:52078434:-1 gene:gene-LSAT_6X38181 transcript:rna-gnl|WGS:NBSK|LSAT_6X38181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQTPKYTDNKPTVRFYTKIKTDYSLTIRDGAPVIAPTNSSDLHQHWIKDEKFSTRVKDEEGFPSFALVNKATGQALQQPTDPAHKPVQLTEFNPYTLDLSVLWTESKDLGDGFHAVRMVDNIKLNIDASIGDTGIHDGTEILLWEWNKNDNQRWKTEPFXLRIFL >cds-PLY71834.1 pep primary_assembly:Lsat_Salinas_v7:3:58287345:58296765:-1 gene:gene-LSAT_3X45440 transcript:rna-gnl|WGS:NBSK|LSAT_3X45440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRVVIINASVRHTDRSYKYASNVVRKTTYNVATLLPKSLFEQFRRVANLYFPLVAMLSLTPFTPFFPLRLIVPLVFVVGVNLVKEGVEDWCRLLKERVVNSRTVKVLTRNGTSFANKHWKVLRVEDEDVIISPYPLSASQLLLRDSKLQNTEYAYGVVVYTGPDTKVVRNFMTLPFKWSKVEIGVGQGDICFVLNADNHFLDHLHQFLSIHHRGNIRTVVPSISRRLGDRGRTHQQATTKHLSSYAKDGLRTMVFAYPHIYTKTKATIGPEREELMEKASKIMENDLELLGVVVIEDRLQEGTMEDDILNQIEACHDLMKVTRLVKKYTCKMTIAIGDGANDVGMIQAANIGVGISGFEGIKLYMIHQ >cds-PLY91811.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1440131:1443522:-1 gene:gene-LSAT_0X580 transcript:rna-gnl|WGS:NBSK|LSAT_0X580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGQKWTYTPVCLKQSGEKQLNDHMGVSRAMSSSNPVIPGFEGKYKKISDSIINTNTLFPDQNQIVVSADTMSSFQTSNFVNSQSMSLIGYTSQTDDISWTAESLKDLIDFPENVAGNNVAGSSLIPASDHGGGRGTDWQNWADQLMSVDDTIDPNWNDIMADVDVPTLDTTKQMQIIPRPQVPSIEVCPVSSPSSNGASSSIKPRMRWTPELHESFVEAVNQLGGSERATPKGVLKLMNIESLTIYHVKSHLQKYRTARYKPELSEGTSDKKATSIDEMVAMDIKNKSLGFTDALKLQMEVQKQLHEQLEIQRNLQLRIEEQGKYLQMMFEQQRKMENGRLKPSSSNQDEEDDPATEKQKASKNDDRCEKSKEKSNSQIEVPEVNKSNMESGCSPRPSKRARPDEASMA >cds-PLY61841.1 pep primary_assembly:Lsat_Salinas_v7:6:57665836:57666657:-1 gene:gene-LSAT_6X41740 transcript:rna-gnl|WGS:NBSK|LSAT_6X41740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAKPPTPNQATPTHSNLVRLIAIVLLVLIVLVGLTILIIWLTIKPKKLVYSIDDGSINNYNLSDGNHLNSTYDFILRAYNPNKKVSVYYDKVDIEVLYDDETLSRGIIDPFHQPKRNATRFKLNLASHDVQLSDQIARDLKAERTSGRVEMTVKLKARIRFKVGVWKSRHYHMKVSCAPIMVHFSTSSRGFQRTMCDVDI >cds-PLY82102.1 pep primary_assembly:Lsat_Salinas_v7:1:13736617:13737834:-1 gene:gene-LSAT_1X11480 transcript:rna-gnl|WGS:NBSK|LSAT_1X11480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANQRWQRSTAVSLISLIGFFFSSGYRRPFHRYRFRRHYPRDMFLALDKDMNRTLSKQEL >cds-PLY72748.1 pep primary_assembly:Lsat_Salinas_v7:4:372161068:372164034:1 gene:gene-LSAT_4X183040 transcript:rna-gnl|WGS:NBSK|LSAT_4X183040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYTVEEASAAVERCGPDASIGEITDFICAAQMAKTEAVFYEEEKPKKLFTSNGKLKRKKFHELEAHKRKKQKGPLTEEDEVIRLPNPMIGFGVPSGSTAITHRTLPDAAVGPPFFYYENVALAPKGVWDTISRFIYDVQPEFVDSQYFCATARKRGYVHNLPIKNRFPILPLPPRTIHDALPMTRRWWPEWDPRTKLNCLQTSYASSRLTDRIRKALEKWGESENVPEDVRKYVMYECRKWNLVWVGKNKVAPLEPDEVEMLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKDIFPNGINMLSLFSGIGGAEVALHRLGIPLKNVVSVELSEANRDIVRSWWEQTNQKGNLVHLADVQQLNPDKLEQLMRPFGGFDLVVGGSPCNNLAGSNRVSRDGLEGEQSALFYEFPRILDLVKCIMNGQNEN >cds-PLY94131.1 pep primary_assembly:Lsat_Salinas_v7:8:29684088:29686482:1 gene:gene-LSAT_8X23980 transcript:rna-gnl|WGS:NBSK|LSAT_8X23980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAHKGFDNMLKRIAVVLLIGIIAFAYQITTPPPPNLCGSPGGPPVTGPRVTLRDGRHLAYNEYGVTRETANYKIVFVHGFSSCRFDESLFHQELFEKLKIYMVTFDRPGYGESDPDPKRTIKSLALDMEELADKLELGDKFYVIGYSMGQQGAWGSLKYIPHRLAGVALIAPVVNYWWSSFPANLSLEAYKLQPRQDQFAVGVSHYAPWLVYWWNTQRWFPGSSVIAGKPNFSASDWDLISKLRGDGSPEPIPTQKDYVRQQGLEESIFMDMKVGFGKWEFDPMEIENPFPEKEGSVHLWHGDEDGLVPVSLQRYIVKKLPWIHYHELPGVGHLLPNYDGKKEAILKSLLLGEY >cds-PLY88688.1 pep primary_assembly:Lsat_Salinas_v7:5:66579704:66581907:-1 gene:gene-LSAT_5X30441 transcript:rna-gnl|WGS:NBSK|LSAT_5X30441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEPTATSSLVASLPSSSERSSSSALHIEIKEGMESDDEIRRVPEMGGEAAGASVSGGDTGSGAGPDRVQSSNVGSRKRGRTPADKENKRLKRLLRNRVSAQQARERKKAYLNELEVRVKEIEKKNSELEERLSTLQNENQMLRHILKNTTAGMQEKK >cds-PLY72197.1 pep primary_assembly:Lsat_Salinas_v7:7:55302148:55308248:1 gene:gene-LSAT_7X39781 transcript:rna-gnl|WGS:NBSK|LSAT_7X39781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICCGTKKPRTLSPPRTTPPPMPPQTTTNHLPQPQTAKHETLLSIPNSKVHIMDEGEAVELANGNFTLFQISNDNILLATIIKIGDDLQWPLTKDEPVVKLDPHHYLFTLPVIKGQDPLSYGVTFPKIDNENNLTMLDKFLKEHSCFSTSSVSRKTDIDWKEFAPKIDAYNNVLAKAIAGGTGQIVRGIFMCSNAYTNQLQKGGDMILKEAMEEKHGISRTKTIESNKTNGTKKGDGINKSLRSARKLSKMTESMSKALLNGVGMASGSVMGPAVRSRAGKAFFNSVPGEVVLASLDAINIVMDAAEVAQRQALAATSDAATRAVSERYGEEAGEATGNAIATAGHVAGTAWNVVKIRKAINPASAVKNASKIR >cds-PLY72618.1 pep primary_assembly:Lsat_Salinas_v7:5:44720024:44720293:-1 gene:gene-LSAT_5X22080 transcript:rna-gnl|WGS:NBSK|LSAT_5X22080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMKRSVFGHKILASVFLCCLIAALKVEGRTLSDKHQQQGNNKNHHQPLHCFCCIRCRLLHETILPPPMDTRFNIQKRFVPGGPNPLHN >cds-PLY95246.1 pep primary_assembly:Lsat_Salinas_v7:8:269123991:269126149:-1 gene:gene-LSAT_8X156001 transcript:rna-gnl|WGS:NBSK|LSAT_8X156001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGLARNLFPVRPPSGSRPSSLPHPSGLPCIPLTGGSVPGARSSPFPDPSRIPLTGGSVPRGRSSTLPNPSGLPRIPLTGGSVPGARSSPLPNPSGLPHISFTGGSIPGVGSSPLPDPSGLPRIPLTGGSVPGSGSLPLPDSSGLRHSGFVSGARSSPLPDPDLSGLQHNPFLVGSTPRARSSPDPNGLLRIPLSGGLSRTSVRTARSGFSGSSSMGDINTHMGLTDAIADTGVVQNGGNGIAYGYEMTGNNEDPASDFFYNGPEHEHEPEHGHESVHEPESPMVQTPHYSGTHGGSNDADSNGSHRPFITRKGYKFRRQSIHRAILKIFWQSINEPWITYRKIPKEVVTQMFERFRTQYRWDLNEEGIIREGFDNTLKDRYKGRMRDAREASVNAARKAGHVIAEINDNFEILANYNPPEIHRDKQLTGEDPSFIDLYYKTHLTAESKKIYFGGDKEAPVDFVNETSRVAIESHNKALSQKYGDDPTQHNVIDPELWTQTQLLRNDGKQKGPIYGA >cds-PLY66737.1 pep primary_assembly:Lsat_Salinas_v7:1:167633753:167635153:1 gene:gene-LSAT_1X112420 transcript:rna-gnl|WGS:NBSK|LSAT_1X112420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELESDTEHNHNMMIDHKIPTHNMQIDPSRSCFPCCIVWTPLPVVSWLLPFVGHIGIGRENGVILDFAGPNFVCVYNFTFGVVTRYIQISKDKDKMDKSFGDCSIPQEKSNADITRSWSYLKQLVLDMPAYEA >cds-PLY65754.1 pep primary_assembly:Lsat_Salinas_v7:5:273091386:273092888:1 gene:gene-LSAT_5X142921 transcript:rna-gnl|WGS:NBSK|LSAT_5X142921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S16-2, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G56940) UniProtKB/Swiss-Prot;Acc:Q9LTS6] MVVRLRLARLGCRNRPFYRVMAADSRSPRDGKHIEVLGYFNPLPGQDGGKRMGLNFERVKYWLSVGAQPSDPVQRLLFRAGILPPPPMVAMGRKGGPRDTRPVDPLSGRVMMPDLPTKPSIESGDQENKS >cds-PLY84473.1 pep primary_assembly:Lsat_Salinas_v7:7:127676412:127676792:1 gene:gene-LSAT_7X76701 transcript:rna-gnl|WGS:NBSK|LSAT_7X76701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLNLDVVVAVMFFSTVVPSFLLVAGFYVVVIAVGLRCRQALPSLAAVGMEETHRFQSMLSHSVKDLTPSTLRSVVAAHKTLILNFGQHPPPPRVAVVHVFQPCVARMGLFSVFHLVLVTTVRGLQ >cds-PLY97272.1 pep primary_assembly:Lsat_Salinas_v7:1:43004438:43005992:1 gene:gene-LSAT_1X37441 transcript:rna-gnl|WGS:NBSK|LSAT_1X37441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAVYTSYRGKCNPSFSSSLLDEIYRSIDERDDEESTSFRENIRKKQSKFGGSKSSNSCFENHDDERRACLIQNWMANKVCEKPVVGRRSTADIERSSMRSERESVCFNSSSSSCDSSYGGAFSSSEVDSMNGVLFKPKPIRTSGYQQEEDSQQKVKHEGKFAKTKLKALKIYSDLKKVKQPVSPGGRLATFLNSLLTTGNTKKPKTSSASTAAAVSGGYAEAARSHLDRKSKSANASTCSSASSFSRSCLSNTPSSRGKLSNGMTRSVRFYPVSVIVDEDCQPCGHKSLQKEETQFAKKSIPKELKLNSSENNRHIQEAARNLLKEYQKKVECKSDSIRSNVDMKDVEDEDLSDDGKSDSSSDLFELDNFYSIGMHKYQEELPVYETTHFDTNRAIANGHFMQSS >cds-PLY98318.1 pep primary_assembly:Lsat_Salinas_v7:7:173080719:173082287:1 gene:gene-LSAT_7X103501 transcript:rna-gnl|WGS:NBSK|LSAT_7X103501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASAERENFIYVAKLAEQAERYDEMVDAMKKVAKLDIELTVEERNLLSVGYKNVVGSRRASWRILSSIEQKEESRGNEVNVKRIKEYRQKVETELSNICGDIMTVIDEHLIPSSSAGESTVFYYKMKGDYYRYLAEFKFGNDKKEAADQSLKAYQLASTAAEDLSPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDSLSEESYKDSTLIMQLLRDNLTLWTSDIPEDGGKN >cds-PLY94430.1 pep primary_assembly:Lsat_Salinas_v7:6:10983373:10983801:-1 gene:gene-LSAT_6X12780 transcript:rna-gnl|WGS:NBSK|LSAT_6X12780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRLFVIVTLFFLPTFPFAYSINSSTNCILTYRWHVSVTSDLPDDMIIFVHGPDYHQKISLSFRESMSWYFCQLGRVYYGEVTWGSKNTTVVLYNDHIKRFCGRFKFGIQHCYWLITTDGFYVSRHNSPFPNSDWHFEAPWS >cds-PLY82372.1 pep primary_assembly:Lsat_Salinas_v7:3:116478615:116481009:1 gene:gene-LSAT_3X82480 transcript:rna-gnl|WGS:NBSK|LSAT_3X82480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCLISVLSHGYRHCIHLLFHCYHTQSPISLPVVPNRSPKIDFNRSHLLSVAYRSTFPGKKHFWSRIVGGCFNLKKEVADVMPSHVNKDGKSVYLNLSSWNIVTNLFFLHSPIGVGYYHSNTTSDILNAIKELVCFDVYSFPVDVYAFFS >cds-PLY64873.1 pep primary_assembly:Lsat_Salinas_v7:3:17762376:17763453:1 gene:gene-LSAT_3X12780 transcript:rna-gnl|WGS:NBSK|LSAT_3X12780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G48160) UniProtKB/Swiss-Prot;Acc:Q943Z6] MMDGGTPNFKTWNILYPVYINSKKTIAEGRRISAAKACENPTSLEIGDCCGHLKIPFAIEIDKAYPRDFMQVGRVRFLLKRPDGSLHNPLVASKKQLMIRVAELVPRHPGRLKKQEPASTSNAVPSKSGKGGKKKR >cds-PLY96298.1 pep primary_assembly:Lsat_Salinas_v7:8:156498513:156498818:-1 gene:gene-LSAT_8X104701 transcript:rna-gnl|WGS:NBSK|LSAT_8X104701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKPREKGISSEPLQSSFLSSAIGKRRATAAATGGGGAGVEVVVRWLNSRNTTGAWLIEGGRNRGWQQSRCRQFKAARAPQAALYCPYFGPVAKRKTEWRR >cds-PLY88719.1 pep primary_assembly:Lsat_Salinas_v7:7:193872934:193873206:-1 gene:gene-LSAT_7X114260 transcript:rna-gnl|WGS:NBSK|LSAT_7X114260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATMKAEQEHHPEDSATMEAERVEKELDTGEISQLCRDHCGGISATDCVGDRKATAGCQDLEDGSGGKNDKCSEVKQQADHYRSTMKKTN >cds-PLY77328.1 pep primary_assembly:Lsat_Salinas_v7:5:143177070:143185645:-1 gene:gene-LSAT_5X63700 transcript:rna-gnl|WGS:NBSK|LSAT_5X63700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESAFFFNMKYFEEQVQAGEWDEVEKYLCGFTKVEDNRYSMKIFFEIRKQKYLEALDRQEPNIYYIYISHHFTLFIDILVANIRNDRAKAVEILVKDLKVFSTFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARSIMLVELKKLIEANPLFREKLTLPVFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFIDHTCASNNGGRAPPPTNSSLSGPVPKAGVFPPIGAHGPFQPIVSPSAGWMATANLSMPQPGPPGLVQQQQPPVTAAFLKHPRTPPGGGPGLEYQMAESEHLMKRARVGPSDEVSFSGSTHPPNSYSLDDLPKTVVRLLNQGSNVMSMDFHPQQQTILLAGTNVGEISIWEIGTRERMVHKPFKVWDLSACSMPFQTTLVKDAAVSVNRCIWGPDGSILGVAFSKHIVQIYTYNQSGELRQHLEIDAHVGGVNDIAFAQPNKQMCIVTCGDDKTIKVWDAVGGRRLYMFEGHEAPVYSFIFSTAIDGKIKAWLYDCLGSRVDYDAPGLWCTTMAYSTDGTRLFSCGTSKEGEAHLVEWNESEGAIKREYSGFKKRSMGVVQFDTTKNRFLAAGDEFQIKFWDMDNNDMLTATGPNNGLPARPRLRFNKEGSLLAVSTSDNGFIILANNDGQRMVRMLESQAYERTRALSDSVKPSITGPLGPIPNASSSMVTAIERDRMQTPLSIGNLANAESSKVVDIKPRIVEGADKPTSWKLPDIVDSSHLKALRLPDPTAASKVMRLIYTNSGLSLLALASNAIHKLWKWQRSERNPSGKSTASIVPQLWQPTNGALMSNDVNESKSAEESAACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMPPPPAATYLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKMKLKGHQKPITGLAFSQTLNALLCIWNINGWEKRKSRNIQSPPGHPSSLVGETKVQFHNDQRHLLVIHESQIAIYDHQLECLKLWSPRESLSAAISSAIYSCDGMLLYTGFSDGAVGVFDADNLRLRCRIAPSAYISSSISSNSTAYPAVIASHPSDPNQFALGMSDGSVHVIEPADADPKWGGSTSQDNNAALPLPLPSSNPSNSALNSQPSETPSR >cds-PLY94244.1 pep primary_assembly:Lsat_Salinas_v7:8:69619131:69626532:1 gene:gene-LSAT_8X50421 transcript:rna-gnl|WGS:NBSK|LSAT_8X50421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSFAPAFSYHVFLSFRGEDTRNSFVGHLYSALEQKGIHTYKDNETLPRGESIGPALMKAIEESQIAVIVFSENYADSSWCLDELVHIMKCKGKRGQIILPIFYGVKPSELRKQKRKYGEAFAKHELKNKKKVKSWGQSFLKSPLGWLFAPLEKNRKYIEESVKHELENQTKVESWKKAVVDASNIAGWEFNDNANMDESKFIKTIVEKISLMLHPVTSSVNDNLVGIVTRMQDFKSKLQIRSGGVLMIGIWGGGGGGKTTLASYIYSEFSREFFGCCFVEDIREKSSKNGLEQLQEKILSDVLKPNQVQIRGVEEGKHMIKDRLGHRKVLIVLDDVDNLEQLKALAGSHNWFGEGSLIIITSRDEHLLNVHKVDVIHKISLLHDDEAMELFCNHALRGDRRVEDYEMLSNDVVSYAGGLPLALRVLGCFLCDKDMNQWRSAIARLKEIPDTDILGKLKVSFDGLKQHEQDLFLDIACFFRWAKKDTAMEILDACGLHPVIGIEVLRQKALITISWDGRFDMHDLVQEMGHHIVRGEHPKNPEKHSRVWKTEDVLKICAMDATTELEKIEAIKIVFRFQPAKKQEQDLHSVAANMKNLRYMESIGDPAKSLFNDLPLTELCCLILYDGLQKQLWEDYMFLPSLKLLKLHNMHNLSMTPDFNGLPKLERFILHECHCLKEIHPSIGCLEKLVFLSIEYCSGLEMFPPIWGIKKLEILSFAVQCKVVEFSKIQQQKMEDLLHLDLDHGGNEIASYIESCPNFLVFCWVDFFHNMHELCFLRKLNLRWCKLRDEDIGSYVLELPNLQQLDLKGNKFSQLSFSCLQLPRLKWLDVSSCNELVELSEMPSSIAVVIADDCSSLESFGDISNCKWLWKVSLLGDNKLGDGEILLDSMLQGNAIEDHFISVRLQYQNPRAFVGRFFRGKTFTKRLPHAKWNLFDDDTFRLVLPDDWCNDFCGFLIRIITLEMGTIDIIMKQEQDQENSRFEIWQDSNDSPEPEYDGEVTTHVGYVSFSSLRQTTSLNPSYNIISFSIKGYWTSFAAELVPRKSKDDPVQTRKVATDCSEFWDEENNVSKGIYDTR >cds-PLY64692.1 pep primary_assembly:Lsat_Salinas_v7:7:136184747:136186534:-1 gene:gene-LSAT_7X81420 transcript:rna-gnl|WGS:NBSK|LSAT_7X81420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTVPTSGQCRGGVQPAIQMQLHKVQLYSTDHMIPIDNEELEESDLDTGSPPPLDEIGVSVNEILCA >cds-PLY64111.1 pep primary_assembly:Lsat_Salinas_v7:1:2715603:2721393:1 gene:gene-LSAT_1X2220 transcript:rna-gnl|WGS:NBSK|LSAT_1X2220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESLCFHDGNIADFIDTRTLHVRSDINHSLQMHSSFIRRLSLERELEAHQGCVNTIAWNSKGSLLISGSDDAHVNLWSYESRKLLHSIDSGHRNNIFCTKFVPETSDELVASGAGDTEVRLFNLSRTHEDTASNLSAHFQCHSRRVKKLAVEPGNPNVVWSASEDATLRQHDLREVTSCPPAESSHQECRNILLDLRSGAKKSLGSPPKQSLMLKSCDISSTRPHLLLVGGSDAFARLYDRRMLSPLSSCRRQLSPPPCVNYFCPMHLSDHGHQGLHLTHVAFSPNGEEVLLNYSKEHVYLMDVNPVHPSAMRYTSDDAMKFFNPTGILKEVQSKPPVSDFFPNRFPAKRNLAARLDQCRKLIQIAEKSLKEGANYYYGIEACNDVLHGYGQIGHTLMHECLCLRAALLLKRNWKNDAHMAIRDCYRAVEIDPTSVRALLCMAEALLQLGKHKEAMEFAIGGQFSAPSNMEAAEMVEKIKKRMDLVEAEASNKAAKGGPRFETRSGGGGRVISLSDILYRADAIGEEDTLQPLSGSGREDSDYEEEELELEFETSVSSGGDEEEEEEEEGHESESNNLQPTLNVRIHRKFDSTVNTRHTTTTTSSSSCGSPKSSPRSDKLTRQNERAIDMKQQYIGHCNIGTDIKQASFLGQKVVNCVQSHPFDCVVATSGIDNSIKMWSPLALAPCVVDGIETESGDILDIMEANQRRLSNNRAVSVPFDALEEFRMHEFPDGTLRPFECVQS >cds-PLY66909.1 pep primary_assembly:Lsat_Salinas_v7:7:17309598:17310143:1 gene:gene-LSAT_7X13401 transcript:rna-gnl|WGS:NBSK|LSAT_7X13401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSQTQNASLQRLQTVEKRIVRVLELAGGVMEEFSNPSGPRKELVNNHCSEFMQLIKDIQVTLREEIKSACEYRPFEKCDYIARISNEICCQKVQYVLQKLDGMTETVDEYHHAA >cds-PLY64822.1 pep primary_assembly:Lsat_Salinas_v7:8:266125409:266126407:-1 gene:gene-LSAT_8X154021 transcript:rna-gnl|WGS:NBSK|LSAT_8X154021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKGDPPKEIGKEEESSKMPVLKWGVGRFMYAGMVVYIDGRLCRCILNPVASGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIKEQQKLSEVLSDDNSQESKNQTDPITPPPPSIIKNSAPAPAPATDEHEPLKPDSDRGSPIMKKQRVSLSQGVGLTHQVLDSSLKQHPFVYGMSVNNQD >cds-PLY95329.1 pep primary_assembly:Lsat_Salinas_v7:8:242701226:242706623:1 gene:gene-LSAT_8X145000 transcript:rna-gnl|WGS:NBSK|LSAT_8X145000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSIPDLSPVNDQHQPYPASPTTNGAHPPYSDMIVAAIVALKDKDGSSRQAIAKYIERHYTNLPPTHSNLLTHHLKRMKNEGQLTLVKHSYMLPLPRSVPFETPAPTPTPTLDHDIADYSVTSTDTLGTTPGFKADNSSVQPRRKPGRPPKPRHEFGFQVQNQVPDEQPAAEIQPYEPQFEQHLGSSGQELQGNYAGAAEPIFASLGLGDDGVAPTVESPENVVVSAKRGRGRPPKSSSASRAVVTSSGGGDSGEAEAERRVRRKVGRPKLMSIMLFNGGGGIGKKRGVGRPKRIGRPVTVPLSGNVMRPRGRPRRVGPPAQNVSVNANGADIHTDTEPASVSRSRGRPRKTSDTDAANKLQNSTSQSFGWPAEFVPGTSVLVTDPQQLVAFQELKSKYEHLQLKAREVLNVARPYININSEYAAIEVLQELETLLGMDTP >cds-PLY79996.1 pep primary_assembly:Lsat_Salinas_v7:9:44530442:44530663:-1 gene:gene-LSAT_9X41261 transcript:rna-gnl|WGS:NBSK|LSAT_9X41261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSHFTRQNPVTVVAVCDVDVQAPVKVYWHKNEECLITSRQRGSIKQRRKYVFLRVSCSCFCLLAEVSIVSSG >cds-PLY68728.1 pep primary_assembly:Lsat_Salinas_v7:5:280068188:280072290:1 gene:gene-LSAT_5X147860 transcript:rna-gnl|WGS:NBSK|LSAT_5X147860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAFFKTFGWFVVGLCFLVNRVECMVPKSLLKDIDKINKVGSYGIVVPNSFEMNPLLQSSSFIANKKRPYIDISGRRFRIGTLENQRVIIVMTGLAMLNAGITTQLLLSLFNVKGVIHFGIAGNANTELEIGDVAIPEFWAHTGLWVWQRYGEGSQSELPLESNGDYTRSIGYLKFSDYNNDTNTQQIKIKDNLLNNVWYQPEEIFPINTTPESRQHAFWVPVDNNYYTLSKQLEGIKLERCINGTICLPREPKVSRVQRGVSANIFVDNGAYRNFLNSKFNVTPIDMESAAVALICYQQKIPFIAIRALSDLAGGGSAISNEASNFAPLAAQNAVVALVKFVTLLPSKV >cds-PLY78799.1 pep primary_assembly:Lsat_Salinas_v7:3:168204113:168204528:-1 gene:gene-LSAT_3X103761 transcript:rna-gnl|WGS:NBSK|LSAT_3X103761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIFSIIDVASPSIVISIRVLHNPLKHMFHRLHRYSHSHQIHRSPLERNWQINTHTSSQMKNFEEEGVLSFLRKRLGFIGFTTLDL >cds-PLY68485.1 pep primary_assembly:Lsat_Salinas_v7:2:213898352:213899665:1 gene:gene-LSAT_2X134201 transcript:rna-gnl|WGS:NBSK|LSAT_2X134201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGHGTSLTDFFDSYADQFAETNLMSSSSDDIFSMLEVLEGVSAEFTSLKPLEFQVDDHHPLGSQKSTSSCSALQEVVESEMEMVSPKSKRQKLSNLVEEGGLISDGQQKVSHITVERNRRKQMNEHLTVLRSLMPCFYVKRGDQASIIGGVVDYITELQQVLQSLEAKKQRKVYSDVLSPRLSPLSPRKPPLSPLSPRPIVPISPKTPQPVTPYRASCCSRLVASYSLDPSPSTSSSTSDHNYIVNNELGANSKSSIADVEVKFSGPNLLLKTISPRLPCQATKIVSVLEDLSLEILQAGINIVDETLVNSFTIKVSHN >cds-PLY87939.1 pep primary_assembly:Lsat_Salinas_v7:4:33252551:33255519:-1 gene:gene-LSAT_4X21821 transcript:rna-gnl|WGS:NBSK|LSAT_4X21821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEQCNETSVATSSSAAKWWPDVHSSSLGSWTTGGANFASNQPCTNPQDPNSNCSGGDEDVSISTSFTTNASNNSGLSMESSRGLVEKASTTDLYGEAVSDNHHLWNQVFMGVGTTEELQNISTRMFEPAACDYLKKMDSGWEFSSPTNLHQFQKSFNGFNDGVFQTKNPSSVSTWSIAPPDVETNPQFDPYSSQFTTIKNEHTDSTDIERGGLLRRGLSSHAIEYQAEINDMVVADNNKYYYNGMPDLECANGRGFVDLVAFGSSLNKPPSGIPIRGRNSSTLTKVNGRGNGVANDQGKRKKSDDHSGLLVKKPKVETSTVSSTKVQLPKTKLGDKITALQQIVSPFGKTDTASVLWEAIGYIKCLQEQVELLSNPYMKTNIIKDTWVRFEATDRGDTKLDLKSRGLCLVPTSCTPQVYHENNGSDYWTPTYRGCFYR >cds-PLY73928.1 pep primary_assembly:Lsat_Salinas_v7:3:39620405:39622422:-1 gene:gene-LSAT_3X29441 transcript:rna-gnl|WGS:NBSK|LSAT_3X29441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRTASKDIITLRGSTAIVSEFFGYAANSILYNRGVYPEETFGRVKKYGLPLLLSQDEGVKTFISNLNKQLSEWLEAGKLQRIVLVIMSKATNEVLERWNFSIETDGEVVEKGVSREKSDKEIMREIQAIMRQIASSITYLPCLDEPCIFDVLAYTDTDVAVPFTWTESDPKLIANPQMVKLHSFDTKIHKVDTMVSYKNDEWDDQ >cds-PLY83309.1 pep primary_assembly:Lsat_Salinas_v7:1:63339292:63342668:1 gene:gene-LSAT_1X54041 transcript:rna-gnl|WGS:NBSK|LSAT_1X54041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADENAATPKRDPIKNPVGNTAAQKRKQNAVSVGKERREALFRTKRLCRAGVSTDTDVPIDDNEMMIEEEQSILESQTTSAVQELNLAVTFQGKGAVQKKVNALRELRRLLSKSEFPPVETAIKSGAIPLLAQCLSFGSHDEQLLEAAWCLTNIAAGKPEETRALLPALPLLIAHIGEKSSVPVAEQCAWALGNVAGEGEELRQILISQGALLPLAKMMLPNKGSTVRTAAWALSNLIKGPDPKAATELIKVDGVVEAILRHLRKSDDELATEIAWVVVYLSALSTVATSILVKTDLLQLLVERLASSNSLQLLIPVLRSLGNLIAGDAYTTNAILVPGHQITDNIIQALSKCLNSEHRVLKKEAAWVLSNIAAGSVGHKQLIYKSEAVALLVQLLLTAPFDIKKEVAYVVGNLCVAPAEGSGRSTLIFDHLVSFVRSGCVRGFIDLVRSADIEAARLGLQFLELVLRGMPNGEGQKLVEREDGIDAMERFQFHENEDLRNMANQLVDKYFGEDYGVDE >cds-PLY77689.1 pep primary_assembly:Lsat_Salinas_v7:9:19813688:19814434:1 gene:gene-LSAT_9X18280 transcript:rna-gnl|WGS:NBSK|LSAT_9X18280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRDVGSVSRVFFDTNFLMHQYHLIELKILFDSMLKLHLKSLPKQEKLKDVGLVFFPIVDKSKYYLICFDLRVATYYIIDHVNRNGDVEDIYGRKPVLVKKLLGNYLKTEHYQKSTTFNKIKARVMKITWKVEKEGSDCGVYLMRHMESYMGENEGR >cds-PLY87965.1 pep primary_assembly:Lsat_Salinas_v7:3:177666309:177670421:1 gene:gene-LSAT_3X107020 transcript:rna-gnl|WGS:NBSK|LSAT_3X107020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSQIGTIPDVSLDTKSRRRPPSIDDGANFIDDQHQGPVSATSSVILGDKILGLARFFLGIGIPGNNKDLYHQIDALDCLDQNRELVPLILSLPASVLSFTSQHKLKARNIYQCPYGSVIRSQAKCVKILNEQNNLFASLLL >cds-PLY64146.1 pep primary_assembly:Lsat_Salinas_v7:1:1110655:1114015:-1 gene:gene-LSAT_1X300 transcript:rna-gnl|WGS:NBSK|LSAT_1X300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase 3 [Source:Projected from Arabidopsis thaliana (AT4G08170) UniProtKB/Swiss-Prot;Acc:Q9SUG3] MIGYESEEEREMESSSSCGSIVDLGFQEKSMKVVVVGYALTSKKIKSFLQPKFEGLARNKGIFFVAIDQTRPLSDQGPFDIVLHKLSGKEWQQILEDYRLTHPEVTVLDPPSAIQHVYNRQSMLEDVADLDLSDAAYGSVGVPKQLVIEKDPSSIPDAVKQAGLALPLVAKPLVAKSHELSLAYDEYSLEKLEPPLVLQEFINHGGVVFKVYIVGDAVKVVRRFSLPDVSKRDLSRRSVGGVFRFPRVSCAAQSADDSVADLDPCIAELPPRALLERLARELRRRLGLHLFNLDMIREHGTRDRFYVIDINYFPGYGKMPEYEHIFTDFLLNLAKSKYKKRSSTTSLP >cds-PLY92991.1 pep primary_assembly:Lsat_Salinas_v7:1:147454403:147456867:-1 gene:gene-LSAT_1X103601 transcript:rna-gnl|WGS:NBSK|LSAT_1X103601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFVGCRFLVLFLVLMASFASICHYIQKITEEKRILNKRIGSQRRDSENRKGKKSITENQGVEDLIGGLVVIDWVYDFEDASRGCRGTSKRWWKKRRQYDMKKNKPMRARIGDRETRTVPKHVEKKIIGGSRSGKREGDTFPGEQWR >cds-PLY83169.1 pep primary_assembly:Lsat_Salinas_v7:3:95571995:95572555:1 gene:gene-LSAT_3X71821 transcript:rna-gnl|WGS:NBSK|LSAT_3X71821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSMRSYGLIDADSRCLFMINAQPGEHLHLDQLIGVNLSPIQALKIEEGDEDLWCLLIDHEPAYSTFWMEVTMNRGSIATARFVDGSQEFDDEETMEIAEIVVEYDKYSRYLRKISELPVTIIGKNKADGSKEGEGEICVVCQEEYEAGLTIGTLKCGHVYHEKCIKKWLVQKNLCPICRSTALS >cds-PLY78567.1 pep primary_assembly:Lsat_Salinas_v7:1:104960129:104973381:1 gene:gene-LSAT_1X82800 transcript:rna-gnl|WGS:NBSK|LSAT_1X82800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSDENISETISSLLTMKVIIYAQFFYADNIHTEALTVTRKRPTICYWSSKKIRYRETFEQEKSRFGLAELNEIFFNEQDKGDTYLEDNDSDRDEDHSVEKNENDNDEEKKDDDVEETNNHEETIQQTENENMLDKVVDNNVDNVLEIGFSSLNRQEDEIWNNPEMKSILDNIDIGSPMTGSKTNTVISQVIQEKGKSEVVHKQGKEVEKTKGDDTGKENFEHGNKGAEAKNTKDGGADKQTKIEKGNAEDRGTVEPVQVDPIQLEAPAQPDDDVQVDDPAPHVDVHVDDPVNNVPA >cds-PLY91137.1 pep primary_assembly:Lsat_Salinas_v7:3:153432415:153434724:-1 gene:gene-LSAT_3X95940 transcript:rna-gnl|WGS:NBSK|LSAT_3X95940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQSLVCHVMLVVIFILVALAEAQTPPGINNPSHATCKDPSYKECHNLVHVCPKFCPDSCTVNCQSCKPVCGGDITPSPPVYTPPTPTPYSPPPTTTPSPPTESTPPTPPTSSPPPTTTPSPPKSTPPTPTPSTPSPTTPSPPEESTPPTPTPSSPPPTTPAPQKDLTPPTPSSPATPTWSTPPSKPKKVKCKNHNYPKCYASEHLCPASCPGQCEVDCVSCKPVCNCDMPGAVCQDPRFIGGDGITFYFHGKKDQDFCLVADNNLHINGHFIGKRNKNMGRDFTWVQSIGVLFDNHKVQISAQKTSSWDDTIDRISVTFDGENIFIPKSEGAKWQSFTTSITRIHDTNHIVVEVENLFRITAKVVPITNEESRIHNYDITNDDCFAHLDLKFKFFSLSNEVDGVLGQTYRNNYVSKVKMGVLMPVMGGYSKFVSTDSFATDCSVAKFKSTEEDDSSLNLQLPSLSCQSGIDGRGVVCKR >cds-PLY90323.1 pep primary_assembly:Lsat_Salinas_v7:2:197582254:197584510:-1 gene:gene-LSAT_2X118500 transcript:rna-gnl|WGS:NBSK|LSAT_2X118500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALAPHATSLSKSFFSSKPSISSSSTTPLVNLPNTSHKSRRQFVVRNSVTIAQPPSISSTKSSTVKSVKARQIIDSRGNPTVEVDLVTDDLYRSAVPSGASTGIYEALELRDGDKNVYGGKGVLNAVKNINEVLGPKLIGVDVRNQADFDAIMLEIDGTPNKSKLGANAILGVSLSVCRAGAGAKGIPLYKHIQEISGTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGASSFAEALQMGSEVYHTLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLIDAIEKAGYTGKIKIGMDVAASEFLTKDGKYDLDFKNQPNNGAHVLTASKLGDLYREFIRDFPIVSIEDPFDQDDWTSWSSLQSSVDIQIVGDDLLVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLSVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGNVRYAGEAFRSP >cds-PLY67255.1 pep primary_assembly:Lsat_Salinas_v7:6:134648277:134648507:-1 gene:gene-LSAT_6X83220 transcript:rna-gnl|WGS:NBSK|LSAT_6X83220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSIFSCFDALSAEIMSQSLGSFSIKNPGSTIQDDQKKVTPETMKNADCHRSKRGSGVRWAPELDGLHCFESLVFH >cds-PLY67885.1 pep primary_assembly:Lsat_Salinas_v7:5:5432624:5437780:1 gene:gene-LSAT_5X3501 transcript:rna-gnl|WGS:NBSK|LSAT_5X3501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSNAGNSRHRSSGSISISSIRNLLPRSGSVKHKMTSNPKLSRFNSENVPPEDPNIHVCELPSIVKESTGNVSVPGKKATELETQQNAEVPSHQDPPVKVIARIRPPNSHEKGDYTVRKVSEDSVSVGDRKFTLDAVLDSKSSQEDVFKLVGVSMVKSAMAGYNTSILAYGQTGSGKTYTLWGPPSAMVEDQSANGNQGIVPRIFQMLFAEIQREQDQAEGKQLNYQCRCSFLEIYNEQIGDLLDPTQRNLEIKDDAKHGFYVENLSEEYVTSYEDVTQILIKGLSNRKVGATSINSKSSRSHIVFTCVIESWCKGNASKHFGSSKTSRITLVDIAGLEKNKSNDVSRECVREGEFVRKSISQLGNLVNILAGTNQSEQSRSIPYNNSCLTHLLRESLGGNSKLTVICAISPDEKCSVETISTLRFGHRAKLIHNNPVVNEITEDDVNDLTDQIRELKEELIRAKSDTSYSIGSSTIFKGGSVRQSLNQLRVSLNRSLILPQIDNDSKEELHIDEHDVRDLRQQLDMLHSSCDEEFQETSESRGTRFFSMGGCESEQYASCNEESEAEETNSEEPESNPLHDSLSISVGRPSDAFSGPMLSESPKIGNTMRKSVVFSSKSNQLPTSEEENVVESLKFSSNVTHESLRISNQIRSSLRSSKIFGGGGGGPTESLAASLQRGLEIIDNHQRNSVLNKSLVALSFDHLATKTKDKEYICSTCQQKASCDDVQDSLKTWIVPGTSESMKKVKDLENLCMEQKAEIERLNSLVSQYKQEKEGGDMLSPLDELRNGKISRNSVAKLLSWNEEPEMIEEETNEKESLLEEIKTLRSKLQQSPVKVTNKSMSSSLLSQSLQLRKSGVYPLPSNKESIEEVEKERERWMEMESEWICLTDELRVDLEANRRRAEKVEMELRLEKKCSEELDDALMRSILGHGKMVEHYADLQEKYNELAEKHRLIMEGIAEVKRAAAKAGAKGHGKRFSKSLAAELSVLRVEREKERELLKKENRTLKMQLRDTAEAVHAAGELLVRLREAEEAASIAQENLGNVQEESDKLKKKMDKQKRKHKMEMITMKQYLAGSRLPDSALRPLYREDSDVKSHENENEEDDQAWRQEFGAIYQDHY >cds-PLY64709.1 pep primary_assembly:Lsat_Salinas_v7:7:135369511:135369912:-1 gene:gene-LSAT_7X80981 transcript:rna-gnl|WGS:NBSK|LSAT_7X80981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METEETRLNSEIKEKIFPIFSHFMARVTKYEELVAAGNRFLDILRQTLDFIRRPSIDNTSQLFVNDEIMHQAWRLIL >cds-PLY90178.1 pep primary_assembly:Lsat_Salinas_v7:7:13944424:13948355:1 gene:gene-LSAT_7X11740 transcript:rna-gnl|WGS:NBSK|LSAT_7X11740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADVMSMEDIRTEASRLNIDLSAVDWNSVWVPPGEDFGIKSDDEDLNEEDSLEFDAGFGNIIVVDNLPVVPREKFEKLEGVVRKIYSQIGVIKENGLWMPVEEETGKTRGYCFIEYNTPQEAELAKEKTNGYKLDRAHIFSVNIFDEIEKFMRVPDEWAPPESKPYTSGENLQHWLTDEKGRDQFVIRAGSDTEVLWNDARQVKADPVYKRPFWTESFVQWSPLGTYLATVHRQGAAVWGGASTFNRLMRYAHPQVKLIDFSPGEKYLVTYSSHEPSNPRDSHRVVLNIFDVRTSKVMRDFKGSADEFAFGGSGGFSGVSWPVFRWGGGKEDKYFARLGKNVISVYETETFSLIDKKSIKVENVTDFCWSPADPIFALFVPEQGGGNQPARVSLFQIPSKAELRQKNLFSVSDCKMYWQSEGEYLAVKVDRYTKTKKSTYTGFELFRIKERDIPIEVFELENKNDKIIAFAWEPKGHRFAIIHGDNPRPDISFYSMKGGKVSKLTTLKQKQANALFWSPGGRFVILAGLKGFNGQLEFFNVDELETMATAEHFMATDIEWDPTGRYVATSVTSVHEMENGFNIWSFNGKLLYRILKDHFFQFLWRPRPPSFLSPEKEEEILKNLKKYSKKYDVEDQDISLLLSEQDREKRKQLKEEWSEWVNQWKKYHQHDKMEREMLRDGEASDVEEEYEAKQVEVEELVDVSEEVVADA >cds-PLY68134.1 pep primary_assembly:Lsat_Salinas_v7:8:232885047:232887814:1 gene:gene-LSAT_8X141101 transcript:rna-gnl|WGS:NBSK|LSAT_8X141101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDNQLNFNRPILSVRRFSSTVSSQKDSKRKNGISPSPSYKSGSLRNPGSVPFVWEHTPGKPKDETKTQKHIKYLVPKPPPGRISKPKKQESLEVKLTEFESSKETKKESSDSRVMNEKPLEVKKVVNRENEKPQITYGPNFLQFTGDDSEEDSDFDYDEHENTSYKVCGLLPHFCLKGSIGLLNPLPGLSMRTRLPISSANKTPSESSSASSQPARVAVYEHRSLAKQEKEETVIKNKPKEAANQKERSEPLDRVISDDDIDLKNKEVNLQKKGLISFKELLAVENEKESSPQNHMVEKTLYIDTIHKVETAKSDEGIFEISHIPKQEKEAGFCEDPKVERDLKFSRQNNMELPAPPPLPKSPSDSWLWRTLPSMSSKNLSLRANPNPKHPTSNTRAKLENFQSQNPQVKSTD >cds-PLY70415.1 pep primary_assembly:Lsat_Salinas_v7:9:124123862:124132386:-1 gene:gene-LSAT_9X83280 transcript:rna-gnl|WGS:NBSK|LSAT_9X83280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRPFFSKQKSLPFFLLLLCLTITLLIHTTTTTLRIDNHVQPVATSLHLLATEPPPWYDRIRSMIKNRSDKLKLGLVNIGANDIQVDGFADVTTINFERVRRDIKWEDLFPEWVDEDGKWGPMKCPEIPMPQSYGVVDVVVARVPDGGRDVGRLQVNLVVANVLVNSGWEDGGDREVYVVFIGWSGPMWEIFRCDDMIMEEGDYRIYKPDMKRLRQKVNMPVGSCMIPSPQPPGRSTSNAYKSREAYVTVLHSSETYVCGAISLAQSILQTNSTKDLLLLADDSISQKSLRGLKAAGWKIKLIQRIRSPHAKKGAYNEYNYSKLRIWQLIEYDKVIFIDADLIVLKNLDAFFRYPQLSAVGNDKYIFNSGVIVVEPSKCMFNGLMKKRFTFTSYNGGDQGFLNEAFTWWHRFHTKVNHLKVFEGTDNPGRKIPENVHTIHYLGLKPWMCYRDYDCNWDMSGRQRYASDSAHKRWWKVYDAMPSDLRSFCGLTKHMDVRIRKWRGIAKNAGFRDGHWKIKVKDKRQALHMVL >cds-PLY62274.1 pep primary_assembly:Lsat_Salinas_v7:5:162605317:162607527:1 gene:gene-LSAT_5X71340 transcript:rna-gnl|WGS:NBSK|LSAT_5X71340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIFSNEDTEKYDRADALKVFDETKAGVKGLVDAGVTKIPRIFIRPHDELVEELNSSKVSLQIPIIDLTGSEKGDRRKEIVEQIRVASETWGFFQVINHEIPRSLLDETIDCIRMFHEQESEIKMKFYSRDRMNNVRFDSNLDLYQSRAANWRDTLTLSMFILDDLNPDEVPSICRHELLSEALGLRPNHLQDLECCHGRTFVCHYYPPCPEPELTLGSSKHTDPSFLTLLLQDNIGGLQVRHHDQWTDVPPLAGGFVVNIGDFLQIVSNDKFKSVDHRVLANHNGPRISMACFFTGVAVPPKIYGPIKELTLQSDGSTPVYQDFLVSEYMNKFFTKPIDKSGLDYFKL >cds-PLY88497.1 pep primary_assembly:Lsat_Salinas_v7:5:46348820:46349959:-1 gene:gene-LSAT_5X22460 transcript:rna-gnl|WGS:NBSK|LSAT_5X22460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDLSAITKTGEHAVRRPRGRPAGSKNKPKPPIIITRDSANTLRAHAMEVSPGCDVVESLATFARRKQQGIWVLSAAGFVSNVMLRQPSPSPAGPGNGPVVTLHGRFEILSLIGSVLPPPAPPGVAGLAIYLVGPQGQVVGGAIAGPLMASGPVVIMAATFMNATFDRLPMDKEEVVVAPTAHDHHHHHRVIGVPDVYGNPQSLLSNTALPPPEIYTWSTGRPLSKT >cds-PLY79550.1 pep primary_assembly:Lsat_Salinas_v7:1:33692359:33695384:-1 gene:gene-LSAT_1X33080 transcript:rna-gnl|WGS:NBSK|LSAT_1X33080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALTTSSSQRRNGSERGTPDRRSLVASRSMGLTGERTVKRLRLSRALTVPETTSIYEACRRMASRRVDALLVTDSRSLLCGILTDKDIATRVIAREIDFENTPVSKVMTKNPLFVLSDTLAVEALQKMVQGKFRHLPVVEHGKVIALLDIEKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGSSVSGSNTFVESLRERMFRPSLSTIISANPKVVTVSPSDTVVTATKKMLECRMSSVVATIDKKPVGILTSKDILMRVIAQDLRPELISVEKVMTPNPECATLDTPIVNALHNMHDGKYLHLPVVDKEGVVVAIVDVLHITHAAVATVGNTAGIDNETASSMMQKFWDSAMAICPGDDDDDLRSESSVRLVSEAGTMKTLPYPSSTIPNAFGFKIQDKRGRMHRFICDTRSLADLITAILQRVGGEIDRNNLPQILYEDEDQDKIVLVTDADLAAAVDHARLSGWKGLKLHLDYSGVPKRRKGSSTKGGIMEFAQGDAWTTAYSTVAAGAALVAGLGMVAFLRRAGV >cds-PLY98556.1 pep primary_assembly:Lsat_Salinas_v7:1:36538123:36541025:1 gene:gene-LSAT_1X30900 transcript:rna-gnl|WGS:NBSK|LSAT_1X30900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVAGVINGCVSSSTSSSSSWGKLKKSHEKMTTTTSAGKRFRVSCAFTNSLASDPYKILRIHPGASESEIKKAFRQLALKYHPDVCKGSDCGIQFQEINEAYDIVMSNLKGEMSVEETQDEPLDETMRGMEDPDWDMWEEWMGWEGAGIRDYSSHINPYI >cds-PLY97346.1 pep primary_assembly:Lsat_Salinas_v7:4:286571093:286572087:1 gene:gene-LSAT_4X145980 transcript:rna-gnl|WGS:NBSK|LSAT_4X145980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQAFPRLLQFKSCDLFGNGSLSFPPIQSRTVHTKPWVIEARARTRVESPKVRNRRLQKKYNGTQKKPRLSVFCSEKQLYAMLVDDQNKKCLFYGSTLQQSVRTNPNSTTIEVAQRVGEELVKVCNDLGIDEISSYDRNGFSRGARMEAFEIALSEHGFLFR >cds-PLY79520.1 pep primary_assembly:Lsat_Salinas_v7:1:33990965:34001272:1 gene:gene-LSAT_1X32801 transcript:rna-gnl|WGS:NBSK|LSAT_1X32801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLFHIFLNIVSVIISIISFIFILPVLSLLRILKFCTRSVYQENLTGKVVLITGASSGIGEHLAYEYAKHGSSLALVARRGELLEVVARKAKELGAAEAIVIKADVSKRQDCERFVNETINRFGKLDCLINNAGIIIPGLFEDQRCITDYASIMDVNFWGSVNATQFALPHLRKRKGRIVVIGSTGGWFNVPTMSVYNASKVAQQSFFETLRVELAPDIGITMVTLGTVTTPLANDDFLNEANIQWVPIYPVGDCAKAIVNSVRRGDEYLTEPPWMRSVFLWVMFFPEIWHAARRLLLVNRQKASSQMWKSCNNGSL >cds-PLY91875.1 pep primary_assembly:Lsat_Salinas_v7:8:200356583:200360692:-1 gene:gene-LSAT_8X127980 transcript:rna-gnl|WGS:NBSK|LSAT_8X127980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELCGASALAWRTSISPSLHSSPQPTSVSEFRRVRVWCVKTDVNDSSQSVKGNQMTVSITGATGFIGSKLVQRLYEDNHSICVLTRSRSKAQSIFPVKDFPGIVIAEEPQWKDCIQKSTGVVNLAGLPISTRWSSEIKKEIKQSRIGVTSKVVDSINSSTSDARPKVLVSATAVGYYGTSETQVFDEQSPSGNDYLSEVNKEWEGKALAVDKDVRLALIRIGVVLGKDGGALAKMVPIFMMFAGGPLGSGKQWFSWIHIDDLVNLLCEALSNPSYKGVINGTAPNPVRLAEMCQHLGSVMGRPSWLPVPDLALKAVLGEGAIVVLEGQRVLPVRAKELGFSYKYPYIKEALKAILAQKVVV >cds-PLY71572.1 pep primary_assembly:Lsat_Salinas_v7:3:53713219:53717576:-1 gene:gene-LSAT_3X41201 transcript:rna-gnl|WGS:NBSK|LSAT_3X41201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTPRFSTRSQTENFTFPPEKSLSGPSPAKFGSGGLGREPSACSEIVRFSTSASGEIGRIPNEWSRCLLPLVRDKKVQIQGFCKSAPHNLGLMDTINLSISVYINSSILHTSHQTSVKVPTTSVDETSIQPLPTLFPLLGLIPFKKAEFTPTDLYTRNQRLDIKDSSGVPPPLLNAPKLKNSSSNGSTVEPEETISDNDLDNIVGVANVSELEEMEPPNTLLCELRLYEKQALHFMVNLEKGPCVDDAATTLHPCWDAYHLADKIERELVLVQQLAWLDNAKEWILVWFEPTTQCDVYALCGSFGTCRQSGPSFCNCLTGFKPRSDSEWNQSDFSSGCVRKTNLQCGRNVEKPDFLMISVKSLPPNNSKAELGCLVWDGDLLNLLEDNDSGKTIFVKLASKDLPLSSKDPLHHKKSNWVTMGAVVGFVGGVVFVLGVILVLIYRKKRISLSVGKTRMEGSLVAFVYKDLQIATKKFSNKLGGGGFGSVFKGVLHDSSTVAVKKLESISQGEKQFRSEVSTMGIIQHVHLVRLRGFCAEGNNKLLVYDYMEKGSVDTYLFCGKQVLNWETRYQIALGIARGLVYLHEKCRDCIIHCDIKPENILLDAEFCPKIADFGLAKLVGRDFSRVLTTTRGTPGYLALEWISGVAVTAKADVYSYGMILFELVYGKRNVVHCEDSRSTFFPGLVTNVLMEGGDILSLLDSRLNREACVEEVTKICKVACWCIQDEEDSRPSMSLVERILEGVSDVSMPQIPQIVTLYVENMKDPVFFTDSCSIECSLVHSNSTGGGSQSKGSSS >cds-PLY81016.1 pep primary_assembly:Lsat_Salinas_v7:9:175267796:175270764:-1 gene:gene-LSAT_9X107821 transcript:rna-gnl|WGS:NBSK|LSAT_9X107821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPCFRRYPSLGADLMDTYPVKEAPFPNPVKEVSVANHVRDVVMFDDAMGMGHDTNAPDSVVIIGSDDDEPTSARPFQPYRDIVLNKPEGQFSMKQFLEGDYGQGQASVGKGGGGGGGGGGXXXXXXXXXGNVNGGGGGKGRGRPRGKGKGGKGEREGVEEGNTITDIVVESKDEKEKNNDNDVEVEKKKHFKGVYVGVDSDSDVEESNSQSEADFDGLADIWQEMNVGLESSKDPDMAFPSIQHQKEEEEEQECDHSFILKEDIGYVCRVCGVVDRSIESIIEFQRPKASKSTRTYYYDGRSDKGAPGGVVLDGVKLPGKDFSMGDAAITAHPSHKKEMKPHQVEGFNFLLSNLVSEKPGGCILAHAPGSGKTFLLISFIQSYMAKDPDARPLVVLPRGILATWKKEIHKWQVEDIPVFDFYSLKADGRAQQLEVLKQWTNQRSILFLGYKQFSTIVCDNDRGSISLTCQEILLKCPTILILDEGHTPRNQDTDVLTSLEKVETKRKVVLSGTLYQNHVREVFNILNLVRPKFLKLEDPKMIKRRILSRVPIESRRNLLKKSTDNEFYELVEHTLLKDENFKRKVTVIEDLREMTSKVLHYYKGDFLDLPGHMDFSVFLNLSPRQKREVSELKKLARKFKISSDGSAIYVHPDLKSLAKNINKEKLDDNVHKIDELLENINEREGVKAKFFLNLLRLCESKGEKLLVFGQYLLPLKFLLRLTTKVKGWTLGKQIFMITGDHDNDEREIAMDQFNNSSEAKVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQLRKVYTYRLIAANSPEEEDHTTCFKKESISKMWFEWNEYCGHHEFEMEMIDVKDCGDEFLETSWLNEDVTALYKRLVKLIFELIAFLVLEYS >cds-PLY74273.1 pep primary_assembly:Lsat_Salinas_v7:1:175387037:175392207:-1 gene:gene-LSAT_1X115540 transcript:rna-gnl|WGS:NBSK|LSAT_1X115540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFDPFAKGSTVEVSSDEEGFHGAWYVATLVDIVEASPTKKKRSSSERNRNRKKVGYLVRYATLFEEDDATVPLTEIVHPSYVRPLPPRNPRRKKDNKAGAEAETEEGSGGGDGDGDFQLNDVVDAYLRDGWWIGVVCKVIVVDGEMSKYLISFESPPEEIEFEASQIRLHVDWTKSGWKLRRQKKPNQESTDKNTTHTSESNNESHSDFITPNKVSMTDSPYLTVGQRLSTKKRSHSRKKAVTNAEKVGVVNGSITYRRTRRVVNVDEEEEEDLHGNFQSFVVVENSGSKGNTEDMVSMIETNGAQDKDQELLLLEQEMITNETTETQDGNSQLKRKRGRKSKLPFQSPNDSLEDEDQEAGKRGSSGVHEITTEDEQPLSLWYQGMQPLPVFKKSSCYTTLDHDEPIDASNSTMVNDSQTTKNHRVWPFVKRSPIWATIESTELFQNLSQNPHFSPLWETKEDCREGLAIAHMVTFANVVQRTTNLQWTDSNHLIKNTLETLVDLEANGFDVGPVRARLDELLANKDEAGRLEGEMKEAEKEVEKCNREKMAIDEEINGLERKMREVEEKMVKAVAMKNVKDEEVMVVRSKLQVVSKRIADWKLAFEELVGSPL >cds-PLY92623.1 pep primary_assembly:Lsat_Salinas_v7:2:159990027:159991546:-1 gene:gene-LSAT_2X83840 transcript:rna-gnl|WGS:NBSK|LSAT_2X83840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRVILIQILSLSCLLIFSNLASAQLKQNYYATICPNVETIVRNAVRAKVQQTFVTIPGTLRLFFHDCFVQGCDASVMIASSGSNKAEKDHPDNLSLAGDGFDTVIKAKAAIDAVPNCRNKVSCADILTMATRDVVALAGGPSYPVELGRLDGLSSTAASVTGKLPKPNLNLNQLNSMFSAKGLTQNDMIALSGAHTLGFSHCDQFSNRIYNFSRVNPVDPTLSPSYATQLQQQCPKNVDPRIAINMDPNTPRTFDNVYYKNLQQGKGLFTSDQVLFSDSRSRSTVNTWASSSKAFNDAFVAAMGKLGRVGVKTGRSGNIRRDCSAFN >cds-PLY84384.1 pep primary_assembly:Lsat_Salinas_v7:8:79249570:79250421:-1 gene:gene-LSAT_8X56941 transcript:rna-gnl|WGS:NBSK|LSAT_8X56941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLAAGINAGGIAIYWGQNGGEGTLAETCSTGNYDFVNLAFLPTFGNGQTPMINLAGHCDPYSNGCTNLSSEIKSCQAKGIKVMLTIGGAAGSYYLTSAADAKQVATYLWNNFLGGKSSTRPLGEAVLDGIDFDIEGGTTQHWDDLARYLSGYSSQGKKVYLTAAPQCPFPDAYIGTALKTGLFDFVWVQFYNNPPCQYSGGMTSFEDSWKQWTSEIPATKIFLGLPASPTAAGSGYISVGDLTSKVLPAIKGSAKYGGVMLWDKYHDDQTGYSSSIKSHV >cds-PLY81997.1 pep primary_assembly:Lsat_Salinas_v7:8:263713090:263719015:-1 gene:gene-LSAT_8X152721 transcript:rna-gnl|WGS:NBSK|LSAT_8X152721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRLIYSFIFIVFVFSSERVLSEIHASIPPRGWNSYDSFCWTISEDEFLQNAQLISQKLHAHGYEYAVVDYLWYRKLVPGAYVDSLGFDVIDEWGRMSPDPRRWPSSKGGKGFKEVAKKVHDMGLKFGFHIMRGISTQAVNANTPILDITTGKAYTEAGKVWHAKDIGLKERSCGWMKNGFMSVDTTLGAGRAFLKSLYHQYAEWDVDFLKHDCVFGDDFELSEITIVSEILEGLNRPILYSLSPGTSATPVMAQQVSTLVNMYRVTGDDWDNWGDVAAHFDVSRDFAAASMIGGGGLLGNSWPDLDMLPLGWLTDPGVNVGPHRLSRLTLDEQKTQMTLWSMARSPLMFGGDMRKLDDATYRLITNPTLLEINSFSSNNKEFPYITNTAEYQFLKQGPHFQTRSLKDTGASEKLILGLSSCHDSKAKGWFVRAVDADLEQVCWRWPSRTKNFEPFCLYKSDPLLQSDEVTYREKYRGKLHLHARNRDGFCFDTSSKRKLTSKEHKRASFFPCRPDANQMWELNSNGTLVNSYSGSCASIKETKANAGPGGVRSWIATGKKGEVYLAYFNLNTSRTIISTTISNLSKAFPMINFGLCSCKEVWSGKDYGSLQHSLSASVESHGVALFILTCS >cds-PLY96948.1 pep primary_assembly:Lsat_Salinas_v7:4:174298164:174302985:-1 gene:gene-LSAT_4X102880 transcript:rna-gnl|WGS:NBSK|LSAT_4X102880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMSSSNENNPPTSTKDLFPSTSLALTLGIFRDIKEAADGRTDDVGANTTVTEISSEYSGPARSRSDDEFDADPDVDDGDDDNNKNKSKKRKKYHRHTAEQIREMEALFKESPHPDEKQRQQLSKRLGLHPRQVKFWFQNRRTQIKAIQERHENSLLKSEMDKLRDENRLLRDTIKKGTCPNCGFGSSSKDATNYTDEQQLRIENSKLKTEIEKLRTSIGKYPKGTSPTNSCSTGNDHENRSSLDLCSGVFGVETCRIMEIVNLAVEELVKMAAAADPLWIRSFETGREILNYDEYLKEFHVQNLSKFQHKRHIEASRDCGIVFADLPQLVRSFMDVEQYEEIFPCMISKAATLDVICNGEGANRNGTVQLMFVELQMLTPLVATREVYFVRYSKQLSANKWAIVDISVDNIEKNIDASLSRCRKRPSGCIIEDNSNGHCKVTWIEHLECQKSVAHSMYRGIINSGVAFGARHWMATLQQKCERFVFFLATNVPTKDSTGIPTMAGRKSIFKLAERMTWSFSRALGGSSHHTWKKIPSKTGDDIRVASRKNLNDPGEPLGVILCAVSSIWLPVSHTVLFDFLRDETRRNEWDIMSNGGPVQSIANLAKGQDRGNSVSIHTMKSKENMWMIQDTSTNTYESMVVCAPVCVTNMQSVMGGCDSSNIAILPSGFAILPDGVETRPSLIRSKGQDQSLEEGGSLLTVGFQILTTDDSTGGKLSVESVESVDTLISNTLQNIKAGLQCEDET >cds-PLY71281.1 pep primary_assembly:Lsat_Salinas_v7:MU043991.1:13124:15070:1 gene:gene-LSAT_0X38060 transcript:rna-gnl|WGS:NBSK|LSAT_0X38060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPRAAELTTLLESRISNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRSTSESETLYCVYVAIGQKRSTVAQLVQILSEANAMEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVIPITDGQICSETELFYRGIRPAINVGLSVSRVGSAAQLKTMKQVCGSSKLELAQYREVAALAQFGSDLDAATQALLNRGARLTEVPKQPQYAPLPIEKKFLAPREMEPDTSPRGRRFFSFFILAFAFIGIGLGIDIEKMGLEIAWCDHRNAFSVPQSPAASQELPESPPPPPVPPAVPTTEPLLSDQVRNSILYQRYLTLDFGVGDPGDLSRMVSIITNQFVIERSVEQALLQDGWSAGSILAQYTSIRGVVHTPQGRLLSPRTYESYVSQINEQGTRQSVPYRRITRALNNFDLVLERAGGG >cds-PLY75055.1 pep primary_assembly:Lsat_Salinas_v7:2:67424357:67429244:-1 gene:gene-LSAT_2X30841 transcript:rna-gnl|WGS:NBSK|LSAT_2X30841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMEDPKRVEEGFGHGQISAEEKVEREDVRERSEMAAEQHDETKGVEKPILVKEKSKRIATLDAFRGLTIVRVPSRRYAVRKIIIRTLKMLFWGILLQGGYSHAPDELVYGVDMKQIRWCGILQRIALVYLVVALIEALTIKLRPTTIDPGHFSIFSAYRWQWLGGFIAFLIYVITTYALYVPDWSFTVHDDHKSHTFTVKCGMRGHLGPACNAVGYVDREVWGINHIYTQPVWIRLKACTLSSPEAGDLRPDAPNWCRAPFEPEGLLSSISSILSGVIGIHYGHVLIHFKGHAERLKQWVSMGFGLIIIALLLHFTDAIPINKQLYSISYVCFTAGAAGIVFSAFYILIDVWGKRTPFLLFEWIGMNAMLVFVLGAQGILAGFVNGWWYKNPDNNLVNWIQSHVFIDVWNSEKFGMLLYVIFAEIVFWGVLSGILHKFRIYWKL >cds-PLY87217.1 pep primary_assembly:Lsat_Salinas_v7:4:309028114:309030894:1 gene:gene-LSAT_4X154881 transcript:rna-gnl|WGS:NBSK|LSAT_4X154881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHHLSSSSWMLRRFCCHATPSFSPPTAVLSTSAATLTPPKKKKLVFMGSPSVSASVLETLLDASSAADSLFEVAAIVTQPPSGRDRGRKVMPSPVAQHALDRGFPNDLIFTPVKANEEAFLSNFRALEPQLCITAAYGNILPTKFLKIPLLGTVNIHPSLLPLYRGAAPVQRALQDGVTETGVSLAFTIRALDAGPIIAYEKMKIDDHIKAPELLDLLFAQGSKLLLQELPSIFNGSAKTNAQEQDDSKATLAPKITQEESWLCFDQEALTLHNKVRAFAGWPGTRAKIVVIDEKNDKRSELDLKIITSRVYNDAQVSKDDDVAFIKGSMIIPCGGGTALEVLEVQLPGKKVVDAAAFWNGLRGQKVKKLGL >cds-PLY82635.1 pep primary_assembly:Lsat_Salinas_v7:1:192543241:192554090:-1 gene:gene-LSAT_1X127821 transcript:rna-gnl|WGS:NBSK|LSAT_1X127821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQSLHSLAFRVMRLCRPTFHIETPLRFDLSDLIVGEDLLDDPSAAPHIRRLLQSQSTTTDSSTDLTYSNRFLLRDDPSDAMGLSGMLVLPQAFGAIYLGETFCSYISINNSSSFEVRDIIIKAEIQTERQRILLLDTTKTPVETIRAGGRYDFIVEHDVKELGAHTLVCTAQYSDGDAERKYLPQYFKFIVSNPLSVRTKIFKEPVLIKSGGGIHNYLYQLKSLDELSTPTKIEGNNVLGKLQITWRTNLGEPGRLQTQQILGNPIAHKEIELKATKVPPVIILEKPFTVGLSVTNLSEKNVGPFEVVLSLTDNHAVMVNGVKRMALPQVEAYKSLDFQLNLIAMELGMQKISGITLFNTNDKKTYDPLPDIELDLDIFKDMTYHMDALKNYTSKRNFKLENEIFQSMQNCNIKNIVSVDGNNQYIVREKMTTLLSRVIDVEEDYEVIFDMGMELFK >cds-PLY95985.1 pep primary_assembly:Lsat_Salinas_v7:9:40973006:40975340:-1 gene:gene-LSAT_9X36861 transcript:rna-gnl|WGS:NBSK|LSAT_9X36861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGKDAPAIGIDLGTTYSCVAVWKHDHIQIIPNDQGNRTTPSCVAFVDGERLIGDGAKNQVAMNPANTIFDAKRLIGRRFSDFKVKDDMNLWPFKVIEGPADTPKIVVSYKGEEKEFCAEEISSMILVKMKETAEAYLGKPVKDAVITVPAYFNDSQRQATKDAGAIAGLNVINMINEPTAAAIAYGLDNKSDINGKINVLVFDLGGGTFDVSILTIAEGGTIEVKAVAGDTHLGGEDFDNRMVDYCVREFRRKWNKDLTGNQRALGRLRCACEKAKRILSSSNVTSTDLDCLYDGIDFSMKFSRAKFEELNMGFFDSCMDTVEECLRDAKMEKSCVDEVILVGGSTRIPKVQCMLQELFERKELCKSVNPEEAVAYGAAFMAAKLNGNSHKSCRDLLLLDVTPLSLGVGLIGEEFDVVIPRNTPIPTKKSKIYCTTRDDQTCVDVKVYQGERTRSTDNHLLGTFTISGIPPAPKGVAKLKRYFEIDSNGILSVTSEILSTGKTEKLTIINANGRLSKEEIERMIEDADKYRKEDQEFKKKADALNELEDYLYNMKNKTKYIKNGAMMKKIELVIADTTKWLEQNQAVSVDELQCKKEHLESLWMLMF >cds-PLY98559.1 pep primary_assembly:Lsat_Salinas_v7:1:36454923:36460329:1 gene:gene-LSAT_1X30780 transcript:rna-gnl|WGS:NBSK|LSAT_1X30780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKIFSFFFFFVFFLFFVHVCPQHSLKTDKDALLEFKKSIKIDPHLVLSNWNETTEVCSFKGIHCNNGHRVRIIELVGFGLVGPFSPVISNLTALRTINLSGNNFYGKIPDEISSLRHFRNLLLGFNSIEGRIPESLSSLSNLTILSLKDNRLQGEIPPSLFSNCTVLSNLDLSHNFLVGKIPENIGDCLNLWNLNLYNNQFIGEIPFSLSNASDMFNLDVEYNYLSGELPSKLASKLTKLLYLHLSYNHMVSHDQNSNLDVFFTALSNCSMLQELELAGMGLGGTLPDSIGRLGVNFSQMLLQENRIYGSVPPVFANLSKLMWLNLTMNHLNGTIAPELNRLPALEQLLLSHNAFTGEIPEAIGECLHLGKLELSYNKFTGEIPESFGKLTGLGSLSLNNNQLSGNIPSSLGNCVVLANLDLSHNRLTGHIPPGLISAMTRNGIFLNLSHNHLEGPLPSQLSMLETIKEINLSFNNLTGTIFPKISSYMDLEVLDLSSNSFQGQLPESLSMLTRLVAFDVSNNMLSGKIPIGLSNIHTLKLLNLSFNDFQGRVPTGGVFNSVTSLSFLGNPHLCGHISDLRYCSHKKKYFRSPGFLAVFYVGIILSVLLTVICCVIGWRYLKQIVSSNATRPETNSQPELTRNFPRITYKELAEATNGFDEQRLLGSGGYGRVYRGWLPDGTQIAVKVLQLQTGNSTKTFNRECQVLKRIRHRNLIRIITACSLPDFKALVLPFMANGSLDSRLYPDSGGLGSGSSDLNLIQRVNICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLLNDDMTALVSDFGIAKLVMTIGGGTENLGNSTANMLCGSFGYIAPEYGYGSNTSTKGDVYSFGILVLEMVTRKRPTDDMFSEGLSLHKWVKSHYHQHMEHVVDSSLVQTTKDQLADVKKMWDVAIGELLEMGILCTQDSPSNRPTMLDAADDLDRLKRYLNGDTTATFASSLGVSSSTISED >cds-PLY68973.1 pep primary_assembly:Lsat_Salinas_v7:9:140800100:140800375:-1 gene:gene-LSAT_9X90341 transcript:rna-gnl|WGS:NBSK|LSAT_9X90341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAPPQENFVAIGDVPEPTNISDDPPPKFGSGADASSPVANDEVSEEIMFEDDNTTVDVILVPTLFNILQRPSSAKAHFHFGLSSSCEASD >cds-PLY89181.1 pep primary_assembly:Lsat_Salinas_v7:3:20543748:20544998:-1 gene:gene-LSAT_3X15241 transcript:rna-gnl|WGS:NBSK|LSAT_3X15241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSATATAVSAAEKPVRRFPPPCWTQDEALALIQAYRERWYALRRGYLRTADWDAVAEEVGRICPGAVPPKTSAQCRHKMEKLRQRYRAEKQRALSFPGGQFLSTWFYFEAMDSMEKNGSGSNADEETQSGNDLNSSGMKNVNSKNNHQSNAITVSNYGGLHPGRGIRFKPVAADSNLVTIASRSNNFRVSHNYAAQEEDNDNDDEVEDEYFIEPPIGKNSGKTHKPSSQNYATRFQSDHHNNNGAHVHPSKFQVTEHSGIRPRKFSKTASNSNPSYWNENGEEDDDNDDGEEEEVWVKMGKDRSSASMEYRDNKYGDRNRNMNSSHHYFNGEDDFSREKKGVKRDNNNSLGEIVSSIKVLADGFVKMEKMKMDMVHEIEKTRMEAEMKRNELLLESQKQIVEAFVKGLADNRKQQQ >cds-PLY79233.1 pep primary_assembly:Lsat_Salinas_v7:9:182576790:182577191:-1 gene:gene-LSAT_9X112440 transcript:rna-gnl|WGS:NBSK|LSAT_9X112440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHEGGVDFSGGSSLQLGLWELRKVATVGEGPCGGVSRQKVEGKGIWRWRQWGTRKTSPVILVLRQGKETNGMTVVERVVKGYRDSTTIILGVFESGCSVEIGGEGWACYHRRRITGPFLLLHRLYNESGCFG >cds-PLY85023.1 pep primary_assembly:Lsat_Salinas_v7:4:224426921:224429162:-1 gene:gene-LSAT_4X123240 transcript:rna-gnl|WGS:NBSK|LSAT_4X123240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEERMETELISIPATPRASTPEILTPSGQRSPRPMSKEGGKSSTAWTPTSFTPRFLSPIGTPMKRVLINMKGYLEEVGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGVGFQALVLPVAFSFLGWSWGIVSLTIAYFWQLYTLWILVQLHEAVPGKRYNRYVELAQAAFGERLGVWLALFPTVYLSAGTATALILIGGETMKLFFELVCGPLCTSNPLTTIEWYLVFTSLCIVLSQLPNLNSIAGLSLIGAVTAIFYSTMVWVLSVSQPRPPNISYDPVPLPSFSASLFSFLNALGIIAFAFRGHNLVLEIQSTMPSTFKHPAHVPMWKGAKAAYFFIALCLFPVAIGGYWAYGNLMPSGGILNALFVFHSQDISRGLLAMTFLLVVFSCLSGFQIYSMPVFDSFEASYTSRTNRPCSVWVRSGFRVVYGFINFFIGVALPFLSSIAGLLGGLTLPVTFAYPCFMWVLIKKPAKYSFNWYFNWTLGWLGIAFSLAFSIGGIWSMVNSGLKLKFFKPN >cds-PLY94146.1 pep primary_assembly:Lsat_Salinas_v7:5:35574004:35575215:-1 gene:gene-LSAT_5X16860 transcript:rna-gnl|WGS:NBSK|LSAT_5X16860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKVKIVLLRGNYDGTTMEDGEFEKNIVANWGKKKNLLVGDVYVHLRHGTGTVDEIRIQHDKNPIRNVELRLGAMVVDSSCPYEVKQAITQSFKVKDRRNAPKSFRSLSPTDKVWQLKNISKNGVIHKRLERANVYNVNDFLNMYYSNRQALQEISHVKGKKWETTVNHAKTCNVGNANYKASGRLESTTQESNIPSSFDDDCYFPQPYENDSFDHVKEMIVDDNKEEMIVDDNFSIHDVEECDMDVWFHEDDEGSLLCQDSREDGICRALSMVEFEGVKAKKRWMKMRTLLFSIACFSFMCGCELVG >cds-PLY66021.1 pep primary_assembly:Lsat_Salinas_v7:1:124003559:124003954:-1 gene:gene-LSAT_1X95081 transcript:rna-gnl|WGS:NBSK|LSAT_1X95081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVNRILKHGKKSLAYQIIYGAVKKIQQKTETNPLSVLRQAIHGVTPGLAVNARRVDGSTQQVPIEIGSTQGKALAIRWLLAASRKRLGQNMAFKLSSELVDAAKGSGDAIRKREETHRMAEENRAFAHFR >cds-PLY97581.1 pep primary_assembly:Lsat_Salinas_v7:5:238385134:238386159:-1 gene:gene-LSAT_5X113041 transcript:rna-gnl|WGS:NBSK|LSAT_5X113041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSTNEIIKELPGIIRIFKNGRFQKLQVPDIVPAGIDPSTGVNSKDVVFSPQNNVYARLYVPRTTTLHHKLPLLIFYHGGGFMIESAKSSLYHNFLNLIVSEANVVAVSVDYRLAPEFPLPVAYEDSWEAIKWVAKHVNGNGPEPWLNEYVDLQNIFLAGDSAGGNIAHHMAIRVGSDTPTGLRFQGVILLHPYFWGKERVGKESDFIEPWLIGFLEDSWAVAHPESSGLDDPLINPGMDPNISGMGCSEMLVFIGGNDFFRERGLYYKEVVEKSGWKGDLEVVENEGEEHVFFLFKPSCANACTLHNRICTFINNA >cds-PLY68948.1 pep primary_assembly:Lsat_Salinas_v7:4:363307501:363310193:-1 gene:gene-LSAT_4X179700 transcript:rna-gnl|WGS:NBSK|LSAT_4X179700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METKKQDDYSLTIPLLVNNGDVGTKVKEEEYTNNTTTGTASFISTCFNCLNGLSGVGILSVPYALASGGWLSLLLLPLIAISTFYTGLLIQRCMDSDPTITNYSELGGRAFGKTGKTLVSITMNIELYLVATGFLILEGDNLCNLFPDIDFDIFGVHIDPKSGFIVFVAIIILPTNWLNNMSVLSYISASGVAASVIILGSIFWGGAFDGIGFQEKGNLVKWNGIPSAISLYAFCYCAHPVFPTLYTSMKNQRQFSKVLFLCFAFCTATYSLMAVIGYLMFGSNVESEITLNLPTNNISSKVAIFTTLVTPIAKYALMVTPIVNTIEARFQSSYSKRIRSFIIRTLLMISTVVVALSLPFFGYLMALVGALLSATVSITVPCLCYLKISGIYRRIGVEMTIVVFVGLIGVVVAIVGTYVSILDIKRHLY >cds-PLY74291.1 pep primary_assembly:Lsat_Salinas_v7:3:128036087:128036326:1 gene:gene-LSAT_3X87600 transcript:rna-gnl|WGS:NBSK|LSAT_3X87600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVATMKHIMALGDGDDDDDDMAVDDTPQKAPSDNPPPPPPPSHPTPITHPPCPNSPRQSDAAKRGRIIKGGLNQCQCR >cds-PLY79534.1 pep primary_assembly:Lsat_Salinas_v7:1:33685980:33687255:-1 gene:gene-LSAT_1X33140 transcript:rna-gnl|WGS:NBSK|LSAT_1X33140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEIASNVHNVINVWGCLQHEEICAFRIGILYITPNFHILLTTIFHSTISVNYCNTLLPFIKVIHRFRSQTRFPHLHTQTTTVSHQHQKLFVSRSTINQPRKGSNILVEALEREGVTHVFTYPGGSTMDIHQSLTHSSIIRTIPPRHEQGGVFVAEGYARASGFLGVCMATSGPGATILVIGIADAMYDSVLMIAITGQVPRRLIGTVAFQKAPIVELTRPITKHNYQVWKAKDIPEIVREDFYLAKCGRPGPVLIDIPHDILQ >cds-PLY96313.1 pep primary_assembly:Lsat_Salinas_v7:5:193675900:193676582:1 gene:gene-LSAT_5X86620 transcript:rna-gnl|WGS:NBSK|LSAT_5X86620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPVAIQRSLWHSPVPYLFGGLAAMMGLIAFALLILACSYWKLSRNIQNTEDLESGDAKPDNNKTPPVTVFEEKYLVIMAGQVTPTFLATPSSSRASSFGSSSSCGSSSVTTSEENSLTPEKERDGNSDQVQVRITENHESADQVS >cds-PLY97724.1 pep primary_assembly:Lsat_Salinas_v7:8:7885661:7888625:1 gene:gene-LSAT_8X6100 transcript:rna-gnl|WGS:NBSK|LSAT_8X6100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGELLQEKRFNKGTKYQSRVFNQNFSKFRDLLKEGESYIILKPNMAAVKNGFSVTGHKQTLTLDWKSIVKKCDDFSGPVNGFVFADFNSIIEQKCPRDSFFDVIGQIVSFRPLETRNPNPSRHYIKMTISNLQSVHLNVTVFGSHQ >cds-PLY92430.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:560954:569802:1 gene:gene-LSAT_0X10961 transcript:rna-gnl|WGS:NBSK|LSAT_0X10961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:Projected from Arabidopsis thaliana (AT3G52200) UniProtKB/TrEMBL;Acc:F4J5T2] MALLRLRHHPVISRVPSLLRARLLSSTSRSISRYSRLQNSIVEDGGTLLRPKFLSAFNGVHDHCTLKFPSGIRCYSSSEPPAHIVIGMPALSPTMTQGNIAKWRKKEGDKIEVGDIICEIETDKATLEFESLEEGFLAKILVPDGAKDVPVGQPIFITVEEEENIKNIPDSVLGGSEVKETESTQPNVKAEDTANESTSGKIDASNLPPHILIEMPALSPTMDQGNIARWIKKEGDKIEVGDIICEIETDKATLEFECLEEGYLAKILAPEGSKNVLVGQPIAITVEDPADVEKVKSSVSGNTNTAAKEAKPAQHATRDDEAKAQKSIFSRISPSAKILIAEHKLDASSINASGIRGTLTKSDVLTAIKSGTGGKKSSSSEPVKPPKSQPQKHTSISSEVQESDSYEDIPNTQIRKVIAKRLLESKQNTPHLYLTADVILDPLLLFRKELKDKFGVKVSVNDIVIKTVAIALRSVPKANAFWDDKKGEIVLCDSVDISIAVATEKGLMTPIVKNADEKSISSISLEVKELAEKARLGKLTPNEFQGGTFSISNLGMFPVDQFCAIINPPQAGILAVGRGNQVVEPVFDEDGIEKPAVVTKMNVTLSADHRVFDGEVAAAAKMVKFLKPNKAVVVLNGRFAGRKAVIVRQFDDGTRDRPYGHCLVAGIAKYPKKVIRKDSAKKTAKKSRVKAFIKLVNYNHIMPTRYTLDVDLKDVVTVDALQSHDKKVTACKETKARLEERFKTGKNRWFFSKLRF >cds-PLY85223.1 pep primary_assembly:Lsat_Salinas_v7:1:148532949:148534233:-1 gene:gene-LSAT_1X105120 transcript:rna-gnl|WGS:NBSK|LSAT_1X105120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKFVFILLAFSITYTSVLLAAHPPTPVEGLLPNGNFEEPPKSNNINKTFLLGKKALPKWEISGQVEYMQGGPQPDGRYIAVAQGIHAVKLGNEANISQTISVKAGSLYAITFGASRTCAQQQVLRVSVPPQSGDLPLKTLYCTDGGDVYAYGFRANSSTVRITFHNPGVEEDPKCGPIIDSVAIKELFPPRPTRLNIVKNGGFEEGPRLLFNTSNGVLLPPQQQDITSPLPGWIIESLKAVKFIDSMHYNVPHGDSAIELLAGRESAVTQIIRTIPNKLYILTFSIGDAKDFCVGDMMVEAFAAKDTLKAPFKSEGKGKWKTVTMKFKAISSRTRLSFHSSYYHTRVDDTVSLCGPVIDDVRVLSVKDAAKIL >cds-PLY68425.1 pep primary_assembly:Lsat_Salinas_v7:2:60058303:60058776:-1 gene:gene-LSAT_2X28120 transcript:rna-gnl|WGS:NBSK|LSAT_2X28120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIIPSFFTGRRSNVFDPFSLDIWDPFQGFSSVLNNLPESSRETAAITNARIDWKETPEAHVFKADIPGLKKEEVKVEVEEGRVLQISGERSKEHEEKNDRWHRVERSSGKFLRRFRLPENTKMEEVKATMENGVLTVTVPKAEEKKPEVKSIDIGG >cds-PLY95067.1 pep primary_assembly:Lsat_Salinas_v7:5:227696705:227698148:1 gene:gene-LSAT_5X107940 transcript:rna-gnl|WGS:NBSK|LSAT_5X107940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDYHVAKFFPYQGHELGLFAIYDGHLGDSVPSYLQKHLFSNILKEGEFWTDPNRSISKAYERTDQAILSHNPYLGRGGSTAVTAILINGRMLWVANVGDSRAVLSNKGQAIQMSIAHEPNTERGSIENRGGDVARVNRQLAVSRAFGDKNLKNHLRYDPDITNADNKILILASDGL >cds-PLY95814.1 pep primary_assembly:Lsat_Salinas_v7:7:176909344:176909673:-1 gene:gene-LSAT_7X104101 transcript:rna-gnl|WGS:NBSK|LSAT_7X104101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGARRFVARLAIGGGLRGSNSLKKEKDRSSEAAVISDEKEETKQRGCSSSVLEVVAKGKEVRRGLGGVASNSSDGDRVIGGFARREGKRRVRGFGRRSRWCCDEAS >cds-PLY65214.1 pep primary_assembly:Lsat_Salinas_v7:8:20795803:20797219:-1 gene:gene-LSAT_8X15640 transcript:rna-gnl|WGS:NBSK|LSAT_8X15640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRASSCVGLGLSLVFGCLLLALFAELYYLLWWKKRVTNREMIQESYNSPAREFFYMFCWKKPSSLTSNSLTTDTQVHEPQASSSAHIWLRAFGEEQEHDNNITVDSEVLHSVPPRFLFTIKEETKEDLESEEMSKRGSRRSLSDVVSVDTPFFTPLASPPYLTPPITPGDYSYRAFSPLFEVSSDSEFNRIWASPPPKFKFLRDAEDKLQRRKEIENFQDDDDNDGVKDDENGSFITLIVSKNKEMED >cds-PLY67573.1 pep primary_assembly:Lsat_Salinas_v7:3:53910736:53912055:-1 gene:gene-LSAT_3X41340 transcript:rna-gnl|WGS:NBSK|LSAT_3X41340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKLVDIIAHTFKLPPECKENGEVIGKQTTPSIYMVPSLVRDLSPTSFSPRVVAIGPLHRQDEHLQEYEVQKTIYLHNMLLRLGMVPEQTLRKCAEKVIHSIESIKTCYARSMSYSDSELAKMMVTDGFFILEFISSLSNSSSETNLLITPNILITRSIIHDLLLIENQIPFFVLENIFECTILASEKGASLTKHIEELVKYYYLFLGNRVVPRASTPEHILGFVHKYYQPVEPMPPVSLLSCKGHSAMELGRAGVKFKPNDEDPNWAMAMKLESTSWFPNFTTIPRFSWYRRPTLRMPKVRIGDYSELILRNLIMYEHTPGVTKYVTSFACAMDMLIDTGEDVALLKKSKVLASYFGSNEDAANMINKLSKNFTCPEFFYDQEWKQMDAYYNSYWPHTFAGLKRTYFNNPWSVIALLAAFVLFTLTITQTIFTIKVA >cds-PLY94839.1 pep primary_assembly:Lsat_Salinas_v7:2:180448065:180448929:-1 gene:gene-LSAT_2X103881 transcript:rna-gnl|WGS:NBSK|LSAT_2X103881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSNPTEIPNGFIPFSASWHSSVIGNGKMRMPWKTLQNSADDYPKIVDLLCRFAIHHKNMSFSCRKHGAGAADVRSVAMSTRLDAITSGYGVSVARSQMKIEASDANPSTSVFEMVGFISDSSYSTKEDNHGSLHQWYVLRIYKQSFYVFEQAVDCSPASSISTNKASCVNTSTSGMQLYKCSDALLFTFHLIYLFMF >cds-PLY77768.1 pep primary_assembly:Lsat_Salinas_v7:2:169328030:169329613:-1 gene:gene-LSAT_2X92280 transcript:rna-gnl|WGS:NBSK|LSAT_2X92280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETKSGMRKPVFTKVDQLRPGTSGHNLTVKVVSSKLVLQKGRPDGPQLRQMQIAECLVGDETGTIVFTARNNQVDLMKADNTVILRNAKIDMFKGSMRLAVDKWGRVEVTEAANFKVKEDNNLSLVEYELVNVVEE >cds-PLY73603.1 pep primary_assembly:Lsat_Salinas_v7:6:128742729:128745619:-1 gene:gene-LSAT_6X75921 transcript:rna-gnl|WGS:NBSK|LSAT_6X75921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSHFEFKIFGTCQKITDGIQPGKSDYINSSFGGKEGVMFCGVFDGHGPWGHLVGKRVKKVMPAYLLHFWQEACAQYSVDSLKNSNFDQFGMWKKSFMKTCSTVDYDLELHPVIDSFFSGAAAVTIIREGDLLVLANVGDCRAVLGTTQDDGSLVAVQLTVDFKPNLPQESERIYKAGGSVCESEDEPGVSRIRASNDETSEGPGLALSRAFGDYFVKDFGLISEPDVIQTTITARDRFVILATDGVWDVVSNEKAVEIVSSASEKDESSRRLVDYASNQWKRQRPGFCTDDISAVCLFFHNSSSSQQKESD >cds-PLY62083.1 pep primary_assembly:Lsat_Salinas_v7:2:57043629:57044184:-1 gene:gene-LSAT_2X25781 transcript:rna-gnl|WGS:NBSK|LSAT_2X25781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGFAKLWFCMVVVVLFAVTISCTMPIHNLYGGDTLRDGETMVSPNGKFELRFFSFGSSKNRYVGVRSTETGQVISILNQNAPLVNTSGELFVTFQGNLEIRYTNGHVVWSTGKLV >cds-PLY92259.1 pep primary_assembly:Lsat_Salinas_v7:2:208849478:208849750:-1 gene:gene-LSAT_2X129620 transcript:rna-gnl|WGS:NBSK|LSAT_2X129620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVLPATCYKLCDNLLACYTCYCSYKKFCFFLKITKRDQTCYLLLTFFLSTCLLSSGSNHHSRTFICQHHCEPLTPQHSRNTPQPRTTLI >cds-PLY81210.1 pep primary_assembly:Lsat_Salinas_v7:5:339441316:339441522:-1 gene:gene-LSAT_5X190700 transcript:rna-gnl|WGS:NBSK|LSAT_5X190700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVAYEVEPTEDNDETEPEYTPIEHLSEPDYTLADHSRLYLSDLVHSPVYTPAGLEIFNSYYELDEDE >cds-PLY92588.1 pep primary_assembly:Lsat_Salinas_v7:7:159913285:159914653:1 gene:gene-LSAT_7X94961 transcript:rna-gnl|WGS:NBSK|LSAT_7X94961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSQYQHHNIVTLIGFCNVNNEMILIYEYASNGSLDTHLLNPSSQLSWLQLLKICIDVASALDYLHNHVAQKHKIIHRDIKSANILLDENLNAKLADFGLAKIGLANQQNSFVITNIAGTYGYMDPQYGRTGIRKYINPITLLKFSATAYQCLQETREHRPTIAEVVFQLKEAMEIQF >cds-PLY74243.1 pep primary_assembly:Lsat_Salinas_v7:1:78854722:78860063:1 gene:gene-LSAT_1X66380 transcript:rna-gnl|WGS:NBSK|LSAT_1X66380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSFTLLKMLSVFLLVFVLFSDSVVSKECTNTPTELSSHTFRYQFLNSKNKTWKQEVLSSHNHNHLTPTDESAWASLLPRKVLKQEDEFAWMMTYRQMKNQNQGGKKSDFNGNFLNEVPLGDVRLDPDSIHGQAQQTNLKYLLMLDVDSLVWSFRKNAGLPTPGTAYGGWESPNQELRGHFVGHYMSATAQMWASTGDETLKNKMTAVVSALGECQEKMNTGYLSAFPSEFFDRFEAVQPVWAPYYTIHKIMAGLVDQYLLAGNNQALKMVTKMADYFYKRVQNVIYQYTIERHWRSLNEETGGMNDVMYRLYTITGDSNHLLLAHLFDKPCFLGLLAIKADDLSGFHANTHIPIVIGSQMRYEVTGDPLYKEIGMFFMDAVNSSHMYATGGTSVSEFWSEPKRLASTLQTENEESCTTYNMLKVSRNLFRWTKEMAYADYYERALTNGVLSIQRGKEPGIMIYMLPLGTGVSKATGYHKWGSKFNDFWCCYGTGIESFSKLGDSIYFEEAGNNPGIYIIQYISSSFNWKNGQLFINQKVTPVVSWDPYLRATITISSKKEGSSSSMNIRIPSWTTSNVKASLNDQVIPVTPAGNFLSVTKKWSSSDVITLEFPITLRMEAIQDERSDYASLQAILYGPYLLVGLTTGDSDLKPESGSLSKWITPIPAEYNSHLITLSQETANSTLALSHTNTGITTVKFPNPGTSDSVFSTFRIILANSTSSQFSSYKDAIGKTIMLEPYNLPGMLIVQQGKEKSLGISDSSEPRNSLFRMVEGNEGMVRLESDSQKGCFVYNSGGTVKLSCDSGEQDSDFMGATSFKANGGISNYHPISFVAKGLEMNFLLQPLFSLRDEHYTVYFNV >cds-PLY82265.1 pep primary_assembly:Lsat_Salinas_v7:1:68490350:68490715:1 gene:gene-LSAT_1X60260 transcript:rna-gnl|WGS:NBSK|LSAT_1X60260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWLYSLCECTIGSERRKHSGATNCSPHRSMKHHPNPPSSFFFFCRSEPLNPAHGCRLLLFYCSDLPCPFFEFNCRRREEGGQGELSEQPHAPSLPPSTASLATADDNNFLTAFVPFSFWNR >cds-PLY71355.1 pep primary_assembly:Lsat_Salinas_v7:4:345819862:345820275:1 gene:gene-LSAT_4X170561 transcript:rna-gnl|WGS:NBSK|LSAT_4X170561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPMREMERAAAGDNIEGWQEVRNRRRRREEDHQLISRNAITYFFQNFPEDWDEKALWHTFQQYGMIVDLYIAKKRSKSNNRFGFVRFIRIRDPSAFAQKLNEIWIGSFKIRANIARFQRNPHLFHTPIPMNHPHLN >cds-PLY87035.1 pep primary_assembly:Lsat_Salinas_v7:5:257290668:257292565:-1 gene:gene-LSAT_5X132601 transcript:rna-gnl|WGS:NBSK|LSAT_5X132601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRRASAKILALRSVARPNRIVTSSLSPATLRPSATVVARHYSGSVSKKVEDVMPIATGHEREELEAELEGRDILDINFPEGPFGTKEAPAIVKSYYDQRIVGCPGAEGEDEHDVVWFWLKKGETHECPVCSQYFKLEVVGPGGLPDGLDEDEHHHH >cds-PLY81994.1 pep primary_assembly:Lsat_Salinas_v7:8:263796912:263800677:1 gene:gene-LSAT_8X152820 transcript:rna-gnl|WGS:NBSK|LSAT_8X152820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METQSLRLRLVFEDRSVLSETQRSYGMNQSWLLIEPQQHPKISDVCNHLLHIFNLRRSCPNGILLYMEDFVLPPSESTRILKDKEIICVKRKEMALAEAIEGANAGNLLDYPEVNRKQPVNNGMLLLANEEFDKETGGYQSESEDAEDEKLEDEPSPIQTASKKRKASKTSRSSSKKKKHRSMMDGVEDEVVTEDNNINNDKFCPMKIIKPSKEKGSVETILVEVKSPPKVKRKKKHRVASGDEGEVRDEICNIDDDKIITKKKIKPNEELKKEDDEISDEKVKSSRRTKRSEEHQEDNVQGIEQASTSPDEAKKGPSRSARRKKAKRQWKRELNKISQKPDTDTHLEGTNDHPKGHEKNLIEEEKRKCNGNTDAQLVACVVKPGHIRFEPLDEDEDGREIEVADVAFEWNGITSKKKGQKWGLEKYSASRKIESQTSSKEESSPILNVDTVVDLNDFENLPLCTSPKEGDVIAYRLVELSSSWTPELSSYRVGKVSHFDSNNIVLNPVAEYPILFDKMDEEGPDNYSLYKEDGSLEIDFTGLVDVRSVKQMQSNGVGQSQTVTQGDGVNLISKDPSPVCEVKRKKEGNNDKTWSEVMEALNKKKSELVMEANEEMKKKKDSEWNRWSYRALRGSALGPTMALLRSNNNI >cds-PLY97866.1 pep primary_assembly:Lsat_Salinas_v7:2:216951700:216959108:1 gene:gene-LSAT_2X135361 transcript:rna-gnl|WGS:NBSK|LSAT_2X135361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSCETTTMLLGRGSSFILVRNSNCHFLVPKKNYFLRNSTRFRCMLDQIVSKVAVSSSLSTVLASGNVIAAAAAAGSGSLHGAVTSAITQVAVTAVAIASGACLSTKVDFLWPKVDEQPGSYILDGVDVTGYPVFNDPKVQKAIAFARKAHHGQLRKTGDPYLTHCIDTAKILAVLVPSTGKKAIDTVISGILHDVVDDTCESLFNIEREFGEDIAKLVAGVSRLSYINQANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPSGKAKAVAQETLVIWCSLASRLGLWALKAELEDLCFAVLQPQIFRQMRSDLASMWTPSSSSRVGNLRRLSAKSSSNAEPNPEYEASTEDVVVSMKDLLQAVIPFDLLLDRRKRINYIQDLGSCSEVQTKPKVVRDAGIALASLVVCEEELERELFISTSYVPGMEVTLSSRLKSLYSIYSKMNRKDVSIDKVYDARALRVIVGDKSGTLHGQAVECCYSLLNIIHRLWTPIDGEFDDYIVNPKSSGYQSMHEYAEHGVAAHWLYKEAGNKLPAKSNVIGSEITSSSYLSNDMEDKSPVEDDVFHKYSSLKPGHPVLRVEGSHLLAAVIVRVDDDGRDLLVAASFVLAASEAVADRRSSSQRKWWEAYARLYKKVSDEWWCEPGHGDWCTCLEKYTLCRDGMYHKQDQFNRLLPTFIQVIDLSEAEENEYWNVVSAVSEGKQLDSVSFNSERERSTSGWSTPMEAGINNKVLLLRTMLQWEEQLRSEAGLEESSKLTANKNKNKNKNMNQFGFGEIAVVCWPDGEIVRLTSGSTAADAATRVGLEGKLVSVNGQVVLPNTKLKDGDVIQVRVR >cds-PLY91588.1 pep primary_assembly:Lsat_Salinas_v7:8:216714170:216714549:-1 gene:gene-LSAT_8X134340 transcript:rna-gnl|WGS:NBSK|LSAT_8X134340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVSNVIGPLEKMTLSNQRVKGLYYMPINGPQSLTVTTISYMDELRVAVGTEKGFIDPEKFQTCIEKAFNMIFDAAVKS >cds-PLY81230.1 pep primary_assembly:Lsat_Salinas_v7:4:305995032:305998872:-1 gene:gene-LSAT_4X155900 transcript:rna-gnl|WGS:NBSK|LSAT_4X155900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRRLDPIPAFFPVILAIVLCFPAISCQETQRPFDICGAPVQCGAITVEYPFWGLNRPAYCGHPGFQITCPSNVPLLNFESLNYRVLRSDTSTQTITIARNDLRENICPRFLYNTSYNSTLFNGDNFDQRNVSLYYNCNTSIGVILLATNYRFTCNVNESQSDSYFIRTDQLIPSVASSLDQCQNRIDVPVNQSSAARLGSGIATTDDLRSGLTAGFQLQWTANNECDRCIRSNGQCGSNSTSPDVFACYCANGNFALTCNDTNEGGGSSSKKSVSTIVAVVGAILAAIGIGIGIFVCRQRRKRIAIRELSPSHTETKAILTTVSNYQVNNQVNSGSSNFTSSIPSYPSSKTSNDFGKSSYFGAQVFSYEELEVATDNFNNSRELGDGGFGAVYYGKLIDGREVAVKRLYENNFKRVEQFMNEVEILTKLNHENLVKLYGCSSKHSKELLLVYEYIQNGTVADHLHGKLSTTTSTPISWPLRLNIAIETAEALAYLHKSDVIHRDVKTTNILLDKTFKVKVADFGLSRLFPNDATHVSTAPQGTPGYVDPEYYQCYQLTDKSDVYSFGVVLTELLSSLQAVDTSRHRLDINLANMAVVKIQNHLLGELVDKSVGFESDGVVKRMMTLVAELAFRCLQQEKDLRPTMKEVVEVLRGIQNDEMNAQKPEVVDIVVDGGGVLKDC >cds-PLY96569.1 pep primary_assembly:Lsat_Salinas_v7:4:369016925:369020534:-1 gene:gene-LSAT_4X181860 transcript:rna-gnl|WGS:NBSK|LSAT_4X181860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSVVVTIDKPSNISLVEVDDSETSVFLEKQKTASTKQFSWLLLLKAQRILSFFPWLAMGVSKTFVSVKKRIALSDSSKDEVKYKERIMYKFIRVFLAISIVALVVEIIAYLQNWDLKLIPSEAMGLVHLSYMGWISFRADYLAPSITMLSQFCVLLFMIQSLDRFILGIGCFWIKLKKIKPVIDDQSYDIEDPSSFPMVLVQIPMCNEREVYQQSIASACQLDWPKDRILIQVLDDSSDELLQILIRNEVNSWKEKGVNIIYRHRFVRTGYKAGNLHSAMSCDYVKNYEFVAILDADFQPNPDFLILTVPHFKGKPDLALVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGHFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIYLNDVKVLCELPESYEAYKKQQHRWHSGPMQLFRLCLPAILSSKISKWKKANMIFLFFLLRKLILPFYSFTLFCVILPLTMFIPEAELPIWVICYVPVTMSILNILPSPKSFPFLMPYLLFENTMSVTKFNAMVSGLFQLGSAYEWVVTKKSGRSSESDLLAFAERESKSFSEDKIQRRLSDSGLDMLGKLKEQEIPIVVEKKKNRIYRKELALAFLLLTAATRSLLSAHGIHFYFLLFQGLSFLAVGLDLIGEQVN >cds-PLY95803.1 pep primary_assembly:Lsat_Salinas_v7:7:92052037:92059155:1 gene:gene-LSAT_7X64461 transcript:rna-gnl|WGS:NBSK|LSAT_7X64461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSQGATEFWAEVEMLSKLRHCHLVSLFGYCNHENEMILVYEYMPNGTLEDHLHKLGTPLSWLQRLKICISAARGLDYLHTGTGIDFGVIHRDVKSSNILLHESWAAKISDFGLSKIGPTNQPSTHVNTLVRGTFGYLDPHYYATGRLTRKSDVYAFGVVLFEVLCRKRALDKSIEEGLATWVQDSIKGGKLKDIIDSDIRGEISTKCLKGFVGIAKRCLLSHPKDRPTMAEVVFSLESALTSQVKINSSFQAGGKTIFGRMFDRFPFASSVENSAHDDSKLSSNDNGNVMGEESSRKVSRGRVDNNTFISTNPDVGIIRKHEEEGFQRHAEPTEVDFFSEFGERSRYELLEVIGKGNSGIVCSAYDTHVGEKVAIKKINDIFKHVSVAASIIREIKLLRLLRHPDIVELKHILLPPSRSEFRDIYLVFELMGSDLHEVIKGNDDLTPEHHQFFLYQLLRGLKYVHSANVFHRDLKPKNILANADCKLKICDFGLSRVAFNDPPTAIFWTDYVAARWYRAPELCGSFFSKYTPAIDIWSIGCIFAEILTGKPLFPGKNAVHQLDLITDLLGTPSPETISMIRNEKARRYLSSMRKKNRVPFSQKFQNKDPLALHLLERMLAFEYRDRPTTEEALLDPYFKTIAKTEKEPSAQPISKLEFEFERRKYTEEDVRELIYREILEYHPNMLKEYLDGAQQTGFKYPSAVEQFKKQFAYLEEHYGNSTVADPVESPRASSLPR >cds-PLY62628.1 pep primary_assembly:Lsat_Salinas_v7:8:108392944:108393699:1 gene:gene-LSAT_8X75760 transcript:rna-gnl|WGS:NBSK|LSAT_8X75760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTSNLDRLIMSLPESDIDHLKLHNIQDHQCNSSSSLTTPNALENSTQDNQEDTSSSSGGLKIQVPYPTGEFKVSNGDDDPKTPTATEHRIPVMTTCPLAPRKAKWVPATGKRKAPFYPGVITPENFLIYVDAMLALNEAVYVPDIVVGDLGAGDRAKRLKPVTSPAHEP >cds-PLY74823.1 pep primary_assembly:Lsat_Salinas_v7:5:113977299:113977742:-1 gene:gene-LSAT_5X49981 transcript:rna-gnl|WGS:NBSK|LSAT_5X49981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYTISIGIEKMIKEDISNREDGMAHYFLHVVSLFFSSFELDTKSAADIRIGVLEEKTIAMQACGLFVLNTKSVYVPHLEESRGYLIWSTGYFDEDTLRVVDTAYKMSVWVAKQRDVWVNEHGSTKNTIKRTKDGLKVKETDDGQQQ >cds-PLY67205.1 pep primary_assembly:Lsat_Salinas_v7:6:136549522:136549797:1 gene:gene-LSAT_6X82180 transcript:rna-gnl|WGS:NBSK|LSAT_6X82180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEELGPFCVNSDGKTLYPNHYAWNNVANVLFMESPAGVGFSYSNRSSDYTTGDN >cds-PLY98170.1 pep primary_assembly:Lsat_Salinas_v7:1:140684307:140701560:1 gene:gene-LSAT_1X101920 transcript:rna-gnl|WGS:NBSK|LSAT_1X101920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSELCEGSSCSSTHNCHRHDVFLSFRGVDTRHGFTNHLYNALMHANITTFLDDEDIETGEDLKPELESGIRGSRASVIVLSKNYATSTWCLDELVLILEQRMKSNHVVIPIFYHVKPSHVRKQQSSFGDAMAKHRQKMEAETNSNKRSQWAQKIELWNKALTEVVDLKGKDANGRLEVELIDEIVKDIFRRLRISSRFPLPQLIGMEDSINFVTSWLKDTSSHTTNILTILGMGGIGKTSLAKYVYALHFHEFDKSSFIEDIGRKCEEKSNGILDVQKQLFDDISKPSSVQVLDDSIYTSMIENAVARKKVFLVLDDISSLNQLDALLGTKGFYAGTKILVTTTDAWLTKSCALFKTNDKPNHAMYELKVLYEIDSQKLFCYHAFMCNEPKPGYEEVSKKLVKYCKGHPMALKVLGRSLHNRDVTYWEGYIDRLKKENDSPINNVLRMSFDSLSSENDKDLFKHIACIFVGMDRNDVVTILEACDIETRTGITNLVDKCLLSIGWNNELKMHELVKEMGRFVVREESLYKPWERSRIWGLESFKVLKQKKCMENVLGLTLDMRMLEKEKLHGSLELITDALSKMDSLMLLQLNYVQITGSFKNFPEELRWLCMHGFPLKSIPPDLPMENLVSLDMSHSSIESFGICYSYPQRLHKRLKQLIGSCTKDKKLLGSLKILNLSCCEQLHSLRGFDHLPKLERLILKGCIGLIEICESIEQCFELVHIDLSYCNKLEKLPRSLGMLKKVKTLLLDGCYLGESRIKIRDKKSSEMLKANNIGINTITSSSTLLQAMPSYSKFSVISLPRSLVSLSLENNNLSTESFPMDFSCLTMLKELYLDKNPIFSLPRCVRSLPRLEKLSMVNCHMLTSVEHPPHTLTSLNLMFDFTNKPLLRKVVFDPRMSPLKFSLERTILAHSSFEFEGMVKIQPMAGVEENILHCLGWTNLHFLIGKHVKISIGYRETEESEIQMYYEFGIFSTLYWGKEMPNWITHRSMGPSISFTIPSSPNNLTGLNFCYVLTSGCLDETHGSVDDLDLINLPVIKICNITKNLTWIYEHYIEKFNVGGHRLILLSHWMFGMNEMECGDQITITVRKRLYDTGNAVTKECGVSFVYDNGENKKEDVLGYYRSWNHIIGGDLTGFQSTTGEYILSKRRIIWPTLDIALRNYYHLCGEGAHFKGSGITNLINRGVLSIRWNKELTMYQLVQEMGTFLSGILHLHLHLNHDSLSDNPLLRKVVFGPQMSPLKLSLGWRTCSWFDIEGPVKMQPMAAVEEKVLHCLGLTNLDFSNSSSMRIDVLGIASEESEIEMYYMLNWITDGSKGHGVIMCMMEKKKEKKRCVRLLQVMELHHWSTSHWISDYNRKQMANCALGQGY >cds-PLY63940.1 pep primary_assembly:Lsat_Salinas_v7:4:77164301:77165477:-1 gene:gene-LSAT_4X52261 transcript:rna-gnl|WGS:NBSK|LSAT_4X52261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKQSVEDVSSATAVFLGALAPGVNGPTWNALKGAFFMLGVCLCAMLGLAFSSSDSAMVLHVTFLVLITGILFFLLSGFLAQTGLVTVEHQMQEIGLAPSQADKTSEKNK >cds-PLY74397.1 pep primary_assembly:Lsat_Salinas_v7:6:154660954:154661226:1 gene:gene-LSAT_6X93660 transcript:rna-gnl|WGS:NBSK|LSAT_6X93660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTNNVLSTAQQVWLRKLGGAKPVVDENAGGIISAKRSFSQPSESSGARLKQLKEEEKRKSNKALPE >cds-PLY61931.1 pep primary_assembly:Lsat_Salinas_v7:5:159952405:159958999:-1 gene:gene-LSAT_5X69681 transcript:rna-gnl|WGS:NBSK|LSAT_5X69681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AtAPC7 [Source:Projected from Arabidopsis thaliana (AT2G39090) UniProtKB/TrEMBL;Acc:A0A178VSZ9] MDVPKDQMSTLLDCGLYNSAQMLGCFLVSSSSVNNDSSPHLKAENLALHGDALFRDKEYKRAIHVYKQALQYHKIIPKINATTRSSLSTSNRSSSPNNFNVSAINENEVKFKIASCHCAINENRAALAEMEAIPSKSRNLQMNLLLGKLYRYSKHIRPAIACYKECLRHCPYIIEAITSLAELGVQAKDIFSLLPQTPNRSGRPPFDQFESNRWLQRYVEAQCCIASNDYKGGLELFSELLQRFPNNVHILLEMAKVEAIIGKNDEAIMNFEKIRSIDPYVVTYMDEYAMLLKLKSDPLKLNKLVHDLLNIDPARPEVFVALSVLCEGKDDRAALTNAEKSIRIDERHITGHIVKGNLFLSMNQPEAAVVAFRSAQELRPDLRSYQGLVRSYLAVSKTKEALYAAREAMKAMPQSAKALKLVGDVYASNSSGREKAKKFYESALRLEPGYLGAALALVDLHVMEGRNYEAVTLLERYLKDWTDDSLHVKLAQILAATNMLQDSLSHYQAALRINPQNESAKKGLERLEKLMKGVDPDAPEEEEENDGDDGDGDAEEAELL >cds-PLY93135.1 pep primary_assembly:Lsat_Salinas_v7:3:55530775:55531438:-1 gene:gene-LSAT_3X43341 transcript:rna-gnl|WGS:NBSK|LSAT_3X43341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCYVGKATKIFIFFIAVIVVSGLVIGFGIVGHNTHPKSHKCSGESCSLSEYSPPPPLQLPFPSLPNPSTSNPSDPTVNSNASPPPPPPETSLQPPSPPETSLPPPSPPETSLPPPSPPDTSLSQPPSAAVSNPSPQLPPPVSVVTPPAAVTAAPPQAFSPPSPVQVSPGPMNSS >cds-PLY95011.1 pep primary_assembly:Lsat_Salinas_v7:1:190406382:190409450:-1 gene:gene-LSAT_1X124280 transcript:rna-gnl|WGS:NBSK|LSAT_1X124280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cardiolipin synthase (CMP-forming), mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04870) UniProtKB/Swiss-Prot;Acc:Q93YW7] MAILRSLRELARKTSNTNTRTFFISTPNPTSLRSIRSSILLSRSSNIISPSISISKWDYPFHGPLFLSYPPWKLLQFATPLYLQSEVVANPKVRAIDLLRERTFPIKLGLRSVKYDDNLGDGKDSRIVGSSVRDGLVESFVNWPNFISMSRLVSGPVLGWMIMHDMYLPAFLGLVVSGATDWLDGYVARKMGINSVVGSYLDPLADKVLIGCVALAMVERGLLHSGLVALVVMRDVALVGGAVYIRATNLGSESRSWVEFFNLDGIRPKKVEPLMISKVNTCFQLALVTGALLQPEFGTPQTELYITYLSWLVASTTVTSTAAYGTQYLKRKFV >cds-PLY89286.1 pep primary_assembly:Lsat_Salinas_v7:2:48863160:48863790:1 gene:gene-LSAT_2X22321 transcript:rna-gnl|WGS:NBSK|LSAT_2X22321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPTVTFRAVSADEEGRKRVEKTEVHTHNIDTLKHIEKKLIDKGVHRLDRHPRDGIPIEKQSKGGRGGKYTWDGPGGMVNDELDPAPAAVDEKDPNYVSEEEEERVAREAEGVVIGEVEVAKVAEQGVGRVDVDPNLKVD >cds-PLY81350.1 pep primary_assembly:Lsat_Salinas_v7:4:36855777:36857316:-1 gene:gene-LSAT_4X23880 transcript:rna-gnl|WGS:NBSK|LSAT_4X23880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLWSGSWHANGCYTSIFSFGDSLTDTGNLKQVASITDGFFPFLQPPYGETFFHKPTGRCSDGRLIIDFLAESLGLPLVRPFLHDCDSVIEPGQGVNYAVVGATALNSSFLEARGTVNDLTNASLGVQLAWFKQSLASICSNVSDCRNLIGRSLILVGEIGGNDYNYPITDGKTIDEVEPFVPLGEFPLGCSSQYLTIRGSESEEYDSTTGCLIKFNKFAEYHNELLQTKLNQLRELHPNAIIIYADYYNAAMQFIRSPDKFGFTNGALKACCGVGGLYNYNRSSQCGLPCVPVCDDPNTYAVWDGIHYTEAAYRIISDSLFQGPYTWPQFNLVCPFHLVASS >cds-PLY92714.1 pep primary_assembly:Lsat_Salinas_v7:7:4907743:4908756:-1 gene:gene-LSAT_7X4280 transcript:rna-gnl|WGS:NBSK|LSAT_7X4280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSIPQQFHPVIPHSRTGSGCRDRSNAGDDLDGSVRASDSSAGNNQPELSPHGSGSSESKRKKSSTGGELKEDDDMEIANQSTPKKRYESESSKGKEKGENTTLGEEENKLLEGVIAELEQESNVSYADLSWEKLKMRARRLEKEIMGVSDTDTYVMKIMSLSDIDTDVVLAMNPFDDDSIWGEKDDEDHNNPAEEITVDGESDTKGTDQDSGDDQGDTKGSKDLKDLTEREFDGESDTKDSKDSSDVETKDESDAEDSISSSSEICDVSDTKDPSDSSSEDSSSTGSKGSSETETDDDHNHKKDSKDSSTFWLERKLMKIIRALMIAIGKILYGN >cds-PLY61696.1 pep primary_assembly:Lsat_Salinas_v7:5:215563747:215566017:-1 gene:gene-LSAT_5X99261 transcript:rna-gnl|WGS:NBSK|LSAT_5X99261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKQILAKLPRKSTKSNPTYSDGNNSTTTTDVNNNGSFTNTCNVISSRLNVVKKMSSSIFPTSGNNNDMIQPHLPFKDVQNSEKLKLLISKLNLCSKIYDFNDQDKDITSKDQKRQILIEILDFLVSESIKLSEPAMSAICRMCGANLFREFPPKPNGCSPRGESEDDDLWFDPAWTHLQLVYEILLRFVSFSSLDPKTAKLYIDHSFLLKLLNLFDSEDPRERECLKSVLHRIYGKFMVHRPFIRMGVSNIIYKFVFETEKHNGIAELLEIFGSVISGFALPLKKEHKIFLLKALIPLHKPKSIGVYHHQLTYCVVQFIEKEPKLTSVVINGLLKYWPVTNSQKQLMFLSELEELLELIRTDEFEKIMVPLFRRISCCLDSYHFQVAERAHFLWNNEHIHHLIMCNRQVIMPIVFSSLQRNSNNHWNRTVLNLTQNVMKMFHEVDEPLVISCQGKFEEDKSATTAVAERRRLTWEHLEEVAAGGGGGGGFRLPVVGSVSGLEKSGATCVVSC >cds-PLY66143.1 pep primary_assembly:Lsat_Salinas_v7:7:31057539:31058364:1 gene:gene-LSAT_7X23441 transcript:rna-gnl|WGS:NBSK|LSAT_7X23441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCCCGGDDDDCRCRPLGFLLGLPFAFVALILTIIGVVIWIVGLILSCLCPCCFCVTVIVELAISLIKAPFSVMKWFTEQIPC >cds-PLY92878.1 pep primary_assembly:Lsat_Salinas_v7:4:197824170:197825366:-1 gene:gene-LSAT_4X111181 transcript:rna-gnl|WGS:NBSK|LSAT_4X111181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHDYFHPLSTDIFLTAFMRCGTTWLRALMFATANRHLYKFSDHPIHRTGPHGIFPSLDSKILLEYPVSKFELLPSPRLFATHFAHSLFPISMTSPLSTCKFVYVCRDPKDVLISKWHFMCKIRSEELPPISFNEAYELFCNGVSEFGPYWDHVLEYWKASQESPEKILFLKYEDIKREPSVELKKLAAFMGMPFTAEEEEGGVVEEIVKLCSFENLSNLEVHKEGGGVQMFTQLVVEYQNYFRKGTVGDWKNYLTEEMRERIDSITKAKFKGSGLTLGLGL >cds-PLY63040.1 pep primary_assembly:Lsat_Salinas_v7:8:73587405:73589672:1 gene:gene-LSAT_8X52801 transcript:rna-gnl|WGS:NBSK|LSAT_8X52801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPERRGVPVGSDLIKAADHNSDYGLRAARRSRRAGRMRLLFWLFSLAALFSICFAIFGFKMFFHGVEDHQSLPSLRDIKDVSIEPYTLEKPKKKPHRARFNPCEVELIDSVDLLNEPKSFWKFVNFSLQYIQTDHTPSHENPITPRFGGHQTLEEREQSFHVTNQTIHCGFIKGPEGFPNTGFDLVNEDKMYMNSCTVVVSSCIFGSSDFLRRPTSKLISEYSKKNVCFVMFVDKETLVKLSKEGSIPDEKGFIGLWRIIVVNNLPYNDMRKTGKVPKFLSHRLFPSARYSIWLDSKMRLHTDPIQIIEYFLWRKKSEFAISNHYTRHCVWDEVQQNKRLNKYNHTAIDEQFLFYQSDGLHKFNHSDPNKPLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVDRFTSRDQLSFGYTFLKLKRMNPDKPLVLHMFKDCERRAITKLFHHRNP >cds-PLY94541.1 pep primary_assembly:Lsat_Salinas_v7:2:157256674:157258530:-1 gene:gene-LSAT_2X81560 transcript:rna-gnl|WGS:NBSK|LSAT_2X81560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRQSPRRNGRNKGLKVKHVLQISLLLGVSVWLLYQVHHSDDKTPSVPSHITNKLHNVVNKDMMRLGRKDLKPKVKQPTVLQDKKEPEEHQVDEIGNETEPEDLVHDHESEEHEDMKHEEDRENEEETAETEHELIKIKKTELNKEEGKHSDGGEEIKEKVDEKANNEQNKVIVLEKEDEKKMNSSMKVENVSSEVGPSVDLSSQKVSKEETIQHMNTSQDSNLMPSVDLKNAVSVNRNSEDSMNSNGEDENQKEQESNENENENNEESEVKNEEGGVLEEEKEALTDLGTLPESGIGGSRSTENTAAE >cds-PLY99820.1 pep primary_assembly:Lsat_Salinas_v7:MU037944.1:415567:429728:1 gene:gene-LSAT_0X21260 transcript:rna-gnl|WGS:NBSK|LSAT_0X21260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDHHDELHEEGGRFYICTKARPENGISKPRSVVQKDEDYHRGVHVWIFAESTQELLLQKRARLWDISSASHVSAGSTPLITARRRLLKELGLNLPNDAFELLFVFQQECVTNGENEFNDVYLVTTLAPIPLEAFSLQEYEVSFVKYISVQEYKHLLLNGDPQYVPYDFHGQYGQLFDIITKRYQDNVEEKSLILQNKLNRYASVSLDAELTGLTEEDKEVLLLIIQAARLMDDIFYNQVWYSNESLREWLNQHSQLSEFDMIKWKYYLINKSPWSSLDEDEAFLTTADSAVKLLPEATRKVAGWKVSSNPTTSDLYIIPFSQEYFSFLAKAAELLHKAGDLTTSPSLKRLLHSKVDAFLSNNYYDSDIAWMELDSKLDVTIGPYETYEDVLFGYKAAFEAFIGIQDDKATAQLQFFGDHLKDLEQNLPMDEMYKSEDVTFAPIRIIQLVYNSGDVKGPQILAFNLPNDERIVKDRGTSMVMLKNVSEAKFKLILQPIADLCIAKEQQELVDFDSFFTHTICHECCHGIGPHTITLPSGQKSTVRLELQELHTALEEAKADIVGLWALNFLISKNLLPKTLVKSIYVSFLASCFRTVRFGLKESHG >cds-PLY97368.1 pep primary_assembly:Lsat_Salinas_v7:3:245261945:245267104:1 gene:gene-LSAT_3X135740 transcript:rna-gnl|WGS:NBSK|LSAT_3X135740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEDHDKVDKAVIDFRSTEEWLSYAKDLVPVALEKARKVNGFPGRWKMIISKLEQIPARLSDLSSHPCFLKNTLCKEQLQSVSKTLNESIKMAEKCSKEKHEGKLQMQSDLDALSGKIDLNLRDCGLLIKTGVLSEVALSSCTLSASEGTSHGSYSVTELLARLQIGHLEAKHRALDSLVDLMKEDEKTVLPFLGRSSISALVQLLTATSPRIRETTVTLICTLTESGICDDLLVSEGVLPPLIRLLESGSSVGREKSSIALRRLSVSPEIGRAIAGHGGVQPLTEVCSSSDSVSRSSATCTLKNLSVIPDLRQTLAEEGVVTIMIGLLDSEVLSVSEYAAECLQNLTSSNEDLKRAVITEGGVRSLLAYLDSGLPQEAAIGAIRNLVGSVSAETLISLGLLPRLVHVLDSSSTGAQKAAAGTLIRVSDSLEVKKVVGESGCIPLLIKLLDAKPNEVREVAAQAIATLMTVPRNVREVKRDPRSVPGLVQLLDPSPQNTAKKYAVCCLGVLSTSKKCRRLMISYGAIGYLKKLTEMDIIGSKMLLGRLQRKKFGNFFGKD >cds-PLY68538.1 pep primary_assembly:Lsat_Salinas_v7:4:21681084:21681897:-1 gene:gene-LSAT_4X14100 transcript:rna-gnl|WGS:NBSK|LSAT_4X14100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKLEIKRIEDKSSRLVTFSKRRTGLIEKARQLSGLCDVDVAVIVFSARGKLYEFCNGRTANRLVFVFE >cds-PLY71990.1 pep primary_assembly:Lsat_Salinas_v7:8:147478504:147479583:1 gene:gene-LSAT_8X99280 transcript:rna-gnl|WGS:NBSK|LSAT_8X99280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDELVGFLLVEGVNVGSSGPTSPSRTVGGVVSPVRSSIPAPSGLPAGVQAEKGNAPIQKRRSLCVVPSLYEETESDDAGLRPRKVRRTVLVAKLLGCIGGILGSEFSVPEKKEGVVVPSSSMTRPSSFTDSISVDPDFVFVLEGALGSPRGHFQPGKPSLVGDTRISSHHLSSEAYAPDWTIGRDSLLSEDIAAQEWSRSHPSATMNSLASQSSSRMAGDLRYVAAQTFAIMVVAADRVCRASVNEKQLKTFQGVVASIREELHDSEAERQPLSEQNFLVACDKASLEDHMTTLDDRSERLESQGSSLTWEKGVLVSDLSMCQRQLARARFDGVVARGGLQWMLEKGVVRVIDKVIESA >cds-PLY96312.1 pep primary_assembly:Lsat_Salinas_v7:5:193119588:193119743:-1 gene:gene-LSAT_5X86481 transcript:rna-gnl|WGS:NBSK|LSAT_5X86481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFGATADRAVDPVPDLSVEERTGVNRLSAIYLKWSNPEEVVLGMAGMSPH >cds-PLY93196.1 pep primary_assembly:Lsat_Salinas_v7:8:109352941:109356318:-1 gene:gene-LSAT_8X75160 transcript:rna-gnl|WGS:NBSK|LSAT_8X75160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSVLRVHLPSDIPIVGCELSPYVLIRHPDKTVSTEDVPESSPIDNHFLRYKWYRIQSDKKVAICSVHPSEQATLQCLGCVKAKIPVTKSYHCTPKCFSDAWQHHRVLHERAATAVNENGNEEEDIYGRFNNTTSGVNNTNGTLPLPLYPATITQRNGGETWFEVGRSKTYTPTADDIGHVLKFECVVIDSETKSPVGHGNTILTSRVIPAPSPSPRRLISVIDGTGHLDSSSGTFTVLSYNILCDSYATSDVYGYCPSWALSWPYRRQNLLREIVGYLADIVCLQEVQSDHFEEFFAPELDKHGYQALFKKKTSEVYNGSIQTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDALVPNAQKKTALNRLVKDNIALIVVLEAKFSNQGVDNLGKRQLVCVANTHVNVQHDLKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHALLAIGKVDPMHQDLAVDPLGILRPATKLTHTLPLVSAYSSFARIGGSLGYEQQKRRVDPNTNEPLFTNCTRDFIGTLDYIFYSADSLSVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCKPRTRR >cds-PLY85248.1 pep primary_assembly:Lsat_Salinas_v7:1:164858176:164859031:1 gene:gene-LSAT_1X109380 transcript:rna-gnl|WGS:NBSK|LSAT_1X109380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESALSLKPIVNVAAICGSLRKASFNHGLIRSAIDLTKQSVDGMAIEYVDISPLPMLNTDLEIDGKYPPAVEVFRQKILQSDCFLFASPEYNYSVTAPLKNAIDWASRPPNVWADKAAAIVSAGGGFGGGRSQYILRQSGVYLDLHFINKPEFFLNAFESPPKFDDEGNLIDAAAKERLRAVLLALKAFTLRLQGM >cds-PLY77585.1 pep primary_assembly:Lsat_Salinas_v7:2:163301048:163304741:-1 gene:gene-LSAT_2X84101 transcript:rna-gnl|WGS:NBSK|LSAT_2X84101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSERKVHDMMDAARKRAQRRAVYLAKRRGDPQQSIQVSGSRCRVYRDDGLYQATEDQQGLIPWNGKQDIMIDRFDGRALLDFIRDPDSRRFRVIEKTEEEEELEEFVSFERYRDLIKHRRRGFSDEEGLHHVNQEMEAKTAALFGLDRSQTTQAPANKGSYSQVGFSYDGGVKDENQDSDDDDDDDDDDDDEDDFNSDDSNDEGMDIIAKEFGVKRYGWLVYMDKKAKEEERRQKEVVKGDPAIRKLSRKERRKASQIERERERESARVSGSRVLHHDPYRESRRSPTYEAYSRSRRSRSRSYSPSSKSRRHGRDDFEDSHRSNPRAPKIEYITEFGGSPDGGGPKLAGYTPPSSPPSSHVGALNRPSSGQILEALHIDPASGVSLDSERNAKLTKPSLGSSSGLAKLTKASGSGSLGKPQGEKKETPQERLKRIMSKQLNKQIKKDTAAEMAKKREQERQRLEKLAETNRLSSRYRHRSRSRSRSYSRSPPRRYRRSRSPSRGRSSRRYHSRSRSRSRSLSPLRGRSRSISRSRSPRVRSRSKY >cds-PLY89031.1 pep primary_assembly:Lsat_Salinas_v7:6:98229875:98230660:-1 gene:gene-LSAT_6X64901 transcript:rna-gnl|WGS:NBSK|LSAT_6X64901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTTWPPRSYTCAFCRREFRSAQALGGHMNVHRRDRARLHQAQPNLKNPYITSSISSSSSSTLLIPTQDFDGNGGLCLLYSLPNPNTIFSPIKTFNDSTSSRLPIAPHPTTANLMNFPVAVLPDNIKSSLSNSSNTLGSMSTSNNNNHLLLTNSAVEELDLELRLGRSSTSPT >cds-PLY69749.1 pep primary_assembly:Lsat_Salinas_v7:5:231708435:231709517:-1 gene:gene-LSAT_5X111841 transcript:rna-gnl|WGS:NBSK|LSAT_5X111841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ergosterol biosynthetic protein 28 [Source:Projected from Arabidopsis thaliana (AT1G10030) UniProtKB/Swiss-Prot;Acc:O80594] MKALGWWLMLVGSLRLASVWFGFFDIWALRRAVFSQTTMSEIHGRTFGVWTLLTCTMCFLCALNLDNKPLYLATFLSFIYALGHFLTEYLIYHTMAIGNLATVGVFAGTSIVWMLVQWNAHEPQTPLKKD >cds-PLY91124.1 pep primary_assembly:Lsat_Salinas_v7:3:83354436:83357005:-1 gene:gene-LSAT_3X64421 transcript:rna-gnl|WGS:NBSK|LSAT_3X64421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLWDSFLNWLRSLFFKQEMELSLVGLQDAGKTSLVNSIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRSMWERYCRGVTAILYVVDAADRDSIPITRTELHDLLTKPSLNGIPLLVLGNKIDKSQALTKQALVDQLGLDTIKDREVCCYMISCKESINIDMVMDWLIKHSKTAT >cds-PLY88334.1 pep primary_assembly:Lsat_Salinas_v7:2:10407890:10410339:1 gene:gene-LSAT_2X4080 transcript:rna-gnl|WGS:NBSK|LSAT_2X4080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-activating enzyme 1, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G20560) UniProtKB/Swiss-Prot;Acc:F4HUK6] MEGTIRCGANYVPLSPISFLERSAVVYRDRLSVVYGDHVKFTWRETHERCVKLASSLATRFRISRGDVVAVLAPNIPEMYELHFAVPMAGAILCTLNIRHDSPMVSTLLKHSNAKLLFIDYQFLQIAKGAIEILLKSTTSVPQLILIPDSGELSGHPIPELVTYNNLLQMGSLDFEIKRPKDEWDPIALNYTSGTTSSPKGVVYSHRGAYLNALSAVLLNEMPSMCVYLWTVPMFHCNGWCLTWSTAAQGGTNVCLRSVTAKAIFESISKHKVTHMAGAPTVLNMIIHAPAADRIPLPGKVAVMTGGAPPPSQVLFSMENLGFDVFHSYGLTETYGPATVCTWKPEWDNLNKETQAKIKSRQGVNHLGLEEVDVKDPVTMKSIPWDAKTIGEVMVRGNTVMNGYLKDTKATQEAFKGGWFRSGDLGVRHSDGYIELKDRSKDIIISGGENISTIEVESVIFRHPAVLEAAVVGRPDDHWGETPCAFVKLKEGFELAGDDLIVYCRKNLPHYMAPRTVVFEELPKTSTGKVQKFVLRRKAVEMGSLVKGKSKL >cds-PLY79109.1 pep primary_assembly:Lsat_Salinas_v7:2:16971030:16973534:-1 gene:gene-LSAT_2X10820 transcript:rna-gnl|WGS:NBSK|LSAT_2X10820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWMEEHVASGMLTSKSHWREYCNKVKELPAYLAVASNSSGASPKDLFEDYIEDRDRIKAAVKMRKVYMSSSWTLEEFKNAIVEDITSPTVWVIKQEGIHCIQVHDFKEIVYGLVADADFAYFFTQGAEVKEMSIKAEEKNINDGEEAEGFVKTSISMRSFPPVSASSEDVPAVAYNMDGIGNKTEQPWSFRMFSNEDVTIGAWMLAMNVNHEENHQLCQTECTPTSIAVWDLPKCLG >cds-PLY71351.1 pep primary_assembly:Lsat_Salinas_v7:7:185241310:185243575:-1 gene:gene-LSAT_7X110860 transcript:rna-gnl|WGS:NBSK|LSAT_7X110860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEENSDQTSDWKMNFTEHRSPSAVDANDSRSWRLDINQFRLPETSAADNQSSTLSRLLLPTLRRKRRVKAYYKKQESLCEGFNEMETITKSGSLPGALTEDEMTNLAKSERMAIYVSNVANLILFIAKLYASIQSRSLAVIASTLDSFLDLLSGFILWFTSNAMRNPNRYRYPIGKNRMQPVGIIVFASVMATLGFQILLESAREIIAETHPSKNHDNEEWVIGIMVSVTIVKFMLMMYCRRFENAIVRAYAQDHFFDVITNSIGLAATVLAVRYYWWIDPVGAIIIALYTINTWTKTVIENVRSLIGRTAPPEFLSKLIYLVWNHHEEIKHIDTVRAYTFGGYYFVEVDIVLPQDMILIEAHNIGEMLQEKLEQLAEVERAFVHIDFEFTHAPEHKGKA >cds-PLY70308.1 pep primary_assembly:Lsat_Salinas_v7:4:99863802:99864131:1 gene:gene-LSAT_4X64941 transcript:rna-gnl|WGS:NBSK|LSAT_4X64941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLLASQSGARMAGDLRYAAAQTSPLMVAAADWIGLLGINEAKFKTMYDVVANLKAELRDSEVDREMYLKKITLWTIRTLLWKTMLRRPGSWSCLEKSRRGGYCPFIPC >cds-PLY98676.1 pep primary_assembly:Lsat_Salinas_v7:5:303747108:303749161:1 gene:gene-LSAT_5X163560 transcript:rna-gnl|WGS:NBSK|LSAT_5X163560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRATKIALINLNNSNLHLRTAFFHSTPVLDRRRRTHWESAGSFYRSSSRRPNFNSRRQRKFYAKHEMLRNASAFAENIFQGLNDDDEFDPSSSQGSSWFRGHSGPGGTRGNSKGAQARARKKRFQFYEDGEDVETIFRSAFGGNGFYFWSFINDESPRNSSGFYNNHGASWNFRHQFEDEDEDGYSSESNRLETDLTKDRITLGLTRSGPLNLEDVKNAYRVCALKWHPDRHEGSSKAIAEEKFKVCSAAYQSLCDKLAIN >cds-PLY97121.1 pep primary_assembly:Lsat_Salinas_v7:4:72465527:72471241:1 gene:gene-LSAT_4X48900 transcript:rna-gnl|WGS:NBSK|LSAT_4X48900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGRWATNEIKYSYFPLLILVLAHGCSSLNPEGLALLDFKARVSHDPYGAFASWNVDDNDPCSWSYVQCVFGNVHTLDLKGLSLEGVLTPELGNLTHLRRLVLSHNHFHGVIPKEIGKLNMLEVLDLRDNKLIGNIPPEVETMQSLKYLLLHNNNLEGSIPHEFESLNLLYKLQFDDNLTFSVTDAIGFLNRKLGRCIWQGGFNHLRNADSVISPFKETVINCLKPFQLFSKGISDNSCVNEESPLTPKIVENTKIDGCITSRKLIEEPTNVASESSYNDDDMSARGGIIGVVPSTKSSGCFWKITKRFDDTPSSSSETSDDDEESTESQTNSDMWKILIGMCCGSFLLITSMTLSFMCHNKAVKTMGPWRPGLSRQLQKAFVTGVQKLDHSELETACEDFSNIIETMEGCTLYKGTLSSGVEICVASTTITRLKDWSKHAQLLFHNKIEMLSRVNHKNFVNLIGYCEEDKPFVRMMVFEYAPSGSLSEHLHVQEVEHLDWSARMRIILGVAYCLQCMHDLSPPVVHMDLNSKMIYLTDDNAAKVADLSFWKEFSQKEKISGANKSKCVVTPDKESNIYSFGVLLLEIISGKHPYSDKQEPLVTWVEEFLKDNKNISHMIDPTLKSFKQKELDVVCEVIQECIEKDGSEKPTINKIIRKLRDESGISPEQAIPRLSPLWWAELEILSEDET >cds-PLY63718.1 pep primary_assembly:Lsat_Salinas_v7:9:86126961:86128156:1 gene:gene-LSAT_9X67821 transcript:rna-gnl|WGS:NBSK|LSAT_9X67821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATGEAQPAKHQEVGHKSLLQSDALYQYILETSVYPREPESMKELREVTARHPWNLMTTSADEGQFLNLLLKLINAKNTMEIGVYTGYSLLSTALALPEDGKILALDINRENYEIGLPIIEKAGVAHKIDFREGPALPLLDQMIDDVKFHGSFDFIFVDADKDNYLNYHKRLIDLVKIGGVIGYDNTLWNGSLVAPADAPLRKYVRYYRDFVLELNKALAVDPRVEICQLPVGDGITLCRRIS >cds-PLY72861.1 pep primary_assembly:Lsat_Salinas_v7:5:167275932:167280368:1 gene:gene-LSAT_5X73880 transcript:rna-gnl|WGS:NBSK|LSAT_5X73880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PRXIIF [Source:Projected from Arabidopsis thaliana (AT3G06050) UniProtKB/TrEMBL;Acc:A0A178VBA5] MAYAVLKRSSMMKSMIGESWRRFASVAVGSDLVAAAPNVSLQKARSWDEGVSSQFSTTPLSDIFKGKKVVIFGLPGAFTGVCTAQHVPSYKKNIDKFKAKGIDSVICVSVNDPYTMNGWAEKLEAKEAIEFYGDFDGKFHKSLDLELDLSAALLGHRSTRWSAFVEDGKIKALNVENVPSEFKVSGGEVILDQI >cds-PLY73644.1 pep primary_assembly:Lsat_Salinas_v7:5:203489320:203489778:1 gene:gene-LSAT_5X92521 transcript:rna-gnl|WGS:NBSK|LSAT_5X92521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVKDVPSPDNDDEVLEEIIFEDESTYVVFFLVAPRSKIFRSLLPCKAHLHFGLTSSLEASDENVAPEHNDNVPPPPEVTPKGHQDSHTQEAPHDDGKRKDDADHKLESSTSDTSSSDKNIADPFALLELRDNVATANSRVDKFTHQVTDLE >cds-PLY74714.1 pep primary_assembly:Lsat_Salinas_v7:5:24965570:24967287:-1 gene:gene-LSAT_5X11681 transcript:rna-gnl|WGS:NBSK|LSAT_5X11681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANRRATEIELDTMEGVASREKLPHHLNDQGKSDLKQSDSSKEKEKKDDGSTGALTVLPLFCP >cds-PLY72512.1 pep primary_assembly:Lsat_Salinas_v7:2:142843971:142844441:1 gene:gene-LSAT_2X70660 transcript:rna-gnl|WGS:NBSK|LSAT_2X70660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRERNGVERLDDWQQVRRKKKHEGNYGKEYDVNGRAITYYVQDFPPDWNETALWKTFSMYGAVVDVYVARKLNRLKIRFGFVRFLRVRDIRAFESRLNEILIGIKRIRVNVAKFHRKGKSPRLLPPTRKVNQGPLTTMHLFIRELNMKTVGRMLMQ >cds-PLY62845.1 pep primary_assembly:Lsat_Salinas_v7:4:30991521:30994871:1 gene:gene-LSAT_4X16581 transcript:rna-gnl|WGS:NBSK|LSAT_4X16581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIADLARTISMASLIFLRSIRKTNKLLPFTYPISNINTYFLSSLCHQTDPPPLPSSQPPPVAKKVPFKVSAHGVSWEDSYHWMSNTNDPDFLKHLHHENAYSEAFMADTRNLQQNLFSEMISRLPGQISTPPERWGPWLYYQYIPEEKEYPILCRKLAIENTNWVKRVINYTTIRSSNEQILLDWNEIAKEHGYVHVGTCRVSPDHNFLAYTIDVTGEEHFLLQVKDLQCGTHIPSLRVENVVSLAWAQDSHTVHYTTLGSKLDHESDVMVYTENDPSFCVDITSTKDGKFITVYIIDANNPQDGLQKVHTRVSGVQFFLEHHKGFFYILTNASQKELFDTNYYLARCHVKDIHLANWQKIIIPSKGSGIQDMDIFDEHIVLYLNKKDSPVICSIKMPIQSNDEKEMGIDDLDPWYFPFPSNSCSVLPGSNHDFMNSVYRVVLSSPVMPDLIVDYDMSRRTFSIVHQEKVLGISSNEEHEWLNVSETYCCERKEVISHDGTIIPLTILYSHKAHKRGESCGILHGYGSYGEVLDKSWCSERLSLLDRGWVVAFADVRGGGGGDDVSWHKRGSGMNKLNSIYDFVSCGEYLIKEGYVCKNQLGAIGHSAGGFLVAAAINMNPELFRAAILKVPFVDVCNTLLDPSLPLTLLDYEEFGNPQKELDFNLIMKYSPYDNIRRGVCYPSMLVTSSFHDSRVGVWEGVKYVSRVRERTCANCSRLVILKTNMSGGHFGEGGRFGHCEEMAYDYAFLMKVMGQFS >cds-PLY69186.1 pep primary_assembly:Lsat_Salinas_v7:3:204164755:204166561:-1 gene:gene-LSAT_3X119600 transcript:rna-gnl|WGS:NBSK|LSAT_3X119600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFKESGRGLVVSWALCAICLFRHVSHFIGAKAWWIHAMHSIAFVLLGRNLEQRAKIKATSDMTRLLSVLPPKARFLVVGGDVEKSTLTVDFPCDSLSVGDKIVVLPEDRVPADGIVTAGRSTVDESSFTVDPPXVDESSFTWEPLPVTKLPGVVACPCALGLATPTVVLVGTSLGAIRGLLLRGGSILIRKFSQVNSIIFDKTWILTIGKPIVTKILTKTPQEYSELKLSSIETSSENDVLKLAAAVESNTIHPIGKAIREAAKVAKCPNVKADDGTYMEEPGSGAVASIGKKIVYGGTLEWVRRYNKKKMKILCCK >cds-PLY96249.1 pep primary_assembly:Lsat_Salinas_v7:7:182745505:182745918:1 gene:gene-LSAT_7X108161 transcript:rna-gnl|WGS:NBSK|LSAT_7X108161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKERRRRSLPRHGKGSWDEEKKEKVQGEEILRGRIGEMSSKELVKTRFFSIGHRVKLEGSMNYAKGKSWALLLSIYKWRRRSCGDSWSRQRAPLEQKAASCKHYSKSSELRNKSEASHGSEESLWTKNEDFGGFCHL >cds-PLY84862.1 pep primary_assembly:Lsat_Salinas_v7:8:171401884:171402255:1 gene:gene-LSAT_8X111800 transcript:rna-gnl|WGS:NBSK|LSAT_8X111800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGIVALHSPPMRKDDAEKKGRLFRSAACVGSRRTSKLFTLKLKHMGAKGYPALLLCSNRSLLMLLRRRFAFSSLWTGALVDTGREQAKRVVRNGKKETTTSLLCWIVGANTVVFDQDQEPKP >cds-PLY64034.1 pep primary_assembly:Lsat_Salinas_v7:8:93200234:93201948:1 gene:gene-LSAT_8X65641 transcript:rna-gnl|WGS:NBSK|LSAT_8X65641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSSLWSANGNLLLQQQSCSSFSNYRHSATPTRWGFRKEIDLGPAIRRTKDEAFRVANPNVTIASGRSRKEVIMVDPVEAKRLAAKQMEAIKAKQSFQRRRQIEAINGAWAMIGLTTGLVLEGQSGNGILAQLAGYWATLVSFFVR >cds-PLY92005.1 pep primary_assembly:Lsat_Salinas_v7:8:239543667:239545460:1 gene:gene-LSAT_0X18840 transcript:rna-gnl|WGS:NBSK|LSAT_0X18840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNFVPILGISFNMLPNSIATLSSSLTNPLTVILTVILFLLAKFLRNKATCNQHPLPPGPTPLPFIGCTIQMLLNRPTFRWIHKLMAQYQSPILCIRLGPSTHVIPVSCPNLACEFLRKQDEVFSSRPDILSADLISDGYRTAILSPSGGQWRKMRKMVIQVILSASIHKWLQPKRDEEANHLLRYICNQIEKQDTATKGGLVNIRVVSQHFCGNLMRNMIFGRRFFGDGMEDGGPGVEETEHVASVFTMLKYLYAFCITDYFPWLRGKTDFDGHEKIVRTAIQGVRKYQDPLIDERIQMWNKGVRKVKNDLLDVLINHESPKPTAEEIKAQIIELMIATVDNPSNAIEWAMAEMLNQPTILKRAVEELDHEVGRERLVEERDLHKLNYIKACIKEAFRLHPLDPFNPPHVSMMNTTVAGYFIPKGSHVLLSRPGLGRNPNIWKDAMQFNPDRHLDAEGKQVVLSDNELRLLSFSTGRRGCPAVVLGSTITTMLLARMLQAFTWEAPPNESGIKLVENQDDLSLANPLLVVAIPRLHQSLYPKN >cds-PLY98095.1 pep primary_assembly:Lsat_Salinas_v7:MU038094.1:74463:77617:1 gene:gene-LSAT_0X22701 transcript:rna-gnl|WGS:NBSK|LSAT_0X22701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMPDGGAVWMEGGGNQDEIGTSSWTPATTTTTTANHHHVLGIEAAATSCNEETTAANTYASLTTLKSMLESEWYQHNNLNLSTNPSDNNSIFLPMDSSSSCSPSHSHHPFSLPDPSPSHSYPFFPPKFNNPYDIGFDFGCDPGFLPNHTSNLMNFSGLCSQTHIAIPELSSSSDFPATNNSNDTSDIGGPGFNPQLTAFDGFHGAAPMNPLFAARSKVLRPLDISPPVGAQPTLFQKRAALRQSSLKLGELGGTNWGKRAESNSGAVEIDKKRKRSLAEEDELDETATMDISGFNYDSDEIEPTSEHPNGNGNGSNSNAMSSVTIGAGDQKGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIDYLKELLQRINDLHNELEATPQGSLIQNSPSFHPLTPTPPALPQHVKEELCPTTVPSPKNHPAKVEVRTREGRGVNIHMLCGRRPGLLLSTLRALDNLGLDIQQAVISCFNGFALDVFRAQQCREGQEMLPEQIKAVLLETAGYHGAI >cds-PLY67073.1 pep primary_assembly:Lsat_Salinas_v7:5:280395377:280395754:1 gene:gene-LSAT_5X146861 transcript:rna-gnl|WGS:NBSK|LSAT_5X146861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVNHQIDGGSGEINDGGGADDEGSSEQKNPIGYGRKAKRGVLSRIKKAKKRLQRSKTKENGNSGCCCFCLYIRRIPKTLDSSSESPTSDPNSSEFGFDSLKGLIEKSDFLLDECNTHFDVYTPE >cds-PLY77649.1 pep primary_assembly:Lsat_Salinas_v7:5:173910807:173915491:-1 gene:gene-LSAT_5X76001 transcript:rna-gnl|WGS:NBSK|LSAT_5X76001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLSSNRRRNNHYHHQHPPSADPYSAPQYIYSAPQPPLPPPPPPPPALPLPTPPVFHPYDASNYPNNALNVRPNFWSYSQQQANYNYGWAPQMRLPSMMPAPPPQPPPAYVEHQQAKKVKSHVNVHKDTLKVEIDEENPDCHLVSFVFDAMFDGSMTIYYFAKEESECKFSPLYPEAFLPVKVPFSKGPGQKFRQPPGTGIDLGFFELDSLSKPSSGESIFPLVICAETILPLLSPNQHLYDPLPTTTTPTPTPHMQITQAVLEKNNGDGFKVKVIRQILWIDGIRYELREIYGIGIGHSDESFDNTGSGDECVICMTEPKDTAVLPCRHMCLCSECAKALRVQSNKCPICRQPIEELMEIKISTVDR >cds-PLY70489.1 pep primary_assembly:Lsat_Salinas_v7:1:73652532:73654387:1 gene:gene-LSAT_1X62660 transcript:rna-gnl|WGS:NBSK|LSAT_1X62660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKTSVKKGPWSPEEDAKLKEFINKHGTGGLKRCGKSCRLRWLNYLRPNIKHGEFSEDEDRIIYSLYASIGSRWSIIAGQLPGRTDNDIKNYWNTKLRKKLLAMLPSFQKKPSFHQSIPLQSSPLSDHQDNFLNTSLSFYNNSNFMNVSNSLLTTTGSSLFHDHMNVTDLFVPPTSNPLTGMISNNNYYSGFQGDQSLNKECYYPEVKERMLMFGGGGGEVLSTTCSSSDGGGSCMSQISYNKYDHHRIKQEDQFSLQWFGDQRHAFMIDHNYVDDQKPKGYFKNHVNPLHINLEEAKQLTGINNNSSSSVNISGYLMNDDDENKTTRILTDGCYMMIDGSN >cds-PLY76004.1 pep primary_assembly:Lsat_Salinas_v7:5:318853228:318856527:1 gene:gene-LSAT_5X175121 transcript:rna-gnl|WGS:NBSK|LSAT_5X175121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTVNTHHVLLVAAADNSEGLPPTIRCCFSHETKMGGLTEDQRMEMLSQSLHLIPELVPDLPFLHKDLFSSGLRKPSGVLLYDPPGTGKGHEKKSDVGVDSNLHEEADLVVEHGKPVIQLRKAGSHPHLFAGIEPEPYEEGEHLVQASGKLVVLDQLLQKLHTSGHRVLLLAQMTHTLDVLQDYMELRKYPYERLDVSIRAEERFAAIQSFSRKSGIGNSNSEADSDSAFVFMISSRAGGVGLNLVAADTIRDEGTKWPFPVQIEKEDTIFLVLKEEDGTPEI >cds-PLY70538.1 pep primary_assembly:Lsat_Salinas_v7:1:76582411:76582972:1 gene:gene-LSAT_1X63800 transcript:rna-gnl|WGS:NBSK|LSAT_1X63800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRVRIFLYCLQVDITFKKKDAEAFDDDGCYVDFNMDEVDLRIENYEELFGVGHNDPEHLFAKVGIDCLFNGVESNCHGSYAAKESSTGHGNQVQPTCSNAASTDSLISCKIEPNLCYGRQHSNISFSSLTGESNGGEYQDYGASSSILLMGEPPWFI >cds-PLY91968.1 pep primary_assembly:Lsat_Salinas_v7:7:125533861:125534196:1 gene:gene-LSAT_7X76721 transcript:rna-gnl|WGS:NBSK|LSAT_7X76721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANTEASKVVLEKQTLKQKLKSFTEVKTIRKSNVPYGLGKGKVNIISKHGDDLDPKLYESELKGREKRDKQLDDLHVLKAKNEAEEVAKKEIKDTPDEIKAMFPEWTVDRI >cds-PLY66575.1 pep primary_assembly:Lsat_Salinas_v7:6:167146679:167148667:-1 gene:gene-LSAT_6X102081 transcript:rna-gnl|WGS:NBSK|LSAT_6X102081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEELIDVKFRLADGSDIGPSKYSSVTTVGSLKEKIISQWPKEKENAPKTINDVKLINAGKILENNKTLAESRSHVSEIPGGVITMHIVMRPPMADKKSEKLQDGSPKTSSCSCMIL >cds-PLY84901.1 pep primary_assembly:Lsat_Salinas_v7:6:15224601:15229286:-1 gene:gene-LSAT_6X11441 transcript:rna-gnl|WGS:NBSK|LSAT_6X11441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSHRLPTISDTPDDWVDESWTVDCVCGVNFDDGEEMVDCDECGVWVHTRCSRYVKSEKLFSCDKCKSKKLRKESEETEVAQLLVELPTKTLRMDNPYPMSCPPQSSFQVWTEIPLEEKVHVQGIPGGDPALFSGVSPLFGQQLWKCTGYVPKKFNSQYREFPCWDERQDDDDDDDDDDDDKDNEGLENPACKGADALFSLSTSGGNKSHVNEGGVLDMGPPTTDTKKQDVRKERSLLKPIVIHSSNQKKADSKVIKDQNRKKNVKAMDKDGDSKKRSFESVSTMSSDAKQSESYEGKDHKASKTDKQSFKPESSRTDVQDNHKSEDNIPLSSGQPSESVPIVDSILNPSKEAKQMQENDGNQVPTNVEISDGIASSLKQNPVETSSIKDEVKHDDVDDANNNERTCSTSNGTQPNITNLEKPDLTPNVDLHIPSPPIEVKPVETTHPEKTSNYQNAPASDSKLDSSKTLPQDIKTSDDRLSPISEVKKESASVSVSHSQIHKVQNHVDTHTQSEGPPKSHGSVTNQRKITGKPSSKSSIPEKPRYSNSEGKQKENNSKIKKDNADVSEKPKKIVKELPKSSSTSALKSSHLSKSSHVPKKNSSDSKDPAVLSTSKATTTTTPTPDSGDSANSLRCESGGGANEQNNKSTSESSQKGEKANQINNSRQQAAIKHVVHPPPSTNLSDEELALLLHQELNSSPRVPRVPRMRHAGSLPQLASTTPTSTLMKRTSSSGGKDMNNGPRKRNKDVTNNVEGAKKGSRRHEIVKNNGSTNKSVPPVATTVSSGPSSSNEANEHNMSSPQNASDDEIPTHRTLPGLIAEIMSKGKRMTYEELCNAVLPHWPNLRKHNGERYAYSSHSQAVLDCLRNRSEWSRLVDRGPKTNAGRKRRKSEVDAQNVESEEEEAAAAEEEDDDYSTDRNTKDVDNNKSVGDELPKGKRKARKHRRLAMQGTRIKRRRKAEVVSDDDVDSSSSDSSEESAFSDEGGKTSVANEASASSES >cds-PLY65587.1 pep primary_assembly:Lsat_Salinas_v7:4:269397266:269398163:1 gene:gene-LSAT_4X138261 transcript:rna-gnl|WGS:NBSK|LSAT_4X138261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSMMLFQANFVNHHSRKLLLDAPMYLHASTTMRTTVDAIAASPTPSTDTANKSFDANVVMVLSVLLCALICSLGLNSIIRCVLRCSNLVHSESNTSQESTPARLANTGIKKKALKTFPVVSYWEGLKLPGLGKECVICLSEFIVEEQVKILPKCNHGFHIRCIDKWLSSHSSCPTCRHSLIETCQKILSGGACNSTTPFQGQNPSGVNIISLAPLQPERFVRSYEM >cds-PLY65940.1 pep primary_assembly:Lsat_Salinas_v7:4:136442951:136444531:-1 gene:gene-LSAT_4X84280 transcript:rna-gnl|WGS:NBSK|LSAT_4X84280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKSEKQDKRERAKERREKRRQEISLIRSIPYSDHQRWWSADTIAVVTGANRGIGFEIAHQLGLHGLTVVLTSRETAVGEEAAKVLQEGGLKVVFHQLDVIDHESINTFCKWIKDNYGGIDILINNAGFNHNVGSENSVELAEKVIATNYFGTKNMIKAAIPLMRPNNAGARIVSVSSRLGRLNGRKNRISNDELRQQLEDVESLSEEKIDAMVNKFLEQVKDGSWTSGGWPQNNTDYALSKLAVNAHTRLVARELSGRPEGEKIYINCYCPGWVKTAMTGWAGQVTTEEGADTALWLSLLPDMRISGKFFAERREIHF >cds-PLY65902.1 pep primary_assembly:Lsat_Salinas_v7:5:37679483:37681686:1 gene:gene-LSAT_5X18441 transcript:rna-gnl|WGS:NBSK|LSAT_5X18441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIDYYNILKVNRNASDEDLKKAYRRLAMIWHPDRNPSSNKGEAEAKFKQISEAYDVLSDPKKRQIYDLYGEEALKSGQVPPPHPFSSNRGYTAHHYHTNNHQHPDPSFRFNPRDAEDIYAELFGGSDAAGGGAAGRSAGRAFKDGAFRVPNGGESSSARKAPAVENLLPCSLEDLYKGAKKKMKISRTVANSAGKIRTVEEILTIEIKPGWKKGTKITFPEKGNQEPGVIAADLIFVIDEKPHEVFTRDGNDLTMNQEIPLLEALTGKTLEITTLDARILRIPVTEIVKPGYELTVPNEGMPVSKEPRKKGNLIIKFDVRYPTRLTNEQKLDLKRVLGGAI >cds-PLY98604.1 pep primary_assembly:Lsat_Salinas_v7:1:36900613:36902314:-1 gene:gene-LSAT_1X32120 transcript:rna-gnl|WGS:NBSK|LSAT_1X32120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEEAVVPVEVPVPAALGEPMDIMTALQHVLRKSLAHGGLVRGLHEAAKVIEKHAAQLCVLAEDCNQPDYQKLVKALCADHNVSLITVPSAKTLGEWAGLCKIDSEGKARKVVGCSCLVVKDYGEESEGLHIVQEYMKSH >cds-PLY65047.1 pep primary_assembly:Lsat_Salinas_v7:1:118997185:119003654:1 gene:gene-LSAT_1X92541 transcript:rna-gnl|WGS:NBSK|LSAT_1X92541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSSQVNLQSCLIPLEEIIRATKDFSREELIGSGGYGMVYKGQLSELWQNRTVAIKRLDHAGYQGESEFINELQMVSRLHHENIISFVGYCNDDNEMIIVHDYASNGSLDYHLQDPNKRRGIKWTQRLKICLGAARGLDYLHSGLEEPSRVIHRDVKSANILLDENFVAKICDFGLSKVGPTNLPNTQLYTKVAGTTFYLDPRYRESGILTKESDVYSFGVVMFEMLSGMLVYLPRNIGDDRAQSLMNFVRRYEQNDENKLIDNDIRDQIDTGSFNMFKEIAYQCVSFNFKDRPMMGTIVEKIEEALDIQPFGIILQDEYNTTHMAYWLSNTSTLLFLIQKSLKFDSASPPTSQLQRAMGFPSSVSDLNEIVQQVEAKQLTLHFKRQLTECAQKMYGIIRDKLKHEANFIQASFVQPTSVPYSLQESVKFKVPQSLLLYRDCCTSNNGKYVKAGLAELELWCLQAKEKTLKVIFVSQYAGSAWDKLKHIRQASDLLV >cds-PLY75224.1 pep primary_assembly:Lsat_Salinas_v7:MU043036.1:1352626:1353279:1 gene:gene-LSAT_0X17501 transcript:rna-gnl|WGS:NBSK|LSAT_0X17501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEPFLNLTNTPPLPFNPPTLSMKTSPLTKSIRISSIPPLPTMSSAETSQPQTSIPLSTPIFIDSTIPTTSSIITPPEVPIIKDDQEPIDDFVFQPFIVNIDSDAEDAPMTICQYKQLNKKLDSVLENSNAYSFAKWENLLKTHMAMVELLTSANAKVLEETMKTAQALE >cds-PLY83653.1 pep primary_assembly:Lsat_Salinas_v7:4:39419335:39420079:1 gene:gene-LSAT_4X26581 transcript:rna-gnl|WGS:NBSK|LSAT_4X26581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWEDLVIKAKNGGLDVVDTYVFWNVHEPSPGTYGFSGRYDIVRFIKTVAGNGVYVNLRIGPYVCAEWNFGSLNFLKIHLSGFPVWLKYVTGISFRIDNEPFKAAMQGFTQKIVGMLKAENLFESQGGPIILSQVVSCFIHLSFITIKDKCHFGL >cds-PLY68031.1 pep primary_assembly:Lsat_Salinas_v7:5:292997703:292998067:1 gene:gene-LSAT_5X154840 transcript:rna-gnl|WGS:NBSK|LSAT_5X154840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFFVKKPMAMVLLLTIFLLISYAYAQGGYSGDPTYEPAPDYPGSGSGDQYPPPP >cds-PLY79610.1 pep primary_assembly:Lsat_Salinas_v7:2:166154021:166155486:-1 gene:gene-LSAT_2X88160 transcript:rna-gnl|WGS:NBSK|LSAT_2X88160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDKPMFFLVTSSLILYSYFNFGFSLEFHVVLELDETLVCAYETSSLPAIVRNQAIDAGLNWFELECLSLDKESEGKPKINYVIVFERPGLHGFLTQLSLFADLILFTAGLEGYAKPLVDRIDAENRFSRRLYRPSTSSTEYREHVKDLSCISRNFCRIVIVDNNPFSFLLQPVNGIPCMPFSVGQPHDNQLLDVILPLLKQLSEQGDVRPLLYEKFHMPEWFHKHGIPNGWVGTHG >cds-PLY90786.1 pep primary_assembly:Lsat_Salinas_v7:9:114067339:114077149:1 gene:gene-LSAT_9X79920 transcript:rna-gnl|WGS:NBSK|LSAT_9X79920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein argonaute 10 [Source:Projected from Arabidopsis thaliana (AT5G43810) UniProtKB/Swiss-Prot;Acc:Q9XGW1] MPTRQIKEGVEQHLAVKPKSNLQNQMHPEAEKNLKTFPSENGRSPPCAAHENQHHQPHRNKGRRRGRGGRKSSDQESGIFMRPNSRPCTQKAADLPEFDCNKTSNLNESSEMSSFPCSSKSLSFAPRPGYGQTGTVCMVKANHFMAQLPDKDLNQYDVSILPEVTSRALNRAIMGELVKRYKESDLGTRLPAYDGRKCLFTAGELPFVWKEFRIKLVDQEDGINSPKREREYKVVIRFVAHVNLHHLGEFLAGKRADGSQEALQILDIVLRELSTKRYCPVGRSFFSPNIRKAQQLGEGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEALPVIDFVAQLLGKDVLSRPLSDSHHVKIKKALRGVKVEVTHRGSVRRKYRVFGLTSQPTRELVFPVDDNANMKSVVEYFQEIYGFTIRLTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITALLKVTCQRPKDRENDILQTVHQNSYNQDPYAKEFGIRISEKLACVEARVLPAPWLKYHDAGKEKDCLPQVGQWNMMNKKVINGMTVSRWACINFSPSVKESVARGFCNELAQMCQESGMEFNPDPVIPIYTAKPEHVEKALRHVYHVSMNRLKGNELELLLVILPDNNGSLYGDIKRICETDLGLISQCCLTKYVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLVSFRKATGQKPLRILFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRSTTDKSGNILPGTVVDTKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYVEPEMQENGTKGTGVRPLPALKDNVKRVMFYC >cds-PLY63326.1 pep primary_assembly:Lsat_Salinas_v7:9:133358375:133358894:1 gene:gene-LSAT_9X85080 transcript:rna-gnl|WGS:NBSK|LSAT_9X85080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKQQKSFLSVFSIFKRKRVRGDDDKVDETLKAYKVYPSDQDGVHWVAEPGIDRKASCYIESITTMWSHDLDITK >cds-PLY74062.1 pep primary_assembly:Lsat_Salinas_v7:9:11624800:11627986:-1 gene:gene-LSAT_9X8740 transcript:rna-gnl|WGS:NBSK|LSAT_9X8740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGASSSPFDSFKSKKVLRSSVSKQHSADEACGTPDQSPWSSKTPEKPTHPLRRSLTRGQPLRSLRQVKEAAKQLRKSDLKPSVPFDPLESSDIPSETPITKPKTPKSLPERYEILDKFFNSMQSSIRILHLKGSASTFTNISRAVESLTDRRFTYRHLAQLKFILPEGIEIKKILLRDDHTSCMKPDLDVTLNFSIIQDDEKLKSENKNIQMKKLFRSRLVSFHKSNPEGDEVPEEMLPEPFNQSSRVTSSNAMKKPNLSSVEDTVSQQQQKTVVASHMPQSFKRCFSKLVSDHSSTKIACVPIAPSPIKMCSTLPETPLKSFSKKGDDGTPAKLISTPISATPAQAAYRPVRSIMTPDDDEYSVMSPTKSTPSKLTRRSGGRRSITFDTPVKNKTPPVKRASDDDDCDILSGDLFASIKEKERKAKEENDPAISHAKWRKQMIAGLPKLFDSLLFIFQKRSVITKEELIHTIISCRLEIVDRREVEEQLMLLKELAPEWIHQKMASSGDLLFWTPQHPSLGTNNWGGASPMLARDIPKESLEQKYLRFNSIRTHDEIFPPVFIHLPQNPKPSRKGPLLKLIWPDKKSTMKKKKRWFPRLDPKRRWPQGW >cds-PLY81979.1 pep primary_assembly:Lsat_Salinas_v7:8:263489138:263489698:1 gene:gene-LSAT_8X152500 transcript:rna-gnl|WGS:NBSK|LSAT_8X152500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDAEFDFTPCLEVVRMHLNSNILNNTIITTVKPTRTNCLAEESYITWTDGRVVQNMVLRLRNVESGEIENKFSWIDVPGGGNKRDFNCYRAILLTPPLTMGFLMEFKFMLSF >cds-PLY67368.1 pep primary_assembly:Lsat_Salinas_v7:1:171304547:171307530:-1 gene:gene-LSAT_1X114380 transcript:rna-gnl|WGS:NBSK|LSAT_1X114380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATILTVEDAYPGVDAELLKKACHGWGTDEKAVISILAHRNAPQRKLIREAYKDMYGEDLVKRLEHELSGDLERAVYRWNLDPADRNAVLANVALRKEHRDHRVFIELSCTLSPEELFDVKRAYQCRYKRSLEEDIASHTSDDLRKLLVGLVSIHRYQGDEVNLKLANSESSILRNAIEEKTFNHEEILRIITTRSKPQLMATLNHYKDEHGCNMTKQLKDDRANEYTETLRTTIRCMSDPIKYFEKVIRNAVKKSGTNEDALTRVIVTRAEKDLKIIMDQYQKRNSVPLDHVIAKETSGHYKRFLLALLGKDE >cds-PLY95194.1 pep primary_assembly:Lsat_Salinas_v7:8:61940360:61941249:-1 gene:gene-LSAT_8X44900 transcript:rna-gnl|WGS:NBSK|LSAT_8X44900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQTVNLIGQVEITSGGDVLHDLLRFKPNDIPPMCSNKMYRCDLLSGQRGDVGSTICWNFTNDGKPQASKQIIEAVDEKNHKIVFKVIGGELVEIYKTFTIIFHVEEKGGKKFAIYTYAFEKPDEDVPYPTSLMDFMTTILKEMDENASRK >cds-PLY70375.1 pep primary_assembly:Lsat_Salinas_v7:4:100004436:100005007:1 gene:gene-LSAT_4X64881 transcript:rna-gnl|WGS:NBSK|LSAT_4X64881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSLVFGKDHGGFLRGVVTRVILTRYWDMPRARSSSKETIYVLQQRLQEQINTNQDPRCQVECLMSLAVPPIVNHADSPITNTPPPTKAPKEKESLNEGEETVIATCEEP >cds-PLY63569.1 pep primary_assembly:Lsat_Salinas_v7:9:151549484:151553014:1 gene:gene-LSAT_9X95880 transcript:rna-gnl|WGS:NBSK|LSAT_9X95880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP37, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G15520) UniProtKB/Swiss-Prot;Acc:P82869] MAAPLSSSLMSPKVSINVSINSSVFRRYCVSTRSLILLNKTPARSPQAQPKLISCIFSKIDNSTECSDHKKTITKLYMPVLERGIMRLGATISVILVVIQLVSPVPLSRWDPSFLPPAKAVLYSPDTKVPRTGELALRKAIPANTNMKSIQNSLEELSYLLRIPQRKPFGTMESNVKKALKIAVDEKESILSSIPSDQREKGLEIYASLIDGKGGLQTLLGYIKEKDPDRVSVALASSLDSIAQIELLQAPGLSFLLPAQYSNYPRLTGRAIVEFVVEKGDGSSFTPQAGGIPIKSAKIQVVLDGYSAPLTTGNFAKLVVDGAYNGMTLKCTDQAILSDNGINKSIGYSVPLEIMPSGQFEPLYKTTLSIQDGELPVLPLSVYGAVAMAHDDASEEYSSPNQFFFYLYDKSYSGLGGLSFDEGQFSVFGYTTIGRDILPQIKTGDVVRSAKLLEGQDNLVLPVEK >cds-PLY89227.1 pep primary_assembly:Lsat_Salinas_v7:5:310511402:310511838:1 gene:gene-LSAT_5X168080 transcript:rna-gnl|WGS:NBSK|LSAT_5X168080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNKRTTELYTLGQHISMSAHKAQRVIDQICGRSYKETLMILELIYSATANASFNMGSHEVNLVISKAEVNEGTIVKRLKPRARGHSFAIQKPTCHITIILKDISLDEYIDTDSIIWSQKSKSNKKAYNYVIV >cds-PLY82505.1 pep primary_assembly:Lsat_Salinas_v7:2:183886910:183888006:-1 gene:gene-LSAT_2X106401 transcript:rna-gnl|WGS:NBSK|LSAT_2X106401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKTSLIQFARQVITSSYSHSRSFSSPPSNNKLFVAGLSWSVDEKSLKEAFSSFGDVSEVRIMYDKDSGRSRGFGFVNFSCEDEASSAKDAMDGKAFLGRPLSVSFALDKVRKEPVTTRQINGATTFSQ >cds-PLY67948.1 pep primary_assembly:Lsat_Salinas_v7:5:298253818:298256299:-1 gene:gene-LSAT_5X158961 transcript:rna-gnl|WGS:NBSK|LSAT_5X158961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSGSCPPTHISSPPPSPTYAPPVNRSLLASPSLQQVSEVLFEITLPRFSGDILPETDAGTVLSIADRLDILVGLFGGRCQPSSTNDPFGLRRISYGLVQVLVEKDRNLDLQHALEVVASVQSLKIDAITIREILGYC >cds-PLY66488.1 pep primary_assembly:Lsat_Salinas_v7:5:39971675:39972198:1 gene:gene-LSAT_5X20260 transcript:rna-gnl|WGS:NBSK|LSAT_5X20260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEVIFAGVGKSPSWHARKEMSVFYIVSNGAECSISMDSVLQGRYQGKLEHRMVPLYETLPPPCRLNVAAPVPPQPVGSSTASGSQPISHTVVTPAPASRSPIEPSTDSVVDYKVGITANICRVAEKRKVV >cds-PLY82880.1 pep primary_assembly:Lsat_Salinas_v7:4:17775046:17781295:-1 gene:gene-LSAT_4X11720 transcript:rna-gnl|WGS:NBSK|LSAT_4X11720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLFGFKNPLVARLLRGLKANASPIVEKSLPSSCFGNEQPEGTNEHHTQGTVHYAETCKDPDLQMNLVKTEGKEKRSKKRKEVHVKSVIGTQHKRERPQDLTQTGHENHNNRIPLHLSNSNENKPGLEKMDCNGDNKSHLLSTQDGLQLDSSISSENLEKEKCPAVLEAGNSIGSKDVISNKEGINLFKKQENLDVLISTCKWEPTSSKTTDEKGDPPVQKGSQMMDNVDLYAPDTLDPALDEKGDPPAKKDSQMLDDLDLHAPDTLDSALEVIPEETNCSINEKLNGGQILVSEKCVTESEAKDEMVIGTGIGSDNSYKSDSDSVGHEITNSMMTLLLPRALPLLKTYSRKKKKNLYKMSQEERKGTNQCPKDEPPGTTTAVDAKSASQLSLISTQCEMTKVVDNEVEVKDESCLNKSHYDELQNVFEVVGCYMHPTPISMVMLRRKGNEVLICVLCGYLMEKERTLFVYTASIKGEKKGHPSFIGHTRIISPVSRNASGDQVMQFTPDGKCLVLLNNITSPYCREGGVKCQFSACTPDSFEKNAVKIVQVKLGYVHVVCKLKTSSSVCCILVCEPNYLLVAEETGRMNLWTMNSTWSAATEHGYLATSDCMSDSVVSMKKIPNFPGLVVGHTAFGDFCLWDVTRRILVSKFSAPGTSFLSFLPINTFRCPKSGCKKKQEETQTDDHDNEDLSLVLLVSSVSNQHLNDEKALKDCRCWSLALLAKNKLILLNALDPSSTVAGVSAGYGIMCTCEGSLYIWELSSGAKLGYLTHCTGATVSCLVADDSGVFAVAVDGSQLQVYALGT >cds-PLY90464.1 pep primary_assembly:Lsat_Salinas_v7:9:91632664:91634201:-1 gene:gene-LSAT_9X70560 transcript:rna-gnl|WGS:NBSK|LSAT_9X70560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKTQAHLHIFSTSIGGFQRHYSSPLDPFSLPLQPPPGGLSSTIYPRWSQWTSLPPYASSTSCKRAQNKPKYHHIIYLLQRFAVPDSLEPYANVDIGRPQLATDLELLNS >cds-PLY90817.1 pep primary_assembly:Lsat_Salinas_v7:2:106780363:106783611:-1 gene:gene-LSAT_2X46981 transcript:rna-gnl|WGS:NBSK|LSAT_2X46981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPDIFDSFPNLQNLRLSYNNLTGNLPKTFGGSEIQNLWLNNQESGLSGTLDVLSSMTQLSQVWLQANAFTGAIPDLSKCTNLNDLQLRDNQFTGIVPPSLMSLPQLSKISLRNNKLQGPLPVFGSNVTSDITSNSFCSPNPGPCDPQVTALLEVAGAVGYPMSLAESWQGNNACDSWSFVSCDSSGKNVTSVSLSRQKLSGTISPAFANLTSLRSLSLNDNNLVGIIPSNLTSLPNLQLLDLSNNNLSGAIPSFPQNGIKFSHDGNPLLGQDVPIGPPGPPGSTPSSTDPGKNPSGSSKGSPVSGGMIAGIVIGVLVFLAIVFFVFFKCCAKKKHQKFGRVENPEIGQELMKPSVIGTSSNGFNGGFSELQSQSSGDHSEMHVFEGGNVVISIQVLRQVTNNFSEDNILGRGGFGVVYKGELHDGTKIAVKRMESGVMGTKGLNEFQAEIAVLTKVRHRHLVALLGYCINGNERLLVYEYMPQGTLSQHLFEWSEHKTPPLSWKQRVSIALDVGRGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVKNAPDGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVFAFGVVLMELITGRKALDETMPDERCHLVTWFRRVLISKENMLKAIDQTLETEDEETLDSISKVAELAGHCTAREPFQRPDMGHAVNVLGPLVEQWKPSRPEEEDGYGIDLHMSLPQALQRWQADEGTSRTFDMSFSQTQSSIPSKPSGFADSFDSMDCR >cds-PLY91054.1 pep primary_assembly:Lsat_Salinas_v7:2:54588005:54591099:-1 gene:gene-LSAT_2X25040 transcript:rna-gnl|WGS:NBSK|LSAT_2X25040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSPMSSLKSDGFWRVLYVVLLGQSVSFSMALMSFSSSLSANLGVNAPFTLAFFSYFASTLVFGSVLLYRRQKLKISWYWYVLLGFVDVQGSYLVNTAYQFSSITSVTMLDCSTVVWVIILTWIFLGTKYSLWQFFGAALCVSGLFLVLLSDSGVGGSSGRNPILGDILVIAGTCFFALSNVGEEFCVKKVDRIEVLTMLGLFGMLVSIVEMIFFERKNIESISWSPEVILTFAGYGVSGFMFFSLTPLVLQASGATLFNLSLLTADMWAVVIRVFLYHQKVDWLYYVSFLLVGIGLVIYSKTEKNLNELPKVENGDSDQPYRLLQEEVA >cds-PLY99794.1 pep primary_assembly:Lsat_Salinas_v7:9:52906105:52908570:-1 gene:gene-LSAT_0X8140 transcript:rna-gnl|WGS:NBSK|LSAT_0X8140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSANREMVVYCFDTLVAHYNGEEVPPPAFDEGQHPLFVTWKKVVNGYEPRLRGCIGTLAPRGIVNGFKDYALTSALRDHRFPPIQAKELPLLQCTVSILANYENAANYLDWEVGKHGIIIEFTDPDYNTKRSATYLPEVAAQEGWTIIEAIDSLMKKAGYNGAINDSVRNRIRLTRYQSTLFTMHYSDYVNYVKTTRGVAPSVVGLKAH >cds-PLY79158.1 pep primary_assembly:Lsat_Salinas_v7:4:218949347:218949592:1 gene:gene-LSAT_4X121061 transcript:rna-gnl|WGS:NBSK|LSAT_4X121061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVDDQQHYCGRRTLSDGAATYGGNGSRRMSTMEISELLDINVRWMKLEWLDRLETEVDRGVGSRRCKVRRDLRSQRGK >cds-PLY98468.1 pep primary_assembly:Lsat_Salinas_v7:4:247842332:247843879:-1 gene:gene-LSAT_0X38401 transcript:rna-gnl|WGS:NBSK|LSAT_0X38401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLDVVLRIQLVEHVATCLIKIAERVQESSEMLDELSKHGLIHQVEYLIDLINHTTLSYSVHTGLIGLLVKLASGSMVAVKTLFDLNISSILKEILSIYDLSHGVPSPRTIDGHYNQMHEVLKLLIQLLPVVSRNQEVPLAAEKEAFLVTHPDLVEKFGNDLLHVLIQVVDSGVDLYICYGCLSVVDKLLYYSNSDMLLDLLKSTNISRGHNLEFLILNYTFFYQISLQF >cds-PLY72213.1 pep primary_assembly:Lsat_Salinas_v7:7:59506700:59508980:-1 gene:gene-LSAT_7X42460 transcript:rna-gnl|WGS:NBSK|LSAT_7X42460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILSSSSLITSSITPPQNHQSLNLLSKCKNMEEFKQIHAQMIKNGLHKSQFALSKILHFCAINSYGDLSYALSVFDTIEEQPDPIIWNTIIRGYSLNSSPFSAIDFYKFMLLSDVEPNSYTFPSLLKSCARIDGINEGKQIHGHVLKLGLGYDVFIHTSLINFYSQSGELDDARLAFEKSPLRDPVSFTALITGYISRERFKDARKLFDEMPLRDVVSWNSIIAGHTKIQRFQEAIDLFKEMQIAKIKPNESTLVTVLSACAQSGCLTTGEHIKTWIFNNKLDSNLRLVNALIDMYSKCNELQKARSLFDSINNKNIITWNVMIGGYAHTHHYRESMDLFRIMLQSNHKPNEVTLLTILPTCAHMGALDLGKWIHAYINKNIPESSNPSLSTSLIDMYAKCGDIEAAKSVFESLKHKSLASWNAMISGLAMHRQAHKAIELFKKMVNDGFAPDDITFVGVLSACSHGGLVNSGRHLFHSMIQDFKISPKLQHYGCMIDLLGRAGLFEEAMDMIKKMEVNPDGAIWGSLLGACTFYQNTLLGEFFFKKVVELDPESSGAHVMLSNLYAANGQWDDVARIRTKFKDDGSKKIPGCTSIEIDGVVHEFLASDRTHPMSDQIYAMLEETNRVLEKSGYILDTSLVLYDMDDEWKEGQLCQHSERLAIAFGLLSTKPGTTIRIMKNLRVCSNCHSATKLISKIFEREIIARDRNRFHHFKNGICSCMDQW >cds-PLY94445.1 pep primary_assembly:Lsat_Salinas_v7:6:8625371:8627996:1 gene:gene-LSAT_6X5381 transcript:rna-gnl|WGS:NBSK|LSAT_6X5381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-rich interactive domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT4G11400) UniProtKB/Swiss-Prot;Acc:Q9LDD4] MAYVSELKNGSDLNSVEIPGACRNDEVSVNPDLYVKYECMDASMDDNGLRELFDQVLSFFLKEESVKKNAFRPFPPKLGDGVEADLFKLFLTVKRIGSYELVSKNNMWEFVAKECGFEIGLEASLKLLYIKYLKELDQWLIEGGFKDNIDTDVIAKLDLLSHHLDEYICFESNQIGKSLCHDEIIGFKDEGTSGFNQNEGGMDLDVPFSRINGDDNDEEFRVLDDKRCELSSDNVVKKVGLSVINDDDDKELSLDDEKQIDSSIKIVVDEIIRFHSDKDVTLTAENDDDVSNVVKNVSRSSKRKREKESLLLSEMVDWVANAARNPHSMTFKTSQRSSKWKKYTGDKLWKQALLSRKALFAEISFDSGNEAKGSSQKKNQRMHPAMYEDDNRVNTSEIKVCCKSATSAEQCTCTNCNLRTSSRTKRESGKFRMHENTGPPQKQKHNVGPLYQAVVPAWTGVVTQSDPKWLGTQMWPPPDDINTKGNLVIGLGRQNVCDCECLFKGSAECVRFHIAENRYKLKLELGDLFYKWRFNQMGEEVSLSWEPEEEKVFKSLVIKARHDLAHSNKSRHEIMNKFWKRGAYIIRDKSKQNLVSYYFNVFVLRRRSYQNRVTPKDIDSDNDEEEVGSVGDRFGYEKIHGLLLKCSENMQCRDLES >cds-PLY87781.1 pep primary_assembly:Lsat_Salinas_v7:1:45843727:45846542:-1 gene:gene-LSAT_1X40460 transcript:rna-gnl|WGS:NBSK|LSAT_1X40460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVEGRYLFHKNQNKGSSSLNSVSSCVFDVKTYGAIGNGSTDDTPAFVAAWKAACAVESATLLVPFGFTFMITSTLFSGPCKPGLVFQVDGILTPAVGPDNWPKKDSRRQWLVFYELDNMSLTGTGTIDGNGQQWWDLPCKPHRGSGGKTFPGPCDSPTLIRFFMSSNLRLSGLRIQNSPMFHVKFDGCEGVMIDKVFISSPKLSPNTDGIHIQNTKSVGIYNSTIGNGDDCISIGPGCVNVDIDGVICGPSHGISIGSLGVRNSQACVSNITVRNVVIRDSDNGVRIKTWQGGAGSVTGIAFENIQMENVRNCAIIDQYYCTNKKCVNQTSAVYVRDISFRNIKGTYDVRSPPIHFACSDSVACANITMSDVELFPFAGELIDDPFCWNAYGVQETLTIPPINCLLDGMPHLASEENVYRCI >cds-PLY63860.1 pep primary_assembly:Lsat_Salinas_v7:1:125541268:125542549:1 gene:gene-LSAT_1X94321 transcript:rna-gnl|WGS:NBSK|LSAT_1X94321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDQVTITVTGPYNELIKECGVRVMYVDDDDGALVILNALEKAPAIKEVWFKDFSQRKPDIIGHAREEKLQFLGVVDEDFQYEDLNIKLKSKNMGVMQAYELMLKICYIRA >cds-PLY86195.1 pep primary_assembly:Lsat_Salinas_v7:MU040735.1:158507:158761:-1 gene:gene-LSAT_0X41181 transcript:rna-gnl|WGS:NBSK|LSAT_0X41181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKGNTNRQTNQMNEGNEYREGKGSSNDRICLIDFGKEVVSGGRRGRSNEGSDGVSRAAAAKKLRPSEVCGRWEQKRRKVRGKG >cds-PLY86622.1 pep primary_assembly:Lsat_Salinas_v7:1:3643085:3644302:1 gene:gene-LSAT_1X2860 transcript:rna-gnl|WGS:NBSK|LSAT_1X2860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSITTCLSSQINLHETLTHKSYVSLSFNPISGFPINKHTIALAPLTTTNIAASRWESMIAYANVEPAGTPSPPPTPPSGSWKNWAIGILMTVAVPSVTTKGGPIKLLLQLGVPEKVDHIVDTAEHISDIVESVADKVDKVVEELEDDLPENSQIKKTLDYIEQVAERVEKDAHTAGDFIDKFQEMQEKIEDLMEPVLEEAREVAKETKEREKHKNNDID >cds-PLY89980.1 pep primary_assembly:Lsat_Salinas_v7:8:208764163:208764318:1 gene:gene-LSAT_8X131321 transcript:rna-gnl|WGS:NBSK|LSAT_8X131321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVVVEGGGRSGSGGCRGSGGGKRGGDNGGCGSSGKRSGDEVSSDEGWR >cds-PLY91073.1 pep primary_assembly:Lsat_Salinas_v7:9:124477580:124478781:1 gene:gene-LSAT_9X81821 transcript:rna-gnl|WGS:NBSK|LSAT_9X81821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIANKLSSSAIIAFNLRTCNSLKRNRALATFMFANEKKIQSNNQLYRNTMLTLLPFTKHVDETSNLRCNSPLSKICVLRSSSSRVPESSCLLTSNGEESPKKLLLYSFFMSSCSFRVRIALNLKGFARYFTDYIIDLIVYDCGLPTGLDYEYKAINLLKNEQHSPGNKLISFSSRLLIQISMLHIVS >cds-PLY73369.1 pep primary_assembly:Lsat_Salinas_v7:7:62658740:62659621:1 gene:gene-LSAT_7X44800 transcript:rna-gnl|WGS:NBSK|LSAT_7X44800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEHKNIYRTIKDYSGDNLLHLAARLAPSNKLNLISGAALQIQHELLWFKEVEGFVCPLSIIQKNSSGETPQMVFTREHKDLVIEGEKWMKSTAESYTITAALIITIVFAAAITVPGGSSQDKGIPIFTNNTAFTIFAISDAISLFAAVTSLLMFLSILTARFAEQDFLFKLPTKLIIGLTTLFISTTAMIVAFGATLYLVFGQTNSRILIPIAVLTCLPITSFVTLQFPLVLDLMSATYGRSIFGDKRNVYSWHKNLFI >cds-PLY79087.1 pep primary_assembly:Lsat_Salinas_v7:3:7648707:7649138:1 gene:gene-LSAT_3X4101 transcript:rna-gnl|WGS:NBSK|LSAT_3X4101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGMGVIIINGCTVQAFVNNETQFKTSVDAQFDSLDVNNDDVLSRSEMRKAFESMRSEMKKTMLAIAVGLGSSSIQMAVEDDDQSFLKKAADLEAAKISESS >cds-PLY84097.1 pep primary_assembly:Lsat_Salinas_v7:6:188588756:188590291:-1 gene:gene-LSAT_6X114381 transcript:rna-gnl|WGS:NBSK|LSAT_6X114381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METMAKTEKKPHVIFIPLPAQSHVKAMLKLAQLLHHKGLQITFINTEFIHKRLLKSGGVHSLDGSNGFQFASIPDSIPRSSEDDEAKELLIPYVETHLLTPFLDLATKLSTPPTLIISDGFMSVFTIDAAQKLGIPVMLYWTLSACGFMGFYQTKSLMEKGLTPLKDESYLTNGFLETNVDWIPGMKGIQLKHLPIIDSSHKLFTFCMEVTQNAHKVSYNIIHTFDTLETSLVDVLSSMIPHVYTVGPVQLLLNQIHAKAKQPKMSNFNGYSLWKEETECLQWLESKELNSVIYVNYGSSTVMSLQDLIEFGWGLANSNHYFLWIIRSDVVVGESAVLPPEFKEVIKKRGFIGSWCPQEKVLEHPSVGGFLTHGGWGSSIESLTAGVPMICWPYLWDQLTNCRYICKEWEVGMEMEKEVKREEVSKLVQELMGDGGDVMRKKAMEWKEKATAATAANGSSSLNVNNLIEEIIMLSRN >cds-PLY93127.1 pep primary_assembly:Lsat_Salinas_v7:3:55677642:55678539:1 gene:gene-LSAT_3X43001 transcript:rna-gnl|WGS:NBSK|LSAT_3X43001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFFFPELSFCFGGTVITPAAETITTDVSITSRRVTQGNSTKHWRPELTAIAEDGGVLNARRQPPPSASVVRSEKKPLNKARSTGKTRSHSYTGDYRKLTHAMAIPAFSPTPFVF >cds-PLY75531.1 pep primary_assembly:Lsat_Salinas_v7:9:34542696:34550575:1 gene:gene-LSAT_9X32200 transcript:rna-gnl|WGS:NBSK|LSAT_9X32200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSRMSKQVVKVDRRIEPEDLDDEDDDQYENDGFLVDDLEEDEAGEDSDDAKQKKRKKKRESKKTYVLDEDDYELLQENIPGYHRPKIESENFKRLKKARVDGDEGPSAVFGKEEYDRSGMAKEKLTRDSSEDLLDEGKDALLSEDEIADFIVEEVEKTEPAAPSRSVKANKKKSKKSAGHSSRQSVNDIFGGLDDIYKLGPENVSKYSEPNESKEKKVEDEFEPILVPEKYMIKKDEHIRETDIPERMQISEETTGSLPPHDMSIDRESIWILNQFKMGMVPWFHKGGNTSTTTEEGNELPILKDDVKRFLELVHVQKLDVPFIAMYRKEECPSLFKDIQVADEENQDFSDDKPTLRWHKVVWAIMDLDRKWLLFQKRKGALQMYYNKRFDEDRNIYDETRLHLDQKFFESIIKSLNVAETEREIDDVDSKFNLHFPPGDVVDEGEFKRPRRKSQYGICSEAGLREVACKIGYSSEEFGLLISLEQMRMDELEDVEETPDDMASKFTCQTFENPQAVLRGARHMAALEISCEPFVRKHVRGVFMENVTISTSPTVEGKATIDSHHQFAGIKWLKEKPLTKFDDAQWLLIQKAEEDNLIQVSIKLPASSHDKLISDAQEYYVSGGVSKYAQLWNEQRKQIINDAFDGFLLPSMEKEARSLLRSRAKSWLLMEYGRILWKKVSVGPYQKKGQDGNLSKVPARVMACCWGPGRPATTFVMLDSFGEIVDVLSAGSLSIRGQNVNDQQRKKNDQQRIVKFMMDHKPDVVVLGAVNVPCSRLKEDITEIIQKALEDSSEDGLSVVYGDESLPRIVKRGIALGRYLQNPLTMAATLCGPGKEILSWKLSPLESFITSDEKYSIVEQIMVDATNQVGVELNLAITHEWLFAPLQFISGLGPTKAVSLQRSLIRSGSICTRKDLLTHGLGRKVFINSVGFLRVKRSGNATSSSQSFDLLDDTRIHPESYNLAEELAKEIYRADAQDDVAVNDDDDDVIETAMEHVRENPDLLKSLDIDSYAKSKKREDKKETFNLIKQELINGFQDWRREYVEPSQDEEFLMISGETESTLSEGRIVRATVRRVLPQRAICSLESGLSGMLNKEDYSDDLNDEELTEKLKEGDVLTCKIKSVVKDRYQVYLSCKENVMRSKWVSQNDKPVDPYYNEVRDSSGEKPPTPSGSSSSSKVKEITKKLFKPRMIAHPRFKNVTIDEAIELLAEKEAGESVFRPSSRGASYLTLTLKVYNGVYAHKDILEGGKEHKDITSLLRLGKTLKIGEDVFANLDEVIAGYAEPLAGHLKKMLGYRMFKHGTKAEVDEILRKEKSEHPSRIVYSFGVSHEHPGTFILTYIRTSNAHHEYIGLYPKGFRFRKNMFEEVDRLVTYFQQHIDDPRERPGDSAGWGGGGDDGGDANKDADDSGWGGSKKSTQAGESGGWSGGASGGWGQKSNNSGSGDANKDADSGGWGGTKKSTDGGGWSGGGGDGSWGKKNSGADDSNKEADSGGWGGSKKSTGGDAGGGWSGGGGGSWGQKNSGASGSGGADKDADSTGWGGGKKSAGGDASGGWSSGGGAGGGGGGSSWGQKNSGASGSGDANKDADNTGWGGGKKSTGGGWSGGGGGSWGQKDSGTSGLGGADKDADSTGWGGSKKNTGGGDASGGWSGGGASGGGGGSWGLKNSGSFGSGDGNKDADGTGWGGSKKSTGGGAIGGWSGGGGASGGSDDGESGGWSGGGGGRGGGRGGGGSAGGGGWGSKNNSASGLGDANKDADNNSGWGKKSSGGGGW >cds-PLY78367.1 pep primary_assembly:Lsat_Salinas_v7:9:10072624:10075786:-1 gene:gene-LSAT_9X7821 transcript:rna-gnl|WGS:NBSK|LSAT_9X7821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQEFQTHDIGIMLYNISKTTLTIAKKRWRRAYFTIYFSNTMVSIAKNIVSNSLPISEIISIPSYSVIEIIQHHVPKKGIKDVSDINPTHLTDTLKNKDLEKLHEFGGVNGLANGLRINLENGMDSRDLDRIRTVFGSNTYTKQPPKGLLYFVIEAFKDITILILLGCATLSLGFGIKENGIGEGWYEGGSIFLAVFLVIVVSAISNFRQEGQFHNLSKISDNIKIDVVREGRRQKISIFDIVVGDVVILNIGDQIPADGLFIDGHSTLIDESSMTGESEPLHADSLRNPFLYSGSKVVDGNCRMLVLSVGMNTTWGKMMSSITSDNNNEETPLQARLNKLTSSIGKVGLAVAFLVLTVMLIRYFTGNTEDEYGNKEYNGKRTNINEVFDSVTRIFSAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMSDQAMVRKLSACETMGSATVICTDKTGTLTMNQMTVTKFWLGHDYISSSTNVIAGEVLQLLHEGIGFNTTGTVFKSGDVTEYSGSPTEKAILSWAVTNLEMDMEKLKKSSSVVHVETFNSKKKRSGVLIRREADHTIHVHWKGAAEMVLAMCSSYYESNGCITIMDDDERKKLEKTIEGMAASSLRCIAFAYKQDETLSEGGLTLLGIVGLKDPCRPGAKKAIDTCRSAGVEIKMITGDNVFTAKAIATECGILESSEEVCEEQVIEGVEFRNLTEEERMQKVDKIRVMARSSPFDKLLMVQCLKRKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKQSSDIVILDDDIASVSTVLMWGRCVYNNIQKFIQFQLTVNIAALVINFIAAVSAGDVPLTAVQLLWVNLIMDTLGALALATERPTDELLNKPPVGRVEPLITNIMWRNIFAQSIFQIIILLTFQFRGKEIFDVDERVKNTIIFNTFVLCQVFNEFNSRKLEKRNIFKGIHRNRLFVGIIGMTVVLQVVMVEFLKKFADTDRLNGVQWGICIAIAALSWPIGWFVKMIPVPEKPFSKLIIRSG >cds-PLY84328.1 pep primary_assembly:Lsat_Salinas_v7:5:189440191:189447529:-1 gene:gene-LSAT_5X85480 transcript:rna-gnl|WGS:NBSK|LSAT_5X85480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKMGGDMALSLEGIKNETVDLEKVPIEEVFEQLKCNREGLSSDEGAQRLEIFGPNKLEEKRESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDYQDFIGIVCLLVINSTISFIEENNAGNAAAALMAGLSPKTKVLRDGRWSEQEAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPYDEVFSGSTCKQGELEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTSIGNFCICSIAVGMAIEIVVMYPIQHRPYRQGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKGIDKEQVLLEAARASRTENQDAIDAAIVGTLADPKEARAGIREVHFFPFNPVDKRTALTYIDGEGNWHRASKGAPEQILTLCACKEDLKKKVHAMIDKFAERGLRSLAVARQEVPQKSKDSPGSPWQFVGLLSLFDPPRHDSAETIRQALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGGHKDESIAALPIDELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGGYLALMTVIFFWIMKDTDFFSDKFGVKSLRTSETEMMAALYLQVSIVSQALIFVTRSRSWSFVERPGFLLMGAFLAAQLVATLIAVYADWSFARIKGCGWKWAGVVWIYSLVFYFPLDIMKFAIRYILSGKAWNNLLDNKTAFTTKKDYGREEREAQWALAQRTLHGLQAPETSNIFNEKSSYRELSEIAEQAKRRAEVARLREVLTLKGHVESVVKLKGLDIDTIQQHYTV >cds-PLY64850.1 pep primary_assembly:Lsat_Salinas_v7:2:31029246:31032589:-1 gene:gene-LSAT_2X15281 transcript:rna-gnl|WGS:NBSK|LSAT_2X15281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADALVIIAADVILKKVASIAANEIALAWGYKTKLHTLEQTLKLIRAKLQDAENEKGQKHGVMEWLKQLKLVVGGVDDMLDEVDYEILRRAIKSRDRVAIKVTTLPSLKKLLFRREMGHKIKKVNEKLSQINKDANDLGLQNEQRRPAVPDRPYPETDPYLGEFKIVGREDDEERIIHLLTESRKEEKLMIVPIVGMGGMGKTTLAKSIYNNPKIQQHFEVKAWLCVSVKVDIKTLLSNIYESLVGEKPKSETMVNLVRDLEKKLGAKRYLLVLDDIWDEERLYWEDFRSVMINVNSQTGSSILVTTRKLEIGTKAMMIDSYPLKGLSDDHCWCIFKERAFLAGQSPQPELEEIGRDIVKKCRGLPLLVKVIGGVLQNYTHDLEKWLSIKNSKVWDLEVERERTQKSLELSFDNLPSSMAKQCFAYCSIFKKDTVMEREELVQLWMALGFVQADEEKNKEMEDVGNDIFQILVSNSLFQDVERDEYGHITRCSMHDLVHDLSLSLSKHESLCVVGAMNDDIDRIPQVKHLAFYQEQNKDYEFQNKVSLFIERAKTVETLHTFFLDHEIGKTFPFQQFKCMRVLKLTSHKSEKLDDSIGDLVHLRYLHLSYPGITVLPESIGKLYHLQTLKLQHCHLKTFPKSMRNLVSLRNFMSTKSLPANIVGQMISLRNLPSFTVVRRKGHGIEELHHLNNLSGKLCIFDLENVRSKEDAIKADLSRKKYLYDIQFSWSRYYEDGDDTNVMDILEGLQPPKDVKILTINNFSGDNFPAWVMKMDIDIKGKSIPLDKLMSITLSGCRSCLSLPTLEHLPHLRDLVLENMDNLTCLGSSNVNESTKPLSPSLRSLKLFGMKRLEKWIDGATNSSKMISPVLDSLVIIDCPKIILLDECHPHPLVSLRICYCIGLISIKSIQGLTSLVSLEIVMCPSLLEITDLPNQCQSLKTLQIKHCDKLTSLPHQMFDCFAFLNELELGAFSEELASFPSLEGIEKLRDNLHSLDLRGRYHWDSVPEEIQHLTSLTELTIRRFGMRELPMWLTTMLSIRHLTFHECKGLNKETVVRGAPPEATYVELYN >cds-PLY88583.1 pep primary_assembly:Lsat_Salinas_v7:7:7735557:7738328:1 gene:gene-LSAT_7X7120 transcript:rna-gnl|WGS:NBSK|LSAT_7X7120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVSDSETSSHGGEYKMFRQVTRDRILYEMIKASHSKESRSMWKMNPWRKFGWNENFENLLHVLIMDRVTMKVISHSCKMADITDQGVSCKKIKFNTSITIYVVFKRRQPMPGMDVVYFVQPTDENSPIPKDFIGRIKADTTVIPRIGGLSEMNLEYFPIENQVFVTDHERALEELYGDDADTTPEYDVCLREMAIRVATVFASLKQKSENQKLSSAHVATTNMPSFIVSADE >cds-PLY94913.1 pep primary_assembly:Lsat_Salinas_v7:4:110063899:110064771:-1 gene:gene-LSAT_4X71101 transcript:rna-gnl|WGS:NBSK|LSAT_4X71101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSNIKPHSHGRSISLPSTLDKHHVFNELYRSHTSQDATTSCSSSSLIGEKLNCLNDMYESIQPFLTLPSTQHSLSHGCLKEQLNKFLDELIGLLDLCSTTKDALSTSTAYAKDLQSVLRRKRGDVHGLTSSVEDYLSNRRKVNKAAYKALLGLQKHYGSSTSNVNVLKEMRSNTLAVFESLLAFILGSNTPSRPKGSFLVSKMHHIGGKRAQCVQTVKESVVQKVHDDLHTLIRNKNIKSDRLVLEGIKKGLAEMEFSLGDLIDQLEGLCRCLIKTRVSILNIINC >cds-PLY68865.1 pep primary_assembly:Lsat_Salinas_v7:3:62662933:62668566:-1 gene:gene-LSAT_3X49640 transcript:rna-gnl|WGS:NBSK|LSAT_3X49640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKAHEGHVLVLPYPSQGHINPLLQFAKRLASKGLKATVATTHYTLSSISTPYITVEAISDGFDDSGFTQAQSAEVFLESFKSHGSRTLTQLIKKHQTTKYPITCVVYDSFFPWALDVAKENGILGAPFFTNSATVSAIFSRIHGGTLRLPVRLEDCPVVLPGVPPLYLEDLPSFLNAPESYPAYLKMKLNQFSNLEKADWIFCNSFQSLEPEVIKGIGEQWSAKLIGPMVPSSYLDGRIVGDKGYGASLWKPLEHQCTKWLETKPQNSVVYVSFGSMVSLSQEEMEEISWGLQQSGFNFLWVVKESETHKLPKGFLELTTKSQEKGMIVNWCNQLELLAHDSVGCFVTHCGWNSTLEGLSLGVPMIGVPKWADQLTGAKFIKDVWCVGMRVKVDAEIGIVGREELVSCLNEVMNVGEKSLQIKKNAKKWRELAKEAISEGGSSDKAIDELLMALKGFCKERDLC >cds-PLY83770.1 pep primary_assembly:Lsat_Salinas_v7:4:38841533:38842226:1 gene:gene-LSAT_4X27161 transcript:rna-gnl|WGS:NBSK|LSAT_4X27161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSFNKKLHYFNMPDELKWPSKERNQRLPRGAPMRTPPISEQPRFMKHSNSSTSTEVDIKSKPAKLKEPNPAGNHGSKGSSSKVPSEGENSVSKNKMCDDPENEKPKLLITLTREEIEEDYLAMTGKKLPRNKMKRDKTMKKDLDVIFPGVSLEGRNAENLAKKY >cds-PLY84090.1 pep primary_assembly:Lsat_Salinas_v7:6:190665954:190668013:1 gene:gene-LSAT_6X116160 transcript:rna-gnl|WGS:NBSK|LSAT_6X116160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTHGSCNHDFKKGDEIEVLRKTDDGDVPVWFPATAMNSPSPEGTLHVKFTTLYMERYSKDGRRKRKKIRDYVNVIDGVRRPVTQAEPHRSFAVGEEVETFHENGWRRGEVKEVLENSMYKVVIGGAVEVVVEKSRVRVYRDRSSFPSTLDPPQEKSSHLVLQSNKRTKLRIVCKSRSLRPDPFPNGTPVEVRSYEEGYQGSWYAAMVVGSIDETKILVQYETLKTDDEMQPLVEMADASNVRPLPPTIHRMDRFKMHEEVDAWYNDGWWVGHVSKILGGLKYAVYFWITNEEYEFLHSELRPHQELINEKWVASFLRPKLLEKPPRPEKVKLQTGGGRTLMVGLLRGLKVEIAHGENGFLTTWYPAVILGPVNKGKYLVEYRTLKTTDNNGLLKEEVDVMSMRPCPPIIQSPNQFQVNDRVDAWDFNGWQTGQVFKTMKEFKYAVYFWATKSVVEYRHDYLRLHQDFIDGVWYNSLCYAFSYKKK >cds-PLY65252.1 pep primary_assembly:Lsat_Salinas_v7:8:231681848:231683242:-1 gene:gene-LSAT_4X85600 transcript:rna-gnl|WGS:NBSK|LSAT_4X85600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQESLALLKFKQSVRDEYGMLSSWVGNDCCMWEGIHCDKVTGNVEGLNLRASFSYTFDERYSEFVGEAYLAGNEANSSLAELRHLKYLDLSGNYFEGSQIPEFIGSFKHLTYLNLSHACFEGIISHHIGNLSNLKVLDLSSNQNMMADNMVWTVGLSSLEHLDLSKVNLSGTHNIDMVLYMIPSLKVLSLSYCGLSNSDLGASHNFSRILPNIKRLDLSFNSLQGPLPDFFLNMTSLAFLDLSGYNISLAWNFAKLLSMIPSLSVLHLSFCRLDNTHLSSPHLNLSTLSNTQHLDLRDNSISGMFPSILTNMSSLRVLDLSENMLNSSVPIMPNLLELYLSFNEFKHIEPLGIWRQCYLKQLIAAGNDCDIELIDSPKNMSECSQYSLEMLDLRWSSNGTIPEGLGRLTNLRGLLISESKLTGVIPKSLGRLRVRLVAEKWAVFWKNFPRKMRILKTRLVRLFF >cds-PLY69854.1 pep primary_assembly:Lsat_Salinas_v7:6:3571742:3573287:1 gene:gene-LSAT_6X3701 transcript:rna-gnl|WGS:NBSK|LSAT_6X3701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVTGIGQDLKVDIFDVKKCSGSFMEARQKLFQTQVEITQKLRGKANVVYAWCVANGDAPSGVLFYAHNGPKLGPYGYGVHLAAVQSAHKSAMLCDVDENGVKHMVLCRVILGNSEVVEIGSKQFHASDPCFDTGVDDSQNPNSYIIWNMDVNTHIFPECTVAFKMPPTLKGNAVVEESRLDMSRVTTTHDQHNTSSSTPGKNFPPQEKVPIPSVGSSTAKDPKSPWMPFSMLFEAVSAKVEPDHMRLVHILYESFRAKKMSREEFIKKLRSVVGDQILRSTISSLQCKNVSNSGSVSEVKDGQEV >cds-PLY93732.1 pep primary_assembly:Lsat_Salinas_v7:6:147571085:147572565:1 gene:gene-LSAT_6X88460 transcript:rna-gnl|WGS:NBSK|LSAT_6X88460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTTTRRPYFLEENNGLASISDIEHGFSSSPSSSTEDNHRGQHLISRPLYSPRKASLRNLSSFSSLSSPRSGRFLHGRFEEQQPHFLDACFLCKKPLGPNRDIFMYRGDTPFCSEECRSEQIDIDEYKEKNKNLSASMKALRKKEKSETSPNKSSKKYPFYSGAVAAA >cds-PLY86976.1 pep primary_assembly:Lsat_Salinas_v7:5:266215112:266217926:1 gene:gene-LSAT_5X137621 transcript:rna-gnl|WGS:NBSK|LSAT_5X137621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTDIEDEIKDEKNPRPLDEDDIALLKTYGLGPYSNSIKKAEKDVKEMAKRINDLCGIKESDTGLATPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVQLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGAGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDMESRTQIFKIHTRTMNCERDVRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >cds-PLY61654.1 pep primary_assembly:Lsat_Salinas_v7:2:207885441:207886301:1 gene:gene-LSAT_2X128600 transcript:rna-gnl|WGS:NBSK|LSAT_2X128600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 11B [Source:Projected from Arabidopsis thaliana (AT3G47430) UniProtKB/Swiss-Prot;Acc:Q9STY0] MNDKVDKLVIFLAKRDGIDKLVKTFQYVSKLLVWHLETTNPNAAHRAKQCEVASGLSRKAFRTGRFLTGFNALRRNPGPTPTYRVLSVFANAGEMVYFFFDHFLWLSRTGVLDANLARRMSFISAFGESLGYVFFCVIEFSMIKEGIEEERRIRRSLLAESKEKGDEIKKIKADRVMRLMAVAANLADLIIGLADIEPNPFCNHAVTLGISGLVSAWAGWYRNWPT >cds-PLY98629.1 pep primary_assembly:Lsat_Salinas_v7:1:38442887:38447038:-1 gene:gene-LSAT_1X34301 transcript:rna-gnl|WGS:NBSK|LSAT_1X34301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLAELGGSISRALQQMSNATIIDEKVLNDCLNEITRALLQSDVQFKLVRDMQANIKKIVNLDDLAAGHNKRRIIQQAIFTELCKMLDPGKPSFTPKKSKPSVVMFVGLQGSGKTTTCTKYAYYHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEVALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFETFDVKPFVSRLLGMGDLSGFMDKIQEVVPMDQQPELLQKLSEGNFTMRIMYEQFQNLLKMGPINQVFSMLPGFSSELMPKGREKESQAKIKRYMTMMDSMTNEELDSTNPKLMNDSRMMRIARGAGRQLREVVEMFEEYKRLAKIWSKMKGLKIPKKGDMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQNLMKQMGSSKDMMGMFGGADK >cds-PLY94012.1 pep primary_assembly:Lsat_Salinas_v7:8:100627059:100629106:-1 gene:gene-LSAT_0X3940 transcript:rna-gnl|WGS:NBSK|LSAT_0X3940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKLFVRSSSWFSGCGYGSLVWNRGFDYDDKMIGYIKNYRRVFDLETHVISEELILLGSYFFQYLENRECEYDQKTTVDFLKEGEICEATLSGVIVVTSTPDKESNKYYLGPAPLEDMQNGYLLLCQQPRVQKMRKLEPHLLLEEIVEVLTESLTLKETPMPTIVVLI >cds-PLY65066.1 pep primary_assembly:Lsat_Salinas_v7:1:116122836:116123147:1 gene:gene-LSAT_1X86461 transcript:rna-gnl|WGS:NBSK|LSAT_1X86461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVALRSQCGSNSSDTVQQQYPTQWQVIPTPMAATRLMDAPTVGSRRRLIEEEKKNWWQRLRLETTVDWSFHRLEDERKSGCGGLKVQAWWLPPCLGLGFCVS >cds-PLY91563.1 pep primary_assembly:Lsat_Salinas_v7:1:12227757:12230461:1 gene:gene-LSAT_1X10240 transcript:rna-gnl|WGS:NBSK|LSAT_1X10240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELESSCVPPGFRFHPTEEELVGYYLKRKVESLQIDLDVIVDIDLYRMEPWDIEGRCKLGYEEQNEWFFFSHKDRKYPTGTRTNRATSAGFWKATGRDKAVLSKDRIIGMRKTLVFYNGRAPNGRKSDWIMHEYRLQSSHNAPVQAKGWVVCRAFKKPTPVQKQGFEPWNNGYYIRNTNNIRPLPPINDTFNSSQSFHSNQSMVSHKLPFNDQDQQLSANHFLLEHSNIDSPSASRSFATNEDHDYESGIDFNHYDNDWKNIENLLGSELNKPSSFPYSTMPLIAHNHDNNEFDSSDQTSHLFGCFSDL >cds-PLY97261.1 pep primary_assembly:Lsat_Salinas_v7:1:44404106:44405632:1 gene:gene-LSAT_1X38700 transcript:rna-gnl|WGS:NBSK|LSAT_1X38700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTIQADEISNIIRERIEQYNREVKIVNTGTVLQVGDGIARIHGLDEVMAGELVEFEEGTIGIALNLESTNVGVVLMGDGLLIQEGSSVKATGRIAQIPVSEAYLGRVINALAKPIDGRGEISSSEYRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQQGKNVICVYVAIGQKASSVAQVVTNFQERGAMEYTIVVAETADSPATLQYLAPYTGAALAEYFMYRERHTSIIYDDPSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSSLLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFASDLDKATQNQLARGQRLRELLKQSQSAPLGVEEQVLTIYTGTNGYLDSLEIGQVRKFLVELRTYLKTNKPQFQEIISSTKTFTEEAEAILKEAIKEQRERFILQEQAA >cds-PLY94533.1 pep primary_assembly:Lsat_Salinas_v7:2:158432236:158434086:-1 gene:gene-LSAT_2X82701 transcript:rna-gnl|WGS:NBSK|LSAT_2X82701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLWEALLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADHENLSISKSELHDLINKPALSGIPLLVLGNKIDKTGALSKEALTDQMGLRSIGEREVCCFMISCKNSTNIDSVIDWLIKHSKSKS >cds-PLY74885.1 pep primary_assembly:Lsat_Salinas_v7:2:155098213:155100309:1 gene:gene-LSAT_2X79280 transcript:rna-gnl|WGS:NBSK|LSAT_2X79280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g47840 [Source:Projected from Arabidopsis thaliana (AT3G47840) UniProtKB/Swiss-Prot;Acc:Q9STS9] MFEVNSKLKELVKSDRLSDARQLFDKLPHRDEITWTTIISGYVKTSNSSEALSLFSNMWVDPSQRMDPFVFSLALKACALSFSAKQGESLHGYSVKTDLVSSVFVGSALLDMYMKTGKVYEGCKVFDEMPIRNVVSWTAIITGLVRAGFNTEGISYFSNLLQNGMSYDSYTIAIALKACADACLLRSGKEIHTQTLKKGFDTTSFVANSLTTMYNKCGKGEYALHLFDKIKTKDVVSWTTMITSYVQTGQEHNAINAFLRMQESEVSPNEYTLAGLISACANLARIDFGTQFHARVLRNGFIKFMSVANSIMTMYSKCGKLDSSSIVFQEMRVKDIVSWSTIIGGHAQMGFGDEAFKYLSLMRTEGLKPNEFAFASVLSVCGTMAILDLGKQLHAHCLCVGLDREPMVQSGLINMYSKCGSILEALKVFNEVKCNDIVSWTAMVNGYAEHGLSQQAIDLFERLIRSGLKPDGVTFIGVLTACSHAGLVDLGFRYFDLIKKYNLSLSKEHYGCMIDLLCRSGRLREAENMINDMPFSGDDVVWSTALRACRLHGDVDFGQRAAAKILEKTPNCPSTYITLANLYSAKGRWKEAADLRRLMRIKGVVKEPGWSWIKVKDCVFAFSAGDHSHPQWEDIDCVLRLLCLRKEKDLLPYNIDEVEKDRDLETTSNITLF >cds-PLY66421.1 pep primary_assembly:Lsat_Salinas_v7:9:38661543:38663671:-1 gene:gene-LSAT_9X35960 transcript:rna-gnl|WGS:NBSK|LSAT_9X35960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLEKALIILSSLNTEKIEDGEEVAVEEVEDEQSKMEGMASIALLLDGSISGHFVQLPESVYYQMGQSRLFVSTSYLSVKQPTTSYQKWRWQPKDCNLPKSRSPPRRSRSPSRSRSPEGGGNEKASTSSPYSHGRADSRSPLQRSDSNGLPGPMGLMSTSHLEQEAAVLALSTLMTIAPAEVYAEFEKCIRSYNMLKC >cds-PLY95038.1 pep primary_assembly:Lsat_Salinas_v7:5:227979911:227981978:1 gene:gene-LSAT_5X108200 transcript:rna-gnl|WGS:NBSK|LSAT_5X108200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:Projected from Arabidopsis thaliana (AT4G33510) UniProtKB/TrEMBL;Acc:A0A178US70] MTTIGNAGAASFSSTSLLKPQPHHHHRLLKSVPIFKTPKQKPISAVRATEKLPARWSPDSWKSKPALQIPDYPDKEQLESVLKTLESYPPIVFAGEARKLEERLGEAARGDAFLLQGGDCAESFKEFKTDNIRDTFRLLLQMGVVLMFGGQMPVIKVGRMAGQFAKPRSDSFEEKDGVKLPSYRGDNVNGDSFDEKSRIPDPNRMIQAYTQSVSTLNILRAFATGGYAAMQRVNQWNLDFTVHSEQGDRYRELAHRVDEAMGFMAAAGLTIDHPIMTTTPFWTSHECLLLPYEQALTREDSTSGLYYDCSAHMVWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPNELVKLIEILNPDNKAGRITIISRMGADNTRVKLPHLIKAVRGAGQIVTWVSDPMHGNTIKAPVGLKTRSFDAIRAEVTAFFDVHEQEGSYPGGVHLEMTGQNVTECVGGSRTITYNDLSSRYHTHCDPRLNASQSLELAFIIAERLRKGRLRRGITSSSGAGFVL >cds-PLY77483.1 pep primary_assembly:Lsat_Salinas_v7:4:50823253:50824877:1 gene:gene-LSAT_4X34121 transcript:rna-gnl|WGS:NBSK|LSAT_4X34121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKKGPKFAVMKKMVSHKEIKQHKEDVLNPNKKDLTKLPRNVPYVSSALFFTYNTALGPPYRVLVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFERLPCTHKGTYADDCIVERVTQHKCYLVATCDRDLKRRIRKLQECNI >cds-PLY64527.1 pep primary_assembly:Lsat_Salinas_v7:6:36503497:36506543:1 gene:gene-LSAT_6X28900 transcript:rna-gnl|WGS:NBSK|LSAT_6X28900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISDFYAANPHYRTRIVFKTRDTKGEPLRALSSALDLLEDTELQAIIGPESIEARFLEVLEHKANIPVLSFSTSPFSNQSPYLLQIAQDETTQFNGIASMLESFKTTNVILIVVDTGNGREMATYIVSAFQKKNIYVTYTFLMSTSANNEQVREELRKLQTMKAMVFIVHLPPSLAADLFFRAKELGMMSEGYMWILTSKTTNLLDSMDSEAIKSMQGVVGFKSYFPASRELHNLVSKLRKEHYTLNPYIEFKELDYNGIWAYDAVYALAMAVEREQTSELSSKDNSSAINGVSLLNHMLSITFHGLGGEFKLVNGRSISKAMEVVNVIGKGDRRLGFLMTTGEFVKEIEKPNSYSNHGLESIMWPGGTTILPKRRSLQTNGKKLRIMFPSSSRFPNLAKLNVDPRTNLSSVSGFSGDVFTAAFNALGYGVEVDVTPFNHRDITYSAVIDKIAGKEYDAAVGDFTITANRSIYVDFTLPFSDLGTATVARNAKKSMWIFLDPFSNGLWITSACFFLFLGFVIWFIEHPTNEEFQGSRRQQLGTTLWFAFSTLVYAHREKLQNNLSRFVFIVWVLVVLVLTSSYTATLSSVLTLQQIGMKDISTGLEGLSPLGGVAFDKLKVFNANLSKIYTAKDYARVLTSGGVDVVVAEIPYIKSNLALYSAADFSLIKTASTTNGFGFAFQKGSTLAREMSTQIARMREDGTLKAFEDKWLKRQSAVMSTEFSSPSPKVLDIYGLRGLFLISGVSMVLALLLSIIHLVREKIMMKKWRCILRRSVDIQVHDLSDEESTI >cds-PLY94724.1 pep primary_assembly:Lsat_Salinas_v7:5:45589003:45593159:-1 gene:gene-LSAT_5X22700 transcript:rna-gnl|WGS:NBSK|LSAT_5X22700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDNPDLQKQIGCMTGVFHIFDRHHIVPGRRFPGHSPKRFPPGSPQFDNGTTESESSNSYQRQYISEKHSNKIVQDKHRVSTESSRDSFSSMSRSSSFSSIDCNINRTTTTHPEPDQIVFPETPSRDTPRPQSCGSRQSVDLRDVVKDSMYRSQTPEHNHREFQEPNWYYNEPRELSRSKSYQFRDGSSYHPVPKDCPRFSYDGRETNRLLFQSKTMNSNSKQVEDLPRLSLDSRESSTRSLNTVSRNPTPSVVAKLMGLDTLPDSGSGSGSGSGSGSGSGSTKELGLGPIRTTPVESLKGSDYFGPIKMQNFSRTTLKEPTSPSWKNADMKPSSRFPMEPAPWKQRDGGARSPQRPGSRVMKSPTKIQSPYSSVYGEVDKRLKDLQFTQSGKDLRALKQILEAMQSMESRKEGNQSMESRKEGTQVMVKRHSVSNSSQNERFSDRGRSHQNPYDSPIVIMKPGKLVERSGMHVAEFPISIPKTTRRENGGGRHTITNTNTKTPTKQQNSVTNSGKISGAISPRLQQKRAELERRSRPPTPPVADSGKSKKQLTRQLSDTTSPGGRRRPKYSNIQQNDDQYHGQMSQEVVNTPEFSQQIDNMQSPSSIQEKSSLIQREDESHDPEYPSPVSVLDDAVYMDDSPSPVKHMLKTLKDDATTEAPNEKFIKNKWEKPDNNTLPDITCSSGISITSEINRKKLQNIEHLVQKLTRLNSSHDEAHTDYIASLCENTKPDDRYISEILLASGLLLRDLKTFQFHSSGHPINPELFLVLEQTKFSNLAKDNCTPEKLLKKEKLHRKLIFDTVNEVLTGKFGLLVPCASFKIVKKTLNAQKLLRDLCLEIEELQVRKKREDVSLEEEDDDGFKSIMWEEVLNKAESWTDYDGELPVIALEVERLIFKDLVNEVVLGEAADGRRIKPGRRCRQLFSK >cds-PLY72038.1 pep primary_assembly:Lsat_Salinas_v7:2:204749356:204750618:1 gene:gene-LSAT_2X125141 transcript:rna-gnl|WGS:NBSK|LSAT_2X125141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSVFTNRWQPTDSGVKNYEWHPSKKFRNGNYDSRKTISSFYVTNFPDYVGTSDLWRMGNRVGKVVDVFISNKKSRLGKRFRFIRFVDVVDSDCVIRGLRDIWFGFHKLFASVPRFPKQPIPHALNTTEWNSRVNGKTAPISNSTTYASVVKGVGLKFVPKDKDEVIQVSTGDFIVEKNNWAYIVRGRDFLTLPNLRMLCYDEGFEDFDIRYVGGLWVMFEFNHKDTCKNFLETDAMDHWIVEKRPWDRNFVPLDRLIWVDVEGLPLRAWSKQAFTNILTKWGNIVHLDDDLGRMFIKKRICILSSFQEIISEVVTISIDGQPFLIRIKEAPGWTPTFAWETSNVSLENSKERGNVFENQDENSQCDKDEGSYDPFGIYETIEKMNEDGSNAKEVNLNVNDGETRAHESKKKYLFMMRGS >cds-PLY96252.1 pep primary_assembly:Lsat_Salinas_v7:6:157351018:157351696:1 gene:gene-LSAT_6X96440 transcript:rna-gnl|WGS:NBSK|LSAT_6X96440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPKFFDFGLPRILVSEKRETTNRIISGKKNWGFQHPNHNLNLLGTYASSIATRATILAMKCLMKEPKHRLSVDELVKALEQIQEL >cds-PLY65357.1 pep primary_assembly:Lsat_Salinas_v7:6:41569887:41571880:-1 gene:gene-LSAT_6X29540 transcript:rna-gnl|WGS:NBSK|LSAT_6X29540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATVKEVLPPILDSTSQPPPLFDGTTRLYTNLQCPFAQRVWITRNYKGLQDKIKLVPIDLANRPTWYKEKVYPENKVPSLEHDNKIIGESLDLVKYLDTHFEGPTLLPHETAKREFAEELFNYTDTFNKTVFSSFKGDTAKEVGVAFDYLESALEKFEGPFLLGEISLVDFAYIPFVERFQLFLQEVYKYDVTTGRPKLATWIAELNKIDAYTETKPDPEYVVNFYKKRFLG >cds-PLY89704.1 pep primary_assembly:Lsat_Salinas_v7:7:42392405:42396634:-1 gene:gene-LSAT_7X31040 transcript:rna-gnl|WGS:NBSK|LSAT_7X31040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSNLLRAPTSLSTSLPLYSPLKFVAPFRNPICFSHLILSSLKLHPIRISSLHATATQETVEVDETASQFVEIGYISSVHGLQGEVRVKPNTDFPELRFAEPGRRWLKRQILGREIIEEVELMEGRGHHGQKSWIIKINNIEKVEQAQQLVGSTILVLDEDRPELEEGDFYARDLVGMRVTLQETGEPVGTVVNVFDNGGSDLLQVKLNSSLDIIDKNGKIKSEAPLVWVPFVEAIVPHVDMIKREMTITPPKGLLELNVRSDERSKKERRQLEWKDRKKFQKRVIAAKKKLCEMEQKHVFEGFRYGEKSQGSLLAEQIVGVNSQLLQVALKTIETPSDRWHFSEFLAAYNKETTRHVFKVSKGCLVSEDKEYTSSKITERRKALISNGKVANILVVEGKTSESEGTDRFIQRLVEMESHGTTPLILVCPINKMETFQNLMTDNDYFGFDPEKVWILEEEKLPVVSSSHGKHKILMKSPWEILQTPVGSGGVISLLSSHNSLESLATMGVEYIEISSVDQRYIGGEDLVGLVDSSEADVGIKTFGGINDVDDIFNMVFSIKYITQLTKNINKLLFDAVLRPNQHVEMADKEWVDITPSTHNSYEFRSSIYTCLKGCSFDKVCVMEVVD >cds-PLY63494.1 pep primary_assembly:Lsat_Salinas_v7:9:137520704:137522923:1 gene:gene-LSAT_9X88181 transcript:rna-gnl|WGS:NBSK|LSAT_9X88181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWNPSPSSSLPLKIAFILSFSLTASSSSYSPPTPNPRYHSISIPKVNSKKPSATTATAGDILALLGTPQQAASVDPQIAAELQSCFKFIVPFNPTTNTPPDSRFNLINRLSQSLTESGIRFPRRTLNSKPRRDAEGYQNELIWSPPAPVLEIARLAFDSGGDPGSIQGTLDPTMIYVPDCEGSNENRCELTRYPYGRHFINEELNSYMEFLFQLIAARGPKVGLIVSLNRFDFFHGHLFIAADGRVGILFHAKEYPAFDKKVFPYNMGYCQKGSNVTYDDSMNIRNILWLAPLPRVLVVLDAHPGGIIYRDIIPNYVKYARTIYEDDFGDNVVDVNYLNVGTAKPDYQLFIC >cds-PLY61991.1 pep primary_assembly:Lsat_Salinas_v7:5:89422125:89425991:-1 gene:gene-LSAT_5X42701 transcript:rna-gnl|WGS:NBSK|LSAT_5X42701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 38 [Source:Projected from Arabidopsis thaliana (AT5G64600) UniProtKB/Swiss-Prot;Acc:F4KF16] MVTSRGGSSSSSSFGISSSCCKIFPVKPSSPSSFTILRLFVLLIVFIFIFNFYSRSILEDEENLNLRLPDSVSQQQLSSEKLWETPFSDGLHPCVHPTSRYQAIQGGDNNHYITVRSNGGLNQMRTGIADMVAVSRMMNATLVIPELDKRSFWQDKSIFSDIFDEDHFIDSLKGDVRIIKKLPTELVSVPRARKHFTSWGGLGYYEEMTKLWVDYQVIHVAKSDSRLANNDLPIDIQRLRCRALYHALRFSPSIETLGKKLIERLRSHGGSGRYISLHLRYEKDMLAFSGCTYGLSDAESQELSLLRENTNHWKVKKINSTEQRIAGLCPLTPKEVGIFLEALGYPPSTAIYIAAGEIYGGKTHLSDLTSRFPNLLFKEMIASEEELKIYSNHASQTAALDYMISLESDVFIPTHSGNMARAVEGHRRFLGHRKTITPDRKGLVEIFDKLESGQLKEGVSLQHHVQHLHQTR >cds-PLY71878.1 pep primary_assembly:Lsat_Salinas_v7:7:122406970:122410647:-1 gene:gene-LSAT_7X72920 transcript:rna-gnl|WGS:NBSK|LSAT_7X72920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAKVAILSVTFIALLAAVVALIVMMHRHSEEKAEHTKQDDDVKASIRAVCEHTDYKETCVESLSKTGTHSTDPYDHVKATFEITIKQLEDAANNSTLMKEVHADPRTNDALKCCKELADLAVMDLRRSVDKMLGFDLSDVGHSLVQLKIWMSGAITYEQTCLDGFEKTEGDSGERMRKLLNISMELTSNCLAMITDLSQAFEIIDTPQNSNRRLLHMSNNDLNLPEWVDDNDRSMLQKAPKKINPNLTVAQDGSGDFLSIVEALKVIPTKSKKGFVLYIKQGVYNEIIRFPKNLTHLVLIGDGPEKTKITGNLNYIDGVSTFHTATVAVSGDFFIAKDIGFVNTAGPEKHQAVALRVSADRTIFYNCHMHGFQDTLYAHTYRQFYRDCTVSGTIDFVFGDSAAVFQNCIMVVRRPMDNQNCIVTAQGRKEMRQPTGLVLQNCSFVADPGYFPVRMELKSYLGRPWKQYSRTIIMESFIDDLIQPQGWLPWNETFAFDTLYYSEFNNHGPGSNKLQRVKWPGVKELTTKRVKRFLAGKFITGDTWIPPTGVPYNSEFMFEPPKDDSKKDKDKKDKDKKDKDKKDKKDKKDKDKKSDGEKGDDNPGKKKKKKRKKDKGRSISEPPSSTPAPSPAEISPSNIPNQVPNSDISAPALSPVALSPEASPQIRKGSFFNRIFGKVLL >cds-PLY79484.1 pep primary_assembly:Lsat_Salinas_v7:3:248634180:248640063:-1 gene:gene-LSAT_3X137221 transcript:rna-gnl|WGS:NBSK|LSAT_3X137221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSKRLHTQQNKDQAGCMWGLIGLLDFRHGRTTRRLLSDRKLVIKDNVASESTSEVNLITDSKESHVSIEDTGESKNSTLDATKTSVKELMEEEMISEQDSTDQTEKASDISLNDLEAIMKEILMIYQTRHNDLDEGQNRNFSFVEEKLSAAIEVFMNEKSSEDHEKTKSSKDLMDTFQMLTSNKELFLKLLQDQNPLLLNQDQESKSKSKSMTRSISLENEPSNRKHKNFFRRRSKSHDVNNPLSSSRIVVLKPNSLENRLKADNNVHSERIISHFSFMEIKKRFKNAMGKEQSKPGCGERSVEASSGWSSPNRDHFYTERFAKRVEKRVSKLSENDDVRSHISKIYFEAKKHLSEMLSNGDDDAELMMERLPRTLGKILSDTEVNEKSQPCVNSSNLDENLKVHDELNLDTFVEGDPCSIIEDSNPEEAIEIEKPQTQEEMEVMDVFCEQCSSSESANDEQHSENVEVLDEERSPEFSTSNSLEENEFSTPMKIKENEFSTEEKLRPSPVSVLEPLFLDDEISPANTTSRYVDVAIKPLCIQFQDQETCSRNCLENQESTFEYIEAVLLASDLNWDEFEKRWLSSLQILDSSLFHEVEIFSNRPSHDQLLLFDSTNEILQEVCDCYLDFIKIRIQSVPKGADLINEIWERIELNQRSNYPLSLDHIVKKDLGISRTWMDLRPYSREIVFEIDDSIFEEIMDDTLLDLIDDYIDYES >cds-PLY77308.1 pep primary_assembly:Lsat_Salinas_v7:3:212312128:212312466:-1 gene:gene-LSAT_3X124321 transcript:rna-gnl|WGS:NBSK|LSAT_3X124321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGGCRSGSRQVGGINDGGRCGGRRRWQWGVLGLLRSTEKERRNGGGGWFSEQKRAEGERWWMLERRQQSPPFVFIFSAVTVGWRMAVVFDGARLSSVVVKKKETWWSQAKQ >cds-PLY73569.1 pep primary_assembly:Lsat_Salinas_v7:4:321053753:321054382:-1 gene:gene-LSAT_4X160241 transcript:rna-gnl|WGS:NBSK|LSAT_4X160241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQYSYSSSSSTSSSSGQQLARKTIKSSRPLYHNSLHSVRKSLQKPITKHFIAPFQRTPPKVYDVDVCSFKELVHVLTCSPEFQQPSPRRLKDMAPPPLIISRIPKPSCFPKPSLLPQSEEGGALNKLPTFIMSPGFCKFLNETLDTTRFISESPEMMDCFGGLTQVGLDFSMMASPDDLPDSALISPLDLSLSPTSLSWCSSLILSPL >cds-PLY78882.1 pep primary_assembly:Lsat_Salinas_v7:5:305956277:305958780:-1 gene:gene-LSAT_5X166101 transcript:rna-gnl|WGS:NBSK|LSAT_5X166101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKQNKQDQSAQKEMNDYEKNRLLRIKENQARLKDLGVKSIANSLTSLVESQKPKKKQVKPTYIGARDSDYIPDLGDDNDGDYHEVAKSVQVSKKQHRPQYIAPMSMNRLANLTRQRRVIAPNVSNKYPLVSNATKEKQSRSKTSMGDLILRNKGPQREREVFKQNAEKHNCIISGAKRQLALVDEDEDDEISQADMEQFGLKDNVNEGRLAQCEEDDVDQNDDHEDMDHLKYANIENEIEVDDSDDDLGNEDDVLFEEQLENMMCPMMLKLGFLGQLEICIRYTNKRCSRAKEIRKSLKNMHTAGTKSFARIRDEMKNEDPNKEFPTLSQMFERTRKRTDGHVYVDTYDDTANKIEQMKKYEHLEDESDVIDPYMIVMKKENDGYRRLYGRGVTNRLIKKVGGGDASYMIPTGLMESFKANEVERNELIEMRKEIQEDHEKKQAELEVMQIDIKKQQENLEAMMQKLAEQQPREA >cds-PLY98114.1 pep primary_assembly:Lsat_Salinas_v7:3:247595014:247597972:-1 gene:gene-LSAT_3X136741 transcript:rna-gnl|WGS:NBSK|LSAT_3X136741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNNKKLLIIGGTGYLGQHLLQSFSESQKSIDLSIAFTHQSSSPPNLLLQSLPHATPFQVDLQTGQGFDSISHTFGQPDVVVNCAAISIPRACETNPTLAMSVNIPSSLVKWLSSFTESNTLLIHLSTDQVYEGTKSFYKEDNETLPVNVYGKSKVEAEEYIIAKCSNFVILRSSIIFGPQTISPVSKSLPVQWMDSVLGKGQETDFFHDEFRCPVYVKDVVNIIQILTNKWISDGKKMGLLLNVGGPDRLSRVQMAETVAHVRGYNTSLIKHVSTSSIDRGVKSPSDISMDISKLIQTLDFRPMSFQDGVKLTIESMNP >cds-PLY83319.1 pep primary_assembly:Lsat_Salinas_v7:1:63472020:63476992:-1 gene:gene-LSAT_1X53780 transcript:rna-gnl|WGS:NBSK|LSAT_1X53780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIQVIQLIISICLCSTDFISINCGGQSNFTDDLGLDWIPDNQIIYGNTSNISVRNETRQQYQTVRYFPGDNRKYCYTLDVESRTRYLIRATFLYGNFDSNNVYPKFDISLGPTHWATIVISDANTIESQELIFLASGTSISVCLSNATTGKPFISTLELRPFNGSIYLTPFENQFFLSVSARINFGAENEDPVRYPDDPFDRIWESDLVKKANYLVDVAAGTERVSTESPIDTGKDEQPPQKVMQTAVVGRNGTLTYRMNLDGFPGFGWAYTYFAEIEDLPPTETRKFRLVLPGAPELSKPVVNIQENAQGMYRLYEPGFENITLPFVLSFKFGKTADSSQGPLVNAIEISKYVKISDGSFDGDVAASLVSGYQLSDWGQEGGDPCLPVAWSWLVCNSDPQPKIISVKLTGKNLSGSIPLDLTKLTYLEQLWLDGNALTGPIPDFSGCQNLKIIHLENNQLSGELPASLADLPNLSQLYVQNNLLSGEVPSGFLNEGLILNYTGNPNLRKEGSGGRRNRNIIIGLAIGAAALFLGFLTSCILLRQRKKYPKQEPKHGMPVMNNATTEAAQCFTLSELRNATKNFEKKVGSGGFGTVYYGKLNDGKEIAVKLLENTNVYQGKKEFANEFLGFCQEDGMDILVYEFMHNGTLKEHLYGPLARARGINWIKRLEIAEESAKGIEYLHTGCVPSIIHRDLKTSNILLDKNMRAKVSDFGLSKLAVDGTSHVSSIVRGTLGYLDPEYYISNRLTDKSDIYSFGVILLELISGQEAISNENFGINCRNIVQWAKLHIENGDIQGIIDPALGNEYDIQSMWKIAEKALMCVQPHGNMRPSMSEVIKEIQDAISIERGVVAAARERSSDEMSRHSILNMGSLDLMSNDHDLSIDESINRPGAR >cds-PLY74480.1 pep primary_assembly:Lsat_Salinas_v7:7:33708363:33709605:-1 gene:gene-LSAT_7X25740 transcript:rna-gnl|WGS:NBSK|LSAT_7X25740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDPQLRWGFIRKVYSILTLQLLLTVLIASIVVLTPRINQFFRTQTGLIVYLIIAIITMIVLLVMQLLMNRHPDNIIMLGLFTVMFSVMVGVSCVFSKGKIIMEASLLTSVMVVSLTLFTFWAAKRGYDFNFLWPFLFCTLILVLIFSIIQIFFPMGSLVRMIISFVVALLYCGFIIYDTDNLIKRCSYDEYILATTMLYIDMVQLFLTMLSILGIIDD >cds-PLY88575.1 pep primary_assembly:Lsat_Salinas_v7:7:7770833:7772960:-1 gene:gene-LSAT_7X7221 transcript:rna-gnl|WGS:NBSK|LSAT_7X7221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSRENMIPAVETISPEAPSSYQLAPRNDNSNLLITPPGFSPVSGGIPSSLVKKKRGRPRKYGPDGVVAGGGGTLSPLPISASAPPDSGGGYSDVKFGEGEGSGGGSFVVEKKKKVKMNSSEGKLKNSYGSMDLGDRISSGGSFTPHMVTVNPGEDVTSKIISFTKDGPRSICILSAVGVISHVTLRHADSSSSGGTVTYEGRFEILSLSGSFTSGEIEGQESKMSIALCSPDGRVVGGQLGGLLTAAGPVQVVVASFLPNIGSPIDPKPKKQKTIVAPTQPHAINMEQEKKSNEIEHAHEAGGNTNSTPTPTRNFQFENHTTGASVHDWRRAATDMNVSLSED >cds-PLY80962.1 pep primary_assembly:Lsat_Salinas_v7:9:174905000:174905677:-1 gene:gene-LSAT_9X106200 transcript:rna-gnl|WGS:NBSK|LSAT_9X106200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPNTYFAKAKLYQLRRPTTDQSTGRRHNTSGVLFKMGNCLKPVLKSEQAPHEEDEEEAELPLVISRVHDQVVLRSDECCKEKKKVRFKVQADNAAAVAMETTMTSPRMRRCVRVKVVLTQSELKQILNRATVYHHSPPSFSSFDDLISDRRMVKYRRSRCSWNPALQSIPEFSHY >cds-PLY86906.1 pep primary_assembly:Lsat_Salinas_v7:5:265198852:265201008:-1 gene:gene-LSAT_5X135840 transcript:rna-gnl|WGS:NBSK|LSAT_5X135840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILFLFKPPFFLYIILIIMFPWMLKAYMTDADALLRIKKSLNDPEPLDSWKLGTQPCDEVIRWVGLVCTNGIVSNLHLKSMSLSGNIDLNALSQMPGLRIISLENNSFSGPIPEFNKLGSLKGLYLSRNQYSGEIPPDYFANMNSLKKIWFDRNKFTGKIPSSIAQLPNLLELHLEENQFSGQIPPIGQRSLQSLNLSYNNLTGEIPTGLIRFDASSFEENPGLCGAKFGKVCHIKTPLTADKRKEKPSKKFLRIEYLLMLVSLIILVLMVVGIFVLARRRKDNSETIGIMERNNLEGSVGLTICSIGRPEAIPGQQGFGAGQASLLAKKKAAAVDLMLLNNTKGVFRLSDVLKAAAEVLGNGSLGSSYKATMSNGMTVVVKRLKEMTLIDKNGFEGEMMKLGRLNHPNILSPLACHYRKQEKLLIYDYIPTGSLLYLLHGDRGERHAELNWYARLKIIQGIAQGMGYIHTELATLELPHGNLKSSNVLIGPGYQPLVVDFGLHPMIDKHYVANALAAYKAPEAVENRQVSPKSDVYCLGIVILEVLTGKFPSQYVNSGQRGTDVVQWVKSAMEERREVELLDPEITGSSKYIGEMRKLLHIGAACTESDPEYRVDIRDVICSIENIQGGDERAIQMVSSLGDRYDDAASTISDASYLSFAAEAKTGNNELAQRNNDTFGYRVS >cds-PLY93230.1 pep primary_assembly:Lsat_Salinas_v7:6:158483294:158484771:-1 gene:gene-LSAT_6X96860 transcript:rna-gnl|WGS:NBSK|LSAT_6X96860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G77090) UniProtKB/Swiss-Prot;Acc:O49292] MGTIGFTSFGFPLKTRNQNLPVVASSSSSPERISSEENGCEVLRRTAIVSGASLVSSAILGFPKEGLAVVKQGLLAGRIPGLSEPNEQGWRTYRRPDEKSGGHGVGWSPIIPYAFSVPDGWEETPVSIADLGGTEIDLRFSSPSDGRLFVVVAPVLRFADYLEDDARIEKIGTPEKVISAFGPEVIGENVEGKVVSSQVKEYSGRKYYQFELEPPHCLITATAAGNRLYLFNVLANGLQWKRHNKDLKKIADSFRVV >cds-PLY70936.1 pep primary_assembly:Lsat_Salinas_v7:8:228405148:228410666:1 gene:gene-LSAT_8X138920 transcript:rna-gnl|WGS:NBSK|LSAT_8X138920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKWWYLRVGLSDQMGWKMGGWVVVSGGQGGDGSVGFMAEGRATVKLYDDCDKLLDSKIVKLDDAVKVHMSNSKTSKVSSRIGKTSSINLSPSQKIIREFKKREVKKYCSPPSYPDTSKDDSTEFKKHETNMSFTKTYLKVRVECDVHYTSNPKGQEVP >cds-PLY71551.1 pep primary_assembly:Lsat_Salinas_v7:7:29788657:29791537:1 gene:gene-LSAT_7X22421 transcript:rna-gnl|WGS:NBSK|LSAT_7X22421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTALRLLDISMNLFTGSVPEELCSLQLESLNVYENELGGNFPEIISNSSNLYELRLFGNRFSGSLPKNLGKNSPLTWLDVSNNLFTGEIPATLCEKGALEELLMIHNSFSGELPSSLSKCRSLKRVRLGYNKISGEVPAGFWGLPMVSLLELAENSFSGTIGKTIAAAGNLSTLNIANNKFSGDLPDEIGFLNNLIEFSGSNNQFSGSLPATIVNIHQLTKLDLHNNGFSGRIPTGINSLRKLNELNLANNKFSGNIPDKIGELSVLNYLDLSGNQISGKIPTGLQNLRLNQLNLSSNSLTGDIPPVYAKKIYINSFLGNPGLCGDIEGLCDGKNVTKNTGYIWLLRSIFALTGILLIFGLCWFYFRYKTFKSNKEATTIDKSKWTLMSFHKLSFSEYEILGALDEDYVIGTGASGKVYKVGLSNGETVAVKKLWAGSKPGGFDDEDVENGFSGPGHDNGYEAEVETLGKIRHKNIVRLWCCCSTRNCKLLVYEYMVNGSLGDLLHSSKSGLLDWPVRYKIAVDAGEGLAYLHHDCVPAIVHRDIKSNNILLDADFGARVADFGVAKVVDGNDKAGKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGKLPVDPEFGEKDLVKWVCTTLDQKGLDVVLDPKLDSCFKEEICKVLNIGLLCTSPLPINRPSMRRVVKMLQEIGSVNPMKFGSKDGKLTPYYYDDVSDHGSVV >cds-PLY95242.1 pep primary_assembly:Lsat_Salinas_v7:9:21297188:21298023:1 gene:gene-LSAT_9X20240 transcript:rna-gnl|WGS:NBSK|LSAT_9X20240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACCLMYRGDVVPKDVNVVVATIKTKRTVQFIDWCLTGFKCGINYQPPTGVPGADRAKVQRAVCMVIRNEVLSFHTYLTREAKGAFEKIFSIIKEEGGSKGGVVVKVIS >cds-PLY77494.1 pep primary_assembly:Lsat_Salinas_v7:4:50210980:50212751:1 gene:gene-LSAT_4X34340 transcript:rna-gnl|WGS:NBSK|LSAT_4X34340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKMKVDMSNSQITSNQWRNQVFVKIAICLILIGFAFRFYFSSSIQISGFIDGDDDGKLPSPQLLVVANFTLSDQSPVNNNRTHEGSTECDVFTGEWIRDATGPRYTNHTCNTIEPHQNCMKNGRPDSDYLYWRWSPTDCNLPPFDPEIFLNFMKNKSMAFIGDSISRNHVQSLLCILSQIEEADEVYHDEEYRSKKWFFKSHNFTLSVIWSPFLTKANIYEDNDGHSMGPIELHLDELDLIWANQFNDYNHIMIAGGKWFLKTAIYYENATIIGCHNCKNENISEIEFEYAYRKSLRTVFDFFTKSNRKVNVLFRSTTPDHFENGEWNTGGYCNRTGPFKDGEIDMRDIDTIMRDVELEEFENAKHVINGSGLRLFDTTRLSLLRPDGHPGPYRVFHPFDGKETDLKVQNDCLHWCLPGPIDWWNDIMMNLLLNG >cds-PLY97203.1 pep primary_assembly:Lsat_Salinas_v7:5:98830705:98831774:1 gene:gene-LSAT_5X47240 transcript:rna-gnl|WGS:NBSK|LSAT_5X47240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADPVYPPSKPKGAAAVKPTPPPTNKPQLYNPNLNRHPYRPNPNIYHRNRRRSYFCLCCFWSILIIILLLLLATITGCILYLLYRPHRPTFSITAIKISRFNLTTTSDDTTRLTSNLNLTLSTKNPNKKVVFYYDPITITCLSEETQIANGSFTNSLVSNPNNITIIRSSLYSNSLLLETTIVNQIRSDLKKKSGLPLKILLDTEARVKIESIRTKKVGIRIECEGIHSLVPNGGGGKSKNSSTSVTATVSDAKCKVDLRIKIWKWTFSS >cds-PLY69163.1 pep primary_assembly:Lsat_Salinas_v7:5:286235524:286235739:-1 gene:gene-LSAT_5X152360 transcript:rna-gnl|WGS:NBSK|LSAT_5X152360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METKTEVSQELPGVQVNQLPPVVYIKSTPLADIIQPVNQIPSSFPTSANVIHSDNQIPPSEVIIQPPPLKQ >cds-PLY93715.1 pep primary_assembly:Lsat_Salinas_v7:2:200356505:200358192:-1 gene:gene-LSAT_2X121281 transcript:rna-gnl|WGS:NBSK|LSAT_2X121281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRTTKVSFSYFLDDHPRSRTSRMDAAIDAMAPFGFTKEVVIAKMRQLLKEYGGQDGYGFIELDAYCVLLEALLADQDKQNQEQGETSNPNEIVEAEKAGFIDIPICPSTPLTVLPPPSLQPLVASLTPLPPVVETVLTLPPLPHVMDAILPPPPPLPPVVEMVLKLPPVPPAMDDMLPSPPENGQPRRRKPCHGWISDVDEEWHFITLPSSFNRSISPPVTPPTTQPGQSELTSGRKYRPKRQSRWDLMPEDM >cds-PLY61862.1 pep primary_assembly:Lsat_Salinas_v7:6:61474473:61477476:1 gene:gene-LSAT_6X45560 transcript:rna-gnl|WGS:NBSK|LSAT_6X45560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLYRSGLLKKSNDNGRLVAITIMATIFGYFIGVSFPYISFTKINFPPSIRSPFDTTMHGDNSKAQERSFPENLGSSNTPELPKIYVPTNPHGAESLPPAIVVTETDYYLRRLWGEPSEDLKKKPRYLVTFTVGWDQRDNIDASVKKFSDDFQIMLFHYDGKTTEWDQYEWAKKAIHVSIRKQSKWWYAKRFLHPDIVSSYDYIFIWDEDLGVEHFNGDKYIQLVKKHRLEISQPGLEPNEGLTWEMTKRRGDKEVHKVTDEKPGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWYMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWILHQHIPSLGGQGEYEKGKAPWEGVKDRCKNEWALFQDRLVSADKAYLKQNRTKL >cds-PLY76201.1 pep primary_assembly:Lsat_Salinas_v7:4:56446426:56449733:-1 gene:gene-LSAT_4X38981 transcript:rna-gnl|WGS:NBSK|LSAT_4X38981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGNTSTSSTGGGGGGGPCGACKFLRRKCVAECIFAPYFDSEQGATHFAAVHRVFGASNVTKLLLNIPVNKRLDAVITICYEAQARLRDPVYGCVAQIFALQQQMCPHHEFSSCNTIAPPADMQPSQNNDVNLQELGKFVAVPCSSGTSLPPHGRGDEIV >cds-PLY94124.1 pep primary_assembly:Lsat_Salinas_v7:8:29457121:29459857:1 gene:gene-LSAT_8X23700 transcript:rna-gnl|WGS:NBSK|LSAT_8X23700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFLQQEEFPHPLSRVKQSAGYGFSYQIGDSLIWLGIRDMINDVWKKKLNLRPITYSSGSQGSENDIPHGYIWSPHLVPKPKDWGPKIYVVGFCFLDLTSNYKPPEELVRWLEAGPKPIYIGFGNLCAKFGKLNKSVEFPEILDMAPYVSGSSDKSPVYRLYGVVVHVDTMNDAFSAFRCWCQACWQYSVEYPLSSILDSDVT >cds-PLY63221.1 pep primary_assembly:Lsat_Salinas_v7:6:86414647:86419207:-1 gene:gene-LSAT_6X60501 transcript:rna-gnl|WGS:NBSK|LSAT_6X60501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYTYRYSRPLVHSYRILHKLHAVSSCGQNRFSAFFSTEFVGDTPVLVRDFIHSALYHPDHGYFSQSPRSVGVLDRGINFHKLQGRKAYMSHLENIYKQNDVSWFTPVELFKPWYAHGIAEAILRTTNLSFPLKIYEIGGGSGTCAKGIMDYIMLNAPTRVYNNMSYTSVEISSSLAKKQLETVGEVSSHLSKFKVECRDAADRSGWGDAEQQPCWVIMLEVLDNLPHDLIYSENQVSPWMEVWVEKQQDSGKLCELYKAMQDPLIKRCLDVLNVDKDFKHQGIREASFPSKMWSKIFPKAKRSWLPTGCLKLLETLHGALPKMSMIASDFSYLPDVKVPGERAPLVSTKKDGSSSDHSSYLDAKGDADIFFPTDFWLLERIDHYSSGWLKSRIESFDRSSKKGKKRRIITVRYLLKI >cds-PLY79527.1 pep primary_assembly:Lsat_Salinas_v7:1:33579203:33580695:-1 gene:gene-LSAT_1X28980 transcript:rna-gnl|WGS:NBSK|LSAT_1X28980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSQAETLEGNQDIDHQSLDYDIDAADDFETLARTWLSTLPADKSLNPSEVETWLQSNNSSLPDHIKSMPLSDVCQMFTSFLNDGNLSNEEKDPNHARFQRSDQWKPIYSWLETLKTEEVIKSKEIIDWLTENPEIRDDLSARHSRYHLMHYIKKCHMKILKRKEKKKGLHATIKTTSPSPKAHKIEENKSPVVVPSSSVTKLPKDSPIYIVKRNEAFRKYEM >cds-PLY70072.1 pep primary_assembly:Lsat_Salinas_v7:8:114052450:114053566:-1 gene:gene-LSAT_8X76400 transcript:rna-gnl|WGS:NBSK|LSAT_8X76400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKRSWRKRSMSVTDITGELPIYNPASTIGRRESQSWSTRSVVKYKRIHLIPLVVMLCFFILWCFSTSVELETKDGRTHFVPRTKKPKQKNAETDVDLTVLALESPPDGFLSLSNGPYASLAVLHQPHVSFLDSHDHNAPLTASHEPHASFPVSHELKPPLSVSHDPSASFSDSREPNKLLSDPHELEASYTVSHDAISYPGISNEDAP >cds-PLY69622.1 pep primary_assembly:Lsat_Salinas_v7:5:111920969:111922485:1 gene:gene-LSAT_5X49420 transcript:rna-gnl|WGS:NBSK|LSAT_5X49420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVWKEMVAKWKEMVMRWRETTLLGVSPILDELIMSSIGKEYDHLKIQMEDIISATDNFSPSKLIGRGGFGPVYKGELSLPTGSTMVAFKCLDHRSYQGNTEFWKEIMMLSKCKHENLVSLLHFCIEDDERVLVYEYAAGGSLDHYLSDAKTLKWNQRLCICIEVARALNYLHDPVETQQRVLHRDMKSSNILLDHNWTAKVSDFGLSKIGPANQPQTYLITNVVGTPGYTDPLYSETCLLTKESDVYSFGVVLFEVMCGRLCYDCSTSGELTAILVPEWKKYYDENKLHDIIFRDLKEQMVWDSFITFAAIARRCLERDRTERPTMDEILNELEVALEKQENTTFDDHLFKISKLAIPPLPYKSRKELVLRLSDGILVDGRKRLF >cds-PLY84899.1 pep primary_assembly:Lsat_Salinas_v7:6:14927548:14930419:-1 gene:gene-LSAT_6X10901 transcript:rna-gnl|WGS:NBSK|LSAT_6X10901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METSAVFLNATTTIGGGFHRHTTTASLLSRNPYLTTPFSFLPPNKQLQHQLRFNLPNTTTTTTCGTNIALAVGVNSPVSVPSDTVDINWDTIGFGLVHTDHMYTMKCSLGGSFSKGELVPFGNIELSPCAGVLNYGQGLFEGLKAYRTEDDNILLFRPEENAKRMIMGAERMCMPSPSVDQFIQAVKDTVLANERWIPPPGKGSLYIRPLLIGSGSVLGLAPAPEYTFLIYVSPVGNYFKEGLSPINLIIETEMHRATPGGTGSVKTIGNYAAVLKAQGAAKAKGYSDVLYLDSVHKKYLEEVSSCNIFIVKGNSISTPDIKGTILPGITRKSIIDVARDEGFQVEEREVTVDELLDADEVFCTGTAVVVSPVGSITYLGKSVTYGSHGVGLVSQQLYSSLTRLQMGLVDDKMGWIVKLK >cds-PLY61915.1 pep primary_assembly:Lsat_Salinas_v7:4:221319089:221321128:1 gene:gene-LSAT_4X121141 transcript:rna-gnl|WGS:NBSK|LSAT_4X121141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYICILEETEEHPTALTESIHPPHDPNDFEVGKRHKLEFINIFTDDGKINSNGGLGFVGMPRFEARVAITEALKSKGLYKGEEKNEMRLGVCSRSNDVIEHMIKPQWYQVVAYIEASAYIYQDGK >cds-PLY81533.1 pep primary_assembly:Lsat_Salinas_v7:2:126828992:126829945:1 gene:gene-LSAT_2X59200 transcript:rna-gnl|WGS:NBSK|LSAT_2X59200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSETHDDDNSDNVHVIDITTDPALQPSNETNGRQKCLENSGYLRSFQFVLTMSQIIAAMVFFYISKHEHPRASLLACLICYVSGCVANLALLFWRFYNRNETSNTHSVYRIKLLEIWLELFFMGWSVFVGVLVYGVRSPGSGAPNLYRLLSVFAVCLTFQYSMSLCLPCIIPLLSKKATSTTEPIIPVTTFKINIKKLEYRNDEEPHECTTEGGVVSTVTENA >cds-PLY78209.1 pep primary_assembly:Lsat_Salinas_v7:1:213804798:213807493:-1 gene:gene-LSAT_1X130380 transcript:rna-gnl|WGS:NBSK|LSAT_1X130380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDPTKEEKESERKDVVVQKEKDKIREKEKEKEKENEKEDVVEQENIGTKQKSGDAWKELDIEEERSKKDSDDGVDVEGEREAFSYGVQQRKRMLRPRGSPQVANRDPRYRSRPQDNDNGKGDVSSVIYRVGECMQELIKLWKEYESSAPDKMSETSQSQSQSQTQTQTGPTLEIRIPAEHVSATNRQVKGGQLWGTDIYTDDSDLVAVLMHTGYCRPTASPPPPAIQELRATVRVLPPQDCYVSTLRNNVRSRAWGAAIGCSFRVERCYIVKKGGGTIDLEPCLTHTSTVEPTLAPVVVERTMTTRAAASNALRQQRFVREVTLQYNLCNEPWIKYSISAIADKGLKKPLFTSARLKKGEVLYLESRTRRYELCFNGEKMVKAGGNDMETATAAAEGGGGGDGCLVDVFRWSECKKPLPQTVMRAIGIPLPPEHLQVLEENLDWEDIQWSQTGVWISGKEYPLSRVHFLSPV >cds-PLY96090.1 pep primary_assembly:Lsat_Salinas_v7:3:96437909:96439093:-1 gene:gene-LSAT_0X42421 transcript:rna-gnl|WGS:NBSK|LSAT_0X42421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTAGKDIITLRGSSAIVRDFFGYAANSILHLNGVYPEETFKRVKKHEHQLFLSTNVGVQLYLSTFDKQISEWLEAGRLRKIELLIMTKATNEVLQSWKFSIETDGEAAAKGEKSDKEIMNEIRGVLRHISATFTFLPPLNEPCIYHAVAHIDKDVVEVPLEWEKNVSKRIANPDVEILRGFSTEIHKVASLVFFKKRKSDDTMVPKKKSKLDD >cds-PLY85323.1 pep primary_assembly:Lsat_Salinas_v7:1:186654763:186660439:1 gene:gene-LSAT_1X119700 transcript:rna-gnl|WGS:NBSK|LSAT_1X119700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVYMESQSEAVPSTSFPVKEIASSMLPFAENLRYIVLFVVTVVVVLLWPTNGLKIQLHINELMSDMLGIFKSRKKKDEDENCEYEMVWDAERPMHLIKKASKIVPTRSNETAESITVGSQFIEFEEPMIVRSSRPIHAKEIATDIEYLKLYSSRYGREEGVEILEKTGIYHEKRKQDKKKQNVFHFVILLGAKVEDYVICNISRKFVGNSSSILAIDFGLHETTLDFRGHKRSKQDKGRNGAPNKERQRHDGCDMLMIPITTIVSGLKLSFILFREVPVPFQKVSQLLAMLYTLSPSNKALSMLSSFSSVISESQWASFFHQASLGTHLNQQLVSRIGKHKDQNTTTDVHVKLMEERNMKPLDLNLTALSARCSKDFELNLAKSLLSDMGQCTTAYPYNQLFGALVLKNYERQDTTLLSLNLMYIVD >cds-PLY88089.1 pep primary_assembly:Lsat_Salinas_v7:6:182859179:182862817:-1 gene:gene-LSAT_6X110780 transcript:rna-gnl|WGS:NBSK|LSAT_6X110780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLHNRVEPPLTKDSKGIARAPVRLAKLSVDKLLPAPCSTSVSYPETGGNLHCFTAITSCAVLDILTPPYEEYSGRKCTYYRDYPYSSFGSGDELIDGKEDEYAWLEEIDAPDVAIKTIDIVSKSVVVEFEVGGSGIRIGGMAKGSRMIHPNMGTLLGVDGDTSTNDTIIALASGLSGSNRISSLHSSEGNQLQMWLMRAAASNYLKEAGDAHGTIKIQISIGDGPGTGLAWGCDLSYDYVKINYATSLGPGSLDKCWSSKCSHGYQDVIDCSYALSNARNQKIGEKPLLSVSSFVQAARNNVLIEIYYKNSTGGSELSTN >cds-PLY73768.1 pep primary_assembly:Lsat_Salinas_v7:8:92481490:92482872:1 gene:gene-LSAT_8X64521 transcript:rna-gnl|WGS:NBSK|LSAT_8X64521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-7 [Source:Projected from Arabidopsis thaliana (AT4G28050) UniProtKB/Swiss-Prot;Acc:Q9SUD4] MVRISNNLVGILNFVTFLLSIPILAGGIWLSRQGTSECERFLDRPVIALGVFLMIVSLAGLIGACCRVSWLLWVYLLVMFLLIVLLFCFTIFAFVVTNKGAGNTVSGRGYKEYRLGDYSSWLQKRVNSDKNWNKIKSCLEDSMVCKSLIDDGSDNTPVDVFYTRHLSSIQSGCCKPSNDCGFTYVTPTNWTKTPTTSGNPDCNAWDNDPNNLCFNCQSCKAGLLDNIKSDWKKVAVLNVIFLVFLIIVYSIGCCAFRNNREDNSWKRYP >cds-PLY93104.1 pep primary_assembly:Lsat_Salinas_v7:9:119665914:119668313:-1 gene:gene-LSAT_9X80040 transcript:rna-gnl|WGS:NBSK|LSAT_9X80040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14820 [Source:Projected from Arabidopsis thaliana (AT4G14820) UniProtKB/Swiss-Prot;Acc:O23337] MMNAIAMTSIPQPIVPSTINRNLFNSLSAASSLSHLKQVHAQILRSGLDRSNSLLIKLILSACTLSSPSFDYALSVFHQMHNPEPHLSNKFLRQLSRSSNPEKTLLAYAKMREQGFVIDSFSLPPLLKASTRISALNEGMELQGFATKMDFVSDPYVQTGLVAMYAASRHIEDARLVFDKMSHRDIVAWDMMINGYCASGSYSNVLPLIEEMKRSNIKPDEKIFSTILSACSRAGNLEFGKAFHEFIIENKVVIDYNLHCALIIMYAGCGSMDMAGSLFKELSPKNIVVSTSMITGYSNTGQIEAARQLFDQMPQKDLVCWSAMISGYAEGDQPQEALGLFQQLLNSGLKPDQITMLSVISACAHLGALDHAIEIQSYVDKNQFGEILSVNNALIDMYAKCGALEKARGVFARMHTRNVITWSTMIGAYAVHGEAVKALDLFHQMRLQKVHPNGVTFVALLYACSHGGLVQEGKKMFASMVNEYNITPKREHFGCMVDLFGRANMLREALEVIEEMPMAPNVVIWGSLMAACRIHNEVELGEFAANRVLELDPYHDGAHILLSNIYAKEKRWENVGEMRKVMQNKGILKQRGCSRIELNGKLHEFLTADRNHEDVDEIYGKLDEVVSELEVAGYTPNMCSVLVDLEEEEKKKVILWHSEKLALCYGLVRRKRGDCIRIIKNLRVCEDCHNFMKLASKVYEIEIVVRDRTRFHRYIDGVCSCKDYW >cds-PLY76709.1 pep primary_assembly:Lsat_Salinas_v7:3:140660717:140661402:1 gene:gene-LSAT_3X94141 transcript:rna-gnl|WGS:NBSK|LSAT_3X94141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESPIEVESVREPNMEPPIMETFSEALGGSSDSEGSGDSTDSEDSDFIVDEDNLFDDPEVDMRDFCLNIDDNLEWVGDAPITTENVAMSDEEMEVINTDVLQYDSSSNEAQMNMGILETSGKGGPGQRNINGGHSQTGKKGGPSKKKSAVEVGESRK >cds-PLY75662.1 pep primary_assembly:Lsat_Salinas_v7:1:99511639:99512175:1 gene:gene-LSAT_1X81281 transcript:rna-gnl|WGS:NBSK|LSAT_1X81281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVMSWKPLHFKIIQLSYVLSSDLLKDHADYDNFHLELFAFNTWEWRELCNIRLPSSVYPVLDEAIISGSVVYFLFSNYDILRFDVFSEEYLVISAPSITNDTNSYTSRLIKFDEKLTYFSISGDLSWTIWVFNQNRWVKLHVTNYNVGENE >cds-PLY89241.1 pep primary_assembly:Lsat_Salinas_v7:5:311349548:311352659:-1 gene:gene-LSAT_5X169980 transcript:rna-gnl|WGS:NBSK|LSAT_5X169980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIWKATQVLTLQDSGPQHPIYIGLPTPPQTKVRITLSHRSKIASPYKPNTRLTGSILLFWLYKGRHQASPLALPATVAFCVPEYLYKNDNLTSWMTTLPLMHPLTSLLWKGTIVLKNLVAPPKDTARPLRTFSTKEVIALISHEKKYYHKLMAFWHVRIEAIFALASTASEVNFLFMLRPNDFHDFVEYFD >cds-PLY79267.1 pep primary_assembly:Lsat_Salinas_v7:9:5326048:5328328:1 gene:gene-LSAT_9X5701 transcript:rna-gnl|WGS:NBSK|LSAT_9X5701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPKQQDSENPDAQTPSDHQSHLPILPFTSITLSLPKLLPTHFISPPKNLKIPATVKIPTQISSLFNLSLTSTPLPPTKSILKSTVSANPLQNPLALNPHRPSDPSNAAGLRRASIVWFRNDLRVHDNESLTSANNESISVLPVYCFDPRDFGKSSSGFDKTGPHRASFLIESVSDLRKNLQARGSDLIVRIGKPETILAELVKEIGAEAVYAHREVSNDDVKGESKIETALKDEGVEIKYFWGSTLYHIEDLPFKLEEMPTNYGGFRDKVKGIKVRKTIEAVDQLKGLPSGGDVEAGEIPSLVDLGMNPTATMSQVKPVVNAPVVGGETEAMKRLKQFAAECEAQPPKETKDGSNDSSSSSIYGANFSCKISPWLAMGCVSPRSMFDELKKSASSSRIKLQFRRVSSGSNGDGGMNWLMYELLWRDFFRFITRKYSSSKQQKIAPVTVTV >cds-PLY87158.1 pep primary_assembly:Lsat_Salinas_v7:5:256866672:256868758:-1 gene:gene-LSAT_5X131321 transcript:rna-gnl|WGS:NBSK|LSAT_5X131321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALIPPAAPTLAASSARLSVLPPIVRVRIAGRRRSAVLANLSSPPRSVEAVDWVDATSSFFEQDTRPIMLFDGVCNLCNGGVKFVRDNDRQRRIRFEALQSDAGKNLLQRSGRSPDDISSVVLVEKNRSYIKSEAVLKIMEYIDLPFPQLAFFLQFVPLFIRDFVYENIADNRYAVFGRSESCEI >cds-PLY78717.1 pep primary_assembly:Lsat_Salinas_v7:9:51310609:51311552:1 gene:gene-LSAT_9X46181 transcript:rna-gnl|WGS:NBSK|LSAT_9X46181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSASMKGFYKQTKKNAGISKPPPNKSKPKSKNVASFGAKSAQPPALVAHGSFDLKESDDGREEVLRQFDMNMAYGPCVGMKRMDRWKRAAMLGLNPPEDVRSLLTSATNGNEGCGDSLWDGRV >cds-PLY79881.1 pep primary_assembly:Lsat_Salinas_v7:8:16852259:16852759:1 gene:gene-LSAT_8X11820 transcript:rna-gnl|WGS:NBSK|LSAT_8X11820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPFIRFMNTKGIYITFLSVHDQSVLISFQSAIHILSLSSFIIIANVLFKVLCKMLQSVGQFKPDDERNSSDYMVSRVGVVAVSGWNWKDPLGDLMTCGFNTILQPFLFIKISLLFESFGALISCFRSLPQVLPSYIL >cds-PLY63276.1 pep primary_assembly:Lsat_Salinas_v7:3:90510606:90513570:1 gene:gene-LSAT_3X69800 transcript:rna-gnl|WGS:NBSK|LSAT_3X69800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKLSGVIPPEIGNMHRLTILYLYSNNIYGAIPIELGNLKSLTHLAVYKNQLSGYIPSSLGDLTSLNVLYLFQNQLSGPIPVELGNLKSLTDLAVDNDQLSGSIPSSLGDLTSLNVLNLFRNQLSGPIPTELGKLKSLTHFLVHNNQLSGSIPSSLGDLTSLNVLYLNQNQLSGPIPIELGNLNAVTDLEVSANQLSGSIPSSLGNLTSLNVLYLNQNQLSGPIPIELGKLKSLTHFSVYNNQLSGSIPSSFGDLTSLNVLRLYQNQLSGPIPIELGNLNSLTSLQMSNNQLSGSIPSSLANLSSVQWLILGGNKLSGPIPSELGKLKSLTHLSVRGNQLTGFIPSSFGDLTSLYHLYMHHNELTGPIPSELAKLKYLTDFQVNNNQISGYIPPEFGNLTQLQRLDLSSNRLVGEIPKEFGKMKNMLELYLVGNHLSGVIPLELGFCELLELLDLSKNRLNGSIPTSIGQWAQIHFLNLSNNKLNEKIPSEIGRLVHLTELDLSHNFLTKEIPSGVRSLQSLQKLDLSHNRLSGSIPDAFKSLPSGIDINLSFNNLSGPVPPYASFVNASIERNPDLCGNITGVNLCPSQIMKKKNDPFHHKLILVIMIPLIGAILLGVFTYGLISYQQQKKKSPQKPLDEESGDYFSTITFDGKVVYVDILKATNDFDEAYCIGTGGYGTVYKVELQPNNVVAVKKLHSSSENVDHNGFINEVQALTNIRHRNIVKLYGYCSHVRHSLLIYEYLENGSLGSILRSDVFVKELDWLKRVNIVKGVANGLAYMHHDCSPPIIHRDISIANILLDSDCEAHISDFGTSKLLKLDSSNWTALTGTYGYIAPELAYTMVATEKCDVYSFGVVALEVIMGKHPGELITSLPTLSADYLVPENVGDSRIPPPTSQVEKHVKLVLSLSRACLNSNPHERPTMKQVSNLLMKDLL >cds-PLY87542.1 pep primary_assembly:Lsat_Salinas_v7:8:95490138:95491175:1 gene:gene-LSAT_8X67401 transcript:rna-gnl|WGS:NBSK|LSAT_8X67401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSTSKLSTFLLFFIFLGSISIVSADFNNEFDITWGDGRGKILNGELLTLSLDKSSGSGFESRNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGSNWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIIFSVDGTPIREFKNSESIGVPFPKNQPMRIHSSLWNADDWATRGGLIKTDWSQAPFTASYRNFRADACVVSSGKSSCGGSASSGGNQAWLSEELDNTKQERLEWVQKNYMIYNYCSDSKRFPQGFPPECKIA >cds-PLY63403.1 pep primary_assembly:Lsat_Salinas_v7:7:152213732:152216022:1 gene:gene-LSAT_7X89240 transcript:rna-gnl|WGS:NBSK|LSAT_7X89240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAILARKSISAIRNRQLIVTGQALHAHNTGTISGARSFATKHSFSTDKDDEEREKLAREISKDWSSVFERSINTLFLTELVRGLSLTLKYFFEPKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >cds-PLY99341.1 pep primary_assembly:Lsat_Salinas_v7:1:81252478:81254625:-1 gene:gene-LSAT_1X67260 transcript:rna-gnl|WGS:NBSK|LSAT_1X67260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAIAVAAAAATDKAIRLSGRRYSHSFSSIRGYSIMISTHLNNTTVTHSQSSTYSADRCSPSRQLLAFPWSVNQIRFAKARGSDVRPGNVIERKDSHINSFNSFYLVRALSSTPGKVYQVVKAQHSTQGRGGAIIQVELRDVDSGNKVNERFRTDETVEKIFVEAKSFTYLYTDEETDSIVLMEPKTFVQLDVPKHLFGDSLPYLKDDITVSVELFNDRPMSASVPKRVTCTVVEAQVPMKGMGATPHTKKVLLDNGLSVQVPPHVITGDKILVDTTDNSYISRA >cds-PLY98523.1 pep primary_assembly:Lsat_Salinas_v7:1:39904074:39905590:-1 gene:gene-LSAT_1X35121 transcript:rna-gnl|WGS:NBSK|LSAT_1X35121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTTSEFDGIVAIELGAGTGLVGMLLARVAKTVFLTDHGVEILENCARNVHLNSVMLPSKTWVYVRELDWKASWPPNQSNSTSSHSYGWNLSEIEELERASLLVAADVIYSDDLIDALFTTLERLMSHGLNKVLYLAIEKRYNFSLDDLDVVANRYSCFRSYVRDETEHHELLQNGMECTFVGSRIDLTEIPQYANNYDRGQDLELWEIRYARKVKKTKNLKRFVKEHFL >cds-PLY89568.1 pep primary_assembly:Lsat_Salinas_v7:4:366622654:366625370:1 gene:gene-LSAT_4X177101 transcript:rna-gnl|WGS:NBSK|LSAT_4X177101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLVAVPTIDGPVRSDEGGDGIRYEWRRTSFKHPTLKRIDATSPSTQLLLPSPSPVSGASPSFAGIAVPSKSSFCLRRIFLLYHQIASLFPEIDFCEADCCF >cds-PLY97609.1 pep primary_assembly:Lsat_Salinas_v7:5:238698152:238701529:1 gene:gene-LSAT_5X113480 transcript:rna-gnl|WGS:NBSK|LSAT_5X113480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTDSELLERLHHVLRTSDLDTATPATVRRKMEQDLGIDLSDRKPYIRQQIDLYLESHYTNDNAEGDAEESGNAKVEESVNGGSASKEGDDDQQEEESDAESTKIDSKHKKKGGGFSKPCALSPQLQKFTGESEMARTEVVKKIWAYIKEKDLQNPANKRKILCDEMLHELFRVKSIDMFKMNKALSKHIWPIEEEQETPVSVKPLAKKKQNKRVKEDEAEQKEKKQKTKSSGFVIPLPMSEALVQFFGTGEIELSRSEVVKRIWEYIKRNDLQDPSDKRRILCDDKLKELFKLDTFIGFTVTKLLSPHFIKQQK >cds-PLY88400.1 pep primary_assembly:Lsat_Salinas_v7:8:257394534:257395394:-1 gene:gene-LSAT_8X151280 transcript:rna-gnl|WGS:NBSK|LSAT_8X151280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINSCFISFRNIHHKTPSIHLPKRKNFQVDLLNPLTLTLTSQLSPAQCSEEWFVLRRDKLTTSTFSTALVFWKGNRRYELWHEKVFPIDSKATITRAMEWGVYNEPAAIEKYKSITGREVTSLGFATHSDDNYNWIWASPDGLLGVPPNLGILEVKCPFNKGKRSQEYWILIRGILLEFWWDHVVPAREVLAMGNKEEVKKFKPMSIHKETGFVIHRSIDLANESKLLCREIAGHVEFFR >cds-PLY67049.1 pep primary_assembly:Lsat_Salinas_v7:5:280185375:280186455:-1 gene:gene-LSAT_5X147321 transcript:rna-gnl|WGS:NBSK|LSAT_5X147321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKMYWVVTWFMKLCMLCMLLMTLDTTEAQKQLKLGFYKTTCPAAEKIVRDTVNKAVTANPGMAAGIIRLYFHDCFVRGCDASLLLKTVAGSEIESEQDAGANAGTLRGLEIIDQAKAKIEAACPNTVSCADILAFAARDSTTIVGGFYYAIPSGRRDGRVSNIDEVDLPSPDSDVDTLKKEFVAKGLSVGDMVALSGAHSIGRAGCNFATQRLYFFNGSHTDPSLDPKYAAALKKKCPKSRISGTADLDLVTPNRLDNQYYSNVKQHKVMLSSDQTLVDSKFTAALVSKYSSNLAAWRNDFSAAMIRLGSLEVLTGTKGEIRKKCGVRN >cds-PLY76582.1 pep primary_assembly:Lsat_Salinas_v7:5:222993914:222996733:1 gene:gene-LSAT_5X104540 transcript:rna-gnl|WGS:NBSK|LSAT_5X104540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLIMHAGSNNKNVYKTLIVAEYVGVEIKMAENFQMGVSNKTPEFLKMNPIGKVPVLETPEGPIFESNAIARYVARLKPGNSLFGSSPIEYGQIEQWIEFSTLELDANMRGWALPIFEHATYIKSAEERYIAAVKRGLGALNTHLASHTFLVGDCVTLADIIMTCNFAMCFKYLFTKSFTSEFPHVERYFWTMVNQPNVSKILGEIKQAEVLPPIPSAKKPETKPKSEPKKEVKKEQTPMPKPESEAAIEEEEAPKPKAKNPLDLLPPSPMVLDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCNYKYNDENTVSFVTMNKVGGFLQRMDLARKYAFGKMLIIGNEPPFKVKGLWLFRGTEIPKFVMDECYDMELYEWTKVDLSDEAQKERVNQMIEDFEPFEGETLLDTKCFK >cds-PLY75357.1 pep primary_assembly:Lsat_Salinas_v7:6:178821619:178822140:1 gene:gene-LSAT_6X108960 transcript:rna-gnl|WGS:NBSK|LSAT_6X108960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVRKLSLYTPAGRKAAGEGEGDWARSIRREFPIQIEAPIKKILRRLLDQGLISRRRPWPIHVACLTNVNDRDIVNWSVGIAISPLSYYRCRDNLYQVRTIADHQIRWSAIFTPAHKHKSSAQNIIPKYSKDSNIVNKEGGKTLAEFHNSIELGKLGPGQDPNNKEHSTTSLV >cds-PLY95854.1 pep primary_assembly:Lsat_Salinas_v7:5:65356294:65361058:1 gene:gene-LSAT_5X30740 transcript:rna-gnl|WGS:NBSK|LSAT_5X30740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGVADGHAEMGDVSDYELSHHVREALKSVLLGDTDEYDQIIGNMHHNVRLVPDEVAMLVTILRALAGAVSYIDVVHHRSLLSSICGMSFWNYGPDVMDALVELVVALASSSGKYVDLCLDMLVSNFIPPYNFLEILKQPRGLAKKDQVLTRVHSALKGIADLVPLAPSRLEQIVRERVPNVFSKEAQMVVYVENMLMLESGDMGELVGNAMLFELVNRLIDLDVEIGWDEILLDDPSKGIFEMELEDMARPVDETEIEMDEPQREYSGRKVLWGNVVAQKLDTLMVLTFDHLQSCFKNGRLVQVFEVLLQSFQSTVLNAYKSKFAQFVMFYACSLDPEDCGTLFVSRLVEIFKSTIYPQDWRMSAVAYLASYLSRAKFLSASYVTIVLESMVDWCSKYCENLSSGEINPKVHRVFYAGCQAIMYVLCFRMRSILAFPRLKSQLCNMPLERILKHALNPLEVCLPSIVEEFLSQAKAAGLFHVEGTFVFHDLLESELSRAFGGFERLDLFFPFDPYLLKKSDSFIRPNFIYWSMVRTTYDDEDDDDDEEGVSDDDEANDGVSNGRGPMSYEDDDDDDDFDMNLSKMSITPRNNTFMFGNQDNQRMRMPSRIRPSTSPESL >cds-PLY76189.1 pep primary_assembly:Lsat_Salinas_v7:4:52714517:52719487:-1 gene:gene-LSAT_4X35961 transcript:rna-gnl|WGS:NBSK|LSAT_4X35961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKMLPPEMKIGKKSFPPMSKCDLSSVSNLSIAADLDGTLLKASLPFLYYVLLAIEAGSLLRGLILMLSLPIIAIVCIFISEDLAGKILIFLAFFGVKVSDLENASRAVLPWFYAMDVRSDSFELFDSCRRKVVVTANPTVMVDAFAQEFLGADKVLGTEIEVDPWTERATGFVKAPGILVGKLKKMAVQKEFGEDMPDIGLGDRKSDHDFMSICKEGYMVPRDHSASIISPYRLKNKMIFQLHHNHNHNHNDSSLQQPRNALITTYIRLPFTFIHSFVSLYFNLSLLKAIIRSAIY >cds-PLY68250.1 pep primary_assembly:Lsat_Salinas_v7:4:237326727:237328801:-1 gene:gene-LSAT_4X127960 transcript:rna-gnl|WGS:NBSK|LSAT_4X127960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAYRTTALHNKIEENSVQEAAAAGLQSVENFIRLLSSSSQSYQSNTNTTSSSSSSPPAGATDSSATTDYVAVVDVAVTKFKKFISLLDRNRTGHARFRRGPVSVSVSNSNPPEKISPLVPAPASVPHQKLYSPAPIQQRMPPVPQSTLHHPLAISGSFDRKDFPVTTISFAAPPPPASQVNSFRSTLTGDTDSLQPSMSSGFQITNMSQASSGCQPNLSSSSFKRKCNSMDDSHTKCANSSGRCHCSKKRKSRMRKQIRVPAISMKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSLRGCPARKHVERALDDASMLIVTYEGEHNHSHNVNDTPGAIVLESS >cds-PLY78850.1 pep primary_assembly:Lsat_Salinas_v7:5:305137433:305139263:-1 gene:gene-LSAT_5X164540 transcript:rna-gnl|WGS:NBSK|LSAT_5X164540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFKGFYHLFYQSNPKGSVWGNIAWAHSISEDLINWTPLGPAIEPSKPFDQFGCWSGSATVLPGDKPIILYTGLISEQPEPGYQVQNYAIPKNRSDPYLTEWIKPSNNPIIKPTLENASAFRDPTTAWMFNGQWEMTIGSKQGMVGVSYLYRSTDFIKWTKVDHPLHQKENTGMWECVDFYPVSTKGEKGLDTRVIDGDHIKHVFKVSLEITKFDYYTIGKYDASQDIYIPDEGMMDGWAGLRYDWGNFYASKSFFDPSKNRRVIWGWANESSTEDENVKKGWAGIQLIPRTVWLDPSGKQLLNWPVAELETLRDKNVKLSNTKLKQGDKVEVKGITAAQADVNVVFSFPGLGKAEAYDTKWDEIYPPETLAKNICQVMGTTKQGGLGPFGLLTLTSKDFQEYTPIFFRVFNTSDTKHKVLMCSDAMPSTLNNQEYKPSFGGFVDVDLADNKISLRSLIDHSVVESFAAGGKTVITSRIYPTLAINENAHLHVFNNGTEIVTIERLDAWSMKNPKMN >cds-PLY92523.1 pep primary_assembly:Lsat_Salinas_v7:MU039277.1:297:1090:-1 gene:gene-LSAT_0X45940 transcript:rna-gnl|WGS:NBSK|LSAT_0X45940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTSLDGQWLAAVNCFGDVYIFNLETQRQHWFIARLDGASVTAAGFSPGNSNVLIISTSSNQAYVFDVEAKKLGEWSRHNTFMLPRRYQDFPGEVIGLTFPPSSNSSTVIIYSARAMCLIDFGMPVGCDEDPDEKAITLKKKLLKRKLHELEGKKGGRKNFEFCAFREPVLYVGHLSKGSVLVVDKPWLEVVNNFDAQPVHRHIYGT >cds-PLY78297.1 pep primary_assembly:Lsat_Salinas_v7:6:152076116:152076919:1 gene:gene-LSAT_6X91781 transcript:rna-gnl|WGS:NBSK|LSAT_6X91781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAIGSIGDSFSAVSVKSYLAEFIATLLFVFAGVGSAIAYGKLTADAALDPAGLVAVAIAHAFALFVGVSIAANISGGHLNPAVTFGLAIGGNITIITGLFYWIAQLLGSIVACFLLQFVTGGLAVPTHGVASGMSSIQGVVFEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGEHFGCWSFQWWLNEPSSVIRPGCC >cds-PLY67721.1 pep primary_assembly:Lsat_Salinas_v7:4:2963590:2965215:-1 gene:gene-LSAT_4X781 transcript:rna-gnl|WGS:NBSK|LSAT_4X781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRREHRQDQQSRVFYELSALILNILRYPPTPIQYSDSDEVSTSSRRQPQRHPLTQLTPAGFASLLLGISLSLMLCGSITFFIGFLLMPWVLGLVLVFYVVGIISTLAMLGRAIFFHTFSPKKGVPGMTYKYNYCLFH >cds-PLY87651.1 pep primary_assembly:Lsat_Salinas_v7:1:122914266:122916599:1 gene:gene-LSAT_1X93080 transcript:rna-gnl|WGS:NBSK|LSAT_1X93080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAQHIIQLKNPSLFLFPKTSFSTTVKSPSRLSRRFRHVLLASHPSVAAPKREKDAKKRVVITGMGVVSVFGNDVDTYYDRLLAGESGISLIDKFDASIFPTRFGGQIRGFKSNGYIDAKSDSRLDDCQRYCLVAGKKALEDAGIGSHELLKIDKERAGVLVGSGGGGVTVFSDGVRCLIERGHKKITPFFAPYSLSSIASAVLAMHVGFMGPNYNISAACATANACFCAAANHIRLGNADMMIAGGVDAPLIPLELGGFVACRALSRRNHDPQRASRPWDKERDGFVLSEGAGVLVMESLDHAMRRGAPILAEYLGGAVNCDAYHITNPRPDGFSVSSCMKRSLIDAGVSAEEVNYINAHATSTLIGDLAEVNALKKVFTNTKGIKMNATKSMIGHSMGASGGLEAIATIKAIQTGWLHPTINQFNPEPAVEFDTVANRKQQHQINVAISNSFGFGGQNSVIAFSAFKP >cds-PLY93398.1 pep primary_assembly:Lsat_Salinas_v7:9:60468586:60473022:1 gene:gene-LSAT_9X53421 transcript:rna-gnl|WGS:NBSK|LSAT_9X53421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g04810, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04810) UniProtKB/Swiss-Prot;Acc:Q0WMY5] MENNGDSGPTRIEYSDKGKIFVGNLPWWITKNELEELFRQFGPIKKVISIKRYNDTERNMGFGFVIYGGTTAENSAMKAVEFDGMEFHGRVLTVKLDDGRRMKEKSADRARWIEGDDTVDYKSKWEEERHVSRKELKKVLGTQPKNWQAIVEAFERIKKPSRTEFGLMIKYYAKKGDMHRAREMFESMRGRGIEPNSHVFTTLIHAYAVGRDMEEALFCVRKMKDEGIELTLVTYSVLIGGFARVGDINAADKWFKEAKDKLTTLNAIIYGNIIYAHCQAFNMEGAEALVREMEEEGIDATMDIYHTMMDGYTMIKNEDKCLIVYKRLKECGFTPNEVTYGCLINLYTKMGKVSKALEVSETMKWNGIKHNMKTYSMLINGFIKLKDWANAFAIFEDVIKDGLKPDVVLYNNIITAFCGMGNMDRAITTVSEMQKVRHKPTSRTFMPIIHGYARAGEAIKAIQVFDMMRMSGCIPTVHTYNALILGLVEKRLMERAVKILDEMLVAGITPNEHTYTTIMHGYASLGDTGKAFEYFSILKNEGLDVDVFTYEALLKACCKAGRMQSALAVTKEMHSRKIPRNTFVYNILIDGWARRGDVWEAADLMQQMKQEGVQPDIRTYTSFINACCKAGDMLRAGKTMKEMEAAGLNPNLKTYTTLIHGWARASVPEKALKCFEDMKSAGLKPDGAVYHCLMTSLLSRASVAEDYIYSGVQHICAEMVESGLTVDMGTAVHWARCLRKIERGGGDITEALQKTFPPDWNSQNMLDEIYGVEVSDGDDDNDDDDDGLVYHDHDNDNDSDGDFD >cds-PLY81970.1 pep primary_assembly:Lsat_Salinas_v7:9:153189836:153191490:-1 gene:gene-LSAT_9X96860 transcript:rna-gnl|WGS:NBSK|LSAT_9X96860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RMA3 [Source:Projected from Arabidopsis thaliana (AT4G27470) UniProtKB/TrEMBL;Acc:A0A178V4H3] MALEETPFDSSGNVSLNKNSKPVSENSTGFCECNICLDSARDPVVTLCGHLYCWPCIYKWLHVQATSTLESDKQPKCPVCKAHISNSSLVPLYGRGNSNPNPNSNSDSPSSELESKSEHQSELVIPSRPSAPGGAILHLNQQINPHPVPFQSQSQFQPQQHIVNHSHPFGGYTFGPSNFNGPMTPTTGFSNPFVGMVGEMVCAMIFRSSDSGFLAAYPYGSYQNPYPASGTTSPRVRRQVMQVEKSLNRLTIFFFCCFMLCLFLF >cds-PLY66531.1 pep primary_assembly:Lsat_Salinas_v7:4:339589425:339589643:1 gene:gene-LSAT_4X167680 transcript:rna-gnl|WGS:NBSK|LSAT_4X167680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKTCLCYVLLMLFLTNEVFQITQATLKRAKGNTTDITAKMERQMQVKAGKDGLDAFHPTTPGHSPGVGH >cds-PLY73183.1 pep primary_assembly:Lsat_Salinas_v7:4:267921908:267922150:1 gene:gene-LSAT_4X138400 transcript:rna-gnl|WGS:NBSK|LSAT_4X138400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKSFPNYSTPYVEARFAFEDRDKSFSFNGPGDRENPEVKRRKRVASYNMYTMEGKLKSSLRNSFKWIKNKFSDNYGS >cds-PLY87214.1 pep primary_assembly:Lsat_Salinas_v7:4:308508356:308508799:-1 gene:gene-LSAT_4X155180 transcript:rna-gnl|WGS:NBSK|LSAT_4X155180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLQAMKAISISKPVFFGSSCHHHCPHTNNLHHRAVSFVVNAGKGTNGRRNLVDENMIVLRMRIKEVEMEETGGLLPEKSRCWMVWEKKYYEHYNQDVCEAMRVLQMCLMNTRPCFALGTLALLMLSVILSTGVVIDSFISFVKWFL >cds-PLY66572.1 pep primary_assembly:Lsat_Salinas_v7:6:167119185:167121082:-1 gene:gene-LSAT_6X102001 transcript:rna-gnl|WGS:NBSK|LSAT_6X102001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTKKSHTIINQPEATRLTNNMEYTSQESLESYRESDKNISDKFQRRLAQNREAARKSRLKKKAYVRELESGRLKLEKLEHEIKRTRQQVTYVDPPGYGQLPGIATFVAKYDLWVVEQHKKESELMTILQTDVSDDELRVVLDGVIDHYQDLFWMKADAAKVDAFNLLYGSWRSPIERLFEWLGGFRPSEVLYILMPWFEPLTDTQIVNLSKLRHTCRQAEDALTQGIDKLEQTLAQSVAINITESANCNTWMISAMEELQALENFLNQADHLRYRTLQQMSRVLTNRQAAKGLLALGEYFQRLRVLNSLWSARPHGLDFVVGRKM >cds-PLY69154.1 pep primary_assembly:Lsat_Salinas_v7:5:287055875:287059102:-1 gene:gene-LSAT_5X151981 transcript:rna-gnl|WGS:NBSK|LSAT_5X151981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGEKLGFVICVWFLFLYGSCLCSFVVEKNSLKITSPENLRDTYESAIGNFGVPRYGGTLSGVVLYPKTDQTACNNFTDDLITKKPGSIPVFLLADRGDCYFTFKAWNAQNAGASAILVADDRPEHLITMDLPKEEEGADYVQNITIPSLLISNSLGVSIKKALENNDLVFVNLDWREALPHPDERVEYEFWTNSNDECGPKCDTQIDFVKSFKGVAQTLEKKGYTRFTPHYITWFCPEEYVSSTKCKSQCINHGRYCAPDPEQDFSKGYEGKDVVEQNLRNACFYKVANESGKPWVWWDFVTDFATNCSMKENKSTKDCADEVIKSLGVDLKKIDECMGDPEADAENAVLKAEQQAQIGKGVRGDVTILPTLVINNRQYRGKLDKKAVLKAICSGFEETTEPPICLSHEVETNECLNNNGECWMDTVANITACKDTFRGRVCECPIVGGVKFVGDGYKNCEAPRAPRCQVNNGGCWKSTKDARNYSACKDVATSSGWGFAWAVVLGVAVIGVLGYTFYKYRVRRYMDSEIRAIMAQYMPLGNEGEIPVHGSHGDI >cds-PLY76393.1 pep primary_assembly:Lsat_Salinas_v7:8:84095380:84099105:1 gene:gene-LSAT_8X59200 transcript:rna-gnl|WGS:NBSK|LSAT_8X59200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMGPNDEVVSLELPAPSGWKKMFLPKKAGTPKKNEIVFTSPTGEEITNRRQLDKYLKAHPGGPKASDFDWGTGETPRRSSRISEKVKESPPLAEPVPKRAKKSSSASKKDKKDKNAPQSEEETADVEMQEAEEKKEEAPPEKAVAEDNEKQEKDENAPVEDKESETDKNAPVVEEKEKDAPPENSVVEEDDKSAPEIPIVEEKQEEKNAPESENPIVEDNEKQEKDKNAPEDPIVEEKQEEEEKTAPESENPVVEENEKQVKDSIAPVETEKDDDKHEVESKVDELCEIPKMPPSEEELKEKAADVNVIEANDNNNGEAMVAEYQDKVENDVAKGETIQEKAEEGQKGNFGMSGADKEKETAAVENGCHVDAEPW >cds-PLY68590.1 pep primary_assembly:Lsat_Salinas_v7:2:22049500:22052208:-1 gene:gene-LSAT_2X9361 transcript:rna-gnl|WGS:NBSK|LSAT_2X9361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKENTRFSATMRQTEDKDSDGISHKPSKKLKKLTSVNKIDESINSKRVKLPKKFFDECYTVNHAPIPRKLRSAIKQRHYDSLSPSFPNPKDGILRLELPLESHNKKLKVNKDERQHSIISGSISEQITKDEEEAIAGLLLLAGNNKRFEFNLKKETSNSEEDLVENDLVIEEFNKSTNTVDVKKDCKDFRDGNVSRMICSRHVYICRIIQKLKVTEGKTVNSHEESKSETIKESCMKAATNQTSFLAAKSNQVSVPPYFGSPLYDPSQWPRPPKHQMLNNPFMAGSCYQNWQISGHDNNALVSVIGSKENGGLFLVDSSPILNLRFKEHGSDRNWLNDL >cds-PLY72009.1 pep primary_assembly:Lsat_Salinas_v7:8:148002109:148004802:-1 gene:gene-LSAT_8X99941 transcript:rna-gnl|WGS:NBSK|LSAT_8X99941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFVRISALLWADLLAAFAMYIMMTYLTNVWNLSTAHAAGIINIWDGITAVLAIVFAYIVDSFLGDYYMLLLSSISYTIGLGLLSMSTPPVFGPCNEYKEKCIGHTQKVLFYTALPLIAVGMAGHVVSLMSFLNLQTNTENEADKDKDKDTNKEKEKEQEKEKEGNKIYWQMLGLLIVVVVLIAGGIALPYIKPWSIRFGIPAICTLVATLLFFSGHSVYKPCKPAEGSPLTTTLRVFVATTRKFSQPLPDPKELYNEEDTRSTSSLRCLDKAAIKLQEEPESKNWNLCSVREVEDTKIGIRMLPMWLTFIVIGIVLSIGNTYFLEQANRMDRKLGKIKITIPIFLLFYQLSSSISTYFYSILTMCFNKKYAPPVGIATGMVLSVLCCITSAKVETRRLHVIRDHGLLDKPDEKIPMSIFWLLPQFMLLAAVDGIANTSITSFFKHQAPESMRKYLTYFTKGVLGLGTMASVLSVYVVGKVSERDQNPNWFQPSLNKSRLDRYYWTLSGLCAVNLVIYVIVASFYTYKETPDDGGDEGEGGNMDPGFEDTAKCCC >cds-PLY94756.1 pep primary_assembly:Lsat_Salinas_v7:2:178040721:178045162:1 gene:gene-LSAT_2X97681 transcript:rna-gnl|WGS:NBSK|LSAT_2X97681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMGDFEEELQEVRDTFNSGKTREISWRKSQLQAILSLLKERESDIFKALNQDLGKHHVEAFRDEIGTVAKSAKYALGNLNKWTASKRVKLPLAAFPGTAKLVHEPLGVVLIISSWNFPFGLSFEPIIGAIAAGNTIILKPSELSPACSSVLVKTIHDYLDNSAIKVIEGGASVGEKLLQHNFDKIFFTGGGRVAQIVMAAAAKNLTPVTLELGGKCPAVVDFISSTWDRKIAMKRIIWGKFGACGGQACIGIDYILTQKKFAPTLVELLKKYIKKSLGDNPMESNSIAKIINNKHFSRLKGHLDEPLVKSSIVFGGSSNEEKLFIEPTILLDPPLDSAIMTEEIFGPLLPIITLENIEDSIEFIRARPKPLSVYGFTNNEKLQKRMISETSSGSITFNDAIIQYAVDTLPFGGVGGSGFGRYHGKYSFENFSHQKAVMMRGYLIDFGFRYPPWNNKKLQLLKSGLRYDYVKLVFIKIGLIKKA >cds-PLY92855.1 pep primary_assembly:Lsat_Salinas_v7:5:328708255:328710824:-1 gene:gene-LSAT_5X182100 transcript:rna-gnl|WGS:NBSK|LSAT_5X182100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIINCLLLPIVKPIVMLSKPNSAKDSTYAGDDYLKDLLANKEQHWTSPAMESTLHAANKTSIKNGTRPC >cds-PLY83455.1 pep primary_assembly:Lsat_Salinas_v7:9:88534202:88534737:1 gene:gene-LSAT_9X69041 transcript:rna-gnl|WGS:NBSK|LSAT_9X69041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKESWVTLGKALKEKFDMDTSQKQLKNAFDNLKAKYVGWKYLRNKTGNLYNAQTNSFALVNTEREEFKKGYPKAGSLRTHPLPYPNLCASLFDGSSASGSIKWTSTQITAADTSYSSYRVQRLLIDDNPLNGLEDDDDDDDASNDTSA >cds-PLY97143.1 pep primary_assembly:Lsat_Salinas_v7:4:359101527:359103411:1 gene:gene-LSAT_4X176540 transcript:rna-gnl|WGS:NBSK|LSAT_4X176540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPFLFLFPFLFFAHESVSQQTYSGNHILECNNSNTTEQTPEFLYTCNGIQSCSTFLIFRTQPLYNSIFTISNLMSTDPKDVARINGITDSSEILPLNKELIIPVTCSCSGQYYQANTSFVIPINTNYFTIANFTFQGLTTCDSLQKNNIYKGNDLQVGGKIRVQLRCACPTVNQTMSGIRFLLTYLNTWEDSIKKISNRFDVKFQDLVLENGFSSVKDLIFPFTTLLVPLSTEPLSSQTRTPGRNQNQNPKLSKKGIIIGTISGGFLAIFSGVFVICLVSKRKRANKGKMVKWELPKDIQLGIASVDQVLKIYKFEELEEATDGFTLEKRLSASVYKGSIKGRKVAIKQTGTHANKEVKILQKINHFNLIGLYGVCEHDKSCYLVYEFMENGSLNEWLRDLTCQESQTWNNRIRIALDVAKGLQYLHNFANPTYVHKDINTSNILLTKDLRAKISKFGLAKSTEKGENVNSSIKCRSESKGYLAPEYLEAGFVTTKTDVYAFGVVLLELITGKKAVYENDDDGGQVMLCEEVVSIMGDGHNAKSMVNYIIDPRLKGRHALGFVIEEDELAMRLVKLSIGCLESEPSKRLSMNEIVSTLMIIHMDAQSSNTMFLV >cds-PLY90955.1 pep primary_assembly:Lsat_Salinas_v7:9:171380952:171382305:-1 gene:gene-LSAT_9X105221 transcript:rna-gnl|WGS:NBSK|LSAT_9X105221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g50640 (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G50640) UniProtKB/TrEMBL;Acc:C0SV01] MVMRRGSRAKAAVGEPIGSRPEEMVAQQPETNEPRYRGVRKRPWGRFAAEIRDPWKKARVWLGTFDSAEDAARAYDAAARSLRGSKAKTNFPFFPSNEIHDHPEDDQTKHHQQTFYQSRPTMSSLSSTVESTSGPRPSNPVKTHHRLKPPPVPQDDDDCHSNCDSSSSVVDDYYYDGDLSSSSRKPLPFDLNLPPPLDEIGFSSNPNDDLYVTALCL >cds-PLY80574.1 pep primary_assembly:Lsat_Salinas_v7:6:12442853:12443629:1 gene:gene-LSAT_6X6880 transcript:rna-gnl|WGS:NBSK|LSAT_6X6880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFGKFLIVMLVISTTSLASSITTIGSGKESIKVLCNTQAACKVGDISFALCEMFCNDNKGPDGWHAFCEGDTCCCVAPDLASTQNHKLIV >cds-PLY69132.1 pep primary_assembly:Lsat_Salinas_v7:5:286209336:286209927:1 gene:gene-LSAT_5X152401 transcript:rna-gnl|WGS:NBSK|LSAT_5X152401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVMLVALLCALVAALLLNSVVHLYLRRRRESIEAATNLQTSGLAKQAIKKIPVARFRKRRGGSATECSICLGDFVNGEKVRVLPECNHEFHVKCVDKWLNEHASCPNCRRSLIPLKVVDGVDQAPVRAEQGGM >cds-PLY69552.1 pep primary_assembly:Lsat_Salinas_v7:8:82804829:82806970:1 gene:gene-LSAT_8X58960 transcript:rna-gnl|WGS:NBSK|LSAT_8X58960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSKSQNGLPFPPLPPPPLRPLPLSYHSQLFPYSYSRRNLVLLKMSSHENQKKGVMYVYKLDTGGGHHHPQTPPPSMNKKVVSRSWGLLKRSKTYREKEFNQQHYDKEDKKEMVHQESARKSVSVMEGGRKLMESTGRRSVGNAAEMSLGNAAPMAALMQIRVLVTDMPAFMQIHAFRCARQTFDSLEKFSPKQIALNLKKEFDKVYGPAWHCIVGSNFGSFVTHATGEIKAKYLHQK >cds-PLY73159.1 pep primary_assembly:Lsat_Salinas_v7:2:191096567:191100955:1 gene:gene-LSAT_2X112901 transcript:rna-gnl|WGS:NBSK|LSAT_2X112901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNSVSDQAFFIESEDDEEDKDSIRGEDDGNDSEFSNYSDDNPQHQSKPSSLNPSWPQSYRQSIDLYSSVPSPSLNFLGTPNLSRLGSSFLSSTLTRRHTPEILPSLTKPFLPPSTDNQQPQERRSSSHSLLPPRGSSAKKLPHYHKSSKASHELPLSRQSSYGQSVLNGINVLCGVGLLSTPFAVKEGGWVGLSLLFIFGVLSFYTGILLRYCLDSQPGLETYPDIGQAAFGTLGRLIISIILYVELYACCVEYIILESDNLSSLFPNAHLNLGGYILNAHYLFAIMITLAVLPTVWLRNMSVLSYISAGGVIASILVAICLFWVGLVDDVGFQIETTKTLNLSTFPVAIGLYGYCYSGHAVFPNIYTSMAKRSQFPMVLLASFGSCAVLYAAVAIMGYMMFGESTESQYTLNLPTNLIASKVAVWTTVVNPFTKYALTISPVAMSLEELIPSNHMKSHVYSILIRTALVFSTLLVALSIPFFGLVMSLIGSLLTMLVTLILPCVCFLSILKGKTTRFQVSVCVFIIVVGSVSSVIGTYTALSEIIQQLF >cds-PLY74614.1 pep primary_assembly:Lsat_Salinas_v7:7:35987169:35988743:1 gene:gene-LSAT_7X26420 transcript:rna-gnl|WGS:NBSK|LSAT_7X26420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKILLPGSKKRQDPPEISEGQQQKKQKLDHGVKIECLKILKTLMTHKFGSVFNQPVDPVELGIPDYFEIISHPMDLGTIHNKLEDNIYSFPESFANDIRLTFSNAMRYNPPKNSVHLMAKEMNDLFIKIWKSVEPKLRKPSKNGGEKVKICKPVKKQGVHVSVGVKVKKSEDIISKASSCSEGKTLMTYEEKMRIKKELMVALRGEITGPLRGFLRKYGLIYSRKEKIESVFNSFGDDTLMELKRSLKGSLCLSLEKAKDDCVKPQWTKEATERQKLEEKSNIESRIRAARAAKEAILESAKSDLQMKRDKERERVEKMERTVTMDDNLTVLRELEKLCEISGIKNPLEKLGLRLKEEYYYGYEYIDDDDDDDDELEDGEIF >cds-PLY88215.1 pep primary_assembly:Lsat_Salinas_v7:8:150090930:150098899:1 gene:gene-LSAT_8X101140 transcript:rna-gnl|WGS:NBSK|LSAT_8X101140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKSEDNGVQTRTTTEPETPLIQGVGSRTRVRFQLPGENSSSKNLISFFKDWVQGFSLLEVGFHHVAAEGTTLVKQAEDAASNKKAEKRLQVDPATWLIMIFRVC >cds-PLY70598.1 pep primary_assembly:Lsat_Salinas_v7:1:88974867:88978938:1 gene:gene-LSAT_1X75181 transcript:rna-gnl|WGS:NBSK|LSAT_1X75181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLTTRHEDFKDPTNHIDVPSPTAPQPVCLDAAAVDLSFPFGKIDGLDRDDLRTTAYEIFFTACRSSPGFGGRTGGASFHALNGGEVHTPLSPGSSSPGSPGSPRSPRYGVGMAGMSKTKKALGLKMLKRTPSTRRSISCGSNPLSPSGHGYYNNQSSISPRASFSTLPHHGKIRRPMTSAEIMRQQMKVTEGSDNRLRKTLMRTLVGQTGRRADTIILPLELLRQLKPAEFNDINEYHTWQKRQLKILEAGLLDHPSIPLDKSNAFAMRLREIIRGSDMKPIETNKSSETMKTVCNCVVSLSWRSPNASPTSVCHWANGYPFNVHLYVPLLRSIFDSKDETCVLDEVDELLELMKKTWPTLGITRAIHNLCLTWVLFERYVLTGQTENDLLSASFTMLTEVANDAKKVNRDPVYVQMLSATLNSIKTWSENRLLDYHGSFTRKSLGLMKNILPLVFCATKILEEDVPYYRLTSIDDDEEASGSKGNKVDRYIRSSLKNAFVKMVENGYAINGSMMVKEVSGKLIELADETEELVIREMEMFSPVLKKWHPIAGGVAAVTLHACYGNMLRQFLTAHSVISNETVAVLQRADKLEKVLVNVVIEDSVECEDGGKAVVREMVTYEVDSIVLKFLRQWIQDSLKNAKDVVQKAKESETWNPKSKSEPYAQSANELMKQTKDGVDSFFDIPIGVTEDLVREFANAVEQLVQDYTIFVASCGSKQSYIPSLPPLSRCGRGSKFIKLWRKASPACTAAPMNSETSSEEGNFARPSTSRGTQRLYIRLNTLHYICLQLNSIEKNLALSPKIVLSPRNRIGGSRRQHGGGSYFDQTRAALQSASQHVSEAAAYRLIFLDSNYLFYGSLYVGDVENSRITPALKIMKHNLTLLTAIVTDRAQPLAMKEVMRASFDAYLTILLAGGSSRNFTRTDFRLIEQDLKDLKRVFSKCGEGLIVEDVIDRETETAEGVVALMGQSTEQLVEEFTTLVCEASGVGMMSGGSGQKLPMPPTTGKWSSTDPNTILRILCHRNEGAANMFLKKAFQLPKRK >cds-PLY83225.1 pep primary_assembly:Lsat_Salinas_v7:9:122290445:122290756:-1 gene:gene-LSAT_9X77201 transcript:rna-gnl|WGS:NBSK|LSAT_9X77201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLDRECKTEIIKWKEDRSVLYLYVGLPPDIQIQYEETIELVDLRSKAIGVVYKPQIFEVEDGNVSVEESRSKLKWSFLQQHSRTREIRKGRKDGVVTRGW >cds-PLY67015.1 pep primary_assembly:Lsat_Salinas_v7:5:283134953:283136462:-1 gene:gene-LSAT_5X147980 transcript:rna-gnl|WGS:NBSK|LSAT_5X147980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKDVVVLVVPFVLQGHLNQLLHFSGLLSSYNLPIHVVVTTTHSRQAKLRVHGWDPTTATNTHFHEFETPKFESPPPDPNAPDKFPSHLLPSFQVPSHLREPFAKLLADIAPTTSRVIVIHDYLMSSVVQDVVAYENAEAYVFYGASAFTTFSYLWEQKGRPCLDDAESYMQLTKIPAFEGSIPAEILESVACHNVCQTFNSGNIHDTCNVFDGKFIDFLAKEGLTGSRKQWAMGPFNPVAISDNEDLGRRHMSLEWLDKQAKDSVIYVSFGTTTSLSDDEIRELANGLENSQHKFIWVLRDADKGDIFDGEVRRVELPKGFEERLGAQGLVVREWAPQLEILAHPATGGFMSHCGWNSSMESITMGVPITAWPMHSDQPRNATLVTEVLKTGISVRDWESRGELVTSSTIETSIRKLMASDEGDAMRKRAAKLGDDVRRSVEKGGATRTEIDSFIAHITR >cds-PLY71807.1 pep primary_assembly:Lsat_Salinas_v7:3:58414443:58420782:1 gene:gene-LSAT_3X45301 transcript:rna-gnl|WGS:NBSK|LSAT_3X45301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGMGDGYVGTAQDAVRIRRLEKQREAERKKIQELKSKNAKGQSGLLQFGSGTSEILETAFKKETVGLVTREQYVEKRVNIRVKIEEEEKEKLQKLQQEEEELQLQKQKKRKIKANPKLSFSEDIENGEEEEEDGEEKNQESNTFGRRGFGKDPTVETSFLPDSEREAEEQAERERLRKQWLREQEQIKNEALEITYSYWDGAGHRRVMQVRKGDTIGEFLRAVQQQLAPEFREIRTTSVENLLYVKEDLIIPHQHSFYELIVNKARGKSGPLFHFDVHEDVRTIADATIEKDEIYDPTKKWERSSISSLLNLLPFPIVKFDIFLKHSIMTKIRVSFILALILLLSSSFFIQVARSQSDSDSDSDSNADLVAETVAEGAVSDETQDFGVGNFSPSPDVETVCVFPKNSAKLVVAGQETELLIGMKNEGEQNVKVLAVYSSVHLPFDHKMLVQNLTTQTFNNASVPSSVQATFPYMFAVSKFLQPGTFDLVGTIVYEIGEVPYQNTFYNGTIEVTEAGGLVSVETVFLVSLGFALLVLLGLWVRGQLQNLSKKTKRVKVEVGTKTVDASMDEWLQGTAYTQSQSFKLKKKK >cds-PLY79711.1 pep primary_assembly:Lsat_Salinas_v7:8:124558287:124558625:-1 gene:gene-LSAT_8X86500 transcript:rna-gnl|WGS:NBSK|LSAT_8X86500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKALRTIDNNSDPGGGRYVYVHDLPSRFNEDMVRECGNINRFFNMCKFIKNSGLGPSLENTEGVFSDIGWYATNQFTLDVIFRNRMKQYEGLTNDSSMAAPIFVTFYTGFDA >cds-PLY73906.1 pep primary_assembly:Lsat_Salinas_v7:3:39706762:39708771:-1 gene:gene-LSAT_3X29581 transcript:rna-gnl|WGS:NBSK|LSAT_3X29581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDHSPAQIEQESKEETPPIKTPLLPLIPDQTQNLNEEDEEHKEDDEEEETRLDKSLQRLKLILILLGFDQTTVLRFGISWVTFLVIGVAVPVIVLWLTTNCVNCDLYQIKGFELIIVASHACLAAVSLLCLSHNLRKYGLRKFLFVDQYSGHVERFSKEYIQKISESIRLLILWALPCLILKIAREVIRITYMHNESWWKSLGILVALTWSWAYVNFIYLSACLVFHLVCNLQIIQFDDYGRLLETETDVLVFIEEHARLRHDLSKISHRFRIFLILMFTVVTSSQFAMLFQITEFSNKVTFINSGDFAVSSIAQVVGLILCLNAAAKISHRAQGIAALASRWHALASCGPDDASHMRVSNQAMSVSSESDLEAMSYIPLPTNTQLASYLSSYHRRQAFVMYLQNNPGGITLYGWTVDRSLINTIFFIELSLVLFVLGRTTVFTSETLP >cds-PLY62904.1 pep primary_assembly:Lsat_Salinas_v7:4:327821664:327821894:1 gene:gene-LSAT_4X163300 transcript:rna-gnl|WGS:NBSK|LSAT_4X163300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLWLCRRMPCGTLGSLLLEEGMRYITISSPQNSFGRPRKLDQNGPFEILRSTWRFPTLDSSSSLFIGSLKIKV >cds-PLY78473.1 pep primary_assembly:Lsat_Salinas_v7:4:261697467:261701236:1 gene:gene-LSAT_4X136121 transcript:rna-gnl|WGS:NBSK|LSAT_4X136121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSIRMASLAINTRRIIPSLSPKPITIPSSFFTKSPTDLSKILTLPPNSVSESSVSLPVGALDDDDDEDDDPTAELSYLDPETDPESITEWELDFCSRPILDIRGKKIWELVACDSSLSLQYTKYFPNNVINSITLKDAIVSICDELDVPLPDRIRFFRSQMQTIITKACKELGIKPIPSKRCLSLLLWLEERYETVYTRHPGFQKGSKPLLALDNPFPMDLPDSLYGEKWAFVQLPFSDVKKELETLEKSFNFGATLDLDLLGIEIDDETLIPGLAVASSRARPLAAWMNGLEVCSIEADLARGDLVLAVGISTRYVYASYKRSPETTGEAEAWEAAKKTSGGLHFLAIQDNLDSDDCVGFWLLLDLPPSPV >cds-PLY87020.1 pep primary_assembly:Lsat_Salinas_v7:5:257682667:257686459:-1 gene:gene-LSAT_5X132921 transcript:rna-gnl|WGS:NBSK|LSAT_5X132921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDIYKATRGLDVFNQSNQHEDGDEAALKWAVLEKLPTFDRLKKGLLYRSSGPPDEIFIDNLGLVDRKHLLDRLVKVAEEDNEKFLLKLRNRFDTVGIDLPTIEAFLNIFHLLPNRKKHITIFEDLSGIIKPGRITLLLGPPSSGKTTLLLALAGKLSKELRWSGRVSYNGHDMHEFVPQRTSAYISQNDLHIGEMTVRETLAFSARCRGVGSRYEMLAELLRREKDANIKPDPDIDIYMKAAATEGQQTSVVTEYVLKVLGLDICADTLVGDEMIIGISGGQRKRVTTGEMIVGPSKVLLMDEISTGLDSSTTFHIVNSLRQYIQIFEGTAVISLLQPAPETYNLFDDIILLSDAKIVYQGPPEKVLEFFESMGFECPQRKGVADFLLENQIDQLVYLKLSA >cds-PLY68259.1 pep primary_assembly:Lsat_Salinas_v7:3:189277695:189278306:-1 gene:gene-LSAT_3X112821 transcript:rna-gnl|WGS:NBSK|LSAT_3X112821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYGLFLAGTETSSNTIEWAMTELLLNPDIFSKVREEVSTTIGQDGKIHEAKILKLPYLHAVIKETMRLHLSVPLLVPHKTETEVKLGQYVVPKDTQVLVNAWSIARDPRYWDNPLKFNPERFLGNEIDYKGKHFEFIPFGSGRRICPGAPLAHRVVSLMVTSFVYHFEWKLPHDREEIDMNDIFGLTLLRATPLLATAIPFK >cds-PLY76788.1 pep primary_assembly:Lsat_Salinas_v7:4:189423886:189426562:-1 gene:gene-LSAT_4X109581 transcript:rna-gnl|WGS:NBSK|LSAT_4X109581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHGRRRTIAHSKPLFRNPLIRRKTTIIQPNTSKSKLGTFLLVASIVKSLSEPGGTRNLNNEESSLISISEPLVLQILRRSSLDVSKKVDFFKWCSLRHNYKHSASTYSQVLQTLCINPLIHKNDIFEFLASMNREGVVLDSSTFKFLLDSFIKSGNFDSALEILDHAENILDRLNPDVYNSVLIALIRSNHLTMALFTFFKLLDSIKDENKSSMDAATCNELLVALRKAGMRAEFRNAFDKLREKKNFPMDVRGYNVCIHGFGNWGELETSLNLFKEMKQKSLFAPDLCTYNSLIQALCFNGKVNDALIVFQELKTSGHEPDPFTYRIIIQGCCKCYKINDATKIFSEMQHNGFLPDTIIYNSLLDGFLKSRKLTDACQLFDKMSDDGVRASSFTYNILIDGLFKNRRGQAAYALFGDLKKKGQFVDGITYSIVILHICKEGMIDEALELVEEMESRNFVVDLVTITHLLVAFNKEGRRGCEERLMKHIKNSNLMPTLLKWKANMESSMKDPQSREKDSTFMFPMKGDFKEILSLITQNTNPSRKDTIDINPWSSSPYMDHLADEANSNKQILSSSKGKRVQEKGINVNSFDVNMMNTYLSIYLTKGKLSLACKLFEIFTDLGVDPVSYTYNSLMSSLVKKGYLNEAYGVLSEMGNKKDSATAADVATYNMIFQGLGKMGRADLASAVLRRLTDGGFVDLVMYNTLINVLGKGGRFDEVNRVLEEMKRNGINPDVVTYNTLIEVNSKAGRLKEAHKFLKMMVDADCAPNHVTDTTLEFLEKEFEKQKKKSK >cds-PLY77564.1 pep primary_assembly:Lsat_Salinas_v7:2:164599868:164601533:-1 gene:gene-LSAT_2X86700 transcript:rna-gnl|WGS:NBSK|LSAT_2X86700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVPQVKFPLFLCVRVLGVIVAALVLIWNISYRGGLALISDDKSLIFNVHPVLMVIGLVLLNGEAMLAYKTVTGTKSYKKLVHLSLQFMAFLFGIIGLWAAWKFHNDKGIDNFYSLHSWLGLACLFLFTIQWGAGFVTFWYPGGSRNSRASLMPWHVFFGVYIYVLAVAACATGLLEKATFLQTNNIISHYSAEAMLVNILGVLIVLLGGFVILGVISPPNGKGDILRGSVE >cds-PLY99135.1 pep primary_assembly:Lsat_Salinas_v7:2:11771903:11777253:1 gene:gene-LSAT_2X6461 transcript:rna-gnl|WGS:NBSK|LSAT_2X6461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLNSGAPRPPFALRLREVDFPPTLLLQRKLLILRGGFRFKCCCSDKVVPIRSVVIGAGRKGVDKIEEWPSDLSKKVHKVRVQASSAWSFASSQPQLASRQEKFFPRCTPRNSGPQSRDTPPKRDTGIANEKDMGINLLTEDVNESGINEDGSSWYRESGEDLGENGYRCRWTRMGGQSSDASSKWKESWWEKSDWTGYKELGVEKSGRNSQGDSWWETWREVIHQDEWSNIARIERSAQKQAKSGTENAGWYENWWEKYDAKGSTEKGANKYGRLNEQSWWEKWGEHYDGRGSVLKWTDKWAETEIGTKWGDKWEEKFFGGVGSRQGETWHVTPAGERWSRTWGEEHFGNGKVHKYGKSTTGESWDIVVNEETYYKAEPHYGWADVVGDSTQLLSIQPQEKPPGGYPYPDFGSFPPPPQGRDPPSMPPRNQ >cds-PLY98588.1 pep primary_assembly:Lsat_Salinas_v7:1:39428334:39438651:-1 gene:gene-LSAT_1X33941 transcript:rna-gnl|WGS:NBSK|LSAT_1X33941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDIYKASSSIRLASLRATSGRTSSLRSGSTSVWRNSGMDVFSRSSREEDDEEALKWASLEKLPTFDRLRKGLLFGSTGPSNEVDVNDLGFEQRQHLLDRLVRVADEDNEKFLLKLRHRIDRVGIDLPTIEVKFEHLTVEADVNTGSRALPSFINFHIDFFEGFLSMFHLLPNSKKHITILDDVSGVVKPSRMTLLLGPPSSGKTTLLLALGGKLAKELKNSGKVTYNGHELHEFVPERTAAYISQNDVHIGEMTVRETLAFSARCQGVGSRYEMLAELSRREKDANIKPDPDIDIYMKAAAVAGQEASVVTDYTLKLLGLDICADTMVGDQMVRGISGGQKKRVTTGEMIVGPSKVLLMDEISTGLDSSTTFQIVKSLKQMLHILEGTAVISLLQPAPETYDLFDDIILLTDGKIVYQGPREHVLEFFESLGFKCPERKGVADFLQEVTSKKDQKQYWMRREQPYRFVTAKEFAEAYESFHVGRKMREDITTIYDKTKSHPAALTTEKYGLNKKELLKACVDREILLMKRNSFIYIFKLFQLLVLSFITLTLFFRTEMHRRTTEDGGIYVGALFFGIIMITFNGLSEISMTIAKLPVYYKQRDYLFYPSWSYALPAWIVKIPISFIEAALWTILTYYVVGFDPNIARFFKQYLILLLVNQMSSGMFRFIGALGRNMIVANTFGSFALLLIFALGGFVIVREDVKKWWLWGYWSSPLMYAMNGIVVNEFLGHQWKAPLNGTTLGKIIITSGGFYAEAYWYWISIAALLGFILVLNLCFALSLAILGPFGKSQSNAAHADNDTEVELSAMTTGDDATHTKKKGMILPFEPHSMTFNDVKYSVDMPQEMKEQGVSEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGYPKKQETFARISGYCEQNDIHSPQVTVYESLLYSAWLRLATDVDEQTRKSFVDEVMDLVELNPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQELYVGPVGRHSCELIKYFEDINGISKIKDGYNPATWMLEVSASAQEMALGVDFTEIYQNSDLYRRNKALIAELSVPRPGTNDLYFPTQYSQSFLVQCMACLWKQRLSYWRNPPYTAVRFAFTTFIAVMFGTMFWDLGSKKNTQRELTNAIGSIYAATLFLAIQNASAVQPVVDIERTVFYRERAAGMYSALPYALAQVLVEIPYVFAQSAVYSIIVYAMIGFDWTAAKFFWYLFFQFCSLLYMTYYGMMTVAITPNANIAAIIAASFYGLFNVFSGFVIPRPRIPVWWRWYYWGNPLAWTLYGMVISQFGDFDDRLTSGETVKGYLRRYFGYKHDFLPAIAGVHIGLVLFFGFIFAYCIRAFNFQKR >cds-PLY77390.1 pep primary_assembly:Lsat_Salinas_v7:7:9250423:9251923:1 gene:gene-LSAT_7X9821 transcript:rna-gnl|WGS:NBSK|LSAT_7X9821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSGGKGISASAKPYKRTPPSWLKISSQDVEDNICKFAKKGLTPSQIGVILRDSHGIAQVNSVTGSKILRILKGHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >cds-PLY81518.1 pep primary_assembly:Lsat_Salinas_v7:5:80404906:80409261:1 gene:gene-LSAT_5X37701 transcript:rna-gnl|WGS:NBSK|LSAT_5X37701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFVTRQLVQPYRDRPNEGTLAIYTEFSPMADPSFDSGCLGESAIELWRIVDHGLRLSALSPPAVYMGNMHGIDRLSLAAEIPLMPLPLFSSPSTTRLDSRRPQSSSDQSGGPVAVNESIANKGVGVGQPVPMLGRIQSQLSASLTATTVAELPCTVKLRIWYHDSNNPCGKGLGVVIERISRCPIHSGTISCKIDDAGSHEINGVRRRDVLNCFGAAISMELVASSSPISCPFIEAANVADLIQRRQRSDFQSSIKMTLYKAIKANPELISPLLTLALNDSMTYDKPTKTGGPNGSIRFSSEIERPENKGLSAALSMVEEAKKEIDSYSKGGPISYSDLIQLAGLMKANNSGIRRGKESSS >cds-PLY75703.1 pep primary_assembly:Lsat_Salinas_v7:8:194790440:194791457:1 gene:gene-LSAT_8X125640 transcript:rna-gnl|WGS:NBSK|LSAT_8X125640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLHDEGVENEKGDDNEEEQNAEGEGKKEDVKDVLDTKTKSHHLDMTENIAKDEGKKEDLVKDMLDPKRKSHPKISN >cds-PLY62128.1 pep primary_assembly:Lsat_Salinas_v7:6:46642917:46653497:1 gene:gene-LSAT_6X33801 transcript:rna-gnl|WGS:NBSK|LSAT_6X33801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein virilizer homolog [Source:Projected from Arabidopsis thaliana (AT3G05680) UniProtKB/Swiss-Prot;Acc:F4J8G7] MGRPEPSVLFAQTFAHAYLDEYVDEVIFSEPVIVSACEFLEQSSSSSSPAVTLMGATSPPSFALEVFVHCEGESRFRRLCQPFLYSPSSSNVLEVEALVTSHLVVRGSYRSLSLVIYGNTGEDLGQFNLEVDLDTSLTNTVSIVEGDLEDLPPALNPAKSAIEEPISSLKSLTFKPIASDISVDMKQFLQLSFKILEIPNTREDALNTVFNSLVSAAVMYSTQTLQLASTSQLREVDHEESSFDFSQARKDLLDICHTLLTTSSVSPENLTLESEGDLVTSKQLVDTLRQHFLIFTDSESLIRSQLPQSKLLMVWLSAALLLCSSKESCFNFVNGGCMKQLCDIFSKNVHSSGITLMLLGVIEQATRYSIGCEGFLNWWPREDDKMPAGTSEGYNQLLKLLMQKQRHDIASLATYILHRIRAYEVASRYEFAVLHVLGVNVMSVKMDMLASAKLQLKKLLKLINSSSLVEDPSPVAITSRSLVLGETDGLLSYKATSKLITSSNCRFINWDIDSHLLFLLKERGFLPLSAALLSSSTLRSEVGHAMELFMDITSYIESIILSLVFCRSGLMFLLLDPELSTTVILALKGSDSKIQESIPLRYASVLISKGFFCRPREIGVVLKTHLRVMNVIDRLITSEPHSEELLWGLWELCCVSRSDCGRQALLAIGHFPEVVSVLIAALHSVKELEPVSVNSGTSPSPLNLAIFHSAVEIFEVMVVDTTSSSLTSWISHAKELHKALHSSSPGSNRKDAPTRLLELIDAAVVYHKNGAIGLLRYSAVLASGGDAHMASTNILACDENHEMDVDNVVGVGDASGTSDGNVIDSLLGKPITESRFLGFTLRDSSVAQLTTAFRILAFISENSVVAGALYDEGAVMVVHAVLIDCKLMLEKSSNNYDYLVDEGTECNPTSDILLERNREQNVVDLLVPCLSLLINLLHKLKDTKEQHRNKKLMKALLHLHRELSPKLAACVVDLSYPYPNFALGFEAVCHLLVSALACWPVYGWTPTLFHFLLDSLHATSLLAMGPKETCSLLFLLNDFLPDEGVSLWKNGMPMLSAFRQLAVATLLGPEKEREINWYLQPGHKEKLIGQLTPILHKISEIVLHCAISALVVIQDMLRIFIVRVACVHADSAAILLRPMILYIDEWLSDPSALTDTDAYKLQRLLDFLASLLEHPRAKPILLSEGAVQMLSKVLNTSHKLSWCIPACRSISLLSDSRNNAKILTAEDCSLLLFNLFRLAKFLPVGKELVACLLAFKDLGSSSEGQNGLFSIFSQVQSLYEESEHENSPLIDGKFDLIELNKTPPLLFCLRTLLNSIETEDIPSASLIEAIEALSSGALNFCMDKKSLNLKMVNPVKYLFGLPCGISNANNSSEENIKYILKLTSFASSPQVNEYAESLSRLLQTPNESLKPDVISSVVEESLFPKRPSKIQKISNFTLDRSEHYNLNIYGDKFTWECPENLRDKTGQSLRRKLTPLEGTNRRPRVENAPAENTNQSPFSRGPGGQFTTPVGPTRRDTFRLRKPNTSRPPSMHVDDYVARERNDGTTTSSNVISVPRVGSSSGRPPSIHVDEFMARERERQNPMMGVVKNTTPSESETNSEKMNKSKQIKPDLDDDLQGVNIVFGGEESESDSDDRLPFPQPDDNLQQPESVIPEQNSPRSIVEETESDANESSRHFDEKSQSDFSSRISVSRPEMSLTREPSISSEKKPYFDLPEDSRNAQMTSKTSGFSSVSNFKNPNLYYDQKFPLAQPPLPPMPPPPTVMADAQPPMPPGFHVHSEYARTSGGPMRSQPPLPPTPPPYTAASSLSSLSSYPPPPLMPPMIYNRPSIPYNMYGTNPTQQNQGENQFTQLQPLQPPQLSRPPQPQPPPQHLRPPGPALTQSDHVQMQMGQPSHVYYQTMQQGHNSNNLLQQHTQLDPQPQGHNSNLLQQQDASSLSLQHIFSSPQAIQDLLGDREKLVQLLEQHPKLMQMLQDKLHG >cds-PLY95169.1 pep primary_assembly:Lsat_Salinas_v7:1:169919826:169921885:1 gene:gene-LSAT_1X113741 transcript:rna-gnl|WGS:NBSK|LSAT_1X113741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGYRANALLTFAVTILAVMCAIASVSDNFNSPSPTSQVQVLNINWFRNKPDGDDELSMTMNISADLQSLFTWNTKQIFVFLAAEYQTPQNSLNQVSLWDGIIPAKEHARFYIHTTNKYRFVDQGSNLWERDFNMTLHWHVMPKTGKMFADKIVMTGFRLPKSYK >cds-PLY78462.1 pep primary_assembly:Lsat_Salinas_v7:4:261998879:262002731:1 gene:gene-LSAT_4X135980 transcript:rna-gnl|WGS:NBSK|LSAT_4X135980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNHWVFGCFLCLLASWVTANVVLMGNNLTLAFDDIEANFAPPVKGSGECGTLFLAEPLDACEPLSNKTIEDSLNQPFLLIVRGGCSFEDKVRRAQSAGFKAAIVYNNDDTDLVAMAGNSGGISIHAVFISKTSGLKLSMYAGITSLELWIIPSFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRDRPQASRVREFHGMSSRLVKAMPSLIFTAVLEDNCTSATCAICLEDYSVGDKLRILPCRHKFHTICVDAWLTSWRTFCPVCKRDARTANGDPPASERTPLLSSNPASMASSTILSSAWSSSYASSAAIHIGQSSRTHSISNSNSNLRSVSVASTPYNIHSSLQSNHQSPYGLTPSRSSLDIRNLASSSHRSRASHLLSSNSLGYPSFSPLNSRHLSSYYPSPGNASSSYVWSSSHQPHPLRHSDSAASFSPYASAHSLPED >cds-PLY96519.1 pep primary_assembly:Lsat_Salinas_v7:5:335954190:335954896:1 gene:gene-LSAT_5X187341 transcript:rna-gnl|WGS:NBSK|LSAT_5X187341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIVNSCYGEGDVPVMNRSSDRSPTSLPWCIWFVGSHSSRKGQHIDISRHTGGLVSGNTTFRDVWIVIPVDRKRKEEEKNGFSGELPSSSLVPSPTASIDRKREKHNRGCLAVVIGEHHRGGLGLSFFNWRRGCCLGSQKNSHFRWCLGLITSTISDGGKLDRKGNNILFEKLLLL >cds-PLY70296.1 pep primary_assembly:Lsat_Salinas_v7:2:92033349:92036359:-1 gene:gene-LSAT_2X40000 transcript:rna-gnl|WGS:NBSK|LSAT_2X40000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTLCLTRKKTHSTRVTEAVVTDVIKLVFSEWLEIIKLIQNYKGLIYNELRSVLSSYLIVKVCNMDIIPPEAFVTILEDTDDEKEPEIQIGNPTDVKHVAHIGCDGPSTPAPSWMNEFQSGSDSQSGDKNAGSKDLGAATASPSRPTKTRQSKRQPTSSSLGTSDSSENPNHKPRKSKTSNSDTKKIKSSILGSDSQAQEQSGKKTRKKKGESTRSSKTKNKDADSGCSDGVCKKPVD >cds-PLY85226.1 pep primary_assembly:Lsat_Salinas_v7:1:148352452:148353379:-1 gene:gene-LSAT_1X105200 transcript:rna-gnl|WGS:NBSK|LSAT_1X105200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTTLSFPSLFPTISHNVNTTSTITLGHNNFFSSKSHEPGSQSRKVSGNQCRARRQLRFSEDECENDEEYGHNEAISLLEFYSQVAKNEALLVKAIVDDLEVEVLIFKGFSSSLSSGTSPDPTRSILPARAVIKCIDRVKGPFDPSNIDYIEKDLTLEAFETVVEKIKSIRSNHQN >cds-PLY93496.1 pep primary_assembly:Lsat_Salinas_v7:9:87066353:87067155:1 gene:gene-LSAT_9X68540 transcript:rna-gnl|WGS:NBSK|LSAT_9X68540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGDQGYVRLKERSLEVTPSWAVAVVVFVILAISIALEYILHLLGHWLHHKHKKALSEALEKIKAELMILGFISLLLTVGQGPISDICIPSRVARTWHPCNRKTSDDDYYDPCLKKVYNYSDFD >cds-PLY82015.1 pep primary_assembly:Lsat_Salinas_v7:9:157841135:157848043:-1 gene:gene-LSAT_9X99560 transcript:rna-gnl|WGS:NBSK|LSAT_9X99560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ABCG10 [Source:Projected from Arabidopsis thaliana (AT1G53270) UniProtKB/TrEMBL;Acc:A0A178WBC4] MELPVKSPVVAGNGRKTSYKIETKNLAYKSSNLYDESKWGSLQNYFKKPPKFILNDVTCEAHPGVLTAIAGPSGAGKTTLLDILAGNIPSGQVSGQILINNLPIDTKRFRRLAGYVTQDDALFPSLTVEETLMYSAFLRLRCGRKEAVDRVKILINELGLDRVSSSRIGEGSNHGISGGERRRVSIGVELVHDPSVILIDEPTSGLDSNSAFGVVSLLKSMAISRDKTIVLTIHQPGFRILELLDRLILLSNGFVLHNGSLKSLEHRLKVSGHFIPPRVNVLEFAIDVATTLIIDTPKTPIERVKNHEKPEKDGEFPYPNSHLEEITILSERFFKNIFRTKQLFLTRIIQAVLSGLILGTIFVNMSTNKGKLALQARLGFFAFSLTFLLSSSTEGLPIFLQERRILMRETSRGAYRVSSYVISNTLIFLPFLLMIGILYTIPVYWLVGLRTEINSFFYFALIVWMVILTSNSFTACFSALVPNFIMGTSVISGLMGCFFLFSGYFIAKDSIPKYWIFMHYMSLFKYPFECFMINEYGGEEGMSMCVEMDGGKCRMYGNGFLEQQHIKESQKWSNLGVITTFSMDKKHISQTWVSIMYQRFEAAYQEVDEFMNKDTVKYVENHIQTVGESMKKFYNGVMQDLILPPKTQTYTNSISSIKENTIDSSLIPIEEPDTNPNFENEDKISFQEGEEIVYSKTSESDDSLFEDANWGIEKIMNLNMEIEKKIEGEETLVNGELSECDSNNENKEEKENSYDSSTGDYFSASSYDVSSCESSTHNNDSTMFASSDFALSNETTHEVNDSMVEFEDLNMDTIDLSDKEQLVESCVIVEGEKVFSFSYGSGKSKSYKKIIQDAFMSRKKLTKEYKQLAIWSGDIEKEFSRKTDENATKSQVQDSHDSEWELL >cds-PLY69455.1 pep primary_assembly:Lsat_Salinas_v7:6:122803634:122804194:-1 gene:gene-LSAT_6X72780 transcript:rna-gnl|WGS:NBSK|LSAT_6X72780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEASKFVTEKKKGERRLTGGYIKLLSTRMYFKKTGSKASQILILLFVGSVGLIFTKRDLKEVSEEVAKYKVGAPMHVGLVAPMDTVLNIPNKINKGIVEITTHIELIKKGDNVGSFEAVLLDKLGIMLFSYGLIVVTVYDNGSVFRHEVLDLTEEDLIEKFALCVLEC >cds-PLY89701.1 pep primary_assembly:Lsat_Salinas_v7:3:164807734:164810725:-1 gene:gene-LSAT_3X102261 transcript:rna-gnl|WGS:NBSK|LSAT_3X102261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVTHVVHRWDSQGHFKPNFDKGNEPFVVTMQPPNVTGSLHMGHAMFVTLEELELVEGQDQVIEMYMNEEVSELKKEIEELEHLLQDEEKCRAAIAKLNPNNNRSHERILEISIKVKGDGPIVLVLAPTRELAVQIQQEATKFGITSKIKNTCIYGGVPKIPQVRDLQKGVHIIIATPGRLIDMLESHHTNLRRVTYLVLDEAYRMLDMGFEPQMKKIVSQMRLDCQTFYWSATWPKEVEQLARQFLYNPYKVVIGSQDLKANHSIQQHVDIVTQNQKYNKLVKLLDDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKIQEERD >cds-PLY87888.1 pep primary_assembly:Lsat_Salinas_v7:5:3613136:3613697:1 gene:gene-LSAT_5X1720 transcript:rna-gnl|WGS:NBSK|LSAT_5X1720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHDTDGSLSPIGGSDSFLEGDDNCNIRLNVDAIRSALNEMASSSSNSNSHSGWTSSDDEDEADVMDHDLDDEGGKSARSFREKRKAHYDEYRKVKELQKKESMKKDDEKQSIVDGVGDINISMHYKR >cds-PLY87332.1 pep primary_assembly:Lsat_Salinas_v7:3:111870227:111870722:1 gene:gene-LSAT_3X80901 transcript:rna-gnl|WGS:NBSK|LSAT_3X80901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENFTRNFSRNLSRSVSSSVNANRVWRTSTYGGFEGGRSMRTVRLGEENNGKFSIIKKMFNFRSSKKDEKKVSKARRSSKITSSNEEFQSRLLAEIYKNISSNHELSSV >cds-PLY87374.1 pep primary_assembly:Lsat_Salinas_v7:1:98406267:98406581:-1 gene:gene-LSAT_1X80760 transcript:rna-gnl|WGS:NBSK|LSAT_1X80760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPDSEVESFDVEFEDGVIQEQAQAQPEDDVEFEYQADDVIQEQAQAQAENAIKVQAEVQVEVEDDNQIVVQDQVEEIMQKVPAFQVVVGKRARKPSEKLQNLR >cds-PLY70259.1 pep primary_assembly:Lsat_Salinas_v7:4:205567932:205570874:1 gene:gene-LSAT_4X116540 transcript:rna-gnl|WGS:NBSK|LSAT_4X116540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARGKIQIKKIENSTNRQVTYSKRRNGLFKKASELTVLCDAKVSIIMVSCTDKLHEYISPSITTKQFFDQYQKAAGIDLWNSHYEKMQEELRQLKEVNKNLRRQIRQRLGDCLEHLGFEELLDLEKESQEAVYIIRERKLKVIGNKLETSKKKVRSAQDVYKKLMHEFGIRGEDPQYGMIEDGEYEAMYGYPPQMTTPRILTLRLQPNHPNNLHATTSDLTTYALLG >cds-PLY86958.1 pep primary_assembly:Lsat_Salinas_v7:5:266550229:266553219:1 gene:gene-LSAT_5X137281 transcript:rna-gnl|WGS:NBSK|LSAT_5X137281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 8 [Source:Projected from Arabidopsis thaliana (AT2G03070) UniProtKB/Swiss-Prot;Acc:Q4V3C1] MDGPMGVGQGQPQQQQEQPQQQAVAKERLNPAVQQQLNLESVKTRALSLYKAITRILEDFELIARTNSVPKWQDVLGQFSMVNLELYNIVEDIKKVSKAFVVHPKNVNGENAGILPVMISSKLLPEIEADDNSKREQLLYAMQNLSVPSQIEKLKARIDMIGAACESAEKVIADTRKTYFGTRQGPTNILTLDKAQAAKIQEQENLLRHAVNHGQGLRIPMDQRQITSSLPSHLVDVLPVNDGIQTISESSGMYMKNTPPMSSNTVNSQGALLQASGSQLMGRAAASPSGPTGASSFDNTTASPLPYANSPRSGNMMNTPSPQQQTQQQQQQQQQHQQQQQQQRQRLMQQLPQHQQQMLAQSLRQNPMTGLAQNQLSQLHDLQGQAQQKYQLHGQNQMAFSQSLGGQQFQGRQLPSGGIQHGIAQSQLNQGNQLGRHLNQMSTTANTALFNAAQATPNNQMMSNMTGMMPSQSMLPRMQFGLSGGNRSLGAQNLSDQVFNMGGNPGSMMPIQQQQQQQQQQQQQQQGGFGNMQQNTQNLQQQQPGMVVPMQQNPQQNHPNFQQHRQNQ >cds-PLY63897.1 pep primary_assembly:Lsat_Salinas_v7:1:125254424:125255346:1 gene:gene-LSAT_1X94581 transcript:rna-gnl|WGS:NBSK|LSAT_1X94581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEETAISSSFHDPHFYSLCISDYDGKVTHEEMASAAIYLKDTLGKEGVQELITNLSKDKEGNILVEDIVKLGSRAEDAD >cds-PLY94976.1 pep primary_assembly:Lsat_Salinas_v7:4:111718433:111718844:-1 gene:gene-LSAT_4X70081 transcript:rna-gnl|WGS:NBSK|LSAT_4X70081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFCFTNPNKLQETQEENDENKEESDYEDGVEESQEDNDEDGSEDDEEGVDDTQVRVRTQVMVRTSNPSERITENMLKKIMVGKKGIGMAPENLLLWIRLVRI >cds-PLY86802.1 pep primary_assembly:Lsat_Salinas_v7:5:16386580:16389003:1 gene:gene-LSAT_5X7861 transcript:rna-gnl|WGS:NBSK|LSAT_5X7861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFNLSNPIFPTIDHRHHCFPNVKPQFAFSRSSIHLSNSRITEVRAQLTDTIPVAAKSISKDEVMKAEKQVIVGTYGRAPLVLTSGKGCKLYDIEGREYLDLTSGIAVNALGHGDPDWVKAVTDQANLLAHVSNIYYSLPQVNLAERLVASSFADRVFFSNSGTEANEAAIKFARKFQRFSHPNKTDPATEFISFSNSFHGRTIGSLALTSKEHYRTPFEPVMPGVTFLNYGDIKAAQELISSGKIAAVFVEPIQGEGGIYSATKDFLQALRVACDKSGSLLVYDEVQCGLGRTGYLWAHEAYSVTPDIMTLAKPLAGGLPIGATLVTEKVNSAINNGDHGSTFAGGPLVCAAAIAVFDKISDPRFLGSVIKKGNYLKEILEEKVGGNSHVKEIRGFGLIVGIELDVSASKLVDACRESGLLILTAGKGDVVRLVPPLIISEDELDCAVEIIYQCLHVLDEKVSN >cds-PLY71792.1 pep primary_assembly:Lsat_Salinas_v7:6:89872699:89873877:-1 gene:gene-LSAT_6X61400 transcript:rna-gnl|WGS:NBSK|LSAT_6X61400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMALHDKDNEQHRQQLERMKTLNSSETSKDPQSVPSDIIIEILSRLPVKSLLRIRSVCKLWFSIISDPHFVKSHLSLSTSNNRYAHHRLILSTNSISVHSCPLYDVLYDGTVNALEIDYPLKESFDYVRIFSCCNGLLLIANADGDLFIWNPSTRRSNRLPCSGSRGRPCKYEFGYDESSDDYKVVGIYYVSKGEAEYDAIVKMYSLKTGNWKRIGDFHNGFSMDCSVKYLNGVLHWMSIQDSGSSYSWTIASLDLAKETYGEILQPVYDEFRTHLKLGALREWLCVLCDYSQIRADVWVMKVYGVKDSWTKLVSIPYSTDPGWHRFSVPLCISDDGKVLLKFGSKLVVYDSKNCSSSEIQNFNEYVEAYTIVESLVSPDSQAGPGDNNQA >cds-PLY76841.1 pep primary_assembly:Lsat_Salinas_v7:3:3639290:3639777:1 gene:gene-LSAT_3X1880 transcript:rna-gnl|WGS:NBSK|LSAT_3X1880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVSERPPMAVTNAVSWRSEGIHYKKNEASPVNRSPPPTPVQSSGGGSIFGGIGSTIAQRMAFGTGSVVAHRVVDSIMGPRTIQHETVGASVPDASTTNTSVSDA >cds-PLY76858.1 pep primary_assembly:Lsat_Salinas_v7:3:5563840:5565545:1 gene:gene-LSAT_3X3881 transcript:rna-gnl|WGS:NBSK|LSAT_3X3881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLSNLFWARNRYRRSHQRARADCERKSCTPVVQHIALNNVWLSMVKFSDKVDEVEWREKSILKLAQAKVNFFFNEHQGSYLMKRLETKHKQIDFKFQNHKY >cds-PLY89567.1 pep primary_assembly:Lsat_Salinas_v7:4:366699808:366700884:-1 gene:gene-LSAT_4X176960 transcript:rna-gnl|WGS:NBSK|LSAT_4X176960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSAHLCDELIVEIFTRLPSKSLLRFRSLSKSCYSCIGSPDFIRMHTSQSQQKLLIRHRAHKENKEGELEEFYTLHPQDQLSLSYKHGYNNITPVQFPSTYSNIIGSYNGLVCLFDYDEKSIILWNPSIRRKLTLPDCPRTCYSEVEIGFGFDPVIDDYKIVCMPVSNGKKAGRFFVYALKTNIWRRIASHTPLFSSVITKPCLFNGVLYWLGHYDLTRTYYLLTFDLSTHVFGSIALPFKTVLSVAPTPIQGSIPSLANFANQIWLMKDASWSAFLNFKKDQVMAHLSSVLQLSTSDDLVFYSFCNGFQVYNLKIGEFSRLVNFNGASFVFDVIQCLESLQLLGMGTACEGNKLIFS >cds-PLY74389.1 pep primary_assembly:Lsat_Salinas_v7:6:153888403:153891455:1 gene:gene-LSAT_6X93140 transcript:rna-gnl|WGS:NBSK|LSAT_6X93140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKKDNEKAKIGVDMEDKENVVKRKKVVNDAQSKKSPKRKKGKVEHENVKIKTMEGEVRKVSKNHLEGYRIFATRMTPGRINAAVKVMSPAQKNGIVSMGFGSLLNIDMDTTPGLLNYYLFDHYDLDSSRLVLENMLVYVYSMKFSGLKIVKSLPFVRNVTGALLEKIEKLEISVGGFGRQLPENFEDIDDDDGMVDEDEMLDELMTEYGDEEAYVAVIEHIYGVILSEKKNIEKTLKHGIEKFPDSLMLKEWEEIWNIGSGHVLHQGFAYHFKSNTFIHAIIIDCWSSLLNRMEELRDVGSVSRVFFDTNFLAEETLDASVSSTRTQRLFDSMLKLHLKSLPKQEKLKDIGLVFFPIVDKSKYYLILFDLRVPTYYIIDHVNRNGVVEDIYGIKPVHVKKLLGNYLKIEHYQKSTAFNKIKAQVMKMTWKVEKEGSDCGVYLMRHMESYMGENEGPWYCGFTGKKYSDVLALNNLRIKYMAKLMKSEYNKCKSMLEKDAEAYDRLDPL >cds-PLY86893.1 pep primary_assembly:Lsat_Salinas_v7:5:265101163:265106623:1 gene:gene-LSAT_5X135921 transcript:rna-gnl|WGS:NBSK|LSAT_5X135921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLVAIEGSEKSKLEGTSAIVITCSDSSKQIADPVVYKLARVEGDGRLVPATDDEVMEVEDLLDDKSSVHFVLDTCQPAVCASNHETFGQEFMPMSIDSQGLFKVKDPCTLGGGSDTVFGTTVDLGKLNSQFEEIGRSVSIPVDGKIEESGDRKGSVESEPSTSTMCVNGKPDFSKLKGEICLDNLSVRELHATFKATFGRETSVKDKQWLKRRISMGLSNSCDVSTTTFIIENNIITKKPKVEEDCKDNSNLNIEKEKEKEDAFVISVGQSQSVDNHNHNHNHNHMEDDKLGGSSKSVKSGTTAIPKHHHMGIGSEDDKDGGKRVRKPTKRYIEVSESESRDSGSGRLVSSVKSSGHGPRTRVRPLHNIQPVTSATTRPLVTRQDSLGGSGVEIPYVSRIRRGRPRENFMALMKFQSCGTGIAAKLVKTAHAVDEVENRELKNALPTGWVQLQQPVIKEGGGGSEQYSEEASGELKNDSEEGQMDSYDDVEAEAEAEEEEDSDDNDNGNNILTVPTAKGGMRRKHHRPWTLSEVVKLVEGVSRYGAGRWSEIKRIAFSTCSHRTPVDLKDKWRNLLRASFAQLPAEKGVQNSKKQSSIPIPAPILLRVRELAEMQSQVSPDLKQPLRFGGGHNHNSNSGSRNVNDIKSGFL >cds-PLY88477.1 pep primary_assembly:Lsat_Salinas_v7:1:150055132:150055497:-1 gene:gene-LSAT_1X105740 transcript:rna-gnl|WGS:NBSK|LSAT_1X105740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSISPSFHTIRSKTTIQELVYSMSHQTKPTFMACQTRFGFDPPTDKEKESKQKKESLKEVFVSIEKLGRGIKESLSPKQKGDWKDLVLMSLSFAVYIYISQRIVCAYCAWNSTFEHHW >cds-PLY89131.1 pep primary_assembly:Lsat_Salinas_v7:3:21435701:21436262:-1 gene:gene-LSAT_3X15941 transcript:rna-gnl|WGS:NBSK|LSAT_3X15941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRGDTPFCSEECRAEQIEIDEAKEKKMSLYSSIKAMRKKEEIGESSNSSPKYHFHSGTVAAA >cds-PLY77052.1 pep primary_assembly:Lsat_Salinas_v7:MU042657.1:73944:74792:1 gene:gene-LSAT_0X31980 transcript:rna-gnl|WGS:NBSK|LSAT_0X31980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRHTPQLYNFARYRLLSPKPSNNDKNHSNTNRARSQLMETHLAKLFKQKMEIFTKDQHTQVTQLSPKILKSEGVPVYRASQCCGEFIVTFPRAYHAGFSCGFKCVEAVNVAPVDWLEHGQGVVEVYSQQRRKTSISHKSLLARERIRALWKA >cds-PLY75678.1 pep primary_assembly:Lsat_Salinas_v7:1:101052096:101055223:1 gene:gene-LSAT_1X82120 transcript:rna-gnl|WGS:NBSK|LSAT_1X82120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEMSSGTLVFHPKYFGDNINPQFLLDVVRSCYDDDKKATGADKLIDPLVGDHIDMKSFHVFNKIAHECISLKLEERPTMDRIIRRIQRALHITQLNDHESASTITTRSLESFLIPLEDINLATKNFNHETCIRDFYYGAVHRGQLIRRWQNQTMAITRFYPKNFHTEDQFYKELRIISSFHHQNISPFIGYCDESNERIIVHEHAVNRSLANYIKDFRKTRNLTWAQRLKICIGAARGLQSFIWLLGKITKQLKGTLTAKTYT >cds-PLY98455.1 pep primary_assembly:Lsat_Salinas_v7:1:9173608:9174707:1 gene:gene-LSAT_1X7161 transcript:rna-gnl|WGS:NBSK|LSAT_1X7161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSSMASMVGWKPMNKTETLFPRKRSKNGFSVCAQQQEVQESTSIQQEEVKEEKPVVKGVTQPRPVEPQVNVQSKNMGREYGGQWLSSTTRHVRIFAAYIDPETCAFDQTQMDKLTLILDPTDEFVWTPETCNMVYSYFQELVDHYEGAPLTEYTLRLIGSDIEHYIRKLLYEGHIQYNMNARVLNFSMGKPRIGFSYNEYQVEDVNK >cds-PLY94853.1 pep primary_assembly:Lsat_Salinas_v7:2:179547277:179547639:1 gene:gene-LSAT_2X101540 transcript:rna-gnl|WGS:NBSK|LSAT_2X101540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEGKYNHETSIPSNFWTRDQWFIAITAMVLSLIMGYLVYDAVMSTAVEMLQSLLMISPLILVIVVHWLSAPNRFSIPVPGSEPNAIHQAGGSPWGITLILALLFFLISHQPSLRGFLF >cds-PLY85889.1 pep primary_assembly:Lsat_Salinas_v7:5:97152823:97153407:-1 gene:gene-LSAT_5X45120 transcript:rna-gnl|WGS:NBSK|LSAT_5X45120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVASVSPAAISDGKLGLKHRLSSYQNPVSFVIWSLDDTMVLTCGNSEVFRLWDVETGTCKHTFGDNNFLVSSCAWFPDSKRLVCWSSDPKKGICMWDCEGNEIKAWRRT >cds-PLY81476.1 pep primary_assembly:Lsat_Salinas_v7:5:335081922:335087029:-1 gene:gene-LSAT_5X188301 transcript:rna-gnl|WGS:NBSK|LSAT_5X188301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSAVGAPIPGRRSTVRVVVVGDRGTGKSSLIAAAASENFPESVSPVLPPTRLPADYYPDGVPVTIIDTASSLEGKAKLEEELKRADAVVLTYACDKPETLNRLQNFWIPEVRRLKVKVPVIVVGCKLDLRDEHYPMSLEQVMAPIMQQFREIETCIECSAANLVQVPEVFYYAQKAVLHPTAPLFDQESQTLKPRCIRALKRIFILCDHDMDSALNDNELNEFQVKCFNAPLQPAEIVGVKRVVQEKVPEGVNDYGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNDDIELRKENLPVPSRKAPDQSIELTSEAVDFLMGIFSLFDSNNDGALQESEVDELFSTAPESPWDEAPYKYSLERTELGDIRLSGFLSQWALMTNLDPAKSLAYLIYLGYTNDPATALRVTRKRTLDVKKQQSDRHVFQCYVFGPKNAGKSALLSSFIGRPFQNSYALDNNNCYTVNAVDQLRGTKKTLILREIQEDDVKEFLSSKESLAACDIAVFIYDGSDEYSLKRASELLMDVARRGEDTGYGVPCFFVAGKDDLDSYPMAIRDSEAICQELKIDPPIHISVKERDMNNIFWRIVNAAERCYLSVPETEYGRNKKQYRRLVNRSLMFASVGAAAAVIALAAYRAYASRKSHSSS >cds-PLY74093.1 pep primary_assembly:Lsat_Salinas_v7:9:12742379:12746021:1 gene:gene-LSAT_9X10540 transcript:rna-gnl|WGS:NBSK|LSAT_9X10540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLHKFQPRDRLRSNTRRRDSMGGGEDDISGEEASNITKQKVAAAKKYIENHYKEQMKNLQERKERRILLEKKLADADVSEEDQNNLLKFLEKKETEYMRLKRHKMGADDFELLTMIGKGAFGEVRVCREKTTGSVFAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSNCIVKLYCSFQDDEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVAESVLAIESIHKHNYVHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTLEDTDFSNVDNGVGTSTGDDRSGAPRRTQQEQLQHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYALECDWWSLGAIMFEMLVGYPPFYSDDPMSTCRKIVNWKMHLKFPEEARLSLEAKDMISKLLCNVNQRLGSKGADEIKAHPWFRGIDWDRIYQMEAAFIPEVNDELDTQNFEKFEESEHQNNSSSRSGPWRRMLSSKDINFVGYTYKNFEIVNDYQVPGMEEGPETDTETTSDAGNKSFSNRLPPKVEVSEKQK >cds-PLY87629.1 pep primary_assembly:Lsat_Salinas_v7:MU040392.1:524953:525841:-1 gene:gene-LSAT_0X11820 transcript:rna-gnl|WGS:NBSK|LSAT_0X11820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCFFFFYSLSLLYARSSKSKHKNKGLSLSLSTNTHTLSRSSKNEISPCHYLILQKRNPHSSSSISLVHQEGVDFNDSSLDDLIRWGNSNNSFIVVDSLAFSQHLLPTYFKHNNFSSFICQLNTYGFRKVDPDRWKFVNEWFVIDVYEENEQ >cds-PLY72218.1 pep primary_assembly:Lsat_Salinas_v7:7:55953846:55957739:-1 gene:gene-LSAT_7X40220 transcript:rna-gnl|WGS:NBSK|LSAT_7X40220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSRKSTKRQQTNFNQLPALCVIAQEITDLAFTEKEIYSPILAKHHPLPVGVAVATLHSCFGQQVKAFISNITDLTPDVIQVLIAADKMEKCLVQMAVEDSFDSEDGGKSIIQEMSPYETEIVIGDLVKSWIQTRVERLTQWVHSNLKKEVWNPKVNQGQFGQSVVEVLRTISETLEAYFLLPIPLHAALLPDLINGLDKSLQDYIFKAKSTSGSRNKFLPKIPSLSQMAENRTTKDNYDYDYDDDDDSCGILQLCVRVNTFIYIRKELQIFQERVVAQLSSTGSRTTDKGNIVNYYKISFNLSLTACEDGIQELCEVTGYKLVFHELNHVFWKGLYIDGASSSRIEPFLEELEENLEKITGIVHDDSVRTRVITEIMRASCDGFLLVLLAGGHSRNFTLQDSFNIQEDFHLLVDLFWSHGDRLPTELISKFSAPVEGILPLFATDTETLIQQCKALAVDKGGRLPESGEWDRNDPNTILRVLCHRDDRVAFKFLKNNFHLPKNV >cds-PLY91443.1 pep primary_assembly:Lsat_Salinas_v7:7:133103169:133109413:1 gene:gene-LSAT_7X80501 transcript:rna-gnl|WGS:NBSK|LSAT_7X80501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHGSSKSSSVDESEDSSSSGGTNSKQPPSFRGRIKQKLHLHRRPAASQHAKLNSHETFVGIALVTLINAEMKFKDKWLACISIGEQTFRTDISDQTNIPTWNSEKKFVLELNGPHIAKISVFETNRLSKNNLVGYCEIDLFEFLSRVSDSDTEMFDLFDPSSSDVVVGKISISCSIEDPIETEKSFARRILSIVDYNGDGKLSFSEFSELINAFGNQLAAKKKEELFKAADVNGDGVVDMDELATLLAVQQEKEPLMNCCPVCGEILEISDKLNSMIHMSLCFDEGTGNQVMTGGFLTDKQASYGWMFKLSEWAHVSSYDVGLNSGSSASHIVVFDRKKKRLVEEIIDGKIVLSMRAIYQSKIGLGILDKDQINLAEVKYPIDHFKTFNEFFIRELKIGARPIACVGHDDVAVCAADSRLMAFKTAEESRRFWIKGKKFSLQGLLGNLPCSNDFIDGTLVIFRLAPQDYHRFHFPVSGTIDQIVDIPGCLYTVNPIAVNSKYCNVFTENKRAVSIISTSDFGKVAFVAIGATMVGSISFTKKKGDYVQKGDEFGYFSFGGSTVICVFQKDSIALDEDLLGNSARSLETLVTVGMQLGVSIKKHTELPLPDINNCVLGA >cds-PLY67027.1 pep primary_assembly:Lsat_Salinas_v7:5:280235247:280236284:-1 gene:gene-LSAT_5X147341 transcript:rna-gnl|WGS:NBSK|LSAT_5X147341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCMLLMITMDTTEAQKQLKVGFYKTTCPAAEQIVRDTVNKSVTANPGMAAGILRLYFHDCFVRGCDASLLLKTVPGSETESEQDAGANAGTLRGFEIIDQTKAQIEAACPNTVSCADILAFAARDSTTIVGGFSYAIPSGRRDGTISNIDDVELPSPDSDLNTLKNTFVAKGLSVGDMVALSGAHSIGRAGCNSVTSRLHFFNGDQTDPSLDPTYAAALKKKCPKSGKSGTTNLDPVSANRLDNQYYLNVKQHKVLFSSDQTLLDSKLTATLVTKYSSNLTAWRNDFAAAMIRLGSLDVLTGNNGEIRNKCGVRN >cds-PLY71737.1 pep primary_assembly:Lsat_Salinas_v7:3:45302681:45305496:-1 gene:gene-LSAT_3X35680 transcript:rna-gnl|WGS:NBSK|LSAT_3X35680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALENSHELENGDGTTRSDQNFLEKEAEEADDEANKGKEIESVEMIFRDTVVPSWKGQLTVRAFVVSAILGVVFSFIVMKLNLTTGIIPSLNVAAGLLGFFFVKTWTKVLEQCGLLKHPFTRQENTVIQTCVVATSGIAFSGGFGSYLFGMSDTIAAQSSDANSPTRDMNIKNPSLSWMIGFLFIVSFIGLCSVVPLRKIMIIDFKLTYPSGTATAHLINSFHTPQGAKLAKKQVTALGKFFSFSFLWSFFQWFFVSEDDCGFENFPTFGLKAYQNMFYFDFSTMYVGVGMICPHLINISLLLGTILSWGIMWPLIEKKEGDWYEAGLKSSNFHGIQGYRVFTAIALILGDGLYNFLKVLGNTSIALYKQLKNKESRTVLPVGPDGKATSEDLATSFDDKCRKQVFLKDRIPTWLAVGGYVAIAAIATTTLPQIFEQLEWYYVLVIYVFAPALAFCNAYGCGLTDWSLASTYGKLAIFVIGAWAGKSQGGVLAGLAACGVMMNIVSTASDLMQDFKTGYMTLASPRSMFVSQVIGTAMGCVIAPCVFWIFYQAFPDMGVQGSEYPAPYALVYRNLAILGVEGFGSLPKHCLSLCYGFFAAAILINVARDTAPKKWARFIPIPMAIAIPFYIQKPFAIDMCLGSLILFIWEKRNKKEAKAFGPAVASGLICGEGLWSVPKSILALVKVNPPICMKFLSKGMNVKVDDFISTLSR >cds-PLY92891.1 pep primary_assembly:Lsat_Salinas_v7:3:182269172:182300536:1 gene:gene-LSAT_3X109341 transcript:rna-gnl|WGS:NBSK|LSAT_3X109341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPFNSLKDFWNLKPRDDGGAALRSHRNNFIVVEDAYQRSPTNQQKQDAREALQRHRKNFGAAKPHTNQQKLDVKKALKRHAKNFVVVEEPYQRPHYFPNLPKVAYMPRTNQPKDLKIPFHVIKDCTQDFNEKNFIAKGGYGRVYKGILTWADHVNQLVAVKRLDVSGFQGSKEFRTEVTMLSEYQHKNIIKLIGFCDDNKEMILVYEYASHGSLDKYLSDTKMSGGLSWSQLHKICIGVTYALDYLHNHVAEKHRIIHRDVKSANVLLDENWNAKLSDFGLARIGLANQLNTFVITNPAGTYGYTDPQYIRTGFLTKESDIYSFGVVLFEVLCGRLACVSSYHDERRFLHHLARTCYKNGELDKIIDQRIKKDINSGTLSMFSAIAYQCLQETREERPTIAEVAFQLKEAYKIKVCLNIVFIN >cds-PLY73393.1 pep primary_assembly:Lsat_Salinas_v7:9:154003867:154005292:1 gene:gene-LSAT_9X96241 transcript:rna-gnl|WGS:NBSK|LSAT_9X96241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCSIGKPQGFSQMIGSGNLVRQQKRDRPNGWGQTGRWQRAIDVRDPILKLLAFTSSVPILLSTDKKHTD >cds-PLY93334.1 pep primary_assembly:Lsat_Salinas_v7:9:59717607:59720441:1 gene:gene-LSAT_9X52620 transcript:rna-gnl|WGS:NBSK|LSAT_9X52620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP53 [Source:Projected from Arabidopsis thaliana (AT4G25340) UniProtKB/Swiss-Prot;Acc:Q93ZG9] MAFWGIEIKPGKPHLHQYDDERGRLHLSQATLGSGSSKEKAVVVCNVGDKKPIYLCSLLPKKMETCSLNIEFEEYDEVTFSVEGPHSIHLSGFFYGEKPDSDDEDDYESDSDEEGIIAPEMDMDSEDDSDFNFDEADDLTDNEMDMMPNSGVRIEEIVDEENPATEKATPAQSKKNKQGQIVVKKSNNVPEVESEDEDGFLILPSDKDKASVPVPESKQVSEVSIGKGQNGQVKRKKGKDDSEHTAKRKKDDSVTGDDPISQPENVAAVSTPETDAKKSKKKKKNKKVKETQESGDNNKNVAQKEEINQEKTPVKETKSESKEKSTSTTKKDSKVKTETKASQVRTFPNGLVIEEIQMGKPNGKRADPGKKISMRYIGKLQKNGKIFDSNIGKAPFKFRLGVGEVIAGWDVGVKGMRVGDKRRLTIPPAMGYGSKGAGSAIPPNAWLVFDVELIDVN >cds-PLY76513.1 pep primary_assembly:Lsat_Salinas_v7:5:12555875:12557967:1 gene:gene-LSAT_5X6840 transcript:rna-gnl|WGS:NBSK|LSAT_5X6840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSKASCFQCQDSTSNCFRDGWRLRNGERAHLCYQCACVYEEGRFCETFHSNDDGWRDCESCGKLVHCGCVVSFNQYFLLDFGGVICRECSKKNLKLARNQRYAREFQTDPTDVPDLAKRIQIEPHYWPDGIVSDIKCISKTAKPFLNPLFEKVLTASDADMKLGRIVIPKRYAEAFFPEVSAPKGIMMNILDMESKEWEFSFRYWPNCGSKTYVLEGLRDFMFSRKLQAGDTVSFYRVEPGGKMAIGFRKTSVAKPAHQDTSLVYTTIRTRMECF >cds-PLY82351.1 pep primary_assembly:Lsat_Salinas_v7:5:312682058:312683004:1 gene:gene-LSAT_5X170681 transcript:rna-gnl|WGS:NBSK|LSAT_5X170681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM9 [Source:Projected from Arabidopsis thaliana (AT3G46560) UniProtKB/Swiss-Prot;Acc:Q9XGX9] MDKSMLGDLGNLPAEDQARMATMIDQLQIRDSLRMYNTLVERCFTDCVDTFRRKTLDKQEETCVRRCAEKFLKHSMRVGLRFAELNQGAATPD >cds-PLY79026.1 pep primary_assembly:Lsat_Salinas_v7:3:9597955:9600440:-1 gene:gene-LSAT_3X7600 transcript:rna-gnl|WGS:NBSK|LSAT_3X7600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent enzyme, beta subunit domain containing protein [Source: Projected from Oryza sativa (Os04g0555900)] MEDKSLKEDKKYAADIDSITKAHARIKPYIHKTPVLSSETLNSIAGKSLFFKCECFQKGGAFKFRGASNTVFSLEDEQAAKGVATHSSGNHAAALALAAKMRGIPAYIVIPKNAPKCKVENVRRYGGQIIWCEPTMQSREETANKVLNETGAVLVHPYNDPRTISGQGTLSLEFLDQVPQLDTLIVPISGGGLISGITIGAKSINPGIRVIAAEPKGADDAAQSKASGQIITLAQTNTIADGLRASLGSLTWPIVRDLVDDIITVEDKEIVDAMKLCYEILKVAIEPSGAIGLAAVLSDSFKQNPAFKDSRNIGIVLSGGNVDLGVLWESLHLHG >cds-PLY96682.1 pep primary_assembly:Lsat_Salinas_v7:7:45112647:45116391:-1 gene:gene-LSAT_7X33460 transcript:rna-gnl|WGS:NBSK|LSAT_7X33460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLPQFKCIPDSFTIQFKTHNHHSPNLPKCREPIFFPTRCVLSTTAPPVSTESTVLNLEKLKLSSLEVHSNSTATDRHWSSSSSSSSSSSSTGVLDIENFQLPSLEPHTNSIGGSRPWAYTAKVNQGNTGTTLPSELKTTEYTEAAAEAVALAKAALKLAKTAAAMMTPHHTPDNHSIPECGPQLSEEVGPTPEELELLEEQLSASIAVRSKRQVERKARRLRATEKAEAGVVSVKSGSRNRGRPSSAAVKPGVSRRRGEKKDVLAFLGGMTNAKLLTADEEVELSKGIQDLLKLQEVHKQLAAQFGVPPTFAEWAAAAGIDQIQLGRRLHHGEECRDKMIRSNIRLVISVARSYYGTKVHLQDIVQEGIRGLVKSAEKFDASKGFKFSTYAHWWIRQAVQRSRAEQSRIIHVPYYMVEVQARVNEAKYRLNKKLGRAATRAEIAVETGFSVKRIQSAEVAPKPARSLDVRVGFENNTKLTDLIDDPDQETPEEILIKQTMSEDLDKVLNTLNVKEQQVIRWRFGLEDGRTRTLQEIGDVMGVSRERVRQIELYAFRKLRNTDETETLRQYLPQ >cds-PLY64533.1 pep primary_assembly:Lsat_Salinas_v7:6:37665505:37669664:-1 gene:gene-LSAT_6X27181 transcript:rna-gnl|WGS:NBSK|LSAT_6X27181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLKWTYLPSKVRLPMKLFSEWKELDSEEVSAESEKDCCLIPESPFYLEGQGGLFEFIQHRLKENGHVVIVLAEGAGQEYVSESVNAVEERDASGNKLLIDIGQWLIQKIKNHFATVNKMAINMKYIDPTYMIRAVPSNAYDNIYCTLIAQSEIHGAMAGFSGFTVGPVNNRHVYIPIQRVTEATNAIKLTDRMWARLLCQPCSSYLTGSGTFKKDLCRHASWVARESRSTGFKDKAKHMGLVEFLERDFDTKIKAPESTSASLKEKGNHKVEAMLQNLEKEIDDVDAKIGDRWRVLDSQLVRLAC >cds-PLY92777.1 pep primary_assembly:Lsat_Salinas_v7:2:149785015:149790258:-1 gene:gene-LSAT_2X75821 transcript:rna-gnl|WGS:NBSK|LSAT_2X75821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHNEKKRSSRVADTQMPSFLRRFFIKIHDAVNSNHSPCKRRRRSLHRDVEQEELQCTNTNCLSSYYSVFVARLAIMVMLAILIGLLTLLTWHFTKVYTRTSLNALAYGLRYELLQRPVLRMWSILNSTVEITTAQVKISEYVIKKYSKPENQAQQVELYEVMRDVTWALFTSHKALNSISIKYKNGFVQAFHRDPRDNSTYYIYSDLTNYTMAGPYDVNTSSSHQGWNDQTIQSNISAIWYREPLDPATGEKIGKQKAIPPDELINIAGISQVPYGAASWHVAVSKFTNSPLLSAAMPVRDASEGSIVAVVGVTTSLYSVGQLMKELVGFHSGHIYLTSQEGWLLATSSTTPLLRNSSTGPKLMMAVDSQDKVIQTGAKWLLKAYGNKEPPNHEVHIENAKLGNELYYIDSFYLNLKRLPMVGVLIIPRKYIMGKVDERAFKTLMILISASVCILVIGCVCIFVLTNGVSKEMKLRAELISHLDARRKAEASSNYKSQFLANMSHELRTPMAAVIGLLDILISDECLTNEQYATISQIRRCSTALLRLLNNILDISKVESGKLVLEEAEFNMGRELEGLVDMFSVQCKNHNVETVIDLSDDMPKVVQGDSARVVQIFANLISNSIKFTTSGYIILRGWCEDPHSLIENGKRSCKDNKVILWFEVDDTGCGIDPSKWESVFESFEQADPSTTRLHGGTGLGLCIVRTLVHKMGGEIRVVKKNGPGTLMRLYLLLNTSVDIPQDNYRLKYADHNLKVVLALNGSMGRTILFGWLTKIGVPTWDACEWNELTQILQDLFIPTRYTQNLTYETSKVESLSKQEMDASVFIIVIDIGLLNLSTDIWKEQLNFLDTFNRRAKFAWVLNHDTSNTIKMELHNRGHLLMVNEPLYKAKMIQIMEAAIKESSHNHNHNHNNNNNNNNNNNNNTHECLEIDVHSDPESSNESEKSEFIVSSSSSRYGTTINNCFLELSEVGSERGKLVTNGTNGQKSLEGVRILLAEDTPILQRVATIMLEKMGATVVVVGDGVQAVEALQDHNPPPYDLILMDCQMPKMDGYEATKAIRRAEMGTELHIPIVALTAHAMSSDEAKCLEVGMDAYLTKPIDCKLMVSTILSLTNSPIISGRK >cds-PLY67513.1 pep primary_assembly:Lsat_Salinas_v7:6:72321621:72322559:-1 gene:gene-LSAT_6X50960 transcript:rna-gnl|WGS:NBSK|LSAT_6X50960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEELSASNKDHFTLAVATISVMIFAISWRRWKSSSSSGAPSLPPGPRSLPIVGYLPFLRRDLHKQFRNMAHIYGPIFKFHLGSKLHVVINTPDLVKAVVREQDDIFSNRNPSIAALAISYGGRNVVFSDNNSDWRNLRKIFAHEVLSNKNLEACRFFRRDEVRKTIKNIYSKIGTTIDISEIAFSTEANVLTSMVWENTSDPNAKGRQFGAELKRISSNIVELLGQPNLSDIFPSVAWLDLQGILRKMKRQDCIVGGYTIPKGCTVLLNVWSIHRDHRYWDNPLIFNPERFLTNKYDFKGGNLNLICYDKDG >cds-PLY75419.1 pep primary_assembly:Lsat_Salinas_v7:7:72671090:72672286:-1 gene:gene-LSAT_7X52861 transcript:rna-gnl|WGS:NBSK|LSAT_7X52861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGNLVVSADEIGQVGPCVACRCQVLRSVSQWSAGTSQVEESIHKAYISLIEKAAHFIYIEVKLMEERNMKPLDLNLAALSTTCSKDLELNFAKSLLSEMGQCTTAYPYNQLFGALVSKNYERQDATLLSWNLMYIVD >cds-PLY61854.1 pep primary_assembly:Lsat_Salinas_v7:6:61511164:61511406:1 gene:gene-LSAT_6X45600 transcript:rna-gnl|WGS:NBSK|LSAT_6X45600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEGYYKEQLRKMRFELKRKEEFSEVSKVQKKLVKLQQAMEADKQVFETQLMELTKQNRMLKCGIFVMVIVVIAMWLKWT >cds-PLY93124.1 pep primary_assembly:Lsat_Salinas_v7:MU039154.1:5745:6521:1 gene:gene-LSAT_0X44000 transcript:rna-gnl|WGS:NBSK|LSAT_0X44000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAKRIIACLDVDNGVVVKGIKFQNHEVIGDIVPLAKRYAKEGIDELVFYDITASTKNKLVDKSWIENVAEVINIPFCVAGGIKSVEDARSILSSGADKISINSSALIDPDLITKISERFGVQCMVVGIDSWFDKVKGSYMVQQYTGDISKTYQTVWKTSDWVKKVQEKGAGEIVLNMMNKDGLQQGYDLSHLTEIRDICKVPLIASGGAGNIEHFYEALHYSNVDGVLAASVFHKNIVNIKTLKNFLITRGMEIRQC >cds-PLY94134.1 pep primary_assembly:Lsat_Salinas_v7:5:35290896:35293412:-1 gene:gene-LSAT_5X17200 transcript:rna-gnl|WGS:NBSK|LSAT_5X17200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVRGVDFKWYDGFFLSMLATSIIIVAINWKRYHLCTNPLHIWIVVDYTTVFIFRLLMFVDNGLAAGMGLDFGRQQRHARFCGRVVVLSILSVFLYPFLWAWTVIGSLWFSSSKDCLPEEGQKWGFLIWLLFSYSGLVCIAALCMKKWLTRRKAHSLRAQQGIPISEYGVFVDMVRVPDWAFETAAGQEMRGMAQDATSYHPGLYLTEPQREAVEALIQELPKFMLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVACIDEWLRLNVKCPRCRCSVFPNLDLTALSTIPVDHDRLTVSTTRYMRSQPGSQSYLLRMQGFLRPVRTGNADSDSASSSDSDCALERAENGGQQPEQ >cds-PLY85726.1 pep primary_assembly:Lsat_Salinas_v7:1:48357363:48359465:-1 gene:gene-LSAT_1X45020 transcript:rna-gnl|WGS:NBSK|LSAT_1X45020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLITEDLCIKILDKCPDIKQLKKIHCRLIIDGNLVSASHIALKLMKAYSECRQIAVTRQLFDEFPQKDVVFFNVMIRSYVNNEFYEKALRMYRNMFKFNINPDHFTVPQVLKACSVSENLWVGLQTHVAVLKKGLHSNLYVGNGLITMYGKCNRLLEGRQVFDEMPSRDIVSWNAMVAAYAQNRMFEDALEICKTMMQCSTSKPNAGTMASLSPALTTSTSSTNVMFMKEMFMNHARESLISWNVMIAVYVNNSMPKDAVNLYQQMENYGIDPDSITVASILPACGDLSALLLGRKIHSYVKTKRLLPNLTVENALIDMYAKCGSLNEARKVFDEMPTRDVVSWTSMVSAYGMTGDGETAITVFSNMQNSGIAPDSISFVPVLSACSHAGLLNQGKHFFKLMTEEYNIIPRLEHLACMVDLLGRSGRINEAYDFIKKMKTKPNDRIWGALLSACKVHSNMEIGLVAADHLFELVPEQAGYYVLLSNIYAKAGRFKDVTNIRSIMKSKGVKKEPGVSNVELNNQVHSFLAGDQSHPQSKQIYQELEVLVGKMRDFGYVPEVDSALHDIEDEDKGNHLAVHSEKLAIVFVIINTKAFTPIRITKNLRVCEDCHIAAKLISKIVEREIILRDTNRFHHFKNGICSCGDYW >cds-PLY93817.1 pep primary_assembly:Lsat_Salinas_v7:6:142612343:142614028:1 gene:gene-LSAT_6X85040 transcript:rna-gnl|WGS:NBSK|LSAT_6X85040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVTVDGGGVAAPLMSMADNALAVAASHRMVVVIAGGVDTTATETKGNILIHRTEQVIVVRNEQGGNSVTMVLLRGSTNSILDDLERAVDDGVNTYKALCKDNRIMHGAAATEIELARKLKEFSFLETGVDESE >cds-PLY88337.1 pep primary_assembly:Lsat_Salinas_v7:2:10208186:10208380:1 gene:gene-LSAT_2X4320 transcript:rna-gnl|WGS:NBSK|LSAT_2X4320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMDEESSLVDENQNGTQTLIHNREIHILIWVFLLFYLAYDAAQNLQSTLKIVSFLFLVSTYIQ >cds-PLY88565.1 pep primary_assembly:Lsat_Salinas_v7:7:8238292:8241517:-1 gene:gene-LSAT_7X7781 transcript:rna-gnl|WGS:NBSK|LSAT_7X7781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYSTFVLLISALFTLIYALITTSSRRKSRLPPGPYPFPIIGSLLKLSDKPHRSLATLSSRYGPLMSLKLGSRTTIVVSSPDVAKEFFHTHDISFSGRSIPDVARIVDHDQYSLAWLPTGDQWRRLRRITREYFFSVQRLDGSALLREEKVQELLDHVDRCCTNGKAINIGAVVFTTTLNVLSKYMFSVDFSQYDTVSSQEFKEAVMSLLEIAGKPNLADFFPILKPLDPQGLVRRGNAYGKKLLAIIDRIIDQRLQSRLNSSSYDGVNNDVLDSLLDLTDKEESIFSRDEMRHLFYVLFIAGTDTTSSTLEWAMTELLRNREKMEMTRSEINKFMKNKKGIVQETYISQLPYLQAVIKETLRLHPPIPLLVPHQAIQEVQVNSFIVPKNAQILCNVWAMGRDHNIWSDSETFMPERFLEVKIDYKGQDYEFIPFGAGRRICPGLNIAHRMLHIMLGSLIHKFEWKLEGDVRVEDMDMEEKFGLTLPRKIPLMAIPIKL >cds-PLY80176.1 pep primary_assembly:Lsat_Salinas_v7:4:186873170:186875186:-1 gene:gene-LSAT_4X108921 transcript:rna-gnl|WGS:NBSK|LSAT_4X108921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFYLLVSTIVVTILSILLHRLLLHILHKKRLKAGKKQKPPQAKGAWPIIGHLHLLGGPELPHKVLGDMAEKHGPIFSIKLGVHQAVVVSDAAIAKDCFTTNDKAFASRPKTEATKIMAYNYAVFGLAPYGDYWRNMRKMVVSEVLSQRRVEMVGHIRASEVRASIKELYDGWVKNKRSENSDMVKVEMSRWFGNLILNIMVRIVSGKRFSPNDEEGVQFQVVVRKFFELMGAFVVADFIPYLNYLDVDGYKKVMKNTAKDLDNIFDRWLKEHKQENKSIKQHEGNQDFMHVLISILQGASEDEFPGFDHDTIIKATCLQLLVAGVDTTSVTITWALALLLNNPKALETAQDEIDEHVGRERVVEESDLKKLVYLDAIIKETLRLYPAGPLSVPHELLEDSIVGGYNIPKGSRLLVNLWKMQRDPNIWSDPTEFKPERFLTSHKDVDVKGNHYELLPFGSGRRMCPGALFALQALGLTLASLIQQFVLKKPSKEAVDMTESMGMTNGKATPLDVLLCPRLSSNMYNVGS >cds-PLY84685.1 pep primary_assembly:Lsat_Salinas_v7:2:151313210:151314983:1 gene:gene-LSAT_2X78341 transcript:rna-gnl|WGS:NBSK|LSAT_2X78341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAYAACIRAGTKLRSAQAINSPVLRGAFVPTTPITSSSSSSLSSSHCDPVYSRFDFRQISQLVQSNGKRLFLVDTLALVRRLEGQGVPSKQAEAITSAITEVLNDSLENVAESFVSKGEMQRIEMTQDGNLGKFKSQVQSSQENHFSLLQRETEKLRNDIEKMRSELRYEIDKVTAGQRLDLNLERGRIRDELANQNQETANLTNKLDREIHSLRAQLEAAKYDVIKYCIGTLVSISAVGLAVLRILM >cds-PLY99801.1 pep primary_assembly:Lsat_Salinas_v7:MU037944.1:508981:510495:-1 gene:gene-LSAT_3X53200 transcript:rna-gnl|WGS:NBSK|LSAT_3X53200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 27, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G06340) UniProtKB/Swiss-Prot;Acc:Q9FNH4] METPPAGYRRNVGICLMNSSKKIFSASRLDIPDSWQMPQGGVDEGEDPRAAAIRELREETGVTSAEILMESPHWLTYDFPPKVREKLNRQWGSDWKGQAQKWFLFKFTGKDEEINLLGDGTEKAEFGEWAWMSPEQVIDRAVDFKKPVYKEVITAFSPHLQ >cds-PLY63306.1 pep primary_assembly:Lsat_Salinas_v7:3:90423517:90424489:-1 gene:gene-LSAT_3X69661 transcript:rna-gnl|WGS:NBSK|LSAT_3X69661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDHQRIHPDPVTPATDLESQQKPTAPLVPRGSSRSDHGNPAEPQPPYQRTIPIQYSKPPKKRHCCRRFLCCILCFFFILIMIVGILAAIIYFGFDPKLPKYSVDGMTITQFNPNNDNTLSAQFNVNITARNPNTKIGISYEGGSRLTVIYMGTTLCQGSFPKFYQGHRNTTVLNIPMTGQAQDATGLLNSLQAQIQTGVVPLVLRAKVPVRIKLGKLKLPKWKPIVRCRVNVNTLATDNVIRIRDSSCSFRFKL >cds-PLY93605.1 pep primary_assembly:Lsat_Salinas_v7:2:175294057:175296799:1 gene:gene-LSAT_2X99360 transcript:rna-gnl|WGS:NBSK|LSAT_2X99360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPLSILSLFLSFLLFNSSSSLTTNPSLPLRKTGHQLIRDLNLHPNPEVNFFNTHTTSFDDNFKVSESKIFEKRLKFPVHGEPGATVNDLAHHTGYYHLQHTVDARMFYFFFESRKAKADPVVIWLTGGPGCSSELALFYENGPFKITNNMSLVWNDYGWDKVSNMLYVDQPTGTGFSYSSSGEDTRHDETGVSNDLYNFLQAFFMAHPDYVNNDFYITGESYAGHYIPSFASRINQGNKNKEGIHINLKGFAIGNGLTDPGIQYQAYTDYALANHLISESDYNQISQRIPNCEQATKRCGTTGTDTCQSALYVCQQIFEDILSITNNINYYDIRKKCEGNLCYDFSRVETFLGQSSVKTALGIPKNIDYVSCSDGVYEAMMNDWMRNLEVGIPELLEENVKLLVYAGEYDLICNWLGNSRWVHAMSWSGQKDFVAALNTSFLVDGKEAGIIKNHGPLTFIKVHNAGHMVPMDQPRASLQMLESWMAEKLNPLRKGKVARL >cds-PLY95253.1 pep primary_assembly:Lsat_Salinas_v7:8:136284598:136284969:-1 gene:gene-LSAT_8X93740 transcript:rna-gnl|WGS:NBSK|LSAT_8X93740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNDDHASIATLVVRSSIPLFQKPSSSIPTYEFGLSSSSSEPVDEEIQVEGETETHPPKDDQATTFNNPGPSEPPVNQDDNKAKTTSSSSDTGSSTGNYADPFSLLELRENMSATISKVDSLD >cds-PLY83281.1 pep primary_assembly:Lsat_Salinas_v7:8:192764994:192765176:1 gene:gene-LSAT_8X123521 transcript:rna-gnl|WGS:NBSK|LSAT_8X123521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFAQLQLNNLSVAKDVGKASGILACLSSDRISPSALLLVGSIEGFIGYGVQWLVVSQKI >cds-PLY69514.1 pep primary_assembly:Lsat_Salinas_v7:6:42784291:42785975:1 gene:gene-LSAT_6X33001 transcript:rna-gnl|WGS:NBSK|LSAT_6X33001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQRGVWQLQKLTVSYCNWGGSSRGIRAFMESHLPAFKENNPQLEVVTELNRGQHPFLKGSYKNKNERVVSVMNMTPEDVLLCATRLRNSLGRKVVKLKTRHVTKHPSVQGTWTTDLQI >cds-PLY82300.1 pep primary_assembly:Lsat_Salinas_v7:1:66254296:66261554:1 gene:gene-LSAT_1X56740 transcript:rna-gnl|WGS:NBSK|LSAT_1X56740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPPPASLTSDYISTIIPNLRCHLEPSQYYPAHISGNQFYPEIVASTVALLHYQKSKVKNDPKLGKSETGGEVRSGDPKSEGSKSGRRSAVEEIRNWKRVRSGENSKAVGSEA >cds-PLY76667.1 pep primary_assembly:Lsat_Salinas_v7:4:115687261:115696370:1 gene:gene-LSAT_4X73720 transcript:rna-gnl|WGS:NBSK|LSAT_4X73720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFSLFVVVIADGPLYDYTAYTQCKLEPEDPLYDGGILKNEAATMEFITEGNGTMVSWPAFVLSSLDPSTFYSFSSWIKISGSNSSLITARLSTGNSCVGTVVARHECWSFLKGGFYINSEPNPSLLYFQNSDDKEITITISSASLQPFTKEQWSLQQQYKINTERKRAVTIHVSDKQGARLQGAVIRVEQVSKDFPFGSAINNYIIGNLPYQKWFVERFNAAVFENELKWAATEPKQGVYDYTLADKMLDFVRANQIVARGHNIFWEDPKYIPSWVLNLTDLELELAVKQRITSLMTRYKDEFVHWDVSNEFLHFDFYEDKLGKNATYEFFKAAHEADPLATLFMNDFNVVESCRDVKSTVDTYISKMRDLRRFGVYMDGIGLESHFEVPNLPLMRAILDKFATLQIPIWLTEVDIANTLGQDTQATYLEDVLREGFSHPSVNGIMLWSALKQNGKCYQMCLTDTNFNNLPAGDTVDRLLKEWQTGVINSQTDEHGTFSFYGFLGEYTVMANFRGKTTNSTFSVSKSDETRHFSIQI >cds-PLY62659.1 pep primary_assembly:Lsat_Salinas_v7:1:35034487:35036810:-1 gene:gene-LSAT_1X29981 transcript:rna-gnl|WGS:NBSK|LSAT_1X29981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCSLLFAALLIGCFVTQICSSSDSIFYDSFDESFEGRWIVSENEDYSGVWQHSKSDGHEDYGLLVSEKARKYAIVKELEKPLDLQDTTTILQFEVRLQQGLECGGAYLKYLRPQDSGWSAKLFNNESPYSIMFGPDKCGATNKVHFILKHKNPINGEYTEHHLTSPPSVPSDKLTHVYTAIINPGDNDLRILVDGKEKKKANFLSTEDFQPSIIPEKTIPDPDDKKPKDWDERAKIPDPDAVKPEDWDENAPMEILDEEAEMPEGWLESEPEEIEDPESLKPEDWDDEEDGEWEAPKIENPKCESAPGCGEWKRPMKRNPDYKGKWHAPLIENPNYKGIWKPRQIPNPNYFTLENPNFDPIAAVGIEIWTMQDGILFDNILIGNDEKVAKSIRDATWKPKFLVEDEKRKAEEKSTGLDGLKGIQKAVFDVLYKIAELPFLGERKTKVLELVDKAKEQPNLTVGLMVSIVVVVCSISLKVLFGGKKHGKVKVEVEDGKKDEGERKMRKGGNNEDDHDATGARKRNARREQRQVD >cds-PLY91132.1 pep primary_assembly:Lsat_Salinas_v7:3:152422292:152422840:-1 gene:gene-LSAT_3X96380 transcript:rna-gnl|WGS:NBSK|LSAT_3X96380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNKHKSSWQNVSRADTEGLAPLGRSRPLRVVVNSSQVSAFQADVIDILDDVNVEKVNVPASSVPINDVVVVQAVIPNNSQGWNVAGVGSAQFLVFGRGSVVLGFEGEGSGLSVVDFCHRWSLRDDSRLSIFETEIDFTRNVLPPSTVSEMAGYSLPDFVDSLQFDVAQSAYFFAKGAKRLE >cds-PLY69203.1 pep primary_assembly:Lsat_Salinas_v7:1:79623281:79623751:-1 gene:gene-LSAT_1X67761 transcript:rna-gnl|WGS:NBSK|LSAT_1X67761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASINRSLLITLISIYLGATIIALKFHDILPIYGLPKGILPNAVQSYYIIPTDGTFSVQLTRPCFVTFGVQIVFYNMNIIGRLSNGSVSVVSGIEEKESLSWMSVSGMDMESGSDMLVFNVTGGLRKKFPAALFKDVPDCDSEAYTHESESHSQSI >cds-PLY91817.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:981158:981895:-1 gene:gene-LSAT_0X960 transcript:rna-gnl|WGS:NBSK|LSAT_0X960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERMMQGTKCNGTVLTVNIARYERKQLPAQNVADTNNFRYVQQPSRRSLRDGRSFMEVATGRRKSDTPPPPPSALEKNPVTLVGGSSMAEWLHCPLTLVGETISFEKLRNLPLKIRLGSAHSYCMKYLGGLMVGIRFQSPFDVEEFLANKTYWGTWFKEFKAGTEVSRVFDGLAWLRIVGLPIKLFDEENFSRIVSEFGKVVVPAEILPSMQDLSLDSICILTGHKKHINEEVLVEINKRILKVG >cds-PLY99912.1 pep primary_assembly:Lsat_Salinas_v7:7:15839704:15842485:-1 gene:gene-LSAT_7X12901 transcript:rna-gnl|WGS:NBSK|LSAT_7X12901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDIEHFPLFETKQAKGRTLFKVYAASMAMGICLICVYRATQTPEKGKTGRYLWIGLFMAELWFTFYWLITQLVRWNPVTHQTFKEQLSLKYENVLPGVDIFVCTADPVIEPPLMVINTVLSMMAYDYPPEKLNIYVSDDGGSELMFYALLEASRFSKHWLPFCKRYKIEPRSPSAYFAHVSPINNEWSSIKNKYEEMRKRIDDTTSLGKVTEEMRKEHKGFHEWVLGSNKNDHQAIIQILIDQRDPEARDTDGKSLPGLVYVAREKRPEWHHNFKAGAMNALIRVSSKISNNPIILNVDCDMYSNNSESIRDAMCFFMDERSQNNIAFVQFPQNFDNLTRNDVYSNSLMVINDMGVKYGCPVEDIITGLSIKCRGWRSVHLNPKRKAFLGLSPTTLLQTLIQTKRWAEGDFQIFLSQYCPLVFGHNKIPLRLQISYCCYLLWAANCWATLYYVSVPSYCLLARISLFPKLSSYWFLPFAYVFVGKYAYSLGEFYWLGGTIKGWWNDQRMWLYRRLTSYLFGFCDVVFKSMGFTTSGFVITSKVSEEDALQRYNKEIMEFGATSPMFNILSTLALVNVLSFVNGVKWMIMDSDINGFSELGVQMVLCGLIILINIPLYEGMFFRKDSGSMPSSVTFQSTMLAVLFCTLAII >cds-PLY67608.1 pep primary_assembly:Lsat_Salinas_v7:6:123303459:123305019:1 gene:gene-LSAT_6X75441 transcript:rna-gnl|WGS:NBSK|LSAT_6X75441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTXGGGGGGISGYRPPFTPVQWQELEHQALIYKYLVAGVPVPYDLVVPIRRSLEALSARFFTNPTSLSYCSYYGKKFDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVESQSQSLSQSQSQSQSASQPLSTTAVSNVSTVSNGGNRSYQNLGNGSFQNSSLYPTSSSCSFDFGSNVSKLQVHGSAYGINNNSFRYDQSLTVDVDDQNYTSNTWRLLPNQIPTSSLMESRTESYLQTKSMVNFDSVVDPISMSKPSQQQQQQQQHCLFGSKIGSPIEVKNEQQSMRPFFDEWPEAREPWSTTQLSMSTTNDYTARSDCTSNGA >cds-PLY91946.1 pep primary_assembly:Lsat_Salinas_v7:8:199549915:199553980:-1 gene:gene-LSAT_8X126921 transcript:rna-gnl|WGS:NBSK|LSAT_8X126921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEETEAELIVGEPPKIPTEPPQLPRKSVLEMSADELVAKSIVPVKKEYICPVPIRLGSDNNGPSGDAVPASTTASARPVSKEKKSKRQLKRERNQEKQSTRNLCPMVAKTGKADSCPYNDKCRFSHDIEAFKDQKPADLEGNCPFEGDEGPCPYGLGCRFLGTHTTTEVASGIPKGNKYSEINGLKKDVQRLLWKNKMKFPKADAELKVLGLMGPTKSKKTPSTNNEEENQTVSNDSHVTNENGCGEMNECSLEATEKAEGNNSGDDPRPQKRGKPLNDQTSEVNGETNGSSYEVKDVDINPTTTEIETDKTLKLHPREKKLIDFKDKLYLAPLTTVGNLPFRRVCKALGADITCGEMAMCTNLLQGQAAEWALLRRHVSEDIFGVQICGAYPDTVARACELIEQECTVDFIDINMGCPIDLVVNKGAGSALLSKPMRMKNVIQAASSTVDIPITIKARTSYYEGRSRIDSVIANIAEWGASAVTIHGRSRQQRYTKQPDWEYVYQCTKKAPQSLQVLGNGDIFSFSDWKTHKSDCPQLSSCMIARGALVKPWLFTEIKEQRDWDISSGERLDIFKDYVRFGLQHWGSDTKGVETTRHFLLEWLSYTYRYVPFGLLEVIPQKLNWRPPAYFGRDDLETLMASESAADWIKISEMLLGKVPDGFVFSPKHKSNAFDKAENG >cds-PLY63707.1 pep primary_assembly:Lsat_Salinas_v7:9:85928594:85930411:1 gene:gene-LSAT_9X67960 transcript:rna-gnl|WGS:NBSK|LSAT_9X67960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQILFIISTGQRSRLVGNATNDPPPAHPVLTLDPSYFYYSIHEDHGSTEFFDPLRGLSTETLEPVENTAVAKKLPTTQPTYHLSSKKEWTSFNKLLMKRFPVPKMISVSSSDELNDSQKLKEGGFKIVSQQEYIKRMHGLKDDIIRSWHSDDRVTTLKLSIKVARLLMDTSVAQFYPTIFVLGADIMDMLGDMVWDRIKQKAEFADDGTKICSLSDDFDANSICFEAKEEGSTKDY >cds-PLY74122.1 pep primary_assembly:Lsat_Salinas_v7:9:12974806:12975617:-1 gene:gene-LSAT_9X10881 transcript:rna-gnl|WGS:NBSK|LSAT_9X10881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPHKRKVRDETFDFLKPSRPIRPPPPPPPSKLAKPKAKTVAPRSTAPIKPSSKPSVSSPAQAPANNNILLAGYLAHEYLHKGTLFGQLYTPAPPASPAASSAGSKTTIQPSSGSLNRERGKAVELKPKLKPKPSEKKRIHEPQLVKNQRYADVSQLLKDGVHIPGIVNPSQLARFLHL >cds-PLY84711.1 pep primary_assembly:Lsat_Salinas_v7:5:229322069:229327354:1 gene:gene-LSAT_5X109060 transcript:rna-gnl|WGS:NBSK|LSAT_5X109060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERHREGHCLPKALPSGILFEKTPVTTVVILLVLRLMKNFSYPSGAKEEGLVKESDSTPTCMTSVKRRGSAGVVESLILLKSHDNMHAPFTQVPPIMTKDMHEKRLHAFEVLGDSFLKLCRSISYAAVDAHADQTSRRKLAVFQVPLLLGIGEEDTALTKATESGDTDLVYLVLFHIWQKRPALELFGMIQARPIARDLFIRDSRYHILAWLEALEETRLKQK >cds-PLY78963.1 pep primary_assembly:Lsat_Salinas_v7:3:70232364:70233105:-1 gene:gene-LSAT_3X55860 transcript:rna-gnl|WGS:NBSK|LSAT_3X55860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHAQKLVQVGPWGSGGGEYPYEFIPNGRITKIHIKTGGSGGCIDSITFGYIDACSGQECWSKTYGGEGGHLSQYMYIEEGEEFLELSGTVGRYGGWTVITSLCFKTNKKKCEFGSVSDNKFSLPVKTNTAKIVGFHGRYGGYLDSIGAVLQPK >cds-PLY69318.1 pep primary_assembly:Lsat_Salinas_v7:4:105099183:105100542:-1 gene:gene-LSAT_4X67220 transcript:rna-gnl|WGS:NBSK|LSAT_4X67220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSRSRSRSRSRSPMDRKIRTHRSSYRDAPYRRDSRRGGYSQSSLCKNCKRPGHYARECPNVAICHNCGLPGHIASECTTKSLCWNCREPGHMAGNCPNEGICHTCGKTGHRARECSAPQMPPGDMRLCNNCYKQGHIAADCTNDKACKNCRKTGHLARDCLNDPVCNSCNVAGHVARDCPKGSTGGDDRGGPRGGGDRGSGGGFRDIVCRNCQQVGHMSRDCVALMICHNCGGRGHLAFECPSGRFMDRFPRRY >cds-PLY63206.1 pep primary_assembly:Lsat_Salinas_v7:6:88167313:88167531:-1 gene:gene-LSAT_0X15561 transcript:rna-gnl|WGS:NBSK|LSAT_0X15561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQQEEGKGLHRGTPEHLSDVSISMVTHYFDESFLRTEEDYANTYSKSHLSDSFVEDRIFSEEFAMIYLIHA >cds-PLY99877.1 pep primary_assembly:Lsat_Salinas_v7:4:46032609:46036832:-1 gene:gene-LSAT_4X31401 transcript:rna-gnl|WGS:NBSK|LSAT_4X31401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 4 [Source:Projected from Arabidopsis thaliana (AT3G63500) UniProtKB/Swiss-Prot;Acc:Q84TI3] MKRLKSSDDLNSYGGEKGVFKDWGRKDDDSNLHQSSSHRSFHYKSESGKRGLSSSSSSRYERVDDDLKNPKLTRKRSDYDIDSYERRKSYDRYRDSNERGILSSSPRGGYGGDRVHRSESFSGPRRDFPKGFRSERDRSRREGSVSSWRRFGGGKDVEESTKSGSDSARGSKAASEEVGNARSPQGGRDAKSPPWSKDSGSEQSKSSKSLEVKKSESLPEESGNNSEREEGELEPDPEPAPIVQNITEDPPSGPLKHENPIDDKCVEEPKRDRTCIVTDIEVPVPVTNKVNESSTSEDSSTQRTGENEVEALFLSDSQKKDAAEQSQAYNDHKEEAKDVKPEETKPKQVNDTILEVKGENMSMDTNTQGQVTENEEQNVSSGFVNHSFVTKELTHNFKDKGKSVLVSDSDVNQNGSSGLLNDKEIDIEGPSTRGFDLFFTDPVKKSESIEQKGLSKPKDEKLLLEPLELSLRLPSVLLPIGSQNPIQGPDSPSQEMSIQSHASSFQTSSDGFTLSRSFSGSQHYTHNPSCSLTETSFDFEQSVGSRPLFQGVTWQVQPPDDTPKNQEPPPIQMSLSNGNGIFHHSQPLPNAQSLQLHTTKVSEGSYKLPIGLERQLSSNNKKQPSGSQSVGSHETGSEHHKDRKRLMREAIGSLSQTTEMVEPLLAMVVSDPVHIVSRILSEMTTSSLTSLKESVRDVILNPSKRRQFSTFRKSLEKRSDITLEILSKAHTTQIEILVALKTGIQDFLQSNIDTSSSDLAEIFLNIRCRNLTCRSYIPVDECDCKICVQKKGFCSACMCLVCSKFDMASNTCSWVGCDVCLHWCHTDCGIRESYIRNGRSANRAPGQSQTEMQFHCVACDHPSEMFGFVREVFQNFAKGWTAETLSNELEYVRRIFSASEDIRGKRLHEISLQMLTRLSDKANVHQVRSFVMGFLTDDDSLKSENIQIPQEVLKPGYGERERERERAMVHLKPVAVGPREPVFDELDGIVRIKLAEAQMFQMRADDARREAEGLNRIAQAKSKKIDEEFASRVAKLHFSEAEEIRRQKFEELQALENAHQEYFNMKLRMEREIKDLLLKMEATKRNFTSSS >cds-PLY88251.1 pep primary_assembly:Lsat_Salinas_v7:4:154897330:154897677:-1 gene:gene-LSAT_4X93760 transcript:rna-gnl|WGS:NBSK|LSAT_4X93760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKHRWTLEEENALRAGVDKYGTGKWRKILTDEDFAPCFIARTNVDLKLLCLAWRHDIHENTHTHEEVARKSYILMCRLNWFLIYDI >cds-PLY98118.1 pep primary_assembly:Lsat_Salinas_v7:1:138758920:138759504:-1 gene:gene-LSAT_1X99420 transcript:rna-gnl|WGS:NBSK|LSAT_1X99420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSLAPPSLSSSAIRTLDQDNPYHLQDFEDPYVQSLSDIRSISNSLTGNQTHDVATQLPSFQAFARHQENTHKHINAATYEYNPYDQPLSIGSIENWRNTSQPTADRVYEGYGLAPSKPHIRPALLTGTTTTHHNVVPRPYGQMSVTSKGMKNIVPPDYYMQQMYK >cds-PLY96375.1 pep primary_assembly:Lsat_Salinas_v7:2:53993406:53995964:-1 gene:gene-LSAT_2X22561 transcript:rna-gnl|WGS:NBSK|LSAT_2X22561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGHVSSSPSPYLLRLLINTSIAHLSLHFISLATCLLLQQPIMDAIRASPADFDPMVKIELEAAEALAGLAHFPATVSESHGSESIAERVKDESNSSFDTSHSYEKKSGNSGILNQKLVKDEQSIDLRLNPAYPTNCSSSGRKSRQNLTEAEMEARKIRRVLANRESARQTIRRRQAIFEELTRKAVDLAWENENLKKEKDTASKHYDSLKTKNESLKAQMIKVTNLETDETHEKSTTLNEQAISSSSTNSPFIIYNQPPFLPVIWPSMIHPTNSGQLPAGINSGQLPAGIVFPVNKPDSSEEGSSTTGSPLYLLPYPWLVTLPQNTTQPPPQPRAPTPPPFFQSKRQTERVFRVSGILPGKSIGNT >cds-PLY73158.1 pep primary_assembly:Lsat_Salinas_v7:2:190552019:190558531:-1 gene:gene-LSAT_2X112001 transcript:rna-gnl|WGS:NBSK|LSAT_2X112001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADKGKGIADDYSSGKRKHDGDDKSAYRKRKNLNVLQFFEDAALENEESDSSDDDVFINDVFLEDDFGPDIEVKAEPKTPNIPFFPKEEEMDVDEMEKILEERYKPGSTFVRYAEDQTTEAPKSDEKNTYMLYSMDPTIWKVKCMVGRERHSAFCLMQKYVDLKALGTKLQIVSAFAVEHVKGFIYIEAEKQSDINEACKGLCNIYPSRVARIPASDVPHLFSVRSTYSGVKVDTWARVKNGKYKGDLAQVVSVNVTGAQKKVTVKLVPRIDLEAVAEKFGGGVNGKKKTIPAPRLIPSSELEAYRPLVQYRHDRQTGDTYEVFDGMTLKDGYLYKKVPLDSLSFWDVRPTEAELIKFMPANKEESNDVEWLTGLFGERKKKKQTVNNDKTGEKGEGSSSMENSFEVPDLVFHGRKSFGIVIGREKDDRIKILEEGTERMVVVAVEARLLKKADFDKKFNALDQHKKIIYMNDTVRVLEGPLEDKRGIVKQIYKGVVFVYDETLQENCGYFCAKAQICEKMASSMDSYKGKGGKSGGSGFDDVPSSPKSPLSPKKPFEGIDNNRNFEDKEGFSVGQSLRIRVGPLKGYMCRVMAIRYSDITVKLDSQHKILTVKAEHLAEVKGKSSGVSTGDGQDSAKPFDMLGEPGSSQGWMDGGAASEPGGGGGGWNTGGQTTERSSWAPFPAAAGSSLQPESGSANLFGSTDNDASKDGGDSAWGAAAASEKKEAGGGWGASSSGAKTENSDEGGWGKAAAAAAGEKKPAWGSAAAPATETGGWGSNAGGSNDGEGKSAWGSAAAAAPVTETGGWGANAGGSNDGPGKSAWGSAAVAAPATETGGWGANAGGSNDGAGKSAWGSAAAAAPATETGGWGSNAGGSNDGAGKSAWGSAPATGSGGWDAATKKDEDAGGSSWGKKVSDGGAGGGWGKSVSVTGGESGKWGGSADKEAEGGSGWGNKKDEGGSSWGKAGGGGGSSWGNQDGGSSWGKQDGGGGGGRGGSQGGRGSGGCFKCGESGHMSRECPQGGGGGGGKSCFKCGESGHMSRECPQGQGGGGGGGGRSCYKCGGGGWGSNKKNDDAAAEGSGGWKKGGWS >cds-PLY71101.1 pep primary_assembly:Lsat_Salinas_v7:5:194695357:194696025:1 gene:gene-LSAT_5X87120 transcript:rna-gnl|WGS:NBSK|LSAT_5X87120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPVSGLQLQIAIIRLAGYRYLSDCFFLRSILLPPSHLNYKVLLMCVVSSKVARIL >cds-PLY87550.1 pep primary_assembly:Lsat_Salinas_v7:9:118936349:118941230:1 gene:gene-LSAT_9X80621 transcript:rna-gnl|WGS:NBSK|LSAT_9X80621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSTLEINDFAHKDLDDDGREKRTGTWVTASAHIITAVIGSGVLSLAWAIAQLGWIAGPTILMIFSFVTYFTSTLLADAYRAPDPVSGKRNYTYMDVVRASLGGSKVQLCGIAQYVNLVGITIGYTITSSISIVAIKKSNCFHYRGHDAPCNPSNYPYMIAFAVIQIVLCQIPNFHKLSWLSMLAAVMSFAYSFIGLGLSIDKAVGSEAKTSLTGMPVGPNLSATDKMWKSLQAIGDMAFSYAFSTVLIEIQDTLKSYPPENKMMKRASLVGVMTTTVFYMLCGCLGYAAFGNDAPGNFLTGFGFYEPFWLIDFANICIAIHLIGAYQVFCQPIFGFVENKCAEKWPESRFIMVEREVRLPFCAEFDINLFRLVWRTTYVIITALIAMIFPFFNSFLGLIGAAAFYPLTVYFPIAMYIARAGISKYSFTWICLKTLDWTCLVISLAAAIGSVQGLISDLRDYHPFKE >cds-PLY82848.1 pep primary_assembly:Lsat_Salinas_v7:1:86079759:86080166:-1 gene:gene-LSAT_1X72921 transcript:rna-gnl|WGS:NBSK|LSAT_1X72921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARMAMMVFCVVVTCMVVAAPYAEAITCGQVVSNLAPCLGYLKNGGAVPSGCCSGVTALNNAAQSTPDRQTACGCLKSAYSANSGINAGNAAALPGMCGVNIPYKISPSTDCSKYVKISVYFLQFPVDRLIDLLA >cds-PLY72483.1 pep primary_assembly:Lsat_Salinas_v7:2:139555646:139557496:1 gene:gene-LSAT_2X65661 transcript:rna-gnl|WGS:NBSK|LSAT_2X65661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSNRWGSITVLLLLLRNIVIARPYDRQSDGLTLRKTPSDNYLRVAGGAGEGSSFKVALFADLHFGENAWTDWGPRQDMNSIKVMSTILDSENPDFVVYLGDVITANNLPIHNASFYWNQAISPTRERNIPWASLFGNHDDAPFEWPIDWFSSSRIPQIQCPSNCSEGDECSFKGTSRLELMRNEIEHNSLSYTGFGPQNLWPSVSNYVLTLLSPKTDHKSPLMFMYFLDSGGGSYPEVISNIQSEWFRNKSHELNPDSSIPEIVFWHIPSKAYEKVAKKVAGRRRRTQCVGSMFKEKVAAQEAEMGMMKLLTERPSVKAVFVGHNHGLDWCCPYEKMWLCYARHTGYGGYGSWDRGARIFEVSGEPFTLKSWIRMENGTLHSEVVLSR >cds-PLY88933.1 pep primary_assembly:Lsat_Salinas_v7:8:128695455:128696363:1 gene:gene-LSAT_8X89360 transcript:rna-gnl|WGS:NBSK|LSAT_8X89360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDTYLDLLQSDYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNSNLSFSILISLQNQYTLTHDV >cds-PLY93655.1 pep primary_assembly:Lsat_Salinas_v7:2:202431356:202433124:-1 gene:gene-LSAT_2X124860 transcript:rna-gnl|WGS:NBSK|LSAT_2X124860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCPRDWPEPVVRVQSLSESGKPVIPDRYIKPPPDRPVFNSSSPDDDINIPVIDLAGLTSDDLTLRESTFKEISAACREWGFFQVINHGLKAELVDGVRDIWREFFHEPMEVKQQYANSPKTYEGYGSRLGLEKGAILDWSDYYFLHYLPPPIKDHNRWPAQPSSLREIVEEYSKEIVRLGKVLLEVFSINLGLKHDYLQKAFGGDDIGACLRVNFYPKCPQPDLTLGLSPHSDPGGITFLLPDENVSGLQVRRGDQWVTVKPAPHAIIANIGDQIQVLSNAIYKSVEHRVIVNSDKERVSLAYFYNPKSDLLIHPASELVTEETPALYPSMTFDEYRLFIRTRGPQGKSQVESLKSPR >cds-PLY70013.1 pep primary_assembly:Lsat_Salinas_v7:8:146227213:146230268:-1 gene:gene-LSAT_8X98940 transcript:rna-gnl|WGS:NBSK|LSAT_8X98940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSASNLSSSSSRSGLRFRLREIIRAPLLSFLEQSGVFHNQSRPVSTSDLEMQHDNVNDDVSGGGEEVSIRIMGAVEEELPPNVVGDGGGAVGNGQEQIGNFSERGVEELRNGVDEQTPATSSNNNRYDIQNVAQWIEQILPFSLLLLVVLIRQHLQGFFGTIWISAVLFKSNDILQKQTALKGERKFFVLISITVIFILHVVFIYWWYQNDDLMYPLILLPPKSVPPFWHALFIILVNDSMARQAAMVFKCILLMYYKNTGGHNYRKQGQMLTLVEYTLLLYRTLLPTPVWYRFFLNKEYGSIFSSVTAGLYLTFKLTSVIQKIRLVLAALRVLSRKEVHYGSSASTEQVSAAGDLCAICQEKLHSPIVLRCKHIFCEECVSEWFERERTCPLCRALVKPADIKSYSDGSTSLFFQFF >cds-PLY82607.1 pep primary_assembly:Lsat_Salinas_v7:8:176071958:176072164:-1 gene:gene-LSAT_8X114800 transcript:rna-gnl|WGS:NBSK|LSAT_8X114800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQWDEVAATTATLLPDGRMIVRWWLWRFATSTAVVCDSTVGDSSTEDRRKEGDGLTMEKEGDHWTSR >cds-PLY64053.1 pep primary_assembly:Lsat_Salinas_v7:8:94347079:94347516:-1 gene:gene-LSAT_8X66600 transcript:rna-gnl|WGS:NBSK|LSAT_8X66600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSNEPNLLNKNLTWALLRLLFWRPDLYVEGTDPRRGWFQSSLLTNVATKGKAPYSGVITHGFVLDERGLKVIKSLGNVVPHLIIEGGKNQELIITSHFKFFLLNLKNYISGITK >cds-PLY67423.1 pep primary_assembly:Lsat_Salinas_v7:6:70542777:70544108:1 gene:gene-LSAT_6X49101 transcript:rna-gnl|WGS:NBSK|LSAT_6X49101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHSSSIHPPLSSSVFCSITSINPSQYIPILNPNKTLLSAVSSYSSKLHLIPPQEHIFDPILLYTSGFKPPLDTQTFLATISVLVAISLSLFLGLKGDPVPCAKCAGNGGTKCVFCNDGKMKMETGSVECKVCKGAGLILCKKCAGSGYSKRL >cds-PLY71444.1 pep primary_assembly:Lsat_Salinas_v7:MU043943.1:24:995:-1 gene:gene-LSAT_0X46241 transcript:rna-gnl|WGS:NBSK|LSAT_0X46241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVTNKTLANLLKDKVFYHLRKSGIKIDQVILSDGEQYKTLNEMELIISALLEKKHARDTTLIALGGGVIGDLAGFAASVYQRGVRFIQIPTTLLSQVDASVGGKTAVNHLLGKNMIGSFWQPSAVIIDIDCLKTLPYTELVSGMAEVIKYAIIFDEIFFSWLEENIEAILSLNHTAMSYCIKKCCELKSQLISLDEREKNFRALLNLGHTYGHAIEVHAGYGNWLHGEAISVGIVMAARTAELLGYLKTIDFKRILVLLKRTGLPVKGPKNMSAASYIPYMMRDKKVISGEIRLVLPLSIGKAEIYSNIDKNVILTAIKNSQ >cds-PLY94519.1 pep primary_assembly:Lsat_Salinas_v7:2:156511643:156513361:-1 gene:gene-LSAT_2X82080 transcript:rna-gnl|WGS:NBSK|LSAT_2X82080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLLCAQRLRISVTHLAFLAKSLVGTVFHEFGNALQHMLTKQDEGLVAGIHGIEWDAVELPSQFMENWDTLMSIAKHYETGETLPEEIYQKLLAARTFRAGTLSLRQWAEVLSADAFSAFEDAGLNDDKV >cds-PLY90268.1 pep primary_assembly:Lsat_Salinas_v7:4:183902949:183905463:1 gene:gene-LSAT_4X107600 transcript:rna-gnl|WGS:NBSK|LSAT_4X107600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METKKKQGLNIEGYPIEGLSIAGHETCIILPSFNLAFDIGKCPQRAISQQFLFISHGHMDHIGGLPMYVATRGLYRMAPPTIIIPKVIKENVEKIFEAHRAMDQSELKHTLIGLDIGEEFYLRKDLKVKAFKTCHVIPSQGYIVYSVKQKLKPEYVGLQGEEIKKLKFSGVEITNTTTSPEVAFTGDTTSDFILDPHNLDALKAKILIMEARRSSTYVENTMSVENARDYGHTHLSEIISHAERFENKAIVLIHFSARYHLDVIEKAVAALRAPLAGRVFALTEGF >cds-PLY99486.1 pep primary_assembly:Lsat_Salinas_v7:4:57566161:57567405:-1 gene:gene-LSAT_4X38621 transcript:rna-gnl|WGS:NBSK|LSAT_4X38621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCEKHCTDLSSVSGVCASCLRQRLFRLIVAQEQAQAQSLAGNTCNSDTKPALQCSVSPFISRQKSENSGAPVAAVQRPYNKPRLNHSLSDQRFYNSPQIVLNTGGCIGGASSHKKKQSLIRLSSISNLFRSNNRNGDADSDHRFSVSSSKESSGAAGNPTSLTSSPSWFSNVLPGGGAAAGRQKMKSCYVDESSTIAATGVVRKQRYVRDRGMSPAGSSDDEFNDASSDYESAESCKQTPRRNPAHHTIRPAGVNRSVSGLIFCLSPLVRASPNRLWNNKGKPPAEAGGDSRPPVVPHLSYTKSFCANRSRKLADFGRSDPKR >cds-PLY62283.1 pep primary_assembly:Lsat_Salinas_v7:5:162104496:162112891:-1 gene:gene-LSAT_5X70961 transcript:rna-gnl|WGS:NBSK|LSAT_5X70961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDEVAITSSSIEAGIGCWGLRSSAEHLRYRSCTSPPHGLVSVVGRFLASSQLCDSSSSSGSVLFWSWNKEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIRFFETYHKDKYKVYNLCSERLYDASLFEGKVSQQSCCFPFDDHNCPPVQLIISFCHSAYAWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEASLSYYNQTRCVDGLVLPSQILWSLSRGPLLRHIVFPSIIDAVALDPGEHVFYASGRDGKIYIAELNAQTTSNNNNNYGLDIIGTLSDQSKAICSLGFALDGFQLVVGSEDGMWWWVVVGGDGGGGSDGDRDSGRGGGGGGDSGGGCDRWL >cds-PLY91355.1 pep primary_assembly:Lsat_Salinas_v7:8:45259400:45264369:-1 gene:gene-LSAT_8X34601 transcript:rna-gnl|WGS:NBSK|LSAT_8X34601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSPSSVRTATTCNTLLRELQQIWMDIGENETEKDRMLMELERECLEVYKRKVDEAANAKARLHQSVAAKEAELATLIAALGDLNTHFPLNMENKSSSLKAQLAMVTPLVEDLKLKKEERIKQFAEIKGQIEKINSEISGYTQFTDAINSLTLEEQDLSLRKLGEYQSHLRTLQKEKSERLHKVLAHVNEVHSTCRVLGLDFGKTISDVHPSLHGTSPEQATNISDTTLEGLENAILKLKTEKKVRFQKLKDIAGLLFELWHIMDSTREEKSYFLRITSVIRLPEAEIIEPNALSLDVIQQASQEVERLSKLKASRMKELVMKRRSELEDICFKTHIEPDPSTAIDKSNAMIDSGLVDPSELLANIEAQIGKVKAEALSRKEIMDRIERWLSACEEENWLEDYNLDQNRYSAGRGVHLSLKRAERARITVNKIPAMVDNLICKTVTWEDEKKKLFLYDGARLVTILEEYKLSRLQKEEEKKRSRDQKRLQDLLLTERESIYGSKPSPRRASSFRMSGNGYRNGNGSMTPTPRRSSVGSPTMEPMTPRSYSSRQNGYSKEMRRLTTTPLNFVAIPKEDTISFSSVYGSEPGSPLQS >cds-PLY74391.1 pep primary_assembly:Lsat_Salinas_v7:6:153979175:153979654:-1 gene:gene-LSAT_6X93221 transcript:rna-gnl|WGS:NBSK|LSAT_6X93221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSAIDNPVDKEEVVIVEIEPPAEVHHGTFVFAIAFVLKCILYIVSLLERRFVRLWMYYYPRLFGEIMLPSPLKFIIIGFAAFTEIKSQGSEFPFKTHPRSMNVAVTSLLFYGLASAAQHFISSCTRLGPASVSAIVAHSGRIGSLCILVATVASLFYL >cds-PLY92769.1 pep primary_assembly:Lsat_Salinas_v7:8:66744983:66750280:-1 gene:gene-LSAT_8X47121 transcript:rna-gnl|WGS:NBSK|LSAT_8X47121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSATAPAFTSQSWKYDVFLSFRGEDTRKTFVDHLYTALEQQGIFTYKDDETLPRGESIGPSLIKAIEESQTAVIVFSENYAYSSWCLDELVHIMECKDKRGQIVIPIFYNVEPSEVKNQRRKYGEALAKHELENKNKVKTLVQTFLDDPWGWLSAPREQNKKYGKIYAKQELEYKTKIASWRQALADAGGVRMIGIWGVGGGGKTTLASSIYDEISSKFDGSCFVKNIREESSKNGLESLQENILSGVLKQKQPQVIRRIEEGRRMIQSRLCHRKLLIVLDDVDHLDQLKALAGSYDWFGEGSRIIITTRDEHVLNAHRVNVIHNIRLLNDDEAIKLFCKHAFEDDRPRKDYEQLSKDVVSYAGGLPLAIKVLGSFLCDKDMNEWRSALTRLKEIPETEIVEKLKISFDGLKGVEKELFLDIACFFRGEYKNERAMMILNACGFHPIIGLKVLIQKALINISSDGMFDMHDLVQEMGHYIVRGEHPKDPEKHSRVWKEEDLRTICAMGAMMELDMIEAIKSVLLADPINLPPIVANMKNLRWIEWNGGLANPLPTHFSPRKLCFLKLDCIRPKQLWEGYKQYLPSLRIMELHNVNNLIRTPDFDGIPNLERFRLSQCPTIKEIHPSIGCLKRLVFLSVEHCFSLEMFPPITRLKILETLTFTGCPELFKLSEIQPLNMDNFPHLHLDTSDTEVKKLQKDLTGLRLFQNGLRELVLSYCNLRDKDMSTAAWDLPNLGKLDLRSNEFSQLNFSCLQLPRVKMLDVSDYYISVTIQPQIPKGFVSRLFKGNTFTQHLPNDWYDDFCGFLICIVTKLPCPRVNIIIKQEADEDSRSEVWEESDNEELEPKYDETSISVGYVSFNKLKHTAFLNSSYNMITFSIDDMGQQSYAAASYVGGELVPRGNEGDDQVQTADGSEFWDKENEDGSNTFTIQQHDSKSSIEILWQHYGDRLSNTVRQLFQGSGIS >cds-PLY66947.1 pep primary_assembly:Lsat_Salinas_v7:7:21331576:21332574:1 gene:gene-LSAT_7X17241 transcript:rna-gnl|WGS:NBSK|LSAT_7X17241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAAFVEAMVQQQEKGNRPYGNFTSQAYANMVEELNKKLNMNLPKSHLKNRLKTLQSTFSQWYDMFNGISLSGFGWNADTQLIEADEEVWDNLIKSKLDAVVLKTKKVAHFEQMLVLFARDRDSGENVETTKERNARFNNTTDIKIESISEVDSLLASNDVTLENQRVDDDEDDIQVVSPTPEKNSSAKKCKTKKRKLVDEVEKEVEAQPEPEPETFETKIMNAVGDVANAMREGNKIFERAYHHELTGDEIYQELQPMGLEAHEIPGALMYLARNQADARTLFTCPMNIKKDILKTMMGASK >cds-PLY84969.1 pep primary_assembly:Lsat_Salinas_v7:2:123423385:123433741:-1 gene:gene-LSAT_2X57500 transcript:rna-gnl|WGS:NBSK|LSAT_2X57500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRAAVASTTGEDEDRRERLLWPFGEAGRARKQANPESKKGHKYTVPGFMLPFKPNNQPINVVPNPEELMLSFYVRYVNWTLNPIESPPRQHSPVRNSPPHVASPARKRMYKSEIETSSTEFAINASSSQHLESSYMSNDTSRLVRKKKMSTKALVKRLLGVVADLSFIVDRVLQKKDEPDTNVEPDRGFREEEEMINEEEEEKYYHDTHFDYDDISTHGLEGEFGPTPTHVEQSSDMGEHHTK >cds-PLY90407.1 pep primary_assembly:Lsat_Salinas_v7:MU039602.1:557:1891:1 gene:gene-LSAT_0X45261 transcript:rna-gnl|WGS:NBSK|LSAT_0X45261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFACMPEHFVEDVMELLIFASRIPHALDGVKLDDFMNFIIMFMASPEYIRNPYLRAKMVEVLNCWMPRRSGSSSATSTLFEWHLLSVQYLVKNLLKLYVDVEFTGSHTQIAKEEEKGVYLNFLINDSIFLLDESLNKILELKELEAEMANTTEWEQRSAQEN >cds-PLY91801.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1353149:1354063:-1 gene:gene-LSAT_0X680 transcript:rna-gnl|WGS:NBSK|LSAT_0X680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATITSSTISIPSFTGLKTATAGSRATTATVKVASVTPKLSVRASLKQAVATAIAVSASALLASNALAAEVLLGSSDGGLVFEPSTFSVASGEKIVFKNNAGFPHNVVFDEDEVPAGVDVSKISMSEEDLLNAGGDTYAVTLTEKGTYSFYCAPHQGAGMVGKVTVN >cds-PLY76740.1 pep primary_assembly:Lsat_Salinas_v7:7:60918597:60920288:1 gene:gene-LSAT_7X44540 transcript:rna-gnl|WGS:NBSK|LSAT_7X44540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRGTLYHHLHKAETPLNWVQRLKIAIGAGRGLDYLHTGVGTQHGVIHRDVKSSNILLNENWDAMISDFGLSKIGPTNQSSSYVDASVKGTFGYLDPEYFYTRKLTRKTDVYAFGVVLFELLSGRLAVDERNSEDQCSLVRWAQKCVKERKLDQMVDPNIKGTIFPKCLKRFAQIAYRCLLSVLKERPTIAEAVVSLQALLELQQRHENSAEPSGITGFTWKIHKYLVLATKQNSDQSGTSSSKSHINMNRGSSTNKDGNNQGKMHRQPWESLVTDLKCFTYYDLMRATENLGDDKRYLKVYKGWIDKTTYSPTEDNTGLAIAVKRIDFYTTARWLHLKEFKHPNLEKLIGYCFEDQLRKQLFLVYEFMPNGNFNNLLNSGVVSRLPLVIKVKIAVGIARGLVFLHKTQYQFNVFEYRLDRHMILLDQT >cds-PLY68274.1 pep primary_assembly:Lsat_Salinas_v7:1:27109030:27110067:1 gene:gene-LSAT_1X25520 transcript:rna-gnl|WGS:NBSK|LSAT_1X25520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAFYFYFSLTFLAISAITNYFLRKFQNLPPTPWLPPLPIIGHLYLLKRPLHKSLAKISARYGPVQLLQIGSRRVLVVSSPSAAEECLTKNDIIFANRPRQLLAGKYLGYNYDSLVYAPYGDHWRNLRRVTTLEILSSHRLREFEPIRADEVRLMIRKLYRSWSGEAVEVQVNAMLVDLTLNAVMRMVSGKRYYYGKDDILTDEEKEKAHRFQEIVEEVFCAMSVSHIGDYLPILRWLGVSKLEKQLIALQAKRDLFMKELVEEIRCSMKNSGKRNMIQVLLSLQQTEPECYTDEMIRSIMLVMRIDLLTCWYYTIILHLNSIYIMSSNNHLTSNIILYDNLRGQ >cds-PLY92724.1 pep primary_assembly:Lsat_Salinas_v7:7:5077139:5080517:-1 gene:gene-LSAT_7X4800 transcript:rna-gnl|WGS:NBSK|LSAT_7X4800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLQKPELGEKAFPPISKCDVSAISHQSVAADLDGTLLKATSAFPYYMLVAIEAGSLLRGLALLLSFPIVAVAYIFISEALAIQMLIFISFSGIKVKDIELASRAVLPRFYAADVRSDSFEVFDRCERKVVVTANPTVMVDAFVKDFLGGEKVLGTEIEVNEKTKRATGFVKEPGVLVGKWKKLAILKEFGEDTPDIGLGDRKSDHDFMSVCKTAFMVPKDESATIVGRDRLKTRLIFHDGRLVQRPTPFNALITYIWLPFGFILSLIRVYFNLPLPERIVRYTYGMLGIKLVIRGNPPAAPSPGTPGNLYVCNHRTALDPIIIAIALGRKPFCVTYSVSKLSRFMSPIPAIALTRDRETDAARIKELLQKGDLVVCPEGTTCREPFLLRFSALFAELSDRIVPVAMDLKQNMFNGTTVRGVKFWDPYFYFMNPSPTYEITFLERLPAEMTVKGGGKSAIEVANHVQKVLGGVLGFECTNLTRKDKYLLLGGNDGKVESMYGKK >cds-PLY67235.1 pep primary_assembly:Lsat_Salinas_v7:6:134571590:134572873:-1 gene:gene-LSAT_6X83280 transcript:rna-gnl|WGS:NBSK|LSAT_6X83280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEINHFSHKSHPLKLLSSETIVGASVNGGEEKSGLIGCYACEKPISSGFAYACIQCHYFLHKACAQLPHTFNDPSLYHQHLTLTDLNDTDSKFWSCNVCRIRKKSTVFSYAFLKDNTYIFTACIDCCVARIACKAEADAIKEEAKKKVKHEGHPQHTLSLKLRPAAFRCDACNTYKDEGLSYECDSCDFWIHKTCASLAPTIQLPHHPNHKLVLVYSLPEIFFNFSYYCETCNKYIQRNEWLYHCANCRFFAHIKCALNAERPSTPRDGDGLSTVDEDENGLLHFPMSDAFTDPLKLLHFEKMTRDDDETTNINHWSHEHPLILHVQPQPKPNNTSSCSDTIEVCHGCVRPLSLPYCSCKDGCSFTLHKYCAELPLKLQHPLHPDHLLALINTR >cds-PLY91737.1 pep primary_assembly:Lsat_Salinas_v7:9:17612861:17613049:-1 gene:gene-LSAT_9X16140 transcript:rna-gnl|WGS:NBSK|LSAT_9X16140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSSCLNTSSGSEQDSSEADNDDGDEFVAELTRKLADYMLQEDDDDNSYDKFTSPEGYDS >cds-PLY77515.1 pep primary_assembly:Lsat_Salinas_v7:4:51142327:51142730:-1 gene:gene-LSAT_4X33940 transcript:rna-gnl|WGS:NBSK|LSAT_4X33940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGGNPSRKWWCDGQKGKEIQAFASFIALKNASSVLYSFSFPLVSPPSPNYGLRQPLTCTVSVPGFPTTPDNFSIYAFASASFCRFKGFQVEDLVGLFFSWFDRK >cds-PLY85901.1 pep primary_assembly:Lsat_Salinas_v7:2:189087027:189088641:1 gene:gene-LSAT_2X111141 transcript:rna-gnl|WGS:NBSK|LSAT_2X111141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIISFFPSWILPTTLLLFFTSIFMYALRMRRSSIRLPPGPKRLPIIGNLHQVLGKEGVHQTLWNLSQTYGPAMLLHFGAQPFLVISSTEMATEVLKTHDEKLCTRPYSKATKLLSFNYMDVAFSPHSDHWRDMRKVVVSEFLGAKRIRLYKNMMEIEMEAVIRSISSHSLNTTVNLEDILLSLVYDVVGKVAFGNSYRGKTFNGRTLKDIVEEVQVMGGASFSFIFPTFGWILDELTGWNRRLQKCYTDFDGFLQMILDDHHDTKTSGHVNDFVDDCMSRLTTEEMKALMMNVLEGAVDTTTITMVWAMSELVKNPRVMEKLQNEIRRCVGRKSKVDESDITKMPYLKMVVKETLRLHPPAAFLMGRECVSQCRIGGYDVLPGMKVMVTAWGLGRDPRIWKENAEEFYPERFENIKADIGGKNFEMIPFGGGRRACPGNNMATSTVEFTISNLLYFFNWETPAGLKKEDLDMKENGFPFLRRTTPLCLVPTKHNWED >cds-PLY98216.1 pep primary_assembly:Lsat_Salinas_v7:7:171558681:171560717:1 gene:gene-LSAT_7X101900 transcript:rna-gnl|WGS:NBSK|LSAT_7X101900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALPPLSIRGDLGVVSTAGVRYAYPLLKSFAKMGPQGVLGATKLLRPFSEIVDSLGLKDPFIRNWVDLLSFLLAGVKSDGVLSAEMNTLLVELEHLLRLLFSDFPSDKLPKMSEPSQLMTENTRSDLYVALPVLSQGKKWVLLYSTWRHGISLSTLYRRSNLCPGLSLLVVGDRKGAVFGGLVEAPLKPSTKKRYQGSNDTFVFTNTPGRPVIYRPTGVNRYFTLCSTEYLALGGGNHFALYLDSDLLNGSSLASETYGNSCLSHTQEFEVKEIELWGFVYASEYEEAISMLRTEAPGICRW >cds-PLY66929.1 pep primary_assembly:Lsat_Salinas_v7:7:20071488:20074376:-1 gene:gene-LSAT_7X16460 transcript:rna-gnl|WGS:NBSK|LSAT_7X16460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADVVQYKLERMVNELDDLERRGLFSRREIAEIVKQRRKFEYRLKRPSPLKQDYLAYIDYEKSLESLRLLRKKAMLRELEKKSEDGEGVVKKPKMKQSVSDFSGISRIVEIYRLATNRFKGDIELWFQYLEFCRQRRNGHMKKVLAQVIRFHPKVPGVWIYAASWEFDHNLNAAAARALMQSGLRCCPSSEALWVEYLRMELTYLNKLSARRSALGEDVATLVTDGHEPEDKQWRDENKELFMPIDGETKDHKDLDVQNEELKQKINVFREQGLNILQTVYKCAVDALPSSFTMRTQFLEILEATHLANPETMKNEILSDMKNQFSKETGYWDWLAKYEAAGHNSTQDVKRDHLHNAIQVYDEALKIVPSSTMFDLYIKFLMDTIVHQSSWVSDIDLVSHVLKVYEKAQSMGCITEDLACQNVSFLLELGRLDDARNLAEKLCNGELSKAASLWDLRLSIEMKRINTPTKDDLSSVFNLLQNPLRKIAVSQAESLWLMGLKYFANQKQYFDKLVDISVSCLTRDGGSDDGFSLSSTIVNFILQKNGIQSARDMYKRFLALPRPGLVFYKNCIEMEMNIASAASKANLVETRKLYEAALSTYDQDASLWQDYHSMESKMGTSESAAAVHWRARRTLKGNATLLS >cds-PLY80311.1 pep primary_assembly:Lsat_Salinas_v7:3:208782051:208782529:-1 gene:gene-LSAT_3X122520 transcript:rna-gnl|WGS:NBSK|LSAT_3X122520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKTSWPELVGEDGECAIMTIEKENTLVNAQTIIEGTAIPEIYRCDRVLVWINEHDIVVTSPMVG >cds-PLY65478.1 pep primary_assembly:Lsat_Salinas_v7:8:32081601:32087765:1 gene:gene-LSAT_8X25240 transcript:rna-gnl|WGS:NBSK|LSAT_8X25240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAGTEVVCNDVVRKVEIEEKCIAGLHTSDLNEVGDAATVDGNVGGNGNSNVGGHGKDDTDDSSYVFVNGSIEAVTGDPADKGGNNVDAISPKSDSADEVDQFQKEKLGLENGEIPIDPLVMETNGIVNGSATVGDPDVKADKDEVNLENGSTIDPLVPLESNGIAQDVNGHLGKNTGEEDQVVKSTEMYDANGITNVEAQTDDIVSGITVDPESTLNPIESSQVTVIESESMKDLESQVTTTQSVSTPDLINTTDESFCTANPVRNTDCEVVVNDGQEFDSNLMDTAEGQVTIDVSSKPSEDLESQVTADLSESYESPPQELEGQVAVDGPGKLAEDLEFQVTADESESSKSPPQELEDQVTVDGPGKPSEDLVCQVIADEPESERPINEQECQVPVNGLDSIDSSVDNQESPVSVDVSESKGNHTEEVDTTTKVWESDGKSEEHQDLSIVDSEVNVEAEPNIVCNGIEAEQQSELVSAPCISETNKAEETVIESEAEPEENPDSGLVLSEGADTLINGQVEKSDGILEMEETQISQTVADEDVPLKLDAEEKKSEETLEKQVEGSSETLVKVTEPVELETNIQEMKEHVKLEDEIQESQIEVIDNVQDDINQEDNTAESTIEAEAPLETETSIEPITHEDTVSQIEVNNCVDETQEGFQPDESAENVKILPSTDSMESQCNNGELLVDRDSALLSNPVELTVVETEVDIAAHLVTENGSVIENADVPDDNEEKETKVEDTPVEDDSTSRIPEAYVNSGAVIEFGSIGRHETVPNMPNSDIIAVPDVINGETKPASGIDSDNSEQNVDDKMSCPETEDMNGIQKDEIPTSSVEDSVSDAPDAQNEEEEEEAEVLPYNFLIRIPRFEDEMFKDQIRSAQLQVDEKTRLRDAIRVEIQSKRARLRAINEAFNTAKQEETAARRLVRLKRQEIDSVQAVINRLKNAESVGDINHRIYNMEHMIQHETLSLKDEKNLIREIKQLRTLREQLASNMGSQDEIQQAMDQKDQTEEHMRTLRKELDALKVKLTKAEAATAAVGTKYDELSTKERELQDQFRAADDVRQKAYAHLNSLKKQSYDKNKNFRLYKEDVMAARDFASRGDKDSLHRLCANQVEAFMEQWNNNDEFRNDYVNRCNMNATRRQKYFDGNSLGPDDVSHVQPPNETVDRNLVSIPTDAKPVSVIPTVKQETVVSLSYSEDSKPIENVTVEKNQTLKSKDVKKESESDMMSAADNNKKKEEEASKEEMELARKAEDLRKQEIEAKLKEQRRLEEKAKATEALERKKRIAEKAQLRAELRARKEAEQKEKEREKRMRKKEKKKAGGDGSVNGEEAASSESSNEATTKEDINSNSNSVMKKKKAAQKPPAHFFSKQLKPKPVPPPASIRNRKRWHQWVKLALAAIGILALFLLGNTGFFINLKVHKTDGSAGI >cds-PLY66578.1 pep primary_assembly:Lsat_Salinas_v7:6:166837159:166837914:1 gene:gene-LSAT_6X102740 transcript:rna-gnl|WGS:NBSK|LSAT_6X102740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDMGGSLDLNIPSLLGDVKINFFGKEMEDENTSFNKKVGKVDSEVGEVSSEKDYTIQGERGAGIVDRVDRFFPTSGVKRVVPLVVHSSSFPVPVVHVTAEPTTPVEISPATTVHAATEATPPEMVVPVSAHNTSLVEVNLNVVGLGPDAPEVGADENGSPATSLNYHCSSKPLIKQVSANVNDIEDVMKQYIQMGGVLGYDMEGNMETVK >cds-PLY86934.1 pep primary_assembly:Lsat_Salinas_v7:5:265385978:265386880:1 gene:gene-LSAT_5X137041 transcript:rna-gnl|WGS:NBSK|LSAT_5X137041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTAKNYFSGNGRLPVEKSSFAKTCNRLSLFLKEKGNLTDLGINGNFDVIGKPERSSEATAMMTVDLLSKMESPIEKSTKTEEPMSHLPQYISLDTFLNKTGSTKPIESRTEQMTIFYKGQVLVFDCVSADKARDLMLAATSVSASHNNQSHNRLQLASTSDSFGSEHVLQGLQENGSELPIARRVSLHKFLEKRKDRATGRAPYQLHNPSSTAAAAASSNRKFDLNL >cds-PLY67803.1 pep primary_assembly:Lsat_Salinas_v7:9:165489460:165494241:-1 gene:gene-LSAT_9X103280 transcript:rna-gnl|WGS:NBSK|LSAT_9X103280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGGRERQWKEYPLRPEEKIHHGRNTVPPSRHLWVGNLSHTLTERALSNHFRQFGELESVAFSPGRSYAFINFIEASAAFAAFGALQGFILAGNALRIEFAKAEKSSAQLHEEDDYIRRRDSPRSSVRESPFPHRDSRIRHSNSDPNNENQKPISSGSRADGEPNEVLWIGFPQSLKLDEHSFWDAFSPFGEIEKISSYPGRTYAFVRYKHVNSAIRAKDNLQGKLFGNPRVHITFARSESRAPPSNTGKNSIPDPPSPRYGRQHDRYHDERKRRSPLPGRNHGFRDTGHDGYGRRSPSRDRNVNFHDFHTPRRGPVYDDEWDLPEDALIFHGAKKLKGGPIPIPIPIPSEPELPEYPFSDSEHGKNVLSRPPGFDNNNLGHFGYHKQMIHDPPMNVAQPYGERGNIQNTQNIQNTRNNLNAGYDGFHGGPVSQNAVEWKRPTPEPHIPASGEWKWEGIIAKGGTSICRARCFPVGKVLDMIMPEFLDCTARTSLDMLSKHYYQAASSWVVFFVPESDADMAFYNEFMNYLGEKQRAAVAKLDDKTTLFLVPPSDFSEKILKVPGKLSISGVILRLEQPPATADTLPPPPPPHHRQPERPDPYHMLSHGEASRMSFTAASPSGSYQLQNLGKPRPGTMSVPPFHVDNNTQTQDHLLHRQSRSGDFMIPNNQEPTNLSNNYRPGSPTYQEPKTVVAATGGIGIQPDQLAQLASFLGNARQSMTAGEEFRQPSSNVNVMMGLDNNSSNNNNNGYNNNNNRMTPRQLPSPSPSQHHQHQHQYHPPNPSQQQQQMMSGYHHQHHQQQQQHQVQVGNMGHGQGQSQSQSSGSQGPGNGQEETEEADPQKRLQATLELAATLLKQIQQGKT >cds-PLY97943.1 pep primary_assembly:Lsat_Salinas_v7:3:17965914:17968145:1 gene:gene-LSAT_3X13600 transcript:rna-gnl|WGS:NBSK|LSAT_3X13600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEVESVTGVKDNSESESTTSVTGRELIRPVAVGALRLPWKLFFLPISVIGGSVGRVTGSVRLSFRVATDSVFSYWLRMVRLTSSSRSRKPSSTPLVSASVSTTVEASEAVDFLSEFESRYGEIHPNFVPGGFMDAVELSRRECKLLFVYLHSPDNPDSSSFCVKTLCSEFLSAFVNENFVSWGDTIGKNERLEISKSLNASRFPFWAVIKGATDTGEGFSKLRQFEGPSSAEEMVTELQSVLEENAASLVAASVDSTDEHTNSSPLMEEEDAPCSVALEADLGNEFQREEDQNEIEPEAESEAKLCEEEASLLVAEPEPEPGPDFVQFEGPSSPEEMVTELQSVLEENDRAFDAASVNTTDEHTNNPPLMEEEDAPYPAALEADQDDELQMEEDQNGKELEAERDAKLCDEPEPEPEPEPEPEPVIEVFVRLPIGENIVGSFPCTATLQSVYDFVDSSGWLDVGSYTLVTFFPRVLYGQHQLSSTLEELGLHPQTSLFVELNY >cds-PLY75575.1 pep primary_assembly:Lsat_Salinas_v7:9:32199530:32203187:1 gene:gene-LSAT_9X29080 transcript:rna-gnl|WGS:NBSK|LSAT_9X29080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPADLVGAGLGDAVSKLSDAIIHVIKKTSQFKPNLIQLQNTITRNKPIFDEIEKLIEVLDRPKKEKEMFIAQMEGAEALVLKCERIKWNFYKKYTHALKLDDLNASLLRFCQIDLQLLNTRGILDLLVAKNVQMRMMKGDAGRWSSRAPLLKDVVIGFDDRVRDLKAMVLKDSAGGDECSVVVVSAAGGSGKTTLVTMLCHDPAIQEKFGRNIYFATISETPNLKMAVQNLLQSNQAGQKLDFINDDDAINQWGRFLGENKSETLLVLDDVWSDSIIKRFKFKFRGYKILATSRFTFKQFNTYHLQLLSHQDATALFRHSAFSECESDDADIPDDLVDKLVKCCKQHPLALSVIGGLLKGTDITSWLGMLKNLSDGKQTVLDLEESIPLCLARSLDVFKEESVIKQCYLDLGLFPEDQRIAATMLMDMWVHLYNDDEEGLATMNHLFELSYKNLATLLPIRKHSPVISNYCEDKALVQHDLMRTLAIRLSNQEPIEHRKRLIIHANGQDLPKLPKTVDAHLFSISTDERFSLKWNDIQAPKVEVLVLNFMSKTYPLPQFMQSMESLKIIIFTNYGYHFSELQNFPSPQYLSGLTTIRLEHVSISSISTSILELPHLQKLSLIMCKIGNSFTEYTPNKLQSLLEIDIESCDDLITFPTMLCNLVNLRKLNITNCLELSSLSEEFGKNLSNLEVLRLASCSNLVTLPKWIGNMQKLSVIDLTDCLNLLELPGEIGELGSLRMIHMRGCTGLRELPLSVKGLCPLEVVCDEEISLLWSHLTCVKVKLVEEDRFSTFLNITQDYI >cds-PLY80153.1 pep primary_assembly:Lsat_Salinas_v7:3:41151235:41152917:1 gene:gene-LSAT_3X31161 transcript:rna-gnl|WGS:NBSK|LSAT_3X31161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVVLITGASSGLGELIAYEYAKKVDHLVCNAGIGSLYSINIGVTKFTPVMDINFWGSIYPTYFAIPHLIRTNGKIVVNASCGGVLHPPKGGFYNASKAALISFYESLRFEVSPRVTITILTLGFIETNMITPKYSTSGVGVSLRKGFGTIHPTMGAESCAIAIVDGVCKGATSITEPRFIKALFLIKFLFPGLHRFHMNIFSLGFSKELKGTYEN >cds-PLY98906.1 pep primary_assembly:Lsat_Salinas_v7:7:48088529:48089451:-1 gene:gene-LSAT_7X35780 transcript:rna-gnl|WGS:NBSK|LSAT_7X35780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEEVNFDFSLLESVNNYLVDNHFLSGFLLSDFSIPTISEESSINIAAEISSIFAANSSSGEVCSRSSSFLIDDTIGPLPNFDDFEILTSSDIISAPSSSTSGNEFPDISADIPAVRSDLSGTCLPPMEESALCMTLNWDLTTGNVVAFGEKIFSGEGNHQSPPCVNDYNSDDVKNFEALPIASLHERRYRGVRRRPWGKFTAEMRNPEKKGSRLWLGTYETPEEAAMAYDRAAFKHRGSQALLNFPHLTKSHNENPQRYITKKRSSSSTSSLSLDSSTKSNLKKSKSLCV >cds-PLY80972.1 pep primary_assembly:Lsat_Salinas_v7:9:177293856:177294095:-1 gene:gene-LSAT_9X109260 transcript:rna-gnl|WGS:NBSK|LSAT_9X109260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHNGRGARQQPRRDADRGNKDYIRDPRDVAEIARLQQQVRDLELQQEERREETESYLGFFSDGEDRNPFGGWNQRSNL >cds-PLY94141.1 pep primary_assembly:Lsat_Salinas_v7:5:32815055:32815404:1 gene:gene-LSAT_5X15341 transcript:rna-gnl|WGS:NBSK|LSAT_5X15341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEMVNESAALLSKMPTLEKYGTNLAKLAKEGKLDHVVEIVAQFPEGLTKTNPETIDGKKVGCSLYLFYVDNDDGLALGDRLCTIYRF >cds-PLY66846.1 pep primary_assembly:Lsat_Salinas_v7:7:20182823:20184788:-1 gene:gene-LSAT_7X16360 transcript:rna-gnl|WGS:NBSK|LSAT_7X16360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSWTCSKCTFINTDSLKPNCQICSSSQSPPPFSSSSNQEKWSCKACTFLNVYKVSSCEICGTRNSSFSSNLGLDDEEMEVGSSAVGNVFLPLLQRCNNAKRKIRDDPVEIADNSIDLSVSRGLKSADKKVIDSDEIQPKVDPSPRKLKILSYNVWFAEDIELRIRMRAIGDIIQLHTPDVICLQEVTPDIYAIFQRSNWWKSYKCSLSFEKAITRPYFCMQLTKLPVKSFNCKQFSYSAMGRELCITEVSLQENNTPLVIATTHLESPCPGPPKWDQMYSKERVKQANEAVDFLKSNPNVIFCGDMNWDDKLDGEFPLPDGWNDAWTELNPKEIGWTYDTKSNPMLTANRKLQKRLDRFLICLRDLKAESVVMVGTEPIPEVTYLKQKKGGKELELPVLPSDHFGLLLTMSAR >cds-PLY99069.1 pep primary_assembly:Lsat_Salinas_v7:6:151579858:151580400:1 gene:gene-LSAT_6X91081 transcript:rna-gnl|WGS:NBSK|LSAT_6X91081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLLVLAKVKLLGLSKWHRFTTTPLLATLIWPFFLKVMLSLRPIQDIVGTMVHDSRLFIFQLNRIITLQDDNEGERRWDRFRRLVYDRLVDVGRSVAFVNDEHSLHTLSMVAL >cds-PLY78814.1 pep primary_assembly:Lsat_Salinas_v7:9:172788396:172806133:1 gene:gene-LSAT_9X107540 transcript:rna-gnl|WGS:NBSK|LSAT_9X107540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLELNMRFRLVALFFLSSIHLCSLVQSICSRGCGLALGSYYISPGNELDPISQYMNTNINSILQYNRGTITNQDSVQSFIRINVPFSCECINGDFLGHMFSYTVRSQDTYGLIASERYANLTTVEWIQRFNSHPVNRIPDVNTTINVTVNCSCGDSSISKDYGLFVTYTLRSGETLDSVSSVAKLSSNLMRSYNPDANFDVVNSLIYIPGRVYGFQKSMMRVPPMFLCNLPDSNTIRAGFNFPFVFDQEEEDFKRIGQVLAKKTSRNSLLIGVSADHVLVGLTDSLKMKINVDFIDNLVSNFNLCSFSNLGPNGTSLGGSDSSDLPIDAPHILTGITVDKSIEFSYKELSKATDEFSLANKIGQGGFGAVYYAKLRGQKVAIKKMDMQASREFLAELKVLTQVHHLNLVRLIGYSVEGSLFLVYEYLENGNLSEHLHLIGRDPLPWSTRVQIALDSARGLEYIHEHIVPIYIHRDIKSANILIDKNFNAKVADFGLAKLVEVGNKSLHTRLVGTFGYMPPEYAKRGNVSPKVDVYAFGVVLYELISAKEAVVKTHGSTAKGLVSLCDEVLSKLDPKDGLVKMIDPRLGDNYPLDSVCKMAELAKACTHENPQLRPSMRSIMVELMTISSSMEDGNVGSFYEN >cds-PLY85068.1 pep primary_assembly:Lsat_Salinas_v7:7:7210282:7213719:1 gene:gene-LSAT_7X8061 transcript:rna-gnl|WGS:NBSK|LSAT_7X8061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEMAGRDNMPEGTMRNVLDQKSLKWVFVGGKGGVGKTTCSSILSILLASARSSVLIISTDPAHNLSDAFQQRFTRAPTLVNGFTNLYAMEVDPNVENEEEEGSDAMDGFLSDLANSIPGIDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPSTLEKGLDRMMSLKSKFGGLLGQMSRLFGVGDEVGEDAILGKLEGMKDVIEKVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELTKFEIDTHNIIINQVLFDEEGVESRLLKARMKMQGKYLDQFYMLYDDFHITKLPLLAEEVCGVEALKAFSKHFRQPYDPSITQGTVEELELRVSRLQGQLRDVVSELEKVKKGKQVL >cds-PLY86612.1 pep primary_assembly:Lsat_Salinas_v7:1:3864866:3873102:1 gene:gene-LSAT_1X3160 transcript:rna-gnl|WGS:NBSK|LSAT_1X3160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRGRSEKHNRDTEVQTEFDDEDERKVILIIHDQVVVVIGETGSGKTTQLTQVKDYDAALDLELDSVEVTQEGRGNGDVIIEEDMVASAQNLDIVLKLDVCGELVVGNIITCHLEVAWCGGTRAKSFLGEDGIIVQW >cds-PLY91119.1 pep primary_assembly:Lsat_Salinas_v7:3:83485618:83486578:1 gene:gene-LSAT_3X64460 transcript:rna-gnl|WGS:NBSK|LSAT_3X64460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEADIREEDVLKEKDAFEELKKLPAKSLTETVNQFIEIVNQVKEDEKAEQATRPSIVNDTVLFDMGGAISKELEIISFHTVFKGFLGECGQRGGYFEMKKIPP >cds-PLY65982.1 pep primary_assembly:Lsat_Salinas_v7:4:136860097:136862381:1 gene:gene-LSAT_4X85441 transcript:rna-gnl|WGS:NBSK|LSAT_4X85441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEESKRRIYCLQFFCFILIGCFAFQTFASSSGTVFYESFDQSFEDSWTVSENEEYLGVWNHSKSEGHDDYGLLVSEKARKYAIVKELEKPVEVNNRTVVLQFETRFYNQLECGGAYLKYLRPQESGWTPKQFDSNSPYSIMFGPDKCGSTEKVHFIVNTKFQKNGKYVEHHLTYPPKIPLDKMTHVYTAILKPDYEVSILIDGEEKKKVNILSPEDFHPPIVLPKTIPDYGEHKPEDWDDSKQIPDPDAKKPDDWDEDIPMEIPDEDAVKPKGWLEDEPDEIDDPEAVKPEDWDDEEDGEWEAPKIDNPKCEFVGCGKWQRPMKKNPDYKGTWKAPLIDNPNYMGVWKPRQIPNPEYFELENFAVEPIAAIGIEIWTMQEGILFDNILITNDETTAASIRDTTWKPKFLVEKANQTAEEDAAGIEGLKGIQKSVFDVLYKIADLPFLSGHKMKVMELIEEAEKKPSLIVGIILSLAVVVVSPLLKILLATKKNQTKVKEGGKMAAAQGEDATSPRRRNTRRDG >cds-PLY91576.1 pep primary_assembly:Lsat_Salinas_v7:1:10647569:10647796:-1 gene:gene-LSAT_1X10260 transcript:rna-gnl|WGS:NBSK|LSAT_1X10260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGSWRYKFLLLSIVTFLAFSEATSRFPKEISWEQMLPKKFPTPSSAPSRGTNSVTDSEATMETKKIIPSVDGKV >cds-PLY86796.1 pep primary_assembly:Lsat_Salinas_v7:5:16263220:16265909:-1 gene:gene-LSAT_5X8401 transcript:rna-gnl|WGS:NBSK|LSAT_5X8401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAVKAGTRPPWVGFAAAVWIGICAGNSYCFPLYSHSLKSVLGFSQQQLTILGVANDFGENTGILPGIACNYFPPWAVLLVGVFSSFFGYGVLWLAITRTIVNLPYWILWIALVLAANSSAWLGTAVIVTNLRNFPLSRGTVAGLLKGYIALSAAVFTEVCTMLLNGSASAIVLVFTIGIPVICLSLMYYVRPCTPAFEADPSENGHFLFTQGSSLILAIFLLTTTMLKGTLNISNTISYTFIAIMVVFLLAPLAIPIKMTLFPARKKLIRPPGSSDSLVLGEGDSKTDPLLTLSSSAANLTSFNDSDDVSDVDMLLAVGEGAVKMKKRRPRRGEDFSFREAMVKADFWLLWTAYFLGVGSGVTVLNNLAQIGASLGVDDTNTLLSLFSFCNFLGRLGGGAVSEYFVRLNAIPRTFWTMATQVIMVLTYLLYASALNGTLYAATALLGTCYGVQIAIMISTSSELFGLKNFGLIFNFMQLGNPLGALLFSGMLAGYIYDTEEARQGGTTCMGPACFRFTFLVLACVCGLGTILSLILTIRIRPVYQMLYAGGSFRLPQSSSH >cds-PLY77784.1 pep primary_assembly:Lsat_Salinas_v7:2:169935090:169936765:1 gene:gene-LSAT_2X91541 transcript:rna-gnl|WGS:NBSK|LSAT_2X91541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NRT2.7 [Source:Projected from Arabidopsis thaliana (AT5G14570) UniProtKB/TrEMBL;Acc:A0A178UKX9] MESTIKFSIAVDSDHRATEFRPFSISSPHMRAFHLAWLSLFSCFFSTFAIPPLLPIIREDLHLSPSDVGTAGIAAFAGSIISRLAMGPACDLFGPRLASATLSLVTAPILLSAAFISSPLSFILLRFFVGFSLANFVANQFWMSSMFSGCTVGLANGVAAGWANVGSGLTQLLMPIIFSILNTNFNFTQSASWRLAFVVPAVFQATMALLVLAYGQDLPDGKYKKFKKEEKDSFGLFLNGITNYRGWVLGLTYGFCFGVELTMDNIIAEYFYDRFGVNMETAGVIAASFGFANWVSRPTGGVVSDELGRRFGMKGRLWGLWVVQTVAGLLCLWLGRVNSLWGSIVVMCGFSFFVQAASGLTFGVVPFVSKRSMGVISGMTGSGGTVGAVVTQLLLFSGDTKFSTQTGISLMGVMMIVSTLSLTTIYFRDGGGMFCGSSDYDYQPLP >cds-PLY72788.1 pep primary_assembly:Lsat_Salinas_v7:4:372396043:372399812:-1 gene:gene-LSAT_4X183321 transcript:rna-gnl|WGS:NBSK|LSAT_4X183321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTDRKSKKKRSPAEFSSEGVFFGSPSDHCTDELEMDTEDLAVIFYPDHMVYGDSYCTDCVLTFTSSCIKIEGPGSILDGDDNILKLQWDVQDLVHIKSHWYELMAMVTIHVLTEDTVQSENVECTSGAELKFAIMGTNWFGKQEAITTLNVAYKTLWSSMLELEDTVLGQIKAPFTKYFPNFDQPFEEVIYPKRDVDVVSISKRDVDLLLPDTFVNDTIIDFYVKYLKNKIRPEERQRFHFFNSFFFRKLGDPEKEPLDALEGKKAFQRVRKWTQKVNLFEKDFVFIPVNYNYHWSLIVMCHLGEVAKYKDEEDVTELIKVPCVLHMDPIRGSHTGLKGLMKSYLKEEWKGRQQEASKDISSRFDNLRFISLELPQQPNSFDCGLFLLHYVELFLEQAPINFNPFKITKSVHFLNTDWFPPADASLKRVVIQRLVYDLLQQPYDEAEAFYKVFSQVSAKRFLLAKFHINFDPCVNFNNPFVKLINMTVLPLSDSWILYFIGCLQL >cds-PLY94038.1 pep primary_assembly:Lsat_Salinas_v7:7:100615904:100616871:1 gene:gene-LSAT_7X66041 transcript:rna-gnl|WGS:NBSK|LSAT_7X66041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPLDEAETTQVFEKLFKFTGNNLKNLVDSPSHEGPDQNPGRYCFRLHKNRVYYVSESLVKRATNVKRENLVAMGTNIGKFTKSGKFHLTIQALNLLAANAKHKVWLKPTSEMSFLYGNDVVKGGLGRITDNINAYDGVVVFSMSDLPLGFGIAAKSTQDCRKMDPNGLVVIRQADTGEYLRNQDDL >cds-PLY86033.1 pep primary_assembly:Lsat_Salinas_v7:3:73972204:73973759:-1 gene:gene-LSAT_3X61320 transcript:rna-gnl|WGS:NBSK|LSAT_3X61320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATFHHSILTLISILCLCFSPSSSSSSSQTTFKLSLTQHIAVPSGEFETIQHPLFRTLNYLVSSSLARAHLLKNPNNSTSEVPLFPRGNGGYYSVPLSFGSPSQKLSFLMDTGSSLVWFPCTDRYTCYDCPSSSSSLLPVFMPKHSSSVKILGCNNTKCGWVSRTPETIQCNATKQVCSYEQVYGSGLTAGVLLSETLHLLEGDVTDFAVGCSVLSAGLPEGILGFGRRPDSLPAQMGLKKFSYCLVSHQFDDNQTVSSELVLYRDSSSSGAGDGGMMISYTKFLKNPSRFEGFYYLSLQKITIGGKDVNIPDRLLVPGSDGNGGTIIDSGTTFTIMDYPIYDLVAKEFEVQMYKYKRINTTDKESPLCYTIGDKPPVFPELMFDFEGGAKLSLPMADYFSQVEPGVACMTILPIKLNGGPSIIIGNYQQQDIYVEYDLENGRFGFVKKKCN >cds-PLY99793.1 pep primary_assembly:Lsat_Salinas_v7:9:53219827:53220570:-1 gene:gene-LSAT_0X7620 transcript:rna-gnl|WGS:NBSK|LSAT_0X7620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKEFNVPPVVFPSGGNPNTGPQQRRLPTAPFQPARSANAGIPFMSFDIGSSPASTSFSTPQFGSTISSGSTNFDDEPPLLEELGINTKQIWNKTVSILNPFRVKADLHEDADLSGPILFIMAFGLFQLLAGKLHFGIIFGWTTVASLFLYVVFNMLAGRNGNLDLYRCLSLIGYCMLPIVILSALSLFVPQGGVLIFVMTGVFVMWATRVCTGLLVELASCGDEHRGLIAYACFLIYMLFSLLVVF >cds-PLY93210.1 pep primary_assembly:Lsat_Salinas_v7:6:159025969:159027319:-1 gene:gene-LSAT_6X97361 transcript:rna-gnl|WGS:NBSK|LSAT_6X97361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRSEEDMKSVGFFGIFKQSFKTIFSWKKIFAQITLTLILPLTIVFLAEMEISHHVFLNIETNSFLQFEPNDYDGNRTSAMDWLYYLLFKIAFIILLTVFSLLSTAAVVFTIASIYTDRETLFRNAMKVVQKVWKRLLVTFVFIYIALFIYDVIGSVVIAIFRSFLRNKSTLSLILLLIFLIVYIIVFLYLSVVCQLASVITVLENTQGFKAMKKGKQLANGKKKVGMGIAFVLYAFLVGLLVVYELFVEYGRGVFELAMVWRVMIGILCGLLLLMLFLLFIVTQTVLYLVCKSHHREVIDKLSLSTVLGGHMGETVVNPTVGGEEIQLGRPQLQQQV >cds-PLY72822.1 pep primary_assembly:Lsat_Salinas_v7:6:22486607:22488697:-1 gene:gene-LSAT_6X17621 transcript:rna-gnl|WGS:NBSK|LSAT_6X17621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLSTIPTAFSLPTAAPSVLQHKQVNLNSNFGGSRRVAMNKIGRDVEFGVRSVSSSIPFDVPFPGDYEELLDQAKEATQIALNDGIQLMEIEFPTAGLESVPGDGEGGIEMTGSMQLIREFCDLLVVPEKATKTRIFFPEANEVQFARKSVFGGASFKLDYLTKPSFFQDFGIVEKVKMVDRVKEEDELFIVGYPYFNVNEMLVVEELYREAVASTARQMIIFNGELDRIRSGYYPSFFYPKLAQLSKTLFPVMETVYYIHNFKGRNGGALFRCYPGPWKVLRRLGSRYVCVHQQEEMPTLKEVALDILPSAA >cds-PLY64424.1 pep primary_assembly:Lsat_Salinas_v7:5:37524669:37530089:1 gene:gene-LSAT_5X18460 transcript:rna-gnl|WGS:NBSK|LSAT_5X18460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKRNVATRSKAATPADNPHQLQLSVGNDHDGDGDVSSLTTEHKLSVNSSIVHEIQPNLENEVSLAIESDGYSAVKIECEKALNALRRGNHTKALRLMKEMCAKHENKAYLALIHRVQGTVCVKVASIIDDPNAKQRHLKNAIESAKKAVMLSPNSAEFAHFYANLLYETANDGKDYEDSVHECERALCIKNPIDPGKESLQDESQQKISSADARIGHVQNELRALLQRANLGTISSWMKNLGNGEEKFRIIPIRRAPDDPMDVRVVQARRPNDIKKASKTDEERKKEIEVKVAAARLLQQKQELSLSQTEGDKASEPSSGPGQRIERRKNGKVRKNASNAERKESILPFWKSMSVDLKKDMFKIKISDIKAHFRSLKDGLAYEIISEALSFGVSNNDWKFWMCCRCNEKFSDPESHKQHIIHDHVDSLPPKLQAVLPPIVDSEWSEMLLTFPWKPLDVNASVRMIELQPNFDCVPIEWDEDEVHKGDFNPNSWPSSNDIERTKLLEKIKSLFHLLIKHKCLAASHLTKVIQFAIEELHPQLLNCNVEQSPICICFLGAIELKKVLVFLQELSNSCGVGRYSEKGNAMEELHLQSVTQGIGMAERIVFNEDSSTLLLDENRLSHHDDDDDGDDDDEDDDSFLSWIFLGPTSREQLTNWTRGREEKVNQGTEIVQMLGKELSHLQSLCDRKLEHINYEESLQLVEDLCLQEGKRRENPTEFVCQSYESVLRKRRDELVDHDNEVNCVNRFEVDALTNILKEAESLNVYGGLSVSVSMSSHVYDLESGEDNWRVNDYLHQLDSCIEVAIQKQKEQLSVELSKIDARIMRNVSGMQQLEAKLGPLCGHDFALIVVPLVKSYLRAHIENLAEKDATEKSDAAREAFLAELALDSKKGTKQINKRKNKEYRKMKDAKATSTCDSESVDEDGVDMNKHEDEAMRRKMELEAEERKLEETLEYQRRIEDEAKQKHLAEQQHKSNSRVQVFDVFKNQTNGHVEDSSRKTKAEDVDEKRFQADLSKAVRQSLDVFHSHYEGGEEDSCVTSIEAVEDNVYGTGLKNEVGEYNCFLNVIIQSLWHLRRFREEFLSTSTSAHVHVGDPCVTCALHDIFKALNTASTDSKSQAVAPTALRIALSNLYPHSSFFQEAQMNDASEVLGVIFDCLHKSFISSGSRSSVISDIEEKENSRMGMGEWECENKGRCTAHSLFGMDIFERMNCYNCGLETRRLKYTSFFHQINANSLRTMKVMCPESCLDELLNLVEMNHQLGCDPEDGGCGKLNYIHHILSTPPHIFTTVLGWQNTCESVEDIKATLAALSTDIDLSVLYRGLDPNNRRNLVSLVCYYGQHYHCFAYSHVHQRWVMYDDKTVKVIGRWEDVVSMCEKGHLQPQVLFYEAVN >cds-PLY76635.1 pep primary_assembly:Lsat_Salinas_v7:4:117971770:117973778:1 gene:gene-LSAT_4X73401 transcript:rna-gnl|WGS:NBSK|LSAT_4X73401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGNGKLGRWRFSLRSSAAPAKKSEKTKPLVEFICPISGLLMLEPVVVSSGQTFEKTSVDVCKDLKFVPVLADGSRPDFSTVIPNLALKKAIHSWCMSTGADLPRDVDYSSIEDSVRRMMASSTQSEGDSRFRDSERNLLKGMAEKPPVILTHAATELNPRSIPHHHHHFYSSSSEESVLANVPDTPPLPFVTRPSCYSSSPSASTSSEIVVDETPDPNSSTSDEQHFASKLQSPDIFEQEQCVISLRKVTRTDEELRVSLCTPRLLSALRQCLLSRYATVQTNAVAALVNLSLENANKLKIVRSGIVPPLIDVLKAGVSESQEHAAGALFSLALEEENKTAIGVLGALQPLLHALHSDSERTRNDSALALYHLSLKQSNRVKLVKLGAVSTLLTMLETGLAGRVLLVLCNLAASNEGKSSLLDSNAVECLFRKLRTENVNSEESTRENCVACLYSLSHGSMRFKGLARAARGAEVLRVVEENGSERAREKAKRMLMMLRERDEAGESVDWDAILEGGVSQTLFRGSSTQF >cds-PLY93290.1 pep primary_assembly:Lsat_Salinas_v7:4:300511960:300516329:-1 gene:gene-LSAT_4X150561 transcript:rna-gnl|WGS:NBSK|LSAT_4X150561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSLDKSFKYDVFLSFRGEDTRTNFIDHLYHALQNKSIHTYKDDEKIKKGKNISDELIGSIEDSKFYIIVFSKNYASSSWCLDELVKIMECHRTNEHIAYPVFYDVEPSEVRKQSGPVAEAFAKHEKEEAAGKWREALKESADLSGWELKKTADGHEAKFIKKIVEEISLELRSTSFNIDGKLVGMETRIKTLVPALGNGCDDVRMIGIKGMGGGGKTTLARAVFDHISFQFEGKSFVENVRENASLSGLKSLQKQVLSDVLNEDICVSSISDGKYLMKRMLPDKKVLVVLDDVDHIDQLEALAGELTWFKLGSRIIITTRDEQVLVAHRVEFIRDVSLLSDEEAICLFKTYTFWREVPSPRYEELSRQVVRYAAGLPLTIKVLGSSLCGKNELEWVDALKRLETIPLTETLKKLEISYISLDDDYKEIFLDIACNLKGWEKDDAIRALESCGFCAIIGLRVLEQKSLVAIYCDSYGDERVGMHDHVEEMGRNIVRRSHPDEPHKHSRLWKIDEIEDVLANDLGTKATKYIQFHTKKFGPHIYLKGLRKMKKLRFLSVSAVQQLDFSSNLEFSIVVPADFPNTLRYLHWSCYPFRSLPTTFQANNLVALRMDDSRIVQLWEGGERKVLNKLRFLDLSRSRLSTFDLGLSPNLEKLTLKDCHNLLELHMTAGCLKLTSVNLEGSSLMTLDLGLAPNLEELILLECKYLQTLHFPESCLTLRCLLLTYSNLRTLHIGMTPNLEKLDLKKSYCLEELHMANECQKLTELIITHSNLRTLDLRMTPNLKKLDLKECSGLVELHTPVGCLKKLAHVDLSGCLRFRSFNFNIEDYTSCSVDESLEVGPLAELHLFVQSLERCPLHPDNNLPKFRFDCDYKEDRPSLTRNLEMLLSIGMCSCTNLKTFSQSICGLQRLRKLELKGSFVEVIKDLDQLESLEELILLSTNINHLPDSICKLKRLKTLKLDDLRLLDTLPEDLGQLEYLEELGLLSADIKHLPDSIYMFKHLKSLELSSCVLLETLPDDLHQLECLEKLTLSSRMIKRLPDSIYMLKHLKSLKLTSCWIPEKLLENLGRLEHLEELDFSHTMIKDLPGSIYRLKHLKSLKLRSCLLLEKLPEDLGQLECLEELDLSFTKIKHLPDSICMLKRLKYLILSNCSLLEKLPEDLGQLKCLEELSLGKCEFLQDIPNSICEMKRLNFFSLRDCIRVEKLPEELGRLECLEELYIDGTRISHLPRSIHLLEGLLIVGPGKLLEACGFKSKIHPLNPDAFCYV >cds-PLY73755.1 pep primary_assembly:Lsat_Salinas_v7:2:96131855:96134783:-1 gene:gene-LSAT_2X40681 transcript:rna-gnl|WGS:NBSK|LSAT_2X40681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g06143 [Source:Projected from Arabidopsis thaliana (AT1G06143) UniProtKB/Swiss-Prot;Acc:Q56X05] MNSGGGVSGFIATSFRHHFSHRLRRHLSSLSFLSSYFTTTAADLIRLPTTHLAELQGGTINNEIIIEHLKICSNLRQLESIYAFMVKNSYNQDCFMINQFVSACSTFSQTDYAIQAYTQMEDPNVFVYNAVIRACVSCFVPIQSLQIYLQMLRARISPTSYTFPSVIKSCAFIQQYRIGEAINGQIWKFGFKSHVYVQTALIDFYSCFGKILESRQVFDEMVERDTFAWTSMISVHSRSGDMVSAHKLFDEMPERTCASWNSLIDGYARIKDIKSAELLFNNMPSKDLISWTTMINSYSQNKLYQESLSTFINMTKHGITPDEVTMATVISACAHLGTLDIGKKIHLYIAKNRFNLDVYIGSSLIDMYAKCGNLDQSLLVFYKLPEKNLFCWNSIIEGLASHGYAKESLKMFSQMKKENIKPNGITFISVLSACTHGGLVKEGRKLFQSMSQNFLIPPGIEHYGCMVDLLCKAGMLEDAMELIKEMGMEPNGVIWGAVLGGCKIQKNLELARVAVEKLMVLEPDNSGYYSLFVNMLAEENRWSEVARIRSSMKEFRVEKKIPGSSWIEIEGKLHQFSASDKYHECSKEIYVLLDRVRGKQVLDACVHEYPSFL >cds-PLY99536.1 pep primary_assembly:Lsat_Salinas_v7:1:114936533:114950653:1 gene:gene-LSAT_1X88920 transcript:rna-gnl|WGS:NBSK|LSAT_1X88920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGRLKSRGYSGGLRSSEICTPEMKTSYDCENPKESESPRFQAILWVTSAPRKRYPTDIKSFSHELNSKGVRPFPLWKPRGLNNVEEVLSMIRGKFDKAKEEVDVDLHIFVGDLLGILEKNAESQPQWQETLEDLLVLAQRCSMTSPGEFWLQCEGIVQELDDRHQELPMGILKQLDTRMLFILRRCTRLLQFHKESRLVEDELVLQLRQSLHSADKRIPPRPLKPPSSSSSSRKSFNQEQHEMLEPKKEKPILKAHPSMSCRTRFGPKSDQGMTTSSAGSMNPRSPLMTPKTSQIDLLLAGKGAYSEHDDLPQMNELADISRCASNTPLDDDRSLSYLLTCLDDLRVVIDRRKVDALTVETFGAGIQKLIREKYWQMCEMVDDEKVDIASTVIDEDVPLEDDVVRSFRTSPMHSRNKDRSSIDDFEIIKPISRGAFGGVFLAKKRTTGDPFAIKVLKKADMIRKNAVESILAEHDIFISILNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLVRNLGYLDEDVARIYIAEVSSPCILSEFHPSLKTMRMKNDVDEYLKTRPQGTSFLSELKQKLLISPSEAARAGTRYNVPLMNSLVLYVGMQGAANIKVAGTDMNNKSSRSHSVFTRWFSNITNTNFFQTTEDLEFNWVIEGDGCKLDSGTLSLPTLEFNWVIEVVKSREKYLQMCEMVDDEKVDIANTVIDEDAPLEDDVVRSLRTSPIHFGNKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGYLDEDVARIYIAEVKLLIQKSNDDESGDQVKKEKQQAEEGGSASTEKEKMKKDVEVDMRGKLVKSLSFQSEIR >cds-PLY84331.1 pep primary_assembly:Lsat_Salinas_v7:5:191348523:191356069:-1 gene:gene-LSAT_5X84500 transcript:rna-gnl|WGS:NBSK|LSAT_5X84500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPHHRTNAGKLYRERKERMRRKTKMMDKRDRKMTKISLPRSMRMEHNHMELLQNLSIRLIFGMLMILKLGTLCFEY >cds-PLY62553.1 pep primary_assembly:Lsat_Salinas_v7:4:355344007:355349349:-1 gene:gene-LSAT_4X175061 transcript:rna-gnl|WGS:NBSK|LSAT_4X175061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVQRVKTPSFFLLFLSFWGCSYALLSPKGVNFEVQALMGIKASLLDPHDVLENWDADAVDPCSWTMITCSSESLVIGLGTPSQNLSGTLSPSIGNLTNLQIVLLQNNNITGAIPEEIGKLKKLQTLDLSDNHFTNEIPSSLGHLTTLQYMRLNNNSLSGSIPESVANMTQLAFVDLSFNNLSGPVPRFPSKTFNIIGNPMMCKTGSDQQCYGMTLMPISMPLNNTQSENNLEKRKTHKIAIAIGTSVGCILSLLFGIVLIWWRKTRNCELSFDAEDKHPEEVSLGNLRKFQLRELQIATHNFSNKNILGKGGFGHVYKGILHDGTSVAVKRLKDGGAAGGERQFQTEVEMISLAVHRNLLRLYGFCMTPTEKLLVYPYMSNGSVASRLKAKPVLDWGLRKKIALGAGRGLLYLHEQCDPKIIHRDVKAANILLDDCCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQRALEFGKAANQKGAMLDWVKKIHQEKKLDILVDKDLKNNYDRMELEELVKVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQGIESTGKFRTPELSSSERYSDLTDDSSLLGQAIELSGPR >cds-PLY76678.1 pep primary_assembly:Lsat_Salinas_v7:4:117753805:117755306:1 gene:gene-LSAT_4X73501 transcript:rna-gnl|WGS:NBSK|LSAT_4X73501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYLQTSNSQDEPMLQYSYYLHQDSDFLYTQSIDSIPAIASQPHHPYYHCISTIKCNNAYISSLVIHGNLLYTGSSNKEIRIWKRESLTTSHKFDQEYQSDSIMITGEGAIKSLIVSSDKIFSAHQDHKIRAWKVEGQDRKLTHLATLPTFGDWALKLLTPKNHVQVRRHKTSTWVNHVDTVSALALSRDGLVIYSVSWDRTLKIWRTTDFKCVESVANAHDDAINTVAISSNGDVYTGSTDKKIKIWRKSAENQNHFLVNTLKKHKSGVNALVLSSNEMVLYSGASDRSIMVWEKSDDEGNMVIVTILRGHSKSILCLSVVSDLVCSGSEDETIRIWRGMGKCYFCLAVLEGHKGPVKCLTSEIDDGSSSDTLASYFIYSGGLDSDIKVWQIFIPLC >cds-PLY68347.1 pep primary_assembly:Lsat_Salinas_v7:4:191397311:191399836:-1 gene:gene-LSAT_4X110001 transcript:rna-gnl|WGS:NBSK|LSAT_4X110001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRLSDYGVAEITWENGQPAMHGLGRASETLESIVHQATTCYNQTQTQEIYLQQSQNLPGTRNISSNLASSSRKWSDNAGPSYLKKRPRSSVMVDDQGVRNLGATSLQEDNISNSGTINSKDNDTTMMTWPSSDSPNQSLKSKNTDDDSAYQYGSENQEEECRTEGETIRSQSSRRSRAAAIHNQSERRRRERINQKMKALQKLVPNANKTDKASMLDEVIDYLKKLQAQVQFMKNMPIPHPQVTIPVHLQLQQQQQQQQQQQLQMSMLARMGMGFGLQMGIPGVIPPPAHHPFMVPQTMMNPATSQPIHSRPSSNTTVPFNDPHTAFLAQHMNMDMYANMAAIYRQQIGKSMGTSSSQSDQVLGE >cds-PLY98685.1 pep primary_assembly:Lsat_Salinas_v7:5:304358039:304360258:1 gene:gene-LSAT_5X164500 transcript:rna-gnl|WGS:NBSK|LSAT_5X164500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKYGEGDKRWIVEDRPDGANVHNWHWAETNCLEWSRNLLSKLLADQTILDGEGNLFIKTKKIEKVEGEAYVNVRKGKIIPGYELSVSINWQGEARDAEGKSLLIADGVVEIPYIADENADEDPDLRVIVKDEGPIGRRLKDAFLAKGKDFVLKQIRVYVDAMAKGGPAKDELEVKKVTSKQAAAPVSAPPVAAPVKKVEEKKEKKKEGFKTIKLTEKFSCRAKDMFEILMDDNRWKGFTQSNARISKEVGGEISIFDGSVTGTNLELQEGKLIVQKWRFGSWPDGIHSTVRLVLEEPEPGVTVVKLTQTDVPDEDRYGNSTVVENTERGWRDLIFHKIRAVFGFGV >cds-PLY70234.1 pep primary_assembly:Lsat_Salinas_v7:9:1662339:1663367:-1 gene:gene-LSAT_9X3980 transcript:rna-gnl|WGS:NBSK|LSAT_9X3980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCSKSSKWLNRLRSSRGFPDSDNINLEHFLSNSLDKIDPQTSTDPSLPDKRPKLDKRDDSGAIQGREVMNNVLSELFQMGEFQDLSRIKRKKSCRKQQCPRICIVSTNSNVQNVPVGKDRVSSPPPSLSPFPLTLSNRRTAKDVNRELKVTGHVEEEEKGHWDLTAYSQTEVTVIDTSVPSWKFEKMLYRRKNVWKVGDKKGKGLMTSDRKKRKERLIENGDVEKKKLKLCSSLSKSGNAEQGGENKKKKKKKKKKLKMCNSSKYEDKEESMARSKSPQEKIEELKNRRIDNLKNWDLNLGFESRCWEEVAKLDSSVRRRKKEKREYTWNRTPFILFFIFF >cds-PLY80503.1 pep primary_assembly:Lsat_Salinas_v7:2:137220335:137220730:1 gene:gene-LSAT_2X66921 transcript:rna-gnl|WGS:NBSK|LSAT_2X66921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSIEALAMAGVDYNEWGMDFEEWERMEMGPPPPHLFSEDYEEDERVEGGVSMLTSIVDELCGAVENQLEDVFDDHDMSEDEFQIPLPKYYNKIVKGGDGIKRLKMLVIVIIVMIRFLRKANSFNNVVH >cds-PLY96591.1 pep primary_assembly:Lsat_Salinas_v7:4:6001973:6002997:1 gene:gene-LSAT_4X4481 transcript:rna-gnl|WGS:NBSK|LSAT_4X4481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSASRRCSAETLTVRPSLSVFSRLRGTLNGCGHLEQDWRILGLEEIVEQGGEGFWLKEQQRIRVLRFGDTERAYSREVVARRLCTSHFGIC >cds-PLY81615.1 pep primary_assembly:Lsat_Salinas_v7:1:50730335:50732758:-1 gene:gene-LSAT_1X43320 transcript:rna-gnl|WGS:NBSK|LSAT_1X43320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFMILPNNILNNHLTEATTNINAYLPLYKAALRGDWDDAQHFIDQEPEAVTANINKYGFTALHIAVGTGKQGITFVQKLVEKTSPKLLLKMLTSSEKYTPLHIAAVVGNTVAVKILVNKNHKLLYAEDVDGLLPIHRALINSHKDTFLYLLGVTKANQYPYTFTGNMGVTLLSNVIFAGYFDIALDLISRYPDLATTIPSDNVDAPLMVIARKADAFESGCRLSFFDRLIYKYVPTKLVNLNLNKKQHKMDFLSSVLQEIGFLVWKAVGRIVPHITHIQKIKLVHNQAVALVKCLCHEISALNLESNSIYYSKPIIEAASNGAYEVVQEIADTFPQAIWYSDESGHFMIQLAILHRCEKVYNLTYQMSDHKHFHKTLKDSHNNNLLHLAGKLAPPHKLNLVSGAALQMQRELQWFKEVETFVHPKYKMEKNSFEQTPEMLFTKEHKKLVRDGEEWMKKTADSYTVTAGLITTIVFAAAITVPGGNNGDTGHPIYAKELSFLIFAVADAISLFTSTTSLLLFLSILTARYAEQDFLFTLPSRLIMGLATLFLSTSSMMIAFGASLYLLFGQGKDWILIPIAALSGLPITCFVTLQFPLLVELISCTYGRGLFGKQSDRPFY >cds-PLY62234.1 pep primary_assembly:Lsat_Salinas_v7:5:162259348:162259647:1 gene:gene-LSAT_5X71080 transcript:rna-gnl|WGS:NBSK|LSAT_5X71080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSRSVGSTSGVNKSNNGPSRTCYCGVIAPLKISTSEKNPGRRYFGCRYWPDEVEDCGYFEWYDGEVSPWYKELLFEVMAKKKKALDMEKGTLIMMK >cds-PLY73330.1 pep primary_assembly:Lsat_Salinas_v7:8:259991622:259998135:1 gene:gene-LSAT_8X150420 transcript:rna-gnl|WGS:NBSK|LSAT_8X150420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDNDLEQVTGSPTAGENGFVHIESVDSAQMDGGSIDQVERLEQDDGVVVTGVDAVQDEPEPLNVRTTEDVGPDEFVDCPDDLVSNEARSPAVVNRPHQLPFRDDDTEVTQHTAPDIEREILPLPQDNEEENGVLIKEVSNLHHLLKALSKQKQLTDGTDVVEGGEKSLLSLHEMVKECSNFIEISLNEQSHAEATISELNATLHMKDKEIEDLMVRVNDHSISQDVVALKSDELSSVDSIVDRILYSFAPAFGDAGLSNTSVSEKLSHLERTTSFLLEKYYNFLSEIESFSHCLAEVKPDFHMQNGTETVFITVREELLELKRKELEVTNKNTHLEYQYGQFMEQIDKNRETIELLNTEVTKLKGEVEQEKTRYTNTKEKLSMAVTKGKALVQQRDSLKQSVSEKTSELERCLTELQEKSTALEAAEFRNNELMQTAFLANSLQEALTQRDMILQRCGEILLVSGAAAELQSSDIIEGITWLANERSRLASLSVEFERLTYAYNLAQDQSFKLQDENHATMEAARVQIDRLTASFLAESQGKYYLEQEYEDLTTKYEGLEQHIASTESSPMDNEVLEKIQNLLYVRDHESKLYEQILEEEKMHKFQFSEELRGSKDEKNTLQINLQRSEEKASLLREKLSMAVKKGKGLVQERENMKQQMAEKNTQIEGLTLDLQKQESTLSEYRNQINNLESDLLLLKEEKGQLEQFLYQSNSMLQNVLETIDGIILPVDLKEPVEKVKWLATYLSETQVSKAQTEQELEYIKDEAGVLTSKLTEALTTMKSLEDAVSVSERNFSQLAQEKNELDILKTNSEQEVQILNEEISTLNNKLVEVLTNLKSLEDTLSNSEKTITQLTKEKIHVEEELHKAIGEATSQTNRFQETSAHKNSLEEALSLAKNNIHVLMSEKEEAQASKVAVEMELQKVKEEASTHSINLDEAYKTIKSLEDAMSQLKTNVSQFSQENEKALDSRSVLESEIKKLREEAEYHKNTVSDLVGEKKKAEQDILTLKTELNTCNDKWGQELSRFLGNLQVLLKDESLFTLFKKSFEKKIESLKEIDYVLKDINCSFDSEQFQDHRSIEESWDAGFGNDWNIGMLEELNAEDSEGIIIGSDVGKTLDKLNARNQILADQFGSFSILIDDMIASLLKKLEVIKNTMPSLVKQTKELEGELEKARLMYDKAKEENDAYHGKVFKLETELEASGNMCKEISSKLVDYQTKEENWNERERELSAQSTSSIKDHEYEGVLSASEINMLFDKIDGIAIPFPFSNLVISESLDPVKKLFYIVDSVNELLEQITLLSHSKEDLVSKQTLEVEHLKGELSLGLQSIIQKFGGEEYSGVKKSAADVAGLVPVLERFVQGVVLDGENSRFKLMESQRVKEELTNRVKLLEDYIENRTGVQDKIQERGGIFQPPSLPAGSEISEIEDLSPVGKVGGLPLVPSAAQVRSLRKGSNDQLAITIDSESERLLSNKSESFEDKGHIFKSLNTSGLVPIQGKMIADRVDGIWVSGDRALMRRPRARLGLIVYWIVLHLWLLGTIL >cds-PLY74578.1 pep primary_assembly:Lsat_Salinas_v7:7:41701191:41708365:1 gene:gene-LSAT_7X31581 transcript:rna-gnl|WGS:NBSK|LSAT_7X31581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase KEG [Source:Projected from Arabidopsis thaliana (AT5G13530) UniProtKB/Swiss-Prot;Acc:Q9FY48] MKIPCCTVCQTRYNEEDRCPLLLECGHGFCKECLSRMFSSAPSDTTLPCPRCRQVSVVGNSVHALRKNYAILGLISSASNSDFTDEDDDDEDDGLVVVVDRDRRSCGSNASSSNGLIELGSHQDLRMVRRIGEGPGRKGSGVEMWSAVLSGKSGRCRHRVAVKKLVVIGEDTDLVWVQNELDDLRRKSMWCRNVCKFHGATKVDECLALIMDKCNGSVETEMQRNEGRLTLEQILRYGADIARGVAELHAAGVVCMNLKPSNLLLDEEGRAVVSDYALPAILKKPACRKARLECDSSRTHSCMDCTMLSPNYTAPEAWEPVKKSLNIFWDDALGISPESDAWSFGCTLVEMCTGSVPWAGLNAEEIYRAVVKVKRQPPQYASVVGVGIPRDLWKMIGDCLQFKAPKRPTFSAMLAIFLRHLQEIPRGPPASPDSDVIVYPKANGTMPPVPPTNLEVVHDYRTLLHTMVCEGNVSGVSELLAKASSKNDVNTFHSLLEAQNAEGQTALHLACRRGSSELVEAILSYREANVDVLDKDGDPPLVFALAAGSPECVRALLSRYANVRSRLRDGFGPSIAHVCAYHGQPDCMRELLGAGADPNAVDDEGESVLHRAVTKKYTECALVILENGGCKSMGISNSKNLTPLHLCVTTWNVAVVKRWIEVASSEEIAEAIDVPSPVGTALSMAAALKKDHEANGRELVQILLAAGADATAQDTQHGRTALHTAAMTNDVELVKIILDAGVDVNIRNVQNTIPLHVALARGSKSCVGMLLSAGANCNLQDDEGNNAFHIAADTAKMIRENLEWIIVMLKYPGAAVEVRNHSGMTLRDFLEALPREWISEDLMEALANKGVHLFPTIYQVGDWVKFKSTVATPTYGWQGATHKSVGFVQSVPDKDNLFVSFCSGEARVLANEVMKVIPLDRGQHVQLKPDVREPRFGWRGQSRESIGTVLCVDDDGILRVGFPGASRGWKADPAEMERVEEFKVGDWVRVRPALTTAKHGLGSVTPGSIGIVYCIRPDNSLLLELSYLPNPWHCEPEEVEPVDPFRIGDRVCVKRSVAEPRYAWGGETHHSVGRIIEIESDGLLIIEIPNRPIPWQADPSDMEKVEDFKVGDWVRVKASVSSPKYGWEDITRNSIGLIHSLEEDGDMGIAFCFRSKPFSCSVTDVEKVAPFELGQEIHIISSVNQPRLGWSNESPATVGKIVRIDMDGALNAKVAGRHGLWKVSPGDAEVLSGFEVGDWVRSKPSVGTRPSYDWYSIGKESLAVVHSVQDTGYLELACCFRKGKWMTHHTDIEKVLGFKIGQHVRFRAGLEEPRWGWRGAQPNSRGVIINVNADGEVRVAFFGLPGLWRGDPADLEIEKTFEVGEWVQMSETASVWKSIGPGSIGIVQGIVYESDEWAGNISVGFCGEQDQWVGPCTDLERVEKLTNGQRVRVKPSVKQPRFGWSGHTHSSIGVISGIDSDGKLRMYTPAGSKSWMLDPFEVEVVEEEELHIGDWVRVKPSVTSPVHHWGEVTHSSIGVVHRMEEGDVWVAFCFLERLWLCKAGEVERIRRFVVGDRVRIKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGREAVDW >cds-PLY89449.1 pep primary_assembly:Lsat_Salinas_v7:8:30881595:30883250:-1 gene:gene-LSAT_8X22281 transcript:rna-gnl|WGS:NBSK|LSAT_8X22281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSQQPTTIEDLQGDVLSEIFIRLLAKQLAQMRCVSKSWNTHLSQSSFIKLHLQSSIRNNDDILLFFKYNFSFCGGRPFTACPSRSPHHELTDFIKLPVNLQSRQTYGNVIGSVNGLICFSYGTLHDSKFYIWNPSLSAVLTLPPSSFPFHDTDMVGIPPRFGFDPKSDDYKVVKITRDHQPGSTLFPLSKRVVIDVDFETVEYKVEVYSMRKGFWQLIPQRFPSHIQNSMFMHYTEFCVDGHDGHVHWFNFVDSQWKSKKIVAFDLAEETFREIPLPDFPIDDSMERSLGVLGGKLCVMLGVMDGGCEVWVMNDYGVAESWVKHYVFPQFDGVIIPYGFTFHNEFLFEIANYYDDDDDDHCLGLYDPIAAKTRSFEVGYGLSKVVEYVDSLVWVTPVEHEMSCCNISRLKI >cds-PLY64160.1 pep primary_assembly:Lsat_Salinas_v7:1:1556237:1559507:1 gene:gene-LSAT_1X760 transcript:rna-gnl|WGS:NBSK|LSAT_1X760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVRKVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKLLDRATIIKHKMVDQIKREISIMKLVRHPNVVRLHEVLASRTKIYIILEFITGGELFDKIVHHGRLSEAESRRFFQQLIDGVEYCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSALPAEGVSILRTTCGTPNYVAPEVLSHKGYNGALADVWSCGVILYVLMAGYLPFDEMDLTTLYNKIDKAEFSCPSFFPVGAKSLIHRILDPNPDTRIGIEEIRNDEWFKKNYVPARVVEYEDVNLDDVNAVFDDSEEEGGGDEQQTDEDACPLSLNAFDMIILSQGLNLSSMFDRGQDPVTHITRFVSQKPAKIVLSSMEVVAQSMSFKTHIRNYKMRVEGLSANKKSHFSVILEVFQVTSNFVMVDIQKAAGDGAEYLKFYKNFCNNLEDIIWKPPNEQQQQQHGKSKITKTKSKRR >cds-PLY85897.1 pep primary_assembly:Lsat_Salinas_v7:2:189780265:189781139:1 gene:gene-LSAT_2X110641 transcript:rna-gnl|WGS:NBSK|LSAT_2X110641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFHSGGWKLYLRDIALDKNTWKEVPEADQNGMYTYLLYIDNLREMQYALVAEVALQTQHIAGSGVDPSSIDWITLFKKALGA >cds-PLY89306.1 pep primary_assembly:Lsat_Salinas_v7:2:49635808:49642749:1 gene:gene-LSAT_2X21840 transcript:rna-gnl|WGS:NBSK|LSAT_2X21840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSNHLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPRSRSVDVISGCLKAGMSVARFDFSWGDCDYHQETLENLKAAVKSTKKLCAVMLDTVGAEMQVVNKSEKAISLQQDDVVTLTPDEGQEATSQVLPINFAGLAKAVKTGDTIFIGQYLFTGSETTSVWLEVDKVEGVDVVCKIKNSATLAGALFTLHASQIHIDLPTLTDKDKENISTWGVRNKIDFLSLSYTRHAEDVREAREFLSKLGDLSQTQIFAKIENVEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVISVVIPRLKTNQLRWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDYGKTSGVIKSHDRVVVCQKVGDASVVKIIELED >cds-PLY83053.1 pep primary_assembly:Lsat_Salinas_v7:8:193989223:193989486:1 gene:gene-LSAT_8X125380 transcript:rna-gnl|WGS:NBSK|LSAT_8X125380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQEAPAGSSDQNEIRAATGGGDRRFSTNAVVVDEWRCDSRLLPFSFLFWRWSMRRKDDRWKGRLAPVMEGTTNHSSGGNEVVGGNG >cds-PLY72944.1 pep primary_assembly:Lsat_Salinas_v7:5:108778939:108788166:1 gene:gene-LSAT_5X45800 transcript:rna-gnl|WGS:NBSK|LSAT_5X45800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQHDLKDGDAHPLASKRLINKVVVVTGGARGIGGATAKLMAENGAHVVIADILDELGINLANSINGRYVHCDVSVESDVEAAIQFAITWKGKIDILYNNAGIGDIGGSITTIDMKRVSKIVGVNVNGVVHGIKHAARAMIKAGTCGSIINSSSTAAIMGGLGSHAYTLTKEAILGLTRSSSCELGLYGIRVNCVLPHGVLSEMLVDAYRGFKKDATIEEVQQSVSETASLLKGRCGMVEDIAHAVLFLATDESGFITGHNLVIDGGYTTSSVKMSFIYRDKEPESNKSS >cds-PLY85062.1 pep primary_assembly:Lsat_Salinas_v7:7:6056035:6061094:-1 gene:gene-LSAT_7X5201 transcript:rna-gnl|WGS:NBSK|LSAT_7X5201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDDYKPLSEEYINIGEPETHNCVIKLRVSPKKHRDKVFVGCGAGFGGDRPLAALKLLQKVKHLNYLVLECLAERTLADRYQAVKSGGDGYDPHISEWMELLLPLAVERGVCIITNMGATNALGAQEKVLEIASRMGIRVTVGVAHQFDVAKAGLGANLRNLNDGVSIYLGAAPIVECLEKYNPNVVITSRVADAALFLAPMVYELGWNWDEYMLLAQGSLAGHLLECGCQLTGGYFMHPGDKYRDMPLEKLLDLSLPFAEISYEGKVCVAKAEGSGGVLNFSTCAQQLLYEIGDPSAYITPDVVIDFRDVSFQPLSTNTIGCDGAKPGAISAPDNLLALGSKDCGWKGWGEISYGGYKCIERAKAAEFLVRSWMEEVHPGSSKCIMSYIIGVDSLKAKAIRLENIPRALDCEDIRLRMDGLFDEEKHAIQFTKDFIALYTNGPAGGGGISTGHKKEIILEKGLVGREHIYWKISAKQNQPTNSKKRIAIISEETPSNNLNKLVSISSPWTGTHLSPAPSNQKVRLYDVAHSRAGDKGNDINFSIIPHFAADIERLKNIITPDWVKTVISPLTKQTTDLTRSDDDMELRDKWVDENVKVEVYEVRGVHSLNVVVRNILDGGVNCSRRIDRHGKTISDLILCQEVVVPP >cds-PLY99002.1 pep primary_assembly:Lsat_Salinas_v7:5:28566216:28570536:-1 gene:gene-LSAT_5X14201 transcript:rna-gnl|WGS:NBSK|LSAT_5X14201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:L-type lectin-domain containing receptor kinase S.1 [Source:Projected from Arabidopsis thaliana (AT1G15530) UniProtKB/Swiss-Prot;Acc:Q9M9E0] MNPGSLVITLLFLLSPPIAAVDFLFNSFNTTANLLLVRDARIEPPVIRLSNDSNQWSIGRAFYSTPIQMFRQGSSNLTVFSTQFVFSILPEINSSPGFGITFVLSNTTEPNGAIDGQYLGLFSNSAARTVALLIAVEFDTGINMEFNETDGNHVGIDLNNIESEVKVSAAIANYVTSDMYVGFSASKTTWVEAQRVLAWSFSDTDVARNINTTNLPVFLLQSNSNSGLSTGAKVGIICGSVAFFLCLLGVCYYVWLKHNSRNQEDEIEDWELEYWPHRYSYEELKEATNGFSDKEVLGAGGFGKVYKGTLTNKTEVAVKCVNHDSKQGLREFMAEILSMGRLQHKNLVQMRDVAEGLHYLHHGWEQVVVHRDIKSSNVLLGFDMRARLGDFGLAKLYTQGEEPGTTRVVGTLGYLALELAMLASPTTASDVYSFGVVVLEVACGRKPIETWQEREEDVVLVDLVREKYEERKLVTVADERIKGEYDVEEMEAVLKLGLSCCHPDPVHRPTMKEVVALLLGEDATLATIIM >cds-PLY62593.1 pep primary_assembly:Lsat_Salinas_v7:9:76718773:76721385:-1 gene:gene-LSAT_9X60720 transcript:rna-gnl|WGS:NBSK|LSAT_9X60720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHSTIMFLTTFLLFFSSLAFAKTHYHDFVVQATKVTRLCKTRSSITVNGQLPGPTLEVNNGDSLVIKVVNKAQYNVTIHWHGVRQIRTAWADGPEFITQCPIRPGGSYTYRFMISGQEGTLWWHAHSSWLRATVYGAIVIRPKERDSYPFAKPNHESVIVLGEWWNANPIDVIRQATRTGGAPNVSDAYTINGQPGDLYNCSKKDTFIVPMGSGETNLIRVINAALNQQLFFTIAGHKLTVVGADASYVKPFTTSVLMLGPGQTTDVLIKGDQPPRQYYIAARAYASAQGAPFDNTTTTAILNYTTTATSAASNSNPIMPNLPPFNDTKTATAFTTSFRSPGKTLVPTTIDENLFITAGLGINQCPKNANSNTCQAPNGTRFTASMNNVSFVLPSNYSLLQAHHHGIPGVFTTDFPATPPVKFDYTGNVSRSLWQPVRGTKVYKLKYGARVQVVLQGTSIFTAENHPIHLHGYDFYVVAEGFGNFDPKSDTARFNLVDPPLRNTVSLPVKGWAVIRFVADNPGTWIMHCHLDVHIGWGLAMVFVVDNGVGQLETLEQPPEDLPVC >cds-PLY81055.1 pep primary_assembly:Lsat_Salinas_v7:6:132115013:132116657:-1 gene:gene-LSAT_6X80180 transcript:rna-gnl|WGS:NBSK|LSAT_6X80180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFHLKLGIVLSLLVGIIDSTHSSSYSSELGSHRYITEAYFHKHNTIIDQVFQDFITNNLPHDSCEMLQTKHNFIPKLSLLGRNLIGEGSHRRLTSSIKIKIHQEISSNLPSLSCKAIVIERLPSGVFADPFELQHLTQRGVFTDASAFGDTDLESPTIRANRSIIEIHMDLNPKNNNWELKIQLPLHARYAPLGEYGYTRIEFGSPDLFLQCTIEGDPHNKSCLISTNDNDVSLTSSNVASILWEVPSGIMEHTKIVSMITFISAFVSAFSIFIACVYYPNTESYINFKQS >cds-PLY91764.1 pep primary_assembly:Lsat_Salinas_v7:2:14511612:14513328:1 gene:gene-LSAT_2X7441 transcript:rna-gnl|WGS:NBSK|LSAT_2X7441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKLQVLNIIDCDGMKEVFETQGMNNNTNSNGGYEDGNDGTLAIPRVNNVIMLPNLKILEIMNCNLLEHIFKFSTLESLKHLEELTIRFCYKMKVIVQDDDGEKTTSSFKVVVFPHLKSITLEDLPELMGFFLGIDEFQWPSLDKVMIKYCPKMMVFAPGGSTAPQLKYIHTQLGKHSLECGLNFHVKTIAHHQTPLFPGLDSIGSFLATSEGIPWSFHNLIEAYMAYNQDVEKIFTSNEFLQLKKLENIHVSWCFLVEVFEAFEAQTNSSGVDESQTTIVKLPNLIQVELTELTYLRYIWKSNRWTIFEFPNLTRVSIEGCNMLEHVFTSSMVSSLLQLQDLYISRCDYIEEVIVKDENVVVQAQEEEESYGKVNDIVLHHLKSLELDSLRGLKGFWLGKENFSFPLLDTLIIKHCQAITTFTMGNLATPQLKEIEIDFDSYYVVGEDINSIIKSKLEVGKPF >cds-PLY67448.1 pep primary_assembly:Lsat_Salinas_v7:6:70977294:70977476:-1 gene:gene-LSAT_6X51620 transcript:rna-gnl|WGS:NBSK|LSAT_6X51620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILENLQVHCSVVVHLSYPNPMASCSCLLKQARGSLEAPISWLTTAYEENGGHQSQTRLV >cds-PLY92112.1 pep primary_assembly:Lsat_Salinas_v7:1:109284492:109287088:1 gene:gene-LSAT_1X85420 transcript:rna-gnl|WGS:NBSK|LSAT_1X85420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRYSFSKDVRVPISGIPYNLNRDLLAARSSKLCKLFKENPDEDLSHHVCDIPTTPEIFELIARFCYGFEVNFTPENVIPISCLACYLGMTDNHSPDNLLNRALSFFEHQIITGWNESIRSLKATENQIVLQQATKLGLIDACVDSIISKALNKPIYLGEPIEPIKNPILSDEDEDGFNGDVYKPNARRQLFVLDSTQDLCLTTLRLQFYEPIIRGMIQCKMGSNYIASNLYQYAKRWVFFDPKESDQSSSSSEGVSSNSRRDTIETIEKLFPHDRGVVPCELLSELLQYAIILEANSDCRDGFELRIGKQLDLATVHDLLIPSQGYSKEEKYDTECVRRIMKHFYNNFTGEDNRAGLEIVADLVEDFLGEVANDVDLKKDSFISLAELSIAASEGTQRNSDGIYRAIDIYLNQHRYLIESEREEICSILDCNKMSPEACEHAAQNERLPVRVAVQVLFVGQLQLRETITKEVTVAEEGGKGKKSPENGAAAVELEKMGFKVMELEKECGAMRKEIEKGYFGKRKMKKGKVNVWRVMKRKLGCISSLNNCNCHVKKKKIHPR >cds-PLY70369.1 pep primary_assembly:Lsat_Salinas_v7:4:101389492:101389659:-1 gene:gene-LSAT_4X66021 transcript:rna-gnl|WGS:NBSK|LSAT_4X66021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGFLPCYQKGGWVKQTPSLDEHGLSQVEPGCAAGCSDRKEISGAMPVRLNMR >cds-PLY87938.1 pep primary_assembly:Lsat_Salinas_v7:4:33957678:33960214:-1 gene:gene-LSAT_4X22261 transcript:rna-gnl|WGS:NBSK|LSAT_4X22261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDNSDHHHLHHLTSAPGPSSSSDPFLSPDNGVSNKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPEVKKKVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNDKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACTIRRVHPDLPAFHQQACSSRTASSTSPSSDTNLSAIPSLQRMQQIPPSEPLFPQTQDHTRNKLELQLLPSSSLYEQSTSNQTSLKLSIGSGISFHKEEEKMKMTLSSENEFAEEARQQAKRQIETAELEFAHAKRIRKQAQAELERARVLREQATKKISATILEITCHSCKQRFQAASNNAAATTADEMSSAVTEGEGD >cds-PLY83601.1 pep primary_assembly:Lsat_Salinas_v7:4:272188040:272189757:-1 gene:gene-LSAT_4X139860 transcript:rna-gnl|WGS:NBSK|LSAT_4X139860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPHHNSNQDPTIASILQQVNSLIYQQTYNGSVGGLYPNNRPIDAPSRFMNFSNQPIPLRSPTDQFPNGIGGFNPQHNYNPFPPKQFNSSQQQGQFFANNSMNHPVYHQNVGRPLQLQNPNYAQYHPGNFPMFQIHNKNTTSHQNPGFPASQQFGMSNFSGSSFEHGNQGQQRFHSPSMDVNLSNMGQQLQGNQFQPNASGSVQTQKSHNFHATPNNLQNHISQGVGPQSHSFPMNDPIRHGNQGQQRFVSQQGSLYSLHASTSVKAHTPPTITNFKVSVLLVLSVVCSLYFFLAYKTHQVIDAKS >cds-PLY71419.1 pep primary_assembly:Lsat_Salinas_v7:MU043955.1:114434:115861:1 gene:gene-LSAT_0X15061 transcript:rna-gnl|WGS:NBSK|LSAT_0X15061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGNESEWVEVRRRKNTGAGGLEDITSFYVTNIPGEIRKSEIWNACAKLGNLADVYLAGRRDAGGSYFAFVKFRSVNNIIAMEAALNGVTLWGKKIRANVSKHPRKPPSSTSRGAAPSRLLNPFSRYVSKSRDNRSFAEVLKGTMPSEVKPPTPLVINLNKCDKNLEWIGKEVLVGNVRSFDILCNFPSLINLEGFDVDDIKYLGGMNVILKFKSSKAAEMFRANKSIWMKWFMGLEVAGNAPLRFERIAWLKITGVPVDAWGEENFSLIAGNFGKILVQAPPFWNTSDVSHGKICVLSASRRKINEEVIVNVGGVNYVIGVTELDDEWFPFKPFSHDFHSGSESGDDDDSGDDDSSVNMGLEEGEIPAEAVAEDEPSAGGESSSHTEKEGSHGNSESHGNDFNVSSSHVHVSVNGVSSGEGLQVGPDASSPPLNDSVGPDWGPGSMRETSSPDFELGGSTLKRRRTKKKNPQSS >cds-PLY81561.1 pep primary_assembly:Lsat_Salinas_v7:2:126325156:126330782:-1 gene:gene-LSAT_2X58821 transcript:rna-gnl|WGS:NBSK|LSAT_2X58821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLTSDHPKDKEQQYPPPVNTDNQYEQPPHVNHHHREEPPFSLLPPPFHQFQSYKEMSIHLTHLLLHLTRLNHQNATSLHCDDVSSLPATSNANGHNGKPPDAFDYYLAECHRLASPAQPSNHVSPQCSHHCLHIPPIICSHTNHQNPINYMDNKPTFRVYTKAKTDYSLTIRNGKVILAPTNSSDLHQHWIKDEKFGRSIKDEKGNPAFALVNKATGEAMKFSIGVTYPVQLKKYNPNEVDMSVLWSEGNDLGDGYRSFRTVTNIHLHLDACKGVKEYGGVHDGTEIILWEWNDGDNQKWIIFPYWLMKANSPGVRSGKESSS >cds-PLY87997.1 pep primary_assembly:Lsat_Salinas_v7:7:177254210:177254685:-1 gene:gene-LSAT_7X105301 transcript:rna-gnl|WGS:NBSK|LSAT_7X105301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDTKIFHPTEFDFYLYSHDGDDIFDLQETSRPTHYHVLYDENKFTPIGLQNLTNCLCYTYQWCTRFVSIENVVPPAYYAHLAELHARYYMEGVNCLTVVLHREPGGQQEGAP >cds-PLY96118.1 pep primary_assembly:Lsat_Salinas_v7:3:97083942:97085104:-1 gene:gene-LSAT_3X72461 transcript:rna-gnl|WGS:NBSK|LSAT_3X72461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLNGVHEPKTLLLLLYILDIMSGAMETQLRMTTADVEMETKHNREKILLCEKSIQKISAVVFLLFTLCFTLLVTMPGGYFDEPGKTSPVEKPMCASPTTSECSSSPDLYKVGEAKTTDSFEFKVIMYGSSNSIYISMFVLAIYLSMGSQKDVKKWKLAFYLCTVCVCLCMVCSIVAIHFMMITVLGHHKLMVAHMSWIFFVTLVFSGIVGGHMWEF >cds-PLY94862.1 pep primary_assembly:Lsat_Salinas_v7:2:178518710:178521491:1 gene:gene-LSAT_2X96961 transcript:rna-gnl|WGS:NBSK|LSAT_2X96961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAKPKPTAAPAVSIEDLFTTLNRHIQRSEYEQAVKVADQVLSVAPDDEDAIQCKIVSLIKADNIDDALSTIVALSKKFPFNFGFFKAYCLYRQNKLDDALEALKSLEKDSATMLLESQILFRQGNMDASVDVYQKLQKSKIESLEINLVAGLVSAGRASEVQGIMDSMRVKATSSFELAYNTACALIEKNKYSDAEQLLLSSRRIGQETLMDENLPDDEIEIELAPIAVQLAYVQQLLGNKQEALASYTDLIKRNLPDEPSNAVAINNLIALKGSKDVSDGLKKLDRLIQKNSGDQSFHLVPGLELKLSPKQKEAIYINRMLLLLHSNKIDQAHELADALPQMFPTSVTPVLLQASVFVKENKAGKAEELLWKYAEKFPDKSKIVLLARAQIAAAAGHPQIASESLLKIPDIQHMPATVATLVSLKERSGDIEGASAVLDSAITYWSNAMTEESQLSVIMQEAAAFKVKHGKKEEALKLFEKLVKSHNSIEALVGLIETAAYTDVEKAETYEKKLKPLPGLTSVDVNALEKTSGAKYVENGPHLGNNNVEVHESKSKEKVKRKRKRKPRYPKGFDPANPGPPPDPERWLPKRERSSYRPKRKDKRAAQIRGSQGAVAKEAVNAGNTKSSQPSNAKGSSSNAAQPKPSSKSSRKKSRN >cds-PLY81764.1 pep primary_assembly:Lsat_Salinas_v7:3:34407599:34408949:1 gene:gene-LSAT_3X25221 transcript:rna-gnl|WGS:NBSK|LSAT_3X25221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVASSFAIDLNLFPFHKTDDTPIREVHTDDDYDDDDDDGEEEDDCSFGKEVDDHMISGDHLSRINNENKKLKEMLKIVWENYNSLQTHVKKLMQEKQVPESNPKKRKLDETVQQSLWKRQNWNLESPRNTGVQRVYIPTDPSDKSLVVKDGYQWRKYGQKVTRDNPSPRAYYKCSSSPTCPVKKKVQRSVDDPGVVVATYEGEHNHRSTKEEAAYALANEHKISSSERRSNSPRFDEVLVEKMATFLGKDPDFTAELAAAISSKILEVDLF >cds-PLY79272.1 pep primary_assembly:Lsat_Salinas_v7:9:5696069:5698428:-1 gene:gene-LSAT_9X880 transcript:rna-gnl|WGS:NBSK|LSAT_9X880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPEVKWAQREDKVYITVLLADTKDAKVNLAPEGVFTFSASAGQHEYDLKLELFDKVNVDESKINIGERSVFCILEKAEAKWWNKLLSGDGKTPHYVKVDWDKWADEDDDAGPAADLDMGGMDFSKFGDMGGMGGMPGMGGMGMPGMGGMGMPGMGGMGMPGMGGMGMPGMGGMGMPDMGDFSMGDDLEDSDDEGQEAETKTGATSKEAGEEKTEAAAATSS >cds-PLY96957.1 pep primary_assembly:Lsat_Salinas_v7:4:173864225:173867790:-1 gene:gene-LSAT_4X102040 transcript:rna-gnl|WGS:NBSK|LSAT_4X102040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVASPVEENGEMEETGQKFGAFPFKPYSIQIDFMNALYDSLDKGGIAMLESPTGTGKTLSIICSALQWLVDRKQRKNNDSSVDTHLNGTTGDHSGPDDEPDWIKNIKAESPKKKVKLKKRHGFRSKKSDKTGLEESFNDLFNHFREIEADYNVYGREHNNSTVKSDGEKLDDKEFLVEEYESEDEKSALSKRKNGACCGSSSSDSEKEGNESSDEEEEADFKIYFCSRTHSQLSQFVKELRKTAFASDLKVACLGSRKNFCINEEVLKLGNSTLINERCLDLQKNKKTLVSRKKEVGGKVRKTKASSGCPMLSKHKLQKQFKNKMNDQGPLDIEDLVRLGGNLGTCPYYGSRSMVPSADLVVLPYQSLLSKSSRESLGLSLKNSVVIIDEAHNLADSLISMYDSKITLSQLERVNSSLEGYFERFRNLLGPGNRRHIQTLMILTRAFIQTLCNKDDTNFIDSSILEELPNGSECSLRINEFVFSLNIDNINLVKLLQYIKDSNMIHKVSGYGDRIISLQNDNFALHESSILSSFRALAGLLLSLTNHDSDGRIILSRKKPKDSKQQGGYLKYVMLTGEKIFHEIVDEAHACVLAGGTLQPIEETRARLFPWLPPHQLHFFSCGHIIPPENILPISVSHGPTGQPMDFSYNSRSTSTMVGELGLLICNLVSVIPQGIVVFFSSFDYEECVYTSWEASGILGRIMKKKRVFREPRKSMEVENVLKEYKESVENGAVFFAVVGGKISEGINFSDGMGRCIVMVGLPYPSPSDIELMERVKYIDSLEDVTKKDYNFTRFNGDAQAGFEILRSCKNRGREYYENLCMKAVNQSIGRAIRHINDYAAILLVDTRYTTDSMKKSLSHPTNKLPNWIKDRLNCNRKNYGEVHRLLHQFFKFHKKL >cds-PLY83087.1 pep primary_assembly:Lsat_Salinas_v7:5:88780327:88780740:-1 gene:gene-LSAT_5X41380 transcript:rna-gnl|WGS:NBSK|LSAT_5X41380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPSQLTVGVVSPVRYSVSIPSGSPVGVQPGRGSAPTRRRRSLCVVSSSDEETEFDDAILHPRKARRTVSVATLLGGIGGILCGQFSRKKEVVVVPSSPEASPSPPLVRPWLTLVPALYMGARRVHLKVLSGARSLP >cds-PLY92528.1 pep primary_assembly:Lsat_Salinas_v7:3:253552213:253553128:-1 gene:gene-LSAT_3X139841 transcript:rna-gnl|WGS:NBSK|LSAT_3X139841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTHTAVGLPQLPTTPFVCCSVLPHLPAYANELFDDLKEKWDSLENKSTVVVYGGGAVVAILISSILIGAVKSVPWSSRKELATNIEWIKKEIAGTE >cds-PLY71054.1 pep primary_assembly:Lsat_Salinas_v7:3:161987816:161994191:-1 gene:gene-LSAT_3X100320 transcript:rna-gnl|WGS:NBSK|LSAT_3X100320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNLRRRSSHEEDEQMELDMPLDYASFKLSPKHSRCELIVSSIGNTEKLASGSVKPILTHLKVVEEQVGSSAQFVKLEVDKRKNVDSWCTKGTLERFVRFGSSDQLSSNIGDGRSSTTTRDDATTKELLMAIYVRLTAMKQDLNTTCARATAVGFNHDPVADLQLFAERFGATRLKIFAKKVVLFDRFKMKLPQCKDSLLMVVVSRLKSKILSIKMSLNSNVASLLLSSRVRNTNTNRKPFSFTVAYKTYFSVSDIRRIEDAEIKHKIRKVDPTWDMEDDEGDNYTHIPVRNIVG >cds-PLY86992.1 pep primary_assembly:Lsat_Salinas_v7:5:255205767:255207281:-1 gene:gene-LSAT_5X127720 transcript:rna-gnl|WGS:NBSK|LSAT_5X127720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQINLHHLQKKAAKFSGFDKLRKHKQSLIGGGNLIICPLALIGQWKLNLMFVNIGNILPTNRIRKDFYGALFKRSKVKFDQFVEQGRVLHNYASILELLLCLRQCCDHPFLVSRGDTQEFSDLDKLAKQFLKGGNNNNNKTI >cds-PLY63979.1 pep primary_assembly:Lsat_Salinas_v7:4:371264780:371266576:1 gene:gene-LSAT_4X182780 transcript:rna-gnl|WGS:NBSK|LSAT_4X182780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVRVDSEVTRIMIGVNESSIKGYPHASISSKSAFEWTLNKIIRSNTSGFKLLFLHVQVPDEDGFDDVDSIYASPQDFRDANRKDKINGSHLLEYFVNRCHDIGVVCEAWVKRGDAKEVICHEVKRVKPDLLVVGNRGLGPFQRVFVGTVSEFCVKHCECPVVTIKRSAEETPNDPVDD >cds-PLY99231.1 pep primary_assembly:Lsat_Salinas_v7:6:184504946:184505593:-1 gene:gene-LSAT_6X112661 transcript:rna-gnl|WGS:NBSK|LSAT_6X112661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAADLIDSQSVMASRFRIRRKKRKGKEADTLLNTGVSSTLLTSDNRRRPSSPIQVPRQLQLIRLIIYRQEKEAKKLHRGIKEEKEAVTI >cds-PLY72656.1 pep primary_assembly:Lsat_Salinas_v7:3:183840072:183843218:1 gene:gene-LSAT_3X110361 transcript:rna-gnl|WGS:NBSK|LSAT_3X110361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQVGKILEIQQVFEEPDVGGLEVVMEHLLYTWSTRSLHGLLEHGQLAAYMEHLLKASWTIMISDSTSRSFVAHQDIGGSSGILMAHQRHCWLIHNSMAQEDDQVEETKAYKNYFCLMSDNEKEPSPLHKQVVEKVNFMLHDNHLTVESFLDAIDHISEIIKEYVPNVEYRLSYSKDELNATQFRFEELRCNIAKLENELAIKIEEHLTSVEKYEIVLNQRDIIGKDNREMDA >cds-PLY94067.1 pep primary_assembly:Lsat_Salinas_v7:4:170876873:170879053:1 gene:gene-LSAT_4X100560 transcript:rna-gnl|WGS:NBSK|LSAT_4X100560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEASNALINEAYWPLPYLYSTFTSIWLVFASCWIINTYINRRFQVNNLQWTLASVPCVKALQLAFSFTFWYSCFYYQTCSLWMSFGVYVTGLLFETASIISFLLIAHGYSITSERLSIPERRAMAVLSCVFYLILVGHRASIPYFSILLVLDYLLIFFVIFHHITQNLSLLRHQLTFIEDEDVQEMHDAVYTKYLMFKKFKGAMHIVAIAETAIFMSMDSSVDTYWMKLLVREWAHFCIFLYIGWIFRSQDLAPRFSVMPTHKSKRDRIVPPIYSIELDAESFKDFGSHEWQIGVPTSSDDKMMKESIIVVIQHPHACRPI >cds-PLY97366.1 pep primary_assembly:Lsat_Salinas_v7:3:244919335:244921837:-1 gene:gene-LSAT_3X135080 transcript:rna-gnl|WGS:NBSK|LSAT_3X135080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIFLLRRSSSRRLFSTTSIPPTTPSFSNLYTKYSFTPPPSLDKNPNKQIQHADNNNSNNKKKKKPPYRPPSSLDRSGDKPIKSDLPFDFRFSYTESNPAVRPIGLREPKYSPFGPERVDREWTGVCAPAVDPMAGKEETEKLEEKRKKIRERIQGEELTNAERIALVERCGKHKTKRQINLGRDGLTHNMLNDIHNNWKHAEAVRIKCMGVPTVDMKNVCSQLEDKAFGKIIHRHGGSLVLYRGRNYNPKKRPIIPLMLWKPQEPIYPKLIKTTIEGLSIEETKEMRKKGLAAPALTKLAKNGYYGGLVPMIRDAFLVEEMVRIDCKGLEKKDYSKIGCKLRDLVPCILVTFEKEQIVIWRGKDYNPKEGGCFLMSREFFDIDSGYKSQIESESSDDK >cds-PLY66710.1 pep primary_assembly:Lsat_Salinas_v7:6:80169798:80171575:-1 gene:gene-LSAT_6X57080 transcript:rna-gnl|WGS:NBSK|LSAT_6X57080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFLQQEEFPHPLSRVKQPAGYRFSYQIGDSLIWLGIRDMINDVWKKKLNLRPITYFSGYQGSENDIPHRYIWSPHLVPKPKDWGPKIYVVGFCFLDLTSNYKPLEELVCWLKAGPKPIYIGFGSLCAKFGKLNKSVEFPEILDMAPYVSGSSDKSPVYRLYGVVVHVDTMNDAFSGHYVCYVKNHHNQWFKFNDTMVNEVDLQHVLTKGAYMLFYARCSPRTPRSIRSSIIQH >cds-PLY62803.1 pep primary_assembly:Lsat_Salinas_v7:4:31654839:31655902:1 gene:gene-LSAT_4X20740 transcript:rna-gnl|WGS:NBSK|LSAT_4X20740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSTPQDSKTRRKRDHSAARGYNKEAEDEYYLKKIQELVNKKMELLAVQQEKDSKKTLKPPTAKTKMNSKRKVSTRRLKNFITTVMNGKDMTLVINKKLYESDLLESQNRLSMPMKQLKTDEFLTETEKQDLENGKEFEVGLLGPTLRLHQKPLVMKMWRLKSTGRRSYVLKTNWNEFVKENEKEMKRHSKIQMATNRFKISDDLGSETVRLFIVDFDSSSGDLNSSKFDVLGEIWK >cds-PLY63687.1 pep primary_assembly:Lsat_Salinas_v7:9:201658347:201661633:1 gene:gene-LSAT_9X124380 transcript:rna-gnl|WGS:NBSK|LSAT_9X124380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGRWFQKEDRWKDRALCLQHRLRDRFRVVVDQHRRRHRSSGYVSSATQPWLQRFRHFRSQTLATSSTLYRKRVSKEFDTEEDSVLTQILQAVAVPVIGNVCHVFMHGLNHVQIYGGEKLQQGLLNRPDKTPLITVSNHIASMDDPLVIAALLPKSLLLDARNLRWTLCATDRCFRNPLTSAFFKCVKVLPVSRGEGIYQKGMDVAIAKLNRGGWVHIFPEGSRSRDGGSTMGSIKRGIARLILDADNAPMVIPFVHAGMQEMMPVGASFPRVGKTVTVLVGDPIDFKDVRDLEQDNTVPRGNLYDVVSTRIGDRLIKLKAQLDKLLQVQTDDVVQHFDDNNDNNSNKQDHEEYFKVESSYKGFGIDLMGFAARGLFVNQKMKEHVVEGGISIRPLPLRAWNSFWRQLLHNNNNNGVASLSMLSGAT >cds-PLY76365.1 pep primary_assembly:Lsat_Salinas_v7:6:170773953:170779167:1 gene:gene-LSAT_6X104541 transcript:rna-gnl|WGS:NBSK|LSAT_6X104541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSHHEKKPHAICIPVPAQGHINPMLKLAKILHSKGFLITFVNTEFNHQRLLRSRGSDALDGIPSFRFESIPDGLPPPENLDATQNVYDICRSIQENCLGPFKTLIAKLSESFSPVTCIVSDLLMGFTIDAGKELGIPAFLLYTGGAGALICYDQYPNLVDNGLMPLKDSSYVVNGYLDKVVDCIPNMHGIPLKNIPPFMRIVNPGDEFMVEFTNTQIHKAKKASGIIFNTFDDLEHDILATLASVFPPCYAIGPLHLLEKQLVDESLASIESNLWKEEPECLKWLDSKASSSVVYVNFGSITVMSHQQLVEFCWGLANSNQSFLWILRPGIVSGESEALPLEFLRETSSRGMMAGWCPQEQVLNHPSIGGFLTHNGWNSTVESLTNGVPMLSWPFFADQLTNCWLSCNQWGVAMEIDNDVKRDEVERLVIELVTKEKGNEMRKNAINWKDKAFEACAYPSGSSMISLEKVIHKLQTFTK >cds-PLY97751.1 pep primary_assembly:Lsat_Salinas_v7:4:375576951:375577734:1 gene:gene-LSAT_4X185141 transcript:rna-gnl|WGS:NBSK|LSAT_4X185141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENSAYNMTLMMVGCVGLLLMLSKQGSAEQHVVGGKQGWDESTDFDSWASGQTFKVGDTLVFKYSPMHSVAELGSESEYKKCDVGSATNSMSDGNSVVKLTKEGTRYFACGTAGHCDSGMKVKITTVSASSSGSSSSNAAPTSTSTSTSTSTSGATCVSGSFAFMVVGSLIRLLF >cds-PLY97014.1 pep primary_assembly:Lsat_Salinas_v7:2:33125232:33125764:-1 gene:gene-LSAT_2X16840 transcript:rna-gnl|WGS:NBSK|LSAT_2X16840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKISVEDIANLLEARYSMGEIESMWWLEIELDDTPPVEMDLNEDEPDVDEGEADFVNDVLNDGGVIEGEGEGVENQDDGDVIEGEGVNHGNEAAGDVLNNEVADDGNVADDEGHLIVPKTRKRKQSERITKLKLKKAVFHKDGGGSTCSNPVNLE >cds-PLY83796.1 pep primary_assembly:Lsat_Salinas_v7:3:51977409:51980082:-1 gene:gene-LSAT_3X39940 transcript:rna-gnl|WGS:NBSK|LSAT_3X39940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLFSYLNRAFNGFPSSSKLLVVFSLSSGGLVAYSESQSSSAPKNTELEKLPKKKKVVVLGTGWASISFLKDLDISSYDVQVVSPRNYFAFTPLLPSVTCGTVEARSIVEPIRNIIKKKKGEIQFWEAECTKIDAANKKVSCRSTIEANMGKKEFSIDYDYLVVATGAEEVEDAQKIRTSVIDCFENAILPGLTEEERRTNLHFVIVGGGPTGVEFAAELHDFVHEDLAKLYPMVKDKVRITIIQSGDHILNTYDSRISSFAETKFARDGIDVNTGCRVISVTDKEVNMEVKATSEKVSIPHGMVLWSTGVATRPVVKDFMDQIGQGKRRVLATDEWLRVKGCEDVYAIGDCATLVQRKVMSDIAAIFKAADKDNSGTLIVEEFQDIIDDILIRYPQVNLHLQSQHLSSVTDLLKDPQGNARKEVDIEDFKLALCQVDSQMKSLPATAQVAAQQGTYLSSCFNKRELANFQPEGPLRFKSEGRHEFIPFRYKHLGSFAPLGGEQAAAELPGDWVSVGRSTQWLWYSVYAR >cds-PLY94076.1 pep primary_assembly:Lsat_Salinas_v7:4:172252098:172252304:-1 gene:gene-LSAT_4X101700 transcript:rna-gnl|WGS:NBSK|LSAT_4X101700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTSVVETTTNLGDSSLQSTPEQTIVIPPEVLLTKSSNEEVQTSGIPANVSDIDANVNMGDGVSNQSP >cds-PLY94830.1 pep primary_assembly:Lsat_Salinas_v7:2:177040309:177041162:1 gene:gene-LSAT_2X98481 transcript:rna-gnl|WGS:NBSK|LSAT_2X98481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNKLLHILIAFALLFSSTTSSLDSMEDPETSTIPEQDTGADDSLSFPSPSPSIDYPYMSPPADLAPTLPSSPSFGMSPAPEPEEARNTTAANVESEKPKDSSSKGLTGGKKAGISIGVVAAVCVVGFGGMLYKKRKQNIHRAQLGYIAREDFI >cds-PLY73833.1 pep primary_assembly:Lsat_Salinas_v7:7:68650893:68655251:1 gene:gene-LSAT_7X48561 transcript:rna-gnl|WGS:NBSK|LSAT_7X48561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDYVNNPANMHDFSLMNTKAFANLKGSGGNIWEVFEVLDDARRAIFRDTVFGYFIDVPRLQGDALLFHKMFLHQIRPDPVLSPDGIKRLYFRVGNTKMVYGPEEFCLITGFNFGEYPKNIGRKGSEKLISSKKRCLLRERLFPDHTNSSVKIGDLKSLILNQTFLALDDLDAVRVCLIYILCEGFLGKEVNDRVPQDWFYLAENLDLWNSFAWGSYLWDFTYVDLEDTWNKIHHYLSLPERGQTLKYSVSGFTAPIRIWIYEMIPAVRACGFALRKNKDLPRMKRWSGTKKLKWVDVNKIWSKMQEGLPPRQNMLPGDGEMTSFYYMSFQEYVYGEGKAVPSPVRDHFRRQDESSSSMSSSGRSHGRGRGSGKHKLDELLKRVHALEQHVFMNQQKPTEVFFEEVNNEQFWNDIIFEEPTVSQRNYDEQVVQDEVMNKNNTTQNVFGDTQDDKVLEESNQYAGNKFDDDVFDVNDYSEVKEEWEERNDNAGNKFDDDVPDEDELIITGNVDYFHDDDDDKEVTPDKPRSRKPSQFLCTPYTELHTTPKQKRRTKKKVGTKSTCPVPPPVFGVAHDFSMLRLQPYVAGGEDVIQNYVLHSYDVQHRLFNFVLDRDFWSSLFGHTHDGWLESAHITIWYRLLMERRFESDRHTIMPPNFFVSHALEEGQDWRAFMAGIATYPNFMVAWWDVDTVLLPIHSSPNHWLFGELRLASMEVHIYDSLGRGAYEKFQSEGIFSKFERRVANYLDKIKYWARRNIPRIPLNMQFIYEENVPQQSSHLGDCGVFLCMFMEQLVSGQPIRVLIDPKNAALEFRLRMAKIIWGSSLAPL >cds-PLY77047.1 pep primary_assembly:Lsat_Salinas_v7:8:152455597:152456950:-1 gene:gene-LSAT_8X103001 transcript:rna-gnl|WGS:NBSK|LSAT_8X103001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTSEDSKGCLHDSEKAGGWNGGDQIHEVTFDPDEEIVGINGTVDVSTGVYSGYTIIASLSFITNKRTHGPFGQTTGTPFIVPWNKGSFAGFYGLAGYYIDGIGVYLKASQNTARVGLWGTESSTDPQYRWSFCLEKNHKLTKITIDHGDMISSLMFTSEDCMGYVHVSNKAGGYNDGSTISEVNLAWDEDIIEISGTFGVSSGAYAQETISSLSFVTNKRSHGPFGCARGTHFSVPWQKGSFAGFYGIASYYIDGIGIYLRAIKSIDNKSLIKVE >cds-PLY89086.1 pep primary_assembly:Lsat_Salinas_v7:9:28910341:28913071:1 gene:gene-LSAT_9X25580 transcript:rna-gnl|WGS:NBSK|LSAT_9X25580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYSRRSRYSPSPSPPHKRYGRSVSRSRSSSRSRSYDYEASDIENPGNNLYVTGLSARITKRDVEKHFSAEGKVEDVHLVMDPWTRESRGFGFVSMSNIKEADRCIKYLDGSVLEGRVITVEKARRRRGRTPTPGRYLGLRSARGGRRHRSPTYSPPRSRRSRRRRWSYSPGYSSDSDRSRSRRRSYSPYYYRRRVRGRSRSYSQGSRSSPEDGYYRRGRGRGGSYSPEERDYNRNRSRSRDSSSPEYEYSRRRSRRSYRSVSPPSVSSSPTTRRRRRRRRSYSSSMSPVKSKRSYYSSVSVSSRSRSPAADYSLSSRSRSSSSLSSRSTASSDYTK >cds-PLY92944.1 pep primary_assembly:Lsat_Salinas_v7:3:114681858:114682181:-1 gene:gene-LSAT_3X81300 transcript:rna-gnl|WGS:NBSK|LSAT_3X81300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIEATSSSDNDGSLDHFLKVVVTGDSLGVIVGSLRMVVDHSQQPDCNGQKKQLTCNRLASVVVGKGKCFFGVCCDRWITIWRCLPMMIIEEGCCCETAVVVRAIIG >cds-PLY75454.1 pep primary_assembly:Lsat_Salinas_v7:7:72474330:72475475:1 gene:gene-LSAT_7X52640 transcript:rna-gnl|WGS:NBSK|LSAT_7X52640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNKSIHQSQTSLGFFEIIRKSFKTTSRNWKMLGPILVLVFVSFSLLDFAQKYVLAPVVKDFVLQLAEHPNMVQDFTYNIDQTIYSGALNDIREIFLVKVLIKASSFIISLTFLVAVVSSSFEPETAKVLDPKDLNLIFIKRWNRPLVTSFYMILLILGIIFLYFISIGITTILAINSWALLFAGAITLSIPVCYFYMAALWIVSMVVSVLEEGYGGLKAIGRAAELMKGKRLQASLMMVVFSVAYGFVHQIGNNIASNNLSMGTQLAIGIPLTNGLSCTLTLFMFVVYTVFYHEWKTIHDEREGKGFYLPIADGEA >cds-PLY87009.1 pep primary_assembly:Lsat_Salinas_v7:5:257633876:257635189:1 gene:gene-LSAT_5X132840 transcript:rna-gnl|WGS:NBSK|LSAT_5X132840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPGFPQLPPPPPFFWGETPEEEYYKSHGVSNSKSYFETSNGKIFTQSWLPLDQPVKAAVFMTHGYTSDTSWVFQNICIAYAKWGYAVFAADLLGHGRSDGLHGYIGDMDKAAATSLSYFVSVRRSEQYCNLPAFLLGESMGGMITMLMYFQSDPDIWSGLIFLSPLFVLPEGMIPSKLHITMYGLLFGLADTWAAMPTAQMAVKAVKDLEKLKVLVVNPKRYAGKPRVGTMREVVRVTNYVQNNFEKVRVPFFTAHGTADGLACHTGSEMLYEKAVTAKEDKTLKLYEGMYHSLINGEPDEAADLVLADMKDWIDAMAQKYGPKLKTSY >cds-PLY86477.1 pep primary_assembly:Lsat_Salinas_v7:2:81405634:81406931:-1 gene:gene-LSAT_2X35940 transcript:rna-gnl|WGS:NBSK|LSAT_2X35940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAIHFAAPSASACITNKEFNIILCSGNGTKRRVKSFMTTSSSCSSGSRRRSGSVVIRAIKEETKPKQSDSSSSPDVITQKYGLEAGLWKIFSSKEEEEDENVDVKKSKGDQAKELLTKYGGAYLATSITLSLISFSLCYALITAGVDVQALLQKVGISANETGEKMGTFALAYAAHKAASPIRFPPTVALTPIVASWIGKKVDKDN >cds-PLY95464.1 pep primary_assembly:Lsat_Salinas_v7:9:160718363:160719270:-1 gene:gene-LSAT_9X100640 transcript:rna-gnl|WGS:NBSK|LSAT_9X100640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSHWLLFGLLVAGCSLAFASDPSPLQDFCVADQNSRVFVNGLVCKEARLVQADDFFYSGLQLMGNTSNAVGSAVTPVTVAELPGLNTLGISMARIDIAPQGINPPHTHPRATEILTVMEGRILVGFVTSNPENRLITKLLQKGDVFVFPEGLIHFQKNVGNGYAVAIAALSSQNPGVITIANAVFGSNPDIAEDILAKAFQVDINVVYQIQSKF >cds-PLY97321.1 pep primary_assembly:Lsat_Salinas_v7:3:138119854:138124797:-1 gene:gene-LSAT_3X91700 transcript:rna-gnl|WGS:NBSK|LSAT_3X91700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVCSSKPSSRTKFSGHQETNIPAKDSEGKESEDKISKKGETEVGKKSPFFPFYSPSPAHYLFSKKSSPAPNASTNSTTPRRFFKRPFPPPSPAKHIKALARRHGSVKPNEVAIPDVNDGERITRLDKSFGFSKHFGNKYEIGEEVGRGHFGHTCKAKFKKGEFKGQEVAVKIIPKSKMTTAIAIEDVRREVKILRALTGHNNLVKFYDAYEDNDNVYVVMELCKGGELLDRILSRGGKYTEDDAKVVLIQILNVVAFCHLQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVISYILLCGSRPFWARTESGIFRAVLKAHPSFDEEPWPTLSLEAKDFVKRLLNKDPRRRMTAAQALGHPWIRNTNDVKMPLDVSILRHMKGYMRSSALRKSALRALVKTLTKDELFYLKEQFCLLEPSKNGFISMENIKVALMKHATDAMKESRAHDFLTSLNALQYRKMEFDEFCASTISVHQLEALDRWEQHARCAYELFDKDGNRAIMIEELASELGLGPSVSVHSVLHDWIRHTDGKLSFLGFVKLLHGVSSRTLAKAR >cds-PLY93091.1 pep primary_assembly:Lsat_Salinas_v7:4:107475125:107475978:1 gene:gene-LSAT_4X69740 transcript:rna-gnl|WGS:NBSK|LSAT_4X69740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIDCVYIVSCNCIGNGANETDRFWVYDVDSWFQAGFVLTTGINSAYVLGYSGAVMVPLGWTGGVAGLILATIISLYANVLIARLHEFGGKRHIRYRDLAGFVYGPKAYALTWVLQYVNLFMINVGYIMLAGQALKAIYIVFRDDNAIRLPYFIAIAGLTCGIFAISIPHLSALRIWLGFSTFFTLVYIVVAVALSLRDGIISNSLLFVIKSKITCYR >cds-PLY65868.1 pep primary_assembly:Lsat_Salinas_v7:4:86320203:86322977:1 gene:gene-LSAT_4X56421 transcript:rna-gnl|WGS:NBSK|LSAT_4X56421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHCSRLQNPITKLLQPHTRFTVHSTSSSLCLRRFSVRSSLPSPAQNAKYNRELSAAVDAVERACRLCVDVKRSLFTSDGRILEKNDKTPVTIADFGVQALISLELGKLFPSIPLVAEEDSAFLRSNNLVDSVVNVVIDKSSSKDKELTEADVLEAIDRGGTFGPNPATYWILDPIDGTRGFLKGNEALYVVGLALVVEGQIVLGVMGCPNWKDNKSDNDKNTLGSGMIMVAHIGCGTWSKELSGVALNWYRCLVDGTNLVHKGRFCISESQTWDSLPLSSSFGSSINVENVGDNEVLLLPTCCGSLCKYLMVASGRASVFIQRARAERVIKVWDHAVGVICVQEAGGKVTDWNGDELDLAEDEVERKALFPPGGILVSNSSLHEEILEIIASNSAIIS >cds-PLY66424.1 pep primary_assembly:Lsat_Salinas_v7:9:38844381:38845061:-1 gene:gene-LSAT_9X35941 transcript:rna-gnl|WGS:NBSK|LSAT_9X35941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVSFSPDVDDMLSPPPVYFTKHGGDRASTTEPMMRIWTFRPPKSSGFSAMRFLGRIKAKFAKALGFVSSSRSSSSCSCTLERSKSYVEALDSHRAQAIEDCIQFLNSSSSSSFQRSKSLSSFSY >cds-PLY77248.1 pep primary_assembly:Lsat_Salinas_v7:8:241758359:241760005:-1 gene:gene-LSAT_8X144020 transcript:rna-gnl|WGS:NBSK|LSAT_8X144020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATFFGSPLFVSTIRIPVARTQSVSLPPQLPSLSNSSPETPPPPPPPLPPVQRGGRGTSADSTDWIASGLTRRFGLGAGLAWAGFLAFGVVSEQIKTRFEVNQQEANTRDVEKEEEVVLPNGIRYYELRVGGGATPRKGDLVVIDLKGSVQGDGVFVDTFGKDKKPLALVVGSRPYSKGMCEGVEMVLRTMKNGGKRRVIVPSTLGFGEEGADFGSGFKILPNATLEYIVEVEKVSIAPS >cds-PLY96344.1 pep primary_assembly:Lsat_Salinas_v7:1:28110479:28111596:1 gene:gene-LSAT_1X23100 transcript:rna-gnl|WGS:NBSK|LSAT_1X23100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKRSLPVDHFHHRKNMNNKKIKPSSSSSASATAVLNDENLLYEVLKHVDARTLGAAACVSRQWHRTAQDERLWELICTRHWANIGCGSNQLRLVVLALGGFRCLHSNYLLPLSKPSISVATSSSSSTVAAATSSSAWPCLPPPPPRAIVPAKSTTVKTRWGKDEIQLSLSLLSIRYFEKLNYNNRSI >cds-PLY95921.1 pep primary_assembly:Lsat_Salinas_v7:8:244953434:244955055:-1 gene:gene-LSAT_8X146440 transcript:rna-gnl|WGS:NBSK|LSAT_8X146440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTPNNPGEVQETLPCDYCHNQIALLFCRADSAKLCLFCDHQVHAANALSGKHLRSQICEGCRSAPVSVRCSTDNLLLCRECDWDAHGLCSASASHDRTPIEGFIGCPSPLELASTWGLDLNHYHTKSTNNPLHDSGHWEYLLADPNSWMQEVMVPESDESDVFKKSISRCEKQKNVILKQLIELSKRSALSSDGNGARGQQHQEEEEEVPFTSLLMMKHGRVDVDRIDDPTLQHNMLWNSIPGDRRGTQIWDFDMERTASPDRNNGGLMSEDHTTGIGLGMNLKIQNSSKSCFGTQKGYGIGTGGSDDTEVLARNRGNAMLRYLEKKKSRRYVKHIRYESRKARADTRKRIKGRFVKADDA >cds-PLY84854.1 pep primary_assembly:Lsat_Salinas_v7:9:126312482:126314305:-1 gene:gene-LSAT_9X82841 transcript:rna-gnl|WGS:NBSK|LSAT_9X82841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNSLVSCYSKPNEKSDKAGEQKGLLEELNAICEDQKLTEVVEFLGLPELNKVSVEMLGYLFLMKACGESQALKEAKLVHNHLTRSGHHLDVHICNKILEMYSKCASMEDAYNFFDKMPQRNLASWHTMITGFAKNGHGEDAIKMFTEFKKVGLKPNNQIFHGVFAACNIVGDMKQGLWHFKSMMKTYNLVPSMDDYVRVVDMLRSSGYLNEALELIEKMPMKPSAEILEIMMNQSRVHGDLELGDHCAEILNLRDPSRLDEQSKSGLIPIKSSDIAKENEKKMSSELMNRVKTFQFRSGDTSHPDQERLCSQLRYLKQAMIEAGYVAQTRYVLHDMDHENREEALLLHSERLPLSQALLTTPPRAAIRILKNDRICADCHEAMKIISRLVGRLIIVRDKKRFHQFENGVCSCRDYW >cds-PLY81774.1 pep primary_assembly:Lsat_Salinas_v7:3:33828576:33829753:1 gene:gene-LSAT_3X24701 transcript:rna-gnl|WGS:NBSK|LSAT_3X24701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDAHNKKQKKESEKKDYKDARCSVCMEYPHNAVLLLCSSHHKGCRPYMCATSYRYSNCLDQYKKAYLKEKEDSESPDGSSVDPSDSGLMCPLCRGQVKGWTVVERARKFLNSKKRSCMQDDCSFVGSYRDLRKHVREDHPLACPLEVDPSLAEKWKKLENDMELNDVMSTISSTMPGSIVMGDYVIEGNFRGFSRDMEMDNYLDNVLFRLGSRTSRGSYAILERGRQSVDDDGGGAATGRVRNYIPRIARRQGRLVLGGPRRRRGRGVDM >cds-PLY99880.1 pep primary_assembly:Lsat_Salinas_v7:4:46417324:46420078:1 gene:gene-LSAT_4X31720 transcript:rna-gnl|WGS:NBSK|LSAT_4X31720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterogeneous nuclear ribonucleoprotein Q [Source:Projected from Arabidopsis thaliana (AT4G00830) UniProtKB/Swiss-Prot;Acc:Q9ASP6] MADSSREIDDQVDFEDDNYEEVDDDVEEEEEGPEGGDDEMGEEHEDISGYHGKDHDEDRNQNQNQNQNQNASGHVDDEEKKSPFSREDNKKHTELLALPPHGSEIFIGGLPKDVVEEDLTDLCEPFGDVVEVRLVKNRDTNEIKGFAFVAFRTKDVAQKAIEELHNKEFKGRTIRCSLSETKYRLFIGNVPKSWTDDDFRKVIEETGPGSEVIELIKDPQNPPRNRGFAFVEYYNNACADYSRQKLASANFKLDGNTPTVTWADPKSTPDHSAAAQVKALYVKNIPENTSTEHLKELFQRHGEVIKVVMPPAKAGGKRDFGFVHFAERSSALKAVKDGEKYEVEGQFLEVVLAKPQSDRKSDNISPHYSGPHPNYIPQNVYGGFSSNPYGGSVGPRYGVTPSFQQPMIYGRGPVPGGMQMVPMVLPDGQIGYVLQQPGAQMPAARPPRRNERSSSNGSGGARRGGGGSGGGGGDDRGRYRPY >cds-PLY68793.1 pep primary_assembly:Lsat_Salinas_v7:MU044503.1:203447:205265:-1 gene:gene-LSAT_0X17660 transcript:rna-gnl|WGS:NBSK|LSAT_0X17660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFENIDDALKLFDEMTQRQPLPSVVKFNQLLQAVTKMKHYSCSVELFKQMNVIRIPVDVYTITIVINSCCQMYHTREGFAVLGYGLKHAVLPNVWTFSTLLNGLILEDKIIKAERLFKKLIKKELFEPDTIMYNTMIKGLCKFGINDTAIALLKLMDERGCKPDVVTYTTIIDSLCKVKMVDDALKLFKEMVFHKYIQPNVVTYNSLIHGLCNLCRWDEVSKMLQEMKEEKISPCVETYNILVDALCKEGMVEDANGVIKLMIQRGIDPDVVTYTSLIDGYCLRGEMSKAREVFDSVEIHGVVPNIITYSSLLNGYCKNLKIEDAMHLFHEMIKKDMKPDVITYTTMLQGLFRVGHCKDAYDLFNEMRAHNEIPDEYTYTIVLEGLCNNNQVEEALSLFHLMGDNKLNLDIVVYTILIDGASKGGKIDIARILFNEVSVKGLQLDVWTYNVMISGFCQEGLVGEAKKLFYTMETNGCPPDSVTCNVFLQGILKNQQHDLVEMLLKEVEGRGFTLDATTVSLLLDHIKARYLDASLLKLIGKLVPKEGVDAPCFTV >cds-PLY85864.1 pep primary_assembly:Lsat_Salinas_v7:9:128978626:128979378:-1 gene:gene-LSAT_9X84080 transcript:rna-gnl|WGS:NBSK|LSAT_9X84080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKKQVTNLVKKKKKVVETIVLGLTKDEETDTEDIDMSKGQSSNYTCDANIYDVDHSINDLFHQYTPLVSPRKVATIESNTEEISNSDVTMNTSNVDTYIIKDETPSTYHPQSTATVNPLEFPTTKSIMEEVRTLDIPEHTSHVDSNLNMDENFRMKTSTVPPLRATSLFITSVVQTGMVPTLSPTFYGILSQPIAMLFSSQSTNSQLGLIHDDTLHMQDKEDEGFGFEETFDLEEEDIEDKALMSGKQ >cds-PLY74300.1 pep primary_assembly:Lsat_Salinas_v7:1:202258446:202258910:-1 gene:gene-LSAT_1X123660 transcript:rna-gnl|WGS:NBSK|LSAT_1X123660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVGSKVMRRFNPPQRTHCDCGDLVGRWTSWKTRNPGRRFIGCPNYRDSSKDCKFFDWVDPPLPNQWYKDLLLQLHNGWNGDVVEQMEEAVVEVVPAQVQGAGGVVPRWSMFWFILGLCFGLYFKIM >cds-PLY93155.1 pep primary_assembly:Lsat_Salinas_v7:9:3207909:3210309:-1 gene:gene-LSAT_9X2740 transcript:rna-gnl|WGS:NBSK|LSAT_9X2740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNKRRVESDAAQLLSLAASRSSATEDDDIDGNRSSLAGKMLSSRIKNPLCVVPGKGQGLIMCDKDNNTSTTTTTTQDDVATIHVTFKLPVVIHKIPPYTTWTFLTRHVHVILLINYPCISISLLFSLIYQSVIGKRCNYYDQIGGEATIYNHSTAEAIAEQAEDQPHEFSEAEKEIIRMVCQEYELSEELVTILKNFIGGTTSEINVMRNEQRFEHLNEWMGQVIELIEELLIASNILMEHRVVDWESSGS >cds-PLY86273.1 pep primary_assembly:Lsat_Salinas_v7:8:58130159:58132785:-1 gene:gene-LSAT_8X41620 transcript:rna-gnl|WGS:NBSK|LSAT_8X41620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKPTTASFVVVATVLLLLFNAAVVTPKSTIEPCSNNDSCNALLGYTLYTDLKVSELAALFQIDPIVLLSANSIDISYPDVESHILPSQLFLKIPIICSCVDGIRKSVATHYKTRPSDTLSNIADAVYGGLVSADQIKEANSISDPSVLDVGQNLVVPLPCTCFNGTDNFLPAIYMSYVVRPVDTLAGIAARYKTTTTDLMGVNALGNAAIEDGDILAIPLPACASNFPRSASDYALSVPNGGYAITADHCVECSCTAGSRLYCQPASLSVSCSSMQCKSSNLMLGNVTVQQSSAGCNVTSCGYGGFVNGTIITTLSSSLQPRCPGPQVVPPVTAPPTVVSPDMVLAPAPSPGQLGGSPMAGLPSSVVPSSGSSIVLPPAANGPSGSSINGACTLVNPLTTSFISIGLVLFLKVVKVVPFL >cds-PLY98718.1 pep primary_assembly:Lsat_Salinas_v7:1:162241644:162242522:-1 gene:gene-LSAT_1X110681 transcript:rna-gnl|WGS:NBSK|LSAT_1X110681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSALGHPQDMFSDTAIQLQPVFAQWIQNTHALAPGATAPGATASTSLTWGGGDLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFACSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGSISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWAFFLARIIAVG >cds-PLY89198.1 pep primary_assembly:Lsat_Salinas_v7:3:21695392:21697747:1 gene:gene-LSAT_3X16060 transcript:rna-gnl|WGS:NBSK|LSAT_3X16060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARASALAKYKLVFLGDQSVGKTSIITRFMYDKFDTSYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVITYDVSSRQTFLNTIKWIEEVRAERGSDVIIVMVGNKTDLVDKRQVSVEEGEAKATELNVMFIETSAKAGFNIKALFRKIAAALPGMETLSSTKHEEMVDVNLKSTSMSSSQPESGGCAC >cds-PLY62978.1 pep primary_assembly:Lsat_Salinas_v7:3:120036155:120039502:1 gene:gene-LSAT_3X83740 transcript:rna-gnl|WGS:NBSK|LSAT_3X83740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKPLPTESGILGLMLNWDYSIINGEEDPHMPSGATTSVRVNIGLLCMTLLILVKSLLPHLDPARHTDGNALPPAIGTSTSVSTIVVPAPKLPSEITKKTVEEKFLKLLRPNQTLSASWNLSRLISKSLTSLYNVRKKKLSKYTKMNMDYFLMMKQLQPEMQISLKWIDKKYINQFEFSILWKVLSVVTIL >cds-PLY82864.1 pep primary_assembly:Lsat_Salinas_v7:4:17763743:17767220:1 gene:gene-LSAT_4X11761 transcript:rna-gnl|WGS:NBSK|LSAT_4X11761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGISLIISGVRIDLSSLLPDTTICSSLSGEAANFLFSVDDIKAALRRRGPDSLGSKSIFLHADEERTLQASVEEEESIEESHFIDNHVLNNTLFGKLIFVGATLQLRGVYPVTQPLVDKSGNILIYNGEVFGGIDLNSDINDTEVLMKSLKECCNCLSHEHEGTCRNGKYSVPELLSKIKGPWALIYWQENSKTIWFGRDALGRRSLLVHWPSIKDLRFMLSSVSPPSAIDELNFWEELPCGVYSLAMSASKMDGNLVGEVKRHNWTDPLLEELIEWERTFFEPKPEDLTKMFSSEKRGHLHRKVLAALKESVRQRTIFSEIHLSSSPVAVLFSGGLDSMILAALLDQCLDSKFEIDLLNVSFDGELAPDRISAMAGVKELRKIAPLRRWNLVEIDAELSKLTTETKRVLSLIYPSNTYMDLNIGIALWLAAGGDGCVSEEINGNNNSKVKYRSDSRILLVGSGADEQCGGYGRHRTKFRESSWGGLNEEMKLDMQRIWKRNLGRDDRCIADNGKEARFPFLDENVIRMLLEIPLWEIADLRQPSGVGDKKILREVARMLHLNTAAVLPKRAIQFGSRIARESNRKNYGSNRAANQASAGSAFIHPI >cds-PLY70954.1 pep primary_assembly:Lsat_Salinas_v7:2:15648131:15662710:-1 gene:gene-LSAT_2X7721 transcript:rna-gnl|WGS:NBSK|LSAT_2X7721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPMDDVWKDISSLPSLHHPTTTHHLQDFFTPAAATAGGGRSGATLHHPSPPEPPPPPPPTTMLSLSTSGNSAHDQEQIHNNPSTGATSHVANQRSPPEYSDKFKRLMKNRESAGRSRARKQARADELEHEVLRLKTENAKLKRLQKELCSASSQFPKKPKLQRTRSAPF >cds-PLY63223.1 pep primary_assembly:Lsat_Salinas_v7:6:86269667:86272525:1 gene:gene-LSAT_6X60420 transcript:rna-gnl|WGS:NBSK|LSAT_6X60420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRRKSKTTGEVSLMEVPSLGGVLTRAKTLALQKASAAVASIGAGSYIQLRSRRLVKPTSEKKQKETGALTNPNKASLRGTNSSRLKVDEKLVYEELEICQNTKIKVAVDLGIDYEEGSFGENMVEMEGRGRTTRETTPCSLIRNPDIIRTPGSSTKPNRKNRVQNTTPRPIPSTTEMDEFFTEPEKYQQRVFMEKYNFDPVNEKPLNGRYEWLKVDDAIKKS >cds-PLY68905.1 pep primary_assembly:Lsat_Salinas_v7:2:193040768:193042122:-1 gene:gene-LSAT_2X113641 transcript:rna-gnl|WGS:NBSK|LSAT_2X113641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRFSNTLIGFLNLLTLLVSIPIIGGGLWMARSTATCESFLQRPLMVLGFVILLISLAGFIGACFHVVWALWVYLVVMLFLITALLAVTVFGFVVAGPGGGVEVPGRNYKEYHLQSYSPWLRKRIENPDYWMTIRSCILGSKTCSKIVMWTPVDYLTRDMSPIQSGCCKPLTSCNYEAPMMTQDPDCYKWSNDPSVLCYECDSCKAGVLEDVRRDWHKLSILNIVMVMLLIGIYCIGCCAFQNAKRDDSYPSNRMYKVRPKWNFYSGGR >cds-PLY76944.1 pep primary_assembly:Lsat_Salinas_v7:7:52304566:52308437:-1 gene:gene-LSAT_7X39281 transcript:rna-gnl|WGS:NBSK|LSAT_7X39281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANTISSSNPHVTLEVGEEYTYPYPSNVYTPSFISLKLSGRENYRMWKTQMVCLLKSHDMFGFIDGTFISPDHEASSSSVSGKEKVGEHQNHQKLWTRSDALVKGWILGSLSEETLGRVLNRLSERLHHQGRNADDFSGIDAWFELQTMYGPAVFPQPQLSPVVEDTVHDQERAIDLHMLYDISQAGIWNSVETVLRRGRVTVIDKISNNGNTTLHIAVGTSKEPEFLEKLLDRIPENTQLQDLRNSDGSTLLHVAAIIGNTEAADILVARNPELLFAKDKEGQTPLALALSNMNTQTARHLLQHINDTDDRQKEALFSGTTGDDLLVTLISAKDFGFARDLLRHYKTLHSDAVLMAIAQHFPHELNILEQYTGTDARLVERAEFLKAFMDERRLPWVSRVIIIPFSRVAYFVGLLFLKMLKMLVSPFINKRVQIHEDAMELLQNVCNLIINKKNDSSSYHHYYTDPLLEASRQNAYEFVEYIVFHFPNAILSANDDGHNIIQYAVINRSEKVYNLLYQMSEHRNIYRTVRDSSGNNLLHLAARLAPNNKLNLISGAALQIQRELQWFKEVEGFICPLSVIQKNSCNETPQTVFTTEHKDLVVEGEKWMKSTAESYTITAALIITIVFAAAITVPGGNKQETGIPLFTNNVAFTIFAISDAISLFAAVTSLLMFLSILTARFAEQDFLFKLPTKLIIGLATLFISTTAMIIAFAATLFLVFGQRNSRILVAIAVLTCLPITSFVTLQFPLVIELMNATYGRRIFGKQIDYPFY >cds-PLY72818.1 pep primary_assembly:Lsat_Salinas_v7:6:22586651:22589207:-1 gene:gene-LSAT_6X17781 transcript:rna-gnl|WGS:NBSK|LSAT_6X17781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidal glycolate/glycerate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32080) UniProtKB/Swiss-Prot;Acc:Q9FVQ4] MAATPSVSWNYLPKNYYQRSKIFSAGPCPISSKPPHKENPRNTSLKAFRNAQISSPITAHGSQYKFLQPGTQRTRSNRIVSLKSAGSDSSITSNLLQTALGVVHLVVSLGIILATDKYLKQAFVAAAIKFPSALFGMFCIFTVLVILDVTIPAAAKGLENFFEPALLFIQRWLPLFYVPSLVVLPLAIQDIPAASGVKICFILVGGWLASLAVAGYTAIAVRKMVKTEMVPAEPMAKPSAFSTLEVAAWSVISVASFAAALKYPELLGTNARTCLPFLLGSTVLGYMVGTGLPSGLKKVFHPIICCALAADLAAFAFGYFSKSGLYPILGYYLTKVSSNPGAGDILMGFLGSVILSFAFSMFKQRKLVKRHAAEIFTSISIATLFSLYSTALLGRLVGLEPTLTISILPRCITVALALSIVSLFEGANSSLTAAAVVVTGLIGANFVQAVLDKLKFDDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLVCSVPAVRQSLLAIVNC >cds-PLY97008.1 pep primary_assembly:Lsat_Salinas_v7:2:35213150:35214028:1 gene:gene-LSAT_2X15740 transcript:rna-gnl|WGS:NBSK|LSAT_2X15740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPSGTLVNKKNKLSKRVNLSLEDLESKQINVTLWDGVEDVSHVRDHQRNQNHDLGHLESQNQNHSLEKADVEHNRWQ >cds-PLY90280.1 pep primary_assembly:Lsat_Salinas_v7:7:104905439:104907951:-1 gene:gene-LSAT_7X68761 transcript:rna-gnl|WGS:NBSK|LSAT_7X68761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSQEEEMGNRKNKQENDDDDDHENDMVMPGFRFHPTEDELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPALAAIGEKEWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRTDNFRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPQHETERLQKAEISLCRVYKRAGVEDHPCLPRTLPTTRASIFSARASNADKKQHSKNLLSFQSSLEQQQGQSSNQQNDEKLSETSGSSTTDIVGTALGLSSPINPSTYNNFITSFPAITTTLPPHVHLHTASLVSPTASTSSSVFPTTVDDLHRILSYQQASVNNPSSQAFHHIQNYQHKISTTFLXQAFHHIQNYQHKISTIFLTQPQPHQLPPQPQSQHQLQMQPLSQGLLALNNMAGEVHVTFPDRFWDWNPMPSCEGSKDYTNSFK >cds-PLY98983.1 pep primary_assembly:Lsat_Salinas_v7:7:51866723:51868398:-1 gene:gene-LSAT_7X37721 transcript:rna-gnl|WGS:NBSK|LSAT_7X37721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKPMPTVVGEMTIIWDFDRTIMDDDSDRWVVVEMGLTQLFNQLRQTLPWNSLMDRMMEELHSQGKTIEDIINCLNRVPLDPHIISAIKSAHALGCDLKVLSDANKFFIETILKNHGVYECFSEIITNPTFVDKEGRLRIFPYHGSTLPPHGCDLCPPNLCKGLVLNQIEDSMLESKQVIIYIGDGGGDFCPTLKLEEKDHVMPRKNFPLHHLISKSSVPIKPKVHEWSNGEELNKILLHLIHPVTTNPAD >cds-PLY71997.1 pep primary_assembly:Lsat_Salinas_v7:8:147734386:147735009:1 gene:gene-LSAT_8X99500 transcript:rna-gnl|WGS:NBSK|LSAT_8X99500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPNSKNAGAVPLTSSKSKHSSKSKLPENINPNVTSPNLKALNSPSLKSATKVQKSAMKKPNHIFSPSPKNKIRESKFVVAKKNSKRDKDKTPISVDCKCKASGNSEKCLCVAYETLRASQKGFFNRTEVSVQEENPSLPIGLQGKSEENDVFEMSGNKRRTEKLLDEARKTIPKPGSGRVLHLVKAFENILTLPDSNEDELDKQQS >cds-PLY80500.1 pep primary_assembly:Lsat_Salinas_v7:2:137984331:137984711:1 gene:gene-LSAT_2X68020 transcript:rna-gnl|WGS:NBSK|LSAT_2X68020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDKKGTKAVIRYTKKSVVEDKLKRKNGNDIMESEKVYVVDFNNGKGKRKGKLGQFRCSPYVDRITDMDETVKDDENVVAQSIITWGKDKRENNMGN >cds-PLY93296.1 pep primary_assembly:Lsat_Salinas_v7:4:303393254:303393535:-1 gene:gene-LSAT_4X153160 transcript:rna-gnl|WGS:NBSK|LSAT_4X153160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKNLTHRMTDNELFWRASTVPDKPEYPFDRVHKLAFMFMTRGPPLFLPLWVVVGGFLSGEGGGWRLSAFLATTTVVVVDYLNRRGTEGVTGQ >cds-PLY94770.1 pep primary_assembly:Lsat_Salinas_v7:2:178338015:178338410:1 gene:gene-LSAT_2X97121 transcript:rna-gnl|WGS:NBSK|LSAT_2X97121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIDGECPIELIGSCRNKVQVYRSVRMPQLHTSLHFHLTPIVMINGSSRRDLLLNSQNFCRSIPAGAENPSLSRLCYRRLWGSRNRRALILGWAYYLDAFSSYPLRTWLPSVYRGHDNWYTRGASFPVLSY >cds-PLY68671.1 pep primary_assembly:Lsat_Salinas_v7:7:85751788:85752279:-1 gene:gene-LSAT_7X58641 transcript:rna-gnl|WGS:NBSK|LSAT_7X58641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGQLFLIKHLLILREQIAPSDIEFSVTHKELDFSQLLEHLRRILRGQTSLFDWSRSTSLARTLSPRVLESQIDAKKELEKNLKATCESLSCQSLS >cds-PLY62351.1 pep primary_assembly:Lsat_Salinas_v7:4:87365240:87365440:-1 gene:gene-LSAT_4X58080 transcript:rna-gnl|WGS:NBSK|LSAT_4X58080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLMTFRIIKISKLILGSCIVDAICLKNTKIYFMQVLGIIPHPLIAGIKNKGYWDLPFIDELCPRS >cds-PLY96150.1 pep primary_assembly:Lsat_Salinas_v7:3:102277578:102277793:-1 gene:gene-LSAT_3X75060 transcript:rna-gnl|WGS:NBSK|LSAT_3X75060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNCPTQTHKHTNNSINMKMRANWTRFIPLPESQTPYTPNQYKEKLTLASPIKPKSKIKNLGGESRGWEIDA >cds-PLY80948.1 pep primary_assembly:Lsat_Salinas_v7:9:98040328:98041663:-1 gene:gene-LSAT_9X73120 transcript:rna-gnl|WGS:NBSK|LSAT_9X73120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAEEECLYNGEFQSMVDGSGSGSGGGDGEDYGGEETGQFSDKKRRLSNHQVKSLEKIFEVDNKLDPERKVKVAQDLGLQPRQVAIWFQNRRARWKTKQLERDYNLLKSNYDALKHNYHKLEQEKESIINELIELKAKLHEETTERNDEFENKENILFLGSDPTNTNHEIYTSLNYEKTRKSLDLKDGFSDSDSSGVLNEENMNVSNANGSSLLNIATFGLSSICSSSATNSNHTEHIDPTRTYQEQSDPYLVSNVEDESCNIFLVDQAPNLCWYFRDHTN >cds-PLY74448.1 pep primary_assembly:Lsat_Salinas_v7:7:34923133:34925250:-1 gene:gene-LSAT_7X26761 transcript:rna-gnl|WGS:NBSK|LSAT_7X26761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSAEHPLRAPRNDEVFLEWGYFRNFPLPSLIPPPLRADSSSTSIFRSCLRIANVIRFSGDIVFNLVISWRQRVQPCHFLTTTTSTMNFRHQWSKISPTFLPMDTAPRFAWGTYFWTYTSGLMRGMFEKIENFRIFKQVNPESKKVHKYTVPDFMLPFKPNNHLIVVVANETEFMEPFYIRYVNWNLNHEESPPWQQSQHIIASPPRRKKYKSEASSTEIATNASTS >cds-PLY63450.1 pep primary_assembly:Lsat_Salinas_v7:7:151993629:151995952:-1 gene:gene-LSAT_7X89161 transcript:rna-gnl|WGS:NBSK|LSAT_7X89161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVAELKERHLAATETVNSLRERLKQKRLQLLDTDVAGYAKAQGKTSVAFGPTDLVCCRTLQGHTGKVYSLDWTPEKNRIVSASQDGRLIVWNALTSQKTHAIKLPCAWVMTCAFSPTGQSVACGGLDSVCSIFNLASQADKDGNIPVSRMLSGHKGYVSSCQYVPDEDNHLITSSGDQTCVLWDITTGLRTSVFGGEFQSGHTADVLSVSINGSNSRMFVSGSCDATARLWDTRVASRAVRTFHGHEGDVNSVKFFPDGNRFGTGSDDGTCRLYDIRTGHQLQVYPQQQGADAPPVTSVAFSVSGRLLFAGYSNGDCFVWDTLLAKVVLNLGSLQNSHDARVSCLGLSADGSALCTGSWDTNLKIWAFGGHRRVV >cds-PLY80915.1 pep primary_assembly:Lsat_Salinas_v7:8:134319076:134319498:-1 gene:gene-LSAT_8X92820 transcript:rna-gnl|WGS:NBSK|LSAT_8X92820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHDGGSKDHPKDGGGGEVEVKYRGVRRRPWGKFAAEIRDPNRQGTRVWLGTFATAAEAARAYDRAAFEMRGHMAVLNFPAEYPPTFSAAAYNTSTSTTTSVAGESSSSSREGREVLEFEYFDNKLLEDLLGYDDNRTKK >cds-PLY82387.1 pep primary_assembly:Lsat_Salinas_v7:4:337430647:337431705:-1 gene:gene-LSAT_4X166000 transcript:rna-gnl|WGS:NBSK|LSAT_4X166000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPAGVRTRGRAMATAEEEAVDNSGSAKRMKVVGNGELRLSSSRITDSDGGDVITTLKNYGDSAVEELRDFSGDIPASCCSSNGSVTEILKSADLEERTGVETTMTYKMNRRESTQTSDLKAESGELHSTNTSKPSVVIDSRRKTPPAAELEEFFAKAEENLHKHFKDKYNYDIVNDVPLKGRFEWVELKP >cds-PLY70663.1 pep primary_assembly:Lsat_Salinas_v7:5:72834403:72835952:1 gene:gene-LSAT_5X34360 transcript:rna-gnl|WGS:NBSK|LSAT_5X34360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSARVDLDGNTINPFTICMIGAGGFIGSHLCEKLLTETPHTVLAVDVYNDKIKHLLEGPDSLPWAGRIQFHRLNIKNDSRLEAEGAENGLEFTIVRPFNWMGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFVYIKDAIEAVLLMIENPARANGHIFNVGNPNNEVTVRQLAEMMTKVYSKVSGEDSIETPTVDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWDPKTSLWDLLESTLTYQHRTYAEAVKQSVAKAVAS >cds-PLY94396.1 pep primary_assembly:Lsat_Salinas_v7:6:8638012:8641961:-1 gene:gene-LSAT_6X5340 transcript:rna-gnl|WGS:NBSK|LSAT_6X5340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLREESSRLGSTLRNLSSSSTLFFSANQSPFFSPRSSSWQPAELSETLCDDVAINAASTSSDLQNFDNFHENEAFEIVEKSKKVVRSPISSFTPPSTSYCTTRLRSFDVYIGFHGRKPLLLRFVNWLRADLEVQGLSCFVTDRSKCRNSRKHGIVEKAMDASTFGVVVLTRKSFRNAYTIEELRFFSSKKNLVPIFFDVGPDDCLVRDIVEKRGETWEKHGGELWLVYGGLENEWKDAVNGLTRVDEWKLEARDGKWRDCILRAVTLLALRLGRRSVVDRLTKWREKVEIEEFPYPRNENFIGRKKELSELEFMLFGDVIGEREREYFELKARGKRREVKTEIRSRRRKGKEPVVWKESEKEIEMQNGDHDGDAHFSSPKPKPGRRKRAVKVVYGKGIACVSGDSGIGKTELLLEFAYRFHQRYKMVLWIGGESRYIRQNYLNLWSFLEVDMGVETGLEKTRTKSFEEHEETAIARIRKELMRNIPFLVVIDNLESEKDWWDHKHVIDLLPRFGGETHVLISTRLPKLLNMEPLKLSYLSGVEAMSLMQGNMNMNMNDRTISVLEIDALRSIEEKLGRLTLGLAIVGAVLSELPITPSRLLDTINRMPSNGRERESNTLRRNTFLFQLIEVCFSIFDHADGPRSLATRMVLASGWFAPGPTPVPLLALAAKQIPEKHHRTRLWRKILRSLTCGCSSLYDKKSETEACSVLLRFNIARNCTKDGYIHFNNLTKQYVRKRSIPGTAHAVVQTVVTRGTVIHNSDQIWAACFLLLGFGNDPVVIDTKASELPFLVKDVILPLAIRTFIRFSRCTAALELLRLCTDVLEAADEALVTPVEKWLDKSLCWKPIQTDAQLNPSLWQDLALSRATVLEIRAKLMLRGGQFDIGDDLIRKAIFIRTSICGEDHPDTVSARETLSKLTRLLANVQIHTSP >cds-PLY89136.1 pep primary_assembly:Lsat_Salinas_v7:3:20405028:20407048:-1 gene:gene-LSAT_3X13280 transcript:rna-gnl|WGS:NBSK|LSAT_3X13280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDGPTPPVSAPATPGTPGAPLFTSLRVDSLSYERKSMPRGKCMPLCAPSCGGGASNTCFTDFPSPDVSLTRKLGAEFVGTFILIFAATAGPIVNEKYAGSETLIGNAACAGLAVMIIILSTGHISGAHLNPSLTIAFAALRHFPWAQVPAYILAQVSASICASFALKGVFHPFMSGGVTVPSVSTGQAFALEFLITFNLLFVVTAVATDTRAVGELAGIAVGATVMLNILVAGPSSGASMNPVRTLGPAVAAGNYKVIWLYLLAPTLGALAGAGVYTLVKLQAGEGEEPRPARSFRR >cds-PLY95240.1 pep primary_assembly:Lsat_Salinas_v7:9:21418900:21421018:1 gene:gene-LSAT_9X20380 transcript:rna-gnl|WGS:NBSK|LSAT_9X20380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVINLKEQVRKDLASALDVPTQHTNLLRLIDAIQRLGIGYYFEEEIEKALQHIYDTYGDEWNGDSSSLWFRLMRQHGFNVTCAFCNNYKDENGDFKESLANDVQCMLDLYEATYLRVQGEVVLDDALVFTRSRLGDISNNTLYSSPNLYTQIQEALKQPIRKRMPRLEALHYIPFYEQQDSHNQSLLKLAKLGFNLLQSLHKKELSHVSKWWKGLDVPNNLPYARDRVVECYFWAVCVCHEPQYSNARVFLAKVICMQTLLDDTYDAYGIYEELEIFTEAIQRWSISCLDVLPEYMKLIYQVIMDMYKEMEELIGKEGKAHYINYAKEFMKASVSAYMTEAKWLNEGYIPTKEEHMSISTMNSGCSQDVITCIIGMGDIATKKSIEWAINRPLLLKSISVIGRLMNDIAGHKKEQERKHVASSLEIYMKHDDVTVEYVHELLSKQIEDAWKDITKECLVCKDVPLPLRMLFINYARTMNLLYDSNIDGFTHVGEELSNHIKSLFVRALSI >cds-PLY76885.1 pep primary_assembly:Lsat_Salinas_v7:3:3286326:3287520:-1 gene:gene-LSAT_3X1761 transcript:rna-gnl|WGS:NBSK|LSAT_3X1761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRYSRPPSGTLPTGFSRRPIEAILLAPLISFAPLSSLATVLVPRSQPLSNRFNSAFFILNILLKPEAFLMTKMKGVDLRGSRLSLIGSSDAEGSGDDDEGKGNGKKKXSAAIRNEFNKRRMAGKGIGVGDDDSVLSPKRFNRPHKGAG >cds-PLY81535.1 pep primary_assembly:Lsat_Salinas_v7:2:126249467:126250239:1 gene:gene-LSAT_2X58740 transcript:rna-gnl|WGS:NBSK|LSAT_2X58740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENRRLLLNKPANPSLMSISIRKAPDANVKWNATRSGSTCSNRYIENSEGVLACEEDIDHENERLLVEQPVGGWLKKACKRPTRIKASNGKEKGNPTRSGCTWCEKLNKEDIDNERLLEDQQIAWDLWKNAREVSKNKENTKIVNSDK >cds-PLY98107.1 pep primary_assembly:Lsat_Salinas_v7:3:247439467:247442001:1 gene:gene-LSAT_3X136540 transcript:rna-gnl|WGS:NBSK|LSAT_3X136540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSVVNTYPLSSYSFGTKEPKMEKDTSVADRLARMKLNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEVEGLKRKLSSKLAANSPNMQPNWQIGECVAVWWRPNFETIMYPYCPPHISKPKECKKLYVVHLSEREYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMIPA >cds-PLY92439.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:724333:724622:1 gene:gene-LSAT_0X10681 transcript:rna-gnl|WGS:NBSK|LSAT_0X10681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMAFVRDTYLYGPFDGCGVQDLSKRYSNHWFHNWKKEPNSWDDSRSTPANWIIGHLWLCLCCLIW >cds-PLY62081.1 pep primary_assembly:Lsat_Salinas_v7:2:57749587:57751628:-1 gene:gene-LSAT_2X26020 transcript:rna-gnl|WGS:NBSK|LSAT_2X26020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPTFHESSGASGGRLTEYPLIEVRTECDLLVNVADTQLKVANGIAWPTSETVIHSQPISKGCVKLQFEEKHIAYQHEINEYFQGGLVDMMLSMNPPQVNLNASEPRARPRPELEPEPEPEPKFHTHDQPELCTSLRKLEQQRPQIQSDGFQVVEFFGNANVIYIFSPKGMHRRRVQVSIQHIEVLQLLLRDLLDYSVIHWFAIFGKRSVLLRPLNLKIWSHK >cds-PLY64995.1 pep primary_assembly:Lsat_Salinas_v7:4:207420778:207422055:-1 gene:gene-LSAT_4X117001 transcript:rna-gnl|WGS:NBSK|LSAT_4X117001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHCIDFISLPVKLFVFATPAVTCFLVEISLHPTSPITLPYPPMIQNSGSDEDLLHQRKRKRMQSNRESARRSRIRKQKHVDDLTDQINQIKKDNAQILTTINVTTQQFVHVEADNSVLRAQMGELSQRLDYLNEIINLMNNNYTNTNCTNGASTTGLFEFENHDDFMNNPWNLMYLNQQPIMAAEMLRY >cds-PLY70237.1 pep primary_assembly:Lsat_Salinas_v7:9:863791:865346:1 gene:gene-LSAT_9X1840 transcript:rna-gnl|WGS:NBSK|LSAT_9X1840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLPTSVYKAPLSNFFCINPSALRLTPRFRGKSFNVHASSNELDTKTVDEGKEEYQESIGVTNAETKKISVGATSSTPTIEKDLKKVVQKTAATFAPRASTASKNPAVPGTTLYTVFEVQAYASMLVGGALSFNLIFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSKNEKEALNYLFLLVPLLNVTIPFFLKSFALVWSADTVAFFAMYAWKLGWLQKTD >cds-PLY63523.1 pep primary_assembly:Lsat_Salinas_v7:9:25116309:25117233:-1 gene:gene-LSAT_9X22660 transcript:rna-gnl|WGS:NBSK|LSAT_9X22660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQDQGHLDSRLEGLGSYVESIEKGLKKYNREPDPEFRLDLKPSSLNPIDLLPSFPDKFDLNVFCNWVTRVETCFSYYFFSEAEKAQLVARTLPQEGEAFRWWQGIQNESMQVDERPFDWSEMKLLFLAEFVSPKCLEANKKSKTSF >cds-PLY88790.1 pep primary_assembly:Lsat_Salinas_v7:4:94660834:94661231:1 gene:gene-LSAT_4X61860 transcript:rna-gnl|WGS:NBSK|LSAT_4X61860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLCEPGMAQLIPIGALASGLANASPIEQRTMLGESLYPLVEHEEGDSAAKVIVMMLEMDQTKVLHLLESLESLRRRWLRLWICVEEYGWSWSGWSWKPC >cds-PLY85966.1 pep primary_assembly:Lsat_Salinas_v7:3:201135642:201137339:-1 gene:gene-LSAT_3X119900 transcript:rna-gnl|WGS:NBSK|LSAT_3X119900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSIAELIFIPAPGVGHIISTIEIAKLLVTRDHQLSITVLVINPPPTFGSGSAITTYIQSLANTTMDRISFIELPQDKTRQDSKAPMAAFTDFINSHCKHVRKIVADKMSQPDSGRVAGFVVDMFCTGMIDVANEFNVPTFVFFTSNAAFLGFKLYIQTLSDNKNQDVVELSNSDSTISVPSFVKPVPTKVFPTICQSQEGLEFVLGSARKLREAKAIIVNTFLELETHAIDSLSKESTIPMVYPVGPILNLEAGAGKASSDDDIIAWLDTQPPSSVVFLCFGSMGSFDEDQVKEIANGLERSGHRFLWSLRRPPSDQTSRAPSDYEDPETVLPEGFLERTAGIGKVTGWVPQVAVLAHVAVGGFVSHCGWNSLLESLWFGVPSAAWPIYAEQQINAFEMVVELGLAVEIKLDYKKDFFNPKADKVTAEEIESGVRRLMEDNKVRTEVKEMREKSRAAVLEGGSSYASVGRLILDVKRNIL >cds-PLY94899.1 pep primary_assembly:Lsat_Salinas_v7:4:109205297:109218476:-1 gene:gene-LSAT_4X71361 transcript:rna-gnl|WGS:NBSK|LSAT_4X71361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKLEIKRIENKSSRSVTFSKRRTGLIKKARHLSLLCDADVALIVFSAPGKLYQFCSSASNSMAHLLATYEKSRLEPESGTIEGDDQDLELPLQCTKFRTCKELLQEVDRNNANFLHRLVEENNTEELSLTDMTQLEEELHDALVQTRSRKTQLKMDYISTLQEEERKLSKDKEETAKQIASVEHIVARVDEVGGHNDLATNEMNSLQHLLTLSLFNA >cds-PLY70002.1 pep primary_assembly:Lsat_Salinas_v7:8:145533241:145534560:-1 gene:gene-LSAT_8X98740 transcript:rna-gnl|WGS:NBSK|LSAT_8X98740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDPAVEDKIVAATDNRFEELPVEQKRKLNMDEEETQSGSGRRHLFGRRKPLHAALGSGKTADILLWRQKQMSGAILVSATVIWLLFERIGYHLVPFLCHFLILALAILFLWSNLSSFVNKSPPNFPDIRLSQELCDCVALLLKDQINQGCLYLRQMTTGKDLKRLMSVILTLWIVSVIGGWFEFLTLVYIPPLLYERNEDLVDAYGEKAGEEIMAALQKLPLPFFKNTKQN >cds-PLY63320.1 pep primary_assembly:Lsat_Salinas_v7:9:133730340:133730594:-1 gene:gene-LSAT_9X86620 transcript:rna-gnl|WGS:NBSK|LSAT_9X86620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRINNERIKGGNRLTRSKIASESAHTQKYGDHQCPRLVQHRRSGFLARRRFRRTWWRGNITDDFGDISDGKNGSERETSKTAKN >cds-PLY89890.1 pep primary_assembly:Lsat_Salinas_v7:8:68667167:68670629:1 gene:gene-LSAT_8X48960 transcript:rna-gnl|WGS:NBSK|LSAT_8X48960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMASSSSSPSDVTFSSEVWKYHVFLSFRGEDTRKTFVDHLYTALEEQGIYTYKDDETLPRGESIGPSLEKAIEESQIAVIIFSKNYADSSWCLDELVHIMKCKDARNQIVMPVFYNVDPAEVRKQKRKYREAFAKHALENNKKVESWKKALVDASNISGWEPEHIANGHESKCIKTIVDTISRRLHPITSSVDDNLVGVEARMQRLISQIGFGGKRMIGIWGVGGGGKTTLASSIYDEISSMFDGCCFLKNIREESSNKDGLENLQAEILSGVLKQEKLEVRRVEEGRCMLKDRLQYRKVLIVLDDVDNLDQLEELAGSRDWFGEGSRIIITTRDEHVLTGDKVDVIHNINLLNNDEAMKLFYKHAPRGHRRIEDYERLSKDVVSYSGGLPLALRVLGRFLCDKEMNEWRSALARLKEIPDANILEKLKISFDGLTPLERELFLDIACFFRRRLKDEESLMILDVCGYHPVIGIKVLVQKALIVISEDGRFDMHDLVQEMAHYIVRRKHPKNPEKHSRVWKKEDILKICAMDVMTVMVKHDFHGINMYEETLLTRPHTILFLYPRTLTRLRLYMVGGVGVTNHNVFSRSLQT >cds-PLY64989.1 pep primary_assembly:Lsat_Salinas_v7:1:188908014:188911089:1 gene:gene-LSAT_1X119341 transcript:rna-gnl|WGS:NBSK|LSAT_1X119341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLHIIILLHETIDPPMARRRFLDLSSMLWDFCRKWHEAQMLAARPLQRNEHNNSNSCSNGAGQYNRFFSNQLDLIFGIWKDVSGNVRYCRKIWLSELQFYDHIAPTFEYVQQFQIVQMTAFGDSAEKEARAKRQLIPTDLPRFETKKLRF >cds-PLY81311.1 pep primary_assembly:Lsat_Salinas_v7:4:37493819:37495252:-1 gene:gene-LSAT_4X24901 transcript:rna-gnl|WGS:NBSK|LSAT_4X24901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLCCFRAPEVDQEDEDPDDGQHIQQPNATTNRSTEVQDIAFESDALLGKGSTDVTHSQVVREPVLESNKLISEKSEDVKEDNECLICFEEYTLDNPKITTKCLHHYHLGCILNWQERSEFCPVCSRVMDFEEMN >cds-PLY68739.1 pep primary_assembly:Lsat_Salinas_v7:4:185632280:185639569:-1 gene:gene-LSAT_4X108320 transcript:rna-gnl|WGS:NBSK|LSAT_4X108320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYQWRFDFSNQCFRSFMATKGNPGDNRTRRSFSIFIVVGLCCFFYLLGAWQKSGFGKGDSIAQEVTKTADCSVLSNLNIETHHGDGIKNLHDLQQTKVFQPCADRFIDYTPCHDQMRAMTFPRDFMNYRERHCPPENEKLHCLIPAPKGYVTPFPWPKSRDFVPFANAPYKSLTVEKAIQNWIQYEGDVFRFPGGGTQFPHGADAYIDQLASVIPIGNGMVRTALDTGCGVASWGAYLFKRNVIAMSFAPRDSHEAQVQFALERGVPAIIGVLGTIKLPYPSRSFDMAHCSRCLIPWGGNDGKYMMEVDRVLRPGGYWVLSGPPINWKVNFKSWQRPKEELEKEQRNIEEMAKLLCWEKKYEKGETAIWRKRVNQGYCQERDSRVTLCQSTNPDDVWYKEMEACVTPYMETNNTDEVSGGELKPFPERLNDIPPRISSGSIDGVSIQSFQEDNKLWQKHLNAYKRVNKIIDSGRYRNIMDMNAGFGSFAAALESPKSWVMNVMPTVAKRDTLGVVFERGLIGIYHDWCEAFSTYPRTYDLIHANGLFTLYKDKCSYEDILLEMDRILRPEGTVIIRDNEREVMKVKRIVSGMRWDTKMVDHEDGPLVPEKILFVVKQYWVAGQNLTSWR >cds-PLY97237.1 pep primary_assembly:Lsat_Salinas_v7:1:44821555:44821878:1 gene:gene-LSAT_1X39220 transcript:rna-gnl|WGS:NBSK|LSAT_1X39220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTQLICLRVENFDWSTDKGRWRDGYLLWDGAATGDRTNGMAAVHLMKKSNCGGYNAEAEQQPLDRSKRLFSATRLPATVEMVAAGRPPVMAAIGGEGGGWGMETVA >cds-PLY65300.1 pep primary_assembly:Lsat_Salinas_v7:8:104501115:104506529:-1 gene:gene-LSAT_8X70661 transcript:rna-gnl|WGS:NBSK|LSAT_8X70661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SLOMO [Source:Projected from Arabidopsis thaliana (AT4G33210) UniProtKB/TrEMBL;Acc:A0A178V148] MKIWCCLCFGEEEEEYKKRETMGERKGILGNLDFDEGKSEEIRHDEELGSGKPRDEDDGGKFRLQPGEGTSRGSNVDVNLNLSLGGDPSSSSAAAVLERDGLDRDSHSKRPKVHSLALDCDSFLLSMPFQENMSDEYERMHNSLGNYLCSASEDEGSPLMSNIWRMEEDENVQEEMDDREIRMDLTDDLLHMVFSFLGHIDLCRAAEVCRQWRMASAHEDFWRILNFENRNISPLQFEDMCHRYPNATQVNINGSPAIHTLVMQAISSLRNLEFLTLGKGQLGETFFQALTDCTMLKSLIVTDAILGNGIQEIPIYHDTLRHIQIVKCRVVRISVRCPQLLTLSLKRSSMAHAVLNCPLLNDLDIASCHKLLDAAIRSAVTSCPLVESLDMSNCSCVSDETLREISLTCANLHILNASYCPNISLESVRLPMLTVLKLHSCEGITSASMAAISHSYMLEVLELDNCSLLTSVFLDLPRLQNIRLVHCRKFVDLRLRSAVLSSIKVSNCPSLQRINIVSNSLQKLVLQKQESLTSLELQCQRLQEVDLTDCESLTNSICEVFSDGSGCPMLKSLILDNCESLTEVEFCSNSLTSLSLAGCRAITSLELTCPYLEQVSLDGCDHLERATFSPVGLRSLNLGICPKLNSLKIEAPTMVLLELKGCGVLSEALINCPLLTSLDASFCSQLKDDCLSATAASCPLIDSLILMSCPSVGPDGLLSLRWLPNLTSLDLSYTFLTNLQPVFDSCLQLKVLKLQACKYLTDTSLEPLYKDGALPTLRELDLSYGSLCQASIEELLACCTHLTHVSLNGCVNMHDLNWSFSTSQDEQQQPERLLQNLNCVGCPNVKKVLIPSVARFTHLSSLNISLSANLKEVDVACCNLCFLNLSNCSSLEILKLDCPRLTSLFLQSCNISEEAVESAISHCNMLETLDVRFCQKISPSSMGRLRAACPSLKRIFSSLVPI >cds-PLY83037.1 pep primary_assembly:Lsat_Salinas_v7:5:50022118:50024223:-1 gene:gene-LSAT_5X24661 transcript:rna-gnl|WGS:NBSK|LSAT_5X24661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFSQPRPCGAQGAKPTHDFLSLYSPPQQDPTPSIPGGFLKTHDFFQPLDQQVEKEGNKFDHILPGGIATYSISQISSSSYSYMNMNQIQSQKVVPKAEGVEISGAQCQSSSINNNNDENSNCSSYTGSGFTFWEESNVNKGKSGKIGMPWMTSHSKAVNTGEKPNSPRSKHSATEQRRRSKINDRFSMLRGIIPHADQKRDKASFLLEVIEYIQFLQEKVNKYEDSYQGRNNKPPLNNIPTDEPQLPNGIISVSTMYSQGISSALTQALKSSGVDLSKANISVELDLGKRSSTNLKENESPSIEESGEGLKRLKRRRQ >cds-PLY97653.1 pep primary_assembly:Lsat_Salinas_v7:5:239656669:239657418:1 gene:gene-LSAT_5X118140 transcript:rna-gnl|WGS:NBSK|LSAT_5X118140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYNQIFISNFNHHEHEHEHEHNLNHNYTQIPFKCNGCKEAGIGSNYTCTTCNHNLHIHCAPPSPSISHPFYTKCTFQFLPTPPGSLPRYCNACEKDILGFVYHCHACGFDLHPCCAKLPTILDDGEVKLYLCRKVRSSCHRCGGRGRSWSYRSVCKKYNLHVSCAKEMLVESWHDICYGGGMYGQNWNVEIKIPSLKGTLMKNYHKKTKGRMKKCCKIAGLALQFVISAVLGDPTTLIVGVVGALMSE >cds-PLY76794.1 pep primary_assembly:Lsat_Salinas_v7:4:188883847:188884489:1 gene:gene-LSAT_4X109401 transcript:rna-gnl|WGS:NBSK|LSAT_4X109401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPREEARATEPCHVENGPGKKKELGVNPKVMMERLGTFWDPDDQRESLEFEEMVNLFVGDEPSFDEVKEAFGVYDKNNDGYIDAKELQNVLSNMGFLHVSESDCERMITGYDVDKDGKISFREFLKVVEDGFC >cds-PLY80521.1 pep primary_assembly:Lsat_Salinas_v7:3:91781565:91783574:-1 gene:gene-LSAT_3X67941 transcript:rna-gnl|WGS:NBSK|LSAT_3X67941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEANVCDVNRLDSDVLLPPRKRLLACLKKQNGDINGSPNSPSTCTPLSKLDTRISYLLRAHLSTDNPSQEEIVAASRSAAEVAVKVAMAARAAAEEKAVIASKAMAAAKKALELVAIVDDQNQETPSSPEQDSNSKKNKQVEVQMLYNNKKPRLENGNKTNDEELARKLHQAINSSPRISKSGVPLPSELKAHNNNKLKKLSENGIISNGSIVVEGIYRNDKKAEEDITTNGERERIQTQTQTHSKVKSCDDDMSMTSFGRKRGRMKQKKLPLSICHDRDQLQLQANPNPNPNPNPKEVHDHHPSSKTSLSVGMPPGNNVVERNLWKCQAFKASPCVKQNKVMQL >cds-PLY89447.1 pep primary_assembly:Lsat_Salinas_v7:8:30744874:30746784:-1 gene:gene-LSAT_8X22021 transcript:rna-gnl|WGS:NBSK|LSAT_8X22021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRRNLATIENLPNEVLSDIFIQLIAKQLAQMRCVCKPWNALLSDPSFVKSHLLRSIHHNDEILLFFREGLSFDFSSFTARPSHSPDLELTNFIKPPFNPQSKDGRGGIIGSVNGLICYYYKSYDDDDDDYVVHVCNPSLSAMLTLPPCLVPFNKSSEVHFRFGFDPKADDYKLVKLTSYPRESKMVPQAEVYSMRKGTWELISQRFPSHVTIISTRDEVCTDGHDGHCHWLGYTDVGWKKETIVAFDLNLETFRMIPLPDSVVDYYSFCMNVMNAVGVLSGKLCVMSKADLDCEVWVMDEYGVAESWVKHHEFSQFFFDIYPYGFTSHGEYLFHAPNDRFALYDPIAEKCKTFKIMGGQLGIIKVVGYVDSLVWVAPAKR >cds-PLY98921.1 pep primary_assembly:Lsat_Salinas_v7:7:51040743:51046487:-1 gene:gene-LSAT_7X37081 transcript:rna-gnl|WGS:NBSK|LSAT_7X37081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPGGPRPGNLPPNYNPNSIANNMQNLQINRPNQPPNSGGGPRGPPPPFGHQPRPQPFPSSPFPGAPPPGVVPRGAMPPPSGPPQAALPPFMASNRPTGGGPPGSNQPPPSSSRPMAPPGLRPGPFATSPGGGPGPGGPLSNGPPAFGPVGMQGGPTNPPRPLGGPPPPRQTMPPGPSLLGQSMHSGIGSQSMNALPRPVQPAPPFSAPPPGGIPPPFSSQGQGIPPFGSQPWQMQSRQNMPPGPPPPTSGSPQPPRMFGMQPSLPNQQSMATISPTMGPTVGTGPSRIDPNQIPRPIPSSSILLHETRQGNQANPPPPASSEFIARDTGNCSPRYMRCTINQIPCTSDLLTTSGMPLALLVQPLALPHPSEEPIQVVDFGESGPVRCSRCKGYINPFMKFIDQGKRFICNLCGFTDETPREYQCNLGPDGRRRDADERPELCRGTVEFVATREFLVREPMPAVFFFLVDVSMNAIQTGATAGACSAISRVVADLPEGPQTLVGIATFDSTIHFYNLKRALQQPLMFLVPDIHDVYTPLPSDVVVQLSECRQHLDLLLESIPTMFQNNKTADSAFGAGMKGAFLAMKNTGGKLLVFQSVLPSAGIGALSAREAEGRSNISSGEKTMAIEFAEYQVSVDVFITTQSYVDIASVSVVPRTTGGQVYYYHPFSALSDPAKLYNDLRWNVTRPQGFEAVMRVRCSQAVQVEEYFGNFCKRIPTDIDLPAIDCDKTIMVTLKHDDKLQDGTECAFQCALLYTSVYGQRRIRVSTLSLPCTTMLSNLFRSADLDTQFSCFLKQAAIEIPSSPLVQVREQVTNLCINILHSYRKFCATVSSSGQLILPEALKLLPLYTLALIKSIGLRSDGRIDERSFWINYVLPLSTQLAIPLVYPRMISIHDLNSKETDGCVIPHAIPLCSEHVSDDGIYLLENGEDCLVYIGNSVDPDIIRKLFGVSSLDEIPTQFVLQQYGNPLSKKLNEVINEIRSQRCNYLRMKLCKKGDQSGMLFFSYMVEDKSPNGLSYVEFLVHVHRQIQSKMN >cds-PLY92027.1 pep primary_assembly:Lsat_Salinas_v7:8:238108674:238109078:1 gene:gene-LSAT_8X143600 transcript:rna-gnl|WGS:NBSK|LSAT_8X143600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSGRAEHSWSPNYVRHMDENHSNGVTNEDIAISLLQTQTKLSLIREDFQDQLHELRLAVNRHLDAMNLEVDDVRAGQMDISHMVVDLKNHFVSLQGAYVKMVFKDNKRKKLMSCVGIFGVVCASVVTYLVFK >cds-PLY73117.1 pep primary_assembly:Lsat_Salinas_v7:9:22563880:22565881:-1 gene:gene-LSAT_9X20480 transcript:rna-gnl|WGS:NBSK|LSAT_9X20480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLNGGAQYTDFPAVPTHGGQFVRYNIFSNEFEVTAKYVPPIMPIGRGAYGIVCSMLNSETNEMVGMKKIMNAFDNYMDAKRTLREIKLLRHLDHENVIALKDVVPPPVYTEFSDVYIATELMDTDLHQIIRSNQNLSEEHCQYFLYQLLRGLKYIHSAKVIHRDLKPSNLLLNANCDLKICDFGLARPNADNDFMTEYVVTRWYRAPELLLNSSDYSAAIDVWSVGCIYMELMNRKPLFPGKDHVHQMRLLTELLGTPSESDLGFIKNEDAKRYIAQLPRHPRKSLLNTFPHVHPSAIDLVERMLTFDPTKRITVEEALEHPYLERLHDVEDEPICPNPFSFDFEQQVLGEQQIKELIYQEALAHNPEYA >cds-PLY67151.1 pep primary_assembly:Lsat_Salinas_v7:5:291810877:291815194:-1 gene:gene-LSAT_5X155160 transcript:rna-gnl|WGS:NBSK|LSAT_5X155160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGQKQAEDSIISSNFNENEHEGGGKAEGVEEEDHSVFSMKNALWHGGSSWDAWFSCSSNQVAQVLLTLPYSFSQLGMVSGIVLQVFYGLLGSWTAYLISVLYIEYRSRKEKEGISFKNHVIQWFEVLDGLLGPYWKAIGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYMTIAAIIHGQVDGVQHSGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPRKFKYIYLIATLYVFTLTLPSATAVYWAFGDELLNHSNAFSLLPKTRWRDTAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRKASARQNAAEKPPRFIPSWAAMYAVNLFIVVWVFVVGFGFGGWASVTNFVRQVDTFGLFAKCYQCKPAVPPPQHH >cds-PLY91610.1 pep primary_assembly:Lsat_Salinas_v7:5:297586934:297588292:-1 gene:gene-LSAT_5X159361 transcript:rna-gnl|WGS:NBSK|LSAT_5X159361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKHDDINASSIENQDEAWVIDLIEKAMPPPSSSTRIHRVPEIVLREMGDYEKYYVPKVVSIGPYHYGNPKLQSIEKLKPVFTKELLSRSEHNERLSSLYKYLGAANMVKELRGFYEEKSTDHLSDKEFTTMMLLDGCFILYYILFIYGEKPGSCRELRSHKIVLINRDLFLLENQIPFKVLNNVMDLMKLDRRDKFLLFFADNILSRRQIRGWFLFGSSSTQTYQNSGRESHGSYEFVGDHLLHRLHTGLTEPSTMNESHRNSYGHKNTFHNVRELEYVGIHFRPSSVMSLAHVEFAGRWWWWFSAYVKLPPITVDDSTKPMLLNLIAYEMCAEDAHDAWVTSYMCLLNSLIDHPEDVKALRKAGVIDNSLGSDQEIATLFNEIGTGLVPNNATYSKAKYQIQRSYENLAKSKFCEIRHEYIINPTAVFTVLALFLTAVQTFFAIWSIKGK >cds-PLY81369.1 pep primary_assembly:Lsat_Salinas_v7:4:35969413:35970708:-1 gene:gene-LSAT_4X23140 transcript:rna-gnl|WGS:NBSK|LSAT_4X23140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQQLKAKSELDDCKWIVNLLESKFFGACIDHRNMRKNEKNVFCIDCNLCFCRHCVTAFKTRCHHHHRRLQICRYVYHDVVRLHDIQKHLDCSNIQTYKINGEKAVHLNPRPQQQKESKPIKSKIYGTYCEACMRHIQDIPNRFCSIACKVSMMVENINKNESHKKGELYSFCKENYDFESSISSSLESVEENIQGSSSWLISNLKIKKTIVHKRKGVPRRAPLC >cds-PLY62948.1 pep primary_assembly:Lsat_Salinas_v7:2:211943908:211944129:1 gene:gene-LSAT_2X132801 transcript:rna-gnl|WGS:NBSK|LSAT_2X132801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRIMEWAGRPNHLGGLPRKTMIMVVGTFAKAVASLLNSTSVPNLDTLLNLVKSRPPSVPLIAISNYMSTYGS >cds-PLY88758.1 pep primary_assembly:Lsat_Salinas_v7:4:165274685:165275289:-1 gene:gene-LSAT_4X98880 transcript:rna-gnl|WGS:NBSK|LSAT_4X98880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHTLFHKAFKEAFEIFCDKGVVGSSSAELLATFCDNILKNGGSEKLNDEVVEDTLEKVVKLLAYISDKDLFAEFYRKKLARRPCLYFVKCRIGHFSWKKFS >cds-PLY93612.1 pep primary_assembly:Lsat_Salinas_v7:2:175810458:175823313:1 gene:gene-LSAT_2X98901 transcript:rna-gnl|WGS:NBSK|LSAT_2X98901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQDASPETSSSRRSSRETASSKQAKEIPGSTRKSKRLEKNKPSDSPPILRKSKRTQEQMTTPLKRYDRRKKNTSVGSSGTKKLVEEPTSSSMKSKNEKVKRTLKMDSKEDKRQEKQSLDVGGRKRKRAATSSVDKALSRSSRRRTEAGNDNDKESQDESSQATSSSNRDVDNSKNENSGSKSVEDKDDDEVEECSDRTGEESTQKASEAQCSTSNSSLTNFSVEEINDPIEVEESPNSKTVEGDPIDAVKDISNDGFVDLEQVEAEHVGSPSKKSPHGESIENGSVTVTPNSGKGSSASKKTDPDVSTDIKEFWVPVQISNVQLEQYCSMLLTNAMALSSCSKSDTVGALHDILVSNRKCCDHPYIVDQSLQGALTKDLEPAKFLEVGIKASGKLQFLDLILPEMRKRELRVLILFQPLSGSGKDSTSIGDILDDFVRQRFGEDSYERVDAVITTPSKRHAALNNFNNKEMGRFVFLLDYRACLPSIKLSSVDTVIIFDSEWNPANDLRALQRIAIDSNREQIKIFRLYTCWTLEEKILKLSEHNATIDKGLQSLSRSTCDALLMWGATYLFSKLTEFHHANTSSEDCLLNELMVEFLNLNSDKHYPAKLIITRVQQSSENLPMPNELPDGEQPHTFWKKLLAGRDPCWKYLTTSTPRQRKRPQFYESIEKTNASSDDVGRKRKKTTPVTEKGEIKEGCTVISSDGSQLSPGDSFSCDETSFRHLLKLNISELCRVLKLSEEVKKMVERFLEYVIENYQVNKEPANTVQAFLISLCWIGCALLKKKVDRKQSLFIVKKRLNFTCEEEETNSVYLKLEQAKEMFLIHTHSQKKSNEINNPHVVKVEEILGSPEDEYVTVSPDMSDVPEDQNDSHGSNNNSNSHPHSPPAPASQQKVAPPAPVQPLVSPIQDNLQTKKDAEKVDDDDQNQNQITTEAEQQQQHNEAPPQVLETTADDPNHTTTQSWPNLFNHLLEFESHNRGFGPTRMLPSSTDPLQAELEKLSELKNTVNNFYEAIKVKLKAEYEKERAEILARLNRKYDDKSHKAELAFHTKKNEIDINFNKVVRNKILADAFRSKCRDLNPFDHSQIQSVAKGGGGVYVWMWVWVWVWGCGCGCGRVCGRGRSEVGGRGGGGRGGGDSGGGGSKEGGAGGYGNGGGDSGDDGSGGGEKNIN >cds-PLY95720.1 pep primary_assembly:Lsat_Salinas_v7:2:116375777:116377038:-1 gene:gene-LSAT_2X54080 transcript:rna-gnl|WGS:NBSK|LSAT_2X54080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLSGSFSGSRVSDIEMGNAATTDGTNLEKFFGDVAEIKEELKGLENLHNQLQESNEQSKSLYNATSIKNLRTKMDNDVALSLKKAKLIKTRLEALDRSSEANRNLHGCGPGSSTDRTRISVVNGLRKQLQSFMKSFTDLRLKMASEHRETVQRRYYTVTGEKPDEGTVDNLISTGESETFLQKAIQEQGRGQVMETILEIQERHDAVTVIERNLKELHQVFMDMAVLVESQGEQLDDIESHVNRANSFVRGGAQQLQVARKTQKNTRKWTCFGILLLLIIIAIIVLSIRPWK >cds-PLY87317.1 pep primary_assembly:Lsat_Salinas_v7:8:132697932:132700421:1 gene:gene-LSAT_8X91060 transcript:rna-gnl|WGS:NBSK|LSAT_8X91060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQGKVITCKAAVAYEANKPLVIEDVEVAPPQAGEVRVQILFTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVKPGDHVIPCYQAECRECKFCKSGKTNLCGKIRGATGVGVMMNDRKSRFSVRGTPIYHFMGTSTFSQYTVVHDVSVAKIDPQAPLEKVCLLGCGVPTGLGAVWNTAKVESGSNVAIFGLGTVGLAVAEGAKAAGASRIIGVDIDNRKFDRAKNFGVTEFVNPKDHEKPIQQVLVDMTDGGVDYSFECIGNVSIMRAALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRTQVPQLVDKYMNKEIKIDEYITHNLTLDEINKAFDLLHGGDCLRCVLTL >cds-PLY85237.1 pep primary_assembly:Lsat_Salinas_v7:4:234247544:234248403:1 gene:gene-LSAT_4X127141 transcript:rna-gnl|WGS:NBSK|LSAT_4X127141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNDQPRPDYGVEVMYRFVGFDAFERSLYFSPSFDLGQTNFPPFNISRFTKSQGEKHFKCLGVSGFSLILSMSSLSRLLLQALTPRLTTYSLSHSLLGPFIDSDHPEIKKGALNRTYDDLFCLEILRRV >cds-PLY69486.1 pep primary_assembly:Lsat_Salinas_v7:6:42953029:42956333:-1 gene:gene-LSAT_6X32800 transcript:rna-gnl|WGS:NBSK|LSAT_6X32800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCKSEGMSEFFHMGKPFFAVVFIQFGFAGMCILSKLALNEGMSNYVFVVYRHAVATLVMAPFAILLDRKTRPKMTRPIFFKIMILALLEPVIDQNLYFMGLKATTATFVAAMSNVLPAITFVMACILRVEKLNLKSIRSQAKVLGTMTTVAGAMVMTLIKGPILELFWTKGRTYHEVATSGVDLHNSLKGTFMITLGCVSWSGFMILQSITLKSYPSEISLTAWICLMGTIEGGILALIMERGNPTAWAIKWDTTLMATVYTGIVCSGLAYYIQGLVMKVKGPIFMTAFYPLCMVIVAVMGSIILAEQMYLGRVIGAIVIVAGLYLVVWGLSKDNKLPSVPIDEKIIALEEQMPDKECEESLYQKVIITV >cds-PLY78536.1 pep primary_assembly:Lsat_Salinas_v7:1:102271684:102273447:-1 gene:gene-LSAT_1X84420 transcript:rna-gnl|WGS:NBSK|LSAT_1X84420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPLISGNLTSPALASNIRSSDLRVTTSIAQIYKPYVFLSEMDAHFSACGRYLAPKYIYIAGFFLTVSPEAIQLVVEHATATNKVFTINLSTPFICEFSKDAQEKALPYVDYVFGNETETRTFSKVIGWETDNVEEIAIG >cds-PLY62341.1 pep primary_assembly:Lsat_Salinas_v7:4:87243372:87243956:1 gene:gene-LSAT_4X58161 transcript:rna-gnl|WGS:NBSK|LSAT_4X58161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGKVTKDENDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFAVGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWTFVALHGAFGLIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSXKKNENFILFFTSRITSRITS >cds-PLY87207.1 pep primary_assembly:Lsat_Salinas_v7:4:308556374:308559301:-1 gene:gene-LSAT_4X155160 transcript:rna-gnl|WGS:NBSK|LSAT_4X155160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G66670) UniProtKB/Swiss-Prot;Acc:Q9SXJ6] MEKGCVTAAFTTKPAALLPQSFFTQNPNTHLSLLHTQSNSRRRSNSRPSLSIKASSSFKTQTLSSNWDVPTSNYSASAPAWMPKFEELDTTNMLLRQRIVFLGSQVDDMSADFIISQLLFLDAEDDKKDIRLFINSPGGSVTAGMGIYDAMKLCKADISTICVGLAASMGAFLLACGTKGKRFCMPNSRVMIHQPLGKAGGNATDMSIRIREMVYHKVKVNKIISRVSGTPYEKVEADTDRDYFMNAWEAKAYGLVDEVIDDGKPGLVAPIADTNPPPKTRVWDLWKVEGSRKALKNMPSEDKMLQNGHVSGGEDGDE >cds-PLY92419.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:2514557:2515318:-1 gene:gene-LSAT_0X16980 transcript:rna-gnl|WGS:NBSK|LSAT_0X16980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGKNAGAVPLTPSKSKHSNKSKLPKNINPNVTSPNLKALNSPLLKSATKVHKSAMKKPNHISSPSPKNKIRESRFVVAKKNSKRDNDKTPISIDCKCKASGNSEKCLCVAYDTLKASQEGFFNRSEVSVQEENPSLPVGLQGKSEEDDVFEMSGDKMRKEKLLDEARKTIPKPGSGRVLHLVKAFENILTLPNSNEDELGDQTNNNLERRFFFTSFNPSDLLLTTENLWLCSSLDGSHGRLVDHHLKTLL >cds-PLY86076.1 pep primary_assembly:Lsat_Salinas_v7:7:140166868:140167468:-1 gene:gene-LSAT_7X84161 transcript:rna-gnl|WGS:NBSK|LSAT_7X84161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIQIRRIDNSASRQVTFSKRSRGLLKKAKELAILCDAEVGLVIFSNTGRLHEYSSSRFC >cds-PLY65019.1 pep primary_assembly:Lsat_Salinas_v7:1:116292458:116294230:1 gene:gene-LSAT_1X86361 transcript:rna-gnl|WGS:NBSK|LSAT_1X86361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQLIHLKIPLQVIKNCTQDFNETNFIGKGGYGRVYKGTLTWGDHENHLVAVKRLDVTGFQGNKEFLTEVTMLSQYQHENIVTLIRLCDDNKEMILVYEYTSHGSLDTYLHDTTLSQGLSWPQILKICIGIASALDYLHNQVAEKHRIIHRDIKSANVLLDKNWNAKLSDFGLAKIGLANQQNTFVTTNHAGTHAIAYQCLEETREKRPKIAEVASQLKDAARMIQVLDPVEKIELLVDEREDLQSKVSDFEWFKHERHFFSFIQ >cds-PLY91464.1 pep primary_assembly:Lsat_Salinas_v7:MU039433.1:348312:349652:-1 gene:gene-LSAT_0X26201 transcript:rna-gnl|WGS:NBSK|LSAT_0X26201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE329 [Source:Projected from Arabidopsis thaliana (AT3G55250) UniProtKB/TrEMBL;Acc:A0A178VJJ9] MVVITSITTTTNTSLTHIYTLTCNPSTTSLRYFRHLPKTANSTISRSSNGVVVIKSYMEDSNTISGFANKVIGALPVIGLVARILTDTGGVGGDFIDFAEFRRRVGRNASVNDSRAFIDFQDRRGKAGDPLYVLMCCWLAALGAGLLKSEEILEGVTRLRISNDIEFEEETFIAMMNEARERRLKSKVPAPTIPMEARAEKALDAIYVCCFGRDVIDEEDERQLRIMLKVVFPSVGQNEIDRIVKAKAKKVAEGGEEDRFPEPKPLSKEAVQLQMKDLQFLQQNNDS >cds-PLY85100.1 pep primary_assembly:Lsat_Salinas_v7:1:45675429:45679724:1 gene:gene-LSAT_1X39821 transcript:rna-gnl|WGS:NBSK|LSAT_1X39821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEARFGEKSHHYYGPVPVVSDLKAVGKRTMEWDLNDWKWDADLFTATPSDYSSRQLFPVTTSELQTNTGASNSSSSCSVEINLQNQKGKRRVLVLDEEEVCGEAGALNLKLGAGGQVYPITEDEAERWEGKTGKKTKVAGTTSNRAVCQVDDCRTDLSNAKDYHRRHKVCDLHSKATKALVLNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNRRRRKSTHPENTVNGVSLNDEHGSSYLLISLLRILTNIHSNTSDQRSEQDLVTHLLKNLGNINASNLSRLITGSQNFTNASTSIPTLEKSEKICLYEASQPLEYETMENGGALQITDPSNNIIPFPPKSSPMEDTVGVGRMKFNTIDLNNAYDDSQDCMETFDTFENTDNVPMWLRGGDPHKSSPPQTSGSTATQSPSSSSGEAQSRTDRIVFKLFGKDPNELPVLLRNQILDWLSQSPSDIEGYIKPGCIILSVYLRMDNSSWDELCYDLSSNLRKLLEASSDSFWRTGWIYTRVLDHVAFACDGQIVLNTSLPHNKNSTILSVKPIAVSFSETAQFSVKGFSFSWSTSRLTCALEGSYLIQTNCSDVIDGGDSSIKQEQDHHLQSLTFSCSIPNIHGRGFIEIEDDSLSSSFFPFIVAEEDVCSEIRTLETDIDDSKLEARNQALEFIHEMGWLLHRSQLKSRLSSSMDPNSDLFSFDRFRWLIEFSVDHDWCRVVNKLLTLIFKGITVINHTSVETALLDIGLLHRAVRRNSRPMVEFLLNFRVPGPVLFKPDAVGPAGLTPLHIAAGKDGSEDVLDALTNDPQMVGVEAWRRSHDSSGLTPYDYASLRGHYPYIHLVQRKMNKNKGHVVVDIPVKTKVKEGAELSLSFKGFEMEKALVFKGRCNECEGKLGYYGGVRSSLAIYKPAMLSMVAIAAVCVCVALLFKSSPQVMFVFQPFIWERLKYGAS >cds-PLY79445.1 pep primary_assembly:Lsat_Salinas_v7:9:100482869:100485348:-1 gene:gene-LSAT_9X73621 transcript:rna-gnl|WGS:NBSK|LSAT_9X73621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLELLENMNAQVGLTSPWWIGVDVCHLNLHKTFCIPHGGGGPEMGPIGVKKHLAPYLPSHPVSKPLGTVSAAPWGSTLILPISYTYIAMMGSQGLTDAYSIAILNANYMAKRLESHYPILFRGVSGTVAHEFIVDLRPLKTSAGIEPEDVAKRLIDYRFYGLTMSCSIPGTLMIEPTESESKAELDRFCDALISIRQEISEIEKGTVNINNNVIKGAPHPPQLLMADKWTKPYSIEYAAYPAPWLRAAKFWPTTCSSLSHA >cds-PLY73310.1 pep primary_assembly:Lsat_Salinas_v7:7:195257942:195259383:1 gene:gene-LSAT_7X114101 transcript:rna-gnl|WGS:NBSK|LSAT_7X114101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMPAMELDSEAFVEVDPSGRYGRYSELLGSGAVKRVYRAFDQEEGIEVAWNQVKLRNFCHDQSMMERLYSEVKLLTSLKNNNIITLFREWRDTERNTLNFITEVCTSGNLRDYRKKHKQVSMQALKKWSWQILKGLDYLHRHEPCIIHRDLNCSNVFINGNIGQVKIGDLGLAAIVGKSHCAHSILGTPEFMAPELYEEDYTELVDIYSFGMCLLEMVTLELPYSECDNIARIYKKVTSGIRPDAMNKLKDPEVKAFIEKCLAHPRDRPSAADLLHDSFFHGISHDDDDEN >cds-PLY88557.1 pep primary_assembly:Lsat_Salinas_v7:7:9032378:9033882:-1 gene:gene-LSAT_7X6160 transcript:rna-gnl|WGS:NBSK|LSAT_7X6160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPFILSLPLLLPSKETNPLVFDASILQNETNIPAQFVWPDHEKPRLEPPSPPLYVPPIDLKAFISGEPLATSNASRLVHSACQEHGFFQVYNHGVDSNLINDVHRIMNLFFGMPLSEKQKAERKFGEYCGYASSFTNRFSSKLPWKETLSFRYSADPKCLNIVHDYFLNVMGEDFSEFGRVCQEYSKAMSKLALDIMELLGMSLGIDPSCFRDFYEENDSIMRLNYYPPCKKPDQTLGTGPHCDPTSLTILHQDNVGGLEVFVDEKWHSIAPCSDAFVVNIGDTFMALSNGLYKSCLHRAVVNSNTPRKSLAFFLSPKMDKVVRSPKALVKYNNQRMYPDFTWSTFLEFTQKHYRADMKTLDAFSSWLQMEKK >cds-PLY82567.1 pep primary_assembly:Lsat_Salinas_v7:2:186227754:186227954:-1 gene:gene-LSAT_2X106580 transcript:rna-gnl|WGS:NBSK|LSAT_2X106580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVLILVTMLVCSSLVVQGRTQKNMVVHPDSYVDNHHNIPRQNYNSQGGSTGGDAGGDTDNGSG >cds-PLY78072.1 pep primary_assembly:Lsat_Salinas_v7:3:255831658:255832869:-1 gene:gene-LSAT_3X140280 transcript:rna-gnl|WGS:NBSK|LSAT_3X140280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNGSGTKYISVFKPIDEEPMAVNNPRGLPLSVNGEGLKKGTTVGEGALREVAAYLLDHPKSGRRSFSGEHKGFSGVPPTLLARCMHAGFNHPDGVKEKIGSLQMFMENSGSCEDMGPGAFPVEEVHKISVLDIRMANADRHAGNILVTKGEDGQFVLIPIDHGYCLPHSFEDCTFDWLYWPQARKPFSSETIDYIKSLDAEEDIALLNFYGWNLPLECAQTLRISTMLLKKGVERGLTPFAIGSIMCRENLNKKSVIEEIVEEADDCVLPESSEAAFMETVSEIMDRRLGV >cds-PLY65877.1 pep primary_assembly:Lsat_Salinas_v7:4:85611667:85613301:1 gene:gene-LSAT_4X56921 transcript:rna-gnl|WGS:NBSK|LSAT_4X56921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFNSIHHLFPKLSSPCSHPLFSIIVTLYTLILLYIPSFFISIALSPVLNSTGIILISLLRLGVNNLEKESRVLDSSDNERLAKESNSLESRVLDCNDNHSNLISYSKFAPESKSLESRLIDFLAQNQTHAEFDPESGLDLECNETHVEFEHNTRLESNSMESVQAIEEGGPTYEPKSVLDLECNETYVKFQHDMVLESNSIESSQVIKQGPKYEPKSGLGLECNETHVKYEHDMGLESNSMESTQPIVERGSTYEPKSGLELECNETHVEFEHDMGLESNSMESLQAIEEEGPTYKPKSGLDLECNETHVEFEHEMVFESNSIESSHVIEGGPTYEPKSSLDLECNETHVKYEHDMGLEFTSTESPQAVEGGPKIMETGGKQIDTTMAFLEWNMRAPLEIIYEAYEGEEEDDEESNENHEVSNDKHDVGYERYPSLLMYYPDSETDSSSDGDFPMNEKWETHESVFFKWEDEEEEREELIEISLDHYGKRSIECCHVEEDNLIEIDLFGTRS >cds-PLY87897.1 pep primary_assembly:Lsat_Salinas_v7:MU040310.1:613226:616078:1 gene:gene-LSAT_5X1660 transcript:rna-gnl|WGS:NBSK|LSAT_5X1660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLMP1 [Source:Projected from Arabidopsis thaliana (AT1G62390) UniProtKB/Swiss-Prot;Acc:O48802] MGKSGGRKKKGGVVNQNQNPSQTESQVSGVNTKPSSITPNGGVGIDLDPTIFLKRAHELKEEGNKRFQSRDFVGALEQYENALKLTPKTHPDRAVYHSNKAACLMQMKPIDYKTVISECSMALQVQPQYVRALLRRARAFEAVGKYEMAMLDVQTLLGAEPNHRDALEIARRLRAPIIGARQEAQQDLHSRPSPAALGASAIRGAPIAGLGPCLPARPVPKKTGTAPSVPVSVPNNKTYPVPPIEIDPEVKTTQMPKLVLKPSNGGSNKPTANGDKDSQKEKVVLSSKLQALEVAIQWRPLKLVYDHDIRLAQMPVNCNFKVLREIVSKRFPSSKSVLIKYKDDDGDLVTITCTRELRLAESIADGLVLPDKEPDTEKFDLFGVLKLHIVEVTPEQEPPLLEEVEEEEVEEEKPVEIESIEVENNGSHSNSESEQKPEKEAEKIPDDPELKEVEMDDWLFEFAQLFRTHVGIDPDAHIDLHEIGMELCSEALEETVTSEEAQTLFDKAASKFQEVAALAFFNWGNVHMCAARKRIPIDDSGDQETVSTRLQAAYEWVKEKYTLAREKYEEALMIKPDFYEGLLALGQQQFEMAKLYWSFVVAKKDDLSKWDPTETIKLFDSAEEKMKSATEMWEKLEEQRAKEAKDPNFISKKEESLKKKSKSGGEEKVNSDEAAEQAAVMRSQIHLFWGNMLFERSQVECKLGLTGWKENLDTAVDRFKLAGASEVDIATVLKNHCSNAETEDKKVKDLTSDVADQS >cds-PLY98098.1 pep primary_assembly:Lsat_Salinas_v7:MU038094.1:80985:82003:1 gene:gene-LSAT_0X22661 transcript:rna-gnl|WGS:NBSK|LSAT_0X22661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLFNGTLPLAGRDQETTAHVGLIVFWDGAMNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGPVLGFGGIYHALLGPKTLEESFPFFGIHLSLLGIGAFLLVFKALYFGGVYDTWAPGGGDVRKITNLTLNASIIFGYLLKSPFGGEGWIVSVDNLEDIIGGHYILTKPFAWARQALVWSGEAYLSYSLAPISIFGFISCCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGQNQSNKETNFDSNPR >cds-PLY86636.1 pep primary_assembly:Lsat_Salinas_v7:1:3618733:3620880:1 gene:gene-LSAT_1X2780 transcript:rna-gnl|WGS:NBSK|LSAT_1X2780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTISRFSGAIPKSSSKPINQSVFLNPIPKTYFLKNKKSAWSLHGRSCRSLQYLSMSINLQTATFVRQSNANPPPEPPLPSGSPSGSLRNWVVGLVLTFILPFFTHKWGSWLLYKNQVDQKLERTEQVVKTVESVAVKLDKFIDGITDNLPEGNKLRKALEFADEIAEGIAKTAHVADDIINKVEEAEDKLESLIHQENSKEEKEIPQDKEDHEITSQKENDNK >cds-PLY96113.1 pep primary_assembly:Lsat_Salinas_v7:3:100533153:100537957:1 gene:gene-LSAT_3X73741 transcript:rna-gnl|WGS:NBSK|LSAT_3X73741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRALLGFVGSLLMINICNGLLTSRINRMTSNSSSYLTKEALWLNQTLDHFSPYDDRKFQQRYYEYLDEFRIPDGPIFLVICGESECRGITNDYMGILAKKFGAAVVTLEHRYYGKSSPFKTFTTENLKFLSSKQALFDLAAFRQFYQASYLWESLNLKLNRTNIENPWFVFGISYAGALSAWFRLKFPHLTCGSLASSGVVHPILDYYQYDQQMGESAGPECKAILQEVTQLVERRLPSDGKSLKAKFGAAALHIDADFMYFLADAAAVVFQYGNPDKLCTPMTEAKKANEDLVDAYAKYVNEYYIGTFGVDIEGYTQEYLKKPESTDRLWYHLDLCKNVFGEGVYPEVDATNLYYGGTNIAGSKIVFTNGSQDPWRHASKQISSPNMPAYIVKCDNCGHGSDLRGCPQSPLVLEDGAEKRFDDVDFVGMDKNEFVEFLERFVVEGCVNVYFFMPNIDFPDGLRIMSTDMDYMELIEVGYASDCVIDVYMDHIGVNVHQWILEEQAEVCSSLDQLSGANEDHEEVHSRMDTDDGINMQDLHGGRDDIQGAREHLQGEQDDRTLNLMSAFLRTRQKIMSF >cds-PLY86515.1 pep primary_assembly:Lsat_Salinas_v7:8:134502229:134503422:1 gene:gene-LSAT_8X91140 transcript:rna-gnl|WGS:NBSK|LSAT_8X91140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFKSIHKLVYSSDHRSIKNHQNSTFQPPSFPATTATGFPLLAIAIACITAITFLLLCYFLITKCCYPLIQFMTSRTTTSEEPPSVYSTPAWQITGLDESLIRQIPVCQYSKRDNVNKRLHKCVVCLNEFQDLDTLRVLPSCNHGFHLHCIDIWLRNNPNCPICRFNISGTTRCLTDTIGPTSSPQDPESSPTNSNEDFVTIELGEGANESRKPHFERIMETKDEQLAIQPLRRSFSMDSAVDRDIYLSVQDIIRNHEEATRTKRPFFSFGHVRGSRSAILPLEF >cds-PLY82564.1 pep primary_assembly:Lsat_Salinas_v7:2:187942619:187944620:1 gene:gene-LSAT_2X109580 transcript:rna-gnl|WGS:NBSK|LSAT_2X109580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKTRAVTLEVPQRTPKTARQLKTSGAEPENSSTQSPVTRTPKPRSPKVSERRSPRTPTSEKKRPGRVAELETQLANLQEELKKAKDQLSQSEASKKRANEDADVAKKELADMSEKLQDSQQQLDEISASEESRLQELRKISQDRDRAWESELEAVQKHHSMDSAALASAMNEIQKLKIQLEKLSESEANQAKYAESAHNDVLALRLELSETLAVVEELKTQLNDSKDSEAKALEIVSQTQEQLEAVKSTEEAMRSEKVKAMEACDSLTTELEKLKAESHGDAQVDDEEESEVAKLRLALEGAEKRYQEEYIQTTLQIRSAYELVEQSRSESCEKVQILEANLEKSKAELEDLKAKLIEKEEKLQNVSEENKNLNEKLKLTTDENKNTEDDNADVVELKRLEADLEDLKRVMIEKETELKNITEENKRLMMEKDQVAEGEKEAVMKLGYLTEEAEKSGRKVVRVTEQLDLAQAANAEMEAELRRLKVQSDQWRKAAEAAAAMLSGGNNGKFVERTGSLDSHTIGGKLNSPFSEDMEDESPKKKNGNMLKKIGVLLKKSQK >cds-PLY63628.1 pep primary_assembly:Lsat_Salinas_v7:4:131391772:131395621:-1 gene:gene-LSAT_4X82521 transcript:rna-gnl|WGS:NBSK|LSAT_4X82521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1767) [Source:Projected from Arabidopsis thaliana (AT5G63540) TAIR;Acc:AT5G63540] MSSRRRLRIICSSDEEDDDEEIQEVQPPPQQHDDIECETLNLQDVTLSSINSNPTNPSTSIQVEISDEDFIDAAEDLSPSPQSPPPPPPPPSNQTGYSSEYSRTSSAGTSEASGCPIGKILEDLGLRLRREWLDACLRGLQTNVPGFSSFDATKKAKLCFEKFLHSDMNICGAGFLPDNVHQMHLVDLPGPYVLQVDEIVNISQPLRERYKKTPSGLKRCLKLSMTDGVQRIFGMEYQPIKDLDALSPAGLKVAISNVNVRHGLLMLVPEVFQVLGGLVEELDAARQRLVNEVNKPPRGKRTRTGVAASLATRATRAAWSTDNVHASANTVSQSATPIHVNNQGTTTPSVNGRVGSNSSVPVHREHVSASSNNHVFQNATPNPIQVDDQGTTTTRPSVNGNMSSSSSVDREFVFRASNNHTSQNDATTPIQVDKQEQSNISVSENATLIHVDDEGTTTPLVNGRVQVESSSVDFSTRVTEETISTVDFSNERETHSTAYACGINTENPFTYMATLSRKWDESKHNASHVQGKIKCFLTGVKGFQFKESSTYKLQVYIDDGSLISEILIDHNVVQRKIGYSPEEVNAALSSRDSTRVHDMKNKMKQFQVFLVNFEGTMVVRINEACGLPVAIEMQQGCCLSEAWSLLTRLKSTSNTHQNHLHFHPIHLSP >cds-PLY79976.1 pep primary_assembly:Lsat_Salinas_v7:9:45309051:45311243:1 gene:gene-LSAT_9X41180 transcript:rna-gnl|WGS:NBSK|LSAT_9X41180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMVAAAEVESIGVDLTPPLSPLSYSLHDSFLLSHCASCFSPLPPDPHPSLHPLLYCSPSCSSSHSPLHLSSASHHLHLHLSQNPYDGQTSDLRAALLLLLQIKRHHPFKQYDRICGLMSNRRHLLMSNHDDEFSTRIKNGARAMAAATMMRDGVSDSNLSEEYLLEEAVLCVVVTNAVEVQDRVGLSVGIAVYDISFSWINHSCSPNACYLFLPPESHGSGQRFLITPASSNGRQPIELSPNSQFLTESTEIHGGPRVVIRNIKPIKKGAQITIAYTDLLQPKELRQLDLWSKYRFTCCCNRCIAVPPTHVDQCLQTLTTASSNDVGIEKLTEYINDAIDEYLSSNDAESCCKKLENILLNGFKFNYEDLIIRLHPQNHLSLNAYTTLASAYKVRSMDENLLHSLKMKRFSAAYSLLLAVVTHKLFLYESCFIASVSNFWIGAGESMLDLARNIMSDCDSELQSFKCLKCGLIDVFEAEFDNGQSPRRVLDISNKFINCITDIMPKVWKFLVHGNSYLEVVKDPIDLLMNVKEIKGGEERVELLWVGVHCLLYGGVLMNIFGGKDSHLSCYIRGLVYGGDAKACGE >cds-PLY79124.1 pep primary_assembly:Lsat_Salinas_v7:2:17075920:17083469:1 gene:gene-LSAT_2X9861 transcript:rna-gnl|WGS:NBSK|LSAT_2X9861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISHGGIKDTSILVCVSFMSNPGHPFAIGLTLAKLAAFTVDEKGNETFDTSGALDKLRKSLQLERLAMYHDSSQPPWMVDKKWEDLSPKDWVELYAGILQQTMDSDDPEARSIERDLDPKVILLWSFGTILKSRPIRAAHCLTSIQFSPTSEHILLAYGRHHSSPLKSIVINGETSLSIYTVLEVYRVSDMELVSALPSAEDEVNVACFHPLAGGGLVYGTKEGKIRIPQHNGGHAPKPDHFFEARAVEVQQLLYL >cds-PLY61863.1 pep primary_assembly:Lsat_Salinas_v7:6:60673407:60677684:-1 gene:gene-LSAT_6X43640 transcript:rna-gnl|WGS:NBSK|LSAT_6X43640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQSQSDNYRSSSSSASSPITKLPSSHFYYLRKPGSLRNPISFEDSPDWDDTDVELKVEEVRDTINAATTTASPSPSKLNSRSTSSSSPPPPSPPPPVARKISGASIVWKDLTVTIKGKRKYSDRVIKSSNGFALPGTITVIMGPAKSGKSTLLKALSGRLDDSAKVYGEVFVNGAKSSLHYGSYGFVGRKNTLIGSLTVREYLYYSALLQLPGFLCEKKSIVEEAILAMSLNEHANKLIGSHCCTKGLSKGEKRRITIARELITRPHLLFIDEPLYHLDSVSALLMMVTLKKLASNGLTLIFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIISVCKNWQDDNGDLSSVNMDTAIAIRTLEETYRLSADVASVETMILRLTEREGPLLKSKGKASSVARIAVLTWRSFLIMSRELKYYWLRLILCMMLSLCIGTMFSGLGHSLLSVEMRVAAIFLFISFTSLLSVVGVPMQLKEVKVYACEDSNGHSGGFVFLMGQLLASIPFLFLISISSSLVFYFLVELRSEFSHLMYFVLNFFMCLLVNEGLMLVVVTILQDTFSSISTLVFIHIVMMLSAGYFRIRSALPRLVWMYPLSYITFHTFSIQGILENEYSGVSFAVGEMRTVSGYQALENVYDVSPNEHSKWKNLYILSFMAICYRVLVFLLLHICVKKNVSLFRFVCCKMKINVAQ >cds-PLY85211.1 pep primary_assembly:Lsat_Salinas_v7:8:182684337:182685086:-1 gene:gene-LSAT_8X119060 transcript:rna-gnl|WGS:NBSK|LSAT_8X119060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKTPPSFPSKVTHTIDQEQPQVSTTEDVQAFGAPDRNPMAADIYGQPMSYGGFVHGQQNSLNQFQGGSVSRGLDDSGGGWYSNDAQPPSVGAIRPGVISHPSGSNQIYSYGLPPSFNDFDRRETSQTGTSTSGVVPEAYEFAGMSLTGNNFRRFHPDAFNKDKYGK >cds-PLY83124.1 pep primary_assembly:Lsat_Salinas_v7:4:349714032:349716051:1 gene:gene-LSAT_0X22420 transcript:rna-gnl|WGS:NBSK|LSAT_0X22420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPIPVEIIRKRHTTPIKKGSNDEEEDDDFDDDSEGFSGGGLLIQSAMQHGSMNMVTGAQNVNGVAVSGPEEYLGNSRFSY >cds-PLY93184.1 pep primary_assembly:Lsat_Salinas_v7:5:199998814:200001017:1 gene:gene-LSAT_5X89980 transcript:rna-gnl|WGS:NBSK|LSAT_5X89980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRRKLSSLLSNSVRNASVYTKSTSHFPITKLLHNPIHHSNLIQKPVNSFGVHSIRAYNLLSLNDLRDNKGATQQKTRKGRGIGSGKGKTAGRGHKGQKARGTHKFGFEGGQTPLRRRMPKRGFKNPFSLEFQPVGLGKIARLINAGKIDSSELITMKTLKDTGAIGKQIRDGVRLMGRGAEHIQWPIHLEVSRVTVRAKEAVEAAGGSVRKVYYNKLGFRALLKPEWFEKKGRLIPKAARPPPKQMDKVDSIGRLPAPTKPIPFTEEDDTQAAMSA >cds-PLY75669.1 pep primary_assembly:Lsat_Salinas_v7:1:100707672:100709176:-1 gene:gene-LSAT_1X81901 transcript:rna-gnl|WGS:NBSK|LSAT_1X81901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHNRQSDLKPNHPSSSDDEEEEEEEEEVSGSEDEQTSDSGSEEASDSDSEPKQKQEPKKPLIPPRQLATKPQPPDLSSSETEESGSDTDSDSQPKKSMVADPNIKPISSKPMEREDVANVESKSAKKSGSKASSVPSPAKAKRPAPPPVVEKDTKKAKKSTTTTDDDSKKQLFQRLWSEDDEIVILKGMIDYKTEKNGENPVADMGAFHEFIKKSLHVDVSRAQLVDKVRRLKKKYVNNASREKDGKDRSFSKSHEQKGYELSKLIWGSSNSPNTGTESKKTQPQKNGGAKGNANANPSTSSVVALEKTNGVDEKEGLTMEAEKNMDVSRFVQYGRMSYSPVMEEEIMKAGLELVEGSKKEELKEKWNKLKMQELQLYVQRMDLIKEQAAVVFEAMNSAGN >cds-PLY61724.1 pep primary_assembly:Lsat_Salinas_v7:5:214192751:214192906:1 gene:gene-LSAT_5X98280 transcript:rna-gnl|WGS:NBSK|LSAT_5X98280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTKSIRGWLGRSHANMEKNDSGYYYTPAARFEGDGDDDDGDYDYAPAA >cds-PLY71592.1 pep primary_assembly:Lsat_Salinas_v7:1:115997632:115999894:1 gene:gene-LSAT_1X87940 transcript:rna-gnl|WGS:NBSK|LSAT_1X87940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPFHTLISLDQKQRENPSHHLLSFNDHHHSKKNSASPLVFVAFHLTLSAVSNLQLLPCANSSQQRTPKTASLMEKRLSKESFTFVEERGINTRQCEADVPVVCRSNSGEKRRREAAVMVVYHSNGSVGGQIAGG >cds-PLY80401.1 pep primary_assembly:Lsat_Salinas_v7:3:80657220:80669311:-1 gene:gene-LSAT_3X62861 transcript:rna-gnl|WGS:NBSK|LSAT_3X62861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVICWCTTEIIRYSFFGLKEGFSYAPFWLLWLRYSTFLVLYPCGIASEVSLIYNTLPFIKESGCCSVRMPNKWNFSFDYFYCAIMVLGVYVPGIPHLYGYMLRQRKKTLSI >cds-PLY78866.1 pep primary_assembly:Lsat_Salinas_v7:5:304561240:304562880:1 gene:gene-LSAT_5X165300 transcript:rna-gnl|WGS:NBSK|LSAT_5X165300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-3-methyladenine glycosylase [Source:Projected from Arabidopsis thaliana (AT3G12040) UniProtKB/Swiss-Prot;Acc:Q39147] MKITQRFNRVLKPLKPVDCSTKDPKPSILKIKPNLKPKSKTKPRTIKQKALTQPGLHSKESKPTFLLEKSPILGPEFYQIDALDLAPRLLGKYLRRDDVVLQITEVEAYRSNDSACHGRVGITPRTAPIFGAGGHAYVYLCYGLHTMLNVVADKEGIGSAVLIRSCAPVTGLETIKQRRGLDTEKPILLTGPGKVGQALGISKEWSGHALFASGDLELLDGPEVKVEEMVVGPRVGIDYALPEDVEALWRFAVAGSPWISAPKNTLRPPSSSTKLLDITV >cds-PLY94243.1 pep primary_assembly:Lsat_Salinas_v7:8:69500253:69506063:1 gene:gene-LSAT_8X50541 transcript:rna-gnl|WGS:NBSK|LSAT_8X50541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPSGPAFSSAAKSWKYDVFLSFRGEDTRKTFVDHLYSALEEQGIYSYKDDITLARGESISPSLLQAIEESQIAIIVFSKNYANSTWCLDELTHIIKCRNEIGQTVMPIFYDVDPSEVRKQKRKYKEAFDTHEVENKNRIKSWGQAFVDDPWGWLSAPLEQNRKYREAAAKQELEHKTRVESWRKALVEASNISGWETTQIANGHESQGIKQIVVEISQKLYPLTSKADENLIGMAVRGQSLKSELQIESGGVLMIGIWGVGGGGKTTLASSVYREISSKFNGCCFIKNIREESSRYGLEKLQKKILSEMKVSKVGGGRSLIDNRLRRRKVLIVLDDVDHLDQLKALAGSHDWFGEGSRIIITTRDVHLLNAHRVDVMHNISLLNNDEAMKLFCKLSPQGNRPKEDYERLSKEVVSYVGGLPLALSVLGPFLCDKDIDEWKSALARLKDIPNDDIVGKLKLSFDGLTKVEKELFLDIACFFRWENKEKSMEILDACGFHPVIGVKVLIQKALITISKDGEFDMHDLVQEMGHHIVRGEHPNNPEKHTRVWKEEDAITICSMDATTELDMIEAVGLRFFVFPLEDKLPLVANGKNLRWIQWQCDIAIHLLSNFPQRTLCCLILGRGLQKQLWEGNKFLPNLKIIELWSFHNLIVTPDFCGLPNLERFILTRCFYLEEIHPSIGRLERLVFLRIAHCPRLEMFPPITQPKKLKTLEFSSCPKLFNISEIQKQNIDNLGNLDLDNSGKEVESSMECCLEEPCLPRNNMKPCLHDNLNHIGLQFFFKDIRKLDLSFCSLGDEEIFSADWELPNLEELNLRGNDFSLLSFSRLKVPRLKLLNVSYCQGLVELSELPSSIAVILADSCTSLETCGDVSNCKWLWNVSLLFGNSCGGDILLHSMLQGNAIQDHFIIVILESQIPKEFESRLFRRNTFTLHFPDDWYSDFCGFLICVVTEHISPKINILIKQGVINYYPFWLMSNEVEPESDGMRTFVGYVSFGSLRHTAFSNSSYTVISVYTNIDSYVVAKLVPRKSKAQVQTTKFATDFSEFYEQELNYGEPSFTIQDGPNSSINIIWQTPQSSRA >cds-PLY86969.1 pep primary_assembly:Lsat_Salinas_v7:5:257448715:257449595:1 gene:gene-LSAT_5X132660 transcript:rna-gnl|WGS:NBSK|LSAT_5X132660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTTLSSASEKENSSYDEVKFLMDQFVESHANTSHDNIGILNADSSHTADNLKLEWDDASTYKCLVSNSEPFD >cds-PLY94063.1 pep primary_assembly:Lsat_Salinas_v7:4:171374835:171376066:1 gene:gene-LSAT_4X101160 transcript:rna-gnl|WGS:NBSK|LSAT_4X101160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTIQPMNAYGISSFSHSLCSNFKNTINTSFAIQRPSTKCQSHSKSKIRAMATSEAPSSQEPPCVNFAFVSSVLLPDGTPDVHFRSATGGQKLRDIMLDSHIELYGPYSRPLLNCAGGGTCGTCMVEVIEGKELLTPRTDKEKEKLNRNPKNWRLACQTTVGKPDSTGLVVIQQLPEWKGHEWTLGKEPPPES >cds-PLY64628.1 pep primary_assembly:Lsat_Salinas_v7:6:36572397:36573848:-1 gene:gene-LSAT_6X28941 transcript:rna-gnl|WGS:NBSK|LSAT_6X28941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASESTYELHFLLIPFLAPGHTIPMIDMAKLLAQQPNITVTVVTTPLNAVRYSPILNKPGLPVGFLQLPFPSTEVGLPEGCESLDALPTPDLALKFSAAVDMLQWKLEQNFHTLEPRPSCIISDKYLAWTADTAAKYQIPRITFDGMSCFKQLCAHSLYASKAFDGLPESEKFVVPGLPDRIELTRAQLPVEFNPSSRTSSERLELVRKTDLGSYGMVINSFEELEQEYVNEYKKVKEGKVWCIGPLSLCNDSEKDKLQRGKSCTISEQQCLEWLDLLPRGSTIYACLGSVSHVTPLQLIELGLGLEASNHPFIWVIRDNEVEKWVIESGFEERIKDRGFLIRGWAPQVLILSHPSVGGFLTHCGWNSILEGICGGVAMITWPQFADQFLNEKLVVEVLGVGVGVGAEGVVHWGEEEKFGVKVKSEDVKKSILKVMDDGIEGIERRKKVKELKKIANRVMEEGGSSYMNLRLLIQDIREHIKL >cds-PLY77185.1 pep primary_assembly:Lsat_Salinas_v7:8:25870802:25874929:-1 gene:gene-LSAT_8X19881 transcript:rna-gnl|WGS:NBSK|LSAT_8X19881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDHIHPILPFFFIPFFFLLSNSAALNTISVNEAIKDGNTIVSDGEMFELGFFSPRNSKNRYLGIWYKKISTGTVVWVANRETPITDNSGEFKLRSSGGPVIHSGGTTVIWSSNYTVSSTNLDPVAHLLDTGNLIVCVNRKLIWQSFDYPGDTLLPGMKIGKDLVTGLERCLTSWKGPEDPTPGHYVYSLDTNGYPQMLQKHGSSLNFRIGPWNGVRFHGLPIEDPNQFYSSEFVISETEIYYKFKLKSPVFERIVLMSDGNTVQLHWTDRIQDWVHFGDAVVDACGRYGVCGPYGVCRMKEYPPCRCMEGFGPTVQEDWKAADWSRGCRLKKPLDCESGKSDDGFKKVAGLKFPDTRGSWYNKSMTLEECEMTCKRDCNCTAYANLDVRNGGSGCLLWFGDLMDIREYDEDHDIYIKMAASELAGFLNSSTNKKKGALIIILSISSGMLLLSAVVYVCAIKTKRPHMKKRGNWEYDSEKNSTGFSTEDLDELPFFSLNSIAKATNGFSINNKIGEGGFGPVYKGVLEDGQEVAVKRLSKTSHQGVDEFKNEVFYIAKLQHRNLVKLLGYCIHGNEMILIYEYMVNGSLDSFLFDETKHSMLDWPHRFGIIHGMARGILYLHQDSRLQIIHRDLKAGNILLDEDMNPKISDFGLARKFVGFDTSAKTKKVVGTYGYISPEYAVHGRFSTKSDVFSFGVLVLEIVSGRKNQGFSHKDHSDNLLGHTWRLFKENKSIELMNASLRDSCVMPEVLRSIHVGLLCVQHNVEDRPTMLSVVLMLISEGVLPQPKQPAFFTGESYPEHYVKSSDEYMITLPYAR >cds-PLY83993.1 pep primary_assembly:Lsat_Salinas_v7:8:36761706:36762294:1 gene:gene-LSAT_8X29260 transcript:rna-gnl|WGS:NBSK|LSAT_8X29260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRAKLIKHTYLLRLCSTSLHSSGNEELCLQELTDDIMELDSTVKHQTMQSYI >cds-PLY68622.1 pep primary_assembly:Lsat_Salinas_v7:2:72923046:72926612:1 gene:gene-LSAT_2X32541 transcript:rna-gnl|WGS:NBSK|LSAT_2X32541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSEADENENEMSVLDLPNLALECILEKLEPDGLCKMACVCSYLRDMCLSDHLWEDHMKKRWGRVIGDVAYKEWQSHIASKKQSNYFLDGENRRGLLGYLCKLWPVILLKSSSITYGDSKKIKLSSQPPIDSIVSCYRALQTGKFWFPAQVFNRENGHVGFMLSCYDADLSYDSHTDTFQARYPPHGRRAAAIETGVTWDRLRAPPVDNSPHDLHISDCLIDLRPKDHIEIQWRRNKQFPYGWWYGVVGHLEACDGNHTYCHCHENDTVVLEFNQYAPGSRWRHTMINRKEHREEGNEADGFYGGIRKLNRKDEISMWEQFWPAEILE >cds-PLY93784.1 pep primary_assembly:Lsat_Salinas_v7:6:145482358:145486902:-1 gene:gene-LSAT_6X88140 transcript:rna-gnl|WGS:NBSK|LSAT_6X88140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFSQLLPCFNPAEKRRTESPELIFTATDPLDETLGHSFCYVRSSARFLSPSHSDHFVSPSQSLRFSPSHESSSTRSRQPYGVSETGFKAISGASVSANTSTPRTVLQLENIYDDATDSVGGGVKSSIVNGFESTSSFSALPLQPLPRGDSARAASGQMERAFFMSGPIERGALSGPLDSNLGSDSNNGIPFSAPLNGGMYVKKKRRKSIPGIRKAFNRNFPRPWVVPVRSFVGGRKEAPAGGGGGEESERKNENDVQWALMKAGEDRVHVVVSEEHGWLFVGIYDGFNGPDAPEFLMGNLYKAMYKELEGLFWDLEDEPPAQDQSSPPQLQQVESTDINSIPVKKVSFQPGEELATRRRRLWEYLAEEEPEDGLDLSGSERFAFSVDDALSISKTSSTVGRRSLLLSRWRNGFGKHKEGSKLFAWKFGLDAKEQKEVENRTEETCNVIKSGRKKKSGPVDHELVLKAMSRALEVTELAYLDMTDKVMDQYPELALMGSCLLAVLMRDEDVYVMNLGDSRAIVAQHDEIEEVGSSHTEDNVVKESIEVPIEDSRLTALQLSTDHSTSIEEEVTRIKNEHPDDSNCIVNDRVKGRLKVTRAFGAGSLKKAKWNDSLLEMFRNDYIGNAPYISCVPSVRHHQLCQRDQFVVLSSDGLYQYFSNQEVVSHVHNFIHKFPDGDPAQHLIEELLLRAANKAGMDLHELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKYL >cds-PLY65009.1 pep primary_assembly:Lsat_Salinas_v7:8:89271059:89274182:-1 gene:gene-LSAT_8X61721 transcript:rna-gnl|WGS:NBSK|LSAT_8X61721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSFKVFNGDDKKQEIEKPTEKINPTETTGEIVKTEKVALRSKQSSNGVGGGGKRKTWKSDCNSEGTEKIPVQIPKARSENKKILGELSVSVDGNGIKKSPVQMKKGRLEWSKEQSASIDGIERSPNHRSITTRSISRKGSTSSDLSNEVEKVKPLLRKGNRIRDESNNGVEEIKGESRDDNEITKNRSESSQSLDELDVVCEEKLMTDDSGKVKYPPILDAQDYEEDEEAIMEEKEEINEERAIVVVKEMEPISTINKNKSPDVVIEEKKIHYRNEKSKPISRTIRKQPPPSVVNHPRIISKQSKVIKLTSIFFFNFADEFPSQRVPKSHSRLQTFIDLIMWNDVSKSALIFGFGTFSILSSSYTKDLNISLISVISYLGLIYLAAIFIFRSFILRGVVEADKNTDEEECVVGEEEAIWALKLFLPYINEFLLKVKALFSGDPSTTMKMAVLLFVLARCGSSITIWKMAKLAFFGVFTVPKICSSYSSQLTAFGTFWVRRFKDAWETCSQKKAVAFGVFTLIWNISSIVARIWAMFMLLVAFKYYQQSMMKDEVIEEEEPITRGNQSSWQEQRHVSVGSSKLRKSS >cds-PLY71011.1 pep primary_assembly:Lsat_Salinas_v7:9:69658559:69660526:-1 gene:gene-LSAT_9X60380 transcript:rna-gnl|WGS:NBSK|LSAT_9X60380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METVGVGVATTNSDSYTGNISNSVSSDSSSPTTRGAGGGISSSRRSTSSSAFPIVRFLQAPVTTLFEYSGFLRPRSSNDNHESESLIPHHHNHSSSDSSTASSSDGSNNEGEVSIRIMGDGEQEEGHGDDGGESAVIGGDGGGREREMADSSDVDVRSGANGSNNNSDSSNQQRYDLQQVSRWIEQILPFSLLLIVVFIRQHLQGFFVTIYVTAFMYKSNDILRKQTALKGERKLSVLIGYGIIFTLHVIGVYWWYQNDDLSSPLLMVPPKSIPPFWHAIFIIIVNDTMVRQAAMTLKLVLLMYYKNGRGHNFRRQGQMLTLVEYALLLYRAFLPAPVWYRFFLNKEYGSLFSSLITGLYLTFKLTSIVEKVGSFYAAMKALSRKEMHYGSYATSEQVSEAGDMCAICQEKMQAPILLCCNHIFCEDCVSEWFERERTCPLCRALVRPADIRSFGDGSTSLFFRLF >cds-PLY69162.1 pep primary_assembly:Lsat_Salinas_v7:5:285031367:285031576:1 gene:gene-LSAT_5X150461 transcript:rna-gnl|WGS:NBSK|LSAT_5X150461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPRTTTQFTGFSFGPRMGTRTGVNRSDGFNMRALLQFLPVIIILIFIFLPSNEPVCSLQRTFTYEMFF >cds-PLY64563.1 pep primary_assembly:Lsat_Salinas_v7:6:37285137:37288647:1 gene:gene-LSAT_6X26800 transcript:rna-gnl|WGS:NBSK|LSAT_6X26800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKYKIRYLGLLNDARNYVVNIGAKTIDVTVTHDAVAAGRRIRTIKQLNQVRLNRIMVRVDFQWARNPINNNKIYVTALQLCVGKRCLLYQIHRATSHPFSETMKSFIENPNYKFMGVAIEKGTSHLLEDHALGMQNVVELWLDLDSGTCPNPLSTIMYISFCNYLDIFLDVYESHEFSGKVKDLEVDVGSGDVFMAGVFSKVTEDFSLLRDEKRLREALSLQILVVQLL >cds-PLY97945.1 pep primary_assembly:Lsat_Salinas_v7:3:18033673:18034980:-1 gene:gene-LSAT_3X13681 transcript:rna-gnl|WGS:NBSK|LSAT_3X13681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLTFSTSSLHTKTLARKSVEHFPCKTLIHLPKSPNFESLSSVIKRKTLIGPPIRSTTTTISESLSTTTAPQTLKSRLKNGETLYGLFLLSFSPTLAEIAGLSGYDFVVVDMEHGPGGISQALSCLHALAAARTPAILRLPDSDAAWAKKALDLGPQGIMFPMIESQKMAKKAVSYCKFPPNGVRGSAHTVVRASDYGIDNGYLSNYEDELLIMCQVESEEGVKKIDEIASVDGVDCVQMGPLDLSASMGYLWDPGNKKVKEMMKTAEKGVLKKTGKGGGGGGAYLSGFAMPHDTPEDLRSRGYHMISGAVDIGLFRSACVEDVKKFKMKSADSDSDVDGIEIAKDGDEKYWSE >cds-PLY61685.1 pep primary_assembly:Lsat_Salinas_v7:9:138543553:138544282:-1 gene:gene-LSAT_9X88460 transcript:rna-gnl|WGS:NBSK|LSAT_9X88460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLKFLMVVVVGVLILTTDIVCGRKIVGEENLTLEYDQGFSKIGCDSKTSVSCGVRDSIHREGGHVWDYIQQGIQVKLRGEVEDSGRVTTKGRTIQMGDDSGSTNTWLDSRGPINGTYVNKTSNNSIYNGKKNQIVSGSYPNTQSSNGMIDNEGGGGGGGGGGGSGGGGGGGGGGK >cds-PLY92721.1 pep primary_assembly:Lsat_Salinas_v7:7:5148815:5153286:1 gene:gene-LSAT_7X4721 transcript:rna-gnl|WGS:NBSK|LSAT_7X4721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWGLISMFDFRHGRATKRLLSDRKRYTPTNATHDSPYLTSEVSLPTNSEELHQSIEKPGFDLMRTRVKELIKEEMFMDQDSKKQNDINKLENHKPKHTEKILKESHDQEISITHQVSPQKTSHYQDLEALVKEILLIYQKKNEQNDDPDTGAKRSFPIVEEKLVAAIETLLNENGGHKKFHHSREMFQMLSSNKEMFFKLIQDQNSILLNEDQKSKSKSKSKVISGPKFPETELTDRETEEPVTHKHRKFFRRRSKSVDNIPMNEKGKIVILKPGSPENQIGNENSSQFSFMEIKRRLKNAMGKEQRTLGKTIDGHGGWSSPNRNHFYTERFATATNFHSWRSKDDLAHGRVSKLRESEMNEEDTNHRISNIYVEAKKHLSEMLTSGDEDADLMMRSLPKSLGRILSLREYNSLSPGTSPRAQDFRLVNKSQLSTDNDNHEENITSEGVEVIVESESCDKEHEEEQTEGVDVLSESHGLLNGVEDNHIAVIPDSSNEESSSDSLKQDLPDENDSSSCGPHINIIEATVVASKTEEREITPSDKAGKPSPISVLDPLFSDDDISPARTVSRPVEPAIQPLRIQFDEEPVSRSEDQQIRITNSEDSEESAFEYIEAVLLSSDLNWADFEKRWLSGTQILDPSIFEEVETFSGRAQYDQRLLFDSTNEVLEEVCDHFIPESLFIKKAVWPVPKGMDLINEVWRRIESRLCKVYPRDLDKLVRNELGTSKMWLDLDNFRSESREIVVKIEESIFEETMDDTLLSLFDTTNHGLSCS >cds-PLY64079.1 pep primary_assembly:Lsat_Salinas_v7:8:93975269:93976750:1 gene:gene-LSAT_8X65040 transcript:rna-gnl|WGS:NBSK|LSAT_8X65040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRNGASSSSSNSTVVKRGSEKRSSTMYALREGGKSTHGKETSTYVKRAERQASKKSPNSSTQSGNVVLDEEKVKWVLIFQHVGDIMSRSTCRNIGWQSTSMIPLLKVQRQLQLRKEAQGKYLKKIIEEQQKLSEVLSDDNCQESKNQTDPITPPPPSIIKNSAPTPATYEHEPLNPDSDRGSPVMKKQ >cds-PLY78466.1 pep primary_assembly:Lsat_Salinas_v7:4:261934218:261936877:-1 gene:gene-LSAT_4X135961 transcript:rna-gnl|WGS:NBSK|LSAT_4X135961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRNIVVETGKLMKDEETAEDSPTSKKPIGAKLDGRFPLTGWEFTVAFSVFLVFSTGLFCIYLTMPAAEYGKLKLPRSISDLRMLKDELGTYANIYPTKFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGVKGIFLVVFNATAGASSCYFLSKLIGRPIVSWLWPEKLKFFQTEIAKRGDKLLNYMLFLRITPSLPNLFINLASPIVDIPFHVFFLATVVGIIPASYITVRAGLALGDLKSIKDLYDLKTLTVLFLIGSISILPTLLKRKRIYE >cds-PLY76803.1 pep primary_assembly:Lsat_Salinas_v7:MU042712.1:59827:62122:1 gene:gene-LSAT_0X25980 transcript:rna-gnl|WGS:NBSK|LSAT_0X25980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESQNDFDRLLFFEHARRSAEVTYAKNPLDTENLTRWGGSLLELSTFQSVQESKVMIKDAISKLDEALSIDPRKHDALWYMGNAQTSYAFLTPDKDEAKSYFDSAYTYFQKAVDEDPGNELYLKSFEVATKAPELHSELHSQSLSQQAMALGSGGGPSSSSSVRGPNAQKSNDLKYDIFGWVILAVTIVAWVGFAKANVPPPPPR >cds-PLY91990.1 pep primary_assembly:Lsat_Salinas_v7:7:91489104:91489364:-1 gene:gene-LSAT_7X63180 transcript:rna-gnl|WGS:NBSK|LSAT_7X63180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGERCLRQGDDVPNTTATTTSVDGPSLQPHRSSMAVFNMVAPGFVVDRHGDRAADAMQRLSAAMYMAATKWQYRRMEKEGGGGAAS >cds-PLY98452.1 pep primary_assembly:Lsat_Salinas_v7:1:10042999:10054566:-1 gene:gene-LSAT_1X6680 transcript:rna-gnl|WGS:NBSK|LSAT_1X6680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLISSDPTSAPPPPSTSAQASTASHQRSASPFSKPVTATTTTDRKSKRSTLMQIQSDAVSAARAAPVSYAQLARSIHELAASSDQKKSQRQLVHHVFPKLAVYNSVDPSLAPSLLMLGQQCEDRTILRYVYYYLARILPDTGAQGLTPGGGIPTPNWDALADIDAVGGVTRADVVPRVLDRLSTEAVNPDIEFHARRLQALKALTYASTTPEILPKLYEIVFGILDKVADTPDKRKKGIFGAKGGDKESVIRSNLQYAAMSALRRLPLDPGNPIFLHRSVQGVSFADPVAVRHSLEIISELATKDPYAVAMALGKLAQPGGALQDVLHLHDVLARVALARLCHAISRAKSLDERTDIKSQFTSLLYQLLLDPSDRVCFEAILCVLGKSESADRTEERAYGWYRLTREVLKAPEAPSVKDKDTKASKTRRPQLLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAFALGLHDNINEGEDVNTFAENNDQEDGKSQIEGHRRIRSMSNGAGNKDTVSSLLASLMEVVRTTVACECVYVRAMVIKALIWMQSPQETFAELESMIASELSDPAWSAALLNDILLTLHARFKATPDMAVTLLEIARVFATKVPGKIDADVLQLLWKTCLVGAGPEGKHTALEAVTIVLDLPPPQPGSMSGLTSIDRVSAFDPKSALALQRLVQAAVWFLGENANYAASEYAWESRTPPGTALMMLDADKMVAAASSRNPTLANALTRLQRCAFIGSWEVRIIAAQALTTMAIRSGEPYRIQIYEFLHALAQGGVQSQFSDMHISNGEDQGASGTGLGSLLSPMLKVLDDMYNAQDDLIKEMRNHDNAKKEWSDEELKKLYETHERLLDLVSLFCYVPRTKYLPLGPTSAKLIEIYRNRHNITASSGMRDVAVSTGISELLYESIKPAAVESDNNLDDDLVNAWATGLADDGLWGSNAPAMNRVNEFLAGAGTDAPDVDDENIISRPSMSYDDMWAKTLLETAEVDDDGRSSGSSSPDSVGSVETSISSHFGGMNYPSLFSSKPSTYGSSQPNEKASSTGGGRQSRSRPSAGSSSYEGYGSPISEEPPPYSSPVHQRFESFENPLTGQGSSSFDSHEEERGERSRSSTGNAQSGSALYDFTAGGDDELSLTAGEEVEIEYEVDGWFYVKKKRAGRDGKIAGLVPVLYVSQS >cds-PLY87736.1 pep primary_assembly:Lsat_Salinas_v7:1:4128862:4131908:-1 gene:gene-LSAT_1X3320 transcript:rna-gnl|WGS:NBSK|LSAT_1X3320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALCNSSSLLPTKSFPIQSLPPTTTNISIRPISAVHSPNPSSSKPASSATSATVSTATPKLSTTSPKWTIDSWKSKKALQLPEYPVQSDLESVLETLEAFPPIVFAGEARSLEERLGEAAMGNAFLLQGGDCAESFKEFNANYIRDTFRVILQMGVVLMFGGQMPVIKVGRMAGQFAKPRSDPFEEKDGVKLPSYRGDNVNGDAFDLKSRTPDPQRLIRAYSQSAATLNLLRAFATGGYAAMQRVNQWNLDFTENSEQGDRYRELANQVDEALGFMAAAGLTIDHPIMTTTDFWTSHECLHLPYEQSLTRKDSTSGLYYDCSAHFLWAGERTRQLDGAHVEFLRGIANPLGIKVSDKMDPNELVKLIDILNPENKPGRITIITRMGAENMRVKLPHLIRAVRRAGQIVTWVTDPMHGNTIKAPSGLKTRPFDAIRAEVRAFFDVHEQEGSFPGGVHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQALELAFIIAERLRKRRITARSIN >cds-PLY76602.1 pep primary_assembly:Lsat_Salinas_v7:5:223898212:223899606:-1 gene:gene-LSAT_5X105420 transcript:rna-gnl|WGS:NBSK|LSAT_5X105420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVAEAADETAYEGDGGGYYSWSTSKSPLLKDSKLGAGKLLLHPLGFALPHYADSSKFGYVLQGVCTVGLVTPNSTKETVVVIKKGDVIPLPSGVVSWWFNGGETDLIIVFIGETTKAQIPGQFTYFFMAGVLGILRGFQSDVVAKVFGLNNKEAEDIATSQPGALIVKLRNGIEFPNASEDVKEKLYGAIDTPEPEADVVVKGGGIINSLTEKDFPMLVGMGLSARFVRLKGKAILAPSYVADGSVQAIYVAKGSGRIQVVGGDGTPSFDDEVGEGELMVVPQFFAVTVIADECGMELFSITNTSKPVFEQLAGKVSVWKALSPVVLQSALNISPQLDQIFRSKNTERLMIIPPRS >cds-PLY70803.1 pep primary_assembly:Lsat_Salinas_v7:4:59327826:59329067:1 gene:gene-LSAT_4X39640 transcript:rna-gnl|WGS:NBSK|LSAT_4X39640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLSMKSVQPFAPMAKPPPEPLCQRRSTLSMKTAQPTRVTRAPSKWVIDSEGHQTQPPLSRLGKRWKEYHGSKNWEGLLDPLDDGLRDEIIRYGSFIEAAYKCFDSNPPSPSYSTRHVTDSKVDDSGYRVTKNLYATSSIPMPSWVRGIGERSSWIGYVAVCEDQNEITRLGRRDIVISLRGTGTCLEWLXFNNKLSNGQHDTPMVESGVLSLYTSSTSVCQSLQQSVTDELLRIVDTYGDEPLSVTITGHSLGASLAILAAYDIKNTIKHALHLSVISFGGPRVGNRSFRHNLELQGTKILRIVNSDDLITRVPGFFVEDHDDVAKKQKPRMEHLPSWIQKCVNDKRWVYANIGHELRLSSRASLKLNSIDVATCHDLKTYLHLVNGFVSSSCPFRASARMMLNKASTVPPT >cds-PLY77538.1 pep primary_assembly:Lsat_Salinas_v7:2:162664463:162665542:-1 gene:gene-LSAT_2X85000 transcript:rna-gnl|WGS:NBSK|LSAT_2X85000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATGEIQPAKHQEVGHKSLLQSDALYQYILETSVYPREPESMKELREVTAKHPWNLMTTSADEGQFLNLLLKLINAKNTMEIGVYTGYSLLSTALALPEDGKILALDINRENYEIGLPIIEKAGVAHKIDFREGPALPLLDQMIEDAKFHGSFDFIFVDADKDNYLNYHKRLIDLVKIGGVIGYDNTLWNGSLVAPADAPLRKYVRYYRDFVLELNKALAADPRVEICQLPVGDGITLCRRIS >cds-PLY72231.1 pep primary_assembly:Lsat_Salinas_v7:7:57509763:57510233:1 gene:gene-LSAT_7X42040 transcript:rna-gnl|WGS:NBSK|LSAT_7X42040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLFRKAKKIRLRSVKDKYLVADDDEETVFQDRDGSSEKAEWVVYTAGKNHIRFKSYYGKYLMASNTPFLQGVKGKKVIQTELKPDLDGSVNWEPVRDGFQVRLKTHTGSFLRPNGGVPPWRNTITHDTPRRSKTREKVLWDIETVESLPSYQKGD >cds-PLY83730.1 pep primary_assembly:Lsat_Salinas_v7:4:40862876:40863946:-1 gene:gene-LSAT_4X28280 transcript:rna-gnl|WGS:NBSK|LSAT_4X28280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNDEFSSFYFGYNNHQHSGSDSGSGSDSDKPEPEPKPGDLPKSLDWRKRGAVTHVRDQGHCGACWAFSAVAAIEGINKIKGGNLTILSEQMLVDCDVNNGDKGCRGGIMEKAYNFIKKNGGITTAQDYPYVGKDEGCKKLKAQELSVMIKGYETIKSKDEKSLQSAVAKQPVSVAIAAGFLFQLYGSGLYSGPCGTHLNHAVTVVGFGEEDGRKYWIVKNSWGTDWGENGYMRIERESKYKGGKCGIAKDSTYPVLI >cds-PLY77738.1 pep primary_assembly:Lsat_Salinas_v7:9:18267949:18272828:-1 gene:gene-LSAT_9X13441 transcript:rna-gnl|WGS:NBSK|LSAT_9X13441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDSRFLLFGVFWAMSLLLVVTDCQSTHPAEVTALQLIRDSFSDPDNRLSNWNRGDPCSSNWTGVLCFNRTLDDSYLHVRELQLLNLDLGGTLSPALGRLSYMEILDVMWNNIVGSIPKEIGQLTNLELLLLNGNQLTGSLPEEIGYLPNLDRIQIDQNHISGSIPVSFANLNKTKHFHMNNNSLSGQIPPELSRLPSLVHMLLDNNNLSGFLPPQLSELPNLLILPFFPSVGSQLDNNHFEGNIPPSYGNMLKLLKLSLRNCTLQGPIPDLSRISNLAYIDLSNNNLNGSIPPMTLSDDITTIDLSRNQLTGTIPPSFSGLPNLQRLYLFNNSLNGSVPSNIWQNRTFNSTESLTLDMQNNRLSGISGSLTLPPNVTLSLQGNPACTNASISVEFCNSRPVALVSHLQSVPSNSTDNCPPQSCPSDYEYAPGSPTRCFCAAPLLIGYRLKSPGFSDFRPYFYSFEVYLTSGLEINHFQLDLTYEWRKGPRLQMYLKIFPVYTPTPNSNIFNRSEILRIRSLFTQWKIPDSEIFGPYELLDFTLVGPYKDLIPPPPSSSGISKGAVVGIVVGAIVGAVLASVFIALYILKLQRRKYHAVSRKRHVSRASLKIEGVKSFSYSEMKHATNYFDVGSEVGQGGYGKVYKGVFTDGTVVAIKRAQEGSLQGEKEFLTEIELLSRLHHRNLVSLLGFCDEEGEQMLVYEFMPNGTLRDHLSGKYKESLSFGMRLRIALGSAKGILYLHSEADPPIFHRDIKATNILLDSKLVAKVADFGLSRLAPVADLEGVVPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMHPITHGKNIVREVNIAYRSGMIFSVIDEKMGSYPSECVEKFVTLALKCCKEDTDERPSMAEVVRELENIRQMMPESDSRIMNSIDIEAGKNANAPSSQSSSSTTKNHPYVSSDISGSDLVSGVVPTIAPR >cds-PLY75619.1 pep primary_assembly:Lsat_Salinas_v7:1:144791924:144797179:1 gene:gene-LSAT_1X104881 transcript:rna-gnl|WGS:NBSK|LSAT_1X104881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRQSISIISQISSQGIAPNSFGHCLAGGDGGGISAFDTAVMPDLVFNPLVKSIQSISVISQISSQGIAPNSFRHCLAGGDGVLLQVVGALGFPAATLKLERSFPTNHGVELKQLTERDGLRHHRILHNCSTSETGQISQTERTLDEIMGLGRQIISVISQISSQGIAPNSFGHCLAGGDGGGILAFGTPVMPDLVFTPLVKSM >cds-PLY91751.1 pep primary_assembly:Lsat_Salinas_v7:9:16938033:16938637:1 gene:gene-LSAT_9X16921 transcript:rna-gnl|WGS:NBSK|LSAT_9X16921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKTIEVLRSISGVYSVTWDAEKNIFKISGEVDPNILLKAVMRTGEHAELVNVKLNHPQLRHTNYNYNYNNHNFGYGYHIPSSSSYRRRDIRPLPEHEFYPVTSSAYRRPPGIQYLPSNYDTTSYGYGYENPLPPATYVPSYPYQEHDPYANYEGVSFCTIM >cds-PLY84771.1 pep primary_assembly:Lsat_Salinas_v7:5:230062258:230068348:-1 gene:gene-LSAT_5X110341 transcript:rna-gnl|WGS:NBSK|LSAT_5X110341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFGVLQCAEDTDYVKKKYGGYFGVFLRMLAEEGEIWDVFRVADGDFPDDGEIGVYDGFVITGSCKDAYGDDAWICELLTLLNKLQLMNKKILGICFGHQILARALGGKVVRAESGWDIGVRSINLSSSSMKIFSNLEMPKTLSVIECHRDEVHELPSEVEVLAWSDKTRVEMFRYRDNIMGVQGHPEYSTDILKQLIDDRLLQCNLIEESYAVEIRSKLEMDPDMESWKELCTSFLKGRL >cds-PLY63911.1 pep primary_assembly:Lsat_Salinas_v7:5:127763107:127767308:1 gene:gene-LSAT_5X57160 transcript:rna-gnl|WGS:NBSK|LSAT_5X57160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALIDIDLKTVRIQALDLIHFITEREGRFDEFNQTVNCSLLEVLILLFSTETSFVEETDDCIEVRPVACLPKPPDPNELYPRQSRSKGVKLAWSYHISHCIGCCLEVVVILNEIPQDVEEVFLTGATSKFGRVIAIYLARSKVRVLSTERFISIWKEIPLDNRHLLIQVTKYQETKQCKTWIMGKWTTPSEQNWAPPGTHFRHFVVPLVFEFRRDFTYSKLPAMKLPVDVEGLGICEVIPWNTPVASKHQSDWSPLMFDESRDDVDFKLGKDDWFDLFADDEGDNDIFDFDNMRYSSSQEGYMSFKGHVRNSQKDTLLCDFMNGIDWASLESYMSSLSCGERVKKTKVTQSSFQDKKQPRRSHSSPPSYRGNRKFVALNKASDLRHVQKSSGADHLLLVEGPTKARHDKKKVIDKADILDYEEEVAHCLDAIERNGDEDKILDISSGILYLYGDSLTLTPKSISKKCMDDSKVLQQVDKKFIPIVGGGILAIIDQHAVDKRIRLEDLRKKVLPEIGYQLLINYSEQIKKWGWICSFYAQILVSLKK >cds-PLY99351.1 pep primary_assembly:Lsat_Salinas_v7:1:81205403:81205959:-1 gene:gene-LSAT_1X67400 transcript:rna-gnl|WGS:NBSK|LSAT_1X67400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFHLQTGGHINPAVTFGLLLARKLSLTRAVFYMVMQCLGAICGAGVVKGFQGDAQYTTLGGGANVVAHGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGCCFFGDSLTVNQKETHVETE >cds-PLY95785.1 pep primary_assembly:Lsat_Salinas_v7:3:27204285:27206056:1 gene:gene-LSAT_3X20940 transcript:rna-gnl|WGS:NBSK|LSAT_3X20940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEEANPETNVAAAAATPRRPRSVEDDEDGHSLDVKVMETVIMKSDIDSGSGSEDSYEEYEDKPNTGEIMGWCFYELCSYFTHTVLLTIVFPLIISQTFNSEPPEPARGWYMNRKGFHCTKKETLLFEALTYARVKVGSMKFSALEWTSISWFSGLIVAAPLLASVSIHLDHRRNSHVMAAIATAIGAVFCLPAGAIKTVWIMPPYVAAIVASNAVGSAFHNRHLGLMVRGFVGATIRKLQFPDRQAVSGWLSLYATAGGCFGSAAIASFTYYMLRNSEGFISLWVVSIFSGILWFAGIAYIITATRSNGNESSNDNSRVSTGHFISIFKYPHAAGTLVGVFLSSFSTMSIFTGGLLYIVGQLCTPPKDLLFVWLTYFFFPLLVLPLLQPIQKVIRSDAVKMQIFGFLLSTLTSGMGFYYRLENWHKSHVLFLAASQSIASGVLHAYSRILLMDCAPSGKEGVFAAWFSWVRMLGAFVGFAIGSSGVGNINRSFGAAFAAAVVGIVALIFSNVSSYGGAVAAGHVHKRRETGSPVRQSDNVVNVKGKEDSDEPQDRTIEV >cds-PLY94789.1 pep primary_assembly:Lsat_Salinas_v7:2:180824034:180826130:1 gene:gene-LSAT_2X103401 transcript:rna-gnl|WGS:NBSK|LSAT_2X103401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHELMTVAPPAQPAAIPALSAAAPSSPPPTSLAPGFRFHPTDEELVRYYLRRKACGKPFRFEAVSEIDVYKSEPWELADYSSLKTRDLEWYFFSPVDRKYGNGSRLNRATGKGYWKATGKDRSVRHKSETIGMKKTLVFHSGRAPDGKRTNWVMHEYRLVDQELVRAGVVQKDAFVLCRIFQKSGLGPPNGDRYAPFIEEEWDDDDAALFVPGGETEDDLTRGDETLPQGNDIVQEAAVNMIACGSEGMVDHHQSIPFVCKRERSDDSVLNPGPELETFSLFHNKRTKESDEIENNNGSNGNGSEDSTTSQDPRIAQLSSSATATALMEFPLLESLEAKVSQPSASQPYSFDAATLEKSVPPGYLKFIKNLEHEILNVSMERETVKIEVMRAHAMIDILQSQIDVLTKENGDLKDKIR >cds-PLY84675.1 pep primary_assembly:Lsat_Salinas_v7:2:151209989:151212104:-1 gene:gene-LSAT_2X78480 transcript:rna-gnl|WGS:NBSK|LSAT_2X78480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASASLFKTSPATILDKSEWVKGQTLRQSPLSVVRFNSTAPSALAVRASYADELVKTAKTIATPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVSAPGLGNYISGAILFEETLYQSTVDGKKIVDVLVEQGIVPGIKTDKGLVPLAGSNDESWCQGLDGLASRSAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTFEVAQAVWAEVFFYLASNNVLFEGILLKPSMVTPGAECKERATPDQVADYTLKLLQRRIPPAVPGIMASIPKNSFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTCLKTWGGRPENVKAAQDALLQRASSNSLAQLGKYTGEGESEEAKKGMFVKGYVY >cds-PLY79140.1 pep primary_assembly:Lsat_Salinas_v7:9:90082446:90082709:1 gene:gene-LSAT_9X69661 transcript:rna-gnl|WGS:NBSK|LSAT_9X69661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGPCARLNLPNYRTMSEYYSESMVVPNGASSCDSITTCSHSEDSKNGSGSQMVKDDCESNSNEFGNPPLMKTVKQEVEDDQEDYDW >cds-PLY92611.1 pep primary_assembly:Lsat_Salinas_v7:7:161507958:161508618:1 gene:gene-LSAT_7X93820 transcript:rna-gnl|WGS:NBSK|LSAT_7X93820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAFLLLNLAFAVVLLINSEVVVATTLAENTNSESKAGGHGEHIIGGSIGRHDKGVKPGKGLYDPNNPGHKGCKLGCCTGKSYHIKGGCKCCKTFAEATAYKQTQN >cds-PLY67071.1 pep primary_assembly:Lsat_Salinas_v7:5:281047465:281053549:1 gene:gene-LSAT_5X149101 transcript:rna-gnl|WGS:NBSK|LSAT_5X149101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKASRRLQTIQNHITSAAADPHSTLQQNQTAGEFFTEQGYSVVLPEKLKTGKWNVYRSATSPLKLVSRFPDHPDIGTLHDNFVYASETFRDNKYLGTRIREDGTVGEYKWTTYGEAAKARLAIGSGLGSCIGLYFINRPEWIIVDHACSAYSYISVPLYDTLGPDAVKYIVNHSSTQAIFCVPQTLHILLSFLSEIPSVRLIVVVGGLDELMPSLPSETGVKVVSFSKLIAQGSNDRHPFRPPKPDDVATICYTSGTTGTPKSTKVESFLTLSINRLKGAVLSHGNLIANVAGGSLEIKFYPSDVYISYLPLAHIYERSNQIMLAYYGGSTGFYQGDNLKLLDDMAELKPTIFCSVPRLYNRVYDGVMNAVKSSGGLKERLFNAAFNAKRQALLKGKNASPMWDRLVFNKIKNKLGGRVRFMVSGASPLSPEVLDFLKVCCGCSVVEGYGMTESSCVITSMNKNDVLSGHVGSPNPACEVKLVDVHEMNYTSDDQPYPRGEICVRGPIVFQGYYKDEVQTREVVDDEGWLHTGDIGLWAPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYVKSKFVAQCFVYGDSFNSSLVAIVCVDPDMLKAFAAKDGIKFESLEQLCNDPRARTAVLADMDAIGKEAQLRGFEFARSVTLITEPFTIENGLLTPTFKVKRPQAKAYFAKAIEKMYEEVFASESSGRKAFVR >cds-PLY97574.1 pep primary_assembly:Lsat_Salinas_v7:5:233504382:233504842:1 gene:gene-LSAT_5X115540 transcript:rna-gnl|WGS:NBSK|LSAT_5X115540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHMQSSFISKLPVIMAAIGEKKSELSGKKQELLEAKRLGVDAELTKQLETQWGVLESDINLLEHTANVMRMDLTQRGLLVNGKV >cds-PLY85724.1 pep primary_assembly:Lsat_Salinas_v7:1:47041640:47045226:-1 gene:gene-LSAT_1X41580 transcript:rna-gnl|WGS:NBSK|LSAT_1X41580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEGFMNLLSVCWKPFTNSGETGAASGVNGNYNGDGDDFEKDGLLWYRDIGKYGGGDYSMAVVQANQVLEDQSQIESGPFGTFVGVYDGHGGPDVARYVCDNLFRNFQARSTEDYGVVTPDTIKNAFLATENGFTGVVSELFNTRPSIATVGSCCLVGVIYQQTLFIANLGDSRVVLGKKVGNTGGMAAIQLSSEHNANLEEVRHELKNLHPHDPQIVALKHGVWRVKGIIQVSRSIGDVYMKHTEYNNDQILPKFRLPEATVMPIMSATPSILTHDIQPSDSFLIFASDGLWEHLGNEEAVEIVHNNPRAGIAKRLVKAALHEAAKKREMRYSDLRKIDKRVRRHFHDDITVIVLFLNYDQISRATSSSSSSTNAPHVSLRSALEH >cds-PLY69808.1 pep primary_assembly:Lsat_Salinas_v7:4:245089590:245089982:1 gene:gene-LSAT_4X131061 transcript:rna-gnl|WGS:NBSK|LSAT_4X131061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQGGGNGGGKGGIGGYGAGYGNVGGGGGGGFGSGPGNWGGFGTGGFPFRGGSGGSMGGGAYGSRGGFSGGVGGYGSRTSPGSGYGNFAGSGGGGVGSGGSFAGGDGGDIGSDDGGNGFGGGPHKTPDKN >cds-PLY71760.1 pep primary_assembly:Lsat_Salinas_v7:3:46626415:46627954:1 gene:gene-LSAT_3X34501 transcript:rna-gnl|WGS:NBSK|LSAT_3X34501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSGLFQRPVVAASAVALASVSTDLRDRFFPSKPDSCPSSEQSIGCSSDLISEAKWAQISNSGVSKLSFSTKSRIPVPNFRYPTTHIPNKMASDVAFSSALLNVYRSAELAKAHRPIDHAHSIPTNTSNDLIYRWHLPQPNAIGLSENSKMVVVLLGWLGAKQKHLKKYADWYTSKGFHVITFTFPMSEILSYKVGGKTEEHVNSLVNHLSEWLEEEYGKNLVFHTFSNTGWLIYGAILEKFQMQDLTLMGRIKGCIVDSAPVASPDPQVWASGFSAAFLKKNSIAAKGHRNEGDISAKPAMSESALLVVLEKFFGVVLNLPSVNQRLSNVLVQLKSGQPSCPQLYIYSSADKVIPAGSVESFIEAQQRTGRVVRSCNFKSTPHVDHFRNEPELYASQLSQFLKDCVHTS >cds-PLY77489.1 pep primary_assembly:Lsat_Salinas_v7:4:48498465:48499646:1 gene:gene-LSAT_4X33860 transcript:rna-gnl|WGS:NBSK|LSAT_4X33860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGPATRKDLMIVNMGPHHPSMHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNAPEQLGNIQVPKRASYIRVIMLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELIYDLFEAATGMRMMHNFFRIGGIAADLPHGWIDKCLDFCDYFLTGIAEYQKLITRNPIFLERVEGVGIIGGEEAINWGLSGPMLRASGIQWDLRKVDHYECYDEFDWEVQWQNEGDSLARYLVRISEMTESIKIIQQALEGIPGGPYENLEIRRFDRVKDTVWNEFDYRFISKKPSLTFELSKQELYARFEAPKGELRIVLIRDKGVFPWRYKIRPPGFINLQILPQLVKRMKLADIMTILGSIDIIMGEVDR >cds-PLY95349.1 pep primary_assembly:Lsat_Salinas_v7:5:267468322:267470949:1 gene:gene-LSAT_5X139181 transcript:rna-gnl|WGS:NBSK|LSAT_5X139181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIIFSKVGIIGGGISGLAAAKQLSKHNPMVFEATDSIGGVWKHCTFRTTKLQTPRCDYQFSDYPWPQTDDNSSFPSYSEILDYLDSYAKHFDLFKFINFNSKVTEIKFVGDHETMAYQSLMSGKPMWEVAVYNTNSDTIQWYSFEFIVVCTGKYGDIPIIPKFPMKKGPEVFKGKVMHSQDYSKLNTQESTQLLKGKKVVVVGYKKSAIDLAVECAEANQDEEGKACTMVVRTLHWTVPHYSIWGLPFYIFYSTRFSQFFHQRPNQGIFRDLLCNLISPMRKTTSKIIESYLLWKLPLIKYGLKPEHPFEEDYASCQMAILPEKFFPEVEKGKINFKKTSNWWFSEGGVEFDDNTKLDADLVILATGYDGKKKLKDILPEPFKSFLQFPSGMLPLYRGTIHPLIPNMAFIGYVESVSNLHTSEIRCKWLANLVDDKFELPSTEKMLEQITTEMEIMKKSTRFYKRTCISTYSINHTDEICQEMGWNSWRKKSWLAEAFSPYNSRDYEHDI >cds-PLY87454.1 pep primary_assembly:Lsat_Salinas_v7:2:135848273:135851136:-1 gene:gene-LSAT_2X64421 transcript:rna-gnl|WGS:NBSK|LSAT_2X64421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPDASDALAVRQKVQQFLNAAVTGNLDFLKSVAAQLDDGKGLSHTVANIKDANKRGALHFAAREGQTEVCKYLLEELKLDVNTKDEDGETPLIHAARQGHTSTAKYLIEHGANPALSSELGATALHHVAGIGNIELMEALLSIGVEVDSQSDAGTPLVWASGHGQQDALKLLLKHKANPNIETDDGITPLLSAVAACSLPCLELLIQGGAKVNITAGGATPLHIAADSGNSEIITCLLKSGADPNVTDEDGLKPVQVAAARGNRDAVEVLLPLSSQLKTVPNWSVDGIIQHMQSEVAKEQQEAERNTRNGNLSNDATVSTKEIPEVTMEAKKKAAEAKARGDDAYRRKDYLMAVDAYTQAIDFDPSDATLFSNRSLCWIRLGQADQALTDAQACRGLRPNWAKAWYREGAALRLMQASNAFYEGVKVDPENKELVQAFREAVEAGREFHGTNKEK >cds-PLY86043.1 pep primary_assembly:Lsat_Salinas_v7:8:296698944:296702552:1 gene:gene-LSAT_8X164261 transcript:rna-gnl|WGS:NBSK|LSAT_8X164261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVECFIGRCFVHSPAAPPILRQSLFSSSIQRNNKNGSLNVTAKICFHENDPHDHLFFKSAISRASYRFQEALRPEPLFVDPYAGCFVPPDLDLELDKNSDHHYCIGTRFIDDKLLNATKGADGAKQVVMFTDGMDTRAYRLNWPSSTVIYDVSPQSVFSKASQKLKDVGAKIPRSCLLLHVPLESSDMQQLLRDKGFNGSRPSIWVFQGFPVTNLASFKEILFMVSNLAMKGCLLVGEFPLWLTENDKVAKLNVETWMYEVFMSYGFRVQIIGYDDVARSLGREQVEGIPDNLLFVAEHLRFSDDEMETWRMQFQRVEEEGDEEGFEEL >cds-PLY68539.1 pep primary_assembly:Lsat_Salinas_v7:1:174545458:174549219:1 gene:gene-LSAT_1X115840 transcript:rna-gnl|WGS:NBSK|LSAT_1X115840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELANCLLPQLLVLLLSVVSAHSLNPTCNSNDNDSTLKVYHVSSPCSPFRPKTPLSWAETVLQMQADDKTRLSYLSNLVAGRSFVPIGSGRQIVQSPTYIVKAKIGTPAQTLLMALDTSTDMAMVPCSGCVGCASAGFGFAKSTTFSSLNCGSAKCKQVQNSNCLGNACSMNITYGSSSIAANLAQDNLTLATDTVLGYSFGCISKTTGTSLPPQGVLGLGRGPLSLLSQSKSLYKSTFSYCLPSFKSPKYSGTLRLGPNGQPKNIKFTPLLTNPRRPSLYYVKLIGIKVGPKLVNIPPSAFALNPNNGAGTVVDSGTVFTRLVTPAYTAVRDEFRRRMGKKTVVTSLGGFDTCYTVPIGKQVPTMTFMFEGADLSMKQENFLIYSSTGTTTCLAMSASPSLNVIANMQQQNHRILFDLPNSRIGISAESCS >cds-PLY71635.1 pep primary_assembly:Lsat_Salinas_v7:9:135919505:135920293:1 gene:gene-LSAT_9X87680 transcript:rna-gnl|WGS:NBSK|LSAT_9X87680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLYATQHLASRAAKDEYAQWIVLSQRSLLEVMEAFFSAKPPLGVFFASVAPRLQPRYYSISSSPKIHVTCALVYEKTPSGRVHKGVCSTWMKNAVPMTESEDCSWAPIFVRTSNFKLPSDPKIPIIMIGPGTGLAPFRGFLQEILALKESRSQLGSSVLFSNVEIAK >cds-PLY84885.1 pep primary_assembly:Lsat_Salinas_v7:MU041095.1:86485:89273:1 gene:gene-LSAT_0X47721 transcript:rna-gnl|WGS:NBSK|LSAT_0X47721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTPEIEGQKQKHRPIIRIGIFIIAHSLLVSVVCCVAGVLALLLLPVLAKKTYISENALMPGSASSMISDQDVSGATRFINELNSLKSKSQNTGIEIPRLIALHMANMGGEVSYHKFQTQQNNFHPLHFFTSPNAEANFVNKTRESFAINTIGIIRAPRGDGKESIVLVTPYNPLNLTQTEALSLGIAYSAFSLLTQVSWLAKDIIWLAADSQYGQYNSVAAWLRHYHSPSFDTCHTIIGNEESESVSVSVPISDSFRRAGTMAAALVIKVADTSEEFEQDSLNIYAEASNGQMPNLDLINIASYLAVHGQGFRVRVEKFVSLVDATWLKVIGQVVEFVGKIARSLNPQWKFGVSVKEYVEGSATLVSSLYNQALGVPTGSHGAFRDYQVDAITMEISPKLSSISKSRQTEFLFRGGSLIEGVIRSVNNLLEKFHQSFFLYLLTSSNRFISVGVYMIPFLLLVAPLPIAAASLFSDANNSKNPPSTSFKSWRWLHPTKTVFLIHLWGAIVSLLPYFTYQIPDSNPTTRLLTWISLSIFALIVLRSFFRSYSEWTLLKSVTVSASFVGLCLMSVINFATAEIGAMFLVPMCLMIRPWRVAGKIQSVFNFVLIVFGFPGSGFFELRGLFGIENGSGFDEFWYWVESLWAWNSATYIYICLVHLPCWVLCIYILLHRC >cds-PLY78587.1 pep primary_assembly:Lsat_Salinas_v7:1:101644490:101646959:-1 gene:gene-LSAT_1X82460 transcript:rna-gnl|WGS:NBSK|LSAT_1X82460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQTFIMIKPDGVQRGLVGEIIGRFEKKGFSLKGLKFLTVDQAFAEKHYADLSSKPFFNGLVEYIISGPVVAMVWEGKNVVTTGRKIIGATNPAESAPGTIRGDFAIDIGRNVIHGSDAVESARKEIGLWFPEGTANWSSSLNPWIYE >cds-PLY72975.1 pep primary_assembly:Lsat_Salinas_v7:8:64901985:64903566:1 gene:gene-LSAT_8X45400 transcript:rna-gnl|WGS:NBSK|LSAT_8X45400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHSNAKDNKLDRFCIDCGASFCNKCSSNHHGHKSIKIRRYVYHDVINRPDAQKHFDCSGIQVSAMYNTGNMEEAGVDNNVSRKKGEELEKNLYDAMEAQAPTKLMASKRKRLRKGFPHKAPLF >cds-PLY97854.1 pep primary_assembly:Lsat_Salinas_v7:2:215938374:215940347:-1 gene:gene-LSAT_2X136881 transcript:rna-gnl|WGS:NBSK|LSAT_2X136881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGGNSWLDVVKKALRSPTKHIYTTKTLKARMREEDEPEKRSKRRWIFKKTALHETTTILHDESISTLSTYERSATKPIMSTQAAYSDETHAMAVAMATTAAAEAAVATVQAAVEVIRLTSPSFSFQKHNAALVIQTFFRRYLARRALEALKGVVKLQALVRGHNVRRRTKMTLKCMQALVRVQARVCDQRRKLSNEGSFDSNIFWGSHLADNNSITREESSINTDEWDRRHACRIEIQVFQCWKFQQFYQIRRRDQCMMLNFMIPEMKKTRSGQSEYRGHKGLEEDRKFYPNQRDPVKTLQIDTSQLCSLSPSWEIRSHENQTYYHQQQRLNSHTYSSPLYHHNFHSQSPITPSSSLSRIKPSLKVHSASPRCQREERSFPSSGTGTPTGHGVGNPSYMSATVSAMARIRPQSTPRQRMSTTEGEKKTSARKRLSFNVSEKYNGGGISDSELDCNLRSPIHTLERRLSMSSCCKQRTEGEIPRPSISEDRRWIR >cds-PLY70837.1 pep primary_assembly:Lsat_Salinas_v7:4:58392043:58395024:1 gene:gene-LSAT_4X40181 transcript:rna-gnl|WGS:NBSK|LSAT_4X40181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTKFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSASVTVDGQVVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISRPSFENISKKWIPELRHYAPSVPIVLVGTKLDLREDKKFLMDYPGASTISTSQGEELKKQIGAVGYVECSSKTQQSVKTVFDVAIKAVLQPSKPSKQKKRRLGCLVL >cds-PLY93991.1 pep primary_assembly:Lsat_Salinas_v7:4:274012874:274013146:1 gene:gene-LSAT_4X140421 transcript:rna-gnl|WGS:NBSK|LSAT_4X140421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEDERMLSLVVTLLILFASSLPLLHFNTGPQSRLAILSSADVSAVRVAVVINGAPATITVHHRGWLSSQGTAYRYPTSCYRRRAPPRGR >cds-PLY82779.1 pep primary_assembly:Lsat_Salinas_v7:2:144575423:144578959:1 gene:gene-LSAT_2X71320 transcript:rna-gnl|WGS:NBSK|LSAT_2X71320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETVTSQSSKRSNTLIRSYCSKYGVLNEEFFSRNLNTTISSLRRQLSSATVYEAVARTIINGDSVYGLALCRRYLSTAECLNCFDVAVTGVRVCGIVNGGRVIYDDCELRYENFNFYTEANVRGNVGVCGNETSTQPAFQETVKELLSDLRFATPRTSNFYAASERRVKGNNVAVYAIAQCNVNISQTVCAECLSVRTTTLSGCLPNTFGRAIDAGCFMRYSSTAFFRNNQTIDLTPFLKDGRISIPKGAIIGGVLGGVTIMLIVLVVVYLLWHVSTKSSDHQQDKSTGATELLQGPMVYNFNDLVIATNNFSIEHKLGEGAFGEVYKGTLINGEVVAIKKTSMSSRGRKTDFDNELKIISNVHHRHLMRLLGYCNKDPHMFLVQEFMENGSLDNFLYGEKRGSLNWKQRFEIIFGIARGLAYLHEQYHVTVVHGDIKSSNILLDDEFQPKISDFCLVRLLPENKTHISTKVAGTCGYVAPEYAINGHVSEKVDTYSFGVVVLEIISGRSCTEGIGNGSVVNNLVDYAWNLYENGILENLCDLKLDLTEYLQDVKKIIELSLMCTQSPASTRPSMSEVVLVLSDVRSPEQISPMTSTYKEPHVSISLDASTYTTPSTTSNATTSTVQFSGR >cds-PLY91889.1 pep primary_assembly:Lsat_Salinas_v7:8:197959740:197960042:-1 gene:gene-LSAT_8X127661 transcript:rna-gnl|WGS:NBSK|LSAT_8X127661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMPRILQAKQILQRSFSNGTRTSTMDLPKGYFAVYIGEQEQEKKRFVVPVSLLSQPSFQDLLHQAEEEYGYDHPMGGLTIPCSEHTFFDLATRLGAL >cds-PLY89988.1 pep primary_assembly:Lsat_Salinas_v7:8:207265350:207267080:1 gene:gene-LSAT_8X131040 transcript:rna-gnl|WGS:NBSK|LSAT_8X131040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLESCRVSPPPTTVKERSLPLTFFDMIWLIFFPIHQVFFYEFPHSREHFLETVVPNLKHSLSITLQHFLPFAGNLIVFLNPCVEKEVKKPEIRYIEGDSVAISFAECSLDFNDLTGNHPRNCDMFYQLVPTLGHVTKASNYVSIPLFSVQVTLFPNAGISIGFSNHHSLCDASTRSNFLKAWTSIARHGTDELYLASGSLPFYDRVIEYPSSLDEIYMSQPGLEVIDTEYQPHQLVSQTDKVRATIVLTQAHINRLKKSLSTQLPTLEYISSFSVACAFVWSCLAKSRVDHMGEKKKDDDLERLLCAVDWRSRMDPPVPQTYFGNCVGPCFTSTTSTLLKSDRGFYIAAELLGKGLRETIKNKDGMLKDAETWLERAFDPVQTTGVSGTPKTKIYDVDFGWGKPKKHETISIDYNGSISINACKDSPVDIEIGLSLPAKQMDAFLSISKDALESSFF >cds-PLY91402.1 pep primary_assembly:Lsat_Salinas_v7:3:55959258:55962052:1 gene:gene-LSAT_3X44201 transcript:rna-gnl|WGS:NBSK|LSAT_3X44201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPALAFCVDAAMAALSPPSGYTAWDSSLPAPEPFSGVPPSTNTVLDDVSVSSLTPWTSSHSNALYKIDAWGAPYFSVNTSGNVTVRPHGSRTMDHQEIDLLKVVKKASDSKSIGGLDLPLPLIIRFPDVLKDRLECLQSAFNYAVKSQGYSSHYQGVYPVKCNQDRFVVEDIVKFGSSFRFGLEAGSKPELLMAMSCLCKGSSESLLICNGFKDAEYISLALIARKLSLNTVIVLEQEEELDSVIDISIKLGVRPVVGVRAKLRTKHSGHFGSTSGEKGKFGLTTTQILRVVKKLEQCGMLDCFQLLHFHIGSQIPSTSLLADGVGEAAQIYSELVRLGASMKVIDIGGGLGIDYDGSKSTNSDVSVAYTLEEYAMAVVQAVKFVCDRNSVKHPVICSESGRAIVSHHSILIFEAVSSSNYTVPTMSSHDVQHFIEQLPGDAHSDYNNLSQSAVRGEYDACLAYSDQLKQTCVEKFKDGLMDIEQLAAIDGFCDLVAKAIGVSNPVSTYHVNLSVFTSIPDFWGIGQLFPILPIHRLTDCPSKKGILSDLTCDSDGKIDKFIGGESSLPLHELEGENGMKYYLGMFLGGAYQEAMGGVHNLFGGPSVIRVSQSDGPFGFAVTRAVPGTSCSDVLRSMHHEPEMMFETLKHRIEEYVHEEGDMIAGGIAQSFHNMPYLSVGSSCCLTAASGNKGYYYCSDEDFTAGGEVVSGEDDDQWSYVGA >cds-PLY99581.1 pep primary_assembly:Lsat_Salinas_v7:7:189840082:189843925:-1 gene:gene-LSAT_7X112621 transcript:rna-gnl|WGS:NBSK|LSAT_7X112621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHYASFRVCHVHRLLKHGLHRLNANKIYKNVETAKLASRKMVELEANNDGAYVELSNVFADFKNLSGVDGLRHKMKSKGVVKIHVVIVIEWRIHSHNYLFTFLINSVAQSVDKNFGLAVHGTALKYALDQDPHVQSGLINLYAEMGSLRDLKDLLFSINNPDLVTQTTMVVACAKLGDIKFTRQEFEITLDRDVIAWNAMKAGYVRFGEPLNGLKLFNAMEMKGLKVHVLSACTRLSALDAGQPAYRYIKYKKLQINTTLGSALVHIYEKCGGFNTAMNNVYAWSGAMGGLAMHGYGKEYLDLFTLMQQKNITRNEVTFISILKACSVAGHVEEGLEAFLIYDQRVEQYGCMVDLYGRLIRLDESLRFIQSMPCAPIAEA >cds-PLY80902.1 pep primary_assembly:Lsat_Salinas_v7:8:127775432:127776548:1 gene:gene-LSAT_8X86961 transcript:rna-gnl|WGS:NBSK|LSAT_8X86961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEIEKSKICQDMGFKKNDAQWVLMEAKDDVCKYGLMESDSEDLSFESSSSSEMIDDASSSSSSSSSCGPLFELSELMAQLPIKRGISKFYQGKSESFASLTSVQSIEDLAKKGKYCCRSRRSMKTYGGGLDGQSQRLSPKATIAKNKKGSSTARTSIFSSLGEMSSLLAN >cds-PLY67278.1 pep primary_assembly:Lsat_Salinas_v7:5:134407572:134410770:1 gene:gene-LSAT_5X58161 transcript:rna-gnl|WGS:NBSK|LSAT_5X58161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLKEIQHLKIQLEDIKSATNKFDNNKIIGRGGFGKVYKGVISHSKGQSMVAFKRLDSSYGQGHPEFLKEILMLSRCTHENVISLMGFCNEDDEKIIVYEYASHGSLDHHLSNTDLTWMQRLKICIAAAKGLCYLHDPNGSEQRVIHRDIKSSNILLDENWNAKVSDMGLSKIGPANQSHSFLPTNVVGTFGYIDPLYMETYSLTKESDVYSFGLVLFEVLCGRPCIEHKNGHFHSLVPMWKKRYEETKLDEIIFEEVKQHMDPRSLKPFSDIAYQCLRISREERPKMSRVVEKLEIALEFQEISEEVELPIDYEEMSKAAVPPLVYRSKEELMMLLYKGIMVNEGKTWFSLNKNGEHCEMISAAECLIPLYCIPRQKNTFPPKKSRLIVNDNIPLCWKFKTHVRTQFLSPHIMYTIHLVFNLEYRSSDYLGLGYILAGETKSSTSYFADKREDGLLMAELYHFTSDKRNVDLEITFECQNPLIVEGIEFQPMERVEKHEVLEDKEVDLQTISHSETYWEQKLPNDWEEMIKWSKDSLQWTTKKELYSILCKGFLINNGEEWFSLAKYGKKCHMLSARIMALRKSEWKWRSLPESRFEEVAFDPHGSFRIKCSSNILSPETTYASYLVYKLQENYYGFESPLKVIDCVKVSDGVFFQPFERQNHSEEDLSWYIYLHSPQTPIIRRKVYQDNHDLVNRPKKKRIPQQRNDGWMEENVWEFQTGTTTESISKNLRLMTIYDATRSLKGLIVQGIEFRPI >cds-PLY86754.1 pep primary_assembly:Lsat_Salinas_v7:8:185410471:185411153:-1 gene:gene-LSAT_8X121381 transcript:rna-gnl|WGS:NBSK|LSAT_8X121381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADMRGSGARVVACRAAAVGTRSTRNVHAVSTADATLAAAPKSRPPVAQERLSASVLMVAPASLVHLEDWKGRPS >cds-PLY65237.1 pep primary_assembly:Lsat_Salinas_v7:8:20017228:20019056:-1 gene:gene-LSAT_8X15361 transcript:rna-gnl|WGS:NBSK|LSAT_8X15361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKLVSELRKARSLAVGLAREVDMKNQRLWEMERHSEEISSRLHSMIAEKDRMNHSFSEEMRKMQVLGSQNTKLKTELECQLSKMHVLFQESEKLKEEVAYQRKELELRANELDKRESQLEIERKSFYKEKEKIAQNPLDSEYGMSVLINDLREKLAEKEEELHDMDILNQTLILREHMSNNELQAARKELINVLPQVLEGTSIIGLKRMGEVAQKPFQDVCLQRYSSQDWEMRSVELSSLWQDKVNTPNWHPFKQAVKDGKLQEIIDEDDSHLRELKSQWGEEVCNAVVKALLELNEYNSSGRYVVSELWNFKENRKASLKEVIDCLVHQLKASKSLKRRRDGQRPN >cds-PLY76241.1 pep primary_assembly:Lsat_Salinas_v7:4:53250660:53252878:-1 gene:gene-LSAT_4X36541 transcript:rna-gnl|WGS:NBSK|LSAT_4X36541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESAGNRCAVVTGANKGIGFEICKQLASNGVKVVLTSRDEKRGIDALDKFKGTHLSDLVIFHQLDVTDPASVASLAQFVKNQFGKLDILVNNSGIGGVMRDEEAFKASSTKGAGSQTDLIKTLIESNELAVECLETNYYGAKRMVEHFIPLLELSDSPRIVNVSSSMGKLKDLKNEWAKGILSDVENLTEEKIDEVLNQYLNDFKEGLLETKGWPTTLSAYMISKIAMNGYTRILAKKHTSFCINCVCPGYVKTDINYNNGILSTEEGAKTPVKVALFPDDGPSGCFFDRNGVTSF >cds-PLY71056.1 pep primary_assembly:Lsat_Salinas_v7:3:162099378:162100091:1 gene:gene-LSAT_3X100700 transcript:rna-gnl|WGS:NBSK|LSAT_3X100700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGATVAMLAMIAMALLMVHQTEAINCGDLVTMLRPCVGYLQSGGTPTNECCNGARRLQGATQSQADRRTACNCAKSAAGQFKVRQDTATSLPGKCGISSTIPINPSVDCNRIP >cds-PLY89752.1 pep primary_assembly:Lsat_Salinas_v7:1:58612556:58615916:1 gene:gene-LSAT_1X51001 transcript:rna-gnl|WGS:NBSK|LSAT_1X51001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRNSATSSMKLLWLILPFMGVAVFIGVKKSNLADGSKYYPWVWSSVITSYSSSAIKDNEAVAVVGSVSLGRRPTVVEGGGEVAEGPSEDYVFHQTSNSSYPPLAMEDEMDVELPAIAKEDDMNVTLSGPDIFVSFNQTHGFPVNAQINRKSTSLDKLEARLGRARAAIKEAESGNKTHDPDYVPDGPMYWNAAAFQRSYLEMEKQFKVFVYEEGEPPIFHDGPCKNIYAMEGNFIYQMETTKYRTKNPEKAHVFFLPMSATMMVHYIFERNPNVDHWLPMKQTIKDYVDLVGSKYPFWNRSLGADHFTVACHDWGPELSKAVPYLFKNSIRALCNANTSEGFNPTKDVSIPEILLPDGTTHGILNGPSPRYRPVLAFFAGGVHGPIRPILLEYWQDKDPDLQVHKYLPKGVSYMAMLRQSKYCISPSGYEVASPRMVEALYTGCVPVLIKDGYVAPFSDVLNWKSFAVILTVEDIPNLKQILTGISTRQYLRMQRRGKQVRRHFEVNFPPKRYDVFHMILHSVWLRRLNIRIQGVADS >cds-PLY97182.1 pep primary_assembly:Lsat_Salinas_v7:2:205891215:205891619:1 gene:gene-LSAT_2X126821 transcript:rna-gnl|WGS:NBSK|LSAT_2X126821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEACVCISSLHAPQPPQLQISNLFSQPGKHHRRLNLRQGFRRQKGRLESGGGDWKMRPASKRKEEMSDDKWDRAVEESKEDDDVNEEVELMEMEAIMGEDDGKEPMDYKRRAGIFYKSSQMFQAIKDKQHHHCA >cds-PLY73064.1 pep primary_assembly:Lsat_Salinas_v7:2:30447160:30448413:1 gene:gene-LSAT_2X11821 transcript:rna-gnl|WGS:NBSK|LSAT_2X11821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGPFNQTVCSSSSPSLSTWRSDNFERTRQQWRSSSFLCCTSLNCGYLSPAFSSTLSITSSKLRKSLQIVACVPPSQPEKTTTTFTNSTKLFVSGLSFRTSEESLRNAFQSFGQLIDVNLVMDKIANRSRGFAFLRYATMEESEKAIEGMHGKFLDGRVIFVEYAKTKSQLHQGVKQDSNP >cds-PLY79061.1 pep primary_assembly:Lsat_Salinas_v7:3:7347459:7349117:-1 gene:gene-LSAT_3X4580 transcript:rna-gnl|WGS:NBSK|LSAT_3X4580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENGNGNGNGNGGVNHTISCDDVISKLKDDGDFDRLRLKIIRKLKENEEMRKSIVSMVKQSVALNRPGAENMKPRQLSDTIHQEVGDRVNEQISNGLWEIIRSPDGDIKTEITETVKSVYNKLSTPNPDPKQTRIQNNQIDINLPQQQQQQEKETLLQSKQVLEKDTVDPSSPPGFGFGIGFSTGKDEGNDGSDEDPEAPPGFC >cds-PLY90722.1 pep primary_assembly:Lsat_Salinas_v7:1:517466:518130:1 gene:gene-LSAT_1X1200 transcript:rna-gnl|WGS:NBSK|LSAT_1X1200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNICYASFNQSILCFVGEEEGSKYVLRDGVRDVIKSGYGKENVDLVEENGFVLIQATSDMVKGFNSSQSMADSSQPSSPPSLNVGIHAKVINKGSNDDVKMGSDTGTNLKMKHGVSFLDLKWDNEPMIVDNDSKSKSSQWLLLSMMLAKSLMLELLKLLAPWLISTSKLFLKLMGNQVVKLS >cds-PLY65642.1 pep primary_assembly:Lsat_Salinas_v7:7:28066137:28067254:1 gene:gene-LSAT_7X21760 transcript:rna-gnl|WGS:NBSK|LSAT_7X21760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAMHFTRTGIFNISKANIPRLTSLSFTNKASRVCFTSASKHSQGKHASEETNNGYNADETVGYYGGKSSDMGRDPKDKMKEGKERMKEGAGEMKDESMDSMKGKATEMKDKANDMAGKAADRAGDMKEKAKEKSYEMKESAKETANKAADKAGEGKNKAADMAKAAKDKTMDAAGAVAEKTKQTVAGAWDAAKDTTQKIKETVVGTSSDDDDDWGHDRTGKGKGKVMGEDAVNLRRKAGNLDHEKKDY >cds-PLY88031.1 pep primary_assembly:Lsat_Salinas_v7:MU040232.1:266811:269602:-1 gene:gene-LSAT_0X26780 transcript:rna-gnl|WGS:NBSK|LSAT_0X26780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNLESQPLWLLLLLTIAFLSLTKTSLKLLNWVFVHFLRPAKDLKKYGSWALVTGPTDGIGKAFAFQLASTGLNLVLVGRNIDKLNDVSSSIRDKFKQTQIKIAVVDFCGDLTHGIEKIKETIDGLEVGVLINNVGVSYPYARFFHEVDDKLLCDVIKVNVEGTTKVTRVVLSGMIERKKGAIVNIGSGVAVVMPSDPLYTLYAATKAYIDQFSRCLYAEYKNSGIDVQCQVPLYVATKMSALRRSSFFVASTDSYAQAAIRYIGYEPQCTPYWPHSILWALARLLPEYIIEAWWLGVCLAIRKRGKLKECRKKNVSN >cds-PLY79295.1 pep primary_assembly:Lsat_Salinas_v7:4:370416851:370418738:-1 gene:gene-LSAT_4X182101 transcript:rna-gnl|WGS:NBSK|LSAT_4X182101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAENLAEGDFRQNLPRFLPENLENNKMLYERVSAIAVKKGCTPSQLALAWVHHQRKDVIPIPGTTKIENLQQNIGALSMKLTPQDMAELESSLGDGTNMYDFTYVENVAHAHVCAERALASDGSASKRAAGEAYFITNMEPIKFWEFVSLILVGLGFEWYILDFWFVFKAYFGAGLSGVLAWCVRVGMNQPNAPGVLAPSIFTSYVSRLI >cds-PLY63939.1 pep primary_assembly:Lsat_Salinas_v7:4:76677533:76678303:-1 gene:gene-LSAT_4X51721 transcript:rna-gnl|WGS:NBSK|LSAT_4X51721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein ATHB-52 [Source:Projected from Arabidopsis thaliana (AT5G53980) UniProtKB/Swiss-Prot;Acc:Q9FN29] MDFSQPKKHPQKANKKRLTNEQVKLLETSFNFNNKLDSTRKSQLAQELGVPARQIAIWYQNKRARWRNQSLETEHKTLQQRLERVSSDKNRLEREVERLKGELEKAKDLLVSSKSMNYASLPSFSSSCDDVGSSSLLGDHGDFYVCFDDHQFDHKSNGHDFFARTMS >cds-PLY83096.1 pep primary_assembly:Lsat_Salinas_v7:1:155892764:155893653:-1 gene:gene-LSAT_1X107841 transcript:rna-gnl|WGS:NBSK|LSAT_1X107841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIFSPSALLTTATTVAAASSSSSSTSSLHLPIRSTTKLQLPNLTTTALTATALAAATIIATTIPPALSAESYATYYGTAASAANYGGYGGNSDKKTSAEYIYDIPQGWKERLVSKVEKGTNGTDSEFYNPKKRTEKEYLTFLGGLRQLAPKEAVLNNLALSDVNLQDLIAGADSVSSEETKDDKGQVYYVYEIDSPSAHSLISVTCARNKLYAHFVMAPAAEWKKDQETLKHIHESFKTVG >cds-PLY88022.1 pep primary_assembly:Lsat_Salinas_v7:4:178576746:178577760:1 gene:gene-LSAT_4X105861 transcript:rna-gnl|WGS:NBSK|LSAT_4X105861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGAPIQLSVCNPFTGQFGQLPPLQKPRTNPAVGTIEVFPGQFKLYVAGGMSKAASGGAASYESTLEMFDSNVKKWTVVGSMPVEFSVRLTVWTPNESVYSNGVLYWMTSARAYSIMGFEMDTNKWREFSVPMGYMLEFAALVPRNGQLVVVGGSHGGDVVVWELGEGGEWNVIERMPVELRKRFVGGSVKCVGIEGGVCLYRDMASGIVVWRRGRNCMDRWEWNWIEGGVCLYRDMASGIVVWRRGRNCMDRWEWNWIEGCNRVSGKHIENYPIKGVFLHPNLASSPFI >cds-PLY63150.1 pep primary_assembly:Lsat_Salinas_v7:4:311490755:311493597:1 gene:gene-LSAT_4X156600 transcript:rna-gnl|WGS:NBSK|LSAT_4X156600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTTKGSKVKSLTTENGGNVGLSSILEVDVNSILTITRGTADKDEPLLVVACGRDTISIASSIKRLTSENVFVVQCLSVLYQLPTLESVHQVDSAALCSVAIAWHDEFARRCRYSTDLAKQLTTYFKGVLDTYGSVRISFSRRTPVKIATYVRKELAEFRKIYIWDNKEPNPHMGHLAWAYAFIIIADSISMLSEACSTGYSTLLTCYNVQTRKIRYPNMIASQAPSPYPCKLGKPQCVPHCSGNLFLQVKACVDP >cds-PLY94760.1 pep primary_assembly:Lsat_Salinas_v7:2:176454306:176459575:-1 gene:gene-LSAT_2X100160 transcript:rna-gnl|WGS:NBSK|LSAT_2X100160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSLYSWVCSYYMTAHHIVLSIVESVLDKENFTLEELLDEEDIIQECKALNSRLINFLRDRAQVEQLLQYIIVESQEDADSKRTFKFPFIACEIFTCEIDVIFKALVEDEELMNLLFSFLEPTRPHSALLAGYFSKVVICLMVRKTVPLMNYVQAHQYVFQQLVDLIGITSIKEVLVRLVGGDDHMYPNYAEVMQWLADSNLLEMIVDKLNPSAPPEVHANAAETLCAITRTAPSPLASKLSSSSYVTRIFGHALEDSHSKSGLVHSLSVCISLLDPKKSTPSSLFNSFRGQHMYESPVQVNQETVGAMLPKLGDLLMLLNVSLDDKILATSYGQLKPPLGKHRLKIVEFIAVLLKTGNQTAEKELIASGTIQRVVDLFFEYPFNNALHHHVESIIYSCLESRNDTMMDHLFQDCSLITKIQQADNYSTLNQPTLVASGRNPPRVGNLGHVTRIANKIIQLANSDSRIQTHIKESSEWSEWQTTVLQERNMVENVYRWACGRPSALQERSRDSDEDEIHDRDYDVAALANNLSQAFQYNIYDDNEETQEGHGTLNRDDEDGYFDDESAEVVISSLRLGDEEGSLFTNSNWFAFEEERMRMGEGEGEGEGPTSHSEAMDEINLNGSGTSNGGNNRSDDEVVVDKKNPFIEEENVINGDLNDKEREEDLFADRPMPEWVSGGGGVNPFLDYENTSAANANGSSEKENEKGGGLFEEDVEFVGVELELELELEGSEKAMDGALKEGIVGEAGPLKKQEVIPGPEKEENELKKDFNDSNYWRVDPDPNLDVSE >cds-PLY71869.1 pep primary_assembly:Lsat_Salinas_v7:1:191322151:191324964:1 gene:gene-LSAT_1X125901 transcript:rna-gnl|WGS:NBSK|LSAT_1X125901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMNWVLVITEFFIVSHLASLTITHADSRCGKLGNFTQNSAYEKNLNDALLALAEAPTRNSFFSRSSNSSQDNESSTAYAIAICPGDIQNDTCLGCVRGAPNRLKLECPNQKKATAWYYRTCILSYSNNSFDIGDGDDDDVQSFPARYIPNWDFVVQTLMNLLKQLLDTAATDGYNQIFYFASATLPSSRGDFEVTMQCIPTISYNKCEECLVNATEYLLASYNGSTDGLVYYRYSCLIRYIIDLVNTVDQSPPMPPTNLPSVPPPGKNRSKVIIPVVAVGAVTLAISTFFICLKFRRICSEKGEEEELFEGSDDDTGEIIYFRLNAIQAATRNFSDANKLGEGGFGSVYWGILTDGKKIAVKRLSQNSSQGMKEFKTEVKLIITLQHKNLVKLLGCCMKGKERLLVYEYMSNSSLDKYLFEDPKKAKELDWAKRVNIVNGIAKGLRYLHEDSRLKIIHRDLKASNVLLDDDMNPKISDFGTARIFGSNQIEANTNRVVGTYGYMAPEYAMEGLFSIKSDVYSFGVLLLEIISGKRNSRLFYEEHDQNLLYYAWMLWEEGKGEQLIDENMDDDCPVDEGLKWMRIALLCVEEDPNDRPTMSSVVFMLEGEWKSLSDPKPPMSFGQFITFDNFSSTWNDNEFGFYSANETQTGKSSESRGVDDRDV >cds-PLY99865.1 pep primary_assembly:Lsat_Salinas_v7:4:47314043:47314422:1 gene:gene-LSAT_4X32580 transcript:rna-gnl|WGS:NBSK|LSAT_4X32580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWTPSSFSTTYREIHYDCLLPSQIHAFKTKDNTRRKFRLCHNATKQGKKYKFWKWHDEDDVNAKPMVTDGNSVSKDMLIGLKKEVTELKI >cds-PLY74154.1 pep primary_assembly:Lsat_Salinas_v7:9:13538314:13540916:1 gene:gene-LSAT_9X11760 transcript:rna-gnl|WGS:NBSK|LSAT_9X11760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVRLLLQVSVYAVFPVVNELEIEIATGTYLTQSQVVITGASADSQNQEKTAVDINLVPLGEKFDNTTALLTYDKIWRKKLSLNRTLFGDYEVVYITYPGLPSSPPYGDGDHPGSGPNGSPGNRQIPLTATFVGKSQSMNPKTIFVITLSAVVLLVVCLAAISVFLKYRRVGRSSNAVGPVFTTPSSKRRGLGAMLSGDPYSNSTLSIVSAMPTSLLSVKTFTLAELDKATEKFSSKKILGEGGFGCVYHGIIEDGSEVAVKLLNRDNNQNGDREFIAEVEMLSRLHHRNLVKLIGICIEGRTRCLVYELVPNGSVESHLHGVDKERGPLDWDGRLKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSSHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMSQPPGEENLVTWARPLLTTREGLQQLVDPSLFGTYDFDDMAKVAAIASMCVHPEVTQRPFMGEVVQALKLIYNDKDEVCGDSTTQRDSSVVESDFKGGDQVPSDSSWWNAGGGATPRLTYGQTSSFMTMDYSSGPLEEMDNRAFSASSFDGGVGLGSSISHGNRSGPLRTVRSKPLFYRSKGSMSEHGGLLSKPFWSEASF >cds-PLY68831.1 pep primary_assembly:Lsat_Salinas_v7:3:64054764:64055548:-1 gene:gene-LSAT_3X50520 transcript:rna-gnl|WGS:NBSK|LSAT_3X50520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHLPEMSEIKLLGSAASPFVNRVQFVLNLKSMDYEFIDENLTCKSELLLTSNPLFKKVPVLLHANKAPICESLIIIEYLDEIQPNIHKILPTDPSDRAYNRFWAHYIDYKFTPMYLELRRAQGKDVKEAVKHKIIKGSQLLEEAFVKFSKGEGYFGGRDIGYLDVVLGCFLGLTNIIEKDNEFKVFDEVRTPKLAEWEKRIWLHEAIKGDIPGEETLMNLMLLKCKSS >cds-PLY97387.1 pep primary_assembly:Lsat_Salinas_v7:4:16334803:16336944:-1 gene:gene-LSAT_4X10800 transcript:rna-gnl|WGS:NBSK|LSAT_4X10800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPSFERGLKKGPWTSEEDRKLVAYVEEHGHGSWRWSAIATHLPKRTDNEIKNYWNTNLKKRLTKMGIDPITHKSRTATDTNLSHMTQWENARLEAEARFVRGSTNVVSHFYQQIHCTSPPFMTNNKLAMSSLSLPCLDVLKAWQGINITSCKLSDLSGLQTPKDQTMPPMVNLIENVEPSSLYKDMITTEDHGMNSWEISKTSLFNTLECPQDKGTDDQNLFEVNDMIYQDDYLTEALTNHLVKDFEDDKSYWSNILDKVGNNSSFSTVF >cds-PLY92574.1 pep primary_assembly:Lsat_Salinas_v7:7:159960766:159965173:1 gene:gene-LSAT_7X94901 transcript:rna-gnl|WGS:NBSK|LSAT_7X94901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSVENRNFRHELGVSAAGAVRSESPIHGFNYPAVAREFMTPKKLPPETYDSSDDEEDEGDYRDAIKKSNSELESSVFDSRDQGTADQWIERNQSMVRLTGKHPFNAEPPLTKLMQHGFITPAPLHYVRNHGPVPNATWEDWTVEICGLVKRPARFSMTQLVNEFPSREFPVSLVCAGNRRKEQNLTKQTIGFNWGAAGIATSVWKGVPLVSILKRCGIYSRKKGALSVCFEGAEDLPGGGGSKYGTSIKIEVAMDPARDIILAYMQNGEKLLPDHGFPVRMIIPGCIGGRMVKWLKRIIVTTPESENYYHYKDNRVLPSYVDAELANSEGWWYKPEYIINELNINSVITTPCHEEILPINSWTTQRPYTLRGYAYSGSGKKVIRVEVTMDGGETWNVCTLDHKEKPTRYAKYWCWCFWSLEVEVLDLLSAKEIAVRAWDETLNTQPDKLIWNLMGMMNNCWFRVKTNMCKPHKGEIGIVFEHPTQPGNQSGGWMVREKHLEISTELAHPTLKKSVSSPFMNTASLTFTMSEVKKHNSVDSAWIVIHGHIYDCTSFLKDHPGGSDSILINAGTDCTEEFDAIHSDKAKKLLEEYRIGELITTGYSSDSAASSPNNSVHGATNYITSHLATIKEITPTRNVALIPREKIPCKLVSKTSVSHDVRLFRFALPSLDHVLGLPVGKHIFVYATIDEKLCMRAYTPTSTIDEVGYFELLVKIYFKGVEPKFPNGGLMSQYLDSMTLGSLLEIKGPLGHIEYIGRGTFSFHGKQKFAKKLAMFAGGTGITPIYQVMQAILKDPEDDTEMYVVYANRTEDDILLREELDAWVYKYKERVKVWYVVSKSIREGWKYSEGFITEDVMREHIPKASEDTLALACGPPPMIQFAINPNLEKLGYDIKNSLLVF >cds-PLY64975.1 pep primary_assembly:Lsat_Salinas_v7:8:160123902:160124503:-1 gene:gene-LSAT_8X106720 transcript:rna-gnl|WGS:NBSK|LSAT_8X106720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGAEEEEDTEIKIDEPVTNVEDFVQGMNSPSRMKKHIRFSSTSSSTPSTCDAAQRGSTPPLVVTIEPLIQDELTKLLGKRLNKVELDVANMKSFMALVDEDADDMVVNDSTPNSTCDNPLPPPPPSSNPPPPSNSRPQTPSPPSGSPS >cds-PLY82113.1 pep primary_assembly:Lsat_Salinas_v7:1:13638167:13638505:1 gene:gene-LSAT_1X11840 transcript:rna-gnl|WGS:NBSK|LSAT_1X11840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDNSFTARLATSRNKSDYFLKLVLNSFRSRWYVSKTDIDLVVKVFTRSPKVPKPPTPPSTSPSSDELTFKLESSSSSHSISCCFGDWQKSVVNVVKIDGDDGIKVVFDLK >cds-PLY83735.1 pep primary_assembly:Lsat_Salinas_v7:4:43434936:43435941:-1 gene:gene-LSAT_4X28840 transcript:rna-gnl|WGS:NBSK|LSAT_4X28840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSDIHSKKKYNILLETGKPFRPIMFESVLNNFSPDMPNSFSGRPRQEDAQVFLSFVMHQMHDELLKLEGEVCNFNGGKVSLVSSLSDEDDDDIWETVGPKNKTTITRTQSFIPSKLSQIFEGQIKSVMKARGNKASATIQPFLLLHLNICPDPMHTIEDALHLFSAPETLEGYRASSAGNILELPEIIILHLMRFSYVSQGSTKLLKPIHIPLHLTLNRDFLFLSSPEVCIYIIYIXXXXXXXXXYISFSSFY >cds-PLY74866.1 pep primary_assembly:Lsat_Salinas_v7:8:105965603:105968274:1 gene:gene-LSAT_8X72140 transcript:rna-gnl|WGS:NBSK|LSAT_8X72140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPNMLCGACKSHQNHRNLKMNNLKSLEPISNLRFQHSIPLDMDPDNIFISTISSKSNKRSNKNGGGFRKMANKVSNFSDMIHRVTASCLLHPLCSGSNNTDDISEHDTGEDGSEEEGTYKTEEGNEEEDATEYLEAAVAMVEEQSGYRTKKEIEMVLLMGEVFEAVASMKTAYVSLQEAHCPWDADKMRLSDVAVVAELRKLGVFRERFRRSFGMNIDRSKKTVSGPMLRDVVAPYEAAMEKLKMEVRSKEAEVDNLREKLKTATTINGSAGRKCRSHSHQSKRRVSCSSQFQRPSTVPVSPAPMVMPELFETCVKSVKEGSKSFASLLLSLMKAAHWDITATVKSITGTNSNSAVTDSIVGPNQAKYSLESYVNRKIFQGFDHETFYIDGSISSILNPNQFRSECFTQYSDMKSLDPMELLGILPTSQFGKFCSKKYLSIVHPKMEESLFGDLEQRRQLLAGNHPRSRFYGEFLALAKAVWLLHLVAFSLDPLPSHFEGSRGAEFHPEYMESAVRFPGGRVSSGFIVGFPVSPGFKLGNGHVVKARVYLVPKTEL >cds-PLY70899.1 pep primary_assembly:Lsat_Salinas_v7:9:14812846:14816393:-1 gene:gene-LSAT_9X12221 transcript:rna-gnl|WGS:NBSK|LSAT_9X12221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESLEEDYSMSTEEEGLLKVMQINGTIALPMVIKTVIELDLFEIMAKTPNGQFSSLDLASSLPTQAPETPGLIERLLRFLASQSILTSTLVTDESGNSKNLYGMTPLSNNYVRNQDGTSLASSILMIYDKIFVDCWYYLKDAVANGGIPFNKAHGVHAFEYPAKDNRFNQVFNKCMYDNTTIVMKMFLGKYKGFEGVKQLVDVGGGLGANLNIIVSKYPTIKGINFDLPHVIKDTHSSPGVDHVGGDMFESVPIGDVIFMKWILHDWGDNECITVLKNCWAALPEFGKVVAVETIIPDLENQPRDHATNIDVSKTVISSDMIMMIANPGGKERTLKEYNSLAKKAGFTSLKIVCRVSTFWIMEFYKNA >cds-PLY92403.1 pep primary_assembly:Lsat_Salinas_v7:2:155226563:155228506:-1 gene:gene-LSAT_2X81020 transcript:rna-gnl|WGS:NBSK|LSAT_2X81020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDLAVILPRVIIVSRRSLRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVAGVHMLLHSFEPIHGVLLCEGEDIDPSLYEAEETNLSPEELEEIRRLHVSDTAIDKEKDTIELSLAKLCLERNIPYLGICRGSQILNVACGGTLYQDIGKELTKSRPQENKVIHMDYDNYDGHRHVVEIVNDTPLHQWFEESLEDNMEIRVNSYHHQGVKRLAHRFKPMAFAPDGLIEGFYDPDAYNPEEGKFIMGLQFHPERMRKQNSDEFDYPGCTAAYKEFVKAVVAYQQKLNSTTKVPKSLKLDKELEQKRKVIVRSFSLARNLYEGGSNIRQPKESDLRPGAEFLESNTALSLQQETRLKQMGATVRNASSYMERLKLNEDREKLARVVMGKMTIEQLSDLNIFYHMMGQICSEMLEKHQLQKTHDQ >cds-PLY61814.1 pep primary_assembly:Lsat_Salinas_v7:6:59816546:59818548:-1 gene:gene-LSAT_6X44060 transcript:rna-gnl|WGS:NBSK|LSAT_6X44060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-fucosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G28100) UniProtKB/Swiss-Prot;Acc:Q8GW72] MEVLPNELDHHLHNPITRSISNNPLIFIIVLLLSHSTLATSFPTPSPIPILPLPSSQQLSWQLSEMALFLHFGTNTFTDSEWGTGHADPSVFNPTALNATQWVAVAKENGFSRVILTAKHHDGFCLWPSDYTDYSVKSSPWRGGNGDVVGELAKAAQEAEIQLGLYLSPWDRHEPTYGKTLEYNEYYMAQMTELLTRYGNVKEVWLDGAKGEGEKDMEYFFENWFSLIHQLQPSSVIFSDAGPDVRWSGDEEGFAGTTCWSLFNRSNAAIGGTDAKYSQGGDPLGHDWVPPECDVSIRPGWFWHESELPKSATNLLELYYNSVGRNCLLLLNVPPNSSGLISEQDIKVLQEFSNLRASIFSQNLAKSAIVTASTTRGGSGSNNTQFNSKSILEEGIFTYWAPKKNQTHWIIYLNFQESVSFNVVQIQEPIQMGQRIVKFHVDVVDEDGEWREVLTGSTVGFKRILRFPNVKTQRLRLVIDKSRAEPLVAYLGVYVDKVSILGNIKSNTTSNSSSVINFNGSQILHQIVNNRTRVSSI >cds-PLY96779.1 pep primary_assembly:Lsat_Salinas_v7:2:171065272:171067600:-1 gene:gene-LSAT_2X93901 transcript:rna-gnl|WGS:NBSK|LSAT_2X93901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPALSSLILRKDQNDTGSAGVKSLRAIALLGAGVSGILSFTTIASADEAEHGLESPSYPWPHQGILSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVEDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVTIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRKLVLDVVN >cds-PLY73238.1 pep primary_assembly:Lsat_Salinas_v7:1:163566134:163566394:1 gene:gene-LSAT_1X109920 transcript:rna-gnl|WGS:NBSK|LSAT_1X109920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGGNMMGFRVPPVAAAGIEAGQNVVEGPGSGHDDTSAATLEAGQNGLQPQASRHPLRHLLPMIPLCRHHHHCQFLEIHLLGSTDP >cds-PLY78200.1 pep primary_assembly:Lsat_Salinas_v7:4:252175092:252175286:1 gene:gene-LSAT_4X131800 transcript:rna-gnl|WGS:NBSK|LSAT_4X131800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLEGNDCNDNGGGRKGCLFVGVHIRNNKATMALKGKGCGDDGSGRKQYDVLVVTGIYLGLDSV >cds-PLY69657.1 pep primary_assembly:Lsat_Salinas_v7:5:213587802:213588697:-1 gene:gene-LSAT_5X97580 transcript:rna-gnl|WGS:NBSK|LSAT_5X97580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATVDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLFSKEATGGEKQFSSQGHQGNFSKNEGSGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIQPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGGYQQHRHLRYHSVINKAPLKALHHLPLPLLP >cds-PLY64815.1 pep primary_assembly:Lsat_Salinas_v7:2:101859526:101861375:1 gene:gene-LSAT_2X46441 transcript:rna-gnl|WGS:NBSK|LSAT_2X46441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFSLFGRSFGHFVQVVKKDVDFLKKNIGAGINWTSEALGLPEISKKIYVIGEEGILIELELAGFSYLGGPEDGGKKIELKPGYLMEHDKDVGAVVVGFDRYFNYYKVQYGTLCVRENPGCLFFARNRDAVTHLTDAQEWAGGGSMVGALVGSTQREPLTVGKSSTFMMDYLANK >cds-PLY88030.1 pep primary_assembly:Lsat_Salinas_v7:MU040232.1:262079:262234:-1 gene:gene-LSAT_0X26800 transcript:rna-gnl|WGS:NBSK|LSAT_0X26800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSFPQIKLPPKAIEAAKSAGKVPNVFYCLKLLEATDISTVPGSCFGQKEG >cds-PLY95737.1 pep primary_assembly:Lsat_Salinas_v7:2:112535051:112535359:-1 gene:gene-LSAT_2X50120 transcript:rna-gnl|WGS:NBSK|LSAT_2X50120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSFPSNEGVFGNFKQALNGRMFPYIGVIDASLSVSIQIYGRSVLLPRTPPLAVTPPQNAVTTVGSRDLGPPQKTVMGHVYGCDMKPRIKRFEITWLSTRNL >cds-PLY98892.1 pep primary_assembly:Lsat_Salinas_v7:5:19076317:19079211:1 gene:gene-LSAT_5X10240 transcript:rna-gnl|WGS:NBSK|LSAT_5X10240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEMCDNSGGGGGDTPSKVVVDGQKLPPMVVEVADDKNKSPRHPRWTRQETLTLIEGKKVAENRGRRGRRSSSVFGSDQVEPKWDSVASYCKQHGVNRGPVQCRKRWSNMVGDFKKIKAWESQVKQESDSYWLMRNDLRKENKLPGFFDQEVFDVLDGKAFTKAEYKLALVTVSADTKDENGVGVVAGDEEEEEEEGEAEVEEDVVFDSGRRAVSDDGLFPDSDKMEEEADNPIPGSPDPMPISEKRCQTFHQDCSQGTLNEQQTNWASWKGRMPQEGCKRRRVSTDELHNKNFNARLIEVLEKNVNSLNARLEAENTNCQLERDERKDYNNNLVSALNRISDALTKIADKL >cds-PLY84629.1 pep primary_assembly:Lsat_Salinas_v7:1:31901745:31902478:1 gene:gene-LSAT_1X27861 transcript:rna-gnl|WGS:NBSK|LSAT_1X27861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPMSRNNVVKDTQKDISRLGIQSAINRKKDLDAAYVDALNSCDEVALVYLFDKTGPVLEKLSHMTVNVIVSTLATFLSEQRFMNSIIPWLHQVVELSGVHGSNHLLLTAKTRREFLYAIQEAMNMELPNTTSRRSITQLVTKMHQVWGKCS >cds-PLY86010.1 pep primary_assembly:Lsat_Salinas_v7:1:49088546:49088794:1 gene:gene-LSAT_1X43080 transcript:rna-gnl|WGS:NBSK|LSAT_1X43080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESTSTGVIPKKMTFRATEGTSTDSQFIKKKSKAKPKAVEKEASTKNVPEKYGKGYLRKLQKKFPKKSVAIGFEKDVENVIK >cds-PLY87859.1 pep primary_assembly:Lsat_Salinas_v7:3:44325318:44330898:-1 gene:gene-LSAT_0X9201 transcript:rna-gnl|WGS:NBSK|LSAT_0X9201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRSEPIVQEPKVLHCLAFPDLAKYLPPSPTEKDNPMTAFIKLDDSPMFQKQVRYLEQTTDELKLRCQKLHKGSKKYIVILSLISFFTGMVLGKHAIWTFYLQTQWRLLVVDKMTLSVYQLEDSRKRFDKAAHSYDQAREKVASLKKSTRDEVVAELEEDLQNSKSTFERSRFNLGYELLSQMEPFIHQVLTYAQQSKEQASIEQDRLAKRIQEFRTQAETKVPLPINVGPTTTTTGVTVNGVGMNSDKNIDAIMQSTTKGTVQTIKQGYLLKRSSSLRADWKRRFFVLDSRGNLYYHRIPPNKPIASQSSNSLASTEQQSRVFGRFRSRHTRAASHDDENLSCHTVDLRTSIIKLDAEDSDLRLCFRIISPLKAYTLQAENEADRIDWMNKITGVIASLLNSHLNQTHFGRSNLGNISEAVDDDFTVLSFNNEGGSTGDNMDMNPADSVSSILREIPGNDLCAECGALDPDWASLNLGILMCIECSGVRSINLDVKVWEPTVMELFRNLGNKYCNSVWEKLPQTNKFFIEDETDAVLVSKPNPKDAIQQREKYINAKYVEKLFVEASNGSNPNPSSNATRIWEAVKGNNIQEVYRMIATSNSNSNIVNATTYDDVAPSDLIHDLDSTKDSDPKLCKRIKDSRRPESCLQGSTLLHLACGSGYQVMVELLLQFGADINRPDYHGRTPLHHCIFSGNNKLAKYMLRRGAIPSIKDGGGQGALERAMEMGAITDDELLILLSDGK >cds-PLY66369.1 pep primary_assembly:Lsat_Salinas_v7:5:288421224:288421787:-1 gene:gene-LSAT_5X153640 transcript:rna-gnl|WGS:NBSK|LSAT_5X153640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKFNLVVMMVLMQFHGMVAQTTHVVGDALGWNIPPNGPSDYNTWASTHTFKVGDVLLFNFITGFHNVAEVSGAAYCPCTTANPISIATTGPARVTLNTPGIHYYICTVGTHCQIGQKLTINVSATSATPLPPPSSATPAFVSPPTLFSPPTEGTILQPPSRSFGSSFTAVIPFSFLGIALAFFY >cds-PLY81548.1 pep primary_assembly:Lsat_Salinas_v7:2:126332295:126332719:1 gene:gene-LSAT_2X58840 transcript:rna-gnl|WGS:NBSK|LSAT_2X58840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVTGFSPELREQIQKVASMNLCSNISSQILARRAKILEDALDNLEGVTCNKAEGAMYLFPQVKLPNKAIKEAEKAKKISNAYYVLCLKGFVVGINVSRDCGTPLLLFCVVLLL >cds-PLY74106.1 pep primary_assembly:Lsat_Salinas_v7:9:11369641:11370851:1 gene:gene-LSAT_9X8941 transcript:rna-gnl|WGS:NBSK|LSAT_9X8941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGTGSPCGACKFLRRKCAADCIFAPYFCSEQGPARFAAIHKVFGASNVSKLLLHVPAADRCEAVVTIAYEAQARIKDPVYGCVAHIFALQQQVACLQTQLMQMKAQMAQGFFDSRNIETQWPAGTATYQNYPNFASIMNGNISLSPESSLESIDHNSEGMGMQERQGREDHYHDISFQQIYNNKKRPFPSDLGELQELAVRMMRN >cds-PLY68187.1 pep primary_assembly:Lsat_Salinas_v7:8:118843335:118843751:1 gene:gene-LSAT_8X82480 transcript:rna-gnl|WGS:NBSK|LSAT_8X82480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSHPHKPRKMSSTTVNDGESIEVARQPRGRPPESKNKPKPPIVITREPEPFMSPRRNLHRRSSILLEKGYGDLCLNQLRDSTLLTLPSDNPHHPLCHHYVPLLVRYSFNLGPCSSVLNSSIRCGPYSWSMLEDVNRL >cds-PLY68786.1 pep primary_assembly:Lsat_Salinas_v7:8:65909619:65911582:-1 gene:gene-LSAT_8X46340 transcript:rna-gnl|WGS:NBSK|LSAT_8X46340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFWVVAAATGAGYVAKHWQNLSGEKDGSSKQSQPLPSPRLQQDARQVFDKIPDPVFPLPKLAKRCLLDRDVDQVSGSTSTFIEKRVDQDHTNEINGKQEYLDTSSDNRLHKFKTKNMNRKPFTSLRPLVVTGNNKDSKDHKVVLMEEKENGNGACIDDSPFLEQPIGSMKLPKRQNENFDMVLLFVGITMGILSGTFTNQKEIEHLNDLLEQAENMVKDLHSKLEIKDGFTTKKLEIEPDVTAINSPKGEKFEKFELTSDIEAELEAELERLEENMKSCTTQRLSNVVEIDSDFEADMARGDLNLDTVTWQLDSQSSESDPRGKTGKSSKSENTNRVFTPNYAVSSIDLQLRLHEVIESNLEARIKELESLLKNQNTQNTPRSHSPCPQEENSFWDFDHTRIESFSSTP >cds-PLY82474.1 pep primary_assembly:Lsat_Salinas_v7:2:183135550:183136205:-1 gene:gene-LSAT_2X105461 transcript:rna-gnl|WGS:NBSK|LSAT_2X105461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANVNWSWDENKIFENALTLYPEDAENRWELIAELLPGKTAQDVKAQYQKLIQDLEAIEAGLVPLPAYREFSEEEMAEARKKLAEEQEAANSTGFSTTEGSGSGKQ >cds-PLY70582.1 pep primary_assembly:Lsat_Salinas_v7:1:90197862:90205853:1 gene:gene-LSAT_1X74200 transcript:rna-gnl|WGS:NBSK|LSAT_1X74200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESPKAGGSRNTCVVILTLDTSEVYIIVSLSTRSDTQVIYIDPTTGSLHHDQKLGYDVFNSQEEALNYITNGSKFICKSTIHAKAILGYAVLGNSALLLVATKLTASIPFLPGGGCVYTISESKWIKIFLQNPQPQGKGEVKNIQELTELEIDGKHYFCETRDITRPFPSRVSVKTPDDEFVWNGWFSTAFKRIGLDQHCVILLQGFVEYRTFGSLGQQDGIVALIARRRNEIECEQLVWVPKRDGQNVPFNTYIWRRGTIPIWWGAELKMTSAEAVIYISDRDPYKGSARYYQRLTKRYDSRSKGINGNQNKTGFVPIVCINLLRNGEGKSESILVQHFEESLNHIRSNGQLPNTRLHLINYDWHTSIRFKGEQQTIEGLWYHLKSPTISIGITEGHYLHSRENIQEADDVIVSNDDIIGCFRLHVHQNGVIRYNCADSLDRTNAASYFGGLQVFTEQCRRLGIFLDADVALKPSGSPARMRSCNDKAWKRFDMTFEEFKRSTILSPVCQLAELFLISGDIHATIYTGSKAMHSHILSIFSEEAAKNKQFSVAQNVKITLQRRYNNAVVDSYRQKQLEMFLGLRLFKHLPSISIQPLHVLSRRSGCLLKPIASIDSSSDDGDSLLSFKHKNLIWISQQAADIIQLFIYLGEPCHVCQLLLTISHGADDSTYPSTVDVRTGRDLDELKLVLEGASIARCVNGTNMVIPIPGPISDDDMAFTRSVTTPPTHKPKLPFLYDFEGREGNLDFLTRVVVLTFYPSESTTSPVTIGEVEILGISLPWRDIFASEKLWERLNSIKENNPCVIALTNDMAPPGKSDTMDLLSGDDIVSESVSQPMTEISLLDHGYIEDNKTNSIQQDEIQTKGDVAALQYITCFKMLSALHGGNKLGFKEGMKLEIERLRLNLSGVERDKALSSIGIDPESINPNVLIEESYISSLCKAANAVAFLGQASLEDRITGAIGLEIIENEKIDVDFWNINRIGESCCSESCQVHMGTSTLNSSSSQSIYLCSACNRKVCKVCCAGKGAVLVLQNRGVNERCLLMDGVICKLCCDDSVLDALTLDYLRVLISERRNRDAETATYKALGEIVGRNYIAGKKESSTDKNGDVKAALQQLLNGEESLAEFPFGSFLHSIESASGSAPPLSLLAPLNTGLKQSYWRAPPTTSSTELIIVLGNLSDVSGVILLVQIWASNKIHKEERSCVGKWDVKSMLISSPELCGPEESNIQTPRHIKFDFRNPVRCRMIWIKLSIQKVGSSSVSFENDFNLLSFDETPASDPGGRRASIAGTSESIPSLHAKRILVVGFPVKADIKRSSSQRSEHASSIKNWLEKPPLLNRYKAPIESEKLIENDLVLEQNMSPSSPVAAGFRLDGFSAIKPRLKHSPSALEAVSTVFEHRFISPPVLRIQVSTLKGLSEETVVVAEYRIPEVKSGTAMYFDFPKAMNSRRVRFRLVGDVAGFADDPEDGGDTGRPLAAGLSLLNRIKLYYYAHPGDLGRWAGLSGI >cds-PLY74104.1 pep primary_assembly:Lsat_Salinas_v7:9:12060807:12062154:-1 gene:gene-LSAT_9X8360 transcript:rna-gnl|WGS:NBSK|LSAT_9X8360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANPTYPSRATTYPASKRRRLSDDDHHSLLPGLPDHIAQLCISLIPQSALYSVCRSWRRLIYSASIPPFRSLYTLSLPKATTGCGGESRDNQMPRLSSFDPISSKWITIDSPPLPPFLHRLSLRHPSFISRNLPVQSVSVSGNLVLLAGTSGDLIPALPHPLVFNPLSNAWSYGPPLSTPRRWCAVGASRGTVVVASGIGSHYTQTVARSVEKWVLRKIESSHRKRIDPDGVWEEMRSLRDSKLCREAIDAVGWRGKLCMVNVKGDCAKQGFVYDVDSDEWVAMAEGMLGGWKGPATSMDEETIYVVDESRGVLKRYNHDRDDWSEIMEDKRLKGAEYIAAGGGKVCVACEKDVGILVVDVMATPPRLWVVETPAGHEILALHILPRMCSPEFQLPETV >cds-PLY66895.1 pep primary_assembly:Lsat_Salinas_v7:7:19161967:19162401:1 gene:gene-LSAT_7X15340 transcript:rna-gnl|WGS:NBSK|LSAT_7X15340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKILIILIILLALVINLSATLVDATLVDATLVEDEEKDVFPTYGSLRGVNRFLAQQSRGLLKCNKNPRLCRVKGSAGPDCCKKKCVNVKTDKQNCGLCGKKCKNQEICCKGKCVNPLADKRNCGGCNNRCKKGNSCIYGMCSYA >cds-PLY64105.1 pep primary_assembly:Lsat_Salinas_v7:1:2274713:2279715:1 gene:gene-LSAT_1X1681 transcript:rna-gnl|WGS:NBSK|LSAT_1X1681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAFPDNLTREQYVYMAKLAEQAERYEEMVKFMEKLVIGLTPVSELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEESRKNDDHVVLVKDYRSKVEDELSDVCWGILKILESNLVPSASSAESKVFYLKMKGDYHRYLAEFKIGDERKEAAEQTMNSYKSAQDIAEADLAPTHPIRLGLALNFSVFYYEILNSSDKACSMAKQGFVAATTVNNKPWWKVVSVMIVFPDRTGGDAKDGTEDGGVAMTTHRSKLGLLAMTSSISFSDDGFVLQLVFPSSRSNEHQG >cds-PLY68754.1 pep primary_assembly:Lsat_Salinas_v7:2:211447549:211449372:1 gene:gene-LSAT_2X131541 transcript:rna-gnl|WGS:NBSK|LSAT_2X131541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATDTEDFEMISHIPFLAEYKNVAYVFVPSKEELGRPCGVKNPVLSCSLTSIERSQLRSQIQQLKHDTTKDCIMLRIIRVLPPVVPSSQVKANSSTWEASGETLNNIDHEAMAVDPALVLTIQPPFRGGNRQKIQEKIVKDKIKLPGFLSSEAHSLLKGVMLMCNGSSRLRCLKRIKVLVGLEFLLLAWRSLAMLLSPMEWGIQKWHGYLFWLVLLGLLAASPYITMDGAYHATPSGQTSALPPTRSVTCYYDLRF >cds-PLY73529.1 pep primary_assembly:Lsat_Salinas_v7:9:78615337:78615857:-1 gene:gene-LSAT_9X62720 transcript:rna-gnl|WGS:NBSK|LSAT_9X62720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEFKIDSNEKEGDDSDMELYKVNPRDFFIPTQIELRLTTHFSKDQSLIDLLTNPLGDVFNMITAKWLGKEESLVGPKERDQTKRLIYGILYGMGENSLAEQLEWSSNDARDKIQSFKRSFPGVASWLKEAVAD >cds-PLY81651.1 pep primary_assembly:Lsat_Salinas_v7:1:110838231:110841629:-1 gene:gene-LSAT_1X89780 transcript:rna-gnl|WGS:NBSK|LSAT_1X89780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFENCQVSVHRILGLPSGGTLLSNMDYISEHDEESFMFEGKKQYKNIDKLRLKQLKNELVQTSAPDDNFRINFFGNVIDHAHEEHFSRIKDLSNEKHDHKDEDVVGKGYVGNEDLQHGNTNRFNGEEGISFIQLMCNLTVEKFLNDLDRGCAEGEFGNSKEKNDGEGVVESEFGEVDTYFGKGIKVESNKNKNREDSSVKGGKESNKEETKVVGEENTKEGDERNKDQNLIEWKDSNETKSLKKDKPQRKVVKFSGPSFSLAISQDSEGSNNPSQSQSSLEPMTQKKFRHRLYLIKASLRP >cds-PLY63766.1 pep primary_assembly:Lsat_Salinas_v7:6:27131610:27136403:1 gene:gene-LSAT_6X20261 transcript:rna-gnl|WGS:NBSK|LSAT_6X20261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDVGPGLEDKNSSLMLRERGKFSPTRYFVEEVITKFDETDLRRSWAVASSIRDSQERNTRLENMSWRIWNLARRKKQLGEESQNRMKQEKGQTNVILKPLLADISEELSEGEKGDTITELSPKGVSTKGGMKRINSVDVLKNFATQQKEKKLYILVVSILLTKNQKFYSIHGLIRGENMELGRDSDTGGQVKYVVELARALGAMQGIYRVDLLTRQVSAPGVDWSYGEPTEMLTPLNADDYETGESSGAYIIRIPFGPKDKYIPKEHLWPHIPEFVDGALAYILQMSKVLADQIGDGKPVWPASIHGHYADAGNAAALLSGTLNVPMLFTGHSLGRDKLEQILKQGRQSKDDINTTYRIMRRIEAEEIAVDSSEVIITSTRQEIDEQWGLYDGFDPVLERKLRARNRRNVSCFGRFMPRPVVIPPGMEFKHIVSHKDDPVGTPDPPVWSEITRFFSNPRKPIILALARPDPKKNLTTLVKAFGENRSLRELANLTLIMGNRDGIDEMSPTNSAVLLSILKLIDKYDMYGQVSYPKHHKQSEVPDIYRLAARTKGVFINPAFIEPFGLTLIEAAAYGLPMVATKNGGPVDIQKVLDNGLLIDPHNQQSIADALLKLVADKHLWARCKHNGLKNIHLFSWPEHCKNYLARIACCRPRHPQWESCAVGYEYSESQSPEDSLRDLNDLKISLDGVINDQGILDIYQKADVNSPTFKRKLSIGKKLESLTKLSAKLEKSAAHKAETLAKIASQDKLEFPGIRRKKSFIVVAVDSGMTKEFLEIIKMMIEIARMEKGEFVGLILSTAASISEIHSFLQSGKIDPLEFDAFICNSGSEIYYPLSSSGFEGSPKELPFELDSEYTSHIDYRWGGEQLMNTLLHWASAIRERKKDKELVVPCDSGSIHCFTFSIKDSDPLPPIKDLREFLRKQVLRCQAIYCQNGTKLNVIPLVASRAQALRYLYVRWGVNLSNTVVIVGEYGDTDYECLRGGIHKTLVLKGVCNEAKKLHSNRSYPLEHVITCEGPNIIHVEECNKNQVNEAIMKLGVLKV >cds-PLY96392.1 pep primary_assembly:Lsat_Salinas_v7:2:88330588:88333105:1 gene:gene-LSAT_2X37700 transcript:rna-gnl|WGS:NBSK|LSAT_2X37700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSSGATRKADTKLAVKKTAAKGKAAKDPNKPKRPASAFFVFMEDFRKQFKEDHPDNKSVAAVGKAGGAKWKSMSDSEKAPFQAKADKRKKEYEKNLDTYNKKLAGGGNDDDDEDSDKSKSEVNDEADEDESPWIPGRYTLVTYQL >cds-PLY65142.1 pep primary_assembly:Lsat_Salinas_v7:9:158825406:158827326:-1 gene:gene-LSAT_9X100280 transcript:rna-gnl|WGS:NBSK|LSAT_9X100280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSTERENFIYVAKLAEQAERYDEMVDAMKKVAKLDVELTVEERNLLSVGYKNVVGSRRASWRILSSIEQKEESRGNEVNVKRIKEYRQKVETELSDICSDIMVVIDEHLIPSSSAGESTVFYYKMKGDYYRYLAEFKSGNDKKEAADQSLKAYQLASTTSEADLSPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDSLSEESYKDSTLIMQLLRDNLTLWTSDIPEDGDDQKMEITKSGAEDAE >cds-PLY85236.1 pep primary_assembly:Lsat_Salinas_v7:4:234423423:234424351:-1 gene:gene-LSAT_4X127080 transcript:rna-gnl|WGS:NBSK|LSAT_4X127080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGGCSPTSSGEKRKNRDVDKPYRGIRMRKWGKWVAEIREPNKRSRIWLGSYSSPVAAARAYDTAVFYLRGPSASLNFPESIGDEGFLHDLSADSIRRKATEVGAKVDAIQSQNRGPELHHLPPKSYSGRVCLNPDLNEYPSPESSDES >cds-PLY67047.1 pep primary_assembly:Lsat_Salinas_v7:5:283629140:283631269:1 gene:gene-LSAT_5X150801 transcript:rna-gnl|WGS:NBSK|LSAT_5X150801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 3 [Source:Projected from Arabidopsis thaliana (AT5G01360) UniProtKB/Swiss-Prot;Acc:Q8LED3] MGVITKSPRGNLSFSIVVVLVFSFTFIVLLYTERINLLSSTTVFNSKSCVKRGYSKSTKNLIQDERNATEENRLKSILDDRFIFEAGECNTARGKWVYNQSFEPLYTDLSCPYLDRQVSCVKNGKPDSDYRRWEWQLDGCVLPRFDAKLALEKIRGKRVMFVGDSLQRGQWQSFVCLVEFLIPKDQKSMRRGRVHSVFTIKDHNATIEFYWAPYLIDSNTDVQIIGDPKKRILRVDSIEKHAKHWIGVDVLVYNTYVWWMTGTKIKSLWGSFANGEDGYEELDASVSYRIALKTWANWIDSNIDQNKTRVFFTTMSPTHQRSADWNNKGGMKCYNETKPIMKKGHWGTGSDKRIMSVVRSVIGKMKVPVTVVNITQLSEYRVDAHSSVYTEMLGRLLTDEQKADPMKFADCIHWCLPGVPDTWNQIFLASL >cds-PLY97225.1 pep primary_assembly:Lsat_Salinas_v7:4:94778892:94779802:-1 gene:gene-LSAT_4X63080 transcript:rna-gnl|WGS:NBSK|LSAT_4X63080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMHQHSIILDELLKDTPTHKFAFVWFEWRKTKFYPTHYSELIRLADLYQWNGVDLLSRVRIKFLHEENRFKNQMELNIHPYSGFFPISHKNITRYFRAPTPDVERDDEDGLYRNIVNESFAFHLWNSITHSFVPEPKSLVARLINQQCIHCSDVL >cds-PLY70858.1 pep primary_assembly:Lsat_Salinas_v7:9:15982182:15982403:1 gene:gene-LSAT_9X17320 transcript:rna-gnl|WGS:NBSK|LSAT_9X17320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRISIRAFRGVVDLQNIQRCCTHHLLDEMSQLVFKHKYKIIVSISSSYLRHGCKRASFGYPPSLQVGDQYCK >cds-PLY78411.1 pep primary_assembly:Lsat_Salinas_v7:3:235459591:235462163:-1 gene:gene-LSAT_3X132401 transcript:rna-gnl|WGS:NBSK|LSAT_3X132401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPVPPEWNGIFQSGEAFIATNCNRADFPQLANQGSWLKNTPGKGATMSRQKSGSGKVVEASFSSSPSLKGKRDVMAKHSEAMDFRDWCKNEWKRVSASTKISYYT >cds-PLY71495.1 pep primary_assembly:Lsat_Salinas_v7:7:191434589:191435278:-1 gene:gene-LSAT_7X116281 transcript:rna-gnl|WGS:NBSK|LSAT_7X116281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSDIPSLKSFEVVDGKVNPPSEVSKSTVDLNNSNLSDIPSPKWFGSGLSTSNYEHILKKHLISARLLKP >cds-PLY94093.1 pep primary_assembly:Lsat_Salinas_v7:8:9968172:9969107:1 gene:gene-LSAT_8X8680 transcript:rna-gnl|WGS:NBSK|LSAT_8X8680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEAKKVEVEPECLAEPPPPPPPTVVEKTPSVPEEKPTDEAKTVSVEEKSEPCEEKPTEGSVDRDVVLARVATEKKGALIKAWEESERSKIDNKAEKKLAAIGAWENSKRAELEAELKKIEETLEKKKAKYIEKMKNKVALLHKTAEEKRANTEAKRGEDVLKAEELAAKCRATGATPKKLLRWFSK >cds-PLY67511.1 pep primary_assembly:Lsat_Salinas_v7:6:70436636:70440605:1 gene:gene-LSAT_6X49181 transcript:rna-gnl|WGS:NBSK|LSAT_6X49181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRQMPAVTTTDNPLDRVPSTKPPFTVGDIKKAIPPHCFNRSLLRSFSYVAYDLTAAFLLYHLTTYFHLLPPSISALAWPVYWAAQGCVLTGVWVIAHECGHHAFSDYQWVDDTVGLILHSALLVPYFSWKYSHRRHHSNTASLERDEVFVPKPKSKLSWYAKYLNYPPGRVITLLTTLTLGWPLYLAFNVSGRPYDRFACHFAPNSPIFNTRERLQIWISDGGIIVISYLLYRIALLKGLTWVICVYGVPLLVVNGFLVMITYLQHTHPSLPHYDDSEWDWLRGALATVDRDYGVLNKVFHNITDTHVVHHLFSTMPHYYAMEATKAVKPILGEYYRFDDTPFFVAMWREAKECLYVESEGEKGGVFWYMNKY >cds-PLY65830.1 pep primary_assembly:Lsat_Salinas_v7:5:136222907:136227198:-1 gene:gene-LSAT_5X60480 transcript:rna-gnl|WGS:NBSK|LSAT_5X60480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLHELNMNNRTSTDTKWLCETPIHSDVEAKLRGFLVESANSDRILGIQVCAYKDGKVIIDTAAGVMGKDDPRPVQLDTLFPVFSATKGVTAGMIHWLADKGILKLDENIANFWPEFAVNGKDKLKVHHVLDHTSGLHNALAGITEDHVSFCDWDECLKRIAMATPETEPGSEQFYHYLSYGWLCGGIIEHASGKKFKDILEEAFVRPLNVEGELFIGIPSNVESRLATSSIDTSEFISSPPPTAEPPVDKQPYAAMPSSLVPAVLPALVNLCNIVEGRRAIVPAANGNCSARALARYYAALVDGGTIPSPHSSTTDSHTTAVTKIFNNPKSKIHDAFLGTGDYKDLALPDGKFGLGFKRIKSTEGLMIGFGHAGLGGSTGYGDINNRFSISVTVNNMSFMAFTTAEVIRFVCSELDLPVPEDYAGSRQFHEKPVIN >cds-PLY65024.1 pep primary_assembly:Lsat_Salinas_v7:1:119230029:119230840:1 gene:gene-LSAT_1X92261 transcript:rna-gnl|WGS:NBSK|LSAT_1X92261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLNYESIGLRYGSNLRFHADFSEALILPTNVSPYDFKRGYGVVTNDIKNQDPGNSVMIVCDEKLKEVFGCESSSTM >cds-PLY85195.1 pep primary_assembly:Lsat_Salinas_v7:9:147523945:147525956:1 gene:gene-LSAT_0X7200 transcript:rna-gnl|WGS:NBSK|LSAT_0X7200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDGAFGGVFDVHGRNVQIVGASCWKHLHGSDDITVLAGLFQRSGNATMVEGNLGIRLTALFHNNCEYIFIFLNF >cds-PLY84212.1 pep primary_assembly:Lsat_Salinas_v7:7:76875463:76885790:1 gene:gene-LSAT_7X54700 transcript:rna-gnl|WGS:NBSK|LSAT_7X54700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SAR1 [Source:Projected from Arabidopsis thaliana (AT1G33410) UniProtKB/TrEMBL;Acc:A0A178WF46] MAARSSFAGMEVPIIGSDSIKWFNIAVPSISTSMPPPPSPEPFAPLTVDVSSCCSIGDPPTYFIWRIDQSYPNVLEIVEFHSFEEFPRVGLRLEFANALCPFAFICKKEVPTSLENPYMLYALTIAGVAYLIRLTDITNYASCTAFPPSDVVEFSIQNYGDYGAITAISATMGCLVIGGRTGLVGCFRLGFLDSSDPGFLHELRDDSSFGRLWSLMSRRTIAPVKDLVCLHIQGKRFLLVLHLDGVLQLWDLLSFSRLLSYTMNASTLEGATFMRLWAGENIHDTSIVPLAVLHKPKPEVDREVISVYNLHFSLGGKTNYFVEPSTMNISMEGGPLIDVKLSSNKIWILKEQGLVLHNLLSPRESGEFEQRFCLQETVVAEQLFQTSNHAAYDLLWLAHSLYSSTKDQIAPHVSSVFMRMLFLPGIFHNSVIRETLQDHNRHFTDSEFHSLTADGLKKEIISLIEHEGVSRNPLSLINCWRSFCEHYFYNWDKKNAACGLLLDSSSGAIGLIRKSSVSLLRCLEDIELLALGSFDELGESASSRINLSNDLEQELLCEVLSCTNSLSQQLGKGTPALFYESFFSEQSMSPEDIVGRFLKVLETGYTSSIAAVHVSELGVDNAWKKEIDDHKALRKFSVHMFLSLHGLYKKASTWDKVVDVVLKYLNYLVPQKNEQKLGSEAVFDISTCITVQATSQVAQVMFESAVGILLLLSYMVKLGGQINMSPDDVSRVQLELFPLIQEIITEWHIIHFFSTTPSESPAIEDFSSQLSLLQIDSSIDRRSWNDKLGKCDFTLAFILLLDHQSFFKDQNRVDSKHLPNPTSFIAPVRNFISRIVWGRSDDVSSSFSTHSTMLALILLKHGQFDAVEHLLTMVNQNILKEKTSSGIQGVNNEWCTLLHLLGCCLLSQAHRQAPRGSTEKKVNEAISCFFRAASLQGASKSLQNLSYESGMPHLGISDHVSPAAWKLHYYQWAMQIFEQYNMSAGACQFALAALEQVDEVLGLKDTYSGADFLNESPNIAKGRLWANVFKFTLDLSNYHDAYCAIISNPDEESKYICLRRFIIVLYERGATKILCDGQLPLIGLAEKVEQELAWKAERSDVLVKPNPYKLLYAFEMHQHNWRKAATYMYLHSLQLKNEATLKDCQLRSLTLQERLNCLSATINALHLVHPSCAWITPSLQGKSNHKDLYPSKKARITVQDQEPNDHIPGQKLDSCMDIEKLENEFVLTSAEYLLSLANIKWTFTGIEKPPPNLVELLVQSNLYDMVFTVILKFFKGSELKRELERVFIAMSLKCCPTRDNFKKQSLLLTSSNNEAVHDSHDMVSATQYSKGNDQWDALEQYIEKYKSFHPRLPVIVAETLLYADRLIELPLWLVQMFKGKVKGSWGMSGAESSPASLFQLYVDYGRYPEATNLLLHYIESLASLRPADVVGRKRTSAVWFPYTTIERLWCKLGDLISSDHMVDQCEKLRSLLQTALLKHFHQLKVDSDDVMASATS >cds-PLY74134.1 pep primary_assembly:Lsat_Salinas_v7:9:11105469:11106689:1 gene:gene-LSAT_9X9381 transcript:rna-gnl|WGS:NBSK|LSAT_9X9381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHQLMHQMQHRPTPTPTGAFNTTTITTDHIQQYLDENKALILNILENQSTGKFSECAENQTRLQHNLMYLAAIADCQPKSSSTQLQQQQLQQMVPNSHMLTQSHTVFPQQPPFTMIQQQQQHVLQSQLGIQAPRSGSGTSMEGQGCGVFPDFSFGEVASSHGVRTATFLTAKDNRGIAGSASSHDTHEHEGSHDFPQKKGKLTTTT >cds-PLY89092.1 pep primary_assembly:Lsat_Salinas_v7:9:28913876:28916357:-1 gene:gene-LSAT_9X25601 transcript:rna-gnl|WGS:NBSK|LSAT_9X25601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQTRKSPVIRPGPGQVWNQSSSSTSNSNSNSNSGSASIYVLPRNQPVQEEKSSIFGAIARVLTCSGNIAHVAEDDAQPPSIQYSSRASLRSSRKLNHSSSSTPSKASDGPQGTAELSFEDISKATGNFSASNIIGQGGFGIVYKATLKNGSIIAVKRAKKDNFERGTPVEFRNEIQTLSKIEHLNLVRFYGYIEHGEDRMILVEYVANGTLREHLDGKCGSGLEIGERLDIMIDVAHAITYLHTYTDLPIIHRDIKSSNILITDKLRAKVADFGFARISVEDPGATHISTQVKGTAGYLDPEYLSTYQLTDRSDVYSFGVLLVEMVTGRLPIEINKATNEKLTTKWALQRLKGGEVVLAMDPKLRRNPAALMVVEKVLKLARQCLAPTRQLRPSMKRCAEILWRVRKDYHEYNEVMEAANHSVQVPPQMAARKNRIEFFGIEESTNQRFQSA >cds-PLY67459.1 pep primary_assembly:Lsat_Salinas_v7:6:70490779:70493962:1 gene:gene-LSAT_6X49161 transcript:rna-gnl|WGS:NBSK|LSAT_6X49161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARLQSLEEEIRRLKNKGGTRDDDDLEEFFNEAFECNDNVVGSPQKSNRVNEDDDVDNPPEFDSRKQKQIMNEKKKKKKVVANEIVDAENHIPGPPIVKRSRLVRQLKPSQYLRSPYVSGRILNERRPNDAQWTIMPSSFFGAFEMFQWTRGAIGNPFDPLDGCKSWLEVHYCENDRKIRGDEKILAKFNWPSTSNNKPPLESNSHNVSTNPPPHVDLPLLGEKMDEEVKKVLLQRDDDVDKAFVDYRFQQNLLDNYWDDIDRKRNQIISSRQEIKSTKSSIRSSEEIFRKLKSELELREYYLEKKKEVVTMKKEYPYEFPMWEEDK >cds-PLY92346.1 pep primary_assembly:Lsat_Salinas_v7:9:178792402:178792635:1 gene:gene-LSAT_9X109681 transcript:rna-gnl|WGS:NBSK|LSAT_9X109681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGGPENLRCNFRGIRQGTWGKWVAEIREPNRGSRLWLGTFGSAVEATLAYDEAARVMYGPCARLNLPNCRTILF >cds-PLY85652.1 pep primary_assembly:Lsat_Salinas_v7:6:85639315:85644675:1 gene:gene-LSAT_6X59560 transcript:rna-gnl|WGS:NBSK|LSAT_6X59560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNIFHFRKNSWPPEEYINRTTLQLLDFDSGAPPEQAWRRKLNTHASILKEFSITFKEAIKMITLGIRLWSYVREEASHGRRAPIDPFNREGKPLASQGVPLGGMGSGSITRGFRGEFRQFQILPGTCEASPVMANQFSIFISREGGHKKYASVLSPGQHEGIGKCTDQGLSSWGWNLSGQHSTYHALFPRAWTVYDGEPDPELKVSCRQISPFLPHNYRDSSLPTSVFVYTLVNTGKERAQVSLLLTWANSIGGVSHLSGDHVNEPFIGKDGVSGVLLHHKTAKGNHPVTFAVAACETQNVNVTVLPSFGLSEGSNVTAKEMWGKMAQDGQFDQGNFNVGPTVPSSAGDANCAAVSASTWVEPNGKCTVAFAISWSSPKVKFMKGKSYHRRYTRYYGTSERAAENLVHDALTNYKRWEEEIEKWQNPILKNDKLPEWYKFTLFNELYFLVAGGTIWIDTPLPAADFVHDTTIKPKLTYDTNGDQNGINNNSFTYEDENFTTSSEEDELEPLNPDLDKESESDVGSFLYLEGVEYIMWCTYDVHFYASFALLALFPKIELSIQREFARAVLFEDQRKVKFLADGKCGIRKVKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDLSFGAEVFPAVCAAMAYMEQFDRDHDCLIENDGFPDQTYDAWTVHGVSAYCGCLWLAALQATAAMAAQLGDVVTAEGYKGKFVKAKAAFEAKLWNGSYFNYDSGSSGNSKSIQADQLAGQWYMAASGLGNLFDEGKIRSCLQKIYDFNVGKVGGGRMGAVNGMHPNGKVDESCMQSREVWAGVTYGVAATMIHAGMEEQGFATAEGIFTAGWSEDGFGYAFQTPEGWTMDGHFRSLVYMRPLAIWGMQWALNPVKVVLNAPSINMMDRRLHESEEDGQTSRNETGEGVRKMVHKGKCLVFPCTC >cds-PLY90588.1 pep primary_assembly:Lsat_Salinas_v7:6:55075710:55076325:-1 gene:gene-LSAT_6X39940 transcript:rna-gnl|WGS:NBSK|LSAT_6X39940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHATPSMFGFDSNGIPKGKSNASGRRPMVSTVHLTIPAAGGIPGPPVVVVTRFLKNVGGIDSGSGRAGHVVASTVTLKHIYEIAKVKQVDP >cds-PLY90618.1 pep primary_assembly:Lsat_Salinas_v7:6:53869899:53871068:-1 gene:gene-LSAT_6X40461 transcript:rna-gnl|WGS:NBSK|LSAT_6X40461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKRSVRPLFSVLLVFAAAAATLCFRIIVSGAFGDILSISDTEATEKAPSVFNSALLSSAAVDLAEKSLRQNVEQLVDMDFRSDNFNRQRSFLSAGRYRLDTGSRSVRRGPMDLRSPEFYTLWLKFRRYLRDWWRHRRFDPDVMFEIVNVLKTVNMTGGLGSRRKYRTCAVVGNSGILLKRNLGGEIDSHEFVIRLNNARIGGYERFVGSKTSLSFMNSNILHLCSRRGGCFCHPYGEKVPIMMYMSQPVHFLDYALCNSSHKSPLIITDPKLDVLCARIIKYYSIKRYLKQTGKPLETWSSVHGGSEFHYSSGMQAIMFALGICDKVSIYGFGKSDSAKHHYHTNQKKELSLHDYEAEYDLYEDLVNNPVAIPFVSDKFKFPPVTIHR >cds-PLY87673.1 pep primary_assembly:Lsat_Salinas_v7:6:45714970:45717583:1 gene:gene-LSAT_6X34481 transcript:rna-gnl|WGS:NBSK|LSAT_6X34481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMDIYGRSEHDPEFKVLEASCPNITTEYLSSLWNLVYQSRQQNQYSKPMPWIGMYIALASLLCVLAMVADLLHGLRNRKLWFPCKYFTLNAASLTVIGVAIKLPMDLTTLMPGAIDQATKLGSMSFMCTMMANLLPSLATMNDKELVSNMIAVVVLVISLVVNFCIQLDTGILFYISEGAFFSYKTPFLFKFSYVAGWVVPILMLLIIYACSSLAILKSKQILESKYQTANQTALKDLVLPDGRLTVAKLKEYVTKYWIMAGTGSPQFLTVCSISTSASGVICAASTGFFISLMIYRFPRVGHQEDYKSDYKWSMVVIFVIQSIGVILGTIAPLARCFATLSFDLSIKWIWKHVKICEVESYRTQKLYDWKYSFIPFLSGGRKCKIVIQHLKIQSIILCVGFQKTVLVACKMINMITILFMIFVLFCRSCWKWLKAIMFSTSCHTLGEQPNEHLGKDEDLRGYVLQLHEDLQFTEKTLKRFLKSVNRLILKAEKQPPKNLMKLLSKSHGFEGLAKFNSNHVPSLLPKEYVNCWSLPLVTLTSIAMSLPNIEKDMVDCLLNGVSEALLYVTLVEESLNASDHDHVSIQKAAETLWVDVEVYHKWLGTKLPSPKSKVNTPREILQWLRDKAKRKVNKVESMDIRSRSYGSKYMSICANSMYRIAETILLNYHDNIEQVSQDKLFAHVSSMIADIIAACVTNLPQVILMKCRESVIEKREASVQAAAQLLGETAEIIITLQEHELPRLNPDELAFIDKWSGAYLENSFP >cds-PLY68435.1 pep primary_assembly:Lsat_Salinas_v7:2:60386679:60388669:-1 gene:gene-LSAT_2X27761 transcript:rna-gnl|WGS:NBSK|LSAT_2X27761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRYLRGFDVLFRNRASGNAGSIRKLATSHNHDVHFRSNSFKETILVKILPKEHWMLLMLIMLLMVYIRTPEKTDRLPQVINVWYQQKMELKKMNLLTSKT >cds-PLY99302.1 pep primary_assembly:Lsat_Salinas_v7:7:179528491:179529152:1 gene:gene-LSAT_7X106740 transcript:rna-gnl|WGS:NBSK|LSAT_7X106740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAVIGETDMLQTMQEDALQLAAKALDFFDVTEATDIARVIKQEFDAMYGGGWQCIVGTDFGSFVTHCYGSFIHFSIGSLSILLFRGSAAPEIDNFSTLESVKA >cds-PLY94960.1 pep primary_assembly:Lsat_Salinas_v7:4:108451900:108454398:1 gene:gene-LSAT_4X68860 transcript:rna-gnl|WGS:NBSK|LSAT_4X68860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEIRDFDVEDEDEDGDVVKGPIRSRNEVQDLPPVPPVTVTIQPHHKTLPVGVVLSIMGAQVIVEGVENHNPLSEGSILWITESRLPLGAVDEIFGPVKNPYYIVRFNSETDIPTGIQLGSSISFVPEFADYVLNNNNLYKKGYDASGENDEELSEELEFSDDEKEAEYKKMIKMAKRGSSEQKNGNMKKDKKSRNRGGGPSPVNGPSVFSPGQPSASGPSGFSQGPHAANFTGPPPGVWPNGFPSIPPQNMGFFPNGPFMQPNFTQQPFQNLGLPNMAPFHPQFNATGQMFQPNFGPNPAFGSWPVGMGQNNFNQSQVGPPMGFPGMFPGPSMNNGVVQQQGVGVANGIQMENNGGVRPPFVAGNGESSQNRGGRRGFQRGGGRFRGGRGGRNGPQSR >cds-PLY62842.1 pep primary_assembly:Lsat_Salinas_v7:4:31694114:31696639:1 gene:gene-LSAT_4X20780 transcript:rna-gnl|WGS:NBSK|LSAT_4X20780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting tail-anchored protein 2 [Source:Projected from Arabidopsis thaliana (AT1G68910) UniProtKB/Swiss-Prot;Acc:A8MQR0] MDSLNDINPELVRFHEGTITDSKDMGEIGISMEILTKVDLHLAYSSEKLLNLESLLLHILSWENDFEAMDSDDVSVEFVEKSLTIDLLFSFVDSEVKEFDGSMDLIRVDLVDTHQRLSSCKHLGELFSIVEGKLHDSEDSLKQSQEHVLEMKMKLAKLQMTSFAFNNNECFSEDFQGLDIEAKPRLQTIDKVHVLRMLEKSLSRELDLEKKLTESKQNEEDLKLKLRLTEQVAFIMEEAAEVVWGRFLEAENASVVLMGVSRDLVARHQLIQFNLNGVNHREDGFRLKLENCIKLLNTKDASIEKLNSRITQLVADNLEVSTLREKLKSLEEKVNESESNLKKANSTNESIQERVREMENMIESLKDNLYTAETRAETAEGKVAQLTDSNIELTDELGFLKGSHESSSKKMTTLEKQSRELELQLQYAKASSEAGQEQQNMLYSAIWDMETLIDELKQKVSIAEIKAENAEDQCLLLTDTNSELTKEVDFLRAEVESLEASLTEANLDKVANAKDIGIKTKLIMDTVLQLATERERITKQLCSQTEKNRVLMEALKRNTAFSNQEMKVATLSEKLPQNLTKSSSECIKVEEIEVVNDPEPESSITDSDSSLDEIEVEEPESRTKRMLVIRAIFVLLISVLAAFFVNWKPVGFDVNVG >cds-PLY77481.1 pep primary_assembly:Lsat_Salinas_v7:4:47941769:47944184:-1 gene:gene-LSAT_4X30881 transcript:rna-gnl|WGS:NBSK|LSAT_4X30881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-6 [Source:Projected from Arabidopsis thaliana (AT2G46570) UniProtKB/Swiss-Prot;Acc:Q9ZPY2] MANSSKHGLISLLFLCIFAHNMLHVMSQWPKGGSTRYYDFKVQMLKVNKLCQTREIVTINQMYPGPVVYAQEDDRIIVKLTNETPYNTTIHWHGVRQILTCWSDGPSYITQCPVQSGQTFTYEFTLVKQKGTLFWHAHFSWLRATVYGAIIIYPKKGVPYPFKSPYEEHTIILGEYWNWDLVQLEKNVTAGGGGAPVADAYTINGHPGPNYNCSTNDVFKIDVVPGKTYMLRIIGALLNMESFFTIANHKLTIVEADGEYTKPFTTDRVMLGPGHTLNVLVTANQKIGRYSMAMGPYMSAQNVAFQNITSIAYFQYIGATPNSVTLPAPLPKFNDNLAVKTVMDGLKSLEVSNVPKEIDTNLFFTIGLNVNKCGSKTPKKNCQGLNGGVMGASMNNNSFIRPNFSILGAYYEHINGGFTEDFPGSPLKFYDFVNGAPNSAPNDTGSVNGTRTKVIEYGSRVQIILQDTGTVTTENHPIHLHGYSFYVIGYGSGNYNPQTANFNLMDPPYMNTIGVPVGGWAAIRFVADNPGVWFMHCHLEIHLTWGLAVALVVKNGVGPMETLPHPPADMPRC >cds-PLY73624.1 pep primary_assembly:Lsat_Salinas_v7:5:203312467:203312950:-1 gene:gene-LSAT_5X92620 transcript:rna-gnl|WGS:NBSK|LSAT_5X92620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKCAFLNSYKILGKACKETPIDVVNYLVDAMQLHHGKSFLIAPYLQRSFEMYEKNTSIPIVWKLMR >cds-PLY86459.1 pep primary_assembly:Lsat_Salinas_v7:8:4057264:4059792:-1 gene:gene-LSAT_8X3340 transcript:rna-gnl|WGS:NBSK|LSAT_8X3340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIRLLFFVLFWVTAFGVAPEVSEPRPFFVFGDSLVDNGNNNYLFTGARADSPPYGIDTPLHLPTGRFSNGRTIPDILSEVIGSEPALPYLSPELTDKKLPLGANFASAGIGILNDTGMQFGNILRMPVQLEYFREYQRRLTSLVGQKQAKDIVNRALVLVSLGGNDFVNNYYLYPFSARSLQFTVPEYVIYLMSEYRKILMTMYNLGVRRAILMGSGPLGCAPGEIVQHSLDGECAPELQAAAQIFEPQLAQMVQNLNHELGADVFVAANTKLMHNDIITNPQAFE >cds-PLY64892.1 pep primary_assembly:Lsat_Salinas_v7:1:166793272:166795866:-1 gene:gene-LSAT_1X112021 transcript:rna-gnl|WGS:NBSK|LSAT_1X112021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEINSVDSRAEAGQGRIVDTLNSRVSPFSISDGTTSAVSDSHQDIGALNNCETPTSASEETINDKHSDNGDNLITNLLCQHMADTEGSPDDDESIKNRKILGKYFFYDPPLAEETGVWIPVSVPPMSESDHEEWNRGFSSVGGIFPDENMDWFHFLEKDKQLTMWDVVVEMLLAARGKVNLLSSGDTVSWISRNLIDQAWKEMAQTLTEANFGNLQEILETQPPKWLPDSSAAACMLCSIRFHPIMCTRHHCRFCGGIFCGECSKGRSLLPVKFRAAAMERVCDVCYVRLEAVQPYLMDQVSRAAQLPTYDVTDLSTLRSWLNFPWGQSMEYEIYKATNTIQGYSKVGYLRPEKSIPDVVLRHAKGLAILTIVKVGMMMTYNIGTGLVIARREDGSWSPPSAISTIGGGGEFVDLIIVLRSEEAVKTFSGDVHVSFGAGLSAAVGITGRTAEANVRVGTCGYAACYTYSCTKGAFVGCSLEGSIVTTRTRENSRFYGKQSITSQEILLGSLPKPPAAATLYRALAEIYQKFGH >cds-PLY82008.1 pep primary_assembly:Lsat_Salinas_v7:9:157622002:157623658:1 gene:gene-LSAT_9X99081 transcript:rna-gnl|WGS:NBSK|LSAT_9X99081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQKWPSFSYQTMQPMMPMSASQPPSWMDDFLDFSSARRNAHRRSASDPIAFVETPFINECRNSNSNDPSMIPCSNNNGFERLDDEQLSSMFSDDVAANLHSTRSSSSPSEQNSDNDERRPSPPQEQPPSQLKSEPGEVEDGGGYEHDSDSGKLPFTFSSDGGTIVDPKRVKRILANRQSAQRSRVRKLHYISELERSVTTLQTEVSTLSPRVAFLDHQRLILNVDNSSLKQRIAALAQDKIFKDAHQEALKKEIERLRRVYHEQNMNNNNKTENITTGSPALMVSPPPPAASVSGTDER >cds-PLY89110.1 pep primary_assembly:Lsat_Salinas_v7:4:145919764:145920800:-1 gene:gene-LSAT_4X88920 transcript:rna-gnl|WGS:NBSK|LSAT_4X88920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor WIN1 [Source:Projected from Arabidopsis thaliana (AT1G15360) UniProtKB/Swiss-Prot;Acc:Q9XI33] MVQTKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVVKGQTSGGGDSDATPATTNSPPSSSTTTTSLSAILSAKLRKCCKSPSPSLTCLRLDTESSHIGVWQKRAGIHSESNWVMTVELGKKKDSVEREAEVEVEIPMVVDTPMMASGGGGGDEEDAIALQMIEELLNTN >cds-PLY78926.1 pep primary_assembly:Lsat_Salinas_v7:8:3223804:3224016:-1 gene:gene-LSAT_8X2200 transcript:rna-gnl|WGS:NBSK|LSAT_8X2200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAGQSSACMAGDLCYVAAQTSALMVAAADRVLRAGENQGQLKILQGALAGMSEEVRESEASRQVLVE >cds-PLY67206.1 pep primary_assembly:Lsat_Salinas_v7:6:133903963:133904614:-1 gene:gene-LSAT_6X82681 transcript:rna-gnl|WGS:NBSK|LSAT_6X82681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVLVIMQEPVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYTDISQLAPHRLTEIRRFFEDYKKNEHKEVAVDEFLPSKTAYEAIQYSMDLYGEYIMQTLKK >cds-PLY98615.1 pep primary_assembly:Lsat_Salinas_v7:1:38827670:38829047:-1 gene:gene-LSAT_1X33301 transcript:rna-gnl|WGS:NBSK|LSAT_1X33301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLVGALLRFGFVTAWLFHLVACMGCCLGCDAKHKLIKSQSQSQTQTRPMSKPCMADDTWTSSRFEMDNTRDPSLDSHDFINHGFLLWNQSRQQWIGNKRNRQRNKPREPRLSKNVTYDSLLGSNKPFAKPVPLSEMVDFLGDVWEQEGLYD >cds-PLY65802.1 pep primary_assembly:Lsat_Salinas_v7:5:274402528:274404635:1 gene:gene-LSAT_5X145420 transcript:rna-gnl|WGS:NBSK|LSAT_5X145420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 2-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G29670) UniProtKB/Swiss-Prot;Acc:Q8LCT3] MAALVRLLSFNSSLLSTSLNSLHQNQLLYKNNNKRVLSVDANSCLSSDFIVNSRFAINPRKQASQFKIQATITETEQPKWWERNGGPNMIDIHSTQEFLNSLSEAGDKLVIVEFYGTWCASCRALFPKLCKTAQEHPEILFLKVNFDENKPMCKNLNVKVLPYFHFYRGADGQLESFSCSLAKFQKIKDAIQTHNTDRCSIGPIKGVGDVNLESVSAPKNKPAV >cds-PLY63627.1 pep primary_assembly:Lsat_Salinas_v7:4:133360104:133361877:-1 gene:gene-LSAT_4X83301 transcript:rna-gnl|WGS:NBSK|LSAT_4X83301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGNMADHLTLAFGLLGNVVSFMVFLAPIPTFYKVYKRKSTEGFQSAPYVVGLFSAMLWIYYALLKSNVMLLITINSVGCVIETLYICFFLFYAPKKARMESMKLIILLIVVGFGLIVVLTQFLANGVTRGVIVGWICLVFSLCVFVAPLGVLRQVIKTKSVEYMPILLSVALTLSAVMWFFYGLLLGDFNIAIPNVLGFTFGIIQMILYFVYKNKKPVIDEKVSRCEDKVAELAEQKLPEFRDQKIIDVVNLKALLHADIHPVFPQNGHKANHAVFEPQTLPNVPNCTIEVGA >cds-PLY64912.1 pep primary_assembly:Lsat_Salinas_v7:8:132830201:132835260:-1 gene:gene-LSAT_8X92021 transcript:rna-gnl|WGS:NBSK|LSAT_8X92021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDDDKVVSQTSTVDDYSSASYSASDYGDRTGNAAEATVSGDVAAFVATDNTYVDNTTSDITGKSEATDVGQQVPSTASQDVSENGVGSYSVHTSASTQQLVDGSALSPEEDRLWNMVKANSLDFNAWTALIEETEKTSEANVLKIRKVYDAFLAEFPLCYGYWKKYADHEARLGSIDKVVEVYERAVQGVTYSVDMWLHYCVFAINTYEDHDTIRRLFERGLAYVGTDYLSFSLWDKYIEYEYQLQKWSNLAMIYTRILEIPNQQLDRYFNSFRELAASRPLSELRTTEEVEAAARAKGEFKNQESEGEIHPNDGLTEAKELENYISLREELYKKTKDFDSKIIDFETAIRRPYYHFRPLNVTELENWHNYLDFIEGCDDLNKVIKLYERCLIACANYHEYWIRYVLCMEARKDMDVAENALARATHVFVKKQPEIHLFAARFREHNGNIEGARASYQLVHSEISPGLLEAIIKHANMEYRLGNLEDACSLYEQTIAIEKGKEHSQILPFLFAQYSRFLYLVLGRVEKAKEVLDEAVENTGHLSKPLLEALIHVESIQSLPKRIDHIDSLVEKFISPESDNPNPATYIEREELSSIFLEFVDLFGDAGSMKKAEVRHSKLFLPHKSSSQSKKRHLEGNLVSDRAKIAKTSAVSSVNQWSAAGYGVQPQSWPPTQPQGQQWPAAGYTQQQQAGYGTTYNASYGSSYVQQPQAAYTSYPVQVAPQEVYPQPSVAAAAPVPPAAYYGTY >cds-PLY69332.1 pep primary_assembly:Lsat_Salinas_v7:7:80762067:80766069:1 gene:gene-LSAT_7X56781 transcript:rna-gnl|WGS:NBSK|LSAT_7X56781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMRKYYHLLALRRSKGLLISNSKWVHQGSPIESHDFRYHPKTPSPPTPSPPSSYSHLYRNTYSQNANAMVSRNLLHATHSNLTANHYHTQLSKLHTSELRYHEDADLQDYQVTVKDREVISAAVTLESKHWLPLSNLDLLLPPIQAGLFFCYKKKNNTDMSSETVVKTIKSSLAGVLSTFYPLAGEIVQNSQGEPEVVCNNYGVEFVHAHADIDLKDLDFAHPDESVKGKLVPKINRGVVAIQATELNCGSIIISGAFHHQVADAYSINMFLVAWAQYARLETISSIPSFRPSMLNPRRPPCYTTAIDNLYIPASSLPPPSSFEEPLLGRMYYIHAESIQQLQHEASTKETKISKLLSFTSFVWKLLAHGGDKATDTTSRMGIVVNGRRFLAESDEKNTSLLENHFGNVLSIPYGMATNDDLKAMPLHEVAEGVHKFVSKATNEEHFRELIDWVEMHRPNPAVARIYFGLKKSEGEAVVVSAGQGLPIKDMDFGWGKPDFGSYHFPWGSRTGYITTMPSAKKNGDWVVYMHLKEKDFNLIDHMAPHVFTPLTYSHFSS >cds-PLY63840.1 pep primary_assembly:Lsat_Salinas_v7:7:102215854:102216666:-1 gene:gene-LSAT_7X67781 transcript:rna-gnl|WGS:NBSK|LSAT_7X67781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFGLCRSKMMNGIVALHSPPMRKDDAGKNGRLFRSAACIGSRRTRKLFTLKLKHMGAKGYPTLLLGSNRSLLMLHWRRFAFSSLWTGALVDTGREQAKRVVRNGKKETTTSLLCWIAGANTVVSDQDQEPIRILILTCRWFLTVGILPGSWWAHHELGRGGWWFWDPMEKDSFMPRVLAIARIHSVILPLLHSWTSFLNIVTLPCCVSGTSSIRSGLLAPVHNFPTDDTRGIFLWWFFLLMTGISMIIFSQMKQQTSVRRTYKKEMVVA >cds-PLY75849.1 pep primary_assembly:Lsat_Salinas_v7:9:195347971:195352086:1 gene:gene-LSAT_9X120520 transcript:rna-gnl|WGS:NBSK|LSAT_9X120520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRAYGQRKAKTPLAKKTVQIDATLVAENDEPEVPETSMNFQPVGKSVSDEKTEKNLTSVHMETETPRNGSRQADLEIEKAVYGRMQNHPNELRKMIASIIKDMSKEAGGLANLTKTLAENSISNEQMEQPHADMDLDENNSKLHQNPEYKQQELDTALSVIKKTMKLDAADPFNRPVDPVALEIPDYFDVIDTPMDFGTICNNLENGLKYMNSGDVFKDVEYIWYNCVKYNKKGDHILELMKRVKTFFTKYWIAAGLHCEQSPTFVKPAVQQNMHNEGHSVSPVTPIADKRSTQVQSIPPSSKENRPGLSSNVQYHVPGFTNANSGVAESSISRHKKQGKDFPLVPLTNNLSNQNASGSNQIVQNQPEPINMQHPVLPQQSSNNLPQSSPEQDEPNIDSRSIQKKRQGRGPTRCVRLLNTVGRIKVLTNELGQPVGSEASQLTSFLGLTARDGNLAPLIYPSWSKVPEENKENMWQKVLMKFDIDPSSRSWVLMSLGTKWRNFKSLLKTTHYDTHSTDEERLADCDDRVLPDQWAVLVSQWSSEKWQRISAKNKANRARHKFSHTSGKKSFARIREEERAKRTDGQEPSRAELFILTRTRKNGQPVNEATAAVISQLRETDGNKNEVKDDVYERVMGVDRKGGVSLYGLNATTPSRPGPEVSTRVEALNMVAEKNAEVLQMKDKLASLEQTCSQMSAQMSQMLSMMSTLHKATPPQNIPNTVDGTLIPVGTPNQSEPTSTSIHEVPVKQTRGRKKRR >cds-PLY78870.1 pep primary_assembly:Lsat_Salinas_v7:5:304649447:304649866:1 gene:gene-LSAT_5X165400 transcript:rna-gnl|WGS:NBSK|LSAT_5X165400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVAQSGARMAINLRYATAQTSALMVVAADLICRHGINETQLKTLQDVVASLKGELRDSEAERRRLFEQYCIVAYEKPTLEDHVATLEDQAERLESQVSSLARKNGVLVRGLSRCRHQPARARFDDAISRGNLQWVL >cds-PLY72855.1 pep primary_assembly:Lsat_Salinas_v7:5:168454600:168456781:-1 gene:gene-LSAT_5X75320 transcript:rna-gnl|WGS:NBSK|LSAT_5X75320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCANSNLCLNSYLAATATETHQARDKCRVRTRATTRIEMEIGGLDSNGREFKSADEMWREEVGDSQKKLDWYRNGVGYWQGVDASVDGVLGGYAHVNEPDIKASEAFLNTLLTELVPNGGRNQHLVALDCGSGIGRVTKNLLIRYFNEVDLLEPVSHFLEAARENLAPENLSVSEEHKASNFYCTPLQEFTPDTQRYDVIWVQWCIGHLADDDFVSFFKRAKAGLKPGGFFVLKENLAKSGFVLDNEDKSITRSDVYFKELFNQCGLNIYKLKDQKGFPDELFAVRMYALTTETLKKVGGSRPKRKANRPAIIK >cds-PLY64039.1 pep primary_assembly:Lsat_Salinas_v7:8:93877510:93880413:-1 gene:gene-LSAT_8X65460 transcript:rna-gnl|WGS:NBSK|LSAT_8X65460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLDTQWKPLLEEFTFTKNSAPFNSCHASTIVEVNKDCFLVAYFGGSYEGAPDVKIWLQMHKDGYWHAPVIADEQQDVPMWNPVLFKLPSNELLLFYKIGQEVQKWSGCMKRSYDSGVTWSEREQLPPGILGPIKNKPLLLENGKLLCGSSVESWNSWGAWVEMTSDSGRSWKKNGPIYIQNESLSVIQPVPYKTSKGNLRVLLRSFEGIGRVCMSESLDGGENWSFVVPTELPNPNSGIDGVKLSDGRVLLAYNTVSRGVLKVAVSGDDGDSWREVVTLEETDGMEFSYPAVIEASDGCVHITYTYNRTQIKHVVLQPKMML >cds-PLY88161.1 pep primary_assembly:Lsat_Salinas_v7:5:219994119:219996680:-1 gene:gene-LSAT_5X102621 transcript:rna-gnl|WGS:NBSK|LSAT_5X102621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGYAKRVHDPYFQPPLQSAVVSGDSLASCVWVPGPVIVGAGPSGLAAAACLKNQGIPVLILEKTNCIASLWQIKTYDRLCLHLPKQFCQLPFMPFPKDYPNYPTKQQFLAYLEAYAKNFDLNPVFNTMVVKAEYDGVFGLWRVQTKVTEYVCRWVIVATGENAEEVVPKIEGMNDFIGPILHTSSYKSGDCFENKNVLVVGCGNSGMEVCLDLCNHNACPSLVVRDSLHVLPQEMLGRSTFGLSMWLLKWFPVRVVDRLLLFASHFMIGDTDRLGLNRPKLGPLELKNVSGKTPVLDVGTLAKIRSGDIKVYPGIKRLARTTVEFVDGRIEKFDAIILATGYRSNVTTWLKDTNSFFEKDGFPTKPFPEGWKGECGLYAVGFSKRGLLGTSMDAAKIAEDIACQWNTDKKHLKSLRHQPPKLSVYIDS >cds-PLY65518.1 pep primary_assembly:Lsat_Salinas_v7:3:1439983:1442639:-1 gene:gene-LSAT_3X381 transcript:rna-gnl|WGS:NBSK|LSAT_3X381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYIEDLKGVCESSGGREEEEEQEEIFSVVASGGAIGLSGSKRVLVGAGARALFYPTLVYNVVRNKFQADFRWWDQIEEFLLLGAVPFPRDVKRLKDLGVHGVITLNEPYETLVPTALYKAHGIDHLVLPTRDYLFAPSIDDICEAVNFIHGKTLAKQGTYVHCKAGRGRSTTIVICYLIQHRHMSPAAAYAYVRSIRPRVLLASAQRQAVEEYYHLKLKVKKRQQGSRDIWGNSFLGRSVMDMDMDMDMVSRGVFRFDDGSVVVITTADVDGYNVDDEEKDGLELNLVWRVRVVGEAALARFSSLLCLTNSQMLKINHLC >cds-PLY99645.1 pep primary_assembly:Lsat_Salinas_v7:6:81877723:81878052:-1 gene:gene-LSAT_6X58261 transcript:rna-gnl|WGS:NBSK|LSAT_6X58261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKNHYDPPAAALVRRSPPGRSYFAFMEPPSVAAILLHRCCFNSSFLLPQFVEAPPPPSGQPSPQPVPIEYRAVTGASLLSPSFMLSFPLRLPPSTPFKIDEELLGGCF >cds-PLY64169.1 pep primary_assembly:Lsat_Salinas_v7:9:186504313:186507597:-1 gene:gene-LSAT_9X114141 transcript:rna-gnl|WGS:NBSK|LSAT_9X114141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHEYSEIRRLIKHRTKEEMAIDSTSWLTPKRLLVFFCAINMLDYIDRGAISTNGVNGNPRTCSESNVCSDGSGIQGDFDLSNFKDGILSSAFMVGLLIASPIFASLAKTVNPFRLIGVGLSVWTLAVVGCGLSVDFWSITICRMLVGVGEASYISLAAPFIDENAPVAQRTAWLGIFFMCKPIGVSVGYFYGGLVGANLGWRYAFFGEAILMLPFAILGFVMKPLQMKGMLNAKVIPGSLNEEFSKKDSSSYVSSTLNKLSRFGQDMKALLSEKVYVVNVLGYIAYNFVIGASAYWGPKAVYGIYQSDNVDLLFGVITIVGGIVGTIGGSILLDRMNSTIPNALTLLSIATFFGAVFCFSAFCFSSLYVFLVLFLIGEIFVFANQGPVNFVSLHTVKPSLRPLSMAISTVSIHIFGDVPSPPLVGILQDKVDNWRTSSLILSSVLFLAAGIWFIGIFLPSVDRYEEDSEHLGTRVEQLDVTPLLESKVANGDTISALL >cds-PLY92813.1 pep primary_assembly:Lsat_Salinas_v7:2:149063053:149063364:1 gene:gene-LSAT_2X74960 transcript:rna-gnl|WGS:NBSK|LSAT_2X74960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGDDMQKKQSRWATAAVGRCELTVAGRSGSRRRRLLQWGMEVVPPLEHDNGDNDIQVVVVLRRSFLSSAIGTCEASFIDNNGPPVTLVDRNNKGGRVVAVAQ >cds-PLY67536.1 pep primary_assembly:Lsat_Salinas_v7:3:54858389:54858682:1 gene:gene-LSAT_3X42860 transcript:rna-gnl|WGS:NBSK|LSAT_3X42860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKVKLAFVTNYYARRTSLKKRKESLKNKLNKLCTLCDIEACAIMYSPNESHPDMWPSKEGIENVVDQFHKISEMKQSKKMYNHDTYIKSRITKT >cds-PLY90814.1 pep primary_assembly:Lsat_Salinas_v7:2:105615130:105615642:1 gene:gene-LSAT_2X47360 transcript:rna-gnl|WGS:NBSK|LSAT_2X47360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESNHDNDVIDIDISGSFYISLRKDTFVKSTFEETSTPDVNAHVSNTNVNINFGEQPSTSILEKMKVTPLEVSHSKSNKVEDENSNINVNLSNKDTNVNMGEGMQTTKTSTTGTTTIETTVVPPPLQTSVIPPTLTTTVSLTFVFMMKEPITTLFSSQSTKVEKIVPEEE >cds-PLY94059.1 pep primary_assembly:Lsat_Salinas_v7:4:172062701:172062886:-1 gene:gene-LSAT_4X101581 transcript:rna-gnl|WGS:NBSK|LSAT_4X101581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVALVSKSLLSQLENMIGQQPRPWTDIRVMERILNSYGYFSSFSCYAFHSSNQAPLRLRV >cds-PLY89195.1 pep primary_assembly:Lsat_Salinas_v7:3:21991900:21993303:1 gene:gene-LSAT_3X16220 transcript:rna-gnl|WGS:NBSK|LSAT_3X16220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLKLEDQQQNQNPILVRAKIPVTVFGLPFLSAFSATHHHAAAASDKLSLSLRTHFPSGPSLKLSYNTPTTTTATAAAAATAPLTLTLKSGVSLSGSPNNSPLIISANFSFSPQNPNPNPTFSIQFKPRLGSFSLRKSVSSTVSNPSKKPNGESTAGSENSYGFVPLDRPINWKELTVESATKDSILSGILMSADTELPVTKRVKVNLRWGVNIPSDYEKQLPYLRVNKIKVERIDEVVEEKGNQKQGILGDSGEFEMLKGMYSWMSRELNDLQKENREMRHTLEDMKSLQSMRNYNGYAAATGGGGGGGGGKRPVPVVENSDGFEQWRMKKSGGSGEANGQRETKKNLTSVDVESELQRAIKAASTS >cds-PLY69205.1 pep primary_assembly:Lsat_Salinas_v7:1:79918797:79920831:-1 gene:gene-LSAT_1X68481 transcript:rna-gnl|WGS:NBSK|LSAT_1X68481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDQSQMMNQSKMANQSQMMSIGQPLPPVMSQPQQVMNNQNPQMFVNQLMNPSQMMVNQSLNHRGGGGGYGMWPPPQVDQLKFQNLNMKPSGLPSSSLKSMGPRNNKNWKGKKGNDKWMNNNNNRKELPVMGGGGSLINNTGGGGAGYNPPTLNELQQQNRLKARRYFPKKKFNHNGRSAPFAPRNTTSFLIRAKKAGGITSLVSPCPVTPAVLPTPIFSPSREVLVDMAKEEWGVDGYGSMKGLIRLRSNEAEAPEEDEEDEGGSSESDVEEHVEVERRLDHDLSRFEMIYPNYNGADHSSYLLENRVDDQDTHIAQLEEENLILKERLFLMEREFDDLRMRLQCLERQGRGAERLIEEVVENDSENESESRGYGRSMEDNNERSQCSEDNNNGDNNNNNTELKQSDSIEKGVQTIEDVKENDDKKNEVLEENGKEEVVKEEERVADMVL >cds-PLY69593.1 pep primary_assembly:Lsat_Salinas_v7:8:10046352:10050526:-1 gene:gene-LSAT_8X8260 transcript:rna-gnl|WGS:NBSK|LSAT_8X8260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH3 [Source:Projected from Arabidopsis thaliana (AT4G25540) UniProtKB/Swiss-Prot;Acc:O65607] MGKQKQQAISRFFAPKPKPSSSTTSTSPPSSPPPSQSPLPNSSTPPPKISATVTYSPLKRLRTSQLISPIKKPSKTPRLSPNPDLAESSRTKQSPPSENPKPSLSNPTLHEKFLSKLLEPSEEDTANVLTADAKAPLNPKYTPLEQQVVELKAKYKDVLLMIEVGYKFRFFGEDAENAARVLGIYAHMDHNFLTASIPTYRLNVHVRRLVSAGYKVGVVKQTETATIKAHGSNKMGPFCRGLSALYTKATLEASEDVGGGGEEGCGSCNNYLVTVVENVNVGDDKGNNESGVDVKIGIVAVEISTGDVIFGEFDDNLLRTGLEAKILSLSPAELLLVDPLSKLTEKFLVAYAGPASNVRVERASRDRYTNGGALAEVLSSFDKIGDSLLNDTQKEKTKCHHATEAIMGMPDLAVQALALTIDHLKQFGFERILCLGASFRPFSNDLEMTLSANAMQQLEVLKNNSNGSESGSLFSCMNHTLTTFGSRLLRHWVSHPLCDKRMISARLDAISEILESMGFSKKFNDGRHTDVNLHQLLSLVLTNLGKLPDIQRGITRIFHRTATASEFIAVIQAILVSGKQLQKLQVEEENEVNHVEKTIQSLLLKKLILTASSSSIISTSARLLSALNTEAAEQRDISNLFVVSEGQFQEIATARNKVKQEKDKLDLLINIYRKQLKNQKLEFMSVSGTTHLIELPVNVKVPSNWVKVNSTKKAIRYHAPEVLTALDHLSLANEELAIVCRSTWQKFLEEFTGFYQDFQSAVQALASLDCLHSLALLARKKDYTRPVFVDDNEPVQICISSGRHPVMETMLEDNFVPNDTNLHSEGEYCQIVTGPNMGGKSCYIRQVALIAIMAQVGCYVPATSAKLHVLDGIYTRMGASDSIQQGRSTFLEELGEASNILHACTNRSLVILDELGRGTSTHDGVAIAYATLQYLLEQKRSMVLFVTHYPEIVNMTKGFGGRVGSYHVSYLTSSSQKDESNPSDCDYEDVVYLYKLVRGVSERSFGFKVAQLAQIPLSCINRGSEMAVKLEEVVRDRCKSRLAMADKNLQEIFSNLNLAFLEDVDPVKSFECLKHARSLALELRN >cds-PLY89512.1 pep primary_assembly:Lsat_Salinas_v7:4:148984516:148986085:-1 gene:gene-LSAT_4X92141 transcript:rna-gnl|WGS:NBSK|LSAT_4X92141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSASFILDNRLLQGDTVTNPESRLLSPPSSMAETLQNPNPDPTLTISPYYQTRAAHHGVVTSDWLAQAQAAAGGDTDTRSSEQESSLKSTASEHSFPVIDEFNNWRKQPDLAEAVAAIKALASVIRSSEATTMMELQNELKTASDSLKSWDMTSISLTAGCDLFMRYVTRTSAVEYEDFDSAKSRFLERAEKFGEISFKACQLSTIKSATFLIILHILINLFLFQARKIIAMLSQDFIFDGCTILVHGFSRVVLEILKTAAENKKHFRVLCTEGRPDRTGLRFSNELAKLDVPVKLLIDSAVAYSMDEIDMVFVGADGVVESGGIINMMGTYQIALVAKTMDKPVYVAAESYKFARLYPLNQKDMVPALKPIDFGVPIPLKVEVETSARDYTPPQYLTLLFTDLGVLTPSVVSDELIQLYL >cds-PLY66069.1 pep primary_assembly:Lsat_Salinas_v7:2:206997078:206997530:1 gene:gene-LSAT_2X127901 transcript:rna-gnl|WGS:NBSK|LSAT_2X127901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRGVDIEHSSNGLMLWSSLIDDVIEDHEGRFENYDNSNRLGYLTDETDYQIEIFSSTTRTCKPSCQFSFDRSIHLDLKGSVYRNNAIHWIQRDGYVLYFNSDEESIHEIQTPLRLLGTGLDVHSY >cds-PLY96332.1 pep primary_assembly:Lsat_Salinas_v7:5:192184040:192185839:-1 gene:gene-LSAT_5X84581 transcript:rna-gnl|WGS:NBSK|LSAT_5X84581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKELWTTMASLMGVLAFCQTLLHTVIPPELRFAVLKLFQRLFNCFSSYCYYEITEIDGVNTNELYNAVQLYLSSSASSTTPSAAATSSNRLSLTRGLNSSAITFGLANNDRLSDVFNGVSVVWEHIVTPRQSQTFSWRPLPEEKRGFTLRINKRDKPQILEAYLDFITEKANEIRRKNQDRLLYTNSRGGSLDSRGHPWESVPFKHPSTFDTLAMDPEKKAEIMSDLRDFADGQAFYKRTGRAWKRGYLLYGPPGTGKSSMIAAMANFLGYDIYDLELTEVNTNSELRKLLMKTSSKSIIVIEDIDCSINLTNRKKSNSNGGRSSGGAFDGGSEMRTGPGAPGGPGDPDNNGNNNSITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHVFMSYCSFPSLKILLKNYLGYDDGDIETEVLAKLAEVIDEAEMTPADISEVLIKNRRDNGKALRELLEALRIRAEKKRVVSRRRRLVAEVEEIAEEEKRALDSPKEVGGVATGGGDDVEVNYKKGGKEGEEDNGINKLITFFFFFFVIFGFN >cds-PLY88528.1 pep primary_assembly:Lsat_Salinas_v7:7:134721780:134722181:1 gene:gene-LSAT_7X81240 transcript:rna-gnl|WGS:NBSK|LSAT_7X81240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLPSFLVLQSVGLEEEGSRQSSPRVLAPLLMTSQPSSKINALPFSRLPANIRVSLRLRLYIPYPIVEDGDGLQPPTTSVFLPRSVLADQPQTIASSFPVSVRINFSSRSNEDEGPHFVYISDLKKTIIQSDT >cds-PLY89843.1 pep primary_assembly:Lsat_Salinas_v7:4:323095466:323097766:1 gene:gene-LSAT_4X161020 transcript:rna-gnl|WGS:NBSK|LSAT_4X161020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGFSLKNLTFVLLTACFIWFSNAANSQSGGSIFNVLNYGAKGDGLSDDKKAFEAAWADACKVTTSTMVVPSGYRFLMGPTSFSGSNCQRNISFQVDGTILAPTSSSAWGSSGVGQWIEFTQLLGFTIKGKGAFDGRGSVWWTNRQVAKTKPMALRFSSSYKVTVTGITIQNSPQFHLTFEDCDGVLLHHFSISSPGDSPNTDGIHLHNTKNVIIHHTNLSCGDDCISIQTGCKNVLVHNVNCGPGHGISIGSLGIDGTTACVSNITVRDINIHGTMTGVRIKTWQGGDGLVEGVVFSNIQVSEVEYPIMIDQYYCDHSRCKNDTSAVSIANIMFENIQGTYTVQPVHLSCSDSKPCMDLKLTDIQLKPKQEGYHMHKPFCWKAFGKLNAPIIPEVDCLQEGNPLNSWGYNDAQCAA >cds-PLY84714.1 pep primary_assembly:Lsat_Salinas_v7:5:230489794:230492607:-1 gene:gene-LSAT_5X110861 transcript:rna-gnl|WGS:NBSK|LSAT_5X110861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITSKKFILRLEEQVRQQGDKCKSTSPIFLLIFAFAFRLETPSVKLLGIILIISVGILLTVAKETAFEFWGFIFVMLAAVISGFRWSMTLILLQSPGAVEAAIRNLPPSAVSDPDNLDAIGLLAMETIVEQVRDGSSLRVYLLPEFQFVQVFVARIQAPSMGRSTTQEPIIPIEVPSEDTNGENNNSESRGPLTSAQRISASSGFNEVSPDSYGREVKHFTEICVLNRDVRVVLEGVDKFSNLIGYVYYFDGESAKDLAMELIENGYEKYVEWSAIMMEDEARKKLKAVELLAKKTKLRLWTNYVPPSTNSKAISDNFTGKVTRIIGAGVY >cds-PLY78438.1 pep primary_assembly:Lsat_Salinas_v7:2:167235003:167237229:1 gene:gene-LSAT_2X89161 transcript:rna-gnl|WGS:NBSK|LSAT_2X89161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKSFTLCQLEAWLAEYKVRRGATRTVEVEEIDDNDDSCGLCGDGGELICCDNCPSTSHLTCLCVQELPEGNALVGFVGMWSMITSLQVYFGLHSRIGIMNSISDGFSWTILKCIHGDQKIHSGLVALKAECKLKLADALTIMEECFLPMVDPRTDIDMIPHVLYNWGSEFARLNYEGFYTVILEKNDVILCVASLSKYRCQGMCRRLMNAIEEMLKSFKVEKLVVSAIPSVVDTWRDGFGFTALESHEKKEPHKK >cds-PLY96160.1 pep primary_assembly:Lsat_Salinas_v7:3:98135660:98135943:-1 gene:gene-LSAT_3X73000 transcript:rna-gnl|WGS:NBSK|LSAT_3X73000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLRVTKEAVDMVLADDNFGMVVAAVGEGRSIYNNMKAFIRYMISSNIDKVASIFQTASIGIQKCLIPV >cds-PLY94880.1 pep primary_assembly:Lsat_Salinas_v7:2:179269096:179269356:-1 gene:gene-LSAT_2X101141 transcript:rna-gnl|WGS:NBSK|LSAT_2X101141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCKPLFFLFFLIFFHLLVMSFGYERHTFPTERFQPRRLLKTAASSLTSLSTDPSKLKGAAMNEPQTSVEDSLRKRPPSASNPSHN >cds-PLY79827.1 pep primary_assembly:Lsat_Salinas_v7:8:14905492:14905764:1 gene:gene-LSAT_8X10980 transcript:rna-gnl|WGS:NBSK|LSAT_8X10980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTGTNSSLPKMSESGRDDNNKAIVVAEQKSTDQPIPDAGDQSETNEYEGFLDLGFMTQVVVPLSVFYLDTYFEGGIPQGTNSNIESGDE >cds-PLY85960.1 pep primary_assembly:Lsat_Salinas_v7:3:201186013:201186772:1 gene:gene-LSAT_3X119841 transcript:rna-gnl|WGS:NBSK|LSAT_3X119841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFLCCCLVTGTEKKWLAGDGDGANVYPEFIDPMIPIDTEEVEENDSDCHSGSCLNRTSRRCKRVLEGH >cds-PLY96846.1 pep primary_assembly:Lsat_Salinas_v7:2:109498517:109498879:-1 gene:gene-LSAT_2X48180 transcript:rna-gnl|WGS:NBSK|LSAT_2X48180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAREFLEDPTPFDKVEEESDDDDEVGLSEDESEDEEDVEGVSDTWMGEDNVDVEEGEIIPEKVDLTERVDETSTPVKSQTECHGNQDTGKANQDCRKASSPVVIGSTFKINVEVNNDES >cds-PLY97473.1 pep primary_assembly:Lsat_Salinas_v7:6:90901642:90903927:1 gene:gene-LSAT_6X63101 transcript:rna-gnl|WGS:NBSK|LSAT_6X63101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEPDEMIEDEVFVDIKLTNDEIVQWEIMQQMSIECLIRKKKSLRARYTYGIIFSLVNLVAWFLRDYGQRVSLHFHILKGHDCFQTMGVLRVSLGCFIYFFMLFLTTCGTTKLFNIRNTWHSGWWTVKFGILVIILVFSFFIPSDFVQLYGELARVGAGIFLLLQLVSVIEFIAWWNAYWMPDERKKQSSCCGLFMSTLFYMASLCGIIVMYALYASKPSCTLNIFFITWTTVLLLVMMIISLHSKVNKGLLSSGIMAFYIVFLCWSALRSEPANEICSPQKHENKHVDWITVLGFLIGVSAIVIATFSTGMDSQTFQLKKQEDQMEDDIPYKYGFFHLIFSLGAMYFAMLFISWNLDSSTRKWSIDVGWTSTWVKIINEWFAATIYLWKLISPIVRQPKIMNHEEPTQQEQLQNST >cds-PLY66809.1 pep primary_assembly:Lsat_Salinas_v7:3:203163441:203163671:1 gene:gene-LSAT_0X33901 transcript:rna-gnl|WGS:NBSK|LSAT_0X33901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGSDRSRHQVNSKWKDLQTKCNAFNDIYNRKMNSVDSGRSEADVLQSSLSKYQRTINQKGFPHQQAWEWLKDNAK >cds-PLY91805.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1177457:1178905:-1 gene:gene-LSAT_0X860 transcript:rna-gnl|WGS:NBSK|LSAT_0X860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKFGFLLLILLYVLLPHVHAFTASGWTSAHATFYGGSDASGTMGGACGYGNLYSTGYGTRTAALSTALFNDGAACGQCYKLICDYKADPRWCIKGTSVTITATNFCPPNFAQPSDDGGWCNPPREHFDMAQPAWEKIGIYRGGIVPIIYQRVPCKKHGGVRFTVNGRDYFELVLISNIGAAGSIQSVKVKGSKTGWMAMSRNWGANWQSNSYLNGQSMSFMVTTTDGVTKTFSDIVPANWGFGQTFSSPVQF >cds-PLY78204.1 pep primary_assembly:Lsat_Salinas_v7:4:226488309:226490957:1 gene:gene-LSAT_4X124781 transcript:rna-gnl|WGS:NBSK|LSAT_4X124781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSRRSENPPHVKPWTEEDTSNLSPLSIVPQQGSKEEDMIDKLPGQPQVDFDHYSGYVTVDSKVGKALFYYFAESPHNSSTNPLVLWLNGGPGCSSFGYGAMEELGPFRVNSDGKTLYRNDYAWNNAANVLFLESPAGVGFSYSNTSYVTGDKQTAKDSYTFLINWLERFPEYKTRDFFITGESYAGHYVPQLASLILSENKKTNGTVINLRGIAIGNAWIDDNTSYEGMYDYYWTHALNSDETNEGIKKYCDYVTGNFSEECYKYQDQGDGEYGGIDIYNIYAPLCHHNTTQKSGTTGSITTFDPCSDDYVSSYLNRADVQEALHVRNTSWAFCAGIGMIDSPTTILPTITQLIENGISVWIYSGDTDGRVPVTSSRYSVNKLKLPVETAWRPWYYNKEVGGYVVGYKGVVLTTVRGAGHTVPCYQPERALQMISSFLQGKLPPSFRQ >cds-PLY67402.1 pep primary_assembly:Lsat_Salinas_v7:4:213298549:213298966:-1 gene:gene-LSAT_4X118501 transcript:rna-gnl|WGS:NBSK|LSAT_4X118501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGSMTSSRSSGSSWTPRQNKQFEDALAYYNKDTPDRWHNIARVVGGKSAEEVKIHYQILERDVMQIESDQVPLPNYRDIGSNGRGFGNEQR >cds-PLY85433.1 pep primary_assembly:Lsat_Salinas_v7:2:70244789:70247551:-1 gene:gene-LSAT_2X32020 transcript:rna-gnl|WGS:NBSK|LSAT_2X32020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSQIKGKNVEPENKKPLKGDNKPQEENKNTTTAPPKPVTASSAASTAQPQKNGNKSPIGTVLGRPMEDIRTLYTIGKELGRGQFGVTHLCTCKQTGKQLACKTIAKRKLANKDDIEDVRREVQIMHHLAGQPNIVELKGAFEDKHSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVKIVHTCHSMGVIHRDLKPENFLLQSKDEDSPLLATDFGLSVFYKQGELFKDIVGSAYYIAPEVLKRKYGPEVDIWSIGVMLYILLSGVPPFWAESEHGIFNAILRGHVDFTSDPWPSISHPAKDLVKKMLTADPKHRLTAHQVLSHPWIVEDGEAPDKPLDNAVLGRLKQFRAMNQFKKVALRVIAGCLSEEEIMGLKKMFKGMDSDNSGTITLEELKHGLSKQGTRLTESEVKQLMEAADADGNGTIDYEEFITATMHMNRMDREDHLYTAFQYFDKDNSGYITIEELEQALREYGMGDEKDIKEIVSDVDSDHDGRINYDEFVAMMRSKGQATMMNPKKFRESFVAT >cds-PLY92750.1 pep primary_assembly:Lsat_Salinas_v7:8:66849673:66851756:-1 gene:gene-LSAT_8X47381 transcript:rna-gnl|WGS:NBSK|LSAT_8X47381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative SNAP25 homologous protein SNAP30 [Source:Projected from Arabidopsis thaliana (AT1G13890) UniProtKB/Swiss-Prot;Acc:Q9LMG8] MFFRRAPPPAAKPAETEADKKETDKKQADKKEAAKKTSAPPGKSNPIDDDDDDDDFFGKKKTTIKKKSKAELDGMSNQELEHYAVDQAQETTKSVNNALKIAEDIKSDAGKTLDTLHAQGEQIHRTHEKAADMEKDLSRGEKILGSLGGMFSMTWKPKKGKEIKGPEPIDNGKGDKKASKEQREKLGLEQGHKGKKDNKKGHGEAKSAMQKVEVEKEKQDEALDDLSDILGDLKGMATEMGSELDRQNKALDDLSEDVDEINTRVKGANTRARKLLDK >cds-PLY75228.1 pep primary_assembly:Lsat_Salinas_v7:MU043036.1:515403:515888:-1 gene:gene-LSAT_0X5740 transcript:rna-gnl|WGS:NBSK|LSAT_0X5740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQLIPVSDIFLEQSDIEEQPLHEAALSRRGCCYWMPCMQSNLPPVDFRSNWWELITEDGKNDGRRWWCQGLTPFKKIREWSELVAGPKWKTFIRRFKKKRSKPSKFQYDATSYSLNFDEGQSHKEDNDLFFRDFSSRYASIPISTKSSMDLGKDEFSFT >cds-PLY83268.1 pep primary_assembly:Lsat_Salinas_v7:4:147095591:147098478:-1 gene:gene-LSAT_4X90580 transcript:rna-gnl|WGS:NBSK|LSAT_4X90580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAERACVKRLQKEYRALCKEPVSHIVARPSPNDILEWHYVLEGSQGTPFAGGYYYGKIKFPSDYPFKPPGISMTTPNGRFMTQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDNSPTTGSVNTTVAEKQRLAKASMAFNCKNPTFRKLFPEYVEKYEEQWVSEQAVVEEVEGSPVVARKEENLQPLVEKKEVKRMELAKEEEVKQARKQSFPTWMLLLLVSFVGLVMALPLLQL >cds-PLY63802.1 pep primary_assembly:Lsat_Salinas_v7:6:26877531:26879184:1 gene:gene-LSAT_6X20501 transcript:rna-gnl|WGS:NBSK|LSAT_6X20501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYSCHSILLEQMKSYKPLEDGSGVVDPYMIVMNKAHDGYRRPYRREVANRLIKKKVGGGDASYMILAGLME >cds-PLY93414.1 pep primary_assembly:Lsat_Salinas_v7:9:63574498:63574896:-1 gene:gene-LSAT_9X54300 transcript:rna-gnl|WGS:NBSK|LSAT_9X54300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGLKKGFSCFTGVKTHLSLDFVIRKWYQGKLEYQDVNLRETFPAPYMFNFLVVTSPLVVQTVEVSSASNGECLVDSLPISTVVGRTPAKSPCTEVVEDNKEGIISNIQHAKLKRLYHGVKLTSQGLEASHI >cds-PLY72131.1 pep primary_assembly:Lsat_Salinas_v7:7:53467392:53469514:-1 gene:gene-LSAT_7X38780 transcript:rna-gnl|WGS:NBSK|LSAT_7X38780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTLPQALVLEILSRLDDSADVARCRAAWKTFNTVSLSLRSINLQLPLSSYIESGSRVSNASSSSQITSPLKTIFLNVLSNLIVVESVRIGIKNLPRYFSHAGVEAKDLHLTDGDFIMQWLPWVSGSLKSLSISDFWPQSCRRRSNVLSLVSAYCNKLVELEVKNAWLSVDNMNQMLMVTSLTLESIRLDDKELIQLNKSFPNLQVLNLIDVRGLKLPTVHLLHLKTCHWTITDAPPFVTVLAPNLITLTIEGKKPAAVHVETPLLTHFHLALPHADPLSVEKFENLKTVWIEASFLYPLLVSFRYTDSVENLTLDSRGLTKGPFARFKFSLENLFNIFPNMTSLCFRSRAWSEFEVRARIFGIGMEGLKTFCGYLMIVDLSLTLSLVACVLDQCFGLVDVSLLIHNNVASYLSKGFMCTCMQRWPNVNWKWGTWEEGKEDSWISDEDLMQMSSYMNPEFRYVKKQRS >cds-PLY87981.1 pep primary_assembly:Lsat_Salinas_v7:6:171599726:171602311:1 gene:gene-LSAT_6X105541 transcript:rna-gnl|WGS:NBSK|LSAT_6X105541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSTKFVTSATFAHLLPPETSAQLFPLATSSSSHLTETEVSRKKMGRTLNLQSMPIDSKSYRQVCSRNRKPFEISHYSSAIPLLVLPPPLQDWLGHGQGQGSKVGSLSRIGSQPMWISLSVSACGSVLGSSQPSCRPWERGDLLRRLSTFQPANWFGKPKFASSLACARRGWVNVDIDKIECDSCGATLKYIAPDLWTPTEAIDHISSNSNCFYEMISAIEVVAEMAAIGSTESKSYPSLLMFELYVSASSCSNRDGFIRGPGEDPKPFIP >cds-PLY69478.1 pep primary_assembly:Lsat_Salinas_v7:6:42492959:42495547:-1 gene:gene-LSAT_6X33160 transcript:rna-gnl|WGS:NBSK|LSAT_6X33160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWEWLSEFLKGMVKPAAATAVVLLAAVLSYFQKLKLEGEMVYAIVRAFIQLSVIGFVLRFIFNQNNVIWILLAYLFMVSVAGYTAGQRAKHVPHGKYIAGASIMVGTSITMLLLVLLKVFPFTPQYIIPVAGMMVGNAMTVTGVTMKRLRDDIRTQMNLVETALALGATPRQATLQQVKRSLVIAMSPVIDNTKTVGLISLPGAMTGLIMGGASPVEAIQLQMVVMNMLIGASTMSSIMSTYFCWPNFFTKAYQLETKVFLTE >cds-PLY62089.1 pep primary_assembly:Lsat_Salinas_v7:2:55758049:55759083:-1 gene:gene-LSAT_2X25300 transcript:rna-gnl|WGS:NBSK|LSAT_2X25300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSETNAAEITSPTQASNDLYVTKLAIGTPPVIFSAVVDTGSDLIWTKCDSSSRFYDSSKSKSFFKSEESCDSFGCTQEYADGESIKVSMGGEKVIIGGVSSNITFACGTPNDKKSFNKYNGVVGMGRGKLSLVSQLNINVFSYCLASRSHKSEQSILLTGSNTKIAMTNVQTTPLLKQGNKSYYYIALEGISVGKTKLGVSTSDFAIKTDGTGGMIIDSGSTFTYLEKDIINMIENELMKQTKVNMSKDDNPPYQGLNRYFNSPSDVNWDIPKLVFHFSGANWEMPRENYIYEKNGKSYLAFIDNDNDPEKMSIFGNMQQQNMMVLYDLVKNSLSFKTEKCSLL >cds-PLY85094.1 pep primary_assembly:Lsat_Salinas_v7:7:7394373:7398690:-1 gene:gene-LSAT_7X6880 transcript:rna-gnl|WGS:NBSK|LSAT_7X6880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENNNNQYLYDPNFAPFPSTFNQPPYPPQNSAPYPPYPYPYPYQSQPPPPVGAPPPVYPPQPYPYQYPGYPYPFPTPSPTLETQLNNQESGGSGSTAAANHPHEDDNNLINQNPYENEPPLNHPGHHHSASYPSAGAPNLTGSLDSSSSQCLAIQQTASLDLASNQNYQIVPFAATPKKALKVLLLHGNLDILVHEAKNLPNMDVFHKAMGTSDPYVSIAITSAVVGRTYVLTNVENPIWNQRFNVPVAHHTAEIHFLVKDKDVMGSQLIGAVAIAVEHIYSGSKIEGFFPLINANGRPCKNGAGLALTMQYFPMARLSFYNFGVGVGPQYMGVPGTYFPLRRGGRVTLYQDAHVPNTTLPDIKLSEDVHYVHGTCWMDIFDAITNAQRLVYITGWSVWHKVRLVREEEEESPPTPLGDLLKAKAQEGVRVLLLVWDDPTSRNIMGYQTDGLMATHDEETRRFFKHSSVQVLLCPRMAGKKHGWMKKKKVGTIYTHHQKTVIVDTDAGNGKRRIISFIGGLDLCNGRYDTPQHPIFRTLTTLHSDDYHNPTFTGSVTGCPREPWHDLHSKIDGPAAYDIMTNFEERWIKASKPRGIKKLKATSDALLKLEKFPEILGANDEPCLSDEDPEGWHVQIFRSIDSNSVKGFPKDPHVATAKNLVCGKNVMIDMSIHSAYIKAIRSAQHFIYIENQYFIGSSYNWKSYRELGANNLIPMEIALKVASKIRAQERFAVYIVIPMWPEGVPTGSATQRILFWQNKTMQMMYQIIYKALVEVGLEEAFSPQDYLNFFCLGNREALSPTDSSHSHSPDNTPQGLARKGRRFMIYVHSKGMIVDDEFVLIGSANINQRSMEGTRDTEIAMGAYQPHHTWAKNLTDPRGQVHGYRMSLWAEHLGTVRECFEKPESIECVRQVRSMAEANWKQFAGDEICEINGHLMKYPVEVVRSGKVKSLPGFENFPDVGGQIIGSFLGIQENLTI >cds-PLY80637.1 pep primary_assembly:Lsat_Salinas_v7:5:247101403:247102776:-1 gene:gene-LSAT_5X123041 transcript:rna-gnl|WGS:NBSK|LSAT_5X123041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPLLFFFLLLSLLPHRSFSAHCTTVTTTKTFEKCMSLPSQEASIAWTFHRHNSTLEMVFSGSFISPSGWVAWGINPTSPEMTGTRALITFPDPNSGQLVLLPYIIDPTVKLQRSPLLSRPLDIHLVSSSAALYGGRMATVHNGATIQIYATLKLKPNKTKIHNVWNRGLYVQGYSPTIHPTTIADLSSITTIDVLSGVSASGPNSNIRTLKLAHGFMNAISWGVLLPIGAVTARYLRHIQSLGPTWFYVHAGIQLFSFVLGTAGFAIGVHLGELSPGRVYGLHRKLGFAAFFLGSLQTLALLFRPKTTNKFRKYWKSYHHFVGYACVILGVVNVFQGFEVMGEGGSYAKLVYCLCLSTLIGVCVALEVNSWVIFCRKSKEEKLRREGVIRGQEKACGPEHGDRH >cds-PLY91700.1 pep primary_assembly:Lsat_Salinas_v7:7:26419800:26420854:-1 gene:gene-LSAT_7X19320 transcript:rna-gnl|WGS:NBSK|LSAT_7X19320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSKQVSGDHIELNWTQNMLTSDTIQSSSNPRSTTLKRSLPTQQSDLVKCPRCDSTNTKFCYYNNYNKTQPRHFCKACKRHWTKGGTLRNVPVGGGRKNKRSKRPNTTTTAATTTVDHSLGFNGHKYMFPDDKGLFFKPNDSEFDQVSWDFNGGFTSSTTSMQPTPRQSLGFSMLSNMTSMDTTSIPIIPTSFSPLLSGFKDDSTLTTARTMVQNSATVSQPWMQAPTTSNFLESNYWNWNDIDSIVDESDLNKPFEDPQV >cds-PLY67485.1 pep primary_assembly:Lsat_Salinas_v7:6:70137039:70139882:-1 gene:gene-LSAT_6X49480 transcript:rna-gnl|WGS:NBSK|LSAT_6X49480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHPCLFIIFSFLLLLLEATTANQLVSVGDVNNKFFDVERRALLDFKSHLQDPNGSLSTWTAEDDDDCCTWRGVMCNDQGHVTQLDISRNNLNGTIHRSIGSLTQLRYLTLSYNSFYGTIPQEFGNLNNLQGLSLGYVGRCRVENIEWLSHLSHLEDLVMDGISLAKANQWVNVISSLRNLSWLSLDGCELSQVMYPYSSSFLNSSSSSIETLILSNNNLTSSMYHWLFSLTSNKLRDLDLFGNTLDGIPINPGNLCTLTSLKLYNNPMLINLPDFLYNFSRCTSLRLTYFYASDSEFTGSLSDDIQKISSLRYLYLSGNHINGTISEKLWELPELTSFDLSQNSLSGAISEKIGNSGAIIIKLSKNPLQGVPSIDHMSNLSYVESLDLNSCKLGPSFPKWIQKLKKLTYLDISSNGISDTIPLDFWDMWPSQLRFLNLSSNNISGEVPDLSLNFDNHSVIDLSSNSFNGPIKNVSSAVALLNLSRNKISGGISFLCQFVDGFLEFLDLSHNSLTGQLPDCLWHFKELKVLNLGNNNLSGRLPASIESLFKLEALYLYKNDFYGELPLSLKNCTSLNSLNLGANKFSGNVPVWLGENLSGLYALILSSNNFFGTIPLQLCQLPNLQILDLSSNSLYGTIPSCLNNLTSMVQQGFLPPPNVHPFTTQWYHSRSAHPDIIYEYYVDHAMIEWQGDEREFFRNLGLLKSIDLSSNNLTGHIPYEITNLFELIALNLSKNALVGEIPRNIGQMKKLIALDISRNNLAGGIPSSMTQMTFLGYLDVSYNNLSGRIVSSTQLQSFAPSRYDGNAGLCGLPLSKKCLGDEEPVIGKSEDDGEDEFWGWFYIGGGTGFAIGFWIAIGALLLNRRGRHAFFQFYDSFKDWVYVKVVVFVLKLQRAKYT >cds-PLY71057.1 pep primary_assembly:Lsat_Salinas_v7:3:160275983:160276746:-1 gene:gene-LSAT_3X101621 transcript:rna-gnl|WGS:NBSK|LSAT_3X101621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKFFAILLLTLLAISTLQATVSKKGGHHHGFGPGSLKSSQCPGQCIRRCSRTQYHKPCMFFCQKCCAKCLCVPPGYYGNKQVCPCYNNWKTKEGGPKCP >cds-PLY77822.1 pep primary_assembly:Lsat_Salinas_v7:8:13542868:13544072:-1 gene:gene-LSAT_8X9980 transcript:rna-gnl|WGS:NBSK|LSAT_8X9980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIQEIHVPVSPASKKRQAQELVKKIKKKMQLEDPLDKVIVETDHGSDRKGLIFVLKTLTFLTSKDSLVQSIFKEIGNPGANVNVSNMDTNTNSSDSPSTSILEKTMVIPPEVLQTESNTEEVRTSGINVHVSNMDINVNMGKGVLNNKAFGTSNLETSSIPTSLILSSTIESSILDTSTSLPPLFSPIPSSLFVSTISPTYSTIMQEQATTLFSSQSTEAERIVQDDDPNDDDIMVSFIELFKADTNFVYGVEMEYMLKSQEKRLRILVENIDKQQVQRLEVHANYFKYKIKKLSDIAKERNGLFVMQVQTMKESADLMMDVLKTEMAKEVEKIEKSYYDLHGKLDVVADAIAKIVEYNSAYSTKLD >cds-PLY82613.1 pep primary_assembly:Lsat_Salinas_v7:8:175155993:175160076:-1 gene:gene-LSAT_8X113701 transcript:rna-gnl|WGS:NBSK|LSAT_8X113701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRIMEWAGRPNHLGGLPRKTVIMAVGTFAKAVASLLNSTSVDNADTLLNLVRSRPPGVPLITVSNHMSTLDDPVMWGFKGFPTCNADLQRWVLAAEDICFKNPVLSYFFRLGKCIPITRGGGIYQEHMNEALDRLSNGDWVMPENYIFGKRPPIPLWKKDIKIIVGEPIEFDIPKLKQTALLTSKDLLPFQKYGWPPFGEMDEVAQRCLYIDISERIQSVLERLRILGRKPKFVKNNNRIVAKMNAAYARLPSTTSPCQVKDSNNRRLIAFTTPENYAVRLSHLIHLKGWTSLWCPTIAVEPTTNTKSSILHYLSPPTPLINQFSALAFTSRSGISAFSDALSELTSTPLSPSGEDFTVCALGKDSELIDNSFVGKICNNSERIKLLVPEISTPAGLVESLGYGSGRRVLCPVPLVVGLEEPPVVPNFLRDLDTKGWIAVRVNAYETRWVGPECAAELVKRDGGCGVDAIVFTSTGEVEGMLKSLRAMGLDWEAAMRVNPGMVVAAHGPVTAAGAKSLGVDVNVVSSRFGSFDGVVDALALLWNN >cds-PLY70827.1 pep primary_assembly:Lsat_Salinas_v7:4:59546438:59550731:1 gene:gene-LSAT_4X39820 transcript:rna-gnl|WGS:NBSK|LSAT_4X39820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 7 [Source:Projected from Arabidopsis thaliana (AT1G02090) UniProtKB/Swiss-Prot;Acc:Q94JU3] MDIEQKQAEHIDHFVKQASTIKGSSSYLANVIVEATSHPSLFAFSEILSLPNILELQGTEHSIYLDLLQMFAHGTWSEYKSSASNLPQLTPDQILKLKQLTMLTLAEANKVLSYDVLMHELDVVNVRELEDFLINECMYVGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGNMIHTLSDWLGTSDNLLISIQDKIKWADTMSELDKKHKKENEERLEEVKNALSLKADMDFRGHGLFAEPGGVMDYDEDRRSKRRRHPMG >cds-PLY71757.1 pep primary_assembly:Lsat_Salinas_v7:3:47381713:47383087:1 gene:gene-LSAT_3X36141 transcript:rna-gnl|WGS:NBSK|LSAT_3X36141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RLP29 [Source:Projected from Arabidopsis thaliana (AT2G42800) UniProtKB/TrEMBL;Acc:A0A178VT48] MDPSELQTLYSIMETLSLDQKWRLNYPNPCKPSTSWVGIECKRGVTDSHLHVTRLDFGTSPNPTCKNTATFPSQIFQLPYLQSVFFFQCFTKTKTTLSVSNSRTASPGPSSLQQLSLRSNPSLVGSIPSQLFSHLSSLQILTISQTRISGRIPPEISQLSSLVHLDLSYNQLAGSIPVELCKLRNLVGLDLSYNSLTGPVPNAIGQLGMLQKLDFSSNLLTGSVPNSVEKLTSLVFMALSNNGFHGKPPVGLANLKGLEYLIMENNPMSTELPMEFGHLPKLQELRLADSGYSGEIPAIFSQLSNLTTLSLQNNRLTGNIPLGLGNLSHIYHLNLSKNWLSGEIPFDSGFLKRLGKNLDLSGNGQLCLNPLQAYDSVKLGVDVCDRSNARVGNSSSRIQPLKKSEGRVVEFSRPALFFMFLGLGCAGI >cds-PLY64621.1 pep primary_assembly:Lsat_Salinas_v7:6:34768581:34771077:-1 gene:gene-LSAT_6X24900 transcript:rna-gnl|WGS:NBSK|LSAT_6X24900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLTTKVKVAKTFDFSLMGASSRSCKYVLNTLMQTFQNKQLAHAVNVRTLDNLITELLLWLLDERVPRMDDGSQLLNALNVLTLMILDNAERTSSFMVIISLLKPLDPSRWPTPPSNESFSTRTSKFSELVVKCLIKLTKVLQNTTYEVDLDRILQSIHVYLQDLGMEEIRGAGANDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDMELQPIILAYIDHNLQTLVAARMLTPTGPVGQTHWGDSMANYLMPATHSADAQLKDLDAFTAAIVVSISFSFIPASLREMVARDEFRRRRNKDATIVQVLWMNYVCKVFKKMIRNNGEFVQIS >cds-PLY86150.1 pep primary_assembly:Lsat_Salinas_v7:6:156215980:156217542:1 gene:gene-LSAT_6X95160 transcript:rna-gnl|WGS:NBSK|LSAT_6X95160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPETGSVESFLRGTLQVQFGGRRASTQPYVYSDYNSSDEQSLTFDSYTILEDDLELEVDNRVVVPAKSHLRIIVTSADVLHSWAVPSPGVKCDVVPSRLNQTSISVQREGVYYGQCSEICGTNHAFMHAPGKIGRLLSPL >cds-PLY74058.1 pep primary_assembly:Lsat_Salinas_v7:2:82765943:82767364:-1 gene:gene-LSAT_2X34800 transcript:rna-gnl|WGS:NBSK|LSAT_2X34800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II CP43 reaction center protein [Source:Projected from Arabidopsis thaliana (ATCG00280) UniProtKB/TrEMBL;Acc:A0A1B1W4T4] MKTLYSLRRFYPVETLFNGTLALAGRDQETTGFAWWAGNARLINLSGKLLGAHVAHAGLIVFWAGAMNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGPGGEVIDTFPYFVSGVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGIGAFLLVFKALYFGGVYDTWAPGGGDVRKITNLTLSPSIIFGYLLKSPFGGEGWIVSVDDLEDIIGGHVWLGSICILGGIWHILTKPFAWARRALVWSGEAYLSYSLAAISVFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGFAQGPTGLGKYLMRSPTGEVIFGGETMRFWDLCAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFFFVGHLWHAGRACAAAAGFEKGIDRDFELVLSMTPLN >cds-PLY97045.1 pep primary_assembly:Lsat_Salinas_v7:4:351220684:351230631:1 gene:gene-LSAT_4X173601 transcript:rna-gnl|WGS:NBSK|LSAT_4X173601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKISRQVTFSKRRTGLLKKAHEISVLCDAHVALIVFSSRGKLFEYSTHSSMEAILERYERCSYAEKLLTTTPGNETPGSWTLESSKLMAKIEVLEKNMRHYAGEGLESLNLKELHSVEQQIDTALKRIRTKKNQLMHESISQLHKKEKALQDQRNTLYKKLNEKEANTDLQPPHIQAPDPVHHSLPIGVDPFLETDVREEGYGGDHRISATSLPPWMLQHVHQ >cds-PLY71097.1 pep primary_assembly:Lsat_Salinas_v7:1:145558613:145559269:-1 gene:gene-LSAT_1X103260 transcript:rna-gnl|WGS:NBSK|LSAT_1X103260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFFGGNGSRIAREEILLPHQPCESVGSSWFSDSEIKRKVSPKIDDTVVLPHEVVGRHRSGIAREEIMLPHQLCESRGGIRFSGGSTKHDNSPKKVQPITEEFDESCQSRCGEKNLLTHEVLGKKTDVSDSAIKLSISNKNDQNLLLPCTLSIEMKVPDGNFSKDMFMLSVNHAGTAFEFSLSR >cds-PLY96497.1 pep primary_assembly:Lsat_Salinas_v7:5:335828699:335832417:-1 gene:gene-LSAT_5X187500 transcript:rna-gnl|WGS:NBSK|LSAT_5X187500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKLYLMASNGYLPTLVFRPEQGLNRVPKDSQRLLPNYELREDLIRSSPLNMGSKQIGDPWKSTTGLFNNTNNNNQFVTIDPTFTKPVLIDMQDCCPNSELFSFKFVMPGLLEVEKDGHGVDLSLLSNLTGLQTDYKPYVPDLGYTDSRPIYPNPEFDSQKPLMDLVGDLVRHSEITIHEDGFVSLTGNKKEMKDILSILAEFYLSKNLNNWRRHSTLVPQFDRLWFDETSYYGSALEIENVIVAPQKSPEKSKQKASQKKKSNRNKTTIRQNTSNYSEACESLLSIIFDKNRNSSKAAIAIPALKKSGPDLPNLLTQFSASIAGTGIAVLFSVICKVASGRDPFCSSKLLNTGLGLGLVWLSWAVNRLRDTIVMINKNSNKKKGLKDEEMMKQLDTSVKEIYFRAGALMMVMVLRLA >cds-PLY81807.1 pep primary_assembly:Lsat_Salinas_v7:3:33839502:33839684:1 gene:gene-LSAT_3X24641 transcript:rna-gnl|WGS:NBSK|LSAT_3X24641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQEIWMNLSRNFKEVAIERKGQQFQIVLCKIQDVGKKVIKMYVVFGAEIREDLGEARVG >cds-PLY79939.1 pep primary_assembly:Lsat_Salinas_v7:3:109876860:109880091:-1 gene:gene-LSAT_3X79201 transcript:rna-gnl|WGS:NBSK|LSAT_3X79201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVVLWAPLLVFLYLVLKIAYETLTCYLLTPIRIKNIMARQGVHGPKPRFLIGNLIDVASLVSKSTSFDMPSIDHDIVPRLLPHLVLWSKIYGKRFIYWNGTEPRMCLTETDLIKELLVKHSTSSGKSWLQQQGCKHFIGRGLLMANGDDWHHQRHIVAPAFMRDKLKSYAGYMVECTKEMIRSMEKEVAMGREEFEIGDYMAQLTADIISRTEFDSSYEKGKQIFHLLTVLQQLCAQASRHLCLPGSRFLPSKYNREIKSLKMEVERLLMEIIQSRTDCVEIGRSSSYGNDLLGMLLNEMQKKRGENGFSLNLQVIMDECKTFFFAGHETTALLLTWTIMLLASNPSWQDKVREEVRLVCNGGSPSVEHLSKLSLLNMVINESLRLYPPASVLPRMAFEDIKLGDLLIPKGLSIWIPVLAIHHSEDIWGKDVNEFNPNRFASRSFSQARHFMPFAAGPRNCVGQSFALMEAKIILAMLISKFKFIISDSYRHAPVIVLTIKPKYGVQVCLKPLEE >cds-PLY83592.1 pep primary_assembly:Lsat_Salinas_v7:5:17938202:17941689:-1 gene:gene-LSAT_5X8221 transcript:rna-gnl|WGS:NBSK|LSAT_5X8221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEPPRVVQLTMDGADSEPRPVLGPAGNKTRSVVELRKPAAKPKSKVGKPEEIKKSPSTVTVIVNSPLHSKSITPPPPLILKHKDSKLLKSNLSMNASCSSDASSDSSHSRASTGKVRRRCVTPTSIRGKQSVVPKTGKLDNALKAVSKTGKVESAARGEKLENVVVETDSSLESSADASLGRKRCAWVTANTDPCYTAFHDEEWGVPVHDDKKLFELLSLSTALAELTWPTILSKRHLFRDVFHGFDPTAVSKLNDKKIAAPGSPAASLLSEVKLRGIIENARQICKIADELGSFDKYIWGFVNHKPIVNKFRYPRQVPIKTSKADSISKDLVKRGLRGVGPTVVYSFLQVAGLTNDHLVSCFRFHECALVDVVGPGTTNDGLGLGGLTITRVMDDLTLSGE >cds-PLY92637.1 pep primary_assembly:Lsat_Salinas_v7:2:162079186:162082604:1 gene:gene-LSAT_2X85920 transcript:rna-gnl|WGS:NBSK|LSAT_2X85920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNGSPDRRRRDEDEGEGCSSAPVVGEEEYNNIKGKRVGGSVSNSSSSIIVVDQDDGSDVDEDSDAGGLQKLTTTGDSRLFGFSMADNSSWDYNPPVTHQFFPLDDSEVGSTTFVGAGRETTSLPTTYPTSNWFGVKFSHPSSEPSCFSGGVAGGNNGFSGKVTDVPQPLKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFILQDYEDDLKQTSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEVEAARAYDKAAIKCNGKDAVTNFDSSTYENELNSTECTNNNDKIINETSSDHNLDLSLGGGSTSNKPRNIEFRDYHQIPSNSIQFGFSRPPASGSGWFDGGRREGYNETETFQLLSQTHLQSPPGSFMGYSEGRGYGHFIRPANPTMLHTFNPTSFNSLTNHQMQFTSSTAHGGGSNPSPHQMYANSAAASSGFPQQRFHFRQPP >cds-PLY98673.1 pep primary_assembly:Lsat_Salinas_v7:5:303663160:303671362:1 gene:gene-LSAT_5X163440 transcript:rna-gnl|WGS:NBSK|LSAT_5X163440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase delta small subunit [Source:Projected from Arabidopsis thaliana (AT2G42120) UniProtKB/TrEMBL;Acc:F4IM00] MRDILDNIPNVFLFQTSSSPQIPNAFCSRLPSLNNPPCREFTADLLSLLDAGALSLQFFFWLVSTALPTIAMTPMDIETETLDRRQSLYRSMDEMFEIQKEMYKGQQYSQIYYIRLHLIRTLIYSLLPNWKPHLPVCTVLGLEKGKECIVVGTLYKHMKLKPTILSEYSKERSVTPLVTPDNFVHEDDNLVLEDESGRVNLKGSVLSPSVYVTGNVVALHGKETNSGDFLVEDILEAGLPPQLDLPNKSGEDKYVVLVSGLNVGSSSSNPLQLQLLVDHITGHLGDKKEQQSAAEIVQVVVAGNSVKIDHSLLNGQNLGSKDQSKLYEPLKELDIFLTQIAASMPIDIMPGYNDPANFALPQQPLNRCLFRGSSAYNTLRSCTNPHLFDVDNVRFLGTSGQNIDDLEKYSEAKDKLEFMERTLRWRHLAPTAPNTLGCYPFTDRDPFFIESCPHVYFVGNQDKYATRIVKGSEGQVVRVICVPKFSETGVAVMIDLHFVGLFTKYPMISYSDGVEQRFEDVDFDEMDKNEFLVFIQRFANETCVDVYFCIPDIEFPEGPRIITTEKDYQEFIEVGYDSGCVISVYMDHVGVHVHQWIVDEMTEVCTLEDKFSGVGEVVEELHVETGGIDLDEVQVQGDLDDNIEDGDCIPMNKTFNDVFLNKLCPKEQPTPDTPPQEDPSHEDPFD >cds-PLY74270.1 pep primary_assembly:Lsat_Salinas_v7:1:78779878:78780147:-1 gene:gene-LSAT_1X66341 transcript:rna-gnl|WGS:NBSK|LSAT_1X66341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILVDCKCNASCNSEKCLCVAYENLRASQEGFFNQSEVVVQTNNNLERRFSSTSFNPSDLLLTAENIGLCSSLDGSHGRLVDHHLKALP >cds-PLY76749.1 pep primary_assembly:Lsat_Salinas_v7:7:62206739:62207275:-1 gene:gene-LSAT_7X43860 transcript:rna-gnl|WGS:NBSK|LSAT_7X43860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASKLVFVLVVMVVASLQLQSTVAQTRHVVADAFGWNIPSAADTYTTWASGQTFTVGDSLFFNFTTGFHDVTEVSQAAYGPCTIASPINSVTTGPATVTLRTPGNHYYICSVGAHCRSGQKLTINVAAAGTAGTPPPSTSSPAPAGSXXXXXXXXXXXXXXXXXPATFLAAALAFFY >cds-PLY85416.1 pep primary_assembly:Lsat_Salinas_v7:4:291469399:291471553:-1 gene:gene-LSAT_4X148580 transcript:rna-gnl|WGS:NBSK|LSAT_4X148580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTKSKTLNSKNYSENLGVNTRGPRLLLMWRSFAVLLIMTLSTSIAAREGSIPKAAYQICLEVWLALSSLTDALALAGQAILASSYSQKKYGEAHRVISTRLGGA >cds-PLY75890.1 pep primary_assembly:Lsat_Salinas_v7:1:172215309:172215856:-1 gene:gene-LSAT_1X114580 transcript:rna-gnl|WGS:NBSK|LSAT_1X114580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNLFITILVFFLPFIFFDGYVVLGGRILTGHWKPISNVTDATVVDIGKFAVDEHDLKDHASLKFVKVVSGEIQVVAGMNYKLTIKTVNGGLENNYVAVVWVKPIQKSRQLVSFKGPI >cds-PLY97207.1 pep primary_assembly:Lsat_Salinas_v7:4:95475324:95476262:1 gene:gene-LSAT_4X62501 transcript:rna-gnl|WGS:NBSK|LSAT_4X62501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIGEAHSLDHIANLPYPIFHTDDTKYLGGLRMAIKFGSSKEAREFLEDKTRWQEWFKWMVLEDHNDLSYESRFGKVIFPFNNILDKRDLSVGKVGVITSRKTWINEEVQISVDRAVFVAGVIEYFEDWLPFNSSHFDKDANDSNSEKSVEDDVEKGISDTWLPENDEDFEDGEIRPDGSPENLSEKMSSHDGIGKSPRNLVKEVGTTSEPIIRTSQDVEKVNTVNLHASIGNPHAVNIDVIIEDTGCRVINDPDKSGMGYNVDAVGPFTDNGSYDPLDQFLILPILP >cds-PLY78318.1 pep primary_assembly:Lsat_Salinas_v7:2:181202208:181208757:-1 gene:gene-LSAT_2X103080 transcript:rna-gnl|WGS:NBSK|LSAT_2X103080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFNLKEDMFFSFSLYLSNLQLPPRTIVNTSSTMKTSTPKLPFRPPGDPYHSKRLHHFNGTTAAPTLPLSSLPAETISPKTLPQRSYSALPLRSFKCKASIYPSFVNMKGLVNAIDLRGSCLVKATSSPTPSTNIDDAKKEEKSDVYSTNMTEAMGAVLTYRHELGMNYSFIRPDLIVGSCLQTPADVDKLRSIKVKTVYCLQQDSDLEYFSVDINAIREYATTFDDIQHLRAEIRDFDATDLRLRLPAVVSKLYKAVNQNGGVTYVHCTAGLGRAPATALAYMFWVQGYKLNDALSLLLSKRKCFPNVDAIKSATADILTGLKTKSVTLTWNGDNCSSVELAGLDVGWGQRIPLKYEEKNGSWILHRDLLEGRYEYKYIVDGEWMTNKYESLTPVNKDGHVNNYIQVVGNDPESVDLWTRLGDDDFDLTDSERETIKRVLDEYPDDE >cds-PLY90921.1 pep primary_assembly:Lsat_Salinas_v7:5:48859090:48859311:-1 gene:gene-LSAT_5X22641 transcript:rna-gnl|WGS:NBSK|LSAT_5X22641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLHHGGGQRRLGGEGAAQGNSGSNSSAATGGGRYSYIFNDFDAAAGTSCCGKESTIKVAGTTPTIVTDRYGW >cds-PLY95925.1 pep primary_assembly:Lsat_Salinas_v7:9:42072868:42074439:1 gene:gene-LSAT_9X37881 transcript:rna-gnl|WGS:NBSK|LSAT_9X37881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFLSHMGKTELAGGALAIGFANVTGLSIMKGLCMGMDPICYQAFGAKRLSVLSQTYIKTFILLLLTSVPVTFLWLNVEPVFHRLGQDRVITKVAAMYLTFSLPELPALAHLQPLRSLLRAQGLNSPATIVATCSTILHLPINYFLISYLNLGVKGIAMASACFTYNMNIGLLIYIFMSKVAIKPWVASSKTSLFSIFKGWGPLLSMAIPSMFSVCLEWWLYEIILFLSGLLDNPQSCVAATGIIMQTTGAIYVIPFSLSLSISQRVGHELGAGHPARAQLATIVGISIAFIYGLVVFGSSIALRNVLGKLYTNEDQVLALLSSALPVTGLAEVGNSPQTAACGALTGSARPKVGVRINMAAFYLIGLPLSIVLAFVLKVGYRGLWLGLVASQAACVSLMIYTLVKTDWRDQAKRAEELTFAMNKDDDTELNELVP >cds-PLY88464.1 pep primary_assembly:Lsat_Salinas_v7:8:87579331:87583201:1 gene:gene-LSAT_8X63201 transcript:rna-gnl|WGS:NBSK|LSAT_8X63201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFHDNISHQMALQHYADQQQLTENVEVLRGILPSDHHHQHHHLGQSSSSPDAGPKTSQSPPPTWLNSAILRQHNHHYGGDGSGGSFLHLQTTNSDSSNSNNHWLSPRPIDNDDSNRESMFVSTINSENNLDENAMKLSQRQSESDGNGNGNGNGGNHERDWEFAKCKADILSHPMYDQLLSAHVSCLRIATPVDQLPRIDAQLAQSQQVIAKYSVFGNHNQPLDDKDLNQFMAHYVVLLSSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVAPGEGTGATMSDDDEEQVDSDTNMFDGGLDVSDSMGFGLPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSLLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTAVKTKRKRSFQKLLVTTRRCD >cds-PLY81413.1 pep primary_assembly:Lsat_Salinas_v7:9:95442052:95443140:1 gene:gene-LSAT_9X72220 transcript:rna-gnl|WGS:NBSK|LSAT_9X72220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLADIHPHIVQTHILPRLDGPSLLSTATASSYLQTLCTDDNLWSHICRSTWPSTIDPRLDHLISTFPAGHQSFFQDSFPALITDVNHYNTILPSTWSSSKPNSWSCSSHPCPSELISAIDICYQNDIIYSRVEFTDTTHDFLWSPFWVELKDDPPINRLIPGISRWIDLKVDELLGANKATLSHLKESLTLSWILIDPTRKRAVNLSSMRPVSVMQHWMTNDSLLEYVTVLPGCSLNDMVQCRIEVALGVGGGGVGLYVKEVVLKFEDLHCSCLNGRELFVILERVIWEESNVRRKVVEDDEERWRSYRKFKEMKIEKMAWVKKEEEKRELAVRVNCIGMLVFVYVFCLLFNYIKHTQR >cds-PLY85486.1 pep primary_assembly:Lsat_Salinas_v7:3:41907276:41908519:1 gene:gene-LSAT_3X31461 transcript:rna-gnl|WGS:NBSK|LSAT_3X31461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:jasmonate-zim-domain protein 10 [Source:Projected from Arabidopsis thaliana (AT5G13220) TAIR;Acc:AT5G13220] MSGSPAVEIDFFRLNAESLSAPKKLSDRRDIQGVISKINPELLKTAIASASANMSFVGSKSGSSPQQSETLPVFNQDCSSVNMARCGAPLTIFYNGTVSVFDVSPIQAENIMKLAESGSLGYKTVVESTLVKPTTVSNQNPAVGGALNKDLPLSRKKSLRRFLEKRKERQVSASPYAYSQENASLH >cds-PLY80079.1 pep primary_assembly:Lsat_Salinas_v7:4:348188090:348191698:-1 gene:gene-LSAT_4X172381 transcript:rna-gnl|WGS:NBSK|LSAT_4X172381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINEEEEEKYQHHTYFDYDDIGTHGLEGDFEPTPTHVDQSSNVGEDHTKEMTPIGRSQRKRGVPWFQRTPFTVVVFPRIIPHVHWFLAVLNLDTWKVDIYDSARCMNNFTKYSAGGEFKSFGDSIIEELDAIEYWKDFPDGHRDNAVVEFIDIIDAPQQEIISERGDCGVFVCMFMEMIASGVPVKSDKPCRDARFLYRNRMTNIIWDTK >cds-PLY94678.1 pep primary_assembly:Lsat_Salinas_v7:6:20160673:20162748:-1 gene:gene-LSAT_6X15181 transcript:rna-gnl|WGS:NBSK|LSAT_6X15181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSKLENLWEEVRELSLGTTPQIDRLHTPPTPLQFLRHYLSQNKPFILSASAATTLQWPATTLWTSTSYLLNTLSSSTVSLHLTPTGQADSLTPHPSNPDSLCFASAHVQSTSFSDAIDAIKASRKNDSSKGFVAYAQQQNDCFREEYGALARDCDSHIPWATEAIGGLPEAVNLWIGNDLSETSFHKDHYENLYAVVTGEKHFLLLPPTDVHRMYIREYPAAQYTYSEESEEFELKLENPERYVPWCSVNPYPLPKDKEKEISKFPFYYNGPKPFEVTLKAGEILYLPSMWFHHVRQTPDNRGLTIAVNYWYDMQFDIKYAYFNFLQSLSFCKPRLNDGDSFINENLSNGDKNHKEE >cds-PLY70636.1 pep primary_assembly:Lsat_Salinas_v7:4:227102238:227103092:1 gene:gene-LSAT_4X124001 transcript:rna-gnl|WGS:NBSK|LSAT_4X124001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGEGNPAPHSSVQTPFQHPSPPPQGYPNNHENPLSSSPFPSQPDANLADNDRNQSHPQSTRSFVPQSPESMPPPEAYPPQKPHTYPPPQSPSAYTHQPEGVSMPPPEAYPPQKPHTYPPQQSPAAYPPQPEAVQFPPQPQPVQFPPQQTSTHQQANYTAPQSNNYAFSNAQPQVVNYGGPIGYQTPVKNGPPEGIAVGTQYLAPTQEWRNGLFDCAEDPENGNFNYSFQFIHFIYFLNSFTSCITIIHKILRYL >cds-PLY87951.1 pep primary_assembly:Lsat_Salinas_v7:4:338937312:338941608:1 gene:gene-LSAT_4X167900 transcript:rna-gnl|WGS:NBSK|LSAT_4X167900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTEITTTTTTTSIRNRPPNTGNLITVLSIDGGGIRGIIPGVILEYLELQLQDLDGDEARLVDYFDVIAGTSTGALIAVMLTAPDENNKPFYAAKDIVPFYLENTPKIFKQIGGPFAGIIKLLKALVGPKYNGKYLKTLLTGLLGTTKLSQTLTNVVIPTFDIRDMQPVVFSSYQVPREPTTDALLSDICMGTSAAPTYLPAHYFQNGDREFNLIDGGVAANNPSLVAIAEVTRQVMKEDPNFIPISPLDYGRYLVISLGTGTEKQAPKYDAKTAAKWGVLGWMVNNGSAPLIDSFQQASADLVVFHNNVVFEALNSTNSYLRIQDETLTGDLASVDVATTQNLNNLVDVGKGLLDKPVSRVNSDTGVFEVLPDGGTNREALKKLAKQLSDERKLREANCTAQEVLE >cds-PLY68068.1 pep primary_assembly:Lsat_Salinas_v7:5:292913798:292915731:1 gene:gene-LSAT_5X154020 transcript:rna-gnl|WGS:NBSK|LSAT_5X154020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVLEVMLVDSEGIRAKRFLGCVVCCSTSAVNRPYVCVEYGDKKRVSKVAQGKGKKSIWEQKFEFVVDYPMDEKKLDQKLVFRVMDKHKLSDDGYVGDATIHVKDVVLMGMEKGDAKLGSRKYRVVREDKSYTGDISVGVTFKRKDGVNNDGERKESLVNNEGTKMATKDGVNNDGERKESLVNNEEGTKMATA >cds-PLY72752.1 pep primary_assembly:Lsat_Salinas_v7:4:373431549:373434577:-1 gene:gene-LSAT_4X184401 transcript:rna-gnl|WGS:NBSK|LSAT_4X184401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSTVSELIRRKRLLKHVLLGLIAVLGLICLYNGSTFAPGAEEVISLDDGTDPITGSFRPKRDYFDELFEDQEQNPEVPKSLPVCDTRHSELIPCLDRHLIYQLKLKLNLTLMEHYERHCPPAERRYNCLIPPPSGYKIPIRWPESRDEVWKANIPHTHLAQEKSDQNWMIVMGEKIRFPGGGTHFHSGADKYIASIASMLKFPGDKLNNGGNIRTVLDVGCGVASFGAYLLPLGIIAMSLAPNDVHQNQIQFALERGIPSTLGVLGTKRLPYPSRSFEMAHCSRCRIDWLQRDGILLLELDRLLRPGGYFVYSSPEAYAHDPENRRIWNSMHDLLRRMCWRVVSRRDQTVIWAKSLSNSCYLKRPLGTNPPLCSSDNDPDVSWNVHMKACITQYTTKMHKGKGSGLEPWPSRLTGPPPRLDEIGVSVDQFQEDSDTWHHRVMEYWKQMRSVIQKNSIRNVMDMNSNLGGFAAALKEKDVWVMNVAPVNMSSRLKIVYDRGLIGTVHDWCESFSTYPRTYDLLHAWRVFSEIQDRGCSVEDLLIEMDRMLRPEGFVIIRDKSSIVDHIRKFLTALKWDGYSLEVEPKIDPLSLNDERVVIARKQLWGDDDDDEIL >cds-PLY99699.1 pep primary_assembly:Lsat_Salinas_v7:9:56493754:56496762:-1 gene:gene-LSAT_9X48141 transcript:rna-gnl|WGS:NBSK|LSAT_9X48141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAGICEVGIENGKVFSGDSSFEIVGGSDEVIGGGGRMVECSALGTNCIVFGSAIANDGEVERINEEVNSNKSSTVNQLVRLKSGVLSNDSVNIHLDVSHGEIGQVSATTCEVEKLNGFEDLGDAVLTVKYSGSVVKTVEDSSDKVQPVEDSGYIVKIVEDSGDVVQTVDVFKPLDSCDVFKPLDSCDVVQTGEDPCDVVQGVVDPGDVVQTVDVFKPVDSYDVVQTVEYSGDVVQAVVDSTVEDSCDVVQAVMDSSDVVQVVEDSGDVVQPVEDSCDVVQTVEDSCVVVHTVVDSGDVVHTVEDSGDVIELVQDLGFANDPIENEDIEVTVVEDEAQLPKIVGEDAKSGEQGKGNPEFIIEDIKFVELDVKSENSNKENVEIIDDNIESQIVVIDGVQNPCNQDADSEVQQLSEIDDNKESNVEDTPNSDDITQLVMAEHEQEIEANNYPITYHTTISQVDINFPDVNDEKQTEEFEVKEAPIPILIFPDSLVSPDITIQFGSFSLHNKDQNQETEHTCNANSNILEIVLDDLQGNISVDKVVRDMDDIVPIFFGEASSEFINLQIEDADSKIHIMEDMIHHEPFGLKDENQFICEIKQSKSYVSNMGIHDETQHALNQKREIEEHLKILSFEIVRWFLVCTYGYGY >cds-PLY76538.1 pep primary_assembly:Lsat_Salinas_v7:8:91027229:91027717:-1 gene:gene-LSAT_8X63541 transcript:rna-gnl|WGS:NBSK|LSAT_8X63541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQASLFALVIPNQTSLWKQSQPISFNNVKPSKPTTHFTTIKVAAIGDVPTKEAPMGFIPPELDPNTSSPVFAEIPPPEQLPPPLPAAMVKTHYHCVHFFEYRSPKHPRPLLLPPLVSHLSISSLFSATGAAPNNNLSHFFPFIGSPLSNRISISFRDFKR >cds-PLY79651.1 pep primary_assembly:Lsat_Salinas_v7:5:254642053:254644059:1 gene:gene-LSAT_5X128320 transcript:rna-gnl|WGS:NBSK|LSAT_5X128320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSTPLGAATSSSTVPTTTGRGPSQSPETLASLITTSKNITQLLQIHAAAIRHGFHNHPVVNFKLQRSYSSFGRLDSSLALFNRTHDPNVFFYTSIIHAHAAYNLHMRGIQLYIQMMSQDVEPNEFTFSALLKGCPLEPGKLLHPHVIKLGFDCNMHVRTALVDVYSRGGDLVSARQLFDTMPERSLVSLTSMITGYAKHGDLINARTLFDRIADKDAVCWNVMIGGYAKYGKPTDAITLFRKMLQTKINPNEVTLVAVLSACGQIGALESGRWIHSYIQNNGIHINIHLGTALIDMYTKCGSLEDALNIFNNLKNKDVITYNSMISGYSMHGYHQEALLLFHDMHKNHKQPTAISFIGILNSCAHSGLVSIGKGIFLSMETKHKIKPTIEHYGCVINLLGRAGFLNHAYTLTNNMNINNIKPDPIIFGTLLDSCTLHKNIELAEKIVKFLIDHNLANSGTYILLSNLYGSTSNWAGVARMRALMKDHGVQKEPGCSSIEVNNKVHEFVAGDMKHPKSEEIYGMVEEVNGWLESHGYRPQTDVVLQDIGKRERVRSLEVHSEKLAIAFGLISTKAGSSIKIVKNLRVCLDCHEVTKLISKVTGRRIVVRDRNRFHHFVDGLCSCGDYW >cds-PLY72663.1 pep primary_assembly:Lsat_Salinas_v7:8:209489201:209495878:-1 gene:gene-LSAT_8X131521 transcript:rna-gnl|WGS:NBSK|LSAT_8X131521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDASELLKEKGNAAYKGRQWNKAVSYYTEAIKLDESNATFYCNRAAAYLELGCRYDPNPFEEEELNPFANQSPQGSASAQSNYAGGAFYMPAAGSVPPATSRLSPLPPEPAGYDRGATINIPLDQDFRSKEKELKAKEAELKRREQELKRKEDAIARTGIVLEEKNWPPFLPIIHHDIPNEIPVHLQKIQYVAFSTFLGIVLCLVWNLVAVTMAWIKGEGPTIWFLAIIYLIAGVPGAYVMWYRPLYRATSALKFGWFFLAYMFHIAFCVFAAVAPPIIFKGKSLTGILPALDVLTSNAFVGIFYLIGFAFFALESVMSIWVIQQVYMYFRGSGKAAEMKREAAKSTMMSAF >cds-PLY82136.1 pep primary_assembly:Lsat_Salinas_v7:1:13448345:13449643:1 gene:gene-LSAT_1X11580 transcript:rna-gnl|WGS:NBSK|LSAT_1X11580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTNNTSGLLPILTSLLFSPFLTQIWLPAMTLKGGTTQACAACKYQRKRCTPECPLAPHFRPEHTEIFKNAHKLFGVKNILKVLDQIDPSQKTEAMRSMIYQANMRDRFPVHGCYGIVIQLQIQIRQAEKELYAVLSQLEFYKNQRPQHEIVLSPLDSPESQRLQLGMGVEQPGSAAATTAALTLFQHEGVGGDDSHQQPQQQPFVLTSGLPIPYSGNGNGHDGYNNNSGIHMDLKENMNFVWGQQTYCNENENKNDDGGKGVVSTLQSELVNSTQQLTIQDEVTHDYDEIYPFFDTIDDTQSYMDSKEANDSSPESSPKETTQSVKHVAGNNLRSAELQVNKSCPLKLRCYTGQKKPSIGLFTLMKMRRVFLSFSHSRDKKIPSHLFGWNKKLQYLSY >cds-PLY73910.1 pep primary_assembly:Lsat_Salinas_v7:3:39531787:39535964:-1 gene:gene-LSAT_3X29301 transcript:rna-gnl|WGS:NBSK|LSAT_3X29301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLILQFVLLFLTCSIPSLLCQVTEFVSIDCGGTSNHTDPKTSLAWVSDSGIVRHGTSIEIENPNDNAIQYQRRRDFPIDNKKYCYTLNTTERRRYVVRTTFLYGSSESENTYPKFQLYLDATRWATVSVLDASTVYVKEMIIRAPSSSIDVCLCCATTGAPFLSTLELRPLNLSMYATDYEDNFFLKVAARVNFGASSTEAVRYPDDPYDRIWDSDLAKRQNFLVGVAPGTVRINTTKEINTNTREYPPMKVMQTAVVGTQGTLSYRLNLEDFPANARAYAYFAEIEDLPENETRKFTMKEPYVPDYSNAVVNIEENANGSYTLYEPSYMNVSLSFVFSFSFKKTLDSSQGPLLNAVEISKYVPIASKTDRKDLNVLGAFGSMLETGDMIEEGDPCVPVQWAWVSCSSNVPPRITKIVLPGSNLEGEIPGGIKDLQELTELWLNGNSLNGTIPDMSNLEKLKIIHLENNRLSGLLPSYFGSLPNLQELYIQNNSLTGDIPPALLTGKIIFVYEGNHGLHRNTKHKSHYKLILGISVGILAVLFILFLGSLLLLRHFRTKTPSQRSDDKGAFSSRKSTIGLMAYSTRAGSLMDEGVSYYFSLAEIEAATNGFSKKIGKGSFGPVYYGKMKDGKEVAVKMMADSSSHGTQQFVTEVALLSRIHHRNLVPLIGYCEEEHHRMLVYEYMHNGTLRDHIHDRVNKKHLDWRARLRIAEDSAKGLEYLHTGCNPSIIHRDIKTSNILLDINMRAKVSDFGLSRQTEEDLTHVSSVARGTVGYLDPEYYANQQLTEKSDVYSFGVVLLELISGRKPVSPEEYGADWSIVHWARSLIRKGDVASIIDPTITRDVKIESIWRIAEVAIQCVDQHGSNRPRMQEIILAIQDAITIEKGNDGKIITSGSSRAQSSRKTLLTTFLDIESPDLSNDCLVPSAR >cds-PLY90702.1 pep primary_assembly:Lsat_Salinas_v7:8:142272105:142273155:1 gene:gene-LSAT_8X97021 transcript:rna-gnl|WGS:NBSK|LSAT_8X97021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLEDLLQENGGFGCRDVNEPYVEEECQESEYNEKESGGDEDKFDGDGDLCDEDEEEFNVTKFSIMEVLKTWLMVV >cds-PLY63102.1 pep primary_assembly:Lsat_Salinas_v7:8:75153672:75156509:-1 gene:gene-LSAT_8X54041 transcript:rna-gnl|WGS:NBSK|LSAT_8X54041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TWIN LOV 1 [Source:Projected from Arabidopsis thaliana (AT2G02710) UniProtKB/Swiss-Prot;Acc:O64511] MEQQPMGTSIEQSINNRYSIWVREALDELPHSFTITDPLISGHPIVFASREFLKMSGYSREEVIGKNGRMFQGSRTNRRSVMEIREAIREERSIQVSLLNYHKNGTPFWILFHMFPVFSKEDGRVIHFVGVQVPIMPKPRRSGSEFSRIEMNLCENGSGFRDTVLGFCRREVCSDTVAELGRISNLDQILTDDTELHDTACKVSDLEKRRVNTAISNIVSMLTHYSESTGRLVSDKRCCPSNMSLVGASLNISLGRIKQSFVLTDPHKSDFPIVYASDSFLELTGYGRHEVLGRNCRFLSGKETDVSTHLQITNSIQTGKACTVCILNYRKNGSPFWNFLHMSPVRNASGKIAYFVEVQMDLNCGSHENKNLRPEIRQLSVVGAVKVAVRSSGMIATTSDS >cds-PLY66325.1 pep primary_assembly:Lsat_Salinas_v7:5:290258988:290259571:1 gene:gene-LSAT_5X156380 transcript:rna-gnl|WGS:NBSK|LSAT_5X156380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSGRAEHSWSPNYGRHMDENHSNGVTNEYMAISLLQTQMELSLIREDFQDQLRELRQTVNRHLDAMNLEVDDGRAGQMDISHMVVDLKNHFVSLQGAYVKMVFKDNKRKKLMSCVGIFGVVCASVVTYLVFKYKNVVSVEAFHSWFVMLVCRFGGGVAGLLIVIVF >cds-PLY86524.1 pep primary_assembly:Lsat_Salinas_v7:4:198382047:198387886:1 gene:gene-LSAT_4X112460 transcript:rna-gnl|WGS:NBSK|LSAT_4X112460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIRSMKLREAHKNNGVSSYCTILWDLTAEHIVTASSSDASISIHDALLPSNTPRFLRNHRDGVTTLALSPNSSCLASGSSDRSVKLYKFPGGEFESNITRFTLPIRALSFNRSGTMLAAGGDDDGIKLINTIDGSVARVLKGHRGSITSISFDPKSEYLASVDSFGTVIIWELQSGTTLHTLKNISHNTPPDFTTLSALSWSPDGEMLAVSGLRNDVVMYDRDTAEKLFTLRGEHTQPVCFLAFSLNGKYISTSGLDKQVLIWDVAKKQDIERQKFDEVISCMTWKPHGNALAVIDVMGKYGVWDSVVPSSMTSPTEGGPTLDSKKSDGLLFFDEEEKEISTSGSMSDHGEEEDSFMNSEQPTRKRLRNFKYDEDSDDDVNDMSLLPKVESNKKRSTADVANMKNGKGTQTGVVAITGPKMQEAFQPGVTPVQFGKRRFLCYNMLGSITTMEHDGYSHIEIDFHDTGRGPRVPAMTDYFGFTMASLNENGSVFANPCKGDKNMSTLMYRPFRSWANNSEWSMRFEEEEVKAVALGTSWVAAVTSLNFLRIYTDGGLQRHVVSLDGPVVTASGFGDELAVVTHSSPSLPSNEQMLEFRVLNVPNGRQSIRGKLALTPGSILTWFGFSEEGQLTSFDSMGVLRVFSNQYGGSWFPLFSASKLKKKDESYWVVGLNKTNLFCILCKSPDKFPQVVPKPVLTLLDLSIPLASSDLGAEALENEFIISNMHLSQIQRKIEEKEAMGDDDTTSLEDEAFNIETALDRCILRLIASCCNGDKLVRAIELVKLLSLEKSVRGAIKLVTALKLPNLAERFNTILEERLLKEEAIETKTETVCVNNTTTKTFVSQEIVKGQECVNEVQLASPSFVKKKATENSTTPKIGKVVELKDAKLESKGLVKKSNGEVSQQKSTNPFVKSLNNQEKEKSSSVFDSLKKFKKNEK >cds-PLY90334.1 pep primary_assembly:Lsat_Salinas_v7:2:198137869:198141129:-1 gene:gene-LSAT_2X119300 transcript:rna-gnl|WGS:NBSK|LSAT_2X119300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDYMDQSSGGDTDGSDSEVEEYVDKSYEELQSGKHKVRLSDETFTCPYCRNKKKRDYQYKDLLQHATMVGKSDSQKRNPKDKANHLALVKFLQKDISGNSNSGPSKPKEETDNLADHDGDELFVWPWKGIVVNLPTELKDGRYVGKSGSNMRDHLTARGFNPTRVHPLWNYRGHSGSAVVEFNKGWDGFKNAMSFEKAYEADHRGKRDWKVNDDPKSDIYGWVARADDYKALNIIGEHLRKIGDLRTVSDIMAEEERKANTLVSTLTREVEVKKRTFEEMETLYVETENSLSKVIEEKDLLHQSYNDELKKIQASAHDHFKKIFNDHEKIKLQLENQKKELELRGNELEKREVVNENERKKLTEEIEENAVKNSLLQMASDEQRKADESVMKLAEDQKREKEELHKKIINLEKQLDAKQAVELEIERLKGQLNVMKHIGDVDLEVLKEVEEIHKNLRDKEEELEHIQSLNQTLVVQERKRNDELQDARKELIEGLKELPKSAHIGVKRMGELENKPFLDAMKRKYGDMDAEDRASEMCSLWEEYLRDPNWHPFKVITVDEQSQRLIDESDDKLKSLKRDLGEDVYKAVTTALTEINDYNPSGSYITTELWNFAQGRKALLQEGASYLLDLWDSAQKRRRIM >cds-PLY63727.1 pep primary_assembly:Lsat_Salinas_v7:9:85448693:85450813:-1 gene:gene-LSAT_9X66800 transcript:rna-gnl|WGS:NBSK|LSAT_9X66800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT4G08790) UniProtKB/Swiss-Prot;Acc:Q94JV5] MAAVNSVRIAAAQMTSINDLAANYATCSRLVKEAASAGAKLLCFPENFSFVGAKDGESLKIAEPLDGPVMKGYCALAKESSMWLSLGGFQERGSDDAHLCNTHVLIDDAGNIRSTYRKMHLFDVDVPGGAVYKESSFTEAGKEIIAIDSPFGILGLTVCYDLRFPEVYQQLRFHHGAQVLLVPAAFTKVTGEAHWEVLLRARAIETQCYVIAAAQGGKHSEKRESFGDTLIIDPWGSVIGRLPDKVSTGITVADIDFSLIESVRRKMPISQHRKPIEFWKQVSK >cds-PLY74334.1 pep primary_assembly:Lsat_Salinas_v7:6:601896:606358:1 gene:gene-LSAT_6X760 transcript:rna-gnl|WGS:NBSK|LSAT_6X760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSDAETGMVDCGVGTIVWVRRRNGSWWPGKILGPDELSASPLMSPRSGTPVKLLGREDASVDWYNLEKSKRVKPFRCGEFDDCIERAEASQGMPPKKREKYARREDAILHALELEKQVLEKKYGKPGVQSNNTKLLGKDTTITPELSRDSGKHVDLSLDDKRKGLSFQSRSHLNVDDVPVRPILFKHKLSSLAASNGSHRHASDVLTPENTVIVGSKKLLEKKRKRSQEGNTEESVGKRRDRHRPLVQVLLSSAKLPHHDHTHPLQPKTDGGEEQRKVVHRAVGGNDPGDTREAIPDQVENSAPKFEKRDESFPVALSEENTTESNEDTETDSSGTESLMSDTYDAMAALSDEAEDVEFIPKAFGRQGVHEESMSDDESGGVPDDSVATVSKWQLKGKRNSRSLRKRKVATNSSHWMTGFNGIDTSLSLRSKASGGLLDMMSWDDDPDPYPCCLKGYSYSYSYSGEYQIYDDDDDDNDDDDDDVRRHGTRMLIDVEVGVQSSYQREHVPMISLMSKLNDKAIVGHPIPVEALEDGSSDDMFQMESLLLLGGGDTSAPLQPWRTARRTAKCRGVALARPPQFLSTLEEEEEEEEEEEEAVASFQFQEYYDEDGKASVTRSNSKGRKWAAPKKPQRRTTSSCSSQKIRTLSSIGGTQQQQQQHNMDLKAEGEQGIGMMMMMMMMMKPESLPTAVACIPVKLVFSRLHEELVAPRHQ >cds-PLY67430.1 pep primary_assembly:Lsat_Salinas_v7:6:71006042:71006812:-1 gene:gene-LSAT_6X51580 transcript:rna-gnl|WGS:NBSK|LSAT_6X51580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQEGFLPTHNVHSYSVKFFYSGGYLYDERDDKYIDHAVIEWKGYEREFIKNLGLLKSIDLSSNNLTGQIPYEVTKLYGLRALNLSKNGLHGEIPEKIGQLKQLETLDLSRNNLSGEMPLSMSGMNFLNHLDVSYNSLSGRIPSSTQLQSIDPSRYIGNPRLCGPPLTKKCHGDDESKVPHVIAESEDGGEDTDEFWGWFYIGGGTGFATGFWIACGALLLNRRGRHAFFHFYDRCKDLVYVKVMVFFANLQRVEM >cds-PLY67678.1 pep primary_assembly:Lsat_Salinas_v7:4:3299078:3300033:-1 gene:gene-LSAT_4X1201 transcript:rna-gnl|WGS:NBSK|LSAT_4X1201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNLAAMFHYSSSSTSTSPAAALSSQLSLNMTNHYSHADHNYNNRYSSYTQSDDDHELRLIGENKKGPSDNAVVADRISPSSNSFSTGDGDDLNTSMASTKLNVRKGEKKIRKPKFAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTQQGCNVKKQVQRLSKDEGVVVTTYEGIHTHPIEKSTDNFEHILTQMQIYSSC >cds-PLY78262.1 pep primary_assembly:Lsat_Salinas_v7:1:130045985:130050934:-1 gene:gene-LSAT_1X96700 transcript:rna-gnl|WGS:NBSK|LSAT_1X96700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSKTEESQLQQLENQVENGGGGVWEYLSLVRKLKLRRSDKVLKHGLTLLNDPKKRSALGADEWTLYEQVAIAALDCQCLDVAKDCIKVLQKKFQDSKRVGRLEAMLLEAKGSWAEAEKAYSSLLEDNPLDQVISMRRVAMAKARGDILAAIDWLNKYLEIFMADHDAWRELAEIYVSLQMYKQAAFCYEELILSQPMIPLHHLAYADVLYTIGGIENLQTAKKYYASTIELTGGKNVRALFGVCLCTSAIGQLTKGRNNEDKEISGLAAKALEKDYKQNSPEKLDLLASTLKTLKL >cds-PLY64320.1 pep primary_assembly:Lsat_Salinas_v7:4:26114070:26115558:-1 gene:gene-LSAT_4X18721 transcript:rna-gnl|WGS:NBSK|LSAT_4X18721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRVKSPGFSFQIVNTNMDDFSPRARRRSALLTWQYIASLPPSLPVLYCGGFNTQKESTTGRFLLGRSREHGVVGGMRDAWPNARLRKNVSLVRTFHGFKGSKQGAVEFFKLIFCALCLCWDRQTQDFHVDWILFRGRSLVPVSCEVVNDNIDGQYLYSNYPIFVEFMLPRTVRLLDPPPVQDDATSPLS >cds-PLY99406.1 pep primary_assembly:Lsat_Salinas_v7:4:103394287:103398169:1 gene:gene-LSAT_4X65521 transcript:rna-gnl|WGS:NBSK|LSAT_4X65521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEYLDKHMLSRKIEDAVNAAVRAKTPDPVLFISNHMRKAVPSVITKVKARQILDCRGIPTVEVDLYTNKGMFRASAPSGAPSGLYEAIELRDGDKGTYLGNGVTRAVRNVNEKISEAIVGMDPTLQNQIDQAMIDLDKTEKKGELGGNAILAVSMAACKAGAAEKEVPLYKHIADLSGRGNHVLPVPAFTLISGGKHAANNLAIRDIMILPIGAKRFEEAMQMGSETYHHLKAVITEKYGAQGCNVGEDGGFSPNVSSFREGLDLVKEAINRTGYNGKIKIAIDVAATDFCIGTKYDLDYKSPNRSGQNFKSGEDMVEMYKELCKEYPIVSIEDPFDMEDWEQAKYFTGLGICQVVGDGLLMSNSKRIERAVHERACNALLLKVNQIGTVTEAIEVVKMAKDAEWGVVISQRSGETDDSFIADLAVGLATGQIKAGAPSRGERLAKYNQLIRIEEELGDQSSYVGDDWKQS >cds-PLY72795.1 pep primary_assembly:Lsat_Salinas_v7:6:22652005:22654153:1 gene:gene-LSAT_6X17860 transcript:rna-gnl|WGS:NBSK|LSAT_6X17860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAYVSYLFLLCCFFFATTSSSNSTSRPKQKWVGPCGHRNITVDVNGSGDYTTVQEAVDSVSSNNRKNILIHISAGVYVEKVVVPESKPYITFQGEGRETTVIEWHDRASDRGADGQQLRTYQTASVIVYANYFSARNISFKNTAPAPMPGMHGWQAVAFRISGDKAYFSGCGFYGAQDTLCDDAGRHYFKECYIEGSIDFIFGNGRSMYKDCELHSIAKRFGSIAAHDRRTPDDKSGFAFVGCNVTGTGPLYVGRAMGQYSRIVYSYTHFDDIVAHGGWDDWDHKSNKSKTAFFGVYRCWGPGSAAVRGVSWARELNYDSAHRFLVKSFVNGRHWIAPSDA >cds-PLY86731.1 pep primary_assembly:Lsat_Salinas_v7:2:197387218:197389210:1 gene:gene-LSAT_2X118601 transcript:rna-gnl|WGS:NBSK|LSAT_2X118601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDGSSRDGDGQLSVPTTPPAGETKTTSPVEPSGGRQGRRRRMEIQQLKFLAAAASDVVMPPPDSSTRKRRKVGSIETIETTTGGDFGLPNPKEFQKAVQSSDMKTLEIGPCGRGACGTSSETLGEDVLDKENSRFGLTVVCGRRRDLEDAVAVKPSFCRTSSRSSDDLHFYGVYDGHGCSHVAMKCKDRMHEIVKEEVVSCEKSMEWREAMVKSFSRMDKDITDWSNSASRSNCRCELQTPQCDAVGSTAVVAVVTPEKIVVSNCGDSRAVLCRNGVAIPLSVDHKPDRPDELARIEEAGGRVIYWDGARVLGVLAMSRAIGDNYLKPYVIPEPEVTVTERTADDECLILASDGLWDVVSNDVACSVASMCLRSQEVPSPPRSPGSELNVARGESSDKACSDASVLLTKLALARRSTDNVSVVVVDLRRNL >cds-PLY82459.1 pep primary_assembly:Lsat_Salinas_v7:2:182992727:182997547:-1 gene:gene-LSAT_2X104120 transcript:rna-gnl|WGS:NBSK|LSAT_2X104120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSMMAKVYYEASSDHVKKLAHGFFDAMDNDGDGKIDQREFLEFIRDEGYGKMTKASFFNQMDRDKNGTLDFFEVVTIYYIVKSGRPFCDECNKFITSTYLTCIGCLEDPNGGYSLCICLDCYRKQIPKHMHDGLCRFVDNYSLLEAMTKSKLNEQRSMEAKFRPNETNAIPGRPPMVMSNNQLTWNPWSTMVPVPYNSHRPPPLHHSHSWSPGSLSAPPVQNHTVNIQNNYHYTQHVVAPNAIVPHRQRWKVALEALNTALQIGVNGNTLCSIL >cds-PLY80297.1 pep primary_assembly:Lsat_Salinas_v7:3:207651642:207653366:1 gene:gene-LSAT_3X122300 transcript:rna-gnl|WGS:NBSK|LSAT_3X122300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAEPWLLRMGNQMSSNLKHALLLESSSKPSAKNKQDTRNKTPETIGILSFEVANVMSKIVHLHKSLTNSEISKLKNEILKSDGVKTLVSSDETYLLDLALSEKLDDLSFIAGVVSRLGKKCTVPQLQGFQHVYEDIINGAIDVGGLGFLVKDMDAMVRKMERFVNSTASLYTEIEVLNELEAATSKFQQNQHEESRKVLQQKVIWQKQDVKHLQNVSLWNQTYDKIVEMLARTVCTLYARISLVFIDTISRRDMFSNASLPISSSNLHSCRSLPQNSSQIDSRSTKSGGSFSNSGFEKRVISPRPQIISKKGEISLFKAEDFNFACGLGPGRLFMECLNINSSISKFDDFDDDSFQKHDDQSSRISREITNHSSFHTRIPRIPKSSFKSTPKSSATKTRLTVQAPPTTIGGSALALHYANIIIVIEKLLQYPHLVGEEARDDLYQMLPTSIRLGLKISLKSYIKDLAIYDAPLAHDWKDRLDQILVWLSPLAHNMIRWQNERNFEQQQIVSRTNVLLLQTLYFADRTKTERAICELLVGLNYICRYEHQQNALLDCASSFDFNECMEWQSQYS >cds-PLY98478.1 pep primary_assembly:Lsat_Salinas_v7:5:209635936:209644115:1 gene:gene-LSAT_5X95120 transcript:rna-gnl|WGS:NBSK|LSAT_5X95120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKISRSFCRYLLLLLVFFIQKGYVTAKAFTGTYGINYGRLADNIPSPTEVVKLLKASKIKNVRIYDADHSVLDAFSGSGLDLVIGLPNEFVKEMSENPDHALNWVKQNVHAYFPKTHIVGIAVGNEILGSTDLDLQDSLFDAVKNIYNATKKLKLHKVVQISTAHSQNVFGTSYPPSSCTFKEDIAQNMKKILNLFSQIGSPFCLNAYPFLAYMGNPDHIDINYALFNPTNGIYDKKVNLHYDNMLDAQIDATYAALEDAGFDKMEVIVTETGWASHGDLNEGVATLKNARIYNYNLRKRLAKRKGTPRRPNFVLKAYIFALFNENSKPGPTSERNFGLYKPNGRISYDIGFPSLKSSSANSLKRRVAVVPTLRQEVYCPQSGNFFLTHKQSVSYQIEKLDESWWNLYDSLWVVISEMHGKGPTCESQRRASSEGLGLLARLGNDMFTARLTRSLLNDVTGAPEHYVGSIALALGCIQRIAGGMALLSLVPSTVHSISSLAKSSIANLQVWALHGLLLRIEATGLSYVSQVQVVLQQGVGRLINEIVAVLGPELHPGCIFFSRCKSVIAEISTQQETTTLLE >cds-PLY74432.1 pep primary_assembly:Lsat_Salinas_v7:6:11512185:11513215:1 gene:gene-LSAT_6X9200 transcript:rna-gnl|WGS:NBSK|LSAT_6X9200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIPLLPIAAPSSLSISHSHRLLFPPTLLQQNTDIFLPLCPNMPPFYSSVKPFVRDLPPSFYSLFFACLSAPSHRNSDCNHPPSRPATTYAKDAVSAGSEALWLPQSHRLWLKCLKENFEVMEKEYKSMSNMLFLTLKSLGYKSMMLFL >cds-PLY97931.1 pep primary_assembly:Lsat_Salinas_v7:3:18244175:18244432:-1 gene:gene-LSAT_3X14021 transcript:rna-gnl|WGS:NBSK|LSAT_3X14021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPAEDTGGEGDTGEATGGRCKVGGGGDVADRMYVDKIRGGERGGREPSSMRELKGLAADNDVGDGVAVGGGVVDQRKRTIIGDR >cds-PLY93254.1 pep primary_assembly:Lsat_Salinas_v7:6:159133805:159135169:-1 gene:gene-LSAT_6X97521 transcript:rna-gnl|WGS:NBSK|LSAT_6X97521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRSEEDMKFVGFFGIFKQSFKTIFSSNKIFAQITLTLILPLTIVFLAHMEISRHLFWKIESNSLVSESDSYYRARATAADWLYYWLFKVAYITLFAVFSLLSTAAVVFTIASIYTDREVVFRKVMTVVPKVWKRLFVTFVFIYIAFFIYNVIGGVVLVIIRSIFGYSGIGIILWFIIVILYIIGFLYLSVVWQLASVVTVLENTYGFKAMRKGKDLAYGKKKVGMGIAFVLYAFLLGLLIVYELFVEYGGEIFDLAMIWRVMIGILCGVLILKLFLLFFVTQTMLYLVCKSHHREVIDKLSLSTFLGAYMGETVVYPAAGEEIQLGRPQSQSQQV >cds-PLY75773.1 pep primary_assembly:Lsat_Salinas_v7:3:67451113:67452710:-1 gene:gene-LSAT_3X51541 transcript:rna-gnl|WGS:NBSK|LSAT_3X51541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein c [Source:Projected from Arabidopsis thaliana (AT1G69700) UniProtKB/Swiss-Prot;Acc:Q9S784] MRPVIALVYPLYASIKAIETKSQSDDQQWLTYWVLYSLITLFELTFSKPLEWFPIWPYARLFATCWLVLPYFNGAAYVYKHFIRPFYRNPQVKIWYLPQKKGIFSKRDDILTAAEKYIAENGPEEFERIISRADREARSRRGGYTIVDDNYGY >cds-PLY68869.1 pep primary_assembly:Lsat_Salinas_v7:3:64700816:64703429:-1 gene:gene-LSAT_3X49880 transcript:rna-gnl|WGS:NBSK|LSAT_3X49880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEANERVKDTEEEEEVWSWGAGTEGQLGTGKLQDEHLPQLLHILPSLSLLSCGGAHVIALTPDKKVLTWGRGTSGQLGHGNLVNSLEPKIIDALNEFNITHVSAGWNHSGFVSECGQLFTCGDGQFGQLGHGDNKSQSYPVKVLFFSSKHVDQIACGMRHSLVLLKDYGDQIYGFGYGKRGQLGIINKVKSINLPQSVTGLKEVNVSSIIANGDHSAALSENGDLYTWGRGFGSKADVSSPNLVISPFSFTQAALGWNHALILTDEGEIVMLGGRHHGVLNSLQKDNSVNQDSNEAHVEKIHGLDGIKVVGIASGSEHSVLTTENGVVMTWGWGEHGQLGLGKTCDEISPQVVIFGQHQDMTSKVYCGSGFTFVVRTLNHGMEL >cds-PLY65500.1 pep primary_assembly:Lsat_Salinas_v7:3:1303349:1305590:-1 gene:gene-LSAT_3X1641 transcript:rna-gnl|WGS:NBSK|LSAT_3X1641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNSRTCPNRGLKLFGVRITDGSIRKSASMGNLTHYTGSGSGGGAFNSLSGGGGTDLDSPGDTPDHNAAADGYGSEDFVAGSSSGRERKKGVPWTEEEHRMFLMGLQKLGKGDWRGIARNYVISRTPTQVASHAQKYFIRQTNMSRRKRRSSLFDMVADDPLDAGMNMMGSHSQSHDGETQSVDNPKMCVPTVEEKEEVEEEEEEEEEEEEEEDDDECESMDSASSNIVEPGMKLVQEAQHYCYPAAAAAMFPAYYEFIPVAIPFWGGPAPAAKTESHEVLKPTAVHSKTPINVDQLVGLSKLSLGDSIGDAGPNSLKLLGSLSRQSAFHASSSSSDKNNNNSNNDNTNNNNNNNPRTSSGSMPAHSCTVGG >cds-PLY96004.1 pep primary_assembly:Lsat_Salinas_v7:9:41071188:41074149:-1 gene:gene-LSAT_9X37021 transcript:rna-gnl|WGS:NBSK|LSAT_9X37021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDEQLLPRHQYHHQDPIDTSLLVSLYVGHFLARWGARMWEFSVGLYMINVWPDSLLMAAAYGVVESASTTLFGPFVGQWIDNSTYPKYWLLNSVYKGIPALQERSKKRGPKSTIATQQEHASSSTSQEQLIQHHDTEDLSEDVSSSNPIVRKFIRRVSNSSFIRAWRVYLQQDVVLPGVSLALLYFTVLSFGTLMTATLEWDGIPVYIIGMGRGISAIIGISATFLYPSMETRISTLRTGLWSIWSQWACLLVCVGSIWVKNNTTSAYLLMAGVAASRLGLWTFDLSVIQQMQDQVSEPNRAVVGGVQNSIQSFWDLMTYIMGLLISNPEDFWKLILVSFWLVTIAAIMYSVHIYRVRNHLFHFDKLLMLFRRCV >cds-PLY78299.1 pep primary_assembly:Lsat_Salinas_v7:3:173078703:173081634:-1 gene:gene-LSAT_3X105861 transcript:rna-gnl|WGS:NBSK|LSAT_3X105861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVENLVIIGSGPAGYTTAIYAGRANLKPVVFKGYQIGGAIYDGATRVTAKRLKLPREDEFWSTGISACAICDGASPIFKGEVLAVVGGGDIGTEEAIYLTKYARHVHLLVRKDQLKASRAMQDIVFDNPNITVHFNTETIDVEALVKDGLLDKLKTGPLEAVKGGTMDPKFTPRLKGVLSQALPILGNVKDQHRPIFENAFRCWCQACWQYNVEYPLSSTLDSDVT >cds-PLY78165.1 pep primary_assembly:Lsat_Salinas_v7:6:108665926:108667341:-1 gene:gene-LSAT_6X66841 transcript:rna-gnl|WGS:NBSK|LSAT_6X66841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATTLMALLHPAPETFDLFDDLVVLSEGHVRFQKCFRAYGFDVIGQLMADVTHLCQIFRTPKGLRFIEQGVYIAESVASNNLKQAKGRFQTYENNDNMENGNSNYGRRNTGGKEHSIGGRKMQGNQQKSLASALFFLLSLS >cds-PLY99757.1 pep primary_assembly:Lsat_Salinas_v7:9:52197218:52198470:1 gene:gene-LSAT_9X47241 transcript:rna-gnl|WGS:NBSK|LSAT_9X47241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEGIGSSDGGVSLMIVTTCSDGVTGVTDDSSDIIGRIGLVGCSEGSSTVSFIILEIDFGVVIEGYHGATTLFNEPHPCKWHGVGKCKPRRRGG >cds-PLY95839.1 pep primary_assembly:Lsat_Salinas_v7:5:64117752:64120988:-1 gene:gene-LSAT_5X29621 transcript:rna-gnl|WGS:NBSK|LSAT_5X29621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIPETMVLHYTHGSDVRELKVTSGKVLSALATFKILQEPIYNIPDSISAFFQTKVSLNRIATHLRLTDIDSNAIDKLPPGSSDVAVEIINGNFAWDANASSSNLTLKDINIRVNHGMRVAVCGTVGSGKSSLLSCILGEVSKISGSVKVEGTKAYVAQSPWIQSGKIEDNILFGREMDRERYDNVLEACSLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKLKMTLSRKMTKTEESWKIRS >cds-PLY90813.1 pep primary_assembly:Lsat_Salinas_v7:2:107234737:107235168:1 gene:gene-LSAT_2X46021 transcript:rna-gnl|WGS:NBSK|LSAT_2X46021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIIRTVLKPKYGGLVVGAWLVEEGRNEGGKKVDVIVDSGGEGAALAAFLDCFIPVVRSKLEGRKGAATITRRMCLDAMIIEQRCRSKGRIFLWYGDRKTLLWWRFSRPRPRKGREIEGKKLDRLFVISDISSKTLIHNYIEGT >cds-PLY72343.1 pep primary_assembly:Lsat_Salinas_v7:8:80160851:80161429:-1 gene:gene-LSAT_8X58000 transcript:rna-gnl|WGS:NBSK|LSAT_8X58000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKENIHTSIDIIGHVDSSKSTTTYKLGGIKKEAFNLIDKDGYSCITTKELGTIMKLLGQNRTEVELQDMINELEVEGNGTIDFPEFLNLLTRKMNTQRGAIFTEIKNNVNKIAKWTTPAIVTSANMMRMGYTTRVHPCDRFDLVILAIVGNKQKEIDGQIGTKFDDQFTPHEKFLLLPLNLKIAKLKEKCL >cds-PLY88566.1 pep primary_assembly:Lsat_Salinas_v7:7:7697148:7698354:1 gene:gene-LSAT_7X7080 transcript:rna-gnl|WGS:NBSK|LSAT_7X7080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTGWAQIVEDQAELARANLSILRKEAQSILDLAIKDATQGSESGKIMAFFVLDALICIDQAKFEQLAQAMNVINTLSEHVKTFINQ >cds-PLY99725.1 pep primary_assembly:Lsat_Salinas_v7:9:56947037:56947450:1 gene:gene-LSAT_9X48440 transcript:rna-gnl|WGS:NBSK|LSAT_9X48440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGCTVTPGRNVSDGSMTGIGGGGMVSVPYDMGGMALRDTGITQPIPIGALASALANASPTKQRTVYVAVVKHLETVARTEVTSIKEEAVSMILDPRDGDILLVSDIII >cds-PLY63894.1 pep primary_assembly:Lsat_Salinas_v7:1:124936314:124936670:1 gene:gene-LSAT_1X93420 transcript:rna-gnl|WGS:NBSK|LSAT_1X93420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHIPDYNEILDCPSKFLINTKLNQRLPNLSSYNKSIMLNTADASDFFEGGWGKSFQNQWRNERDVFKPQANAIQKNIKAGFYVEITILGWYWRLRCRWAKGLVEFLRRWLRGGDKRG >cds-PLY95883.1 pep primary_assembly:Lsat_Salinas_v7:5:80173229:80176118:1 gene:gene-LSAT_5X37821 transcript:rna-gnl|WGS:NBSK|LSAT_5X37821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGCRDFFYFIHRAIGKEFQNVYFCLPNIPLSRRIRPIVDVLDFAQFIDSVYEYGEISLYVDHNGNGLEDWWDDDMNLVVSKDNESGLEDDGVPRKEENTTPDDETHPNVGFEDEVIDIDKIPLNKTIGDEFLSKLCPPEGDTGDNEVEEEDVEIHSIFNPDMQWKRQVKAGGMGVAVRTRKPSERILKTKLAKAVYGKNGEGISTTNAMDID >cds-PLY64122.1 pep primary_assembly:Lsat_Salinas_v7:1:1106295:1108927:1 gene:gene-LSAT_1X321 transcript:rna-gnl|WGS:NBSK|LSAT_1X321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESEKNLQESIEPKTSDEVAKKLKKKNRRSLILNKLRCFGSTDYGYPTVDEVDGDGNIDMQSASTDKKHSPTHLVVMVNGLIGSAHNWRYAAKQFLKKYPDDVIVHCSERNSSLLTFNGVDVMGNRLANEVVSVIKRYRNVEKISFIGHSLGGLVARYAIAKLYGDTEDSRIGGLVPMNFITVATPHLGTGGHRQVPLFGGSNTVEKVAHQISWVLGRTGRHLFLTDNPHPPLLLQMVDDSQDLKFLSALQSFTRHVVYANAHFDHIVGWSTSSIRRRNELPKRKNLVRSSKYPHILKGDRDTTTKSVKQESPLLLLQPNNFKTATASMEEAMMRGLTKISWERVDVSFKGSKQRYFAHNTIQASKQSLDKF >cds-PLY71468.1 pep primary_assembly:Lsat_Salinas_v7:7:191567282:191570991:1 gene:gene-LSAT_7X116460 transcript:rna-gnl|WGS:NBSK|LSAT_7X116460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKITVMTADEQIITLEVDRDESVENLKALLEIESQVPLQQQQLLYNGKEMGNSETLSRLGVTDGDLVMMVSSPSSSSSARGSSNEVRLNPDGSAADAAAFQQQVRNNSNLMAQLFQSDPELAQAVLGNDLNKLQDILRMRHRQRSELRRQQEEEMELLYADPFDVEAQKKIEAAIRQKGIDENWAAALEHNPEAFAKVVMLYVDMEVNGIPMKAFVDSGAQSTIISKSCAERLGLLRLLDNRYKGIAQGVGQSEILGRIHVAPIKIGNIFYPCSFLVLDSPNMEFLFGLDMLRKHQCIIDLKDNVLRVGGGEVSVPFLQEKDIPNRYLDEERQLKEASSSGDASGSQPAGPASSGPAHGAEFEAKIVKLVELGFDRSSVIQALKLFNGNEEQAAGYLFGG >cds-PLY66435.1 pep primary_assembly:Lsat_Salinas_v7:6:152873985:152876805:-1 gene:gene-LSAT_6X92500 transcript:rna-gnl|WGS:NBSK|LSAT_6X92500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKIMHFIIFLMLRIGQRKLLFIGAIITVVTFTLLQIKTLPYQLTTMNSSLPDTNHFEITPLIRDNQSEAINPPPFTPLLNSSTQLVESNALVQEKVKVTPKKIQIDVIKPNISTTPIFSSDPVSRQKRYLRDIKTLSPYEALIYAKKEIDTVSYDINNHDPNLYAPLFRNISVFKRSYELMEVILKVYVYQEGKKPIFHNPYLRGIYSSEGWFMKFMESSKQFVTRDPQKAHLFYLPYSARQLQHAIYVPNSHNIKPLSLFLRDYINKLSSKYPFWNRTHGSDHFLVACHDWGPYTLKEHEELTKNAIKVLCNADTSEGVFVAGKDVSLPETTIGNPRRPLRNMGGKSISRRPILAFFAGGLHGRVRPILLKHWANKDDKMIISGPMSYKQSQTMSYSLHMKSSKYCICPMGFEVNSPRIVEAIYNECVPVIIADNFVPPLNEVLNWRAFSVIVGESDIPKLKEILLGISLKRYRIMQHNVKMLQRHFYWNRTPVKYDMFHMILHSIWVSRLNQIQV >cds-PLY89923.1 pep primary_assembly:Lsat_Salinas_v7:8:68304187:68304582:-1 gene:gene-LSAT_8X49300 transcript:rna-gnl|WGS:NBSK|LSAT_8X49300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSLSCVLLRLFIIVTTCSISSITTVISDDVVDITGDLVRNGGKYYIIPMEGSDYPAPTTRGRIKLTDSIYGEKICPLVVVLDPSDDKLGDGFYFSQLTRQLYLDSSSIRIDSGPPVGECEASTSWTIG >cds-PLY75916.1 pep primary_assembly:Lsat_Salinas_v7:9:199810370:199811417:1 gene:gene-LSAT_9X123380 transcript:rna-gnl|WGS:NBSK|LSAT_9X123380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQRPNLIEKYIGFLVTVFGDDFEGMKEIQRVSKATIGILADAESNLLRHSFLDILGTTEEITSAEELILDKTLKTYSSLIFPVILMPPIIYGDNITIPVHKVNHVHGNYSSNLLRMEMESGAWIKIEPGLSSLGSEWRVVNVFGPQENIVKAKSLIESVICEVIHVDACRINCMGFIYLGFCSFFEFDHACSMRNYLVMKR >cds-PLY66240.1 pep primary_assembly:Lsat_Salinas_v7:5:142651494:142651709:-1 gene:gene-LSAT_5X62760 transcript:rna-gnl|WGS:NBSK|LSAT_5X62760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQHWQCILIFFVYTPAYVQQGKCTKGSPTTSSIEMSKNVAVNDLLFGICKELLYRPVVLNCGHSKCSKNS >cds-PLY98141.1 pep primary_assembly:Lsat_Salinas_v7:1:138698781:138706321:-1 gene:gene-LSAT_1X99480 transcript:rna-gnl|WGS:NBSK|LSAT_1X99480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSQQILSNFSPWTLFPSGESESGGQIGHFAPFPAGSSSSSTSGDTHAHISSKVPIQRHHVQPFSRSPMEVSGDDWHTLVADIEGRQRLVSEIYSKSSDAAVEVPEASDAAEKYTGAKSEMMLFSNKLFTCAETSRDETMRRQSTMVKFHSSNSLLTNVLVTWMNHNLTSKYDFHSYMIRSMEEEFQRRVEVSGPLWGFVVAFMLFNIKGGFFAERRLKPRDELFWFKNPELLLNLIHFNLFQMGTNYKATLIPQSIRDTIHGWGEDARRRRRWLGIYGDDSMVHTDTSMVISVEELELREPVPTCVYMYPFHTMKPLIILLHVSF >cds-PLY75152.1 pep primary_assembly:Lsat_Salinas_v7:4:62155820:62165042:1 gene:gene-LSAT_4X41201 transcript:rna-gnl|WGS:NBSK|LSAT_4X41201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSKTANVQSPDQVPESKPDPGDGEQGLGQQDQEQVVPAFKEFELTELRAATNGFSSELIVSESGEKAPNVVYRGKLRSNKVVAIKRFSKLSWPDPQQFVAEAAGVGKVRHNRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRVRVAYHIAQALEHCNAENRKIYHDLNAYRVLFDEDGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNVLLLMDSSLEGQYADEDATVMVELASKCLQYEGRDRPDVKFLLTAVAPLQKQKEVASHVLMGLTKTPVIVPTLLSPLGKACARMDLTAVHDILLKTGYRDEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFNGAVEYYSKLVTMMSVPSGTIFVRRALSYLMIGQPELALRDAMQAQVCLPEWPTAFYMQALALSKLGMETDAQDMLNDGSSFEAKRQNSWRN >cds-PLY77347.1 pep primary_assembly:Lsat_Salinas_v7:2:97118566:97121984:-1 gene:gene-LSAT_2X42260 transcript:rna-gnl|WGS:NBSK|LSAT_2X42260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRFDPDETTFGDLDKASNVLPSHPKKHHNSVAHFLESRGMIDEAIEVATDPNYRFELGIQLLKLETAKLEMAEDCLKHANYLSGLLLLYSSLGDAEVIAKLALVAKDSDACVVALSKNLSPWWPWVDEGDSPLTTAKGVEMETYKELDPTNRLVILKALCEIRADVFYSHTLLFLFITRFFYHQIHGGKGGNKHRQIHLGGSAQQVAWAKQRVDEYIYIQMMQETCVDDESE >cds-PLY74449.1 pep primary_assembly:Lsat_Salinas_v7:7:32875191:32876018:1 gene:gene-LSAT_7X24761 transcript:rna-gnl|WGS:NBSK|LSAT_7X24761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSCDESEAHRLYRNSETTLKEAKEELEIGVSVISLADCDGLDGGGVTMKEYGGGETKI >cds-PLY98587.1 pep primary_assembly:Lsat_Salinas_v7:1:36415318:36415965:-1 gene:gene-LSAT_1X30721 transcript:rna-gnl|WGS:NBSK|LSAT_1X30721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDVMSDADGLDMADMDYITQQITELRKSGYTDVDIMRCLGITKAHLEEFGYVAANVEGGLEGDGQGNEEEGGHGQGDGVEGGGDGQEGDGQEGEGDGQGNEEEGGHGQGDGVEGGGDAQEGDGQEGEGDGQEEDEEGVPVNDPVQQGHFGNNMKQRTRRPSERIILQKLKKKVVDPLGIGMCEDKALVID >cds-PLY92866.1 pep primary_assembly:Lsat_Salinas_v7:8:40777616:40785056:-1 gene:gene-LSAT_8X31940 transcript:rna-gnl|WGS:NBSK|LSAT_8X31940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLINSLNTEINIGNKVYLKDIESLDDDSHLKLRVLKMWNFIRNNIVLSIEIIVMEEEGTKYQSRVFNQKFSRFGHMLKEDQSYIILKPSMAAVKNGLSVSDQRQTITLDWKTIIKRCEDFPGQAKKLKATVNGGTPEKSITTLPSYASSYIDDFEGDFPLKTISEITEPLKNLPWCYEACYKCGKKINKVPKPNLSYTAPDNISESVVIQCKDPVCNDENFHVVLKYIIPINMQDHTDTIGFTLFDREAKRLLNIGAYELKKLHEEDVISQTDESFTQSTVDKSSATSPLKISTDLKRNLHDIYDVDSGGDSSSTKSKRK >cds-PLY92612.1 pep primary_assembly:Lsat_Salinas_v7:7:162490577:162492173:-1 gene:gene-LSAT_7X95681 transcript:rna-gnl|WGS:NBSK|LSAT_7X95681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAWKVACGGPPPSSLLIPPGTYFASPIQLKGPCKGPIEIKATGATIKAPPEVAKFKTDGWIEIEGVDKLTMTGGNYDGQGQATWKSNNCASTGVCKLPANIRLTNCKNAVVKDLTSTNSKFFHMNILGCDNSKLDHVTINAPGTSLNTDGIHIGRLNGLNITNTNIKTGDDCISFGDGSKNVHIDTVTCGPGHGISIGSLGRYPNEAPVQGIWIKNCTITGTDNGLRIKSWPAGTPGSATDMHFEDIIMQKVGTPILVDQEYCPSGKCQKGPSKTKLSNVSFRKIKGSSTTKVAVKLICSPGTCQNFEVADINLQGPGGPATSECKNIKPKVVGQVVPPACP >cds-PLY80660.1 pep primary_assembly:Lsat_Salinas_v7:5:245915388:245916526:-1 gene:gene-LSAT_5X122181 transcript:rna-gnl|WGS:NBSK|LSAT_5X122181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGQPLPKFGEWDVNDPASAEGFTVIFNKARNEKKTGGATDSPAQSDFTPRKSGGASSLGKPQSKKWFCFKA >cds-PLY64980.1 pep primary_assembly:Lsat_Salinas_v7:8:161593868:161597150:-1 gene:gene-LSAT_8X107540 transcript:rna-gnl|WGS:NBSK|LSAT_8X107540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKTNSEKIAGLEAGVAALEQDLTKLREEADLAKKENVAQFTEVLQAINNLTKTVKGKLIQEEEKDKEDPEFELEFGSFKKGPKDDKNKGGGRTSSVKVNTSVDLSSLVFLCRAISSWHVKKVKPHVLLGLSGVGGVFNEHVDAKLKDAVEKEVGRIRGLVGLAFSTAQKDPPGTGKTQTIFGLLSAILHTN >cds-PLY75536.1 pep primary_assembly:Lsat_Salinas_v7:9:34114221:34116469:-1 gene:gene-LSAT_9X30321 transcript:rna-gnl|WGS:NBSK|LSAT_9X30321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESASSAKRWLPLEANPDVMNQFLWRLGVPPGEAECNDVYGLDEELLEMVPKPVLAVLFLYPITPESEKERLEQNSIKQDPYDGVYFMRQTVGNACGTIGLLHAIGNITSEIKLDEGSFLDKFYKSTASMDPMERARYLEKDTEMEVAHSEAVAAGETEASDNVNDHFICFACVNGKLYELDGRRSAPVSHGPSSPNTVLQDAAKVIKRMIAKNPDSMNFSVIAISKKVGGY >cds-PLY66523.1 pep primary_assembly:Lsat_Salinas_v7:4:342015939:342016430:1 gene:gene-LSAT_4X168221 transcript:rna-gnl|WGS:NBSK|LSAT_4X168221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRFLVSWEFVSGGCCGCVFGQYSVMEVYHMVDDDWLYLSKLSKSENLCSDFFKVSAREALRVLKSIHVAARRSLPVLVNPERQILSIPNIGFRICPYLKVSAKFNPRVPLGGGYSLFL >cds-PLY87732.1 pep primary_assembly:Lsat_Salinas_v7:1:5167457:5168443:-1 gene:gene-LSAT_1X4460 transcript:rna-gnl|WGS:NBSK|LSAT_1X4460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFWNTTGGFQQSSAGGELMEALEPFYKSASVDYQNTLSFSSFSPSPSTSYSCASSSFLSSSYSYPSSYFSPSPSSSTTQQQFGSYPDCYLHGFSTPDPFYYEQPGSTSGLNQFTPIQIHQIQAQIHFPKNGTQFSPKPVPMKQSGSPPKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDSAEEAALAYDNAAYKLRGENARLNFPHLRHNWSQTGGDYKPLHSSVEAKLQEICQILAEGKSIDGCKKSRQSSGKSKKATEQAEVVKVAGSESDGEGVSGCGDSSPSSGLTFADFSVEESAWCDSENFSLEKFPSYEIDWDSI >cds-PLY74732.1 pep primary_assembly:Lsat_Salinas_v7:6:118522722:118525065:-1 gene:gene-LSAT_6X70881 transcript:rna-gnl|WGS:NBSK|LSAT_6X70881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCYKGKYADELIANATYIGTPGKGILAADESTGTIGKRLASINTENNEANRRALRELLFCTPGALQYISGIILYEETLYQKTAAGIFIKNFISTFAGKLFVDVMKEAKVLPGIKVDKGTVELAGTNGETTTTGLDGLGQRCAEYYKAGARFAKWRAVLKIGQNEPSQLAINENAYGLARYAIICQENGLVPIVEPEILVDGPHDINKCADITERVLAACYKALNDNKVLLEGTLLKPNMVTPGSDSKKVSPEVVAEYTVRALQRTMPPAVPAVVFLSGGQSEEEATINLNAMNKYDAKKPWSLTFSFGRALQQSTLKAWGGKEENVKKAQEAFLARCKANSEATLGKYQGGGALSEGASESLHVKDYKY >cds-PLY67178.1 pep primary_assembly:Lsat_Salinas_v7:6:162472647:162473651:-1 gene:gene-LSAT_6X99661 transcript:rna-gnl|WGS:NBSK|LSAT_6X99661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLSIFRFHISSLVSKCLLLLLMALIIRTLLLPNSFSLVSKNYEFGLLKSDVRNVKLKFLEVPQIVWGFNNQKIAFARACLTARTLNRSLLMPSLSASLFYKEIDQLQPISFHKIFQFEKFNSLCHGFVQLSRYSDLTNQSSIINLHKGSGRKWTLERDLDQLKEFSKQDYDVYETIRILGKNPFLWHDHWPVSDYAKVFECLVLVDEISKEADEVVSKIKHFGSVVSKIKHFGSDKNGVSLDQTPYVAIHMRIEKDWMIHCKKLEQRSNVSDICSSREEIMTRVANLRTLKSSTILYLAVVNKLLEDNLILIGWKEGMHPVDKKRLGVVGYRL >cds-PLY76352.1 pep primary_assembly:Lsat_Salinas_v7:5:224866777:224870122:1 gene:gene-LSAT_5X109440 transcript:rna-gnl|WGS:NBSK|LSAT_5X109440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFVGISNLRFITVTTLYLFLALVLLAIDSTDASIHVYDRDPFREVGNAYLVSGGSEGLAASRITTPLPRHIPSPNANDGRSYIGFQNITFHRTKEASSNHSRTARRTGLVQVIIFEAADRDNIGGSAYGGQRSICCTSDLSKIEGCKQGEVIRVPSKRDPNWPVTVNVYFRGQSTTAQLKTTEAYITKTGMYNLFFISCDPTLKGMTLTGQTSWKNPDGYLPGRMAPLKKFYVIMAIAYLLLCVAWFSQYVRFWDDVLQLQHWISVIVGVGLFEMTLWYFDYAYFNNTGTRPVVITTWVVTVGALRRSVSRLLMLCVSMGYGVVRPTLGGLTTKVMLLGVTYFLSTELLNITEYVGAISDKAGRARVILVLPNALLDAFLIMWIFTSLSKTLTQLQAKRSSVKLDTYRKFSNALVITVVLSVVWIGYEVYFKATDPFNERWQSGWIITAFWDILAFALLCVICYLWAPSLSSQRYAYSEEKGEDSDGEESEALYSGTPKGDISLVNQERREKNGQNVVEFDLEEDHDAEEGKRE >cds-PLY70547.1 pep primary_assembly:Lsat_Salinas_v7:1:73074884:73077081:1 gene:gene-LSAT_1X64481 transcript:rna-gnl|WGS:NBSK|LSAT_1X64481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMLNRFTKNKKRFYGKMKLIRSRYGFRHLKWILWLIVSFFFFIRFISHHQPSTNSLRRTIISNSQSNVIFRAISESKTAEVLRQNQDFMKDLKVYVYELPSKYNVDWLSNERCSNHLFASEVAIHKALMNSEVRTFDPSEADFFFVPVYVSCNFSPVNGFPVIGHARALISSAIELISSELPFWNRSNGSDHVFVASHDYGACFHAMEDRAVANGIPEFMKNSIILQTFGVKHQHPCQDAEHVVIPPYVSPEKVQSTLSQSPINGRRDIFAFFRGKMEVHPKNVSGRFYSKRVRTEILQKYGSDRRFYLKRHRFAGYQSEIVRSVFCLCPLGWAPWSPRLVEAVALGCVPVIIADGIRLPLESAVPWPEISLTVEEKDVGNLAGILDYVASTNLSSIQQNLWDRKVKQALLFHDDVEHGDATWHILVALSERLSRSNRRARLLNK >cds-PLY86887.1 pep primary_assembly:Lsat_Salinas_v7:5:257954173:257955779:1 gene:gene-LSAT_5X133441 transcript:rna-gnl|WGS:NBSK|LSAT_5X133441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHLQILYGNLAPEGSVAKIIGKEGGEGPKGGPSMPEMLTPTSAIMGAGLGKECALLTDGRFLGGSHGYVVRHIFPEAQVVGIGPKVGC >cds-PLY99605.1 pep primary_assembly:Lsat_Salinas_v7:5:241896589:241898075:1 gene:gene-LSAT_5X119180 transcript:rna-gnl|WGS:NBSK|LSAT_5X119180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSSTTDAILIVVDQSGQGDYTTIQKAIDAVSSNNSELIYISIKPGTYREKIAVPADKPFITLSGTDANNTIITWNDGGDIFDSPTVSVMASDFIARYLTIQNTFGTSGKGIALRVSADRAAFYGCRIISYQDTLLDDSGRHYYNNCYIEGAVDFICGNAASIFEGCHLHSVAKSFGVITAQHRNSPLENTGLTFVGCKITGLVGGTVLGRPWGPYSRVIFAQTFMSDTVSPQGWLDWDDPSKQRTVYYGEYMCYGPGADRSKRVGWSRELSRDECAPFVNKTMIGGRSWLRSTPIHFKKQLPHPIGLGVKQNGQY >cds-PLY92619.1 pep primary_assembly:Lsat_Salinas_v7:7:162022832:162024480:1 gene:gene-LSAT_7X94160 transcript:rna-gnl|WGS:NBSK|LSAT_7X94160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPQNLSFLLSTSLLLSPTRLARFSSYDKFSGEKTCFSLASAVKVCAPFPRFYFNLFSVTNLYGNLVFWWFDSFFSGETTSSPATSLFLRDIGFDKDIWTDVSEAEMVGLLQYLSDVDVNAFLQNLAFVSAIGDIIRSFKNEVNNNEENNDGEDEDKDTQYFLFYVMDDVILDLLDSLWIIY >cds-PLY86257.1 pep primary_assembly:Lsat_Salinas_v7:8:56559477:56562993:1 gene:gene-LSAT_8X40161 transcript:rna-gnl|WGS:NBSK|LSAT_8X40161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVGEPRNGKDIIYDAVSGASAGAIAATLMSPLDVIKTRLQVYGLPDVPRNKGSVIVTSFQNIIRNEGLRGLYRGLSPTLAALLPNWAVYFAVYGQLKELLDSHGDGMGHLTFRANMIAASGAGAATAITTNPLWVVKTRLQTQGMRVGVVPYTSISSALRRIVHEEGFRGLYSGLLPSLAGISHVAIQFPAYEKIKLYLANRDHTTTNELSPGKLAIASSMSKVLASLMTYPHEVIRSRLQEQGQVRNTENHYAGVVDCVKKVFRKDGVAGFYRGCATNLLRTTPSAVITFTSYEMINRFLQHVLPPHKTKTPSKPGDGKMKPPQKVAGNDVNDLQISKMRSNNHHSTRTFIPLGNQDPIE >cds-PLY89551.1 pep primary_assembly:Lsat_Salinas_v7:4:158769204:158771559:-1 gene:gene-LSAT_4X95521 transcript:rna-gnl|WGS:NBSK|LSAT_4X95521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMFHRTTSTMYSYDHIFTSEPPIQTASHTPPTAGRGVLELQSFSVSNTLKHSCVHGGSGGERMIDLIFNFIFRFLVKLRKKPQIDMEGLIFSLPPLIVANVLFASRFSSRFFSDKDESGD >cds-PLY98949.1 pep primary_assembly:Lsat_Salinas_v7:7:48876599:48878598:-1 gene:gene-LSAT_7X35400 transcript:rna-gnl|WGS:NBSK|LSAT_7X35400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALIFSKIGIIGGGISGLAAAKQLSEHNPIVFEATDSIGGVWKHSSFRTTKLQTPRCDYEFSDYPWPLRDNTSFPTHTEILDYLNSYAKHFDLFKFINFKSKVVEIRLAKSKDPMSDSLNNPSTLLSSGKPVWEVAVQTSGSTAIQWYGFEFIVMCMGKYGDIPIIPKYGMNKGPEVFKGKVMHSREYSKLNPEESSQLLKGKKVVVVGYKKSGIDLAMECAEANQGEEGKACTMVVRTSHWIVPHYSIWGLPFYLFYSTRFSQFLHARPNQGTLKTLLCMLFSPARKAASKIIESYILWKLPLVKYGLKPDHPFEEDYASCQMAILPESFFHEADKGKINFKRASNWWFWEGGIEFEDNTKLEADVVLLATGYDGKKKLRDVLPEPFRSFLEFPSGMMPLYRQA >cds-PLY75223.1 pep primary_assembly:Lsat_Salinas_v7:MU043036.1:871626:873683:1 gene:gene-LSAT_0X12381 transcript:rna-gnl|WGS:NBSK|LSAT_0X12381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPGILPVNRFIFREDTSSSDTLVKDITSSPPVAAVDLPSPRCGRSSFSSPPSLLLLPPSSASDLLSPCKHPFLPSRRWHPELVWKPYQQLRDSVKLYVVKQSNKSCEPPSPPQQVRTAPIVVYTSRKGSSTAGLTASVIRDNNTIIPYAGVDVNIRNVQKTIPLHVAVARGSKSCVGMLLSAGGLVEKGLPFPLLSISSVVSCLASPSPNPLPL >cds-PLY92947.1 pep primary_assembly:Lsat_Salinas_v7:3:115811086:115811573:-1 gene:gene-LSAT_3X82580 transcript:rna-gnl|WGS:NBSK|LSAT_3X82580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNGWWSNSFPIAQSAVESSLPSTTSIYRIQQRSVKEEKAKLEEGFMVVAFGDEDFGINLSYCG >cds-PLY83974.1 pep primary_assembly:Lsat_Salinas_v7:8:37217742:37219092:1 gene:gene-LSAT_8X29680 transcript:rna-gnl|WGS:NBSK|LSAT_8X29680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTSMLKNMIVSKFKFPFKFTSRNKKQLASSFVSETDVSKEYLEAFRTKSYMEICDKMESYIGFENESYSSSSSIRDHYIHQCDILAEPQKEAMDNLANTYDIDHCLLLDFFDAGSESWKICEKLLHSIHQENVNRLSIARIIKLSEKVPKSGQRTRIYKELALYSSLENPLSDFSLEMFPKINNHLKLLLKRLTTNQVRLKRKRALIMCMKKAMGCALVASYTVLAVALLVLAFHGLIGIVVSAGLISCFLGLTRKANTTKKGLKTSELKRVGLLLDVAAKGIYTLIKDFDTIGSLVRKLHNEVEFGRTMASKCVENLKSDVLEEVLREFGVHESRFKEQMEELKDHIYLCLLNVNRSRRLLVKEIMPVVAKVFEDL >cds-PLY88689.1 pep primary_assembly:Lsat_Salinas_v7:5:69640384:69641449:1 gene:gene-LSAT_5X32641 transcript:rna-gnl|WGS:NBSK|LSAT_5X32641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVLKQFEHLKIQLEAIESTTNNFSKESCIGKGGFGKVYKGELLHSMGHTTVAIKRLDRSFGQGDSEFWKEVIMLSVYRHENIVSLLGFCDEKGEKILVYEYSSRRSLDLHLNNKDLTWVQRLTICIGVARGIAYLHNPAGTQQRVLHRDIKSSNILLDENWNAKIADLGLSKFGPANQNYTFLVTNNRVGTIGYCDPLYLESGILTKESDVYSFGIVLFEVFCGRLCFESNDKPQSFTQLVRKHYRQKNLNEIIWGNIKEEIHPTSLEVFSAIAYQCLKNDSEKRPLMEDVVTQLETALEYQVTYVLSLLS >cds-PLY94965.1 pep primary_assembly:Lsat_Salinas_v7:4:112770641:112773364:1 gene:gene-LSAT_4X71961 transcript:rna-gnl|WGS:NBSK|LSAT_4X71961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNDGHPSVSLRASRRPDRLNNNFEIKDGGDGFFDSDKSSSDYSIKIIWKRGFVRLLLVGGILWMLLILSVLLFHIWTCQSSLVFLSAICNKDSTVFQMLHTMGLVTPPHRCSIPLANDPEKVVIPEKKSPSKFVQSLSYFEEDDINTNGSESSPLFGGHQSWKQREESFKLKSTMKVHCGFIQNGGAEMSHKDKQYVKKCKFVVASGIFDGYDTPHQPSNISPRSKKLFCFLMVVDEVSLKFIKQNVSVKEDNDGGEWVGIWRIILLKNPPYDEPRRNGKVPKILTHRLFPQALYSIWIDGKMELIVDPLLMLERYLWRGKHTFAIAQHKHHRNIYEEADSNKRRKRYARPLIDHQMKIYRYEGLDPWTPLKQTKSDVPEGAIIIREHTPLNNLFNCLWFNEVNLFTPRDQLSFGFVVYRLKNNFKFFMFPNCEYNSIFILHPHTREHSSKIEWVKSLNEFKGNNSGLKESRGGLGLWSTYPADLTSVVLPRVERTSKAG >cds-PLY68710.1 pep primary_assembly:Lsat_Salinas_v7:5:279662303:279663623:1 gene:gene-LSAT_5X147680 transcript:rna-gnl|WGS:NBSK|LSAT_5X147680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLFPNALLHNYTSSVPKLLKMVVSSNMDLKINAAKLLNVIVPYIDAKVALSHVFPALVTLGSEPNLHVLYASIDAFGTIAQNFKNDVVKINMDLSTEVFLMNGKSTQRVNCVLVEGSSPYGFSAAISIFVRKGLVNLNFMQEKMEADFCYGLVRVRENAKSIAFYGGEENETKLLLQRFRSAFENLTV >cds-PLY68707.1 pep primary_assembly:Lsat_Salinas_v7:5:279494717:279496455:1 gene:gene-LSAT_5X147500 transcript:rna-gnl|WGS:NBSK|LSAT_5X147500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKVLDIAVTPNGEYLITIFSDKDIQILNMATNAERVISKEHPITTLSVSGDNNYLIFNLNSQEIHVWDVEGLWEKPLRYKGHRQHEYFIRSCFGGVNSTFIASGSENSQDPATSSSRHARLHICTTFIRLAKTQNYCTHLLPHMKDIADMVSYLQKDGQLLHGEHNLFGESLILLIAYAAGNSTVE >cds-PLY94924.1 pep primary_assembly:Lsat_Salinas_v7:4:109148196:109152113:-1 gene:gene-LSAT_4X71341 transcript:rna-gnl|WGS:NBSK|LSAT_4X71341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVYMRSWVVLILVLGSFLFVISETDTVNIGAILNFKTINGKVSTIAMKTAVDDVNSDPSILPGRRLSLSFHDANFSGFLSIVGALRYMEIDTVAVIGPQSSVMAHILSHLANELHVPFLSFTALDPSLSPLQYPYFIQTSPNDLYQMTAIAEMVTYFGYREVTAIFTDDDQFRNSISTLGDLLSTRRCKISYKAALTPDSSLSPQNLTNKLLKVRALESRVIIVNTYSKTGLLVFETAKRLGMMKKGYVWIATTWLSTVLDSTGISGNETLFLHGVLTVRPHTPDTEKKREFVTRWKGLSNGSIGLNPYGLYAYDTVWMIAYAVDKFLNEGGSISFSNDSRLKVVKGLNFGALSFFDGGKRVRAKLLETNMTGLTGPFWFNPDQSLVHPSFDVINIVGNQGRVVGYWSNHSGLTVGAPEPLYAKPSNHSSLNQRLGTIIWPGNTKDKPRGWEFSNNGRPLRIGVPLRVSFKKFVTQVNGSHDIHGFSIDVFIAAIKLIPYPVPYEFVKFGDGYKNPSYNDLVHNVAYNVFDAAVGDIAIVTNRTKTVDFTQPYIESGLVVVVPVKKLNSSAWAFMRPFTPLMWAVTGFFFIVVGAVVWILEHRLNDEFRGPPKRQLVTILWFTLSTMFFSHMSTLGRMVLFIWLFVVLIINSSYTASLTSILTVQQLSSSIRGIESLITTNERIGFQVGSFAENYLKEELNIPQSRLVALGSPEEYAEKLSTGIVAAIVDERPYIDLFLSYRCQFQIVGQEFTKSGWGFAFPKESPLAIDMSTAILTLSENGELQKIHDRWLKRESCASQDSNSDSDQLQLESFWGLFLIFGIACSIALLLYFGMMLWEFGKHHSDSDTPEESQKTGSGSGSRSVRLQRFLSFADEKEEVSKSKLKRKRERSTVNGTEVDSRNRSNRIQAEEQNVNT >cds-PLY67470.1 pep primary_assembly:Lsat_Salinas_v7:6:69125507:69134734:1 gene:gene-LSAT_6X50520 transcript:rna-gnl|WGS:NBSK|LSAT_6X50520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKPHLHHHHSNSTQPLPTRQHKAFVAGDGISSSGDSGSAASFLSLNKFSFPIKPNGLHAVRSSRQIKAIATDKSTATATTTKVKAIITVQVTMGGLISSIGLTKGLDDIADLLGKSLLLELVAADVDSRTGIPKDTIKHYAHHTGLDIKDVKYVAEFDVPDDFGQIGAILIENEHHKEMYLQTIRLEGFSNNTLEIITFNPNSWVHSKFDNPEKRIFFTNKSYLPSETPSGLKHLREKDLASLRGDGVPEGPRKKHDRIYDYDVYNDLGDPDKKPELARPVLGTEDLPYPRRCKTGRPRTKSDPLSESRHSGIYVPRDEAFSELKNMTFSARTVYSLMHAVIPSLQTSIIDHELPFPHFTSIDSLYKDGLHMPQLESKGFLRNTLPRLLKMVEDTQHSILRFETPAIFKKDKFCWLKDEELCRQTLAGLHPCAIQCVKEWPLKSQLDPEVYGPPESAITKELIEEVIGGIIPVEEALKQKKLYIIDYHDILLPYVNKVREIENMRTTLYGSRLLMFLTPSGTLRPVAIELVRPPGDGTPQWKQAFTPRWDSTGAWLWKLAKVHFLAHDTGIHQLVSHWVRTHASTEPYIIASNRQLSAMHPIYRLLHPHFRYTMEINALARESLINCAGIIESSFSPGKYSIELSSVAYGQLWRFDKEALPEDLIRRGMAEEDPNSPHGLKLSIEDYPYANDGLVLWDAIKTWVTDYVTHYYPDPNLVTSDHELQSWWTEIRTVGHADKKDEPWWPTLQTPQDLIKILTTMIWVTSGHHASVNFGQYDYGGYVPGRPTIARVKMPCEDPSEDRWEKFKQRPEDELLATFPSQLQGSQIMSVLDVLSNHSPDEEYIGEKMEPAFEQDLKIKAAYEIFAGKLKELEGIIDGRNADDGLRNRNGVGIPPYQLLKPFSKPGVTGMGVPNSISI >cds-PLY83842.1 pep primary_assembly:Lsat_Salinas_v7:3:52070408:52070842:1 gene:gene-LSAT_3X39861 transcript:rna-gnl|WGS:NBSK|LSAT_3X39861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLITLIPTSVLWGYFAYIAIDTLPGNQFWERILLIFVPHSRIYKVLERVHASFVEYVPYRTIFMFTIFQIVYFLMCFGVTWIPIVAILFPVPFFLLITIQQHILPKHDFICWDSW >cds-PLY62502.1 pep primary_assembly:Lsat_Salinas_v7:1:83372440:83373117:-1 gene:gene-LSAT_1X69821 transcript:rna-gnl|WGS:NBSK|LSAT_1X69821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPHHHSSSADQSFASIADQLAQLVVITIATNNRLDAIITKLTETTEIITTTLIKSTNMGAITSQPLPPSQPTPPPTSLSQPLMLTTPTSSPLTLPTRPPSPIQLKIQSSSPPLPPPPKSIPLSLSPQQQLSSLQPPKSKTIFRKIQPVTFNIQPRDQRFSGFIPLQTNFSDLEDEVNFKGEGIDTYPMPYLRPPPWPDPITTFCDASLIIVNTTAWSVTSPLSI >cds-PLY81096.1 pep primary_assembly:Lsat_Salinas_v7:9:66899004:66899878:1 gene:gene-LSAT_9X58161 transcript:rna-gnl|WGS:NBSK|LSAT_9X58161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVSIEKKFVDENSRKFDFYGVYDGHGGSRVAYACRERLHKLLATEIDIENKNTEEMNWENLMVESFAKMDEEVNETDIVDSIGSTAVVAVVSDKEIVVANCGDSRAVLSRGGVPVPLSVDHKPNRPDELERIELSGGRVIDWNGLRVLGVLATSRSIGDRQLKPYVIAKPEVIVNKRDDADEFMILASDGLWDVISNDLACQVVRKCLDGWTCRRRSMKEHHKRTTNNPAMFLTELAMARGSKDNISVIVVNLKH >cds-PLY66285.1 pep primary_assembly:Lsat_Salinas_v7:8:308490771:308500230:-1 gene:gene-LSAT_8X167141 transcript:rna-gnl|WGS:NBSK|LSAT_8X167141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABC transporter 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G01660) UniProtKB/Swiss-Prot;Acc:Q9SBB2] MASLKDLGKLVNGVSLVAKEAMRRGIDKPDLQSLIRRAILSATDISGLTKGEVRNFVITGNKPIGDTDGIKQDSSVVYFGEEVASSSDTTSDPNTPKEAQRHFPSPTPTPTLIYDNGNLHADARINHPKNAKWEGDVESGSLQTMIITPPPATLDNNAAAIGVGEGKAVPLTNSPPPKAPPLINKQRRRKERRVPSTPFSRALGFAGLGAGLAWGTVQESAKRLVFGNTKSENKQSALSPFLSEKNAERLALALCRMRGAALKLGQMLSIQDESLVPAPILAALDIVRQGADIMPRSQLNQVLESELGPNWSSKLKSFDYEPLAAASIGQVHKAVTKDGLQLAMKIQYPGVADSIESDIDNVKLLLDYTNLIPENLYLDRAMKVAKEELSRECDYELESSNQKTFRRLLSNVQGFYVPLVVDELSSKKVLATELVHGIPIDKVAVLDQETRNNVGKKLLELTLMELFVFRFMQASIFNLSDKSFEILMLTDPNWSNFLYDEATQSINLIDFGAARNYPKRFVDDYLRMVVACANCDREGVVEMSERLGFLTGKESEIMLEAHVQAAFVVGLPFAANHPGGYDFRANNITQSLSNIGGTMLRHRLTPPPDEVYSLHRKLAGAFLACIKIGAVVPCRDLLLQVYQTYQFDD >cds-PLY99119.1 pep primary_assembly:Lsat_Salinas_v7:8:71716888:71717765:-1 gene:gene-LSAT_8X51360 transcript:rna-gnl|WGS:NBSK|LSAT_8X51360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTSQYVQAAVRGHLVRNHVMGTLRCVQDIVKMQAVVRAQRVDVASKINSDPKYISIEKLLSNNLARQLLESAPKNKQINIKCVPSKSDSAWNWLERWMFVSSPKTVESHTPEHNQEKVIPLIRDCESKELESNVGEETVFSYEKVDLVKVNTKEKSNEEEISQPESMDLIVEESILEIEPKRVTNRFANDEADSKGRKSVFGSRKPISPSFIAARSRFEELTSKNNIQSKPSDSSKQENDEFTPEHITPSKPINTSSNQDNDVGTPE >cds-PLY69160.1 pep primary_assembly:Lsat_Salinas_v7:5:285211741:285212314:1 gene:gene-LSAT_5X150261 transcript:rna-gnl|WGS:NBSK|LSAT_5X150261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKAKLDSTTEPAVVVVPQDVDLEEDDIDVVDIDVKIEGEEVHVKSKRERWSKVWRLFERLPIGNDGRERAKCDEELSLELTDDVMQMDNKDIQVEDV >cds-PLY66233.1 pep primary_assembly:Lsat_Salinas_v7:5:142047687:142051213:-1 gene:gene-LSAT_5X62941 transcript:rna-gnl|WGS:NBSK|LSAT_5X62941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLEFQHLKQFSLEEIKSITGDFDNKNVIGEGGFGKVYQGVISDSNGKIMAAFKRLSSNCGQGNPEFLKEIFMLSRYTHENLISLLGFCDEKGEKILVYEHASHGSLDSHLSSTTLKWTQRLKICLGAARGLCYLHDPMDTQERVIHRDIKSSNILIDESWNAKVSDMGLSKIGPANQQNTFLATNVVGTFWYVDPMYMETSILTKESDVYSFGLVLFEVLCGRQCFENNNGNFRSLVRKWKKNYKEKKLDEIIFPEPKQHLNPRSLEIFSDIAYRCLNKNSAERPNMSEVMEKLEIAFEMQGLFEENLEDTEPEMDYVEMGKSAMPPLVYKSEEELKMLLSKGIFVNEGKTWFLLNKNGEHCEMISAAECLIPMDAVISEGANYDRNKSRFNVEYNRPYCKKFKTHVKTQFLSPHITYTVNLVFDFYQQKNEYVRLNLSFKLPGETNYSTLYIEDTRDDGWLMVELYQLTSETKNFDFEIMFDSYHQLVVEGIELQPVERVEHEVLEDEEVHIQTISNPDSYWEQLLPTDYEEIIKLSKNKDVQWTTKKELYSTLCKGFLINNGKEWFSLAKEGKKCHMISSRVVLDERQLNWWPFPQSRFGEVAVNPDERMRSFMIVGNSRILSTHTTYAIYLVYKLQGDLYAFEPPVKVTVIDNAVSIEGFSYEKDYSWYLYLLSPQTPIIRRKTYQNTHNPSIRPKMAGRPQKRNDGWMEVQVWEFRTSNDTRWIRTCNELTFLTPSRRTGLLMQGIEFRPI >cds-PLY80749.1 pep primary_assembly:Lsat_Salinas_v7:8:138800145:138800450:-1 gene:gene-LSAT_8X105200 transcript:rna-gnl|WGS:NBSK|LSAT_8X105200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESVENHPGYVGGDEVNYPSVSGVKSPDNQGKNSTNDEEVLNVMGHGKDGFTLAISGGGSVGMGVSHEAEIQGSDGLIHRSGSVVGNVAAVGVEVAPCVFS >cds-PLY64829.1 pep primary_assembly:Lsat_Salinas_v7:8:266493601:266499283:1 gene:gene-LSAT_8X154260 transcript:rna-gnl|WGS:NBSK|LSAT_8X154260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSGACSETSSTVSVFFLFFLLLSPVFSTINFKHANKTFESKKMKSIKATLGKNNKRFVKSIKSPDGDVIDCVLFHLQPAFDKYPMLKTTMPMDPPKIPNGNKKGGMETEVKQLWNSKGESCPQGTIPIRRQTESEILRSNSISKFGKKLLKRNSIYVGHEHAIGYVRGGEYYGAKATLNVWAPNVTNFDEFSVSQIWVSSEVPNDFAQTVEAGWQVSPTIHGDGLPRFFTYWTNDGYQSGCYDLLCAGFIQTTHEFCLGASIAPISTYNSNQFDITILIWKDPGHGNWCLMVGNIQVGYWPKELFTDLHDHAAKIEFGGEVYNTSPEGPHTTTQMGSGHFSSEGFGKAAYVNNIQMVDQNNVLHPVSDLQLGAENMNCYDVSNGYSSTWGNYIFFGGPGSNPNCP >cds-PLY91431.1 pep primary_assembly:Lsat_Salinas_v7:7:132539807:132539965:-1 gene:gene-LSAT_7X79641 transcript:rna-gnl|WGS:NBSK|LSAT_7X79641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKPMRRARKVTRNDVGGSRHVHTPTEVRDAKGDDTKVELSEEQIFGFDFDN >cds-PLY83651.1 pep primary_assembly:Lsat_Salinas_v7:4:39217499:39224976:-1 gene:gene-LSAT_4X26820 transcript:rna-gnl|WGS:NBSK|LSAT_4X26820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTERLMVVVCVCVWIDAVKVLKEIGEKLGINGTKEWDLDKNPCSGEGNWGRGEFPKGFEVSVNCDCSFEQNATCRVVRIALKSQNISAAIPPEFSKLRYLTQLDLSRNYLNGTIPPQWATMRLGDLSLMGNRLSGPFPRVLTRITTLVNLSMEGNRFSGSIPQEIVNMKNLQKLVLASNEFSGPLPVGLGKLTNLTDMRISDNNFTGKIPDFIGNWTQIQKLHLQGCSLEGPIPSSISVLTKLNDLRISDLKTTGSSFPQLQKMEDLSKLVLRNCLIRGTIPEYVGNMRTLKTLDLSFNNLTGEIPSSFSELGKTDYIYLTDNNLTGPIPGWVFSSSKTVDVSYNRFTWDSSGPNECPRGTINMVEGYSLSTNKQSNIHPCLRKDFPCSSSTRQQAYSLHINCGGKEVNINNTTRYKADLEAKGAATYYGERNWAFSSTGHFLDDDHDSDIYTLSNVSSLHNVSSSETELYTSARTAAISLTYYGLCLLNGNYNLSLHFAEIVFTQDSTYNNLGKRVFDVYVQGELKLKDFDIAKEAGGAGRPITKKFTVNVTSNTVKIQLYWAGRGTTGIPVRGIYGPLISAISLDPNFDPPVYGKKIAVSLIVAIVGGGVFFILLIILILWRKGFFTAKNAKDRELKGLDLQTGIFTLRQIKAATKNFDLSNKLGEGGFGAVYKGSLSDGTIIAVKQLSSKSKQGTREFVNEIGMISALQHPNLVKLYGCCVEGNQLSLIYEYMENNCLSRALFGRDKVSKAKLTWPVRWKVCLGIARGLVYLHEESQLRIVHRDIKTSNVLLDKDLNAKISDFGLAKLTDDGNTHISTRIAGTIGYMAPEYAMRGYLTPKADVYSFGIVVLETVSGKSNTNYRPKEEFVYLLDWAYVLQERGSLLELVDPDLGSEYSSEEAMTLLNVALLCTNASPTLRPTMSQAMNMLEGRTSVQDLLSDPGFSTINPKFRALRNHFWQNPSQTLTISSEDPCTESLIAPEANN >cds-PLY88321.1 pep primary_assembly:Lsat_Salinas_v7:3:86213983:86216856:-1 gene:gene-LSAT_3X66781 transcript:rna-gnl|WGS:NBSK|LSAT_3X66781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKAKQNGDAKGDSDSAVHYQEYQKRLVSFLKDISPKFIKETSFIKLLDKYINDSKEVFENLDKITRSKNSAEVNSVTYFDDDSVRQPLIHEKTDMIIKHDLGDLQKQYIINLLDKQINYGMEFLKNLHQITRKNAAKVNKMDHSDDMPDLEQVTIEKADMNTHASLQKTPLSRFQSVTTLSENGDSTSVINELESHNSTNDKADEGDTKEYGNKDGSLVNQEHVSHHDLHVGAGVDAQQSKGFLDVYNEGDKKALSFSYSLGYTENHMNINFWKKILCENTPTQERGWLDDDHIDIWGHILLNTKKPVSCTIMPANFLPTQLTGLWKDEWIALVNGSYAPYRAWSAVDTVLLPVNKENDHWLIAVLELKTWIVTIYDSSSSEINERWIKDQLQDFDITKFLKSTAYWETSGRKSTTVDLNVKFAKGIPQQTNWMDCGIFVAMWLEAFCTGTAELKIEHGKTEDHCQMYRKQMADVIWAYSGCS >cds-PLY94579.1 pep primary_assembly:Lsat_Salinas_v7:8:180663452:180664434:-1 gene:gene-LSAT_8X117380 transcript:rna-gnl|WGS:NBSK|LSAT_8X117380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGGLKTYEKEHMVFILWVELDAMDGFLDAMDKAIKNKVAKVVVLAIDVMFQENPTRLPKKVGHPNIYMLPFYLFLILIVLLLLSGLLIAAMNIQIPKRSKRVVQRKSAWTDVWIILLVLGSIVGGAYRI >cds-PLY71135.1 pep primary_assembly:Lsat_Salinas_v7:9:83153574:83156092:1 gene:gene-LSAT_9X65421 transcript:rna-gnl|WGS:NBSK|LSAT_9X65421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLELSVIVAFLFGVGLVLAIVVETFKRRFNHAHLEAHPIFEDPNSLKPVRCPYINDPAEKYISLIIPAFNEEHRLPAALDETMNYLQQRSRKDQSFSYEVIVVDDGSKDGTKKVAFDFVRKYKVDNVRVILQRKNQGKGEAIRKGMLHSRGQLLLMLDADGATKVDDLEKLETQILAVAKMKGVEANGLSEGIGDIPIVAFGSRAHLEKKALATRKWYRNFLMKGFHLVVLLAAGPGVRDTQCGFKMFTRAAARKLFTNIRLKRWCFDVELVYLCKSFKIPISEISVNWSEIPGSKITPLSILNMLWELILMSLGYRIGIWKI >cds-PLY76616.1 pep primary_assembly:Lsat_Salinas_v7:5:222681508:222682270:-1 gene:gene-LSAT_5X103881 transcript:rna-gnl|WGS:NBSK|LSAT_5X103881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIQILARAYALTIEVGMHEPILEIKRKIEHFLGVPISSQTLSVCDWELLDGLDLEDYPIVNEGTQIDLTINHMLSLENHRQIQIIVKFSSRKHNIEVDRTETVGSLKEKIHIIDGTPIKQMTLFFSGVEMEEDFRNLSDYGVVEFSEIIVFLKTMSRLVAEPPSRKLGFVVQTSSALLDSTCIPLEMKDSSTVNELRELLLSRKLLPTDDYIFIHKQRIMRGNCSLRWHGVENGDYLYVFKGTISRG >cds-PLY89754.1 pep primary_assembly:Lsat_Salinas_v7:1:59013488:59014975:-1 gene:gene-LSAT_1X50600 transcript:rna-gnl|WGS:NBSK|LSAT_1X50600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSWFNTIWKSSRKPTILEPERPLIGIMSFEVSRLMTKVANLWQFLTHKQMTWLQDQLSNSLGTQKLVSDDHNYLMELALLEILDNIKCVAQAVARLGKKSMDPIYNNLDHIFDNPMEIDVNWCGWEYRLKKMEKRVKKMKRFTAVTSQLYEELEVLHELENDLKIMQENNTDQMKLHEFQKKVAWQHEEVADLREMSVWVRSYDYILRLLVRSLLTIVNRVKGVFGITRRSSGHISRGGSDIGKGNCFARSNSISALVSVYPFERDIKRSASNLGDKAVKSRNTGQVGSGCVLLDSKKRLFQKPTLGDAGLSLHYANVIIFIERLAIAPHFICPEAREDLYQMLTTRIKNSFRVKIKNEGVKVYSRDLAYEWSSLMQKTLNWLAPLAHNMIKWHSERNFEKQRMGLGGIVLLVNTLHYADQVKCEDAITELVMGLHYVSRFGREINDKAFVGCGYGGEFDDYLVHKCKIDSIELYD >cds-PLY61890.1 pep primary_assembly:Lsat_Salinas_v7:6:60974615:60976614:1 gene:gene-LSAT_6X45261 transcript:rna-gnl|WGS:NBSK|LSAT_6X45261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYSESSNDYIEEYESIFTALETELPKVDRLPNFSDVIDGVLSSDAFSLHKCVYDSSTHADVVDSISLPDVFEFDDYLLDFVNNDETSMPEKMESKTSTTTTTTGEVNPLARIFRFKTKPRGRNVKRGKPATYDYLKSFWYGYGDDKSLKYRSFVAVYDDDLNIGKKSEKCNVGRRKRHKSWSVPEVLKLCDGVSQLGVGKWTEIKRLFFSSIHHRSSVDLKDKWRNLLRASCKSPCVKLKGEWVKGNASATIPNHILRRVKELSVTHPYPRKRQLKIKL >cds-PLY88091.1 pep primary_assembly:Lsat_Salinas_v7:6:182442614:182446239:-1 gene:gene-LSAT_6X111060 transcript:rna-gnl|WGS:NBSK|LSAT_6X111060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive exonuclease DIS3L2 [Source:Projected from Arabidopsis thaliana (AT1G77680) UniProtKB/Swiss-Prot;Acc:Q0WPN0] MAHNQVEHLAQRKHFATYWSIEAVNLALEKGDVFKAMFRVNAHNRVEAYCKIDGLPVDVLISGFYSQNRAVEGDIVAIKVDPFSLWTKMKGSVEASPEKQLLHSTNDTFNGQDLIRPANFELDHTTASSPNEGREVVSLVEKLCTLITSFPSKRPTGRVVAIIETSPRRNSIVGFLRIKNWLSKKTKLPSSSPKHEHIQLIPTDPKFPKMIVPVKNLPNTITKRLDDCDLTLETDLIAAQIVEWSEEYDSPFAHVLHVFGRGGEVESQIGAILFQNSINSSDFTPEVMSCIPHLSWRIPEDELKHRRDLRNLCTFTIDPATASDLDDALSVEKLPNGVYRVGVHIADVSYFVPPHTPLDLEAQIRSTSVYLQKKKLPMLPPVLSDNLSSLSPGVERLAFSIIWDINLDGVVLDRWIGRTVIKSCCKLSYEQAQDILDGISNLYHPPIVITSVNNLYEISKVLKEKRFKDGALSLESPKIMFLFDKNGIPYDCVLSGRSKSNFLVEEFMLLANTTAAEVITRAYPSNALLRKHPEPKLSKLKDLETFCYKHGLHLNTSTSGQLHQSLENIRHELKDDSVLFHVLMSYATRPMQLATYFCSGDLTDGGEDWGHYALAVPLYTHFTSPLRRYPDIIVHRTLAATIEAEEMLKKNGGKLFERCFTGLVFEKVESFHVQKALLDAGMKYSVPGTQLLADVATHCNERKLASRYVKDATDRLYMWLLLRNKDVFLSEARVLGLGPKFMSVYVTKLAMERRIYYDEVEGLSAEWLDATSTLVLNYYPNNRSHNKKGSSNKFKTVEEVAMVSIPYNTEMEMESEEEVDAAFGDMGISDSVVVPVFFPLVLHILSTIPVALHPIGGDDGPIDIGARLYMSSYFS >cds-PLY81392.1 pep primary_assembly:Lsat_Salinas_v7:8:31833910:31836375:-1 gene:gene-LSAT_8X24860 transcript:rna-gnl|WGS:NBSK|LSAT_8X24860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEAVPSSYSDPSSLLYQLESILESDPLIDEVGFVHPSQFASMSDTSQANTTEMSFWSKDHKVGISTESIHPLYTAAKHAFMSSLHQYKMLIPLHSKKVDNISATSSPLTGLENELMKHSRALLLLSCDFGTAWNCRKEVVLNKQDIRLYLDELSLSSLVLSCSPKSERTWSHRRWVIKMIAGKCTNLEEILKNESDLANRIAEKSKMNYRAWNHRCWLVSYMPESQVIDELIKYRDWAGLHVADNSCFHYRTRLMSRTLEDSWYKQDLKATEICQLWKEEITWSEILIKRYIGREALWLYRRFLSVCWMKHFAGHSHTGGSGSGSGTHLDLFVENEIKLFRWCKRIPDNVFEDYQAQAMFAATYIIWLIKEMDEDEGVRSRRSVVVEKVGEEVEQVVNKVCPEKAFLLDLMLTKTKV >cds-PLY90141.1 pep primary_assembly:Lsat_Salinas_v7:7:14303813:14306949:-1 gene:gene-LSAT_7X11541 transcript:rna-gnl|WGS:NBSK|LSAT_7X11541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIRVAAENQQGGVAAIDHGEMPNNFACGNKSLYNDRVESFATAAGEGQDREYWLVWLHRVTTSVCTIGFSLVLLFLQVLKDMLGNLVVSVEETGQVGPCVACRCQVLRSVSQWSGGTSQVEESIRKAYISLIEKAEHFIYIEVKLMEERNMKPLDLNLAALSTRCSKDLELNLAKSLLSEMGQCTTAYPYNQLFGALVSKNYERQDATLLSWNLMYIVN >cds-PLY93207.1 pep primary_assembly:Lsat_Salinas_v7:6:161285265:161287495:1 gene:gene-LSAT_6X98121 transcript:rna-gnl|WGS:NBSK|LSAT_6X98121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKGKWPTRASLIMSLVVVIVSLCFSLPTTSQPLVYPIHGKSPPSRTPKSSPPSSIYDNDVPFLPLSPPLILPILPTVPPLILPIFPSSPPKTQKPKPPPKKKESKPPPKKKEPKPPPKKKQPKPPSKPPPKKKEPKPPLKKKEPKPPPKKKEPKSPPKKKEPKTPPKKKESKVPPKPPPKKKDPKLPPKKKEPKPPPKKDKEPKPPPKKDKEPKPPKKEKEPKPPKEKVPKPPKKEKDPKPPKSPSPPKSALPIPIPDPITKPPALPIPDPIPDLPKPPALPIPDLPKPPILPIPDPPKPPDLPTPEPPKPPDLPIPDLPKPPGLPIPDPPKPPDLPTPEPPKPPDLPIPDLPKLPIPDLPKPPDLPTPDTPKPPDAPAPDAPKPPDAPAPDAPNAPPPDAPSTPDAPPPDAPSPPNAPPSDAPSPPDAPPPDAPSPPNAPPPDAPSPPDAPPSDSPTPPDAPPPDAPSPPDAPSPPDAPTPDSPKPPDLPKPDLPKLPIPDLPKLPIRDPTPDPPKPPDLPAPDPPKPPDLPKLPIPDIPKPPDLPIPDLPNPIPKPPPSIHGKQPKQPPKTSSIPLPPSFIHDGVIPLLPPIPPLIAPILPPLPPLLPPVLPLLPPLPPLLPPLKSPSPPSLPPLSLPKLVVDP >cds-PLY91236.1 pep primary_assembly:Lsat_Salinas_v7:3:77541954:77542400:1 gene:gene-LSAT_3X58000 transcript:rna-gnl|WGS:NBSK|LSAT_3X58000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINCITGRKKPLHAPYPRWLGLILSHKEGYVESHGIIISIPSISSKIINFAPSKGYLPITIRMQRWIEKSYVVKSSDSKEGDENDGKEDTDTVDDKENSGDDYEEGSGNKEGTNEEEDTDKDEEESAANKGEDFAQGMNYPPRMNKHI >cds-PLY65620.1 pep primary_assembly:Lsat_Salinas_v7:8:40443799:40445459:1 gene:gene-LSAT_8X31460 transcript:rna-gnl|WGS:NBSK|LSAT_8X31460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLKIGDGTARFKSATVCSSAVHILMLFSVLTTNLFALYAFTYSPKPHHQIHFLSHHHTHKNISLISEQVSLILREIDSSQKKLAQMEKQLLGYESIDLSTPNMPNELKLFLQRNQLPLGKDSRTGITEMVASVGHSCYKSMDFLSQYMNYKPNGACPDDWSLSQKLILKGCEPLPRRRCFAKTIPKVGLHPFPLSLWRNVSEKIYSWSGLGCKNLICLNSKKLNRDCAGCFDLANGNENQRFVKSRGKNDFLIDDVLALGSGGIRIGFDIGGGSGTFAARMAEKNVTVVTATLNIDAPFNEFIAARGIFPLYLSLDHRFPFYENVFDLIHVGNGLDIGGRSEKLEFLMFDIDRIIRGGGLFWLDNFLCSNDEKRKNLTRLIERFGYKKLKWVVGEKINGSGNSEVYLSAVLQKPVRV >cds-PLY65211.1 pep primary_assembly:Lsat_Salinas_v7:8:19890724:19894254:-1 gene:gene-LSAT_8X15301 transcript:rna-gnl|WGS:NBSK|LSAT_8X15301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVKKRIYYGGFHGLIPPVIPKAPRSLRRRRSSQIKPSEGGEICAFELLAAVAGKLLQESESSISSTDKHEPHEVKVKPATSEHEHHDQGSGTESQPTNLDPTVNEFPHSDNDSGLERASVSTTCDVIQKTDTNLGMEASEDKNIVNETPIESQLASQPTDLEALSAVNTYGLKDEVEMESCVNNHVLKKSSSRLHLSFYSDHVHRHNRGNMKIDIRDDDENYFRYNHYNSKRRAFGSRSHAGYKRIRNMLTSRYRKVAPKPKDYGSEVRSFYHKRKNIYMREQYQADKASKRRKSFHHCSKPVNTKDTHVKFSIKSFKVPELYVEVPETATVGSLKRSVMEAITAILQGQLHVGVLVQGKKVRDDNRTLEQTGISQKCNLESLGFTLEPTLPEPSSPSPSPSPIHKETPLLIPCSPVLEKNEVEHVNARSEEEGEEQVADSKALVVVDPEILSIVPLSEKPTKRYQLSQRRTRRPFSVSEVEALVEAVETLGAGRWRDVKMRAFDDANHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAHAYWSQHQNEKHQKTTM >cds-PLY63254.1 pep primary_assembly:Lsat_Salinas_v7:4:201009321:201010246:-1 gene:gene-LSAT_4X111840 transcript:rna-gnl|WGS:NBSK|LSAT_4X111840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSQDKKHFHWSNKVSNEDQEEEEEAPSFNPCHQKEKDLNESPSPLTRKKFQTLTIARFRTVLTSLSKNRPNLLHGLGPKVVGTLFGSRRGHVHFAFQKNPTSQPAFLIELQTPISGLVKEMASGLVRIALECDKDDEKIKKVGNSRRLLEEGVWRTFCNGKKCGFATKRECGEKEWRVLKAVEPISMGAGVLPAGDEEDEGEMMYMRAKFERVVGSRDSEAFYMMNPDSNGTPELSVYLLRV >cds-PLY67760.1 pep primary_assembly:Lsat_Salinas_v7:9:165113121:165114923:1 gene:gene-LSAT_9X101981 transcript:rna-gnl|WGS:NBSK|LSAT_9X101981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVASTSSSTNWVNSRPTFSSSPRRRLGSSSICYSKKHNSKTIHCAIDTQSILHFPNRSPCKESTSTCHPESPSSSSSSPATPRNWNLFQKAAAMALDAIENGLTTREKKHPLPKTVDPGVQIAGNFSPVPEQPVRHFLPVAGKIPDDIQGVYLRNGANPFFQPTSGHHLFDGDGMIYAVKFDQGSASYACRFTETQRHVQERAIGKPIFPKAIGELHGHSGIAKLLLFYARGLCGLVDCSQGIGVANAGLVYFNNHLLAMSEDDLPYHVRVMPSGDLKTVGRYSFNEQLKLNSTMIAHPKLDPVSGELFALSYDVIKKPYLKYFRFSPEGKKSKDVAIDLKTPTMVHDFAITENFVVIPDHQVVFNMSEMINGGSPVVYDNEKVSRFGVLDKYASDGSGIKWVEVPDCFCFHLWNAWEEPESGEVVVIGSCMTPADSIFNESDKELKSVLSEIRLNLKTGESSRRAIISPENDVNLEIGMVNKNLLGRKTTYAYLAVAEPWPKVSGFAKVNLSTGETSKFIYGDSKYGGEPLFLPNSENEDDGHVLAFVHDEKTWESELQIVNSKTLELEATVKLPTRVPYGFHGTFISTDDLATQALS >cds-PLY91934.1 pep primary_assembly:Lsat_Salinas_v7:8:200275626:200278131:1 gene:gene-LSAT_8X128041 transcript:rna-gnl|WGS:NBSK|LSAT_8X128041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYFDYGYHGTSFEQTYRCYPASFIDKAHIENGDKIIMPPSALDRLASLHIDYPMLFELNNVATERVSHCGVLEFIAEEGLIYMPYWMMENLLLQEGDLVRVKNMTLPKGTYVKLQPHTSDFLNISNPKAILETTLRNFSCLTTGDSIMVAYNNKKYYIDIIESKPSNAITIIETDCEVDFAPPLDYKEPQRLPLSSSSSTQDEESLPEEPKFNPFTGSGRRLDGKALTYQPPPVSTASKGKQAVVSGGTPTAASSSRQTRGKLVFGSSSNAAAPQKRKEAAKEKKVEEPAKEEAKFQAFTGRKYSLKG >cds-PLY90318.1 pep primary_assembly:Lsat_Salinas_v7:2:199452578:199454721:1 gene:gene-LSAT_2X120680 transcript:rna-gnl|WGS:NBSK|LSAT_2X120680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNFWHRGALSYNSGVEVQLSRILSNFSAFVMPKRKAKKAKQPDLSHVNNTVCGIDANRLLQEKASIDQEVEPQRAAIRTIRDVEIERLLTALRLMKSNIREEQLQTPLLEYFEGNLPNLTVSRTEKDGPIEVKWKDKGDDLSMNTGDGGNMITSFLQHMSMAYPDCSTAMPSVGGSEFLSKNGKMSSFIGTDNLQIKDFVLEEPSETHILGFEESMQTPGVTSQRLSVGVTPKTLRLPKQGEMLLSVHGSPLGVFKENNMDAIHETEEE >cds-PLY70145.1 pep primary_assembly:Lsat_Salinas_v7:3:13631428:13638655:1 gene:gene-LSAT_3X9721 transcript:rna-gnl|WGS:NBSK|LSAT_3X9721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRMFLSTKNSRGEETKDFYGISSGFRRGDPSSMEAILGEGIGGNIDVIQNIYPSPSEMYRSWRLRYKRPPPGCKQVWRPVQRSPPAPVALIVPTMESFNFYVNEASWSDEETEFTRKGTGVFLPNLELIQNDQKQEENPVPFDILPDDIQERILACLPLSAIFKASCVCKKWNQIVHSKKFTLNDANFLSEKTWYFMFTSSVEPVGYLYDSGLRKWYNFELPFMVHHTWHIAPSCGLVCFMDDETSKEMYICNPISREYETIKNPPNLGFSVYTALAFSVDQSNSKYTISVIRSIQSSVDFLLWDVCIHVYNSNGKTWLTPVMGMTMHGWRPGDVSVICDNVLYILVFCTRRFDFQNSHGLITYNLDKMESVLREESVIPAPCVLTCGRLMSVQGKLVLVGGIGRQDRPGVIKGIGIWVLNGKEWMEISRMPHKFVQGFGELDDVFASSGGGDLIYIQSYGGTALLVYDMDKRQWFWSQRCMMHKKFPLEIFSGFCFEPRLQYL >cds-PLY72657.1 pep primary_assembly:Lsat_Salinas_v7:3:182565519:182568463:-1 gene:gene-LSAT_3X110460 transcript:rna-gnl|WGS:NBSK|LSAT_3X110460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A 57 kDa regulatory subunit B' kappa isoform [Source:Projected from Arabidopsis thaliana (AT5G25510) UniProtKB/Swiss-Prot;Acc:Q93YV6] MLKQFLAKLPRRSPKSFESFEDPSNSPNNGGFQRTTSLNSASPKPNAPKRTSSAVFPSSVIAGIEPLLPFKDAPASEKMSLFISKLSLCCMVFDFNNPTKNTAEKDLKRLTLIELLEFIASGPPKFSEPAILALCKMCSINLFRVFPPNYRASRSNNGGENDDDEPSFDPAWPHLQLVYDILLKFVNSPSVEPKIAKKYINHSFILRILDLFESEDPRERDCLKAIMHRIYGKFMVHRPFIRKSISNVFYRFVFETDKHNGIAELLEIFGSVITGFALPLKEEHKIFLWRVLIPLHKPKSLAVYFQQLCYCVTQFIEKDPKLASTVIRGLLKYWPITNSQKEVMFLGEVEEILEGINMGEFERVMVPLFWRIGCCINSSHFQVAERALLLWNNDQIFNLIGHNRQVILPIIFPALETNVQTHWNQSVLNLTLNVRNVFTEMDNMLFLACHAHFIEEQEKQSLAVEKRKEAWDRLENAASLQPISGNTTAVLVPMPRVN >cds-PLY84837.1 pep primary_assembly:Lsat_Salinas_v7:1:60043309:60048726:1 gene:gene-LSAT_1X52421 transcript:rna-gnl|WGS:NBSK|LSAT_1X52421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTKRLLFWSSATAMAILFIKLAFYTKSTNIIFSTGSEVFSIFKRVYEQTGIPEIFYFVSQILGPTMSDKATCDENKWKSPLISKYKVELVLTVDHLGCGKFKSLQKAVDAVPEESQKTSLIILDSGTYKEKVLVSKKKTNLIIQGQGRLKTMIVWNDTAASSNGTIYSYTVCIYGKNFIAYDIGFKNTAPRPQPGVIGAQALALRIAGDQAAFYNCGFHGFQDTLNDAEGRHYFKQCYIEGTVDFIFGNARSVYENCTIISLVTSKIVGAIAAQKRESTKEKTGFSFVNCKIEGKGKVWLGRAWGLYSTIVFSKTFMSEVVSPDGWNDWKDSKRDQTVFFGEYGCSGPGASSHSRVKYAKQLTLADASPFMNISYVDGNEWIKLAHIQPSLEFPHDDLFDKSDEDSDMFVESEDSDDESDAGENEEDDQGDDEDDDSE >cds-PLY85040.1 pep primary_assembly:Lsat_Salinas_v7:7:5866265:5870037:1 gene:gene-LSAT_7X4980 transcript:rna-gnl|WGS:NBSK|LSAT_7X4980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNNYARGGGGRYPPGIGRGGGGNYHGNPNPNFQQQYAQRNPAHHQQFQQQQQQQQQQQWLRRNPVGNDSSVVDEVEKTIQSEAADPSGQDWKAQLRLPPADTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQESNVIQVVILVPTRELALQTSQVCKELGKHLNIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLSKKGICVLKDCAMLVMDEADKLLSPEFQPSVEQLIHFMPTNRQILMFSATFPVTVKDFKDRYLPKSYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPFIDQAIYCR >cds-PLY96999.1 pep primary_assembly:Lsat_Salinas_v7:2:36781843:36783480:1 gene:gene-LSAT_2X17720 transcript:rna-gnl|WGS:NBSK|LSAT_2X17720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVERFFSINFPDSPDNEMMLLTGPPSSGKTSLLFQFAFNSIVNTDDKSAVFMCSRRKLSTKPPFLSQGIDPSSDVFDRIQMKYVEDEEGINKFFAAFHMHDTFPVLVIIDDFGEFFDEKNCQQRYNGPRGKELAIARTLALCCNAINHANEKGPCMLLVADTHHGETPRLLHIYKGWLNSIFTIKGDGIGSFILKNNSSLTKSAKYSIALQYLVLEEIYETEEQKKYHL >cds-PLY95185.1 pep primary_assembly:Lsat_Salinas_v7:6:168698880:168699455:1 gene:gene-LSAT_6X103780 transcript:rna-gnl|WGS:NBSK|LSAT_6X103780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQHEASSRAILENGIEEGLGDSSQTAPIAFLQDVEMEIEENTLRTNPTTTTIRHYEDQMLPSMTTSLFRGAEEESSSEIGNVMEDTMAEVPINQGGDADGDGDGQTVRMGNMQPPINITRALRISNDFSANSYIGSSSSSRERDGGVVPVWSPSTSSFSETFVCEDMSYLQRNTQSHQLINNWRRLTQKW >cds-PLY96021.1 pep primary_assembly:Lsat_Salinas_v7:1:12856660:12859320:-1 gene:gene-LSAT_1X10500 transcript:rna-gnl|WGS:NBSK|LSAT_1X10500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMAQLLNSSFTPTTRGLRRQSIPSISQSTSRKSQIHAKIREIFMPALSSTMTEGKIVSWVKSEGDKLNKGESVVVVESDKADMDVETFYDGYLAAIMVEEGGVAAVGSAIALLAETEDEIAQAISKAKEQSSSSSSSSPAAPSPPPAETTIPDSLAVATVVKAAPVKAVASTHPASDGGKRIVASPYAKKLAKELNVDLSGVVGTGPMGRIVAKDVEAAVVASVAVPVAAAAESAKPIAAAPGVELGSVVPFTTMQGAVSRNMVESLGVPTFRVGYTITTDALDALYKKIKPKGVTMTALLAKATALALAKHPVVNSSCRDGKSFTYNSNINIAVAVAIDGGLITPVLQNADKVDIYSLSRKWKELVDKARAKQLQPQEYSTGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASEPTVVATKDGRIGMKSQMQVNVTADHRVIYGADLAQFLQTLAKIIEDPKDLTF >cds-PLY80343.1 pep primary_assembly:Lsat_Salinas_v7:7:155206188:155210984:1 gene:gene-LSAT_7X92040 transcript:rna-gnl|WGS:NBSK|LSAT_7X92040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCHKSEAAVYCTADEASLCAACDHRVHHANKLASKHTRFSLLHPSFKDSPRCDICQERRAFLFCKEDRAILCRECDIPIHSSNEHTQKHTRFLLTGVKLSATLSCHDDSSQQTPSSSNSNGSIEIESTKRYDDSFNQKGASVNYHSNCSVSHEEDASMEARSISEYLMETLPGWHVDEFLDPYGFSISLDLDSECEWMETDSIPGSSSSGSLEGAVVVLSRISKEIILSCQRELVVLRSA >cds-PLY92768.1 pep primary_assembly:Lsat_Salinas_v7:8:67093267:67095628:-1 gene:gene-LSAT_8X47561 transcript:rna-gnl|WGS:NBSK|LSAT_8X47561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTKRPFDEDIQEFIKHPKHLENGNKPDPFGEEDKHTLETSHNLGITGEHGATFFQTGDSIKTEKLNSVTEMANEFETSEHSRENIPAPVFPSNLFPEFFEFNMPRRQLAHFDDTYSSILSNSPRKQVPIGPDHQADVPDFDPDLARNLSENREKSSLGVIVNSPSSETDCKCLDSGSIRCVQQHINEVRLKLKNSLGLDKFIDLGLHEMGEEVSHNWTDEEQQLFQDVVYSNPVSLGRRFWEQLSIAFRSRTKKELVSYYFNVFMLRRRAVQNRSNLLEIDSDDDEWWGSKRGPSGSGVRVEDEEFVVVGPFGDSGGRDSSSSEDGDDHGDILVGVHGGDLHDDKTVSESEQEKVNGGGLRSESYLHWDPPYSTMGSTKGVDLLPTCSMIEEIFGSSKNGKNT >cds-PLY71589.1 pep primary_assembly:Lsat_Salinas_v7:1:115848569:115851629:1 gene:gene-LSAT_1X87780 transcript:rna-gnl|WGS:NBSK|LSAT_1X87780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQSNHSLTFHEPSMEQSISFITELQEIEEAEIELDLIQKEQSVEESSNDVTDWRKLPFLAILSFNSSSQNQEHATDSGIRDSNTCIDSMCFMLCGNNLVERTYESDVNGNKFNTRVHTIKC >cds-PLY62147.1 pep primary_assembly:Lsat_Salinas_v7:5:6900519:6900896:-1 gene:gene-LSAT_5X6021 transcript:rna-gnl|WGS:NBSK|LSAT_5X6021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNRGLSYPPLIRLIEQVVNLDCAHVGMSDCKMIAVKLRDLVPCVPILYKHEQIILWRGKKAMETALNDL >cds-PLY93810.1 pep primary_assembly:Lsat_Salinas_v7:6:142337394:142343297:1 gene:gene-LSAT_6X84960 transcript:rna-gnl|WGS:NBSK|LSAT_6X84960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCRSVACVWSESPPVHKVTATAVLDRPSTLYTGGSDGTIFWWNLSSTNSDHDIKPVAILCGHTAPISDMGICFPASTLGDEKISDPSNVASNSSSVNYGSLISACTDGVLSVWGRDSGHCSRRRKMPAWVGSPYMVQALPENRRYVCVACHFIDSVNSLDNHQSLDYSTELGEPSTNIEPQYKKPSRCTVVIIDSYTLTIVQTVFRGTLSIGPFKFMSIVTPIGDMEKESVLIADSFGNMQCVSLLKDTTRSEDISDDSQKNSSHMEMTDLLQESSEGELPISFAASGQVLAILYTTYCIFKLVDGSTKVGEISLLDDQLCQYDVAGCLFLGNGFVQTVVDMEENHNMYVETFAVWNNKGYVIMFTISYSYSGKGFKYVPLCTIPVVSHPPNVELSFSFVLMNQNLVRIESICLHTEEPVHWKPHITIWAKGENFNQECKLVGKGSYFDEWFVGSKNSNGLLKREFVVTSSMVISENDSSPYAIVYGYDSGEIEVLRFNMFSEKVEGSPCEEVDSCAWKQYLSGHTGAILCLAAHQMVNTSRGFNSTIFLISGSMDCTICIWDLNSSNLVAVMHHHVQPVRQIILPPPHTDRPWSDCFLSIGEDSCVALASLETLRVERMFPGHPYIPSRVVWDSTRGYLACFSLNHSATSDASDVLYIWDIKSGARERVLRGNAAHSMFDHFCTSGNKNNFSLSSMERNTSASSLLLPVIEDTQVSQSHPNTPEKRVASTTDLSHATTRMIQHGTHGSNGYPITCSCPFPGIATLTFDMTSLMSLRAESSENQNDEPKIQTPKKGLERINSSLSTDGDQETHVGPTEYTDWAHSIEGCLFRFSLSVLHLWDVDHELDKLLVSEMKLKKPKNFFVASGLLGDRGSLTLTFPGPSATLELWRSSSEFCAMRSLTMVSLAQHMISLSHSCSTASSALAAFYTRKFAEKFPDIKPPLLQLLISFWQDKSEHVRMAARSLFHCAASRAIPFPLRNHNSNDNNGKEDSEMLSWLESFERQDWVSCVGGTSQDAMTSHIVVAAALVVWYPSLVKSTLANLVIHPLLKLVMAMNEKYSSTAAEILAEGMEGIWSVCMSSEIPRLISEIFLQIEHVRGQSVKPMTTHGSAANLEIRESLVGILLPSLAMADVTSFLHVIERQIWSTASDSPVHIVSLMTLIRVARGSPRNLAPYLDKVVNFILQTMDPGNMAMRRSCLQNSMATLKEVVRVFPMVSLNDSSTRLAVGDAIGDINKSIIRVYEMQSMTKIKILDASGPPGLPTLLGGASETTANTAISVLSFSPDGEGLIAFSEHGLMIRWWSLGSMWWEKLSRNLVPVQCTKLIFVPPWEGFSPTSTRSSVMASVMGNGKHFSQDNSKDLSEMDRLKNLLHNLDLSYRLEWSSGRQVLLKRHGQELGTFQL >cds-PLY62194.1 pep primary_assembly:Lsat_Salinas_v7:6:32099282:32102199:-1 gene:gene-LSAT_6X24080 transcript:rna-gnl|WGS:NBSK|LSAT_6X24080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSVYDKNRDPSQHEILEVFKREIGFNPPRNFSRRISASEALVKRIDLAGKLNGHEGCVNTIEFNHCGDHLVSGSDDRRVMFWNVATKSLVLSYASGHVDNIFQARIMPFTDDRTIVTSAADGQVRLGQIAENGHVQTKKLGKHHGRVHKLAVEPGSPHIFYSCGEDGLVQHFDLRSNSSTKLFCCTPFTELNHSHSSSNTIRLNSIIIDPRNPNFFSIGGSDKYARLYDIRNFDQPVNTFCPKHLTETHDVHITGMSYSNTSELLISYNDELIYLFQKNNGGLGPNPLNVMSSDDLEDPQVYCGHRNSLTVKGVSFFGPNCEYVMSGSDCGHIFIWKKKGGRLVRVMEGDRRIVNQVEPHPSIPVLASSGLEKNIKLWVPVSDDILPLPHDLQEIVESNRRGREDHSRVTLTPDVIMHVLRLHRRQALAYIERRRNRDELGSDDEEEDEGDGYVMGFSDADGSLDDGNSTECNIV >cds-PLY64188.1 pep primary_assembly:Lsat_Salinas_v7:7:3654862:3655485:-1 gene:gene-LSAT_7X2361 transcript:rna-gnl|WGS:NBSK|LSAT_7X2361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPTHSKVHIIEIIEPPSCLKRLFLEWHDTPAVDLEDGMDHMEKELGNDPTPTGHMDKDLGNDATLTDHMENDLGNDATLTDHMENDLVNDANDFGKFGELESDNDESGDSEFFVDIDNILDYINVDIQDFEMNIDKDVEWVGGSSNTVVDEGTHDEALEVINIESLASDSSLDEGINGQRKKSIRAIQRAHENDEALVSEPFYIFQ >cds-PLY72958.1 pep primary_assembly:Lsat_Salinas_v7:8:135422093:135423442:1 gene:gene-LSAT_8X94000 transcript:rna-gnl|WGS:NBSK|LSAT_8X94000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRDGRQREASIHDNGCADDDINLHNLSPSNKSSDSGIYGAVFNLTTTVIGAGIMALPATMKVLGLVTGIVLILLMGILSEISVELLIRFSVQCKATSYGEVVEQALGSPARILSEICIVLNNAGVLVVYLIIMGDVMSGSPRHSGVFIQWFGANGFWENRRLIILIALVVLLAPLCALDRIESLSVTSAASVVLAIIFVIVACVVAFIMVVEGKTDPPRLIPDFSSEQAILDLLVVIPIMSNAYVCHFNVQPIYNELEGRSPQKMNKVGRLTTVICVFIYWSTAVAGYLLFGNNTEADVLTNFDKPLAIRFSEGLSYIVRVGYIFHLILVFPVIHFSLRQTVDALVFKGAKPLCKSRKRCLGLTCVLLMLIYMASTMIPSIWTAFKFTGATTAVSLGFTFPALIALRLGGGSNGLSSRERLFSWVMLVLAIMVSIVGVVSNIYSMQE >cds-PLY67627.1 pep primary_assembly:Lsat_Salinas_v7:5:27253487:27291016:1 gene:gene-LSAT_5X12800 transcript:rna-gnl|WGS:NBSK|LSAT_5X12800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRQLVKKEQMMRIFSSSYDSAVMKRVLATHAPDGRQVDLEPLLAAIEETFRHAVLADFDSVIIITAQGAHSGNIHALPGDYKQVLLGFDDIIHTVSCELTCKSSGGTDAHTSTLAILNMLSSYSWEDKLVISLGAFAIIFGEFWLVAELFATNPLAKSIALLKHMPDVIKHYNILKARFDSINQLIKAMMDVTKCIITFKNLPHQYIQDDQPPKSTATADHIPTATYWSIKSMVACTSQLTSLLGMNYEYITATSEACELSSLTHKVHNIHEHLKSILVLCYQNIEEKQHDEYHLMLVRIFEVTHIENTKILKALFCAKDDVHPLYHGSSKTRINVDVLRKKHVLLLISDLEISNEDIITLTQIYKDSKTHSDLHYEILWVPIVDTLTWNDSHQHKLEQLQSMMPWHMIHHPNLLEPAVVKYIKETWKFEKKTILVTLDQQGKVTSTNALHMMWIWRNRAYPFTSTKEEMLWREESWKLELLVDNIDHNILRWISEGKYICLYGGDDMEWIRKFTTLAAEIARSAGIHLEMVYVGKSGSRERTRKSSITISEENISNTWPDPTSVWYFWTRLESMLYSRTQHGKSIENDSILKEVLTLMGFDGSDQGWALISKGSTEMARANAEMTMTTLSEFQKWEGSVHVNGFVAALKGHMESLQTPHHCNRLILPGISGGIPEVVVCSECGKKMERFFMFRCCTD >cds-PLY71726.1 pep primary_assembly:Lsat_Salinas_v7:3:47788490:47789790:1 gene:gene-LSAT_3X38741 transcript:rna-gnl|WGS:NBSK|LSAT_3X38741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGCLEQHRFHPSHQIYLASKKSLRDIDIPPRKLLSRRASASSQEALIDSMYHQQQNESPRVLPEETLFKKFLPYNSGVDSDEDADPYSADHFRIYEFKVRKCTRSRSHDWTDCPFAHPGEKARRRCPRRYNYLGTVCADFRRGSCSRGDSCEFAHGVFECWLHPSRYRTEACKDGKNCQRKICFFAHTPRQLRVVPPETTVPAKKHHSETCHCCAHCRCLSSVHHINSPTSTLNIDIDSLSLSPPASPPFSPARSGAGFSPISRFADRLARAESLGMTQFGNNSCMQNESMNELISNQSLTELMRSMEAMSVEENMNMNSQWVDECFNNGCGGVYDLSDSTPTSFSRSSSSSQNVYNDSPVNGPDLGWVNDLLT >cds-PLY69758.1 pep primary_assembly:Lsat_Salinas_v7:5:231210661:231211397:1 gene:gene-LSAT_5X111400 transcript:rna-gnl|WGS:NBSK|LSAT_5X111400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELFRINPLAIFFFVLSYFTHLSIALGLVPHNQDLSSYFLVPHNKARAQVRVQPLTWNMTLAAYAHGYAYQRLGDCDLRHSEGPFGENLAEGYGDEFSVTNAVNLWVGEKQYYEYGSNSCIGDECLHYTQVVWRDSIHLGCAKLKCHNGWWFVICSYDPPGNYEGQRPY >cds-PLY90590.1 pep primary_assembly:Lsat_Salinas_v7:6:51203382:51204381:-1 gene:gene-LSAT_6X36821 transcript:rna-gnl|WGS:NBSK|LSAT_6X36821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKITTISSPETTQSQPLQLQDRVAIVTGGSRGIGKAISLHLASLGAKLVVNYTSNSSKADLVVSEINSKSQSESPQAVSFKADVSDPIQVKALFDAAESAFDSPLYILVNAAGVLDSSYSSIPNSSLDEFDRTFAVNTRGAYLCCKEAANRLKQGGGGRIICLTTSLVASLRPGYGPYAASKAAVEAMVKILAKELKGTRITANCVAPGPIATDLFFEGKTEAMVNMVIDQNPLGRLGLPEDVAPLVGFLTSDAGEWINGQIVRVNGGFV >cds-PLY98131.1 pep primary_assembly:Lsat_Salinas_v7:1:140482615:140485379:-1 gene:gene-LSAT_1X101841 transcript:rna-gnl|WGS:NBSK|LSAT_1X101841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLIITFSPISYFTSASLEEANALIKWKESLEIPKKSLLSSWMPLPLNSSASVPCTSWSGVVCDVDGNIQKLNLTSSGLKGTLHQFSFPLLHNLTHFNLSVNNFFGPIPPEIQFLSKLIYLDISLNNFSGVIPPEIGNLLQLTIMHLYSNNISGSIPSSLGDLKYLSELSLSYNQLVGSIPLSLANLSNLQILNLRTNNLSGPIPIELGNLKSLTDLEVSENKLNGSIPSSLANLSNLQWLNLRLNKLSGPIPSKLGKLKSLTHLSVSRNHLNGFIPTSFGYLTSLIQLYLHHNELVGPIPRELGKLKSLTDFKVNNNQISGSIPLYFGNSSQLRRLDLSSNHLVGEIPREFGKMKSMLYLYLADNKLSGVIPLELGFCELLEVLDLSKNRLNGSIPRSISQWAQIHYVNLSNNKLSEKIPSEIGKLVHLTELDLSHNFLTKKIPSEVQSLQSLQKLDLSHNRLSGSIPGAFTSLPSGIDINLSFNNLSGPVPHCANFFNASIESNPDLCGNVTGVKLCPSQIMKKKNSPFHHKLVLVITLPVIGVVLLGVFTYCLISYQQQKKKSPQKPLDEEIGDYFSTTSFDGKVVYADILMATNDFDEAYCIGTGGYGTVYKAELQPNNVVAVKKLHSSSENVDHNGFLNEVQALTNIRHRNIVKLYGYCSHIRHSFLIYEYLENGSLGSTLRSDVLAKELDWLKRVNIVKGVANGLAYMHHDCSPSIIHRDISIANILLDSDYEAHISDFGTSKLLKLDSSSNWTTIAGTYGYIAPELAYTMVANEKCDVYSFGVVALEVIMGKHPGELITSFPTLSDDLVPENVGDSRIPPPSSQVEKQVKLVLSLSRKCLNSNPHERPTMKQVSNMLMKDHLL >cds-PLY64467.1 pep primary_assembly:Lsat_Salinas_v7:3:15269404:15269741:1 gene:gene-LSAT_3X10700 transcript:rna-gnl|WGS:NBSK|LSAT_3X10700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHASLLGLGNLDMEGMPQLCVLEEADEIPDGMLIDGVGGGGRGDGDDGNGDDSDVVVGKDDGGDGVGLVLIMVSFWPFL >cds-PLY94694.1 pep primary_assembly:Lsat_Salinas_v7:6:19717990:19721325:1 gene:gene-LSAT_6X13621 transcript:rna-gnl|WGS:NBSK|LSAT_6X13621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRISYLPGVLFTITSIALAFEMPISGLREKHKQELENMTLIAQPFKTLKLFIVDVLQYITRSLVYLLTHVVWLMLFVTLTVAVGLLFLSVDGPHGKHVEELLEYARFGLWWVALGVASSIGLEALHGFKRIVQSLGLHCFLSSQGVQVPLSSILPQVQVEAILWGLGIALGELPPYFISRAASISGDKMDVTEELDASSSENNGVASNLNHMKHWCV >cds-PLY65446.1 pep primary_assembly:Lsat_Salinas_v7:9:185830801:185831570:-1 gene:gene-LSAT_9X114201 transcript:rna-gnl|WGS:NBSK|LSAT_9X114201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHRLSYLQLLGSADEVAVAEMLLVDAVTKAYDEKEFVPTALMPPSICHHTMTIPVMKDVPLMGFNGSNLLKMESKSGAWIELDTLCDSSVLVINIYGERLNLTNAIKIIKEQICEVSRAT >cds-PLY94272.1 pep primary_assembly:Lsat_Salinas_v7:1:156732970:156738427:1 gene:gene-LSAT_1X108381 transcript:rna-gnl|WGS:NBSK|LSAT_1X108381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSADDLTFRIIFSGDGAGRLRDKVKEKLKEFMGDYTDDTLVEYVIVLLKNGRRKEEARNELNVFLGDDSDSFVSWLWDHLRSNLNLYAQQKESEVVKLKKEDSESAEGIKSKKRASKDWRGVMRDPDEPPPLRSAVIANIHEDDNALQKRSSSPPPPLIQRKRGRSGDRAQNQIDDERSQLKREPVSKPSVGASRRLLQFAVRDAVATSRSTGLTSEPSLKRLRSVVSTPNEDFQSRPKLRSVARVPKAMAVAIKAVADAAKDVVKVKPSGNVFDRLGRSVEDDLSDTPHHHQFTEYREIADEDTQIIEATVPTYYDAGIAASFAYDNEGYDVVDRRGKMGISQIGNESVMLDYNAVDVVDGIGNNNKPQRDQNSSNKMVNVSSNVNSGKPSHYPKPRAILEMENQKLVQETANSIIVSNGNGAPTIHAQREIQKTLPLTGVNPIGRPTDDVDFRTIFVSNVHFGATKDSLSRHFNKFGDVLKVVIVTEAATGQPKGSAYVEFMRKEAAENALSLDGTSFMSRILKVVRKSSAHQEATTTTTTTTTTPVTSWPRAAASRGSPFAASRFGRVPFPTLYRPRLPIKSGARSFQWKRDAQNPSSENIPSPTAAAIPSPTTAARSLTYVRPEAAKTAGSSNNA >cds-PLY67718.1 pep primary_assembly:Lsat_Salinas_v7:4:2941691:2942289:-1 gene:gene-LSAT_4X701 transcript:rna-gnl|WGS:NBSK|LSAT_4X701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLCFCGKFAVVKCSWTPKNPDRRFYAFPQKDSACRFIGWVDPPMCERSKVIIPGLLRNMNRMQAWCTTLNILLLASWVKERLM >cds-PLY70200.1 pep primary_assembly:Lsat_Salinas_v7:9:64868:66026:-1 gene:gene-LSAT_9X4880 transcript:rna-gnl|WGS:NBSK|LSAT_9X4880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDALVPSSSTFGIRNPCCTNYCYCGSLRQKRLESGEVIQKKSLDHQYTFTRFLCITSKLKGEQMFESHNLSIRKKTKSVENQKPEDL >cds-PLY64033.1 pep primary_assembly:Lsat_Salinas_v7:1:127892470:127893290:-1 gene:gene-LSAT_1X96321 transcript:rna-gnl|WGS:NBSK|LSAT_1X96321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIVRDPNIVLSSHTKIYIILEFVTGGELFDIINCKPEDTFNNLLMQLPIAIPRGVKLLYTPGGTPNYIAPKVLRKCGYDGGAVDI >cds-PLY95817.1 pep primary_assembly:Lsat_Salinas_v7:7:177004030:177006851:1 gene:gene-LSAT_7X104120 transcript:rna-gnl|WGS:NBSK|LSAT_7X104120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANGVKSAVTSQAYLEGSQVKQTKSLISELCRLFYNLGWVSGTGGSITAKVHDDSVPKSEQLIIMSPSGVQKERMVEEDMYVLSSTGDILFSPSPKPYPHKPPKCSDCAPLFMKAYDKRNAGAVIHSHGMESCLVTMMDPLAKEFRITHMEMIKGIQGHGYYDELVVPIIENTAQERELTESLAAAIEAYPKTTAVLVRNHGIYVWGDSWISAKTQSECYHYLFEAAIKLHQLGLDWSTPSHGPKPLLCGQSQTTNPSHRCVVLDIEGTTTPISFVTDVLFPYARDNVQKHLEETYDTDATQDDIKLLRSQVEDDLKNGVANAVIIPSDEAGKQEVIAALVANVEGMIKSDRKITSLKQLQGHIWQTGFEKNELKGVVFDDVPVSLQKWHSSGIKVYIYSSGSRLAQRLLFGYSNHGDLRTYLSGFFDTTVGNKKERKSYVEICESVGVHKPSDVLFVTDVFQEAVAAKAAGLEVIISVRPGNGQLPENHGFKTVKSFSEI >cds-PLY98473.1 pep primary_assembly:Lsat_Salinas_v7:2:63646612:63648383:-1 gene:gene-LSAT_2X29001 transcript:rna-gnl|WGS:NBSK|LSAT_2X29001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSATIIVLIVLLLILCAWFLYKKSLSNPHPLPPGPMCFPFIGCTIQMLLNQPSFRWIHNLMDQFNTKILCIRLGSSTHVITVTSPELACEFLKKQEAIFISRPDFISAYLMSDGYHTAAMSNGDQWRKMRRIVTRDMLSSQVYKWLQPKRDGEANQLLGYICNQIQNGDNITDGGLIDIRMVSQHFCGNLMRNMIFGRRFFGKGSEDGAPGEEETEHVAAIFNILKYLYAFCITDYHPWLRWKSDFDCHEKNMRSALKIARKYQDPLIDERIQMWENGDRMEKNDLLDVLIQHDNPKVTIVEIKAQIIEIMIASVDNPSNAVEWTMGEMMNEPTLLKRAVEELEHVVGCNRLVQERDLPQLNYLKACIKESFRLYSFAAFNPPHVSTMDTTVAGYFIPKGSHVLLSRRGLGRNPNVWADPLRFNPDRHLQGAEKQVVLTDNELRMLSFSTGKRGCPAVVLGSTITTIMLARLLQGFTWEPICKELPIKLVENHDDLSLAKPLVLIAKPRLPQHLYPKI >cds-PLY71622.1 pep primary_assembly:Lsat_Salinas_v7:9:135351735:135355982:-1 gene:gene-LSAT_9X87381 transcript:rna-gnl|WGS:NBSK|LSAT_9X87381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANEPTKSDKVAQPPPENTYPPSQGGAPPPQYAPYPNQPPPNGPYPNQPPPNGPYPNQPPPYAGYPPNGAYPNQPPPPNGAYPPGSYPPGQPYPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQPQYGGYPPESGQPPYHGGEKEKKKDKDKDKDHKDKDHKDKSHKDKDKKDKKKKKKKKKGIGGSLLDFGAGVGAALLGVALGEEIEGGVMRGNDVVMDDGEGVGIKQPYDGVDIDGNKVVMDDSEGVIVDGKADDIEHPHVGEDMEGNDVDDEGHIPVRRTRKPSE >cds-PLY71202.1 pep primary_assembly:Lsat_Salinas_v7:2:133068860:133069123:1 gene:gene-LSAT_2X62761 transcript:rna-gnl|WGS:NBSK|LSAT_2X62761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNVARFIIAEWRDRRYRSPARIQPHFPSFPVASPPSFVVVVAAAANANFIHSSPSIRCCSVAYCVVIVASSGMGYNFNDAICQVGG >cds-PLY74132.1 pep primary_assembly:Lsat_Salinas_v7:9:14096151:14096363:-1 gene:gene-LSAT_9X11080 transcript:rna-gnl|WGS:NBSK|LSAT_9X11080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPATKVASTMLPSLLAVAPAVGFSCSPTSQARGWRLEQEEEKKDGGAMPEIIEEKVAVGSEGRYKVGGG >cds-PLY91650.1 pep primary_assembly:Lsat_Salinas_v7:8:12453552:12454364:1 gene:gene-LSAT_8X8981 transcript:rna-gnl|WGS:NBSK|LSAT_8X8981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYSTYSLARNILLIAVFFTSSISFISALEKTNVEFIRTSCGLTTYPTLCFNSLSSRSGAIQTSPKLLAQAALSVTLDKTRSTSLAMVKLSQVHVMAPLEVAAMKDCIELLSDAVYELKKSIEEMSQPGSKDTRLVISDIQTWVSSAMTDEDTCSEGYALRG >cds-PLY63265.1 pep primary_assembly:Lsat_Salinas_v7:4:201293687:201296651:1 gene:gene-LSAT_4X111601 transcript:rna-gnl|WGS:NBSK|LSAT_4X111601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALAAPTTSLSKPFFSSKLPSSSAIIPVLSLPTSSRKSSRPLVVRNSISVAQSPATASTKSSTVKSVKARQIIDSRGNPTVEVDLVTDDLYRSAVPSGASTGIYEALELRDGDKSVYGGKGVLNAVKNINEILGPKIIGVDVRNQADFDAIMLEIDGTPNKSKLGANAILGVSLSVCRAGAGAKGIPLYKHIQEISGTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGASSFAEALQMGSEVYHKLKGIIQAKYGQDACNVGDEGGFAPNVQDNREGLVLLIDAIEKAGYTGKIKIGMDVAASEFLTKDGKYDLNFKKQPNDGAHVLSAPSLGDLYREFVRDFPIVSIEDPFDQDDWSSWTALQSSVDIQIVGDDLLVTNPKRIVEGIQKKACNALLLKVNQIGSVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLSVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGNVRYAGEAFRSP >cds-PLY67247.1 pep primary_assembly:Lsat_Salinas_v7:6:133648579:133648749:1 gene:gene-LSAT_6X80700 transcript:rna-gnl|WGS:NBSK|LSAT_6X80700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVERKGSDEQPPRWLNRRWRRLAALATTDGGWATPMMAAVGSEERERWRSEGNVG >cds-PLY95395.1 pep primary_assembly:Lsat_Salinas_v7:9:190731492:190731704:1 gene:gene-LSAT_9X117720 transcript:rna-gnl|WGS:NBSK|LSAT_9X117720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEKRGRQREFMSDDFSLVRASCSRQKSGKEVAPPEFGWTEERTRNGAAKSFLFYSSREVRRGGDQKRRS >cds-PLY62990.1 pep primary_assembly:Lsat_Salinas_v7:3:119034224:119036226:1 gene:gene-LSAT_3X84401 transcript:rna-gnl|WGS:NBSK|LSAT_3X84401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPQLLGVQEHIQGNTSFPTSTCSMESNYQKIPGLTERNYLGFSDCSSVDSSNVSTISEVNKNSLNLKATELRLGLPGSQSPERDQENSLTSSEKLDEKPLFPLLPSSSSSQKIISSGHKRVFTDTMDSSSETKGVISSNSELPSIKCSTPISKVNNNSNPPSSKAQVVGWPPVRSFRKNMLAVNSKNNDEVDGKPGLSALFVKVSMDGAPYLRKVDLRGYSTYQELSSALEKMFSCFTIGQCGSQGGPLRGSLSESKLRDLLHGSEYVVTYEDKDGDWMLVGDVPWE >cds-PLY82569.1 pep primary_assembly:Lsat_Salinas_v7:2:186766312:186766663:-1 gene:gene-LSAT_2X108661 transcript:rna-gnl|WGS:NBSK|LSAT_2X108661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSVRKIISLDHHIALASAGLKADSNVLINRAQIKCQSHMHIAKDPIIMEYITRYIAGLQRKYKQSGGVRLVGLSTLDVGFDPYTDVPSLYQTDP >cds-PLY77389.1 pep primary_assembly:Lsat_Salinas_v7:7:9559002:9566658:1 gene:gene-LSAT_7X9321 transcript:rna-gnl|WGS:NBSK|LSAT_7X9321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN1 [Source:Projected from Arabidopsis thaliana (AT3G60740) UniProtKB/TrEMBL;Acc:A0A178VIF8] MAAREVEDAAKPSVAGEELEEDDEHDSKEAVFQRYFLLEWKVVKSILDDIVSNGRVVDLSSVHKIRSIVDKYQEQGQLLEPYLETIISPLMLIVRSRTLEQGVKSNELLEVIKPLCIIIYSLVTVCGYKAVIRFFPHQVSDLEPAVSLLEKCHGTTTGTTLRQESTGEMETKCVILLWLSILVLVPFDISSVDTSITDTHSLEANEPPPLVSRILEISKEYLSNAGPMQTIAGLLLSKLLTRPDMLPAYTSFIEWTHEVLSSATEDVIHHFRLLGAVESLAAIFKSGSRKALLDIVPVVWNDTSVLIKSTPAARSPLLRKYLIKLTQRMGLTSLPHRPTTWRYVGKHTTLGKNILQNASLTDHSHASNFGSSNSEQNSYPQNEEMDVPDIIEEIIELLLSGLKDTDTVVRWSAAKGIGRITSRLTYTLAEEVLLSVLELFSPGEGDGSWHGGCLALAELARRGLLLPVSLPKVVPVVIKALHYDVRRGPHSIGSHIRDAAAYVCWAFGRAYSHTDMKGILDQLAPHLLIIACYDREVNCRRAAAAAFQENVGRQGNYPHGIDIVNTADYFALSSRVNSYLNVAVIIAEYDGYLYPFMEELLFNKICHWEKGLRELASNALSALVKYDPEYSANFALEKLIPCTLASDLCMRHGATLAVGELVLALHNCGYALPLDKQKVVAGVVPAIEKARLYRGKGGEIMRAAVSRFIECISIVNITLTEKIKRSLLDTLNENLRHPNAQIQNAANEAFKHFVPTYFAKTKDKGTFDITLRYLEQLTDANVAVRRGSALAIGVLPFEFLATRWKIVLQKLCRSCEIEDNPEDRDAETRVNSVKGLVLVCETLTNTTESSGFQQDEYTSLFATIKTQVMQSLLNALEDYSVDNRGDVGSWVRTAAMNGLEKCTYILCKRDKSVGNETLFDADIATKLVGGIVKQALEKMDRLREVAAKVLQRILYNDVVFVPLIPYREKLEKLVPKDGDLKWGVPSCSFPRFVKLLEFDCYSKYVASGLVISMGGLEDSLKKVSLGSLLDYLEAIKVKDKSETNARESSLSNNILWVLHKYKRRDRVIIPALKTIEILFSKRIFLHMEGQTGVFCGGVLECLATELKGTKDFSKLYAGIAILGYIASISEPPNTQAFAHLLSFLTHRYPKIRKACAEQVYLVLIQNGEVVGEENLENAMEIVSECCWEGDVEEAKRQRLKVCEIANIEMGQILMHTSRTSGASSSSSQQKPKTNDENASYSSLVGSAGF >cds-PLY75647.1 pep primary_assembly:Lsat_Salinas_v7:1:99212888:99214917:1 gene:gene-LSAT_1X81541 transcript:rna-gnl|WGS:NBSK|LSAT_1X81541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDTISQERNKRRVVTCAKEDIISNLPEHLIDSILERVPLEEAVRTSILSKNWRHRWTKMGALAFDEQFFKKYTKNGAFGRNGFIRIINKVLILHNGPISKFLLHIPNMNMFLDCFEEVDQWMLLLSRNGVKELILTNSNQRYQLPSYVFSCLELTKLKMKHCFFKPPLNFEGFLNLEDLNLRCIDFGANLCGTQINLPQLKKLSLHKCTNVYNFDIKATKLEKLTVIACPDAILTTMLSNMTKIKCFFVDAQFLKIFTAENIPKWVPQPINSLTRLWFQKFQLGDLHQLNGALCLLRNSPNLKTLGMHLEMKHGGNVGPASSILESPNCLDISNRLQTVKIDCLQGSKPELLFVKLLLAHSSSLQKFTITPSRALDVKKILDISKDVMRFSRASPKAEMIYLNPKP >cds-PLY64470.1 pep primary_assembly:Lsat_Salinas_v7:3:15250388:15250705:1 gene:gene-LSAT_3X10620 transcript:rna-gnl|WGS:NBSK|LSAT_3X10620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFERLSVLALPFPKGAGYLLTDSTATCWHSISSAGTSLGSSADFGCKDFLLRRMSQQHFFRRTGSEALCRGGGTGKETAPKKEIWVPNASPRSTRCANLGMLYS >cds-PLY86990.1 pep primary_assembly:Lsat_Salinas_v7:5:261541550:261545420:1 gene:gene-LSAT_5X134441 transcript:rna-gnl|WGS:NBSK|LSAT_5X134441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMSCKDGKGAIMDNGKYVRYTPEQVEALERLYHECPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQSVNRKLTAMNKLLMEENDRLQKQVSHLVYENGCFRQHTQNTTTVATKDTSCESVVSSGQQRHLTPQHPPRDASPAGLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTRVAEILKDRTSWFRDCRAVDVLDVLPTANGGTIELLYMQLYAPTTLATARDFWLLRYTSVTEDGSLVVCERSLTNTQNGPCIPCVPNFVRAEMLPSGYLIRPCEGGGSIIHIVDHMNLEPWTVPEVLRPLYESPTVLAQKTTMMALRQLRQIAQEVSQASTPNWGRRPAALRSLSQRLSRGFNEAVNGFTDEGWSLMGNDGSDDVTILVNSSPEKLMGLNLSFSNGYPSVNTAILCAKASMLLQNVPPALLLRFLREHRSEWADNNVDAYAASAVKLGPCSLPGSTRLGSFGGQLLEVIKLEGVSNCPEDALMSRDMFLLQLCSGMDENAVGMCSELVFAPIDASFADDAPLLASGFRIIPLDSGKEASSPNRTLDLASALEVKGSGNKLSGDRNVGGGGGSARSVMTIAFEFAFESHMQESVVAMARQYVRSIISSVQRVASALSPSHLNPNGGGIQSTLGNPEAHTLARWISHSYRGYLAVELFKTVGEGSESILKSLWHHSDAIVCCSMKALPVFTFANQAGLDMLETTLVALQDISLEKILDEHGRKGLCAEFPQIMQQGFACLQGGICVSSMGRPVSYERAVAWKVLNDDDNAHCICFMFINWSFV >cds-PLY95385.1 pep primary_assembly:Lsat_Salinas_v7:9:190091042:190092080:-1 gene:gene-LSAT_9X117181 transcript:rna-gnl|WGS:NBSK|LSAT_9X117181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFNIYVDAFGDYVDQILWSRCQLFTLAEIQSATNNFDETLVIGRGGFGKVYKSSTNNLSEGEVAIKRLDATSTQGAHEFEAEVAVLSKLSHGSLVSLIGYCNEEKEMILVYELMPNGTLEDHLRKPDCCLSWLQRLEICIEAAKGLDYLHWVTSTGVIHRDVKSNSREHRCERDIWVYGKLTRESDVYAFGVVLFQVLSGRKAVDRSLDDEEQWSLAGWAQEQIKQGKGKGKLDRIIDPRLMGQISKKCLKEFASLAGHCLHTQPKNHPTMTEVVMKLKSILSQELKSKRDNSVIDEEGIIKKLRSIFKGTICFDST >cds-PLY78281.1 pep primary_assembly:Lsat_Salinas_v7:1:130778321:130780597:1 gene:gene-LSAT_1X97840 transcript:rna-gnl|WGS:NBSK|LSAT_1X97840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKPRPVDSRPSPPPPPPPLRKKKPHDAGARASPQKQSPNYMKSTTSFDARKDVRKMTPTLKGDSEKGKPSSLKVVRKLTKTPSFKPLRPLKCSPVMLSARRTTCSSTLKDAKFPSYLELSHGGTELEGTSAMKVCPYNYCSLNGHHHAPVPPLRCFLSARRRALKNQKSFKLGCLSPRQTKPGVKKNDEVVTEQVIFADEGPEADMEMEVTPVTPVMEEKEEEENRDFFVEIYSKEREDNLECSAMDWEEGYCSSSSSSCVDDGITMKSPEIEDDDSREEKIQKTGFESLYDGLQELYDEESVSSGAWSDSEGDYDYESDSLYQQMKINHQNEMEDDENIGFEAKIDEVIPTGDLEENSRESETLPDFGGNSPERTESTDKHHTTIIYNIIQYNISIFINGEKTEHTKTDKLVSVQVPETPGEENQKVSDELPADAKDNKSTEQLDTSSLTDTQEDPEREHDEIPACSRSNSMDFESDKHSEIEEEPEKPATSGEELLDSIDEEPTSKSDEKPVISSEELPDSSMNLNESTRRKKTDKEIEDSGEYNLRGPNFLPEVADPDAETVDLKHQTIDERKNAEEWMVDFALQQAVTTLAPARKKKVALLVEAFEKVIPTPKYESHNRHTSKAFTNARLMQACS >cds-PLY64616.1 pep primary_assembly:Lsat_Salinas_v7:6:36147671:36148228:1 gene:gene-LSAT_6X28600 transcript:rna-gnl|WGS:NBSK|LSAT_6X28600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYDSLLPLSQSVGPVSQNESPPDGPEQGYLVERLVLEWYKTLPPQNGSQCHASCCHSSICGIHEYSSHTFLDGSSDAGGDECLLCERIEVDFLRIVFTQGTVMRSMHDIWEGGGDIPMEVAISSSSSGS >cds-PLY64324.1 pep primary_assembly:Lsat_Salinas_v7:4:22735712:22740551:1 gene:gene-LSAT_4X16020 transcript:rna-gnl|WGS:NBSK|LSAT_4X16020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPSHLHTILSIFLFFFLLHLSLLHATPPPSNHPDLAPLLSFKSSSDISNKLSSWKNSSDPCDRSSGFYGVSCLHNRVTGLVLEDLNLHGRFDSLTSLVKLRVLSLKRNRLVGPIPDLSNLTSLKLLFLSYNQISGEFPPLLPSLFRLDLSHNNLSGSIPATLNRLSQLLTLRLEENQFSGSIRFLNLSSLQDFNISMNNVSGEIPASLSGFSDSVFLNNPVLCGFPLLRCKEPEIPSDVSSSPTSLPWTAAVPSNKKSGNNKMSTLAVVAIIIGDVLVLALVSLLLYCYFCQKSGEESINEKKNVQHVEKTAYPTPPVSSFEKGRMVFFDGARRFELEDLLRASAEMLGKGGLGTAYKAVLDDGHVVAVKRLKEVVTGGKREFEQQMEVLGSLRHPNVVSLKAYYFAKDEKLLVYDYMTNGNLFWLLHGNRGPGRTPLDWSTRLKIAAGAARGLAFIHTTGTSVKIIHGNIKSTNILLDKSGNACVSDCGLSSFTPPTAAPRSAGYRAPELSTAGNNRSKPTQKSDIYSFGVLLMELLSGKSPSMVDNSGVLDLPRWVQSVAREEWTAEVFDLELMRYKDIEEEMVQLMHIALSCTSGALDQRPTMEHVVKMINDIDGAEEMSARNDSFDLVSSNSPSVIET >cds-PLY84783.1 pep primary_assembly:Lsat_Salinas_v7:8:24423444:24424646:-1 gene:gene-LSAT_8X20540 transcript:rna-gnl|WGS:NBSK|LSAT_8X20540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate kinase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06200) UniProtKB/Swiss-Prot;Acc:Q94JM2] MLIRRFCASIPHFNLSPVSLTKKPANHLVVFNNFTPRTPNSQTPKSISSMGESRRPAAVPIPAVETADRMELYRALETSVGSSFSLEPLAPKPRPLIVVISGPSGVGKDCLIKRLREVRENIHFVVTATSRSMRAGEVNGKDYFFVSKEEFLTMVENDELLEYALVYGDYKGIPKQQIRDYMAKGHDIILRVDIQGAATLRKILGDSAVFLFLVAESESELVMRLIDRKTESKEALLVRVATAKEELKQMKNFDYVVVNAEGKLENCVKMVESIMDAEKAKVWQKRAMI >cds-PLY70503.1 pep primary_assembly:Lsat_Salinas_v7:1:72188455:72191048:-1 gene:gene-LSAT_1X61380 transcript:rna-gnl|WGS:NBSK|LSAT_1X61380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAYFLAGSPVKLIITGTAVAILLQAAFVLSGFPTTLTLERAFPTNHLVDLNELIVRDSFRHPRILQQQSSVASVVNFPVQGTYDPNRAGLYYTKLHLGSPPKQYYVQIDTGSDVLWVSCSSCKGCPKSSGLQIPIQLYDPSTSSTASLISCSDQRCLLGTQSSDSSCSGSNNQCSYTFHYGDGSGTSGYYVSDLIHLETIVGNSIPSNASASIVFGCSTSQTGDLTNSNGAVDGIFGFGQQGLSVIAQLSSQGKSPNAFSHCLVGNGAGGGILVLGHIIEPNMVYTPLIASQPHYNINLLSISVNGQTLSIDPSMFATSSSRGGTIIDSGTTLAYLTEEAYDPFVDAITQSVLQFVQPLIFKGSQCYIVASSTPEIFPTVSLNFAGSASMILRPQDYLLQQNSVDGASVWCIGFQKSQVQGITILGDLVLKDKIIVYDLGGRRIGWANYDCSMLVNVSTMSSGGRNEHVNAGQIGSSNSLQDEYLSKSC >cds-PLY69870.1 pep primary_assembly:Lsat_Salinas_v7:6:3585696:3587487:1 gene:gene-LSAT_6X3681 transcript:rna-gnl|WGS:NBSK|LSAT_6X3681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSLSSSKPPKPTLKSLQDQPSSSLKKEFVTEFDPSKSLADRNSKNPVVIAPISNEWRPQKKMRNIDLPVKSDDPNLEFEVMNHTVEQTDPNITYGLNLRGKKDSAPDSESKVQRSQSTSSIDNLMLNKLRNDLERLPEDRGMEEFEDMSVEDFASALLKGYGWVEGRGIGKNAKEDVKVVEYTKRTGKEGLGFVNDMPMPPTTESNNRSTKTKTEGVSNGERKESSGDRRSREEVKGRSGGGDRRSKDSTVHRSHSQRDESSYDKRKGSKRRHEISPAPTTSKSWLNSHIRVRIISKQLKGGRLYLQKGEIVDVVGPTTCDISMDNSRELVQGVEQEFLETALPRRGGPVLVLYGRHKGVYGSLQEKDNDNETAVVRDADTHALINVRLEQIAEYLGDPDDIGY >cds-PLY87369.1 pep primary_assembly:Lsat_Salinas_v7:1:97994470:97994676:-1 gene:gene-LSAT_1X79721 transcript:rna-gnl|WGS:NBSK|LSAT_1X79721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPIGRRRRKKTVGLDGASSTSGGCNRQLPHVFFFLNQWYNHRGNTTTTRGVWIVVPVDRKRKEEEKE >cds-PLY95705.1 pep primary_assembly:Lsat_Salinas_v7:2:115325751:115327451:-1 gene:gene-LSAT_2X53100 transcript:rna-gnl|WGS:NBSK|LSAT_2X53100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYQSFFLVVSCLLPLIMYAFIISSRRNSRLPPGPKGFPIIGNLLEFGDKPHQSLAILSKRYGPLMSLKLASNTTVVISSPGITKEFFSTHDVAFLNRSAPKAIQLGDYHKYSIVWMEAGDQWRKLRRMTKEYLFCVQQLDASELLRREKVQDLVNHVNQCCIEEKPLNVGACAFTTALNILSNFMFSVDLAQYDPKSTQEFQGLVLQVMQAGAKPGLLDLFPILHWLDPLELIWPENAYAKKMITIFDKIINDRLQNRSNGVSTKNDDVLDLLLNQQSSFTQNDMRHLFLTLFVAGTDTTSSTLEWAMSELIRNPEKMKIARLEVDKLMQNNNNGSIQESDISQLTYLQAVIKETLRLHPPAPFLIPRQAMNDVAIQGRRMCPGLNLANRMLHIILGSLIHKFDWKVVGNKRPQDIDMGEKFGITLQKAEPLMAIPMKL >cds-PLY98236.1 pep primary_assembly:Lsat_Salinas_v7:7:170963184:170964815:-1 gene:gene-LSAT_7X100340 transcript:rna-gnl|WGS:NBSK|LSAT_7X100340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSISPSTPLSQRSQSNSNHRQSAVNLKSPTNLSIPNFIIPSRRRKNPKSFPSLIVNSTTESLNQPTGKSIQAPLVVVGSANADIYVEIDRLPKEGETVSAKTGQTLAGGKGANQAVCGGMLSYPTYFVGQIGKDAHGKLIMEALEGGGVHIDHLNEVSEEPTGHAVVMLQSDGQNSIIIVGGANMCGWPENLANDDLETVKNAGIVLLQREIPDSINIQVAKAARNAGVPVILDAGGMDSPIPVELLKHVDILSPNESELARLTGMETESFEQISLAVAKCHQLGVKEVLVKLGGRGSALFTEGKEPIKQSIIEAKKVIDTTGAGDTFTAAYAVAFVEGKTKVECLRFAAAAASLCVQVKGAIPSMPHRKAVLDLLQSI >cds-PLY71667.1 pep primary_assembly:Lsat_Salinas_v7:3:47275107:47277515:-1 gene:gene-LSAT_3X36200 transcript:rna-gnl|WGS:NBSK|LSAT_3X36200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAKNKPQLIQAPCYSAIKTGRSTSPSVIVVGGGFAGIAAARALHDASFRVILLESRERIGGRVRTDYSFGFPVDLGASWLHGVCKENPLAPLIGRLGLPLYRTSGDNSVLYDHDLESYALFDMDGNQVPQELVSQVGETFESILQETNKVREELSEDSSIQHAISIVFERNPKLRLQGLSHKVLQWYLCRMEGWFAADADTISLKCWDKEELLPGGHGLMVRGYQPVINTLAKGLDIRLGHRVKKINRRTNGVKITIENGNTFFADAAIVAVPLGVLKSNTITFEPRLPKWKEEAIADLGVGIENKIILHFEKVFWPNVEFLGVVADTSYGCSYFLNLHKATGHPVLVYMPAGKLARDIEKMSDEAASSFAFIQLKKILPDASAPIQYLVSHWGSDVNSLGSYSYDTVGKPHDLYDKLRIPVDNLFFAGEATSSEYPGSVHGAYSTGLMAAEDCRMRVLERYGELDLFQSVMGEDTLASVPLLISRI >cds-PLY64845.1 pep primary_assembly:Lsat_Salinas_v7:2:31499652:31507156:1 gene:gene-LSAT_2X15841 transcript:rna-gnl|WGS:NBSK|LSAT_2X15841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEGPLHTSKSSPSRWFNRLYTLVYTVAIFALLYHHCRNLIYSPSLTTLFLLLADLVLAFFWATWQSFFFNPVHRQVFPENLAQVAKESDYPGLDVFVCTADPFKEPPVGVINTVLSVLAYDYPTDKLSVYLSDDGGSQLTLFAFMEAAKFAKHWLPYCKKYNILDRSPEVHFRKDPSLFPETNEIQVMYETMKTTIKDVMDKGAVDVEQFDDDRTIKALNKWTSGFTRHQHPTVIEVLLKRNQDKDVSGHYMPNLFYLAREKNKATPHNFKAGAINAMLRVSATLTNAPIFLILDCDMYSNDPKTPLLMLCYFLDPTIGSNFGFVQFPQLFYNINKYDTYTTEHVLENRICTSGMDGLEGMSFMGTNAFFKREALTKKPTEAKWKWNDQNESEYDLPLAHRVANCSFEENTKWGSEIGFRYGTLVEDAYTSFRLQCLGWKSVTCRPDRPAFLGNMPVSLNDFLMQTKRWYMGLLQVAISKFSPITFGVKNMNPLQALCHAYFQFRAFWSIPIIIYAFLPQLALINSTAIFPKVSDPWFSLYTFLFLGAYGKNLLDYVVAGSTLKKWWSYQRMWLILGCSTYLFSFFDWLVTSLGMSTLEFNVTSKVSDDEQEKRYQKGIFSFGVESPMFVSINVAVIVNFFAFLIGIRHVFINNGRLEELFGQLLITGFGVVIGWPIFEGMVLRSDKGKMPTKTTLISVCVALFMHLMFSSAF >cds-PLY82420.1 pep primary_assembly:Lsat_Salinas_v7:2:183622195:183622710:-1 gene:gene-LSAT_2X106061 transcript:rna-gnl|WGS:NBSK|LSAT_2X106061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAHGDYWFSKATAVIPQALIIILLFTASAGGVKHIVGGSIWSIPPSVHFYNNWSTSQTFVPGDVLCTFYLIISLQKLLRFRYFELKKHADFDFESEMYNVHQVLSDDYSHCESTLSPVNAYEEGPALVTLPYTGEYMFLCPMLNYCSQGMKMMIFVRMPAASTPPVRVLP >cds-PLY89461.1 pep primary_assembly:Lsat_Salinas_v7:8:30431753:30437727:-1 gene:gene-LSAT_8X21741 transcript:rna-gnl|WGS:NBSK|LSAT_8X21741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVDNLSEKAAKMRESLQKSQSITDNMVSILGSFDHRLSALETAMRPTQIRTHAIRRAHENIDRTLKAADVILDRFDLSREAEAKITRGPHEDLESYLEAIEQLRSNIVFFTNNKSFKSSDGVISHASNLLSKAISKLEQEFKQLLSSYSKSVEPDRLYECLPSSLRPSSGSPDSSGKNPNNSHTGHITAENAVFAPLILIPPRVLPLLHDLAQHMVQAGHIQQCLVIYRDTRSIVLEETLQKLGVEKLSKDDVQKMQWEVLELKIGTWIHFMRIAVKLLFTAERKVCDQMFEGIESLRDQCFAEVTAGSVAMLLSFGDAIAKSKRSPEKLFVLLDMYEIMRELHNEIESLFRGKACKDIRESALGLTKRLAQTAQETFGDFEEAVEKDATKTAVSDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFENGEDSNSQLASVTMRIMQALQINLEGKSKQYKDPALTNLFLMNNIHYMVRSVRRSEAKDLLGDDWVQRHRRVVQQHANQYKRIAWAKILQCLSIQGLSSSGGGSVGGDGAGNSSGASRALVKDRLKIFNGQFEELHQRQSQWTVPDTELRESLRLAVAEVLLPAYRSFIKRFGALVENGKNPHKYIRYSAEDLDRMLGEFFEGKTLNEARR >cds-PLY62056.1 pep primary_assembly:Lsat_Salinas_v7:2:57711609:57712750:1 gene:gene-LSAT_2X26121 transcript:rna-gnl|WGS:NBSK|LSAT_2X26121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYDDASSSMTIKTDDVGPWSYLNHDVLLLVMMKLGVVDFVAFSGVCKLWRPVALGNWKRFMVSKPPMLMNISDSINKDWQCCLEDHERRKFKTTLTHSAGMCYLGLSCGYLVLIREETKDFWLVNLITRHELHFPPAPWVCSSEPVGSFILVFSPSISKLVFVMLVKKQIWFSIADEGTWNRVSTFDLKFYKDLHAFNGKIYTLHSHNNHLCELTLNPEPKVTLLKTKHFVLDKVLFFPQLGLGNCGENLYMMDCSIYDDVINVYKLDFGEMEWVPFQDTGEEHGFFVGYVFDHVAAVKPELWADPWSHYPRYDVGNGGGNGRYFPYSGGWYFPHECLNANLLDESS >cds-PLY92418.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:1640514:1641979:1 gene:gene-LSAT_6X23480 transcript:rna-gnl|WGS:NBSK|LSAT_6X23480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ycf20-like protein [Source:Projected from Arabidopsis thaliana (AT1G65420) UniProtKB/Swiss-Prot;Acc:O80813] MACGIHILALKYYSFSAIVNETNERAIAKENPDSVLHWRDKHSFVSPFRNQSFRKRQRTLEMTFALNTGGLPTNGDQESINGESPTGLGQTRLGRLVSASGRQLLDKLNSARKNFPMKVFLLLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYKKSNSKRFESLIVMVNYWKAGVCLGLFVDAFKLGS >cds-PLY86431.1 pep primary_assembly:Lsat_Salinas_v7:1:10204181:10204429:-1 gene:gene-LSAT_1X7860 transcript:rna-gnl|WGS:NBSK|LSAT_1X7860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVGSYSYGGLHASNIDIPTDNELLAMEMQVEMENLRNDFTVELAEVKEHIAVMQKRFNVSVWILILGVGVVLSWVYRSSK >cds-PLY75440.1 pep primary_assembly:Lsat_Salinas_v7:7:73532573:73533335:1 gene:gene-LSAT_7X53201 transcript:rna-gnl|WGS:NBSK|LSAT_7X53201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRVKDQQLSTSTKPATIVIAPKCSLKCTDLIPFYCKLLDPTNLTNLFLVTKFLGMLATGTTADAKTLVPQARKEATEFRCKYGYEMVVYVLARWIADKSQVYTQHAYMRQLGVVAMVLGIDYENGSKLFKCDPTGHFFGH >cds-PLY82767.1 pep primary_assembly:Lsat_Salinas_v7:2:146673819:146675115:1 gene:gene-LSAT_2X72860 transcript:rna-gnl|WGS:NBSK|LSAT_2X72860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQDHGMVPIDETTPPKSTKRKPSTTKKLTQENNTKGGSKRSSKNEAQIFQQQEKSTTSDSLPDSSSTGNDYRSLRRKYLLLEEESFNVGRETKEIQDAVKSLEEEKLSLLDELVVLEGLVDPSDLNANGQRSP >cds-PLY87523.1 pep primary_assembly:Lsat_Salinas_v7:8:95404698:95406140:1 gene:gene-LSAT_8X67461 transcript:rna-gnl|WGS:NBSK|LSAT_8X67461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPSQGGGRRVIVQVLGVEVGNQYQYVRATLFGNYANFGVFELYGDRALADARDISLKMTLSVPLADILAYKKHKKAYYAFLEVLFNSHIVYMLNLDTSTFMHIVGLLESAASAVDNLAAYYFNNNTIAEAPTSPAAVNLACNIAEGPTLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLILINEHVINLSLYSFYF >cds-PLY90394.1 pep primary_assembly:Lsat_Salinas_v7:9:174359159:174363747:-1 gene:gene-LSAT_9X106740 transcript:rna-gnl|WGS:NBSK|LSAT_9X106740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVSPIATHVKKQRATILSPVTTFVLGSNNDQLERAQARAARAASIRRKPVTFNNAADDNPAGAFLGQEQIMELFQNCIKLASENKINQKNTWELNLIDHLRDIIKVEEDDAETNFQKASCTLEAGVKIYSTRVDSVHAEAYKFLGAINRVGQDMEQGNLEDGNTNTEQRKQSTKKEHEQKFSPLSTLESSFENLNLKKFDVAFTVDPLYHQTSAQFDEGGAKGLLLNNLGVYGGCRVLFDSLEVPANCMSCSPQTDKIETIDISYAKDCMEQMAINISKSKEISPTLKEIVNMFDDKRPPDTVFAQNSHEPDYDDYALDGDQNDNFGTWDFVNDNEGTYEREEEVEPHEENEQYESHDRDVDDKFIKIDDFLFPRRGLTTSQNAWAGPEHWKYNKSKGQEASTKENGSPLLFKKPRSKKQFEADIDFMKVLENDIVDPFIPPKNPNSLLLPAYREPCNTMLPEDCHYQPEHLVKLFLLPNVLCFGKKRGKRNSDEVHQQEANPSWGDDDCGIGDQFDDGNAYSDVDDSLVSQPRQVEKIDIQYDKKSKQVDVHVLKDTLWSTIQEMQKSAGDEDLSFKNILSSSPAATSNDDITPHLSFICILHLANEHGLTLNSFPDMDDVSIHLPSHPNIP >cds-PLY93327.1 pep primary_assembly:Lsat_Salinas_v7:9:59813191:59816943:1 gene:gene-LSAT_9X52700 transcript:rna-gnl|WGS:NBSK|LSAT_9X52700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPISVYNKITGIPSDTCCLAYAFCHTHSCSWTLVSVCGWSTWKGSGLHTFVLYLGPHIAFFTIKAMQCGRVDLKSVVYDTIKLNRSPTWLQKDCSEFGPPFFLSSQGVQVPLSSILPQVQVEAILWGLGTALGELPPYFISRAGVQLFGFEYSWPQQAKSYQGPSSITVRFIRYCVEGSIFLVYEFIENGNLSQHLHGTINL >cds-PLY98407.1 pep primary_assembly:Lsat_Salinas_v7:5:315727073:315728832:-1 gene:gene-LSAT_5X172660 transcript:rna-gnl|WGS:NBSK|LSAT_5X172660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transition metal ion transporter, Ion transpor [Source: Projected from Oryza sativa (Os05g0164800)] MTLCAVDSARALACRDGHAAATLKLISMFVIFFTSVVGISAPVMLARVFHGKPLYDKAILIIKCFAAGVILSTSLVHVLPDAYDALADCQVSSHHPWKDFPFSGLITLIGVLTALLVDLTATSHVDGYSHGHVHGSKEVTAYTRIGDSEDVRKSVVEIEVVEAGEERRRLKDEEEMVKMKQRMVSQVLEIGIIFHSVIIGVTMGMSQNQCTIKPLVAALAFHQIFEGMGLGGCIAQAGFKIGTTAYMCLMFSVTTPMGIMLGMILFSITGYDDSNPNALILEGLLGSLSSGILIYMGLVDLIALDFFHNKLMSSETWLKKASFLALVLGSTSMSILALWA >cds-PLY92328.1 pep primary_assembly:Lsat_Salinas_v7:9:180302225:180303522:1 gene:gene-LSAT_9X111400 transcript:rna-gnl|WGS:NBSK|LSAT_9X111400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANHRIHGNVLEVNVICCYKLKDTEWISRQDPYVCVEYGNNRSRTRTNTDGGKNPTFQEKFVYGMIEGLRELNIMVWNSNILSHDDFIGSGKVQLAKVISHGFDDSSWPLQSKTGRYAGEVRLIMHYNNVNNHANSYATSAPPYGASGPPHVPMYSPPPPPPPPPHSSMSYPPPYLPAGAYPAPPAAYSFPPNSGGYPPPSPYPYPPDPYSARPYGYPGGYPPPY >cds-PLY78622.1 pep primary_assembly:Lsat_Salinas_v7:4:152305939:152307418:-1 gene:gene-LSAT_4X94060 transcript:rna-gnl|WGS:NBSK|LSAT_4X94060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRSFIRLPLTSITQYFDRVTSAAPIIPTPPTSRRSSTSGAPPQIRGLELDRAVAPIDGCDYKHWLVVMDPPISYPSRHQIVERYIQTLASALGSEEEAKRSIYSVSTKYYYAFGCKIDDNVISSIKSMPNVRWVLPDSHICHGKDDYGGEPFIDGHVVPYDEMFHEDWVKDESNNGFRRRSGRRSRRKEQKIDSN >cds-PLY86767.1 pep primary_assembly:Lsat_Salinas_v7:4:296470852:296476350:1 gene:gene-LSAT_4X148200 transcript:rna-gnl|WGS:NBSK|LSAT_4X148200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPMASSSTSSVHKSFKYDVFLSFRGEDTRTNFVDHLYHALQQKSIHTYKDDERIKKGKKITDELIGSIEDSKFYIIVFSKNYASSSWCLDELAKIMECHRTTVHTAYPVFYDVEPSEVRKQSGAVGEAFAKYEMEEAAGKWRVALKEAADLAGWELKKTADGHEAKFIQKIVEELSLELRSISFNIDEKLVGMETRIKDLVSSIGIGCDDVQMIGIKGMGGGGKTTLARAVFDHISFQFEGKSFVENVRENASFSGLKSLQKQVLSDVLNEDIRVSSTSDGKYLMKRRLRDKKVLVVLDDVDHIDQLEALAGELNWFKPGSRIIITTRDEQVLLAHRVEPIRDVNLLSDNEAICLFNMYAFGREIPIQKYENLSRLVVCYAAGLPLTIRVLGSFLCGKNELEWIDALERLKTFPLTETLKKLELSYIALEEDYKEMFLDVACIMKGWRKDDAIKALECCGFHARNGLRVLEQKSLITSYYDIFDKYKYVGMHDHLEEMGRNIVRRSHPDKPHKHTRLWIYEEIKNVLANDLGTKATRCIRLDTQNGNQKFDIKGLGKMNRLRFLSVGATHHVYWDYSFGPVPYRYWDCNKINPEFPNALRYLHMTGYPFRSLPITFQASNLVALEMAGSKIIQLWEGGERKVLNKLRFLDLNCSMLSTLDLGLTPNLEKLNLGECSNLVELHMPIECLNLIYVDLRGSKLIRTLDLRLAPNLEELILSFCNNLEKLQMPDRCLNLQSLLLSNSKLMTLDIGQTPNLRNLDLKQNYYLEELDMADECQMLSNLNVSYSKLRTLDLRLTPNLERLDLDRCYDLVELCLPIGDLKKLVHLHFSTWGFGYFSFKVEDYTSCRVDESLEVGPLAVLDLTVKSLKSCPLHPENSFPKFQFSSYYREDRPLFTRNLEMLISLGMCACTNLETFSRSICGLQRLRKLKIEGSILEVPKDLYMLECLEELVLSYTEIKHLPDSICMLKHLKSLKIISCLHLQKLPEDLGQLECLEKLHLSNADIERLPDSICMLKHLKSLKVISCLGLQKLPADLGQLECLENLDLSDTNIERLPDSICMLRHLESLQLYDCWLLERLPEDLGCTECLKELSIEGTRISHLPQSILLLKGLCIVGSRELLELCGFTSKIQTSKDYDTSCYVVVG >cds-PLY65900.1 pep primary_assembly:Lsat_Salinas_v7:5:38295109:38296084:1 gene:gene-LSAT_5X19200 transcript:rna-gnl|WGS:NBSK|LSAT_5X19200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSEHTLKLDQKVSIITGGASGIGEATARLFAKHGALVVIADIQDEQGQQVADSIGSERCTYFHCDVADEQQVIAVVNFTVNLYGRLDIMFSNAGILSTSKQTVLDLDLTQFDRLYAVNARGTAACVKHAARAMVEKRVRGNIICTASVAASRGGSSRTDYVMAKHAVVGLVRSASKQLGVHGIRVNCVSPSAVVTSLSKRSPEAAKKTMELYETLSSLKGIELTVDRVAEAVLFLASESSSYITGHDLAVDGGLTKLPDADDLN >cds-PLY85845.1 pep primary_assembly:Lsat_Salinas_v7:4:120545532:120546518:1 gene:gene-LSAT_4X75820 transcript:rna-gnl|WGS:NBSK|LSAT_4X75820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTIWSGGHKSGEEIEMALSKVKEVVSSNPVVVFSKTYCGYCKRVKQLFKELNVSYKLLELDEESDGSEIQSALAEWTKQTTVPNVFIGGQHIGGSDAVMEKHRAGKLVPMLTEAGAIANNSAQLSSI >cds-PLY75207.1 pep primary_assembly:Lsat_Salinas_v7:2:203327931:203329442:1 gene:gene-LSAT_2X123641 transcript:rna-gnl|WGS:NBSK|LSAT_2X123641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSTYYPVSSHISKQNHASNLSSSFLSSPSSIPLSSNSNISLQFRCNNKQLCPATPRPLTVIAMAPPKPGGKAKKVTGVIKLALEAGKATPAPPVGPALGSKGVNIMAFCKDYNARTADKAGYVIPVEITVYDDKSFTFVLKTPPASVLLLKAAGVDKGSKDPQREKVGKVTVEQLRGIATEKLPDLNCTTIESAMRIIAGTAANMGIDVDPPILQPKVKQIL >cds-PLY69495.1 pep primary_assembly:Lsat_Salinas_v7:6:44233723:44235378:1 gene:gene-LSAT_6X31400 transcript:rna-gnl|WGS:NBSK|LSAT_6X31400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNLDMSLDDMIKANKKSRGSDNPRSKARNSGPGPSRRLPNRASNRSTPYGAQKVEAPDTTWGHEMFEGHAAAHPARSNRVSSGIETGTKLLIANLDYGVSNDDIKELFAEVGDIKRASIHYDRSGRSKGTAEVVFSRRRDAELAIKRYDNVQLDGKPMKIELIGTNMGNDGAAFMPPASNYGGQNGPPTRGGVMGRLRGGARTMRAAGGGGGGGGGGRGRGGGRGRGRGRGGERGEKVSAEDLDADLEKYHAGSMQTD >cds-PLY92298.1 pep primary_assembly:Lsat_Salinas_v7:2:210547856:210548644:-1 gene:gene-LSAT_2X130880 transcript:rna-gnl|WGS:NBSK|LSAT_2X130880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANRRRNSSAFQLPQNDHTASQSTAAAGGSSPVYHGIRLRAGKWVSEIRENKSSRIWLGSYPTPEMAAAAYDVAALAIKGKYAVLNFPESILENTLPECPTADDIRAAAARAAAARSPAYEYGGGSMTVGGTNTAPPAGPGMYVDDEAVVGMPSLLSDMAEGMLLSPPRIDSDPPDDGTYYSGGGNLWNY >cds-PLY85643.1 pep primary_assembly:Lsat_Salinas_v7:2:4229740:4236909:1 gene:gene-LSAT_2X2361 transcript:rna-gnl|WGS:NBSK|LSAT_2X2361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGLGSYDITGPAADVNMMGYANMEQIASGIHFRLRARTFIVSQPQEEKSLVFVNLDACMASQLVTIKVIERLKARYGNLYTEENVAISGIHTHAGPGGYLQYVVYIITSLGFVQQSFNALVDGIERSIMQAHENLRPGSVFINKGDLLDASINRSPSAYLNNPEEERSNYEYDTDKEMTLLKFVDDEWGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWYNKGFQTQSLIKYKLPRRISNIIPDFHQHRKELMEIASSFQASGGRGVTRALSVARRVRSSFRQAEKPQFVSAFCQTNCGDVSPNVLGAFCIDTGLPCDFNQSTCNGRNELCYGRGPGYPDEFESTRIIGERQFRKATQLFESASEKLKGKVNYRHTYIDFSNLSVTVTAANGSRVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDQGNAFWKLVGGLLKKPDEEQINCQDPKPILIDTGEMHDPYDWAPAILPIQILQIGQLVILSVPGVKEVLSSFGEEEEKEEFHVVIAGLTNTYSQYVTTIEEYQIQRYEGASTLYGPHTLNAYIQEFKKLASSLMNDQKVEPGPQPPDLLDNQISLLTPVLLDATPLGSRFGDCKTDVPPGSIFSRGDVVTATFWSACPRNDLMTEGTFALVEILESNWEPVYDDDDWCLKFIWSRPSKLSTRSYATIEWVIPDEAVSGVYRITHFGASKSLFGSVNHFTGSSTAFSVA >cds-PLY85206.1 pep primary_assembly:Lsat_Salinas_v7:9:147262787:147267952:1 gene:gene-LSAT_0X6940 transcript:rna-gnl|WGS:NBSK|LSAT_0X6940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLHISPSLRHVTVLPGKGVREFIKVKVGSRRLSYRMVFYSLLFFTFLLRFVFVLTAVDTIDGQTRCSSIGCLRKKLGPHKNLQSTVPEVIYQILEDSSSKYDITEGSEADIPQTLEDFVSSLKGNNRPDAKTFAIKLKSMVTLLEQRTRKAKIQEYLYRHVASSSIPKQLHCLALRLATEHATNAAARRQIPSPELVPALVDNSYFHFVLASDNILAASVVATSLVYNSLRPETVVVHIITDRKTYSPMQAWFSLHPLTPAVIEVKALHHFDWFAKGKVPVLEAMEKDQMARAQFRGGSSAIVANKTEKPYVIAAKLQAMSPKYNSLMNHVRIYLPEMFPSLNKVVFLDDDLVVQTDLSPLWDIDMNGKVNGAVETCMGEDKFVMSKRLKSYLNFSHPLISNNFDANECAWAYGMNIFDLEAWRNTNISNNYHYWLEENLKSDLSLWQLGTLPPGLIAFHGYVHIIDPFWHMLGLGYQENTSIPDAQKAAVIHFNGRAKPWLDIAFPELRALWSKYVNFSDKFIKSCNIRAS >cds-PLY78667.1 pep primary_assembly:Lsat_Salinas_v7:9:48251769:48252713:1 gene:gene-LSAT_9X43700 transcript:rna-gnl|WGS:NBSK|LSAT_9X43700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASATMEFWNVNDFQQSTGELMDALGPFFKSNYDSTLAFSSPSTSYPYPSSSFSSSPSSSSSPTQQESGFYPDGYTHGFSTQDPFGYDQSVSSFGLNQLTPSQIHQIQTQINLPTYNNYLAPKPVPMKQSVSPPKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDAAEEAALAYDIAAYKLRGDYARLNFPHLRHNGTHIGGEFGDYKPLHSSVDAKLQAICQTLAEGKSIDGGKKKGSKRSTVAKPRTVVEQPEVVKVEGSESEVSGGSSPSSDLSFPEFTEEESAWCGSDNFLLEKYPSYEIDWGSI >cds-PLY82807.1 pep primary_assembly:Lsat_Salinas_v7:1:87072714:87076696:1 gene:gene-LSAT_1X71980 transcript:rna-gnl|WGS:NBSK|LSAT_1X71980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEILYQAVVLVILYGRITAAMWCVVRSEASREALQAALDYACGAGADCAPIQQNGLCFLPNTIQAHASYAFNSYYMRRSMAAGSCDFAGTATIAKTDPSYGSCVYPASPSTAGGSIPTPGGGASTTINTPISAVTPPPPSTIIPLFGSGRGFNPVGTVPTLAAGSNAKSLTNASISRILLLNISFLVFNILMFL >cds-PLY91457.1 pep primary_assembly:Lsat_Salinas_v7:MU039439.1:399760:401155:-1 gene:gene-LSAT_0X16801 transcript:rna-gnl|WGS:NBSK|LSAT_0X16801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIMSKLILVSLSLIWCIGGIVEGFTYDDKEVETEEGKSAMFERWRSHHNMQAMRDKDKKKQYEAFKANLKIVHSTNKAKKPYKLQMNKFAGMSKAEFQSKYTGLKASPKIRPGMKSIVSEAEWPHPFKYRNFTDVPPAIDWRAQGAVTPVVNQGGCGSCYAFAAADTIASLHAIRTKQLIELSPKEIVDCCNCGGCNGGKMHDAFLYVANNKGLTTAKNYPYKPVAETCNVKKEHDVAVEIHGYERVPFNSEPALMASVANQPVQVSIECEEPFMLYKEGVMTAPTGTNTAHAVVIVGYDTDPDGTKYWIVKNSWGTDWGEEGYVRLLRGVPEKEGYSGVNTKPRFPTREEAGQDDTGRGDPVVHMSRDL >cds-PLY71308.1 pep primary_assembly:Lsat_Salinas_v7:8:102723982:102725669:-1 gene:gene-LSAT_8X71800 transcript:rna-gnl|WGS:NBSK|LSAT_8X71800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSQTLITLFIFSLLSFSCAATGPPKLPKPKAIHLPIRKHQTTLQYFTTYKSGHTELPTIIDALIDLGSPSVWFDCTSYVSSSYKQTSCGSNKCKKAKGSSSCLTCYSTPRPGCSNNTCGIFVYNPIPNYLSIQELGEDTITVYSTDGAYVWFSYDLPKFQFACVDSVTADRLPGDNTKGLVGLARNEISLPSQISSFFKLAKKFALCLPSSSENGLGDIFIGGGPYYMLPSIEDQSLSLVTTPLVFNPINGPRLLSDGELSYQYFINLKNIEISGKPVTFSPSLLSFDTNGIGGTKISTTSPYTILHSSIYKPLVQDFIKEASLNKIKRVQSVAPFGACFDSKTVPKTITGPAVPNIDLVLEGSSVRMRLYGANSMVEAKKNVICLAFIDGGGQPTTSIVLGGHQLENYVLEFDLTASTLGLSSSLLLQNTSCSHSRTVVDKTIIN >cds-PLY74100.1 pep primary_assembly:Lsat_Salinas_v7:9:13159407:13164185:-1 gene:gene-LSAT_9X11301 transcript:rna-gnl|WGS:NBSK|LSAT_9X11301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALFTGSHGHHDLPDPDTHSNICRVCGDEIGQLFVACRECRFPVCRPCYIYERSEGNQCCPQCNLRYKRHKGCPRVVGDDEESDDGDDFDEEFQTKDHQETRDHNRVDKSSENGDSHPPKQYNTNGFAFSSAGSVAGKDLDRDRDDEWKDRVEKWKTRQEKRGLVTKADDGNDPGEEDDYLMAEARQPLWRKVPISSSLINPYRIVIILRLVILIFFFRFRIMTPAYDAYPLWLISVICEIWFALSWILDQFPKWFPINRETYLDRLSLRFEREGEPSGLLPVDFFVSSVDPLKEPPIITANTVLSILSVDYPTEKVSCYVSDDGASMLLFDTLSETAEFARRWVPFAKKYSIEPRAPEFYFSEKIDYLKDKVQPTFVKDRRAMKREYEEFKVRINALVAKALKKPEEGWVMQDGTPWPGNDSRDHPGMIQVYLGSGGALDVEGKELPKLVYVSREKRPGYNHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAVREAMCFLMDPQLGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDINMRGLDGIQGPVYVGTGCVFNRQALYGYDPPVSEKRQKMTCDCWPSWCCCCCGGSRKKSKDKSSKKKGLKALFGLGKLRGKKKYSKKSLAPVYDLEGIEEGLEGYDELEKSSLMSQKNFEKRFGQSPVFITTTLMETGGIPEGTNSNSLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYAWAGKLKTLERLAYINTIVYPFTSIPLLAYCTLPAVCLLTGKFIIPTLNNLASVWFLALFLSIIVTGVLELRWSHVSIQDWWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFTVTSKSADDAEFGELYLFKWTTLLIPPTTLIIMNMVGVVAGVSDAINNGYGSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFLPKQTGPILKQCGVEC >cds-PLY90889.1 pep primary_assembly:Lsat_Salinas_v7:1:57113634:57115978:-1 gene:gene-LSAT_1X48540 transcript:rna-gnl|WGS:NBSK|LSAT_1X48540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTQTQVQTQRQSSEQLQTLMQAGQISGSLSFNGLMTKEDEEMSRSALSTFKAKEEEIEKKKLEVRERVQAQLGRIEEETRRLASIREELEALTDPMKKEVSVVRKRIDTVNKELKPLGQTCQKKEREYKEALDAFNEKNKEKVQLITRLMELVSESEKMRMKKLEELSKSVETIQ >cds-PLY93025.1 pep primary_assembly:Lsat_Salinas_v7:4:193187580:193189003:1 gene:gene-LSAT_4X111120 transcript:rna-gnl|WGS:NBSK|LSAT_4X111120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQSQRPKGILHSLGEEIIRIITPVSICMFLVVILVSILDADSSSSPSFTTIATIAYDETTSDTEWDKFKGALLNSLVFVTVVTLVTFLLVLLFYFRCTGFLKYYMGFSAFLVLGFMGGEIALFLIQVFNFAIDCFTYVLLLFNFTIVGVLAVFMSKIPIFLTQSYMVIIGVLTAYWFTMLPEWTTWVLLVAMALYDLAAVLLPVGPLRLLVELAISRDEDIPALVYEARPIIDHGNTTIVQRRVWREPVNPESHIESSQNEVSIANVEQGQGDELRAPLMEPLGENMVLEGIGLGASGAIKLGLGDFIFYSVLVGRAAMYDFMTVYACYLAIIAGLGITLILLALYQKALPALPVSVLLGVLFYVLTRVFLEEFIVQCSSNLLMF >cds-PLY94599.1 pep primary_assembly:Lsat_Salinas_v7:8:181712781:181715913:1 gene:gene-LSAT_8X118080 transcript:rna-gnl|WGS:NBSK|LSAT_8X118080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLEPPPFQESARCDVCKCSFNTFRRRHHCRCCGRTLCAEHSSDQMALPQFGLLSPVRVCSNCYNDASRTGNSDGAASVNGVNSVTDSVSRLDISTPSNSNTNQTAAVDCKCGMPLCICEVPSNDDVAPVQPKPFPTSTIPSISKTKKADTAPRSRASSSSKYSSGQSSNTDSEKPLANYEVNGEGLREAIKNGDSFSVRKLLGEGVDANYCDKQGLSLLHLAAVFNQTEIAFTLMENGASLDYKNLQGETPLDCAPVTLQFKMKKKMEEIKQSK >cds-PLY96677.1 pep primary_assembly:Lsat_Salinas_v7:7:45566954:45568019:1 gene:gene-LSAT_7X34121 transcript:rna-gnl|WGS:NBSK|LSAT_7X34121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVRPTMEVVKGAAFCILQAAGGCPTSLRHGRWLDIWFSCHRIAMKVNSFPSLKKMSKMYLKITKAAEKLMDVAHPGTVLMHKLNFNAKTEYRMI >cds-PLY86748.1 pep primary_assembly:Lsat_Salinas_v7:5:60792267:60792458:-1 gene:gene-LSAT_5X28700 transcript:rna-gnl|WGS:NBSK|LSAT_5X28700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATRREVPTGVAGLHPFYNFPTTMSREILTRWNNRLGWLYNMEIVVAPTINWTRLREVGLMDR >cds-PLY77930.1 pep primary_assembly:Lsat_Salinas_v7:1:22408083:22408842:-1 gene:gene-LSAT_1X19581 transcript:rna-gnl|WGS:NBSK|LSAT_1X19581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRNKRIGSDQLLSWFYNKVLKFDCTKALNVDVRYIKAYSRRSTCRKELRKFKDSKADLLVDPQQTLMLTIATALRIVGYEIEVSFLFKRTWDTSIAMLLV >cds-PLY78599.1 pep primary_assembly:Lsat_Salinas_v7:1:107530126:107531483:1 gene:gene-LSAT_1X85241 transcript:rna-gnl|WGS:NBSK|LSAT_1X85241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVTLGTIRAYPIHLRCSINYNESTRCCKKPIPFVVVFFHTFPPFLSVFHTLNGFE >cds-PLY81743.1 pep primary_assembly:Lsat_Salinas_v7:3:34540905:34543056:1 gene:gene-LSAT_3X25760 transcript:rna-gnl|WGS:NBSK|LSAT_3X25760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGINLFRRNPEIIRESQRRRFANVELVDKIIHLYEEWKSRQFELEQFRKDFNKINKEVAKLRIAGQDATSLIKDTEENKQLTAKKEAQVQEAQRALHSNLNLVGNIVHDSVPVSNDEADNEIVRSWGEKRDEAKLRNHVDLVGLLGIADLKRGASVAGGRGYYLKGDGVRLNQALVNFGLDFLEKKGYTLLQTPFFMRKDIMAKCAQLSQFDEELYKVTGEGDDKYLIATAEQPLCAYHMDDWIHPTQLPLKYAAYSSCFRKEAGSHGRDTLGIFRVHQFEKLEQFCITSPNDNDSWDMHEEMMKNSEEFYQMLKLPYQVVSVVSGALNDAAAKKYDLEAWFPASSTYRELVSCSNCTDYQSRKLEIRYGQKKSNEETKQYCHLLNSTLTATERTICCILENYQKEDGVEVPTVLQGFMGGKTFLPFQSTVIKETKGKKSKA >cds-PLY78966.1 pep primary_assembly:Lsat_Salinas_v7:3:70599650:70601664:1 gene:gene-LSAT_3X55361 transcript:rna-gnl|WGS:NBSK|LSAT_3X55361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKEALIKFADSNPSLFRHDGFNSYPSLIEQRFSQFFFDFQTPNHPPYAAMIHKAIGELNEKRGSSEESISNYIKQEFVDLPWAHSTLLKHHLEKLCDCKEISITRKKRYLLAGVDSGPISNPKSEKYLKRKQDSDTGKKSSRHNKKRKKNTKKEISLLDLQETKKQIIEVFQDQENVTHNELLEPECLEIEHHQTNEEGDSLMDVCVQENGVCANDKGQKEIQGKKKRKSKKRGKNGKKNEDTQLQDSSEANLKQNNEEIECELHEKNPQTQGKQVWTKSRIKKMSNMPKGVEYLSEEKQQKKKTSSSAVSKLMNGQRSKLRSFKKKKGVSIDKDQKKQKTRGRHHDKMPRRSIRKRKAPSRLD >cds-PLY69253.1 pep primary_assembly:Lsat_Salinas_v7:2:128830591:128831309:-1 gene:gene-LSAT_2X60660 transcript:rna-gnl|WGS:NBSK|LSAT_2X60660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRLATKRKKSTTENKGKTKVSKAGKKIRCSICKEGSRSEPQQHEEVEMTLIEMDTTQNDTKATHNDVESSSAWYFSQYMQVTPPRSYEGEEVVVGGEAEEGGNVQDDEVIPNFQVVDNVKTHEVVLIVQVQQGRVRPISKILNGIRRRKSERILKLKLGKRIGGVDDPGNSKGKALLID >cds-PLY98149.1 pep primary_assembly:Lsat_Salinas_v7:1:139395612:139396615:-1 gene:gene-LSAT_1X101041 transcript:rna-gnl|WGS:NBSK|LSAT_1X101041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTKYFLNGLLETESKKLLCFHAFMGNDPKEGYEEVSKKLVKYCEGHPMALKVLGGSLHNRDVTYWEGYIDMLNKENNPPINNVLKMSFDSLPLENDKELFKHISCFFVGMDMDVTVAILEACSLETRSGITNLIDKCLLSITWNKELAMPQLVQEMGRFVVREESHYNPWERSRLWGHESFNVLKHKKGTENVLGLTLDMGMLEKEKLHGSLKLKTDALSKMDRLMLLQLNYVQITGSCNKFTEELRWLCMHGFPLKSIPSNLPMENLVGLDTSYSNIESFGIYYWYPQQLLKRVKVTYPNLPLLC >cds-PLY92772.1 pep primary_assembly:Lsat_Salinas_v7:2:148992562:148993937:1 gene:gene-LSAT_2X74860 transcript:rna-gnl|WGS:NBSK|LSAT_2X74860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKANALELLNIIWGAIAEKPTKEIDNIIRGQPDPIEKDDKPASDTDRTLQLLQKISENTAKKHDESGNTNKEHAATTTNNTNVALQESARPKYSSRILFVAAKMGNTRFIIELIRLYPDIIWKVNGENQSIFHTAVKHRHEGIYSLLYEIGSMKDLITPLKDKNDNNMLHLVGKSAKKRRLEDVSGVAFQMQRELLWFKEVEEMIPPYRERKNKDGLTPHELFTKEHKDLVKQGEDWMKDTASQCMVVATLIATIVFAAAFTVPGGYNQDNGIPMFFEKVAFIIFVVSDAISLFSSSASILMFLSILTSRYAERDFQESLPKKLMVGLATLFLSITTMMIAFSVSFFVLYHNKLKWIPILITFFSALPVILYATLQFSLLKDVFFSTYTSRYLFCPKKHILYDENCKRNRRWFQITIPFISRCTTKISKLL >cds-PLY93353.1 pep primary_assembly:Lsat_Salinas_v7:9:61749620:61750048:-1 gene:gene-LSAT_9X53641 transcript:rna-gnl|WGS:NBSK|LSAT_9X53641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIWEIVVWATARIPKRSRTMILPLKTFKDERKGNHTSDMKNKRKPQAYSPREGCGSNSITMPDALLIVSMNTKKGWIPLRMPTCLCKEMTQATKMNPNRKQNQETTKSGEGKTKTYPAKEDTDRRLPEMTRACNWQDLSPG >cds-PLY96527.1 pep primary_assembly:Lsat_Salinas_v7:5:335885306:335887201:1 gene:gene-LSAT_5X187421 transcript:rna-gnl|WGS:NBSK|LSAT_5X187421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSVPEHSFHQFTVKDSKGKDVDLSIYKGKVVLVVNVASKCGFTNSNYPKLTELYEKYRAKGLEILAFPCNQFLKQEPDSSEKVEEFACTRFNATYPIFQKIRVNGPKAAPVYKFLKANKGGFLGSRIKWNFTKFLVDKEGRVIGRYGPTTSPLSIQGDIQKALNAE >cds-PLY92080.1 pep primary_assembly:Lsat_Salinas_v7:5:323708905:323709743:1 gene:gene-LSAT_5X177461 transcript:rna-gnl|WGS:NBSK|LSAT_5X177461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMQQKTLLNGSEGSENPPQLHNNVDKTCTEDQKSSETRSENSQSDPSAGDASEGGCFNETAVAVADVPAGNAGGGIAADGVEDTKTAPVTTEVVGNDHGTTPNGGSNGAAEPEDGGIRVETALSAGGGGDDVLGVDLNFSYKSDSGDGEQVAEEAKDGAEVSSVNVAGGAGAANGDGPAKKKIKSECNGDEDPPVIHEPEEDGEGGGPSVGGGSRGVQPPMEQPKYGIRLFGFDI >cds-PLY77783.1 pep primary_assembly:Lsat_Salinas_v7:2:170333399:170340093:1 gene:gene-LSAT_2X90981 transcript:rna-gnl|WGS:NBSK|LSAT_2X90981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPMQQQQPHLVAQQLLDVSSKPLQQLMGLRNKPMMNSMANPMMNPMVNPMANTMHTTRLHNQMATSVHNPLMHQQNRSKKNSIFSASDDNVITKQVVDTHLPDGTDVDVKPLLDIVEDILRYATINVDSTSSAEHTDVGKVEGKLGSKPHEMNTVVMLNSLSHVIEKLASEMSLRCLSVGDGHTTALALFHMVGNFHWDAKLVLTLSAFALNHGDFCLLAQIYSSNQLGSSMAILRQVPMIMENSASLKPRFDILNKLIRSVLELTRCIMQFKELPSMYVTPDVPAMAKALNTLPTAVYWSFRGIVSCAAQITSITSKGHEYGKSSLELQSWELSTLILKINHLQEFLKKQLEDCHRVVGERREMDFRNSFNQLFDTIHIDNMKILKILISPRDDNLPIFDGVTKKRVSLEVLRKKNVLLLISGLDMPFHELSILDQIYHESRMHETRMDALYEVVWMPIVDPSIEYTEAMNKQFEEMKNDMPWFSVYHPSIIDRAVKRSIGDRWHFRSKPILVVLDPQGRELSPNAIHMMWIWGSNAFPFTSAREEALWREETWRLELLVSAMDPTILNWIRDDKYIFLYGGEDMEWIRKFTHTARAMAIAAQIPLEMVYFGKSKKKETFRRETVIINEEKLSYCLEDTILIWFFWTRIESMLFSKIQLKKADDQDPIILQIKKLLSYDKDGSWALLCRGSRILTNGHGSTMLQTSTDFDLWKEHVPARGFDLSFTDYHDKLHVAANNCCRFEFPIATGRIPEGMRCPECHRLMEKYIAFLCCHDQTGLLEPY >cds-PLY84795.1 pep primary_assembly:Lsat_Salinas_v7:8:24291779:24292228:1 gene:gene-LSAT_8X20621 transcript:rna-gnl|WGS:NBSK|LSAT_8X20621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVQEGRGSAPTQKRWRLCVVPSSDEETESDDTCLRPYKARRTVSVVRLLSGIEGILNGQFSVPGQKEVVVVPSYPKVSPSPSAGSPLLNPGFDSIFGGASSSPGGSFGRKKPFLVDETGTSSHSPTFEAYAQGWAITRDSLLSEDATA >cds-PLY76698.1 pep primary_assembly:Lsat_Salinas_v7:3:140579533:140594026:1 gene:gene-LSAT_3X94181 transcript:rna-gnl|WGS:NBSK|LSAT_3X94181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFITDFIKRKLESVLQPWLLQEPELELKLGFLRSHGIAKSLRFNTSALNELLDDSTGFYFTDFRIDQLTLRITNWSAPAFNWEVQGFHITISPRIVEGRGSSREPSEVLLEDKKKALREIDPEGSELFDIMEKLANISPSRSQKTSLPKLILNYCSLQMTDINLQLQHAISDDSIACLLEIEELNAGSRLVKPQSFLRGYVNSLIVNPKESYFDLEIRGLKIRLKSHDHLSPVFSATDIICSSKLSDLQLSELNCSIEELLFSFSPADVSILSIIVRESSRKSPSIRNGRQLWKETATRIRSLISTRRYSMQKLVGLVILWLRYIHAWENLFLLIGYYPMDIMIKRSAVKMSKNQMFSKTFRHQWEVISEIEKEIPPPAIALARRVIRCRKVNNGVSTKEEVQVNRYLEYFQKIFQLLCLIWTTLCSMFNTKKVGVIPIDSFPNLCYRLNLGKISITISPDNNTKHKKAVTDRRVSLSHLDLLSFCLIFDSFILLYNENICESHLTFSCGGVKVINDYEYSSKGHKKPKVLDSETILWSKPALVFNQDRISASLPLLETILNQTWLDWKTSCSEFGEITNETLKDPFILFEMKHFLTDQGESSLSHRFTKCCLAMGQLDFFLGYSSALSLTLLLRQIQNAFSLEKAQTSTPTYDTPLVRVWDCDSSIAEMEKELHKVIPEKLIEVGVYVVGPRIRVSLRKDSLHKAVDDIHLSFDCKNIELLVSPSLLNDSATECMHMMELQVVNMANSNNGSYQCQGQIRLDASLQIHGINAYLDDSSEVHHSKIITLKPITVQLSTLRKDNWSLGKSVSAFSAVLNGNASGLSGLIFVDEMSALVEVVNSLIFALSNTLITSNTSTSRNSNYFDTQEMLDVSSGNEMLVSSITGMPLIILNTLYILKCTSEIQSVDIIIHKSRKVNAIENQVTISESFMSQNLSANFLPDNGIQITLQKMHMMFSYKKNQGKIQGLVDFLGLRAVIFRYANDDVMNRSDLHNRLEDISESSVSNCKLNLSLTNLPNESSLSHRAIGSSTSISNTCFKAEISSTELYVVGCPLKDVIIGKHESSKLEISLSVEGGFQKSISCHCQGGIIFLETTSAVMFSQCGDSYIRRVKHLFPVSHIANITTLEASPIQETQTAGIPEDLTMHLSQFYLALIGRDESGRLEELLFSADMGLDLKVVNMKKKLSFRLSQLSILSRVLQDSIKHQNSRVQIPLRSSSTDPSVIQAALVPTDDIHSVANDASSSTSDSRIELSSEDSHQDSENYILRQLTCFIAAEEPVSRDSPDTSKSTQPWVGSGSISGFDVTISLSEIQMLLSVAEISGVSTKETTATVQQRQLHNEEEPMRNLEEMIQDGSIIAIQDVHQHMYIVAEGEDRNYRLSGSMHYSLAPQMALFKVKYHYQKIWKSSYQWFSLTSLYAKDESGEHLQLNCNPRSNFVELSSSSNSGTSLWRSMPCKSASFEDDSELESYNNAEKNLFYLINKKNNCSIAFVEGVLEFVSIPGNPFKFKVFQDFPLANDPLLLDEGNSVSPFIDIKIDKISVTIYHELSDTIERVPLLQMSMVVPEFIIQKSHAKTRVITKLVTELYSFDAKRNLWNTFLHPVEINIFWRSRVQTHGVYGVPVHFYARVKEFRVSIIELSLDILLFVIGKLDLAGPYAIQSSVILGNSCKVENQSDLVLLCQFSDKQYARIARKQSTTVFLRNLALDQHPESEASSVSIQLAERGDFLTFPIKFSLLKSGTFAWRTRIVSKNDSKAFPGPFIIVDISWKSEDGLSIVVSPILKIHNQTNFPIELRFQRPQQEETHHASLVVKAGDTIDDSTAAFDAIKASGGSKKALRSMSVGNFIFSFRPKDSPSFNNMEWSDELKGGKAARLSGLFDKISYHVRNAFPLESEKSSFSTARVPSKSKTGEIDDLHFLIQTIKKDVPILQPDGSKASAVALVEQKEIFILPTVEISNLLQLEIHVVLTDKDRYLPQESENMSKQATIPCGSSVTLYANPEAMFFNVTLTAFGLTCKSVNCGDWAKKLLKKKKGNQNLDMELNFGDGRYFGLLSLSCGHRGILEAAIYTPYTLKNNTDFGLFCLAPNQNPLSRNEVEELSSQGYSKLGAFLPPKSTKSWFLRTNKVSLKLMDDKANEALLDLDAVSGVTEINLEMEEKPGLIYITKLGVSLHSSINTQTPSQVVSLTPRYVLLNESDEVITIRQCNLEDDVECMTTVSSKQRKALRLCNKTNKKRETSIFENFIRKHRNGEDDSLLFIQFSPNDSGLGWSGPICVASMGRFFLKFPRSIKEKDKENEENTQEFAVVIVSEENSSLVLRFHRPPHMNLPYRVENCLRDASITYYQKGSTELETLGSGKQVNYVWDDLSLPHKLVIQISDLHLLREISLDKVREWKPLYKVSQRRALGLNFPLDKKKTGEKGKMSKLSHVNEMDMVNLGYEVYAHGLTRVLRICERKDSRKLNRQFYPGAKITLRVSRFSIHFSERAKQEEESDESLVYTPIIVMRLNNISLDSMLTDQQRLNQLRVQSVSVDQKWVGAPFAAMLRRHQTGFSDTYDNMLRVVLILLPSTSNIRQIKYLSIVLQPFDLNLDEETLMKIVPFYRTSLSDPNTPSQQYYFDHFEIHPVKIIASFLPGDSYSSYNSTQETMRSLLHSVIKVPEIKNKTVELNGVLVTHALITIRELSVKCAQHYSWYAMRAIYIAKGSPLLPPAFASIFDDLASSSLDVFFDPSTALIKLPGLTLGTFKLLSKYIDGKGLSGTKRYLGDLGKTVKTAGSNILFVAVTEISDSVLRGAETSGFDGMFGGFQQGVLKLAMEPSVLGSAFTEGGPDRKIKLDRNPGIDELYIEGYLQAMLDTLYKHEYLRVRVIDEQVVLKNLPPNSVLIDEIMDHVKGFLISKALLKGDSSFSHPLHHLRGQNEWRIGPTILTLCEHLFVNFAIGWLREQAGDLTAKINWGDRFKGDPPKEIVKEEESSKMSVLKWGVGRFVFAGMVAYIDGRLCRCIPNPVARRIVSGFVLSFLDKTRDK >cds-PLY69004.1 pep primary_assembly:Lsat_Salinas_v7:9:139343069:139345996:1 gene:gene-LSAT_9X89121 transcript:rna-gnl|WGS:NBSK|LSAT_9X89121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDTETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDGQPELFIHIIPDKASNTLTIIDSGVGMTKADLVNNLGTIARSGTKEFMEAITAGADVSMIGQFGVGFYSAYLVADKVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEVLGRGTKMTLYLKEDQLEYLEERRLKDLIKKHSEFISYPISLWVEKTTEKEISDDEDEEEKKDEEGKVEEVDEEKEKEEKKKKTIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLNVKHFSVEGQLEFKAILFVPKRAPFDLFDTKKKPNNIKLYVRRVFIMDNCEELIPEYLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFQEIAENKEDYAKFYEAFSKNLKLGIHEDSTNKTKLAELLRYHSTKSGDEMTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKKGIEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKQKQDALKEKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENSIMEELRKRAEADKNDKSVKDLVLLLFETSLLTSGFSLDEPNTFGNRIHRMLKLGLSIDEDTVDGDADIPALEEADVDAESKMEEVD >cds-PLY81947.1 pep primary_assembly:Lsat_Salinas_v7:4:284905476:284907530:-1 gene:gene-LSAT_4X145280 transcript:rna-gnl|WGS:NBSK|LSAT_4X145280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSREEVIKELEKKGMELNFDYETGGLSILQLLEQIDDIERLGLDYRFQNDIRRALNIIASIKENNTEHEEKEGSLHEASLRFRLLRKHGYNVSQDFLLRFKDGHGGFMRSLETDVRGLLSLYEASYLSFEWERDLHEAKLFATKHLMKLKCQENEAREDINHALELPSYRRMLRLQARWYIGAYSKRKDANMHVLELATFDYNMVQLEFKKELQEVSRWWKNIGLASKLSFARDRLVECFFWSVGVIFEPQYNFCRVELTKVYTLITVIDDIYDVYGSLDELVMFTDAVKRWDINAVKHMPEYLQLSFRTLYNTIIEIGSKTSIAQGEDIIPVLVRVWGELLEAFLLEAKWTHNKYIPTLQDYMDNAWRSVAGVVILTHGYFLINQEIKKDVVENLEKYDDLLKWSSVIYRLCNDLATSTDEIARGKTANAISCYMHENSVCEEVAREYIKFLIDKAWGEMIKARVAYFQESTDPFIDMAINLARISHCVYQYGDEHGAPDARAKERVLSVIVDPIPIKDN >cds-PLY72658.1 pep primary_assembly:Lsat_Salinas_v7:3:183295562:183298299:1 gene:gene-LSAT_3X110060 transcript:rna-gnl|WGS:NBSK|LSAT_3X110060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDTKLSGDNDNIEREAPQLVTVLKDIKGGLDDLRAKVHVLTSKVKSGVYPTADGMSYLEAKHLLLLNYCQSLVYYLLRKAKGLPIQGHPLVHSLLETRLFLEKIRPIDKKLNYQIKKLTNLTNGNPIPMEDVDPKKPVASDDSLKLRPNPDMLIDKVAPEDDDGLYRPPKIAPTSMKKSKEERNVSRKEKQALRQSQQNEYARDLINDLEGRPQEVREVIGTEDDSEVRRYKAKMEERARREEELFTRAPLTSMEKKKLKQMRNSRNGLAGLADGFYDDIKSLGLPLEEGDEGQTSLFMDSDAGERKLKKRHKRRH >cds-PLY93632.1 pep primary_assembly:Lsat_Salinas_v7:MU039104.1:116802:117278:1 gene:gene-LSAT_0X15981 transcript:rna-gnl|WGS:NBSK|LSAT_0X15981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLAKAWLQISEDSINRSQQRDKEFWRRITAYYEKSNTSNVARTQANLKTHWHYMNPFAVAFNQMYIVLKSQHLSGWSEDDLKRATFEHYHARYGADFRALALHQGQRYGLGFYGALLLLPPLGTSHRSP >cds-PLY70116.1 pep primary_assembly:Lsat_Salinas_v7:3:11780838:11783295:1 gene:gene-LSAT_3X8761 transcript:rna-gnl|WGS:NBSK|LSAT_3X8761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPEVPLCYVGVAKKSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVTNKQDNLGVGLDKPNEWAFDTTQFDNILKKLKVQATQTKHDEEDDDEETDLPKDNSEKTVKATRPQGRYKRRERGKLVNAYSAQDLEGILVKKTEVSPEPESVCYEDGELELVEASDNPEAQAEYEKEISPDWWGNKFGFVSGGLLGAQSKRKKSNSTSEITQNSNKRTAFYEEDQENLYKLVQDKATSGKQGLGIKDRPRKIAGVRFQGTKTSFSDSEREESESEDDHSLKKQKIDDVSESKVKLKKLCRKLLSQVPEKSLKLKKLKALIDENSSIFSNFSSKKDSLEFLRQKLERSEKFIVEGKRVSLSSK >cds-PLY61928.1 pep primary_assembly:Lsat_Salinas_v7:9:186297010:186297511:-1 gene:gene-LSAT_9X114481 transcript:rna-gnl|WGS:NBSK|LSAT_9X114481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILTLEDKDPRRIFEGEALMRRMNRGHYLGLFSRSALCNVLYLGSPHLQATSAHLFPPETSDSPLPHRRLERLLKFPSPRAD >cds-PLY63012.1 pep primary_assembly:Lsat_Salinas_v7:8:184627955:184628437:1 gene:gene-LSAT_8X120760 transcript:rna-gnl|WGS:NBSK|LSAT_8X120760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSMLQRERSLPVSHNPSSNDPSSVVAGMRRRLSSMSLRIQPSSISDTTSAATAWAMRRSKSVSSMGESASTSVRNWWDWGWGWILSRKPVFAQDLEFNQEETSVLRSHDKGSWRHVFFKVRSEIRRIVRSDNVGLPQTVRYNSYSYARNFDDGSNKFRG >cds-PLY80135.1 pep primary_assembly:Lsat_Salinas_v7:3:107206098:107208013:1 gene:gene-LSAT_3X78540 transcript:rna-gnl|WGS:NBSK|LSAT_3X78540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKGKEDEKINTYSCACAIVASMISIIFGYETGVMSGALIFIEEDLKINDVQIQVLAGIINFCALVGALSAGRTADYIGRRYTICSAAIIFLLGSLLMAFAPTYAVLLTGRCVAGIGVGFALVIAPVYSAEISAASSRGILASLPELGISIGILSGYISNYLLASLPLNIGWRVMFAIATLPSLGLAIGILGMPESPRWLVMQGRLGDAKRVLLKVSNTKEEAQSRLQDIKLAAGINPDCTDDFVRLKHNTRGQGVWKELLLRPTPPVRWMLLAAIGIHFFEHATGIEAVVLYSPRIFKKAGVTTKKKLLLATIGVGVTKLIFIFSSTFFIDRSGRRKLLQISVGGMIVALLGLGFALTIVHQSHDQKVVWALWLSIATCYLYVMFFSIGLAPVTWVYSSEIFPLKLRAQGASIGVAVNRATNATVSMTFLSLVNAITIGGAFFLFAGMSVLAWIFFFFFLPETKGRSLEEMEEVFTRRKKSRNVDTELQSQNSVVRVP >cds-PLY78181.1 pep primary_assembly:Lsat_Salinas_v7:8:250926740:250929158:1 gene:gene-LSAT_8X148461 transcript:rna-gnl|WGS:NBSK|LSAT_8X148461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKKWYVSVLVLMVVCGCVRAAEDVQSLGEQCAPKLTAVMTCVAFATGKEATPQQKCCDSIKEMKESNPACLCFLIQQIHNGTNPTLQKLNIQESRLLQLSSACKIANASIADCPRLLKLPSNSPDAALFTNNATILPPTSGGMPSSTSTNSPVRSNALKCHAPVFAKSILSFAAFLLAVSSTSIFGA >cds-PLY97277.1 pep primary_assembly:Lsat_Salinas_v7:1:44523246:44525988:-1 gene:gene-LSAT_1X38961 transcript:rna-gnl|WGS:NBSK|LSAT_1X38961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSENQQIVISFIFYLRIKKKSVEFPKAFEIGLLTWKALCVEIEDRPGLLLEIVQIMADVNITMESAKIDTKVLTNYLHYCLRSQKLMKTVTREALPVQHIFHACHVKSIDINDRSLARM >cds-PLY95584.1 pep primary_assembly:Lsat_Salinas_v7:6:138690795:138693234:-1 gene:gene-LSAT_6X83741 transcript:rna-gnl|WGS:NBSK|LSAT_6X83741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSFRFIPLLILTHTMFFNFKPVGVVHVPQDLYTRPGNSSDLYTRSRNSSISPPPPPPPPPPPSPFLPPPPPPLPPSPVPALFVIGDSSVDCGTNNFLGTFARADRLPYGRDFDTHQPTGRFSNGRIPVDYLALHLGLPFVPSFLGQSGSVNDMKRGLNYASAGAGIIFSSGSELGQHISFTQQIQQVMDTFQRFILTMGEAAASSLITNSIFYISIGTNDYIHYYLPNVSDVQSKYVSWKFTQFLAQSMKQEIKNLYNAKVRRVVVMGLAPIGCAPYYLWQYNSTNGECVKEINNMIMEFNFVLRYTVGELRKELNNSNIIFCDAYLGSMDIMKNFDQYGFSVMNSACCGLGRYNGWIMCISPGMACENASDHLWWDQFHPTSAVNEILAENVWSGLHTKMCYPMNMMDMVAQRVFTH >cds-PLY76713.1 pep primary_assembly:Lsat_Salinas_v7:3:140170321:140170962:-1 gene:gene-LSAT_3X92260 transcript:rna-gnl|WGS:NBSK|LSAT_3X92260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTNLQGYHLLWPDLPTFSQLQFTAPLTKPNRKSRGLKAYLIIRERLGTLVLEFRPVSHRRQALDNFFIYKESPFSRREEGKLSSRILLVFPSSNQKRKRMKPGEKEMNTLLRNEASSLFFFKAPIRSRSPLLTASRLISLPLATQMFQFAKFEKSKERRLATELGYGFPIGDPWITDGISPWPFASESVLHSQFPGIHPIHSFRSCTHGAVH >cds-PLY70992.1 pep primary_assembly:Lsat_Salinas_v7:9:72105268:72105667:1 gene:gene-LSAT_9X58961 transcript:rna-gnl|WGS:NBSK|LSAT_9X58961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGISRAAVWPATVTTLTSMLSCQKLIDGGIINKNGIPLIQHMIKLSETMPLIKPTNLWWMRFEDLPTSEAFFEVVKEAVEASRLTEWHLCRSTTELEPGALC >cds-PLY70253.1 pep primary_assembly:Lsat_Salinas_v7:4:205210803:205212967:-1 gene:gene-LSAT_4X116341 transcript:rna-gnl|WGS:NBSK|LSAT_4X116341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKKFIDKKKSATFQLIARDSSDPNYTEGPSGDRVFVRVDGNSEQPFFDEHDGELSDGDDPNGIFDDAPEDYSGEESGGRGRQTTAGRLGRGSSLPDHLRREILELGFPDDGYNYLSHLRDIKDTGGGSAYYQNPKAVLDQLPRDVKAYDASRIEVSKANDENSYKNSIYGVAVNTVPVRIQKAIDPEVAALLDDSDVSRFGSDVEDLEEDFVFNANLPDESEDVEHDNKFTFIGESSLNSKKEEHHTHSQDKIVESSGINEVKNHNLETVVSSKPRERRLLDEQFDLLELQEYGTESEDEFENATSEDDEFYDSLESKLNNVTLNPKTNTLENDENYKVLVHEKDMEEEPVSLETAADLRPRCIEYAENFENENDKEDVVYEESSSDESEKWDCETIITTYSNLDNHPGKIQDPGVKRKRLTEKVVNAFGNPTHVISLKGKERIPVDFLPNGRNGIGDGGKEKSKVKNLVKPKKVIGQESKEEKKERKAALKEERREARRAKKDMKELYKGESQQAQKVAAFTPPSSIHLM >cds-PLY91224.1 pep primary_assembly:Lsat_Salinas_v7:3:77324042:77333593:-1 gene:gene-LSAT_3X59981 transcript:rna-gnl|WGS:NBSK|LSAT_3X59981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQLQSIPSSSDDDSGSMNTARQSAGQSHISGESGNSMVMGPGFEGSRHWHDVFWLGIFLLHLVLVGLALGVLGLNRFRKKDRLKLDQYTYKFLGNEADLTEDYWPLYAIAAGVGTLLGWAWLLLLGSRANQMMRISVHILTTYLAVLSVLCFWGKMVFWGVAFAIGSGLQFLYIISVIDRLPFTMLVLQRAVKMVWRLPNVMRIACAFMVIMCLWLSLWSFGAAGVVASSIGDGGRWWLLVVLSVSLFWTGAVLCNTVHVIASGMVFLVLIHGGQEAASMPNNPLMQSLRYAVTTSFGSICYGSLFTAAIRTLRWEIRGFRSKIGNNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVYGKSFNHSARDAWELFQSTGVEALIAYDCSGAVLLMGTLLGGLMTGTCAAVLTRIKHSDRVIMMGSTAGLLGMILVSKGFVSEFVFYKWAVLCNTVHVIAVHVIASGMVFLVLIHGGQEAASMPNNPLMQSLRYAMLWITFHCCYPNTSVAGKYLHLNFYLLFFIYVVEWNGMIKGMEWKWNESELLHSMIMFGWSVGLE >cds-PLY86927.1 pep primary_assembly:Lsat_Salinas_v7:5:257097985:257099914:1 gene:gene-LSAT_5X132400 transcript:rna-gnl|WGS:NBSK|LSAT_5X132400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:partner of SLD five 1 [Source:Projected from Arabidopsis thaliana (AT1G80190) TAIR;Acc:AT1G80190] MYGRKAYQLVKEFTNSESGQLTGFNDDLFAQVIEDCKEHVLKLQSLLRKMQEEGSDNQTTKNADHFGALMHHLALVRNKRCLMAYVYNRAEVVQNLGWTIDLVLPLEIEEKLSISEKEYFKSHSKTLKSYMSDLDIDLAVDMVPPKDPYIKVRVLEEIGNVVLSDQSANLTRYAILFLRRTDAEQYISQGKMEELTG >cds-PLY82370.1 pep primary_assembly:Lsat_Salinas_v7:3:116298135:116298820:1 gene:gene-LSAT_3X82081 transcript:rna-gnl|WGS:NBSK|LSAT_3X82081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSNLSWKKKDLFLTYSQFLQMIFDALHPELERTPDTLDVKSLGPNTFGLMKLVQKASKVVFKGTEALESVNEVVISPAEREKEINIFKQPNDPTPEQMEALIEQLQSTARNPPQAVHVSIESTSRSDKDDSNASLMPRKLRRTDPRPRVLITKPVHQPTSNVELAEANQDHQSPIVEPAQMIKMIKAECLMKNSTS >cds-PLY88221.1 pep primary_assembly:Lsat_Salinas_v7:8:149796176:149799244:-1 gene:gene-LSAT_8X100801 transcript:rna-gnl|WGS:NBSK|LSAT_8X100801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TMS1 [Source:Projected from Arabidopsis thaliana (AT3G08970) UniProtKB/TrEMBL;Acc:A0A178VJB6] MKNTWTISMIFFTCSLLLYASVESKSLDPYKVLGVEKDASSRQIQKAFHKLSLQYHPDKNKNKGAQEKFEEINNAYEILSDEEKRKNYDMYGDSRGNPGFDGGAHGGHTYFRSGGGPGHGGGFTFSPDEWQTMGGQSGGSKSYSFSFGGSGGDSPFGFDVGDVFSNFFGGGMGGGMGGSGSQFGGFGGGARSSPKNMVSINSQLYKKEVIEKGVTWLLLSYTPSMKGTQHFESIIEEIGNSLKGALEVGSVNCEVESSFCKELGIRPKNKPRVYIYSYKSIEIGSLIEYTGDGTLKDLKSFVQDHFPKFSKRLTLTEFETKFNTIESLPKLILLSTKKDTPVIWRALSGMFRKRFIFYDTQVKDVSDPLVENLGVDKLPAVIGLLSNGEMKVLKSGIHVKDMKSTIKELGLLFDGFEKKNKKLGGSNSDNEGIPLLRATNFDLICGEKTPVCVIGVFKSAKGREKLHKILSSVSQKSLLRRQNPASGPRDSVSYALLDASNQSSFLYAFDKSGFKSLDMLLVAYKSRKSKFSAFTGDVTFEEVERFVGSVLNGDVQFSKTRQKPTLK >cds-PLY61749.1 pep primary_assembly:Lsat_Salinas_v7:5:215762301:215764390:-1 gene:gene-LSAT_5X99361 transcript:rna-gnl|WGS:NBSK|LSAT_5X99361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRRIGVDVHGMMKKNYVSSWGLQIKAPTKVNVTKVGGINWSMLFPTVKTIPMKPMMMLGKEKKYPKLVPDLGLGSVVNDDRIFGQKFCIRSYEVGPDGTASETNTNHLKAFGFLADDFGSVDMSEKNLTWVTIKMQMILDRYPTWGDIVQINTWKSAYGNNGVSSNFTFCDCKTEEILGRASSISVMMNKKTRKLSKFPNEIRAKQQKYYVDTPAIMEQDITTWSKGNEIIKDHICKGLMPRWSDLDINQHVNHAKYIRWILESVPRTISENYEIESMTLEYYRECTADTVLQSVTSILANHKGKIVMDDIHCQHLLEFEIGGEILKGRTRWRPKRKNGDAGVLIK >cds-PLY85030.1 pep primary_assembly:Lsat_Salinas_v7:4:222208491:222210159:-1 gene:gene-LSAT_4X120440 transcript:rna-gnl|WGS:NBSK|LSAT_4X120440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPANIEDLTGISNLFLCCHPQTLYAKFFRKYVFGMGYMPNMTMHKGPDKMKKLSASERRS >cds-PLY85421.1 pep primary_assembly:Lsat_Salinas_v7:4:291310998:291312486:1 gene:gene-LSAT_4X148061 transcript:rna-gnl|WGS:NBSK|LSAT_4X148061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLRLHFHDCFVKGCDASILLDDGGSRNIISEKGSGPNRNSVRGFEIIDEIKAALETVCPQTVSCADILALAARDSTVLSGGPSWEVPAGRRDSLGASLRGSNHNIPAPNNTFQTILTKFKLKGLDIIDLVALSGSHTIGNARCGSFKQRLYSNKEKNDRLFVAQLRANCPQSGGDENLFFLDNVTPTKFDNGYYKNLMESKGMLSSDQILFTENEETMKLVKEYAADEDIFFMQFAKSMIKMGNISPLTGFRGEIRKSCRNVNG >cds-PLY65387.1 pep primary_assembly:Lsat_Salinas_v7:1:60773578:60776922:1 gene:gene-LSAT_1X51600 transcript:rna-gnl|WGS:NBSK|LSAT_1X51600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKAIRESNDQKLKTKYNKAVNVIQRALALYPIEEVAFSFNGGKDSTVLLHLLRAGFFLHQVDNGHSNGDLSTHASTFPIRTIYFESPSAFPEINSFTYETASSYGVQLDIIRQDFKSGLEALLKSKPTKAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVPYCSLYDQGYTSIGSVNDTTPNALLCIKDSGKEKFKPAYMLSDGRLERAGRAKKLPQKVKDGVKGVESHQENTPSASIIAVGDEILSGRVEDELRHLLCRKIHSIGWNVSHIAVVSSDVDSVAQEVENQKSTSDMVFLYGGVGPLPSDVSIAGVAKALSVCLAPNEEFEEHLRNLYGEKWSGDSNEMAKLPEGITELLLHEKLPVPLIKCGNVIVFSATNVTEFDQQWDALTNSRLLATMGSFVSKHFTTSLSDIEVAQSLSKLCFEFPNVNIGCYRKSRTGALTITLEGKDEKMVEAAMEAVSTRLSSQ >cds-PLY78679.1 pep primary_assembly:Lsat_Salinas_v7:9:49811718:49812251:-1 gene:gene-LSAT_9X45420 transcript:rna-gnl|WGS:NBSK|LSAT_9X45420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQNPFLNVTTSPPSPPFTTPTSQMTSSLTISTILITSIPPLPPTISVGKSLPHISVPLSSPIITYSTPLRTSMVCTPPEVPSFKSGSEEIRTSSIPDNTSDVGPNANIGVTSEQPSSLVPPFDDDNEILFGDDQQSIVEFVFHSLSVNLSNDDDEAPMTQSQFKLLNEKLDSILESS >cds-PLY67861.1 pep primary_assembly:Lsat_Salinas_v7:9:144552882:144553413:-1 gene:gene-LSAT_9X92620 transcript:rna-gnl|WGS:NBSK|LSAT_9X92620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVSRSIAQQPFVKSIFRNLAGRRPQIERVTQILGRRPKNNPCLIGESGVGKIAIAEGHAQRIANGDVPETNEGKKVGSRLPVYMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFINGVHTLIGAGAVEGAIDAAKILNMQASIGP >cds-PLY89253.1 pep primary_assembly:Lsat_Salinas_v7:5:310943611:310946025:-1 gene:gene-LSAT_5X169660 transcript:rna-gnl|WGS:NBSK|LSAT_5X169660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSSNSFLLATTPHTSLASLKNPRRLIVCAKKSDVSSAGEGETENRFRFNFDFGKVSDMKSLIPVVSNPSSSGSLFGRRKDPNTVFVAGATGQAGISIAQTLLREGFSVRAGVPELSAAQDLARLAVNYKVISLEESKRLNAVESTFQDPEAIAKAIGNASKAVVTIGPFENGPTTEVTTSEALEFIQAAQLAGVSHVAIIYDTAPESTSTNNVLDGISSFFNNLFTKSQPLTLKEFLDGLIATDVKYTLIKTKLTDDYSLESSYNVVVNAEGITAENDYKVSKSRIASLIADVFSNTEVAENKVVEVATSPNAAAKSVSELFSAIPEDGRRKAYAEAKAKAEEVEEKEVKKLEAKEEAATATPSLAEDVQEKATTAARKSFTELMDRFKSVTQEPEKEEKEEETSEDQNGAPGLAMSWDKLSSGFASAVKKNNIQLPKVQIATVRGQAKARALAPKQAVVKKAAARKEPRVQPKEPKAEKRKIFGGVFQQETIYVDD >cds-PLY94242.1 pep primary_assembly:Lsat_Salinas_v7:8:69159544:69159969:1 gene:gene-LSAT_8X49461 transcript:rna-gnl|WGS:NBSK|LSAT_8X49461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTIAHGLEMGSLADGYDDPFAGIYEPFSGLNEMDFELHEIYMDHEPRQEFVLRLDICKDDFLNILLCDANIRNASMTDEVKDLVDHGNDFQNDEDVEEVVTNSYIIHDPNARCYKMEPKLGDMFEPPAQLKFYVTNYAVN >cds-PLY84977.1 pep primary_assembly:Lsat_Salinas_v7:8:62893708:62894973:-1 gene:gene-LSAT_8X45920 transcript:rna-gnl|WGS:NBSK|LSAT_8X45920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIKIPPTSQPKEPFRHKNSSGELDVFEAARYFSGAIENINGTCKNVIGEARVIGNNTQKFCIRPMGRMSLDMPKNVENSIPLQAMLMDNSMMVNNEKKSKHPSSPGGKLAHFLNSLFNQTSSKKSKSKSNTKSTKDEDESPGGWRRKRRSSISHFTSGNSNSSIITTTTITSDSKSLLSTSRSSGFRTPPPYHMVHTPTKTTRSYSDLKHPPSQITKMPIHATLNKIENFSIKSDLSEKKMSFGTRFVENVKTFEEKQEEHNAHKYVSREDIKEFKRFSDEDGGDSDSSSDLFELTNCDLGYYPSGLPVYESTRIDTIKRGVPISS >cds-PLY93133.1 pep primary_assembly:Lsat_Salinas_v7:3:55508593:55509493:1 gene:gene-LSAT_3X43320 transcript:rna-gnl|WGS:NBSK|LSAT_3X43320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALAMTTKILMSIVATAMLIELALAVDHSVGASSGGWDTSTDLGSWASLETFTVGDNLVFSYTPNHNVLEVSKDDYDSCSTSSPISSNSLAPTTIALSDEGSRYFICGTPGHCDQGMKVEIKTVAAASPAPPTATTPSSPAPPTATTPASPTPPTATTPASPTPPESTTPSPPSPPASTTPGTPVPANPSPPPSSAETVKMTVVSMMGLGLLMMI >cds-PLY97249.1 pep primary_assembly:Lsat_Salinas_v7:1:43439033:43441520:1 gene:gene-LSAT_1X38401 transcript:rna-gnl|WGS:NBSK|LSAT_1X38401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQFVNNLGSIRLRFREILNATFTLVFIALFYTQFNISKKVPFRDAQWSSRGRSTIELNANSSALTNTSPGICTGLVDHQGYPSSCEFLKANPDCSSDGLFDYLSFYYCDCNEGAFGAILLVLWLIALFYLLGNTAADYFCFSLQKLSSLLNLSPTVAGVTLLPLGNGAPDVFASIAAFVGRDNGEVGLNSVLGGAVFVTSIVVGIVSLCVADQQVQIDKKAFLRDIGFFLFTLLFLFLILIIGKVTVFAAIAFVSIYIIYAVFIATNEILKKHVQRLKLDSVTPLLPLSIFSRSNQDDDIQSSLLDAETDFNGPQLPNSLPEWMWASNVAIYSNQERHLWGWHDDAIEVDQPWFSLTNIWSLLNFPLTFPRRLTIPLLEEETWSKPYAVASASLSPILLSFIYNTQESLTSRSKNVVYILGVIVGFTLGMLSYLYTRPDHPPRRYQFPWVLGGFLMSIVWFYLIANELVALLVGFGVFLQVNPSILGLTVLAWGNSMGDLVSNVALALDGGDGIQIALSGCYAGPMFNTLAGLGVSLLIGAWSAKPVSYSVPQDNSLYYTMGFLILGLVWAVFVLLRNDMRPNRMLGTGLVGIYLVFLFVRLTGAMGIVSLAGLSKL >cds-PLY93213.1 pep primary_assembly:Lsat_Salinas_v7:6:158739039:158741202:1 gene:gene-LSAT_6X96501 transcript:rna-gnl|WGS:NBSK|LSAT_6X96501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILMGWKRIVIVLSFSLMVMESSSRMLPLSSSNIGAETSFLYKWTIFSASFCVLIALILSMCLMFEHLSAYNQPEEQKFLIGLILMVPVYALVSLLSLLDSDAAFNYEVVRDCYEAFALYCFERYLIACLGGEDNTIKFMESKSLITSSIPLIEESYTYGIVEHPFPLNYMLREWYLGPDFYQAVKIGIVQYMILKPICSLLAIFFQFLGVYGEGKFEWGYAYPYLALVLNFSQSWALYCLIQFYSVIKDKLAPIKPLAKFLTFKSIVFLTWWQGVAVAFLFSMGALQGSLAQELKTRIQDYIICIEMGVAAVVHIYVFPAAPYKRGERCVRNVAVMEDYASLGSEPAPDEVRDCERTTKLRFGPHGEIEKRMKLHQSVRDVVVGSGEIMVDDMKFTVSHVVEPVERGIAKINKTFHEISKNVKRHEKRIKSSKDDSHVIPLNSWSKEFSEGDDDGVGGSFSDSSVFEGRRPRQQSKVSSRSNMFSKPF >cds-PLY86669.1 pep primary_assembly:Lsat_Salinas_v7:4:318611152:318612191:-1 gene:gene-LSAT_4X158461 transcript:rna-gnl|WGS:NBSK|LSAT_4X158461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDIDDLLVGGGAAPPGFRLPITAAVGINPIKKKEKNKLEPSSLLQNSSTHQVPGTQPEISEATVIQNMTRVPGKRGMNEIPHKIIEVSQDELMKNRPREDQVKSTGIAFGASYQSPIMDYSQSASSGKGKPTKLHKRKHQIGSLYFDMRSKEMELAERRSKGFLTKAETQAKYRW >cds-PLY78193.1 pep primary_assembly:Lsat_Salinas_v7:8:304436885:304440070:1 gene:gene-LSAT_8X165180 transcript:rna-gnl|WGS:NBSK|LSAT_8X165180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPRPPSQVNYNYGLDYGQTDPQGYGGKGYGEPMYDHNQGPMGQHSYSSYGGQGQATQPPPSTYPQNQVYGQQQQQLDHYVLFGADDGVVAEAVGVANKFIGRRMDE >cds-PLY87142.1 pep primary_assembly:Lsat_Salinas_v7:5:266834911:266835484:-1 gene:gene-LSAT_5X138500 transcript:rna-gnl|WGS:NBSK|LSAT_5X138500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYSERIVAFDLCSETFSEIPLPESILHDGHHRGNVLGVLSEKLCVMSFSRFDTFEVWVMDEYVLAESWVKSHVFSQFIGDIYPYGFTSHSELLIDFNACLDFYDPFINEQKILEDHCLERDYVEKIMEYVDSLVWVAPAEHEMVNGGGQN >cds-PLY89036.1 pep primary_assembly:Lsat_Salinas_v7:9:28178651:28180259:-1 gene:gene-LSAT_9X24621 transcript:rna-gnl|WGS:NBSK|LSAT_9X24621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTASYWCYRCTRFVRVAEEDTVVCPHCEGGFIEVVEPNAPPRRRLPAAAMYMLRNSDRSDLRLRRRGRRNNGDWSPFNPVVVLRSVADVSATGVDENSGGGERGFELYYDDGAGAGLQPLPAMMSEFLMGSGFDRLLEQLSQIDINGLSRSEQPPASKAAVESMPTIEVSSLHVSTESHCAVCIEAFALGAEAREMPCKHIYHPDCIIPWLSMRNSCPVCRHELPTDTTESIERSEPIEEESAAVGLTIWRLPGGGFAVGRFTGSRRTGSGDRELPVVYTEADGGFSDNSGSPRRIMWESRRYRARGQGGMSSVFRNMFSFFGRLRSSSNSSDSNGASMNRSRSLSSSVFGRMTRRRSRTWILEEQNGMSRL >cds-PLY77956.1 pep primary_assembly:Lsat_Salinas_v7:1:25786294:25787859:-1 gene:gene-LSAT_1X21801 transcript:rna-gnl|WGS:NBSK|LSAT_1X21801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTVKIISDCFVKPKFVSEEAKKPIYLSPWDLVMVNVNYIQKGLLFHRPENQDFSITTFLEKLKDSLSATLTHFHPLAARLATVKQQNPPSIVVFLNPENSPGARFIHSVVNLTVAEILTPVDVPVIVQSFFDHHKAIDHDGHEMSLLSIQVTELTDGIFIGCSINHMVVDGSSYWHFFNSWSEVFRSKAYKNGDLIPISLPPVIQRWIPEGSDPILTLPFTHEDEFIDRPYAPLLRERIFHFSSASLSKLKAKVNSECNTTKISSLKALSAVVWRCVTRARRLPADRETGCRLAFNNRRRLSPPLPETYLGNSIAAVRGTATAGELLDHGVGWAAWRLHEAVVNHDDRVIREFVDSWVKNPFVYKMSRLFDANSIQMGSSPRFDMYGNEFGLGKGVAVLSGYANKFDGKVTLYEGREGGGSMDLEVCLLPENMAAFECDEEFMNVLNCLL >cds-PLY70110.1 pep primary_assembly:Lsat_Salinas_v7:3:10968080:10973139:1 gene:gene-LSAT_3X6121 transcript:rna-gnl|WGS:NBSK|LSAT_3X6121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHGDKSGRPSKKHKSSTKEDYKAAAFEEEDAYYVEEFGDDDRDGDGEGKKRDFTKLELKADHVNRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIISVLNKLSKTKLPKEMIDFIHASTSNYGKVKLVLKKNRYLVESPFPEVLKRLLSDEVISRARISNEGDDGFAVSRSVGEIDGRHEELLTEAQLAAAAEEKEAHSFEIDPGQVENVKQRCLPNALNYPMLEEYDFRNDTINPDLEMELKPQAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSNIRDDHICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHEEQRGDKIIVFADNLFALTEYAMKLHKPMIYGATSHIERTKILEAFKTSKTVNTVFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGRLQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPDTGAELSYHRLEDQLSLLSNVLNAGDDKLGLEILEDDTDDIALQKARARRMMGSMSAMSGAKGMLYHEFRSGQKGGFGKSKPKDPAKRHTLFKKRFV >cds-PLY95983.1 pep primary_assembly:Lsat_Salinas_v7:9:43146105:43148005:-1 gene:gene-LSAT_9X38680 transcript:rna-gnl|WGS:NBSK|LSAT_9X38680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESPTWPESSQSNRIKAIQELTNGQEWTNKLQEALWCPENFESDPTSIDGVLLQILEMFEKTISIMGSSSINNATLDHLLTSDLHSSASLGKPKSENINESPNSVIPVKIKKRCVKRRKSSWTSTKVTSDLIDDGHAWRKYGQKEILNANHQRSYYRCTYKSDQGCLATKQVQMIEDKPPKYRITYFGNHTCNNLQRAPPIILDSPDPRDESIILNFETKELIKKKHLHPNFLSIKQELKDGFPSLSSLGGNPSSSCNNDPPWGPFTHVPQDPTESVSLMSSWLDHEEMVSPRAYSGLDRGDIIS >cds-PLY77461.1 pep primary_assembly:Lsat_Salinas_v7:4:49272326:49277566:-1 gene:gene-LSAT_4X33301 transcript:rna-gnl|WGS:NBSK|LSAT_4X33301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDHEEWSQPRGGLSPNGLLPNAGPLLESLDSVRWLKAEERTAELISCIQPNQPSEERRNAVADYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSNSANLKDSWASEVRDMLEIEEKNENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDNLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSNFDWENFCVSLWGPVPISSLPDVTAEPPRKDSGELLLNKVFLDACSSVYAVFPGGQDNQGQTFVSKHFNVIDPLRVSNNLGRSVSKGNFFRIRSAFAFGAKRLARLLDCPQENLVAEVNQFFTNTWERHGSGIRPDAPGCLTSVSESQPLTDISKINSTGKKFKNSVGRESEGEDTRSRNSSRQSESGKPQTTPDVTAAPRAQKGHGNLNRGQKNLISGQLVNDIQRSPFARTRSSPELTDTYNDVSSQGRVNRAPESANPQTAPDTQGVSISNSSYRNRRKNIDASVDSNNGSSLYQHDSSLGLPSMSEQMHQEEQDLVNMMASSGLHGFNGQFPHGLVSPQLNHFFSGIGMTNSEDPMEPVNDNFNSLEINSIDHEHDSWQGQDGNSEIVPIDDKRQSTSSGLSYVPPPRRVGGSGGLTKSQQKYNKEKRGPMRDNNDHSHSHLHSDHLENRVVNDDERTTSSRFSSAAHSNSLKSKTSSESSWDESSTVSKKEKRGKKVIVSAESSSGYVKGKTMFESTSASAHQSEDDDMDSEATDRPQSVSSSMHAPRYELAQTSGNDSIVPIAPMILGHNRQRMMDNSGAMPLTFYPTGPPVPFLTMLPFYNVPSQPSPSDPSTSHFSDDVSIENGDIIHNQSEEFNPDALRGAAPGETPSDILNSDFASHWQNLQFGRYCQSPRQHGPTVYPSPVMVPPVYLQGRVPWDGPGRPLPNMNIVTQLMNYGPRIVPVAAPPPVQSVSRPPNVYQQREDLPRYRSGTGTYLPNPKVSVRERHNSGSRRGNYNHDRSENHNEGSWNGNSKSRGSNRNHNRNQMDKSNSFRAERTVNSSYRHDSFATYQTQNGPLHGNSSHGIGMYQNPSSANGSNAPSMVMVYPYDNNNTGYGSHSEQLEFGSIGPVGFSGVNEQQSQAQINEGGRERRGAFEENRLYGASLQQQQRSSPDRPSSPHHQRVSINPGDNVQVLLGFLTDDDDDIG >cds-PLY82806.1 pep primary_assembly:Lsat_Salinas_v7:1:85648157:85648465:-1 gene:gene-LSAT_1X72700 transcript:rna-gnl|WGS:NBSK|LSAT_1X72700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVAETYAQSITCDQVVSDLSPCFGYLTNGGAVSSACCSGVDALNSAANSASARQTACNCLKSFYSSNSGINLSNAASLPSDCGVNLPYKISPSTDCSTYVN >cds-PLY87617.1 pep primary_assembly:Lsat_Salinas_v7:8:112727742:112728665:-1 gene:gene-LSAT_8X79001 transcript:rna-gnl|WGS:NBSK|LSAT_8X79001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKLFIALLMLQVLLAVASSTQENINAPKSDLFREYIGAEFNGVKFTDLPINPNVEFHYLLAFAIDYTTSSSSSPTDGKFNVFWDTDNLSPSQVSSIKSQHSNVKVGLSLGGDSVGGGSCYFSPSSVDSWVSNAVSSLTKIIQEYNLDGIDIDYEHFHADPDTFAECIGKLVTTLKNNGVISFASIAPFDDDEVQSHYLALWKNYAHVIDYVNFQFYAYDQGTTISQFIKYFQTQSSNYKGGNILASMSTDGSGGLSPENGFFTACNRLKSQGKLGGIFVWSADDSKASGFRYEKQSQALLAAPR >cds-PLY87835.1 pep primary_assembly:Lsat_Salinas_v7:2:66155757:66155978:1 gene:gene-LSAT_2X29541 transcript:rna-gnl|WGS:NBSK|LSAT_2X29541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSDVGDGCVPPDGSISLQKAKEVLVVLRIHHRQASSRKCRDVVEGEQERRQKPTNDVHGDAIVPDGSPTEAT >cds-PLY80764.1 pep primary_assembly:Lsat_Salinas_v7:8:137855863:137858281:-1 gene:gene-LSAT_8X95400 transcript:rna-gnl|WGS:NBSK|LSAT_8X95400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKLPISREKDRELLIPVANTVDDAAAASSKPSSSSTSSSHTAGRETFGKVIRSWASKKFMTGCVILLPMAITFYITWWFIHFVDGFFSPIYDHLGINIFGLGFITSITFIFLIGVFMSSWLGASVLTLGEWFIKRMPFVRHIYNASKQISSAISPDQNTQAFKEVAIITHPRIGEYAFGFITSSVTLQNYSGDEELYTVYVPTNHLYIGDVFLVNSKEVIRPNLSVREGIEIVVSGGMSMPQMISTMDASRPRNSGEISRY >cds-PLY68986.1 pep primary_assembly:Lsat_Salinas_v7:9:142005629:142006192:-1 gene:gene-LSAT_9X91601 transcript:rna-gnl|WGS:NBSK|LSAT_9X91601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFRAATTTTDISRCIINIKQVLKLNHSRETLETFESFREGVKNRAHKHYDKQPRNMVDGNEKLLFYGAKSTYCKQFGSSKLCRASDCRICSIIKSGFYTAEWTTGIWLNTSCQDIINANTSAKMMNVKMAIIVCRVIAGRVIDMLDRDGEGDYDSVRGIKSNYLFVRNPSAVLPCFVIILNCTKLC >cds-PLY92107.1 pep primary_assembly:Lsat_Salinas_v7:1:109336658:109338720:1 gene:gene-LSAT_1X85460 transcript:rna-gnl|WGS:NBSK|LSAT_1X85460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHQNQDSATLTQLYVQAPLEKRYTGTFTSDVFWASFSTYLSKSLADIAVRPIPITDDTIKSKGIKEHFSTRIKDVRVVNTANVIRYIPTPTLNFAFNDYFKRLFNFKKDHDGYWKWSTGNFISGGAAGASSMLLMYSFDHASSRLANDEAKKVGERQFSRLSDVYKKTLASDGISGLYRGFKISCVGVIVYRSLYFGMYDSLKPVVLTGSWKDSFIGTCGLALVTTSVASLASYPIDTIRRRMMMTSGEVVKYKSSFDAFTQIMKNEGVKSLFKSYSKTIVPFVGTATGVLIFYDRLQKPFLDAERKKRIRDESADDRGT >cds-PLY64535.1 pep primary_assembly:Lsat_Salinas_v7:6:36886913:36887847:-1 gene:gene-LSAT_6X28201 transcript:rna-gnl|WGS:NBSK|LSAT_6X28201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVLSMVLIIASLTLLNVAESWEEAAATGGGGGVIQVGGKVLCQDCTEGWNEWVSGQKPIEGCTVSVTCMDNRKRIIYYGRDQTDKAGEFVVTINKYIHGKQVKPESCFTRLVSSPDPVCNIPTDFAGGKSGVKLGRPTMVYRDTIKHVLGPFYYTTPMCDEPDTNDDDNSYGKGKNY >cds-PLY66685.1 pep primary_assembly:Lsat_Salinas_v7:1:54485999:54487598:-1 gene:gene-LSAT_1X47281 transcript:rna-gnl|WGS:NBSK|LSAT_1X47281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNMIFYLMEVYHMEAVTGTSILSIWSALSNGLSIFGAFIADSYLGRFRVIALGSLSTLLGMIFLWLTSIFPQLRPSSCNELDTSCNPATPTQLALLFSCFGLLSIGSGCIRPCSMAFGADQLNHDRRTLNNQRLIDSYFNWYYASASMSTVVSFTVVVYIQDQYGWQVGFSVPVLFMVCSAVMFLLGSSLYVKVKVGESPFSGFIQVLVVAFKNRKVNLSRDDCYNHSHGMDRVELTENLRFLNKACVIKYSNTDPWSLSTVEKVESLKSLIRITPIWSSGILLFTTSSQSYPTLQAKTMNRHITSGFEIPAASFVLFMVLTLTIWLAFYDRILVPILSKHTHQPRGLHPKTRMGIGLLFSVIAMVVSAIVETIRRHVARSGNDMSAMWLVPQYALLGFAEAFNAIGQMEFYYSELPKSMSSVAIAVFMVSNAFSGLVGSVLVNVVDSVTSEGGSVSWLSSDINEGHVDYYYWLLGLLNLLNFFYFLICCRFHKRFTVST >cds-PLY79742.1 pep primary_assembly:Lsat_Salinas_v7:5:178568975:178569762:-1 gene:gene-LSAT_5X82380 transcript:rna-gnl|WGS:NBSK|LSAT_5X82380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVVQTSEVFVWVLIKSHNPNHKETITAHISYVASTRTPNIHVPDVPEGRKESHEGPLFLKVFVPGVFSGFVETVGSALKHYRIASVQIWQIWI >cds-PLY73154.1 pep primary_assembly:Lsat_Salinas_v7:2:190389232:190389522:-1 gene:gene-LSAT_2X111901 transcript:rna-gnl|WGS:NBSK|LSAT_2X111901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHQSCSSFVLEGVVKGKKLEVGSNGISRGFSGVWLTREEGMGARASSFEAIAIPRVCSAVFVDGEGAEEGIDPDDCRYVDEGLLQQLPVLLLLVR >cds-PLY86948.1 pep primary_assembly:Lsat_Salinas_v7:5:260476352:260477800:-1 gene:gene-LSAT_5X130461 transcript:rna-gnl|WGS:NBSK|LSAT_5X130461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFFCGPQGNKFVFTNENKLVTSWWPKSVTKVLLFTEVIDQDQKIVAPLLANSVHEILKPEALKKYIAIMDTMARQHMDTDWAPNKEVKVHPLTQKYTFALSCKLFMDEDDDEKVAEVLDNFRIVTNGMISVPIDFPGTAYNHAMKGGKVLRDGLLKIIGTRRKELTESKEIVRHDVLSQMLLVRKEDGSLMSEKEISNNIIGLLVASYETSSVAITFVLKHLAEHPHIYNKVFEEQMEITKSKKPGELLTWEDIEKMKYSWNVARESIRLAPPAQGAFREALTDFKYAGFTIPKGWKIFWNVNTTNNDPKYFPNPEKFDPSRFEGSGPQPYSYVPFGGGPKMCPGKEYARLEILVFMHNFVKNFKLEKMIKNEKIVYLSTPVPAKGLPVRLYPHIKQ >cds-PLY94000.1 pep primary_assembly:Lsat_Salinas_v7:2:71860633:71861211:-1 gene:gene-LSAT_2X31680 transcript:rna-gnl|WGS:NBSK|LSAT_2X31680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIHKTYYKIMAKLTFSLCYLGFFFYFIMCSSYVHGKTWCLAQPEASEPQLQQVLDYLCGRIDCEEIQPGASCFDPDTVRKHASYAINMNYRTNGECDGSYAFFFLTDPSYGTCVYP >cds-PLY97895.1 pep primary_assembly:Lsat_Salinas_v7:4:90191437:90192031:1 gene:gene-LSAT_4X60381 transcript:rna-gnl|WGS:NBSK|LSAT_4X60381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFIEGNDFAASLLSSQKTPQNPKHGERSTRYHGEKTSFRNQGSNENDEKRASCGINERQVDFTEDTWKKLGTFLLVGT >cds-PLY62939.1 pep primary_assembly:Lsat_Salinas_v7:2:212566782:212568248:1 gene:gene-LSAT_2X131881 transcript:rna-gnl|WGS:NBSK|LSAT_2X131881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTVVGRVNDGLPISQGPLYEEDGDNTIYKQHAEFLLHEISMAALPPSATTILLPHHHCFNYVVKNGVCFITLCDALYPRKLAFHYLQDLQKEFDKIDLSLVKQITKPYSFIKFNNIICNIRRQYIDTRTQANLSKLKANHKQELHVHTEQMSLVVEWRRRSDMLERMMKAHKSAFPVWGSESLEVIAVKWTPITIIFIVSFVLLWSSFKQ >cds-PLY86140.1 pep primary_assembly:Lsat_Salinas_v7:6:156215477:156215968:1 gene:gene-LSAT_6X95140 transcript:rna-gnl|WGS:NBSK|LSAT_6X95140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVLEWLFLTIAPCDAAEPWQLGSQDAATPMMQGIIDLHHDIFFFLILILVFVSRILVRALWHFQKEKNPISQRIVHGITIKILRTIFPSIIPMFIAIPSFSLLYSMDEVVVNPTITINAIGHQWYRSAPLHEGDLSATKCLKNMVREASGLPVISHSRRRET >cds-PLY93396.1 pep primary_assembly:Lsat_Salinas_v7:9:60082977:60083960:-1 gene:gene-LSAT_9X52840 transcript:rna-gnl|WGS:NBSK|LSAT_9X52840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLANKGNSEGVHKQGGEVKKGKGDDTGKSENENKKGGEAEKTKGNEEGKKSENEDNNHSSFSLGLSQDSYQTSAKKNT >cds-PLY89177.1 pep primary_assembly:Lsat_Salinas_v7:3:21632937:21634313:-1 gene:gene-LSAT_3X15981 transcript:rna-gnl|WGS:NBSK|LSAT_3X15981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKPVLSCRTMSIQEGKATQNGNKYPLPPQSGGGVGCGGGVGTNNDHQSLNNTLFNNFPVGNYTTNQRKRGREDVMNYYMSNIHQQQSHGHGNQLMDVSQIDQSRNIDVSTGLRLAFNDHHHQQHSFSPQSSGIVSVFSEDLTNLINQQRDEIDNYLQSQGEEFRRNLAEKRQRHYTSLIGAAKDSASRMIKDKEAVAEKAFRRNAELEARASQLSAEANVWQAKARAQEAVAAALQAQLQQAIVTGVGGCVSQGEEVAAGDAEDAESSYIDPERVVVVSGPGCKACGKRIASVVLLPCRHLCVCTECDDVVQSCPLCLSFRSSSIEVYMS >cds-PLY69082.1 pep primary_assembly:Lsat_Salinas_v7:5:276623867:276628432:1 gene:gene-LSAT_5X145061 transcript:rna-gnl|WGS:NBSK|LSAT_5X145061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKVTVAATVVCAAAVSAAAVLVVRHRMRNSGKWAKAMDILREFEDKCGTPIAKLRQVADAMTVEMHAGLASDGGSKLKMLISYVDNLPSGDENGIFYALDLGGTNFRVLRVKLGGVGNVKKEFQEVSIPPNLMIGKCEELFDFIAGELAKFVATEGEDMQIPPGTQRELGFTFSFPVKQSSIAGGTLVRWTKGFNIEDAVGQDVVDELTKAMERIGLDMRVSALVNDTVGTLAGGRYSNPNVIAAVILGTGTNAAYVERANAIPKWQGLLPKSGEMVINMEWGNFRSSHLPLTEFDESLDNDSLNPGEQIFEKIISGMYLGEIVRRVLLKMAVEAEFFGETVPLKLQKPFILRTPDMSAMHHDSTPDLKVVATKLKDVLEITNTSLKMRRVIVEVCETVATRGARLSAAGILGILKKIGRDTVKEGEENQKSVIAMDGGLFEHYTKFRKAMQTAMDELLGEEASRNMIIELSNDGSGLGAALLAASHSQYREYIEST >cds-PLY64175.1 pep primary_assembly:Lsat_Salinas_v7:8:125414387:125417024:1 gene:gene-LSAT_8X87701 transcript:rna-gnl|WGS:NBSK|LSAT_8X87701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRILLTGDPLGHLNQLFKRVSSVNKSTGPFDAVLCVGQFFPESSEHLEELTEYVEGRKNIPLPTYFIGDYGAGAAKVLSAAAKESGNQGFKMDGLKIRDNLYWLKGSGKFTLHGLSVAYLSGRRSPSGLIYGTYTQDDIDALRALAEEPGIIDIFLTYPSYLFHSILFLIVTWVMRISDSTGSDAAISELVAEIKPRYHVAGSKGVYYAREPYMNDDAAHATRFIGLAPVGNASKQKFIHAISPTPASTMSSTEISTKPPNTTLSPYTQAEPEKRSAESLSDSQYWRYDVSKRQKLGSGESDKLCFKFISSGSCPRGDTCHFRHDMEAREQSMRGVCFEFLNKGKCDRGEDCSYKHSLQEKGNSRSKECWFCLSSPNVESHLITSVGENCYCALAKGPLVQDHVLILPIEHLPNTLTSPPECEIELVRFQKSLKDYFKSHGKEVVFFEWVYIRATHANLQAIPVPLSRASAVQDIFNLAAEKLGFKFMVLKSDKSSEGRKLVRREYDGKSSLFYVELPGGIILSHVVEENEKFPVQFGREVLAGLLNMADRADWRNCKLSKDEELKMVERFKTSFQEYDPNN >cds-PLY69674.1 pep primary_assembly:Lsat_Salinas_v7:5:211321676:211324020:1 gene:gene-LSAT_5X97301 transcript:rna-gnl|WGS:NBSK|LSAT_5X97301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIARQLVSKCIHAKSVVNFRSFQDGHQLFVQIPQPNLDYLHHSMLDLLHQNRSFQALEIFKKQIREVGVTHVDEVSTALATKACRGDPKLGCQIHGFAFTSGLDSFLSVSNSLMNMYSKAGQIDHAMTIFEKLTNPDIVSWNTLLSGFKNNNEALSFACRMNHIGVTFDAVTYTSALAHCADCEEFLFGIQLHSLVLKTGMQSEGFIANALITLYSKWERIVDAEKVFDEMPMKDLVSWNAMLSGYSQEGSYGEQAITVFIHMIRSRMNLDHVSFTSAVSASGHARNLNLGKQIHTLTIKTGYETHESVSNVLISTYSKCNQIQDAKLVFESMKIRNVVSWTTIISISEEKALSLFNNMRMDNVYPNEVTFVSLIHTICSSTMVKQGQTIHALSIKSNFISETIVANSFITMYATFNSIQSSINIFKEIKHKVTISWNALISGFTFNKLFQQALITFHSMDSNPNEYTFGSVLSAIASSESIPLKSGQCSHCHLTKLGYNKNSIVLGALLDMYAKRGSIHESCKVFNEITNKNQVAWTAIISAHSRHGNYDSVMELYQEMLNQSFDPDSITFLSVLTACGRNGMVEEGNEVFKSMVEVYGIEPSPEHYSCMVDMYGRAGRLEAAEELMGRIPGGIGIPVLQSLLGSCKVYGDMERGKRVGEVLLRMKPKESGSYVLMSNLYAEKGEWGEVARIRKGMRDMNVKKVVGLSWVDVGELGSGHMFSSDDMSHPQTGEIYWMAGVLGVGIKCLKVNDDVIEEVKFL >cds-PLY89662.1 pep primary_assembly:Lsat_Salinas_v7:3:184650018:184653132:-1 gene:gene-LSAT_3X110901 transcript:rna-gnl|WGS:NBSK|LSAT_3X110901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIVENGVSNNQVESKDADQTESSILISRSNQEKKITSNNTNGTLINGNGVSNHHQHHLVVNGADRDGHEEGFKKDMRDLEEILSKLNPMAEEFVPPSLSKNVYNRALLQPPSPATHFGYAAVNDFLLQNNHTAFANVDGINTGRKKGHFSNGKRRMNSRTNLAQQEDAIKRTVHVSDIDQQVTEEQLAALFIHCGQVVDCRVCGDPNSVLRFAFVEFTDEEGAKNALTLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRSEDEREMCARTIYCTNIDKKVTQADVKLFFESLCGEVYRLRLLGDYHHSSRIAFVEFVMSAIAALNCSGAVLGSLPIRVSPSKTPVRPRAPRPAMH >cds-PLY68514.1 pep primary_assembly:Lsat_Salinas_v7:2:214621132:214624590:-1 gene:gene-LSAT_2X136101 transcript:rna-gnl|WGS:NBSK|LSAT_2X136101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGGSIGGGGGFGEPPEVWQPHGDGGKIVPLSGAGNPTTDGFGSGSGDGNWGGSNLGNSFPTPKEICKGLDKFVIGQERAKKVLSVAVYNHYKRIFMDSSQKPAGNSRNDKGNGVDGETVELEKSNILVMGPTGSGKTLLAKTLARLVNVPFVIADATTLTQATIYSLLIIHLGAGYVGEDVESILYKLLTVADYNVAAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTVVNVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRTAGVTTAAVTSSLLETVESSDLIAYGLIPEFVGRFPILVNLLALTENQLVQVLTEPKNALGKQYKKLFQMNGVKLHYTESALRLIAKKAITKNTGARGLRSLLENILMDAMYEIPDVRTGKDIIDAVVVDEEAVGVQGQGCGAKILYGEGALEHYLSQHDNNNNNKDLEADGEAELPSIAAL >cds-PLY82875.1 pep primary_assembly:Lsat_Salinas_v7:4:17579193:17581593:1 gene:gene-LSAT_4X12381 transcript:rna-gnl|WGS:NBSK|LSAT_4X12381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNEKERENHVYLAKLAEQAERYDEMVESMKNVAKLDVELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNESYVNLIKGYRKKVEDELAKICKDILAIIDKHLVPSSGSGEATVFYYKMKGDYFRYLAEFKTDEERKEAADQSLKGYEACLFAASESANKELPSTHPIRLGLALNFSVFYYEIMNSPEKACQLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGEENPKGEEAKSAEPEQKQ >cds-PLY86267.1 pep primary_assembly:Lsat_Salinas_v7:8:55986063:55986910:1 gene:gene-LSAT_8X40701 transcript:rna-gnl|WGS:NBSK|LSAT_8X40701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVDVCSASEVPISPRISFSHDINDPSSDINSIQSDPNRSDQFLLSPTFDFDFCTTSNLTPADELFSNGKILPTQIKKPKIIHLPVPKSETSPPNTDTQKKRLKEFIFNDEEQQKSTSTSRSFWQFRRSTSLNCDNGKGPKGLLRSLSIKSLSRSYSTGSALNPKGNGGPKSMERSKEPVSLRRCSSVAQSQNPASSSSSSTHMYYSYQNSSSRNSKGGIRIIPVLNIPPAYNISKGTISFFGFGSLLCNGKAKKKSKS >cds-PLY64984.1 pep primary_assembly:Lsat_Salinas_v7:6:96455004:96459053:-1 gene:gene-LSAT_6X64760 transcript:rna-gnl|WGS:NBSK|LSAT_6X64760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Eyes absent homolog [Source:Projected from Arabidopsis thaliana (AT2G35320) UniProtKB/Swiss-Prot;Acc:O82162] MDQKINVFVWDMDETLVLLKSLITGTYAKAFNGSKDVQKGIEIGKTWENQILRICDDYFFYEQIEGCNKPFVDVMREYDDELDLTDYDFKNDGFVGASFNDDDNKRKLAYRHRIIAQKYKEGLRSVFGEKMIKSWDNLYQETDDFTDKWLSSAKACVAECASGNKDSIPDGISDSTSSRVQNVNVIVTSGSLIPSLVKCLLFRLDDLFSYDNVYSSWEVGKYKCFSLIKERFNGANVQFCAIGDGWEECEAAENMQWPFVQIDPGPVSTSHRFPGLTFETLGHYIGVIYGDSDDDDDDDDE >cds-PLY64635.1 pep primary_assembly:Lsat_Salinas_v7:6:37414460:37416290:-1 gene:gene-LSAT_6X26901 transcript:rna-gnl|WGS:NBSK|LSAT_6X26901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLHLFFYLALVMRLRQHEETVMQDGMTSFLLLNSIFNFYFSEYLCFLHLSILWVGFFFVLCCCLLEFEIPLFKVRDYIHVVNLADGHTAALKILSDRNIGCEVYNLGTGKGTYVLEMVAAFEKASGKRNSLPFMPGSSLIGIE >cds-PLY77797.1 pep primary_assembly:Lsat_Salinas_v7:2:169300389:169300700:-1 gene:gene-LSAT_2X92320 transcript:rna-gnl|WGS:NBSK|LSAT_2X92320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEIKIGLRVETCDGSVKGFVTSEGLKKMVKELMEGEKGKEIRKKVKEIGEAAREAMAEGGSSWRTLNELIDELQTMRNSKTDDFRRSSGDTTSATSYGEA >cds-PLY93804.1 pep primary_assembly:Lsat_Salinas_v7:6:145897910:145899323:-1 gene:gene-LSAT_6X88281 transcript:rna-gnl|WGS:NBSK|LSAT_6X88281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQTPNQSQIHHRNPPPPPFMQPTTTINSQFTSPRSSNVQNGNQMMPMFWPNSGGIPPPFPLPTPLAAGQEPIYYPNPPNSWAVGLYDCFSDFKIRWERNRERYRQETTMYRQAPPMVQEMSR >cds-PLY81321.1 pep primary_assembly:Lsat_Salinas_v7:4:37907474:37909246:1 gene:gene-LSAT_4X25380 transcript:rna-gnl|WGS:NBSK|LSAT_4X25380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCNSIVQAKFLNILPLHSISRFCGYLSGKELSVWMRPYAHRAWARAFHSIRFNSNILKKS >cds-PLY91698.1 pep primary_assembly:Lsat_Salinas_v7:4:252414808:252417166:-1 gene:gene-LSAT_4X131361 transcript:rna-gnl|WGS:NBSK|LSAT_4X131361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVKATAILQRQPPVPILTQRRAANLLNVRRKIIGGSSFDGINLSKSYSISSASGICRSTSASATSDEIRDDEVLPITPLEVNQIKDRCSKWEWRGYTINYLKYEGQNCQKSNPPLLLVHGFGASVAHWRRNIPTLGETNTVYAIDLLGFGASDKPAGFEYTMEAWAEVEGLVLLNCAGGMNNKAIVDDWRIKLIFPLLLLIDFLLKQKGIASFFFERVRQRESLRNILSSVYGNKDSVDEDLIQIIREPALDEGALDAFVSIVTGPPGPSPVQLMPKIKLPVLILWGNEDPFTPLDGPVGRYFSSLPSQVENISLYVLEGVGHCPHDDRPNLVHEKLVPWLATLPSS >cds-PLY98474.1 pep primary_assembly:Lsat_Salinas_v7:2:63588689:63589270:-1 gene:gene-LSAT_2X28961 transcript:rna-gnl|WGS:NBSK|LSAT_2X28961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEMMNEPTLLKRAVEELDYVVGCNRLVQEQDLPQLNYLKACIKEFFRLHPFTAFNPPHVSTMDTTIAGYFIPKGSHVLLSRRGLGRNPNVWADPLRFNPDRHLQGKERQVVLTYDELRMISFSTGKCGCPAVVLGSTITIIMLARLLQGFTWEPVCKELPINLVENHDDLSLAKPLVLIAKPRLPQHLYPNI >cds-PLY77583.1 pep primary_assembly:Lsat_Salinas_v7:2:165134448:165143532:-1 gene:gene-LSAT_2X85980 transcript:rna-gnl|WGS:NBSK|LSAT_2X85980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAKLIVDALLQRFLPLARRRIETTQAHDGRYLRPSDPAYEQVLDSLALVARHMPIPLLEALLRWRDSESPKGANDTSAFQKKLAVECIFCSACIRFVQCCPPDGLTEKLWSGLEHFVFDWLINADRFADYRVVSQVEYPSLADLRGLLLDLVAQLVGALSQTRFTSVTGHFFMELNTRRIDTSGARTETLSIINGMRHLKLGVKTEGGINASASFLAKANPLSRAPSKRKSELHHALCNMLSSILGPLADGGKAHWPPPGMQRALPAWYEAVARVRIQIIHWADKQSKHIPAAYPLVTLLLCLGDPLVFLGNFTVHTEQLYKHLKDKNNRFMALDCIHCVTKFYLSVHGESQQSNRVWDYLDSVTAQLLTALKRGMLTQDVHHDKLVELCVTIGEHHLDFCINHVILEMLKQDSPSEAKVIGLRSLLGISVAPTSEHIGLETLHAQDLGHYVPKVKIAIESILRSCHRAYNLALLTSSKTTIDAITKEKSQGYLFRSVLKCIPYLIKDVGRSDRMTEIIPQHSISIDPGIREEAIQALNRIVRFLPERRFAVMRGMVNLILRLPDEFPLLIQTSISRLLELLRLWRASLSDDNVEHDDFHASEIEAVGLIFLTSADTHIRHTALELLRCVRALRNDIQSFLLCEKSDLIEPILMIDVFEENGDEIVQSCYWDSSRPFDLRRESDVAPPDATLEAMLFESPDKYRWSRCLSELVKYGALLCSRSIQEAKVEIIKRLALVTPAELGGKAHQLQDADNKVDQWLLYAVFACSCPPGREGAGTSSTRELFRLIIPSLKSGSEAYVHASSMALGHSHLEVCEVMFSELASYMDEISSETEGKPKWKSQKPRREELRIHIANVYRSVAENIWPGMLSRKPVFRLHYLKYIDETTRLITTGPLENFHEMQTLRYTLACVLIPLAPEFVDSKSEKFDPKIRKRLFDLFLSWCDDSGSALTQDGLSDYRREVERYKSSRYTRSKDSVDRVSFDRELSEQVEAVQWASMNAMASLLYGPCFDFDNARKMSGRIIYWINSLFIDSAPRATFGYSPTDPRAPFYGNKHTGGGGATGRDKTRSGHLRVSLAKIALKNLILTNLDLFPACIDQCYYSDAGVADGYFSVLAEVYMRQEMPKCEIQRLLSLILYKVVDPSRQVRDDALQMLETLYIREWAEEDKIESSGSCYQAAVVGNLPDSYQQFQYKLSCKLAKDRPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLDFWKLQNSGWSKRLLKSLYYVTWRHGDHFPNEIEKLWRTIASKTRNIRPVLDFLITKGIEDCDSNASTEISGAFATYFSVAKRVSLYLARISPQGTIDHLVYQLAQRMLEDSLEPIRPTSNKGDLGNFILEFSQGPTPVQMQSTASVVDNLNPPPHLSPLQVRGSVDGQLTRINLAPVTSGRSSQLIPLMSPLMGVRNSRSRHASRDSGDYLIETPNSNSEVDGLHAFSGILGVSAKELHTALQGHQQQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLKHCQRLLVNLLYSLGGRHLELYTVENNDGENRQQVASLIKYVQSKRGNMMWENEDPTVTEINLQSTKLLSALVQSMVEAIFFQGDLRETWGTEALKWAMECTSRHLSCRSHQIYRALRPQVNHDACILLLRCLHRCLQNPVPEVLGFVMEILLTLQVMVENVEPEKVILYPHLFWGCVAMMHTDFVHVYCQVLELFLRVIDHLVFSDRTTEHVLLSSMPRDEFDHSVVDFDFDFEFQRIPVFEGVQPLVLKGLMSTVSHDVSIKVLSRLTVPSCDSIFGDPETRLLMHIIGLLPWLCLHLNPEAVVGSTFSPQNACLVSVNLAIWCRTKCLDELACVFTEYSQGRIKSIEDLVVCVSALLCKKWVGKHLSLGLRHLVGVLEKGPVEYQRVVLLILQEFLQHIPMDAVQSSQIYALVSQLLESGLCCSEALSVLEALLHNYGSINDPVAYYESSLGGVGVGMDESSFMAHSGGGGGGGTNEESGGKELGLRNTRLILGRVLDNCVLGRKRDYKRLVPFVNTITNF >cds-PLY62536.1 pep primary_assembly:Lsat_Salinas_v7:MU046091.1:35994:37963:-1 gene:gene-LSAT_0X24381 transcript:rna-gnl|WGS:NBSK|LSAT_0X24381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNSSSRYTSFNNRSSAQSDPSSSTELNQTKNRRQIPDVSYALAKSKSAKNEQNFSAMVKKFMERKSSSTSSSSKLKGREFVVAADVTNIIADDLKKNMTMTARRGGTSGFGGLHKKLFGSKVKGEESGKKKNALTEVKVNTRSLAMVLRSERELLSRSKDQESEIMELKLMLEEKNREVDKLKDLCLEQREEIKALKSVILFPDVTNSQSQQQDDSELKEAKEIIPTLQKQVTSLTGQLQSLAHDLAEVKADKYSATRLSDSLMSSPKTPSYEQEEAFNSLEFSSGDHTTHGSADDMFLEDLNPCLTPYIKSNSKAVDYISYSDDSSHNHMKKSWVDRQHGNSDNSAGILGRATRRSDESKCTYRKNVWN >cds-PLY98852.1 pep primary_assembly:Lsat_Salinas_v7:7:25526787:25527160:-1 gene:gene-LSAT_7X19781 transcript:rna-gnl|WGS:NBSK|LSAT_7X19781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRSMTTIASVPHFRYVEEINCDGLMKLKSAFQKENIDPDIKFTFLPVLIKSLSMALTTHPLVNSTFNLENYEVTLKGSHNIGIAMATPSSKYG >cds-PLY62459.1 pep primary_assembly:Lsat_Salinas_v7:1:82814955:82818267:-1 gene:gene-LSAT_1X69401 transcript:rna-gnl|WGS:NBSK|LSAT_1X69401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPHNEVDQIDYMAEEGDMSDFADDVDGEENNGGDQNLEDYEMLTKVTDTSSAQARSGKDIQGIPWERLNITRESYRRTRLEQYRNYENIPLSGDAVDKKCKQKSKGGNYYEFFHNTRSVKPTILHFQLRNLVWATSKHDVYLMSNYSIMHWSSLSQNLTEILNFSGHVAPTEKHAGSLLEGFTQTQISTLAVNNDFLVAGGFQGELACKRLDKQGVSFCTRTTYEDNAITNAIEIYDTLSGGMHFMASNNDCGVREYDMEGFQLVNHFRFPWPVNHTSLSPDRKLITVVGDHLDGLLVDSMNGKTVATVEGHLDYSFASAWHPNGTIFATGNQDKTCRVWDLRNLSTPVSVLKGNMGAVRSVRFSSDGQFLVVAEPADFVHVYNTELNYEKRQEIDFFGEISGVSLSPDDETLYIGVWDRTYASLLQFNKRHKYGYLDSFM >cds-PLY91828.1 pep primary_assembly:Lsat_Salinas_v7:6:33027637:33031220:-1 gene:gene-LSAT_6X26040 transcript:rna-gnl|WGS:NBSK|LSAT_6X26040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSSNSNNGFSSFNEANPILGFSSPPLTAARKPGAATSSASTRFLKVRNQASFHNHKSTNPLGFNQFTSSSEAVLGNLSFENDPKETFLFGANNSNSSSHWNLERGVSSEISNVILDDMLKMKIDSDKGYANPTQDKADVDKSSVDELPNEVSKLKIHDSTNESQFIDFVQSEKMGEFAFTSKLDDIGAPQVEFRTPDMRSNLFSGMNRFEAKKDSVKDTRSKKKKDKSKNSIVGHSRLQEDYGFTRRNPSENPDGFEFEAYLPLNIRPYDEKLADLSRLSGIKGQEVDLSNFLSKKGADLKPVNEQAFTSSSSKIAEDACEKWRLRGNQAYNNGELVKAEDCYTQGLNSVSENEKSRSCLKALMLCYSNRSATRVSLGKMKEALQDCLMASTIDPNFLKVQLRAAHCYLAIGETENAKQQYTKCMQQPGNDKKVIAEASEGLEKAQKVSECIKQCNDLSQRQDSRDLESAMRFMNEALQISTCSDKLLQMKADTLFMLQRYEEVIQTCEQITSDEASNLIVKSYFYLGKLDDALEFIKKQENSSCIIPLSDTIRELLSYKKAGNEAYKSGKHTEAIEHYTAALSHSVESRSFASVCFCNRSAAYRGLGQITDAIADCSLAIALDPNYLKAISRRASLHEMIRDYGQVAIDLERLVSLLTTRVDEKGVNEIKQTRIWLSNVEEESRKGIPLNMYLILGTESNANASDIKKAYRKAALRHHPDKAAQSVVRSDDGDDGLWKEIAENVHKDVDRLFKMIGEAYAVLSNPLKRSQYDQDEEMRNEGRSFSRSKSSRMGTDVQNAVFTRTGSHH >cds-PLY89518.1 pep primary_assembly:Lsat_Salinas_v7:4:158873780:158874982:-1 gene:gene-LSAT_4X95661 transcript:rna-gnl|WGS:NBSK|LSAT_4X95661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGIVVIFDFDKTIIDVDSDNWVVDELGATDLFNELLPTMPWNSLMARMMEELHLQGKTIEDIKQVLHHVPIHPRVVPAIKAAYALGCDLRVVSDANTFFIETILKHLGIRECFSEINTNPGFVDDEGKLRILPFHDHGCNLCPPNMCKGKIIERIQGEGKKRMIYLGDGAGDFCPSTKLVEGDYMMPRKDFPVWKLICESRDLVKADVREWNDGEDMECILLQLMASMISIKEMENCVDNNDTNQLLDCKLERIALGALA >cds-PLY73748.1 pep primary_assembly:Lsat_Salinas_v7:8:270350436:270352323:-1 gene:gene-LSAT_8X155560 transcript:rna-gnl|WGS:NBSK|LSAT_8X155560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPSFLPLSLLCSPEFAPPSAIVGASLLLHLLQHNHSPPFTQRRNLDDSITDHQPTLPSIFAGKAFLRFVFLLYLIVRNVSELGCGDELRKLFEGYGEIDEFIPLDDEDCEPFTDVYWIKFHQVNNARIAKRKLDESVFIGNWIQVSYAPKYESLSDTKEKLEGRRKEVVARLNPKFISQPLNIQHRDPHTVHSQTLPSTVSSNQDYFPLESMNQTVRLVRDKLNKIESDTQTLKAMSSKKPRIDNRRRI >cds-PLY71230.1 pep primary_assembly:Lsat_Salinas_v7:6:19285759:19287937:-1 gene:gene-LSAT_6X14760 transcript:rna-gnl|WGS:NBSK|LSAT_6X14760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRSIFGFLFVSILIVGCMGGELKMKYYEKRCSSVSVETTVRDIVWSKVAANPTMAAKLLRLHYHDCFVRGCDGSILLDPVQNSTTEKTAGPNRSVTGYDVIDEIKTALESDCPGIVSCADILALAARDAVSFQFSFSSVSEISQLQFQKEMWPVFTGRKDGPVSLASEVGVSLPSAGANFTTLVTQFGNKGLDIHDLVALSGAHTIGTSRCVLVARRLYNFTGVGDADPSLNVTYANELRKICPNPQIPTTTLEMDPNSSLSFDSDYYQALNQHKGLFVSDAALLTNPQSAQITQVLQNPSVFFARFARSMVRMGAIEVLTDDQGEVRTNCRVVNKQ >cds-PLY63599.1 pep primary_assembly:Lsat_Salinas_v7:8:189657529:189659176:1 gene:gene-LSAT_8X121581 transcript:rna-gnl|WGS:NBSK|LSAT_8X121581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRTNREMVQFFFFALVRSTDFAVVFGPKSFQRKTMVFVRLTGINVSTDFAVVFGPKSFQRKTVVFVRLTRLLESDISTFSKQLMRNSQPVSQHQHLQLLLLSVT >cds-PLY74542.1 pep primary_assembly:Lsat_Salinas_v7:7:39731604:39733176:-1 gene:gene-LSAT_7X28761 transcript:rna-gnl|WGS:NBSK|LSAT_7X28761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVKSGAVDLIRNNLKSLLECKENGQVLRKQAPPSIYMVPSVVQDLSPSSFTPRVVAIGPLHRHDEHLQGFEVQKITYLNNLLHRFCMVPEQTLRKCVEKVIGSIDEIKACYAVSTTYDDLELAKMMVIDACFILEFIQSVLVGLSVGTNTLIIGSIRKDMLLIENQIPYFILEDIFECTVLASGQMTSLTMYMSVFLRPYYFPEGNVVVPDASAPEHILGFLHKYFQPAERMPLNPWPSIKRHSAMELHRAGMKFKPYEDENWALAMELELPLPLFPWFHDFIAIPQFSWFRPPTLRMPKARIHDSSELIFRNLLVYEHVKLYHERYVTSYVCAMDMLINTPEDVALLVKSKVLVSLFGSYEEAADMINKLCKNIPFKLYYKQQWEEMDVYYNSYWPNTLAGLKRTYFNNPWNIIALFAAFVLFALTVVQTIFTIKATNGTSVASNH >cds-PLY90942.1 pep primary_assembly:Lsat_Salinas_v7:9:170625006:170625609:-1 gene:gene-LSAT_9X105340 transcript:rna-gnl|WGS:NBSK|LSAT_9X105340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLCFCGKFAVVKCSWTPKNPGRRFYACPQKDSACRFIGWVDPPMCERSKVIIPGLLRNINRMQAWCTALKIMLLASWVKERLM >cds-PLY99555.1 pep primary_assembly:Lsat_Salinas_v7:8:81616872:81617267:1 gene:gene-LSAT_8X57701 transcript:rna-gnl|WGS:NBSK|LSAT_8X57701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGLLLRDYDGKVTHEEMASAAIYLKDTLGKEGVQELITNLSNDKERNILVEDIVKLGSRAEDVD >cds-PLY69237.1 pep primary_assembly:Lsat_Salinas_v7:2:128670987:128671298:1 gene:gene-LSAT_2X60420 transcript:rna-gnl|WGS:NBSK|LSAT_2X60420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREILYIQGGQCGNQIGAKFWEVVCAEHGIDVTGKYTGDSELQLERINVYYNEASGGRFVPRVVLMDLEPATMDSHRSGAYGQIFRPDNFVFGQSGAGNNWAK >cds-PLY93948.1 pep primary_assembly:Lsat_Salinas_v7:1:182379692:182386061:1 gene:gene-LSAT_1X118321 transcript:rna-gnl|WGS:NBSK|LSAT_1X118321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKHIVFFFLFTLAAFITASNSKSIIKRLPGFDGDLPFTLETGYIGVGEDEAVQIFYYFVESERNPSEDPLLIYLTGGPGTSVLYSMMYQIGPLNFDTETSWGNNITLKLNPYSWSKIANVIYIDAPAGAGFAYATTYEASMSSDSMLASDAYDFLRKWFLEHPEFLSNPLYISGISYMGIILPNVALHVYNGNERGDQPQMNIKGVISVSPLTDKFGDFNSRFEFAHRLSLISDDIYESTKETCVGNYLSIYDHSDNILCSNNLQWVDECTSKINLENILEPLCDPTDPACREATFGLVVIWANNKEVQKALNVREGTIGTWEWQNSTIHYDLGKNDTLIYAYDVFSTVPMHKQLLAKKCQYLIICGDHDMVFPHVGTEAWIRSLNLPVEKRWEPWFVNDQIAGYQVTYAQNDYLLTYSTIKGAGHGIALYKPEEALAMVDGWLDSRTYLSDI >cds-PLY90811.1 pep primary_assembly:Lsat_Salinas_v7:2:106778904:106779071:-1 gene:gene-LSAT_2X46961 transcript:rna-gnl|WGS:NBSK|LSAT_2X46961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSKRNRKQTIILCCCVNRNKKKGKLAADAERSNPVLYRYCSTLISSSLHSTTYF >cds-PLY93302.1 pep primary_assembly:Lsat_Salinas_v7:4:302428344:302428887:-1 gene:gene-LSAT_0X32220 transcript:rna-gnl|WGS:NBSK|LSAT_0X32220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTLKRHLPFSGQEGQEELNKIAVRFEEKMYNAATSPVDYLQKISLKIQRMERRVPIPAAQAQGHWN >cds-PLY63156.1 pep primary_assembly:Lsat_Salinas_v7:4:311635220:311635801:-1 gene:gene-LSAT_4X157500 transcript:rna-gnl|WGS:NBSK|LSAT_4X157500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTTHYIASTTPRRSSYFSVCMSPSCVPVDEQYTRIKSTRNDNHHHHQRRKLKKLMNKVVEESKKSIYGSSKPLVFHYDAVSYSQNFDEGNHGDDFYMYRLRIAQVLGECS >cds-PLY74966.1 pep primary_assembly:Lsat_Salinas_v7:3:154344613:154346311:1 gene:gene-LSAT_3X98381 transcript:rna-gnl|WGS:NBSK|LSAT_3X98381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKPHVLVIPYPAQGHVIPIMELAQRLVNHDVKVTVVNTEVTHKLVTSNALENDGFSDLLQSVSIPDGLESWADRTDLCKLTRSILETMPGKLEELIETINKEDSNKVTCIIVDDCMGWAIKVAKKMGIRRAAFCPASVTLLVSMLSCQKLIADGIINYNGIPLNQMIKLSETMPPIKPTNLWWTCFEDLPTVEAIFQVVKEAPEAARLTEWHLCRSTIELEPGALNLFPQLLPIGPLLASNRRADQVGHFWQEDSTCLAWLDQQPPCTVIYVAFGSFTIFNQTQFEELALGLELSNRPFLWVVRQGMTKETTAAYPDGFLERVGSRGRIVSWAPQQKVLAHPSVACFVSHCGWNSTLEGVTNGLPFLCWPYFADQFQNEIYICDIWKIGLGFEKDEAGIIRRGEIKGKVEQLLGDNTFRTKAMDIKEKVTSSITEGGCSHKNLSNFIEWIKEKDTGCQESS >cds-PLY80002.1 pep primary_assembly:Lsat_Salinas_v7:9:45061387:45063872:1 gene:gene-LSAT_9X40760 transcript:rna-gnl|WGS:NBSK|LSAT_9X40760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFAFKALLDAKVNRNRLSSHSKVMKKFPIASQRMVSRKKDYLNENVDKVDCMVNSHDHPIDIDDEEVSEEKKFRSDEVKNENNESPIEITSSNSMKKTIDISSSNSWKKKKPRNNVHQYVYSSMKKRKHGLFGRLNSKSSDSEDYLSDSDFEVRSITRSRKLVNRSDRKFSKTIVSEHDFSSDSDFEDGTSSSVKRVDKIADKKVKKKIELNCAKIKSLYSRVSLHSIYGVVNSMNHNKKECLLYVDRIPCRQMLTVRRYPVINNWTLEQLKVREINEISNGGFGRPSATVKSVDEVSRGSILVKELCSAYKAFRHSNYKCEYAFESCEKSSSCDDKFDEFRDFGQSINNIVGVNKYGLANSFGKSGCIETKLHVDCEGGHKEKCVVEDIPSFNLGIEDDMYTPPKVNAGVDSYVSNNSVSIGISSASVK >cds-PLY91833.1 pep primary_assembly:Lsat_Salinas_v7:6:33024693:33027948:1 gene:gene-LSAT_6X26061 transcript:rna-gnl|WGS:NBSK|LSAT_6X26061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACACMRHLSAIRTPTVASAGPPKETANSRVLILGGTGRVGGSTAIALSKLSPDLRITIAGRNREKGASMVTTLGKNAEFAEFDINDDKSLESALNDVDLVVHAAGPFQQTEDCRVLEAAIRAKTAYLDVCDDTYYALRAKAYNNEAIASKVPAITTGGIYPGVSNLMAAELVRVAKSENKGEPERLRFYYYTAGTGGAGPTILATSFLLLGEEVIAFNKGEKLKLRPYSGMMSIDFGKGIGKKDVYLLNLPEVTSTHETLGIPSVSARFGTSPFFWNWAMDAMTRFVPSELLRDRSKVEEMVKLFDPVVRVIDGYAGERVSLRVDLECSDGKHTIGVFSHKKLSVSVGTSIAAFALAVLEGSTQPGVWFPEEPQGIAIEARELLLQRASQGTINFVMHKAPWMVETNPKEVGLGIYV >cds-PLY87578.1 pep primary_assembly:Lsat_Salinas_v7:8:111414995:111415721:-1 gene:gene-LSAT_8X77820 transcript:rna-gnl|WGS:NBSK|LSAT_8X77820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVHDECKLKFMELKAKRSFRFIVFKIEEKQKQVIVEKVGDPNRSHEDFAACLPDSECRYAVFDYDFVTQENCQKSRIFFIAWSPDTARVRSKMIYASSKDRFKRELDGIQVELQATDPTEMDLDVFKSRAN >cds-PLY70703.1 pep primary_assembly:Lsat_Salinas_v7:4:259269390:259273849:-1 gene:gene-LSAT_4X134381 transcript:rna-gnl|WGS:NBSK|LSAT_4X134381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEYIQDNPHNLQIIDRPNVNTYYTSSRLFINSDIDEGTVFKRRSMVKQILL >cds-PLY78697.1 pep primary_assembly:Lsat_Salinas_v7:9:50946479:50946883:-1 gene:gene-LSAT_9X46480 transcript:rna-gnl|WGS:NBSK|LSAT_9X46480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIGHAEHNWSPNYHHHMDENHSNGVTNEDMAINLLQTQMELSLIWEDFQDQLRELRRIVDRDLDAMIREVDDVRAGQLDISNVVADLKNHFVSLQGAYVKMVFKGNKRKKLMYCVGIVGVVSACVVTYLVFK >cds-PLY68446.1 pep primary_assembly:Lsat_Salinas_v7:2:58508867:58509360:1 gene:gene-LSAT_2X26321 transcript:rna-gnl|WGS:NBSK|LSAT_2X26321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGQLFLIKHLLILREQIAPSDIEFSVTHKELDFSQLLEHLRRILRGQTSLFDWSRSTSLARTLSPRVLESQIDAKKELEKNLKATCESLSCQSLS >cds-PLY78706.1 pep primary_assembly:Lsat_Salinas_v7:9:48429918:48430706:1 gene:gene-LSAT_9X43820 transcript:rna-gnl|WGS:NBSK|LSAT_9X43820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEERGPPSTSHVSGDYPPNSTHKYHSIPDTNNFQDTPTGTYVVQVHKDRIYRTPPPENALIVERYRNSPAKKQSNCIKYVLISIAIIVLLLGFIGGITLVVIKKDDPRFRVERVRVNTKEKNRQKKTDFDITLASRNPNAHTIISFDDHGKAALSFKDRRFAIGKFPSSEQSPKNSKDVKLTLTSSSNSKLPMGIRKSMNGTTGKSQRNVEILLEFRVPVKMKVGTLQMKSKTISMLCHFKVNNLAKNPRILSQDCDYSTRY >cds-PLY99631.1 pep primary_assembly:Lsat_Salinas_v7:6:82599785:82604913:1 gene:gene-LSAT_6X58700 transcript:rna-gnl|WGS:NBSK|LSAT_6X58700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRVMQPLNPSSIKQSKSSSRKQKYSSENVPPPDVNAIPDSFPSPSFTCKPSPAAASKLKSPLPPRPPPSSSLKRKLSNELPQENGIAGSSDTGVKVIVRMRPPSKNEEEGEIILQKTSGDSLSILGQTFTFDSVADAASTQADIFQLVGAPLVENCIAGFNSSVFAYGQTGSGKTYTIWGPSNALLEDESSSDQQGLTPRVFERLFFRITEEQNKHADKQLMYQCRCSFLEIYNEQITDLLDPVQRNLQIREDTKTGVYVENLTEESVCSLKDVTKLLKKGLSNRRTGATSINMESSRSHSVFTCVVESRCKSKDGLSSLKTSRINLVDLAGSERQKSTGAAGERLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSKLTFLLQESLGGNAKLAMVCAISPSESCKSETFSTLRFAKRAKAIKNKAVVNEQMQEDVNTLREVIRQLKDELIRMKENNNSPDPNTGWNARRSLNLLKYSLNRPMMLPHIEDDEDEEMEIVEEAEQVLLEATEACKNMETDVNMKEEISEQVDKCEVSTDTSNLTILQSEESPILKSPTPSVSPTVTSSSMKSLRTSSILSASHNALANNISEAIMLSHGQTDRLTASLHHGLEVIDKHRKSSPLGRSSFRFPCTPVRKDVGVQTFSLENVLSEEKEKSMEYLCNNCKSKTSELVEDKDTHEHDTSNLQMVPVDGSQLVDKSKQLVPKAVEKVLAGAIRREMVLEEFCTKQHNEIMQLNRLVEQYKHERECNSIIGQLQEDKIARLENLMDGVLSAEEFVNEELTSLANEHQILKEKYENHPETLRKEIELKRIQDELERYRSFFDMGERDVLMEEIQDLRSQLQSYVDSSPKFSKTQTPVLQITYPSETNESPSLSTIPESTDEQRFESERIRFHEEESKWISLTQELKSQLEANQLLAEKQKQELETERKCSQELKEAMQMAMECHARMLEQYADLEDKHMNMLIRQGQIQDGIEDVKKAATKAGVRGNGSKFINALATEISTLKVERERERGRFRDEIKGLKEQLRDTADAVQAAGELLVRLKEAEEAVAFAETRALDAEQETENAYRQIERLKKKQKKETSISISTPVYDMPIYDVEESNNYDKHELTMLPQPSSWFSGYDNCNI >cds-PLY69586.1 pep primary_assembly:Lsat_Salinas_v7:1:13002609:13008085:1 gene:gene-LSAT_1X11021 transcript:rna-gnl|WGS:NBSK|LSAT_1X11021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQKGFKLLLQQYKALFTKNLLLAWRNKRATFLQLFSSIFFIFLLFIIQKAIEARFGSSTAFKAVRDPEPLIDPPIPPCEDKYYTKLPCFDFVWSGSDSDRIGSIVDQIRANNPGRPIPSTKVKSFRSKNDVDAWFVANPMKCPGALHFLERNATVISYGLQTNSTPAGKRGDFEDPTFKFQIPLQIAVEREIARSLIGDPGFSWVVNLKEFAHPVVETFSSVGTVGPSFFLAIAMFGFVLQISSLIVEKELKLRQAMSMMGLYDTAYWLSWLTWEGIITLFSSLFTVLFGMMFRFDFFMNNSFEVVFLVFFLFQLNMIGFAFMFSSFITKSTSSTTVGFSVYIVGFLTQVVTVFGFPYSDSFSNTYRTIWSFFPPNLLAKALQLLSDATSTPEDPGISWSRIGECAPNDNDCLINMSDIYIWLVLTFILWVALAIYFDNIFPNSSGVRKPTFYFLNPGYWSGKGGDRVREGSICSCMRSVPTSEHTTPDDEDVLQEENTVKQQHREGAVDPNLAVQIHGLVKIYPGRTNIGCFKCKRSAPYHALKGLWVNFPKDQLFCLLGPNGAGKTTAINCLTGITPVTEGDALIYGHSIRSSVGMSNIQKMIGVCPQFDILWDALSGQEHLYLFASIKGLPPASLKTVVQKSLAEVRLTEAARVRSRSYSGGMKRRLSVAIALIGEPKLVILDEPTTGMDPITRRHVWDIIENAKKGRAVILTTHSMEEADILSDRIGIMTKGKLRCIGNSIRLKSRFGTGYIANVSFLATNDNVTHHEDVKLFFKDNFFEELENREEEFGISDIQLSLATLEEVFLNIAKQAEFESAAAEGRFTTLTLTSGTSLQIPVGARYIGIPETVSTENPHGVMVEVYWGQDDAGALCISGHSNETSIPSHVQLPDALSSSHSHTSRRNFLGRSGPVHGIVINPNEIGTTS >cds-PLY72345.1 pep primary_assembly:Lsat_Salinas_v7:8:79965201:79969928:-1 gene:gene-LSAT_8X58080 transcript:rna-gnl|WGS:NBSK|LSAT_8X58080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIFDSDNNLKKNRVFRVSMCGDAKELEQMSRDGSHYSLTTGILPSLGARSNRRVKLRNFIVSPYDRRYRAWETFLVVLVLYTAWVSPFEFGFLEEPRKPLSIIDNVVNSFFAVDIILTFFVAYLDKNTYLLVDEHKQIAWRYTSTWLVFDIISTIPSELAHKISTGSLQSYGLFNMFRLWRLRRVSALFARLEKDRHFNYFWVRCAKLIFVTLFAVHSAGCFYYYLAAHYPNPGRTWIGYDNEGFKQESLGVRYVTSLYWSITTLTTVGYGDLHAQNRREMIFVICYMLFNLGLTSYLIGNMTNLVVHGTSKTRQFRDSIQAASSFAHRNQLPVRLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIRSSISHFLFYSLLDNAYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGAVELIVMKNGVEQVIGEARPGDLCGEIGVLCYRPQLFTVRTKRLSQLLRLNRTTFLNIVQANVGDGTIIMNNLLQHLKDVGDPHMETVLVETENMLARGRMDLPLSLCFATLRGDDLLLHKLLKKGLDPNESDNNGRTALHIAASKGSQNCVLLLLDYGVDPNCKDSEGSVPLWEAIVGGHETVIGILADNGATLSSGDVGQFSCMAAEQNNLDLLKKIIQYGGDVTCPKNDGLTALHVAVNEGNVEMVRFLLSQGANIDQVDGHGWTPRDLADQQGHEDIKTLFQSVIVDHQLTKPPPVPLVSIPEDTRAHHAPHVQFLGRFKSEPTMTRAGPDTDDGPGSGSGSGSWTRSRRRRRSDNFHNSLFGIMSNKREGENSIDLVSPKPTSIGGGWREKEKEKENPGRIVVSCPEKGDVSGKLVMLPKTFEELVEIGLKKYGFLGARVVNKEGAEVDEIDVVRDGDHLVFVSDANRMSEQQDGENLR >cds-PLY87659.1 pep primary_assembly:Lsat_Salinas_v7:1:168824832:168827555:-1 gene:gene-LSAT_1X112361 transcript:rna-gnl|WGS:NBSK|LSAT_1X112361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDESRSYTVDDALASVGFGKFQMLVLAYAGMGWISEAMEMMLLSFVGPALQSAWNLSSHEQSMITSVVFAGMLVGAYSWGVVADKHGRRKGFLITAMVTSVAGMLSALAPNYACLIILRCLVGIGLGGGPVLSSWFLEFIPAPSRGTWMVVFSAFWTIGTVFEASVAWFVMPTLGWRWLLAFSSLPSSLLLVFYRVVPESPRYLCLKGRTSEALRILEKVAKINGKSLPSGILVSDNEIELNVKSMESEGARLLPQSNNNKHTDDEKPEVINHSKSNVSTLAMLFSPELIKPTLLLWVVFFGNAFSYYGLVLLTTELHNGANNCGPNGSRSQGSEEVSYKDVFITSFAEFPGLIISAFTIDKLGRKRSMSTMFFLCCIFLLPLTFQQPQALTTFLLFMARICITTTFTVVYIFAPEIYPTSVRTTGVGVGSSVGRIGGMICPLVAVGLIHGCHQTAAILLFEFVIFSSGVCVMLFPFETSGRELTDSTVPETATTLEVG >cds-PLY67757.1 pep primary_assembly:Lsat_Salinas_v7:9:165438430:165439403:1 gene:gene-LSAT_9X103401 transcript:rna-gnl|WGS:NBSK|LSAT_9X103401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQEELILVNFWSSPFGCRVKIALEEKGIPYEYKEEDLFNKSPLLSTINPVYNKVPVLVHNGKSVCESLNIVEYIDEVWSDRSTSFLPSDPYERSQARFWADFIDKELYEASKKIYRCKGEEQESGKKDVLRILKLVEGELGNKPFFGGDNLGFVDISLIPFYSRFYTWETFGKFSIEEECPILVSWAKRCSEKESVAKSLVDPIKIYDFILYLNNYLTK >cds-PLY98943.1 pep primary_assembly:Lsat_Salinas_v7:7:49160678:49163621:-1 gene:gene-LSAT_7X35180 transcript:rna-gnl|WGS:NBSK|LSAT_7X35180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGGYFYERRTKQLSLFFIGVVFTTIVVWSWEKTPLLTTLLPSHSQVMQLFPAGGVKVKQGTQSKDDFREAEVVPNVTYPISSINAPSILGEEGLVDLISPSTLSPINTPSLDIHGGESSSTSQENHHESTTNIIEKPVSSEVSEKSMNSISNPPKQQLLEPVSSEVETEDNGAPMANVEKQACNFGKGKWVIDNNRPLYSGFGCKQWLSGMWACRLTQRTDFGYEKLKWQPKDCKMDDFNALQFLKRLQHKTLAFIGDSLGRQQFQSLMCILTGGEERYDVEDVGKKYGLVKARGSVRPDGWAYRFRPTNTTILYYWSASLCDLDPIDPGNRSTSFAMHLDRPPAFLKRFISGFHVVVLNTGHHWNRGKVNANKWVMYVNGTRVTNRRLLNIESAKNLTVYSIVRWVDGELGKYPGLKAFFRSISPRHFFNGDWNTGGTCDKTTPGKLEVLQEVSSDLLASGAVKGTNVKLLDVTALSEVREEGHISRYSIKATPGMQDCLHWCLPGIPDTWNELLFAQI >cds-PLY66613.1 pep primary_assembly:Lsat_Salinas_v7:4:283301760:283302316:-1 gene:gene-LSAT_4X144240 transcript:rna-gnl|WGS:NBSK|LSAT_4X144240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLIKIQQLKVTSLNDSTNSLYAIKMFLMDRIVWDPNESVTKPKLLLDLQDEQILFEILDNKHGDDLQLHVGAMITTRSAESTPDSLELLHGYGGGRFNIANDKFYSNRKSSQQLKSHSKKSTSHGVKFLHYIPALKLLTMKAKLSNKDIAYFHRPKALW >cds-PLY79374.1 pep primary_assembly:Lsat_Salinas_v7:9:54783304:54783930:-1 gene:gene-LSAT_9X49080 transcript:rna-gnl|WGS:NBSK|LSAT_9X49080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRGHYLVKLCHQEIDLVEALPPHVSERHMRELAGQPLVERGNEGSSGPVSPSQQTVGVVSQVHSSVSVPSGSPVGMQAGRGSVPTRKRRSSRVVSLSDEETESDDAGLRPRKARRTIYVARLLGGIGGILGGQFSMPGQKEVVEVPSSPEASPSPSVGFPLVNPAFGSMFWGAPSSPGGSFLCEKPSLVDETGTSSHSRSFGLGDY >cds-PLY86105.1 pep primary_assembly:Lsat_Salinas_v7:7:140392967:140393579:-1 gene:gene-LSAT_7X84341 transcript:rna-gnl|WGS:NBSK|LSAT_7X84341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRMLTTAFPSELFIFPNQKPSNQESLCSLTHGALIFGDVNIQVANMYWRQMEVVQWNRLKTCEPEFLVCTPDRLVELVSMEAIDISGVRSLISDGLESCTEGDYLDSIKSIQKHISVDPHTVVLWSSGLYDTYSYYMSSLLPTPVCRLSREETLINKK >cds-PLY87953.1 pep primary_assembly:Lsat_Salinas_v7:4:339038020:339040713:1 gene:gene-LSAT_4X167960 transcript:rna-gnl|WGS:NBSK|LSAT_4X167960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNILTTARVGLRPPSAGNLITILSIDGGGVRGIIPGVILSYLESQLQELDSEDARLADYFDVIAGTSTGGLLTTMLTAPDQNNKPLYAAKDIVPFFLENCPKIFPQIGGPFAEIIKQSKALIGPQYDGEYLKDLVTGILGTTRLNQTLTNVVIPTFDIKTMQPVIFSSFQVASEPSKDAQLSDICMGTSAAPTYLPAYYFQNGDEEFNLIDGGIAANNPSLVATGEVTRQVIKENPDFAQIPPLDYARYLVISLGTGTQKQQSPYDAKMAAKWGVLGWLVNNNSTPLIESFLQASADLVVFHNNIVFEALSSVDNYLRIQDDTLTGDLSSVDIATTENLNNLVDVGERLLDKPVTRVDTNTGIVEPVVGGGTNRDALKRLAQQLSDERKLRELNYKGGEVL >cds-PLY94282.1 pep primary_assembly:Lsat_Salinas_v7:1:91583268:91583743:-1 gene:gene-LSAT_1X73721 transcript:rna-gnl|WGS:NBSK|LSAT_1X73721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLHHQKHHRTYITNYNKAIEQLDDAITKGDASTVVKFQSTIKFNGEGILMASSSGTTTSSGGSYPIQNSGSDEDL >cds-PLY66007.1 pep primary_assembly:Lsat_Salinas_v7:4:139343386:139344852:-1 gene:gene-LSAT_4X87140 transcript:rna-gnl|WGS:NBSK|LSAT_4X87140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METFLFTSESVNEGHPDKLCDQVSDAILDACLEEDPESKVACETCTKINMVIVFGEITTKANVDYKKIVRTTCREIGFTSPEVELDLTVLCIASAGELFFVFSSLLLSCFSNEIKDLGESNNFRTKVCRGNRDASTNGMLEC >cds-PLY70719.1 pep primary_assembly:Lsat_Salinas_v7:8:168168884:168171388:-1 gene:gene-LSAT_8X110501 transcript:rna-gnl|WGS:NBSK|LSAT_8X110501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FATTY ACID EXPORT 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38550) UniProtKB/Swiss-Prot;Acc:Q9ZVH7] MSSSINTFSISNPNPSQLCSKRAPIEAFCSSPSLYRFAPLLRPRSSGVLTLAVSVSAYPKGLGHGLLLSRSNSRNRSIFSFAASHEDSESSEVDIQEETNRLKEGAEESEEAWKQTLASFKEQAIKMLNISQEAYEVYLKKATVVLKDTSEQLKIQADKASADFSVIAKELSEEGKVYLSAAAENSPEPVKDIVETFASSSTDDLKDVSKVLDFYVGIPYGGLLTLTGFLSFMITGSTSGIRFGVILGGTLLALGIYSLRSWKKGKSSSVALKGQAAIATILFLKDTGLVLSRPAFTRYVALIISGAVLAFYVYRIIYSRGQSTGGSSVEAEN >cds-PLY99864.1 pep primary_assembly:Lsat_Salinas_v7:4:47080688:47081408:1 gene:gene-LSAT_4X32420 transcript:rna-gnl|WGS:NBSK|LSAT_4X32420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLKQIVDLSMVLYTTQSGSNKRVKQNWVNVMCPVQPGYTECGYYMLRFIKGILEEGIEVLVKDNLKDGKVEYTTDVIDEIHEELSEFVTGFIYR >cds-PLY61746.1 pep primary_assembly:Lsat_Salinas_v7:5:218648801:218649395:1 gene:gene-LSAT_5X100681 transcript:rna-gnl|WGS:NBSK|LSAT_5X100681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATIGKLDVEVKVQSKADKFFNSIMNSATIFPKVCSGLYKNVEVLEGDGQNVGSIRVVHFAEGSPMKTVKERIEEVDEANMKVVYSVIDGDMMQSYKTFKAIIVVIPEGEGSLVKWFCEYEKANVEVPDPSMITDLVAKNFQAVDAYLLQA >cds-PLY89765.1 pep primary_assembly:Lsat_Salinas_v7:1:59236794:59239243:-1 gene:gene-LSAT_1X50421 transcript:rna-gnl|WGS:NBSK|LSAT_1X50421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQKWQPAFPATIGSLQRQSSGSSYADDWFVPSLPAATSSGGGDNDAYIHLADGGDFEALRWEMTEAGGGVVGGMYSSLKSWAQQTEEGYQLQLALALRLSSTCADDPDLLDPVSAEAASVSSAEALSHQFWTNGCLAYFDKVPDGFYFIHGMDPYIWSVCSNPQESGRIPSLESLKVVNSATESAFEAIVIDRNGDPSLMQLQNQTHSIYSNSLTTTEVVEQLAKLVCNQMGDVASAGENELHPLWKKYSDDLKKHLGSIALPIGRLSIGLCRHRAILFKVLAETIGLQCRIAKGCKYCRKDYASSCLVLMGIDKEVLVDLIGNPGCLYEPDSLINGSSSISIFSPLRFPRSTHIDSTVDFESIAKHYFQDSDSLNLVFEDPFTVNNNEFNPTGMVENAPLQHTLNADVFDIPWTDMVLKEKIGAGSFGIVHRAEWNGEDVAVKSLFLEQDFHPGKFNEFWREASCNNETPAASKYCSFYGCCH >cds-PLY93450.1 pep primary_assembly:Lsat_Salinas_v7:9:62227077:62229539:1 gene:gene-LSAT_9X53900 transcript:rna-gnl|WGS:NBSK|LSAT_9X53900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRRLPVLLVAFLCFVAGITASDGDADPIYIDCVEQCEKTGCVGDVCFQHCNLTSNGKSVSDPWYLQEPLYIKWKKWDCLSGCRYQCMLVREEERETAGNTPVKYHGKWPLRRAFGIEEPVSVALSALNLAVQFHGWVSFFILVNYKLPLRPNRQTFYEYTGLWHIYGTLSMNFLFWSAVYHSRDVEMTEKLDYSSAAAVIGFSLIVSILRAFSIKTEATRVMVAAPLIAFVTTHILYLNCYQFDYDLNMKVCAIMGVAQVVIWGVWGGISNHPSKWKIWVVTFGEGLIILFQIYDFPPYKGFLDAHAISHAIAIPVSYIWWSFVHDDSEYRTTTLMKKAKPLVFTDLDVGVCTA >cds-PLY85621.1 pep primary_assembly:Lsat_Salinas_v7:8:162833524:162834213:1 gene:gene-LSAT_8X107801 transcript:rna-gnl|WGS:NBSK|LSAT_8X107801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIPQTNNTTDSSEPGQTGFDTNTIVVLAALLCALVAALVLNSVIQYALRNRRESLEAATTTDKHSIKKIPVEVFRSGSEILATECSICLGDFVDGEKVRVLPECNHEFHVKCVDKWLVDHMSCPNCRHLVALPPGGNRVGVNQGEYDSVVIDFMV >cds-PLY67248.1 pep primary_assembly:Lsat_Salinas_v7:6:133849367:133851847:1 gene:gene-LSAT_6X80780 transcript:rna-gnl|WGS:NBSK|LSAT_6X80780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALPLGFRFRPTDEELINYYLRQKINGHHSEVHIIPEVDVCKLEPWDLPDQSVIKTDDPEWFFFCPRDRKYPNGHRSNRATNAGYWKATGKDRTIKTRGRSDAKIIGMKKTLVFYRGRAPKGERTHWIMHEYRPTEKDLDGTNPGQVAYVLCRLFRKSEEIMDPSKYDEPEPSSLSPTPHKSSPENASFDFLQPPSPLDLQTNGIEPVCFESRVADVDKIPEIGAYLDSRFANDFGSDDNGLGFQDGTCEPDVSLSELLSLLQKGSESSFKDPCSLKNEEMGSETFMSGPFQTSHHIFPVNSHMIDNGYDLPQKQILMPPRSSESTSGTNASGSGSGSGQTGRPDIKIRTRQSQTDPNPEHVMGQGTASRRIRLQIDSSSGSSSHGDSCSNDHENEEETSSSVSEVCDTGEDTGITSNAYKTDQGEDERLRSKKKQEGGVSVISNIQIQPLILVKAYCGGHFLRSSLFKIISVFLVIFLMVISLGVAECPIFLL >cds-PLY77326.1 pep primary_assembly:Lsat_Salinas_v7:5:143604157:143606680:-1 gene:gene-LSAT_5X63400 transcript:rna-gnl|WGS:NBSK|LSAT_5X63400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLLPLSFPLFFLAYILYQKLRFKLPPGPRPWPIVGNLYDVKPVRFRCYAEWAQQYGPIISVWFGSILNVVVSNSELAKEVLKEKDQQMADRHRSRSAAKFSREGQDLIWADYGPHYVKVRKVCTLELFSPKRLEALRPIREDEVTAMVESIFKDCTHPDKIGKSLLVKSYLGAVAFNNITRLAFGKRFVNSEGVIDEQGKEFKAIVANGLKLGGSLAMAEHIPWLRWFFPLEEEAFAKHGERRDRLTRAIMEEHTATRLKTGGTKQHFVDALLTLQKQYDLSDDTVIGLLWDMITAGMDTTAISVEWAMAELIKNPRVQEKAQQELDTVIGYERVLIEPDFSNLPYLQSVAKEALRLHPPTPLMLPHKANTNVKVGGYDIPKGSNVHVNVWAVARDPATWKNPLEFRPERFLEEDVDMKGHDYRLLPFGAGRRVCPGAQLGINLVTSMLGHLLHHFSWAPANGLSPEEIDMSENPGLVTYMRTPLEAVATPRLPAVLYKRVAVDM >cds-PLY89376.1 pep primary_assembly:Lsat_Salinas_v7:4:121552723:121557290:-1 gene:gene-LSAT_4X75980 transcript:rna-gnl|WGS:NBSK|LSAT_4X75980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGTMRYLAGIAGQSGYGSKSTADQVTQDCSSLPPHLTAIVTGATSGIGAETARVLAKRGVKIVMPARDLRKAARVKENIQKEHPKADIIVLEIDLSSFASIKKFCSEFLSLEIPLNILINNAGKFSQKLEFSEDKFEMTFATNYLGHFLLTELLLEKMIDTARQTGVEGRIVNVSSVIHSWVKKDHFDFNRMLQPKHYNATRAYAQSKLANIMHANELATQMKAIDANITINSVHPGIVKTGIIRDHKGIITDSMFFVASKLLKSTSQGASTTCYVALSAKMEGVSGRYFADCNESRCSALASDDSEAHKLWKQTRALIYKRLRTEIKS >cds-PLY97085.1 pep primary_assembly:Lsat_Salinas_v7:4:72799441:72800227:-1 gene:gene-LSAT_4X49061 transcript:rna-gnl|WGS:NBSK|LSAT_4X49061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNTIKCCISCILPCGALDVIRIVHSNGHVEEISGTIRAAEIMKLHPKHVLKKPSSPSYTDEGTVKCPQIIILPPDAELKRGKIYFLMPVPPSSLPEKTRSKSTSTTRKKKNVVSSKNINANSNQDNNLLTDLLVSDRYLTEILSEKVKDRRRGRVGVWRPHLESISEATSDA >cds-PLY95890.1 pep primary_assembly:Lsat_Salinas_v7:3:185506718:185507321:1 gene:gene-LSAT_3X111381 transcript:rna-gnl|WGS:NBSK|LSAT_3X111381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSQFLDFGPMQGTRSQSVPNFGPMQLVPDFGLMQGTRSQSVLDFDPMQFSDFGPMQFSDFGPMQRARPCLVPDFGPMQFPDFSPMQWARPDMSFICYCTSASKGKSSG >cds-PLY82632.1 pep primary_assembly:Lsat_Salinas_v7:3:104177936:104180375:-1 gene:gene-LSAT_3X76181 transcript:rna-gnl|WGS:NBSK|LSAT_3X76181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVSLLSVEVKAATKKYQFNVEVKNVSRLCHAKPIVTVNGRFPGPTVYAREGDRVLINVSNHAQYNMSIHWHGLKQYANGWADGPAYITQCPIQTGNSYVYDFNITGQRGTLWWHAHILWLRATVYGAIVILPKQSVPFPFPRPDGEHVIVFGEWWHADVEAVVKQGNALGLPPNMSDAHTINGKPGPLFPCSEKHTFAMEVEQGKKYLLRIVNAALNDELFFAIAGHNMTVVEIDAVYTKPFTTNALLIAPGQTTNVIVSAIQTPGRYFMAVRPFQDVPIPVDNKTATAIFQYKNIPATVIPTLPVLPLPNDTNFALSYNQKLRSLNTPNFPANVPLKVDRNLFFTIGLGKSDCATCLNGTRLSASLNNITFVMPETGLLQAHYFNMKGVFRTDFPDKPPKAFNYTGAPLTANLFTSKGTRLSKIVFNSTVELVIQDTNLLSVESHPFHLHGFNFFVVGTGIGNFDSSKDPAKYNLVDPPERNTVGVPTGGWAAIRFRADNPGVWFFHCHLELHTGWGLKTAFVVEDGPGKDQVVRPPPKDLPSC >cds-PLY78491.1 pep primary_assembly:Lsat_Salinas_v7:9:43380788:43382656:1 gene:gene-LSAT_9X39460 transcript:rna-gnl|WGS:NBSK|LSAT_9X39460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSCWPESLPSNRIKAIQKLTQGQHLTSKLREMLRRSEKTEPDINSVDDVVGEILGMFDNTLSILNSSSFEEVPDMPIGDIRSPGSWDDQKSENYGESTKTLTPLKVKRGCYKRRKDSWTSIKITPRLIDDGHAWRKYGQKVILNAKHQRNYYRCTHKTDQGCRATKQVQMTEDEPPQYKITYSGHHTCNNLLRSPLIILDSPDHKDNSFVLSFEGTGLNNNKQVDSCFPSAKQDPKEGFPSLVFRHNEASSSDNYLPWDLTTQVPSEPMSMMSSGLDHEDMISSEVYSSTCSTHGYEIDNIIGSNIFGDFPFEMCS >cds-PLY96002.1 pep primary_assembly:Lsat_Salinas_v7:9:40480710:40481477:1 gene:gene-LSAT_9X36120 transcript:rna-gnl|WGS:NBSK|LSAT_9X36120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKTWSNWLETHLNRTKTRMFFTSLSPDHKEYGDPMGETCYNKTEPIMEQGYWSKGTDNDLMRTAQSVVQDLEKKGLKIELLNITQLSQYRNDGHPTIYKRHWVPPTESELANPIANSDCIHWCLPGVPDVWNEILYTYIRCKV >cds-PLY69810.1 pep primary_assembly:Lsat_Salinas_v7:4:244186876:244187459:-1 gene:gene-LSAT_4X129301 transcript:rna-gnl|WGS:NBSK|LSAT_4X129301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPIVATFVLVALVLLSSSLIHTTMAGSSFCDSKCAVRCSKAGRKNRCLKYCGICCKYCHCVPSGTYGHKDECPCYRDKRNSKGKPKCP >cds-PLY88254.1 pep primary_assembly:Lsat_Salinas_v7:4:155089358:155091150:-1 gene:gene-LSAT_4X94980 transcript:rna-gnl|WGS:NBSK|LSAT_4X94980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKAKPSLSSPEFMEEDGEEGTPRSPFWLQTTNNVGRIQRYRRRLSSLFFNSVVLIIFLLLLAILSMVFVIPNVIDFSSNVFKPNLVRRSWDSINLILVLVALAFGFLSRNVNNDEKVSYDRSSSELSTGAPMITSPSSSTPHQWYDFPDQSMSSTTGLRRQKTSISYPDLRELSPPWDHGAVDPWRFSDDTHLNYYKVLESNRNYLRQRSRREQDSGGVVDVNAFGGVSQESLYTPAQKPAVAEERLYIPPPQPMPPPSLPPQPPIDTVKKKMKTKRRARSSEPRKVLSPVIDPLPEPSSPPPVKQLTERKTNGGNATKEFFTSFYHKKKKKRQKERSFDDLQSLLHHSRPPATRFQLPPTSPTPPPPPHPPSVLHKLFPTKKEKQKMITSATLPPPVPHTSPPPPPPPTSTASLTRRPTRSQSAPFLSDNPAVPSMLGKFNSIEDSSSGGDSPMKQVPPPPPPLPPFKVPDWEFAVQGDFVNLQSGVSSRSVSPIGDEAQSPSSVVDATVAPPLFCPSPDVDTKADNFIESFRARLQLERMSKLGPGPDSGPGES >cds-PLY86631.1 pep primary_assembly:Lsat_Salinas_v7:1:3637680:3638750:1 gene:gene-LSAT_1X2840 transcript:rna-gnl|WGS:NBSK|LSAT_1X2840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTLVLGYGKMMSSIEYLQRLPITRLVITKKLAAIGLQPQKPHLVGGTLITSSSQLDRRAFLVHSVKPGNHHRPPPPTGDSSSSWQQWIFRIITTVIVPFFSRKWSNLLKFKDEVDTVVEETEKILEYVEEVAETVDKVAKEVADHLPDGGKWRNAALFVEDVAEEVAREAQLVEDFLHNVEEIEQEVELLGESVKDQTKNFHQDPNSSTQKIH >cds-PLY92140.1 pep primary_assembly:Lsat_Salinas_v7:8:5597631:5599043:-1 gene:gene-LSAT_8X4521 transcript:rna-gnl|WGS:NBSK|LSAT_8X4521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKIGSFSSLINRRCSATAKTYLRRLSTKTSDGRDEWNDAWETAWLPEYLSAKNRAPWEADVNFSIADTPEALDPDTKAFVEDMSDNWEQRRKKVGSKSKRQEEEERLLKMKEEGKSLYSLENVKMDYRVMKQRVHAGLWVKEIEKMEEAKLGDSSGGAGDDLDRFLDSASEIFDSKPTDSSDLKNKPDGWETTSKAQDGNIWEMTQREEDILLQEYERRIAFSKFQIASFIKQHIFSRRRPIDGWKYMIEELGPNARRGKGSVTRLPSLADASTQPFKEEKIPISTGVPTRGR >cds-PLY88096.1 pep primary_assembly:Lsat_Salinas_v7:6:183880304:183881899:-1 gene:gene-LSAT_6X112140 transcript:rna-gnl|WGS:NBSK|LSAT_6X112140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASATVRRISECFIKPLHDLPPDAKQPIYFTPFELIYLNANYSQKGLLFAKPSPPENHHFSITTFLEDLRRSLSATLTHFYCLAARLATRKEENPPSYVIYIDPENIPGVKFAHATVDVTVSDILTSTDVPLVVHSFFDLNNAINHDGHTLPLLSIQVTELIDGIFIGGSVNHLIADGTSFWHFMAAWSEIFRSKQQDEYSISRPPIFKKWVLEGSDPVINLPFTHHNQFIDRQDQQAMFQERFFHFSSAIVSRLKSKANAESNTHKISSLQAVSALLWRCITRVRRLPSESETVCKLVISNRRRLNPPLSDDYFGNPIQSVSGTATVEDLMTRGLGWAALQLHEAVVNHDDTAVKKWVESWFRSPVVYKRSQLFHPNVVHFGSSPRFDMYGCEFGLGKAVAARSGYMNKADGKMTMYPGQEGGGSMDVEVCLLPEYMMDLECDEEFITALKSN >cds-PLY87599.1 pep primary_assembly:Lsat_Salinas_v7:8:111243941:111246426:1 gene:gene-LSAT_8X78021 transcript:rna-gnl|WGS:NBSK|LSAT_8X78021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQSDMKHWPFKVVPGAGDKPMIVVNYKGEDKQFSAEEISSMVLIKMKEIAEAYLGITIKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKASSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKSTAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNARALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMDPVEKCLRDAKMDKSNVHDVVLVGGSTRIPKVQQLLQDFFNGKDLCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVEAKNTLENYAYNMRNTIKDEKISSKLAADDRKKVEDAVEEAIHWLDGNQLAEVDEFEDKMKELEGICNPIIAKMYQGGAGGPDMGGGMEDDGPSTGGGAGPKIEEVD >cds-PLY70115.1 pep primary_assembly:Lsat_Salinas_v7:3:11584308:11584496:-1 gene:gene-LSAT_3X8980 transcript:rna-gnl|WGS:NBSK|LSAT_3X8980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKKLRSSSLTSRRPIPKRGQIMVRIAATAYHTVASVFSKPPRTTTPMAKTFLREMKMNRSN >cds-PLY78813.1 pep primary_assembly:Lsat_Salinas_v7:9:172544731:172545093:1 gene:gene-LSAT_9X105781 transcript:rna-gnl|WGS:NBSK|LSAT_9X105781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMGSSDLMMGLTLVLVVCLAVVFGFVLVLLAELQCSILVRRHQLQQTTTNVTAPLTIVDNNNNNSSSPPPPSFVGDDIEKQQQLPQQPKNQEINQEGLQWSLKFKPTTTNLRFSPCISG >cds-PLY75239.1 pep primary_assembly:Lsat_Salinas_v7:7:65966156:65966485:1 gene:gene-LSAT_7X47961 transcript:rna-gnl|WGS:NBSK|LSAT_7X47961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPMFTSDRTDPSKLVFFYQGSGMQLGAEGFAIGFLYTIIGMLLAFMTHVLVRVQSRIAQRMTMIIAMIISVWAVRKVVYLDNLKTGYDVHTYLDNRLFTDDEINAELLF >cds-PLY72069.1 pep primary_assembly:Lsat_Salinas_v7:2:204615098:204618576:-1 gene:gene-LSAT_2X125300 transcript:rna-gnl|WGS:NBSK|LSAT_2X125300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLEYGNCWANSKKDSWRTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDIHHSETNEEIFGVLSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSSICRNAKVSLLPNRQVADESLSTYKLEQTPERKNNTKVKMLLEKYKFLHTALLILVLLGTCMVIGDGLLTPAISVFSAVSGLELSMSKDHHQYAVIPITCFILVCLFALQHYGTHRVGFFFAPIVLLWLICISALGVYNIIHWNPKVYQALSPYYMLKFFRKTKKQGWMSLGGILLCITGSEAMFADLGHFSYAAIQIAFTFLVYPALILGYMGQAAYLSKHHEMNDNISYYVSVPESLRWPVLAIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQIYIPEINWILMILCIAVTIGFRDIKHMGNASGLAVMAVMLVTTCLTSLVIILCWHKPPIVALFFLLFFGSIELLYFSASLVKFREGAWLPILLALFLVTIMFVWHYASVKKYEYDLHNKVSLEWLLALGPTLGMCRVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRILVFVCVKSVPVPFVPPAERYLLGRVGPASLRAYRCIVRYGYRDVHQDVDSFESDLVKRLADFIHYDWCRPRASSAGDHAGASDRSSGEHRLAVIGNFDVDENMQQESVSLGFQTVESIGDIIEMSSPKERRVRFTVDDNDESENSSSPDVQMRRELEDLLAAQQSGTAFILGHSHVKAKQGSSVFKRLAINFGYNFLRRNCRGPDVVLKVPPASLLEVGMVYVV >cds-PLY88721.1 pep primary_assembly:Lsat_Salinas_v7:7:193912893:193920124:-1 gene:gene-LSAT_7X114200 transcript:rna-gnl|WGS:NBSK|LSAT_7X114200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGISVQKPEFVLKPRKKDFAAMPKIPLWDLPELPKNELPLHLQLQKTRVFCNNDAPTHTENIHYSGAYASMGIDNSLRLDEFKKNFKVKVIKLNEDDMEFDLIGIDASIANAFRRILISELPTMAIEKVLVANSSSVVQDEVLAHRLGLIPIKVDPRLFDYMSENDVPNEKNCIVFKLHFKNPRGSGERRTVYSNDLKWLPFGSEYVLPTENSNSSDPNSKPKTYTSYTSSQDSLPEFSTNPITVTPGIIISKLGPGQEIELEAHACKGLGKTHAKWSPVATAWYRMLPEVILLKDIEDDDAEELVKKCPVNVFDIEDIAKGRKRATVARPRACTLCRECIREPGWEEKVALRRVKDHFIFTIESTGALPPEVLFTEAVQILEDKCERVITEMS >cds-PLY88018.1 pep primary_assembly:Lsat_Salinas_v7:4:179033178:179034257:-1 gene:gene-LSAT_4X105781 transcript:rna-gnl|WGS:NBSK|LSAT_4X105781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKETAGNPLHLKSLNHISLVCRSIEESINFYTNVLGFIPIRRPGSFDFDGAWLFNYGIGIHLLQSEHPDNMPKKTEINPKDNHISFQCESMGAVEKKLKEMEIVYKRQRVEEGGIYVDQLFFHDPDGFMVEICNCDNLPVISLAGEMIRSCSRINLDIISQQQSPNICSAVTQ >cds-PLY66406.1 pep primary_assembly:Lsat_Salinas_v7:9:38760225:38762245:-1 gene:gene-LSAT_9X35481 transcript:rna-gnl|WGS:NBSK|LSAT_9X35481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMVGTVGPAVPNLDACLESVPKMNYDTLSSKPQGEICIRGNVLFAGYYKREDLTVEVLVDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVIVENLENVFGPVSDHDSIWIYGNSFESCLVAVANPNKQAIEHYANAHNISGDFEALCENPKIKEYVLGELTKVGKENKLKGFEFVKAIHLDPVPFDMECDLLTPTFKKKRPQLLKYYQVLASLIIRLALAETFCLNLDVPNAESLVAALVRVDESK >cds-PLY62580.1 pep primary_assembly:Lsat_Salinas_v7:9:74090351:74090659:-1 gene:gene-LSAT_9X61981 transcript:rna-gnl|WGS:NBSK|LSAT_9X61981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNDQKEKGCKVWCDMSPAHEHLKLLEIQGYYGRGSDLEFVAYFIDNVVALKEILIDPRCQSQNGTSTSIRFSNMNENTARCSAKMQLQSITPRGVKLVIL >cds-PLY71995.1 pep primary_assembly:Lsat_Salinas_v7:8:148533474:148535077:1 gene:gene-LSAT_8X100120 transcript:rna-gnl|WGS:NBSK|LSAT_8X100120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIGSWLYTNSTKNLRVKIVHPGGHAELHDRPVLVADIIRRNPKCCVAHPNVFKQPWAIVQPDTMLMPGQKVYVVPISTVRKLQKLSIKRSVSVGQDGQSFKKLSSEEDEDGESDTSCCSMEEGDASICMKSFKGGDESEERKSCFTCVFASGKQTSGSTAIRGGQRSRNQGESPKKLITDLDYWQPNLHSIVEERSINPE >cds-PLY67426.1 pep primary_assembly:Lsat_Salinas_v7:6:72477145:72477737:-1 gene:gene-LSAT_6X50860 transcript:rna-gnl|WGS:NBSK|LSAT_6X50860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARYYNNDNKVSYYEYIRQLSIPIHFFFFLCVVFLFLCFTWYLNYEPKVESFLYNLKIFLMLTPVVLLLLVHWLSSGERPWFPSMVPLPEKDSFHRAGGSPWGVAIVLVFLIYMVSHQSSFHERWFPISRR >cds-PLY83569.1 pep primary_assembly:Lsat_Salinas_v7:1:64564956:64566399:-1 gene:gene-LSAT_1X55000 transcript:rna-gnl|WGS:NBSK|LSAT_1X55000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELALTNATYRHLPRPLSLQHHRRPSSKSIAFLKTTSFSSLTPIKASFRNPNYQTPKPEVTKIVNPTPLSFLKTTFITGVAVTALCFSRFTLKPAIAVPVSSPPPPATVETADTNSESLEEEKNLEEHLCSHPEDINALKSLMELKIKNGKIHEAIGILSQLINLEPEDSEWQLLKYHLHSYSGDHDSAKLGFEQILAKDPLRVEAYHGLVMAASAKESPNDLKDVEKRIIEGMEKCKKEKKKDDLRDFKLLLAQIRVIQGDYNDALKIYQDLSKEEARDFRPYLCQGIIYTLLGKNDDAEKSFEKYRRLVPKGHPYTRYFDDNMVATKVFAQKVENERAYSKS >cds-PLY65295.1 pep primary_assembly:Lsat_Salinas_v7:8:104763486:104770722:-1 gene:gene-LSAT_8X70901 transcript:rna-gnl|WGS:NBSK|LSAT_8X70901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNYRVCWCFTRKFKISEAEPPTDVKEAFKKYSDDGIHMTADQLRRFLEDYQGDNEHDSSISEAERIVEQILHKRHHLAKFINRKALSLEDFHHFLFSVDLNPPIRSQVHQDMTAPLSHYFIYTGHNSYLTGNQLSSKCSEVPIIKALKRGVRVIELDLWPNSSKKHVHVLHGRTLTSPVELLRCLKAIKEYAFVASPYPVIITLEDHLTPDLQAKVAQMVTETFGPMLYFPESEKLEEFPTPESLKYRILISTKPPKEYLEAEDDKQGSSRKSRLSSSSSSSDDDDSWSEEPSRNGSYEEKCFKSGSDRSDHDVDNEGKISPLSPIYKRLIAIHAGKPKGGLKDALKVEKDKVRRLSLAEQALEKAVENHRHDVVRFSQKNILRIYPKGTRITSTNYKPLIGWLHGAQMVAFNMQGYGKNLWLMQGMFRANGGRGYVKKPKFLCDGDLSFRPNEVKKILKVKIYMGEGWHSEFHHAHFDYCSPPDFYAKVGVYGYEDADDDKSPRMYKTKTIEDDWWPLWNEEFEFPIKVPELALLRIVVKDNDTTKKNEFGGQTCLPVSELRTGIRCVPLYNKKGEKYRFIKLLMRFELVIPIS >cds-PLY82214.1 pep primary_assembly:Lsat_Salinas_v7:1:68011334:68012616:-1 gene:gene-LSAT_1X57981 transcript:rna-gnl|WGS:NBSK|LSAT_1X57981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTRRLEGKVALITGAASGIGECTAKLFAEHGAKVVIADIQDQLGQAVCEAIGTSNSIYVHCDVTNEEDVKNAVDTAVSTYGKLDIMFCNAGIADPNKPRIVDNEKTDFERVLSVNITGVFLSMKHAARVMVPARSGSIISTASLSSNIGGAASHAYCAAKHAVAGLTKNLAVELGQFGIRVNCLSPYAMVTPLATSFVGLEGEALETVMNLLGNLKGPTLRTDDVAKAALFLVSGESQYISGQNLFIDGGFGIVNPSFNMFKYPENL >cds-PLY74764.1 pep primary_assembly:Lsat_Salinas_v7:6:120667057:120667774:1 gene:gene-LSAT_6X71981 transcript:rna-gnl|WGS:NBSK|LSAT_6X71981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPNENDLSESLCSLNFASRVRGIELCPAKKQVESSEVLKYKQMAERYKHEMKNKDLQIKKIEDQFHGLDMKLKEKELKNKYLQEKVKELESQLLVERKLARQHVDTKIVEQQMKQQQQLDDHRLPLVSKPLNTYKNSDKSKENQQPLIDKNMTYKLPTPLPPTRDLVNLDVDGFVEKENNPYLPE >cds-PLY79117.1 pep primary_assembly:Lsat_Salinas_v7:2:16770822:16773490:1 gene:gene-LSAT_2X10861 transcript:rna-gnl|WGS:NBSK|LSAT_2X10861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLTFPSSETQKVQPTKHTSSRRKQYELQHQQKPKPKPKSKPKHQTPPSSSWDQFKNLLTCKQMDVVGSNKAQDPVKNPNNHSKLSSCSSICSFRDVVHGNTRVVHRADNSPESSSVGLNSGHINRKKELSGGGSSSSKTISGSVRSNAHGSYTSCSRGMQFRKLSGCYECHAIVDPSRYTIPRSTICVCSQCGEVFPKMESLEHHQAVRHAVSELGPDDSGRNIVEIIFKSSWLKRDHPIFTIERILKVHNTRRTIQRFEDCRDAVKIRANATASRCAADGNELLRFHCTTLSCSLGSRNSSSLCSSVPGCGVCTIIRHGFQGPKSGGIGGGDGGGKGGVRTTASSGRAHDCLGVDAHGLRSMLVCRVIAGKVRRVAENAPPEEEGPYDSVAGYSGLYSNLEELYVYNPRAILPCFVVIYKALQS >cds-PLY96930.1 pep primary_assembly:Lsat_Salinas_v7:4:9453966:9456518:-1 gene:gene-LSAT_4X6600 transcript:rna-gnl|WGS:NBSK|LSAT_4X6600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHHSYHNPFERRNFIKSKTPTVKWFKEWVPQDVVSTGGKCFVMKWVTESQLNAMNEEKSKEMEAVEPEPEPTTEVLFLCSYEGCGKTFIDAGALRKHSHIHGERQYICHYENCGKKFLDSSKLKRHYLIHTGERNFVCPHEGCGKAFSLDFNLRSHMKTHSQENYHICPYSECGKRYAHEYKLKNHIMSHHEKQNNNHMMIEAPLKYVHIQQPIEKPPPKPTKSYTSASSDRPYACPYEGCEKAYIHEYKLNLHLRREHPGHFQEENPKNTDHDHEMDAGSDQDGHNTGKRVNPKSHKQNRPKPNVRHPPAKVVHHKAPMVGNVMKKQPWPIVKGVYEEEDSEETEEDRDNGEDRWGYGDRNGEDDDDDDEETEYED >cds-PLY75268.1 pep primary_assembly:Lsat_Salinas_v7:7:65722939:65730240:1 gene:gene-LSAT_7X48161 transcript:rna-gnl|WGS:NBSK|LSAT_7X48161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTSSSILKDPVSMASSSSSSIMASPAPNANSYDVFLSFRGEDTRHSFTDHLYKELTQAGISTFRDNEDINRGEELKPEIEKAIKESRASIVVLSQSYATSSWCLDELMLILEQRKECNQLVLPVFYHVDPSDVGKHNKTFAIQVKDSSRWTEYNVNLWKKALEEVALLAGLILSGPETEFLKKIVDTIYNKLDYKKFHLPVNLTGMATRYKDIKSFINEPNVNFLAICGMGGSGKTTLAKYIYNSSWRSFDNMSFLEEISDRCKRYDDLLQLQEQLLNDILGGKNRRIPSVSQVTCRIEEALQTKRVFIVLDDITDHRQLVTLLGTGKINAQSKIIITSRVLITDQWFESRSWRCQKYTIRLLNDDESLSLLCDHALISKFPTEGFKKLALQALHYCEGNPLALAMLGRLLAEENSIPFWESTLRSLEKEIVSGIQCILLRSYELLPHESNRQLFLHIACFFIGKDKDYVEKILEPDYYAISGIKILSNRFLLSVSPNNKLMMHSLLQEMGKDIVRQEALKFPAKRSRGSETLEGLALDLEMLRTEVPVKSLDLKTDALNKMDKLKLLHLNFVYLDGSYQNVSEDLRWLCWFGFHLKTIPSDLSMGKLVAIDMSYSNLEVFELPMVLQSLKILNLKDSYNLFEIRKLSRIPHLETLILWSCHRLVYICETIGELKNLSVLNMTGCKSLFMMEHTNLLVGLEAFAFSRGVEKQPTFSFPHSIRQLFLKDCNLECTDSFPLSFSVQLSLEYLNLCNSLFEFLPCYNHLRNLRVLDLSFCTRLKWLLCLPSTLAELYVYYCTLLERITFESPRFTLQEFGYEGCISLCEIEGFIKLVPVAKLEENDLGHMKWLKEYQNHEVCLIGDDELTKGRSSCIQMLYEFDIMSTSLPDIKDPNMKPTYVSEFSSLYFNVPSDPENRKIKGLDVTFRYKILSDDCAWFCKISSTNGVDFMYNPKVFGKPDSDEVGIWLSYWPIGNNLNVGDIVNVSIVVKSGLEVHGCGVSLVYTDDKVAEEILENNKGWVEILGGNLSGFQLSTGSYYLCRRDFFELMEVDRLTPDWFRNLVGDTIHFIEVQGWRKTGRPKQLNQSFTELKTVRCIIHGPELEIVLGVNLRSQTQKQEVIEALSQLQGIHSIHVDTEDGRLTVIGDLDPVNVVNCVSKIETAKVISVGPAKKHNSDVGTAFTSAKKRRSSTSSTSYIFGSRSTVTDQDRLS >cds-PLY88555.1 pep primary_assembly:Lsat_Salinas_v7:7:7643464:7645380:-1 gene:gene-LSAT_7X6981 transcript:rna-gnl|WGS:NBSK|LSAT_7X6981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKLFWGKDPFDDPFFTGHFHDHGGSRKEITIEELDTDGGNSAPNTQVSVTKTPTHKSQESDSFSFRRVAYGGVNGVYYSSSIGQRTGSDGVVFLEIKEEDKSVGQALHTISRGIHEKGHTLTKKRDPFGKEDSLQILHNLNEDELNAFEENWKVKADKHIPGWNDGFNLLENAGMEGYGELGWKDEGGWGRWTLPWRDEWGTDGGQPSSGRVKKVTPVDIM >cds-PLY72412.1 pep primary_assembly:Lsat_Salinas_v7:5:309939174:309940030:1 gene:gene-LSAT_5X168940 transcript:rna-gnl|WGS:NBSK|LSAT_5X168940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGKVKKGAGGRKADGSRKKAVSRSVKAGLQFPVGRIGRFLKLGRYARRVGSGAPIYLAAVLEYLAAELLELAGNAARDNKKTRIIPRHLLLAIRNDDELGKLLGGVTIAHGGVLPNINPVLLPKKTAAKEPKSPSKATKSPKKDKKAE >cds-PLY74039.1 pep primary_assembly:Lsat_Salinas_v7:7:10892452:10893453:1 gene:gene-LSAT_7X8561 transcript:rna-gnl|WGS:NBSK|LSAT_7X8561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKFRGFLLKHRVISLFRCIRRRNQLSPRGYHRLNPSLRSFSKFLKWGARVKAKARAVCSINPAFRSGYFRIGKDSVVETDHAPPHATVPKGKMAVYVGQDDGGFERVLVPVIYINHPLFGQLLMKAEEEYGHDHPGGITIPCRISEFENVKTRIAAGSGGRKLIPSPW >cds-PLY92350.1 pep primary_assembly:Lsat_Salinas_v7:9:178201466:178203408:1 gene:gene-LSAT_9X110101 transcript:rna-gnl|WGS:NBSK|LSAT_9X110101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g15690, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G15690) UniProtKB/Swiss-Prot;Acc:Q9ZQE5] MASLMAIRRGTLTSSFKVTCIRSSHSIFIRNSLKTLSTSTAPNSFDSPPNDQINTNPWNLQHNHPQGNLNQWDNRDQRYPNQPNPAQQNFYPENPNQRQNYPPNAGQKNYHQEYPNQRQNYPPVAGQQSFNQENPSHRQNYPSNDGRQSFYQTNTNQRQNYPPQPPIQSQNYPPQPPIQRQNFQQHQPSKYSPQNPNPSETHIPRIPIARNNDEVQRASSHASSAKVDLLKICREGKLKEAIELMDEGELADAECFNALFALCGKFKKLEDAKKVHDYFLRSSYRGEIQLTHKVIDMYSKCGSMVDARRVFDHMSDKTMDTWHLIISGYASNGLGDDGLEMFEQMRNHGLQPNEETFLAVLESCAGAEAIEEGFIHFESMQKEYGISPKIEHYLGVLAIFGKSGHLDQALEYIQTLPFEPTSEIWEAVMNYARIHGDIDLEDRAEEILINLDPSKIDPKKIPTPLPKKFSAINMLEGKNRVGEFRNPSLYKDEEKFRAANKEQSYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRVCGDCHNAIKIMSRIVGRELIVRDNKRFHHFKEGKCSCGDYW >cds-PLY97955.1 pep primary_assembly:Lsat_Salinas_v7:3:19309244:19309847:-1 gene:gene-LSAT_3X15001 transcript:rna-gnl|WGS:NBSK|LSAT_3X15001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKIVRVLFMVVVSIAITIVLITIASVGDNHKTSLPSKSLHLKPFPKRVSRFLAENNPRAADHCKKDDEICYILEGKNSTCCNNKCMDMSEDKHNCGACKNKCKFTSSCCGGECVNLAYDKRHCGSCGNKCMPGGYCIYGLCNYA >cds-PLY73782.1 pep primary_assembly:Lsat_Salinas_v7:8:292142551:292142787:-1 gene:gene-LSAT_8X162621 transcript:rna-gnl|WGS:NBSK|LSAT_8X162621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKSEDNGVQTRTTTEREPPLIEGVGSRTRVRFQLPGEKQIMEESDQLLQGLGPRFQPVGSWISRIDSSLSSCDF >cds-PLY81646.1 pep primary_assembly:Lsat_Salinas_v7:1:110721822:110722193:-1 gene:gene-LSAT_1X89800 transcript:rna-gnl|WGS:NBSK|LSAT_1X89800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESYSKSASIYEEEEEDDVDTAEDVDPDTNVIICDNIHASYFVSPSLSEDEYSDNEASNSLGPSSDSPSITTKSVLVEHLFRLDNTPTQSVSHKSPILKDSILVCIFPPPVQHETPNLQAWVL >cds-PLY89437.1 pep primary_assembly:Lsat_Salinas_v7:8:31135372:31135783:-1 gene:gene-LSAT_8X22561 transcript:rna-gnl|WGS:NBSK|LSAT_8X22561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLEGGMAFFLTVLFAFTLISSTITVEAQRLGVVSKIPPPPTETGSWGGSWSCWGDKVCDKKKGTASVAAVSPPQAIQKKGG >cds-PLY81857.1 pep primary_assembly:Lsat_Salinas_v7:9:181814406:181815068:-1 gene:gene-LSAT_9X112060 transcript:rna-gnl|WGS:NBSK|LSAT_9X112060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRALRIYGEVLRLVRRLPADSRPYYAKYARENFVNYREVDANDDTALDELFLRAYNHSLWVLNKYSVDQAAASKLKEICGGA >cds-PLY91872.1 pep primary_assembly:Lsat_Salinas_v7:8:199543443:199545379:1 gene:gene-LSAT_8X126900 transcript:rna-gnl|WGS:NBSK|LSAT_8X126900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATATTTASATSASISHRSPLCNSVDKPSPAFSKPIFKPLHKTLSFTLTHKARSTTAAKNPISDVISSSESYPDEEDLVFDEDDKPREECGVVGIYGDPEASRLCYLALHALQHRGQEGAGIVTATAEGVLKSVTGVGLVSEVFNQSKLDQLPGDNAIGHVRYSTAGQSMLKNVQPFVAGYRFGRVGVAHNGNLVNYQTLRAELEENGSIFGTSSDTEVVLHLIAISKQRPFFLRIVEACEKLKGAYSMVFITEDKLVAVRDPYGFRPLVMGKRSNGAIVFASETCALDLIEAKYEREVNPGEVLIVDKDGIQSLCLMPHPEPKSCIFEHIYFSLPNSVVFGKSVYESRQQFGEILATESPVDCDVVIAVPDSGVVAAIGYANKAGVPFQQGLIRSHYVGRTFIEPSQRIRDFGVKLKLSPVRAVLEGKRVVVVDDSIVRGTTSSKIVRLLKEAGAKEVHMRIASPPIIASCYYGVDTPSPEELISNRMKVEEIREFIGADSLAFLEIDSLKKMLKGDSGNFCYACFSGDYPVVPSGLVKRVGDFVDDGLNGSIGSIDGGWLEGSKEKKGEDLDVNYEEQVHV >cds-PLY70978.1 pep primary_assembly:Lsat_Salinas_v7:9:70851545:70851787:-1 gene:gene-LSAT_9X59420 transcript:rna-gnl|WGS:NBSK|LSAT_9X59420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKPTNLRCFTAILLAFLLLSQYSFAAARPLTTGNIAASSTPEKVQFDAGKFKSLYLSSLPKNTRVPASGPSRRTNANKT >cds-PLY83405.1 pep primary_assembly:Lsat_Salinas_v7:8:1044017:1052130:-1 gene:gene-LSAT_8X981 transcript:rna-gnl|WGS:NBSK|LSAT_8X981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPYPAVFIQKYLRVSLNPLRTNSFQLRRRLHLSPRLIRPLPPSSRPALVLICSSSSSHVSSSKHLIKRTRNKDSYSLPYFYQQSLPYGRYAYDEYASEEESDRENLQLPQSLGASTIDNIEEWQWKLTTLIRNKDEQEVVSRERKDRRDFEQLSALATRMGLHSHQYSKVVVFSKVPLPNYRSDLDDKRPQREVVLPSELHSRVDYHLKSYLSQKSATRDGYPNNTLPTSRTSESLVGEADEPLRQSPVAEGILRRKSFQLRNKQQDWQESVEGQKMQELRRSLPAYKEKEALLNAISRNQVIVVSGETGCGKTTQLPQYILESEIEAARGAVCSIICTQPRRISAMSVAERVAAERGEKLGDSVGYKVRLEGIKGRDTRLLFCTTGILLRRLLLDRSLQGVTHVIVDEIHERGMNEDFLLIVLKELLPRRPELKLILMSATLNAELFSSYFGGAPMMHIPGFTYPVRSYFLENILEMTGYRLSTYNQIDNYGQEKSWKMQKQTLRKRKTQIASAAEDALGAADLRELNPWTRDSLSCWNPDSIGFNLIENVVCHICKKERPGAILVFMTGWDDINSLKDQLQSHPLLGDPTKVLLLACHGSMDSSEQKLIFNKPEDGIRKIVLATNMAETSITINDVVYVVDCGKAKETSYDALNNTPCLLPAWISKASARQVIVVSGETGCGKTTQLPQYILESEIEAARGAVCSIICTQPRRISAMSVAERVAAERGEKLGDSVGYKVRLEGIKGRDTRLLFCTTGILLRRLLLDRSLQGVTHVIVDEIHERGMNEDFLLIVLKELLPRRPELKLILMSATLNAELFSSYFGGAPMMHIPGFTYPVRSYFLENILEMTGYRLSTYNQIDNYGQEKSWKMQKQTLRKRKTQIASAAEDALGAADLRELNPWTRDSLSCWNPDSIGFNLIENVVCHICKKERPGAILVFMTGWDDINSLKDQLQSHPLLGDPTKVLLLACHGSMDSSEQKLIFNKPEDGIRKIVLATNMAETSITINDVVYVVDCGKAKETSYDALNNTPCLLPAWISKASARQRRGRAGRVQPGECYHLYPRSVYDAFAEYQLPELLRTPLQSLCLQIKSLQLGSISDFLSKALQPPEPLSVQNAIEYLKIIGALDDKENLTVLGRKLSVLPVEPKLGKMLILGAIFNCLDPIMTVVAGLSVRDPFLMPFEKKDLAESAKAQFSGGDNSDHLALLRAYDGWKAAERQQSGAEYCWRNFLSSQTLRAIDSLRKQFYHLLRDCGLVENMEKCNTWSHDQHLIRAIICSGLFPGICSVVNKEKSVSLKTMEDGPVLLYLNSVNAQEPRIPYPWLVFNEKVKVNTVFLRDSTAVSDSVVLLFGGTVSKGAMDGHLKMLGGYLEFFMKPGLAATYLTLKRELDELIQMKLLNPKIDIGNENEKGLVEALKLLVSGDRCEGRFVHGRKVSQAVLKKETKNKKPASMSSTSGSVAEHDNSKNHLQTMLLRAGHQPPSYKTSQLKNNKFRSTVVFNGLDFVGQPCGSKKLAEKSAASEALHWLTGETHSSKKAIDHISSLLQTNKKRR >cds-PLY75834.1 pep primary_assembly:Lsat_Salinas_v7:3:69912397:69914557:-1 gene:gene-LSAT_3X53440 transcript:rna-gnl|WGS:NBSK|LSAT_3X53440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFADWFHFPIRMTLFIVVVSPPLEIVVASILSDCKRRNVRKEKYRASSQQRCGSIELAVQGSSEAVTTDNSLRDYQITSSSNSDGWENPLMGWTLTGDPYANFGEAGLSFESEDAAKAFVEKHGWEYVVHMLLPFFIYSK >cds-PLY95397.1 pep primary_assembly:Lsat_Salinas_v7:9:189507086:189510260:1 gene:gene-LSAT_9X116940 transcript:rna-gnl|WGS:NBSK|LSAT_9X116940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPVTQSICCPKCQEPVAVDGDVQIQSKPESGKFVSVNKGLHVCIGNMLHRHTHSSSSTIPNSLSSHEKSTLDTIRKWETIKGKRALLCAVTYDKQKYQLKGTNYDVIKMHEFLMTQFKFPSASIHILAEMDSHPHPTKRNIQEALRWLVKDNQHGDSLVFYFSGHGLRQPDFFDDENDGFDETICPLDFRTEGMIIDNEINDTIVRPLKKGVKLHAMIDACHSGTILDLPHVYSTKEHCWSENKPPSGAYKGTNGGLAISISACEDNQLAADTSAFSESGKQMEGAMTHTFRKAFSENSKATYANVLSSMHDNILAAKKKNFSISRLFHRERLQEPLLSSSEEFDLNEAFVL >cds-PLY65887.1 pep primary_assembly:Lsat_Salinas_v7:3:108408568:108409129:1 gene:gene-LSAT_3X79420 transcript:rna-gnl|WGS:NBSK|LSAT_3X79420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSRNQLQVTHVQSQEGVKVCDCVVPAKERICWKITNPERRFWNCQNSMTRLRKCSFFEWKYEEQADGYYKNLLYSLKQKLDAKEELSEMNNLRRRIAEVEFLLS >cds-PLY83040.1 pep primary_assembly:Lsat_Salinas_v7:5:51802559:51806200:1 gene:gene-LSAT_5X25100 transcript:rna-gnl|WGS:NBSK|LSAT_5X25100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVRKDMSWRRVANSLQAFSAHTALFCFTLLLVLKLDHLVSYSWWVIFFPLFLFHAVVARGRFSLPAPSVPHGRDWAPCHAVVATPLLIAFELLLCIYLENSHVTKPPPVSLQIVFLPLLAFEVTILVDNFRMCKALLPGDDESVSDDAIWETLPHFWVAISMVFFIAATVFTLLKLCGFVDALGWWDLLINFGIAECFSFLVCTKWSNPVIHRNMHASEASSSSNAIRYLDWNSGLVVSSEDTSEDSMCSLQDVGGHIMKIPIIAFQILLCMHLEGKPAAARSLSLPVIFSPLFLLQGVGVLLSGSRLGEKIVILLRQGSGTGTYFTYSARARDCFGFLHRGSRLLGWWSIDEGSREEQARLYHDGASGYNTFCGYPPEIVKKMPKKDLAEEVWRLQAALGEQTEITKFSQQEYERLQNEKVLCRVCFEGEISTVLLPCRHRILCSTCCEKCKKCPICRVSIEERLPVYDV >cds-PLY78758.1 pep primary_assembly:Lsat_Salinas_v7:9:48908815:48910593:1 gene:gene-LSAT_9X41380 transcript:rna-gnl|WGS:NBSK|LSAT_9X41380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERNTPVRKPHTSTADLLTWSENPAADSPATGSAASAARSSARSHQPSDGISKVVFGGQVTDEEVESLNKRKPVSGYKLKEITGSGIFAAGGENNIEETDPSNPTPTNKTGLRMYQQTVAGISHISFGEEESVSPKKALSEAKQRELTGTLDSESEARLKKQISDAKNKELSGHNIFAPPPEIQPRPLAARALALRETISIGESAPNPEDSMVKTAKKIPSQKFTELSGNNIFKGDEAPASNEKSLSSAKLREMSGSDIFADGKAESRDYLGGVRKPPGGESSIALV >cds-PLY95857.1 pep primary_assembly:Lsat_Salinas_v7:5:65628159:65631246:1 gene:gene-LSAT_5X31200 transcript:rna-gnl|WGS:NBSK|LSAT_5X31200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLAFSSANLAATNKFSSAIPARNGVINCRVNFKENGRLNTQILTNEQETMPNFLNLNQFERIPTKKDDNRLRIFSGTANPLLSEEVACYMGLDLGKIDIKRFADGEIYVQLRESVRGCDVYLVQPTCPPANENLMELLIMIDACRRASAKTITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGADRVLACDLHSGQSMGYFDIPVDHVHGQPVILDYLASKTIKTDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTISKGAALLHHEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTVPVSEKNYFPQLTVLSVANLLGESIWRVHDDYSGGYEHFSGLGID >cds-PLY61969.1 pep primary_assembly:Lsat_Salinas_v7:5:160561238:160563637:1 gene:gene-LSAT_5X71761 transcript:rna-gnl|WGS:NBSK|LSAT_5X71761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNTKFPIRYLIVILTFLCTCVCYIERVGFSIAYTIAADDAGVSQSSKGTILSTFYYGYAFSQVPGGWAAQKIGGRRILLFSFVIWSLTCALCPLDPNRVMALVIARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSLSLTTSGMYLGAALGMLFLPTLVKFKGPQSVFLAESALGGLWSLLWLRFASDPPRSDHPKATAAGFGEYLLPIRDYQKLKAEIITTQIPWRKIFLSLPVWAILVNNFTFHYALYVLMNWLPTYFELGLKFSLQEMGSSKMMPYLNMFVFSNIGGIIADHMVTNRILSVTNTRKVLNTVGFLVSAVSLMLLPVFRTSEGVILCSSVALGFLALGRAGFAVNHMDIAPRYAGIVMGVSNTAGTLAGIVGVELTGQLLEAAKTKNSDLSSPNSWRAVFLIPGLLCIASSVIFLLFSTGERIFD >cds-PLY70634.1 pep primary_assembly:Lsat_Salinas_v7:4:228260745:228261149:-1 gene:gene-LSAT_4X125480 transcript:rna-gnl|WGS:NBSK|LSAT_4X125480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYLHIRNHPIVHRDLKSPNLLVDRKYIVMVCDFWLSRLKANTFHSSKTAAGILECMALEVLRDEPSNEKSDASNSSCCIDRH >cds-PLY84521.1 pep primary_assembly:Lsat_Salinas_v7:1:29787598:29790188:1 gene:gene-LSAT_1X25400 transcript:rna-gnl|WGS:NBSK|LSAT_1X25400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNRFSCKTKNNSNGSKGSRRFGGGNRSQRKLQSDEELLHMQALSLAIQHHQSSQRFDGSLSRRIGSTSSRRRNNPISDPTLTNPKQQKQSPEFLENLETKKIVLIHGEGFGAWCWYKTIALLEESGMLPTALDLTGSGIDLKDTNNVTTLEDYSKPLTDYLQNLPEDEKVILVGHSSGGACVSYALEHFQDKISKAIFLCGTMVADGQKPFDVFAEELGSAELFMKESKFLIHGNGKDNPATGFMFEKQQMRGLYFNQSPAKDIALAMVSVRPIPLGPIMQNLSLSKEKYGKGRRFYVQTLDDHALSPDIQEKLVRENPPEGVFKIKGSDHCPFFSKPQSLHKILLEIAQIA >cds-PLY95675.1 pep primary_assembly:Lsat_Salinas_v7:2:111348368:111350237:1 gene:gene-LSAT_2X50620 transcript:rna-gnl|WGS:NBSK|LSAT_2X50620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEQHKSSNSKPPHVLLFPFPSQGHINPLIQFAKRLLSKGVKTTLVTTIYTSKTSPSSNTSIPIEPISDGFDEGGYLSADSSEAYVQKFREVGSKSLADLIKKLESEGNPIDAIVYDSFLVWALDVATQFGINGGCFFTQACAVLNIYYHVHKELLSIPPVATVSLPGLPLQLQPWETPSFVQDPGSYPGWAHGVFNQFSNIHLARWVFSTTFYKLEEQVIDWMRKMWALKAIGPTIPSMYLDKQLEDDYDYGMSLFKPNHIECMKWLNDKPKGSVVYVSFGSGGKLEPEQMEEIAWGLNETDTNFLWVVRETEKEKLPKGYVASKGLVVTWCRQVEVLAHESIGCFVTHCGFNSTLETLSLGVPVVGMPQWTDQPTNAKCLEEIWGVGVQVKADEKGIVTRENLVSCIKEIMEGERGVVARRNATKWRDLAIQAVGEGGSSDKDIDEFVSQLKT >cds-PLY83380.1 pep primary_assembly:Lsat_Salinas_v7:5:95102128:95108231:-1 gene:gene-LSAT_5X43741 transcript:rna-gnl|WGS:NBSK|LSAT_5X43741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVGVHIENTNAAVDENNSQISMDDDVDENIENTNAMVEGNNSPVNMDNDVDEKPNRPMLVTFLTFLLCTKGILMTAQKKRSYDDEEPKKRGGDIEMVDNPSGKKAVSVPIYSYTLCS >cds-PLY69716.1 pep primary_assembly:Lsat_Salinas_v7:4:174884222:174885422:1 gene:gene-LSAT_4X101921 transcript:rna-gnl|WGS:NBSK|LSAT_4X101921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPSLLSTFLSVAKPSLLNQVAYGLSFLEAFNTTTFLKPAEGGILSKARTPSINFEALVLERALNVQGLLGRKKLLKNTLKKAAYAWKLIVLYDFLGNVHPSHRRTRH >cds-PLY75084.1 pep primary_assembly:Lsat_Salinas_v7:2:94071702:94078396:1 gene:gene-LSAT_2X40980 transcript:rna-gnl|WGS:NBSK|LSAT_2X40980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPIRSEIRFRSKLVLTKPDQIKPVTGFKPVWLFGLEIGLTPGSQTGFYKNRFLNRRKIWFFVDDTPIRVYKNNIIRGVGYPNHTLQVITSFWDGSSWATDGGRMKVNYSNAPFHVNFQDFNINGCISLPNSPNKDCASQKYWWNNKKYWQLNPQQLKSLEDVRKKYMKYDYCTDKSRYPTPRQECSEK >cds-PLY83526.1 pep primary_assembly:Lsat_Salinas_v7:8:188291656:188294659:-1 gene:gene-LSAT_8X122180 transcript:rna-gnl|WGS:NBSK|LSAT_8X122180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKRYGGFVRSNYLCVVLCLVAIVNAEDPYRFFTWNVTYGDIYPMGVLQQGILINGQFPGPEIYSVTNDNLIINVFNNLPEPFLISWNGIQQRRNSYQDGVYGTNCPIPPGQNFTYKLQVKDQIGSFFYFPSIGFQKAAGGYGAIKILSRPRIPVPFPDPADDYSILIGDAYSTNHTRLEKIMDLGWRLPFPDGILINGRGDGGVSYNVEQGKTYRLRISNVGLQNSLNFRIENHTMTLVEVEGTHTVQTLLSSLDIHVGQSYSVLVTADQAPQDYYIAVSSRFATQNLNTTAILRYSNSGKAVSGTPPPPIDTDITWSLNQARSIRTNLTASGPRPNPQGSYHYGQINITRTIKITGSASIIDRKQRYNVNGVSFIQADTPLKLADYFNISGVFKVGSIPDAPTNQNPYLDTSVMGADFRDFIEIVFENRENVMQSWHLDGYNFFVVGMDGGIWSDTSRNDYNLIDAVSRSTVQVYPKSWTAIYVALDNVGMWNIRNEFWVRQYLGEQFYLRVYSPVMSIRDEYLLPENALLCGQAVGKKS >cds-PLY87353.1 pep primary_assembly:Lsat_Salinas_v7:1:97746202:97747118:1 gene:gene-LSAT_1X79480 transcript:rna-gnl|WGS:NBSK|LSAT_1X79480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGVPPDLEPDVIMKRKGENVNPKNKLEIDSGEASKLPSNPLKAKSVTLLVNKFESEVKSPVIKGLSDVSKSKGPRFGVNLVDSLLDVGCDVISVENKGLGLSNGSEGAVIGSKISSTVVMADIVGSPMVNHVIRNPIKKEDGVIEGFKIGQGKSCHY >cds-PLY78429.1 pep primary_assembly:Lsat_Salinas_v7:2:167271280:167277912:1 gene:gene-LSAT_2X89101 transcript:rna-gnl|WGS:NBSK|LSAT_2X89101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAETVKAMPAQAEKKKEQSLPFYQLFSFADKLDYVLMIFGSIGAIVHGSSMPVFFLLFGQMVNGFGKNQTDLSTMTHEVSKYALYFVYLGLVVCVSSYAEIGCWMYTGERQVSALRKRYLEAVLKQDVGFYDTDARTGDIVFGVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWKLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRESYANAGIIAEEAIAQVRTVYSYVGETKALNSYSDAIQHTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLFEIIKQKPTIHHNTVNGKCLTEVNGNIEFKEVTFSYPSRPDVIIFRSFSIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNQGQILLDNVDIKTLQLEWLRDQIGLVNQEPALFATTILENILYGKRDATMADVESATSAANAHSFITLLPNGYNTQVGERGIQLSGGQKQRIAIARAMLKNPKILLLDEATSALDSGSESIVQEALDRLMIGRTTVVVAHRLSTIRNVDSVAVIQQGHVVETGTHEELISKPGAYASLIRFQEMAGNRDFSNPSTRRTRSTRLSHSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNAETDRKNPAPSGYFFRLLKMNAPEWPYSIMGAVGSVLSGFIGPTFAIVMSNMIEVFYYDNPDKMESKTKECVFIYVGAGLYAVVAYLIQHYFFSIMGENLTTRVRRMMLAAIMRNEVGWFDEEEHNSSLVAARLATDAADVKSAIAERISVILQNMTSLLTSFVVAFIVEWRVSLLILGTFPLLVLAHFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTIAAFNAQDKILSLFSDELRVPQKQSLRRSQISGILFGVSQLALFASEALILWYGAHLVKNGVSTFSKVIKVFVVLVITANSVAETVSLAPEIIRGGEAIGSVFSVLDRSTRIDPDDPDSEPVETIRGEIELRHVDFSYPSRPDVIIFKDLSLRIRSGQSQALVGASGCGKSSIIALIERFYDPSAGKVMIDGKDIRRLNLRSLRAKIGLVQQEPALFAASIMENIAYGKEGATEAEVIEAARAANVHVFVSGLPQGYKTPVGERGVQLSGGQKQRIAIARAVLKDPTILLLDEATSALDAESECVLQEALERLMRGRTTVLVAHRLSTIRGVDNIGVVQDGRIVEQGSHGELLSRGADGAYSRLLQLQHHRI >cds-PLY64083.1 pep primary_assembly:Lsat_Salinas_v7:5:138972764:138974417:-1 gene:gene-LSAT_5X60900 transcript:rna-gnl|WGS:NBSK|LSAT_5X60900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQDYFLVPNGHRRRIRRSISCGNDRDDRGWTMLHVGARKGDLKEVKRLLDEGMDANVAALGPKSHGVTPLHLAAKGGHVKVMDELLERGANIDARTKGACGWTPLHTAAKEKNRKAITFLIENGAFLPDNMEDTRFNPPVHYCHGLEWAYDEMKRVQQESGSGSSSGEGEGSYSSES >cds-PLY84631.1 pep primary_assembly:Lsat_Salinas_v7:1:32208388:32210091:1 gene:gene-LSAT_1X27401 transcript:rna-gnl|WGS:NBSK|LSAT_1X27401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISQQHYDHTPCNTTIPLLNISTYSCNSADTKTCNTFIIYRPQSNQTLSAIATLFNVNESQLNSTTHFQDREVIVPIICNCPDLSSRSIINYTNFNSYSFPDIACGVYQGLVKPFVLAQQNGNLHGTPLVRVPVKCACVNTSNERNDTRYLVTYPVMENDTLDMIASKFGVTVGSIEEANEMDPPQTIFGGTTLLVPTTGVPVLNLDHAVNDPSSHGIVPVSTGILNRSTRTRFSILFLIVFSTIFLFVVLLFLVFIKWKLSHREPPPVSITRSEFNRCSPDLLSGMLKLKHSLTSFSIDELKLATNDFSESSFIGKSVYKGRITKDYFVAIKDMNSIKSANHVVNILTTINHFNVVKIEGYCFDMDKSYLVFEYTENGSLRDCLRESKTRKHLTWGKRVKIAFDLAEGLHYIHYCTKPMYVHRNISTENVLITTDCRAKISGFDFATPVLYTTEVEGGGGIWPKSVLVGETTVDVYAYGVVLVELLSGKGAAMGRKWLDGVEFVVDGGGSPESLEKFKMFMDGDLEGEYGLGDAMCLALLAKCCIQDDPQYRPTMNDVLKNLSRIL >cds-PLY83475.1 pep primary_assembly:Lsat_Salinas_v7:2:182431034:182439830:-1 gene:gene-LSAT_2X104821 transcript:rna-gnl|WGS:NBSK|LSAT_2X104821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPGDDVAFSTTERQDDEEELKWAALERLPTYDRLKKGILKQVLDNGQIVHQEIDITTLGPQDKKLLIESILKVVEEDNEKFLNRIRSRTDRVGIDIPKIQVCYKNLSIEGDVHVGSRALPTLLNASLNTMEGLLRFFKLVPSKKRVVKILHDVCGIVRPSRMTLLLGPPASGKTTLLRALAGVLNSDLRVTGDVTYCGHQMSEFIPQRTCSYISQHDVHYGEMTVRETFDFAGRCLGVGTRYDLLQELSRREKEEGIKPDQEIDAFMKATAVSGQESSLATDYVLKILGLDICADIMVGDAMRRGISGGEKKRVTTGEMLVGPAKMFFMDGISTGLDSSTTFQIVKYMTQLVHIMDVTMIISLLQPAPETFELFDDIILLSEGQIVYQGPREDILAFFESVGFECPERKGVADFLQEVTSRKDQQQYWFRTNEPYLYISVSEFSQLFSQFETSECLYDDLSLPYDKTQMQPAALGTKKYGISNMDLLKANLAREWLLMKRNAFLYIFKTFQITIMSIITFTVFFRTEMKSGRLEDGGKFFGALFFSLLNVMFNGAVELALTVKRLPVFFKQRDSLFYPSWAFAIPIWIMRIPISFTESLIWVVLTYYTIGFAPSASRQVSTLLFFKQLLAYIVLHQMASALYRLMAAIGRTQVIANALGTFMLLVVFILGGFIIAKDDIEPWMIWGYYISPMMYGQNAIAINEFLDDRWSTPNPDPRINKTTVGKVLLKSKGMFTTDYMYWVCVIALFGFSLLFNLLFVLALTYLNPLRDSKTVVPTEDEQNKKHQKTDTEMAARMKGMVLPFQPLSLAFDHVNYYVDMPAEMKKTQGIEENRLQLLQDVSGTFRPGILTALVGISGAGKTTLMDVLAGRKTSGYVGGSISISGYPKNQETFTRVSGYCEQNDIHSPHVTIYESLVYSAWLRLSPDITTETRQMFVEEIMDLVELNPLRNAIVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVTYTGPLGHHSNKLIEYFESIPGVNKIKQGQNPATWMLEVTSSAVETQLGVDFAEIYANSDLYKQSQELIEVVSTPVPGSKDLYFPTKYSQSFWTQCMACFWKQHWSYWRHPQYNVVRFFMTTIIGILFGVIFWDKGQKTEKQQDVMNLMGAMYAAVLFLGGTNTSTVQSVVSIERTVFYREKAAGMYSPIPYAFAQVAIEVVYVGIQTIIYSLLIYSMIGFQWSADRFLWFYFYMFMSFVYFTLYGMMLVALTPNYQIAAISMTFFLNFWNLFSGFLIPRTQIPIWWRWYYWGSPVAWTLYGLITSQLGQNESLVEVLDQNSVTVKEFIKEFLGFEYDFLGYVALVHVCWAVLFCVVFAYGIKFLNFQRR >cds-PLY95171.1 pep primary_assembly:Lsat_Salinas_v7:1:170344656:170344817:1 gene:gene-LSAT_1X113621 transcript:rna-gnl|WGS:NBSK|LSAT_1X113621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVSKKMTDSCSEQELDELRRGPWTLDEDNLLIHYITCHGEGRWNSLAKSSGT >cds-PLY88286.1 pep primary_assembly:Lsat_Salinas_v7:6:126836478:126838167:-1 gene:gene-LSAT_6X77581 transcript:rna-gnl|WGS:NBSK|LSAT_6X77581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYLSAYAFSCQILQKRNPHSSSSLSLIHQKGVDFNDSSLDDLIRWGNSNNSFIVVDSLAFSQHLLPAYFKHNNFSSFIRQLNTYGFRKVDPDRWEFVNEWFVIDVYEANEQ >cds-PLY99633.1 pep primary_assembly:Lsat_Salinas_v7:6:82093268:82095991:1 gene:gene-LSAT_6X58420 transcript:rna-gnl|WGS:NBSK|LSAT_6X58420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKFFTNEGNGIPQAWNSIFGIGIQGSELNCSSEQLPNCFFNPNCENSMDQRDIFESALSSIVSSPANSHPGIPIPGGGNGGESIVLRELIGRLGSICNSGEISPQSRINGNNSTNNSSYNTPLNSPPKLNLSITDHQIHGSLPVLGNHQLPPAPFSTDPGFVERAARFSSFGGRSFALKENEFSHVMESGKLSRSQFAGIPEENKKFSRLSMFSTQDNTDIGDSREESSVSEQILGGETETETVMKGQSTSTNGRKRKGKGKETQSSTTTLPVKDDKVVAEAEKAESDAKRSKSDEEEKEKEKEKEKTNENVNVKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRMDVSMEAFLSKDMFRSRVPLQTNMTPFDASTHQFPYGFQSQNAGIVLDGPDNQFSLNPLMAAMHRSSIMKPSHIDGFEASALWENDLQSVVKMALGGQDQPQSFHGQMKVEL >cds-PLY74298.1 pep primary_assembly:Lsat_Salinas_v7:3:127921625:127926237:1 gene:gene-LSAT_3X87560 transcript:rna-gnl|WGS:NBSK|LSAT_3X87560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSDFIGCAIQACIRVSFFPTAFVKHPLGSIGTCYLTTHRKTPPKMASIPPLIDPLSSSLLCSTTTSVPATAFFHRSCLTSSPPVLVARLSSPFRLTDPRRPLLIVAAATTLMSNFVQEPDDEDFGFEIWQDSNESPEPDYGGGLCTQGVTHSIANNDLARDGLTEVATLSERFVLGIGVSRRVVAAAASAVSLFQYISICIAEVATELPEDLKVLRPPHLPSLLLDARICFLGIPIRNSNIVPEVTELLVAQFMWLDYDNPPKPIYLYINSSGTQNDKMETVGSETEAYAIADIMSITNTNFFQTTEDLEFNWVIEGDGCKLDSRTLILPTLVFNWVIEGDFGS >cds-PLY91426.1 pep primary_assembly:Lsat_Salinas_v7:7:132855594:132856703:-1 gene:gene-LSAT_7X80600 transcript:rna-gnl|WGS:NBSK|LSAT_7X80600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDALRRPNLDLSNSSPLSSIYTKTPQTPTSSTFPYNKCNVPTPPQALPKENSNESVESNKKLIDSIAKGLIGLAAAVSVCLDSPALVESLTIAFPASRTHEVGECCAANSRRDLGANKVNTGTRNSNKQWWKCFHLEQLMPHTARLKECFLLLEIASLRLLPRIISPKEYQSFRIGSDGNVQGVGLFVNTEPETGCIVLC >cds-PLY74484.1 pep primary_assembly:Lsat_Salinas_v7:7:34182711:34182992:1 gene:gene-LSAT_7X25361 transcript:rna-gnl|WGS:NBSK|LSAT_7X25361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGRKDKGHSTNSSSSSAGHRREGGLSSNSTNEPRFRTSLIPIDMPIVTGVIGSNSQGAINEQTDMVDPLADLAGILHHYVQGTGHMSQLL >cds-PLY88383.1 pep primary_assembly:Lsat_Salinas_v7:5:62220574:62232446:-1 gene:gene-LSAT_5X28440 transcript:rna-gnl|WGS:NBSK|LSAT_5X28440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNRKFVFKVQISKFNLQNNYPTYTIHKMIDDELFVGVVFKHSPSYKEDSIHYIGWWSYPKKGGTEHLGLPVFISVADAKAETKANASVYVPPPFAAATIMEALEAELDLIVCITEGIPQHDMILGVKRIERVLPTGTPLTVVELPFELHGSHGFLSHLQSLLETNGSVVSQVAEGSGQKEDKKDASVNVKVKIRLPM >cds-PLY99797.1 pep primary_assembly:Lsat_Salinas_v7:9:53176333:53176914:1 gene:gene-LSAT_0X7701 transcript:rna-gnl|WGS:NBSK|LSAT_0X7701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMVGEEICIHKVVEGRVKVVVATYICKEEEVMEMAKEEICIHKVGEVRVKVVVATCKCMVEAVTVTVVEVTCKHKEEVVKVKVAVVICKCTVEEVMLMVGEEICIHMVVEVRVKVVVATCRCKEAAVMEMVVEVTWKHKEEAVKVKVAVGIYRCTEEEEEPMVMVVEETCRYREYEEMVVVVIYKYMELVAT >cds-PLY86657.1 pep primary_assembly:Lsat_Salinas_v7:4:279953151:279954164:1 gene:gene-LSAT_4X76221 transcript:rna-gnl|WGS:NBSK|LSAT_4X76221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQENTTPSKQHKKDESQSSGGDGCSRKTSTMKPPEQTVKCPRCDSPNTKFCYYNNYSLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKKTRSASLRFLNGDSSSKDSSLDIGGLSLFSGLSPPAMDFQLGGLNQFPSSSNNPPAYLNLDSLGFNFPLMKQDHHNHQLHGGLTSFQDIGATNNLATSIESLSSINQDLHWKLQQQRLAALFSTGGGGGGGGGGGQSDQQQQQNQIVIESQAQKLQPILFHNLEIPKPSQTSMASDLRKDGGGGSVGLETEWFFDNSYVPINVDQPTSMAPADTNAAGNYQSGSINNWNNGIQVWNQFNQYSALP >cds-PLY92614.1 pep primary_assembly:Lsat_Salinas_v7:7:163025893:163026875:-1 gene:gene-LSAT_7X95881 transcript:rna-gnl|WGS:NBSK|LSAT_7X95881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQQNYLYASKPQVQRGKCIKKGQILAYGAAIVGGELALGKNVLIADMPWEGYNFEDAVLVSERLVYEDIYTSFHIRKYEIQINQGSERVTNEIPHLEVHLLRNLDKNGIVMLGSWVETGDILVGKLTPQMVKESSYAPEDRLLRTILGMRVYTSKETCLKLPIGGRGRVIDVRWVQSSKTDETEKTESIRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGRPVDMVFNPLGVPSRMNVGQIFESSLGKQIVDPWIFEPESPGGVYRAWVHIHRGMLIRDY >cds-PLY75918.1 pep primary_assembly:Lsat_Salinas_v7:9:198492092:198492524:-1 gene:gene-LSAT_9X122621 transcript:rna-gnl|WGS:NBSK|LSAT_9X122621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTFQGMFGRNPIRYTDGITQRFSDIDFATMDKDGCVAFIERFTGEKCKKLYCCQPDIDFPKDHFRNTNMQEWLDEHKDEVVGNIEEEVLDGARLVKEVATGHRDVGDINDE >cds-PLY85088.1 pep primary_assembly:Lsat_Salinas_v7:7:6207157:6208046:1 gene:gene-LSAT_7X5540 transcript:rna-gnl|WGS:NBSK|LSAT_7X5540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASVASREMPSETIAKVNGIIISAGDSFVLPAKYEGEGVHSDLIRSLLKVQHIHRGRVTCIFTVKPYVCNRYNTLHGGAVGSIAEIVAIACARTVVHKDKELFLGELSVSYLAAAVNQAEVMIDASVVRSGRNLTVVAIEFKLKDKEILTYLCRATFYNMPVASL >cds-PLY68928.1 pep primary_assembly:Lsat_Salinas_v7:2:194403657:194406025:-1 gene:gene-LSAT_2X116301 transcript:rna-gnl|WGS:NBSK|LSAT_2X116301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPIKHNQTMNILGEASPSPSPSSATAPALVLSNSGKRMDQAGKKKYVKQVTGRHNDTELHLAAQRGDLAAVKQILDDIDSQMVGTLSGADFDAEVAEIRASVVNEVNELGETALYTAAEKGHLEVVKELLKYSNKETIMRKSRLEFDTLHIAASQGHDAVVKLLLDHDPSLCQTRSQGNATPLITAATRGHTAVVKELLSKDPSLLTIPRSNGKNALHLAARSGHVEIVEALLKEEPLLGRRTDKKGQTALHMAVKGVSSEVVKLLLEADAAIVMLPDKSGFTALHVATRKKRAQIVNELLSLPDTSANVNALTRDHKTALDIAEGLPLSEDSADIIACLTRCGAVRANELNQPRDELRNTVTQIKNDVHTQLLQTKKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDDDDGMAVVVNRASFKIFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEVINKLMWLASVCTSVAFMASSYIVVGRKYEWAAILITVVGGVIMAGVLGTMTYYVFKSKKNRLKRKKEKIAKSGSNSWLHSEFSNSEVDRIYAI >cds-PLY96116.1 pep primary_assembly:Lsat_Salinas_v7:3:101964851:101966456:1 gene:gene-LSAT_3X75241 transcript:rna-gnl|WGS:NBSK|LSAT_3X75241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKSIHILLCADLAMELESDTEHNHNMIIDHKIPTHNMQIDPSRSRFPCCIVWTPLPVVSWLLPFVGHIGIGREDGVILDFAGPNFVCVDNFTFGAVTRYIQISKDKCSITSHPATMYRSEEEYKLVESGRNQHEHTWDDFLRKSTQEYQHHTYNILTCNCHSFVANNLNRLEFQGGGWNVVNVAIMIFMKGKWVSVKSMIQSYLPFVIIFFVGIIFGGVSFVWFLGLFAFVLVGWYLLGTYCFKNLIKL >cds-PLY86961.1 pep primary_assembly:Lsat_Salinas_v7:5:264975931:264976881:-1 gene:gene-LSAT_5X136060 transcript:rna-gnl|WGS:NBSK|LSAT_5X136060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHILLLLSLFTFTTHAAVQDFCVADVKFPESPAGYACKPATVVTVDDFVSTGLRASGNLSNIIKAAVTPAFAAQLPGVNGLGISIARLDLAPGGVVPMHTHPGGSELLLVTQGFIKAGFISSANTVYVKTLQKGDLMVFPQGLLHFQVNAGGVTAVAFASFSSATPGLQILDFALFANDLPSSLVEATTFLDDATVKKLKAVLGGTG >cds-PLY85510.1 pep primary_assembly:Lsat_Salinas_v7:2:196251970:196253705:-1 gene:gene-LSAT_2X117061 transcript:rna-gnl|WGS:NBSK|LSAT_2X117061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFYDKRISQEVNGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLVRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGDNDLPGLTDVEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTNKAGKEVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAADYQKLLASRLKEQREKRSESLAKKRSRLSSASKPSIVA >cds-PLY67244.1 pep primary_assembly:Lsat_Salinas_v7:6:134412686:134415660:1 gene:gene-LSAT_6X81481 transcript:rna-gnl|WGS:NBSK|LSAT_6X81481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64720) UniProtKB/TrEMBL;Acc:Q9XIR9] MDLVGAMLKILQGPNLWNVLPDLMMFMLPLWTAVLFGVLVGWVWRPRWANSAINNLSTTCLPPKNYSSIDIVSSIPSFNSLKSQLPSCLFPFSDGSPEVSSSEPASISFSDTSSSKLDTDRKNFVGEGDLEHLSKLVEEKDGGPSWIVMMEKSTSNMSYQAWKREPEIGPPQYRSRSVYEDTTPELMRDFFWDDEFRLKWDDMLIEAETLEECQENGTMVVKWVRKFPFFCSDREYIIGRRIWDSEKTYYCVTKGVQYPSVPRRTKPRRVDLYYSSWMIRAVESRKGDGEMSACEVLLFHHEDMGIPWEIAKLGVRQGMWGAVKKIDRGLRWYQKHVSSGAPLSHCGYMAHINSKVSEAHLRYLNNTTNDSSQEIERCEELVNDEKPSGKNLGKILVVGGAIALACSVDRGLLTKAVIFGVARRFAKMGRRL >cds-PLY98954.1 pep primary_assembly:Lsat_Salinas_v7:7:49361311:49361928:-1 gene:gene-LSAT_7X34960 transcript:rna-gnl|WGS:NBSK|LSAT_7X34960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAVSMAMPLTHKTALPPSNSFFNPLTLKVSSSKIVAAPSKRLVVQSSLKEKAVTALTAAALTASMVVPDVAEAASSVTPSLNNFLLSIGAGGAVLVAILGAVIGVSNFDPVKRG >cds-PLY98913.1 pep primary_assembly:Lsat_Salinas_v7:7:51554340:51556437:1 gene:gene-LSAT_7X37460 transcript:rna-gnl|WGS:NBSK|LSAT_7X37460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGNFPNIFADGYGSPHDDLNQKADFTLKYVVGTTIKDYFPRLTQSQRLLFEASPFGRFLGMHVPYGDTLLGHLMMLHEVRSQQVFEMGRFAWGTYLWTYTSGLMRGMFEKIENFRIFKQTNPEFKKVHKYTVASFMLLFKPNNQPISIVANATYLMLSFYIRCINWSLTKEEPPTRQHSPPIVASPPRRKKYKPETSSTESAANASSSQHLEVERTYMSSDTSTRLVKKKKMGTKALVKRLLSVVADLSSKVDRILQKKDEPDTGFGEEDDMVNKEEEETYYHGT >cds-PLY64497.1 pep primary_assembly:Lsat_Salinas_v7:3:15307251:15309024:1 gene:gene-LSAT_3X10740 transcript:rna-gnl|WGS:NBSK|LSAT_3X10740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTSMADLWGKWPRKTAEFLSSVINQLVFIVFDFLDVVMCIFFSYVDKILEGKPTECYCRSQNGISDCEEKNTGISDSLFGRKNVFREMGFSGITPSRSGQRQSSKKTGSNDMGKTRWSDCGCASCVSWMKNGEDAKLHVVVKEPIPSEGEGNDDDSFENVIFLHGFLSSSFLWTESVFPELNGSKYKLFAVDLLGFGNSPKPRECRYTLNDHMEMIEKSVIHEFNLPSFHLVAHSMGCIIALALAAKHPNNLKSITLIAPPCFLSSGEEDATQIALKKLAYKRLWPPLSFGASFMTWYEHLGRCVCFFVCRYHTTWEKILKLITRQRKLNFLVRDLTRHTHHSAWHTMHNVICGGAKMMDPCLETLRLARARVSVVQGSRDLVVPVECSNNVKVKVPDAEVKIINGVGHTAVIIGREKEFAKDLQLIWDSVTNAKG >cds-PLY66096.1 pep primary_assembly:Lsat_Salinas_v7:1:109378304:109381192:1 gene:gene-LSAT_1X85721 transcript:rna-gnl|WGS:NBSK|LSAT_1X85721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHQNQHSPTFRQRFRQATLEERFTGRFCTDVFWAISSAAVSKTIADIAERRFTEKELERMKLPQNPDEIVKSKSMKERLSGRIKNLRVNTVNVIRYVPTQALNFAFKDYFRRLFNFKKDRDGYLKWSAGNFVSGSAAGTSSLLLLYSFNHASSRLANDEAKKVGERQFSRLTDVYRKTLASDGFAGLYRGFKISCVSVIVYRGLYFGMYDSLKPVVLTGSWRDSFYATCALALVTTSGASLASYPIDTVRRSMMMTSGEVVKYKSSLDAFNQILKNEGVKSLFKSFSTTILPVVTATSVLVVYDRLQKPFLDALRMKRLRDESEHVAV >cds-PLY62610.1 pep primary_assembly:Lsat_Salinas_v7:9:73228001:73228789:-1 gene:gene-LSAT_9X61260 transcript:rna-gnl|WGS:NBSK|LSAT_9X61260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGFLKDEKSKRVLRGIRTVFFLITMIISFLIFSAPILVAVADVLLPTALFSASLSSPSDDPSFLQTLSSHLSNYDFRYSLIDIPLISIIRSGIILCVYGLCDGHGLSTTTGPYLGITTVCSVASLFFVSLKASYVFGGGSGGCGATEVALFVCSPALAIGHIVVAYRTSCRERRKLLVYKIDIEAVSFFFFFFFSIYFLNLKIPKLYNYSSIMYNLSSIKMSDLARLQRI >cds-PLY88310.1 pep primary_assembly:Lsat_Salinas_v7:3:86664578:86668311:-1 gene:gene-LSAT_3X66961 transcript:rna-gnl|WGS:NBSK|LSAT_3X66961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQPVTSQKIDRNNTMIRWYCSYYRGMNGQVFLENLNTTLSSLRKQLSRVNNYHVVSKTVINGESVYGQALCRAHLSTAECLSCFDSAVSYLKVCGMGNGAHVFYDDCDLRYENKNFYNDAIMKTSTIAICGNTTSSHPKEQKKTASGLLSDLRTAVPRIPSYFAASTRQITGTNETVYAIAQCGRNISQSMCADCLKIRFGLLDNCLPSTSGKAIDDGCFMRYSEIPFFQANQTTDITSFLTHGYSRKLRSIIGGVVGGIGFLLVILAFFFWRRQSKKSKHRREDNSSRATNLLQRTIVYTYNDLKTATNNFSNENIIGGGLNMLYKGVMKDQVTVAIKKIVVASKSGKTDLDSEIQIISNVHHRYLVRFLGCCRKGPHMYLVLEYMENGSLDKFLYGDKRGTLNWQQRFDIIYGTARGLAYLHDQYHVTIIHSDIKPSNILLDDEFQPKISDFGLLKLLPEDKSHLSTKVSAKVTGTLINGYIAPEYAMNGQCSDKVDIYSFGVVILEIISGKCCNDMLQNEFLLLNLVDHARSLYENDDHLNLMDLTLDPSEYIKEDAKKIIEIALMCIHSTASARPSMSDVVILLSGFSSEVKRPIRSMMDDSDIKIQVELK >cds-PLY72809.1 pep primary_assembly:Lsat_Salinas_v7:6:22361422:22362024:-1 gene:gene-LSAT_6X16960 transcript:rna-gnl|WGS:NBSK|LSAT_6X16960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKQPVLGRQRRTNPFIWCFAVICSLIATSVIIAGIVVFSGYLVIRPKMPLLSVRASRLDHLYYDRTGVMAVRLTILIRAENHNQKAHATFYDTRLLLGYHGQNIARLVANPFDVGKNATRELNYVVESSPIPLPPEEQYLTQQSLSKSKLMLFFLKGSSRTMWRVGPLGSVKFWLHMDCELLLPVNGSVVNSHCSTKSH >cds-PLY64858.1 pep primary_assembly:Lsat_Salinas_v7:3:17041288:17041719:1 gene:gene-LSAT_3X12280 transcript:rna-gnl|WGS:NBSK|LSAT_3X12280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANALRLRRSLALTSSIFNHKNFFSVLPSVAPSSSPSITQQNPSIFDVKQSKPSNLSFQFISSRAFSSRPRHNNVDMGGDQINPDDVLFEGCDYEHWLITMDFPKDPKPSPEEMVETYVQTAAKVLGRSYLPLIACLSALIV >cds-PLY94867.1 pep primary_assembly:Lsat_Salinas_v7:2:176494785:176499859:-1 gene:gene-LSAT_2X100140 transcript:rna-gnl|WGS:NBSK|LSAT_2X100140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAQHQQHMETTSSSSSMKHQLDNGKYVRYTAEQVEALERVYSECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSQLVYENGHMRQQLHTASAVTDASCESVVTTTPQHSLRDANNPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLVSLEPTKIVEILKDRTSWYRDCRNLEVLTMLPAGNGGTIELVYTQVYAPTTLAPARDFWTLRYTTSLDNGSLVVCERSLSGSGGGPHAAPSAQFVRGEMLPSGYLIRPCDGGGSIIHIVDHLNLEAWSVPEVLRPLYESSKVVAQKMTIAALRYIRQIAQESSGEVVYGLGRQPAVLRTLSQRLSRGFNDAINGFNDDGWSLMNCDGAEDVIVAINSTKNSNSLSFLGGVLCAKASMLFQNVPPAVLVRFLREHRSEWADFNVDAYSAASVKASPYGYPGMRPTRFTGSQIIMPLGQTIEHEEMLEVIRLEGHAVGQEDPFVSRDIHLLQLCSGVDENAVGACSELIFAPIDEMFPDDAPLIPSGFRIIPLDPKSGDLKDALATTHRTTLDLTSSLEVGPSTNHGPADTLTTRSVLTIAFQFPFENNLADSVATMARQYVRSVINSVQRVAMAISPLGMSPSLCPKVSPGSPEAVTLAQWICHSYMYHLGSDLVSSGSVAGESLLKDLWQHQDAILCCSLKSLPVFIFGNQAGLDMLETSLVALQDITLDKMFDDAGRKALFPEFAKIMQQGFAYLPGGICMSTMGRHISYEQAIAWKVLAADESTVHCLAFSFVNWSFV >cds-PLY81968.1 pep primary_assembly:Lsat_Salinas_v7:9:152713336:152729698:1 gene:gene-LSAT_9X97401 transcript:rna-gnl|WGS:NBSK|LSAT_9X97401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLQPKSSTIFLFWYLISICALVLEASNNNDGGFELEKKEEHHVKISDFPTGFFFGAATSAYQVEGAYLEDAKSLSNWDTFCHSVGCGENGENGDIADYHYHLFLKDIEIMHSLGLKAYRFSISWARILPRGKFGEVNPMGIMFYNKIIDNLILKGIEPFVTIHHFDFPQELEDKYGSWLNHEMQEDFVHLADICFKYFGDRVKYWTTFNEPNLFTDFAYMWGAYPPSRCSKPFGNCRTGNSDVEPLIVMHNMVLAHGKTAKLYYKKYKLEQGGSIGIVVNCLMFVPLTNSKLDVEAAQRAFAFSIGRVLDPLIYGDYPQEMHEYLGNQLPKFSIHEKYILKNSIDFIGINHYSSIYTKDCTNSTCSPTANRAIQGFLDIVAMRDGVLIGESTGIEGFYVVPKGIEEIVNEIKFRYKNKPMFITENGYSSPDLHEKQVNVILNDTKRVKFHQEYLEFLAKSIREGADVRGYFVWSLMDNYEWLSGYNVKFGLYYVDRETQTRIPKLSAIWYKNFLLNKTYPINIRAYA >cds-PLY80469.1 pep primary_assembly:Lsat_Salinas_v7:2:136911741:136913191:1 gene:gene-LSAT_2X67221 transcript:rna-gnl|WGS:NBSK|LSAT_2X67221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAMKKKTEPGDMRQLFERLTKEIGEPVEFELPDWLNKWKPNYTIIKRNIYLTKKVKKRVRVEDDGIFCSCTSTPGSSAACGRDCHCGMLLSSCSSNCKCDDSCLNKPFHRRPMKKMKIVQTEKCGSGVVAEENIMQGEFIIEYVGEVIDDKTCEERLWRMKRQGETNFYLCEINRDMVIDATFKGNQSRYINHSCSPNTEMQKWMIDGETRIGIFATRDIKKGEQLTYDYHLVQIKIVIVVQKGVD >cds-PLY69056.1 pep primary_assembly:Lsat_Salinas_v7:3:206244893:206254580:-1 gene:gene-LSAT_3X121180 transcript:rna-gnl|WGS:NBSK|LSAT_3X121180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSTSAVTRNYDRKAELTAFDETKTGVKGLVDAGVTEVPRIFRLPSPENQNSDEELNLPTIDLEGINEDPIRRKEVIQKVKDALESWGFFQMVNHGIPISMLEEMTKGVIGFHEQDNEVKKQWYTRDQSGRRRVVYNSNFDLYSAPVTNWRDSFLCTMYPNPPQPEELPSPCRDIMLEYSKQVMKLGSCILELISEALGLDPNHLLDMGCAEGLAVLGHYYPPCPQPELTIGTNDHTDNDFITILLQDHVGGLKIFYQNQWTNVRPIPGALVLNAGDLLQLVTNDKFVSSRHKVMANKVGPRVSVASFFMKYPKYPTESLRVLEPIKELLSEDNPAKYRGTTMKEYIDYYRAKGLDGTSALLHFKI >cds-PLY65469.1 pep primary_assembly:Lsat_Salinas_v7:9:116027835:116040989:-1 gene:gene-LSAT_9X80721 transcript:rna-gnl|WGS:NBSK|LSAT_9X80721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKFLFTFLFVSVSIWLILLFTARLVSSILSRVLKASVGFRISGWRCLRDVRIKFERGVVESISVGEIKLSLRKSLVKLGVAFISRDPKLLFVISELEVVTRTAEKTTKTTKSCRPKTSSGSRKPGKKLMVIANVARFFSLSVRDLVVKRNGRLH >cds-PLY85093.1 pep primary_assembly:Lsat_Salinas_v7:7:6188373:6190932:1 gene:gene-LSAT_7X5460 transcript:rna-gnl|WGS:NBSK|LSAT_7X5460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKKEHIAIFTTASLPWMTGTSVNPLFRAAYLAKDGHRKVTLVIPWLSKNDQEYLYPDKITFDTPKEQEKYVREWIEKRTDLLCTFDIRFYPGKFSRSKRSILALGDITESIPDSESDIAVLEEPEHLTWYHHGKRWKIKFRLVIGIVHTNYLEYVKREKNGRAYAFLLKYMNRWVVDIYCHKVIRLSGATQDLPRSIICNVHGVNPKFLEIGMKKREEQKAFSKGAYFIGKMVWSKGYKELLELLGDHQKELDGLEVDLFGTGEDSVQIQEAAEKLNLTIRVNPGRDHADPLFHDYKVFMNPSTTDVVCTTTAEALAMGKIVICADHVSNEFFKQFPNCRTFKDGDSFVKVTRQALTEQPAPLTDSQMHELSWDAATTRFLNCAELNKAPEKKPKKSDSKTSFMTSSLSFNRNLEDASAFMHFVGTGFVSPVPNEEQRKELGLTTPSKKDGFTLWKGA >cds-PLY93186.1 pep primary_assembly:Lsat_Salinas_v7:8:267143513:267146212:1 gene:gene-LSAT_8X154441 transcript:rna-gnl|WGS:NBSK|LSAT_8X154441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phytyl-1,4-beta-naphthoquinone methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G23360) UniProtKB/Swiss-Prot;Acc:Q3ED65] MALATVLQFSFAPVAGPPTRRRQQIRSSADRQALFSRIAPVYDNLNDVLSLGQHRIWKRMAVNWSGAKEGNNVLDLCCGSGDLAFLLSQKVGLNGQVTGLDFSREQLIVASKRQQLRAKACYCNLKWVEGDAVDLPYPDCYFDAITIGYGLRNVVDKYKAMKEVYRVLKPGSKASILDFNKSTDEFRTSIQGWMIDNIVVPVAAGYGLTEEYRYLKSSIQEFLTGEELEHLALESGFSSAKHYEISGGLMGNLVATR >cds-PLY62572.1 pep primary_assembly:Lsat_Salinas_v7:9:76412819:76418367:-1 gene:gene-LSAT_9X60880 transcript:rna-gnl|WGS:NBSK|LSAT_9X60880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENRAMLGFTILLLCYDSFASNAEEAFDIRHHLSTVTRYHVVKEKKKNFLASPSIPNQCSPIHLNLVARHGTRDPTKKWIKDMDTLSIRLKSLVKNAKERGSSLENLPPWLQNWSSPWKGKTRGGYLVPEGEDELYNLGIRIKERFPELFSDNYHPDIYHIRASQVPRASASAVAFGMGIFSGQKEGKHRAFAVASESRANDIMLRFHDCCQNYREITKNQESVVQKLKQPVLDEIKGSLTTRYELNFTLNDISSLWFLCKQEASLFNIIDQACGLFTNDEVNLLEWTDDTETFILRGYGNSLNYRMGVPLLEDVISSMEQAIIANKERHAPGSYEKARLRFAHAETLVPFSCLIGLFLDESEFEKLQREETMELPPKPPQKRIWKDSEVAPFGGNNALVLHHCPSNNETKYFVQVLHNEQPMPMAGCGKADFCPFEVFKEKIASPHLKHNYNNICKGKLEQMDNESYTTKLLEMLSWFF >cds-PLY70336.1 pep primary_assembly:Lsat_Salinas_v7:4:98780167:98782313:1 gene:gene-LSAT_4X63860 transcript:rna-gnl|WGS:NBSK|LSAT_4X63860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVFTGDHGLPSDGVLFPDTHLSPFTLPTTDSFTDQHKISIDHHHFLPPQPPQKLRPIRCNGRSFTDCCASDDPLDTKPVSWQPEAEFYNTEIGDGSFIPPKPSTLGLSSKECQTEAALSSSDESSKLQEPIEEPVNKKKRKRKSRKKLESFIESMMKTVIAKQEEMHKQLMEILEQKETERIKREETWKKQELERAKREEQARKQEISRSLALITFIQNTLGQTIEIPNPNLDATQENQNEETCKPDNPGNNNDLEPICHDPISSSNLEDHDQCDSNMKRWPKSEVQALITIRAALNQKFTGKCQRGGVWEEVAAGLSGMGYNRTPKKCKEKWDNINKYYRRTMENRKESSGKSQVCTYFNELEMLYKTGFISGNSHEEDQNQTMKELH >cds-PLY82014.1 pep primary_assembly:Lsat_Salinas_v7:9:157999464:158000107:1 gene:gene-LSAT_9X99401 transcript:rna-gnl|WGS:NBSK|LSAT_9X99401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTILTLRSKDNHLFTIEQAAAIKSITIKNMLDEGCSSDVIPIPNLDSKILALVVEFLKADNHDLKAFIEEQQISTLIDLVNAANYLDIKDMLDTVCQKIADMIKDKDVEEVREIFHIVNDFTPEEEKAIRDEYAWAYQKTEK >cds-PLY95112.1 pep primary_assembly:Lsat_Salinas_v7:1:91861458:91864070:-1 gene:gene-LSAT_1X76580 transcript:rna-gnl|WGS:NBSK|LSAT_1X76580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAKVAIAVVGGFLGWIYTRIKPPPPRVCGSPGGPPITSPRIQLNDGRHLPYREWGVSKDKANYKIIVISYCPPTETDLSSGKKLVVVVYNSQGWKRSDVIRLPAVSENIVVHDSNGKEVESWNFVNEAFYKHTKTGKDGIEVGTGNLKLIYSGSEGKVSQYVNSRSSITASVKQSYSFYAGFDGTTGEQVNLGIHLKDETSELSLLVDRSVGGSSIVDGQLELMLHRRLLYYDGKGVAEALNETVCVGNDCRGLTVSIFYKSNWGGCQVAPILWSRNILSTSFGVH >cds-PLY69672.1 pep primary_assembly:Lsat_Salinas_v7:5:213613016:213613545:-1 gene:gene-LSAT_5X97560 transcript:rna-gnl|WGS:NBSK|LSAT_5X97560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLNISQISAPKYTIRRWCCWSHADGDKEEDLYNHAPTARLQGDGDDDDGDNDYSPIASERDSDDDDGDYDYAPAGLDENGADDDDYCEYALAMNYMINNI >cds-PLY66137.1 pep primary_assembly:Lsat_Salinas_v7:7:31447155:31451716:-1 gene:gene-LSAT_7X23140 transcript:rna-gnl|WGS:NBSK|LSAT_7X23140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFGYHRRRLLLTCAIFLLQLQLFLVVTLAKTQVSLSSSYNTSSTTLRGRELLGCDIFRGKWVVDNSYPLYAASSCPFIDSEFDCLKHGRSDTQYLKYAWQPDSCKLPRFNGLDLLNKWRGKKVMFVGDSLSENMWESMACLLHGSAPKSKYSFLHKDSITSLTFQGYGVTIYLYRSTYLVDIVKESIGRVLKLDSIQGGDAWKGMDVLIFNSWHWWTHKGHIQPWDYVQSGSKVSKDMDRLEAYYKGMTTWGRWVDLNVNPSKTKVFFQGISPSHYQGREWGSSSKNCRGELQPLQGSTYPAGLPEAQGVLNKVLGSVKKPVYLLDITTLSQLRKDAHPSSYGGGSGTDCSHWCVPGLPDTWNQLLYASFS >cds-PLY62369.1 pep primary_assembly:Lsat_Salinas_v7:8:113686062:113688227:-1 gene:gene-LSAT_8X78360 transcript:rna-gnl|WGS:NBSK|LSAT_8X78360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEGKKALYHCNYCNKDISGKIRIKRACCSDFDVCVECFSVGAEVYPHKSNHPYRVMLFEKVLSASDVGRIRQLVLPKAYAEVDFFIKNDPNTHLPVIDLNDSLNQQDNKVGDGDCGYTYIPGDHKPPLEDHR >cds-PLY77121.1 pep primary_assembly:Lsat_Salinas_v7:7:184187095:184188073:-1 gene:gene-LSAT_7X109900 transcript:rna-gnl|WGS:NBSK|LSAT_7X109900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGEYGMYKKGSSGWSYMTGNEEFQETDVWSVWNQRNDFDSKSINSKGSSSSSFTSRTIPLSTAARMTPSTGTYNPCMESRIPQQQSTPVSVPDWSMIYGKSNRSSHNSPWLDYGGGDDGDGNVMPPHEWIAHKLARSQISSFSVCEGAGRTLKGRDLSRVRNAVLTKTGFLE >cds-PLY73359.1 pep primary_assembly:Lsat_Salinas_v7:7:62797552:62802901:1 gene:gene-LSAT_7X44940 transcript:rna-gnl|WGS:NBSK|LSAT_7X44940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSASSSSSSLLNHTSCHDVFLSFRGEDTRNSFTDHLYAALVRAGVRTFRDNDNIDRGQELKPEIDRAIKESRASIVVLSEKYANSRWCLDELLLILEQRRSLNHFVIPVFYHVDPSDVRNQRQSFAINVGKRAEGSKWTEYNVNRWKAALTEVADLTGMVVSGSEIDFISEIVRTIKYELDLKLVSTPAHLVGMGTRAKSINSWLENEQSGDNILAICGMGGSGKTTLAKFIYNSNKQKFGSSSYLEEIGKHSKQSDGLLGLLKQLLKDILGEKRASISSVSEGTRKVEGALQVKRVLIVLDDIDEHDQLDTLLGTRASHTQSKIIITTRLLDIRAWFRSISWKCEVQKSELLNDDESLQLLSWHAFGSKIPMEGFKDLAIQLAQYCGGNPLALKVLGSSLFSDAEEPWEKSSMIEVWRSTLNSLNSLKGDLDCKIQGILQKSFDSLPHASNKELFLHIAFFFVGEYEGLVVKILEHDWHAKAGIRTLINRCLLTISPRKKLMMHQLLQEMARNIVLQESRDPAARSRVSQNDESYRLLRKGEGSKTIEGLSLDMQKLKEGMTSNPLTLDTTSIAKMDKLKLLKLEYVKLKGSYKNFPELRWVYWSYCQLKEIPSGLLGSNLVAIDMSYGRLEKFEPPMVLNSMKILNLKGSFDLVSFHHLSRLPNLETLILSHCISLSLTHVCESIGGLKKLSLLDFGWCNHLGKVASNKNENQLLVPLPDSLKILFLNDWNLDGIDDDPLFLIEEPPFFYNHMNLVSNPLMPLTNYTYHGMLRVFDVSGCRNIKSLLCLPSTLEELYTSWCFELEKITFESAQFRLRKFEYQGCDNLGEIQGFFKLVPLVKLDEADLGHLKWIKTYQNTKVNLTGDVIRNFWQTQVLYEYGIMSTYLAGIKDQNMARSEYMSTSAFLSFRVPSCPEKSRIRGLNITASYRISAGTRRDDHTRALFTKVSNTTKGLTWMYNPAIYDISGIEEDAMWLSYWPIGNTLDAGDEINVSIIVGDGFMVSRCGASLAFIDDGEVEPEYYKKNYKKEEEVIGGDLSEFQLTTGAYYLCRRNYFKSTTSPGLLNMLFGDTIPYTDLLGWRKSLQTDYKGSFTEWKAYRDNLFSD >cds-PLY70818.1 pep primary_assembly:Lsat_Salinas_v7:4:58829931:58830554:1 gene:gene-LSAT_4X39380 transcript:rna-gnl|WGS:NBSK|LSAT_4X39380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKEVAKVDQNYSNLHTKVDIVADAFTKIVEFYNSLINKVDLKFESESKHFVKLEEILGNVKELISKLDVSPSSSISQESLSQMFSSLESNMKADLAPLIKFVNLMPSDVTPIKTGVQGGEKVGDSSVSKGVDIGSSKDHSQGKLVGKVMSTQIPTLLPTSMSTTSTTMTSNPLTKGIVIVSSTGGSSSNLPPSKKEMEAKREGYYH >cds-PLY92785.1 pep primary_assembly:Lsat_Salinas_v7:2:149334603:149336710:1 gene:gene-LSAT_2X75420 transcript:rna-gnl|WGS:NBSK|LSAT_2X75420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPKGRLPVRGPSRRSSSSTLIFSILIICLFFVLILLALGILSIPRTSNTFPGAHDLSSIVQRTTDAVRSDRSHNDRWTEVISWEPRAVIYHNFLSEDECEHLITLAKPHMEKSTVVDSVTGKNKDSRVRTSSGTFLARGQDKTVESIEKRIADFTFLPVEHGEGFQVLHYEVGQKYEPHYDYFRDEYNIKNGGQRMATVLMYLSDVEEGGETVFPQAKGNISAVPWWNELSDCAKKGLSVKPKKGDALLFWSMTPDATIDPSSLHGGCPVIKGNKWSSTKWIRVNPYYT >cds-PLY98620.1 pep primary_assembly:Lsat_Salinas_v7:1:36627939:36630398:-1 gene:gene-LSAT_1X30941 transcript:rna-gnl|WGS:NBSK|LSAT_1X30941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQPSSFPHQQPTKHRATFIFLVITVFSSILILIGVLYLLYYLWYTLIHRTRTSPLDSCPEFLKLHRYSYKELNSATNGFSDDNYIGKGGSGTVYRGILRNGKLVAVKMLDSESLDAEREFQTELRILGGLESQFIVSLLGYCFDKKKRLVVYEYMPNRSLQESLFSDRSLNWETRFDIILDVARALEFLHRECDPPVIHGDVKPSNVLLDTEFRAKLADFGLSKLKIEPEFGVDLFSQDLSGTLAGGGVGESPAIGTPVDSSNANEVDFALALQASSSSTPTNNKVVCNNPKFGFTNEKGKDIENGGGDDWINNKFTNYDDDLGLNFDNNHIGESNMNPSDDRKTGKKQWGKDWWWKQEGSGELCSKDYVREWIGSQTCSSANPDWDDDKNFELKDSNDNENKPENEEQSGWKRHRKMQEWWKEEHLDELSNKKVEKKKKIKRKLKLSIFRKKRKTNRHNHENEIDPNTEFSFRKNSKKNSEDLFSRELSSTTSMRGTLCYVAPEYGGCGYLMEKTDIYSFGVLILVIVSGRRPLHVLSSPMKLEKANLISWCRQLAQGGDLLELVDERLKEGECYNKVQAILCVNLALACLQKMPELRPDIGDIVKILNGEMDLPVVPFEFSPSPPSKLVGKSKSRRKNNNGD >cds-PLY93169.1 pep primary_assembly:Lsat_Salinas_v7:8:268943854:268945077:-1 gene:gene-LSAT_8X155541 transcript:rna-gnl|WGS:NBSK|LSAT_8X155541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNFEKHVEFVGIHRKNYEWSVIDEARVDAFRVFAAAVASRNGGDPNIKYGWYGGSREEIREILCHGFRRFENRSSSYGHGVYFSPANNPMASARSSMADSDGLMHILLCRLILGKPEAIPFGSRIDQPTSTEFNSGVDDISSPTKYIIWEPYMNTLVLPVFIVSFKADSSKTGDQQSVRMKRKPHLRFDVLMKHLANYISSSNMALINRQREAFYKNKISRHAFIRSLRMIAGDEVLRPIMKMFYGPQ >cds-PLY81466.1 pep primary_assembly:Lsat_Salinas_v7:5:334357597:334360162:1 gene:gene-LSAT_5X183880 transcript:rna-gnl|WGS:NBSK|LSAT_5X183880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWEKSRNNSIPEKSPSVGDPTENRKRKHDSTEPLNQTQVKKRPKRDARLPSSLHDFYVELTGTKRKPRKIRKTGVCMMNASGNNNFYEDICDICGGDYGELVGCDDCAATFHLSCLQIEELPSEPWYCMYCCCKFCGEVSLGAHICWIRDWLFSCCLCDDKFHKTCGEVNFAKIESDDLALCGKTCHEMYEALQAILGVKIEVGEGFSITILKHFDVSEDLETDDAKKIKYCNSKLAVALSVMKECFLPIVEPRTRVDMIQNLVYNCGSNFKPLNHGGFFTAILEKDDEVISVASIRVHGKKLAEMPFIGTRKIYRWQGMCRRLLHSVESVLSFLGVEELVIPAIPNLLGTWTTVFGFKPLEESTRQNMKSMSIVVFPGTYMLQKHIPQNQSTN >cds-PLY63804.1 pep primary_assembly:Lsat_Salinas_v7:6:26720097:26721709:1 gene:gene-LSAT_6X20641 transcript:rna-gnl|WGS:NBSK|LSAT_6X20641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYKIANASEYLVVTGCGIDDIKIAKKAWVLPGQSYSVLDISPVNYTFEVQVMSSEKLPFILPAVFTIGPRSDDDESLHKYAKLIAPHDKLSNHVKELVMGIIKGETRTLAASMTMKKILQGDLKQEMLKKVQPELNQFGLWIYNANYKQLADVPGHEYFTYLGQKAQMEATSQATVDVAEAKMKGDVGSKLREGQTLQNAAKIDSETKIIATQMQGQRKKEEIKVMTEVKMFENEREAEVTEAKVALAMKKAKWAKDSQVAELEASKAVALREAELQKEVEIMNMLTQTEKIKAEFFSKASVEYETKVQEAKWELYKKQKAADASLYEREKEAEAQKVTAEAALYSRQKVADGDLYAKQKEAEGLVALAQAQGTRIRTLLDAFGGNYTAFRDYLMIDGGMYQEIAKINGEAVKGLQPKISIWAGASGGGQGGDGGAMQEVAGVYKMLPPLYNTVHDQTRMLPPTWMGEITEP >cds-PLY84628.1 pep primary_assembly:Lsat_Salinas_v7:1:29792178:29793310:1 gene:gene-LSAT_1X25420 transcript:rna-gnl|WGS:NBSK|LSAT_1X25420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRSYSLAMPESPCMEFIRCHKNLQRCRTKRVRTWIFISQGNGMFFNISATNRLITSKDHASVQINVGHLDESGRYTGQFSTFALCGFVRAQGDADSALDRLWQKKKVETQQ >cds-PLY91551.1 pep primary_assembly:Lsat_Salinas_v7:1:12194841:12198517:1 gene:gene-LSAT_1X10220 transcript:rna-gnl|WGS:NBSK|LSAT_1X10220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGPPLQPGHLQNGTGPTSEEVGSGPSQPLNGWGDVEQFFGRFDDQQWAAIQRERSKRMEEQKKMFVDRRLCLVLELHHTLLNSTKLRLTVAEEVEVEFEVDGWFYKEIVFRIQYSSDKVGSLIGKSGTIIQAIQNESGAHIAIGAPVSDCDERLITISAMESAAESRNSDSQNAVILIFNRYVESGFQKGMDMTSSSGAQVSTRLVISQNQMGCLLGKGGSIVADMKKMTGAFIKIVGAHQAPKCALKTDQVVLMTGEMINVRDALYNVTGRLRNNLFSNRMSNSHGTGTGTTKGTYTHHQSSVAMSHYPNQHNTNLTQAMDNLKLSSNSIDRPLTPGKWHLPMGQDISTGSTSVGRSAAIMSNMSVEILVPQSVIALVYGENGSKLTRLRQILGAKFVVHELRSGTSDHIVVISERAESLARAVSGDALPIEQLDAYCPENGMILANCSAIGMELDVHLTTVSKENLRSYDLVFDAVYTPRNTRLLQEVVEVGVTVVSGVEMFIRQALGQFRLFTYGLGIHLFSALSLCAILMLN >cds-PLY77316.1 pep primary_assembly:Lsat_Salinas_v7:5:143930740:143932424:1 gene:gene-LSAT_5X63100 transcript:rna-gnl|WGS:NBSK|LSAT_5X63100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSENEFIKPNVVDSDDDDDTVCLGESFFIDDNYELTTFTFGPHVLQLLCLQSSSTDFDLTGQLVWPGARLLNEYLSNNVELLQGCSAVELGSGVGVTGILCSRFCHEVVLTDHNDEVLKACLQDSIFLHTYMILKKNIDLHESSDNPNSCSALSAEKLEWGNTDQLNQILQKHPEGFDLILGADIYILSFLSITYNIQNSVPPLFDTVKELLFQHGKKQCRFILAYVSRSKMMDALVPKEAVNHGLKIHEVDGTRSVIGNHEGVIYEITL >cds-PLY68635.1 pep primary_assembly:Lsat_Salinas_v7:7:132089886:132090938:-1 gene:gene-LSAT_7X79561 transcript:rna-gnl|WGS:NBSK|LSAT_7X79561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESFSEIDVHLDNELKSFQHQVFNQFKTLSESHDDEFLSLNWLSKLLDAFIACHQEFKTILTKHKVDFTKPPLDESLKEFLNKSIKSLDICNAICYGIEKMKYWHKYLEIVSRALNSEHKILISQGQFRRSRKALIDLYNIMYDDSKDSRWFSSSKLKSLKSKDLNRDKWLSKSLSWSVHSSWSTSKQLQSMAKAHEITNTCGLANVVFTMNFVNLFVSWVLMAATPQDKCLHTNISIPRHFLWSTPFSLIYVRIMDEFKKRDSKNNVGLLKENYQMEKSIHFVANLVDYAHDFSLTQEQRAKVELGVNEVELVNDAYEKWLHPLECQVRLVFREIMSIRLEGLEILTRS >cds-PLY81756.1 pep primary_assembly:Lsat_Salinas_v7:3:33152548:33154736:1 gene:gene-LSAT_3X23900 transcript:rna-gnl|WGS:NBSK|LSAT_3X23900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLSASCPLNISCSKTSPKSLKQSSSAFPTSLTLRTSIQPFKTLSLNKNNTKKLFSVRATTLQQPGTKPDSTSGQTSGEQPRPTRVMVIGGDGYCGWATSLHLSNKNYEVAIVDNLVRRHFDDQLGLDSLTPISSIHDRIRRWRSLTQKDINLYIGDVCDFEFLSEAFTSFKPDAVVHFGEQRSAPYSMIDRSRALYTQQNNVLGTLNVLFAIKEFSEDCHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSNNIAFTCKAWGIRATDLNQGVVYGVRTAETEMHEELYNRFDYDGIFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAISNPAKRGEFRVFNQFTEQFSVNQLASLVTKAGEKLGIEVQTISVPNPRVEAEEHYYNAKHMKLIELGLEPHLLSDSLLDSLLNFAVQFKDRVDTKQIMPSVSWRKIGAKPKTVSA >cds-PLY93274.1 pep primary_assembly:Lsat_Salinas_v7:4:301719106:301720363:-1 gene:gene-LSAT_4X153421 transcript:rna-gnl|WGS:NBSK|LSAT_4X153421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSVPSSTNLAPLLDMIPIWVLIRSEMLVLTERRQEVFTTHVAAQYCQTPFIYDIVTKWNADMYLP >cds-PLY67725.1 pep primary_assembly:Lsat_Salinas_v7:4:2295426:2302605:-1 gene:gene-LSAT_4X161 transcript:rna-gnl|WGS:NBSK|LSAT_4X161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKLDLPDDLLSSKPSDHSWTSKVMTVDASGGNGEDKMIGYDSKDQAALDNSIPLSPQWLYSKPGDSKMEMRAPSSLSLGSSADSGLKEAWRADGSDDKKDWRKSGSETESGRRWREEERETGLLGSRRERRKTDRRADVASDNRAPSSDKWLDVGNRNSGNEARRDSKWSSRWGPDEKEKEKEKEKRTTDAEKEDESHSQGNAGNNTRVVSERDPESRDKWRPRHRIMEASSGAFRAAPGFGVDKGRVEGSNSNSNSNPNMGFTVGRGRSSATVAVRPSSPGSIEGVPGKPPGVGDMFFYPRGKLLDIYRTQRLDPSFGNMPEKIQEVPSITQVAALEPLAFVAPDAEEEAILGDISKGKLTGSELVASRSRVIGSSENITVVLDSTSTDGKDGTLLSGDNMIDQNQNDSRFDSQRFKTREGQDANWDQEQEIPQMESYALQTRSNSSTIDQSHDKASNFDGVDLASMGHRPFNDVQPSSFDINSNLVDESNSVFVNPSSEQYWTRNMQDNTNEQLTSGIMPEELSLYYRDPQGEIQGPFLGVDIISWFEQGFFGADLPVRVADAPDEAPFMELGHVMPHLIPRHDYTTSNDPSPNLDKSSDGFQGSLDASVSVPAPVSEMGFLSASDNPHWQLPEFNGLSGKHAQVRIPDHEGSLQHLYSEGQSFHDEEIVFPGRPGSSESASKFMNNHTVPSDLIEPGIQSSSENKMHPFGLLWSELEGSSLRNDQPSKTNLAGGIQQQFVNLPSQRASSFNTIPDADEWSDIYRRNAMDQQANDFDLSEKLRSHQIQQQLLQQHNLLNSQHHLNESMLDHVSINPKQLAGQTGQGLDHLMALQLQQQQRRIELHRQHQLQSHQLNQQQMLLKEQQSRQLLLEQLAQNQMRAAQAQSRADAARSNNALDQILLKHQILNELHQRSQHVDPSSIEHLIQAKYGRQGQGQGHPNELLELIAHAKHGQMEHHMLQQEQFQGRQQLPLPLGLGLRQRMEMEEERRLGSVWPGPVDETTQFLRNAGGLHRVNSSGLSPLDVYQQQQRLPSEELSHFERNLSMQERLQQSVYERSLRMPGGGPAMNLDVNSMGRVNQNMQEFNAPLHHGGVANQHPLASNCFHPSSQMGVGAEGAWSESNNGIGHGHGQMPNEWMESRIQQQQPHIDNGRQKEVRRISEDPSVWMSAGSNDDPSKRLLMELLQQSQNPPEIQSSSTEETLALDVSNNHNNNNNMVRFENRDYFRTNSNRSMNQDVGLNHPSFAGASYGSNSGNTSQGLLVDETIIGLHTTRSSSGAMASPFFSDINESSDNMAAIERGFFDIEGNRRLLKTEGKGVEEEIIFQQGDHGEMPNNVGRHTSAAAVFAGQGGNKNLYNEKIAGEAKDSSRGMVPTSKRSTPDNILVGRASSSSLSSHEGLCEVASRGRDGHSLDEGGGGRENMLMGGKNKKEEGRFRRTSSSSSLSLSLSDAGDVLETASFSDMLKSNHNNTSNNNKKPPLAAADPAAEATAEGHNSKTGAGAGGGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIQD >cds-PLY98013.1 pep primary_assembly:Lsat_Salinas_v7:8:43617927:43618565:-1 gene:gene-LSAT_8X34040 transcript:rna-gnl|WGS:NBSK|LSAT_8X34040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPSMKLIATMLLVLLCLMANVMVEARTCESQSHKFKGTCVSSTNCGNVCKNEGFHAGKCRGFRRRCFCTKHC >cds-PLY77394.1 pep primary_assembly:Lsat_Salinas_v7:7:9325909:9328989:-1 gene:gene-LSAT_7X9680 transcript:rna-gnl|WGS:NBSK|LSAT_7X9680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSISNVAQNLQTANFTSNLSKSQNPSSKPLSFLSFGSKYKTSITQIAVSSNKNLGRKSFTVSASVATTEKPSTVPEIVLQPIKEISGTVNLPGSKSLSNRILLLAALSEGTTVVDNLLNSDDVHYMLGALRTLGLRVEEDGAIKRAIVEGCGGLFPVGKEAKDEIQLFLGNAGTAMRPLTAAVTAAGGNSSYILDGVPRMRERPIGDLVTGLKQLGADVDCTLGTNCPPVRVVGGGGLPGGKVKLSGSISSQYLTALLMASPLALGDVEIEIIDKLISIPYVEMTLKLMERFGVSVEHTDSWDRFFVRGGQKYKSPGNAYVEGDASSASYFLAGAAITGGTITVEGCGTSSLQGDVKFAEVLGQMGAEVTWTENSVTVKGPPRNPSGRKHLRAVDVNMNKMPDVAMTLAVVALYADGPTTIRDVASWRVKETERMIAICTELRKLGATVEEGADYCVITPPEKLNVAAIDTYDDHRMAMAFSLAACADVPVTIKDPGCTRKTFPDYFEVLQRFAKH >cds-PLY68482.1 pep primary_assembly:Lsat_Salinas_v7:2:213401251:213402380:-1 gene:gene-LSAT_2X134540 transcript:rna-gnl|WGS:NBSK|LSAT_2X134540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSYSQSTSALLVLLSIVAFSFFKPLEAAGIATYWGQRTDEGTLAAACATGNYQFVNIAFLTTFGNGQTPVLNLAGHCDPASTCSSLSSDIRACQNQGVKVFLSLGGAVGSYSLSSPQDAQQVSDYLWNNFLGGQSNSRPLGDAVLDGIDFDIESGSGQFWPDLARALAAHNSEKKVYLSAAPQCPIPDAHLDSAIQTGLFDYVWVQFYNNPQCEYGANADALLARWNQWTQVNSNQIFLGLPAATGAAGSGYIPPDVLTSSVLPSIKGSAKYGGVMLWDRFFDQQNGYSAAIKNSV >cds-PLY85730.1 pep primary_assembly:Lsat_Salinas_v7:1:47993214:47993393:1 gene:gene-LSAT_1X42141 transcript:rna-gnl|WGS:NBSK|LSAT_1X42141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNKSACLLKYNLVHKKVSVLVHNGNPIAESLVIVEYIDDVWKGVPILPQNPYDKVVAR >cds-PLY98922.1 pep primary_assembly:Lsat_Salinas_v7:7:49928467:49932112:-1 gene:gene-LSAT_7X36681 transcript:rna-gnl|WGS:NBSK|LSAT_7X36681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSEIGTLEKIPIWPTNPSTVNRRRRPLRSRTVDTLLHILSVCYADSSHITQNSDKDDTHIESVTKDDVLFTCTNITTNDSEHQEETNVDETRVILGTQEESLCNTNLLVEPIEQDHNISEESLDLSSLHLDTNKDVEEGEISGEFTNLVPEDNDVESVDKTGSEEHEDFTGINSGFEKNNSLTLTPLSINKADDIGKDTTVVISKTKRNLVDYSEIALHGKSTVSANNLINNATKNQDFGFPIKDKCAQNNKKRQRSCTDEKRTNKKAKKSVDQSTFPEGLTKTGENPEDASANKDADTKKKEKRVLTAERKAKKKRKEKIKRAEKNRKLGVKRLKLQPVIKEKKIIYCRHYINGRCHEGENCKFSHDTTPLTKSKPCCHFARHSCMKGDECPFDHQLSKYPCNNYLSKGFCPRGSDCMFSHEAQPSEASLNESTSEQKPSSKLQINNHTASSPKPSVQIQPPKGVTFISREKIDTKPKSSPQPPKGISFLSQEKLPLETQSPPKVNSEREMTKTPPEIPRGINFLSFGKKHSDGGFGFSFKIGKSPLSKPEGIIVNVDDGVKAVTQIDNNDKIRTNSEPPARKPMPVLPFLSSTSRRTLESTLAFAAKFDSGVKGI >cds-PLY92055.1 pep primary_assembly:Lsat_Salinas_v7:5:324928558:324940842:1 gene:gene-LSAT_5X180220 transcript:rna-gnl|WGS:NBSK|LSAT_5X180220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLKGKRKTWEPFAKVHDDNLKVVDLEALTPSSSAGKRPIEIVATIDSLEWSSSKGGVVSATLKIPKMEKLGTQPLNPKQEETSPTAMSDPRPPPAFLLAIAEASRWSPSLLHWKVSTVVGWSVAVTNHRTSAVVSVAAGGGG >cds-PLY85600.1 pep primary_assembly:Lsat_Salinas_v7:2:118623332:118623619:1 gene:gene-LSAT_2X55520 transcript:rna-gnl|WGS:NBSK|LSAT_2X55520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPFPISKLGVTAIRKIRYMVWGAYQIMRISYDDIVPPPRQVELEHIPKPTFERRKRPRCSLEATRQEPEPEVDFHHLSRCMDVLHEEHHTILRA >cds-PLY85521.1 pep primary_assembly:Lsat_Salinas_v7:2:195378102:195378317:-1 gene:gene-LSAT_2X117581 transcript:rna-gnl|WGS:NBSK|LSAT_2X117581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGDNGGMLVTWWGLFEEGDERGEMLVTWWGLFEEGDERGEMLVTWWGLFEEGDERGEMLVTWWGLFEEGD >cds-PLY86155.1 pep primary_assembly:Lsat_Salinas_v7:6:156144618:156146855:-1 gene:gene-LSAT_6X95101 transcript:rna-gnl|WGS:NBSK|LSAT_6X95101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:Projected from Arabidopsis thaliana (AT4G17040) UniProtKB/TrEMBL;Acc:A0A178V785] MEVATMASHFFPSARIVSKTNSRSNRTVTASLSSSNSSIKASLSTNFTSPFVGSSISSDFSGHKIRPASLNPSSSSHGKRLGVTMVIPFSRGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDDKKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMRYVKPPIFTLCVGNAWGEAALLLAAGAKGNRSALPSSTIMIKQPIARFQGQATDVEIMRKEIKNVKTELVKLYAKHIGKTTEQIEEDIRRPKYFSPSEAVEYGIIDKVIYNERGSEDRGVLSDLRKAQLL >cds-PLY88379.1 pep primary_assembly:Lsat_Salinas_v7:5:62986018:62988553:-1 gene:gene-LSAT_5X30281 transcript:rna-gnl|WGS:NBSK|LSAT_5X30281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMPSSDRMSLPVSDPSPQQRGGAAAGEQRLFKGSTMTSRGAYAAVSYMSCAVMLVLFNKAALSSYSFPSANVITLFQMICSCSFLYILRRWKIISFTSGDTSSSSITDNSTSTRFLSLQTLIQTSPLALTYLLYMLATMESVRGVNVPMYTTLRRTTVVFTMFVEFILIGQKYSRSVIGSVGLIVFGAFVAGSRDLSFDAYGYSIVFLSNITTAIYLATISRIGKSSGLNSFGLMWCNGILCGPVLLFWTLIRGDLRMTMDFPYLFAPGFMIVLLLSCMLAFLLNYSIFLNTTLNSAVTQTICGNLKDLFTISLGWMFFGGLPFDLLNVMGQLLGFIGSGLYAYFKLFGK >cds-PLY78935.1 pep primary_assembly:Lsat_Salinas_v7:8:2265874:2268611:-1 gene:gene-LSAT_8X221 transcript:rna-gnl|WGS:NBSK|LSAT_8X221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEVTRAMIGRLGNTTTIIKDRFLGFLIWQSCQSTLIYFLCKTLLAPLAPSTTFSAAVFAFLFFQLSLLLFSTSLFLVSSPQPVRGVSPIELLLGILKLIFVHGGQPLPSDFRRRARITLSFILFLASSGVSGFLSVVCLSGSQVFGALGLRGLAVGLIYGSHHVLTRRWVLDFPIVQRPLFFSYKMGVRKAVVKAIKLSSAGYLLSLVLFFFINDHKGRQLAFGGLVIEQIVFYFACVVVFLCWELNLHLLQVFLTKRYLFAPPKGSAAAETNPSDHLLAALEETSEGSVVRYLAYLDLCMVSESNVDTWRRAAFFEETGETYKKVIAVCLKPLEQLTLDLNEGLGLGTSPDKSFQLSHQLCSSTQLLSSLHEPFYDFQVCAWCARIAASLTVRSHKEDRFGVAQLSGSNAATVSTLLAALLAVETLMGKKTNLQSPNQYLNGPANIKWAALSPTRRDTTIRTAASSNKKDIPYYSKAYSMADILRTSIYQIVSNFHQQMLTSSKAGLLDKDWIATSAPTPTPTHDLLLQKLKMFLEFQAN >cds-PLY62508.1 pep primary_assembly:Lsat_Salinas_v7:1:81934979:81935787:1 gene:gene-LSAT_1X68981 transcript:rna-gnl|WGS:NBSK|LSAT_1X68981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTNNNNHSRNNKKYNIGSDSVNPLSHPFCDRSPFAALDILMLILVLGALGFLIIPYINIIYRETIEILPLVFDVIQEVISDAPIAYVVGVVAAFSGVIATIAAWEILEVKSRKCGKPNCKGLRKAVEFDIQLESEECVKYLSTGGTSSDNDVKPLELGQDHKELEAELKKMAPVNGRTVLIFRAPCGCPAGRLEVRGAKRIRRIKK >cds-PLY83186.1 pep primary_assembly:Lsat_Salinas_v7:4:287825060:287826057:1 gene:gene-LSAT_4X146281 transcript:rna-gnl|WGS:NBSK|LSAT_4X146281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPYSNTGPELRKGAWTVQEDTLLKNHIDKHGEGKWHLIPLKAGLNRCRKSCRLRWLNYLRPNIKRGDFAQDEVDLILRLHKLLGNRWALIAGRIPGRTANDVKNYWNTHQRSCSKQRKKLPKDDELLQKSKVPIIKPQPRTFSKTLNLGNNPHIKAREGGNLKSCNDDIKNNFNLSSGLNLPSNVLDDNINKYIDDLFDDHEIFVTQTNLSSGLNLSFCGSLEKGETLNVVDQDDDEISFFDFPMDDITMELINSDQL >cds-PLY73646.1 pep primary_assembly:Lsat_Salinas_v7:5:206850313:206862181:1 gene:gene-LSAT_5X91960 transcript:rna-gnl|WGS:NBSK|LSAT_5X91960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILTTVFLVFLFIIGLVSTLLRIPTKKIASLVQLQSPSTQDSVKNQNVEQQKTKNIKMVMEKKVSFGPDSRERKAELKSVFATFDKNKDGFITKQELSDSLKNIGISTNEKDVLEMVQRVDVNGDGLIDFDEFCQLFESMMSREDEEGDKVDDGDHEDGDLREAFDVFDGDKNGLISVEELGLVLDSLGFKEGKKLEDCKKMISKVDIDGDGILLENMRRENFELSVSPPRVMYKIEKGVKLEPIEEVTIEVNEEHMGMVMEAISHRQAEVTDMAPVAGNFGRTRMTLTCPSRLLQKKGGVFRYEIHYWIGKDATEDDASIAAIKTLELDAALGGRVVQYQSIKTYLKTKHISYINMALYIKYADRIANAKWEVKEHGMEHNR >cds-PLY74158.1 pep primary_assembly:Lsat_Salinas_v7:9:13132890:13135579:-1 gene:gene-LSAT_9X10221 transcript:rna-gnl|WGS:NBSK|LSAT_9X10221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVLLTISIFSSPSVTTSSSSKPEAEALLKWKTSFINQNNPLISSWSSLIHQNTTTAPCTWYGVRCDDGLNVKQLNLSSSRLNGTLNYFMFSSFTSLTHLDLKTNDISGGIPPEITYLSKLLFLDLSGNHFSGTIPPEIGLLTSLETLRLNQNQFSGSIPASLGNLTKLVYLRADDNKLSGYIPQEMGKLVNLVNVNISFNFQKGPIPATLGTLTNLSTLYLYQNNLSGPIPPSLGNLTSLTILSVYMNELSGRIPDDLGKMKSLIVLMIGHNKFTGSIPASLSNLAKLEILDVHENLLSGFIPPQLEKLDSLIELSFSHNEFSGQIPASLGNLSKLEQLYLNDNLLTGFIPEELGNMNSLVEIYVVENRLQGQIPYSIGNLTNLEIFVLHDNQFSGFFPETISHCEKLRYLTLSNNSVMGPVPQSVCNLKSLQILDLGNNRFSGVLPQCLGNFSSNLQVLDLRLNGFRGNIPATFDQNNDLRSLNLNSNRLQGSVPWDLIKCRKLEVLDLGNNMLTGTFPHWVDTLPELQVLVLRGNKLKGTLDNSNNKTPFPKMRILDLSSNEFSGNLPKNYFKNFKAMMGVNGDSSGPLYMGRNSSMSMYQDKLVLVVKGSRRELERILVVYTTMDLSVNKFQGKIPDTIGRLSSLRFLNLSHNSLTGGIPIHLRDLRMLESLDLSSNKMVGKIPLELRTLTFLSVVNFSQNQFQGSIPEGGQFNTFGNNSYEGNMGLCGFPLTKSCGDDEVSSSPIPIEDDDDDDDSGFFNGFTWEAVALGYGFGSVIGLGIGWLMFHYGRPRWVIMIVERERFRQERKAIKTPQVEVSATSRAFRRKKLSQVSDVNFTMSF >cds-PLY97507.1 pep primary_assembly:Lsat_Salinas_v7:4:257239180:257240163:1 gene:gene-LSAT_4X134780 transcript:rna-gnl|WGS:NBSK|LSAT_4X134780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKPQEEMQEVGFFGIYKESVKTILLWKKIFTQITLTFILPMSFIFLAHIVVAEIIDWKIEGDEDRLYRRDGDSHLYHKLSSEWIGYWIFRLIYMSFLLLFSLLSTAAVVYTIAAAYSGDEVTFKKVLKIVPKVWKRLSLTFLWTYLGFFIYNVVTGVVFFIWATTTTDSTFAVVVFYILLSMYLIGFIYITVIWQLASVVTVLESSYGLKAMMKGNALIKGKRWLSWFTFFGLYCIFVGILILFYLFVWYGFVGILVGLVCVFLLMNLFLLGYVVQTMLYLVCKSYHREPIDKMGLSTQLGGYLGEFEPAFKANKDIQLGQPQVQV >cds-PLY92372.1 pep primary_assembly:Lsat_Salinas_v7:3:124548679:124550307:-1 gene:gene-LSAT_3X86581 transcript:rna-gnl|WGS:NBSK|LSAT_3X86581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLFKKSLDSDIVKKSLDYTRKRKKWILLIGALGLSSYGAFRVYNSPSVVKKRERFAKVLGSLASIAELLGDSAETIGVVSKDLKEFIQSDSDEIPNSLKQLSKITRSDEVSESIITVTRALTVGILRGYKVGERKSDPGNSFSDRALDKLFSPSGSGFASIVVGSFAKNMVMAIYTGNGNESNTSGISETSTSIQKFVDVIAEEKCKKLIGDCIQQFVSTLVTVYLDKTMDVNPYEQILSGFTNPKHEEKARDLLTSFTNGAIETLVRTSHQVITNPDAKGKELVLNGIKPRKSQINSGFVHKISSTLAVPSNRKLVLDVTGRVTFATVRSFLEFFLDQLSSGMKRKVDGVHDDAIDKGREVYRYVSTKSYTVMTICLSVWLHVLSSPWSFSST >cds-PLY97954.1 pep primary_assembly:Lsat_Salinas_v7:3:18709133:18710308:-1 gene:gene-LSAT_3X14441 transcript:rna-gnl|WGS:NBSK|LSAT_3X14441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEGRKSKIESRKRFTDKQISFLEYMFETESRPELRMKHQLANKLGLHPRQVAIWFQNKRARSKSRQIEQEYNTLKLNYESLASKSESLKKENQALLNQLEMLRNAAERNGNSSSGSEESEDRINNERTENHEKQEIRVPFLYLGEESKIADIEGWEESLGMDLQRWLEF >cds-PLY87108.1 pep primary_assembly:Lsat_Salinas_v7:5:259476069:259477098:-1 gene:gene-LSAT_5X129601 transcript:rna-gnl|WGS:NBSK|LSAT_5X129601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTKKVAMGRNSSKELASPKVSKTQKKVPKDVNNQENKASQMITSSARKKPAATYLKDSKENVDATNLNARGICLDNDSYIAINNMFVDSEGCEITESFSNGTIFSLAFHISRNNEGEIVDGGCGLSNEVSAIYQG >cds-PLY74429.1 pep primary_assembly:Lsat_Salinas_v7:6:11498957:11503315:1 gene:gene-LSAT_6X9180 transcript:rna-gnl|WGS:NBSK|LSAT_6X9180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTPNPTLIYSPKSSIQFTKIQFYIHSKPFRSSSSSRSGSFAVKCSNPVTDNGSADQNNNGGGLKNLLSGIVDDRVNQLLNSEENRTLLDGLDKATQRVELAKQELAQIEKQEIENQKIKEYINQLESRASEIEECQKELSEARALVEEAERSLEGEVGDKNPMMTETEREAMYKNKERFESVKAASISAIVGTLAGLPISLSQATDASQLILPSVITLISCALFGVTFRYAVRRDLDNFQLKSGTSAAFGFVKGLATLAGGPPMELEAGSILSHTFSGAVFVSENLLIFLFAGVDMKGTGEIEKEREEVGQEKKDDDYDHHLQASKRLQPWTKQITIRGVIASVILGCIYTVIAMKLNLTTGLIPNLNVSAALLAFVYMKTWTKVLQKLGIPFVPFTRHENTMIQTCSVACYSIAIGGGFGSFLFALNKKTYELAGGANSPGTYKELHVGWMTGYSFLICFAGLFVLIPLRKILIIDYKLIFPSGMATAVLINGFHSQGDDMAKKQIKGFAKYFSVSFLWAIFQWFFTGKGVCGFAHFPTFGLEAYKKTFYFDFSMTYVGTGMICPHIVSFSLLFGAVISWGIMWPLIENQKGEWFPNNVPESSMESLNGYKVFISIALLLGDGLYNFTKILYFTYASIYGRLKRENLGLGSEKEVTETERLQNEVFIKEAIPMWFAVIGYTSFSIINAFVIPLIFPEVKWYYIIIAYIIAPSLAFCNAYGNGLTDWDMAYNYSKIGLFMMAALAGKHHGVVAGLVGCGVTKAVLFVSSTLMHDLKTGHVTLTSPRTMLLSQTIGTAIGCVVSPLTLSLFTKAFDVGNPNGEYKAPFAIVFRNMAIIGVQGFSALPKHCLDLCYGFFAFSLGVNIVKDLLPKKIGKWMPLPICMAVPFLVGGYFSIDMCVGSLVVLVWQKVNVKKADLMSTSVASGLICGEGLWILPAAVLSLAKIRPPICMKFLSS >cds-PLY79005.1 pep primary_assembly:Lsat_Salinas_v7:3:8778210:8780343:1 gene:gene-LSAT_3X5281 transcript:rna-gnl|WGS:NBSK|LSAT_3X5281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAPSPSGNIPGTTPLLYSVEDDRGRRSLRRQSLRDAARFLRRASSRRMMREPSMLVRETAAEQLEERQSDWAYSKPVVILDLVWNLAFVVVAAVVLVLSQDESPTAWLRLWIVGYAVQCIVHMVCVWSEYKNRREHRWAGGGESGESSDSVNGSRGNGSQYVTLANLTNNDNSGVAKYLESANTMFSFVWWILGFYWVSSGGQALAQDSPKLYWLCVIFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAVLYAVADQEGASKEDIELLPKFTFRREGGKSDDEIQGGGGGLCGGVMIEHATETPIQHLLSPEDAECCICLSAYEDGAELRELPCCHHFHCSCIDKWLYINATCPLCKYNIVKNRTSACEEV >cds-PLY96986.1 pep primary_assembly:Lsat_Salinas_v7:2:35628591:35637089:-1 gene:gene-LSAT_2X16260 transcript:rna-gnl|WGS:NBSK|LSAT_2X16260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARNLDIKVETGIAYATNRSQSQTERHQNNSGQLTTRRVSFSHANTPSIDRISSVRRDMSLSLKKPPTPPPLCSVCKHSVPVLGRSPRRFSHEEIERATDGFSRRNFLAEGGYGEVYRGVLSDGQVVAVKQRKIASAQGAAEFCSEVEVLSCAQHKNLVMLIGYCIEKEWLLVYEFACNGSLDKHLYGSEEGEVMTWEKRMKVACGAARALRYLHEDCRVGCIIHRDFRPNNILLTHDFKPMVGDFGLARWQVDGELEEETRIVGAFGYLAPEYTQTGLITEKADVYAFGVVLLEILTGIKAIEFSRSSRQQYFPEWGRRLFTEGKLCMEMIDPKLGNMYDVKEAEYVIHAACLCISSQPEQRPRMSKVLRILEGNFLVEKLDNGQEQSTTTFLKPSLESYNSSTDRLSMKLEKRQYQKPTRALTKLSSIALRLENHQDTISKPTTSPPKHVTNDEYQEYLQGSFQKHIQRLNMKL >cds-PLY65156.1 pep primary_assembly:Lsat_Salinas_v7:5:249771646:249774298:-1 gene:gene-LSAT_5X125300 transcript:rna-gnl|WGS:NBSK|LSAT_5X125300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05190) UniProtKB/Swiss-Prot;Acc:O23049] MAASFSTSQLGSAFLGERNGICVSSVPVSHLSFRRKTVECKESRIGKQPIEVPSNVVITLEGQDMKVKGPLGELALSYPREIAIDRQESVLKISKAVETRRANQMHGLFRTLTSNMVVGVSKGFEKKLQLIGVGYRATVEGKELILSLGFSHPVKMTIPDDLKVKVEENTRITVSGYNKSNIGQFAASIRKWRPPEPYKGKGVKYADEIVRRKEGKAGKKK >cds-PLY86136.1 pep primary_assembly:Lsat_Salinas_v7:6:155932650:155933628:-1 gene:gene-LSAT_6X95021 transcript:rna-gnl|WGS:NBSK|LSAT_6X95021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIITLEEGEVIGIITLEDVFEELLQEEIVDVTDEYVDLHKRFHIPRTWVHPGENLLVLHEELGGDPSEISVLTRTWQRVCGHVSEDDMIPVELWKPNSDSSSQSP >cds-PLY87873.1 pep primary_assembly:Lsat_Salinas_v7:3:44209531:44209983:1 gene:gene-LSAT_0X8960 transcript:rna-gnl|WGS:NBSK|LSAT_0X8960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNLFFPCNLVDGTPWPDSGKLISCVHSNSNNVTTISQPIFTPVNASFLPIWQAHVQNIKFLKPSTRKPSVIVTPVEETLIQTTLYCAKNHGYEIRIRSGGHDFEALSYSADVPFVIIGNILDRKSMGEDSFWAGRSEEVLLLVSFLHGS >cds-PLY99638.1 pep primary_assembly:Lsat_Salinas_v7:6:81812926:81814294:1 gene:gene-LSAT_6X58140 transcript:rna-gnl|WGS:NBSK|LSAT_6X58140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKVPRIKLGSQGLEVSAQGLGCMGMSAVYGPPKPEHDMINLIHHAIDTGITLLDTSDAYGPHTNEILLGKALKGGEREKTELATKFGIKFENGNREVYGDPEYVRAACEGSLKRLEVDCIDLYYQHRIDTRVPIEITMGEMKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEDEIIPTCRELGIGIVAYSPLGRGFLSLGPKMVENLTDGDFRQNLPRFQPENLENNKKLYERVSTIALKKGCTPSQLALAWVHHQGNDVVPIPGTTKIENLQQNIGALSVKLTPQDMAELESIASADAAKGDRYMDGFPTYLNSDTPPLSSWKA >cds-PLY89457.1 pep primary_assembly:Lsat_Salinas_v7:8:30354191:30356557:1 gene:gene-LSAT_8X21620 transcript:rna-gnl|WGS:NBSK|LSAT_8X21620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAERYAVQHTLTTKAAMIVKQALGLCRRRGHAHVTPLHVASAMLTSPRGLLRKACLKVNSHPLQCNALELCFNLALNRLATTQSSPIILSTNQSPHPSLSNALVAAFKRALALQRRGSLENQQQSVLALKVEVEQLTISILDDPSVSRVMREAGFSSTQIKNTIEHGVSMELFSQKPIVYSIQSNQNSKPITQNFQASSSNGSKLTPSVRIDDVMSVIDTMMHTKRKNVIVIRECLVSAYDIVSGVIDKIERGKNIAFPGNLRLVQFVSLPLQSLSHLSMKEVEDKITELNYLIRSNVERGVVLYVGDIKWVSEYWSKYCEERQKTYYYSPMEQMIMELRRLMCGFRDSGKLWLMGIANSETYVSCKTGHPSLETLWDLVAHTTPVGDLDLNLNLNRDSPNESKECSINFTREARSIASCAHNNESITATTTSGLSLWLQQHKGKNSRQALNDQKHVKVGSFCKKWNTSCSSLHKQPHFLNKTFHHSSLSSTTSTSFSSAKSNSKDDDQELISNKRPRSEHGQSYIERFADAVQENASRVFFMEDVDQVDNQSQKKAIIESETISLTCGTSVHLKDAIVVLACESFTSVSRPCPPMWQNQNDSASAMLDLNVEAENIGILNSVDTH >cds-PLY62570.1 pep primary_assembly:Lsat_Salinas_v7:9:74773772:74774233:-1 gene:gene-LSAT_9X62341 transcript:rna-gnl|WGS:NBSK|LSAT_9X62341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKGSQILQYLHDDTIYDNNILLYIVVILLALTILLYTCCSIPSNLSYTPNATNSSSLSQNSSSAQTQGLDVATINNLPITVYHQNLTVLNSTETNETSECSICLGVFEEGEKVKVLHSCRHCYHCECVDRWLITHSTCPICRTSVCIEPPV >cds-PLY88468.1 pep primary_assembly:Lsat_Salinas_v7:1:150035748:150036020:-1 gene:gene-LSAT_1X105780 transcript:rna-gnl|WGS:NBSK|LSAT_1X105780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINFSIVAGVRKVGVKPPSSPRSSVAAMSPDHHPSAVEAGNHETDAVIASVATEDKKPPPLLISLHVTTSPLPSGGLLTSLMPCVCRMPP >cds-PLY73510.1 pep primary_assembly:Lsat_Salinas_v7:4:22146907:22147811:-1 gene:gene-LSAT_4X15421 transcript:rna-gnl|WGS:NBSK|LSAT_4X15421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNYASACSLMISPIMKSNKAARVIFPAGEIRQFRDSIKAAEIMLECPNFFLVNSRSLNINRRFSPLSADEDLEAGNIYILFPMRRVNSMVTPADMAAFWMAGNSASKRISGRISPEMTTVGGGVKEEVVVEQPRLVVEVPEFSYRLAVCRSRKPFLDTITEEPVFAR >cds-PLY95459.1 pep primary_assembly:Lsat_Salinas_v7:9:160700342:160701105:-1 gene:gene-LSAT_9X100660 transcript:rna-gnl|WGS:NBSK|LSAT_9X100660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSHLLLFGLLVAGCSLAFASDPSPLQDFCVADQNSAVFVNGLVCKESRLVQADDFFYRGLQLMGNTSNAAGSAVTPVTVAELPGLNTLGISMARIDFAPQGINPPHTHPRATEILTVMEGRILVGFVTSNPQNRLITKELQKGDVFVFPEGLIHFQKNVGNGYAVAIAALSSQNPGVITIANAIFGSNPDIAGDILAKAFQVDINLVYQIQSKF >cds-PLY62521.1 pep primary_assembly:Lsat_Salinas_v7:1:82360581:82364487:1 gene:gene-LSAT_1X71460 transcript:rna-gnl|WGS:NBSK|LSAT_1X71460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIAAPRFISIGSSCGVCHSEGIGCSTSYGVTSNWIRSPEITRTCDNLESRFRYKNQFLGDVGLNWRRIGRKNCMSKVSVAADYPDSVPESSSSSSSSSYVAKNGYHPLEEIKNCKRVRETKLTSAEIAKTTIEGNSSGLLIFPGTIHSEPHEHISWVEYPYVIDDSGDIYFKVPDDANIMQDPEARNPVNVLIGMDLPVFENQMLSLPESHIPDTSLDDILFFEDYYEDEVPDMLGGFMEWGIPIDSAGVHPIYFAKCLTKASNMEYSRRMDHPSNGVSVLGCLSPAFVDEELYLRRLFHVEQRDTYDENWEDEDVNWSASLFYRLEIMKIELFSVYGVQSVINLKDFRDAEADILVHSIHEIVENLNENTMNSNLALRALCKKNGFHVEEANIIGVDSLGIDVRVCIGHEVRTHRFPFKIRVTSETGAEKQIQQLLFPRARRKRLTRKQID >cds-PLY67133.1 pep primary_assembly:Lsat_Salinas_v7:5:290806934:290808181:1 gene:gene-LSAT_5X154721 transcript:rna-gnl|WGS:NBSK|LSAT_5X154721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQSRYWIQKERTCLNSHVLSSFGDSWEEQAFAEDAAGPLGGCIWPPRSYTCSFCRREFRSAQALGGHMNVHRRDRARLKQSPNNNQILEPNTSLGRCTLQNPNEICNPNFDLDNALFSSSSLRPSTDSILRPFTCSFVQQQQTSTPILSWSKFDPQKRFHIADMGNQAEKGFTKVIESNTTSSKKNTRHEIEVHFAANLNPRVRGRCDTPICSDKSDQDDGLIFKRRRIHEDVQFLFPKTSNSINCDAGESMVSLKNSPNSTLENLDLELRLGDRP >cds-PLY91099.1 pep primary_assembly:Lsat_Salinas_v7:MU039484.1:451378:453303:-1 gene:gene-LSAT_0X6140 transcript:rna-gnl|WGS:NBSK|LSAT_0X6140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLGQSSARMADDLCYATTQTSALMVATTDWVRLAGAIQGQLKVLQGALAGLREEVRDSEAEHKVLAEQNTIVACEKATLKDQVATLEDCSERLEDQEAPPHGRLRVPPICFCTSIFHACPAITVDATLRALARALQRENLLIKKNGIPPPLLLFHALAPSILRGFGLLATIFFGARIVLWLGLS >cds-PLY70640.1 pep primary_assembly:Lsat_Salinas_v7:4:228556579:228557082:-1 gene:gene-LSAT_4X125340 transcript:rna-gnl|WGS:NBSK|LSAT_4X125340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKSWCDRQVETPLTDDQLKGMLSKFDTNRDGNICRGELKVGLKSLGLRFTFVRAGRALRHADSNGDGVISDEEINNLAKYVSKWGISIS >cds-PLY76815.1 pep primary_assembly:Lsat_Salinas_v7:MU042712.1:1020738:1021535:1 gene:gene-LSAT_0X2580 transcript:rna-gnl|WGS:NBSK|LSAT_0X2580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIESQRHSYHLVDPSPWPISGSLGALATTVGGVMYMHSFQGGATLLSLGLIFILYTMFVWWRDVLRESTLEGHHTKVVQLGPRYGFILFIVSEVMFLFALFRASSHSSLAPTVEIGGIWPPKGIAVLDPREIPFLNTLIPLSSGAAVTWAHHAILAGKEKRAVYALVATVSLALVFTAFQGMEYYQAPPTISDSIYGSTFFLATGFHGFHVIIGTLFSIICGIRQYLGHLTKEHHVGFEAAAWYWHFVDVVRLFPFVSIYWWGGI >cds-PLY91170.1 pep primary_assembly:Lsat_Salinas_v7:4:161216986:161222153:1 gene:gene-LSAT_4X97041 transcript:rna-gnl|WGS:NBSK|LSAT_4X97041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINQVLNQTLSKYCFESVDQPPFPLQFVGFISIDCGIAIGSAYTDNKTGIKYVSEADFIDTGEIHNILPVYNSFSISTQLTTLTSFPQNTRNCYTLKPTQGKGNRYLIRARFMYGNYDFKGQLPEFDVYVGPDYLDTVKVNSSSRPVNMEIVHVLSSDYIHVCLVNTGRGTPFISVIELRPLAKDMYEETDFGSLYLFERVNFGTTFRTARYKEDKYDRLWSPINLRNTTSLYTFETIYGGLTTIYPPSDVMSTAIIPTYPTESLDINWNPHNKTDRFFIYMHFAEIEILKRNQMREFNIYMNGFRSYPRTFSPLNHTTITINNQEPETIAPTYTLTLNKSKNSTLPPIINALELYVLKRLPQIQTDDRDATIIWSIKSTYRITRHWQGDPCAPQEFVWEGLGCSYNDTNSLRITFLNLSTSGLNGGIDPILANLTMMHTLDLSNNNLTGTVPNFLSGLTFLKILNLKGNNFVGPIPVELLEKSNKGLLSLSFDEESTGDNKGNKITVPVITAVASFFVILTAVMTIWIIAKQRARDTKKRGTRLEIRKQHYTYSEIENMTNNFSVVIGNGGFGIVYHGYIGDTEVAVKMLSESSLQGDKEFQAEAYLLLSVHHRNLTSLVGYCNEGNHKGIIYEYMAMGNLERHVFASSSALNWKERLEIGCDAAHGLEYLHHGCKPPIVHRDIKCTNILLNGNFRAKLADFGLSKAFPTEDGTHISTAVAGTPGYLDPEYYTSNRLTEKSDVYSFGIVLLVIITGQPAITKHDEDIINISRWVNLKLAYGDMKNIVDPRLMGDFDINSAWKAVELAMACVAHTPSRRPTMNEVVMELSDCLVMERARQEKKPRQLTAVGSLNLDSTYDPSPR >cds-PLY74431.1 pep primary_assembly:Lsat_Salinas_v7:6:11332164:11332666:1 gene:gene-LSAT_6X8880 transcript:rna-gnl|WGS:NBSK|LSAT_6X8880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLEEANKLGTVIGIDLGTSYSRVGVYKNGQVEIIANDHGNCITPSWVAFRLIVKLKDGETRVFSPEEISAMILTKMKDTA >cds-PLY96242.1 pep primary_assembly:Lsat_Salinas_v7:7:182521738:182523221:1 gene:gene-LSAT_7X108481 transcript:rna-gnl|WGS:NBSK|LSAT_7X108481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVPRSGDAIFANVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGIRLIDEFLAKSNVTRCVDFRETAEVIAKVGFKMFLGVTASVTNWDNEGTCCSLILEDNPLVDFVELPDNCQGLYYCNILSGVVRGALEMVSMKTEITWIRDMLRGDDAFELQVKLLKQVPEEYPYKDDE >cds-PLY81591.1 pep primary_assembly:Lsat_Salinas_v7:2:17358318:17368023:1 gene:gene-LSAT_2X9080 transcript:rna-gnl|WGS:NBSK|LSAT_2X9080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEILSNQDYGENPTPKVELKTDSSSKASFSGLFYAADKWDLLLMLFGSIGACVHGAALPIFFVLFGRMIDSLGHLSSHPHRMSSEVCKNSLYLVYLGLVVFVSSWIGVACWMQTGERQTGRLRFKYLQSVLRKDIKFFDTIAKDKNILFHISSDATLVQDAIGDKIGHGLRYLAQFFVGFTVGFTSVWQLTLLTLAIVPLIAFAGGAYTVIMSTLSEKSEAAYAEAGKVAEENISQVRTVYSFVGEKRAIEMYSMSLQNALKFGKKSGLAKGVGIGFTYALLFCAWALLLWYAGILVQHHRTNGGKAFTTIINVIFSGFALGQAAPNLAAIAKGKVAAANIVSMLEEDIESTRKLNSGMAFSKVAGNIEFHEVYFAYPSRQNTVLENLSFSIGAGKKIAVVGPSGSGKSTIISMIQRFYDPISGQILLDGHDIKTVQLSWLRGLMGLVSQEPALFATTIAENILYGKPNADMAHIIEASIAANAHSFIQKLPESYQTHVGEGGTQLSGGQKQRIAIARATIRNPKILLLDEATSALDSESEHIVQQSLNTVMSNRTTVIVAHRLSTVRDVDTIIVLKNGQIIEKGNHLELISKGGEYSSLVSLQTNSGQTGSNSNPQNSPDTNRTNFEDFDPITTNLNTLHPNEKNQSASRSSRNTPSIWDLVKLNQPEWPYAVVGSVGAILAGMQAPLFALGITYILTSFYSRDNSKIKDDVAHASLIFVAAGVVTIPIYMLQHYFYTLMGERLTTRVRLSMFSAILSNEIGWFDFDENSTGSLLSKLAADATLVRSALADRLSTIVQNIALTLTAFVISFILSWRIALVVISTFPLLIAASLTEQLFLKGFGGDYTTAYSRATSVAREAMTNIRTVAAFGAEDRVSTQFAAELNRPGKQARLRGHISGIGYGFSQLLAFCSYALGLWYASVLIQHKNSNFGDIIKSFMVLIITALSIAETLALAPDIVKGTQALGSVFEILNRVSSINPDHRDLLVVDNIKGDIEFRNVSFAYPTRPEIKVLNGLNLKLMAGNSLAVVGPSGSGKSTVIGLVTRFYDPDLGAVFIDSFDIKKLNLKSLRKRIGLVQQEPSLFSTNIYENIKYGNENASEIEVINAAKLANAHEFISRMPEGYKTEVGSKGVQLSGGQKQRVAIARAVLKDPSILLLDEATSALDIASERLVQEALDKLMEGRTTVLVAHRLSTVRNADSIAVLQNGTVVESGTHDMLVGMPGSVYAHLVSLQQEKSM >cds-PLY88999.1 pep primary_assembly:Lsat_Salinas_v7:3:72733143:72733379:1 gene:gene-LSAT_3X62201 transcript:rna-gnl|WGS:NBSK|LSAT_3X62201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEKDKLLDSVYEVNEGLLNEKIDPRDMPDLVRAVIGEEALATPSYMSNAYYDVMLHGQNNWLCQSILKFLDLINYVF >cds-PLY92393.1 pep primary_assembly:Lsat_Salinas_v7:2:155482381:155482988:-1 gene:gene-LSAT_2X80780 transcript:rna-gnl|WGS:NBSK|LSAT_2X80780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNYVSCTLTKHSKSTKVIYTNGEIRKLQQPIKAAELMLESPSTFLVNSKSLKIGARFSALNADEDLEMSSVYVVFPMNRLNSVVATADLAFLFLAAKSGANKIGTVRILPENAPTPPRLSLDEIEELSSPEFKHMITMCRSKKPLLETIAEEPICSR >cds-PLY87236.1 pep primary_assembly:Lsat_Salinas_v7:4:194748621:194750420:-1 gene:gene-LSAT_4X113580 transcript:rna-gnl|WGS:NBSK|LSAT_4X113580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLASHMESKGQRWPNVRLGDIGDLSTAFNYGISDMMMNNLWVYDLSILEEPQTDQISGFPEQASSEFTVSDHPNLSSKFVVNNDIQQNIENDDPNFIKPKNMETIESKPTQKCIKGPRSKRCRRTVFETPWTPSMDNLYGSNDNQGSGISKRALRQDVNEPTFKIQKGVSITDNLESNDLVSESSGKCVNSVGRWLEDVGFGRYAGMFEMHEVDEEALPLLTLDDLKEMGVLAVGPRRKLYAAIARLRGECDN >cds-PLY98139.1 pep primary_assembly:Lsat_Salinas_v7:1:139670880:139675554:-1 gene:gene-LSAT_1X101221 transcript:rna-gnl|WGS:NBSK|LSAT_1X101221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHANINTFLDDEEIETGEDLKPELESAIKASRASVIVLSTNYATSTWCLDELVLILEQHMKSNHLVIPIFYHVEPTNVRKQQSSFGDAMAKHRQRMEAETDANKRSNLAQKMDQWNKALTKIADLKGKDANGRPEAALIEETVKDIHRRLRISSRFPLPQLIGMDDSIKFIISWLKDASSHTTDILSIVGIGGIGKTSIAKYVYALHSHEFDTSAFIEDISRRCDRNYNGIIGVQNELYYEISKPCSVQVHDVSMYTSMIVNAIAHRKAFLVLDDIGSLDQLNALLGSKDFHPGTKIIITTKDAWLTESCELFRTNIRPKPVTYKIQGLSEIDSQKLLCFHAFMCNNLKADYEEVSKNLVKYCQGHPLALKVLGRSLHNRDVGYWEGYIDRLKKENGPFISNVLRASYDSLPFKNDKELFKHICCFFVGEDRDVTETILNACDMDTRSGITNLIDRCLLDIWWNNEFMTIELTMHQLVQEMGRFLVREESSDKPWERSRLWCHEESFRVLKQNKGTKNVLGLALDMRMLEKEKLCGSCELKTDALSKMDDLMLLKLSYVQITGSYKNFPKELRWLCMHEFPLKYIPSDLPMENLVSLDMSYSKIESFDICYSNPQPLHERLMQLIGPCLCLYSKDKRLLRSLKILNLSFCKQLRTLRGFDHLPSLERLILRRCTGLLDVSESIEKCEELVLVDLSYCKKLVNLPKIISMLKKVDSLSLKGCNLGESQIGIRDIDSTEKLKADNNGKNIKRPSSVVLKAIPSDLKFFTIPLPRSLVRLSLADNNLSNESFPMDFSCLVMLKELYLDYNPIVSLPNCVRTLPGLERLSMVACNMLTSVEHPQNTLKFMQIYIESDEPRLRKVAYDPKIKMVINRGSLGTSSFEFEGMIKIQPMEIVEEKILSSLGWNKLEFPIGQRMAVFFIGRRKESEIQMYYEFGIFSTICGGEEMPNWITDRSTGPSISFTIPSSFNKLRGLNFCYVATSGSDLTLNLPVIKICNITKNLTWMYQHYIEAVEVDGGCLMFLSHWMFGMNEMECGDHVTITLKENPDDIGNAVTNVIKECGVSLVHEEEEEEEEDVLSYYKSWNNIIGRDLTKLQSTTGEYVLTRSQIWRPYP >cds-PLY90220.1 pep primary_assembly:Lsat_Salinas_v7:8:274429256:274436588:1 gene:gene-LSAT_8X157321 transcript:rna-gnl|WGS:NBSK|LSAT_8X157321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEMNHFNNSNSKKPLNINWGLLLDDSVDDRPPDIVITPAEKSKGRGGDLQQPEMEEEDPEYRMKTDGELENLRVRTKSNILMLGPKLSDKGEKLKATLRRCEAEVERRKRSRLCKGNTRYKETLQLSDESDDELSLVGVSCGKKKSDQKSSASPNFTKLFSQKLDEDKTSRTVNAFQGELSFFKPFQGKKMKQNYQQLSSRSKQFRCSTTFKKDSEKQRSSNADKKVSHSATSSSSRNMSSSSLKAHAPINQPSRKVKHTHVSSVYHLVDEEEPLEEKMDDWSHAPHTHSPPSRKSRPKHHSAYEEPVAEILDDCMQDVIVYYPSRYLQEMTSSENMMDNFHFFNTYFYNKLQKLSYKEDSFLKFRKWWKGVNIFNKPYILLPIHDKFVKEEWRYLKNSEENLNLQITDEIWENLDSRMDDRRISVPQQRNEYDCGLFVLYYMERFIKEAPERFKEKDLSMFGKQWFMPQEASNLRRRISNLLVQQFKIAKQKETISSSV >cds-PLY71003.1 pep primary_assembly:Lsat_Salinas_v7:9:70443600:70451486:-1 gene:gene-LSAT_9X59740 transcript:rna-gnl|WGS:NBSK|LSAT_9X59740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYKTVVVLAIVFLSTVSSARMVPLPGQLVPDPKTFPSGIKALADYVHGKGLKLGIYSSAGVFTCQVRPASLFHENDDAATWASWGVDYLKYDNCFNLGIKPIDRYPPMRDALNSTGRTIFYSLGEWGEDDPAQWGGNVGNSWRTTDDIADTWESMTSIADLNDKWAAYAGPGGWNDPDVLEVGNGGMSYLEYRSHFSIWALMKAPLLIGCDVRNMDEYTLEILSNKEVIAVNQDPIGMQGRRINTSENTDCLQVWVGPLSGIRFSVVLWNRYTEPETIEVSWEDLGLDSKISFSIRDLWKHEDVVADAVGSFGVQVDAHSCEMFIFTPN >cds-PLY96648.1 pep primary_assembly:Lsat_Salinas_v7:7:44634884:44648116:1 gene:gene-LSAT_7X32801 transcript:rna-gnl|WGS:NBSK|LSAT_7X32801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFKTLVLLPFLLLGVLSADSSLKDEVDALEHFKNSITDDPTGALLDWNADSTHHCNWTGIQCDDVSQRVVSISIQQTQLKGQISPFLGNLSSLQVLDLSYNSFTGNIPSQLAYCTQLAGLSLYTNSLSGPIPSELGNLRNLQILDLGNNSLTGIIPESLCNVTSMLELSLDDNKLNGTIPERIGDLINLQQLGAYNNRLQGSIPTSVGNLKKLIALDFSQNQLFGIIPRQIGNLSNLQALQLYQNFLSGKIPSELGHCTNLSLFNLYSNKLVGSIPPEIGNLLGLQVLRLYDNQLNSTIPDSLFRLKSLLVLQLSENNLIGNLSSDISSLKSLQSLTLHQNNLSGEIPASITRLVNLTYLTLSLNFLTGSVPSSIGSLHNLRNLSLSNNLLEGSIPSSITNCTNIRWIDFARNRMTGEMPQGLGKLSNLTYLIVSDNKMSGRIPDDIFNCSSLVILDMAHNNFSGLLKPGIGRLSNLQILQIHGNSFSGPLPTELGNLTSLMLLNLGQNQFSGMIPVEFSNITSLQSLSLGNNNLHGQIPEEIFELKQLTELHLMNNKFVGSILNSVSKLELLSRLNLSGNRFNGSIPDSLRKLNKVISIDLSHNLLTGSISGSVIAGMKNTPIFLNFSNNFLTGRIPNEFGELEMVESINISNNNLSGGIPATLQKCRNLRSLDVSGNQLSGSVPEEIFPPLDLLSSINFSRNQFDGKIPRTMANLTRLTSIDLSHNKFNGLIPDSFGNISVLKQLDLSFNQLEGRVPDTGIFRNISAVGLQGNPSLCVTSNSELCASSSQSKRSLSRKAVLILSILGSLALLLVFILVVLCCRHIRKPKVKEPENPELPEHTAGFTLKRFDRKDLEHATDNFSEGNILGTTSLSTVYKGRLQDGRMIAVKILNITQFSAESDKSFNKEMNTLGKLRHRNLVKVLGYAWESGKLKALVLEYMENGDLDRIIHDSGIDRSRWDLSERVDVLVSVARGLVYLHSGYDFPIVHCDLKPSNILLDEKWDAHVSDFGTARILGVHQQDGSSISSASTFQGTIGYLAPEFAYMKKLTTKVDVFSFGIIVMEFITRKRPTGVLTEEEGIQITLPQLVDKALSNGINELIEIVDPDLASNFSMKQGFIEQLLNLASSCTKTIPEDRPDMNEVLSSLTKISEKETWIIGRYHISHRLMITAMKVGSAMDLHLELVKKKMLMENISECERSHTVVXXXXXGGGGSVSEEGRRERSHTVVSYIGLSLILSRAEALPSRRRSASDEDIFKAINHRSEAEIVDLEPNGAFLSDDGGSQVVVGGVGSPAEKGNRGVVAGGSGNG >cds-PLY94894.1 pep primary_assembly:Lsat_Salinas_v7:4:109619903:109620967:-1 gene:gene-LSAT_4X70881 transcript:rna-gnl|WGS:NBSK|LSAT_4X70881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPKKIRVSLTFASSQPFVLSERSLQLQKNGGLMVLRGTMRAHIDWVTAIVIPIDNSDMIVTSSSDKSIIVWRLTREDKTYGVAQRRLTGHSHFVQDVVLSSDDQFALSGSLDDELCLWDLNVGTTARRFVGHTKDVLSVAFSIDNRQIVSALRDKSIKLWNTLGECKYKIQDGDAHSDWVSWFSLLSVTMMKHTKPRVIGTEMVEVVYDRVELPRRQLEAFRVAVKTSITRQLEAFRVAFNQVIIFVQHSNMLSCLWN >cds-PLY81167.1 pep primary_assembly:Lsat_Salinas_v7:9:20738395:20738589:-1 gene:gene-LSAT_9X19781 transcript:rna-gnl|WGS:NBSK|LSAT_9X19781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCVMSATMVPFRVDVLYVEV >cds-PLY67025.1 pep primary_assembly:Lsat_Salinas_v7:5:282602816:282604781:-1 gene:gene-LSAT_5X148420 transcript:rna-gnl|WGS:NBSK|LSAT_5X148420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRILFTIASSLARAFSVAHRSKGKRPSLYPPLPSQHQQLAPQVLRHRWIFNLSISKGLTAMDERDSKVARKQHKDLNAAGAGIFRNKARLNNL >cds-PLY96537.1 pep primary_assembly:Lsat_Salinas_v7:5:337431777:337432349:-1 gene:gene-LSAT_5X189600 transcript:rna-gnl|WGS:NBSK|LSAT_5X189600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKIKALTSENGVVIFTKSTCCLCYAVTILFHELRVNPVVYEIDKDPQGREMEKALLKQGCSSSPVPAVYIGGKLVGSTNEVMSLHLSGSLIPLLKTYQSLS >cds-PLY72404.1 pep primary_assembly:Lsat_Salinas_v7:3:187990598:187991581:1 gene:gene-LSAT_3X111980 transcript:rna-gnl|WGS:NBSK|LSAT_3X111980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPPQPSQYVAGEEPEVVHINRLPDELLLTIFTKLNNARLLCICSLVSKRFSTVVHQTPSLFLTFPHRHSNDRNENLPKKLFNYLSKSFFRKPPKNKFDGPLFQSAVDSLKCFENVRTLQIQLPSFQQDESILKWHAEFGKDMNICVILFATSVHQPTPSTHQVRNSDTLLTNHLLQSRIASANQCFREATWRQHILRHVVENHQNSLHAADICDSSRKGKVVMRGRQQLNDLLDPKLKLPASGYGKLWHVQLLRLPESRCVLHGATVVAIRRTDENEEEHEDVGVKQLMKKGYELDEQLLWEVLTHIFENHAPSRSLNINPARR >cds-PLY99619.1 pep primary_assembly:Lsat_Salinas_v7:5:241040802:241042585:-1 gene:gene-LSAT_5X117800 transcript:rna-gnl|WGS:NBSK|LSAT_5X117800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTEKSQPPVASAPVITSCRRKKSDDTTFLEDVKDHIDEFINASMDEHKTCFQKTISKMFGMSKVVAERNSNNKEIESSLSLQTVILKG >cds-PLY68921.1 pep primary_assembly:Lsat_Salinas_v7:2:193043898:193044869:1 gene:gene-LSAT_2X113660 transcript:rna-gnl|WGS:NBSK|LSAT_2X113660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLRSIFFLNQSLKRDIHHHILQPPLPRSSTSFVVPPMSMLSDSSSASSSSSGGFFILTARCWLSRQLVKESLAMIRSQIPFGRKSMLEAYVDIVFRAWKAANGETREEISWRHMQALVDSSTHEQGY >cds-PLY79606.1 pep primary_assembly:Lsat_Salinas_v7:2:166592227:166592670:1 gene:gene-LSAT_2X90100 transcript:rna-gnl|WGS:NBSK|LSAT_2X90100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQKSPSTPSPIQPLQMNSPSPAGGSAKLATPDRLTVPKAFKYPEMYKSPTDQIMSPVSKGLLARTKSNKSSGLLPPSFTKNQQHKIQASKFQDLGALKT >cds-PLY84792.1 pep primary_assembly:Lsat_Salinas_v7:8:24204882:24207181:1 gene:gene-LSAT_8X20701 transcript:rna-gnl|WGS:NBSK|LSAT_8X20701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEVRPIKIEKGQCGIVAFKKNYHPEEYNVKFNENNIPNGGMPTQFMSWFDMNVQQRFPIDEDPGKIKDKYFKDLWLKVKKQWNIESDDMKYYMKRRAVKLASNFKSRLVLNFANKELNACAIYTFIPGDVWDNSLHKKLPAKSAKAKENSAKKKSQNHVGRGKPLSLSHQMDANEPFQGGLVDMILSMNPPQIDLNALGAGPGLEHERDPEPKPKTEPETETETEPFTFVDPDLYIPLSKVQQKSHKIQAIAFQVAKIFKSTHDTYIFSPRGMYRDKVRLPILYSGGVTAIFKGLT >cds-PLY87657.1 pep primary_assembly:Lsat_Salinas_v7:1:168879492:168882993:-1 gene:gene-LSAT_1X113100 transcript:rna-gnl|WGS:NBSK|LSAT_1X113100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENHKDVGINFGRSLIVPCVQELAKKSITKIPPRYVRQDHQKPFLTSSDDTSNLSIPVIDLHALFSTDSESSTYSSELNKLHSASKEWGFFQVINHGISESLLEDFKSEVLKLFKLPMEEKEKLWQKEDCQEGFGQLFVASEDQKLDWCDKFYVNTLPLNIRNSKLFQKLPPILSEKVDTYSREIKKVAVGILGEMGKALGMSKEEMGELFDDGAQFLQMNYYPQCPEPELAMGFSPHSDATGLTILYQLTKTTGLQVRKHGNWVSVKPLPNALVVNIGDIMEVVSNGVYKSIEHCATVQSNEERLSVATFYSSNMGVEVGPARSLVAQHNVANFRRVAFEEYYKDFFARKLDGKSNLEFMKL >cds-PLY73626.1 pep primary_assembly:Lsat_Salinas_v7:5:202509419:202512362:1 gene:gene-LSAT_5X94521 transcript:rna-gnl|WGS:NBSK|LSAT_5X94521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METAEEHESKTTNQPNGNHFSKIESFRALVGDSDANSLKEFIDKINSNDEDGVIVTNTSIVLSPSSDKVHRTAQFSQMRPIAMAPAVAPRMPMYPPGGPGLGQHIFYGQAQPTFIPPQPGFGYQQQLVPGMRPGVAPMTNFFMPMVQQGQQVQHPSGRRATGPDYALTDTGITNEFQSSWEDVPLPPGRNVPDGSMTGIGGGGMVSVPYDMGGMALRDTGITQPIPIGVLAYALANASPTKQRTVYAAVVKHLETVARVNLSFYLLVD >cds-PLY91565.1 pep primary_assembly:Lsat_Salinas_v7:1:10791599:10793460:-1 gene:gene-LSAT_1X9061 transcript:rna-gnl|WGS:NBSK|LSAT_1X9061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDLNPHFSNNPNQKPNHKFVGWNNSTFPNHHGNYNHRNRFNGGGASGSGAAPGGIQFRLETMDEDSGVCSPPLWRSLSPPDSPVRFNNQRPLSPSSRAQAIARGQREIMEMVENMPETSYELSLKDIVEQRRELVNDVSVDETQSMEEGEERSILSSGQTGSQRRTKSKKGSVKRQESNKSGRVIVKNGSINHNKGLMINMFFPFTIGSKKRSSIRTSTSFGNSSNHGAGVKFSPKPELLDKSAGGGGDSRDRWWKKFTGSSDNESLGITSSSSESTGKIMVAIMDVGLFSPRGREDQKVEI >cds-PLY85767.1 pep primary_assembly:Lsat_Salinas_v7:1:47316677:47318116:1 gene:gene-LSAT_1X41381 transcript:rna-gnl|WGS:NBSK|LSAT_1X41381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDEGIERVEDSKDLQQQSKALDKLTDHVEDRQLDSTRVQEAMASIAASKEADLNAMRLREKELAAVKINAAEVDIIASELELDKKVAERTLREHKGDAVAAIRHLLHVGNL >cds-PLY70931.1 pep primary_assembly:Lsat_Salinas_v7:8:228446567:228448366:-1 gene:gene-LSAT_8X138961 transcript:rna-gnl|WGS:NBSK|LSAT_8X138961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFSVESPDVGHRKTQYTIKTKNVSYVVSPTSCKFGWIFQKKVNPTLKKQILRDVNCEVKPGEITAIAGPSGAGKTTLLEVLAGVIPPCRVSGHVLVNDRPMTPTVFRRVSGYVTQEDLLFPLLTVEETLLYSARLRLHGGIDNSKARVQELLAELGLCHVAGERIGGGSHRGISGGEKRRVSIGVDLVHNPSVLLMDEPTSGLDSGAALQVISMLKSMAENHQKTIVLTIHQPGFRILELFDQIMLLADGTMVHHGSLDSLDHRLKSAGHHISRQVNVLEFSIDVIETLIPESKTFDLIEPKPEPESDCIIQDCHLQYANSPLSEITILSERFAYNIFRTKQLFVAKIFQASLVGILLGTIFQNIKQFQMQTQIGFFAFNLTFLLYSSSEALPIFLQERRILMRETSIGAYRVSSYSIANTLVFIPFLFIVALLYTTPTYWLVGLRAQLDGFSQFLLIVWLVLLMSNSFVACFSALVPNFMIGISVVAGLMGSFFLFSGYFISKNDIPRYWIFMHHLSLFRYPFECFLLNEFGGSQGRWRCLERLDGGCLVSGEDFLKKENLKEVQKWYNLGMMLVFIIGYRFLSLLVLSYRSYKSRN >cds-PLY77080.1 pep primary_assembly:Lsat_Salinas_v7:MU042617.1:785:1660:-1 gene:gene-LSAT_0X46200 transcript:rna-gnl|WGS:NBSK|LSAT_0X46200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAIPAKIAGCKEIILCSPPPISSEVLYTAHICGIDKIFQVGGAQAIAALAFGTNSIPKVDKIFGPGNAYVTEAKSQVSSMFNGTAIDMLAGPSELLIIADHTSNPDFIAADLLSQAEHGGSSQVFLLTPCIELANKVILSLNEQLKKLSRLLEISESLKNSAIIITKNLFQCIKISNAYAPEHLIIQTKSPRDLVNYISNASSIFLGLWSPESAGDYASGTNHVLPTYGKSITNSALGLADFQKRILIQELTPQGLMQLSNTLKILSSAEKLQAHNNAVKIRVDFLKRKI >cds-PLY97617.1 pep primary_assembly:Lsat_Salinas_v7:5:233594526:233594926:-1 gene:gene-LSAT_5X115561 transcript:rna-gnl|WGS:NBSK|LSAT_5X115561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLSTPPFRWGPWLYYRRVEEGKQYPLLCRRLASLNEEFISHKSPTGGFDFISGKRIEQKLIDYNQEAERFGVIPIPVSFQHLSTISNSLPLHLIY >cds-PLY82463.1 pep primary_assembly:Lsat_Salinas_v7:2:184673232:184674448:-1 gene:gene-LSAT_2X107961 transcript:rna-gnl|WGS:NBSK|LSAT_2X107961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEALNNDYQVCEEIGRGRFGIVYRCYSTVSGDSFACKSIDKRLLADPTDRECLQKEPKILHILGGNSNIVQIHRLYEEENYLHMIIDLCDTPDLFDRISKRAEGFSETEAASIFSPLMLSISYCHRLGIAHRDIKPDNVLFDSRGNLKLADFGSAEWFGMNERRTMTGVVGTPYYVAPEVLSGREYNEKVDVWSAGVILYIMLAGVPPFYGETPAETFEAVLRGNLRFPTRIFRSVSPEAKDLLRKMLCKDVSRRLSAEQVLRHPWVVSGGEPRSMADLT >cds-PLY74445.1 pep primary_assembly:Lsat_Salinas_v7:8:251209102:251212265:1 gene:gene-LSAT_8X147861 transcript:rna-gnl|WGS:NBSK|LSAT_8X147861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFVEGLAMLRQLIGQVQELLDLYGTPLPPPLPTAPLPYIFHHQPPTILAPPPQLHNDRWCYMDLEEKPSEDDCYDLVMRGGKFKMLGPPSKRSRKERKLPESPSNKTMEEEIWKEFPEDLHEAVIARLPVAAFFRFRSVCQKWNSLLTSNSFSLQCSQLPQPPQPWFYTRTHENVNTGAMYDPVAGKWHHPTAPAIPTKMIVLPVAAAGGLVCFLDIGHRSFYVCNPLTKSFKELKGRSVKVWSRVAVGMMSLNQSGYRIMWVGSDGEYEVYDSKTDTWTCPGNIPASVKLPLSLNFRSQAVTVDGWMYFLRSDPDGIVGYDVANGIWKQFTVPAPVHLSDQSLAECGGRIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNVWCLEFYGKHIKMSCLGNRGLLMLSLRSKMMNRLVTYDVFRKEWFKVPNCVLPHSRKRQWIACGTAFHPCLTAKA >cds-PLY62864.1 pep primary_assembly:Lsat_Salinas_v7:9:131482252:131483526:1 gene:gene-LSAT_9X85440 transcript:rna-gnl|WGS:NBSK|LSAT_9X85440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIGEVYECFIREVGSYMWRDIGFDKDTWTEVSEAERVGMLQYLSDVDVNAFLQNPAFVTAIGDIIRSFKNQVNEENNDGEDGGEDEDN >cds-PLY76997.1 pep primary_assembly:Lsat_Salinas_v7:6:66426039:66428847:1 gene:gene-LSAT_6X47221 transcript:rna-gnl|WGS:NBSK|LSAT_6X47221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNDHPYSRYYDRSWFTLNKHHLSPSSSSYSHPPLSFSYSSLPHHYEPSPPSPPLREALPLLSLSPTRRLNHEHEHNTNTSTNHPCSKSMEIDPNNKLVSDDPESTVTVALHLGLPSPRFSEADLISRLSSNTHNGIGMDHKEVGEEEDNYEGTHSNEYLTSTLNKGQYWIPTPSQILIGPTQFSCPLCFKTFNRYNNMQMHMWGHGSQYRRGPESLRGIQPTAMLRLPCYCCAPKCHNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCGKNFAVRGDWRTHEKNCGKLWYCSCGSDFKHKRSLKDHIKAFGNGHAACGIDDECCLELEDEAASEIDHQENESSYCYNI >cds-PLY65020.1 pep primary_assembly:Lsat_Salinas_v7:1:118352408:118352653:-1 gene:gene-LSAT_1X90740 transcript:rna-gnl|WGS:NBSK|LSAT_1X90740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPPSLELLRQPLSPHLPSSHRNPFPQPPLPFEAPSSVVASLGIAVVPAPLPEPMCTVEMAAKIDDRAKNVVARFVSDRKR >cds-PLY66472.1 pep primary_assembly:Lsat_Salinas_v7:5:327281730:327284432:1 gene:gene-LSAT_5X182940 transcript:rna-gnl|WGS:NBSK|LSAT_5X182940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEISESSSTSRKRQRGEVEAIPEPQGSNQSLSLEDNLIFSDTMVALRMMRGQFPHIDKVTVEPFILRSQLYSSVKDRTQVDRELESLKREKVLRIFKLNTGQDDHAVMFMEDYLNQMKRAVKSMEAKKQSVLPVFEWFEVHVIHSKPEPCIGHQELCSLLSIGGKVKDEDISLLINAGLLTRQLIDPDMYWFAIPNIGSLLKALTQGRKEVMSLLNRKKYKEMMLSLVEKKRLRFSPLDIRFHLRDLLGSGHLKTLHTPGGIVIRVVKD >cds-PLY61765.1 pep primary_assembly:Lsat_Salinas_v7:5:302666468:302670191:-1 gene:gene-LSAT_5X164060 transcript:rna-gnl|WGS:NBSK|LSAT_5X164060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGRSTIKTCVKPFVHPSGPINSVMPQSASSSCCFHLSLGCVKPNHVVKTNSRHSLTANPRSNNNSNNNNNWQLLSIKTAMRSRSGTQKTRFDLSLGSRSMNFRLLFPKQGIISRMKWSLVPGSWTQGCASAGVAMGLAVCSSSSEPSYAEAEEKKEEDDCSSSNTNFSHGKQVHTDYSVIGIPGDGRCLFRAVAHGACIRSGKPAPTERMQRELADELRARVADEFVKRREETEWFIEGNFDSYVSQMRKTHVWGGEPELLMASHVLKMPIWVYMSDADSGGLICIAEYGDEYKSGSNKKDDPNPIRVLYHGFGHYDALHIPTPPAKKDSRSRL >cds-PLY86180.1 pep primary_assembly:Lsat_Salinas_v7:9:134450677:134450928:1 gene:gene-LSAT_9X86240 transcript:rna-gnl|WGS:NBSK|LSAT_9X86240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLWNKVRSEGWSNSTVNCHAWLGMATERKKEKKGGGKTATDTPDSYAVYLLSPEEMAAAMHNGDNNQKWITAPEVVDVRVAAE >cds-PLY77566.1 pep primary_assembly:Lsat_Salinas_v7:2:162661253:162662262:1 gene:gene-LSAT_2X85021 transcript:rna-gnl|WGS:NBSK|LSAT_2X85021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQCVVHPKDFIRAKAIRLKIEDFAINKLLSAVNRAVSGAELSVCGANEQRPQWQRPRLLQLVFDKYDHAPKAVKQAIHRHVPILIRALGLSYSDLLSIISYPPHGSENLQGMRTKDDWINMK >cds-PLY71385.1 pep primary_assembly:Lsat_Salinas_v7:3:228617104:228617580:1 gene:gene-LSAT_3X130520 transcript:rna-gnl|WGS:NBSK|LSAT_3X130520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVQRKELANQAQIEDGVYDFSMSLVPFEPNKQDVVNDFKDDSYFPVPKETDTVQDITVDINCQDLREILDDFEPFNSDGYQNMGDFEREVEVEKDQDEEDEQGRVEDSKDDSEEHTEEDDDYDYIVDPEAILDDWEVDMRDLRVVWMRSNGLDKDQT >cds-PLY68849.1 pep primary_assembly:Lsat_Salinas_v7:3:63442826:63444675:1 gene:gene-LSAT_3X50181 transcript:rna-gnl|WGS:NBSK|LSAT_3X50181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGSCLESTGSTTTSSSPVNNIGKSIMLFGVRLMEGGSSFRKSASMNNLAQFDCQPPDSNTDAAGYASDDVIHPSARCQDRKRGVPWTEEEHRLFLVGLNKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRNNNNNRRRRRSSLFDITTETYTNSSPEDEEKQPLSLLPATTGDDNSVMSPSTRVLMPPSTKMANLNLNSTEEEEEIDHQPSSLALTLHLSLQHQPSTSSPPPTNSNTMAKFQDISGSFNNNSGDANTIISVA >cds-PLY94645.1 pep primary_assembly:Lsat_Salinas_v7:1:41051585:41052352:1 gene:gene-LSAT_1X36460 transcript:rna-gnl|WGS:NBSK|LSAT_1X36460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKISSRSFLSPARAGAAHDPPPLSLSNSHNRRLRNSRSIKGGASPAMFPTIGQKRGSSFENPEPSSPKVTCIGQGRMKSKKKHTKNLSLLRRQSADEVSFRRFEHSGNGFGSRSQNLGSNQECLPLQRNNQRWVHLPLTICEGLRAFGSEGGDGSGRDVGGGGGGERVVELIAGDDDDENEEIDEMGIMNSRRHVFQDLEIVNDSVFGTID >cds-PLY90742.1 pep primary_assembly:Lsat_Salinas_v7:3:36207396:36210165:1 gene:gene-LSAT_3X26601 transcript:rna-gnl|WGS:NBSK|LSAT_3X26601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFFNGLGEIKKQSTSSVHISNISDEYVAYKVKTTSPKRFCVRPNSGIISPKSECSFTVTMQGLRSHPSEVECKDKFLIQSTVVDSGTKEEDITVDLFSKSSGKYVEEKKLRVVLVSKQDLPVEEHKDKELEKEHDNEEDSLKDHKHKVLEKEHSNEEDSHKEHKHKVLDKELPHEASHTEHKHKVLEKEPSNETSHKEKKHKVLEKEPRNEASSKEHKHRVLEKEPSNEEHKHEVLEKEPSNEASHKKEHKHKVLEKEPLNEASLKEHKHKVLEKELSNEEHKHKVLEKEPFNEASHEKHKPKLLEKEPSNEVSHEHKHEVLDKEPSNESSIKEDVLVKRDKNVFSVDKQIEDAKEVKSGLNIEESKLSDDPNRNNVPKNSKFKPVKIVKEDPETRLRLSNQLKELKLKESTLENQLKEAKSTISSLTYQNSIIIEAKETLQLELDRRSKKGFSFVFVCGVSLIGLIGGYFSDP >cds-PLY64204.1 pep primary_assembly:Lsat_Salinas_v7:7:1799966:1801972:1 gene:gene-LSAT_7X3161 transcript:rna-gnl|WGS:NBSK|LSAT_7X3161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRVEKSEIKAGDHIYTYRAVFAYSHHGIFVGGNKVVHFTHFSTPERESSSSTSDEMSEIGFSCETYPDCGFRQPKSGVVLSCLDCFLRNGALYSFEYGVTPSMFLAKVRGGTCTTATSDGPETVIHRAMYLLQNGFGNYDVFQNNCEDFALYCKTGILTVDKLGIGRSGQASSLVGAPLAALLSTPLKLLIPSPVGVATVTAGMYCMSRYATDIGVRSDVVKVAVEDLAVNLGWMDGLQEAFQRNQDSTSSQARSR >cds-PLY68126.1 pep primary_assembly:Lsat_Salinas_v7:3:214459552:214462134:-1 gene:gene-LSAT_3X123940 transcript:rna-gnl|WGS:NBSK|LSAT_3X123940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSVAADTRGKHRISAELKRLEQETRFLEEELDQLEKMEAASAACKEILSNVETRPDPLLPITNGPTNPSWDRWFEGPQDKSGCRCWIL >cds-PLY62058.1 pep primary_assembly:Lsat_Salinas_v7:2:56641484:56644989:-1 gene:gene-LSAT_2X25400 transcript:rna-gnl|WGS:NBSK|LSAT_2X25400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFLGDNFSTKTSSEGSRKNSPRSISSDTSQRNSSRIARQLKTTGLESNSSSVNQSAIRTPKSTSPVIVTRKSPISPISEKKRPVKVAEFETQISQLQDDLKTVKDQLVSSESLKDQAKQDAEESRKQLLTMSSRLEESQKLLARCSSEEPHGTQDLQNLDELWISKLEAAKKQHSADLDSLATALNEINQLQIKIDGKANSEATQTKETESAIQELHSLKSTMAETLSIVETMKTELKNCKISESQAQELARETLLQLESAKMTIKSLKFDQSKEHESEKFEETRFSVEESRKRMEIARVKLSELEDELKKSKDDIEDLKANLMDKETELQGICEENEELNSKLKNSQSGKREYELENEITNLKHDFDFLKSKLNVMETELKNKSDENEMMKLEIKKFKKMDCKDSSELSQLSESESIVKVDQLVEEIEKSNRKVARVVEELAAAQAVNGEMEADLRKMKVQSDQWRKAAEAPPCFRPEIMRIGSW >cds-PLY85649.1 pep primary_assembly:Lsat_Salinas_v7:6:86033402:86036185:-1 gene:gene-LSAT_6X59860 transcript:rna-gnl|WGS:NBSK|LSAT_6X59860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLYHRGFFLILLILSSIFCLQSRADGTGSVFFLDSSVHRYLRSPSSEPESMLLPDVGAATSILLGVSPPSTLSSASSEKLNEVLMPNPFERPHAVFMLEIDGVEDMQLGFGPDGDVFSKAFKSKVSVDENDVNIQFSDEEEVSLVSLNEPLPSSSEWTNKDLMDFAGWLGGSYVSTELDPMNGELIVPLSNNAQLKLDMSKQADREFTISLITLIYNVQRTVQMHEDLSGNILSPAELIKGRFDGIKVLKVQYGNDDIVQQGVELLVASLSKIYDTLQAAYKGEIVGVVLLNDGSSDETILNVSFGSPSSRRLEETKGKLDPIIIAQVFLVRRTLAWITGIILIIATLLGVHFLMNMPLTRDTLLYSNVKLD >cds-PLY68872.1 pep primary_assembly:Lsat_Salinas_v7:3:62306193:62307772:1 gene:gene-LSAT_3X48000 transcript:rna-gnl|WGS:NBSK|LSAT_3X48000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRIKPLIKQNLSPFSSPPSNHKPHSSIIFARNSVIVLGVVFVVCCLLHFLARYLMKKKRSSSSSSSSNSHHQSSRFQESNDNDDVAYERQLQQLFHLHDSGLDQAFIDALPLFLYKELMGSKEPFDCAVCLCEFSEQDKLRLLPLCSHAFHIHCIDTWLLSNSSCPLCRGTLFTPGFSVENPVFDFHDDSREEDDHVLGVSCNFKQSGGGNEKLVYPVRLGKFRATNGEKRDEKEVGETSNSNLDARRCFSMGSYEYVVGNSELQVRFCPGRGNIGKKYLGIEKDKGNSSVEGGSDGKKISSRSKGESFSVSKIWLWSKKDQHKFQDSLVPI >cds-PLY94111.1 pep primary_assembly:Lsat_Salinas_v7:8:29432716:29432919:-1 gene:gene-LSAT_8X24661 transcript:rna-gnl|WGS:NBSK|LSAT_8X24661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMQRCSVEGVRCRRRSEGDFRCCEGDDMLPDLGGQGSEAGATMRRIGSNGDATMVGRWCATPTAKR >cds-PLY74519.1 pep primary_assembly:Lsat_Salinas_v7:7:41617556:41620106:-1 gene:gene-LSAT_7X31640 transcript:rna-gnl|WGS:NBSK|LSAT_7X31640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNNKPNKQETPSPFTNKDHDSSPRKPPLPSASVAGGSGGDRLKRDEWSEGAVSSLLEAYEAKWVLRNRAKLKGHDWEDVAKYVSSRANSSKSPKTQTQCKNKIESMKKRYRSESATSDVSSWPLFPRLDLLLRGSGGPPVVTSGGDPPSSSTPVANKLPLMVFEHTPPPPPPPMIQSSSPPVTLPVPLPVATPAHQVIGQNSIDSNGLDRENKEDDVVGIKTSEKEINQVSEKMEIETDCSTPALYSNEKGKIQTKNQNHKNTNNRKRRRKGERESWDVAESIRWLAKVMVRSEQAKVETMRELEKMRADAEVKRGEIDLKRTEIIAHTQLEIARLFATSFGKTVDPSLRIGRS >cds-PLY93233.1 pep primary_assembly:Lsat_Salinas_v7:6:159779737:159782210:1 gene:gene-LSAT_6X98781 transcript:rna-gnl|WGS:NBSK|LSAT_6X98781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDQDEYRCFIGNLSWSTSDRDLKEAFKKFDHLLDAKVVMDRSSGRSRGFGFVTFDDEKSMEDAIEAMNGIDLDGRPISVDKAQPNQGGGGGGRDRDGGRDRDRDRGRDRGRDRDYGGGGGGRGSGGDCFKCGKPGHFARECPSGEGSRGGSRYGGRDDRYGGGGGGSRHGPDRGGDRDRSSGRNRDSGSRGGSGSDRRDRDRDRSGPYERP >cds-PLY82893.1 pep primary_assembly:Lsat_Salinas_v7:4:17768515:17771804:1 gene:gene-LSAT_4X11741 transcript:rna-gnl|WGS:NBSK|LSAT_4X11741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 homolog B [Source:Projected from Arabidopsis thaliana (AT2G03670) UniProtKB/Swiss-Prot;Acc:Q9ZPR1] MESSCSTNDVGEWKAEEAIAGNAEALQALRELITYPLLYSRESKQLGLKWPRGLLLYGPPGTGKTSLVRAVVRECDAHLIVLSPHSVHRAHAGESEKILRDAFAEASSHIKLRKPSVIFIDEIDAICPRRDSRRQQDIRISSQLIMLMDSSATSSSGTKVVVVASTNRVDAVDPALRRSGRFDAEIEVTTPSEQERLQILRLYTKKVPLDPSVKLETVASLCNGYVGADLEALCREATMCALKRSSNGINEDIVCSLLMDDWKIARSIVGPSITRGVTVEIPKVSWDDIGGLNDLKKKLKQAVEWPLKHSDAFSRLGVSPMRGILLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELFSMYVGEGEALLRNTFRRARLVAPSIIFFDEADVIAAKRGTGSSGSTTVGERLLSTLLTEMDGLEEAKGILVLAATNRPHAIDAALMRPGRFDLVLYVPPPDLEARYEILRVHTRGMKIGNDVDLRQIAEETENFTGAELEGLCREAGIVALRENITATIIHDRHFQTVKRSLKPALSKEEIDSYASYMKKQTRRSRSDHPRASTGDKNHKHMNSWLLGLLVSVTIGVMGITVLSGTRDYLTHFLQIPASETLVST >cds-PLY79820.1 pep primary_assembly:Lsat_Salinas_v7:8:17861958:17864314:1 gene:gene-LSAT_8X12440 transcript:rna-gnl|WGS:NBSK|LSAT_8X12440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-31 [Source:Projected from Arabidopsis thaliana (AT5G05760) UniProtKB/Swiss-Prot;Acc:Q9FFK1] MVSAGNSTFRDRTSEFRSLSDTLKKFGRISAANPDRSNQQNDPSTSSKLPVTPSSYRSEFNKKASRIGLGIHETSKKISRLANLAKKSSIFDDPLKEIQELTALIKNDITALNVAVSDLQTLQNMEIAEGDYSEDTVVHSTAVCDDLKNKLMGATKKFQDVLTTRTENIKAHENRRQIFSTSIARENPLRQQPKSVMEPPPWSTPSGQPSESSVNGVQVGNQLRRRLAVESSTPSQNMNTGASMLQQQVVPQQESYTQNRAVALQSVESTITELSGIFTNLATMVAHQGELAIRIDDNMEESLSNVEGARGALLKHLNRISSNRWLIIKIFVILIIFLIIFLFFLA >cds-PLY72531.1 pep primary_assembly:Lsat_Salinas_v7:2:141839840:141841795:1 gene:gene-LSAT_2X69761 transcript:rna-gnl|WGS:NBSK|LSAT_2X69761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNVNSVSFNFTSFQPNNQMIVYQGDAFASSGIQVTKNQRDQSLMNSVGRALYGDPVQIWDKKTRKLTDFMTHFSFSMNALNSSEYGDGLSFMLMPFEPEIPQGSFGGYLGLFSPATAFNSSNNSVVAVEFDSFKNPWDPSDNHVGINVNSIISVANLSWDSSIKDGKVANAWVSYNSSTYNLSVFLSYEDNPIFGGNCSLWYVVDLREVLPESVRIGFSAGTGDWIETHTVYSWSFSSNLDKTQVKKNIWLTTGLAMGSGALSLVVGFFWFFCWKKRSTLRKKEDVSIQYDFGNNMGPKQYSFRELSKATDGFSEERKLGEGGFGGVYKGVLLSGVNSLVAVKRVASGSKQGKKEYVSEVKIISRLRHRNLVQLIGWCHEQGDFLLVYEFMPNGSLDSHLFYSKSMLSASIRYKIAMGVASALLYLHEEWEQCVVHRDIKSSNIMLDSSYNAKLGDFGLARFVDHDLGSQTTVIAGTMGYLAPECLMTGKASRESDVYSFGVVALEIACGRKPIDTKVEPSKQRLVEWIWSLYGEGKLFEGVDERLNGEFDQRELECLMVVGLWCSHPDSSSRPSIKQAISVLNFEAPLPSLPAKHPVPVYYAPPMSMCRFTYTSSVGLSTTVSERKESVSSCGSAGSSKALLEMGNRGL >cds-PLY80872.1 pep primary_assembly:Lsat_Salinas_v7:8:127553812:127554237:1 gene:gene-LSAT_8X87160 transcript:rna-gnl|WGS:NBSK|LSAT_8X87160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNDAQILTEQKVATCQAKVDQGAEELWWVVKEVIPLFVRALLDSSDFGPVNATLQMFAIHLGLHQAGVDMNEKYPGEFKDKSVLYSYPDAQHQIIDHFAELTTYKYSLLSTLKDEGMDVGSLKKLLKVVDCSTEDEVGSC >cds-PLY98254.1 pep primary_assembly:Lsat_Salinas_v7:7:167169428:167171511:1 gene:gene-LSAT_7X99380 transcript:rna-gnl|WGS:NBSK|LSAT_7X99380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRVLKNFPLKGVTNCRWMTTTTVMSFGDGSHGALGLPISVTGINSDAYEPTPISALPPDVSSIAAGHYHSLAVTSQGHLWSWGRNAESQLGRNLDSPRETWIEPKRIDGLSDVKSAFASGVVSAAIGIDGCIWIWGKSKRGQLGLGIGITEAIHPTKIKALSKEEIIKVSFGWGHALALTKDGKLFGWGYSADGRLGKREITGVSPLDSVNNLSKLEDAEKVVLEAMSKENDMPIIWEPCLINELKGVEVADVSCGLDHTLVLLRDGTLLSGGSNVYGQLGRSTQDLEFLPVDISVHPVSISSGLGHSLAICQIPSAEGGEGISGVFSWGWGQNCQLGREGPGNIPLLVDGLLGEKPVSVSGGRVHSIVLTDNGEVFSWGCGRSGRLGLGSSIDEHEPMVVEFSEDTNVLQVVSGFDHNLVLTS >cds-PLY95793.1 pep primary_assembly:Lsat_Salinas_v7:7:96112841:96116839:1 gene:gene-LSAT_7X65280 transcript:rna-gnl|WGS:NBSK|LSAT_7X65280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLQKLFYKKLLYGIVDVSERIYATRTIGVISKIDQASSDPKVLAVVQALLLGQGSRVHRVLADIVSANATPRLGRYPPFEREAEGKHNTKLCFNLSKSLNEKDYYTLWIEKYDHDSLYVSPGLPNNKDCSLITKIQKVDKYPTLNQVITIGFSAEIGDTKEHCSVYKGTRTRTSFIFIIGFWMLDLANNTVQGLARALLADLAGPDQRNLANVIFCSWMAIGNILGFLSGSSGNWHRWFPFLKSIAWCEACGNLKASFLVVVIFHTFCTLVTLYFAKEIPLAPKQHKNLLHFAPLLNNHQLTGTENSDSKLLTNSSKSSEVEEKDQVETFNDNLGAVLINLLNSYAIYQ >cds-PLY76269.1 pep primary_assembly:Lsat_Salinas_v7:8:33659593:33663352:1 gene:gene-LSAT_8X26560 transcript:rna-gnl|WGS:NBSK|LSAT_8X26560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNNVFTFDNPSNSLMDFDYMDELLLDGCWLQATDGSEITNNNPSPSNSIFDPSFQWPNLESNIGKPVSKDLFAPERNSSKSHVKNLTGFPNDESENHSESSKRWWIAPTASSGPSLSVMERLIYAIDNIKHYTVDKNVLIQVWLPEIKDGKKVLSTSQQLFSLELNCPRLSNYRSISEGYTFPAEGNAKDSVGLPGRVFMGKVPEWTPDVRLFKIEEYPRVSHAQQQDVRGSVAVPVFDQDVKDCIGVVEVIMTTQKSIYALEIQSVCKALEAVDLRSSEDSNTQRINVSNGFYHPSLPEILETLKSACKMHNLPLAQTWTPCIQQGGKSGCRHSNEKLVYCXENLVYCLSTVDIASYVSDPYFKDFQEACSEHHLFKGQGVVGRAFTTNEPCYSPDVTSYTKTQYPLAHHASYFDLHAAVAIRLRTTYADTVDFVLEFFLPVECKNQEDQKGVVNSLLNIIGKVCRSLRFVTEKELQEEAVNEGLLVVSDIEHVQKIQETTEPGPDPGPGSGTEKRRAGAKMEKSITLEMLRQHFAGSLKDAAKNIGVCPTTLKRICRQHGIQRWPSRKIKKVGHSLRKIQLVMDLVHGGSGSFQIESFYSNFPKLASQDPSTTTQLPFAATKTETFDSKGGNTVISCSQSSSSSHSLSGGTHQAPPVKEDTGDQEQDQDRYQDQDQEQERKLFLGSLNDPPKHQNRPPKPYIKREGGLWRVKVTFGEEKIRFRLQKDWGYNDLLQEIAKRFSLNDIGGYQLRYLDDDSEWVLLTCDADVEECIDVYRSFKSGTIRLALREPQIRVGSSLGSNTPL >cds-PLY92195.1 pep primary_assembly:Lsat_Salinas_v7:6:75596199:75597408:1 gene:gene-LSAT_6X53000 transcript:rna-gnl|WGS:NBSK|LSAT_6X53000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA damage-repair/toleration protein DRT102 [Source:Projected from Arabidopsis thaliana (AT3G04880) UniProtKB/Swiss-Prot;Acc:Q05212] MSQNSHRRLKIVAGADPFGSALKDALVSHLQSLAIEVDDLGTDNYYSVGEKVGRIVSSATNSPDTETRGLVACGTGVGVAIFANKFPGVYAATCLTPADAANARSISNCNVIAFSGMSTSLDSAIETLDTFLNSPFLSPCPASKSEPWPENIQTFLDTSLNEMSKIGTTPPISVISCSICNLAAGREFSPIEIMPGGSMKIVRETPTSAIVRFTAGSIEPGHHHTHGHDLVVMKGRKIVWNLTKSERHELGVGDYLFTPAGDVHRVKYLEDTEFFIRWDGNWDIEFDEDLAAATSQLEKEP >cds-PLY94406.1 pep primary_assembly:Lsat_Salinas_v7:6:8577119:8578798:-1 gene:gene-LSAT_6X5460 transcript:rna-gnl|WGS:NBSK|LSAT_6X5460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNRNLTLIHSYSGGGNDAFDRTPGGQVSPLSSDGELDEKDGDSGSDDTTVDKQPKGCVPTSIVGENLYNIIIDCKPNNPQNSYMERSLDEAGVQLTTPLVIEILGSLRYQENLAFRFFTWAGNQENYSHEPQAYNEMIDILSNTKYKAKQYRIVCDLLDYMKRNNKTKVPIEALLKILKQYADKHLTHLHKFAKKKKVKLKKLQPEIDAFNVLIDAFCKSCLVEDAESMFMRIKNKVKPNASTYNILFFGWCRVRNPKRSMQILDEMIQMGHTPENFTYNTAIDTFCKSGMISEAYELLKFMKEKGTFMSSPTAKTYAIMIVAFARENKMDECFKLVDDMASSGCLPDVSTYREMIEGMCLAGKVDYAYKFLEDMKNKGYPPDIVTYNCFLKVLCDHKDSKEACNLFKKMIEAGCVPSVQSYNMLIMMFFKISDPIRAFEIWDEMDIHDTDSYCVMIEGLFGCERIEDACGLLEEVLNKDMKLPYQKFDSFLMQLSRIGDLRGIHRLSQHMRKFYNPSMARRFALSQKRKSVSLRGK >cds-PLY86617.1 pep primary_assembly:Lsat_Salinas_v7:1:3466046:3467446:1 gene:gene-LSAT_1X2660 transcript:rna-gnl|WGS:NBSK|LSAT_1X2660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEPMFKPNKPTSYLYLSQAGKNARQVQIRECFPQPLKERLDEGREMRRGKEKAFGDRDFLSFSSKTKKAEDGLRCVLSEGNTLFRPRGGMIVGPSPRWPIGIAAFGLCLPFLIKNSGSARESAGNNRKEGVHVAAAPAPLLVNGAAAFLSIAPKISISANISRLSIYGSYGATLQQIFFFCSIASMILGALAAMAQTKVKRLLAHSSIGHVGYIRTGFSCGTIEGIQSLLIGIFIYASMTIDAFAIVSALRQSRVKYIADLGALAKTNPISAITFSITMFSYAGIPPLAGFCSKFYLFFAALGCGAYFLAPVGVVTSVIGRWAAGRLPRVSQFGGPKAVLRGPDT >cds-PLY91052.1 pep primary_assembly:Lsat_Salinas_v7:2:54690953:54692912:-1 gene:gene-LSAT_2X24880 transcript:rna-gnl|WGS:NBSK|LSAT_2X24880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLFLILPILSFVVPHHGSVEFFRQPPRPLVYTLHDGPKSHPQQVHVSLVGKNFMKVSWVTEETDVPSRVDYGKVQSKYDSSATGEHSSYNYFFYTSGEIHHVTIGPLDPATTYYYRCGGSGQEFNFRTPPATFPIEFAIVGDLGQTEWTSSTLAHINASNYDVLLLPGDLSYADSQQPLWDSFGRLVEPYASRRPWMVTEGNHEQEIVPIIYPNGFKAYNARWPMPHEESGSESNLYYSFDVVGTHIIMLGSYADFSVDSDQYKWLANDVAKIDRSRTPWVIVLLHAPWYNSNLAHQGEGESMRVAMEEMLHNSSVDMVFAGHVHAYERFSRVYDNKVDPCGPIYITIGDGGNREGLAMTYKEPSPSISLYREASFGHGRLRIMNETHAHWSWHRNNDSASFAADNVWFKGLSSEPSCSQKIRGKNFQHDEL >cds-PLY86285.1 pep primary_assembly:Lsat_Salinas_v7:8:58221090:58224558:1 gene:gene-LSAT_8X41561 transcript:rna-gnl|WGS:NBSK|LSAT_8X41561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSSATNIMLAIPEKKTVGVDLYRPLRNYIVFNYSEREAQNLEDDLETLKEMRNNIERFSAADSLSTRRDLLQNYIKALTTIESRFPISPDKDHVNSVTFTWYDAFKNRNKASQKNIHLEKAAILFNLGAVHSQIGLACDRSTVEGRRQASHSFIAAAGAFAYLRDNAATKASIGNSTTVDVSMECAGMLERLMLAQAQESVFENTIAKGSTPGVCAKISRQAGLYYEEAVAALNVTPLNQHFDKTWISHIQLKATLFYAEACYRYSLELHEKEEIGEEIARINSGITALSEAKKSYPRGTSQQILDSINKLETKLNFNLDRAMKENDRVYLLRVPPVNSLPPLPAFSLVKSMNMNDLLDASKVRMFATLVPDNSAKALSRYTEMVDDVIRTQAEKLQQGSELARVHLKEMSLPDSILALEGHSVLPPALWEDVEAVQVSGGPAGLQGELQQLHDLRRVNHELVVQTEELLEKEATEDGQFRSQFGTRWTRPQSNTLTKNLQDRLNGFAANLKQAADSDGKIDRSVRGHMALMAILDTRPIETALPSLGRPIMSLDANEDAVVGALKQSLRQLEALGAQRAGLEDMLKDMKTKDDILPKLMTSTGSYEDLFRKEITKYDNICEEISKNLQAQEQLLLHIQAQNNQFASTFNIEDYTASCEKCYKQIEGAIAKYREIKENINEGLKFYVTLQEAISNIKQQASDFAMTRSMQCREMVEDVQRQISGLSVQPPYYHQPPPQPGGGGGGGDGGGYLYPPQPTQQLGPSGP >cds-PLY73286.1 pep primary_assembly:Lsat_Salinas_v7:5:133033904:133034930:1 gene:gene-LSAT_5X58000 transcript:rna-gnl|WGS:NBSK|LSAT_5X58000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNISDLKTDGIGSPLQVRILRKCRHDVRRYETWYLGVDKFGDAIQILGQRTNQSYIESVLNVLECYTISDYSCPKLDKYQKFLENDFHIDVGLMSVIQHIPETVTIPKTWFRFLTKTNLIELGETPPYYPDYIGVLSKIRDCTKIGGESFVLLILTDESGSEIAINLWKDCIGNPQKFDRASLHPPPTTTVVAVTNLKPSISNGALRHDSSHATHVYVNPDIPETISLTNL >cds-PLY64325.1 pep primary_assembly:Lsat_Salinas_v7:4:27519183:27519999:1 gene:gene-LSAT_4X19440 transcript:rna-gnl|WGS:NBSK|LSAT_4X19440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVNPFSDLNSGDKQWVNHISKTLKKQFDITINTPLQYLFQIPQNLTGKNMEAYVPQRMGIGSDHHLDEVVSADHRRCQSLHAVSIPVTFPPLNKYLRALHRPLQIQRWSKRRCRGSHNYAIFLYSFSATGKHQNRSCCNHLCYRRSPRNESSRASQLSSNYQAFDGFRHRNIKFHKHGSDHPMIT >cds-PLY76541.1 pep primary_assembly:Lsat_Salinas_v7:8:91169018:91170439:-1 gene:gene-LSAT_8X63781 transcript:rna-gnl|WGS:NBSK|LSAT_8X63781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSQQQLERGLNWMLEKVVGPTATSSFLPAHMTSATQPPRPTSLSPDMEKALLQQVMSLTPDQVNQLPSDQRNQITGNLDERVSDGVLYDILIQAGRVVDLHIPRDKETDKPKGFAFAEYETKEIDDYAVRLFTKLFTKLFTLYNKTLRFGVTYLTFLFKLNE >cds-PLY95620.1 pep primary_assembly:Lsat_Salinas_v7:4:124448353:124448571:-1 gene:gene-LSAT_4X78181 transcript:rna-gnl|WGS:NBSK|LSAT_4X78181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPTEDVRGKGSTCEAAIDGDEDDDSGVIADMIRAGGERGGRELASMWEPDGLAASGDGKGDVSGGGGVRRG >cds-PLY81765.1 pep primary_assembly:Lsat_Salinas_v7:3:30201900:30204033:-1 gene:gene-LSAT_3X23200 transcript:rna-gnl|WGS:NBSK|LSAT_3X23200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVGPLIERLEKENLKHVDPGLLNMREEPTDSESDEEDHRFTYEAIQKRQEILQKKYKRHDELIKNFAQSDNIDDSFKWMNRIDKFEEKHLAIRPEYCVIGDLMNRLKEASGKDKFLLQHKLNRAIRLVKWKEAYDPNNPANYGVIQNMQSQHDAEDSDAEKEKQLTKGALDDDDDDEEEFDDMKETDDILMEKLNFIDRKLEEKLAQLDHTFGRRGKMLEEEIRDLAEERNSLTEKKRRPLFRKGFDVKLIDVNRTCKVTKGGQLIKYTVMLACGNYNGVIGFAKAKGPAVPTACQKAHEKCFQNLHYVDRYEDHTIAHAIQTSYKKTKVYLWPGPTQGGMKAGRTVQTILNLAGLKNVKSKVVGSRNPHNTVKALFKGLNVIETPKDVQEKFGRVVVESYLL >cds-PLY80182.1 pep primary_assembly:Lsat_Salinas_v7:8:190690708:190691157:-1 gene:gene-LSAT_8X124461 transcript:rna-gnl|WGS:NBSK|LSAT_8X124461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSHSLNPSTFQSFTTGIRVSRFPSYSNHLNVVSPFCDEDAPHPDAPIFIPDWDYYRDQNLLEPKEAFSIFDNFASLRTRVNLRNNRWETIRDMSKVILVEKLALASEALNWCDKNASLFRENGDLYDEFEVTYDIINPFITPSSRLS >cds-PLY77827.1 pep primary_assembly:Lsat_Salinas_v7:1:23511455:23512337:-1 gene:gene-LSAT_1X20080 transcript:rna-gnl|WGS:NBSK|LSAT_1X20080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPVHSSPFHQMENPALASFLQHTTNREKRSKSRSGSGGGGGGGIFRMFKLLPMLTSGCKMVALLGRPRKQLLTDHATTGTLFGYRRGRVSLAIQEDPHRLPVFVIELPMSSTAFQKEMASDIVRLSLESETKTNKKKVLEEYVWGVYCNGRKYGYSIRRTQMTDDELHVMQSLRGVSMGAGVLPGLSGKEWAVDGELTYMRARFDRVVGSKDSEAFHMINPEGAADGQELSIFFVRVH >cds-PLY82606.1 pep primary_assembly:Lsat_Salinas_v7:8:175425128:175431204:1 gene:gene-LSAT_8X115201 transcript:rna-gnl|WGS:NBSK|LSAT_8X115201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERTSSNISREDDQQNGNGEKRNTDAAELESVERIFESKKVPSWQNQLTLRAFVVSFILGILFTFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKFLDKSGLLKQPFTRQENTVIQTCVVATSGIAFSGGFGSYLFGMSEIIAKQSHEANAEQNTKNPSLVWMIAFLFVVSFLGLFSVVPLRKIMIIDFKLIYPSGTATAHLINSFHTPQGAKLAKKQVRALGKFFSFSFLWGFFQWFFTGGDGCGFSSFPTFGLKAYENKFFFDFSATYVGVGMICPYLINVSLLVGSILSWGIMWPLINEKKGDWYPADLKSSSLHGLQGYRVFIGIAMILGDGLYNFVKVLGHTLYGLYHQFKDHRFNPNTPITGNSPPDLPSLSYDDQKRARLFLKDQIPVWIAITGYLTIAIVSAATLPHIFHQLKWYYIATIYIFAPALAFCNAYGCGLTDWSLASTYGKLAIFVIGAWVGGENGGVLAGLAACGVMMNIVATASDLTQDFKTGYMTLASPRSMFVSQVVGTAMGCVISPCVFWLFYKAFDDLGIPGSEYPAPYALVYRNMAILGVEGFSALPSRCLTLCYIFFAFAIFVNGIRDSVGNNWARFIPIPMAMAIPFYIGGYFAIDMCVGSLILYIWSRVNKAKADAFGPAVASGLICGDGIWTLPSSILALAGINPPICMKFLSRSSNIKVDSFLNP >cds-PLY93833.1 pep primary_assembly:Lsat_Salinas_v7:6:145923300:145927065:-1 gene:gene-LSAT_6X88341 transcript:rna-gnl|WGS:NBSK|LSAT_6X88341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSKNNPANRRRSPLSIIIVFGLCCFFYLLGTWQKSGFGKGDSIAMDITKKTECEEDFSTLDFHPHHNIPIPDSSKPKSKTFKPCDVKYTDYTPCHEQDRAMRFPRENMVYRERHCPPQEEKLKCLIPAPKGYSTPFPWPKGRDYVHYANVPFKHLTVEKANQHWVEYQGNVFKFPGGGTMFPQGADAYIDELSSVIPIADGSVRTALDTGCGVASWGAYLMKRNVLAMSFAPRDNHEAQVQFALERGVPAIIGVLGSIKLPFPSRAFDMAQCSRCLIPWGENDGKYLMEVDRVLRPGGFWILSGPPINWKTYYQTWKRSKEDLRAEQRKIEKLAESLCWEKKYEKGDIAIWRKKVNPKSCKINPTNFCKSTDTDNVWYKKMETCVTPYPIVKTGNEVAGGELKKFPARLQAVPPVISKGLVPEVSIESYQEDSKIWKTYVATYKRANRLIGTSRYRNIMDMNAGYGGFAAAIESNKLWVMNVVPTIAPNTLSVVFERGLIGIYHDWCEGFSTYPRTYDLIHGNGLFSLYENKCDLEDILLEMDRILRPEGLVILRDEVDVLTKVMKITAGMRWDVKLLDHEEGPFVPKKIFVAYKQYWVGNKGNDTSSSE >cds-PLY94675.1 pep primary_assembly:Lsat_Salinas_v7:MU038872.1:102507:105147:-1 gene:gene-LSAT_0X20800 transcript:rna-gnl|WGS:NBSK|LSAT_0X20800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFNRHHTTTDRLPSSNVKPIETGEEENRITNKFHHRLHLCKEQSKSEPMNTIIYRWCKKGELKASKESCHTRGTWVSGQETLVGTRDCNILLLMIYVIIEDITAMLDVWDDGIMVMFFL >cds-PLY74220.1 pep primary_assembly:Lsat_Salinas_v7:1:78208277:78208477:-1 gene:gene-LSAT_1X65741 transcript:rna-gnl|WGS:NBSK|LSAT_1X65741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVTEDCWWQQHRTVVEGQSMVVCDRGLLWRPSATSFLLEFDGKMSGGKEGLGGGRNFTAFAVMGI >cds-PLY94432.1 pep primary_assembly:Lsat_Salinas_v7:6:10672781:10673410:1 gene:gene-LSAT_6X13081 transcript:rna-gnl|WGS:NBSK|LSAT_6X13081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRSHDGVILASTKPKKRAGRTKFKETRHPVYRGVRMRRSNKWVCEVREPNKKTRIWLGTYPTAEMAARAHDVAALALRGNLACLNFADSVWRLPVPITNNPTDIRNAAAEAAEAFRLKDMEVDGGDGRRDVSDDKGSDGTLSESEGMAMAEEVEVESYMDEEAVFYMHRLISDMAEGMLIPPPPCLRGWSSDDVESPDADMCLWNFSI >cds-PLY79188.1 pep primary_assembly:Lsat_Salinas_v7:8:163953949:163955909:-1 gene:gene-LSAT_1X78160 transcript:rna-gnl|WGS:NBSK|LSAT_1X78160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALNPNLYGNGMPVAFVNEMFVLIRDGVEFEVDKIPGAPGGMGKAKGKIYLSNVRMVFVADKPTNNFIAFDMPLLYVHGEKFNQPIFHCNNISGLVEPVVPDDQHRALYSTHTFKILFKEGGCGTFVPLFFNLIKSVRQYNQQFGGPTEPRAPDPLRAAQTPVDEMMRHAYVDPNDPTRIFLQQPNSESQLRRRTYNPQTE >cds-PLY98213.1 pep primary_assembly:Lsat_Salinas_v7:7:167405435:167409649:-1 gene:gene-LSAT_7X99701 transcript:rna-gnl|WGS:NBSK|LSAT_7X99701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFGKRNRAAVVVLGDIGRSPRMQYHALSLARQANLEVDIVAYGGSDPHSALLEHQSIHIHTMRQWGSRDGILPKIFRPLMLLLKPLIQFIMLLWFLCVKIKAPDVFLVQNPPSVPTLVAVKWASWIRRSSFIIDWHNFGYTLLALCLGRSSRFVSVYHWVELHFGKMANGALCVTKAMQHELDQVWGIKATVLYDQPPEFFRPALLEEKHKLFCRIKKDMFKPDGPTDCISYGITIKDMQDPNTTLFTNKIGESYYDLKQNRPALIVSSTSWTPDEDFGILLEAAVMYDRRVAALLNENDSNGEEVLWKAIDDGNTFLYPRLLFVITGKGPEKEKYEEKIKKLNLKRVAFRTMWLTPEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCITELVKVDTNGLLFSSSSELADELMMLFKGFPDECDGLKELKKGVLEMGLSARWANEWEANAKPLISKAS >cds-PLY86009.1 pep primary_assembly:Lsat_Salinas_v7:1:48810599:48812781:1 gene:gene-LSAT_1X45340 transcript:rna-gnl|WGS:NBSK|LSAT_1X45340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVELNAKIRQHPAPPTPPPPPPQSQLHKKLPSPEFLSDDRRIDFNEICVRLCKASIKGDWKTAEIIIQLTPELLYSSITERCETALHVAALGESTTFVNNLVNMMEVEHLLLQTKTGDTALCLAAAAGNVKMAKIMVAKNKNLLTIRGSEGLVPLCVAAFYGNADMVRYLYKKSNRMEGSEWKASTKQWLLLKCVEFDLFDAALKILENHSDLAQNGTILGVLAQKPYAFYEPQTHNIWRIVKSSICLKVGADVGESQAMQVLRKIWTRVVERPKSEVDNILRGPGTVINGRVTYSSHILFVAAEMGNTDFVLELISKYPDLIWKKNENKQSIFHIAVSHRNEGIYKLLYEIGSMKDIITRFTDTDRNNMLHLVGKNRVKNRLEDVSGVAFQMQRELQWFKEVESMIPPFYRKEKNNDGLTPLELFTKSHKDLVSKGEEWMKGTASQCMVVAALIATIVFAVAFTIPGGYNQSDGFPMFRKNGVFIAFVIVDAISLILSSTSILVFLSILTSRYAQQDFLKSLPKKLLIGLGTLFLSIMTMMVAFSISFFLLYEEKLITVAIPISVFAFIPIISYATLHYHLLMDSFHSTISSRHLFKPQRRRLFSYENPRL >cds-PLY63213.1 pep primary_assembly:Lsat_Salinas_v7:6:88152144:88152987:-1 gene:gene-LSAT_0X41580 transcript:rna-gnl|WGS:NBSK|LSAT_0X41580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGISYRGNAICFGKYALQALEPAWITSRQIEAGRRAMTRNARRGGKIWVRIFPDKPVTTHLNVAGNSGARELMCIQIIGASNRRYAHIGDVIVAVIKDAVPNMPLERSEVVRAVIVRTCKELKRDNGMIIRYDDNAAVVIDQEGNPKGTRVFGAIARELRQFNFTKIVSLAPEVL >cds-PLY67579.1 pep primary_assembly:Lsat_Salinas_v7:6:113627266:113627499:1 gene:gene-LSAT_6X70180 transcript:rna-gnl|WGS:NBSK|LSAT_6X70180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVATQVNPIRMEKIREVDIPVIDLSCSRSKVAKLIVKACEEYGVFKLINHGISHHIIKEMEDESFEFFDKPLPKKN >cds-PLY94538.1 pep primary_assembly:Lsat_Salinas_v7:2:156276918:156278841:1 gene:gene-LSAT_2X82381 transcript:rna-gnl|WGS:NBSK|LSAT_2X82381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEINHFSHKNHPLKLVNSETIDNAGLENRGGGGVIGCYACQKPISSSGFAYACMPCRHFMHKACAQLPLTINLPSLYEHPLTLRDRGPVKDFRSWTCDVCCNVNLGRGFYYNFRKPEDNFKFNACIDCCVVEIGRKVEADVIKEGTRIKIEHEGHPQHTLSLQLRPAAFLCDACNTEDKGLFYLCDSCGFWIHKTCASLAPTIHRPHHHPNHPLTLVYSLPEKFYKFSFYCEFCNIYIRRNDWLYHCENCRYFCHIKCALNAELPSTPRDGPSTSIADEEVDNLLQFPMSNAFTNPLKLLHSGMIAPDDDDDADRKTMINHWSHRHPLFLNVKHQADMSGCSSDRLEVCHGCVRHISLPYYSCKLDGCSFTLHKYCADLPLRLEHLLHPPHLLHLTLQHASIYQCNGCFSGGNNFFYQCQTCKFYLCVNCAFLPKSIKHKSHKHHLIQVIDREPLCSMCNSWSERMSYACKACNFILGKRCAMRMPNSIAHRYCKGHEIPLMYPPIMDHPEDFFCDVCETEMHPKFPMYYCQKCKNSFHPWCISGDDYYENMVHEGSRNVSYHKHVLTYVRRKRTPKYVCSNCNCDINGRLVLECRAKVCNFNICYLCHLLMR >cds-PLY63274.1 pep primary_assembly:Lsat_Salinas_v7:3:90094385:90094648:-1 gene:gene-LSAT_3X69481 transcript:rna-gnl|WGS:NBSK|LSAT_3X69481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQYKSNCRLKEMDIIHPLRRIASNLLENKHIYGLLNKSYDMMICLFSNHISVLGLSFLRMRSVDTNKSVMSYIGIILRYCSVMSKS >cds-PLY92213.1 pep primary_assembly:Lsat_Salinas_v7:6:74419243:74421690:-1 gene:gene-LSAT_6X54321 transcript:rna-gnl|WGS:NBSK|LSAT_6X54321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERRSWPKFGDAVQEDVGAKLTMVSTEEIIFERPRAPALLKQQGAFAKGQTKTAPVEEEVPPLLGENGKIEVWRIDGEEKTELPKEDIGKFYSGDCYICLYSYHSDEKKEDHYLCCWIGKDSIQSGVPVKFSREGKESLAFWLALGGKQSYSSSKVTQEIIREPHLFEVISNKGKLEIEEVHSFEQDVLFPEDVLILDTHAEVIVWVGHLAQSTEKQNAFETGEKYVELAAFMDSLSPSVILYRVKEGNEPCFFTTYFSWDPAKTTAHGNAFHKKVVQLFGLGCVAESQDVKPPGNKLSGATQRASAMTALTSAFSKSTTAPKTRQHGPPPRMLARGSQRAATIAALYFIYS >cds-PLY66503.1 pep primary_assembly:Lsat_Salinas_v7:5:184289290:184289520:1 gene:gene-LSAT_5X80521 transcript:rna-gnl|WGS:NBSK|LSAT_5X80521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDVGVVRIGGTKDDVTNDIDQGFNEFNEAVNDFDLGLYQQILQSNFAVNMEVDEQNVDDEVHEGENNVVNMEVTE >cds-PLY92524.1 pep primary_assembly:Lsat_Salinas_v7:3:253578630:253580113:-1 gene:gene-LSAT_3X139861 transcript:rna-gnl|WGS:NBSK|LSAT_3X139861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAFAAXGGHRRLSRRSSLLKIKASEDASSPPDANELFNDLKEKWDALEDKSTVIFYGGGAVVAIWISSILVGAVNSVPLLPKILELVGLGYTGWFVYRYLLFKSSRKELATDIEWIKKKIAGTEEII >cds-PLY97962.1 pep primary_assembly:Lsat_Salinas_v7:3:18114936:18115489:-1 gene:gene-LSAT_3X13781 transcript:rna-gnl|WGS:NBSK|LSAT_3X13781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNISIFVAIVLVCILATGCKVLMACNERDLTLITGFCKDSRCENTCVSNFGLGASGSCKSFWKCHCKWNCVI >cds-PLY80717.1 pep primary_assembly:Lsat_Salinas_v7:3:85182006:85189521:1 gene:gene-LSAT_3X66020 transcript:rna-gnl|WGS:NBSK|LSAT_3X66020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIGSTADERRRKAVEDEVVGEEYVVEDVRDHDHGRLTKRRSRFTLLAGDLDLDPSRRDFNTEKFIDGIRGCSPALFIHPQNSWYKAWEKFILIWAVYSSFFTPMEFGFFRGLPKNLYFLDIAGQIAFLIDVVLHFFIAYRDTQTYKMISNRNLIAVRYLKSHFLFDILACMPWDNIYRASGRKEEVRYLLLLRLVRVRKVLEFFSRLEKDIRVNYLFSRIIKLIAVELYCTHTAACIFYYLATTLPAVEEGYTWIGSLKLGDYSYSNFREIDLWKRYTTSLYFAIVTMATVGYGEIHAVNMREMIFVMIYVSFDMVLGAYLIGNMTALIVKGSKTERYRDRMTDLLKYMNRNRLGRDIRNQIKGHLRLQYESNYTDSTILRDLPISIRAKISQTLYKSYIEKVSLFKGCSLEFINQVVTKVHEEFFLPGEVIMEQGNAVEQLYFICHGELEEIGICEDGSEETVSILKPHSSFGEVSILCNIPQPYTVRVCELCRLLRLDKQSFSNILEIYFHDGRKILNNLVEFNASDARMKQLETDIILHIGKHEAELALRVNSSAFYGDLYQLKSLIRAGADPNKKDYDGRTPLHLAASKGYEDITLFLIQEKVEVNVLDNFGNTPLLEAIKNGHDKVASILVKEGGSLKIDDAGWFLCTSVARGDIDYIRRILSNGVDPNSKDYDFRTPLHVAASQGSYIIVKLLVEAGASVLSKDRWGNTPLDEGRMSGNKMLIKLLEEAKDVQLSELPEGSQEITDKMHPRKCTVYAFHPWEEKDKITCGVVLWIPRTTEQLIKTAAEQLKLENYDTCCIVTEDAGKILDVDMILDGQKLYLISEE >cds-PLY99567.1 pep primary_assembly:Lsat_Salinas_v7:7:189271185:189273187:-1 gene:gene-LSAT_7X112061 transcript:rna-gnl|WGS:NBSK|LSAT_7X112061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSSADFNLILSERAFATAGAAFVSAVVVNPLDVAKDLNIGIALWLAAGGDRCVSEEINGNNNSKVKYNADSRILLVGSGADEQCGAYGRHRTKFKESSRGGLNEEMKLDMQRIWKRNLGRDDRCIVDNGKDPRFPFLDENVIRILLDIPLWEIADLGQPIGVGDKKILREV >cds-PLY72979.1 pep primary_assembly:Lsat_Salinas_v7:8:65148611:65149635:1 gene:gene-LSAT_8X45500 transcript:rna-gnl|WGS:NBSK|LSAT_8X45500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHQVPLFLLFSLLSLKFFILSVSATNSLIYGGCSQLRFSPGTPYESNVNSLFTSLVNSASVSNFKISTSGSTQTNVVYGLFQCEGDLSNSICKDCVASAVSQLKTICPQATGGIIQIEGCFVRYDNISFFGVDDKTEVSKRCGPSIGYNSDVLNRRDAALASLTAANGQYFRGGGSGSVQGVAQCVQDLSVSQCQDCLVEASGRLRSECETSTWGDMFLGKCYIRYVDRDQHHSSTDDEDVDKTLAITIGVITGVILLIIFLSSLSKICNKKGMFLYCLYTTS >cds-PLY90746.1 pep primary_assembly:Lsat_Salinas_v7:3:36881600:36885363:-1 gene:gene-LSAT_3X28461 transcript:rna-gnl|WGS:NBSK|LSAT_3X28461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCLRELEGKMAHDHHFINQGLGLRRRVWVPGPVIVGAGPSGLAAAACLKDKGVPSIVLERSNCIASLWQFRTYDRLRLHLPKKFCELPLMPFPDDFPMYPSKQQFVEYLEAYAKRFGIKPVFEQEVESAGYDESVGLWRVKSVGLKGEEMEYVCRWLVVATGENAEAVVPDIKGIENFRGEVRHTAEYRSGSDFRGKKVLVVGCGNSGMEVSLDLCNHDAHPSLVVRNAVHVLPREMLGRSTFGLSMWLLKWLPIRLVDRFLLIMSWMIFGSTGSFGLDRPQVGPLELKSKTGKTPVLDVGTLAKIKSGHIKVHPSIQSVGHHSVQFANGRIENFDAIILATGYKSNTPSWLKEKDMFSEKDGMPRKPFPHGWKGERGLYAVGFTRRGLFGSSADAKRVSEDIENFWKAEAKHLAMTIGRSFTFQP >cds-PLY96023.1 pep primary_assembly:Lsat_Salinas_v7:1:12780935:12785811:-1 gene:gene-LSAT_1X10560 transcript:rna-gnl|WGS:NBSK|LSAT_1X10560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLEDSFLADLEDLSDNDNENLDEDNGDAENMEEDVNGDLADIEALNYDDLDSVSKLQKTQRYTDIMKKVEDALEKGSDMSIQNQAMVLEDDPEYQLIVECNTLSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEVDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLPENTLQKTIEACDRALTLDASKKKVLDFVESRMGYIAPNLSAIVGSAVAAKLMGTAGGLTSLAKMPACNVQLLGAKKKNLAGFSTATSQFRVGYIEQTEVFQTTPPGLKMRACRLLAAKSTLAARVDSIRGDPSGKQGRMYREEIRKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGNGKLRVSVGQSKLAAKVAKKGKDKQYSSGGATSGLTSSLAFTPVQGIDLIDPQADANRLGSGSQSTYFSETGTFSKIKRI >cds-PLY77041.1 pep primary_assembly:Lsat_Salinas_v7:8:151959978:151960609:-1 gene:gene-LSAT_8X101960 transcript:rna-gnl|WGS:NBSK|LSAT_8X101960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNADPWACLNFNLWSESAEPPKNSPVVEYGKPNDKHFTICVVHGGFFTDYPSKAYQQTKVHFISHVNIDLLDMELLGHFSRSLGYTSLGNWYDMPIGEHSGLSVVPILNDEYNLPHFLMNSPSKRVEKLIHMFVTKHPIAWVDDGISYIQHMLNMTIPREKMEDAMDMAKENIVAWKNIA >cds-PLY65195.1 pep primary_assembly:Lsat_Salinas_v7:7:60343390:60346702:-1 gene:gene-LSAT_7X45941 transcript:rna-gnl|WGS:NBSK|LSAT_7X45941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILSPHIQAILTNKYQGGDYRTQFKLGNGGLLGANYIQSVTPHLSLGREVLWAGQHRKSGLGYDVEKNTRSIFTNRSLLFRWCIGKSRSQRCNRHWRLLLYAASSSTISPSSSTWKYVTHVVRHRKHPSSHVSISHVPTWYHEIGAGSEINPSVGEWNMMNLVKLYFSFAL >cds-PLY80801.1 pep primary_assembly:Lsat_Salinas_v7:1:70839106:70840337:1 gene:gene-LSAT_1X59380 transcript:rna-gnl|WGS:NBSK|LSAT_1X59380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSAARRSGGLFEGLYKVLMRRNSVYVTFVIAGAFIGERAVDYGVHKLWENNNVGKRYEDIPVLGQRPTE >cds-PLY67453.1 pep primary_assembly:Lsat_Salinas_v7:6:72175916:72177865:-1 gene:gene-LSAT_6X50980 transcript:rna-gnl|WGS:NBSK|LSAT_6X50980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDLGDQGSSWWSELLGSNKDHLTLAVVATISVMSLAILWRRWKSSSSSGAPSLPPGPRSLPIVGYLPFLGRDLHKQFRNMAHTYGPIFKFHLGSKLHVVINTPDLVKAVVREQDDIFANRNPTVAALATSYGGVDVVWSDNSSYWRNLRKIFAHEVLSNKNLEACRFFRRDEVRKTIKNIYSKTGTAIDISEIAFSTEANVLTSMVWENTSDPNAKGSHFGAELKRISSNIVETLGQPNLSDIFPSLAWLDLQGILRKSKRQLHQLDQIFTSIIDDRIISNSKKPKDSAGHEGKKDLLQILLELEDQKDATSISITQIKAFLLDIMVAGTETTTTLIEWAMGEIMQNHDIMKRIQKELADIVGVDNIVEESHLPKLQYLDATIKETFRLHPVVPLILPRSPSQDCIVGGYTIPKGCTVFLNVWSIHRDPLYWDNPLEFSPQRFLTNKYDFKGSNLHFIPFGAGRRLCPGVPLAEKMQMYILASLLHSFDWRLPEGEKHDLSEKFGITLKKREPLIVVPSQRLPNENLYM >cds-PLY61927.1 pep primary_assembly:Lsat_Salinas_v7:9:186139823:186151010:-1 gene:gene-LSAT_9X114421 transcript:rna-gnl|WGS:NBSK|LSAT_9X114421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINYIDRGAISTNGVNGSPRSCTESNVCSDGSGIQGDFDLSNFKDGILASAFMVGLLIASPIFASLAKTINPFRLIGVGLSVWTLAVVGCGFSVDFWSITICRMLVGVGEASYIGLAAPLIVESAPVSQRTAWLGIFHMSIPTGVAVGWHYAIFGEAILMLPFAILGFVMKPLLMKGMSNAKIIPWFLNEEVSKKDSRLHSV >cds-PLY92862.1 pep primary_assembly:Lsat_Salinas_v7:5:329279192:329301484:-1 gene:gene-LSAT_5X181620 transcript:rna-gnl|WGS:NBSK|LSAT_5X181620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGKITVGSQVWVENPSVAWIDGEVLKIDGTEAEIRTTDGKKVVTKLSKIYPKEMDTPDGGVDDMTKLSYLHEPGVLHNLAIRYQRDKIYTYTGNILIAINPFQSLPHLYDAHMMEKYKGAQFGALSPHVFAIAEIAFKEMVNGGKSNSILVSGESGAGKTETTKMLMRYLAHVGGHRGTEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKHGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPPEETKKYKLGDPKSFHYLNQSNCYELVGVSDAHDYLATRRAMDIVGISKKEQDAIFRVVASILHLGNLEFAKGEEIDSSVLKDDKSKFHLQMTAELLMCDLHALEDALLKRVMITPEEVIKRSLDPEGATFSRDGLAKTLYSRLFDWLVDKINVSIGQDPKSNSLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFRMQQEIYTKEEIDWSYIEFVDNKDILDLIEKKPGGIIALLDEACMFPKSTPETFSQKLYQTFSKHKRFNKPKLSRSDFIIAHYAGEVMYISQDLTGKKAANISLGLKHVFGVNIQVHYQSDQFLDKNKDYIVPEHQDLLSTSKCSFVAALFPPPSEETTKKSKSKFSSIGSRFKQQLQQLMETLNSTQPHYIRCVKPNNLLKPAVFDNVNIIHQLRCGGVLEAIRISCAGYPTNKAFADFVKRFGLLLPEVLRSNHDRKDACRKILDTAGLRGYQIGKTKVFLRAGHMAALDARRTERLSAAVITIQRTTRSFLIRRRFLAMANLAVALQTLCRGKLASKAYEDMRKTAVSTKIQTNFRRYTLRTSYNRLRHAVVLIQAGVRATIARHELGYRRQKKAATVLEAKWRGHREYIQYKKLSKATVATQCGWRQRVAKRELRRLKMAARDNGALQELKDKLQKQVQELTQRLEHEIRLKTQLEQSLLAKEREYATNAPDGAHGGMIDGLTAEVENLKASLESERQRADESEKKYAEAQALLKSERQRADESEKKHAEALETIKVMRLKLEGTERKPDVSGTLLAKEATEASGEALSIMKEAVVLMKDGDMLDHSTTENLKTELEDGWHAKDHDSAMEHSPTMKNLKTGLEDGLHARENGSAADEAYVGGLSVVKKVPVFGDDTEKIDSLSTEVENLKTDLNEAKERELAMEASDRALSVVKDTSVSMEDTGKIEILTAEVEHLKGQLDSERQRADESEKKYSEALESIKTLRQKLEETERRTESEDKMLVQQREAPLEASGETLSVLEKATVSLVDTEKIENLTVEVENLKDLLESERQRADECEKKYSEALESSEMKRIKLEETEKRVLQLQDALNRMMYSMSDQFAELKSILCASSSSSVTSGFIVRDGFGDSTSTSSDITSTDSDSDFNFPPPTCSTTTTETVSPLTPDAFQMIVQDLSAPEKPGEKKLACNMRSSLLVEAVNVVGEGAMLLKLSVGCREGSRFQGGWRHVEVRGGDQCSRWSEEGRDVRDNLKVGVWFWRP >cds-PLY69925.1 pep primary_assembly:Lsat_Salinas_v7:4:68177157:68177729:-1 gene:gene-LSAT_4X47780 transcript:rna-gnl|WGS:NBSK|LSAT_4X47780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEFDESEVTFADVKACSKQENHIGWQQNKFFTKSKKKKMRHSVPLSIPENISSSRYVESDLFEDDYEDEVIVPPYILLRRRVLRSIAYSFWKGHGKTSKGREQNQIKNLIYRFTGYLET >cds-PLY75633.1 pep primary_assembly:Lsat_Salinas_v7:1:100652139:100652846:1 gene:gene-LSAT_1X81800 transcript:rna-gnl|WGS:NBSK|LSAT_1X81800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSGLTKKVARDKVLVFHFVDVGKLPPPVLQFVEVSFGYTPENLIYKCLDFGIDLDSRVALVSPNGAGKSTLIKLMMGELVPLDGMVRRHNHLRITQYHQHLAKKLDLEMSALLYMMREYPGNEEEKMRASIGRFGLTGKAHVMPMKNLSDGRKSRVIFAWLAFRQPQMLLLDEPMNHLDIETIDSLDEALNEWDGGMVLVSHEFRLINHVVHEIRVCKNQVVTRWEGGVCWAN >cds-PLY88452.1 pep primary_assembly:Lsat_Salinas_v7:8:87993437:87994080:1 gene:gene-LSAT_8X62921 transcript:rna-gnl|WGS:NBSK|LSAT_8X62921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLWNYGKLNVILQIYSYSILKKVLNRIRDNEHCYMQCILMYGSGIGMGIVMLSSTILSNNSSTVDIVEAQSKYGFRGWNLWRQPIGFLVFLISSLAECERLPFDLPEAEEELVAGYQTEYSDINFGLFYVDSFLNLLVSSLFVTVLYLGGWNRKQGYDIEFG >cds-PLY86559.1 pep primary_assembly:Lsat_Salinas_v7:9:5213290:5213517:1 gene:gene-LSAT_9X2240 transcript:rna-gnl|WGS:NBSK|LSAT_9X2240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIALYIFILEHLLLVDKVAPLTNWGNPPYILPICLCNLIQETESLGKANMWRKDMFISDDKAYTREVKSRHPYK >cds-PLY67781.1 pep primary_assembly:Lsat_Salinas_v7:9:167580078:167581313:-1 gene:gene-LSAT_9X104240 transcript:rna-gnl|WGS:NBSK|LSAT_9X104240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIQNATFPSKYCPLLLDTQKTGVLAVQTLRNNIMASTLLASTAIMLSSLIAVLMTGGVTGRGSAGGNDHQWTGITYNQGTKLMSTIKYFSILVCFLVSFLMNVQSIRYYSHASMLINVPYKNITNFTSHRLTADYIGSTVNRGGYFWSLGLRAFYFSFPLFLWLFGAIPMFICCIFMVFMLYFLDVAFEVGWVADVASIDEETG >cds-PLY86959.1 pep primary_assembly:Lsat_Salinas_v7:5:263885021:263885539:-1 gene:gene-LSAT_5X135661 transcript:rna-gnl|WGS:NBSK|LSAT_5X135661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYIVVGNDLESKMIEIRDIMVVWRGTMLPSKWYEEMQRDLEPLGYKEAKVERGFSRIYKSKSISTRYNKTSALEQVIEEIKRLTKFYKSTGEKVSVTVTGHSLDDILALLNTYAAAMRFPTLLINFISFGAPRVGNMAFRDELHHRGVKALNYNRIRSSPSNAGYCHYLHV >cds-PLY75206.1 pep primary_assembly:Lsat_Salinas_v7:2:202844264:202846070:1 gene:gene-LSAT_2X124161 transcript:rna-gnl|WGS:NBSK|LSAT_2X124161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PP2C-type phosphatase AP2C3 [Source:Projected from Arabidopsis thaliana (AT2G40180) UniProtKB/TrEMBL;Acc:F6LPR7] MPCAVAPTNSPVFLSSPMFGKPMISPSSSLSLRHTSPTPSPPSSYLHNPTNGYKEGKIISCDSNPSPSVVLKRKRPARIQIPFAPLSLMDEVAKPNDEVAEIDEEGEEYSVYCKRGKRGAMEDRYSAVVDLQGDTKQAFFGVFDGHGGAKAAEFSAKHLHSNIISKIANKCEYEIAEAVREGYLATDSEFLKEDINGGTCCVTALIRKGNLIVSNAGDCRAVMSRGGVAEALTVDHKPSRNDEKDRIESMGGYVDCRNGVWRIQGSLAVSRGIGDKHLKKWVISEPETKILQITHECEFLILASDGIWDTVSNQEAVDIIRPFCGGIEKSDLFSACKKLVGLSTSRGSYDDISVMIIRLSNFTS >cds-PLY76822.1 pep primary_assembly:Lsat_Salinas_v7:3:5748321:5749130:-1 gene:gene-LSAT_3X3700 transcript:rna-gnl|WGS:NBSK|LSAT_3X3700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALHFKRNMIVKDLTVMLVTSWMMMVTMVFKMMVETFWIVIGKYTVSWGLDLWVRLELMLLKYEMESISDAYVVPYDYYKVIEGIYVMKTQ >cds-PLY88739.1 pep primary_assembly:Lsat_Salinas_v7:4:166944357:166944735:1 gene:gene-LSAT_4X99801 transcript:rna-gnl|WGS:NBSK|LSAT_4X99801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRILLKERGAFGNADTGGAWLSSARATAGDKPEEGEDDVKSSCPLCPGRHTCYNGRDKGSRSREGELTPKTRPQFGLQAATRLHEAGIASNRRSAIRR >cds-PLY80371.1 pep primary_assembly:Lsat_Salinas_v7:MU041981.1:134108:134530:1 gene:gene-LSAT_0X28001 transcript:rna-gnl|WGS:NBSK|LSAT_0X28001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFIIRTIFILTTLSFCMASTPNYICLMRTYITNTLQDNIVVHVVGKDAGDLGNHTLAPNEVFDWKFGVRIGTYYKGEFWWGSKYGSVSVFNYDIFGSCFKELIFSVQRCYWLVKPDGFYVHGSNSSFPGAWTRMGTWSN >cds-PLY78825.1 pep primary_assembly:Lsat_Salinas_v7:8:289859342:289861697:-1 gene:gene-LSAT_8X160861 transcript:rna-gnl|WGS:NBSK|LSAT_8X160861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNVSDVEKSACQGDNGHPLIIESDSDITGPETPGAQPLIPHLKRVQEXLIPRLKRVQEDGCTFGFTTGTTADFSINNSKRVKFSQDLPAKNKKDEVASEMPMNNSKRVNFSHDLLSENKKYEVAFEMPMNNKKKYRKKHKTVDLKAIKKWARQILNGLHYLHSQNPPVIHRDLKCDNIFVNGNNGEIKIGDLGLATVMQQPTAKSVIGTPEFMAPKLYEEEYNELVDIYSFGMCLLEMVTFEYPYSECKNPAQIYKKVTSAFIEKCLVPATERSSAGKLLEDPFLKEATLRSLNFMEIDQFVCLNIKNGVAEFKRIHQQNEFRLKGIKNDDNLVSLTLRIADPCGEKLSN >cds-PLY81496.1 pep primary_assembly:Lsat_Salinas_v7:8:157739977:157750776:-1 gene:gene-LSAT_8X105740 transcript:rna-gnl|WGS:NBSK|LSAT_8X105740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSTLSSKPRFDSSSIFPRIQSPDIILPASVAVNRMSSGQNRFRGIGVVHSSSEVVAIDDREVEGVGEKKREKPRVLKVGLICGGPSAERGISLNSARSVLDHIQGDDLHVSCYYIDPNLNAYAISSAQVYSNTPADFDFKLESLAQGFETLTDFAEHLAAYVDIVFPVIHGRFGEDGGIQELLEKSNVPFIGTRSKECRTAFDKYDASLELKRQGFITVPNFVLQGNELDESGLSRWFSNNQLDANSGKVVVKPTRAGSSIGVTVAYGVDDSLTKVREIMSEGIDDKVIVEIFLGGGKEFTAIVLDVGSDSIQQPVVFLPTEVELQTSNSGDISEKDAIFNYRRKYLPTQQVVYHTPPRFPLDVINKIREGASILFKKLGLRDFARIDGWFLPPSAAMSASEANDFGKTESGTVIFTDINLISGMEQTSFLFQQASKVGFSHSNILRTIIQHACIQFPNLASFCNKSSSRTSNPSKHEGLQKVFVIFGGDTSERQVSLMSGTNVWLNLQVSGDLEVTPCLLAPGNDDSPEATDVGSRAVWSLPYSLVLRHTTEEVLDACTEALDPTRAELTSKLRKKVMDEIENGLKKHNWFTGFDISDQQPIKFTLNKWIKLAKKVQATVFIAVHGGIGEDGTLQSLLEAEKVPYTGPGFSASKICMDKVATSLSVNHLTSFGVLTINKDVRRKEDLLNSSCFDVWGEVTSKLKCETLCIKPARDGCSTGVARICCASDLGVYVKALEDCLPRIPPNSLNKAHGVIEMPVPPPEFLIFEPFIETDEITIIPKSKTKNEGLLWKGKSRWVEITVGVIGKRGSMRSLMPSVTVKESGDILSLEEKFQGTESKGGTGINLTPPPLSIMSTEALMKCKERIELIANTLELEGFSRIDAFVNVDNGEVLVIEVNTVPGMTPSTVLIHQALAEEPPVYPHVFFRTLLDLGSQRFM >cds-PLY70516.1 pep primary_assembly:Lsat_Salinas_v7:1:75151167:75151460:1 gene:gene-LSAT_1X62380 transcript:rna-gnl|WGS:NBSK|LSAT_1X62380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQPLTEGTSSEAATMTKPSPDDNIGGRTERRRLFASLSVTAVATVLGGLRHTPAAMSLAGKGSHTNPDVGLPFPQKSATHTTNNSASTPLAGLR >cds-PLY99671.1 pep primary_assembly:Lsat_Salinas_v7:9:56256071:56257359:-1 gene:gene-LSAT_9X47881 transcript:rna-gnl|WGS:NBSK|LSAT_9X47881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEAFPLKWINKKWREGFHVTAMTTAGSKWAIVMSRGAGFSDQVVELDFLYPSEGIHRRWDGGYRITSTAATWVKLMEERNMKPLDSNLAALSTRCNKDLELNLSKSFLSEMGQCATAYPYSLTEL >cds-PLY96954.1 pep primary_assembly:Lsat_Salinas_v7:4:173881536:173885015:-1 gene:gene-LSAT_4X101980 transcript:rna-gnl|WGS:NBSK|LSAT_4X101980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAPKPPSRFIYLAILLLLSFSFLISVSASEESDDIEELIALDEAEEESGGGTHHPKPSSEAEVLTKAQRIVLELNNDNTQRAIDGNEYVLVLGYAPWCVRSAELMPRFAEAASSLNELKSPVLMAKIDAERYPKVASNLEIKGYPTLLLFVNGTSQPYTGGFSTEEIVIWVRKKTGTPIIRINSIDEANEFVKKHSIFAAALFNKFEGSDHDEFVKAATTDNDIQFVETNSHQIANILYPDLKSDKLFLGIVKSEPERYTSYEGDFKKDKILQFLNDNKFPLVTFLSELNSVKVYSSDKLQVYVFAEADEFKKLLEPFQDAARKFKSKIMFVFVDIKEENLAKPFLTLFGLEDSEDTLVTAFDYKTGAKYLLESDPTPAKIEEFCSGLFKGILPPFYKSQAIPDNKDADILTVVGKTFEELVLSNSKNIILEIHTPWCLTCETTSKQVEKLAKHFKGLENLVFAKIDASVNEHPKLQMDDYPTLLFYLGANKSKPMKLPTKSSVKDLAILINKYLKEEASDEHHVVKDEL >cds-PLY78537.1 pep primary_assembly:Lsat_Salinas_v7:1:105387809:105389372:-1 gene:gene-LSAT_0X5020 transcript:rna-gnl|WGS:NBSK|LSAT_0X5020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCKKHYTDLSSVVGVCACCLRERLLYLIAAQEQAHAQALSGQNLDGKQRNLDGKPVFPRFDSPLNNRRKSDRSAGATVLSHNDRRKDHHPFTPAAPRHNHSLSDQLFYRTPQVGPTTGGHNNTGVHSNKKRSLIRLLTFPFRSKNRNSVDSVSDPRASDSTFREPSGGGNATSSTSTSSSSWFSSIVQGGGRNRRKQPVYVDESQVTSGSGVVRRQYCRDRGMSPVRNSDCDGGNEDDLFDVTSGYESRPESWKNTPRRTPAHPDGRRGGGAGHVRNLSGLTFCLSPLVRASPNRQWNQKGMPPPPPPESGLSGETRAPVKPHLSNIKSFCPNRSRKFADFGRPNAHH >cds-PLY75353.1 pep primary_assembly:Lsat_Salinas_v7:6:179406077:179408550:-1 gene:gene-LSAT_6X109140 transcript:rna-gnl|WGS:NBSK|LSAT_6X109140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQFGVLVESIGFKAHGKSSAPLADLKGTNKPNNNSNGFPGDIGLNQDFSSFNRSKSGYNSNPSLNVDDLDGIFRSNSSNDMKPPNSGFDDVFGGVHSGANGIDLDSVFKVSNSNNYDDDDDVFGLKKSSSGASSVDHHAFKKTHSIDDLLGNFGGMGVKSNAPRNNSGGKQANASKSNDLLGNLNGNGVKLNGPKKVSVNKQETAFDSADLLSGFGVKSSSINRLKSETNPTRQSSSARSYSASTEDPFVMFERTHESARANNGAFGVDNYLDSLFSSGNQSNTRTSQSSSSEVKQSVRIYAISNGGVGNCRHGLMFVFQDSVYDALFNNNGGTKVGKKVSSDASYSVKKAPTNANSTDDFSYLFGMGDATPSGEFQEIKGESEERRRARLNHHMSTRERMTKALNEKNKRDYEAQQEQDEKHRVAATLEGDIKRWAVGKEGNLRALLSSLQNVLWGGSGWQAISLTDLITSTAVKKAYYKATLCVHPDKVQQKGATVEQKYIAEKVFDLLKEAWNKFNAEEFKKQ >cds-PLY67946.1 pep primary_assembly:Lsat_Salinas_v7:5:298479395:298480065:-1 gene:gene-LSAT_5X158800 transcript:rna-gnl|WGS:NBSK|LSAT_5X158800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRPITLLTVLEDIDIIKWYTGIGKRWLNYYSCSRNFKFVKTIVSYHLRFSCLLTLAEKHESSKREAIRHYTKDLRVFDVDGSEEFWFPSEREIKMMGDKNLVDPKPVDGVLSMVLIRLAFDEGLYRCGAHFCERFDTIVYRIRLLDRGLNLDEKCWVFDRMCVVLCSDHVSEMYVGELTLQDVDYSSLMCI >cds-PLY63596.1 pep primary_assembly:Lsat_Salinas_v7:4:135168712:135171803:1 gene:gene-LSAT_4X83980 transcript:rna-gnl|WGS:NBSK|LSAT_4X83980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISLAFGTSCHPHFRLNSSLLRRVSTVRLSSIAAIKTIDEPDGFSTDSVKKGMSNSRRKMASEWKRLSSKELGISDSMISKPTRIVLNGLKRKGFDVYLVGGCVRDLILNRTPKDFDVLTTAELKEVMKTFSRCEIVGRRFPICHVHVGDDIVEVSSFSTSARNRNQSMESDIAFERHEGFEEKDYTRWRNCMQRDLTINGLMFDPYVNTIYDYVGGIDDIRKAKVRTIHPASFSLVEDCARILRAIRIAARLGFGFSRDTARAIKHLAPSVLPLDKGRHLMEVNYMLAYGSAEPSLRLLWRFGLLELLLPIQAAYFVRDGFRRRDKKTNMLLSLFSNMDKLLAPDRPCHSSLWVAILAFHMALLDKPRDPLVVAVFSLAVHNGGDMNEAVSMAKRISKPHAKGIYHELSEPQDLDLKTLKKQVLDLGVFVSRALSNMTDSYYVSQAMSGYPKAPYSDLVFVSLHLYLKGVKIFECVNKGKEVGFVGKQGGKIDYELLGMGSLQEVRHVFARVVFDTVYPLDIGCG >cds-PLY75429.1 pep primary_assembly:Lsat_Salinas_v7:7:72319053:72320206:1 gene:gene-LSAT_7X52500 transcript:rna-gnl|WGS:NBSK|LSAT_7X52500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGALHVAGVPIVNPSTVVVRESACWALTKSWLNVQVDLELSGESERSEDINYESLLGPENWPLQVLNQQQRDLSALLQKLHSRPHGDPQMIRFGTHLVLVLRHILADEMKDAFKEKTMTLADLILRHLLYFF >cds-PLY99042.1 pep primary_assembly:Lsat_Salinas_v7:6:151518193:151520420:-1 gene:gene-LSAT_6X91200 transcript:rna-gnl|WGS:NBSK|LSAT_6X91200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTGELLSVDPVELKFPFELNKQISSSLQLTNKTDNHVGFKVKTTNPKKYCVRPNTGVVLPRSTCEVIVTMQAQKDAPPDMQCKDKFLLQSVIASPGATPKDITPEMFTKEPGRKLEECKLKVVYLPPNPSTSAIAQAKEEPLFSPKSPAITDKGNFSGPEVSRSYVESQDKSSEVRSLLSKLTDEKNAAIEQSKRIRQEMELLRRGSNKSQGSGGIPLILVIIVALIGLILGYLMKK >cds-PLY69208.1 pep primary_assembly:Lsat_Salinas_v7:1:79863042:79863508:1 gene:gene-LSAT_1X68380 transcript:rna-gnl|WGS:NBSK|LSAT_1X68380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMMEFSFEDVLMKGTLFVIVQALIYLILSNSSDVFSKTKMVRSFSFKPARSVSIRRMFAAIADMPAGGEASPSSSRRGFPFSSSEDDFNKFD >cds-PLY80932.1 pep primary_assembly:Lsat_Salinas_v7:8:256372487:256384015:1 gene:gene-LSAT_8X150181 transcript:rna-gnl|WGS:NBSK|LSAT_8X150181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARRERNCRSSSIGRRKRKKNSVSINGKGKLTKRRRSQRRPVVGSLPGDLLIDVLARVASSSFTDLFNAKLSCREFLGAAEDESIFQHVSIDKFPVIHWFPPSNELLSFLNRCIDLGNPEAMFRQGMIEFFSLARIETGLEYLKRATEKGHVEATYVYGMIMLSRGGQSSQQGLNILNSMKSDIRTYKLPFLTTMETLNIDSSLLPYFTLMYISIYLIGYSTLFKKWDPKKRVDASSCLISLTHGTPVVFLSIYANFLHPEKPYQHFAAENTPFQAFVLDFSIAYFFIDTTHYLIFIPKDYLFIVHHLAVLYVFITCRYVVGYGGYAILLLLALAEVTSLCQNIWSLAKCRKDEVAVAAWLYSGLSPYFYGFYTMVRGVLGPLLVYKMVVFYWNGGGDGVVPMWAWGSWMVVIVNAILISVLWVSNRWVELFKERSKIRKLI >cds-PLY89215.1 pep primary_assembly:Lsat_Salinas_v7:5:310034755:310036984:-1 gene:gene-LSAT_5X167681 transcript:rna-gnl|WGS:NBSK|LSAT_5X167681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFKIKYAMKKFKKQTNHEGDKRCGIEKDIVEKIDVQRGNQSECKGDTLQGIVKKLKKRNEKGNQSECEDDSPKGREKMSKKRHGKGNQYEFEDDPSSYTEKVEKE >cds-PLY73271.1 pep primary_assembly:Lsat_Salinas_v7:3:163903061:163904246:1 gene:gene-LSAT_3X101861 transcript:rna-gnl|WGS:NBSK|LSAT_3X101861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGDGDEINLDDDEPLFTSLHESSSSKRKRSKSVSNNRPTKSKSSIYEEKVDALLDAISSKSTQTYPQNNPSPTIVDCMAIVIKFPDFCEGSNEFSQALLVFTKKQNREAFMFPTTDEAKMEFLN >cds-PLY95684.1 pep primary_assembly:Lsat_Salinas_v7:2:116624360:116625882:-1 gene:gene-LSAT_2X53860 transcript:rna-gnl|WGS:NBSK|LSAT_2X53860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQKEIEVPSFFVCPISLDIMKDPVTLSTGITYDREAIEKWLYTRKNSTCPITKQVLTDLELTPNHTLRRLIQSWCTINGPYGVERFPTPRIPISKSQILKLLQDSKSPNLQMKCLKRLKTIVLESDMNKRSTEAVGAAEYLSYIICNPNCNLSSPSPAIEVSQIDGFDNLPTDIDEAVSILYHLHLSKTGLKSLFGRTGEFVDALTRVMERATNYESRAYVVLLLKSMFEVAEPIQMISIKPHLFKVITQILANQISQKATKAALKLLIGACPWGRNRIKAVEAGVVSVLIETLLDCTDQKRVPEMALTLLDHLCQCADGRAELLKHGAGLAVVSKKIFRVSQVASSRAVRILHAVAKFSGNTSVVQEMLQLGVVGKLCFVLQVECGSKTSEKASEILKMHARAWKNSSCIPYELVSSYPS >cds-PLY65116.1 pep primary_assembly:Lsat_Salinas_v7:4:5041913:5044364:1 gene:gene-LSAT_4X2460 transcript:rna-gnl|WGS:NBSK|LSAT_4X2460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-oxoacyl-CoA reductase-like protein At1g24470 [Source:Projected from Arabidopsis thaliana (AT1G24470) UniProtKB/Swiss-Prot;Acc:Q9FYL6] MQLSWLLFFSALGFLSLFKLIFTLFKWVFITFFRPPKNLKNYGSWAIITGATDGIGKAFSFQLAQNGLHLILVSRNLSKLKEVSDEIASVHPTTKIKIFTVDFSSENVVAGVREMQKVISSEQLDVGVLVNNVGVTYPAARFFHEVEEEVWMNVMKVNVIATTLVTRVVVEGMVERKRGAIVNIGSGAAIVVPSHPLYAIYAASKAYVDQLSRSLYVEYKSLGIDVQCQVPLYVSTNMASQVASVEKSSLFIPTADEYVKAAIRQIGYKSRCTPYWAHSVQWFFASLALDSILDTWRLSIGIRRRGQLA >cds-PLY86232.1 pep primary_assembly:Lsat_Salinas_v7:8:57452616:57454069:1 gene:gene-LSAT_8X42161 transcript:rna-gnl|WGS:NBSK|LSAT_8X42161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIKKNRMSEFQKLADLQESMTNLGKSGLVYIPPFKLARMMSEFQDKSSMEYQRMTWDALRKSINGLVNKVNATNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVVNTKLPEVGDLLLRRIILQLQRAYKRNDKPQLLAAVKFIAHLVNQQVVHELIALELLTTLLENPTDDSVEVAVGFVTECGSILQDLSPRGLHGIFERFRGILHEGEIDKRVQFLIEGLFALRKAKFQYLLCIDIFKMDPDFIENENKYEDLKKTILGDESEEEEEEEEEEEEEEKEEGGGEDDDEDEDESSEEEEDEEQMRIRDETETNLVNLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEVR >cds-PLY89788.1 pep primary_assembly:Lsat_Salinas_v7:1:7131560:7140536:1 gene:gene-LSAT_1X4921 transcript:rna-gnl|WGS:NBSK|LSAT_1X4921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRMELQALCKEHKIPANSANSVLIDKLSQLLNVKQEKQKPGTRQRACIKSSVEAIDESESAVSRRQAKKVRFSQEVEYERSGKKQKAIEPVVTGIKGRTRSVAKKVDEPAVDDVNNATDDDVQIPVKVTRSRAHILEKVDVVSADGRKQGRRAVKDVQKESEEVKETEGNLGKVTRSKANTVGKGNAQPEKSKPSKKEAKDVEKESEPVKETVVVRARVTRSKAQTVTEDIKEPELSPQFKKKHGRQTEKDEAEVELSKEVKDVPVRATRSRGQTLNTDSVVANPPAEKKRTRRDDSEAANPPVEKKRTRRDDSEAANPPVEKKRTRRDTIVVDLSHNTGNEDKGEKLGRGRSKKISEERIAEVPETQNNADKGTRQSRRNKATVEAPTVVDQDVSKVESINEKKVTRSKAPLAKKSSGSKAEVQQLEEPSEPAGRRNVNRRKSVMQPKVTEVVLPLKEPVNIPKKDTRRKSVVQKAQGKGNKKPPVGKKEIVKETEKRESPIRKTVAKKQSKDASEKGMKAVASGYESKNSRKRSGDPIVEDQIVKPEPASTRKESVAKKEQESVEKTPASKKVAMSEGNRSKKKAKLSEIKQSDSEDHVEKSISMETPILKMANLGLDDKVMETEQTPAIVESGRRFTRGVIRSDRKEPSQSAIIEQNTGVARFSSHNTPGRFTRSGIKVDEKEQPSESAHQSLTFDDALNASPEDAVAEPSPEDSIAVPTEVSNETHAHIVDRTSNLSALESLELPTIDVLSDGSHVFNQNQEVHVSSEIVVEAEVQVAVNSENSVVPEVSEKETELTISESTSEGLENDARVEEPSVSAVKTVEVQESDNISLEESDFNEPSMVEGVNQFIADDQACAKKDEDDPDNEEGEKASNQKHEDVENIVDAQEMDLVVEGDVLEPNRDSIQESTLGEDKLQGLGMDENDPKDEKATVPESLTTHSEPEKEFDVVEAEYEPTTATATVTESVIAHNEPAKELESDIVSSAGNDVQGIPILGQSDDEDEGVDNVRKHESNTNDQDGESEQSEQEQLTSHYSFGMEDQGIGKDEPEPALNEKEPILHEVFTSSSDQGPRPSHNEDVPELLEDLTKSPDQKPEPSLSLAVDEITCDTVDASTSKEAPENPTLVDEEKQMDGHKLREDFSAQENPAIEESLPAVYTKEESTIDGLATNQLPGDIGSYKSPITEEESPVDDMVSYPVTSSKEEERNEQEDLGNYSHGTDDFQKPASTNQSDDVAGQSMKDDQVPEFQTSAIDEASTPAIGGATVGFFNWSDSSLKELLKTPVTTQVSHAEECQQSVVRSALPAEDGIEGKATPYPDLSLKSLFTTPATLRENRQQSTVRFDLTEEVDDTENNATNIPCSSLKASLTTPTTTRVNYEKEPEENTVRFAVPIEDDTEHNANQNPDSSLKALFKTPATTKISHVQDVSSLKTLFATPATTRINRVNDPQENSVSVALPDKDDTEHNATQNPDSTLRALFKTPATTQISNVQDYSSLKTLFATPATTGISRVNDPQENSVSLAVADKDENATPNPALSLNAFLKTPATTRVSHVEEHQESLPDEDDTESNATQNSDLSLKAFFATPAITRVSHVEDNQQSVNEYTNTTQGPGSSLKVSMNTPATTQISHGKHLHENTIKFSFPDDLQEKTVEYALPIEDDMEGNAPQNPDLSVKTFATPATTWASHVENYQQSTLKFTLPSEDDTKGNATQSQGSSLKLSSATTQNQDLSLRTLFATQSTTRVSHVKEHEQSTVRFELPIEDDMEHNATENPDSSHKVFPKTPATTQRFTLLDEGSAGNATQNPDLSLRTLFATPSTTQVSYVQHSHQTTITFHEDEKQGTAATQDQGHDEDKKSDMNFQDFAHKYFDDDEVDYYHGEHSSNDQVDEIKQHNLPSEVAGTSHHDASGLKDRSFFTGEGTTQSPSPSENFGGPNFDIARKFFEDKEVDFGSSDNSGDEMKQQDTGRREM >cds-PLY69874.1 pep primary_assembly:Lsat_Salinas_v7:6:3158845:3160311:1 gene:gene-LSAT_6X4121 transcript:rna-gnl|WGS:NBSK|LSAT_6X4121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATFESLPISFSRLSYSSNPPLILPARLKISLPTFSSKLHHKSHPYPLTISRNFQVFTSSSPSSTIQEVPVEENEKSEEPQKEIVQKRLFVLNLPWSFVVDDLKKLFSECGTVEDAEIIMRKKDGKSRGYAFVTMSSTDEALSAIQKYDSYELMGRIIRVEFAKENKKSSSAAAPPASAPGEKRYKLYVSNLAWRVRANHLREFFGELNPVSTRVVFETPEGRSAGYGFVTFATKEEAESAISTFNGKELMDRAITLAFSEKDADKSETEEDNASNEQPAE >cds-PLY84242.1 pep primary_assembly:Lsat_Salinas_v7:7:77153899:77156265:-1 gene:gene-LSAT_7X54961 transcript:rna-gnl|WGS:NBSK|LSAT_7X54961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPINKEPATPPMIGKIGPYTVFVTPPPTPKPSFEPSPVSASESPKRKDVSPPPVKSSPPMKSAPPVLPPPVQYEKSASAYDSKFGFFWDAVAKVQNAHSSLDDYVARWFGLNQSKYQWALDDYYEKNGVDHVDAKVKDVSTKAQSV >cds-PLY68624.1 pep primary_assembly:Lsat_Salinas_v7:2:71999030:72004676:1 gene:gene-LSAT_2X31440 transcript:rna-gnl|WGS:NBSK|LSAT_2X31440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAELSPRTDTSTDGDTEDKALGFHSGQSHGLVVSDASDKSRDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRMKLTQLEQELQRARQQGIFISSSGEQSQSMSGNGALAFDVEYGRWLEEHNRRINELKGAVSNHAGDGELRIIVDGVVGHYEDIFRIKGDAAKADVFHVLSGMWKTPAERCFLWLGGFRSSELLKLLITQLEPLTEQQLLAIGNLQQSSQQAEDALSQGMEALQQSLAETIAGSLATSNPSSGANVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALIAINDYSSRLRALSSLWLARPRE >cds-PLY94621.1 pep primary_assembly:Lsat_Salinas_v7:8:144955786:144957131:-1 gene:gene-LSAT_8X97821 transcript:rna-gnl|WGS:NBSK|LSAT_8X97821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFNLGGNGGARSGGNDVELFSKTLQVEHKLFYFDLKENPRGRYLKISEKISATRREVGSKVAAVEQIQETPLGIKSTSFGPSMEWKPKPIAQSQRSIKVPVVVVPVEAHTPTRVSSTSSTNLDS >cds-PLY64539.1 pep primary_assembly:Lsat_Salinas_v7:6:33806438:33808175:1 gene:gene-LSAT_6X25681 transcript:rna-gnl|WGS:NBSK|LSAT_6X25681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPQKPNNLTNRLNLCKILAIAGFTLFIFFMYVSHYPVLPNSISSDCLSSLKKTFDLPSSPQQVSATDITQLVFAISGSVKAWRDRRSYFREWWRPNVTRGYIFFDKKPTPDLLPWPSELPPYRISENTTKFDVYLRHVKPNVIRIVRTILEAHREGDKDVRWYVMGDDDTVFFVDNLVELLRRYDHTKYYYIGGVSECVKSNFDFSFEMAFGGAGFALSYPLVEALVDNLDACIGIYPFYYVSDQILSACIADLGVPLTPERGFHQIDLVHDISGYLSAHPQAPLISLHHLDIAFPIFPGINRHTSVAHLMNAAKFDQSRLLQQTVCYHKEKNWAFSIASGYSIYIYEQYIPRSILRRPFETFTPWSLKRHPPDYMFNTRLLVPDDPCETPHVFFFHSIKRLGNDNNTTDEIVMTYIRSMQRRLPPCSSNHSADYISRIEVYSPASKLSFVENRGECCDVLDVDDSRTAKVKIRACQKSEIMA >cds-PLY66267.1 pep primary_assembly:Lsat_Salinas_v7:3:47879452:47879676:-1 gene:gene-LSAT_3X37701 transcript:rna-gnl|WGS:NBSK|LSAT_3X37701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYEIFPILVFRRIKMAILLGLFSCFLGSNRVVAVEGNQREDDNVDGDHEAKSKCRKSAAIPVAYFPVGSNRSCL >cds-PLY63072.1 pep primary_assembly:Lsat_Salinas_v7:8:75508418:75511248:1 gene:gene-LSAT_8X53620 transcript:rna-gnl|WGS:NBSK|LSAT_8X53620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G27070) UniProtKB/Swiss-Prot;Acc:Q9LFY0] MMLFIASTTPYHLISPVVPILGLGSKFKISPRVSFILVKKDRVFGYKKYKETITRSGFSCEGYSDSEAELALEREILMFMKNSSNPNEFPTKKQLLDAGRIDLVDAISKTGGWLALGWDYSDDENDFESNYFDDNRELQTRVETLQQIQDVYDNSSGFQSSSHQSASPSGRSLEMEADEDTGVEGILSRLKKHRSLSFDVHMEKSINDTYSSSKDNGNISPRKHFSDFQAAEIDYGKDYTKEKTPNIQPDLQLEDRNKEASPDHIRSRLKQMQLELSSALHSLKSKSQTLTPKVYENSSSELQQLSDAWEFQETELMKAEDKLRSIRAKLAVFEGKIALSVIDTQKLVEEKQRRINSARKTLQLLRTTSIFWTHSASEVLLVGSFDGWTSQIKMEKTVTGIFSTSLKLYPGKYEIKFIVDGIWRVDPMLPIVHNNGYENNMLIVH >cds-PLY84555.1 pep primary_assembly:Lsat_Salinas_v7:1:31352893:31353877:-1 gene:gene-LSAT_1X28360 transcript:rna-gnl|WGS:NBSK|LSAT_1X28360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKDKSSYGFIHYFDRRFATLEIVSLDGRHLFGQLIKVNWAYVIGQREDTSRHYNIFVGDLSPERILKSKVIVMPLRIIHSTQPFMLATLALKCVIFFNYFMQSGGVKYNTTNVCLTQQTTMGALPLTQLKYFKVWKV >cds-PLY71095.1 pep primary_assembly:Lsat_Salinas_v7:1:145737069:145738424:-1 gene:gene-LSAT_1X103080 transcript:rna-gnl|WGS:NBSK|LSAT_1X103080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSKLLRFTRRQLHTIVSRDIIKPSSPTPSHLKTYNLSLFDQLAVNAYMPVVAFYPSSSIFQSSHDKTLELKNSLSRTLNQYYPFAGRLAKSYPTYVNCHDDGVEFIEANNDSRLSDFLHHSDHEDLDQLFPDDLIWYKSNHKGDNDENESTSPLSVQVNHFSCGGVAVAVSLSHRIADGSSIFNFLNHWATVTRSRSPEHHDLSHMNPHFLSYKTRDVKLPKNMPDRSQGDYVTRSFVFPNSKINNLKAKITSMSMESGEPIMNPTRAEALTWLIHKCAVAAASKTNSGILKPTGVGQIMSIRNNLAESLPETSIGNLYLLMEFPTRDESELTPHNIIGELRKRKKEFRSIKNMETALGIVGEVCSDHAAMLQTSKRVDDYYIYTLINRFPTYGIDFGWGKPIKVTVGGVVKNLIIMMNTPNEDGIDALVSLDRQDMNIIQNDPELLAFC >cds-PLY68462.1 pep primary_assembly:Lsat_Salinas_v7:2:213768703:213770630:-1 gene:gene-LSAT_2X134260 transcript:rna-gnl|WGS:NBSK|LSAT_2X134260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein PDV1 [Source:Projected from Arabidopsis thaliana (AT5G53280) UniProtKB/Swiss-Prot;Acc:Q9FK13] MKWEMEMEEVEAVLEKIWDLHDKLSDAIHSISRSHFLLSVKALRSSNDLFDRAADNNKKVSDDEVKGGFVYVKDFPIEEDAHSAIHEAKSLNSIRTALENLEDQLEFFHTVQTQQRAERDAAIARLEESRIVLALRLADHHGKNYKVIEEARAFVGQVQNHSCSYNNIEKKSNILVNVVISSFNFAKKSLKVDNVGGLFGNAALFAVSMLALLHLHQVADRDGEHHGYISDLHQVKVNTNVTKVYIPEGGSSNGLDVLSARG >cds-PLY63943.1 pep primary_assembly:Lsat_Salinas_v7:4:76546491:76549975:1 gene:gene-LSAT_4X51700 transcript:rna-gnl|WGS:NBSK|LSAT_4X51700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEDESRTLEVIPTWAVATVTFSLIAASILIEHVLHLLAKYFNKKRRKPLIKALDRIKSEMMRLGFLSLLLIVCEESVANICIPKRAGKNFLPCQDHEFDAEEAAKCADQGKISLISRNGVQQLQFLISILAISHVISSILTFSLGMLKMRNWEAWEEITRTLEYKYSNDPRRFRFVHQTSFGKRHLRFWSGLRLFRLPASFLRQFYGSVSKVDYFILRHGFIMAHFDEETTFDFQKYLRKALEKDFGVVVGVSPWIWVFSMLFIFLSADEFKYSYWLPLMPLVVLLVVGTKLQGIVTKLCLDRNDKSLVVKGTLVIKPSNNFFWFKTPKLLLHLIHFTLVQNSFQLAFFVWTWHKFGLKSCFHRETSGIVIHIGSGVVVQLLCGYVTLPLYALVTQMGTSMRKAHVFPPEVIRGLQRWQKKAKANIAQRDKTTLKSSTTIGGSLSLGFYGEFDEITVVDEDDEDVSMEIQPDSFHFSHRSQ >cds-PLY63527.1 pep primary_assembly:Lsat_Salinas_v7:9:25228405:25228635:-1 gene:gene-LSAT_9X22540 transcript:rna-gnl|WGS:NBSK|LSAT_9X22540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDCGIATNVPIIHTKLKPRKPSERIIKIKLRNPIFDKDKSGFTQDKPVINLEQYIYELEWDKTLAVCDVFLILGT >cds-PLY76860.1 pep primary_assembly:Lsat_Salinas_v7:3:4941629:4941796:-1 gene:gene-LSAT_3X2581 transcript:rna-gnl|WGS:NBSK|LSAT_3X2581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPIPPHYSDQGEGVACCCVERRLKCRCRLRGAKPERSTGILRRSCEFWMAENPQ >cds-PLY70422.1 pep primary_assembly:Lsat_Salinas_v7:2:9014904:9046416:1 gene:gene-LSAT_2X4580 transcript:rna-gnl|WGS:NBSK|LSAT_2X4580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMTLPRRLSTAVACFQILLFVCICNAERYNTRILATQSEKHGEEYCAMYDICGARSDGKVLNCPFGSPSVKPDDLLSAKIQSLCPTISGNICCTEAQFDTLRTQVQQAIPFLVGCPACLRNFLNLFCELTCSPHQSQFINVTSISKIKNNMTVGGIDYYITDTFGEGLFDSCKDVKFGTMNSRAIEFVGASAKNFKEWFAFIGRKADLQVPGSPYGINFRPDADVATGMKPMNVTTYSCGDTSLGCSCGDCPSSPVCSSSTLSSPHNKGSCSVKIGSLKARCVEVSLAIVYIILASLFLAWGLFYKRGARKTSLRTRPLVNVTNGGDQVQHVHGKKDKNIPMQMPEDNPQIASGVQLSVVQGYMAKTFRLYGAWVARNPVIVLCSSLAVVLLLGLGLIRFQVETRPEKLWVGHGSRAAEEKQYFDSHLAPFYRIEQLLLATKPLATHEKPPTIVTEENIKLLFAIQDKVDGIRANYTGSLVSLTDICMKPLGEACATQSILQYYKMDPKNFENYGGIEHAEYCFQHYTSDENCMSAFKGPLEPSTALGGFSGSNYSEASAFIITYPVNNVIDKESNETKRAIAWEKAFIKLVEDELLPMVASKNLTLSYSSESSIEEELKRESTADAITILISYLVMFAYISLALGDTPRFTSFYISSKVLLGLSGVMLVMLSVIASVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQQVELPLEGRISNALAEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAILLDFLLQVTAFVALIVFDFMRAEDQRIDCFPCIKASSSVSDTDQVNNQRKSGLLTRYMKEVHAPILGLWVVKLVVIAVFAALSLASIALCTRIQPGLEQQIVLPRDSYLQGYFNNVSEYLRIGPPLYFVVKNYNYSSESRHTNQLCSINHCDANSLLNEISKASLVPTSSYIAKPAASWLDDFLVWVSPEAFGCCRKFTNGSYCPPDDQPPCCSSSDGSCSTNGFCKDCTTCFRHSDFQNDRPTTPQFKEKLPWFLDSLPSADCAKGGHGAYTNSVELTGFENGIIQTSSFRTYHTPLNKQVDFVNSMRAAREFSSRVSDSLNIEVFPYSVFYMFFEQYLDIWKTALISLAIAIGAVFVVCLVITCSLWSSSIIVLVLVMILVDMLGVMAILNIQLNAVSVVNLVMSVGIAVEFCVHITHAFLVSSGDRDQRTKEALGTMGASVFSGITITKLVGVIVLCFSRTEIFVVYYFQMYLALVLLGFLHGLIFLPVVLSMFGPPSRRVLVERKEDNKSSASSSL >cds-PLY85781.1 pep primary_assembly:Lsat_Salinas_v7:2:82490350:82491410:-1 gene:gene-LSAT_2X34241 transcript:rna-gnl|WGS:NBSK|LSAT_2X34241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKKGRPRKKVHSDPNQASGSKSVKSKNDGLDGHVEGRGCEEQVKDLFDNEDIDDDSLVDMMCTFEASLSQPKDNYQKSDGFQDAMDAIIQSILHANDDKGVEEVEPDLTKQLDEVEDAMDAILKGTDEKSQSENEGNPEPEFTEGNASDVLPEMVMLDLESVADLLGAGYSMAEIESLRGVKVELDDMPAVEMDVNEVEDIPYVDGVMEGNEDDGLINDGVEGNEGHGEGDDADEVAGEGDGEVDGDGVGEGDGEGNGAGAGEDDAAYMEGNDADDKGHVPPRRTRKPSERIILQKLKKPCFDKDGRGSTSSYPVDLE >cds-PLY82589.1 pep primary_assembly:Lsat_Salinas_v7:2:184182537:184185764:1 gene:gene-LSAT_2X107460 transcript:rna-gnl|WGS:NBSK|LSAT_2X107460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKHSFYGARYHPSIFDILDARKCFSSPIFFNCFSTFAASNNRNCKEGTKIKTLEDAVYTFNHMFYRNPVPPIQEFTKVLAFIVNKKHYAAAISLINKYDFLGVDPFLKPTIYVFNIAINCFCHLKRVDLGFVVLGKATKLGYVPDCATFNTLIRGLCANDNLHQAINLFKQIVENGFELSVVTYGTLINGLCKSGNTNAAIQLLRQMETSTCKPMIIQYSTIIDSLCKNKQFEEALKLFSEMNTKGISPNVVTYTSLIHGLCNLHSWKEAMRLLSEMLARNISPNVHTFTTLLNAMCKEGRVTEAQILLTLMIQRGETPNTVTFNALMDGYCLLGKVDTARKLFDSMVDQDCTPSVISYNILINGYSETERIDEALNVFQEMSKKGTDPTLITYNILIGGLCNVGRLDKALMLPVEMKAHGLNPDIVSYNTILDALCKNRRLDEALKLFKNLDGDGVVPDIVTCNCLIDGMCKSGETDHAYEFFLGLSARGLKPNVRTYNIMIDGFLKMGELDKANMLFLEMKENGCPADEITYKIMEQGFLRVNETSKAVDFMNGSIETSLSVDAMKLLIRLLSTKELKDSSS >cds-PLY61945.1 pep primary_assembly:Lsat_Salinas_v7:5:158488616:158491264:-1 gene:gene-LSAT_5X69121 transcript:rna-gnl|WGS:NBSK|LSAT_5X69121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFISSSTTLPTYTALLNPKFNQSSFSSLSLSPNSNPRKNSRKKLFRCSAAKQQQSGPVKKRQSSSSSTNNKKPVKKNTKNGGSGSGFKKGFGVNEEEDDDYEETTSSSKARYQPLPLPKPPAGFLVDDQGRVVAVSDRRIATIVDPANNFPLECVIRRVFRSSQGDECMLLCPIDTPVQILKSTNFEGWSAVSDDEVESILPTASYALAKIHMHLVYSGFCYTARGGFCYTEDDIFECRSDDGQDLDGLPTEGVEITCFQMDGSHYMIYTPSDPLLFVAVKDGNGQLQIADDEVLEDPLTISAIDEETEFNALVEEEAALLESLLGKS >cds-PLY97658.1 pep primary_assembly:Lsat_Salinas_v7:5:238382059:238382379:1 gene:gene-LSAT_5X113000 transcript:rna-gnl|WGS:NBSK|LSAT_5X113000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGVSPQQRQRSSGTMSSILGGHKALTAVARKQYIVMASSIAPATAIQGLTIPTTVTHSVKSPKRTKDGRDRSRFKLTGGLPVVHSLSFIFLGSLPSVSTVFQ >cds-PLY69447.1 pep primary_assembly:Lsat_Salinas_v7:6:122197656:122199717:-1 gene:gene-LSAT_6X73040 transcript:rna-gnl|WGS:NBSK|LSAT_6X73040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQHQGRSFHAATITGYEKVPANSESALLQAVANQPVSVAIDASGMGFQFYSGGVFTGDFGTDLDHGVTSLLNQMELYVVVYILAILGLDSLQGIMLDQGSCIDTCCTFCRKVWIAYKESRWISAFFWILSLLSFWRESWYEKLQRWDDALKAYTAKSAQATSQRLILDATLGNSYCSVFIPNPKFFGWRKGSQELSEQGFNISLKEV >cds-PLY65847.1 pep primary_assembly:Lsat_Salinas_v7:4:85055247:85056487:-1 gene:gene-LSAT_4X56321 transcript:rna-gnl|WGS:NBSK|LSAT_4X56321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVSMVTEAASANKVKRVKPPKPVKPVQEILTCKSRKSRCFMKRIKCPVECPKVKPKNPKDKACFLDCYSPKCEAVCKSRKPNCNGPGAACYDPRFIGGDGIVFYFHGKSNEHFSLISDTDLQINARFIGLRPEGRTRDYTWIQALGLKFGHHNFTLEATRTQKWDDDVDHLKLSYDGKELFIPEGHSSEWNSPEGDVQVERTATSNSVTVTIPDHAEISVNVIPVSEEDSKIHNYQIPTNDSFAHLEVQFRFFGLSSKVEGILGRTYRPDFENPAKQGVAMPVVGGDDKYKTSSLLAADCALCVFSPNEIKDEHGSPIMKFGMLDCTGGGNGITCKK >cds-PLY78283.1 pep primary_assembly:Lsat_Salinas_v7:1:129872236:129873225:1 gene:gene-LSAT_1X96861 transcript:rna-gnl|WGS:NBSK|LSAT_1X96861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSTNLPSAFLSTLPKFPINFNGLVIHSDGRYERPIRNDTSPAGTDTLTGVHSKDVVISHESKVFVRIYIPKTINPNQKLPTLIYYHGGAFVSDSAASSTYHKTLNLITTESNVIVVSVNYRLAPEYPIPIAYEDSWEAIKWVASHVRGNGQESWLNDHSDLQNVFLAGDSAGANIAHNMAIRVGLSHENVFNVSGVIMVHPYFGGEDPIGAESTKFKRAKESMDKLWLLANPSGNGSDDPLFNPSMDPYISAFGSSKILVCVAENDIVRERGLNYKKVMEASGWKGKVELMESIGEKHIFFLFDTSCENSRFLRSRICNFINPIRSKA >cds-PLY86411.1 pep primary_assembly:Lsat_Salinas_v7:5:338722153:338724028:1 gene:gene-LSAT_5X190381 transcript:rna-gnl|WGS:NBSK|LSAT_5X190381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGRGKVWLDPNEGNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKMARREERLAQGPQERAPAPAPAAPVAQAPKKSKK >cds-PLY64534.1 pep primary_assembly:Lsat_Salinas_v7:6:38711321:38713224:1 gene:gene-LSAT_6X31181 transcript:rna-gnl|WGS:NBSK|LSAT_6X31181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAARSIFRSSSVRSAAARASSQAKAARSPFRIGSQNALSNRIFRCPAEMSACLESLQPFHTATASALMTSMLTLSQRGYGWLPEACNDDV >cds-PLY93202.1 pep primary_assembly:Lsat_Salinas_v7:8:109445900:109446286:1 gene:gene-LSAT_8X75041 transcript:rna-gnl|WGS:NBSK|LSAT_8X75041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTATRRRGKSERELPCLNGMKREKGRGPVEFSRSQLQGCCSDRDERMKQRRGQNMNEESSNREDLVVTDRRHQSKNGSSRWLLSPTAVARGGGKLLVVSPFFMIQQQIMPREEEWQSIAGELVLVH >cds-PLY83927.1 pep primary_assembly:Lsat_Salinas_v7:8:288049676:288052343:1 gene:gene-LSAT_8X161700 transcript:rna-gnl|WGS:NBSK|LSAT_8X161700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLKRVHHQETHVFTLMNNRVALALTSHVDKLHAEKSQADHTPSASSHTWTSIHADKLQAENVGITKKFRTVKSSHTDKFVHTAKTFGAIKPSQTVKTPSHPVKTQVPSPNIRRAQAGGKTSTVNGAYAGNIYSQGPMVSIGYKGYKTNGWPIHQRTSHVESVSQRYNMLIPCIIYKGMKSN >cds-PLY90505.1 pep primary_assembly:Lsat_Salinas_v7:2:43258423:43259246:-1 gene:gene-LSAT_2X20681 transcript:rna-gnl|WGS:NBSK|LSAT_2X20681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQQLVPPWLEKLLGTAFFSVCRTHGAAARSECNMYCLDCNGDAFCFYCRSSRHKEHQVIQIRRSSYHDVVRVSEIEKVLNINGVQTYVINSARVLFLNERPQPKSGKAVSHICEICGRSLLDALRFCSLGCKVSIISFIN >cds-PLY62835.1 pep primary_assembly:Lsat_Salinas_v7:4:30449233:30451484:-1 gene:gene-LSAT_4X16880 transcript:rna-gnl|WGS:NBSK|LSAT_4X16880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAQCQSLDRISNLPQDTIEKILTHMPIRDALRTSILSKKWRYCWTRMPKLVFDDMFTGSSIFENDVIQDYNFEDKIIKAIFQVLLLHKGPISEFCLCIEDTEIVNEIDMIILHLSWNKNIKKFIFECVIGYKLPCSFFSLQELEHLDLRCCKFELPHMFNGFSKLKILKFFEVETTTEMLQRFLSNCPLLEEFTLIRDFVGGHKCTFVELFKCLPSIQVLIISKFYVKLFAAGGMPWKLPTSLIHLRRLVLDVCFLIQDEISSTLCLINNSPNLEKIQINMNYDGEKTFTNLFDIQEDYSGLNLDHLKELEITSFHNLATEMEFLKLIMAKSPLLKKARIELSILVTVGEENKMLRDLLFLPFPRASPAAKFSIERPKY >cds-PLY97386.1 pep primary_assembly:Lsat_Salinas_v7:4:15384417:15386791:1 gene:gene-LSAT_4X11141 transcript:rna-gnl|WGS:NBSK|LSAT_4X11141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTTTTTINNHNPSRNFHEDEDQGHDDFEEEEEGGREEDDDEEEHIGLNISGSYSFNGSFDSHQNGRVRSGGQSKTSARGHWRPAEDAKLKELVALHGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLMTAHRLYGNKWALMARLFPGRTDNAVKNHWHVIMARKYREQSNAYRRRKMMMITQTQTQTQVALPPPPPAVSATTAAGHLPYTGALHQLPPLDLVSGPKNNTELMMDMLNTSNHGNIMSWENHSSLSSSSSSSNTSWMMNTTNPINFHYNRHHQHPAPLMNETMDQKYYYYNHHLYSSSYLPTAAAAPPPEEVSGSQHFSEANNNFSPPFIDFLGVGAI >cds-PLY95055.1 pep primary_assembly:Lsat_Salinas_v7:5:226782491:226782691:-1 gene:gene-LSAT_5X106621 transcript:rna-gnl|WGS:NBSK|LSAT_5X106621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAKFPFKRSTDETHCQNGTRKLVEDPIESIVEKHNITSESVILSQDSLDSCTIQAVDEIRIKY >cds-PLY84394.1 pep primary_assembly:Lsat_Salinas_v7:8:78921660:78924199:-1 gene:gene-LSAT_8X56421 transcript:rna-gnl|WGS:NBSK|LSAT_8X56421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEEEYDWADLETDLYHWTKSLRPVQWYPGHIGKTERELKEQLKLMDVVIEVRDGRIPMSTSHPLMDSWLGNRKRILVLNREDMISTADRNAWADYYGRQGIKVVFSNGQLGMGSMKLGRLAKSVAAEVNMKRRARGLLPRAVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRSLKWVRFGSDLELLDSPGIIPMRMSDQSAAIKLAICDDIGEKSYDFTDVAGVFVQMLSKLPEANNNVLWERYKIDTDGRCGRTFVHKLAIELFNGDEHQAAFRILSDFRKGRLGKIALERPPVQSRVI >cds-PLY92701.1 pep primary_assembly:Lsat_Salinas_v7:7:4856470:4858973:1 gene:gene-LSAT_7X4361 transcript:rna-gnl|WGS:NBSK|LSAT_7X4361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPTRFQAQSDAVNLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLAAGIQIAQLALKHRQNKKQQQRIIVFAGGPVKYDKKVLELIGKKLKKNSVALDVVNFGEEDESKSEKLEALVAAVNNNDSSHIVHVPAGSNALSDVLLSTPIFTGDGEGGSGFAAAAAAAAAGGVSGYDFGVDPNLDPELALALRVSMEEERARQEAAAKKAADDSSKNEKQGESTSQDATMSENVASESENKKDDLMDDENALLQQALAMSMDDPAATTVTTRDTDMSEAAADDQDLALALQLSVEEGAKDGSGSGQADMGKLLADQSFVSSILASLPGVDPNDPSVKDLLASMQNQPESDQKKKEDKAPKEDDK >cds-PLY88863.1 pep primary_assembly:Lsat_Salinas_v7:5:54315579:54319034:-1 gene:gene-LSAT_5X25740 transcript:rna-gnl|WGS:NBSK|LSAT_5X25740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g09850 [Source:Projected from Arabidopsis thaliana (AT1G09850) UniProtKB/TrEMBL;Acc:Q0WVJ5] MGWLWWISALFFFFLFPLTSLSTSYTSDLFRQWCQQHGKSYSTEEENLYRFNVFEDNYAYIIRHNSNSNSSYTLSLNSFADLTHHEFKLARLRGLSASAASDDLIRLNRGSSLIESSSYLPKSLDWRDKGAVTNVKDQGSCGACWSFSATGAMEGINQIVTGSLISLSEQELVDCDKSFNSGCEGGLMDYAYEFVIKNKGIDTEDDYPYQAKQTSCNKNKRKRNIVTIDGYNDIPENNEDQLLKAVANQPVSVGICGSERAFQLYSKGIFTGPCSTALDHAVLIVGYDSKDGVDYWIIKNSWGNSWGINGYMYMARNTGDSHGLCGINMLASYPIKTSPNPPPSPTPKPVKCNLFSWCSEGETCCCASNFLGICLKWTCCELNAAVCCKDHRHCCPSDYPICDSERNLCLKQTNNGTLAIQPKKNSDSGNSGGRSTLHKQYF >cds-PLY63632.1 pep primary_assembly:Lsat_Salinas_v7:4:128728873:128729995:1 gene:gene-LSAT_4X80841 transcript:rna-gnl|WGS:NBSK|LSAT_4X80841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQEDMVKSLFKKTEAGRPNSMIIKRANRVIPPHIIAEAISTLHGVDLRWSGPITPAEMQYVEQYVVAKYPEYSNALVEGKDKTDLYELCVKEEPPTPPETSPDEKRKSPRGVFRETFGSNFHDLERIQLEPSRLLDILTKKSSYLGSFISIPEIQARNKVLNHCGLPENEYLVLFTSSYRDAMMLVGESYPFFRGNFYMTIIDEEHDYIKAFAGYKESKVISAPETWLDLRIKGSQLSQYFRRKSKQSPKGLFSYPANVNGTPYSMHWVSEAHRNTWHVLLDATSMVMGEDRLNLALHRPDFVLCSPHNTNTTTHPSKITCLLVRRKSFESSSVVQ >cds-PLY92307.1 pep primary_assembly:Lsat_Salinas_v7:9:178759434:178765160:-1 gene:gene-LSAT_9X109780 transcript:rna-gnl|WGS:NBSK|LSAT_9X109780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRRRNSSSPSMLADLTATHGCDNELSPSNSDLTSNSSLTVNNCKGRLHQIEDSAKDCVTTWTDEKHDLYLDHLESSFVEQLHRSIGVLAFCSNDDSKSHYLSRKLVAKNRNTSAKLKIIQDSYSDKTTLKRKSHHTLTVNKVEALKHYNFSLAFENSNDEDYVTEKYFQSPVILGSIPVVYGALNIQDFAPSRDSILHIKDLKDVESVANTMKRLSENHTVYSELWKHDGPSDSFKPLVDMAAVHSDCRLCINLATKIC >cds-PLY93474.1 pep primary_assembly:Lsat_Salinas_v7:9:63797149:63799107:-1 gene:gene-LSAT_0X29760 transcript:rna-gnl|WGS:NBSK|LSAT_0X29760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKSPQELSTPDIAKKDKLVVKKGEEEELPPGWKVEVKTRTGVNGIKKDRYYTDPATGYIFRSLVDVHRYLKTGDVGPRAKKPKITDKKIVEQIESKEQTIVSSSPLSETPNNNKRPLQDKSATRSKSELPQRASKRLARVTIDAPPPPPPSSSPLLEPKTSKATRLCGIKTDAPPPSPPVLKTRQAKRQAAMEIEAPVTPPPPPPPSSPPEPKTSPQVTARQAEESQTQPPVSLAISKEKDKKAEPQKIKDNDGIENADVASKRVVATQESLEKVKSKSNKNQESRTVSSHPIPEKQPVESESRIPIPIPMADSDSHSHHKKQESPVTNTNPGQESSINFCLNDFWTDPCIEFAVKTLTGAIPFGELNKVDNFPPSSSPLEVPMEELWTDPCIEFAVKTLTGAIPVVGEDQNPGNGIQDYLHHQPKTGNNNNNNKQLDSVGNFGLQKSGNLGFHQVNGNSNNGQCSRARFF >cds-PLY72050.1 pep primary_assembly:Lsat_Salinas_v7:2:205035670:205036218:-1 gene:gene-LSAT_2X126161 transcript:rna-gnl|WGS:NBSK|LSAT_2X126161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSWRRKAPLSWVQCRRIINVYEPNNHPIVVVANETELMLLFYIRYVNWTLNHEKSPSRKHSPPIVASPPRRKKYKSETYSIETATNASTSQQPEVERTYMSSDTLKRLVKKKKSSTKALVKRIIGVVVDLTSKVDRVLQKKDEPDTRLERRRTW >cds-PLY78506.1 pep primary_assembly:Lsat_Salinas_v7:4:124079563:124082029:-1 gene:gene-LSAT_4X78940 transcript:rna-gnl|WGS:NBSK|LSAT_4X78940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNYYYHQLASCPSYRDSLNVLEVDIQFANMLANSIPRDKSGACLQMELGYSDMAYILSFLLQWIDFPCSCFLQTCLNFCYVVVHKVLPDGKPKLTSLGRKATIREFYDVILPSLKRLRTNPLEIEDNEENIQPFGTNPRKKIEKNTKSTNVDMEREDECGICLEPCTKMVLPNCCHAMCINCYHDWNMRSVSCPFCRGSLKRVNSEDLWVLPCTGDVVDQKTVSKEDKKRFYNYINKLPKDIPDAVFLVYNDYLF >cds-PLY96727.1 pep primary_assembly:Lsat_Salinas_v7:6:76322828:76325621:1 gene:gene-LSAT_6X56601 transcript:rna-gnl|WGS:NBSK|LSAT_6X56601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKGLFTKKTLFALFLGQILSLFITSTGFTSSELARRGINAPTSQSLANYVLLAIVYGGILIFRRHEMKAKWYYYLLLGLIDVEANYLVVKAYQYTSLTSVMLLDCWSIPSVIILTWLFLKTNYKFKKIAGVVICVAGLVLVIFSDVHAADRSQSGSNPIKGDLLVIAGATLYAVCNVSEEFFVKTADRVELMAMLGLFGAIFSGIQISVLEREELKSIRWSSGAVLPYAGFAAAMFLFYSGVPILLKISGSTMLNLSLLTSDMWSVLIRIFLYHEKVDWMYFLAFAAVAVGLVVYSAFDKEEDKSHGDEEAGV >cds-PLY63514.1 pep primary_assembly:Lsat_Salinas_v7:5:326768291:326768524:1 gene:gene-LSAT_5X183301 transcript:rna-gnl|WGS:NBSK|LSAT_5X183301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCRWRKRRKIHCSDTPATFADSQRRCGGVDLKQLIWRFMSQWKQALTWQSITPKHHCYDPQSYSQNFDDGFLYGHP >cds-PLY95452.1 pep primary_assembly:Lsat_Salinas_v7:8:193396634:193400787:-1 gene:gene-LSAT_8X125021 transcript:rna-gnl|WGS:NBSK|LSAT_8X125021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transporter-like protein 2 [Source:Projected from Arabidopsis thaliana (AT2G21050) UniProtKB/Swiss-Prot;Acc:Q9S836] MDDQGHEKVVETVLVGNYVEMESDGKAKNVKSTISNFFWNGGSAYDAWFSCSSNQVAQVLLTLPYSFSQLGMLSGILFQIFYGLMGSWTAYLISILYVEYRTRKEREKADFRNHVIQWFEVLDGLLGKHWRNVGLGFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLIMTTYTAWYLTIASLLHGQVEGVKHTGPAKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLWATAYVLTLTLPSAAAVYWAFGDSLLNHSNAFALLPRSPWRNMAVILMLIHQFITFGFACTPLYFVWEKAIGMHECKSMCKRAAARLPVVVPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHMFTFRSAAARENAVEPPPKFFGRWVGTYTINAFVVVWVFIVGFGFGGWASMTNFIHQIDTFGIFTKCYQCPPQLPPPPPLFNSTAPIPSPSHFQIFGSALSHNR >cds-PLY98940.1 pep primary_assembly:Lsat_Salinas_v7:7:49231640:49234256:1 gene:gene-LSAT_7X35101 transcript:rna-gnl|WGS:NBSK|LSAT_7X35101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSFPYLVLACLNFTLFILSAASLAPTLILKSSPTSMGWALVMVSSISLLSSFIGFFSHFCFMTHVTLLIASMAAQMLAILALFTKEKSSLSMLKSPRDPREAMALVRVECGVLVAMFVLQVGVFCLSCGVHWCWVRKYEGMEAAMRRSRSRRVAEEPVVIGGVGMKGKELEKMKVDFEASMNSGSSHGIKKQDLSLKIQENYICKSETTNLQFDRLQHHDQLFVQDKKHEFGQFVARRAVLDEEYWAAAWLRAETHLEDRKDDRFADSYRRKFTEQEFNALKRQCETKHGQKSACIVTVKKENGNERHTVLKSVVGTLDVSIRPFLHGETFPGEKVKATIFFNTETKEPKKQYGYIANLCVAKSARRQGIARNMLHFAINTAMSDGVEQVYVHVHRKNIAAQELYKKIGFKIVDLATPQLSGDKTYLLCYMA >cds-PLY91316.1 pep primary_assembly:Lsat_Salinas_v7:5:250978331:250987713:1 gene:gene-LSAT_5X126020 transcript:rna-gnl|WGS:NBSK|LSAT_5X126020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative callose synthase 8 [Source:Projected from Arabidopsis thaliana (AT3G14570) UniProtKB/Swiss-Prot;Acc:Q9LUD7] MAEIVVAEPSIASTSNTQTNGRGGYGSNNNGAKSFYMKSITSGRDYVPEPFDSEKLPVTLRSEIQRFLRVANLIDAQEPRVACLCRFHAFVVAHDLDRNSRGRGVRQFKTALLQRLEQDEVVTYRKRKEKSDVREVRRVYREYKDYIIKHGGESTLENRDALIKAGAIAAVLYEVSNTLTKAAGTQGNADNDVNRRSEFYDFNILPLDQGGVHQAIMQLTEIKAAVAAVRNVEGIPFIEEFTNQKPHFDLFDWLQNSFGFQKGNVANQREHLILLLANMHIRRSNKLANTSKLADGVLDDLMKKFFKNYTEWCKFLEKKNSIRLPLSDPEAQQYKVLYIGLYLLIWGEAANVRFMPECLAYIFHHMAYDMHSMLMGATILSTDGTFTPAYGGGPESFLNNVITPIYEVIREEAKKNQNGMTDHSTWRNYDDLNEFFWSPDCFQLEWPMNKEHDFFYVDFCEDPKRKNNKGSDKLKTEKKTEENDEEMELNKDDESTEEQPKPKEKKWLGKTNFVEFRSFWHVFRSFDRMWAFLILSLQAMIIMACHDLNSPLQMFDTPILEDIMSIFITSAVLKLVQAVLDIVFTWKARSMMDESRARKHSLKVMIAAMWTILLPIFYSKSRRKYTCYSSQDGSLFGEWCYSSYMIAVGFYLMSNAVNMILFSVPAIGRYIETSNSKISSLLSWWTQPRLYVGRGMQESQFSLLKYSLFWMLLLLSKLTFSYTFEIKPLIGPTRQIMSLGITKYDWHELFPKVKNNAGAIAAIWTPVILVYFMDTQIWYSVFCAIFGGLYGILHHLGEIRTLGMMRSRFQDLPLAFMNSLIPHPTKTDKHLNRKSFIREMFQKLSERQMRNGLVKFVVVWNEIINSFREEDLISNREVELMKMPLSHDLLSGLVCWPIFLLANQLPVALSIAKDFVGEDANLSKRIRRDVYMYIAVKECYDSLKDVLDILVVGDMERRVISGIVNEIEESMWKSTFLKDFDLSELLTLHAKCINLVELLVEGNENHHTKVVKVLQDIFEIITNDMMKNRSRTLDSMNTYQDTEGCLTTYFSEPEPQLFASRHSIHFPLPDSDSLNQKIKRFLLLLTIKDAAMDIPSNLDARRRISFFATSLFMDIPRAPKVRNMLSFSVLTPHLKEEVKFSTKELNASQDYVSIGFYMEKIFPDELQNFLERMGVETMEELDDHGKEELRDWASFRGQTLSRTVRGMMYYHKALKLQAFLDKAEDDDILQGYETIERGNDTLNAHLDALADMKFTHVVSCQLFGSQKTSGDPQAQEIIDLMVRYPSLRVAYVEEREEPVDNKPIKIYSSVLVKAVNGFDQEIYRVKLPGPPNIGEGKPENQNHAIIFTRGEALQAIDMNQDNYLEEAIKMRNLLQEFLPRLGKTPKILGLREHIFTGSVSSLAWFMSYQETSFVTIGQRLLANPLKIRFHYGHPDLFDRIFHLTRGGISKASKTINLSEDIFAGYNTTLRRGHITYHEYIQVGKGRDVSLNQISKFEAKVANGNSEQVLSRDIYRLGRRFDFFRMLSCYFTTVGFYFSSLISVIGIYVFLYGQLYLVLSGLEKALLLEAKVHNIRSLETALASQSFIQLGLLTGLPMVMEIALERGFLSALKDFVLMQLQLAAVFFTFSLGTKTHYFGRTILHGGAKYRPTGRKVVVFHASFTENYRLYSRSHFMKGFELLLLLIVHDIIRRAYRNNMTYVLTTYAIWFLSLTWLFAPFLFNPSGFDWGKIVDDWKDWNKWIKQQGGIGIQQDKSWQSWWYDEQAHLKHSGWGSRIIEILLSLRFFIYQYGLVYHLDIAGSNKTVVVYVLSWVVIVVIFLLIKVVNVGRHYLSDNYQLSFRLFKASLFLSVIATIITLSYICQLSVKDLIVCCFAFLPTGWGLILVGQAFRPLIQGTVLWKFTRLFAQAYDFGMGVVLFTPIACLAWLPIISAFQTRFLFNRAFSRRLQIQPILKAKKKHA >cds-PLY85870.1 pep primary_assembly:Lsat_Salinas_v7:8:179269341:179271811:1 gene:gene-LSAT_8X117021 transcript:rna-gnl|WGS:NBSK|LSAT_8X117021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRNVKRNMSPSSSCNNEQPLMNPKSKNKKRQPASAEPLPRTSIFRGVTRHRLTRRYEAHLWDKESWNQNRKKKGKQRAYDEEEAAAHTYDLAALKYWGPETTLNFPPEMYKIEMEEMQKMSKEEYLAVLRRNSSGFSRGVSKFRGVAR >cds-PLY62533.1 pep primary_assembly:Lsat_Salinas_v7:5:295319547:295321900:1 gene:gene-LSAT_5X156820 transcript:rna-gnl|WGS:NBSK|LSAT_5X156820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYLVRENLFIGNINAAAEVLLNGSEGITHILSVLSSASISFFSEWKSSFSVPTKEIRTVYMGDSDSNENSEGDVSDKTALSSKKILYVLENAGKDLNLVRMAVPLRDMESENLLDSLEVCLDFIDESRKKGSVLVHCFAGVSRSAAIITAYLMRTERLSLEDALESLRQSNESVCPNDGFLEQLSMFEDMGFKVDHSTTIYKRFHLKVLGDSYNRGEKIDTTKFAADPGLSKKEVTIGTGTPSQKEVIPIPIPTPTPASAFRCKKCRRVVALEENVVSHVPGEGESSFEWHRRRSDNYFNKYDELECTSIFVEPLRWMKTVEEGALEGKLWCSHCEGRLGYFNWSGIQCSCGSWITPAFQLHRSRVDISTL >cds-PLY86407.1 pep primary_assembly:Lsat_Salinas_v7:2:148255972:148259145:-1 gene:gene-LSAT_2X73501 transcript:rna-gnl|WGS:NBSK|LSAT_2X73501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSGDDVVAEGIESSKAEGGDAVTVNVRCSNGTKFSVQVSLESSVESFKSVLQQSCEIPAEQQRLIYKGRILKDDQTLKSYGLEAEHTVHLVRGFVSATSTPAGAPPTTTRANTTPPVAGEAGSVEGRGIGLGASPFPGLGLGGLGGNGGMFGGGLPELEQMQQQLTQNPNMMREIMDLPLVQNLLNNPDVMHNMMMNNPQMREIIDRNPELGHMLNDPAILRQTMEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNGTTMGGGGGGGGDTTNDLNSNPFAALLGAQGGQNRGQVANPTSSTTTGGDTTGSPAPNTNPLPNPWAAGGGGNQTNPGGIGRSPPPVGGLGGLGVPGLEGLFGGGGGGGGITPDPNSMSQLMQNPAVSQMMQSLLSNPQYMNQILGMNPQMRSMLESNPQLREMMQNPDFIRQLTSPETMQQMMTLQQSLFSGRQPPGTRETGQTGVATGGPDNMGLDMLMNMFGGLGTGGFTMPNNSNVPPEELYATQLAQLQEMGFFDTRENLQALTATAGNVHAAVERLLGNLG >cds-PLY93485.1 pep primary_assembly:Lsat_Salinas_v7:9:60446764:60447799:-1 gene:gene-LSAT_9X53460 transcript:rna-gnl|WGS:NBSK|LSAT_9X53460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKLVFEEDVLPDGIALSYFACGEKLLEGYKKGAGIFCYCCNTEVLGGANSIVNLSLVQITVEKVVLEQSNSRYELQGEYVLPGSRAGKETGKGNLLRRAMAGHLGSVISSMGRWRMRLEVLRAEIDEMLPLNRLVSRSTDPTLQFRSKVILIHSFIY >cds-PLY92781.1 pep primary_assembly:Lsat_Salinas_v7:2:149747004:149748619:1 gene:gene-LSAT_2X75700 transcript:rna-gnl|WGS:NBSK|LSAT_2X75700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSPKEKMSKTSKQKRRNHGSNKYLKPGALAQLRNSKASASKSSTDISKNSVDVMDEEKTHRAPLFQSKHANDTPIILSPEKFRYNVAGPVDLLKENNLQRTPKTPRPEGNASDYDSRLESLPMELLVKILCHLHHDQLRAVFHVSERVRKAVVIARQFHFNYTTPDRSRQEMLCTSTPLPTEHWPFVSKGDGKGKVLTLPNTPKAPRHGPRPPSRLKLTEMRQIAAVLFQESAFPPPRYIVPSLLPKPICKSFASNRVLFYDEELELCQAVAQNKLR >cds-PLY92715.1 pep primary_assembly:Lsat_Salinas_v7:7:4747995:4753892:-1 gene:gene-LSAT_7X4200 transcript:rna-gnl|WGS:NBSK|LSAT_7X4200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALAKLVLKRRNFFISREILIGAKFYTSHPLPESSFAQRIRDLPKDLPGTNIKKDASQIIGKTPLVYLNKVTEGCGAYIAVKQEHFQPSASIKDRPALSMMLDAEEKGLITPGKTVLIEPTSGNMGISMAFMAALKGYKMVLTMPSYTSLERRVTMLAYGADLVLTDPTKGMGGTVKKAYDLLEKTPDAFMLQQFSNPANTKVHFETTGPEIWDDTNGKVDIFVMGIGSGGTVSGVGQYLKSKNPDVKIYGLEPEESNVLNGGKPGPHQITGNGVGFKPDILDMDVMEEVLMVSSEDAINMARELALKEGLMVGISSGANTVAALRLAQRPENKGKLIVTIHASFGERYLSSILFEKLRKEAEEMQPVSVD >cds-PLY77234.1 pep primary_assembly:Lsat_Salinas_v7:4:178435944:178436273:-1 gene:gene-LSAT_4X106420 transcript:rna-gnl|WGS:NBSK|LSAT_4X106420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRLKAGAEAIVVFFLLPRPFAAMLLSLLPPLLRYWIATSFLYFFLLALLRSTLVSAHPPHPFFSLVHCCNAAFSHCCGREGYRCRHHAPPSGGCVHISKQVRTGVVAV >cds-PLY81589.1 pep primary_assembly:Lsat_Salinas_v7:2:17782830:17792867:1 gene:gene-LSAT_2X9240 transcript:rna-gnl|WGS:NBSK|LSAT_2X9240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPVTFMKLTYSSLWLTIIFFSLTFFGDHGGARVTLPQNVVVPAVIAFGDSIVDQGANNNLNTLIKANFSPYGKDFVGGKPTGRFTNNRTPADMIAEELGVKEILPAFDDLSLNDKELLSGLSFASGGSGYDPETPKLVGVLSMADQLNNFKGYIEKLKGIVGEERTRFILANSLFIVVASSNDLANTYFTLGVRKLHYDISSYTDLMVSSASNFIQDIYNLGSRRIAVFGAPPLGCLPAQRTLNGGDLRVCAEDQNNAAQLYNNKLQPEVEYLNRTHLESRIVYIDIYNPLLDIMQHPGQYGLEVVDKGCCGTGNLEAAILCNRFLPTCVDPSKYLFWDSYHPTDKGYNILMNQVVGKYVNDFF >cds-PLY82216.1 pep primary_assembly:Lsat_Salinas_v7:1:66811385:66814074:-1 gene:gene-LSAT_1X58260 transcript:rna-gnl|WGS:NBSK|LSAT_1X58260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLDSDIEIPCFFICPISLNIMKDPVTLSTGITYDHDSIEKWLFSQKNDVLSDDDDFVSTEADEAVSILYHLQLSPTGLKSLYGKTGDFVETLTRVMERAASFKSRAYAVMLLKAMLDVAEPMQVTSLNPRFFMVLVQILVDEISRKATKATLKLLISVCPWGRNRIKTAEAGAVPVLIDILLDCTEKRVSEMIIVVLDQLCQCAERRSELLKHGGGLAVVSKKIFRVSSVASERAVSILHSVAKFSGNRSVLREMLQLGVVGKLCLVLQVDCGRKTKESASEILKMHSRVWKNSFCIPYNLSVSYPS >cds-PLY65080.1 pep primary_assembly:Lsat_Salinas_v7:6:102360818:102364359:-1 gene:gene-LSAT_6X67720 transcript:rna-gnl|WGS:NBSK|LSAT_6X67720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPVCSTIANCFSNSQVCLYGGCRHFSLSRKAFTVKCIIENDAKVHVQGLQQLNSLRFADQFIGKDRSLPRAFNLRNTVIATNIPDDPASASMKPDIYSEYLSKTDSEDVFAGINESLSALVCNTDTAISNTLKTITSSVEAASKGVNGAIDGSVNNLKLSVSSALSGLSNNSKGVSSKAGGIAVDGLRTVIVTVEELVSEGAMFLVYAYASAKDMLPPELQNVLNSSEDKAYGVLKPIGTTFQQVYVSIEGFERIIGIDPSDPIVPFVLLLGTSTTLWASYWILTYAGYAGDLSPKLAFELLKGKESVALIDVRPEARDLWLKYYIIHVKDFRERDGIPDLRRTARFRYASVEYPEVDTGVKKLLKSGKDLDDALVAAVIRNLKVVQRPYLVQGGFRSWVQEGLRVKDPKPETTLTILNEEAEAILEGITPFQVIGYGMGFLATAFALLEWEKTLQFIAVAGIGQTIYRRIASYEDSNDFKRDVGQLVVPVKLGGQAISWAAAKLESNRNGLPTSPSSVNVQNRVLQAAAKHESQPPEQPQDSTPNPDLSEA >cds-PLY96539.1 pep primary_assembly:Lsat_Salinas_v7:5:337017082:337020256:-1 gene:gene-LSAT_5X188861 transcript:rna-gnl|WGS:NBSK|LSAT_5X188861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGCDRHRPLPYPNILLNDNCRHFLPTCNMSHDVSSIAASYGLMTSDPETDFVHHIALFAALLCACIVIGHLLEESRWMNESITALAIGLGTGFVILLTSGGKSSHILEFKQEFFFIYLLPPIIFNAGFQVKKKQFFQNFTTITSFGAIGTLISFAVISFGATRLFPKLGIDYLDTKDYLALGAIFSATDSVCTLQVLNQEETPLLYSLVFGEGVVNDATSVVLFNAITKFDLSDMNSVIALKFAGNFLSLFIFSTFLGVSVGLLCSFTIRTLYFGRNSTDREVSLMILMAYLSYVLAEVFGLSGILTVFFCGIVMSHYAWHNVTIKSQVTTKHTFATMSFISEIFIFMYVGMDALDVEKWKFVNDSPRKSFGASGVLLGLIMVGRACFVFPISLISNFTTKSNSNKIEFKQQVTVWWSGLMRGAVSVALAYKKFTGSDEQTMQPENALLITTTIIVVLFSTVVFGLLTKPIVKFLFPGSSSHVDESQAWGPKSIMMPLMGSGDRNVVVVEEEETGGGEDETSNLKRLFSNPTNTIHYYWRKFDDAFMRPLFGGRGFVSYVTGTREVALV >cds-PLY89406.1 pep primary_assembly:Lsat_Salinas_v7:4:122724862:122726129:1 gene:gene-LSAT_4X77480 transcript:rna-gnl|WGS:NBSK|LSAT_4X77480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METHPSLLLLLVLSCFATASYAHNVHLSALNQSLIVSTSTTPGEVLKAGVDQVNISWSYNQSFPAGTDTNYTTVEVKLCYAPVSQAGRDDRKTDDALDNDKTCPFDITEAPYKRSNNSFVWTVPQDTPTATYFVRIFAIYNKHEKGYGQSTDALKTTSLFQVDGIVSEEKEVSAALPAFGGSFGYGYAWLLMLFLVYI >cds-PLY99160.1 pep primary_assembly:Lsat_Salinas_v7:8:113853071:113856441:-1 gene:gene-LSAT_8X75300 transcript:rna-gnl|WGS:NBSK|LSAT_8X75300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFSDFEITLQSFFYREKRKDFSKLEVQEQESFHEKALLFLQLNYQEKSNIFFAFKWFSYLDGIGEDDTALTKATESGDTDLVYLVLFHILQKDVAYLLWNESWELAKNSMATRGSPLHTPLIKLIEKGQNLFVEVYLTSTFSS >cds-PLY73302.1 pep primary_assembly:Lsat_Salinas_v7:MU043501.1:252883:259953:-1 gene:gene-LSAT_0X13100 transcript:rna-gnl|WGS:NBSK|LSAT_0X13100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVHDHRLLFSTASLKSIYLEASSSVGSVTDDVPMGRSSVNSTNGFQDDPQERWHMEALT >cds-PLY68808.1 pep primary_assembly:Lsat_Salinas_v7:3:62800790:62801628:-1 gene:gene-LSAT_3X49580 transcript:rna-gnl|WGS:NBSK|LSAT_3X49580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVSNFLSSTWVREDADSSCSSVSADKKIRLFGFELDPLKNGSMLSKEGSLSTTISCEKEKSPMANSKETKKFECQYCFKGFVTSQALGGHQNAHKRERMKKKRLLLQATKANISSYLQPYDQIIDNHGININFHGYKDRAFNEPNISFGLYDDDLLSFTDTNSFGYMHARRSRRMLSSLPDDSKQSCKSLDLQLALSSYASATL >cds-PLY95583.1 pep primary_assembly:Lsat_Salinas_v7:6:138177147:138184599:1 gene:gene-LSAT_6X83361 transcript:rna-gnl|WGS:NBSK|LSAT_6X83361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDVTRWSVTYTKHIKQKRKVYQDGFLDVQSSSHKVKLYDDCDKLLDSKIVKLDDAVKSGETITLGAYLVDIGDPHGDSKPFPNLILQRDKSTADKAHMSNSKTSKVSSRIGKTSSINLSPSQKIIREFKKREVKKYCSPPSCPDTSKDDSTEWQVLYTTQLTQKAKKFHDGILKVLISGLRGRQAILYDETRTQLDSRFLKKEETITAGESMKFDGHIVDIIELRDHKPFKDTNVDGRNFYKQNTMPSKNHNEHLAEFKKHETNMSFTKTDLKEWNVMYTTQVTQKAKKFHDGILKLASCGSQGREEATLLSEDGTILSHRYLKLSEDINSGSLFNMSNYLVEIGEQRRCSEGESSRKASTLENEDVENIKLSKRIPANRPLSDEKTPKRALQSEDTDSKARIFEPDEAVSTTIINNKPLRDEFKKREVKKYCSPPSYPDTSKDDSTNSRFLKKEETVTTGESMKFDGHIVGIIELRDHKPLKDTNVDGRNCYKQNIMPSKNHNEHLAAERELQPWFSKEDC >cds-PLY70517.1 pep primary_assembly:Lsat_Salinas_v7:1:72035455:72037632:-1 gene:gene-LSAT_1X61880 transcript:rna-gnl|WGS:NBSK|LSAT_1X61880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSARVDLDGNTINPFTICMIGAGGFIGSHLCEKLLTETPHTVLAVDVYNDKIKHLLEGPDSLPWAGRIQFHRLNIKNDSRLEGLIKCSDLTVNLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSYLPQDSPLRQDPAYYILKEDTSPCIFGPIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWMGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFVYIKDAIEAVLLMIENPARANGHIFNVGNPNNEVTVRQLAEMMTKVYSKVSGEDSIETPTVDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWDPKTSLWDLLESTLTYQHRTYAEAVKQSVAKAVAS >cds-PLY62761.1 pep primary_assembly:Lsat_Salinas_v7:5:308997307:308998991:-1 gene:gene-LSAT_5X167421 transcript:rna-gnl|WGS:NBSK|LSAT_5X167421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVIPKSTRTTTELHHMSNKWKQKHHHTADQRSPERTKVWIEPPPSHNRLRKVPVVYYLTRNGHLEHPHFVEVPISSSEGLYLRDVIDRLNSLRGKGMAALYSWSSKRSYKNGFVWHDLSENDFIYPAHGQEYILKGSELFDAPGGGAQFSSKSDENVSSISKRSSSSGTLKSIDEFDFSVDRSRRNQSWSAIDLHEYKVYTGESSAGRVAADASTQTDESLRRRRAMISELEEEDKPNESYQDNASSELSRNEISPPPSDSSPETLESLMKSDDKLIVRSETESEMISTINPTAGNKTKAPSVLMQLISCGSISFRDCGPGKRNPSFSLGSQYKACMLPRGEEVNDQVEEGAGETTSENAVVPERKNKGSKKMMEDKEYFSGSLIETKKDEFPALKRSNSYNADG >cds-PLY73361.1 pep primary_assembly:Lsat_Salinas_v7:7:63949548:63950834:1 gene:gene-LSAT_7X46281 transcript:rna-gnl|WGS:NBSK|LSAT_7X46281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKRFGFVRFSGNVNIDQRIKNLCELWFGYLKMFASVPRNHRKESNVYKEPTKVEKKRENLPISYANAVIGGKNQEPPADRQESAIVLESGNFVIDDKKLACLAKARDFSSLRNPGMLCHDEGFDEFIIHYVGGLWVMFEFKSKEACKNFLNNAAVNHWVTEKRKWDKNFVPSDRIVWVDVEVLPLRAWSKNSFRHILAKWGSIAHLDDNIGEDVYKSRICILTSFLEIISEVIKVSIDGEIFPIHIKEAPRWNPTFVCEFNKNFDNDSVDEFHRFEQDHEGSNGSLSDKEDVSLNPFGIFDVMKKLYNEEELQKLTKLHKVSSQGQYQNSIPINEDSVFQEPSHGASPGTIHVSQQNPSYHDQELPCPQNEVRPTTSQDAPVAAKIATTTNVAAKNATTKAGDVPDSISIASNPFFSSNLRKIYEDN >cds-PLY88415.1 pep primary_assembly:Lsat_Salinas_v7:8:86587143:86591205:-1 gene:gene-LSAT_8X60021 transcript:rna-gnl|WGS:NBSK|LSAT_8X60021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM5 [Source:Projected from Arabidopsis thaliana (AT2G07690) UniProtKB/Swiss-Prot;Acc:O80786] MSGWDEGAVHYSDQAQFPHGAGADPEQAANRHTVLRKFKEFIRNFARPNEPNVFPYRESLVQNPKFLLVNLTDLLTYDKDQDLRDLLRKNPSDYLPLFETAAAEVNANLKARVAGETGEMEEPQTGEVQILLKSDEDPVSMRALGAQYISKLVKISGITIAASRTKAKATYVTLLCKNCKNVKVVPCRPGLGGAIVPRSCDHVAQMGETPCPVDPWIVVPDRSKYVDQQTLKLQENPEDVPTGELPRNMLLSVDRQLVQTIVPGTRLTIMGIYSIFQASKTSTSHKGAVAIRQPYIRVVGIEETNEATRGPANFTQEEVEEFKRFAAEGNVYENICSKIAPSIFGHVDVKKAVACLLFGGSRKTLPDGVRLRGDINVLLLGDPSTAKSQFLKFVEKTAPIAVYTSGKGSSAAGLTASVIRDNSTREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDIKMFSQDKKIATHIIQVHTSANAAGEPRDTKDDNWLKRYIQYCRSMSQPRLSDSAATSLQQTYVEIRQKMRKQANETGEATAVPITVRQLEAIVRLSESLAKMRLSNVANDIDVVEAVRLFENSTMDAAKSGINQHINLTPEMANEIKQAESQIKRRMGIGSHLSERRLIDDLTRMGLNESVVRRALIIMHQREEVEYKRERRVIYRKA >cds-PLY82393.1 pep primary_assembly:Lsat_Salinas_v7:4:337390746:337396316:1 gene:gene-LSAT_4X166021 transcript:rna-gnl|WGS:NBSK|LSAT_4X166021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNILPDWLKTLYVVFAFCSALFFGALKSVLVGPIAALILITGNVGVILALFPTHVAWTVYTLLKTNRFDAPLKVAFLFGLPVLFGLWLGLSIGGSVLVGLGYGFFAPWISSFEAFRHEDESNKFLHSIVDGTWGTIKGSCTVVRDFADLCYHSYPLYLKELRESPSDSELRTLRFIHVPACIIVGLLGIVIDVPLYTAIAVVKSPYMLFKGWQRFIHDLISREGPFLETACIPIAGLTILVWPIVVIGSVILAIVSSIFIGLYGSAVVYQERSFKRGVAYVIAMVAEFDEYTNDWLYLREGSVFPKPKYRKKRVLPHSTEVSISVGGSRSSDGKQNGGSMQAPPIMTMPTLSSSRSVREAIREVKMVQVWENLMRVCEMSGKELLDANVISPNELYDWLNPKKGNPCPIIGTGLPCYAFFQNLLRSIKHGSEGLVLLDGLEITHLNRPQDRVLDWFFHPIMVLKEQIRVLQLTEGEIRYLEKIILFGTDPKRMESWDNGSFEPEDAVRVAQIQGISRRLIGLTRGTSKLPTYRRKYRNVIKNLIVYCLARDGSTRSMSMRSVASV >cds-PLY72671.1 pep primary_assembly:Lsat_Salinas_v7:6:28167615:28170131:1 gene:gene-LSAT_6X22541 transcript:rna-gnl|WGS:NBSK|LSAT_6X22541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLMIFFLSFCYISFDLKSASANTFDVTSYGAKGDGNTDDSEAFIQAWADLCGDSSSDPTLIIPSGMTFLISQVTFMGPCQFPTVNIKALHFIKCDGLRLSGTTHINSPMLHISIVGCNDVDVGNLQILAPEYSPNTDGINIGASSHVNIHDSIIQTGDDCVAINGGIVDLNVTGVYCGPGHGISIGSLGENGGYDTVEQVRVEHCNISGTTNGLRIKTTPNGNGYARGILFQDIYLENVRNPIIIDQHYCSSSSENVDCSAPPSTPAVQVSDVTYMNIYGSSATQQAITFDCSGCTGIVTNQVEITGDVAFAYCRNAEGNFVDTTPNIICN >cds-PLY89615.1 pep primary_assembly:Lsat_Salinas_v7:9:39095820:39104566:-1 gene:gene-LSAT_9X36021 transcript:rna-gnl|WGS:NBSK|LSAT_9X36021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHWVRALVVIGFIFPLLIEGRVRHYNFNVVVKKASRLCSTKPIVTVNGQFPGPTLYAREGDTVLVRVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQPGQNYVYNFTITGQRGTLFWHAHILWLRATVHGAIVILPKLGVPYPFPKPAVEQVVILGEWWKSDTEAVINEALKSGLAPNISDAHTINGHPGPISGCQTQGGFQISVENGKSYMLRIINAALNEELFFKIAGHKLTVVEVDATYVKPFQTDTIVVAPGQTTNVIVTASKSAGKFMMAASPFMDSPIAVDNKTPLATLLYTGTLSTTSTTLAIPPPPNATATANNFVDSLRSLNSKKYPAKVPLKIDHSLYFTVGLGINPCPTCKAGNGSRVVASINNVTFVMPTTALLQAHYFNKNGVFTTDFPGNPPVSFNYTGASPANLATSSGTKLYRLKYNSTVELVLQDTGIIAAENHPVHLHGFNFFAVGKGLGNYNPKVDPNKFNLVDPVERNTIGVPSGGWVAIRFRADNPEARIWEKESKLTVAASTLKDDVNHGSTTGGRNNIPQKPLALGGFVGKVNDVASNSDTPLHSCRGSRVQIPYPEGVGETPSLAGTPRRMFKWLFPPPSPAKAIMSAIRKRQETKKENPTAIQDDRKG >cds-PLY76801.1 pep primary_assembly:Lsat_Salinas_v7:MU042712.1:1889972:1890309:1 gene:gene-LSAT_0X3220 transcript:rna-gnl|WGS:NBSK|LSAT_0X3220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNIISASKEWWDEKINKDKEYAKFKDNNLEVYQTYYKALFRDIIAVRDKAKVPCEFGDNSTPDDVQFVDIMDGKEATDEVLLFDG >cds-PLY98291.1 pep primary_assembly:Lsat_Salinas_v7:7:171953728:171954099:-1 gene:gene-LSAT_7X102441 transcript:rna-gnl|WGS:NBSK|LSAT_7X102441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARLTIFTLLIIGMLCCSPSLEARKLLNEKKGSVMEGNVRQSALVEGPTPPSTGLSEKLFALHLAHLDRILQSVPSPGAGH >cds-PLY76810.1 pep primary_assembly:Lsat_Salinas_v7:MU042712.1:1318573:1319648:1 gene:gene-LSAT_0X2760 transcript:rna-gnl|WGS:NBSK|LSAT_0X2760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFISILKPGALKPKTEEMKEQKRRCTYNEREDIRGRTQSRTLSICCLLGFEAEILLEKPIRVTSTNRLAPSGSYVELIYTPKNIMF >cds-PLY96683.1 pep primary_assembly:Lsat_Salinas_v7:7:46839184:46841130:-1 gene:gene-LSAT_7X36161 transcript:rna-gnl|WGS:NBSK|LSAT_7X36161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMPNPMMSSSFPPTNITTDQIQKFLDENKQLIIAIMNNQNLGKLAECAQYQALLQKNLMYLAAIADAQPPTPTPTPNISSQMGPVPHPGMPQQGGFYMAQQHPQAAVMAAQPPSGFPQPMPGMQFNSPQAIQGQMGGRSGGPPSSAASDVWRGSMQDGGGGAAAADGGKDGHAGGGPEEAK >cds-PLY84298.1 pep primary_assembly:Lsat_Salinas_v7:6:5797563:5798288:1 gene:gene-LSAT_6X2461 transcript:rna-gnl|WGS:NBSK|LSAT_6X2461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVQIALDIARGLEYTHEHTKPHYVHRDIKSSNILLDAFKVKISDFGLAKLVGITNDGEASARVVGTFGYLAQGYLRDGRATTKSDVYAFGVVLFELISLKEAITRTVAVTKNSERRSLASIVSRI >cds-PLY66048.1 pep primary_assembly:Lsat_Salinas_v7:6:49875690:49876740:-1 gene:gene-LSAT_6X37181 transcript:rna-gnl|WGS:NBSK|LSAT_6X37181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDQTLPFFTQFFQFKASYDDLPAFTFFLIANAITASYLVLTIPISIVCILRPNATKPRVILMFFDTVMVALTTSAAGGAASIVYLAHNGNSDANWPAICQQFNDFCQKISGAVVASFLSVVILMALVVLSAFSL >cds-PLY74051.1 pep primary_assembly:Lsat_Salinas_v7:5:91496557:91503331:-1 gene:gene-LSAT_5X41821 transcript:rna-gnl|WGS:NBSK|LSAT_5X41821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCLGVSCRTPEARSHQRWKLHRIRRLRRRGGVAGNIRLEADVRPDFNDLQECYLQKAETLGKTSTYTGRKEGRNVKGKDYHDGLKEFQSMLSAFT >cds-PLY73105.1 pep primary_assembly:Lsat_Salinas_v7:9:22479982:22482727:-1 gene:gene-LSAT_9X20520 transcript:rna-gnl|WGS:NBSK|LSAT_9X20520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTVFGGRASSLKMIEDKHAFPTTSHKIAHVPQIRCLANSHNINQFNNKDQFLNLHPEVSMLRGEGNNTVIKDSSLGGNVTEILRDSTSPGNYNEAKIKVIGVGGGGSNAVNRMIESEMKGVEFWIVNTDVQAMRMSPVFPEQRLQIGEELTRGLGAGGNPDVGMNAAKESRESIEEAVYGSDMVFVTAGMGGGTGTGGAPVIAGVAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIASLRENVDTLIVIPNDKLLTAVSPSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPSANLIFGAVIDPSISGQVSITLIATGFKRQEESDGRTLQQHGGQADVAGMNRRSPAFTEGGSVEIPEFLRKKGRSRYPRA >cds-PLY88441.1 pep primary_assembly:Lsat_Salinas_v7:8:86271767:86276787:-1 gene:gene-LSAT_8X61160 transcript:rna-gnl|WGS:NBSK|LSAT_8X61160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVEEIRGGVLGNEIDRFPIGMRVLAVDDDPTCLKLLDGLLRKCQYQVTTTNQAITALKMLRENRNRFDLIISDVYMPDMDGFKLLELVGLEMDLPVIMLSGNSDPKLVMKGITHGACDYLVKPVRLEELRNIWQHVIRRKVESKSQSKSKSNNDHDKSDQGTENGDQNVKSNRKRGIEDEDVEENGHESDDPSAPKKPRVVWSIDLHRKFVAAVNQLGIEKAVPKRILDLMNVDGITRENVASHLQKYRLYLKRISHQANMVVAFGGSKDASSYIRMNSLDGLGDFRTLSSTGRLPGGTYAPSGMLGRLNSATGVTLHSLTGPPMVQPNHTQNKLQPVVSAMPPNHQNLNFFQGIPTSFNLEHQQYSNKPNTQLADFGSVEESRIFTGSSTFTDPSAVLGSQSVLKLSSTGPESLNLLSNSSTFLDNQSTNFSSNQLPIENYSYPVTVNGGFASNITTTASVPLEPSGGGGGLVGDIIRNANQSLSNQNQNHIQKSGLYSGSKQDYIQYSHNAFSTLASSALATGGGGGGGGLGGLVSHSRSNGGGGGGSTLTQTEKLSMGSNEDFPFDQTKLQGGFVANNGYGSLDDLMTGMMKREQESTIIMDGEFGYDDYGFGPNDDSS >cds-PLY96721.1 pep primary_assembly:Lsat_Salinas_v7:6:76491336:76492839:-1 gene:gene-LSAT_6X56440 transcript:rna-gnl|WGS:NBSK|LSAT_6X56440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSKSVTKRVRDAEAELNLPPGFRFHPTDEELIVHYLCRKSQTTAEIVGVAPPPSIIAEVDLYKHDPWELPEMALFGTKEWYFFTPRDRKYPNGSRPNRVTGNGYWKATGADKPIRTKSDPNTVVGIKKALVFYAGKGVKGIKTNWIMHEYRLDMPNSTSSKHTNSTISKLDDWVLCRLYNKKNNPNERIIPEDNNVHHTRDLHPASPLEESQSNNSDSVNSFENLDGEFEGDDVMFLSDLPPESLTKVNGTSEQITVENLMQRDDDDDGNEWLDNLSLEDLYHCLEELPPNHDI >cds-PLY62144.1 pep primary_assembly:Lsat_Salinas_v7:1:211391345:211391650:-1 gene:gene-LSAT_0X23020 transcript:rna-gnl|WGS:NBSK|LSAT_0X23020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSADGVERNSGQHHQLTAAMDNPSSHSTSHRRFSSSFATETTTTDGSGDWDISSTSPCRQFGAATSLDAPALLGFSTRLKQRRRQQQDGTMVAGLGDANSR >cds-PLY94132.1 pep primary_assembly:Lsat_Salinas_v7:5:34646270:34646491:1 gene:gene-LSAT_5X14561 transcript:rna-gnl|WGS:NBSK|LSAT_5X14561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADSHRHLSLLVADAVVLVAVVFQPRLAIAVYAALMSLRLFLSVPRDGHACISQGYECVAVSSSSEVWSFGLA >cds-PLY87219.1 pep primary_assembly:Lsat_Salinas_v7:4:308396583:308396795:1 gene:gene-LSAT_4X155281 transcript:rna-gnl|WGS:NBSK|LSAT_4X155281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVVNAILKPVAETLMEPVKKHLGYIISSTKHVRDMSNKMRELNATRHAEEDHLDRNIRTRLEISDQVRS >cds-PLY84915.1 pep primary_assembly:Lsat_Salinas_v7:6:15544778:15546775:1 gene:gene-LSAT_6X11620 transcript:rna-gnl|WGS:NBSK|LSAT_6X11620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEMNLSVNVNGQSKVPPGFRFHPTEEELLHYYLRKKVAYEKIDLDVIRDVDLNKLEPWDIQEKCKIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIYSSFTRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDNTNITTQDACGSNMCDSAQEDGWVVCRVFKKKNYHKALETPQRSLSASMDSRTQLQSLSKDGVLDQLLVYMGSNRSCKEEILDSLTANQNAMQQLVSPINNRYYHLPRLDSPTMTLSPHHSSASASFDQGLSFKPLLNDFLTEAEQQRNTTANIVDVHDSRERLDNWADLERLVANQLNGQVDCSKQLYSCYSEPNHEDFCFSLDQEDQEPQQVCDSTTMVRQNHASYSSEIDLWSFARSSSSSSSPDPLCHLSV >cds-PLY73692.1 pep primary_assembly:Lsat_Salinas_v7:5:203119326:203119628:1 gene:gene-LSAT_5X93961 transcript:rna-gnl|WGS:NBSK|LSAT_5X93961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYLLWAIKVKLIMDAHDIWETVEPRVFDGRISQKRVDRQWYGCQDKEGNGQTTQCAHYGSGSSNHVALDVAEEEVVDPQKVKMVMNVSDRMPSYMLHVL >cds-PLY97171.1 pep primary_assembly:Lsat_Salinas_v7:2:206184884:206185237:1 gene:gene-LSAT_2X127661 transcript:rna-gnl|WGS:NBSK|LSAT_2X127661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARMAPFVSFLDVGHRFNGGPHKSTFPENEESWNFRRENGIEPLSLLWLRFRAWSLVQWMKSGTGPKKLLNWSLRVVRRVRSFSVSGMFPVKELLERSNAIMLGETLVGTVPEKLLC >cds-PLY68035.1 pep primary_assembly:Lsat_Salinas_v7:5:292528977:292530322:1 gene:gene-LSAT_5X154181 transcript:rna-gnl|WGS:NBSK|LSAT_5X154181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEKSTRKGKKGPELKSPYVKRIVDVGDRIQSEDQIVATTLIAKDMDESYHVWKTNEGYGINLGIARSLVFKTKIAANNKGMLDETKHEYLRYTDFEEMNARAIEDTDENIDLKKTDIIIFPIVDGDKYYMDDFMVEYKKLANMEKFTRIKLVDQKLKGFCKDWKGGVSLI >cds-PLY72895.1 pep primary_assembly:Lsat_Salinas_v7:6:165472978:165473318:1 gene:gene-LSAT_6X100621 transcript:rna-gnl|WGS:NBSK|LSAT_6X100621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKHVEVIIDRNRRWDRSRGLMPQPSYLTCVEASKVEVDLCRMWGIQVLTVFAFSSNNWLRPKVEVDFLMRLLENTLKDEVASMSR >cds-PLY83256.1 pep primary_assembly:Lsat_Salinas_v7:4:146845949:146846419:1 gene:gene-LSAT_4X90761 transcript:rna-gnl|WGS:NBSK|LSAT_4X90761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQVSMPMKTEMTVAFIGGTSTLVVAFLFLSQRQPTPSRWSAAILRLLNRSNKSMVIAVEKEGAVVFDCLVCLCEVSQEEEYRKLPNCNHGVQFHAHCIDAWLRNHSSCPMCRSNIPRSLSQSIKACVQHLLEEVITYSNSALDNVASSIGDCRDF >cds-PLY91493.1 pep primary_assembly:Lsat_Salinas_v7:7:141760768:141762080:1 gene:gene-LSAT_7X84800 transcript:rna-gnl|WGS:NBSK|LSAT_7X84800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKQFAANDKRHLKIVFDINTNMPIGEDVDVNAFLQNPTFVTTIGDIIRSFKNQFNNEENNDEEDEDT >cds-PLY77288.1 pep primary_assembly:Lsat_Salinas_v7:3:213433582:213434577:1 gene:gene-LSAT_3X125721 transcript:rna-gnl|WGS:NBSK|LSAT_3X125721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWERDVVNRSIDQERRQHQGVQTELISGDQNRNIRVWDLTANSCSCELVDTSMRSLTVMWDGSLVVAVNNKGTCYVWRLLRGTRGTNDGYGIGCFL >cds-PLY91571.1 pep primary_assembly:Lsat_Salinas_v7:1:11804870:11806904:-1 gene:gene-LSAT_5X101481 transcript:rna-gnl|WGS:NBSK|LSAT_5X101481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKDEGCCYFHPDEVFVGVCPLCLYERLIVVATKRCRRLHLYRSSSCKSSKPRIMFAIDSLIHRKTKKQLHNHDASPTHDDSFISIRFDDNNGMGAWEKGKLECNDNQKSVVEHAKPQWPSMRWRKRIGHVFQLITWKKSNRHVVKGNQWIRNITKRKASD >cds-PLY90269.1 pep primary_assembly:Lsat_Salinas_v7:4:183869543:183870205:-1 gene:gene-LSAT_4X107401 transcript:rna-gnl|WGS:NBSK|LSAT_4X107401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDADSHAWSMRKSKANWFRIVGVLAWAVGLAKWLDNIRRWRNPVTTVLVHLLYLVLVWYPDLIVPTGFLYVCLIGIWYYRFRPKSPAGMDIRLSQAETVDPDDLDEEFDSFPSSRPPELIRARYDRLRMLAARVQTVLGDFATQGERVQALVSWRDPRATKLFIGICLMITVVLYVVPPKMAAVALGFYFLRHPMFREPMPPASLNFFRRLPSLSDRLM >cds-PLY94054.1 pep primary_assembly:Lsat_Salinas_v7:4:171528668:171528922:1 gene:gene-LSAT_4X101340 transcript:rna-gnl|WGS:NBSK|LSAT_4X101340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METIDPLIQAVNFPRPTSPPIQAVTVFPMSTPLQPTAVVQGESSPYFQTTVLSQLSLLVQMSQSLRERLKKVESNVADIKHIVL >cds-PLY88265.1 pep primary_assembly:Lsat_Salinas_v7:8:153120118:153121527:1 gene:gene-LSAT_8X102561 transcript:rna-gnl|WGS:NBSK|LSAT_8X102561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGRACSLLHFIYILTVYLMGGATTSKAAGYIRVGKWGKQSGGPQNEWSFAFEKDHKLVKLTIDHGELIYSLMFTTKCGGVLHNSNMFGGWNGGDTVSETHGPSGHATENVFYLPWDKGSLVGFYGLAGYYIDGIGVYLKAYEEIVRVGTWGKTQRAGPQNVWTFQLEENHHLKKITIDHGDLIYSLMFTTQCGGLTQTTEKFGGWNGGETVSEVIFERHEEIIAISGTIALSRGSDAGLTIISSISFMTNKKTHGPFGNVRGKPFTVSWNIGSFVGFYGLAGYYIDSIGVYLKEVQ >cds-PLY80836.1 pep primary_assembly:Lsat_Salinas_v7:4:284010328:284014127:1 gene:gene-LSAT_4X144421 transcript:rna-gnl|WGS:NBSK|LSAT_4X144421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLINFLKACWRPSDGLDTAGRQEGLLWFKDTGHHLNGDYSMAVVQANMLLEDQSQIESGPLSFHDSGPYGTFVGVYDGHGGPETSRYVNDNLFHNLKRITSEQNSISVDVIRKAFQSTEEGFLSIVAKQWAVKPQLASVGTCCLIGVICNGTLFIANVGDSRAVLGRTVKATGEVIAIQLSVEHNASIESIRQELHTMHPDDPQIVVLKHNVWRVKGLIQISRSIGDVYLKKAEFNREPLYAKFRLRDPIKKPILSSDPSISVHEIQPFDQFLIFASDGLWEQLTNQEAVDIVQKHPHNGSAKRLLKAALHEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFLDSNLVSKASSKGGPTVSLRGGGINLSAKSLTPLSTTS >cds-PLY96089.1 pep primary_assembly:Lsat_Salinas_v7:3:97662613:97663749:-1 gene:gene-LSAT_3X73180 transcript:rna-gnl|WGS:NBSK|LSAT_3X73180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRSILVLTSLLFFILKGVSCATFTFVNKCSYTVWPGILGNPVLDTTGFELANGNSRSFQAPAGWSGRFWGRTGCNFDSTGHGSCATADCGSGEMQCNGAGATPPATLAEFTLGSISGSATQDFYDVSLVDGYNLQMIVEVSGGSGDCRTTGCVDDLNRRCPSELRVEGGGGCRSACEAFGTPEYCCKGEFDSPSTCQPTAYSQVFKTACPRSYSYAYDDATSTFTCTGANYVITFCPSFPSGKVTKGLMRPTNGTGSDPLKEFFSNSSLYADLAAGNSIGIDHFSLKLIFILFIIYFTHISFFGM >cds-PLY76771.1 pep primary_assembly:Lsat_Salinas_v7:4:188038589:188039698:-1 gene:gene-LSAT_4X108700 transcript:rna-gnl|WGS:NBSK|LSAT_4X108700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGEFDLPLDIIDRRVTKMKIISPSNIAINFGQTLKPHEYVGMLRREVLDAYLRDRAAASGATIINGYFVEIDKPQEKNAPYVLYYNAYNGKRGSAGERMSIEVDAIIGADGANSRVAKSIEAGDYEYAIAFQERVKIPEDKMHYYENLAEVYVGKDVSPDFYGWVFPKCDHVAVGTGTVTHKPDIKKFQLATRLRAHDKIHGGKIIRVEAHPIPQHPRPRRVVERVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSENGRRMVDEGDLRSYLKKWDKTYWPTYKVLDVLQKVFYRSNPAKEAFVEMCSNEYVQKMTFDSYLYKKVAPGNPLEDMKLVVNTIGSLVRAHALNNEMVKIN >cds-PLY98135.1 pep primary_assembly:Lsat_Salinas_v7:1:140827726:140828100:-1 gene:gene-LSAT_1X102101 transcript:rna-gnl|WGS:NBSK|LSAT_1X102101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIQQRHHKQQNDTRFIPAASPMVVSGSPMSFATAIPEQSDPFSSYQGLQMAYLKDEHQFQQKQSTRFDLASSDLVLSYRQFFKSNLLGAPDLS >cds-PLY70763.1 pep primary_assembly:Lsat_Salinas_v7:8:8617592:8619744:1 gene:gene-LSAT_8X6760 transcript:rna-gnl|WGS:NBSK|LSAT_8X6760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRGVRWDEANLGEIEANKPIRQKITEPKTPYHPMMHDTDGSVSPIGGSGSFLEGDDNSNMRLNAEAIRSALNEMASSSSNSNSHSGWTSSDDEDETDAMDHDLGGKSARSFREKRKAHYDEYRKVKELQKKESVKKDDEKQSIVDGVGDINITESSNHA >cds-PLY67381.1 pep primary_assembly:Lsat_Salinas_v7:4:213155856:213156302:-1 gene:gene-LSAT_4X118401 transcript:rna-gnl|WGS:NBSK|LSAT_4X118401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLINMDDMIATSQKTIAGTAEEDVLVTNVQPSGSSAPEFGSSYFPNSVYDFIFFPFIICMIVTLFYSDFIFLHPQEASSPSSLASLVSSILSFPHPFTTTSFVNKLDLGKMTNEEGTYKARTHILDGLHWMNEVSLCSKARTVVVAI >cds-PLY75511.1 pep primary_assembly:Lsat_Salinas_v7:9:32170692:32173542:-1 gene:gene-LSAT_9X29041 transcript:rna-gnl|WGS:NBSK|LSAT_9X29041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVGFDPMLVQKERDSKPQNPKSSSLPTTIRPNRFSPSKSLDFSTWFSENLYKIVTIGLLISTVAALFFLRNVGDSAALLCFQSQTQQLETIHFPQINYNSISPIPDKTTPYSNFRSEQWIVVSVSDYPTDSFKKLLKIKGWQVLAVGNSKTPSDWNLKGAIYLSLEDQAKLGFRIVDFLPYDSYVRKNVGYLFAIQHGAKKIFDADDRGEVIDDDIGKHFDVELVGESARQEVILQYTHENPNRTVVNPYIHFGQRSVWPRGLPLENVGEIEREEYYTEVFGGKQFIQQGISNGLPDVDSVFYFTRKPNLEPFDIRFDEHAPKVAFPQGTMVPMNSFNTLHHYSAFWGLMLPVSISSMASDVLRGYWAQRLLWEIGGYVVVYPPTVHRYDRIEAYPFAEEKDLHVNVGRLIKFLVSWKSDKHRLFEKIMELSYAMAEEGFWTEKDLKFTAAWIQDLIGVGYLQPRLMTLELHRPRASIGHGDRKDFVPQKLPSVHLGVEETGTVNYEIGNLIKWRKNFGNVVLIMFCNGPVERTALEWRLLYGRIFKAVVILSENKNPQLAVEQGHLDHQYKHLPKLFDRFSSAEGFLFLQDNTVLNYWNLLQADKTKLWITDKVSKSWSTVSFNGNQDWYGKQGEMVKKVVSSMPVHFQVSYKKHMSSHDSSLTICSSEVFYVPRRLVNDFKDLVNLVGNLDIHQKVAIPMFFLAMDSTENFDSVFSTMVYKQEVPSNTSLSYYSPEAAAVHPWSVSSEQEFIKLIRIMAAGDPLLMELV >cds-PLY93347.1 pep primary_assembly:Lsat_Salinas_v7:9:62282519:62283381:1 gene:gene-LSAT_9X53960 transcript:rna-gnl|WGS:NBSK|LSAT_9X53960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIFTPFLDQLSSDNSSIESSSASDCSSSSTTTIGGVGYGDVEVMLASENPKKRAGRKKFKETRHPVYRGIRRRNSGKWICEVREPNKKSRLWLGTYATAEMAARAHDVAVLAMRGRSACLNFADSVWRLSVPESSNVKDIKKAAAEAAEAFKPRDDVVVEMVETKEVEEMVVYMDEEEIFGMPAFLASMAEGLMLPPPQTVGYGNYMDDNIEFCVDLPLWNF >cds-PLY66088.1 pep primary_assembly:Lsat_Salinas_v7:1:182466042:182467779:-1 gene:gene-LSAT_1X119220 transcript:rna-gnl|WGS:NBSK|LSAT_1X119220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIWLLELKDASPDGRGLEPKLSGQNVGGPPSYEDVVSDGHSLVDTKRTGETAKSSPQVNINHQEIPAAAVAATPPLVYATVSSFTIPRRPTVYATVSNFSTSIYALADILPPPGPSQTAFPSQNGRASSLSVEPNHTTFPSSFSTPFGQPPTFSSQGQGGQPFQPQAAQSMPHLTFPPQGVQQQQPMPQLAFQLLGGHQSMPQSASQLLGVQQWQQLPQSAFQLPCGHQSAFQSLSVQQSMPQLAALQFQGGQQCMPQSAAFQLPYMR >cds-PLY64645.1 pep primary_assembly:Lsat_Salinas_v7:6:36595100:36595291:-1 gene:gene-LSAT_6X29001 transcript:rna-gnl|WGS:NBSK|LSAT_6X29001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKISLDPNVNSFGSPILKIEKERKIYDLFWYGEHRRRCSFLPETTFNNRSPNDDFVNVDRSKE >cds-PLY85491.1 pep primary_assembly:Lsat_Salinas_v7:3:41786387:41788098:-1 gene:gene-LSAT_3X31580 transcript:rna-gnl|WGS:NBSK|LSAT_3X31580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNASRVYREVMNLRKDQSIVGMSLLPDEKNIRHLTAIIPGPVSSPYEGGMFHVDITLPDGYPFAPPVMKFTTKVWHPNISSQTGAICLDILQNNWSPALNLKTALLSLQALLSVPEPNDPQDAVVAQQVLNDHARFVATAKRWTEEFAKGSSADFNVKVQKLVEMGFPEALVKTTLQAVGGDEDMALERLAPK >cds-PLY82594.1 pep primary_assembly:Lsat_Salinas_v7:2:182845521:182850989:1 gene:gene-LSAT_2X104501 transcript:rna-gnl|WGS:NBSK|LSAT_2X104501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGNARFESPSASSSEPGFSGTYSNGKRTTHSVSGGPNLDRSGSFREGAESRLFNSGISVSRGGNNAMIASGHFPPLSQCLSLDPIPMGDRKIDRSVELRRVMGLFIGSTNEDNSSSGVSGPSNLKPSPPVAVADDLKRFRSSVADTCITARGRASKLDEHLHKLDKYCDVVISKKPQRNELVNNDQAGGLNTKIGTQIYRNPTELVNQDRPKNVLLNKRVRTSVAETREFRSNGLRRQPVAMAKERDLLKDNNGESDILEEKIQRLPAGGEGWDKKMKRKRSVGAAFTRPMDNNGEPKRSLQNKVASDPGLQSSEVHPYRLGASNGSGNTHKVDNKLEGSSNSSRARLSPRNEQEGHAVPRDLTLTGGPNKERILTKGNNKLNTRDDSYTPCASPVTKGKASRTPRNGASSSPSTPRVSGTSETWDNVTGGNKIPSTGGPGPTRKRAMPAGSSPSMTQWGSQRPQKMSRTRRANLVSPVSNQEEKQQLSSDSCSHSDISVRLASDGTNGTLVSKQFKPKPEIVQSPQRMSESEESVGGESRLKEKEKGNGDTDANANANPIVNVNVNEGQNVAPSLPVGVKVKSVINEESSEGGVRRQGRSGRGPLIARASSSVNGEKMDNSPMIKPIQRNRPGYEKNGSNKGRPLKKLSDRKGFSRLGHLQNSGKDFTVSGKSDDDREELLAAANHARNASYLACSNPFWKKMEPIFAPVSSKDRFYLSMQLKSEQDVQGNFPQFHGRENNIVVNFPNEERNGHVKHQGSESFSGRLDSEKTSKEFIPLFQRVLSALIIEENMDELEEEEENITTVQDGFCDSAYEMDDYEPRKRARREFECDTVFGVHAQSPHSVKLKSEQDVQGNFPQFHGRENNIVVNFPNEERNGHVKHQGSESFSGRLDSEKTSKEFIPLFQRVLSALIIEENMDELEEEEENITTVQDGFCDSAYEMDDYEPRKRARREFECDTVFGVHAQSPHSVKVSFSISGCSNSFRSPSINDSPCEDVHSEVELLAGISKDLQMESFNISSFDNKYEQMRVDDRLLLELQSIGLYPELVPNLDDKEDEAIKHEIDQLKMRLRQQNGKKKACLEKVCKGVGGSFVGRDLEQVAIDRLVELAHRKLLATRGASRGGIPKVPKQVALAFGRRTLARCRKFAKSGISCFNVPTLRDVLFAPQDHELEPTVSVATTTTTTTTNYIGFQNPHQDPRLSSDEAFAINGPISNRGKKKELLLDDVGTVIGGKRCDQRKTKPKPKQKAAGVPMSGNGFGRTLHPVQPSSVVNVERRDIRMVSQESKEMMPLHELDPLDELGVGSELGGAQDLSSLLNFDEEELQDQYTAGLDIPMDDLAELNMF >cds-PLY63199.1 pep primary_assembly:Lsat_Salinas_v7:6:86390986:86394782:1 gene:gene-LSAT_6X60460 transcript:rna-gnl|WGS:NBSK|LSAT_6X60460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDKCITDGKYQQAIGMAIECRRLDKLKEAITNSDNVHATLAYCMNVSHAFVNRREYRREVLLLLVKVYQDLASPDYLNICQCLMFLDQPEGVANILEKLLRSENKDDAMMAFQIAFDLIENEHQAFLLSVRDRLSSPKLKPEQPVVTETDAAQGEDTQMADETTQAPPTPSVPETDPSEVTYAERLTKMKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSIEMRNSVCHSATIYANALMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHGGHLQQGRSLMAPYLPQSGAGGGGGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRSTNVEVVQHGACLGLGLAALGTADEDIYDEIKSVLYTDSAVAGEAAGISMGLLMVGTASEKAAEMLVYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYSGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPEQTPRIVSLLSESYNPHVRYGAAMAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMIQISEASDSRVGAFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSKTKHDKITAVVGLAVFSQFWYWYPLIYFISLAFSPTALIGLNYDLKVPRFDFLSHAKPSLFEYPRPTTVPTATSAVKLPTAVLSTSARAKARASKKEAEKANADKLSAADSSGSASGKGKASAEKDADSMQVDGAGGEKEKEKKAEPEASFEILTNPARVVPAQEKFIKFLEDSRYAPVKAAASGFVLLKDLRPTEPEVLALTDAPTSTASTAAGAPATTQPGAAMVVDDEPQPPQPFEYST >cds-PLY63222.1 pep primary_assembly:Lsat_Salinas_v7:6:89714027:89716062:-1 gene:gene-LSAT_6X60620 transcript:rna-gnl|WGS:NBSK|LSAT_6X60620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-like protein [Source:Projected from Arabidopsis thaliana (AT4G17030) UniProtKB/TrEMBL;Acc:Q0WRS3] MGLTLDNCFSLMFVIFILLLPSLCFSQDYYVSSRATYYGSPDCLGTPTGACGYKAYGSTINGGEVSGVSRLFKNGTGCGACYQVRCKSPKHCSENGVKVVVTDHGEGDNTDFILSTRAYNKLALPGLAEALFSYGVVDVEYKRIPCQYSGYNLMFKVHEHSRFPDYLSLIPIYQAGVSEITAVEIWQEDCQEWVCMRRAYGAVWDMPNPPRGQPEGAINVRIQVTGSSGSKWVQLKNLIPSAWKVGAAYDTSIQLD >cds-PLY71820.1 pep primary_assembly:Lsat_Salinas_v7:3:60025113:60028719:1 gene:gene-LSAT_3X47700 transcript:rna-gnl|WGS:NBSK|LSAT_3X47700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADFQPLLNDHQQDDEELQHQQHLIAAEKKIGCRIASLDVFRGLSIFLMVLVDYAGSSLPVIAHAPWNGLHLADFVMPMFLFTAGVSLAIVYKKIPNRYEATWKAIVRAMKLFLLGVFLQGGYLHGITSLTYGVDVEKIRLLGILQRIAIGYIVAALFEIWIPRQTYKKEPFFSVYIWHWCGVILLLAIYMGLTYGLYVADWQFKDLHSLSSLISENGSTIRTVTCSVRGDLGPACNAAGMIDRYILGIDHLYQKPAYRNLKECNISKSGQVSESSPSWCYAPFEPEGILSSLTASLACIIGLQYGHILIELQGHKDRLCNWSLLSVSFLILGFILALIGIPLNKGLYTLSYLLVTSAASGLTFCALYLLVDVYRWRRTTFLFEWMGKHSLSIFILVTSNLVVIMVQGFYWKSPHNNIVSLLFSPQFLLHIVLSKSCG >cds-PLY65219.1 pep primary_assembly:Lsat_Salinas_v7:8:20427236:20427628:1 gene:gene-LSAT_8X15781 transcript:rna-gnl|WGS:NBSK|LSAT_8X15781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSASRSAGSTSGVNKSNNGPSRTCYRGVIAHLKISTSEKNPGRRYFGCRYWPDEVEYCGYFEWYDGEVSLWYKEFMFEVMAKKKKTIGQEKGNPHHGEISLMNLVRVGIGLLVILVVMVGILMWMVYKG >cds-PLY65146.1 pep primary_assembly:Lsat_Salinas_v7:9:159366850:159367840:1 gene:gene-LSAT_9X100101 transcript:rna-gnl|WGS:NBSK|LSAT_9X100101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEVIEHPSSGLPNPQTTKKQVHSMLKKNKFLSTSLPNSACSSPRGVQPSTKGKDHDQALERASTKATSLSHQHSLALSRLVWLQENHLQRSKSCGGGRPSVQYDEFDLTNIKTSTMSASADSTPRGQPTQDGDYTRIIEAKKRNEEDFKCGALCLFLPGFGKGKPVMRSRREEREETRHVISRRVSLEKFECGSWRSSAFFNDDGGSGFSSNLYFDLPLELIQTSNDATLPVSSAFLFDKDMKGVLKTKGGGERKSNDSRRHVRFSTSSPSASPSSCITPRMRKAREDFNSFLEAQNA >cds-PLY70947.1 pep primary_assembly:Lsat_Salinas_v7:5:150714635:150716524:-1 gene:gene-LSAT_5X66700 transcript:rna-gnl|WGS:NBSK|LSAT_5X66700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVPMIDATRDIGAGTTRWCPTPEQVMLLEGMYRGGLKTPSLTQIQQITTRLSIYGKIQEKNLASEKSFERNSWTCTSSITITLLRIKSFFLLIRLVEMKTDPTLERLSSMGKWISILIKPATSCVIAHL >cds-PLY64036.1 pep primary_assembly:Lsat_Salinas_v7:8:94437726:94446834:-1 gene:gene-LSAT_8X66460 transcript:rna-gnl|WGS:NBSK|LSAT_8X66460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylose isomerase [Source:Projected from Arabidopsis thaliana (AT5G57655) UniProtKB/TrEMBL;Acc:A0A178UDJ9] MPPFYSSVKPFVRDLAPSFYSLFFANISAPSHRNSDCSHPPSRPGTTSAKTQSLPAAKPSGSLKVIDCGYLKLNEMTSTKAGKLLLFFLCFNVIFHTVISEDPHTCPADLDGDCGFDDSAEWEGEFFPGIPKIKYEGPKSKNPLAYKWYNPNEEILGKNMKDWMRFSVAFWHTFRGTGGDPFGAATKMWPWEDGSNSLAMAKRRMRANFEFLEKLGVDRWCFHDRDIAPDGETLEETNANLDEIVALAKELQGTKIRPLWGTAQLFMHPRYMHGGATSPEIGVYAYAAAQVKKAIEVTHYLGGENYVFWGGREGYQTLLNTDMERELDHMARFFEAAVAYKKKIGFTGTLLIEPKPQEPTKHQYDWDAATAANFLRKYGLIGEFKLNIECNHATLSGHSCHHELETARINGLLGNIDANTGDPQVGWDTDQFLMDVNEATLVMLSVIKNGGLSPGGFNFDAKLRRESTDVEDLFIAHIAGMDTLARGLRNAAKLLEDGSLTELVRKRYQSFDSELGAQIEAGKADFELLEKKAMEWGEPTVPSGKQELAEMIFQSAM >cds-PLY69708.1 pep primary_assembly:Lsat_Salinas_v7:2:40597458:40603396:1 gene:gene-LSAT_2X19660 transcript:rna-gnl|WGS:NBSK|LSAT_2X19660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCFVSTPKDSGGKRRRPGNIGEVAVFVPGLRIPKPVDFPESLGDHLCKNLVERLCALRTRIVVMAGQEGPTITRTRRRSATQHGGSTLGDLLQALEDYLPVLLGLVKDGSPLQHKVQFTWINQEDESEEMAMYSAWYEVLSVLHLMATLSLSQANLLLLPRTSTDGYLPKVSEESRRSAIDILLKAAGYLDCAVRHILPQLPPELRRDLPVDLAEGVLRALCLQALGQGVDIQLGLAIDSTKATLAVKRRLACEMVKYWQQAQDNITNLPLANGWGEKHRFYVKWKYIEAKAAAYYYHGLILDEGNTEKSHGMAVSALQAADEYLKESKRSCEAFNSAVPLSRTPPLWGTMKYLSEKIPKDTSSKVRINRDLYTYEKIMETAPTLPDFALALKPDEYQLPDVDSSWNNENVNKGQIGNNKD >cds-PLY62902.1 pep primary_assembly:Lsat_Salinas_v7:4:326538970:326541024:1 gene:gene-LSAT_4X163001 transcript:rna-gnl|WGS:NBSK|LSAT_4X163001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPMDSDLDPALLRYASPVFSDDEDYFDDDYTGDDYNDGDDGIDVDDGADDSNVLINSVSCTSKKSYVILKEEDLFQRQEEDITRVIAVLPMIPRDTACLLLLRYNWIVNSVHEAWFEDEDKVRRFVGLPDVDPAIKFPQSGKEFVDCGICFESIRKRNTATCGCDHQFCKTCWTAYVCTAIDDGPGCLTLKCPEPSCDAAVGPDMVKALAPANKKKRYARFLLMSYVESNEKIKWCTGPGCDYAVEFNDDSETGNYDVSCLCKHTFCWKCMEDAHRPLGCETVAKWVLKNSTEAENTNWILAYTKPCPKCKRSIEKNHGCMHMTCRPPCGFEFCWLCLGPYVGHDGRACNRYTKSQEGGLIPESERQRELAKKAIERYTHYYERWAANEKSRKQALSDMHRIDEIHIKTLSLNFCQPESQLHFIIDAWLQIVECRRVLKWTYAYGYYIPKEEEAKKSFFEYVQGEAESGLERLHLCAEKELQSYITKEGDEKEKPSEEQFSNFRVKLVDLTIVTRSYFENLVRALQSGLSEVGNSGSGSDQGAAGGSKGRTRGSTGADGSKRMKITMSSGDYDL >cds-PLY97783.1 pep primary_assembly:Lsat_Salinas_v7:4:375651722:375654220:1 gene:gene-LSAT_4X185081 transcript:rna-gnl|WGS:NBSK|LSAT_4X185081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVNNNNPQKNPGVSTPFGNSLPPNPNTHLQSQSQPQQPSGSPFASQFQLSQYAVAHAHAQAIAQAQSKAQAQAMAQAQANHAQFQAQLQAQGMSLNQSHGPFTTNSPSFPGSGNPGMKRMPQKPVGRPPGVSNPNNTISPMRMMELTPAARHKKKQKLPEKQLLERVAAILPQSALYTQLLEFESRVDSALARKKIDIQEAIKNPPCIQKTLRIYVFNTFANQVRKIPMKPNDEPPTWTMKIIGRILEEGMDPDQVGMMQQSNPMYPKFSSFFKRVTISLDQRMYPDNHMILWDSSRTPTPHEGFEVKRKGDKEFTANIRLEMNYMPEKYKLSLALMEVLGIEVDTRSRIIAAIWHYVKARKLQNADDPSYFNCDPALRKVFGEDKMKFTMVSQKISHHLSPPQPIHLEHRIKLSGNSPAGNACYDVLVDVPFPVQRELNALLATTEKTKEIEACDEAICTSIRKINEHRKRRAFFLGFSQSPVEFIDALIESQGRDLKLVAGEASRNAEKEHRAEFYNQPWVEDAVIRYLNHKPATVVHGST >cds-PLY86923.1 pep primary_assembly:Lsat_Salinas_v7:5:257193898:257194964:1 gene:gene-LSAT_5X132520 transcript:rna-gnl|WGS:NBSK|LSAT_5X132520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSVKMSEDVWLTCLTHALSTETEEIMGLLLGDIQHSKNGSVTALIWGALPQPRCDRQKDRVETNPEQLTAASVQAEISFICHID >cds-PLY67841.1 pep primary_assembly:Lsat_Salinas_v7:9:144393605:144395602:1 gene:gene-LSAT_9X92580 transcript:rna-gnl|WGS:NBSK|LSAT_9X92580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGHRRVVCGATQTIGLLAFIYLFYTQSSAFNPIKFCFGNGNLSATLMDGVGVLEDDFQPFLKSLVGDYDLRNLDTSGFACDATLHPLVCVAINHVKIDIKTMEIYTSTRFNSTNSTDFLVKEEENIVQVRPYAWHQSDLLKNVTPVKFLRERQQTPARYCEYNHKVPAVVFSSGVFAGNIFHEFNEIIIPLFITSRLFKSRVQFIFVDYNPYLVEKFKRIFTSLSQYDVLNPMVNKSIHCFPGAVVGLKFHNFLAINPSEVPKGNSILEFRTFLRATYGLTSMNVSETASATPKLLLVSRRKTRSFTNEDEMVDMMEELGFVVVVVRSNKKMSNLDKFAKLVSTCSVLVGAHGAGLTNLMFLPAGAVVVQVVPLGLEWPSTVYYGEPASRMGLHYLDYKIGPEESSLIDSYPRDDPVISDPGSVFAKGYNAGKEMYLDRQNLRVDVNRFKDTLIEALRLLGQTTPSAKR >cds-PLY94052.1 pep primary_assembly:Lsat_Salinas_v7:4:173239178:173240904:-1 gene:gene-LSAT_4X103261 transcript:rna-gnl|WGS:NBSK|LSAT_4X103261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENEEDPVKRQQILDARARNISHNVRCLECGSQSIEESQADIAVLLRKLIRDEIRSGKSDKDIYKKLEDDYGETILYAPKFDWQTAAFWLSPLLIAGTAGGIWAYGRHRQRTNVHLLALNLTRGVPLTPREKETMLDILTPPPSGILPTSPWWKNWLPQ >cds-PLY93911.1 pep primary_assembly:Lsat_Salinas_v7:7:181241531:181245402:-1 gene:gene-LSAT_7X107260 transcript:rna-gnl|WGS:NBSK|LSAT_7X107260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELRFEGPLQAYILVDVHHVIFKTTEITASVKQSYSFYARFDGTTGEHASGAYIFHPNGTYCIGTQEQTPIKVLNGPIYDEVHQKINPWIYQVVDDGVGKEIVTQLTTTMKSNKTF >cds-PLY84846.1 pep primary_assembly:Lsat_Salinas_v7:1:59923104:59924370:1 gene:gene-LSAT_1X52681 transcript:rna-gnl|WGS:NBSK|LSAT_1X52681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIQTMAGGAEIPTGVDEKTLLTIPIITYTTPDSSMDPYECAVCLGDVDSGDKVRLLPNCKHMFHVKCIDEWFVGHTSCPVCRVPVVAPDDEARSCPVCRSVGALTDDHHNTTSVGSTPEGHDHQTDSGIDVLDGVNDGELTSGPRVRSGEMLRHCNSLVLPRETKEMLSGMELKRSLSMGQTACVTIDIQLDNVDKDCPYYSSIRDQSIKGFLRVSSKVRQSISRMCVGQESGILPY >cds-PLY76051.1 pep primary_assembly:Lsat_Salinas_v7:5:319312180:319313759:1 gene:gene-LSAT_5X174960 transcript:rna-gnl|WGS:NBSK|LSAT_5X174960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRYASKFESSLRKLCSSCFKLFHESGRFVSDGNLKSVYAQMSPKWWDDELNVWSMKSQRGFGHTFSCDPIIFNITPSSGCFSHDS >cds-PLY80420.1 pep primary_assembly:Lsat_Salinas_v7:4:361468261:361471601:1 gene:gene-LSAT_4X177820 transcript:rna-gnl|WGS:NBSK|LSAT_4X177820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEAPTSQDLAPNGNQAPASVDATIDSTGQGGTASSCNNNNAVETSGLTSDGEREKSLEYAEELMDRGSKANKEEDYAEATDCFSRALEIRAAHYGELAPECVRSYYKYGCALLYKAQEESDPLVSGTVSKKESTEKGSTKSAESGETSVSSKTKEVEDQDEDDEGSEGEDEEEDESDLDLAWKMLDVARAISEKQPGDTMEKVDILSALAEVALEREDVETSLSDYLKALSMLERLAEPDSRHIAELNFRICLCLEIGSKGQEAIPYCQKAISVCKSRLKRLKSEVESLSGNCESSVLGRQSSNASQTNDPISEKEKEIEILTGLSTELEKKLEDLEQIVSNPSSILSDILGMMAARGGGEGSSGGSSSRIGAGDSFGFGSTTVSTTQTTTGGGGVTHLGVVGRGVKRVSMSTTGTESSPAKKVASDPTADNDAT >cds-PLY63174.1 pep primary_assembly:Lsat_Salinas_v7:4:312107476:312115877:-1 gene:gene-LSAT_4X157080 transcript:rna-gnl|WGS:NBSK|LSAT_4X157080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTINLTSTTSIEGRLSNVSDCTSTSSISDQEDCTQINSSSVRRSRNWRKLMKKVIEGSKKSIYGSSKPLIFQYDAEDCTQNNSDSVRSRSWRKPMKKVLEGSEKNIYGSSKPLIFQYDAVSYSLNFDEGNRDDEYYSYGSR >cds-PLY91699.1 pep primary_assembly:Lsat_Salinas_v7:7:26561934:26562252:-1 gene:gene-LSAT_7X19200 transcript:rna-gnl|WGS:NBSK|LSAT_7X19200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEIFKGTKDFKKEVFDKVQLELNQFRLLIYNANVKQMVDELGQEYFSYLGQKIQQEAANQDKIDVSEAKMKGEFGSKLRQG >cds-PLY91619.1 pep primary_assembly:Lsat_Salinas_v7:5:297500110:297500556:-1 gene:gene-LSAT_5X159281 transcript:rna-gnl|WGS:NBSK|LSAT_5X159281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQDNINSNSMENQDGACVIIDLIENAMPPPSSSAKSRRVPEILLREEGDYEKYYVPKVVSIGPYHYGNPKFESVEKLKPVFTKQLLSRLDYNVGLRNLYKKLGEANMVKELREFYEEESTDHLNDEEFTKMMLLDGCFILYYIRFI >cds-PLY78742.1 pep primary_assembly:Lsat_Salinas_v7:9:49210583:49211709:1 gene:gene-LSAT_9X41620 transcript:rna-gnl|WGS:NBSK|LSAT_9X41620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQDCEDSSRKRKREGSLQPEGFLDDLNESKIKYSKRSVLDTELHLETPMPLEWQRCLDIKSGQIYYYNTRTHKKTSKDPRCSPEPANNMSLDLELNLPCGSSDTTRKHHVADNFSKFKSLSKTSSKNSSAGGGAPSWLALEGGDQQQEMVTAVCKKCHMLVMMFKTSPSCPNCKFMHPPSQTPPSLFTRELSLLC >cds-PLY71218.1 pep primary_assembly:Lsat_Salinas_v7:6:19278458:19281297:1 gene:gene-LSAT_6X14801 transcript:rna-gnl|WGS:NBSK|LSAT_6X14801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFFKALRPKTPQELAKAIKDSLMALDTKTVVEVKALEKALEEVEKNFGAMKLLLLGDGEVEPNVDQVSQLAVEICKEDVIALFFHKLSILGWEARKDLVQCWSILLKQKVGSTHCCVQFLENHSDLLDFLVAGYDNKEIALNCGNMLRECIKFPNLAKYILESPSFELFFKYVELANFDVASDAFSTFKDLLTKHPIAVSEFLTSHYNEFFEQYETLLTSNNYVTRRQSLKLLSEFLLEPPSSHIMKKYIAEVQHFKVMMTLLKDTSKNIQISAFHIFKAHKILHIFVANPNKPREIKVILAKNHEKLLELLNNLSAGKGGDDDQFEEEKELIIKEIERVSQLPDLDS >cds-PLY99174.1 pep primary_assembly:Lsat_Salinas_v7:6:129544392:129548072:1 gene:gene-LSAT_6X78780 transcript:rna-gnl|WGS:NBSK|LSAT_6X78780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVNPHVLAIVFILSVLSSQWMYAAAQNPPLFACDIDKDPTLKKFSFCDASLDIKTRVSDLVKRLTLEEKIGNLINNATSVDRLGIPGYGWWSEALHGVSKTGPATFFSSLVPGATSFPQVILTAASFNETLFRTIGEVVSTEARAMYNMGLAGLTFWSPNVNIFRDPRWGRGQETPGEDPTLTSKYAVAFVQGLQERDDGDKKRLKVGACCKHYTAYDVDNWNRTDRYHFNAVVTKQDMEDTFQPPFKSCVLDGNVASVMCSYNAVNGKPTCGDPDLLKGVIRGKWKLNGYVSSDCDSLDVMLTSHHWEKTPEEIAADALNAGVDLNCGNSLRLHTESAIKAGLVKESVVDRAVSNNFETLMRLGFFDGNPSKQIYGSLGPKDVCTPTNQELAREAARQGIVLLKNTPGSLPLIPASIKSLAVIGPSANATETMIGDYAGVPCQYTTPLQGLSESVKTIYEPGCVNVTCLIVRIAEAKKVAAEADAVVLVMGSDLSIEAETIDRIDITLPGQQSFLVSQVASVSRGPVILVIMSGGGMDIQFAKDNPNVTSILWVGFPGEAGGAALADIVFGRFNPSGRLPMTWYPQSFAEKVAMTNMNMRPDLTTGHPGYTYRFYKGETVYPFGHGLSYSSHAHHLVKAPKFVSIPLEEGHVCWSSECKSLDALEHVCRNLVFEVKLRVMNVGNMEGSHIVLLFSSPPTIHDAPQKKLLDFQKVVLASRQWTVVSFKVDVCNHLSVVDEDGNKKVPLGVHVLHVGDLQHSLNLKI >cds-PLY63389.1 pep primary_assembly:Lsat_Salinas_v7:7:152211713:152212315:-1 gene:gene-LSAT_7X89221 transcript:rna-gnl|WGS:NBSK|LSAT_7X89221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASASRSALMSGLRTIASQTTVISRTLAQKHVPISPFSSSTRTLPRAASRIVGALGMVESMMPLHSAIASARLKSSLAVDSACWSWLSQGRIKNFASISLGVIIRVAYKE >cds-PLY70775.1 pep primary_assembly:Lsat_Salinas_v7:3:135765023:135765810:1 gene:gene-LSAT_3X90620 transcript:rna-gnl|WGS:NBSK|LSAT_3X90620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAMMELDKLPDPFSLPFQHLSCSPNRVFSGESYTNNHPTTPPLQPQPSFFSHSMPPPSPSKTSCKPVPVDAMREMIFQMAALQPVQIDPESVKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLEEAVHYMKFLKKQVQSFEQAAAGGSMAAMVKTEADVGGLLLHRRHESWW >cds-PLY70179.1 pep primary_assembly:Lsat_Salinas_v7:9:1063854:1064600:-1 gene:gene-LSAT_9X4480 transcript:rna-gnl|WGS:NBSK|LSAT_9X4480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMETFAEYTARTAFERPLLSGVANAQRVMNYEREDLERQHDGNIRTMTKEPSPFRDGYAPVIFAQETVSYLKLNKQRKKLSHPTPATQGFPTEL >cds-PLY74150.1 pep primary_assembly:Lsat_Salinas_v7:9:13381362:13382904:-1 gene:gene-LSAT_9X11561 transcript:rna-gnl|WGS:NBSK|LSAT_9X11561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSSHVFQQSSIMCVFGLLCALFWFCLLYSPFGVFQGRTFWSSSLQISPTSNQSRIQESIPIPVVSHEPENVESESAVSPSKTPKVFPFMKALRTIDNKSDPCGGRYVYVHDLPSRFNEDMVKECGGINRFFDMWFDASRYLWGYNTSVRDAASLDLVDWLQNRDEWKVMNGKDHFLVGGRITWDFRRLSNKDTDWGNNFLFLPASKNMSVLLIESSPFNSNDFAIPYPTYFHPSKDSDVFTWQNRMMKLDRKWLFCFAGAPRPGNPKSIRSLLIDQCKNSNSGKLLECGNDESKCHSPSNIMKMFQRSVFCLQPPGDSYTRRSAFDSILAGCIPVFFHPASFYTQYTWHLPKKYTKYSIFIPEDDIRRNISIEQRLGQIDSETVKMMRKEVVDLIPRLIYADPNSRLESLKDAFDVSVEAVINKVTKLRKDLVAGRRNNGGFIEELSWKYALLEEEEEEEEEDEESAGVHEWDPFFSKPKQQT >cds-PLY82497.1 pep primary_assembly:Lsat_Salinas_v7:2:186336838:186342159:-1 gene:gene-LSAT_2X106480 transcript:rna-gnl|WGS:NBSK|LSAT_2X106480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTDALISKPKSSVLQRLFPAVLPVLFIAITYIDPGKWVASIEGGARFGYDLIIPMSVFSLSAVLCQYLSASIAVVTGKDLAQICSSEYDALTCIFLGIEAELSMIALDLSMILGVAHGLNLMFGMGLFTCVFLTSFDAFLFPIFSNLLESGKAKFMCMCLATVALLSYLFGVVVSQPDTSLSMSGGMLTRFNGESVLALISLLGASIMPHNFYLHSSIVKQNKGQEHVSKGALCLDHLFAISGVFSGVFLVNYVLMNSAANVFYSSGLDLLTFQDALSLMDQVFRGVMGSFALIVILLLSNHTTALTWKFGGQPILHNFFKINIPGWFHHSTIRFITIILALLCSWQSGAEGTYQLLIFTQILVALLLPSSVIPLFRIATSRSVMGVNKISRVLEFFVLITFIGMLSLAIVFVVEMMFGNSDWASNIRWNLGSGGSISSPYSVLLLTASLSFFLMLWLVVTPLQSASSKPEIVHDSSLKERTQHGIEQETSPEYHSDLQKSTRQLDLPEEIIEPEKGSRLTTIDEQSSDILLPSSPPEESGTGTAVPGVEDRSNLQNQESESIEKTLSIDGNSQKEHSPNPWQIEESPKVVSETNHLAVSPEGPGSFRSLGLKHDDVGSGTGSWSKLAGLGRAARRQLAAVLDEFWGQLFDFHGEPTQEAKARKLDKLLGINSKTNMNSKATPHQSVQTTTDSIYVVHRGPSSSSLLSNQKQILDAYAQRSNLNVMDPGEKRYHSLRLQSSSNGLRIPQASVGFDDQPATVHGYQIKSYMNQMESLTPKSPSFGSSANYKAPYSLTKGLQNGVSPAKPPGFPDPVVSRNSSMQPERSYQNQYPETMHSTVNEKRYYSMPSLPRDGTLGRKMHDMSIYSGPSYNRSGTPSAYTGSYQLNSGADTWSIWSRQPYEQFGVAEKSNSRMSLNSQEIGSGVDLEANLLKSLRLCIVKLLKLEGSDWLFQQNSGLDEDLVDRVAARERFLYEVESKEMNGAAHGGDSGMKIDLVTSIPNCGEGCVWRADLITSFGVWCIHRVLELSLMESRPELWGKYTYVLNRLQGILELAFSKPRAAMNPCFCLQLPTSYQQRRVSPPKSATSLPPPAKQSKGKCTTAASLLDIVKDVEIAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSNKPAVTPDGVNGPHKTAMSASYGL >cds-PLY89148.1 pep primary_assembly:Lsat_Salinas_v7:3:20743631:20747686:-1 gene:gene-LSAT_3X15521 transcript:rna-gnl|WGS:NBSK|LSAT_3X15521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGIRAKLRRSSLYTLANIRSKPSYKKDEAGGELSGYPRIIQVNRPVVPEEDAPTFCSNYVSTTKYTFFNFLPIALFEQFNRVANFYFLVAAGLTFTDYAPFDWPSQVVPLALVVGFSLAKELYEDLQRYWQDKAANRRDTAVHVGNGVFEKKQWREVCVGDIVNVKKDEFFPADLLLLQSSYEDGMSYVETMNLDGETNLKVKRALQNLSLPVVNDDGASHFGNFQPTIRCENPNPNLYTFMGNLEYEGRIYPLDPAQLLLRESKLKNTEYIFGAVVFTGNDTKVMQNTTQSPSKRSKIELQMDKIIYLLFGLLILISIVSAAGFGLAVRNAVPLWWYLRPDVAGEDFNVNDFLVSALRHLITALMLYGYLVPISLYVSIEAVKILQASFINSDLHLYDEVTGTPAKARTSNLNEQLGQVDTILSDKTGTLTCNQMDFLKCSIAGCPYGKRLSEVELAAAKQMAAALESRDSDSSHGGLSNSSSLEAITISNNGNTEKKESIKGFSFQDDRLQNGNWLKEPNSEVILLFFRILAVCHTAIPEVNEKTGELTYEAESPDEGAFLVAAREFGFHFVKRTQNSIVVKERLSINQEPVEKEYIILTLLDFTSKRKRMSVIIRDETNQILMFCKGADTIIFDRLASNGKIYLEATTKNLNDYGDAGLRTLALAYKKLDENEYSNWYEKFHKAQCSSGPDREDVLEELSDEIEKGFTLVGATAVEDKLQKGVPQCIDRLALAGLKIWVLTGDKMETAINIGFSCSLLRQGMKQICIVVSAEMLAQDTKDVIKDNILSQITSALQTIKGKKDPNAPFALIIDGKTLTYALEDDLKFQFLNLAINCASVICCRVSPKQKALVVRLVKEATGRTTLGIGDGANDVGMIKEADIGIGISGVEGMQAVMASDFAIAQFRFLERLLIVHGHWCYKRISKMICYFFYKNITLALTLFYFEAQAGFSGQMHYDDWYMLLYNVFLTSCPVLALGVLEKDVNADICLQFPALYQQGPKNLFFDWYRIIGWLANGVYSSIVIYIINMRIFSPESFRKEGETADMYVIGTAMFTSIIWVVNVQIFLISHYLTRVQLHTIWGSIVVWYLIVYIYGMLPVSWSAGNIYHQLPEVLGPAQKFYLSTILVTLLCNMPYLIHISYQRCYNPEDHHVLQEMKNLKKDVTDRKMWKREQSKARQETEIGLSARVDAKIRYMKGRMTKRYSMLAPRSP >cds-PLY79490.1 pep primary_assembly:Lsat_Salinas_v7:3:248322877:248328870:1 gene:gene-LSAT_3X137040 transcript:rna-gnl|WGS:NBSK|LSAT_3X137040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSFCAKLVPCCLVSPEESSVREDPTAGNKDEVVNDPTAFKEFTVEQLRNATSGFAVENIVSEHGEKAPNVVYKGKLDNQTRIAVKRFNRSAWPDSRQFIEEAKSVGQLRNVRLANLLGCCCEDDERLLVAEYMPNNTLAKHLFHWESHPMKWAMRLRVVLHLAEALEYCTSKGRALYHDLNAYRVLFDEEGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSNDDGTELVRLASRCLNYEPRERPNPKTVVSALISLQKETEVPSYVLMGIQTTTSFSPRSPLGEACLRMDLTAMHEIMEKLSYKDDEGQTTELSFQMWTDQMQESLNSKKKGDMAFRHKEFSTAIECYTQFIEYGPMVSPTVFARRSLSYLMNEKPQEALGDAMQAQVISPVWHIASYLQAASLFALKMETEAHVALKEGATLEAKKNGTSINGQ >cds-PLY78789.1 pep primary_assembly:Lsat_Salinas_v7:8:59692273:59693805:1 gene:gene-LSAT_8X43220 transcript:rna-gnl|WGS:NBSK|LSAT_8X43220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYLDHISDLCAVTITRKGKRKPFETVDIKVKMDCDGCERRVKNAVSSLSGVKSVDVNRKQSRVTVTGYVEPQKVLKKVQNTGKKAEMWPYVPYNLVYYPYAPQAYDKKAPSGYVRDVSQAFLSPNNPTERLTTLFSDENPNACSIM >cds-PLY84490.1 pep primary_assembly:Lsat_Salinas_v7:1:29586816:29588859:-1 gene:gene-LSAT_1X25101 transcript:rna-gnl|WGS:NBSK|LSAT_1X25101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLASLVSSLKDSASQNKLSKAFSIFSLIIQQTHNASSSDFLLQSLASLLACCSQVKAISQGKQLHAYLVSSGFEKSRVLVPKLVTLYVDFNLFNDAYVVTESSNITHPLSWNVLISGYVRAGLGKEGLSVYTKMMEKGIIPDNFTYPSVLKACGEEFDLGVGREVHESIMKSGLEWNLFVHNALVFMYGKCGDLNVARMLFDEMPVTDEISWNSIISGYASQGMWREAFELFNDMQNQNVEVNVIIWNTIAGGYLKTGNYIQVLKLLSQLRTSGKWDPVAVINGLGACSHIGELNLGKEIHGVAIRTCCHDYDNVKSSLITMYSRCKDLKHAHTVFHLVQNKSVITWNSIISGFSHWDNSEESSFLFREMLLSSVDPNYVTIASILPLCARVANLQHGKEFHCYITRHEGFKDYLLLFNSLIDMYARSGKILLAKRLFDSLPKKDEVTYTSLIAGYGIQGEGKTAVDLFEEMIRCNIKPDHVTMVAVLSACSHSALVDQGRTLFENMSSVYGIVPRLEHFSCMVDLYGRAGLLGKAEETMRKMPYEATPAMWATVIGGCRIHGKKELGEMAAEKLLELRPRNSGYYVLVANMYADSGSWEKLGKVRVLMRELGVSKVPGCAWLDVGGRFMRFLVADTKNVKAFEIYPLLDGLSQQLKEAGYLMSDDKDEVMGL >cds-PLY74019.1 pep primary_assembly:Lsat_Salinas_v7:7:9820439:9822899:-1 gene:gene-LSAT_7X9261 transcript:rna-gnl|WGS:NBSK|LSAT_7X9261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLPFEFEGKGVVWLENQQEDAQFLVPYANPLVHFQNLVNKKRKYYINEPRSVLDNITTSPSPPASTSTLSSSHGGAETAGVAALSSKWPPPENQETSTSNVGGVLDLHHFQQLPLEEKCGGMEDWENGDSGQDQAMLRWIMGDVEDPSMGLNKMLHGNPGGDFEFNGGFGVVDQGFIGLDSGNPVVSQIGNLSSNPQLPPSPTPAMFNNNHHHHQNQIFSPLNETQMLPFDVKPNLFNPQLPFEHLMPPQPKRHNPGSIEYNPSMHKNPFLDSGPTPNPLQLLQKSSSMKKMVAINHHQQQHQQGIIDQLFKAADLIQSGNNPILAQGILARLNHQLSPVGKPFERAAFYFKEALQLLTHSILNNINPQTTPTASPFSLIFKIGAYKSFSEISPFIQFANFTCNQALLESLNGFDQIHIIDFDIGYGGQWASLMQELALRNNGVSSLKITAFASPSSHDQLELGLTRENLIHFASEINVGFDFEIVNIDVLASSSWSLPFHVSDNEAIAVNLPIHVFSNHQTQIPSVLRFVKNLSPKIVVSVDRGCDRTDLSFSNHLIHALQSYSNLLESLDAGNMTHDLLQKIERFLIQPAIEKIVLGRFLFPEKTQHWRNLFLSAGFSPLMFSNFTESQAECLVKRTPVREFHVEKRQSLLVLCWQRRELVSASAWRC >cds-PLY98553.1 pep primary_assembly:Lsat_Salinas_v7:1:36414467:36414930:1 gene:gene-LSAT_1X30700 transcript:rna-gnl|WGS:NBSK|LSAT_1X30700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVGSKVMRRFNPPQRTHCDCGDLVGRWTSWKTRNPGRRFIGCPNYRDSSKDCKFFYWVDPPLPNQWYKDLLLQLHNGWNGDVVEQMEEAVVEVVPAQVQGAGGVVPRWSMFWFILGLCFGLYFKIM >cds-PLY78141.1 pep primary_assembly:Lsat_Salinas_v7:8:228857618:228859085:1 gene:gene-LSAT_8X140300 transcript:rna-gnl|WGS:NBSK|LSAT_8X140300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLCSFAPLDWNMSEDPVVAPSQPSDGSDGGSRSFCKQLPASGLAHTAVTILPYHASIIADIFMVQLNYSVLDVYRPLQPHTVLRISSLVGSFLIRAQHKSFDVQSSLLNIKHNNERCRVLKSTTFLLKNGIGRSMPFKLFPYNMGYSSQFTVNGKKRLKDGLNPFYDACSHLLRLMTPTHTMYLDQGRKLTDFMQEG >cds-PLY93299.1 pep primary_assembly:Lsat_Salinas_v7:4:301029520:301031630:-1 gene:gene-LSAT_4X150821 transcript:rna-gnl|WGS:NBSK|LSAT_4X150821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific TFIIB-related protein 1 [Source:Projected from Arabidopsis thaliana (AT4G36650) UniProtKB/Swiss-Prot;Acc:O23215] MKCPYCAAAQGRCATTSAGRFITECISCGRVVEERQTQSHHLFHIRAQDSPLCLVTSDLPTLPHSIIDQSTTTAVPISEEEEEDPFEPTGFITAFSTWSLEPYPVFAQSSLSFAGHLAELERVLETTSSSSASGPSVVVDNLRAYLQIIDVASILGLDCDISDHAFQLFRDCSSATCLRNRSVEALATAALVQAIREAQEPRTLQEISIAANLPQKEIGKYIKILGEALQLSQPLNSNSISVHMPRFCTLLQLNKSAQELATHIGEVVINKCFCTRRNPISISAAAIYLASQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPSNYTPAIPPEKAFPTATIASGRSLAPRINDGVDTEIIKNMENDTWKFPVGTQEMDVDGGGGGGARLWPPPVSSFVTPGSSQGNARNMQKVEGGLGIGDLNRHGSDNRS >cds-PLY96245.1 pep primary_assembly:Lsat_Salinas_v7:7:182647917:182651493:1 gene:gene-LSAT_7X108301 transcript:rna-gnl|WGS:NBSK|LSAT_7X108301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLHISPSLRHVTLLPGKGVREFIKVKVGSRRFIYVLSTVDTIDDETKCSNIGCKGEKLASGVLRGRSLRLNSAVPEVIYEILEDQSSEDEIVGGPDIPQSLEEFVDSMKGSKRLDAKTFAIKLKSMVTLLEKRTRTAKIQEYLYRHVASSSIPKQLHCLSLRLAHEHATNANSRLQLPLPELVPTLVNNSYFHFVLASDNILAASVVATSLVYNSLRPETVVLHIITDRKTFAPMQAWFSLHPLSPAVIEVKALHHFDWFAKGKVPVLEAMEKDQKIRAQFRGGSSAIVANNTEKPYVIAAKLQAMSPKYNSVMNHVRIYLPQMFPSLNKVVFLDDDLVVQSDLSPLFEIEMNGKVNGAVETCHGGDKFVMSKRFKSYLNFSHPLISKNFDANECAWAYGMNIFDLEAWRKTNISENYHYWLEENLKSDLSLWQLGTLPPGLIAFHGHVQVIDPFWHMLGLGYQENSTMADVERAAVVHFNGRAKPWLDIAFPQLRKLWTKYVDFSDKFIKSCHIRP >cds-PLY66463.1 pep primary_assembly:Lsat_Salinas_v7:5:327133538:327134364:-1 gene:gene-LSAT_5X182721 transcript:rna-gnl|WGS:NBSK|LSAT_5X182721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSMKVKGLLKGLRYISQVFEEDKKQEIQIGGPTDVKHLAHVGCDGPAQESPSWMKGYGTPDQFASSDLSDGPEWVSEDSGQKVSRRDKIKARHRRHRSVENMNSESKEATKARQPRRHHTRGAEGRHARDEALPEVPKRTRKKKPKDGSGDEASKVSKSKAPDDITDQE >cds-PLY66937.1 pep primary_assembly:Lsat_Salinas_v7:7:18221451:18222639:-1 gene:gene-LSAT_7X14200 transcript:rna-gnl|WGS:NBSK|LSAT_7X14200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKGITTPAARVYQDFEPSMEWVPEDDSDTLLVYLPGFAKEQLRVQLRSRNLIISGERKLQQDTWSRFRVEFPVSANCDLNKISAKFEGNILFVRQPKLITPEPKPVEETPPVVAAAPLPTPQKPVAVPNVGQKITDEKPTPATQTNTENSLRKDQEVPKMGVEESSKKPDEKSSEPKKAKGVRENASEKKPSDSDRKKKTKVALGELDKTTKTAIENYKRAVGVFATKLKTSRNAVNTIVILLVGLVIGVYVSGSIKSWTKP >cds-PLY90015.1 pep primary_assembly:Lsat_Salinas_v7:5:120309075:120311389:1 gene:gene-LSAT_5X52401 transcript:rna-gnl|WGS:NBSK|LSAT_5X52401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANGFGLLSIPFSNVERLRSTMSIVSLTELIELVHQLGKSPKDHPNKKKLFSVQDFFKYIEAEGRRFFEELDRDDDGEVTLEDLQVAIRKRKLPMRYFLSLMEQKEPTILHAYTSLCLSKYGTLQKSEILASLKNARLPANEDNVVSMMRLLKSDTEESISYAHFRNFMLIHPSDQLEEDPRNICFQAATVVAVAPPVEVHTGSVLKSALAGGLACALSTSVMHPIDIIKLSVRTRYISSDMPKEKVDYDYYNIELFSSTTWQCREFQNVQLPSSNYPVSNEAVTCGGVVYFLLSNDTILRFDIYSEEHILIFAPSAINDFKPYASRLIKFHGKLGYFSVSGDRLWAIWVFIHNRWVKVNTAYNEGAHE >cds-PLY69025.1 pep primary_assembly:Lsat_Salinas_v7:9:140084683:140086911:1 gene:gene-LSAT_9X89720 transcript:rna-gnl|WGS:NBSK|LSAT_9X89720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVDKEQHEDIPDYNTKYELDDGNQEYDSHTENGENKDIHYNSQSDSEEEIHKTVPTKSDRKRGMTRLPKLKTEYVNSGGKKRVRFDEFVKFTGKNNAVFVSYLGDLVREKVGLSALCWKKVKPEMKDKLWEEITRYFEVHESGKQFVMNRLGILLRNFRRKLYADYIKPHLGDTDMLEKIPVRYRALITEQDDWNKFVTYTQSQEFNNVSQRTIKARKMSKHAHRMGRGGYTTLRRKLIEDIVISKEEMPPRSVMWCKGRESKGEFKDEDVKIMADRLMEHEKQIKEGQVNVEPGTDAMTLVFGKEKGGFLKGVGTGVTYNRYFNVPRSKGSSKEEIKDLKVALHNGKLELEKKDVELKALSTKVNEQDQTLKLVLAHLNAKGADFPNLSHTIVIPKPNKKPVQTKSATATPDAILISMKSATVANIKTTNKTVESKTTTINHDIPKVSPNNPIHQPIKCSLSYPYKMNIIACGTIHLSSERQLIHGVPLQYDCYKVSIDEVVVKTAFLPHQTGEFKLVEDAYKSFVP >cds-PLY98388.1 pep primary_assembly:Lsat_Salinas_v7:5:316241645:316242759:-1 gene:gene-LSAT_5X173341 transcript:rna-gnl|WGS:NBSK|LSAT_5X173341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRSRSRNNSNNNNSSPKVRKIPSNPDFNHQSFKDIETLFPHGSVSDLNTIPEEPSSSSHNCSTAAAAVSVAKDVKRPTIFHRVHLATRITRAFSARPKPAPEEVLSEPKPTVAAEKSENLEKSVIPEKIAKVEEPVKSDPPITIPGADKRVVVYMTSLRVVRSTFEACRTVRSILQGFRVPVDERDLSMDSAFFDEIRKIMAQIGQGRSDDKRVDLPKVFIGGRYIGGADEVVELHEIGELKKFVKGLPAVTPGVCKFCGGFRFILCLECNGSHKCHTVDGVFITCTVCNENGLVRCPSCLSS >cds-PLY95026.1 pep primary_assembly:Lsat_Salinas_v7:5:227211339:227216799:-1 gene:gene-LSAT_5X106961 transcript:rna-gnl|WGS:NBSK|LSAT_5X106961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNQCSNIFNYRSFNLFPSFNKPLKPYATTLRPVFPFHGNLILIDRRLGNRKLSLHPPIVAQSSSDVVLVATTEHHDGSLIFQFGDASEVVKNDEVEEIERKAEVESNDVNVLDGDQDRQIVEKTTEGESVSDVDRLTEVANEITNVDIDSDIAERETNVTSDLRDTHAELIERVSYISEEEVISLQFDAKMNESLKDVESTLDTTECTAQPDDETEVQLVSVKPESIQASDVEVMDVKQPYAEDELDKVETEDASESDTEDIIPPATIHITDVELMGAANEDVNEELQDMNINGITLVDEMPASDSLGAEPLDEASQLQSVDVKVEATMEISSTEGIDVKDQAMENELQEVSTNKRNENDVAYVMPVSSVGESGPILEEDVSQPQSTPAPLKPVMQVSDIELHDSMDQNPDDVLLQTHNEIELQVELMDITQIDELHKVEPEDTTTSNTKGLLSPTISNTSDAELMDAANEDMEEGLQDRNMNEGTFIDEMPPSDPSVAEPTLDEVSQIQLADVNLEATMEVSTAKGMDVKDRPVEDELQQVSFVMPVTFIEESEPIIEEEVSQPQSAPLEFNPTMQVSDIELQDTMGLNSDDGILQVIDETEDPFESFKLETMQASHIEAMDELLEVETKDTTESDAKDSMCPETMHTSDIELTEAANGNLVEGLQEVNTGNSDENDVSNVMHAEPVLEEEEKQPCSTPVELKHSIQVSDIEVQDTKGQSLDDVILQIIEEDAGDNYIKDVERSLHQLEALLVQDEGVNHSMLEQCAEVDALESSMLVEQVANVSQVEAERVEEIYLTGYILSSGAALLEHPFKALTGGDDAYFLSSSKWLGVASGVSQWSFQGTDPGVYAQELMRTCEEIVSVSDATSNVPMTSPVELLCRGVKETNMSGSSNIMIANFNGQALHVANIGDTGFLIIRHGAVYKKSSPLLHEFHFALQVENLDDPLHLVEEHIIELDEGDIVVSATDGLFDNLYEQEIAMVVSKSLQAGMKPEEVAKVLATRAQEVGVSAFVRSPFSDAAQAAGYTGYSGGKPDNVAVIVSLVEKISTS >cds-PLY69273.1 pep primary_assembly:Lsat_Salinas_v7:7:130451396:130453812:1 gene:gene-LSAT_7X77720 transcript:rna-gnl|WGS:NBSK|LSAT_7X77720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSEPQLPIHNQQEQNHDGVFSFVRCPMCFSRIARLCSFKCVFVLLLSVSVLLTAVFSIFHLHHRQSGFDAKASIKHSATVQAYFRLQKPISYLLPQIERLEYDINAEIGVPNTTVAILSMHKASASNWTHVVFGFLPDPINSPINLVSLSVLRPLLLDLFNRRLNLTLTTSIFGDPSSFDLVKFPGGITIIPKQSPTIWMLRQALFNFTLPNSVREIEENFGELKEQLKLGLHLKPYESVYMQVTNKGGSTRDPPVTVQASIVSDLGNLVPLRLKQLAQTITKSPSATNLGLDHSVFGKVKEISLSSYLSHTLNAPTPTPSPAPSPDLNDYAGPTISPSPQNHAQTLPPVPNSPAPSVHRSCGRPKILPMYGPGPSASPPMSEKSEMPPGLSPLPVVSFGSTAGQQKRDEAGPPISVAPTASY >cds-PLY63160.1 pep primary_assembly:Lsat_Salinas_v7:4:312415901:312417451:1 gene:gene-LSAT_4X158140 transcript:rna-gnl|WGS:NBSK|LSAT_4X158140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLHNYTLRQQKLPLFINLDSPITYLTRTTASVNLQSGEFKILQVADMHYADGSKTPCEDVLPDQFPHCSDLNTTDFIQRMIDAESPDLIVFTGDNIYGPDTTDAEASMNAAFAPAISSNIPWTAVLGNHDQESTLSRQGVMNHIVQMKHTLSLLNPPGFDVIDGFGNYNLQVFGSEGSDFVNNSILNLYFLDSGDYSTVPSIPGYGWIKTSQQFWFQETSMELQKNTKAPGLAYFHIPLPEYSEFDSSNFTGVRQEGISSASVNSGFFTTMVESGDVKAVFTGHDHLNDFCGELNGINLCYAGGFGYHAYGKAGWSRRSRVVVVSLEKESNENWGEVKSIRTWKRLDDEKLTTIDDQILWSKN >cds-PLY64092.1 pep primary_assembly:Lsat_Salinas_v7:MU045727.1:281761:285061:1 gene:gene-LSAT_0X3360 transcript:rna-gnl|WGS:NBSK|LSAT_0X3360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHMKHSTSELANRISRALITAADQSAAPRTWTPVLEQTLHGIGCRHSLNPTLVSRVIDPFLIHHHSLALGFFNWASQQPGFTHTSISYQSILKSLSISRQSTAVDTLMKQIKTLKIQLNSSVYRSIITSHIAAKKPLNAFSVFNDVRFLISDIGPDTCNSLLAALSSTGNLSYAHQVFDEMITRDIRFSTLGIGVFLWRFTKTAELDKTLSFLDNIQNQNSNVNGSILALLILHGLCLESRVHEAMYMLDILRKRDCKPDFMAYRIVAEALRETGNVVEVEKVLKMKRKLGVAPRTSDYKNFIFQLISERLISEAKDLGEMIINGNFPIEDDVLNTLIGSVSTNNPQAALMFFKSLVSDERFPTLLTLNNLCRNLCKHGNHNELVEIFKILSDNEYFVDIERYNVMILYFCKAGKVKEAYEILQEMKRKGLGPDISCYNHVMEGCCKEDMIRPAKRLWDEMFANGCEPNLKSYNILIQKLSEIGQVKEGHRVFGQMLERGVLPDETTYVVLIKGLCQKNEVETALKVFNKSFEHDAEIAKGILGKFVLYLCREGEFIVASKLVDDYTCAIEDLEYNMILLKRLVDAGEFSIAIGHVKRVVEKSPPLLHELRCELFSWLSSSSKQEPILELIQVIDLNVSNKIM >cds-PLY83792.1 pep primary_assembly:Lsat_Salinas_v7:3:52355124:52356621:1 gene:gene-LSAT_3X39541 transcript:rna-gnl|WGS:NBSK|LSAT_3X39541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTKGHPLSGGAGGGGGGGVGGWKNNKKKMAILAVLLLVGVMGLMVVQKVRDRRMFNLVIKDKDRQILSLHLLLQKERQYVKEHKKKNQDLNAKLFSLRTQKTELDNKMMEMRSMIGSLRDEQRALELTIDEKQNEIKHKESKINHLKTTLHSSSPPKILSVTSDDPSPSNREANLTSKVKVTTNINPNVKLQNASLEHEDDVKIKMKNTTTTTTTATMDHVKETRVKDEDEEGEREVDVSMESSNGSEADQDYKEEIED >cds-PLY94677.1 pep primary_assembly:Lsat_Salinas_v7:6:20044427:20054629:-1 gene:gene-LSAT_6X15141 transcript:rna-gnl|WGS:NBSK|LSAT_6X15141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ALWAYS EARLY 3 [Source:Projected from Arabidopsis thaliana (AT3G21430) UniProtKB/Swiss-Prot;Acc:Q6A332] MGPAKKSRSVNKRFSYGNEIPPTKDGDNTYKKNQRKKKLSDMLGPHWSKEELDHFYEAYRKHGRDWRKVASALRNRSVEMVEAVYSLNKAYLSLPEGTASVAGFIAMMTDYYSNMEDRDSEQEKNDGVGTSRKPQKRLQRKVPETIPKGSVDRIHLHPGAVLSDYGCMSLTKKKRSGGSRPRVVGKRTPRFPVSHSHEYVKGEKSFLPTRKGIIKPKADDDEDVAHSIAMALAEASKKGSSPNRRASSLMSSPSHSAERMYDESDVGSGYGEDIEGSMEADNTDFPRDKSKERINAGYVLHDRKGSQGKKVRVDKKENKHLDYIREACSGTEGHNLSTLRRNFEFSDAKPSKSSQGSKKRSKGAHTGRDENSAFDALETLANLSLMILPEANGNGKDELVEDSHLLLSIPTSTSRQGEKRKSSVPKRSRSISTLEASDNKAQTSGKDSVDDTNIASEAKESHHLITKVSRKRQKIVAPKIPKSEAAIHTTGKESLESEAAESKSIPQLAKNDKHTPSSIDTRKEVDICTKVRSKHKLFKPDTLFEAVGKDKPREELSNCLSNKLMRRWCACEFFYSAIDDPWFAKQEFVEYLYHVGLGHVPRLTRVEWGVIRSSLGKPRRFSINFLKEEKEKLNQYQDSVRTHYTELRSGSRDGLPTDLARPLSVGQRVVAIYPKTREIHDGTVLTVDHDHHRCRIQFDRPELGVEQIMDTDCMPLNPFENMPASLMRQTPVGKFLESLNEVKIKDQRLEGYTNTKSTSREQLENMYDAASIKSNLLSRNHHGTHSAQFHAKEADVEAIAELNRALDKKEAVVFELRRMNDDVLENQTDGEFALQESDAFKKQYAAVLVQLNEANAQVSSALFRLRQRNTYQGNFLLTTLPKTVATEDSKCQVNEIVEISRTKARTMVDIAIQAISSLKLDPTVEIDKAVDYVNDRLPLDDSFVTVKGPDSKSTKSNPCDLNKASVPSELITQCVATLFIIQKCTEREFPPAEVASILDSAVSSLQPWSPQNLQVYAEIQKCMGIIKNQILALVPT >cds-PLY87404.1 pep primary_assembly:Lsat_Salinas_v7:4:12149202:12155578:1 gene:gene-LSAT_4X7940 transcript:rna-gnl|WGS:NBSK|LSAT_4X7940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVGRCRKAAIEALGRLAVVDKDYLTAFRSSCLASLENKRFDKVVRESMDHTLELWKEIPRHLDEVPVSPELNANSSSKVDTSTTMPLMLPLHCNSSYTPVYDYRRRHQGLFMIGMHPTSSCHQEEKVVTGEEREATKGTMRTPGEPGKIWNMAVTS >cds-PLY93767.1 pep primary_assembly:Lsat_Salinas_v7:6:147284493:147284768:1 gene:gene-LSAT_6X88400 transcript:rna-gnl|WGS:NBSK|LSAT_6X88400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCHRALRSHLPFTFLSNEETDNELQQFFNSFHKTPSSKDLIPYNTKDDQPAFSSAEDPKPDTKDDLCDEIMFADDNTSVGVVLIPAAFNLL >cds-PLY62204.1 pep primary_assembly:Lsat_Salinas_v7:6:30755011:30758001:-1 gene:gene-LSAT_6X23561 transcript:rna-gnl|WGS:NBSK|LSAT_6X23561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHLRSTSDPEIWKKGQTFGMPGVHVDGMDVLKVKEVAKEAIGRARRGEGPTLVECETYRFRGHSLADPDELRDPAEKDHYATRDPITGLKKYLTENKLASEADLKAIEKKIDEVVEEAVEFVDESPAPSRSQLLENVFADPRGFAIGPDGSYRCEDPKFIEGTTQVCYFTCVVKVADVAVILRTKSHNLFRQLGLRHIFVVPRASRVIGMITRKDLIFERRGKAGHVQPGECF >cds-PLY70215.1 pep primary_assembly:Lsat_Salinas_v7:9:1053511:1057060:1 gene:gene-LSAT_9X4501 transcript:rna-gnl|WGS:NBSK|LSAT_9X4501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRASIEISRTSSSSSPPAVTTTSTSVTETVNGEHDFRISGYSLSKGIGIGKYVASDTFMVGGYSWAIYFYPDGKSLEDNATYVSLFIALASEGSDVRALFELTLLDQSGRERHKIHSHFGRALESGPYTLKYRGSMWGYKRFFKRSALETSDYLKDDCLQVHCCVGVVKSRTEGPKTYSIHVPPSDIGQQFGQLLECGKGTDVCFQVKDETFSAHKLVLAARSPVFRAQLFGPMKDQNTRCIIVEDIEPPVFKALLHFMYWDSLPDMEELTGMNTKWATTLMSQHLLAAADRYGLDRLRVLCETNLCQDVAINTVATTLALAEQHHCHQLKAVCLKFVASPENLRAVMQTDGFDYLKESCPEVLTQLLEYVARISEHSPAISKRINDVIPDGVDVHGRRVKQRL >cds-PLY63661.1 pep primary_assembly:Lsat_Salinas_v7:4:131156506:131158239:1 gene:gene-LSAT_4X82400 transcript:rna-gnl|WGS:NBSK|LSAT_4X82400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLINGVLNLVAPPFTFFTMLLFLPPWIFFKFCLGILRSVISENISGKVVLITGASSGIGEHLAYEYASRGACLALCARRESRLREVADRCRHIGSPDVIVIRADVSHAHDCKRIVDETVNHFNRLDHLVNNAGISQVCMLEEADDITNLRPVMDINFWGSVYTTKFAVPHLRNSCGRIIVLSSSASWIPLPRMSIYNASKAALAQFYDTLRVEFGSDIKITVVTPGFIESELTQGKFLSHEGKLVVDYDARDMQVNLSPVMKVERCARSIVKGALRGERYVTEPGWMKMSYVWKVLWPEAMEWINRLMCMTTVGGDSRDDTFGKKVLDISGAQNILYPQSIQSSEMKVD >cds-PLY86766.1 pep primary_assembly:Lsat_Salinas_v7:4:296760284:296761507:-1 gene:gene-LSAT_4X147781 transcript:rna-gnl|WGS:NBSK|LSAT_4X147781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLFEMAHFVPEKPIYEQGLILLPHLATLGWGWVPGGEVIDTFPYFVSGVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGIGAFLLVFKALYFGGVYDTWAPGGGDVRKITNLTLSPSIIFGYLLKSPFGGEGWIVSVDDLEDIIGGHVWLGSICILGGIWHILTKPFAWARRALVWSGEAYLSYSLAAISVFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFFFVGHLWHAGRARAAAAGFEKGIDRDFEPVLSMTPLN >cds-PLY98077.1 pep primary_assembly:Lsat_Salinas_v7:4:78281634:78285070:-1 gene:gene-LSAT_4X53520 transcript:rna-gnl|WGS:NBSK|LSAT_4X53520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDEANSWIRRTKFSHTVCHRLDSARLASFLSDGQPIRIAGIKTRPPKTLEDPKPAEIQANPVTNKYRTVTPPPKTTVPDTFKEARSNTKRFSTPHPQRVETEKGFKEKKMFHRNMTAVNVRAHENLSSPLKHFNSMKIQDKKKMKRDYSWSKLFDHGGGKVTSVETIDDVMVDLSKLFLGHRFAHGANSQLYHGIYKDEAVAVKIIRLPDDDENEELGVRLENQFIREVNLLSRLHHQNVIKFVAACRQPPVFCIITEYLSEGSLRAYLHKLEDNTGKEKEYLPLQKLIKMSLDIARGMEYIHSQGVIHRDLKPENILINQDFNLKIADFGIGCEEAYCDFLADDPGTYRWMAPEMIKRKAYGRKVDVYGFGLILWEMVAGTIPYKDMTPIQAAFAVVHKNLRPSIPVDCAPAMKALIEQCWSTQPEKRPEFWQVVKVLEEFESLIARDGNLKLLQHPTCLDNKKGLRHWIQKLGPHHQPQHNSPMPKPRFS >cds-PLY72309.1 pep primary_assembly:Lsat_Salinas_v7:4:60884684:60885249:1 gene:gene-LSAT_4X42620 transcript:rna-gnl|WGS:NBSK|LSAT_4X42620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYWVLVIFRWARLKFSGIIELMISDSIFLAFPLIVLTSVRLILMYQIINAIMSGRDVLVIMVAGGGKSLCYQLPSGLHHGIALIVMGLTTLGILASMLTSPTSEGEGDLKVLYITPEKVSKSKNLCQNLKNATMLDVFP >cds-PLY80314.1 pep primary_assembly:Lsat_Salinas_v7:7:154782289:154784639:-1 gene:gene-LSAT_7X91781 transcript:rna-gnl|WGS:NBSK|LSAT_7X91781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVRWCPVIVSVLGLLVFESALFVDGGTTSSFVRKYEKTIDMPLDSDVFTEPPGYNAPQQVHITQGDHVGKAMIVSWVTMEEPGSDTVVYWSEDSSSQNTAEGIITTYTYYDYTSGFIHHCNLTDLEHDTKYYYEIGIEHASRTFWFTTPPPIGPDVPFTFGLIGDLGQSFDSNITLTHYEMNPTKGKTVLFVGDISYADNYPYHDNTRWDSWGRFAERSTAYQPWIWTAGNHELDFAPELGETEPFKPYTHRYQVPFEASESTSPFWYSIKIASAYIIVLSSYSAYGKYTPQHEWLEKELPKVDRSETPWLIVMTHTPLYNSYIYHYMEGESMRVIFEPWFVKYKVDVVFSGHVHAYERTERISNIGYDVVNSKCDPIKDDSAPIYITIGDGGNLEGLAINMTEPQPMYSAFREASYGHAILDIKNRTHAYHSWHRNQDGYAVTADSMWFYNRYWYNHDLHASS >cds-PLY94890.1 pep primary_assembly:Lsat_Salinas_v7:4:110177209:110178067:1 gene:gene-LSAT_4X71160 transcript:rna-gnl|WGS:NBSK|LSAT_4X71160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSERPLRQFVTGKDKSTGRNSKGRITIFHGRGRVKGSQRTTDLKRNTSSVGVVERIEYDLNRTSRIAVVRWVEAATIDRPKKVNSLQKNFTPTRRSYPPFPSKGHKMKRKVVVSWESLRQRGILGVVNESESKSKVRESEKVEKDGKFGVDQAPLSYILAT >cds-PLY76016.1 pep primary_assembly:Lsat_Salinas_v7:5:319259949:319260907:1 gene:gene-LSAT_5X174920 transcript:rna-gnl|WGS:NBSK|LSAT_5X174920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEELRDVGSVSRVFFDINFLEKLKDIGLVFFPIVDKSKYYLICFDLRVPTYYIIDHVNRNGVVEDIYGITPVHVSYRKKQSDVLALNNLRIKYMAKLMKSEYNKYKSMFKKDAEAHDRLDPLQKLAMMNEVKESREKQRRGRHRF >cds-PLY79812.1 pep primary_assembly:Lsat_Salinas_v7:8:19375840:19377536:1 gene:gene-LSAT_8X15080 transcript:rna-gnl|WGS:NBSK|LSAT_8X15080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMDDSSSSSYWCYRCSQFINVPTHRLDSSFFCPDCNGGFIEELGSPTQIPESTLSDSHRRRFPAAALYMVGNEQHSSVSSPSPPVLRRTVRNPGDRSPFNPVIVVRGQTSNANTPPVESGNGRGFEMYYDDGAGSGLRPLPVSMSEFLLGAGFDRLLDQLTQIEANGLGRIDQNPPASKAAIEALPTIEIQEIHTFTESHCAVCKDPFELQTEAKEMPCKHLYHSDCILPWLSLRNSCPVCRHELPSDNQESVSDDNDVAAGLTIWRLPGGGFAVGRRGREREIPVVFTEMDGGFNINGGPWRRRSWDLRGNGGGGNGGLRRFFNNMFSCFSGGGRRGRRGLSSSSSSSSDDGVSHRSRFIPTIFSSSSRRQRAWASDVNNRPQMW >cds-PLY89677.1 pep primary_assembly:Lsat_Salinas_v7:3:184506762:184508546:-1 gene:gene-LSAT_3X110801 transcript:rna-gnl|WGS:NBSK|LSAT_3X110801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAADSFYGVLPLVILSLSVILFLRHRHSQLKTPCPQSYPLIGNLIGFLRNRHRFHDWVSDMLSTTPSLTLQVNGFLGLSHGICTADPTNLHHLLRSNFPNYIKGHRYTSVLHELLGRGIFNSDGHIWSSQRKIASYEFNTKSLRTFITNTVKSQISRSLIPHLSSAADAGELLDLQQVLRKFGFDNICNVAFGVDPGLLTSNSTHSNNLLFVQAFDSAVEHVSNRFMSPLPAVWKMKRFFNIGNERKYKQAIAMVNQFAMDIIKSKETQSDSKTDEDLLSRFMASSWDMGFNDEERRKFVRDIIISFVLAGKDSTATALTWFFWLLAGHPHCRDLIHKEFSMLMASSAYIHPENLNFDELKNLNYLHAALSESMRLFPPVPINSRLTVDHDKLPDGTYVGKGWFADYSAYAMGRMEKLWGSDCREFKPERWLDNNGLYQTLDQFKYPVFHGGFRMCLGKEMAYLQMKSVVVAIMYEFEVEVIGGGGTPEKMVAPPYTLSLLLGMKNGLPVRLKKRQHP >cds-PLY93109.1 pep primary_assembly:Lsat_Salinas_v7:MU039159.1:130122:131637:-1 gene:gene-LSAT_0X9981 transcript:rna-gnl|WGS:NBSK|LSAT_0X9981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVNLIFILLFLVSLVYSKVTLENSGFESPPTNLTTNSTSQFILLDTKTNRIPGWSFNGTVWYVTAGENVSLPGNGHGVQLGPNGMINQTFKPDGNYDYVLTFTLAPSSPDCANSTSVNVSGPSASEVFFFRESLGTEMWQTYAYSLWSQENKKGLMSLQIQSTSNSNNITCWPIVDTILVTGIHGPRWYSDNGFVNSGFEVGPAFIENSSQGVLLEADSSYPDSSVQSPLQYWTILGIVKYIDSKHYAVPRGGRAVELVSGNPSGIVSSVGFLKHGQVTIDFIMGDANDSCVGDFLVFLQVGDTMIWNFTMRSIGVGSREAHSVTFMAEFSNTESVLISFTSFNETRTSNNVLCGPVIDSTVLRFSDGLHSKAHKDIGLVTFSFLLAMTLLIFV >cds-PLY76517.1 pep primary_assembly:Lsat_Salinas_v7:5:12473186:12473780:1 gene:gene-LSAT_5X6700 transcript:rna-gnl|WGS:NBSK|LSAT_5X6700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAAIKAWLVVASIGTVEALKDQGVARWNGPLKALHHHAKTKIVSSYNKSISDGSIRSLFVGAESSSSLSAAEAAGKYSSKKTKAERMKKVMDMNCFGPNTVRF >cds-PLY99443.1 pep primary_assembly:Lsat_Salinas_v7:6:20215443:20216335:-1 gene:gene-LSAT_6X15340 transcript:rna-gnl|WGS:NBSK|LSAT_6X15340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKTRRRNARQAKAVKDFPRPAGKLRPQVHGQTLKYNMKLREGRGFSLEELKAAGIPKKLAPTIGIAVDHRRRNRSLEGLQANVQRLKTFKAKLVIFPRRARKIKAGDSTPEELASATQVQGSVLPIVREKAAAEFVKVTEEMKSFSAYSKLRVERTNKRHLGARLKRAAEAEKEEKK >cds-PLY69425.1 pep primary_assembly:Lsat_Salinas_v7:5:301796375:301797109:-1 gene:gene-LSAT_5X161580 transcript:rna-gnl|WGS:NBSK|LSAT_5X161580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVINGRRRWSYHTTHTKNISFYEQDIYTTVTKDPNSVTSWISSTTENNNGQHHPLVIGLDVEWRPSYRRGVENRVAILQLCVDHRCLVFQIIHSPYIPESLIDFLNNPSYTFTGVRIHDSIEKMVRQYGLGRAEDGLRLAANVVDLGWLAAQVYGKNMHVLGLKSLAKVVLQKEPEMPAFVTVSRWDDQWLFPEQMEYASVDGFLSFEIGRVLISMGASLY >cds-PLY91320.1 pep primary_assembly:Lsat_Salinas_v7:4:245545950:245549546:-1 gene:gene-LSAT_4X130540 transcript:rna-gnl|WGS:NBSK|LSAT_4X130540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDVFGKNSQQPSIPIEPSYITDLIDFLQHVVHYEGDGGHVQTNSKPKADVLGLGWIRGKDPSRVVHYEYWEAIDSTFGLQGGFIWDWVDQGLLKENANGSKYWAYGGDFGVTPNDLNFCLNGLIWPDRTPHPALNGDYYNFFA >cds-PLY65796.1 pep primary_assembly:Lsat_Salinas_v7:5:269954439:269957762:-1 gene:gene-LSAT_5X140521 transcript:rna-gnl|WGS:NBSK|LSAT_5X140521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLDTDVTMVPAGEGSGGAGPSSSTASTSSSTKKAKRFEIKKWNAVSLWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >cds-PLY70065.1 pep primary_assembly:Lsat_Salinas_v7:8:113979338:113985921:1 gene:gene-LSAT_8X76521 transcript:rna-gnl|WGS:NBSK|LSAT_8X76521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKRGGNMVPSSPSHTPRSSDKAVRDIRPEIQMNGKHDREKGVNVQVIVRCRPLSDDETKAHTPVVITCTENKKEVCAVQHIANKQIDRSFVFDKVFGPNSQQRDLYHAAVSPIVFEVLEGYNCTIFAYGQTGTGKTYTMEGGGRKKNGEFPSDAGVIPRAVRQIFDILEAQNAEYSMKVTFLELYNEEITDLLAIEETSKFPEDKSKKPIALMEDGKGGVLVRGLEEEIVSTADEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKERTPEGEEIIRCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINTLVEHSGHIPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHSLEETLSTLDYAHRAKNIKNKPEINQKMMKSAMMKDLYSEIERLKQEVYATREKNGIYIPKDRYLQEEEEKKAMVEKIERMELDAESRGKQFMELQELYNSQQLVNANLTGKLERTEIKLQETEHSLLDLEERHRQANITIKEKEFLIFNLLKSEKALVERAFDLRSELENASSDVSNLFTKIERKDKIEDGNRILVQQFQSELTQQLDILHKSVAASVTQQEQQLKGMEEDMQSFVSTKGQATEELRAGLHKLKSLYGCGINSLDNLANEVNGNSQSTLEHLNSQVSQNSSALGNLFKGIASEAEDLLNDLQNILHSQENKLATYAQQQQEAHCRAVETSRAISGITANFFVTLDMHATKLTEIIEEGQTVNDQKLSELERKFEECAANEERQLLEKVAELLAVSNARKKELVTTSVNGLRESAVTRTKTFQKEMSTMKESNSSVRIQCTNYTKKTETHYLEDTASVENGKKNLNDVLQNCLQKVQMGSQQWSKAQESLLSLENNNVASVDEIVREGLKANQILRSQYSVAASSALNDTNVASNNLLSSINHSLELDHDACRNLDSMIVPCCGELRELKGGHYDKIVEITDNAGKTLLNDYKVDEESCSTPRKREFNLPTTTSIEELRTPPFDELLKYFWDSKSSSPRLILNGGDAKHLEVGAHSMRDSRYPLTAVN >cds-PLY92883.1 pep primary_assembly:Lsat_Salinas_v7:3:181132571:181133930:1 gene:gene-LSAT_3X108240 transcript:rna-gnl|WGS:NBSK|LSAT_3X108240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVWRILGGIATSLLFSAFESWLVAEHNKRGFEQQWLSITFSKAIFLGNGLVAILAGLFGNLLVGSLAMGPVAPFDAASIFLAIGMAIIISSWTKNYGDSSESKDLMTQFRGAAVAIASDEKIALLGAIQSLIRGFNVHTLLKGLEKIIASADIPMFVCGDFNSVPRRLISRAC >cds-PLY71857.1 pep primary_assembly:Lsat_Salinas_v7:3:60146944:60159799:1 gene:gene-LSAT_3X47581 transcript:rna-gnl|WGS:NBSK|LSAT_3X47581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMKRTVQRLKKSVTLDFQQEKMIRHQTFLRNSTHAEGKMTQDVEMKEQQVPEAPSNSVSSTTPVLQHLKEIAALIETGAYAREVRRILRAVRLTIALRRKLKASIISSFLNVALAPGSEAHTRLSSYLPKDDENDMEVDTASSATQTTVKHSPELEIYCYLLVLIFLIDQKKYSEAKSCSSASITRLKNLNRRTVDVLASRLYFYYSLSYELTGDLSEIRGNLLSLHRVATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAALGFRVQCNKWAVIVRLLLGEIPERTVFMQKGMEKALRPYFELTNAVRIGDLELFKNVAEKFATTFSSDRTNNLIVRLRHNVIRTGLRNISISYSRISLADVAKKLRLDSPNPIADAESIVSKAIRDGAIDATLDHVNGWMVSKETGDIYSTNEPQLAFNSRIAFCLNMHNEAVRALRFPPNSHKEKESAEKRRERQQQEQELAKHIAEEDDDDF >cds-PLY64195.1 pep primary_assembly:Lsat_Salinas_v7:7:3495598:3498668:1 gene:gene-LSAT_7X2200 transcript:rna-gnl|WGS:NBSK|LSAT_7X2200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSETPAEVCLVRCPKCESLLPEVTDYSVYQCGGCGAILHASRKPIHSAKVSNEENDEVSNNKSKELQNVNFSSQKTKNLSLDFNQDDLRCNESMQIDQKMENLNINGESGNLEPQINTSRRPFQSSINQDEILRKLDELKDQIVQAKEKTSFSNNLIRSRPPIPSPYQHPYFSSQYVSKDSDARMQFHHLLCSCLICYTNHHRPRPPPPLHYRHDYRKPYTQWSGNLNRPRRWILAAGGRRCRLVAGGSPFIACYNCFELLQIPTKSYGKTLKKLRCATCSQVILISVVDKKLVSNPEKIGGKINKENMHKWRVNTMEFSSNDFDVSGDFDFKWLDRSLSSPPSESSLQDHFDYSTSVSRQSSMKDVATEIRILSNEGSVNNGTSQESLDQQDDTGGHESFLLGMIKKSFKLSRSNDHVDPGKVNVTVNGQPLPDRVVKKAEKISGPIQPGDYWYDSRAGFWGMMGGPCRGIIPPFIEEFNYMMPEKCADGHTGVFVNGRELHERDLDLLASRGLPTDTDRSYIIEISGRVLHEGSGSELQCLGKLAPT >cds-PLY79927.1 pep primary_assembly:Lsat_Salinas_v7:8:16893951:16900499:1 gene:gene-LSAT_8X11840 transcript:rna-gnl|WGS:NBSK|LSAT_8X11840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINYPSDWPEPVVRVQSLSETGDTVIPDRYIKPPLERPSPSFNSTGSSDNLNIPLIDLHLSGPVNRDHPTIQKTTMDEISLACREWGFFQVVNHGIRPELVDGVREIWREFFHQPMEMKQDYANAPTTYEGYGSRLGMQKGAILDWSDYFFLHYLPSNLKDHNKWPSQPPFLRDMVEEYSKEIVRLGNILLKVFSINLGLEEDYLQKAFGDGDIGACLRVNFYPKCPQPDLTLGLSSHSDPGGMTFLLPDENVTGLQVRKGGEWITVKPARHAIVVNIGDQIQILTNAIYKSVEHRVIVNPDKERVSLAYFFNPKCDVRIAPASELVTLTTPALYQSMTYDEYRHFIRTKGLQGKSQVESLKISK >cds-PLY64973.1 pep primary_assembly:Lsat_Salinas_v7:8:161171150:161171816:-1 gene:gene-LSAT_8X107060 transcript:rna-gnl|WGS:NBSK|LSAT_8X107060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIEPENISQPPEFQPRLENNSGGEEDERSTRTGDEDERSPRDTETINHKDQSESNTTYSMEAERTVQIGQNLGFGITVGHPILNEVLGETGVTNINQ >cds-PLY90597.1 pep primary_assembly:Lsat_Salinas_v7:6:50096044:50096622:1 gene:gene-LSAT_6X36360 transcript:rna-gnl|WGS:NBSK|LSAT_6X36360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDVPAATLHVCSSFQSDQPLLPLICHWDAIVAAGRREIAERLMLAGAVRRDRGLYSSGRTQPRFFAVIASFPSAYSVSRLPSPFLFRTIVAADLLLLRLPHAAVRMVVCRCESLDQKIHHHHLKVVAATQFHFSTALCRHQPPWEVAVCEYILCLRFFIHSLGRNHHHRWK >cds-PLY76243.1 pep primary_assembly:Lsat_Salinas_v7:4:52963295:52966481:1 gene:gene-LSAT_4X36080 transcript:rna-gnl|WGS:NBSK|LSAT_4X36080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative recombination initiation defects 3 [Source:Projected from Arabidopsis thaliana (AT1G01690) UniProtKB/TrEMBL;Acc:F4HU48] MKINKACDLSSISVLPPHSRRSSVSCSQPEMSTTFRQSQASSSQKQRSQQSFSQGISSQPNAIFSQFSQNSQDETLTNDQLVFSQERENSVKRFSCLPPVNHTREESQMPLSRSSTGLIRKWGSISEQRGQMSDELEHRIGTIESSLNRFGLMLESLQTDVMHVNKGSKELTMDMDSIRQKLIAHDNSLQTIENSLQMIVNSLQIISKEQEDVKSSLHGELKSLSVKLNQDKCHQNSQEISVLLSGLEEKIGVFILNLHNNLSKSFTNEIQAVACKLKALDQKNPTPALLPPKAVSFCANPQGIAPKNPAVPLKVQMGTRIPKVEMGTWNSVKREKAALTDRDYSKGHKHSRVSQTQLEREWSVVIESDEDSDGGFTFLSKEKETTGVNYF >cds-PLY61976.1 pep primary_assembly:Lsat_Salinas_v7:5:160775034:160775354:-1 gene:gene-LSAT_5X71541 transcript:rna-gnl|WGS:NBSK|LSAT_5X71541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTFPSNSIVGSHIQGVIPQAVAYPRMRRLHAAECECIIDVTNRHPNKLLQPTQRECAALWWIEDVSWMDAADYPGV >cds-PLY95355.1 pep primary_assembly:Lsat_Salinas_v7:5:267857415:267860344:-1 gene:gene-LSAT_5X138760 transcript:rna-gnl|WGS:NBSK|LSAT_5X138760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKVRNNHKEKVVRRKEEKVEQPELPKYRDRAKERREDVNPDYEASELGSFHAVAPPGNVDLLSAEAQRISIEKSKYLGGDVEHTHLVKGLDYALLNKVRSEIDKKPETEDASDGKPRQPKEDLPVSFRTGHAKAVYKWIVKPQTTLKPNEMFLPGRMAFIFDMESGFSHDIPTTVHRSKADCPEPEEMVTVSVDGSVLDRIGKIMSYLRLGSSGKILKKKKKDKDVKGKFSAVSGNYDVEKFLKPEREFLPPPPPPPRSNHSVEIEKVEVEPTVARVEEDDIFVGEGIDYSVPSKDMSQSPLSEDMEESPKRKERPSYFDEPAYGPVPPSDPSQDWQQTNGYDAMQVQGYQGEWQQEYQYVEQMGYPEQYVQQDGQTYDMQGGLTIEGDPHLMTQEEKDRGLGSVFKRDDTRLQQLREKDAREKDPNFISESYSFCYPGYQEYNREVVDSDDEADLSKMDMGGRAKGRLHRWDFETEEEWATYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKITNELHKINKILAKKKMNKGGDANDDDSGGDESPHPGKKLRV >cds-PLY83614.1 pep primary_assembly:Lsat_Salinas_v7:MU041306.1:14307:21464:1 gene:gene-LSAT_0X41301 transcript:rna-gnl|WGS:NBSK|LSAT_0X41301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPAFSSQSWNHDVFISFRGEDTRKTFVDHLYTTLVQKGIYTYKDDETLPRGELINPSLMKAIEESQIGVIVFSENYADSSWCLDELAHIMKCKDTRGQIVIPIFYGVDPSEVRKQKQKYGEAFVKHELENKTKVESWRKALVDASNISGWEPKHIANGHESKVIIEIVEKISHRLQLVSPSTNTNLIGIASRVQSLKLKLQIGSGGVRMIGIWGVGGGGKTTLASSVYEEISRKFDGCCFLENIREKSSKKGLEELQQKILYGVLREKIVQVERVEEGKHMIKHRLCCRKVLIVLDDVDQLDQLKALAGSHDWFGEGSRIIITTRDVHVISTTAPRVDEIHNISLLNDDEAMELFCKHAPCGHKHKKDYELLSKSVVSYAGGLPLALTVLGCFLCGKDINEWRSAIARLKEIPDTNIVEKLQISFDGLTPVEKELFLDIACFFRGQYKNEGIMAMLDACGFYPVIGIKVLLEKALITISDGRFDMHDLLQEMGRYIVRGEHPENPEKHSRVWKKEDVLKICAMDATKELDMIKAVRFECNSYDLVELPSVANLKNLRWIDWRGDLASPFPTNFPPENLCCLILDDISKKRLWRGCKHLPNLKILELVRLSNLIMTPDFDRIPNLERLILRGCQRLKKIHPSIGNLERLIFLCIEFCSGLKIFPPIKRLRKLETLSLSDCPKLFKLSGIQQRMNDLLHLHSNISGKEVGSYKKYSSNFVVTCWTSGDTKIRNPVEDLIDVEECCLEEPCLPRNNNTVLRFFPRGLRKLNLRYCNLGDKDIESAVWEFPNLEELNLKGNHFSRLSFSRWRLPQLKWLDVSWCQLLVELWDLPSSIAVVIADYCFSLESFGDISNCKWLWKVSLCGGNKLGPLVGDILLDSMLQGNALEDRFISVNLGYKMIPRGFVARLFKGTKFTLSLPYDWYNDFSGFLICIVTKASHPRINIIIRQEVDEDILSVLWQGSSEAPADPKYDGSVSIIGYVSFSSLRHTSLLNSSYNMISFSIDGGHLSGLAANSYVGGELVPWGSKGDEVKTTDCSELFWDKENEDGSNTFTIRQHDSKSSIEILWRPYYTQVCGLNSLSETSSFACSHLIVSGVESITFGVRDKTLTVTGDTDPVFIVGKLKKYCRTEIVTIGTAKGW >cds-PLY96514.1 pep primary_assembly:Lsat_Salinas_v7:5:336010036:336011772:-1 gene:gene-LSAT_5X190180 transcript:rna-gnl|WGS:NBSK|LSAT_5X190180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKNLIKYSVVDAFTDTAFKGNSAAVCWLEGIEKDDKWLQSVAAEFNLSETCYLTPIVDKGSENPRFHLRWFTPVAEVELCGHATLAASHFLFESGLVNCNTVEFSTLSGILTAKKVPESRIKDPSFTENGAAQAKLLIELNFPVVAVSDFSDLEVSAISEILNGVSVVDVKKMASDDILVVLSSGKEVAELVPQLDKIKKAPGRGIVITGLAPNGSGFDFYTRFFCPKYGIDEDPVCGSAHCAVAAYWNEKLGKCDFVAYQASPRSGILDIHLDKKNQRVLLRGKATTVMEGSLLV >cds-PLY64418.1 pep primary_assembly:Lsat_Salinas_v7:MU045654.1:31139:33967:-1 gene:gene-LSAT_0X27401 transcript:rna-gnl|WGS:NBSK|LSAT_0X27401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFYFLPPEHSYFHLHDFTTSLSVHSSSRHHDHETIIIFEPMTHPIPLLVFSRRTDIMVEDNNKPVWTTLYSLESTLSKRKEELSAPQQGKPSWRKPYCMMASYCAKRLVCPSGSRNIWESTNFDGHTELTEPWYKVVKARSGAGHTVVLIEDGLSFSFGWNKHGQLGTASTKNECELSPVRCLITDEKDVSCGATKGVSVSDESLVQIQKVL >cds-PLY71811.1 pep primary_assembly:Lsat_Salinas_v7:3:58752533:58753504:1 gene:gene-LSAT_3X46140 transcript:rna-gnl|WGS:NBSK|LSAT_3X46140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQRLPTPPPQTLSSHHNAHTPLGHKSFADIVANRSHVNPHPPPPPISLKQSPRSINLDDKVLVGESISAQHLASIPNILQLDCNTSGTVYYIGGLKLLIRFTKSGEAAAFYNDASNWSKWFKWLKPGFNDDMQFERFSWVCIHGVPVKYRSQANYERIAGAFGKPCESFGGEWNIFDLSTGYVCILTKSRKIINGELDIALESTLARVGVVEFDRDWTPFNRIQTSHPRNAYPTSEANEEEEDNGDECSSNPDGLENSEDDEDDDGISATWEEPGRNLEDVEDGEIVEEANAVLEEVRSPVKFPKNVDESSSHVHECINSKE >cds-PLY87843.1 pep primary_assembly:Lsat_Salinas_v7:2:66467165:66468253:-1 gene:gene-LSAT_2X30001 transcript:rna-gnl|WGS:NBSK|LSAT_2X30001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLFLLSVISYALYNIIKKTKAKKRKQRFFKRNGGLLLKQQQATDVALVDKTILFTSNELGKATDNFNENRILGRGGQGIVYKGMLADGRIVAIKKSKMVDEIQLEEFINEVVILSQVNHRNVVKLLGCCLETEVPLLVSEFISNGTLYDLIQDETGEFSFSLSMRVHIATEVAGALSYLHSATSIPIYHRDVKTTNILLDEQYRAKVSDFGMSRFVSIDQTHLTTLVKGTFGYLDPQYFQSSQFTEKSDVYSFGVVLLELLTREKPISFTRFGENRNLATYFMLAMEEGRVMSIFDAMVVKEGSTNELLAMANMAMRCLNFNGKNRPTMKEVATELERIRVSHVPSTHQTNFGHVTQHDDV >cds-PLY64638.1 pep primary_assembly:Lsat_Salinas_v7:6:33528685:33529900:1 gene:gene-LSAT_6X25861 transcript:rna-gnl|WGS:NBSK|LSAT_6X25861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNAADVDALDFEPDDDDLMDEEAAVDVDASSPGAAATIPKLKSAITGGSAAAAAAPKKTKGRGFREETDTGRGNRMSGRFDSLDSDGGPGPERSIEGWIILVTGVHEEAQEDDLQNAFGEFGEIKNLHLNLDRRTGFVKGYALIEYESFEEAEKAIASMDGGELLTQTVNVDWAFSRGPFRRKNNRRRSPHGHRSRSPRRRF >cds-PLY79164.1 pep primary_assembly:Lsat_Salinas_v7:4:218287105:218291758:1 gene:gene-LSAT_4X122760 transcript:rna-gnl|WGS:NBSK|LSAT_4X122760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKPLCSKAAMSAQMTAFTTSLHNLPSTVAPPSNRRPFSIRSRRKTKEPSSRGGYLMCAINDAKLKVTDQNVSDINGVEPFRGKSGSVSFIGLTHQLIEEGKLVSTPFKENRSLLWVVGPIALISSILIPQFFGLFSEELLKDVVLTEIVFTAASEIMFYIGLATFLLVTDKVQKPYLQYSMKRWSLITGFRGYLSSAFFVMGFKVIAPLFAAFVTWPLLGLAGVVSVTPYLVGCFVQFIFEKVLEKRQSSCWPLVPIVFEVYRLYQLNKAMHFIEKLMFTMRGLPKSPELMEKGGALAAMIVTFEVLAVDCVQVNIGNVDELVVNKAITQDLPQTFPGHPALDEGGRNVLRRLLTAYARHNPFVGYCQAMKFFAGLLLLLMPEEIIANNFSQCLDF >cds-PLY67594.1 pep primary_assembly:Lsat_Salinas_v7:MU044888.1:6035:7411:-1 gene:gene-LSAT_0X43961 transcript:rna-gnl|WGS:NBSK|LSAT_0X43961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVMGRNLALNIESKNYTVSIFNRTGSITEEVINQNKKKNIFPYFSIKDFINSLIKPRCIVLMVQSGQATDETIKLITPYLEKEDILIDAGNTFYKDTIRRSEELSKYGINFIGMGVSGGELGALNGPSIMPGGQKAAYKLVFPMLQKISAKFKGESCISYIGPNGAGHYVKMVHNGIEYGDMQLISESYFLLKYLLNMSNEELSSTFSEWNKGELNSYLIEITKNIFIEKDTNGKYLIDFILDTAEDKGTGKWISKNALELREPLSLITESVFSRYLSSLKKQRIIASKILQGPKIKIFIKDKNSFIEEVRRALYLGKIISYAQGFSQLKRASEKYNWNLKYGEIAKIFRAGCIIRASFLEKITEEYSQNQNIINLLLTPYFSKIANEYERSLRKIVIYAIKYGISVPTFSAAISYYDSYRALNLPANLIQAQRDYFGSHTYQRTDKTGYFHTNWSQ >cds-PLY72696.1 pep primary_assembly:Lsat_Salinas_v7:6:27957419:27957934:-1 gene:gene-LSAT_6X20781 transcript:rna-gnl|WGS:NBSK|LSAT_6X20781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMTLVNMRGRLNPPLPETSFGNFVGSFLAEKSFHDRGEIELRGLAGQLRHEFKEFCDVNMKQVQDSKDGISAILNYSKKIRDVLGRDETEVFTFSSWCGFPLYEIDFGWGKPRWISVTNTPFKNVIMMMDTKEGNGIEVWANLEEEVMAIFQQDDELLAYCSPSKCDDGT >cds-PLY86467.1 pep primary_assembly:Lsat_Salinas_v7:8:4212915:4215240:1 gene:gene-LSAT_8X3160 transcript:rna-gnl|WGS:NBSK|LSAT_8X3160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G16510) UniProtKB/TrEMBL;Acc:W8Q2T5] MSLTSVSDKEVDIVIGAFRSDLTSFMEEWRPIFSRFHLIIVKDPDLKELKLAEGFDVRVYTEADIVKVVGPSKIALFSGYSCRYFGYLVSDKKYIISIDDDCTPAKTNNGDLVDIVAGHINNLKTPATPFFFNTLYDPYQKGSDFVRGYPFSLRSGVPCAISCGLWLNVADYDAPTQALKPDLKNTRYVDAVLTVPSKAMMPMSGINIAFDRELVGAVVMPALKLMKEGKVRWETMEDIWCGLVVKVVCDHLQLGVKSGIPYVWRNEKGSAVESLRKEWEGVKLMEEVVPFFETLKLSPEAVTAEDCVMEVAAAVKERLGSSNAVFSAAAETMVEWVKLWNAVRSQA >cds-PLY74782.1 pep primary_assembly:Lsat_Salinas_v7:6:116020309:116020840:-1 gene:gene-LSAT_6X69760 transcript:rna-gnl|WGS:NBSK|LSAT_6X69760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKNEFVNFLQRFANEMCVNVYFCMSGKDFPDGLRILATKIDYQDFIEVGYADGCVVDNGPDDIQGNVHDDLEHVDCNPMNKTVNDEFLSKLCPKVQSTPNSPPHEDPYLQMEDNEVNLGEVTTYNENAHWKKTKTCVRNAIL >cds-PLY73484.1 pep primary_assembly:Lsat_Salinas_v7:2:100614118:100618286:1 gene:gene-LSAT_2X43080 transcript:rna-gnl|WGS:NBSK|LSAT_2X43080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRLITTKRLASNIFTFKQASRTFCNDASTKLNNVPFETQFNKEDEGVTNDVPQHDIAIVGGGMVGMALACSLASMPLTKHLSIAIIDSNPALTNMPSIKKDDPPDPRVSTVTPATISLFKGIGAWEFVEQHRHAYFDKMQVWDYTGFGFTRYNARDADKDVLGCVVENKVLHKSLLSCLQKKDLKKTIYPARLSSMSLPTRSSVSNGSSKSLANLAKLELTDGTNVYAKLVVGSDGNKSRVRELSGIKTTGWNYSQSAVICTVEHPSENSTAWQRFLPAGPIALLPIGNNFSNIVWTMSPKDASNHKIMNQHDFIKAVNHALDSGHGPRPESRILGGDVIPSFFKPNVTSAVNEGFEIPPKVVKVVSERMAFPLSLMHANDYVAKRVVLIGDAAHTVHPLAGQGVNMGYADVAALSNVIGDGVAVGSDIGEVTLLKKYETERKTANIAMMAVLDGFQKAYSVDFGPLNILRAAAFNGANVFAPLKRNIISYASGERSLPFLS >cds-PLY80094.1 pep primary_assembly:Lsat_Salinas_v7:5:146295516:146296712:-1 gene:gene-LSAT_5X63941 transcript:rna-gnl|WGS:NBSK|LSAT_5X63941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIYIEGKELKVTAESAHDMLGIPSGGTKLTQLDQWPKDDTSYDEWKQQFKKDSIIRLSAIKNVIVSTKQADFNFKLNFLVLFVNMFCESTSMGRCNTVPLSYISRRTDISNTDWCNYVLDYLFFYADNIQSEALTVTHKRPTICYWSVEKIRYREAFEQEKGRFGLGEINEEFVDEHDEGDTDLEDNDYDKDEDHSVEAYESKISKMINSFERLKEKLNSKLNDAMTKFHEKESFRIFKEKMKNMIVEEKTESTMLFNFQVMKLKLKESI >cds-PLY87861.1 pep primary_assembly:Lsat_Salinas_v7:3:44511121:44513354:1 gene:gene-LSAT_3X32941 transcript:rna-gnl|WGS:NBSK|LSAT_3X32941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHWSYLNSDIQIQINRGEAKLLTKDWEGAIVADIKSIAKISPRTKAHASAVGHTLYELVAVEKSSSSTEPTLLLIDIAGYAYLIFDLTL >cds-PLY69255.1 pep primary_assembly:Lsat_Salinas_v7:2:128279695:128283299:-1 gene:gene-LSAT_2X60041 transcript:rna-gnl|WGS:NBSK|LSAT_2X60041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEISIMLRLKEAKQVVRKSVELCRISDLQFNSSNAGIVNNHTADPVMLKGHNGEVTAVDWCSSEIGKIATSADVPYIASGIRSLDNDSTAYGFPL >cds-PLY84362.1 pep primary_assembly:Lsat_Salinas_v7:4:215757147:215757779:1 gene:gene-LSAT_4X121761 transcript:rna-gnl|WGS:NBSK|LSAT_4X121761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSITKKGLTSISPFRFKEKSTNCFCLFPKVKSRYGPGNSPVYLNVYDLTPMNGYAYWAGFGIFHSGVEVHGVEYAFGAHDYPSSGVFEVEPRQCPGFKFRRSILIGTTCLDPMQVREFMELHAAKYHGDTYHLIVKNCNHFCNDVSYNLTGKQIPGWVNRLAKLGNFFFPFLFHFSTSYK >cds-PLY95130.1 pep primary_assembly:Lsat_Salinas_v7:1:94508461:94511403:1 gene:gene-LSAT_1X78621 transcript:rna-gnl|WGS:NBSK|LSAT_1X78621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNTIPDVITCKAAVVREIGGAITVEEIKVDPPKALEVRIKMLCASICHTDILACNGMPFPLFPRIPGHEGVGVVESVGEDVKTTEVKLGDVVMPLYMGECGQCLNCKSGRTNTCHVYPITLNGLMADGTSRMTVAATGEAAYHLFNCSTWSEYMVIDVNYVIKVDPKISLPHASLLSCGFTTGLGAPWKEAPVTKGSSVAVFGLGVVGLGAIKGAQMQGASKIIGVDINEKKASKGKVFGMTDFINPQNHPDRSVSDLIKDITDGLGVDYSFECSGVGPLLNEAIDASKIGIGTTVAIGVGLETNWVIKNLSLLSGRTLKGSLMGGVRTQSDLPIILNKCVNKEIEMDQLLTHEIRLENIQEAFKIMKKPDCLKILIKF >cds-PLY62866.1 pep primary_assembly:Lsat_Salinas_v7:9:130825679:130825882:1 gene:gene-LSAT_9X85200 transcript:rna-gnl|WGS:NBSK|LSAT_9X85200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCFCFLVDQTHQVRWCKPIVGLCSWCGGGASVADMKTATMFCYVLFYWKSWKAIMCTLCGAILKTY >cds-PLY70356.1 pep primary_assembly:Lsat_Salinas_v7:4:99122390:99123404:1 gene:gene-LSAT_4X64200 transcript:rna-gnl|WGS:NBSK|LSAT_4X64200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDGSSSSEEEEEVKKEIRKEHYSSTHKILLVGEGDFSFSLCLARAFGSARNIVATTVDTHQELAKKYSNAIENLIELQQRGCVVVCGVDATTMSHHFFLTTQRFHRIVYNFPHVGFAFPEDNGCQILLNKELVIGFLMNAKVLLKKENGEIHVTHKIGEPYDKWDLVKEAEKIGLVFCKAKPFFKNKYPGYAQKRAHGRAPDEPFNVGESKTFMFRLSG >cds-PLY75124.1 pep primary_assembly:Lsat_Salinas_v7:4:62446868:62447218:1 gene:gene-LSAT_4X41021 transcript:rna-gnl|WGS:NBSK|LSAT_4X41021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAMKDVGYETNNKTYSQLIFGLCKARRLEDAAKVLDEMQENGCNPDIKTWTILIKGHYSANEVDKALIILANMIEKGCEADANLLDVLVNGFLNQNKDIEAHHVLVEMTETRQLG >cds-PLY81283.1 pep primary_assembly:Lsat_Salinas_v7:2:26352694:26356094:-1 gene:gene-LSAT_2X12940 transcript:rna-gnl|WGS:NBSK|LSAT_2X12940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRARLGLYVFCRRSLFEQCYDLQPTFQLLLQRPDLLALNLHEVEPATDRPVEDTEPKNFVSGIDEMARIVNFRMHQVYQARMMGNQFSYYSGEMGTGGPRGSPRYLNSQMWVLECKHIKNGELRVGVRRLMKLLNNMPSSSHNMHLGVLATASHAAMPLQLEPFFLVFYKPRGVKENPEFSTGCKMEVKVFNELVVSDTSKGLVTDFTFTANVKGSVARKKLPQGLGEKALSNVNGVLDYSQFKDIDMVIESSSRDATTGDS >cds-PLY86841.1 pep primary_assembly:Lsat_Salinas_v7:1:16255166:16256419:1 gene:gene-LSAT_1X13781 transcript:rna-gnl|WGS:NBSK|LSAT_1X13781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKIVPDKHSRRHSTGNLAFEYADVSSRYLKDPVSSCHDNCKPESTTPAPPEKSNKTPPISKRIFSQLIPKHSTKDVKTTTTSLLKPLPMEPKKQNFLKSKSKIKSTDPFYIPPSTTHRRRYSEILLPTGGLGDVLDQPPVPGLTRSRSSSKKIEPKKDPNSNSKPNSVKTLENGNISKKVQTSKPQKPVVDHGSRKSKPTKPVEEKTDETRISTSNSDERVIENDSNESQKDETLRSDDWNDESEIPSTSKKDETLRSHDQSNDGVAAASGGKKPETPRRVGKSVKENGENLAQKIKLKQGKIVDAQSSGCSTPTKHKSRQQVLDEKNQSQNQNQDDDDKRGSLRRMPSGGGLQRQGSSKLVNVVLKAQEVEKNKNKRHSGLNNVIEETASKLIQTRKSRVKALVGAFEMISESKL >cds-PLY65972.1 pep primary_assembly:Lsat_Salinas_v7:4:139065840:139068407:1 gene:gene-LSAT_4X87281 transcript:rna-gnl|WGS:NBSK|LSAT_4X87281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PETER PAN-like protein [Source:Projected from Arabidopsis thaliana (AT5G61770) UniProtKB/TrEMBL;Acc:F4K3M1] MARFRNKKKVVFVKPAKKQPQQNVDHVTGDKIPRSFVFSRLKLPGSLKQLQADLRKMMLPYTALNLKEKKRNNLKDFLNVAGPMGVTHFLMLSKTGSSPYLRVARTPQGPTLTFKIQEYSLAVDIANSQLRPRVPKDLFKNSPLIVLSGFGTGEQHLKLTTIMFQNIFPPIDIKTVKLSSCQRIVLLNYNKETKLIDFRHYSIRLQPVGVSRRIRKFVQNHQVPDLRSLQDVSDFVTKAGYGSESEGDDEAATVSLASDVGRVNKASTKSAVKLQEIGPRMTLQLIKIEDGLCSGTVIFSEYGNSEEKKEESEEGSEGDAEEGSEQEEEDIMDE >cds-PLY81745.1 pep primary_assembly:Lsat_Salinas_v7:3:32312022:32318798:1 gene:gene-LSAT_3X24140 transcript:rna-gnl|WGS:NBSK|LSAT_3X24140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKETKYKQTIIKTKLRGVFEVTEAKMEADEIFQIEEQFESPLTVLPSLREKHGEFMLRELVRRWSNHKVMVRWLSRFFHYLDRYFITRRSLPALKEVGLTCFRDLVYQELHGKVRDAVISLIDQEREGEQIDRGLLKNVLDIFVEIGMGDMEYYENDFEAFMLKDTSAYYSRKASSWILEDSCPDYMLKAEECLKREKDRVSHYLHFSSETKLLEKVQNELLSMYATQLLEKEHSGCHALLRDDKVDDLSRMYRLFSKIPHGLDPVSSMFKQHVAAEGTALVKQAEDAASNKKAEKRDVVGLQEQVFVRKVIELHDKYMAYVNDCFLNHTLFHKALKEAFEIFCNKGVSGSSSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVIFKFVFFIYIYIYFQVTDLTLAKENQSHFEEYLGHNTNVNPGIDLTVTVLTTGFWPSYKSFDLNLPIEMVKCVEVFKEYYETKTKHRKLTWVYSLGTCNINGKFDSKTVELIVTTYQASALLLFNLSDRLSYQEIKTQLNLSDDDVIRLLHSLSCAKYKILTKEPNTKTISPNDYFEFNSKFTDKMRRIKIPLPPMDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGYQQLVMECVEQLGRMFKPDVKAIKKRIEDLITRDYLERDKDNPNLFRYLA >cds-PLY95183.1 pep primary_assembly:Lsat_Salinas_v7:6:168894762:168896186:-1 gene:gene-LSAT_6X103521 transcript:rna-gnl|WGS:NBSK|LSAT_6X103521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGSPYLQHPKDFESSGGSGGGFQDYGSVSDPFDIVITKSTSVDSLKRWQVGMETEGFAIYDAMMQLKNEIHIVGVGSAIGHACLLLATGSKGKRFMMPHAKAMIQQPRIPSSRLMTGSDVLIHEKEVIINKDTLIVLLSKHTENVIHCSFFFSI >cds-PLY85502.1 pep primary_assembly:Lsat_Salinas_v7:2:195376097:195376763:1 gene:gene-LSAT_2X117560 transcript:rna-gnl|WGS:NBSK|LSAT_2X117560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTRDFDVKKLLNDKKFWLASFLIGWAAALQGHMMWLQRQDSFKQKFGTLDDQITDDRQDSTHAS >cds-PLY62319.1 pep primary_assembly:Lsat_Salinas_v7:3:210799511:210803489:-1 gene:gene-LSAT_3X124900 transcript:rna-gnl|WGS:NBSK|LSAT_3X124900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADILPIGTILAVAINQVIKTAHAANDIVIEKESFKILSSHLFDILRVLKELELQKLNESPLARKALENLETDVKKANTLVEKYKNRGRFYLLIKCRHIVKEVQDVTRDIGKSLNTLCLANTEVLSGISDEISRLQNEMQRAEFATCQSHLQILDKLNEGLMNQKLDQGFANDIIEDIAMAVGVVIEPSEIRKELDSFKREKEEAENRKERAEVYFLEQIIELLSRADAARDYEHVKDQYMQRLRVIERHDSKSESIPPFKAFICGITKSVMVDPVSLSNGTAYSRVAIEEWFGSGEKTDPVTGEELEDLSFRSNIQLRQSIEEWKELNYCMRIRSCKFKLMMENDESVKDALFQIQELMKENVINKDWISIGGVTKLLIEILPNLCNSQVKTDVLVTLKDAVEGHSRNKDLLVANKGFCNIVPCLGCESSLSKASLELICELVLEESSQNMDHAREISQQCNPVSFLVTILKGSEMELAEKAQKILQKLVDFDDKNAILAAKKEWYRPLVGRIIQGPKETKMAMLRGIVDLELEEENTKLVCSLGLIPPLLEMASADLESKEVSLSMLVKLLTNSDNKTLFAAFDGVPLVINLMRSLPLPFLILSKCSQILEKLTSNGDGIKFLVDINGVKLNLESLVENLLAFLQNSRLPYTVLRPVLRVLFKICESDAGLVKTAVVTASGVSRVLTLLDHSDSETREAAINLLFLFSNHEPQGVAEFLLKPRRLEAFIGLLENSGKSDVQKAAVGLLANVPKSEVELTKKLIELEGLKAIIDILESGSTEAKENALSALFRFTDPTNIESQKIVVELGTYDLLVDFLKKGSVTAKARAAALIGDLSMRSSELTVTSKSNRWCSCFGRTGAAMCAAHGGICSVKGTFCVLEAGALPDLVKLLQSEVHATTYEAIQTLSTLVQKESPRRGAHVLHDCGAVVPILEVLNWGSESLKVEALEVLEKVFMLTEMVDWYGAGARIPLVRLTGGSIHEDGHLHRKAAKVLLLIERHSRRSSTSLVAGFNG >cds-PLY75071.1 pep primary_assembly:Lsat_Salinas_v7:9:21625254:21629765:-1 gene:gene-LSAT_9X19520 transcript:rna-gnl|WGS:NBSK|LSAT_9X19520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVKVASGCLNWLQSSNYWPPSSSPQTLASAISSPPRRRRGLTDVALFFRCVHRPAIFGLNRSQSFESKGRKSIKHVVSASLDANFSDEEFCREIQELALLFQVSDDDENTTKDSGDVLESEMQNLEPFVSSKVEQPDWVGDMIPASIERKANSMELPFSLRIIQKKKQWQEGFREAGESAYCSVKKAFSSMVFIIRELQSYTLHMREALFYEDLQEVLVRVQKEMNASFVWLFQQVFSQTPTLMMYVMILLANYSVFAMSSNVAFAVTPPPTTIESISIIENQSHTKIDSLPIKTFPVNSIAKTTSIGGVNGGGGTFRPVAGGTDGEDSFDGAVAHHRKIIPDGVSSSSTINPSISGQATQEEESGLWKSIVGEADNMHGLIRDGVLDRQTMQKFVSPVTAKVVEEEVDGESRFRTELFYQMGLSEEPDNPLLLANYAQFLYIVAQDYDRAEEYFKRGSKVEPKDAEALSKYANFLWEIRKDLWGAEQILLEAIAIDPLNSFYAATYANFLWNTTTTSTSDEDDACFPFDSPQI >cds-PLY75967.1 pep primary_assembly:Lsat_Salinas_v7:5:248214677:248215024:1 gene:gene-LSAT_5X124841 transcript:rna-gnl|WGS:NBSK|LSAT_5X124841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGTKKPKGPKLPEKTYLPDSDSDEDAFDFSFLDFSKETFKAPSRLCDDQFLNLLCDKNILRRSIDGMVDDGDIPGVQQKEHAYLDEDSEDVGVEYRVHDPNVDWKDTRPRL >cds-PLY64057.1 pep primary_assembly:Lsat_Salinas_v7:8:94122967:94123560:1 gene:gene-LSAT_8X65120 transcript:rna-gnl|WGS:NBSK|LSAT_8X65120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVEPAFAMAPASSLVAIQRSSWQSQVPYLFAGLAAMFGLIAIAVFILVCSFWNLSHYTDNRIDGERDLEPGNGDEGSTIDNYNKEPLVVEEKYLVIMAGLANPTFLAIPTLSRPKSNTMSNSTSLSMEKASASMVEMVEKQNQGSSNQQQNVHLAMKIDWET >cds-PLY87146.1 pep primary_assembly:Lsat_Salinas_v7:5:266159609:266161641:-1 gene:gene-LSAT_5X137700 transcript:rna-gnl|WGS:NBSK|LSAT_5X137700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSHLFAGMPDFGNNKKFFFRVFASILLFSAVNGIVVGVNSQSPNINIESSTAHVIVKSSCSATLHPDLCYSTLSGIPEITEKITTPKDVIELAVNKTKEIVQRNYFTIKKLTVKTDLTKRQKIALHDCLEMVAETLQELNQVLAILSAYPMKKGSRRHVDGLMTLMSTTLTNQETCIDGFSHDKHDRKLRESLIAGEIHAEKLCSISLAMIKNMTDTDMANQVEINGRKLKEEEDDEWPEWLSIGDRKLLQLGTVTPNVTVAANGSGNFTTISAAVAAAPASSATRYVIRIAAGVYRENVNIPSNKRNLMFLGSGRTSTIITGSRSVAGGSTTFNSATVAAVGAGFLARDITFQNTAGPSGNQAVALRVGSDLSAFYLCDMIAYQDTLYVHSNRQFYINCFIAGTVDFIFGNAAAVFQNCDIHARRPNPNQRNMVTAQGRSDPNQNTGIVIQKSRIGATSDLLPVQGSFPTYLGRPWREYSRTVVMQSTISNVINPAGWFPWNGDFALNTLYYGEYQNTGAGAATANRVTWRGYRVIRDATEAGGFTAGNFIDGGSWLRATGFPFSLGL >cds-PLY96082.1 pep primary_assembly:Lsat_Salinas_v7:3:100685641:100693887:1 gene:gene-LSAT_3X73641 transcript:rna-gnl|WGS:NBSK|LSAT_3X73641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEGQNLVADSQISDRVQPIGDGSKVVGGMSDVIESVAGGGAKVELGSGGGGGAVLKKKRGRPPKGMVKPPPAKKNKEVEEEEDVCFICFDGGSLVLCDRRGCPKAYHPACIKRDEAFFESAAKWNCGWHICSICEKTAHHMCYTCTYSLCRSCIRKSDYVCVRGDKGFCTICMKTIMLIENNGQGEDGKVQADFDDKLSWEYLFKVYWVYLKGKLSLTLDELTQAKNPWKISTTISTTPSPLPSITIITPPPPLLPPPPPPPTAAAVAPPPPPTATPPPPPPPTATTTTTSTSFDNREENESKRRKIEDHIHINTTPPHKESVITEKPLIKKDELGSKDWATKELLDFVSYMKNGNTSVLSRIDVQSLLMEYIKRNNLRDPKKKNQIICDSRLKNLFGKPRVGHIEMLTHLEYHFFIEEDLCKTTVNNSVNTTGILDDPDWTNENVVVVKEKKRRRRSKGVEMERGSQNKLDEFGAIDVHNMNLIYLRRDLMVKLLEDSGSFHGKVVGSIVRIRVSGSDQKNDMYRLVKVVGTSKVDVMYKIDGKLTDFMLEVLNLDKKETISIDTISDQEFSEEECRRLQQSIRCGLVKHFTVGEIQDKAIALQSVRLDDWMEKEILRLNHLRDVANEKGHRKGYPECVEKLQLLKTLDEREHRLQEIPEVHSDPKMNPDYESDDTEEYFNKEHGDDMESKYCGVKSSRSPKKRAGSSNDANGRTRKNEQLKNLNVSNDEEGGNTKEGNTKDTPGLGKTEHEIECNGSTITKLSSSVSNSVQETTTIPSSSVPIKNNTFCLNETLWHYLDPNGKVQGPFSIIQLQKWSTTGYFPVDMRVWANNENKSVLLNDLLKEQFENHDHENGNGNEIPGDKDCNQTVASEIPTPVPIPVPSPSSDVSVIPSSAPVSVNHEKFSDGSSGHGQNWNGNNNLKFNMTGVVSLTMSNESTGNLHSGDAPRETETETEQVPTDSKDPETAVFEVPGSIPEKVIDEEKKIESAPESKIPIPDSGNPPSWSSASSLVVGGAKLAAETDGWGVKREEWESMKPVEVAGDHVATPTSNIDQNIDQNIDQNAHPSQPGNDLPTWHGMAEMIEFSTLAEESVSDLLAEVDAMESQYGLPSPTSRRNSFVDDLFNGSFDEFSPTPDQGTRSDGFSSSGDIQLPCHSTTPDEHQHQHQQQHQHQHLVGFDFMKMSQNPFIAPQRSSNPGNMGFKWPESEGGMIDINRSAKAEGDDVDIETKINVGNGNYIPSVAPVHSGISYGGGIVHPSEFKSGELMQAPKMGGSRMMTKAFPVSEEEEGEFIQPEAPQPPLLPPPLTLGLDPFDPRELDSDKYSHSGRQGNRSGNIEWEQSQNQRRYGGGAGGSGGERYNSSGRAHHDEDTGYSRSSRSSWNKQSILGSGGGSGGGGGGGGGGSGGGGYSRPQSKGQRVCRFYESGRCKKGASCKYLHP >cds-PLY84947.1 pep primary_assembly:Lsat_Salinas_v7:2:123940729:123943749:-1 gene:gene-LSAT_2X57080 transcript:rna-gnl|WGS:NBSK|LSAT_2X57080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLIPTWQASVLGGVLAWIVVSSMFNVTRIIRSLTQPWVSSCVISGIPIILQIQKYQHPFLDALFTGLSCLVSVPFYTGFLPLLFWSGHGKLARQMTLLMAVCDYAGNCIKDVVSAPRPKSVRILTVTSDEKDFAMEYGLPSSHTLNTVCLSGYLFHYILSYNETSNAYFHLLGFAFVCVFVGLIGLGRIYLGMHSVIDIIFGLFLGLAILAFWLCVHEHVDKFVVSGQNVTSFWAALSFLSLYAYPTPELPTPSFEYHTAFTGVALGIVTGVQQTYDNFHHEHVPRVFTSQLPIAAFCCRILVGIPTILLVKFCSKALAKWILPITANALGISIKSSGYVPGLTGSSMVKKSNEVKQSNGYLQKMLFFSNQDSFDVDTGIRLLQYAGLAWSVVDLVPSIFSQLNL >cds-PLY92570.1 pep primary_assembly:Lsat_Salinas_v7:7:160300698:160303027:1 gene:gene-LSAT_7X94781 transcript:rna-gnl|WGS:NBSK|LSAT_7X94781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIPKKSRSGIVVIKNWRNQFSISSIRLPNLRELLEKSTDSDSIHVKNPQYTGLVAFLVESILFTRNQLRTILDLADKGKKVVKDNTKKNQVRDNVYVFVDNADKGRKFVQENIIDDEMRNDDWMDSSPSVPRFDIIFYGSKSFEDFKEKIDFLFKSYSYLKPTIDHWINRAWNQFPCNKEISEYVDKRNVEFNKLHQNIVLSCGPEVNQKDEVSKDFSNVEKQSEDVPFCQELRDVQLDQLSMTQTLDHPKVQEQLEVLINSNEVLDDHSIAPSKILSDPIVSNQFHDPDVIISNPLGKPSPVYSDHALVIGESSTSIVGEVVGLKHVGVVENLSQGQLFDSNIALDAGFRTPNDPIPLNSYAEKIKQLIIFSTIPIQSYNINAKPGRPKRDLQPTLALCSPYHKKEVDTIERVSRFGDA >cds-PLY95031.1 pep primary_assembly:Lsat_Salinas_v7:5:227323928:227325268:-1 gene:gene-LSAT_5X107081 transcript:rna-gnl|WGS:NBSK|LSAT_5X107081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSLNKTLILLVLVLATFTTMAQGQGSRGRGQGTRVGFYRATCPRVESIVQSAVESAVQANRTIAPGLLRMFFHDCFVNGCDASVLIEGPSTEKASGPNSLLTGFEVIDAAKAQLESACPGVVSCADIVALAARDSVVLTGGRRWQVPLGRRDGLVSQASDTANLPGATDPITVQIRKFADKGLNTQDLVTLVGGHTIGTAACLLFSYRLYNFNNTNGPDPDINQAFLPQLRALCPNGGNAFRRVAMDTGSVNSFGNSFYENLRNGRGVIESDAKLWSDRRTQRYVQGFLGVRGQPGSRFNAEFGRAMVKMGNIHVKTGRQGQIRRVCTATN >cds-PLY79475.1 pep primary_assembly:Lsat_Salinas_v7:3:248390009:248392620:1 gene:gene-LSAT_3X137140 transcript:rna-gnl|WGS:NBSK|LSAT_3X137140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMELLIAADATPPLSVLATAEVAGVSLTVNLNPTLTVGSPPVLLLTDGMKLRGTNVIVKYLGRTSTTVPNLYERDAFETGQIDKWLEYAPILSRGSEFECACKYVDGYLLHRTFLVGHSLSLADITIWSYLAVXESLLKSKKYQNLGRWYTMISTQHAAVASASSKKKELSCSTNVKGDDDDSNRPEADLPYAEMGKVLLRFAPEPSGYLHIGHAKAVLLNQYFAQKYKGKLFLRFDDTNPAKESNEFVDNILIDIATLGINYEKITYTSDYFPNLMEMAEKLIKEGKAYVDDTPKEQMRYERDKKIESKCRNQSVDENLKLWNEMGASMNLNLMEWDKLWNINKKMIDPVCPRHTAILEENRVLLTLLDGPHRPFVRVIPKHKKYTAAGDKTTTFTNKIWIEQADAKAISPNEEITLMDWGNAIVREINKDKNGNVTELTGVLHLEGSFKTTKLKLTWLPDTNELVPLTLVEFRYLITKKKLENEEDIVPVANKDTKKEVGGVGDSNMRRLKRGDILQLERKGYFRCDVPFITPSKPIVLFAIPDGRQTATK >cds-PLY82026.1 pep primary_assembly:Lsat_Salinas_v7:9:155443484:155445305:1 gene:gene-LSAT_9X97620 transcript:rna-gnl|WGS:NBSK|LSAT_9X97620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSFKRDFGDEEAYAAVIEHSCGVILTEKSTMEVALKDGLEKFLDSAVLNEWMEKMNELFKEVQEGASNKKVHEPECCNELKMSDVGDGGEVNSSPVRGLILTEVYRRKQLMSGINGDNVVRRNIGEAVDNAAEDDDNEKRENVSLKRQKYFIRPILKELLRDEIWDIGTGHLLHQGFAYQFNQGMFLHSRVIDCWAALLNKMENYKEESPLSRFFFDTTIVTEEILNELKSKDLKCRLLLLYCESTLINLMSSQISEMLPLFSSQ >cds-PLY68968.1 pep primary_assembly:Lsat_Salinas_v7:9:139103779:139104877:-1 gene:gene-LSAT_9X89320 transcript:rna-gnl|WGS:NBSK|LSAT_9X89320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYCNDKKKNCLLVYDYTHILKLNLSHFLFGDVTKPLSWITRLRIMIGVARGLAYLHSSKEQVIHGGIKTFNILLDKNFNAKLGHFGLAKGRPKFSESDTSTRDMDTLRYFDQEDRLNGHLTITGDIYSFGVVLWETLTGQRAWEPQSLFSTFTLVEWASPFLEDKQKLKDIIDPCLKNNYPLDGAFHCLALATRCVAKDHKARPSSKQVLWRLQQIYSVNK >cds-PLY74680.1 pep primary_assembly:Lsat_Salinas_v7:5:177300529:177300714:1 gene:gene-LSAT_5X79441 transcript:rna-gnl|WGS:NBSK|LSAT_5X79441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METQMDEPETTIIEINLISTKGLKIPSPAMMRRMHTYALTWVNSNAKLCSDLDCVGGENPT >cds-PLY74076.1 pep primary_assembly:Lsat_Salinas_v7:9:14110784:14111536:-1 gene:gene-LSAT_9X11040 transcript:rna-gnl|WGS:NBSK|LSAT_9X11040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANWRFMLLVVLTAVVVESTIARDLPSDTNKAAVGLTEQKNIVSFGGLGGFSGFDNNGQPIGGGGAGLGIGTSNGIGGVGAGYQFGGPGAAAGGVGTFGGLANGFAGLPALGGGGIGGGGPGAGDDSGAVPLP >cds-PLY74285.1 pep primary_assembly:Lsat_Salinas_v7:8:164979107:164980827:1 gene:gene-LSAT_8X109261 transcript:rna-gnl|WGS:NBSK|LSAT_8X109261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACAFEQYTLPKLYVKMQYCVSCAIHSKVVRVRSRVDRRNREPPKRFSRPRDDGPKPGQAPRPGGGAGPAPVRT >cds-PLY94071.1 pep primary_assembly:Lsat_Salinas_v7:4:172927215:172933043:-1 gene:gene-LSAT_4X103101 transcript:rna-gnl|WGS:NBSK|LSAT_4X103101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT3G16170) TAIR;Acc:AT3G16170] MNRCSPFITILHNNFNKKVFFFNYFLTHYNPPFISSFHQSRRFSASGCRTSMEVVKEIANHGSIALKADDKSYAYNHLVSSASKISLLLSNHDQKLDNNKSLNGARIGVVAKPCAEFVAGVLAIWLNGGVAVPLALSYPEAELLHVMTDSDVSMILSTEDHSELMQKVAAKTAAQFSLIPDVPIMTSQTVDNQKEIVFANNIKDDDPALILYTSGTTGKPKGVVHTHSSILAQVKTLSTAWEYTSSDRFLHCLPVEFMSKFSVSGIWKRWRESYPKEGAKLDDAITVFTGVPTMYTRLIQGYDAMDPDLQAASATAGSQLRLMMCGSSALPLPVMQRWETITGHRLLERYGMTEFVMAISNPLKGMRKGGTVGKPFPGVEVKILTEDNVNDGVGELCVKSPSLFKEYWKRPEVTKESFIEGGFFKTGDAVRVDEDGYYVILGRTNADIMKVGGYKLSALEIEAILLEHPAISECCVLGLPDNTYGEAVTAIIVPDMEIKKAREKDLKPAISLDELSSWAKQKLAPYKLPTRLFLWESLPRNAMGKVNKKELKKTLAAEEQ >cds-PLY82036.1 pep primary_assembly:Lsat_Salinas_v7:9:154497139:154500802:1 gene:gene-LSAT_9X97981 transcript:rna-gnl|WGS:NBSK|LSAT_9X97981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIDGESDLVHVSVENGKKENGHVAENESDSVRVEKTPEISFPNNAVDEWPEAKKFHSVYFVKYRTVEDQNLKAKLDQADKELRKLNQARDPITEKLRAKRTERAQVIGQLKALVEEKNQFRSIMDEKRKEIEPLQQALGKLRGPRDANRDNRSFICSSEAELNDVIKSMQYRIQHESITLTEEKQIIREIKQLEGTRDKVIANAAMRAEVQKSVGEKDAIQDQVKLIGVDLDGVRKDQQAIKAKVKTLEAEKEAINGVIASLEEELHAVVEKRDKVYDKIRELRNKREEGNTCFYQNRTLLNDARRLAAEKDVNALKELSTSEVDKFMLQWNGSKAFREDYEKRILQSLDIRQLSKDGRMRNPGEKPLIAPESPIPVPEAEVVAKPKAKQPKEDPVPLPTPTPVEKEKDDKAQKEVNANGVVKGKKGKVEEKEEEEEVFRVEKKPEVKEVDESKLKELKREEEIAKAKQALERKKKLAEKAAFKAKKKAEKEAEKKLKDKEKKEKKKMQASTPAAEAEEAVAEEAAEAPEEEKATETVKIPVKSKERKENNAKIRSRSIRGKGPESISKVILKRKKSNNYMYYYAAAASAIVVVLLAVGYKFYA >cds-PLY84491.1 pep primary_assembly:Lsat_Salinas_v7:1:30235495:30237741:-1 gene:gene-LSAT_1X26061 transcript:rna-gnl|WGS:NBSK|LSAT_1X26061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEYGTDTNSTGVESPLLINFVDGVVDYRGRPVLRSKSGCWRSAYFIIGVEVAERFAYYGVSSNLITYLTGPLGQSTATAAENVNTWTGTARLLPLLGAFIADAFLGRYLMIIIASLLYVLALGFLALSTFIPSNCETANGGSSCSSQLQVVMFFISLYLVAIAQGGHKPCVQAFGADQFDADDPVERKAKSSFFNWWYFGMCAGPTVGIFVLSYIQDNLSWALGFGIPCIIMAFALIVFLIGTINYRFAAKTEEKSAFLRIGHVFVKAARNWKSAPSIMSSDEEAFGIFDHQRSKQFRFLDRALFKGSNEDGTVCNVDEVEEAKAIHRLVPIWVSCLGYAIVLAQTTTLFTKQGTTMDRSIGSNFKIPAATLQSFIGLSVMILIPIYDTILVPFTRSITKKPSGITMLQRIGIGILISIVSMVVAAVVETKRLETAREYGLLDDPNATIPMKIWWLLPQYLLAGAGDVFTMVGIQEFFYDQVPSDLKSMGLALYLSIIGIGSFLSSFLISIVGKMTGGNGEDGWISDNVNRGHIDYFYYLLAGISVGAFMMYIYVARSYVYNRERGL >cds-PLY88785.1 pep primary_assembly:Lsat_Salinas_v7:4:94458565:94463065:-1 gene:gene-LSAT_4X61761 transcript:rna-gnl|WGS:NBSK|LSAT_4X61761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPSVTNGSDIAIGKSPVTSRASSVYSEVQNSRLDHPLALPSVFQKPFKVVNGPESSAAGNPDEIAKLFPSLFGQPSAVLKPSESNDPALALKIGVVLSGGQAPGGHNVISGMFDYLQERCKGSTLYGFKGGPAGIMQGKYIVLTPEFIYPYRNQGGFDMICSGRDKIETPEQFKQAEDTAAKLDLDGLVVIGGDDSNTNACLLAEYFRSKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEQIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITLIGEEVASKKLTLKKVTNYIADVICKRADLGYNYGVILIPEGLIDFIPEVQQLISELNEILANETVDEGGIWKQKLVPQSLQLFDLLPSAIQDQLLLERDPHGNVQVAKIETEKMLIQMVETELDQRKQIGQYNGEFKGQSHFFGYEGRCGLPSNFDSSYCYALGYGAGALLQSGKTGLISSVGNLAAPVAEWTVGGTPLTSLMDVERRHGKFKPVIKKAMVELDGAPFKKFASKREDWAIYNRYISPGPIQFSGPVADISNHTLLLELGVEA >cds-PLY94548.1 pep primary_assembly:Lsat_Salinas_v7:2:157070274:157070556:-1 gene:gene-LSAT_2X81680 transcript:rna-gnl|WGS:NBSK|LSAT_2X81680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYVNLPWLKWDDTDVVPSTPIIQTPKLWDPSQDLELIQEQQGKQQQPWKSGPTST >cds-PLY69554.1 pep primary_assembly:Lsat_Salinas_v7:8:83903690:83904642:1 gene:gene-LSAT_8X58521 transcript:rna-gnl|WGS:NBSK|LSAT_8X58521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSILGSNGMVIATAMVAGTAIFIAFCLQKQPTTTAGATRFPIIHHPRPCISTDGKKKKKKGGKKKRVQFAEDVMEPSGNGDEFRRLKSKNHQLNRKYLTILKDEGGKKKLSRNLKGLPENRMALYSGILRDRGVHRVAYCC >cds-PLY73701.1 pep primary_assembly:Lsat_Salinas_v7:5:202798831:202801372:-1 gene:gene-LSAT_5X94240 transcript:rna-gnl|WGS:NBSK|LSAT_5X94240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCVHDTDKDNLSHSAEDSSAQLASDQITLSNSFPMDSIGENAVVTHEKQGNFIPVLRSGDWSDIGSRSYMEDTHICIPNLAQNFGDEVSHKEIVSFYGVFDGHGGKGASHFVRDQLPRIIIEDANFPLELEKVIMRSFMETDAEFAKSCSIERSLSSGTTALTAMIFGRSLLVANAGDCRAVLSRHGLALEMSRDHRPCCHKERTRVESLGGFIEDGYLNGQLGVTRAIGNFHIKGLKGIGDNCGPLSAEPEVKMVTLTQEDEFLIIGSDGIWDVFRNQNAVDFVRRRLQEHNDVKRCCKEMVEEALKRGAVDNLTVVVVCFQAEVPPQVVVERGRVRRSISAEGLLNIKFHLDS >cds-PLY69557.1 pep primary_assembly:Lsat_Salinas_v7:8:82865384:82866827:1 gene:gene-LSAT_8X59100 transcript:rna-gnl|WGS:NBSK|LSAT_8X59100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGEAVNPKAYPLADAQLTITILDLVQQAANYKQLKKGANEATKTLNRGISEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVPSKEALGRACGVTRAVIACSVTSNEGSQLRSQIQQLKDAIEKLLI >cds-PLY75126.1 pep primary_assembly:Lsat_Salinas_v7:4:61733161:61737248:-1 gene:gene-LSAT_4X41921 transcript:rna-gnl|WGS:NBSK|LSAT_4X41921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGADGFGGMSYYVGIFLGLMLILKWVLKSVNIWIYERNLEKKKRKCLPPGDMGWPFIGNMWSFLRAFKSNDPDAFISNFLRRFGSRGLYKSFMFGSPSIIVTVPEACRKVLFDDEAFQPGWPTATRELIGKKSFIGISYEDHKRLRKLTAAPVNGHEALSTYMQYIETNVVLALEKWSKMGRIEFLTYLRKLTFQIIMHIFLSTESEHVMDALEKEYTVLNYGVRAMAINIPGFAYYNALKARKNLVAILQTVVHERRKKREANEGMSKKDMLDALLDTTDEKGRKLDDEEIIDTLVMYLNAGHESSGHITMWSTILLQAHPEFFHIAKEEQERIVKNMPPTQEGLTLKEYRQMEYLSKVIDETLRLVTFSLMTFREAKKDVDIKGYFIPKGWKVLLWFRSVHHNPELYPQPKEFNPSRWDDLVPKPGTFLPFGAGSRLCPGNDLAKLEIAIFLHHFLLNYEFERENPECPIMYLPHSRPKDNCVGRLRRVSK >cds-PLY70657.1 pep primary_assembly:Lsat_Salinas_v7:5:72529050:72531444:-1 gene:gene-LSAT_5X34261 transcript:rna-gnl|WGS:NBSK|LSAT_5X34261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVLIKQKEVDDQLSIANNGSAYVNSINISDEITKNGTMLSDVILDKDAPEIHAPADAKLSWLRSQIIGGSAWILTPFGRRLLIYADHTASGRCLQYIEDYIIETVLPFYGNTHTSDNHTGDQTMRILHEANGFVKKCLGGTQDDALLFCGSGTTGSIKRLQEVMGIAISSILREKVLNSCLETKERWVVFVGPYEHHSNLLSWRQTLAEVIEIGLDNEGLIDMDDLKLHLEFYQQTGRPMLGSFSACSNVTGILTDTRSMSRLLHKFGAFACFDFAASGPYVDIDMRSGAIDGYDAIVLSPHKFLGGPGSPGILLMSKTLYKLKDSPPSTCGGGTVNYVNCFDEKDTLYVSDIEEREDAGTPQIIQRVKAALAFQVKEYIGTKIIEEKENEYIGKAIERLMKIENISVLGNTKVERQAIVSFIVYTTRDSSDDKRDKPLDGAFVAKLMNDLFGIQARGGCACAGPYGHHLLGIDETHSLAIRSIVEMGYIGAKAGWTRISLPYYMSNEEYEFILSAIEFIAIYGQRFLPFYDFNWNTGSWKFKTKPFEKTMLSEKDRKFCKSFFTCASKGLQGKGNKSKTEKIIESKRTNDTHSSKFRFYLEVAKHIGNILPKFPSQFSTVPKGIDINGVAFRV >cds-PLY67816.1 pep primary_assembly:Lsat_Salinas_v7:9:7293226:7295934:1 gene:gene-LSAT_9X421 transcript:rna-gnl|WGS:NBSK|LSAT_9X421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide adenine dinucleotide transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47490) UniProtKB/Swiss-Prot;Acc:O22261] MTADNQPPTSSSMLCNAAAGAVAGVLAATFVCPLDVIKTRFQVHGLPQLNGGTIRGSLIVGSLQQIVRKDGFRGMYRGLSPTIIALLPNWAVYFTVYDWLKGSLSSDGSHQLSFGANMIAASGAGVATTIVTNPLWVVKTRLQTQGMRRVVMPYRGTLSALMRIGREEGIRGLYSGLVPAMAGISHVAIQFPAYEKIKGYLARRDNIEVDQLGARDVAVASSVSKVFASTLTYPHEVVRARLQEQGHHSEKRYSGMVDCIKKVFEREGVPGFYRGCATNLLRTTPAAVITFTTFEMIHRLLLNSFPPPPPHILSK >cds-PLY95548.1 pep primary_assembly:Lsat_Salinas_v7:6:173325363:173329860:-1 gene:gene-LSAT_6X105780 transcript:rna-gnl|WGS:NBSK|LSAT_6X105780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKGFESWFQNQKIDWQSSNQIPPSAPFDSWPRATIPCYGNTLPTMRQEPCGWFYGLPRHRQGVNVIPVVNNKEQLQVTTTIPDVGNTTNGGQKKFLVFDQSGDQTTLIYSSGVGPAPIRYQFPLSNNPKPQSGSNPVRINENDSKSEMREDTEELNALLYSDDDDDDYSEETSTGHSPSSMMFVEQKGQNGNGKEEEVASSGGDGKKRKREDGGSIVNSLEDTASSGESGVGNEGVGVFEEELDSLLLSNKKLRKDKMKETINLLQNLIPGDKNGKSAVMVIDEAICYLRSLKEKAKDLGLDSL >cds-PLY72387.1 pep primary_assembly:Lsat_Salinas_v7:4:147552547:147553011:-1 gene:gene-LSAT_4X91320 transcript:rna-gnl|WGS:NBSK|LSAT_4X91320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWMRVKHFFRGLDTESGHTYVQMPLPIVVNKDNTVIPLKGQVKMTSKFCREPYTQVPSTEPLKNRKGKKPQKSNNVEKRPLLLEGYADSDDEFWKLWGKNMGAVFLEHRLLRGIEMNWEFWSSLLGIGSG >cds-PLY66960.1 pep primary_assembly:Lsat_Salinas_v7:1:152628015:152632925:1 gene:gene-LSAT_1X106940 transcript:rna-gnl|WGS:NBSK|LSAT_1X106940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFGSIRSDIFDKEEKKQQYQAHILGLNAYDRHKKFLKDYVSYYGGEGQKQEKLPIKTDQDTLREGYRFIRSEEDDMDFSWEQRLVKRYYDKLFKEYCIADMSHYKSGKIGLRWRTEKEVTSGKGQFVCGNKHCNEEEGLTSYEVNFAYFEAGENKQALVKLVTCERCAKKLVYKKMKEKEKEESKRREKEEHKKKRERDENDDYTSKAYERSHGKKLLLTSAGDGKADDEDNFDEFLQGMFP >cds-PLY66879.1 pep primary_assembly:Lsat_Salinas_v7:7:20288264:20288620:-1 gene:gene-LSAT_7X16140 transcript:rna-gnl|WGS:NBSK|LSAT_7X16140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPATRYSYELIPNAIKAFSTPDSPIVILSKNVVFTTVILEKFKDNGFKDFVSYLAACPLRCALVDLASYFLPQHVYKFYYTSTYYDPGMIIGTTGDGQHIILMIATDVRRALRIPI >cds-PLY78570.1 pep primary_assembly:Lsat_Salinas_v7:1:102613002:102614424:-1 gene:gene-LSAT_1X84220 transcript:rna-gnl|WGS:NBSK|LSAT_1X84220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNKKMARELGIEDIFLTLSQAGLEMVASQYGLHPSDGVLIPAPAQHIKDPPHIRWVFMSQLWRQAFASQSPNVEHHQGSGGKSRVVFMNSMQGVAMEVPFVQSGATFGTKSSPIILGDGSGSNFRLWTPQKSHMVVPTDIFHPSWNITCETDVLEST >cds-PLY95132.1 pep primary_assembly:Lsat_Salinas_v7:1:96185898:96188268:1 gene:gene-LSAT_1X79981 transcript:rna-gnl|WGS:NBSK|LSAT_1X79981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHPPSRLPPPSGFLSSLSSRDEDSRRCEGSNRKKPSHYYDCTNTSSPATLKIFLVMHLVVEGFILVLCSYELKGVEEEEIARLAEDGDIYNKLSRSFAPEIFGHEDIKKALLLLLVGTPHRKLKDGMKIRGDLHICLMGDPGVAKSQLLKHIITVAPRGVYTTGKGSSGVGLTAAVQKDPVTHEFVLEGGALVLADMGICAIDEFDKMDESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVGEIYLRRTPAENINLPLALLSRFDLLWLILDKADMDNDLEMARHVVYVHQNRESPALGFAPLEASVLRAYISAARKLSPSIPRELEEYIATAYSSIRQEEAKSNSPHSYMIYIQQIYFSASKFLIAKHVPALPIKPNTSCKKLKTLTQRLQQGVEWSFLRLRS >cds-PLY99446.1 pep primary_assembly:Lsat_Salinas_v7:6:20373907:20376317:1 gene:gene-LSAT_6X15620 transcript:rna-gnl|WGS:NBSK|LSAT_6X15620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATGKVRTSSFSKNFSAAPTSSVPGLKYGPNGTIFLSSGIPDLDKILGGGFHLGSLVMIMEDTEAPHHMLLLRTFMSQGLVHNQPVLYASPVKNPRAFLGTLPTTLVPKDDKSRNTDAEQMGNRVECFSLLDCSNLDGFRDSCSKFISQFPKYDGNITSAGRIAIQSFCAPQCDYYDKEWDMLSFIRSLKSMVRSSNTVAFLTFPPSLLSPSMSKRLQHLADALLSVKAIPDEDKELATLLTGYQDMLGLLSIHKVSRFNTQVPVILDATTYSIKLQKRRSLVLECLNQAPVDGSSGSSYGTSASCGSSSKAGALDF >cds-PLY66409.1 pep primary_assembly:Lsat_Salinas_v7:9:38444483:38446234:1 gene:gene-LSAT_9X33821 transcript:rna-gnl|WGS:NBSK|LSAT_9X33821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVVTLDGSIYSCKHCKTHLALSDDIISKAFHCRHGKAYLFDKVVNVTVGEKEERMMITGMHTVVDIFCVGCGSIVGWKYESAHEKGQKYKEGKFILERFKVLGPDGSMYVLGQEVQVGGSDDADDA >cds-PLY64862.1 pep primary_assembly:Lsat_Salinas_v7:3:16805635:16807839:-1 gene:gene-LSAT_3X12101 transcript:rna-gnl|WGS:NBSK|LSAT_3X12101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTAHWPQEIAMKPMEEMVVQNATNSCSKPSSNSSSLERRARPQKEAAINCPRCNSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSISSHSSMSKKLPDLVVPPAANPVLSQNPRMHHEHGQDLNLGFPSTNDFKTISELIQVPNFDGTKNTTSASGTTTSSAHLSALELLTGMTTRGMMNSFMPIPVPDPNSVYSSSGQLMIPMPEFKIPSLSFSLDGMGGNGGGGTYGSSLHDSSSGRLLFPFEDLKTSSATTTTHDGHHSVEQNRDQNGDTNGFWTGMLGGGSW >cds-PLY81594.1 pep primary_assembly:Lsat_Salinas_v7:4:217343897:217345398:1 gene:gene-LSAT_4X120481 transcript:rna-gnl|WGS:NBSK|LSAT_4X120481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSDRSNFSTADDDYSNVNDESNRMSDEGSPMMMSPWNQTRPFQPSPSSTSSPENALIGSLVREEGHIYSLAATGGLLYTGSDSKNIHVWKDMKLFTTFKSNSGLVKAIIISGDKIFTGHQDGKVRVWKSNSKDQKTHKRIGTFPKFFDILKSSMKSKNYVEIKRNRTALWIKHCDAISCLSINEEEGLLYSGSWDRTFKVWKMSNSKCLESVKAHEDAVNSVVSTVDSLVFTGSAEGSVKVWKREGKGKNMKHMYVKTLLEQECAVNALAWSKPGSVVYCGSSDGVVNFWEKENQFSHGGVMKGHKLAVLCLAVVGNLVFSGSADKTICVWRREVAQHTCLSVLTGHTGPVKCVAVEREAESSAAYGKWVVYSGSLDKSVKVWSVSEQSPATTQNGKGSWD >cds-PLY82695.1 pep primary_assembly:Lsat_Salinas_v7:4:334729224:334735173:-1 gene:gene-LSAT_4X165860 transcript:rna-gnl|WGS:NBSK|LSAT_4X165860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATIASVGSNYDRQSVLKAFDQTKTDVKGLVDAEIRKIPPIFFHPRDTTPKISTTVVKIPVIDLQSTHRASMVEMIREASVNLGIFQVVNHGIPMSVMDEAVQGVRRFHEQDDEFPRLELERHLLFIYGSIISAARGIIGDLQRRLNRVLKSSHEILRHTFQIILRGIGVERESPWRSRLWQGAFILWPLLPCLSTTRANHGHKRSYRRRIPSCAFTRTNRRSGNPPSKSKVSKYVLEYSKEINSLLGNRKSSPSSLIEDPKTVLKIDEEPSSLKAAWELLEIFYANKQSQSWILEQLVDWLTWVKHMVEFKIDSNEKEGDDSDMELYKINPRDFFIPTQSSLQISVNLRGILSPLPSQSFHDFQNCYPSDSKGTDMDLPSLAVVLQTTLSPNPAERKAVEESLNKVLLLIWIEVTLEIVFNHGSSKSSCRCIFF >cds-PLY89285.1 pep primary_assembly:Lsat_Salinas_v7:2:50346097:50346748:-1 gene:gene-LSAT_2X23920 transcript:rna-gnl|WGS:NBSK|LSAT_2X23920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPQDATVTASTENPGVIGNICQTVTSTFTGAKDAVMGKAPEAPKKETTLMGQVGEYTNLAGQKTKEAADATGQKAIETKDFIVEKAIAAKDFSVLKAQEAHEATIGKKE >cds-PLY78914.1 pep primary_assembly:Lsat_Salinas_v7:8:3085501:3087433:-1 gene:gene-LSAT_8X2360 transcript:rna-gnl|WGS:NBSK|LSAT_8X2360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIAAKVDAATTNRGGGKAGVADRTGQVKGGHAKYECPHCKITAPDVKTMQVHHDAKHPKIPFDESKISNLHAILAPVADTSKPRPGVRGSLKKNEKMPEK >cds-PLY80085.1 pep primary_assembly:Lsat_Salinas_v7:4:348925742:348927025:1 gene:gene-LSAT_4X171900 transcript:rna-gnl|WGS:NBSK|LSAT_4X171900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAGKSFLQTIRRYIKKPWEITGPCADPEYKSALPLAADYRPFCPATEPAKAIVPTSDPETVFDIKYFSRDQRRNRPPIRRTVLKKDDIVKAMKEKSFDVADFPPVYLTTAVEEDYNACGGGYCK >cds-PLY84302.1 pep primary_assembly:Lsat_Salinas_v7:5:188720040:188721430:1 gene:gene-LSAT_5X84140 transcript:rna-gnl|WGS:NBSK|LSAT_5X84140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVELVGIQTVEHLNRIFQLQNHDYAASSSFKQAVSALKRSGHARFRRGPSSSDPHAPSISSQSEEKLPIEPPLRESTASEKFDLKRSGTDTTSSFLSITSSVAGGSLEGSVSDGKQLSSLGIVAPTLSFSSRKPPLPSSHRKRPSTDRPSGSLQGSGRENNSVSRSGCHCCKRRKTGSKREVRRIPIIGSKVESIPADDYSWKKYGEKKIEGLPFPRVYYKCNTVKGCPARKRIELSLTDSKMLLVTYDREHRHHHTPTPVPASFSGLKIH >cds-PLY94805.1 pep primary_assembly:Lsat_Salinas_v7:2:178086794:178089781:1 gene:gene-LSAT_2X97581 transcript:rna-gnl|WGS:NBSK|LSAT_2X97581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT1G51260) UniProtKB/Swiss-Prot;Acc:Q9SYC8] MAIAAVVVLPIGLLFLLSGLIINFLQAFFFVLVRPCSKNMYRKINACLAQLLWLELIWLFDWWAHIKVDLFIDSETLELMGKEHVLLICNHKSDIDWLIGWVLAQRSGCLGSTLALVKKSLKFLPVIGWSMWFTEYIFLERNWSKDENILMEGFENLRDFPMPFWLAVFVEGTRFTHAKLLAAQEYAIATKSPVPRNVLIPRTKGFVAAVNHLRSFVPAIYNCTVAIPKNEPLPTILRMFRGRSSTVHVHVKRHLIRELPHTDVDIRQWCRDIFLEKDASLELHQSENTFGDMECHGIGRPKKSLYVVIIWSCLLLFGVFKFFEWCSFSWEAITFCAILLLFVMICMQILIVFSQSEQSNPPEASTLNTEDAMNQKLIP >cds-PLY85069.1 pep primary_assembly:Lsat_Salinas_v7:7:6736071:6738591:-1 gene:gene-LSAT_7X5921 transcript:rna-gnl|WGS:NBSK|LSAT_7X5921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLRLADESLSRAKDLVPVALEKAREVKEFPGRWKMIISRLEQIPSRLSDLSSHPCFSKNTLCNEQLEAISKTLNESIELAETCSKGKYDGKLQMQSDLDSLSGKLDLNLRDCGLLIKTGVLGEVTMSTDLEGTSHRSTDNNIRELLARLQIGHLEAKHKALDTLVDVMKEDEKTVLSIVGRSNIGALVQLLTATSPRIREKTVTLICSLAESGGCESWLVSEGVLPPLIRLLESGSSIGREKSVIALQRLSMSEETARAIAGHGGVPPLIDICSTGIGDSVSQASAACTLKNLSVIPELRQTLAEEGIVKIMISVLDTGILLGSKEYAAECLRNLTSSNDDLKRSVINEGGVRSLLAYLDGPLPQEPAVAALRNLVGLVSMETLISLGLLPRIVHVLKSGSLGAQKAATSSICRICNTTEVKKLVGESGCVPLLIGLLEAKSNGVREVAAQALASLMTVQCNRREVKKDDKSVPSLVQLLDSSPQNTAKKYAVSCLGLLSSSKRCRKLMVSYGAIGYLKKLNESEIPGCGKLLEKLERGRLRNLFGKK >cds-PLY67791.1 pep primary_assembly:Lsat_Salinas_v7:9:167499443:167500798:-1 gene:gene-LSAT_9X104300 transcript:rna-gnl|WGS:NBSK|LSAT_9X104300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSILSPSMEDLPVHVMIDILSRLPVKTIIHCKFVCKKWLDLISDSYFANLHLSRSPASLMLHHNPDNGMRAPRKVGILKWVEVKDELDHHHLHHDPVMTFDLDLAPIFQHSQILPVGSVNGLICLWQYGPKSDNTYICNPITREYMILPRQQYYREGYAMIIYCFGVGSLTQEYKVIRIFQGDIPPDPTSSSRPSIVEAEVYTLGTCQWRSLGHVPYLLNGWSGPFLNGNAHWIVLDRDSPEELCTFDFDKETFELFPSPPVEIIEESQMHFQSLAVLKGCLCQSDTFESQFTIWVMKEYGIKESWHREVIIKESISPDLDWVMWEPVYLTEGLKDGTILMIYYEDKLLAYSPKTRTIEDTKIFNRYFTGMGYRPSFLKLQKFESESVYVL >cds-PLY85036.1 pep primary_assembly:Lsat_Salinas_v7:7:7152534:7153292:-1 gene:gene-LSAT_7X8140 transcript:rna-gnl|WGS:NBSK|LSAT_7X8140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVIGAINGLICLSKPSYSDMSTIPPPHSMLPRLHYETSIRFGFDPKTDDYKLVKLTGLRDTANCFGSVKEWVQVEVYSMRKKSWEFITQPFPSYITRIKNNDYLCVDGHDGHLHWENKIEETIVAFDLGSETFREILLPDSILDSNHRFHRLVVLDGKLCEISCRYDGAWEVWVMEEYGVPQSWVKRHVFPKFTFASWRLPFGFTSHNELLHVDEHAQLVLYDLVANKTKLTPKKQIANKIVEYVDSLVWV >cds-PLY61640.1 pep primary_assembly:Lsat_Salinas_v7:2:47809177:47809439:-1 gene:gene-LSAT_2X21221 transcript:rna-gnl|WGS:NBSK|LSAT_2X21221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHAGTKEASQAKYISILNIIQGEVDPTQVHDSLQRIRERKLVNFNEWAPASIQVCNVSC >cds-PLY79609.1 pep primary_assembly:Lsat_Salinas_v7:2:166610662:166613906:-1 gene:gene-LSAT_2X90201 transcript:rna-gnl|WGS:NBSK|LSAT_2X90201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSTSSVAQPEAILDWLQKEMGYRPLGPYMASSKASMPSSDAIRRVCRGNMIPVFNFLLKRVKSVKTVNDIRRNILVHGREDNASGHDSTESSKNGEVGKGTRGARRKEKPKGRIESTNAGDINNRETALQERDSAEKEVERLRRIVRRQRKELKARMLEVSREEADRKRMLDERSNYRHKQVTLEAYNQQCDEAIRIFSQYHKRLSSYVNQAKDSQRLEADSSKQEDTFLNETNKERNISKACEWLAVHITEKIHNSFPAYEGIGIHSNPQQEAAKLGIDVDGDIPDEIQDVIHNCLKSPPQLLLSLITYTQKLKSLIAKETEKIDIKADAETLRYKYENNRVMDASPDASSPLQLQLYGNGNIASKGTQNQLLERQKAHVQQFVATEDQLNKAAEAKSAFQKLQKRLYGSDDEDSFSQNMSSLRQLELEVWAMEREAAGLKASLATLMSEVQRLNMLCEDRKEAEDSLKKKWKKIEEFDSRRSELKFICNTLMKANMDAAAFWSQQPLIAREHASNTIIPECGVVINITNNTKDLIDKEASAFFRIPDNTLYMLPSTPQALLESMIPNGPTGPEAVAIAEKNATLLTARAGAGDPSAIPSISRVSAAFQYPAGVEGSEASLASVLESMEFCLKLRGSEACLLEDLSNAINMVHTKKELVESGHTLLNHAYHTQKEYKGTTNYCLDLASEQMDIVSEKWMPELKNGVSNAQKSLEDCKYVSGLLDEWWEQPASTVVDWVTVDGQNVAAWHNHVKQLLTFYDSELL >cds-PLY77086.1 pep primary_assembly:Lsat_Salinas_v7:MU042616.1:15750:16947:1 gene:gene-LSAT_4X76420 transcript:rna-gnl|WGS:NBSK|LSAT_4X76420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase G1 [Source:Projected from Arabidopsis thaliana (AT5G63370) UniProtKB/Swiss-Prot;Acc:Q9FGW5] MSCLSAEEDQQSKSLDDEEEEEDDDDYERIESPVSMMPSCRNVFEYERLGKISEGTYGVVYKARDKKTGEIVALKKVKMGKEREGFPVTALREITILGSFQHPSVVKMKEVVTDDFNGIYMVMEYIDHELKGYMEKTKQPFSQSEVKRLMIQLLQGLAFLHENWVIHRDLKTSNLLLDNNGDLKICDFGMSRQYGSPVKPYTALVVTLWYRAPEVLLGMKNYTTAIDMWSVGCIMGELLSKKPLFDGNREIEQIDKIFKTLGTPNEKIWPGYSKLPGVKPSFVKQNGNSLRKRFPGASFMGTPVLTEMGFDLLNRFLTYDPEKRITAEEALNHGWFRESPLPAECVRICK >cds-PLY66276.1 pep primary_assembly:Lsat_Salinas_v7:4:64006738:64008355:-1 gene:gene-LSAT_4X44480 transcript:rna-gnl|WGS:NBSK|LSAT_4X44480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFQKQVFVAFLLSALIITVASADCTCELEEVNSNNSTVLKYKLFALASILIAGGVGVSLPFVGNIFPALRPENDSFFLVKAFASGVILATGFIHILPDAFENLTSPCLKEHPWGDFPFTGFVAMVATIVTLLIETSAAAYQLKVQTEAAAKLVGGDDQDVEKNRGNLDVRMHANHGHVHGLVLPSINDSEVHRYRIVSQVLELGIIVHSVIIGLSLGASKNPETIKPLIVALSFHQFFEGLGLGGCIFQARIKSFAITLMGAFFTLTTPSGIVIGILVSNRYKENSTTALIVEGVLNAASSGILIYMALVDLLSPDFKNPRMQKSKMLLLGSNVFLLLGAGLMSLLAKWA >cds-PLY64410.1 pep primary_assembly:Lsat_Salinas_v7:7:82405027:82407499:1 gene:gene-LSAT_7X57660 transcript:rna-gnl|WGS:NBSK|LSAT_7X57660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPEQKQAILKMGFGSILQVNITSYPGQLSYYLLDVYDVDSKRLVLQNSVIEITEQTVHDMMGLPIDGEDINELPLCDKGNEIPEEWRGQYTCDKFDGEEYLRMIQATTKDSLMFRLNFLACFVNNFIESMLMGTNQIKVVRKLTEEILNELNSEDMKYRLFATLLRIYTKKFDVKPSFKDVALVFFPIVDDGKYYLLIFDLRSSLYYIVDHVKRTGTLERKYGMIPNLVKKLFCNYLTSQDHPMEKTLTFKVGRVMNISWQVEKARTECGIYLMRHMESYMGENEGCWECGLTGKMSADVSATIKLRTKYMARLLTTDFNKFKNMIVKDFEAFCKLDILEQDMLL >cds-PLY72097.1 pep primary_assembly:Lsat_Salinas_v7:4:10549350:10556536:1 gene:gene-LSAT_4X6960 transcript:rna-gnl|WGS:NBSK|LSAT_4X6960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECNREKRLRRTVSATSGGDLGLVDMNVSPPREVEGDDAGFWVNSELAFTNSAPKMQDTYCNPFTNFTLTSCNNTHSLRDVANGFPCATTSYSTSTIQTARMNLDIATQNDNLAYLKALKDYISEHRGVLEDGWCVKFEYSETTCKTSPFYCSPDGIRYDSMPQVAHHLGLLSTSNSIDTKNDNRVEGGSRSLSNGGNAVELVPMENGGYHESDYSVSFPLQFEDLFVISVGKVNPRRPFHNTHQIWPIGYKSMWHDKLTGSIFVCNVLEGDDYGPIFRVNRHPCSKQSLPNASTVIYKKPKSDENENENEIASSEAHDDTPSDNSFINGDVIGEFMVEGRSTSSAWKMVLETLICACHHAFQDLSVVNFHCNHNDNRQQFYGSYGIDSLDKFSCLTLTSGSVDRIPELILTTEQLEVSCMVIRRWLEMDRFGLDAEFVQELIQQLPGVGACSEYKYLDARCQNSIARTVGSGFFSVLHTQRMISDSLTETCKRLSPPGNTIASNLPPYLIGDVLQVYEFCLQFHKVLGQDAPLSRQNLEKELLDPWVDTGMMQNSVTSCSRIAPDTGMMLSNFHMTMLKVLVEDILSKVTIYDPFGAMESKSRKGRKKNMDMTVSSKKGNPGIFPINEITWPEVARRYILVLLSMDDNYEAPDLTNREFDQVFHCLNGDGGPLCGSLTGMAVIEADAMILAEASKKIFSSVKSKIGDFVIDNDLDVNDCVRETKRIDNKCPEWIKVLEPIRRQPTNVGARIRNRVFKSLEKDPPEWAKEMLLRSISKDVYKGNASGPTKKIVVSVLDKVRDETPLTKKKVKESRVVRTVSDVIIKRCRMVLRAVAAEDENKAFFGFMKPNEFDDVVTVARRLDFRTIDLRLDAGFYDHSHQSFIEDVREVWENLRITYRNKPKYIDSIEAISRKLEELYKQEVLTLVSKTMDYGNNPFEETKKELNMMIIDTISSTLFIAPWEDGICRVCGKDENDHILLLCDRCDAEYHTYCLDPPLQRVPKASWYCPPCISFITSQTMSREDDHDGPTKKKSQREFTRIGLEELADLADAMESMEYWELGVKERVFLLQFLCDESLSSTVIQNHMSADGCCNFQKNFLGRDSDGRLYWVLGRPERVFMSGPHSVGEVCESSSSSCAWVCYESDGEIEALLEWLEDDDAKEKQLKENIKKWQRNKSSDLNDDDHSVGQVALQLRSSVHARAELEKKFGKIFRCDCLELVGPTRHHCFSCHSTFSTNEPAHQCEAKGIIGKNPSEVDGGIIGNNRLSIATVAGKVYEILQFLKIILLDIEAALPDEAFRPSRKDPNRLRIWRAFLKSAKSISEMVEAAIILEDMIKTEYLRKEWRYWSSPSAAAKISTISALALQIYALDAAIFYEKPPPLPPVDLPESLTPGNLESKEEIPTKSNLKSIRKSGSLTIELVMPGNSESKEETPKKSKLKRSPTMELVMPMNSESKEETPKKSKMKDNRKSSSPTMELVMPGNSESKEETPKKSKMKSNWKSSSSTMVTILDESEPIKNFIPKSRSRKEELDVFNFSD >cds-PLY92396.1 pep primary_assembly:Lsat_Salinas_v7:2:155310989:155312715:1 gene:gene-LSAT_2X80961 transcript:rna-gnl|WGS:NBSK|LSAT_2X80961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWKSETDSVESELSDAKRAVRDLTLKIEESNSRAKLQRKPKWRQQEEEEAETSLRSEDDRYEKVMKELEHVKRELEKLKLDMARVLKQKRHAEREAKESNSKRSNLSASIVLMKKEIQELGEEQALVELARIEAVREWEAIEAQRVEEANRYKTQVEENERTRELQITLSDINLLESELNMAKEMDKRTEAMSRNQEGSPLNTITEELEAAKRELASIKGEGFNFMASMDIIRKELKHVREETARLEKAEQKRELTVQNLNSKILRAKARLEALTASETKNNTVAANLSITLAQLRAETEKAKKEKDVVDEEIENMKGEIDKTEFEIDLEEEKLVAAMEELKAIKSSESETLGNLRKLINTTVEAREMASINSSIITITDFEYNYLTGKAGGAKDLADKKIAAAEAWVEALKANEREILMKFEIKQREIRDLSIEVEEEEEEEEDVAEEDRSSRHAKIGAKVMASSRKSMYRVGNMGTARRVRSQKFRSPASRYTPKSASSKGETVMPKLKKLFNNAAMGEGMI >cds-PLY91893.1 pep primary_assembly:Lsat_Salinas_v7:8:199614526:199615848:-1 gene:gene-LSAT_8X126961 transcript:rna-gnl|WGS:NBSK|LSAT_8X126961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDTEEGNSDMIQRVQSSFGTSSSSVPKQQQQKPVNFNQLDIPQLNTAQFRGQTRQFSPNYNLDNSSKRVGIPPSHPQFPPVSPYSQIPVTQSSGNTHKIGGSQSFNHSNHSPGPSHSRSLSQPSFFPLDSLPPLSPSPYRDSPSARSSDQVITDVSMEDRDANAHSLLPPSSPFARSNSGNSTRTENLPPRKAHRRSNSDIPFGFSTILQSSPPLIPLRTPNTLDRVTSSNNQASKPIQLVKRESSWEKSGGEGNAEGMGERKSEGEVVDDLFSAYMNLENLDTLNSSGTDDKQGTENREDLDSRASGTKTNGGDSSDNEATSSVNDKGIKRSAGGDIAPTTRHYRSVSMDSFMGRMNFADESPKLPPSPGGHIGQLSPNNSIDSNSNTNTFSLEFGNGEFTGAELKKIMLMXLSSRKSWLMRNLQRLLYLIPNEPKGM >cds-PLY62000.1 pep primary_assembly:Lsat_Salinas_v7:8:151183070:151185631:1 gene:gene-LSAT_8X101740 transcript:rna-gnl|WGS:NBSK|LSAT_8X101740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGPTRPQFVLFGSSIVQFGFYQEGWAADLAHYYARKADIFMRGYSGWNSKQARAVVEQVFPKDDAVKPSLVIVYFGGNDSVLPDPQGLSSHVPLDEYVENMRNIATHLQSLSDTTRLVFLTAPPVNEEQMKEDLKITNRKNELCKEYSDACLTLCKEMNIKAIDLFTLIQQRPDWLTTSFIDGIHFTPAASATVASEIRLTISQADWTPSLHWESLPDEFDISISPSALG >cds-PLY90067.1 pep primary_assembly:Lsat_Salinas_v7:6:17011831:17014932:-1 gene:gene-LSAT_6X7740 transcript:rna-gnl|WGS:NBSK|LSAT_6X7740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFWREREREINKEQNGGPPCGQVRVLVVGDSGVGKTSLVHLIVKGTTTKHPPQTVGCTVDVKHFTYGTPSSSSDSEKGDKDRDFFIELWDVSGHDRYTDCRSIFYSQINGVIFVHDLSQRRTKTSLKKWAAEIAATGTFSAPLANGGPGGLPVPYLVIGNKADVAAKEGTRGSSGNLVDMARQWVEKQGLLPSNEELPLIDTFPGTGGLMAAAKEARYDKEAVMKFFRMLVRRRYFSDELPMASPWSTSVQRPLQNLSDEDQIYTSTRTSGDSYKYNVLPPLPAQRNLTPPPTLYPQQPVLTPDNYNIPRFALSGAQELNSIRSKRNDINV >cds-PLY78986.1 pep primary_assembly:Lsat_Salinas_v7:3:6625582:6627386:1 gene:gene-LSAT_3X5261 transcript:rna-gnl|WGS:NBSK|LSAT_3X5261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQITASAHGHSLPPPFHTRNFNLHQFQQQNSEDEQSGTSGLNMAGHKRDRDEKNNDDMLNGSGGGGSEGKELEISRRPRGRPAGSKNKPKPPIIITRDSANALRTHVMEVADGCDVMESIATFARRRQRGVCIMSGSGTVTNVTLRQPASPGAVVTLHGRFEILSLSGSFLPPPAPPAATGLTIYLAGGQGQVVGGSVVGALLAAGPVVIMAASFSNAAYERLPLEDEESTLPLQGGSLGSPGDMTPPQQQQQQQQQQQQLLTDPSLFQGMSQNLLNSIQLPNDAYWGNGGGGGGGGAGRPPF >cds-PLY86046.1 pep primary_assembly:Lsat_Salinas_v7:8:296859630:296862609:-1 gene:gene-LSAT_8X163840 transcript:rna-gnl|WGS:NBSK|LSAT_8X163840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component SEC15B [Source:Projected from Arabidopsis thaliana (AT4G02350) UniProtKB/Swiss-Prot;Acc:F4JHH5] MNSSKTRRKVVVASAENGDSGDKLDQLLLSSAISNGEDLSPFIRKTFASGKPETLLHHLRHFCRSKEAEIEEVCKVHYQDFIMAVDDLRSLLSGVESLKSSISNSNYQLQSVAGPLLTSLDSFIEARNKCQNISLAIESLRICVRLMELCSRVNFHLSKNNFYMALKCIDSIERDFIQKTPSSTLRRMLEKNIPAIRAHIERRISKEFGDWLVEIRTVSRNLGQVAIGQASAGRQREEELRIRQRQAEEQSRLSVRDTVYALEEEDDDGYSIGNEGNDVYGNGGNVNVALGFDLTSLYRSYHIHQTLGLEDGFKKYYFENRKLQLTSDFQVSSMTPFLESHQTFFAQIAGFFIVEDRVLRTGGGLITKMEVENLWDTAVSKMCSVLEDQFSRMRTANHLLLIKDYVSLLGVTLRRYGYSVDALLDVLNKHRDKYHELLLSDCRKQIAEAVAADKFEQMWMNKEYEYSMNVLSFQIQTSDIVPAFPYIAPFSSTVPDCCRIVRSFIEDSVSFMSHGGQLDFYDVVKKYLDRLLTEVLDDALLKLIGSSISGVNQAMVVAANMAVLERACDFFFRHAAKLSGIPLRMVERSRRQFPLTKARDAAEEMLCSLLKKKVDGFMTLIENVNWMVDESPQSENEYVNEVIIFLETLLSTAQQILPGQVLKRVLQDVLSHISETIVNFLVGESVKRFSLNAVMGIDLDIKLLESFAENQASLVSEEDANQLKKALVESRQLVNLLLSNNPENFLNPVIRERSYNALDYRKVGIISEKLKDPSERLFGTFGSRGYKQNPKKKSLDSLIKRLKDVN >cds-PLY82509.1 pep primary_assembly:Lsat_Salinas_v7:2:184982389:184994434:1 gene:gene-LSAT_2X108360 transcript:rna-gnl|WGS:NBSK|LSAT_2X108360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGFSVDSILFPEMPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKVKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPDSEIYQGNYVDHHISSKEQITLQDTMDGVVYSTSNFGLDERFGDGDAYGLDLDEELLVEKAASAEDATGTSNSDVDPQASAQVDALFKYDHNQDTPTIPEDMPAGDIMNQHIDEYDGDNDPVEYAHAPCTPGLWEEPNLSNIQETSAYDDHQEPENHPITVFAVKENLENDSSEQHHAQFEPLEKSPPHIATTEQMSLEQSPPHNVTSTEQMSLEKSPPHVVTTEQMSLEQSPPHNVTSAEQMSLEKSLPHIVTTEQMSMYDPGSTPFTESHMADQVRSMSPIAEVSETVVSVVDDSVKLVNLLNKSATHQEPEVQTVDDNCENYVEEHQGMSNNVVNHENAFHPTMELELNTEPSDEQHTLVTPQDQAFMQPKDSTIPVQSTHDSMPSFDFMGLRPCVTLSNHENAFQPTSSLPPSEFTSLPLPSTSRREEHEQEHPSAPILVQGEVVLVPHANATEPLERNLQETYHAEVGPSNTVVQVASSILPNRQVDNVIERDVQVYNTNSYTSNFPPPEKVLSFSQGHDIIEVPERENTTPIHQSTFTSSISISNRQLDSVNEREVYVNNSNSYTANFPAPEKLLSFSQHVPNNMNMNMNMLPETTPIIAPPGYGGDTGTNNRYSGRKRSITESSMSAQSLNFNLNESSSFYTTNTTKESFRDDDLLSSILVGRNTSILKMKPTPTPPTEAPSTKRRRQSAPKTAGATTKTGVSKRKVLMDDNMVLHGDTIRQQLTNTEDIRRLRKKAPCTHAEISMIEKQFWEDELFGRSIITGMSIKLTSLQRQLYDISKIVVSQYDNASLEGVTDQKSISQNDEKDIQPEMATENTGVSVEPDVPKENKDHDDSFDPVPMIIDVSEEAQPVDVPKEDRDEDNFDPVVMKDKDVSESQPIDVPKENRDGDNFDSESQPIDVPKENRDEDNFDSESQPIDVPKENRDEDNFDSESQPIDVPKENRDEDNFDSAVMKGKDVCESQPIGVPKENREEDNFDPVVREDKDVSEAQPFDNNTHDIDNNTRNLDYYENQEQMHTTGDFTELFGPEHDILDHATAMEIDGNSFQDADVGVSVMHTEVESESLTHADVVPSDTNDTSAAAAPFEVEKSSQMVAPLLMGPPPGFGTYERIDVQSAAEVDININHSIESNVASERKVDDESVREERQEAVLDASTAFSSETDGLNPHIDTEIRNNEEENNSVSNGDVFGEAVAAYDAVDNQVLEDILLDEREKAGHDVTTTSLNLEDIPTDNRVDADDDHMYSGVANDTDFLNFDDVDDDEAGEAADDYMPDGEETKMLDNTGWSSRTRAVAKYLQIMFDKEGERGRNLLGVNNLLAGKTRREASRMFFETLVLKTKDYIHVEQTDPSKEKPTLGGQRIKTRKRNIAAPLDPAAFADAVVQIYLDNAGDLELIAKCIESSDLNFSRYGDTFFEVVFTGGRTQPGTTKPDEGERHTYSILDCEAKREVILPSVIYIQKILRRRPFLIKNLENVMRRFLQSLEFFEDNERIKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVGKGLVLTFITDFFKEYLIDNPLDDLISILKRGKMEDNLLEFFPSGKRSAEAFSEHFTKAGMGALVEYNDKKIFEVKVKEMKTALTTQISEEVDISEVIETVKQHVKDAKLPEIEVVRILWDVLMDAVQWSGKNQQQNANLALRQVKTWAKLLNTFCTSGKLELELLYKVQVQCYEDTKLMKLFPEIVRSLYDQDVLAEDTILHWFRKGTNPKGRQSFVKALEPFVKWLEEAEEEE >cds-PLY76202.1 pep primary_assembly:Lsat_Salinas_v7:4:55456078:55459650:-1 gene:gene-LSAT_4X37541 transcript:rna-gnl|WGS:NBSK|LSAT_4X37541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGILMGTVFGIAIMAGWRQMMRYRSSKRIAKAVDIKLLGCLNRDDLKKICGDNFPEWISFPVYEQVKWLNKQLSKLWPYVEEAARIIIKDSVEPLLEDYRPPGITSLKFNKLSLGTVAPKIEGIRVQSLKKGQITMDIDLRWGGDPNIVLGVEAALVANLPIQLKDLQVFTVVRVIFQLCEEIPCISAVVVALLSEPKPRIDYTLKAIGGSLTAMPGLSDMIDDTVNTIVSDMLQWPHRIVVPIGGVGVDTSDLELKPQGQLTLTIVKATNLKNMEMIGKSDPYVTAFIRPLEKFKTKAVDNNLNPVWNHVLQLIVEDKETQSAILEVLDEDIGQDKRLGIAKLSLIGIQPEIENEIELKLQPSLDMLKIKDKKDRGTLTVKVKYHEFTKEEQDLAIEEEKRILEEKKRLKAEGIIGSTMDAIDGAAGLVGSGVGFVGSGVGAGVGAGVGLVGSGLGAVGSGLSKAGKFMGRTITGTSSSKKSGASTPVNTVEENGGAKPR >cds-PLY97421.1 pep primary_assembly:Lsat_Salinas_v7:4:13571692:13575957:1 gene:gene-LSAT_4X8681 transcript:rna-gnl|WGS:NBSK|LSAT_4X8681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHNSYRKLKSNSYFLPLYFPIRRPPEKCSSLRRLVQRRRRYTPPVNLNRRYLLPPYLVPPPSLPSFASLELSRKNPSSVDTQLVLEGRKKEKHDIIESNREVSCSSSENEDSSSEDEDDFEGAVQVDFVFFDPKPDDFHGVKVLMQTYLDNKEWDLTSFVDLILQQTSVGSVVKIEDDEDESVYGFVSALNLQRYKDCKCMMEVKEFLLSKCQEKEIKENLKSFLGEKAADVGLLISQRVVNLPPQLLPSLYDAIFNEISWATEDEPTQELRKFFCFKYYLLISKIYKLKNADKKKKGSSSSEEAIIYLKPEDEIFHNLSAWSFCFPLRTQQVTTNELKEYRLMGLVMAVKATSVPTFRRQLRSLIDE >cds-PLY75620.1 pep primary_assembly:Lsat_Salinas_v7:1:144828739:144830629:1 gene:gene-LSAT_1X104781 transcript:rna-gnl|WGS:NBSK|LSAT_1X104781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSSTLLCLVLLHAVGALGFPATLKLERSFPTNHGVELKQLTERDGLRHHRILHKYADPNVVVGFGVYGTYDPFDAGGCSTSETEHISTTERTLDGIMGLGRQSISVISQISSQGIAPNSFGHCLAGGDGGGILAFGTPVMPDLVFTALVKSM >cds-PLY93107.1 pep primary_assembly:Lsat_Salinas_v7:MU039159.1:414421:415641:-1 gene:gene-LSAT_0X10121 transcript:rna-gnl|WGS:NBSK|LSAT_0X10121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEENGAAGGGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFLTPSVLKECRRIVSDSEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLMDVQTSKDPEGLRIFYYLVQDLKCFVFSLISLHFKIKPI >cds-PLY92630.1 pep primary_assembly:Lsat_Salinas_v7:2:161555444:161557847:-1 gene:gene-LSAT_2X85701 transcript:rna-gnl|WGS:NBSK|LSAT_2X85701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFQLLQQGHANRGRSNSFRGVSPLDASADGGGATKSPAAIFWIVFHCLCCLISLVLGFRFSRLVFFLLFSNSSTNNLYTSRLTSPFAADIAESLSFTTSLRNISPPLLNKTDAVTSSSRVVVGRHGIRIRPWPHPNPEEVMKAHRIMETVQREQKVQYGIKNPRPLIVVTPTYVRTFQALHLTGLMHTLMNLPYEVVWIVVEAGGATNETAALLAKSKLQIKHIGFEKKMPIFWNARHKLESEMRLQALRVVREEKLDGIVMFADESNMHSLELFDEIQKVEWIGAVSVGILLHSSHSNEDPFEVQKNINEPDNNKKSPLPIQGPACNSSDHSIGWHTFNFSAYEQKSANYIGDMAIVLPQKLEWSGFVMNSRLVWEESEFKPEWIKDLNEVDEIENPLSLLKDSSMVEPLGNCGKKVMMWWLRAEARADSKFPSGWIIDPPLEVTVAAKRTPWPDVTLEIASNSEKVMMTGNGIQENSQKNPTKTRTPKSRRSSRGKRKHEAKNTNVHERSEK >cds-PLY84190.1 pep primary_assembly:Lsat_Salinas_v7:3:146477974:146480231:-1 gene:gene-LSAT_3X95801 transcript:rna-gnl|WGS:NBSK|LSAT_3X95801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIQIQQSAIGFEGYEKRLEISFSTPGLFADPKGLGLRSLTKTQLDSILSPAQCTIVSSLSNDSLDSYVLSESSLFIYPYKIIIKTCGTTKLLLSIPQILLLSSHLALTVKSVRYTRGTFIFPNSQPFPHRSFNEEVLTLDGYFRNLGLDSKAYVMGDVHNSQNTKKWHVYSASTPSQPVDQKVYTLEMCMTDLSKKSSSVFFKNQTISSSSSSMTEVSGIKKILPGSKICDFEFDPCGYSMNGIEEDAISTIHVTPEDGFSYASFEAVGYDFKSSIDLTLLVERVLGCFKPNEFSISMHGNELKGFNDLKIEGYGVKESSFEDLGNGGVMMYYGFVKESQGGSCGSPRSILFGSWSENEDEEMAMNCCTPTV >cds-PLY99207.1 pep primary_assembly:Lsat_Salinas_v7:4:367237979:367239652:1 gene:gene-LSAT_4X180081 transcript:rna-gnl|WGS:NBSK|LSAT_4X180081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKTRSMTRNQNHDDTLAPSKNRIMTCDLWSDLNHDVLILVMMQLGVVDFLAFSRVCKSWRSLALSNKNKFIVSRPPMTISISTDPNVKEFYLKDFEGSELKTILPHLDYKTCIGVSCGYLILFSEKRKDFWLLNPITRHDLHVPFRDAYPDPHSLRGILVFSPIISRWVFVVFGQYSRNIWFCIVGKQEWNHISTPFYINDLHALKGNVYTIHNDSCLCEVRLYPTPKVTILEIKNSPKGYFDFPVFVSLGENLYVIDRLLDKKIQEINLSKMEWVSCEKTVEEYAIFISKDMLPAGFVGIEWWFDLHSQCGKFYVSAQSGKNKLFFAKMWYFFHDCLNVIFLDQ >cds-PLY75487.1 pep primary_assembly:Lsat_Salinas_v7:7:74358695:74361905:1 gene:gene-LSAT_7X51720 transcript:rna-gnl|WGS:NBSK|LSAT_7X51720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEPVATKILIGITLDQEQSMDLLSSAICNLARPNDTIVALHVLVAKRRKKHKDISKKEESIEKSVNRYQMRIRKAKSFVISVMGEFANICRAKQVKLEARVGFNSSVGEGLIEEAKTMSPKYLLIGSKSNQSNQIHQAEKYCLDHHPKSCTLVLVRKSDVPQQDFPSSIVNVEETLTETNVSDLSRKKSEQRSPRNVLDLSEGDYHSTEEDSSSFEGSSITESPRPQLAHESLKGQFSFRKHISSLKRISSFLRYPFETDTKKPNGKLPIKEQPPPLLKCFSYVELANATNNFHQDNIVGIGGYSEVYKGDLQNGQVIAVKKLAKDNKDQNKEKEFLMELGILGHIHHPNTASLVGCCVENGLYLVFNYYPNGTLSSALHGKTKKHINWPERYKIALGIARGLHYLHTCCKHRIIHRDIKASNVLLDLDFEPQISDFGLAKWLPTNWTQHAVIPIEGTFGYLAPEYFMHGIVDEKTDVFAFGVLLLEIITGRMPVNSDKQNLVLWAKPLMDSRDIHGLVDPDLEGAYELDEVYRLVLASSYCVQQSSDARPSMTEVLEVLQYGDDSNFTKSWRLPKYAQEGNEMDDYSMIFGYDVPQDINVDEM >cds-PLY98842.1 pep primary_assembly:Lsat_Salinas_v7:7:22528224:22529283:-1 gene:gene-LSAT_7X17921 transcript:rna-gnl|WGS:NBSK|LSAT_7X17921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSIISIAFLLQAIINAVNLTTAQFPEEPVFRCRNTGNYTPSSDYSRNLKAALDAVGKVKKYSGGSFSSSNGVKEAAYAVALCSGVAIKWGGNCNDCITRLTTSLQAKCPNQKEGVMLGSDCMIRYSDRKIIGVKDDWVWILSPGLGTIFNKIQFDKGVIDLMTRLQGEAAGGTNKKDAFGTTTFPPNNSTIYGAMQCTSDLSKELCTKCLQSIIMSYHPCCGGIMAGRMYSPNCYFTFSMEEYKKWKYSSQWKSLPPKTL >cds-PLY83978.1 pep primary_assembly:Lsat_Salinas_v7:8:37753038:37753595:-1 gene:gene-LSAT_8X29860 transcript:rna-gnl|WGS:NBSK|LSAT_8X29860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSFAELAAVGVSISIFNLVAKLFNIPLLNITTSFVAEEQEVLVKDDDDDDDDSTFLSHDNMSGSKKKFLLSISTSLALAVAFGIGETIALFFGFGSLLNHCCSNRAQEEG >cds-PLY94158.1 pep primary_assembly:Lsat_Salinas_v7:5:35489539:35490942:-1 gene:gene-LSAT_5X17000 transcript:rna-gnl|WGS:NBSK|LSAT_5X17000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLARVFGRRLFATATSEASAAGAAASSRVRTAHNPLEEFFEIDRNPEEEKPVVYGRSWKASELRLKSWEDLHKLWYVLLKEKNMLMTQRQMLHAQNLRFPNPERLPKVRKSMCRIKHVLTERAIEDPDPRRTAEMKRLINAL >cds-PLY81408.1 pep primary_assembly:Lsat_Salinas_v7:9:95568952:95570097:1 gene:gene-LSAT_9X73000 transcript:rna-gnl|WGS:NBSK|LSAT_9X73000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTFSDVHPSIIQTHILPRLDGLSLSSTAAASSYFQHLCTDENLWSHICKSTWPSIADDPRLHDIISTFSAGHRSFFQDSFPALVTDVNHHNHLHDLNCSSHRWSSQLISAVDIRYQNDTIYSKVEFTDTTDEFMSSVLRIKLNHDIESKHAHGILRSINVNVDEFAGADEATVSHLEESLSLNWIIIDPTQKRAGNISSIKPIGVRQGWMTNQTYLVYAIVLPGCDPNKMVQCRIQVVLAVAAGGVGLHVKEVMLELMDLDFCRLKGRDFLVIIQGALSEENNVRRKMVDAEESRRLYQVFKRISINSHSDMQSTKIQSNVHELHSNIGTLCRCLLQRLFHLMKVRIQCIVIRLKVFEFVSCVIFVVILLWLIDLFPVD >cds-PLY98016.1 pep primary_assembly:Lsat_Salinas_v7:8:43531769:43534950:1 gene:gene-LSAT_8X34141 transcript:rna-gnl|WGS:NBSK|LSAT_8X34141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGGTLQQQQPPQASQLAPPLSSSSTTVPVATTEEEEFLKRNTDCVYFLASPLTCKKGSECEYRHSDIARVNPRDCWYWLNGNCLNPKCAFRHPPLDGLLGAEVPPPVGPTLPQAQTPSYPMSKQGVPCIFFQKGFCLKGHLCPFLHGPPNISNNKTMPPVPSNNLTNPSKIPSEKPIQEQKLVQQETEFPPPKMKQNPTPARNGGGSGGGVEKKVVAVVEEPRYRPANVVVNEFPVNRSNHGVYETHVLDNEGINGKDVEEYSREPTPGFDVLVDNEVGDSEYYPNDFEMGRPVDYDVDQDIYGEQREGYAWEDHRESSERILGGAHNFGRKRYPRDESPEQFDKSDLRHQISNQRRGNFGNFDNVGNVANVGGLRSVISREHPRDNHIDRMPRRDHHHHDRLHDRHNNHNHHRMEPVSISSRLRGRIKIPENGSRVEREVDMGRHKRSRYSPRLPHGRFRDRINEGRDYRGPRNDSEFMRRSQKDDGQSQSQSQSQGKRKYSQQVEEGLSFEGPKPLSEILKRKRGGSVNNEENNNLKENNGNNENGRKEVIQPLSSVVHVIVNNDEDALLDEELEAYDHKDGDYDYEQIDGEEEYNLEEGEEYMEEEEEEGGKKESQVYS >cds-PLY64615.1 pep primary_assembly:Lsat_Salinas_v7:6:36723381:36724868:-1 gene:gene-LSAT_6X28061 transcript:rna-gnl|WGS:NBSK|LSAT_6X28061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKVHVLMIPLMCPGHLIPMVDMAKLIAQQSATVTIVITPRNAARFGAVLHRAVASGHPIRILQLQFPASEYGLPEGCENVDDLPSFKLTKNFFDATAKLQEPLEKVFNDLKPTPSCMISDKHLTWTADVPIPSLSRWVIFDGMSCFTQLATHNLCVSKVHEQVGDFDPFLLPGLPDNITMTKSQLPGLFNPGKSAEAKVIKTVREKIRAAETGAYGVVINSFEELERTYIEEYRKVKQKVWCIGPFSQSNKNDLDKAQRGSNDSIITSHECITWLDSQEPGSVVYACLGSLTRLTPPQFIELALGLEESESPFILVVKGGSRTEEIEKWLVEDGFETRVKGRGVLIRGWAPQVLILSHPSLGAFLTHCGWNSTIEGICAGVPMITWPQFAEQFFNEKLVVEVVGTGVGVGAESVMPLVEEDAAGKQVKREDVCKAVKMVMDEGIEGKERREKAKYFREMAEKALEEEGSSQVNIKLFIEDIMLHINKDMADKL >cds-PLY78949.1 pep primary_assembly:Lsat_Salinas_v7:8:3069290:3072947:1 gene:gene-LSAT_8X2381 transcript:rna-gnl|WGS:NBSK|LSAT_8X2381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGGNWWCFGDRRSSGEDVSDLDPILLVSGVGGSILNSKPKGWFGLTTRVWVRILLADMEFRKRVWSLYNPDTGYTEELDDSSDIVVPQDDYGLYAIDILDPSFWIKLLQVTDVYHFHDMIDMLIKCGYKKGTTLFGYGYDFRQSNRTDQAMDGLKEKLETAYKASGGRKVNLISHSMGGLLVSCFISLHSDAFSKYVKKWITIATPFQGAPGYVNDSLLTGLQFVEGLESYFFVSRWSMHQLLVECPSIYEMLPNPEFQWKKQPEIFVWRNRSENGQDSAKLETYDPSDCVGLFEEALKGNEIEYNKKTIPLPFNSSIYKWAATTRKMLNSVQLPEGIDFYNIYGTSLDTPFDVCYGSETDPIIDPSEICHTLPEYSCVDGDATVPAESARADGFPAIERVGIPGAHRALLRDETVFEYIRKWLGLEEQSSTRVKTSKVIDVGLA >cds-PLY93602.1 pep primary_assembly:Lsat_Salinas_v7:2:173676448:173678653:-1 gene:gene-LSAT_2X95580 transcript:rna-gnl|WGS:NBSK|LSAT_2X95580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKSLVLRARSTQNILNHVQFIQQRGLHSRNKMAMEYIAKGWNALKEVDRVIDYCEPRDKRLIPHLNTAKDNFEMALEVDNSNTHARYWLSRLHLKYHVPGQCKAIGAALLVEAANMGDADAQYELGRNLRIENEGVDTDQEAFYYLEKAADQLQPDALYLLGAVYLTGDCVKKDVASAIWCFHRASEKDHAGAAIAYGSLLLRGYEVPTSLTKFNLIKNGRKLRKKTGEANPIQLAREQFENAARLGSDLGFRWLKRLEEEEKRLLAA >cds-PLY65682.1 pep primary_assembly:Lsat_Salinas_v7:5:271933120:271935492:-1 gene:gene-LSAT_5X141561 transcript:rna-gnl|WGS:NBSK|LSAT_5X141561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQDPDAPPNFWSQPTLATNRRRTPLIDPVVLIIILPIIALLFLFFFLPPVLFHTSHILKPSSVKSTWDSLNIFLVLFAILCGVLARRNDEVSSSGDVADQANGLVAESRNSAESSGQWVSFSDRKVATGGMRSSSSYPDLRQEPLWENGDHRSRFFDDFDVDIYSSPVSGYYNNLARRIGREKGRAREDFYQSTPVSGDIHQRSRRSEADRGEFSEVKEIVVDTFEIKPSASDFREQVSVTPPPPPPPPPSALPANSRRHSFRSVGRKVKVENERKIESDELDKVKSYHPPPSPPPPPPPPPPPPRQTEVWLPRSHHKHKKLERKVSDATKEIATAISSLYNQSKKKNKRRLRNSSSSSDSSPPSVHFIQSPNTEEPQQTVVPPPPPPPPPPPPSMFQNLFKKGGKHKKIHSVTATIPPRVPPPPPPPPPSSIFNNLFKSSGSKSKRFHSPSTAPPPPQPPPPPSSIMNNLFKNGTKSKRFNSSNTASSPPPPPPPPPPMTPPRYITPVKSKKVSKRRSQPQPPSPSSPPKLEPRNHNSTSKGKPPLPKPTATSYYERDDFLPSGSQSPLIPMPPPPPPFRMPAMKFELRGDFVRLRSTHSSVCSSPDRDDVDLSSTTIGTGAGGGDLVGPTSGPGPLFFPSPDVNAKADTFISRLKDEWRMEKINSFKDKTG >cds-PLY97915.1 pep primary_assembly:Lsat_Salinas_v7:4:83776020:83776896:-1 gene:gene-LSAT_4X54901 transcript:rna-gnl|WGS:NBSK|LSAT_4X54901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARENNEISGKFAMEFARFLFTLAAGSQILLPGTDIALSLLDPEKTIDNPVPVYLLICWMALNLITIILTAFAISIETVVETGFGIGNPSIAQKNRYHKKAYFMVFLGWISYVLGFGFHNCGFAFYGEHNGIIYSVFIVFEVFIVWMSICTLNDFWNTSERYIHDD >cds-PLY90254.1 pep primary_assembly:Lsat_Salinas_v7:8:13992765:14000038:-1 gene:gene-LSAT_8X9761 transcript:rna-gnl|WGS:NBSK|LSAT_8X9761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNWRIRQEWEEYDLQSSYADNPSMFSIRLNYGGVFTKFPDRKYINGKMKYIDGIDSELFSVHDMDEIMELLDCVEPGWNGIGEGATGNTTGEGAAGSSGGVTKGVGKKGKIPIL >cds-PLY91255.1 pep primary_assembly:Lsat_Salinas_v7:3:77700701:77701468:1 gene:gene-LSAT_3X58180 transcript:rna-gnl|WGS:NBSK|LSAT_3X58180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSISHTSVSPCLQLQVNKGSIPPSFTLKDQDCKTVSLSKFKGKPLVAYFYPVDETPSCTKQACAFRDSYEKFKKAGAQVIGISGDDAESHKAFAKKYRLPFTLLSDEGNKVRKEWGVPFDLFGTLSGSQKRMLDVHVSDSSVIPLSDS >cds-PLY99083.1 pep primary_assembly:Lsat_Salinas_v7:6:101507974:101509440:-1 gene:gene-LSAT_0X36040 transcript:rna-gnl|WGS:NBSK|LSAT_0X36040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFESNNISEDNNNNHGWQKVTYAKKNRKNQPKQQVPQPKALPNGSVVAGNDNVFTAIEKKSEERRKVIEAQRLSIYDPAPPPVKSSRKKNYSDYEDSDEEVANGVAGNDDVEEKKKKPKKVKKPKVTIAEAAEKIDVDDLASFLLEVTTSFEAQQDIQLMRFADYFGRAFSSVTASQFPWVKLLRESPVAKVADNPVSHIPEAVYKTSVDWINKLSMEALSSFLLWSLDSIHADFAIQQGGSKGSKKVAQKTPSKSQV >cds-PLY95539.1 pep primary_assembly:Lsat_Salinas_v7:6:174661453:174677213:1 gene:gene-LSAT_6X107401 transcript:rna-gnl|WGS:NBSK|LSAT_6X107401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIVRGVAGLIRRTSGYGGDYSVGSASHKFPVPSPKVKFSDIGDEAILSALWERYQSVSEKAEIHKAFHIFLKQFFVIYKNWEPLDLDNSTEAALGVASGEESENPDEVIIGCSTAHPAEIIVILIEEVTNITAMVTECISGSSSNLVITPEGFRVLKCLTIMTRSMHNCKVLGYYGGIQKLTALMKAAVVQLKTIAGALSADETLSSSNVQKAGVLQKILVHVVSILCGFINLQLDIYGKAQIDVDNLEDSIEKMATTPEPFIDSRDPLSEKRLQWHQKAVISVMEAGGLNWLVELLRVIRRLSLKEQWTDSSLQYMTLRTLQLALTDNPRGQNHFRSIGGLEVLLDGLGVPSFNSLSSRNSSSSDHGSGDGNRLMWVLQLHVLSLEVLREAVFGNLNNLQFLCENGRVHKFANSFCLPAFVFQEFMQQRSNSSVEDDLKANIQSTGITESSSSVNKPSCQYWSNYTVNLSKTLYSFIIPLEDLRSHQVQSGRSTFPFSSVYGELSIKFMMRVLLTVFPSIKAFSNQNELPSHLRKILVLSPSSLDVFRSEGVWDFIFSEHFYFGSGSKVIPEAYFNYSDDRPWSTEPFTRSRSTNNRVPSYETDILQTNVISVVEFAATLDATSHNMPECSVLLDALELFACNPEVTTGLAKCLLHILQLAPEKTFTSFKTLDAIPRVVKVTCIQAQESKKPESKRSSSQISKKSKSPENVRGWRDSMEACMQLFAEFFSVTEEAKFLVLNSSTCIDCLFDLFWEECLRSSMLSYIFALMKIIPSSEEDQKAKLYLCSKYLETFTHLKEREKNFAKLSIDLLVGMRDMLVKDRMYFQTLFRDGECFLHVVSLLHGYAEDDEGEKLVLNVLQTLTSLLTGNDASKTAFRALVGKGYRTLQSLLLDFCHRRPNAGLLTALLDMLVDGKFDLKKNPVMRNEDVILLYLSVLQKSSDSMRNEGLNMFLHLLRDSISNRASCVRVGTLSFLLDWFPCEDNDSVVLKIGQLIQVTGGHSVSGKEIRKIFALLRSERVGTRQQYCSLLLTNISSMLNEKGPTAFFNFDGNDSGIIINTSLQLPLYKGYSFSCWLRVESFPTNGTMGLFSFLSDSRRGCLAVLAKDRLIFESRYQKQQCVSFPLNLVGKKWHFLCLTHSIGRAFSGGSLVKCYLDGALVSSEKCSYPKVNEPLTGCMIGAPINLLSYEEDITSSSSKESSPFFGQIGPVYLFNDAITPEQVQGINFLGPNYMYSFLDNELTVSADNQLPSGVLDAKDGLASKIIFGLNAQASNRRALFNVSSLLDHAVDKSSFEATVKNGTQLCSRRLLQQIIYCAGGVSVFFPLFTRIDLYENDSQNLLTPITKERITAEIIELIASVLDENLSNQQQMLNLSGFSVLGFLLQSLPSQQLNMDTLSALKHMLNVISNCGLAEVLVEDAISHVFLNPFIWVYAAYNVQRELYMFLIQQFDNDPRLLKSLCRFPRVLDIIHQFYWDKMPSPIAGSKPLLQPITNKDFGERPNKEEIQKIRLLLLSIGEMSLKEHIAVSDIESLVSFFETSQDMACIEDILHMIIRALSQKSLLASFLEQVTVVGGCRLFVNLLQRGYEPIRLLGLQFLGRLLVGIPSEKKESKFFTLAMGRSKTLLEGPKRIELRLPPIFSAMSDRLFRFPQTDLLCATFFDVLLGGASPKQVIQRHNQPEKQRNKGTNVQFFLPQILVLIFKFLSNCEDANSRIKILEDLLELLDSNTSNIEALMENGWQAWLVASMKLDVLKTYKMKPQRDGDPELVEQNHVKTLFSLVLCHYIQSSKGGWQPLEETVNFLLMQYEHGGKSYWYLLRDIYEDLMKRLVDLSSRENILSSQPCRDNTLYLLKLVDELLISELDNKLPFPACGYDFSPEHIRLENDRDLVSALYEALQGDGHDHISKAHKQSVSNQIEKMDESWWNLYDNLWVVISEMHGKGPSRLLPKSSSSVGPSFGQRARGLVESLNIPAAEMAAVVVSGGLTNALSGKPNKVIDKAMLLRSEKCSRIAFRLMIVYLCKSSLERASRCVQQFIPILPCILSADDEQSKSRLQVFIWALLAVRSQFGMLDDGARFHVIAHLIREAVDCGKLMLATSIVGRDDLLDTSSVSKESGTIQNLIQKDRVRIAVSDEVKYLRNSRAEQTKQVAEFRVRMNEIISSDLNQWRAFEDDIRSSLNSILASDDSRRASFQLAHDEEQQVTAENWIHILRTLIDERGPWSANPFPNKIVTHWKLDKTEDRWRRRQKLRQNYHFNEKLCHPPSTVPTNGTIPAPLETKSGFAAHIPQQMKQFLLKGIRRITDESFLESVELDAEISEQKASTSEDVLERQNSEAPKEESEQQRDFHDRKDHSSTTTSSIESEVLMSVPCVLVTPKRKLAGRLAVMKKFLHFFGEFLVEGTGGSSVFRNIQASGGFDSNRSDNLAAQKQKFMKWPLNLDLTSEGEASDNINAVLGNLLQKQSENIKRHRRWEIGKIKAVHWTRYLLRYTAIEIYFNDSTAPIFFNFASNKEAKDVGSLIVSTKNESVSPKGYRDKSGVISFIDRRVSLELAETARESWRRRDITNFEYLMVLNTLSGRSYNDLTQYPVFPWVVADYSSDKLDFSKSSTFRDLSKPVGALDQKRFEVFEDRYRNFSDPDIPSFFYGSHYSSMGIVLYYLLRLEPFTGLHRTLQGGKFDHADRLFQSIESTFRNCLSNTSDVKELVPEFYYMSDFLVNSNSYHFGVKQDGEPLNDVGLPPWAKGSPEEFISINREALESEYVSSNLHHWIDLIFGYKQRGKPAVEAANIFYYLTYEGAADLETMDDELQRAAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLRFAPSSITLSSIVSSTSTHPSAVLYVGISESHVVLVNQGLSMSVKLWLTTQLASGGNFTFSSSQDPYFAIGADVVSPRRIGSPLAENIELGAQCFTTMQTPSGNFLVSCGNWENSFQLISLNDGRLVQSVRQHKDVVSCVYVTSDGSILATGSYDTTVMIWEVLRMRTRGIPSDTSHRKDHVIADTPFHILCGHDDVITCVYASTELDIVISGSKDGTCVFHTLRKGRYLRSLQHPSGCQVSKLVASRHGRILLYAEDDLSLHLYSINGKHLNSCESNGRLNCIELSGCGEFVVCGGDQGQIVVRLMRSLEIVGRYSGAGKIITCLTVTQEECVLAGTKDGSLLLYSIQNPQLRRTTVSRTLIKARPTTPTPTSGT >cds-PLY64561.1 pep primary_assembly:Lsat_Salinas_v7:6:38049476:38051772:-1 gene:gene-LSAT_6X27721 transcript:rna-gnl|WGS:NBSK|LSAT_6X27721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCSGAPLAEDTQRFINCLHWIWSFTMKAPRCKHVILIQTDPKNCEYLFISGAQKKVEEFEPEDAETMVLQVEEGYGSSKQNANSNFHAQK >cds-PLY61955.1 pep primary_assembly:Lsat_Salinas_v7:5:160170301:160178375:1 gene:gene-LSAT_5X69960 transcript:rna-gnl|WGS:NBSK|LSAT_5X69960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTITKNENVVKVKAEGDGVEEQNEVSKSEAELKEGVQKKRGRKRGRKVNNGNTCNAIKSQEKSYKPRGRPKQAVTMKEEMTTTMFSEEAMVDAETALATPDRVGNDTSEAINSKEGTNENGGNLIKVEPNTCHQCQNNHKRRVVRCQRCTRRRYCVPCIKKWYPNMTEEMFAECCPVCQGNCNSINCLRNVYNKVKEKIDFKPNDDQKVRYSIYILHVLFPFLKHVNEQHMKEIAIESRIQGSSISEVQLKKVECSLDKQMHCDCCKTSIFDLHRSCPSCHYNLCLQCCWELRDGNPQGNNEEVIIEHKDPGPEYLHGIPKGFRKAWKKTCYVEKAASDPAPKEKQTHDWNSLVDGRILCPPKSMGGCGRGILELMHIKPLDTVSKLLEKAQELLKTHKLEEDMRDMPEKWCTCSSDAGDQQLRKAASREDSSDNYLYCPRAIDIQHGDLKHFQWHWSKGEPVIVSNVLETTLGLSWEPMVMWRAFRWASNSKENKLSDEAAINCLDWCEVDVNLQKFFTWYTDGIYDTEGWPQILKLKDWPPSNSFEERFPRHGVEFISCLPFKEYTHPRDGYLNLAVKLPEKSCKPDMGPKTYIAYGVHQELGRGDSVTKLHCHKSDVVNVLTHTATVTLDSEHCKRINVLKKLHKAQDQKELYVDNMKDATSKQVVGLKKQEGDKTTRKQSDQYLLKEESCEGVEFNIDKKDMKRKDSMKRVEGPDGNKRKKKKGRKQVVSSDSEEVDKQDDISGSCVDGFDLGDGGALWDIFRREDTPKLQEYLKKHFTEFRHAFCLPLQQVIHPIHDQTFYLTMEHKRKLKEEFGIEPWSFVQKLGDAVFIPAGCAHQVRNLKSCIKVALDFVSPENVGECIRLTEDFRLLPKNHKAKEDKLEVKKMALYAVEAAVKDLEKLVPKNSDNLENYQVEVPVTENLQTLQKDVGLQIDLEYEENESGGNGIIKTSRTSEEGDCSGSLACKNACEKKKKGKGKGKGKDKESSQSCSSLDEFVAQLGAIRVTRDNEVELMKKRLDFDQKRDLKKEEWKTIEMHHMHLNTLFAKNHLLPEDEHIKRHLLAMLYGL >cds-PLY68501.1 pep primary_assembly:Lsat_Salinas_v7:2:213018990:213021935:-1 gene:gene-LSAT_2X134061 transcript:rna-gnl|WGS:NBSK|LSAT_2X134061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIFIPDFEMKLLNLTSSSQDLDISQDSILQNADEQMVRSLNGCRVTNQIMRYIQNPTTSDSIVRDTGNCSHRYMRCTINQIPCTSNLLNTSDMQLALLVQLLALPHPSEEPIQYAESNFSDFLDMPEDKFLKIEDDSDLPSWSGDERGVKVLVNVDSFGVVGDGVSDDTKAFGDAWKEACFTDCIHGKQCNI >cds-PLY89506.1 pep primary_assembly:Lsat_Salinas_v7:4:148929204:148941482:-1 gene:gene-LSAT_4X92081 transcript:rna-gnl|WGS:NBSK|LSAT_4X92081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAVLSGRNSNNNNDYDAHRTAFNFPFPEITSSGRLEVQTLNNPTTDEFRKVLDSVQPNIVYFQGERLPNDEVGSLWEGAEDLPGLFGSYLPTTGIPYVIYWKHSFSCYAACHFRHALFSVVQSSSSHTWDAFHLAHSSFRLYCVRNNLVGQSEKVNIKLGPCLLGDPPKINVPPPEAPGGEDDEEKYPEDLPAIKIYDDDVNVRLLVCGSTSNLDASLLEPLEDGLNALLSIEMRGCKLHNRVSALPPPLQAGTFSRGVVTMRCDISTCSSAYISLLVSGSAQTCFDDQLLENHIKSEVIEKTQLVQAISNFEENKVSLSEPRGSASIACGATVFEVSMKVPLWASQVLRQLAPDVSYRSFVALGVASVQGLAVASFEKDDANRLLFFSKNQENNSHLNIITPPIPTWMKHPLPSRKRSFTNQEMGPGVRVNNNGLIPGIKGMESVNGNVIRQKPKVAALRPIPHIRHQKMLPFAGISEVNGHEFGGQVKTNVSLAPSNKHNSAGSAPVTHRKSLSSSYQAKQLISLNPLPLKKHGCDRSPIHVCSEEEFLKDVMQFLILRGHNRLIPQGGLAEFPDAILNAKRLDLYNLYREVVSRGGFHVGNGINWKGQGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGICGEWAHFGCDRRQGLGAFKIEYVRFSEWVAMEN >cds-PLY75786.1 pep primary_assembly:Lsat_Salinas_v7:3:69251991:69254177:1 gene:gene-LSAT_3X56401 transcript:rna-gnl|WGS:NBSK|LSAT_3X56401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILLAMAGYSNAAYCVCNTALSNDVLQKNIDYACGHGADCAQIAQNGACANPNTVKDHCNFAVNSYYQKKGQVLGSCDFSGTATVSQTPPSGANSACYSGNPSTSTPTPPATGTGTPTPGTGTPTPGSGTGSGTPTPGTGTGTPGTATGTGTGTGTPGTGTGTGTGTPGTGTGTGTGTGTGGGTMPGINVNPQSGLGPTGTNGFDNSGCVSIYQITNIFMPIISVVGLIWFKLI >cds-PLY96444.1 pep primary_assembly:Lsat_Salinas_v7:4:343512829:343513485:1 gene:gene-LSAT_4X169640 transcript:rna-gnl|WGS:NBSK|LSAT_4X169640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGLPCCAWNDVAVKNIANIWGDICFLEEDENAPLAVKHVCIKIVKSSLIHDKVRVVAQGIEYVVTVRRISNWEQDMMETGDIDSDILDLSGDEEEDVCFDEDEIDGLKVKDGEKVDEGHGDSFSTDNMHSKGDRGAEFVDRKGWFFQTNIKRKGNEVVDGGSSLFVDVVAPITDVNQSEPVIPRDVSLVRLDEDVMPNGPTHAVDDVEGERVSESLS >cds-PLY70849.1 pep primary_assembly:Lsat_Salinas_v7:8:117159072:117161257:1 gene:gene-LSAT_8X81021 transcript:rna-gnl|WGS:NBSK|LSAT_8X81021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESELEVPEHKHPLKLIDLQLQYEEEEEEDEDGYLIMKDGFHGVTCRRCEEEIHVYHRYYYTCCSSSCDDKKVFSLHKFCAELSIRYAYYDLICKLCKREHEPGARFYRCNKCYFCIDLKCALEVGKNVIHHPCHPHLLMSAILKPISCECSACGKEHKGIFYQYTICTNFAIHSECAFLPESLLIQERTHDAFYHPHPLTVAYSFPQIDQQAKHDPRCRVCRHDFVGKEDHWIYKCDKCLYYVHLDCAISLPPLAGFGNTIKNYEDVDHPGLLHLPFPDETYSLPKHYLFFQQSSTTDPPPHHHHLIHDSHQHPLILVDGETQIDTECQTSLKVNLSSSMCHDPMKKTQLLCNGCLRPIMSTMPFYICANDDEIQIQGVYNNFSLHEWCTRLPPKVQNHLGHPQHTLNLICSNIPGCLFGLFRCNFCDLPCNGFAYRCFKCEYFVDVTCGLIPREITHKAHPNRLLSIVQVKDGYTSCLMCLKYTQGRHSFHCNTCNIYIHPDCALLLSETIRHKYDKNHPMKLSYLPIENHKSEYFCEICEEDLNPHASFYHCKDCALFVHTICAPLILQCETHTYNRSMRSTHLFMNIKFGGIYKTNSHPHPFSFAQGIVLDGYCCICHEQLQYKMIFKCLECKFAYDHICCERFLFGNSLAHPNLL >cds-PLY87326.1 pep primary_assembly:Lsat_Salinas_v7:3:112432693:112435142:1 gene:gene-LSAT_3X80341 transcript:rna-gnl|WGS:NBSK|LSAT_3X80341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVAVRAAVSAFRREEESQVHHSSKRRRERSDQDRVNYDDSMFMETPRGKGLRLYIGNLPSHMDEVLVQTTKSRRIRMVERKTRKRSVDKPKTKHLEARHTMDSKEKKKRSVTLIRDHSKEYLSNLTVEFDQFDRAIGPNRFKFTSYHGVTTRKMISILIDSWDLVDQCDKDQLWLNIKNYWHIRDDNHKAQVLRDCNTQWKAYKSALLKLWEKGVNPVKEYPYLDKAMWKKFIVLKSTEEFEDQKIQRLFQSHTYIIVLVQSTIVRLGVWLLRYELDA >cds-PLY80929.1 pep primary_assembly:Lsat_Salinas_v7:8:255562756:255566210:1 gene:gene-LSAT_8X149580 transcript:rna-gnl|WGS:NBSK|LSAT_8X149580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVYNSGESTGGGGYLAVKKVFPVEDYVEKVSQRLVEAAYANDFKAALECLADPFVDVNFAGTVCLNSKKTEIVLHDESPSEVLVEFEEFNTDVTALFLAAHAGNVKLVRKLLSIGANVNKKLFRGYATTAATRGRHVEILELLIEGGASQVACEEALLEASHHGFAMPAKALMASDLIRPNVAIHALVNASCRGYIDFVDTLFKCGVDMNGTARVLLRSSKPFLHADVSCNALVAAIVNRQISVVQLLLKAGARTDTKVRLGAWSWDTTTGEEFRVGAGLAEPYDITWCAVEYFEKTGSILNTLLQHISPNIPHFGRTIIHHAILCSNLKAIELLLNQGADPELPVQTIKGTGFRLIHLAASLGYSAVLHHLVNAGCNLDSKTDAGETALMICARNKHVDCLKLLAGAGADFGLVNSGNQCVQSIAGSVRWTLGLRQAILEVVRTGKVLRSSDSSIFSSLMFVTRVNDTDALKKLVDQGVDLDEQDENGYSAVMVAVINRHVDAFRLLVSAGANVKLQNKYGETAVSLSEASVDCGAFEKVILECENDINAPKKGFSFSFYTLHRAVSRGDFDAVKTLTNNENDININAPDGDGYTPLMLAAREGHGRICEFLISKGAICEIENARHETALRLACKDAEQVLLDHVARGLVLGGGRVKKHCKGGKGCPHSKRLSMVEGGGLLRWGKSSRRNVVCKWAEVGGSSSFRWNRRKKADGEEEGLFRVVTTKNKEVHFVCEGGVDMAELWVRGIKLVTREAIFGN >cds-PLY92681.1 pep primary_assembly:Lsat_Salinas_v7:4:153407641:153410170:1 gene:gene-LSAT_4X94241 transcript:rna-gnl|WGS:NBSK|LSAT_4X94241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAINGGTSNDSSSSSISPLSSPSSVVYVDLYGKRRQIAKVQVLDREISLLQEEIKSLAELELASRCCKELDEFVEATPDPLIAITKHTRGRSRNFWRNLGKKLGSILLCCCYCGCCKTKSNCRCCPSANCCCCFGSTQQKKTRISGHCKCPEINCSCNPSCPKCSFSCCCCNPCSCFY >cds-PLY94265.1 pep primary_assembly:Lsat_Salinas_v7:MU038941.1:4187:4558:-1 gene:gene-LSAT_0X39461 transcript:rna-gnl|WGS:NBSK|LSAT_0X39461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKNMTSLGLTLALISVLTILSSTKSVDSQTYCPDVIKKFLSCESYMFGLTPTPNKECCANAQALDQAESASKDVLRANCRCLRRIVQSFRPPVDLSKVAGITSICHLKVNLPVDPSLNCDTL >cds-PLY66244.1 pep primary_assembly:Lsat_Salinas_v7:5:142634283:142636000:1 gene:gene-LSAT_5X62781 transcript:rna-gnl|WGS:NBSK|LSAT_5X62781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDILGNPSIYEKGSVYYYSERGDRLIDLNAFRDTLWQKCNFENPHLSTFGSEAKVEEVRNIVQQLLRWAWKHNKNLEEQAAQLHMLTGWAQIVEIDQDQAELARANLSILRKEAQSILDLAIKDATQGSESGKIMAFFVLDALICIDQAKFEQQLAQAMNVINTLSEQVKTFINQ >cds-PLY82813.1 pep primary_assembly:Lsat_Salinas_v7:1:87226695:87229025:-1 gene:gene-LSAT_1X72281 transcript:rna-gnl|WGS:NBSK|LSAT_1X72281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKREKNEEAVDAASVAYHVLHKLPSGDSPYVRAKHLQLVEKDPNGAIVEFWKAINMGDRVDSALKDMAVVMKQQDRAEEAIELIRSFRERCSKQAQESLDNVLIDLYKKCGKLEEQIELLKQKLRMIYQGEAFNGKPTKTARSHGRKFQVTVKQETSRILGNLGWAYLQLKNFPAAEAVYRKAQEIDPDANKACNLCLCLVKQGRYKEANTVLLEVMEGKLLGSNDPKLLNRTNELVQELEPWRLAQVHPGPDLGSDQGVVSLEDAFIEGLDQLINQWTPSRSRRLPVFEEISTYRDQLAC >cds-PLY83567.1 pep primary_assembly:Lsat_Salinas_v7:1:65577648:65586304:1 gene:gene-LSAT_1X56061 transcript:rna-gnl|WGS:NBSK|LSAT_1X56061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLCRSHPEVLWAQRADKVYLTIALTNAKDIAVKCEPHGLFNFSAIGANDENYEVSLELYGNILPEGCKTQMGLRNILCTVQKEEKGWWKRLLKSDQKPAPYIKVDWNRWCDEDEESVKGDLQESIMKVDLSRSSCGVPLLNDSVIGLKDQVRKLNVQLLDDRGVAIFISAVR >cds-PLY67609.1 pep primary_assembly:Lsat_Salinas_v7:5:117842295:117844200:-1 gene:gene-LSAT_5X51660 transcript:rna-gnl|WGS:NBSK|LSAT_5X51660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNWRFQLQAASRRRMVNRIVVTLKRHLPYSGQEGLDELNKIAVRFEEKIYNAATSPVDYLQKISLKMQSMEPSDWRAQLQPDSRQRIVNKIMDTLMRCLPDEGIHELKEMADRLEGDIYTAATTTSQSDYLRKISLKMLTMEARSQKSNYVANSVNPSGSGSQVMQQVNIQGFPLPICVPSYHPQQGQQLFSSQTMHNNIISNGKRAVDDSSFNIMENGEWRAQLQPDSRQRIVNKILDTLKRHLPFSGHEGLNELVKIAVRFEEKIYTAATSQSDYLRMISLKMLSMESRSLQNANMPGIGVGPHFQ >cds-PLY66459.1 pep primary_assembly:Lsat_Salinas_v7:5:327512843:327516826:-1 gene:gene-LSAT_5X183740 transcript:rna-gnl|WGS:NBSK|LSAT_5X183740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIDIEGKELKVTAESVHDMLGIPIGGTKLTQLDQWPKDDTSYDEWKQQFKKDSIIRLSAIKNVIVSTTQAGFNFKLNFLVLFVNTFCESTSMGRCNLFPLSYISRRKYISNIDWCSYVLDCLVRTKNSYIPYSDTSFFVGPSTFLVETPKIEMKEEQKLRTRKMEVKKNIHKLRKEMQKIKTKYGQITERTIMESLYSNTENFGEVLDTWSELLNHQELERDFGNSPYRLFLKVGVCTAYLTSTLSNERKYEKFKESFHESTNGYKKILNRKDIDMVFFPVVRSAHIFVIVFNLKKPSIEILDNSAVEGDYEVKYEINHPRANAISKESIKPQRLEMSWRTVKNKVDCGVFAMRHMETYMGQPLLKWKPGLHKESAVQQTTLEKLRQRYAHIMLTSEINMLKAKVLDLAENIKKLNSKCVVIMHTRLCKQFKKG >cds-PLY84981.1 pep primary_assembly:Lsat_Salinas_v7:8:63143232:63146758:-1 gene:gene-LSAT_8X46500 transcript:rna-gnl|WGS:NBSK|LSAT_8X46500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVHYLLIFGLLMSDLGIYRAQDSIAADQAIRDGHTLVSADEMYELGFFSPGKSMNRYLGIWYNNKSPQTVVWVANRETPITDLSGVFKVDTNGSLLVIASSNNTIIWSSNPGPVSPTTINPVAQLLSSGNLVVRTTGQENFIWQSFDYPGDTFLPGMKFGKDLVSGLDRRWSSWKSLDDPSPGPYILFMNINGFPQLFEEQDSVPLSRFGPWNGVTFDGMPRHISNALFTHNFVFNDKEVYYVYTLVNSSVVTRVYFSPDGDVLRLNWIERTQMWFLYWKGNSDMCIRFGQCGSYGRCDAKKSPLCSCMEGFEPRNPDEWSASQWSSGCRRRTTLSCPDGDGFRVFKNVNMPDTRGAWFNRSMTLGECETACKQNCSCTAYANIDIRMNGSGCLLWFEDLMDVRTVDESRDLYVRIAVSDLTIQSIPEFTSRPVSSKKRQTITWVVSILSSLVIVILILAIMYGWRKKKRSQVKIPVKPIDEEYIMESQDDDTELTSFSLSVISKSTNDFANDKKLGQGGFGPVYKGVLDDRREIAVKRLSKTSRQGLGEFKNEVEFIAKLQHRNLVKLLGYCIQGDENMLIYEYMPNKSLDSFIFDKIKSPILGWSDRFNIIHGIARGLLYLHQDSRFKIVHRDLKTSNVLLDVDMNPKISDFGLARMFREHENEANTNNVVGTLGYLAPEYVVDGTFSEKSDVFSFGVLVLEIVSGKKNKGFSHENDSDNLLAHAWRLFEEDMALELRDPHIRESCVASEVFRSIHIGLLCVQHFAKDRPTMSSVILMFDKEGALPQPKQPAFFAKGSLPQLSLASVNDITMTTLEPR >cds-PLY69983.1 pep primary_assembly:Lsat_Salinas_v7:8:63596353:63597255:-1 gene:gene-LSAT_8X45380 transcript:rna-gnl|WGS:NBSK|LSAT_8X45380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSMNQSSYQKILATVPSNEEGLLAAVGQDTDASAQWSSGLCNCCSDAPLCCITCWCPCITFGRIAEAVDDGKTSCIASGGIHALLTYMTGCGWIYSLAYRYKIREQYMLGSSPFQDCLAHFCCERCALCQEYRELQLRDSTLSSTGTLVLVSWACICTFIYLVSCIYFMGF >cds-PLY98476.1 pep primary_assembly:Lsat_Salinas_v7:5:209691264:209692569:1 gene:gene-LSAT_5X95200 transcript:rna-gnl|WGS:NBSK|LSAT_5X95200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMVVRVAWAQESFHLLVFLAFSGDLGQFRGRTEIAPDSNNYNKEASPFLVCLLFLEEQVNDVKDFIDDYVERNQEDFDKFEDVDMLYNTLSLDKVEALEDLVIIGPPDLVKHYQQGAVKTWS >cds-PLY96935.1 pep primary_assembly:Lsat_Salinas_v7:1:142742299:142748043:1 gene:gene-LSAT_1X105021 transcript:rna-gnl|WGS:NBSK|LSAT_1X105021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKGNLENYLIPLEEINLATKNFSLERFIGGGGFGKVYVGELSERWQNRTAAIKRRAPDSYQGEDEFRNELHMILKFKHENIISFIGYCDEADEMIIVYEYATNGSLDHHLQYANKMCDIAWTQRLKICLGAAKGLDYLHSGLGEHTRVIHRDFKSANILLDENLVAKICDFGLSKWGPKNRPITHLNTKVAGTQFYLDPAYHESHILRKESDVYSFGVVLFEMLSGMLVYSERSLGNKAAFLMTLVRQSDEKKVHELVDPHIRHQISSPSFFIIKEVAYQCISLNLKERPSMAIVIGKIEHALDIQGLPGSDVLTITDMCVGDFLILHPSKLKFPLELKKHSLCSLHLTNKTDQFIAFKIQTTTPLECNVRPNNGIILPRSVCNITVIKRAVKEAPPGMMCKSKFKVKAVVAPNGATTNNITNRMFDKEENKVVEEFTLRAIYIHVS >cds-PLY81417.1 pep primary_assembly:Lsat_Salinas_v7:3:217895264:217898438:1 gene:gene-LSAT_3X126840 transcript:rna-gnl|WGS:NBSK|LSAT_3X126840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLSEIDRYASENVNKILVGNKCDLVANKVVSTETAKAFADEIGIPFLETSAKDSTNVEQAFMAMTASIKDRMASQPSLNASKPPTVNIRGQPVSQSSGCCS >cds-PLY97619.1 pep primary_assembly:Lsat_Salinas_v7:5:236869397:236872269:1 gene:gene-LSAT_5X115721 transcript:rna-gnl|WGS:NBSK|LSAT_5X115721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQKTSLHRKRLVVVNNEAEIFVKGNEEEENLLYDKKSALEETVKNLHEQVACLVCECNAKDELMAEHLKTAQEAIAGREKAEAEVAKWKQELEDTLQHNVAAYERLVHLNASLKNCKEQLSCIKLEQDQRVILERALKRLESKYTETRKKLADLTLENALLNKALVKKEEIIKDVSHHISEATSEYLVLKKDLKMRTLNADVAKQETASLEAERQRLRLLVKKRGPGHGKTKQVDKSLSLMIKKLSEVEEENRILKESVCERENEIRILKGEVGRNPEGKILELENKIVSLEMEVERVNELKRRNEEQFENVKLMNVDLDHQLSDAKFKLKEALRRVFVLEMELEDRNQQYEGLETALLELQLQLASVSINKEIKEDLEQEMKLLHTGSEITRELSQHSSLRDHMAAEDGFQREDVLRSPMIKDFISATETKDAYVPYCNTYSSSFGVKSVVPQALLVVPWKKQFKGTELLRKLLFRKKRGGNRKKLCAFATYHV >cds-PLY70076.1 pep primary_assembly:Lsat_Salinas_v7:MU044190.1:103:1036:-1 gene:gene-LSAT_0X46640 transcript:rna-gnl|WGS:NBSK|LSAT_0X46640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDMKIFAGNSVPKLAKFIANRLYINLGNAAVGRFSDGEISVQINENVRGGDVFIIQSTCWPTNDNIMELVVMVDALRRASAGRITAVIPYFGYARQDRRVRSARVPITAKVVADFLSKDMLQRELKNPIVVSPDIGGVVRARAIAKLLYDTDMAIIDKRRPRANVSQIMHIIGDVANRDCILVDDMIDTGGTLCKAAEALKERGAKRVFAYATHPIFSGDASINLKNSVIDEVVVCDTIPLSEKIEILPNVRTLTLAGMLSEAIRRISNEESISAMFEH >cds-PLY66334.1 pep primary_assembly:Lsat_Salinas_v7:5:289328933:289329292:1 gene:gene-LSAT_5X157781 transcript:rna-gnl|WGS:NBSK|LSAT_5X157781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSKWANQLESTGVNVATLPRSFSVSSSRLSSGDKDLVELMRIASQRGLAKKVESEFLRQKGLDHGSTRMNNGLHSRRVGIMGKIDEDEGCQFGGSDDFKINRVNFPRSRSHVVFTRKN >cds-PLY78499.1 pep primary_assembly:Lsat_Salinas_v7:4:124063781:124065291:-1 gene:gene-LSAT_4X78980 transcript:rna-gnl|WGS:NBSK|LSAT_4X78980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALTNLILTVAGVSAVILLMRSDVKQSASIFKRNVRQIRHWLEEESASAAKEMEKAKPKELPKKDTPKGD >cds-PLY97289.1 pep primary_assembly:Lsat_Salinas_v7:1:43990344:43992218:-1 gene:gene-LSAT_1X37780 transcript:rna-gnl|WGS:NBSK|LSAT_1X37780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLAEGLDDGRDMQDAEFYKQQVKALFKNLSRGQNEASRMSIETGPYVFHYIIEGRVCYLTMCDRAYPKKLAFQYLEDLKNEFERGYENQIETAARPYAFIKFDTFIQRTKKLYQDTRTQRNISKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSDTRVYADKARDLNRQALIKKWAPVAIVLGVVIVLFWLKGKIW >cds-PLY79255.1 pep primary_assembly:Lsat_Salinas_v7:9:182223872:182225998:-1 gene:gene-LSAT_9X112181 transcript:rna-gnl|WGS:NBSK|LSAT_9X112181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRNAVFLTAFICIVISSVGGQSPSSSPTTSPPQVVASPPPTTTAPPPQVASPPTSTPPPVAAPPTVASPPPTTPPPVASPPPATPPPVASPPPATPPPVASPPPATPPPVASPPPAPLASPPAPVPVSSPTPSVTPTVAPSPLLSSPPAPPTGAPSPSLATDLSPAPSATDVSGVEKMGSMVGSIVFGCALVYSLF >cds-PLY70701.1 pep primary_assembly:Lsat_Salinas_v7:3:105699654:105702638:-1 gene:gene-LSAT_3X78300 transcript:rna-gnl|WGS:NBSK|LSAT_3X78300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFASGQIASTELLLLAMFRKRLKRFHSLKSETDDVYTTLDEKNMPLVIYNQHLLLLHLVFRYNFNKVAQYKQLTLEEAEEKIKNRRKTANEYERWMMKAANNGAATFGEVERPNDKEGGGGGGGGRGRKKNNVDDDEGNVSDRGEEDEDEEFAKKNRLGLNKRGGDDDEEGPRGXDEEALEVVILILMMMILIKAQELISLCEWEPRWLPNVQDCEEHSAQSTKNGASFNPSKHHNPNKKTISEDSSKKETRSPLLDCSLCGATVRILDFIHVNRPSRFSPDNVDVPEASKKIVITHGISAASGINRWVEAEQQTEDIDEAATTGMDLNLTMGTSYESWGPNKRNVYEGGSTVDRPSGIIPHTNYIEGVVINRYADEVNDSKRACGSGSYKQDYSSGAGPSQVSYFDIDINALPHSTKDSARASSVIATDTFCPSDDDNDSMESVENHHGYVGGDEVNYPSVSGVKSTDNQGKNSTNDEEVLNVMGHGKDGFTLAISGGGSVGMGVSHEAEIQGSDGLIHRSGSVVGDPPPPQVVVVVGDS >cds-PLY83021.1 pep primary_assembly:Lsat_Salinas_v7:5:51795807:51801289:1 gene:gene-LSAT_5X25080 transcript:rna-gnl|WGS:NBSK|LSAT_5X25080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH2 [Source:Projected from Arabidopsis thaliana (AT3G18524) UniProtKB/Swiss-Prot;Acc:O24617] MDGDLQEHNKLPELKLDAKQAQGFLTFFKKLPNDEKAVRIFDRRDYFTAHGENASFIAKTYYHTTTALRQIGNGSDAVSSVSVSKNMFETIVRDLLLERTDHSLELYEGSGSNWRLTKRGTPGNLGSFEDILFANNDMQDSPVIVALLPNFRENGCTVGLGYVDLSKRVLGITEFLDDSHFTNVESCLVSLGCKECLLPTEISKSNDCKPLYDVMSKCGVMVTERKKAEFKSRDVGQDLGRLVKGSNEPVRDLVSSFEFAPMALGVLLSYTELLSDENNYGNYQITQYNLDNYMRLDSAAMRALNVMESKTDANKNFSLFGLMNRTCTAGLGRRLLHLWLKQPLLDVTEINKRLDLVQAFVEDTGFRQVLRQHLKRIADIERLIRIIQKKRAGLLHVVKLYQSSIRVPYIKSAMEGYNGEFASLIKERYMDRLNFWTNDEHLSKFIGLVEVSVDLDQLENGEYMISPGYDSQLSALKDEQESLEQQIHNLHRKTADDLDLALDKGLKLDKGTQFGHVFRITKKEEPKVRKKLAAQFVVLETRKDGVKFTNTKLKKLGDEYQKILEEYKSCQKELVTRVVETAATFSEVFEGLAMLLAELDVLLSFADLAASSPTPYTRPEITPSDTGDIILENSRHPCVEAQDWVNFIPNDCKLVRGESWFQIITGPNMGGKSTFIRQVGVNILMAQVGCFVPCDKASISIRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGATDKSLIIIDELGRGTSTYDGFGLAWAICEHLVEVIKAPTLFATHFHELTALAHENSGQTSGIANYHVSAHIDSLSRKLTMLYKVEPGACDQSFGIHVAEFANFPQSVVSLAREKAAELEDFSPLSIVGCKRKKPSEEDDVAIGVPRARRFLKEFSELPLDKMELKEALQEVKRLKNEMLNDAGDCKWLKQFL >cds-PLY68362.1 pep primary_assembly:Lsat_Salinas_v7:5:107045739:107048545:1 gene:gene-LSAT_5X46440 transcript:rna-gnl|WGS:NBSK|LSAT_5X46440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGLARNLFSVRPPSGGRPSSLPDPNGLPHIPLTGGSLPRARSSPLPDPSGLPCIPLTGGSVPRARSSPLLDPSGLPRIPLTGGSVPGARSSSLPDPSGLPRISLIGGSVPGVGSSPLPDPSGLPHIPLTGGFVSGARSSPLPDPDLSGLQHNPFLLVQHREPGHRPTLMAFHASLCQVAFHALQLRRQVDTGVVLNGGNGIAYGYEMTGNNEDPTSDFFYNGPEHEHEPESPMVQTPHYSGTHGGSNDADTNGSHRPFITRKGYKFRRQSIHRAILKILWQSINEPWITYRKIPKEVVTQMFERFRTQYRWDPNEEGIIREGFENTLKDHYRGRMRDAREASAARYMRGSIGFEEHRLKLKQLTGEHPSFIDLYYKTHLTTESKKIYFGGDKEAPVDFVNETSRVAIESNNKALSQKYGDDLTQHNVNDPELWTQTQLLRNGGKQKGPIYGAEYSDIHFLMTGAYSYESTSASADFAKSQQEVNELRQQMLNMEQAMEEKQSEMNLQMQQMRNEMELQVQRQLAAFMKQIKPSSNPPSSS >cds-PLY75473.1 pep primary_assembly:Lsat_Salinas_v7:7:72495761:72496832:-1 gene:gene-LSAT_7X52661 transcript:rna-gnl|WGS:NBSK|LSAT_7X52661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENKFIPRSQSSLGFFEIIRESFTTTRRNLKVLGPILLIVFVSFSLLDFAQKYLLAPVIKDFVLQLAKYPNMVQDFSYNIDQTNYAGALTDVREIILVKLLIMAISSIISITFFVAIVSSSYEAYTDKVLDPKDLNLILIKSWKRPLVTSFYMILLTLGIVFLYLISMTITTILAANSWVLFSLGAITLSIPVCYFYMASLWIVSMVVSVLEEGFSGVKAIGRAADLMKGKRLKASLILVRFVVAYGVVHQMANYLLANIELSRSTLLAITIPFSNGFFSLWQLFMLVAYTVFYHEMKTSHDEREGRGFYLPIAAGEA >cds-PLY96146.1 pep primary_assembly:Lsat_Salinas_v7:3:102594807:102595939:1 gene:gene-LSAT_3X74821 transcript:rna-gnl|WGS:NBSK|LSAT_3X74821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSMGSSTFFFHKKKRWLLVLLALLSISTAVAFIIRAAYDSSCDRRLFDVPDAGKRFQSTVNPKASPPPKVKVANPLSFMKSKLVLLVSHELSLSGGPLLLMELAFLLRGVGAEVCWITLQKPPGKEEVIYSLENKMLDRGVQVFSAKGQEAITTAIKADLLVLNTAVAGKWLDAVLNKNVPQILPKVLWWIHEMRGHYFKLDYVKHLPMVAGSMIDSYVTAEYWKNRTQERLKQALFCFPISFYT >cds-PLY83813.1 pep primary_assembly:Lsat_Salinas_v7:3:50592481:50593605:1 gene:gene-LSAT_3X38940 transcript:rna-gnl|WGS:NBSK|LSAT_3X38940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKSSCYDNNGLKKGAWSEDEDNKLRAYIHRYGHWNWALLPKFIGLSRSGKSCRLRWMNYLRPNIKHGNFTKEEDDLVIDLHNKLGNKWSTIATKLPGRSDNEIKNRWNTHLKKRVQNEHIESSNEHLGTLEYDQASSKRKPVNKTDLKHQPEARTNLLAGESSESLSYSSVTEISSCQLSDSDCAVFSDFTPLAFNDDELVDNFWSEPFLTDIDVTAPSGNYKLLSPLNFVNEFSSQYSYQDLMMADDQCLWSMMADSYVENNIFIN >cds-PLY90286.1 pep primary_assembly:Lsat_Salinas_v7:2:199134533:199137470:-1 gene:gene-LSAT_2X120221 transcript:rna-gnl|WGS:NBSK|LSAT_2X120221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDAGHSEEHTIAPAASNAPEVGEVGDVVMVEEGSGGGGRADKRSKVRGPWSPEEDVILSELVSKFGARNWSLIARGIPGRSGKSCRLRWCNQLDPAVERKPFTEAEDRIIMDAHAIHGNKWASIAKLLRGRTDNAIKNHWNSTLRRRYIHPNSYNNINNTKMDINCNLLDTTKASSEDTLSNGNINQIQVKYEHQNQQFPETTLSRPIPHFGAFSVYTPQNGIPIPNEESRTKVIPIQGPLNLIQASKPESIGCKFLKGYTAESVIPSRCGHGCCSGGDSQERSLLGSEFVEYEELPPLSSQELATIATDLNTIAWIKIGLEKPTQTTFALPAQVEGLS >cds-PLY88991.1 pep primary_assembly:Lsat_Salinas_v7:8:242010112:242014539:1 gene:gene-LSAT_8X144380 transcript:rna-gnl|WGS:NBSK|LSAT_8X144380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMARIGGKTKVSGSRNKTPKKTPNGKKHKSNAKEDVSLTCDEDFVDLFTHAPNGKQGMSQATKDVQEQEHDDNEVKVSKRLTLQELLMSGYTHADLVVAMKEVKWYDSGFWEAL >cds-PLY64947.1 pep primary_assembly:Lsat_Salinas_v7:8:159739910:159742057:-1 gene:gene-LSAT_8X106541 transcript:rna-gnl|WGS:NBSK|LSAT_8X106541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPTNNNVGSIYELQSQHQINIRGVSHNHHPPPLPLNLPRSDLLVGRREDYNDICVPTYKATITGNWKAAKIILDKRRELVRFSITESYDTVLHIAVMGKSYWFVEYLVSLMEKEDLELQNQKGQTALCLAAIAGNVKIATILVNKNRALLDIPDSRGMMPLYMAALFGKHDMVRFLYHNSRNMSGDFWTHHNRGCVLVKCVEANLFDVALQIVTDRPELAINGSVLGLLARKPYAFDTTRSHIFKRIVYSFLEACHLKVGIPDMESYALQLLRVIWAAIVKLPKAQIDEIIRGPPDQPKDDIKQTRDEKEKQETLLLLRTISDNIIKMPSRIYNLFRCPTDENAATSKTVKQKYSSRVLFLAAEMGNTAFLVEVIRQYPHLVREVNDNNHSIFHVAVSHRHEGIYNLLYEIGALRNLIITLEDKNGNNILHIAGETPKINRLQNIPGVGLQLHLETLWFKVCLSKCKN >cds-PLY84388.1 pep primary_assembly:Lsat_Salinas_v7:8:78931239:78934319:-1 gene:gene-LSAT_8X56441 transcript:rna-gnl|WGS:NBSK|LSAT_8X56441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex catalytic subunit NAA20 [Source:Projected from Arabidopsis thaliana (AT1G03150) UniProtKB/Swiss-Prot;Acc:Q8LGI8] MTTIRRFCCDDLLRFSSVNLDHLTETFNMSFYMTYLARWPDYFHVAEAPGNRVMGYIMGKVEGQGESWHGHVTAVTVAPEFRRQQLAKKLMNLLEDISDKIDKAYFVDLFVRASNTPAIKMYEKLEYVIYRRVLRYYSGEEDGLDMRKALSRDVEKKSIIPLKRPVTPDELEYD >cds-PLY91062.1 pep primary_assembly:Lsat_Salinas_v7:5:171861872:171862733:-1 gene:gene-LSAT_5X76421 transcript:rna-gnl|WGS:NBSK|LSAT_5X76421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRVGRFWSRTLEGRVCRRRIECGDEKRNEVVEGDNKDEDDDDEDNDGFEGGNNFEYGEKIFEGDENLKGGGENVAEKKDMGGDLEENKTNDGKFNDEEEQNLKGRGKNQ >cds-PLY75532.1 pep primary_assembly:Lsat_Salinas_v7:9:34066042:34068490:-1 gene:gene-LSAT_9X30241 transcript:rna-gnl|WGS:NBSK|LSAT_9X30241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQCMLTIDFFLSKSNHLYTGGSPDQESVVSGTKKSSISSGGRIRDEFLHRFIDSQTLTSNLEDWFQALSENSFDFDVPFELIDLQKFDYALEGVSFQQLIRMPSGGGYTSSPPTTMDPTAFLAIEDFLHASIKGLWEAFWDPHEPIPLNVASLYNSNLKFYQAEKAIANGKLSGLCATSVMLNNPRHPHGKWHDILELALLRPGIGTLENDSEITYPSLSILGEALFYGVRVLLSRSLRRSKGLNSVFVILADCQYGGVVKLEGDLTKMEFDVNNVYECASEWIKNHSQVSVSPFDRIWNKLGNANWGDIGALQVLFATFQSMVQYAGPPKNSIEDLAADHSSRLQTRRNERRMGEIGINGNNNGLFKLQQRSVSPEIVEVPEESGNIEPRRSVTLEVGSILMVEDSNWQKSYKINEVLSDGDMSYYFVNSVDDPGKALFLYVGSHPSQVEPAWEDMKLWYQVQRQTKVFSVMNQNGLSSKYLPQLTMSGKIVHPGACRKQNSNSGGNCDDPWCGTPILVTTPVGKTVAEMVRSGEFGADEAIKCCHDCLSALSTAATAGIRHGDIRPENIICVNSGGGQNQYFVLVGWGHAILEERDRPALNLHFSSTYALQEGKLCSASDAESLVYLLYFSSGGDLPELDSVEGALEWRDTFWSKRLIQQNLGEISAVLKAFADYVDSLCGTPYPMDYEIWLRRLKRHLNENGKEINTSS >cds-PLY92647.1 pep primary_assembly:Lsat_Salinas_v7:2:159979213:159979560:-1 gene:gene-LSAT_2X83880 transcript:rna-gnl|WGS:NBSK|LSAT_2X83880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDDESASVGALLILTTFKSSQKPSSSNPVHDFGLSLSSSEQGEEEVQFDANAQGIQGNEAKTNLGQGNKTEMNPSPFEPLDFNDGDNDKKFESSTSDTGSSEESIMDLFALLEL >cds-PLY69357.1 pep primary_assembly:Lsat_Salinas_v7:7:80666640:80668272:-1 gene:gene-LSAT_7X56820 transcript:rna-gnl|WGS:NBSK|LSAT_7X56820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNTPYESVVSILPETRSMFPVMRSPTSAISVFKNEDADIDTRIRTTGAVKGFGHNLEEAYMRWNKPVLLIQQANRDFGETEYDSSVADVSQENTDTQGKEKEGTIMPIKRFVSLPSPNLVSHLFILDLVLIRTF >cds-PLY90971.1 pep primary_assembly:Lsat_Salinas_v7:5:287386706:287388361:1 gene:gene-LSAT_5X151460 transcript:rna-gnl|WGS:NBSK|LSAT_5X151460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AUR3 [Source:Projected from Arabidopsis thaliana (AT2G45490) UniProtKB/TrEMBL;Acc:A0A178VSR7] MASGSVKRDWSLKDFEIGKPLGKGKFGRVYLAREVKSKYIVALKVIFKEQIEKYKLQHQLKREMAIQNSLRHPNVLRLYGWFHDSERIFLILEYAHGGELYGELRKSGHLSEKQAATYIASLTRALAYCHEKHVIHRDIKPENLLLDHEGRLKIADFGWSVRSKDKRHTMCGTLDYLAPEMVEQKAHDYAVDNWTLGILCYEFLYGVPPFEADSQADTFRRIMKIDLTFPSSPQVSKEAKHLITRLLVKDSDKRLPLEKILEHPWIIVNADPDGTCS >cds-PLY79127.1 pep primary_assembly:Lsat_Salinas_v7:9:90081066:90082447:-1 gene:gene-LSAT_9X69680 transcript:rna-gnl|WGS:NBSK|LSAT_9X69680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTWATSSARAASTTDPKVPSHNRLPLLGSRISATHLPHEFGLIPLRVAIWGPLILLDLEKDKFDEHDDVGMEWLGSTSDILSTNGVDTSLSYLCRREYTIECNWKWCVCRFFCDNYLDGGYHVPFAHKDLALGLKLDSYSTTRCDGDEVKGEEDFDRLGSKSLYAFIYPNFMVNRQVWALDGHKSVIFDYFLDASLKDDEAFVTGSLKDSEQVQMEDVTLCESVQKGLESPAYGSGKCAPMVEKAHHFHCLLHQDLIN >cds-PLY89419.1 pep primary_assembly:Lsat_Salinas_v7:4:63224970:63226912:1 gene:gene-LSAT_4X42821 transcript:rna-gnl|WGS:NBSK|LSAT_4X42821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRSTTRNQNHDDSSSRKKRFNTCDNHGVSHWSELSHDMLFLVTMQLGIFDFVSFSGVCKSWRSFALCNMKRFMALKPPMLMWISNDANMKECYFYLKDFKGGKRKTLLPHSTDTKCIGLTCGYLVMLSLKTNDYWLVNPITKHELHFPVVPCSPNLNPFRAILIFSPSLSGWLFVLIQRFSRLIWFSIVGTDAWNHVSCAIPIIDLHVFQGKMYTLNKWWGLCEMRLGPEPKLTLLEIKSFPKRHFIYPLLVSSDENLYVVDGYSLKVPFEEMDFREMKWVSLKEKTTEKYAFFCSDMAYGTDIIPESWADSLSQYKRYEIFHNITEKCQKGRFFSSSMWYFPRDCLNINLCS >cds-PLY96292.1 pep primary_assembly:Lsat_Salinas_v7:6:157660249:157666768:-1 gene:gene-LSAT_6X95461 transcript:rna-gnl|WGS:NBSK|LSAT_6X95461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSSSSSSSSSHRHESIDPKLLRLLVSLTNHHIHSFLTNPKTRKIQQAKCSSNLKIHEQEFFDFSEQSVLSNLYWGIQNVEAAIQSKCLEDVNSNLQRAERMLQAPALLNEHETTAGVSNSYLVCYAYFYLSVVRKLQRDELQVAVHFLQALVVSPVVVRTELSPELYRAMVESCIMPLKQEFQNGVDENEGVKWGARSYKAWLMYHQVISYGQSPLLMKEPDEKSQEIRNTNVCSTSSSNSYEHVHRLHQLRIHQNENEDEYDRSLSRTAITKSKAPETLNIDSFSGILASDLEFWNSVNEIEDEDEPTWQNFRKRDEMLTNNHNSTDEITSFCGENSYRIEQAEIVEKVISKLCFSEGLEKPDDSTTVEITTVYEMLASKPGLKYSLLKDVILDQLLMALSTSKEEGVTRASVSILSSIISGNHSVIEDIKKKGLNLIHLANALKRDVHEAAVLIHLINPSPNEIKTLEILPTLVKIICASSCYKNGLKSIPVMTPPSASLMIIQVLVTAFDYSTNNTHLAEISSPKVISSLVNVPRNENLEELISLASILVKCMRFDGKCKYHIMQFAPINPFISLILSNHKNAIVAGLEFYHELLRMPRSSSISLLHQLHERGGMNIMCVLLLSIQQTQHEYKLLAASLLLQLDVMEGSVVDILNREVAIEAFLEALTCEENLETQQLAAFIFSNLGGTYSWTGEPYTIAWLLKKAGLNSMLHKNIVKNIDWSDDTLQENGTEIWCGKVARHIIKLGNPVFYALEKGLKSKNKRVSRDCLTTIAWIGCEIVKGHDDLRGLACDILLSTIEQYVHPGMELEERLLACLCIYNYTFGRGMQKLTQFSEGVRESLRRLSSVTWMAEELLKVADYYMPNKWRISCVHTQVLEGNYNGSGAVNSLIYYRGQLFSGYSNGSIKVWEIKGQTTTLVSDIKEHKKAVTCFALFEQGNCLLSGSADKTIRIWQMFERKMKCIEVITTKEAIQSLETCNEVIFAVTNSHKMKVIDSSRKVKDVFKNKNVKCIRASQGKVYIGCMDSSIHELIIGNNRQQEIKGPSKSWRLQNKPINSIAVYKDWLYSASSCIDGSRIKDWRRESKGQMCIKPEKKTNVVAMSVVEDFIYLITSSSPSNLEIWLRGTQHKVGRLSAGSKITSMLTANDMILCGTESGLIMGFYTTNTKAKWKALVAANAAFQKFGFLLTLVVQCVRHSVYQ >cds-PLY92391.1 pep primary_assembly:Lsat_Salinas_v7:5:321286162:321286846:1 gene:gene-LSAT_5X175581 transcript:rna-gnl|WGS:NBSK|LSAT_5X175581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCVPFTGSEAWTRSLGYKVIDDEWRQWDVDEQVAGYLQAYDKNLTFLTVKGAGHTVPEYKPKEALAFFSRWLDGKEI >cds-PLY89612.1 pep primary_assembly:Lsat_Salinas_v7:9:39474202:39475469:1 gene:gene-LSAT_9X35661 transcript:rna-gnl|WGS:NBSK|LSAT_9X35661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRRTMGTNDKSVQLKVYLDKKKKKVKFAVADEDFVEILFSFFTLPLGTIAKLSRKYSNNDIKVGSLTSLYGSVVDLNLQHFSSKKCKDGLVNPRNSSLSHCKKLKVNLDETNPMDDNVATDDVVFLKNKGNFIITDDLNVKPFMLDKSIQLLTSLGVESINCLEERKMRFGLEEFSNLLLWSLSTNNPLTNLVLGGNKKPWTSPSCLTNSTLIDITSANLVSSNSGKMTQPMKLLVHKAKKKVLCAQVEHPFVELLFSFLTIPLGSFVRLTKEIDDDSSPVQVGIINLYNSISCLADENHLESEDVKAMLLCPKIANSYRRVTEFLPIYEVNKDAGRFLKEHARIFIVSDDLEVTISQSIDIISKFNTLGVHVADMEVLDVTIGEQEVTLA >cds-PLY91631.1 pep primary_assembly:Lsat_Salinas_v7:8:12815349:12817299:-1 gene:gene-LSAT_8X10221 transcript:rna-gnl|WGS:NBSK|LSAT_8X10221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSVENQNPNKSSPLNFSNLWLKNEKVLDHVVYATLRRFSLSNKHPPSLPPHLDDVTVASSPPQPPTNSNKILAFDFPQIVVSDDFTPLLSDEILLHILSKHPEISQRNSNCLVSKRWLNLQGRLVRSLRVLDWDFLISGRMFFRFPNLTHIDLLHGTVVSNSSTPNLIGHELGSFHISPDDFLCDDHCLLPVDEVDFGLKVLASMYPNLRRLVVVNCSEIGLLSVAEDCPTMQELVLHRCNDHLLCGIAAFTNLQILKLIGVIDGFYKSLVSDRGLTILAQGCKRLVKLELRGCEGGYEGIKAIGECCQMLEELTICDHRMEEGWLSALSYYENLKSLKLVSCKGIDQNPELYEQLGFCQMLERLHLEKCQLRDKQSVRALFLLCQAVVEVVFKNCWGLNNAMFLNANLCRRVKSMSIEGCSRVTTQGLENVVLSWKELESLEVISCKNIKDDEVTPKLSALFSHLKDFKWCPGNNTSFLLSTRLSLSDMGKRGGKFFKKFQI >cds-PLY68591.1 pep primary_assembly:Lsat_Salinas_v7:5:394663:397964:1 gene:gene-LSAT_5X441 transcript:rna-gnl|WGS:NBSK|LSAT_5X441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHQQEDDEYMGDEYEMEDVDDNMEEEFHGRDVGSYSDVDEYDYMNNKLADTCAAQARRGKDIQGIPWERLSITRDKYRQTRLEQYKNYENIPQSGEASEKACKVTNKTVLYYDFRRNSRSVKSTILHFQLRNLVWATSKHDVYLMSRFSVIHWSSLTCNKSEVLNVSGHVAPCEKHPGSLLEGFTQTQVSTMAVKDNLLVAGGFQGELICKARSRPGVCFCLRTTYDDNAITNALDIYTTPSGAVHFTASNNDCGVREFDMENFQMTKHWRFPWPVNHTSISPDGKLLIIVGDSPEGMLVDSSSGKTVASLHGHLDFSFASAWNPDGYGFATGNQDKTCRVWDIRNISKSITSLKANLGAIRSIRYSSDGRFMAMAEPADFVHVFDVRDGCYDKEQEIDFFGEISGTSFSPDTDSLFIGVWDRTYSSLLEFGRRHNYSYLDSLI >cds-PLY64232.1 pep primary_assembly:Lsat_Salinas_v7:7:1800451:1803528:-1 gene:gene-LSAT_7X3140 transcript:rna-gnl|WGS:NBSK|LSAT_7X3140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATWLEMAGASKSNNGGVAHVEWKVNVGKSSSDDGLVAEEAGVGVAKRMHGQIMQCLVKIGVFFDKAREIAATEPKKVIHCVKVGIALSLVSLLYYMRPLYDGVGGNAMWAVMTVVVALEYSVGATIAKSFNRVGATFLAGSIGLGVHWMANQCGHQLEPLVLQLSIFIVASVATFSRFIPSVKARFDYGAMIFILTFSFVSMSGYRVDKLLDLARNRVSTIAIGTSLCILTSMFFYPVWAGKDLHDLIYQNLEKLADSLDGCVTDYFNGESFDRKLEGYKCVLNSKATEVAKANFARWEPAHGPFKYGHPWKQYLKIGASMRTCAYCIETLRGYISSEVSGMQVPELLKDHMKEICMTLSSSSSKVLRELAITMESMRKSTKTDILVHEMNFAVETFQNVLKDLSNQAVVLVPTTTTTTTTTVSNEENSRNCKPEPELVPIMKIFPMATMASLLIEIAERVEGIVVQVEEMAAQAQFDNFVVINNTKKNKGTKPSNHHHHHVDVIITSFQYRLAL >cds-PLY69451.1 pep primary_assembly:Lsat_Salinas_v7:6:122840222:122842154:1 gene:gene-LSAT_6X72741 transcript:rna-gnl|WGS:NBSK|LSAT_6X72741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGDMTQLGSLMAAVMFVWAIFRQLFPDEFQRDVRKYVNKVVSYVYPYVEITFHEYQAESWFERSKAFVSIERYLSTNSSNRAKRLKANVVKDCESVVLSMDDYEEVTDEFQGIKIWWTSSKSIPKQQALFSYRGEEEKRYYRLTCRREHRDIITKVYLQYVLDEGKAIAVKTRQRKLYTNNKSENWSGYRRTMWSHIIFEHPSTFDTLAMDPKKKKEILDDLMMFSKSKDYYKKVGKSWKRGYLLYGPPGTGKSSMIAAMANLLEYDIYDLELTSVKDNTDLRKLLIETSSKSIIVIEDIDCSLDLTGQRKEKKEESKEEEKDPVKKAEEEKSKKNKGSEVTLSGLLNFIDGLWSACGSERLIVFTTNFVEKLDPALIRRGRMDKHIELSYCCFETFKVLAKNYLDLESHDLFSTISRLLKETKMTPADVAENLMPKSFEENAESCLNKLIQSLENAKEEARLKAVEDARIKAEEEAAKKIEQNGDKVQESTDAKLGDEEGKKTDKHTDVKENGVITA >cds-PLY69112.1 pep primary_assembly:Lsat_Salinas_v7:2:130801556:130804463:-1 gene:gene-LSAT_2X63220 transcript:rna-gnl|WGS:NBSK|LSAT_2X63220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVAKATIKATTQGIALMLRAHGPEVEWRICTILEAAYRLIPLSSSIIDLSEIIVATPLQPPILSWNLYIPLLNVPEYLPRRSPSEACLMKIFVATVEAILQRTFPAESTSQQTRKSQFVFGSASKNLAVAELRTMVHSLFLESSAMVELSSQLLFIVLTVCVSHEAQIHVEKKHSYFGPEPEPESESSTQKRTNKQGPVAVFDSYVLAAICAIACELQLFPLISRASNRSNLKHGEKPSHEVKSMNGNGIEIGIGNGFQSSIDSTVQEDGKLGLKHRLSSHQNPVSFVIWSPDDTMVLTCGNSEVLRLWDVETDKDIQILNVATNAERVISEEHPITALSVSGDSNYLIFNLNSQEIHVWDVEGLREKPLRYKGHRQHEYVIHSCFGGVPATSSKGAGPSMLEGKSGYGSTMQDSPKFTSGDYPAATQKILQLVLLDMQDYIFAQPLYGWPKPKTTDTHLLPHMNDIADMVSYLQKDG >cds-PLY80691.1 pep primary_assembly:Lsat_Salinas_v7:3:171943471:171944816:1 gene:gene-LSAT_3X105101 transcript:rna-gnl|WGS:NBSK|LSAT_3X105101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLDYSSGTTAVASAAAIRWVSKDGIGAIGHFFIGRFSSKSHKWIQKHQVFALTMMDLPFTGGRFGNLFDDDPKQWRIKGSSEMHREQYEDSNAETKVKIENLKKLVETQREQYEDIQQKYEDVQQKYEDAQKKNVEFQQKNVDVQAKFE >cds-PLY88033.1 pep primary_assembly:Lsat_Salinas_v7:MU040232.1:43793:46009:-1 gene:gene-LSAT_0X30781 transcript:rna-gnl|WGS:NBSK|LSAT_0X30781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALAVLRKTFNSLNPNDRILRSLSSYAAVATDNAEKPESNSSSSSFTFSPDQNDSTNNTNKGNAAASDDIYIKSKKPPPSTSVTMPMSFMTGSIVGKRFYKQVTTRVAEDGNGWSVMLDYRTLKTPSKRPLKCPTLRLAKAIAAEWDYQLTDGIRPFTMPLMKLACTALERVPLTRSHIISNLMTRFHQDLVFCRAPDDNELTTGVYELQVKKMDPLLKWVESEFGFKPVVYSSFFGGKQEDGLVNAVNTLLKKTNDNELAAIDALTSASHSLIISIAIFRGKLQIDEAIELIRLEEDMQVEKWGLVEGGHDLDVADLKVQIASATVFLGLTKKV >cds-PLY70440.1 pep primary_assembly:Lsat_Salinas_v7:1:72862462:72863622:1 gene:gene-LSAT_1X64661 transcript:rna-gnl|WGS:NBSK|LSAT_1X64661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTLVDRIAVGRYQDEVQHPSAIKAFVAEFISTLIFVFAGQGSGMAFTKLTQGAATTPAGLVAAALAHAFGLFVAVSVGANISGGHVNPAVTFGAFVGGNITLLRGIFYIIAQLLGSTVACLLLFFSTGGLTTAGFALGAEVSVWNGFVFEIVMTFGLVYTVYATAVDPKKGDIGTIAPIAIGFIVGANILAGGAFTGASMNPAVAFGPAVVSWDWTNHWVYWAGPIIGGGLAGLVYELLFIGRSHEPIPASEY >cds-PLY88097.1 pep primary_assembly:Lsat_Salinas_v7:6:183426441:183428790:1 gene:gene-LSAT_6X112121 transcript:rna-gnl|WGS:NBSK|LSAT_6X112121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:plant glycogenin-like starch initiation protein 3 [Source:Projected from Arabidopsis thaliana (AT4G33330) TAIR;Acc:AT4G33330] MWKVTPSKSLVIRINLVFLSCFLVIYATLLLRPSASSVYHENAASYVRCSLRDCHHKVETGYKMKAVLLEDAVESSSNHSKQKMVKRERPSFLNSSGYPGTGIRMRMGMVNMEGEDLSDWNVLGKTIPVTFEKVSDLFEWKDLFPEWIDEEEEMHETLCPEIPMPDYKKYGYFDMIVVKIPCKFPAEGWGRDVFRLQLHMIAANMVVRRGRKNWNRKPKVVVLSKCRPMVEIFRCDDLLAHDGDWWYYRPNMKKLEQKVYLPVGTCNLALPLWGKGNDEVYDVTKIQQTNSRRKSTKRQAYATVLHSSESYVCGAIMLAQSLLKTGTKHDLILLIDTSISVATRQVLAAAGWTIRIIERIRNPRAEKDSYNEYNYSKFRLWQLTDYNKIIFIDSDIIVLRNLDIIFSFPQMSAVGNDNSIFNSGIMVIEPSNCTFMHFMQRTNDIISYNGGDQGFLNEIFVYWHRLPRRVNFLKNFWSNTTVETSMKNQLFGADPPKLYAIHYLGIKPWLCYRDYDCNWDIGDQQVYASDVAHRTWWKLHDTIDESLQNYCKLTKQRKIELKWDRMQAKKHGFADEHWKINVTDPRRRG >cds-PLY62927.1 pep primary_assembly:Lsat_Salinas_v7:3:144785163:144785516:-1 gene:gene-LSAT_3X94800 transcript:rna-gnl|WGS:NBSK|LSAT_3X94800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSETTKELSCHLAADKRCSCYCFIFAKPPSSTVDVPFSVDDEDHQRALSLRRNLLSSCLVIVSLVQRPRAPVAAAITTFSRPVADNFVVAAVLPFSVATSHCERWLQACYGVWVRVL >cds-PLY69040.1 pep primary_assembly:Lsat_Salinas_v7:9:141530626:141538219:-1 gene:gene-LSAT_9X90140 transcript:rna-gnl|WGS:NBSK|LSAT_9X90140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSISSVHKIFKYDVFLSFRGEDTRTNFIDHLYYALQHKGIHTYKDDERIKKGKKISDELIESIEDSKIYIIVFSKNYASSSWCLDELVKIMECHKTTGHMAYPVFYDVEPTEVRNQNGAVGKAFAKHETEEGAERWIEALEDASGLAGWELKNTVDGHEAKFIQKIVEEVSLELRSINFSINEKLIGMETRIKDVLLSLGTGLDDVHMIGIKGIGGGGKTTLARAVFDQISFQFESKSFVENVREVSNASLSGLKSLQNQVLLDVLNDQGVSVTNVYDGKNMMKRMLRGRKVLVVLDDVDHIQQLEALAGEANWFMPGSIIIITTRDEQVLIAHGVKLIHNINLLSGKEAIWLLSTHAFGREIPLHGYEVLSRQLVRYASGLPLTIRILGSFLCGKSVLEWKDALERLKAIPLTETLKKLELSYVDLEDDYKEIFLDVACILKGWLKDKAIEALESCGFHARHGLRVLEQKSLITIDDDECVGMHDHIVEMGRNIVRRSHANKPNKHTRLWLNKEIEDILTNDLGTEATTCIQFYTWKVNPELVVKGLRKMKELRFLHMSLGFIDSSKIKLLTQVNPYFPNALQYVHWYCYPFSSLPKTFQADNLVKLEMDDSRIVRLWEGGERKVLNKLRFLNLSGSTLVTLDLGLTPNLETLTLRECRDLVELLMLDGCLKLISVEVSWSKLRILALGSAPNLELLNLSECRDLVQLHMAYGCPKLRDLNCTNSKLRTLDLRLSPNLNTLDLGECDNLAELHLPDRCLNLRSLTLSNTNLRTLGIGKTHNLEYLDLLNCYHLEEIHMVSDFQKLVSLDISYSKLRTLDLRLTQNLKKLDLNHCRVFEKLPKDLGRSQCLEKLDLSYTQIKHLPDSFCMLKSLNTLNLNHCLLLEKLPDDMGLLGGLKKLNLSETMIEHLPDSSYMLKNLKSLKLISCERLEKLPEDLGQIECLEELDLSYTNITLLPDSICMLKLLKSLKLISCKCLRKLPEDLGRLECLEELDLSSTRIKHLPDSICMLKQMKSLELWYCECLEELPEDLGLLECLKKLTLSSTKIKHLPDSICMLKQMKSLELWYCECLEELPEDLGLLECLEELILENCNLLRDIPNSICNLNSLRVLNIQGTSISHLPQSIHLLKGLCIYWSSDWSSE >cds-PLY99010.1 pep primary_assembly:Lsat_Salinas_v7:5:28863984:28867125:-1 gene:gene-LSAT_5X13921 transcript:rna-gnl|WGS:NBSK|LSAT_5X13921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQRRFNKNAGNENLQKKLAENRRKGSRGQEQVPDIADFMNDMFFGAVNNEKKVYNLSGGGGDAVDEEDDFDSSTRSTSSRLTQEWLEEAKKLMASSPGRGSTRAEGHSPSRLVGSPRFGVSGPRLSTSSLTERDPLSRSARRHRSVDGFTEEILTKSSKHSHSRNNSQTAVESFSNSPHPPADGSPAAAVQKWFSNILKPPIAGDSTTSTASPPATTTPLDIIPPRVSTHRRSRFENQSSPPLPPTSPSPTHHTTAADSTAALVPPRLSTHRRSRFQNDPNAAQPQIIPPRRTIKTTATATPVDTRLLSPPKHLIESSQRRSISSSTCSLTEKHILSPPRNLVESAQRRSISSSTCYTDKISMKSSPIHGEPKDSELGQLDLNAFLKEQRIKILKLLNGEIKGKAKIVLSGHSNSTSSMVAAICHAWLLDTRMRSKRHAVVAGGGSTMEMVVPVLNVRREKMWKQRQAAWLFHHAGVDAASILFANEIELEVLMMNKQLSILVVGQDILKNNGEVGSKCTTLTDNYCEDAYDLLQNPVLKKLMLAGILLDTQNLNTTTTKDTEAARLLSVGSAPNYGNSLYDQLTQEQRDGAFFEALRQNYGKPPNESNHERISTTEDKVIERIHESPKAFEKGSRKDPDQAKTDTRIPSAKPKPPAKQTPSPAKSGDAPRDKGKNPFFLAKWFGFGK >cds-PLY67306.1 pep primary_assembly:Lsat_Salinas_v7:8:236362952:236363452:-1 gene:gene-LSAT_8X143020 transcript:rna-gnl|WGS:NBSK|LSAT_8X143020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTSTAICAAAIILLMVLAGTQVATAVTCQVTQLAPCAAAISSSSPPSKQCCAKIKEQRPCLCQYLKNPSLKGYVTSPNAKKVARTCGVPIPKC >cds-PLY88729.1 pep primary_assembly:Lsat_Salinas_v7:7:193846820:193849889:-1 gene:gene-LSAT_7X114340 transcript:rna-gnl|WGS:NBSK|LSAT_7X114340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A)-specific ribonuclease PARN [Source:Projected from Arabidopsis thaliana (AT1G55870) UniProtKB/Swiss-Prot;Acc:Q9LG26] MTGVTSAPWRESFEFDRYDVRYLKVKDSAEKFAVVQFGVCTFRWDPTKQSFIAHPHNFYIFPRQEVGGDARSYEFLCQTSSIDFLAKYQFDFNVCVKEGISYLSRELEDEARSQLHSAHEDELPESPCAMKAIKDVPLVRMADILFVERMKNKLNEWHDDLLQVRNGGSSRIVKDSNASIDQFETIFFRMRPAIKLNGFTSRQLRLIKSIVEKSFKDLAYIRVNGDSCSQQIIVYIDSRRDLELLMKEVKESLCKESEMKIGAAIGFRHVIDLLSSEQKLIVGHNCFLDIAHVCRKFLGPLPSNLEEYVTLVHKNFPYIIDTKILLNASNILQLKMKKSSTSLPKAFAIICPHIASASGLAHTSSVAVEVQVDDMRSSNWNSGAKHEAGYDAFMTGCIFAQSCKHLDIDFTNSHSSNLNLNLIKQNKLHNYINLLYLSWSSGDIVNLNTAQTTTESSVFKIQQPKIPFQNIALIWGFSSKLKARAIKEILCKVFGLTSVTSVYHLDKTAVFVQFSNPELVSEFLEMKSSLEKKKNDAIYVFHPLSELLEGGHTCAASYEAYKQICGSPVSKVLFADQAEAVGVKVETIKAQQSVCFHNLDTKKQINCQSGFPKGEMLDVVSC >cds-PLY84566.1 pep primary_assembly:Lsat_Salinas_v7:1:28926226:28928056:1 gene:gene-LSAT_1X24420 transcript:rna-gnl|WGS:NBSK|LSAT_1X24420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METSLGSLLKILPPVDFCCVYGSSLHPNNNDKSSMEDYILGVSNPQQWHSEITNVADHIGVGVHFNPFVSWNDKMYKYGVVQTDDLVQDMLNWERFYLSGRLQKPVRVVVDNKEIENVNLVNLRAASSAALLLLPSKFTERELYAKICSLSYMGDLRMLFAEDKNKVKKIVEGQFDLFRNMYNPLVEEYATKQLLRLSSCGDHQMTISQDCSLSATSSVVSSLPESVRIQMGMGLAERNTDQNSNKITIRSREEAASRMQKVLRRKVMISSARQAVAGFITVGAVHGIKYLGKKMQKAWKSSS >cds-PLY93961.1 pep primary_assembly:Lsat_Salinas_v7:8:110496980:110498398:-1 gene:gene-LSAT_8X74420 transcript:rna-gnl|WGS:NBSK|LSAT_8X74420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADTSQTERVSSEWDDLTGKVVLVTGASSGIGRSFCVDLANAGCKVIAAARRVDRLISLCDEINGKDLIDDVRAVAVELDVAAEGPVIEASVKKAWDAFGRIDCLINNAGVRGNVLSPLELSEEEWNSTMRTNLTGTWLVAKYVGRYMCDAKHKHKHKHGGSIINISSISGLPRGELPGGLAYVSSKAGVVTLTKVMAMEMGMYNIRVNSINPGIFRSEITESLLSKKWLNQVVEKIVPLGTFGTTDPGLTSLVRYLIHDSSKYVTGNTFIVDAGTTLPGVPLFSSL >cds-PLY79743.1 pep primary_assembly:Lsat_Salinas_v7:MU042106.1:86166:91546:1 gene:gene-LSAT_0X32360 transcript:rna-gnl|WGS:NBSK|LSAT_0X32360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSSKQEQIHNSIVSTLLALMHGLDSRGQVVLIGATNRIDSVDGALRRTGRCDREFTFRLPGLDARVEILDIHTRKWKQPPVKELKLELVESCVGYCGADLKALCTEATLRAFREKYPQVYTSDDKFLINVESVEVKNKHLMEAMSSITPAAHRGSVVHSRPFSPVVSPCLESHLQNSMRFISDIFPVFEISSELSKFSMVVSGFALPLVYKPRILLCGIEGAGLDLGPSILHELENFPVHALGLSSLLSDLSAKTPKEALVLVFCESRRTIPSILYLPEFHLLWENAHDQLRVVLLTLLAELPSDSPILILGTSFVQLNDAKEYKPVVLPSISEVDLE >cds-PLY67537.1 pep primary_assembly:Lsat_Salinas_v7:3:55191552:55193539:-1 gene:gene-LSAT_0X44241 transcript:rna-gnl|WGS:NBSK|LSAT_0X44241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRXAAAEARLKSERASVQRAQAEARERAAIDAKGRAERAAGACQRYELPKVLGHNIAERVLLDAHCSGIGISIKALYDESVKTSKTTVDVENFSRLQKNEDVIDYALKKRDVKLVPCGLDFGRPRFVRFRERTFHRSLEKTRRFYPHVHNMDGFFVAKLSHYFY >cds-PLY73726.1 pep primary_assembly:Lsat_Salinas_v7:8:170218358:170220756:1 gene:gene-LSAT_8X111040 transcript:rna-gnl|WGS:NBSK|LSAT_8X111040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNGATGDGSDPANTIKISVKFNGRSIPVNLSVESTVKDLKSALQPLTDVLPRGQKLIAKGKILDDEMKFSSLGTYNGVYKIQLLASQGLHQGSGPIKKESPVVSNLRRTSENNRKEKQATVVKSQFERWKLTGVIALSNGDIKVIPQEVWNCGSSVRFLDLSCNSIQDIPEAIGGLSSLQKLLLNSNCIEDESVSWKGLSALKSLSFLSLSQNLLTTLPSDLGALTTLKELHVANNKLTCLPDEIGFLAHLEVLEANDNRISTITSCIGSCSSLVEVDLSSNLLVELPETFSNLGNLKALHLHNNGLKSLPMTLLKNCTQLSTLDLHGTEITMDMLREFEGWESFDERRVLKHSKQLAFRVRGASYFDEGADKS >cds-PLY72968.1 pep primary_assembly:Lsat_Salinas_v7:9:123416944:123417482:1 gene:gene-LSAT_9X82721 transcript:rna-gnl|WGS:NBSK|LSAT_9X82721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIILSNSIFQPQKQGEAEIEDYVTDCIEASSISLLLSWYLLKNQILKLKPKLPLKIDKKSQLLFRGELVGSFKKRKL >cds-PLY62968.1 pep primary_assembly:Lsat_Salinas_v7:1:210976746:210979548:-1 gene:gene-LSAT_1X129701 transcript:rna-gnl|WGS:NBSK|LSAT_1X129701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGAIRRKALSLCSSAVANNAFGRGSKLVPEIHQGAPVYSKALRDVSSFKTEFLTPKWVRSFSSHSGPTVCGDISEPIAQTQTDKVMAHKGAGYFTASGAVVGSASIVNAPQAAKLGLGSTATSTFLPNLALFWHINNGIEEILADYVHHEMTRSLVLVLMRLFLIVAAKDVFVATN >cds-PLY96611.1 pep primary_assembly:Lsat_Salinas_v7:7:47105157:47105369:-1 gene:gene-LSAT_7X36381 transcript:rna-gnl|WGS:NBSK|LSAT_7X36381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNAEENVNAGGVGNIQNNRTPGTPLFMFQTPPINRLPLAQPSQSARSTASAAIIADLQEQLQERDRTLE >cds-PLY70805.1 pep primary_assembly:Lsat_Salinas_v7:4:58582648:58583895:1 gene:gene-LSAT_4X39941 transcript:rna-gnl|WGS:NBSK|LSAT_4X39941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISTIFTTADTGPFSGENHRQLALSSYLLRHIMLSSLLPPSLLDLVEFSPKSSRSAVNEHR >cds-PLY68476.1 pep primary_assembly:Lsat_Salinas_v7:2:212726710:212729404:1 gene:gene-LSAT_2X133800 transcript:rna-gnl|WGS:NBSK|LSAT_2X133800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTIGDMGRRSVRWDEEKLSEIEANKPERQKITEPKTPYHHMNSDVDGSLSPVRNPCFSERDGEIVHEEEEEEASSNHPSVFNEMVDDTSRHIISSHPSGSGWTSSSENEGDAMDEDNEGGGSRFKEQRRAHYDEFHKVRELRRKESVNGDAASSLAVGVQDIDITTDGKKPSSAN >cds-PLY78764.1 pep primary_assembly:Lsat_Salinas_v7:9:47067076:47069598:-1 gene:gene-LSAT_9X44680 transcript:rna-gnl|WGS:NBSK|LSAT_9X44680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDMILVRPHLLVFCSAVWFVHQIFSPDLLNLFFCAFIHRHSSSPESSTSLEAIMDAITQLQRQFVEYTTSLYREGYLDDQFTQLQKLQDESNPDFVVEVVTLFFEDSEKLLNNLATALQQENIDYKQVDAHVHQFKGSSSSIGAQRVKNVCVVFRNYCDEKNLDGCVLCLQQAKNEYILIRNKLEALFNLEQQILQAGGSVPMMA >cds-PLY72553.1 pep primary_assembly:Lsat_Salinas_v7:2:142850159:142851455:1 gene:gene-LSAT_2X70720 transcript:rna-gnl|WGS:NBSK|LSAT_2X70720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRVPKPLPDPGTILRVAEHFLRLQHFTHCREYVQHVSEIDPNFPGVSQIRAVVDVLLASKNPLSTEIPHDWYAVMQLDRFSHDSDLIGRRFSQLYGLLDPSNNKFPFSDKAFDLVCDAWYVLSNPEKRLEFDDSLKRLLNDEKSKDTSVIAFWTVCPYCYHVYEFPRVYLELFLMCPNEKCSKAFTCVEIDRPPAEVLTQGNYICAGFSPLGFRYCNWNPFAPLKKQETKPSPVTGKFVQISDDEEDEATKEKDVKDEAMKPPVRRIKMMAKRTKKVTGVGNRVTKEGFVHQQETNPSTHSPDNDDDDDDDCEHNFSLGNVGEVEFHDGDDDILVSLQNGI >cds-PLY96214.1 pep primary_assembly:Lsat_Salinas_v7:3:197519400:197525146:1 gene:gene-LSAT_3X117560 transcript:rna-gnl|WGS:NBSK|LSAT_3X117560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVLSAFLTVVFEKLASEALKKIAGSKGVGSKLKKLERSLIQIKALLNDASQKEITDEAVKVWLNGLQHLAYDIDDLLDDLATEAMHRKLADESGATTSKVRKLLPTCCTNFSRTSKRMERKLDDITTKLQELVEEKNNLGLGVITNEKPKIERYEASLVDTSGIVGRESEKNKLLKKLLGGRDEFTSQSFSILPIVGMGGVGKTTLARMLYDEKEVKDHFKLRAWVCVSDEFNIFNISKVIYQSVTGEKKEFEDLNLLQEALKKEFTNQLFLIVLDDVWSESYGDWEKLVAPFLVGSPGSRIIMTTRKEQLLRKLGFSHQDPLESLSQDDALSLFAQHALGVDNFDSHPTLRPHGELFVKKCDGLPLALRTLGRLLRTKTDEEQWKELLDSEIWRLGKSDEIVPALRLSYNDLSATLKLLFAYCSLFPKDYLFDKEELILLWMAEGFLHQPTTNKSKQRLGLEYFEELLSRSFFQHAPNNKSLFVMHDLLNDLATFVAGDFFSRLDIGMNQEFRKEVLQKHRHMSLVCKDYMVYKRFEPFKGAKNLRTFLALSGGLKESWKIFYLSNKVLSDLLQELPLLRVLSLSNLRISEVPEVVGSMKHLRYLNLSQTWITHLPENVCNLYNLQTLIVSDCHKLIKLPESFSKLKNLQHFDMRGSWKLKKMPLGIGELKSLHTLSSDIGLKLTELKNLQNLHGKVCIGGLGKVENAVDACEVNFSQKRFSELELDWGDEFNVFRTETHEKEVFNELKPHNGTLEKLSIVSYRGIEFPDWVADLSFRKLTHVLIYRCEECTSLPRLGQLPSLKELIIGGMSKVKVVDLELLGTDVAFPSLEILCFKSMSGWEEWSTNSGAFPCLQELRIEDCPNLLRVSLEALPSLRVLKLRKCGHGVLKNLVDVASSITKLEINDISGLTDELWRGVTKYLGAVEEVSIRGCDDIKYVWESEAEASKVLVNLKMLALRKCKIFMSLGEKEEDNCGSSLTSFRELGVSDCNNLEHCSCPESVEMLDIWNCDSITSVSFPTGGQKLKLLSVGNCNKLLEKGLGGREKTRVLINSKMQMLESVFISDWPNLKSISELSCFIHLNRLYISDCLSMESFPDHELPNLTSLTELTIQKCTSMDASFPRGLWPPKLCALDIGRLKKPISEWGPQNFPTSLVRLRLYAGPFDDLRSFGESSHLFPSSLTTLGIFGFEKLESVSTGLQHLTSLQNLSIRNCPKTIDLPEKLLPSLLVLWTEGCPNLKERISRGGSYWPHISLIPSFDNNDQSSKGSGLAPWPARLTSPPPSLSDFGYSSEMFEKDTEMWRKRVENYWDLLSPKISANTIRNVMDMKVNLGSFGAALKTKDLWVMNVVPEDGPNTLKLIYDRGLLGSIHNWCEAYSTYPRTYDLLHANDVFSDIIEKKGCSGEDLLIEMDRILRPTGFLIIRDKKPVMDFVKKYLTAIHWEQVATADSSSDETDTDHVVLIVQKKLWLTSESLRETD >cds-PLY84420.1 pep primary_assembly:Lsat_Salinas_v7:4:290339463:290343794:-1 gene:gene-LSAT_4X149820 transcript:rna-gnl|WGS:NBSK|LSAT_4X149820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHAINAVHVIRMVTNARSNSMLGAEIEFGSAMFYVYVGISCFLVIFAGIMSGLTLGLMSLGLVELEILQRSGTPVEKKQAAIIFPVVQKQHQLLVTLLLCNAASMEALPIYLDKIFNQVVAIVLSVTFVLFFGEVIPQAVCTRYGLAIGSSFIWLVRFLMIICYPIAYPISKILDLLLGHNDALFRRAQLKALVSIHGQEAGKGGELTHDETTIINGALDLTEKTAEEAMTPIESTFSLDVNSNLDWETMGKILARGHSRVPVYSGNPRNVIGLLLVKSLLTIRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKPKGNNQKHPTLEEKSKKNDNVTIDVEPRVFAKQEDATTSVVPYLTEDIEEGEVIGIITLEDVFEELLQEEIVDETDEFVDVHKRIRVVAAAAASYVARAPSFRRSSTISGAQNKKGQTPKKLDEGDSTSSKLQRALVEPLLRKET >cds-PLY68358.1 pep primary_assembly:Lsat_Salinas_v7:4:191917580:191921424:-1 gene:gene-LSAT_4X110101 transcript:rna-gnl|WGS:NBSK|LSAT_4X110101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVAVWTLTVVIGAVPLIGCLLWWWNDIWYGLVMTALRPSQSGTKLPPGYMGLPIIGETLTFLWYFKFLGRPEDYINSKRQKYGDGIGMYKTHLFGRPSVITFLPTTNKFVLRDTETFRLKWANPELIGKTSMFVVNGEAHLRIRNFVIRSINQPDALRRIALAVQPRMISALQSWTTRGKINSFNEIMKVTSENIGKYFASFEPGPILDKINQHFEEILDGFTAYPLNIPGFKFHRAIQSRRKAQAIFKEELDRRRNNTDGSNQPINDLIDGFITLKDEEGNYLSDTEILDNITSIVLGGFESVVIVTVWALCYLAKNPSVLQKLRDENMVLKKSKSQKPVSSDEIFKLVYTMKVVDETVRLSNVANFVLRTTTEDVEYKGYTIPKGWNMIVLLRYVHVDPNNYDDPLCFNPDRWDGSMLPENFQAFGAGPRTCAGNMLARLQLALFLHHISTGYKYKFIT >cds-PLY70726.1 pep primary_assembly:Lsat_Salinas_v7:8:166773054:166774196:1 gene:gene-LSAT_8X109721 transcript:rna-gnl|WGS:NBSK|LSAT_8X109721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLIWFLRWPIIEAQTPARSLDAILQDYAYRAFVRPRTGIPYDGFPPSNLSGIQISAIRLRSGSLYTRGVESYKEFTIPIGVISQPYVERLVFVYQNLGNWSTIYYPLPGYIYLSPILGLLAYDASNLSAKNLPELAIHASEEPISINFPQPKPVPNGSIAECVHFDLNGNINFTNLVSRTICETFEQGHFSIVVKSSIAPSPAPAPPPPPPPPPPHGGGSGNGKRVGIIVGAIAGGIALLVLLALLILWIWRLNKKKKMNKMEKAADSGEALQMTVVGNTKAPAATTTRTQPTLETEYVP >cds-PLY64961.1 pep primary_assembly:Lsat_Salinas_v7:8:160700733:160701259:1 gene:gene-LSAT_8X106900 transcript:rna-gnl|WGS:NBSK|LSAT_8X106900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLRLPNRAMALLRLPRRCFILGCVTVKMGFLKAKAVAIANVVAGLSASMAAQMRVWDFNPDICSIKWSMVGGLLHGPSSCLGGVCCYYLKKEGNSCGGGGGFTPDSKAMVAVQAASVATTSGFSAVDSI >cds-PLY91478.1 pep primary_assembly:Lsat_Salinas_v7:7:143325785:143326833:-1 gene:gene-LSAT_7X86080 transcript:rna-gnl|WGS:NBSK|LSAT_7X86080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLGFFSTFSAAGTALLSKSPSSSSSSTFVPPAALKPYNVKLRTLVVTYATASEPATDTKKRAPRGFSKPRPVSPEMKDFLGGRNEIPRTEVLKVIWAYIKEKDLQDPANKRVIKCDEKLKKIFGEKEQVGFLEIAGLISPHFLKVES >cds-PLY63314.1 pep primary_assembly:Lsat_Salinas_v7:3:90277888:90280559:1 gene:gene-LSAT_3X69560 transcript:rna-gnl|WGS:NBSK|LSAT_3X69560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGVDVVLQAVAMYKLRDPKSLDEEEMVENLFDCLCCLLMPLENKERFLKAEGVELMIIIMNQKKLCYGSAIRALDFAMTNYPPACERFVDVMGIKTAFPAFMVKANHNLELILLEIPTTKNKKRSKEELEERLISLVASLFGGVLRGSRRERLLSKFVENEYEKIDRLMELYIRYSNRVKEESERLNDLELDDLEMDEDEKYNRKLESGLYSLQLIAVILGHLWTSEHPRIKARIESLLKQQKLTKTDVKNVLQEYHDNIGDLDGPDEKEKAQAKIQRFIAAL >cds-PLY99079.1 pep primary_assembly:Lsat_Salinas_v7:6:151401494:151408629:-1 gene:gene-LSAT_6X91280 transcript:rna-gnl|WGS:NBSK|LSAT_6X91280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSINNGGGGGGGNHIFDAFGRLLAIMSSAHTKDQSFGVIEVFLGLQVHGMLSQMHPALVSYCEKIAENGGPLTLPKKIGGSVHVSQMETTMTRASSRLRNVQPEVNVDQSYEVITEMGAHSLSLFTKIEQQLN >cds-PLY67116.1 pep primary_assembly:Lsat_Salinas_v7:5:291465302:291467476:-1 gene:gene-LSAT_5X155280 transcript:rna-gnl|WGS:NBSK|LSAT_5X155280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAVMGSSSSSPYVKLVSYSEKTIGFLSLRDDLLGGKSLLRIPLHHSRNHLKNKEVDFKLSISASSTHSGVRPASLSSSRTQAEVENQELMIEQSPNGYTKAPTVCVRFQLQRECSFGQNFLIVGEDPMFGLWDPNNAIPLTWSDGHLWTVDLEIPIGKCIKFKFIMQESNGNFMWQPGPDRMVECWETVNIITLCEDWEDPDSRRIFETGPMLNEVLESAATVDIQTVAANERMPVELMSSTGIPEPKESKGFTINMNEVLESDQGVPVLVPGLSDLPIMETNREGSVHEAPKDEVNGAVMASTKDLKLPELDSKEDENASNNSNPRPEISSIHENQDSCKNQCQEKQVGEQQDSQATQSMKGLLQNDRQWGNNFVQKLLSVFGIG >cds-PLY80511.1 pep primary_assembly:Lsat_Salinas_v7:2:136927304:136928471:-1 gene:gene-LSAT_2X67120 transcript:rna-gnl|WGS:NBSK|LSAT_2X67120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKKGPKFAVMKKLVSHKAIKQHKEDVLNPNKKDLTKLPRNVPYVSSALFFTYNTALGPPYRVLVDTNFINFSIQNKHKCYLVATCDRDLKRRIRKIPGVPIMYITQHKYSIERLPEATMGGAPRM >cds-PLY87787.1 pep primary_assembly:Lsat_Salinas_v7:8:222674066:222675365:1 gene:gene-LSAT_8X137160 transcript:rna-gnl|WGS:NBSK|LSAT_8X137160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARANKYTAINFNDIYENKTTSTVNNHRRSSSSTTTATPGNKTILSNSRIHGNMLVLNLPSPKSVIPHPQPSPAAAVQQPDPEDSISLRPLGRTGTGPPLSPLASPGGQNKNLPVSPKTNKFVPPHLRPGFVGREDKQNGSGSRLNLRQVSFESPKEEERPKSGGGYERLRRGSEVDPVGINRSRSIGSPRPNSSG >cds-PLY76178.1 pep primary_assembly:Lsat_Salinas_v7:4:52352867:52358063:-1 gene:gene-LSAT_4X35681 transcript:rna-gnl|WGS:NBSK|LSAT_4X35681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLANDDGSSAMEASESIQESEKKPRISYTRDFLLSLSELEICKSLPSGFDQSVLSEFEDNSVQERPRSHGNLPLQGFRRNDYSSSPPTRGDSSTFSRGVYGRWDNRASGWSDKDGDSQSDLDPDSGRRNHGNNQSRRPWQNSEHDGLLGSGSFPRPSGFAPGTSAPKSQSNDHYHLNRSNEAYQPPRPFKAIPHARTNTHDSFNDETFGSSDIASQDRAEEERKRRASFELMRKEQQKVLQEKQKSSGNKLKSDAFSELLEESKEEGVLEASGGELNSVVQSVPNEDSGKLSSVLQSSKPRPLVPPGFRSTILEKSSTTKTISSMEKENPKPGIEEIHLIGKGNHTQNGTLALDNIQSLHKLDITEQGEPNEKLGVGDHHSHRISGFPQLHEAVDGEVFQLNKILSNSSQEPSTSILGKFFGSSTSPAVKDGGPTGFLEQQKTKPDDPLSPRNVQSSRFAQWFNEEEKKPVDDHLSSTRPNDLLSLIVGGDKSASQVPNIISPDPPIAPFGVITKTPSDSNQLYNNYRHESAAPPVVAPVLTCEDLEQTILSEYTEKTSSALPPPVPDWAVSGQDNSNAIPVDNQATNHLLSLLQKGTSTTTIDDDMKSSENQNHPPSEKPKDEGNPGQNLSLESLFGTAFMKELQSAQAPVSAQRGMGSARIDIFEPNELSMNGPLSSSVEGMGNYESNPTPLSSKQKQPVKSDDPESWLKFNGPRVDPDPLEFRIPKAKIGEIELPEEESLISVGDPNVTRDPFGISEKLAALNSGYLRGPHDMFEQESRQFNNNNLHVHGQGPSPQMHAAAQMNQNNNNRRPMFHPLDINSQMRFPEHLMQRGTPPPPALNQQFQPPFHHHPDTRVTGFDVNPGHHQHQQMLPPQMRMQQGNFPPPHLMRDVPRPFMGDREAAAIAAMHGFPFGQQSNIGGLGMPLPGVGDGSHPEVFQRMMEMELRAQSKQQIRPLPLNNNQGGGQGLDMGGFRYR >cds-PLY93560.1 pep primary_assembly:Lsat_Salinas_v7:2:174419482:174425251:-1 gene:gene-LSAT_2X96621 transcript:rna-gnl|WGS:NBSK|LSAT_2X96621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISEIRNCQNKEQERLRVDKELGNIRTRFKNEKGLTPYDKKKYVWKMLYIFMLGYDVDFGHMEAVSLISAPKYPEKQVGYLVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQKLLVSSSCRPLVRKKAALCLLRLFRKNPDVVNVDGWSDRMTQLLDEKNLGVLTSSMSLFVALVSNNHEAYWSCLPKCVKVLERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTVEDPNTRRALFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLGTADFTMREELALKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQPYAALKAREYLDKPAIHETMVKVSAYLLGEYSHLLARRPGCSPKDIFVIIHEKLPTVSTPTISILLSTYAKILMHSQPPDPELQNQIWAIFSKYETCIDTEIQQRAIGIKADWRGHQGRLVIFLGNKTTSSLTSVQAVVLPPSHLKLELSSVPEVIPPRAQVQCPVEVVNLGPSRDVAVLDFSYMFGTSLVESKLRLPAVINKFFQPIPVSTEEFFPQWRSLAGPPLKLQEVVRGVRAMSLGEMSHLFSSLRLIVCPGLDPNPSNLVATTTFYSESTRAMLCLVRIETDPADRTQLRMTVASQDPTLTFELKEYIKEHLVSIPTAPSMPQQPQPIASSDPGALLAGLL >cds-PLY77138.1 pep primary_assembly:Lsat_Salinas_v7:7:183368662:183368901:-1 gene:gene-LSAT_7X109500 transcript:rna-gnl|WGS:NBSK|LSAT_7X109500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPICITNCLKTVTLKNFHGYNSEICFLKRILKTACALEMMDIWWSKTKTYLRDLKKKIEVRKELEKIERKAAACVIKLS >cds-PLY92271.1 pep primary_assembly:Lsat_Salinas_v7:2:208997875:209002878:1 gene:gene-LSAT_2X129320 transcript:rna-gnl|WGS:NBSK|LSAT_2X129320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHSTDSDSDFVSTAVPTKKVQTNATVRKTGKEIYIDIDSASQDRVKKAKKVQTNATVRKTGKEIYIDIDSTSQDRVKKAKKVKTNATVRKTGKEIYIDIDDESYEEIYVKKRTGDRKYVEGVTKIKEAKRKKNNRDNRAAVKKQKTVKEQKTVKDILKELPSINTRSTPGLMTDAVSSLTSEQKDWVKRMGFKAFLKINIKSIPLKLCHFVLKHYDEGTNSILIKGKRIKITKEKIHKVFGLPKTGKSLFDLHKVSEDHQVFNGWMKELEGGKANATNYKKIIQKSEQVDMNFKLGFIALFVNTFAESIPMGTNNLVPVRALVEVDDISKIDWCAYLLYCVKSSKRRWRPNNPKCYYIGSMLLLFLIYCDEIECKLKKIQCKTPLVTMWTADKLKERQSFEIEAGGFGVGNLIEESSNLELEKNENQVNENQDMRIEEYEEKYEKIFNNVSTEKNDMEDIIFHCLSKFPEDNKTKEMIRKFRDIFSTTLFCSRGKSETIKERTEVRADRDEELNKTNISDSDNDKDEGMNTKLVAFLAVKPLQQKFPENEETQEEDQDMNVDDRINLGFENNIGEETIRHPHNPERQIEFEGINVDDKINLASEVNNIDETIEKKXL >cds-PLY80086.1 pep primary_assembly:Lsat_Salinas_v7:4:348208007:348212280:-1 gene:gene-LSAT_4X172421 transcript:rna-gnl|WGS:NBSK|LSAT_4X172421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIDGDVEDVSRRVQVRFVTKLKPPFKAPTTTIALPSTLTRKGLSSVVNSLLQSGNDDWKHEPFDFLIDGELVRMSLEDFLLAKGISAEKTLEIEYIKAVAPRKEEDPSLHDDWVSSVDGSNQFILTGCYDGLGRIWKGPGNCTHILEGHNGAITSVGVVNSKDNENDTNKVVATGSKDRTVKLWKFDPEPLSENLKISSFRTLVGHTASVQSLASQPNGNLICSGSWDCRINVWQTECNEADIVSVKKRKKGNKEEESQSEGEAVSTLVGHTQCVSSVVWPEYGTIYSASWDHSIRRWDIETGKDTINMFCGKVVNCIDIGGESSALIAGGCSDPVLRIWDPRKPGTLAPSFQFSSHTSWITACKWHKTSWFHLLSSSYDGKVMLWDLRTAWPLAIIDSHEDKVLCADWWNGDSVVSGGADSKLRISSEIAIP >cds-PLY85565.1 pep primary_assembly:Lsat_Salinas_v7:2:195738440:195740310:1 gene:gene-LSAT_2X116520 transcript:rna-gnl|WGS:NBSK|LSAT_2X116520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAEDLPKNEAQVLKGHEGAVLTARFNKDGNYCLTGGKDRTIRLWNPHRGIHIKTYKAHAREVRDVHVTPDNSKICSCGGDRQVFYWDVSTGRVIRKFRGHDSEVNAVKFNEYSSVVVSAGYDRSLRAWDCRSHSTEPIQIIDTFLDSVMSVCLTKTEIIAGSVDGTVRTFDIRIGRELSDDLGQPVNCISLSNDGNCVLASCLDSTLRLLDRTSGELLQEYKGHTCKSFKMDCCLTNSDAHVVGGSEDGRLFFWDLVDASVVSSFRAHSSVVTSVSYHPKDNCVMTASVDGSVRVWKA >cds-PLY70151.1 pep primary_assembly:Lsat_Salinas_v7:3:12114612:12116397:-1 gene:gene-LSAT_3X8480 transcript:rna-gnl|WGS:NBSK|LSAT_3X8480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIHGTHMVRVLVVWCIVASPSARAMNHGYAPSFDYKDALSKAILFFEGQRSGKLPSTQRVKWRDDSALFDGESKGVNLSGGYYDAGDNVKFGWPMAYTICLLSWAAIEYPRQINSVNQLGYLHSEIRWGSDFILKAHVSSTKLYTQVGDGNRDHSCWERPEDMDTPRTVIEINAHSPGTEVAAESAAALAAASIVFKSVDSEYSSKLLKHSKSLFEFADKYRGSYQGSCPFYCSYSGYNDELLWASAWLHKASGESRYLNYAISNQRWSQAATEFSWDNKFVGAQTLLAKEYFAGRSDLETFKTGADSFVCAVMPKSGSVQIRTTPGELLDYNQDCYHIQDMIN >cds-PLY92115.1 pep primary_assembly:Lsat_Salinas_v7:9:192949346:192950775:-1 gene:gene-LSAT_9X116740 transcript:rna-gnl|WGS:NBSK|LSAT_9X116740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNSPLLNGCFVVFLSIIQEIGSYFTLAAIVMEVQNLAKKAAETVVLVIDDEGTEYLISELIKILSVNELDRGNDQAQVNLVVNYDLPIRHDQSQPDHEVYLH >cds-PLY93470.1 pep primary_assembly:Lsat_Salinas_v7:9:57975392:57977105:1 gene:gene-LSAT_9X51040 transcript:rna-gnl|WGS:NBSK|LSAT_9X51040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERRHKIMLIVALSSSFTLFITLALIYLCRRKRCSKDESWDKESNIEVDDMEMKGDLLRFEGGEDLNCFDILDAPGEVIGKSSYGTLYRANLVRNDSVVVLRFLRPTCTEKVQDVMHVVQLLGSIRHPNLVPLCGFYLGPRGEKLLVHPFYRRGNLAQFIRDGNGESLKWSVIYRISIGIAGGLHYLHTGLQNPIIHGNLKSKNILLGRNQQPIVSDFGLHLLLNPSATQEMLEDAALEGYNQPKSIKTNATNLETDIFNFGVILFELLSGKEPKSNEKANPDRDFKSNAILDHRILGFSNPENRVDEEHILKLTEIAIACCSSSPSLRPNIKQICKKLREIGS >cds-PLY82321.1 pep primary_assembly:Lsat_Salinas_v7:1:65951853:65959568:1 gene:gene-LSAT_1X56460 transcript:rna-gnl|WGS:NBSK|LSAT_1X56460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPQPLDQEDEEMLVPHTELVEGPLALDGTPPVEGPRPMEVMGQAEVVSTAENQAADETQASRHTWVIENFSRQTNKKLYSDIFVVGGFKWRVLIFPKGNNVENLSMYLDVADSSTLPYGWSRYAQFSLAVVNQIHNKFTMKKDTQHQFHGRESDWGFTSFMPLSDLYDPSKGYLVNDTCIIEADVAVRKVADYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNETSVATKELTKSFGWDTYDSFLQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDFKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYQAEEHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLELDLDREGGKYLSPDSDKSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDLKRALEEQYGGEEELPQTNPGYNNAPFKFTKYSNAYMLVYIRESDKEKIICDVDEKDIAEHLRIRLKKEQEEKEDKRRYKAQAHLYTIIRVAREGDLLEQMGKDIYFDLVDHEKVHSFRIQKQMPFNLFKEEVAKEFGIPVQCQRFWIWAKRQNHTYRPNRPLTPQEETQSVGQLREASNKNNSAELKLFLEIEIGPDLKPLPPPEKTKDDILLFFKLYDPEKEQLRFVGRSFVKNFGKPIEIIPKLKELAGFSPDEEIELYEEIKFDPCVMCERLDKSASFKSSQIEDGDIICFQKLHQALAVEKYRHPDVPSFLEYVKNRQIVHFRSLDRPKEDDFSLELSKSHTYDDVVERVAQKLGLDDPSKIRLTPHNCYSQQPKPHPIKYRVADHLLDMLVHYNQISDILYYEVLDIPLPELQCLKTLKVVFHHAKKEEPVIHNIRLPKQSTVGDVLNELKTKVELSHPNAELRLLEVFYHKIYKIFPLTERIENINDQYWTLRAEEIPEEEKDLGPTDRLIHVYHFTKETAQNQMQVQNFGEPFFLIIHQDETLGDVKLRIQKKLQVPDDDFSKWKFAFLSLGRPEYLEDSDIVSSRFQRKDVYGAWEQYLGLEHPDTTPKRPFTANQNRHTYEKAVKIYN >cds-PLY73322.1 pep primary_assembly:Lsat_Salinas_v7:8:260004327:260008655:1 gene:gene-LSAT_8X150440 transcript:rna-gnl|WGS:NBSK|LSAT_8X150440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling 1 [Source:Projected from Arabidopsis thaliana (AT3G26090) UniProtKB/Swiss-Prot;Acc:Q8H1F2] MVKLGNGLKLNFQAIYELKFQFSNNNSGSPIKSRRRYPFAAVCLCKMTSCDVIGGCSSDYVALSVSIISFVSLFLRSTLPFIIHKVPRPKGSSFWLPTIQIIASFNLLLSLAMALNIVRFHRTHWWQSCYLWGVWIHVPLGFGLLLSCRLIQVTHLYSVFVKRRLFPIRSYISLPLALLPWICWAALLQIKQPLSNHCHMGIQWAIPHICLPVLYISALVAITAAIHHIEFKFDELKDLWRAILVTVFSISVWVVAYVLNETHEEIMWLQVTTRCVLLVVASILVVAFFSISFSQPLVSVMSLNKKDSFGVKSMGQALGIPDSGLLSQNDPTLDVDPNQPLDKLLLNKRFRLSFMEFADSCLAGESLHFYDEVQQLEKIPVNDPVKRIYMARHIIEKYINTGANMEVNISHRTRQEILTTLDIAHPDLFKNALNELLQLINMNLAKDYWSSMFFLKFKEEAAMRNVDHELEQVNGWDFSPRLSSVHCPDDPFYQDHMSRDPTTTHYH >cds-PLY80195.1 pep primary_assembly:Lsat_Salinas_v7:8:189889650:189890445:1 gene:gene-LSAT_8X123180 transcript:rna-gnl|WGS:NBSK|LSAT_8X123180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRFRELLKKYGKVALVVHCSVSAASITGLYVAIKNNIDVESAMEKVRFKQLESVLEKFGMSGPKEVEVDTATGFPQSNQESIDEATKPRNRTAELAASSGGALALAVLCNKALFPVRVPITIALTPPIARFLARRQIIKNSL >cds-PLY79732.1 pep primary_assembly:Lsat_Salinas_v7:5:178754139:178756265:-1 gene:gene-LSAT_5X83301 transcript:rna-gnl|WGS:NBSK|LSAT_5X83301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVIYSSIQAMSILEMHNRPSKHTIHIRVNHYNSRTCALKSTESASTHKVFDGMPVSADSLVWNNVIQTHLGNLNYNKAVTTYQEMLTRGIRPNRHTLPRILSVSRLSGSLSLGKQLHCQAMKLGVCNDIYVTGSLIELYGHLDGVDAAKWILDNSEKKTSSAVSWTLLAKLYMKQNKPAFAIDLFNEMVKSGAEVDAVSLTTAISACILVKSLISGRNIHDTARKHGLELDVLVSNSLVKMYMECGSMKDARDLFDKMPSRDAISWTSIIQGYVKNGEINEGLKLFRKMVNEDKIKPDAVAVSTILPACARMAAHKNGRELHGYLIRNKIPINLKLNNALIDMYAKSGNLEYSSTIFSRTKSKDIVSWTVMIMGYSLHGEGDLGVDLFNKVPKSDTDEISYVTSLHACCTSLNVEKGIHYFNCIKSPNFVHYALMAALLARAGKLDDAKAFVKEHGVEKHPEVLRALIDGCRIHKEITTGKRLVEQLCDSEPLNADNYVLMSNWYAQNGGKHKQKMVDRWRETIRDMDLKPKTAYSWIEFRNKVHVFKTGDVSHPRSEGIYWELESLMKNFEEINEDFSFHDVDEERECVPIGHSELLAVSFGLISTKSGTTVRVTKNLRVCHRCHEAVKYISKVVGREIILKDPNRFHHFKNGVCSCQDFY >cds-PLY65740.1 pep primary_assembly:Lsat_Salinas_v7:5:274751701:274755940:-1 gene:gene-LSAT_5X145661 transcript:rna-gnl|WGS:NBSK|LSAT_5X145661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKRQKSGTVRDQTGERVVLIAVKASREIPKSAFIWALTHVVQPGDCAKLLVVIPAHTSSHKLWNFSKFNSDCTTGQWKHLSGDVRDQKDDITESCSQMMFQLHNIYDSDKIKMKIKVISGTPCGVVAAEAKKTRTQWVVLDKNLKKEAKACIEELECNVVVMKKARPKVLRLNLVGSPTKDPEVLTTCQEPPPDSSKEDESTWNTTKVPNVTPVSSPEHLSFTTTEHGTPSLSSSDLGFSPFLISDHDWDTKKDGIHDSDSDSDSENPSSSPSTSLCSSDEFSIEKSFKHLNVKPLINPITNALRLKFFEIDNRPEKATKNVKNMIPLTRHVSPKSPPLCSICQHKAPVFGKPPKWFGFGELEEATDGFSGANFLAEGGFGSVHRGILKDGRMVAVKQHKIASSQGDNEFFSEVEVLSCAQHRNVVMLIGYCVEDGRRLLVYEYICYGSLDSHLYGHNHGLLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILLTHDFEALVGDFGLARWQPDGETGVETRVIGTFGYLAPEYAQSGEITEKADVYSFGVVLVELITGRKAVDINRPKGQQYLTEWARPLLEEVAISELIDPRLKDGYLEAEVRCMAHCASLCIRRDPHSRPRMSQVLWMLENDDIK >cds-PLY74718.1 pep primary_assembly:Lsat_Salinas_v7:5:26225606:26229254:-1 gene:gene-LSAT_5X13060 transcript:rna-gnl|WGS:NBSK|LSAT_5X13060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDSNENKTTIRILCRKLLLITKSESGIQWLIGSPFLPTFTIVSTFRCINTLTSDPLSPDFSKESDDMRALLPRGFEVIGSLIVSTSNPNVEGSARDAINASGKMRKALSQLENRSLVGAVVDPSSGDIRFFVSNSVVEPVTSIVFEDHPEKYVWERGCILHCELPIKLPVYYSVKDPKDAENMLKRATEAVAAKFRDPKTTYVLETVDESMTEALQPVIIQNRDYDLEDTSQKSNTKSLLCSHLCSEDRKHKSPSVENADKIQVSLFLATSGPSVKPPAPSAEYIPGEAKLLVVEYKLKVICYATKDLLLMDAISKLIIPGLVDQLHTMKNMTLPNLLSQHPKLHPYHFIPPGFLHPITAIYDSLYGETEMKQVEIRKCLHMRLGLPLDRPLLRIASAIDFLTAKEGTPLLKDVHIGIPSSGVHGGVVSLVQGSYEYYHYLQDGFDDSGWGCAYRSLQTIVSWFKIQQYTAIHVPSHREIQESLVEIGDKDASFIGSCDWIGAIELSFVLDKLLGVSCKVINVRSGDELPEKCRELAMHFETQGTPIMIGGGVLAYTLLGVDYNEASGDCAFLILDPHYTGSDDLKKIVNGGWCGWKKAVDSKGKHFFLHNKFYNLLLPQRPNMV >cds-PLY65247.1 pep primary_assembly:Lsat_Salinas_v7:8:231747268:231750386:-1 gene:gene-LSAT_4X85540 transcript:rna-gnl|WGS:NBSK|LSAT_4X85540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCNHKWRSYKKRLKKNFLVNENERNPLESYSYLEKTALQKFKERISSTEFQDISEKARMSSMCNTNPARVGPHGYRGNKAKWEQEKASGQLPSQLYEIKSERSLDYVLGRRFKNESGSKIIPPNMEPIVKKLEQQSKASSQQQIQTTSARPSTAKVVMEKNMPNQKINKPRPIESLRDLLKTNLVVHVVADAGHLEAGAFDFSVTYEDYYRLLKKQTADLSIITTWQILLQLMLQKRMGKCAFLNPYKILGKACQETPIDVVNYLVDAMQLHHGKSFLIAPYLQNMHWVLLVICPSNHIVYILDSLMKPMKNPVDNYYLLKLLEKAFERYEKNTSIPIVWKLTECNQAGVLERELSGHYVMNWIFDFVLNRQHGFPSRFGTLWNDKIAFEEKALVTTVCCYRPENF >cds-PLY87560.1 pep primary_assembly:Lsat_Salinas_v7:3:166012089:166014250:1 gene:gene-LSAT_3X103061 transcript:rna-gnl|WGS:NBSK|LSAT_3X103061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAKGRSLGSSLLVPSVQELAKEPLVEVPPRYVRVDHQEHPISSCLPSSTLLEVPVIDMQLLLSKDSSNLELGRLHLACKDWGFFQMINHGVSYSLLEKMKEETQEFFNLPMEEKKKFWQDAGDIEGYGQAFVVSEEQKLDWADMFYLVTLPHEMRKPHLFPKLPLPFRDTLEAYSREVKNVTLKTLIFIAKALKMKVEDMKVLFDEGLQSMRMNYYPPCPEPKKVIGLSPHSDQLGITFLLQINEVEGLQIKKDGIWIPVKPLPNAFIVNLGDIIEIVTNGLYKSIEHRAIVNSEKERLSIAAFFAPKIDADFGPVPSLITHETPPKFIRVSLVDYFKNFLSRELKSKSNIEQYYI >cds-PLY73682.1 pep primary_assembly:Lsat_Salinas_v7:5:205805324:205806592:1 gene:gene-LSAT_5X93760 transcript:rna-gnl|WGS:NBSK|LSAT_5X93760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQTFKIWTYKEGDIPLMHNGPMKSIYSAEGHFIEEMERNGNPLAAKHPDEAHAFFIPISVAKIVHYLFTPAEAYGFLERMQTIFEDYIDIISQRYPYWNRSNGADHFFVSCHDWGPIVSRNNPKLFENLIRVLCNANTSEGFIPMRDVSMTEINGPYNSIPNVSGGQSPHNRSILAFFAGGNHGVVRNKLFQHWGSNEDNDIQVYTYLQENQNYTELLSGSKYCLCPSGYEVASARITEAIYVGCIPVIIKNQYVLPYSDVLDWSQFSVQVPVTKIPDLKRILEDIPFSKYLEMQKRVLEVQKHFTVHIPAENFDVFHMILHSVWLRRINIRLLKF >cds-PLY68256.1 pep primary_assembly:Lsat_Salinas_v7:3:189080862:189081760:-1 gene:gene-LSAT_3X113660 transcript:rna-gnl|WGS:NBSK|LSAT_3X113660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKSRKEDADASSPFSRLPDEIVREIINKIIDLKSLCFCYLVSRRFSSIVLQVDAIAFTGESFASAISFFIMFRGLKYVCIELPSIDNGFLFKWKVKFGSSIESLICLLPDSVCDKDGVYLYGNGDEEEDDIGLIHEFFGLKHQISSMCLEEAILWHMMLLYLVKYLPMLEEVSITDSGRRGRLSLSGKKLIEVKEWVHSTSASEIERNRIEDPDIVRYCYIPVLKLPVSGYVMKGVTFFVMEMKDHQGEYDSFMNSEDGGFEDKDEAALYTEAVKEIFEKIRRLHT >cds-PLY89458.1 pep primary_assembly:Lsat_Salinas_v7:8:31087830:31088233:-1 gene:gene-LSAT_8X22541 transcript:rna-gnl|WGS:NBSK|LSAT_8X22541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLESGMGFLLAILYAITLIRMVTVEAQRECVVSISLPPPPPRATASWGGSWSCWGDRLCDKKRVRRPVLPPRAIREKGR >cds-PLY87731.1 pep primary_assembly:Lsat_Salinas_v7:1:5428927:5430962:-1 gene:gene-LSAT_1X4140 transcript:rna-gnl|WGS:NBSK|LSAT_1X4140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALPPLSIRGDLGVVSTAGVRYAYPLLKSFAKMGPQGVLGATKLLRPFSEIVDSLGLKDPFIRNWVDLLSFLLAGVKSDGVLSAEMNTLLVELEHLLRLLFSDFPSDKLPKMSEPSQLMTENTRSDLYVALPVLSQGKKWVLLYSTWRHGISLSTLYRRSNLCPGLSLLVVGDRKGAVFGGLVEAPLKPSTKKRYQGSNDTFVFTNTPGRPVIYRPTGVNRYFTLCSTEYLALGGGNHFALYLDSDLLNGSSLASETYGNSCLSHTQEFEVKEIELWGFVYASEYEEAISMLRTEAPGICRW >cds-PLY97358.1 pep primary_assembly:Lsat_Salinas_v7:4:286065083:286066714:-1 gene:gene-LSAT_4X145581 transcript:rna-gnl|WGS:NBSK|LSAT_4X145581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRRILKELKDLQRDPPASCSAGPVAQDMFHWQATIIGPNDSPYSGGVFQVTIHFPPDYPFKPPKVAFRTKVFHPNINNNGNICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKIDKVKYEAMARSWTQKYAMY >cds-PLY72953.1 pep primary_assembly:Lsat_Salinas_v7:8:135348790:135354678:1 gene:gene-LSAT_8X93900 transcript:rna-gnl|WGS:NBSK|LSAT_8X93900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTENPNHANNYPRPAATPFAPPQSNTPFSSSRPVASSAFRPMSPPSTAPSFPSGPVVGPEAPGFRPMQTARPTPPYGPPTTGPFQRFPTPPFQAPPPRTSPVGQPILPPTTIRPPSFQNHQPQIPPPMPMGSPPQTMNGMLSNSNMQQPQPSMGPAHPAAVRATSSSPWPGYPGMQSNTATPTAPGGYAPPQPTTSTPFQGGFGPTPPPPGGYAPPQPTTSAPFQGGFGPTPPPPGGYAPPQPTTSAPFQGGYGSTPPVASPLGMYVGGSTPPTGGMQGLVEDFSSLSIGSVPGSFDAGLDTKVLPRPLEGDVEPSSFAEMYPMNCNSRYLRLTTSAIPNSQSLVSRWHLPLGAVVCPLAEAPEGEEVPIVNFATTGIVRCRRCRTYVNPYVTFTDGGRKWRCNICALLNDVQNDYFAHLDATGKRVDLDQHPELTKGCVEFVAPAEYMVRPPMPPLYFFLIDVSIYSVKSGMLEVIGQTIKSCLDKLPGSTRTQIGFITFDSTIHFYNMKANDLLVNLSESRTVVDAFLDSLPSMFQDNVNVESAFGPALKAAFMVMSQLGGKLLIFQSSLPSLGVGRLRLRGDDIRAYGTDREHALRIPEDPFYKQMAADFTKYQVAVNVYAFSDKYTDIASLGTLAKYTGGQVYYYPSFHSAIHKDKLRHELARDLTRETAWEAVMRIRCGKGVRFTSYHGNFMLRSTDLLALPAVDCDKAYAMQFALEETLLTTQIVYFQVALLVHTAAASVVADLGEMYRQADTGAIVSLLGRLAIEKSLSYKLEEARNAIQLRIVKALKEYRNLYAVQHRVGTRMIYPESLKYLPLYGLALCKSTALRGGYADSQLDERCAAGFTMMALPVKKMLKLLYPSLLRVDDFLVKGSGHGDEFEKVCRRLPLAAESLDSRGIYIYDDGFRLVVWFGQMLSPDISRNLVGEDFATDFSKVSLGERDNEMSRKLMGILKKLRGADASYYPLCHVVRQGEQPREAFFLLSNLVEDAVGGMNGYVDWILQIHRSVQQ >cds-PLY76795.1 pep primary_assembly:Lsat_Salinas_v7:MU042712.1:149582:150787:1 gene:gene-LSAT_0X26040 transcript:rna-gnl|WGS:NBSK|LSAT_0X26040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSIPEEPLLAPNPDRFCMFPIQYPQIWEMYKKAEASFWTAEEVDLSEDQRHWDSLTDGERHFITHVLAFFAASDGIVLENLAGRFMKEVQVAEARAFYGFQIAIENIHSEMYSLLLESYIKDSNEKSRLFRAIETIPCVEKKANWALKWIDGSETFAERIIAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYGLLKTKLSEERVKGIVSDAVEIEREFVCDALPCALVGMNGDLMSEYIEFVADRLLGALGYGKLYNAQNPFDWMELISLQGKTNFFEKRVGEYQKASVMSNLNGNGDSHVFKMDEDF >cds-PLY83597.1 pep primary_assembly:Lsat_Salinas_v7:5:17932525:17934710:-1 gene:gene-LSAT_5X8201 transcript:rna-gnl|WGS:NBSK|LSAT_5X8201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFFGLALFLVLTLDSDYASSSVYAATSEGVEITYGSVIKLMHEKTKFRLHSHDVPYGSGSGQQSVTGFPNVDDANSYWIVRPEPDTSVKLGDSIKTGTIIRLQHLKTRKWLHSHLHASPISGNLEVSCFGDDGNSDTGDYWRVEIEGSGKTWRQDQRIRLLHVDTNGFLHSHNKKYSRIAGGQQEVCGVREKRADNVWLAAEGIYLPTTESKATM >cds-PLY67663.1 pep primary_assembly:Lsat_Salinas_v7:4:3059351:3064039:-1 gene:gene-LSAT_4X861 transcript:rna-gnl|WGS:NBSK|LSAT_4X861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKLEIKRIEDNSSRLVAFSKRRTGLIKKARHLSVLCDVDVAVIVFSARGKLYEFCGSASSNSVAHLLARYEKSCLEPESGTIKGDDLDLELPVHECTKFRTCKELLQAVDRLVEENNAEELSVNDMTQLEEELHAALVETRSIKTQLMMNYITTLQEEEKKLNQDKEETVKQIASMEHMFADVDEGGGHDDLANNKMNSPQHLLTLSLFNA >cds-PLY70662.1 pep primary_assembly:Lsat_Salinas_v7:5:70804815:70805336:-1 gene:gene-LSAT_5X33001 transcript:rna-gnl|WGS:NBSK|LSAT_5X33001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGFNRLQKSPLGSAFLGERNGITLSDVPVSHLRFRRKTKECKESRTDKKPIEVPSNVVITLEGQDMKMKGPLGNLHFHIQEK >cds-PLY63926.1 pep primary_assembly:Lsat_Salinas_v7:5:339159629:339165655:-1 gene:gene-LSAT_5X190260 transcript:rna-gnl|WGS:NBSK|LSAT_5X190260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSSIGNGVLFLNRHLSSVMFHNKDCMEPLLDFFRAHKHKGYAMMLNDRIQTMSRLESSMAKAEDYLSKLPPDAPYSEFEYVLQGMGFERGWGNNAERVLSMMHLLSDILQAPDPSSLENFLGKIPMVFNVVILSVHGYFGQANVLGLPDTGGQVVYILDQVRSLENEMLLKLRQQGLDIKPKILIVTRLIPDAKGTSCNQRLERVSGTEHTHILRVPFRTDKGILRKWISRFDIWPYIEAFTEDAASEIAAELKGVPDLIIGNYSDGNLVASLLSYKMGVTQCNIAHALEKTKYPDSDLYWKKFDDKYHFSCQFTADLLAMNNADFIITSTYQEIAGTKNTVGQYESHSAFTLPGLYRVVHGIDVFDPKFNIVSPGADMTIYFSYAEKEKRLTSLHATIEKLLYDPEQNDIHVGNISDPSKPMIFSMARLDHVKNITGLVECYAKNEKLREVANLVVVAGYNDVNKSSDREEIAEIEKMHHLFKQYNLDGQVRWISAQTNRARNGELYRYIADGRGIFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPAEIIEDGVSGFHIDPYHPDKTSAIMVEFFHKCKEEPTYWVNISDAALKRISERYTWKIYAERLMTLAGVYSFWKYVSKLERRETRRYLEMFYILKFRELVKSVPLAVDD >cds-PLY83114.1 pep primary_assembly:Lsat_Salinas_v7:2:8593579:8597681:1 gene:gene-LSAT_2X3881 transcript:rna-gnl|WGS:NBSK|LSAT_2X3881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 15 [Source:Projected from Arabidopsis thaliana (AT5G42340) UniProtKB/Swiss-Prot;Acc:Q681N2] MEEEKELSKCNNCRIVRDVVEELIGIVEAAKSMGEFRGTQKKESQMLQRRLRQCLPLLEELRDLDAQIPEDCISCLHRLKRAFVLAKKLLKTCHAGSKIYLVLETDAMLSRFNSVYDKLNHAMDGFPYKEIGISEEVKEQVELMCMQLKRAKPRMDNQDMELTTDMMTVLSTDTDRISECEAIKRLANKLSLNTLEELRVETIVIRKLVKERRGQNAEGTEKIINLLDKFKRFAGIEQANVLDDPAPCNRTLQKCASITIPFEFLCPITLEMMRDPVIVATGQTYERASIQQWLDSDHQTCPKTGLHLTHTALAPNVALHNLILQWCETNNYQLPKKDPPPPPKITRADKVITLIQNLSSSQLQIQRKAVTKIRMISRESPESRVLIADNGGIPPLVQLLSYPDSKIQEHAVTALLNLSIDDKIKKQVSKENPIPAIIEILQNGTIGAKENSAAALFSLSMIDENKTLIGASNGIPPLINLLTEGTIRGKKDAATALFNLTLSHPNKVRAIEGGAIKPLLKMLENERLDMVGEALSVLLLLAAHPDGRKELGQLSFIETLVKFMRQGTPKNKECASAVLLKLCLNNSNLLLAALQYGVYEHVVDISQNGTKRGQKKAGAIFQLMNKGDRFLSRT >cds-PLY63169.1 pep primary_assembly:Lsat_Salinas_v7:4:311985460:311985759:-1 gene:gene-LSAT_4X157300 transcript:rna-gnl|WGS:NBSK|LSAT_4X157300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTINLTCSAYIEGRLSNVSDCRSTSSLSDQEDCTQINSSSVRWSRNWRKLMKKVIGGSKKSIYGSSKHLIFQYDAVSYSLNFDEGTHDDEYYSYGSR >cds-PLY83716.1 pep primary_assembly:Lsat_Salinas_v7:4:40325963:40327224:-1 gene:gene-LSAT_4X26000 transcript:rna-gnl|WGS:NBSK|LSAT_4X26000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHSQAHIWNHIFSFVNSMSLKCAIELQIPDIIDGHGAPMLLSELVEALPINKERTTFVYRLMRILVHSGCFMKQSLSTTTGNDEEEEREGYLLTPASRLLLKEEPFSIRPLLLFMLDPILMDPWQNMSEWFKNKDITPFHTTHGKSMWDLASREPKVNQFVNETMASDAKLIGSFITKHCADVFQGLNSVVDVGGGTGTIAKAIAKAFPSISCISFDLPHVVNGLEGSKNMSYVCGDMFEAIPKADAVFLKWILHDWNDEECIKILKRCKEAIPNKEYGGKVIIVDMVLKNEEAGEKSLETQLFFDMLMMVNATGRERSEKDWSKLFYDACFSHYKITPILGLRSIIEVYP >cds-PLY89009.1 pep primary_assembly:Lsat_Salinas_v7:3:73538287:73542372:1 gene:gene-LSAT_3X61900 transcript:rna-gnl|WGS:NBSK|LSAT_3X61900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLHPQKHHQTYITNYNKAIEQLDDAITKGDASTVVKLQSTIKFNGEGHVNHSIFWKNLTPTSEGGGEPPHGSLGSTINQSFSSVEKLIAKMNTKGAAVQGSGWVWLAVDKELKRLVVETTSNQDPLVTKGPSLVPLLGIDVSEHAYYLQYKNVRPDYLKNIWKVINWKYATSRLCGIYGSASRVILVPSICDAHHEYVFPQLLQVSPXILQYFKADSAAVAITTTDLIGILAPMLPLLLWLVVYLNQMCLDAVMQGLAKSIAWDGEGATSLIEDSWVPSTMVQAS >cds-PLY99360.1 pep primary_assembly:Lsat_Salinas_v7:9:203927728:203928901:1 gene:gene-LSAT_9X124081 transcript:rna-gnl|WGS:NBSK|LSAT_9X124081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDSNTKSKIQSMREWVVEHKLRAVGSLWLSGIAGSIAYNWSQPGMKTSVRIIHARLHAQALTLAALAGAAVVEYYDHKSGTKSERYAKFLPPIDSSYSHSHSHKD >cds-PLY80296.1 pep primary_assembly:Lsat_Salinas_v7:3:208558288:208558530:-1 gene:gene-LSAT_3X122560 transcript:rna-gnl|WGS:NBSK|LSAT_3X122560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARATKVRPSASQVDVVDGNNREGVDGALVDGNSRYDYGSTLEYDTVDGEYGGWVISCLIVVDEIVGSLRTTDIGPFHVG >cds-PLY84524.1 pep primary_assembly:Lsat_Salinas_v7:1:30918114:30929712:-1 gene:gene-LSAT_1X26821 transcript:rna-gnl|WGS:NBSK|LSAT_1X26821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKENNNNDGFVRADQIDLKSLDEQLERHLNRAWTMEKNKKKQPDFEDSATAASAASAVTLPSKATATSKRERQEWEIDPSKLLIKGILARGTFGTVHRGVYDGIDVAVKLLDWGEEGHRTEAEIQSLRAAFTQEVAVWHKLDHPNVTKFIGATMGSSELNVQTENGQIGMPSNICCVVVEYLPGGALKNYLIKNRRKKLAFKVVVQMALDLARGLSYLHSQKIVHRDVKTENMLLDKSRTIKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLSNVMKRCWDANPDKRPEMDEVVTMLEAIDTSKGGGAFEKNKDTNALALVVSLFLFFSKPRLIRPFLSSTHNNDIDSICVFPPRHHSRRRLLLRRQFRRCATALRLHLRQQRFLQRVSRMDWNRLSMDGGLRIHHHRSSSNFPLHFAPLEVLDLSSNDFNGAFPNSINLPALQELDFSGNGFYGSIPAGIFVNSTRLHVLKLAVNSLNGCFIKPAFRESTGLLP >cds-PLY94105.1 pep primary_assembly:Lsat_Salinas_v7:8:29798486:29800545:-1 gene:gene-LSAT_8X24121 transcript:rna-gnl|WGS:NBSK|LSAT_8X24121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVADATVPPMTMATAADEQQQQQLKKGIAEFYDESSGMWENIWGEHMHHGFYDTDAVVELSDHRAAQIRMIEQSLLFASVPDDPVKKPKTIVDVGCGIGGSSRYLARKYGAECHGITLSPVQAERAQALAATQGLADKVSFQVADALNQPFPDGKFDLVWSMESGEHMPDKLKFVSELARVAAPGATIIIVTWCHRDLLPTEKSLRPEEEKILNKICSGFFLPAWCSTADYVKLLESISLQDIKAEDWSGNVAPFWPAVIKTALSWKGITSLLRSGWKTIRGAMVMPSMIEGFKKDVIKFSIITCKKPE >cds-PLY74392.1 pep primary_assembly:Lsat_Salinas_v7:6:154569559:154570746:-1 gene:gene-LSAT_6X93601 transcript:rna-gnl|WGS:NBSK|LSAT_6X93601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEARKSFVLIFLIFTFMEVLDGKFTSHTCNHDQPPIHFPFKVNSFCKHKQTMIKFPNYGDLGVKSISYDHRKLTLIDPKDCVFQVFLNLNLDPTPFRYYHVVRNYTYLNCSINLLGSFEQIPCLSDSKHHVYVVESSLDIPSSCEIIKTVSIPFEYSSYVSDDSFGLDLTWDSTGFDDFSEAKRWFGVQSIGEEISIAILVFMAILVLMVLGLACVKAYCMKKSESKTNEWDKLLEDLETL >cds-PLY97589.1 pep primary_assembly:Lsat_Salinas_v7:5:238987235:238988621:-1 gene:gene-LSAT_5X117740 transcript:rna-gnl|WGS:NBSK|LSAT_5X117740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g49510 [Source:Projected from Arabidopsis thaliana (AT1G49510) UniProtKB/TrEMBL;Acc:Q9XIB8] MSAINMNASQPNDHGKPIVDLVTEKARKLWDNSPQEIKNFPWTRALENFTQLIIDIILVVTKYLYIPVMAITSVSEMSYCAHERKLVIIPLPILIGVAVAGVLRNRALKLSPFLKDAKVPWHLYANMLFFTLIKLPGPIYPYWGRIFIPHFANAGLFRTLWFLFLWYKMPRKEVHTNLE >cds-PLY87425.1 pep primary_assembly:Lsat_Salinas_v7:5:42118388:42120513:-1 gene:gene-LSAT_5X19580 transcript:rna-gnl|WGS:NBSK|LSAT_5X19580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEKEVFKSRFLKSLFFITLFFLILSLFLLFRFSNTSWINTPSYNLILLDNTENTDPIQLKSIPFPPSPDSCNPNQALLKVFMYDLPPEFHFGLLGWKGKSNQIWPNVSNFSEIPSYPGGLNLQHSVEYWLTLDLLSSSSTTPNIHRPCTAILVQNSTLADIIFVPFFSSLSYNRHARVYVKGKKDMNMILQEKLVKFLKGRDEWKRFGGKDHLVIAHHPNSMLLARKHLSSSMVLLSDFGRYPVQIANIDKDLIAPYKHVVKSINASNSLDFEERTTLVYFRGAIYRKDGGVIRQELYYIMKDEKDVYFSFGSAQQGGIRTSSVGMATSKFCLNIAGDTPSSNRLFDAIATHCVPVIISDEIELPYEDVLDYSKFSIFVHSSDACKKGYLLNLLKGIKKQKWRQMWERLKQIAPHFEYQYPSRHGDAVDMIWQSVSRKVSFVNNQTYRKNRYRMSQEFLKSR >cds-PLY93122.1 pep primary_assembly:Lsat_Salinas_v7:8:253782079:253782273:-1 gene:gene-LSAT_8X149140 transcript:rna-gnl|WGS:NBSK|LSAT_8X149140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVDEEPYATRRTSRRKEGAESSSRSRGPQLIDEDEEENDVGAYKDVVEEEVDDEPVYEELDDL >cds-PLY94401.1 pep primary_assembly:Lsat_Salinas_v7:6:9340238:9342925:-1 gene:gene-LSAT_6X5880 transcript:rna-gnl|WGS:NBSK|LSAT_6X5880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYSGDQDLQLERVNVYYNEASCGRFVPRAILMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEEEGVDYEEEG >cds-PLY72930.1 pep primary_assembly:Lsat_Salinas_v7:1:91117212:91118121:1 gene:gene-LSAT_1X76001 transcript:rna-gnl|WGS:NBSK|LSAT_1X76001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEHLVSWRKGPWTAEEDQLLIEHVKLHGEGRWNSVAKLTGLKRNAKSCRLRWVNYLRPDLKRGRITPHEERIILELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKGGIMSSEESAKLKTRLLKRQKFQQEQQKQLFLQKNEDMKRVLLLLEESDNKVTWSQQDMVNNEIPSVFYPLVFNNSQHVVETTMNEDFTLWDGLWNLEDLYPATTKGCVQNLTMPTPIF >cds-PLY63859.1 pep primary_assembly:Lsat_Salinas_v7:1:125751679:125756319:1 gene:gene-LSAT_1X94001 transcript:rna-gnl|WGS:NBSK|LSAT_1X94001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLILSELGSSSSSTDVHRYDVFLSFRGVDTRHSFTDHLHKALMASNITTFLDEEEIETGEDLKPELESAIKASRASIIVLSKNYASSTWCLDELVLILEQCITSNQIVIPIFYHVEPTHVRKQQSSFGDAMAKHNQTMEAETNANKRSQWAQKMDQWNKALTQVADLKGNDVNGRLETELIEEIVGDIYRRLHVPLRSGQPLLIGMDYHINFVTSWLKDISSHTVDILTILGMGGIGKTSLAKYVYDLHCHEFHTSSYIEDISRRCDGKFNGLLDLQKQLCGDISKASSIQVHDISKYTSRIENIVARKRVFLVLDDINCLNQLDALLGSKCFHPGSKIIITTKDTWLTESCALFKTNIKPKHAKHLLQGLDEIASQQLLCFHAFRCNHPKAGYEEVSGKLVKYCQGHPLALEVLGKSLHNRDVAYWEGCMEGLQREIGSRINNVLKMSFDSLPSKNDKDLFKHISCFFVGIDKDVAETILETCNINTKSGITNLIERCLISIGWNNELKMHRLVQEMGRFEVRQESLDKPWKRSRIWCHDESFRVLKQKKGKGNLVGLALDMRMLEKKKLWASSELNTDALSNMDNLMLLKLNYVQMNGSYENFPRELRCLCMQGFHLKSIPSDLPLGSLVALDLSYSNIESFVICYSNPQLEEMKKFDESSVNGKRFLGSLKILNLSCCKKLCSLGEFYKFPALERLILRKCIGLVEICESIEQCVELVFIDLSYCHKLEKYPRNIGMLKKVKTVLLDGCNLSESQIKIKDMDSLEMCKVNNIGINTRTTSSSFVGIIPNDLKSFAVSLPSSLVRLSLANNNLTTESFSMDFRCLSMLKELYLDNNPIDSMPSCVRTLPRLEILGMRNCDKLKSVEYPPHTLRSLLLCSLRNDSYVEKVVFDPEMSPLRLKLDWIYLEHSSYEIEGMVKIQPMVGVEEKVLRGLGWTNLPFLNKRSVGNNSTESEIQMYYEFGIFSTIYVGEDMPDWISCRSKGPSISFIIPSSSSPNNLTGLNFCSVHTLRFADDLFPFSQFLDERFLHPDDQFPCLPIITISNVTKNRIWIYQRHWDRVIVGGKCWVFLSHWMFGMNEMEVGDQVTITVTEPYYELSKECGVSLVYDDGEKMDEEEEDALGYYKSWNHIIGGDLSPFQTTTGEYILDNLRFFVSDIELSPYHRKLVGDGAHYQGRSHFFVKYFIVVSYV >cds-PLY92672.1 pep primary_assembly:Lsat_Salinas_v7:8:155870119:155870636:1 gene:gene-LSAT_8X104220 transcript:rna-gnl|WGS:NBSK|LSAT_8X104220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTISTSPRTAIFVYIVHGRICCSDKGHKSLDNILSRMFRSLLFIEHANSGDNKAMFRSVIPEFDTIPTTHPPCGCCYLQPSTKLIKFYQTFLL >cds-PLY91888.1 pep primary_assembly:Lsat_Salinas_v7:8:197907015:197907311:-1 gene:gene-LSAT_8X127461 transcript:rna-gnl|WGS:NBSK|LSAT_8X127461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIRIPWIVQAKQILRRSLSSGTRTSTMDLPKGYFAVYVGQHDKKHFVVPASLLSQTSFQDLLHKGEEEYGYDHPIGGLTIPCSEHIFFDLLASLGAL >cds-PLY63382.1 pep primary_assembly:Lsat_Salinas_v7:7:147867636:147869903:1 gene:gene-LSAT_7X87821 transcript:rna-gnl|WGS:NBSK|LSAT_7X87821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKPSIRSISQQAFDEMVKENIDDLGMDPTEALSDAIETLTLQGVDLSGIVTSTLPGEINPVIQSLDRIKQLQSSDWTDKAVLDEARCLLDHLTELSRIEGSGNAAIATRNGGVELMCSVCSKLRDIGRESALVSALNALAAFLHDLQSTETFRQSGGPKIVIGILTDETQNVNILNSAFSVIAASATGNEVLKEAYVELHIDELIVKIMKNHKKGSISSLYDAIRVLLAADDTRVIASQVYGYARKFAKIGIAEALAESLDDELNSSSLVSITIALKAISVNDEICRSVAEKGGIDSLLNTIDESGIQGNKTVAKSCCSLLSKLAGSDFNKSAIIERRGLNRLITLSNRLHDDPSVIQEVMTIISTLCLRSPDHAAMAMEAGAGELAIQAMKKFPEASQLQKYSCLMIRNLVARNTENRSILLNNGIEKMIRNAKESHKSCREAGTDALRDLGVENYNS >cds-PLY86960.1 pep primary_assembly:Lsat_Salinas_v7:5:260531872:260535648:1 gene:gene-LSAT_5X130540 transcript:rna-gnl|WGS:NBSK|LSAT_5X130540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAPGTSPPSPLDPSVSNTTTSPTATFPLLPPTNATSIGSEPTLSPPAQNRSSSNSALVALGIGIGIGGAVVLVFVGVFVVWYRRRKRRRRALSESSAPLGFKDEFGITPQNWQHNAPSSKENINGLPPKFTNSPLNASCFQLPSLGSSAPPPPPPHSTSSAGSEKQNPQPQSTMGQGYPNSKTIFSYEELATATQGFSQVNLLGQGGFGYVHKGVLPNGEIVAIKQLKVGSGQGEREFQAEVAIISRVHHKHLVTLVGYCISGIQRMLVYEFVPNKTLEFHLHGKEHGPLNWEMRMKIALGSAKGLAYLHEDCQPKIIHRDIKSANILLDINFEPKVADFGLARFTSETDTHVSTRVMGTFGYLAPEYALTGKLTEKSDVFSFGVMLLELITGRRPIDKAQFLDDNIVDWARPLLNEALENGDFRSLVDSGLNGDYDSGEMSRMIACAAVCVRHLARRRPSMTQIARALEGNLPLEDLDEGLKPGHIKFNASHESSDFDTAQYREELKKFQKMAFESQNNSSVWSAPTTSFGQQPSGSSSSTHDLGSTKLVQQ >cds-PLY82335.1 pep primary_assembly:Lsat_Salinas_v7:5:157866377:157868166:1 gene:gene-LSAT_5X68661 transcript:rna-gnl|WGS:NBSK|LSAT_5X68661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYNHSFSWKTLIPSIPSTSSISSISDMLPLPPPSYSRRYRHPADVVVTFSRHQHQRFYLETLTISRCHHWTLLTASPSPRIGMDSTNVYAYILIIALLFCLTPAILIEGPKLMQYGFRDAIAEVGMVKFVSDLFWIRMFYHLYNQIATNTLERIAPLTHAVGNVLKRVISTQTGIRTAIAIVGVAMYSLIKANVEEQKKV >cds-PLY81775.1 pep primary_assembly:Lsat_Salinas_v7:3:31558914:31559246:-1 gene:gene-LSAT_3X21281 transcript:rna-gnl|WGS:NBSK|LSAT_3X21281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLICFCIPDMGFLLLVSLGLLSLASISYLALVAIGTRCNLAISYVALPAVGIPSLASIGSVAPAAIDVRSVVSIGCLAVVAINVRSVVSIGCLANAAIVVRYVRPLVL >cds-PLY66701.1 pep primary_assembly:Lsat_Salinas_v7:6:78257648:78277497:1 gene:gene-LSAT_6X56761 transcript:rna-gnl|WGS:NBSK|LSAT_6X56761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGGCIIDADTHQTLRLLGEEATNEERRIFGAFNYVYSNIFLHNDKSLMPRNRATWSTLNFLGTKDNKVCLTYWLNVLQNIDENELPFLVTVNPPLTPKSTLHKWSLGLSIPSVAATKAVLELAYIQGKRRIWFCGAYQGHGILEDGVKAGMVAANGILKKTCEILNNPKTMAPSLMEISARSFVVWFLQEFIAIGTLILLEEGGATFTFEGTRKKNSVKVYLKVENPRFYWKVATEDELGLFGAYIKGDFSFVDKTNGLLNLIVILIVNYELKNYSSTSNKRGWWTPMFSTAIIASAKYFCHHVLMHNSVTQARRNISHHYDLSNEFFSLFLDETMSYSCALFKSEDEDFKAAQMRKISSLIQKARVDKDHHILEIGFGWGSLAIEIVKQTGCKYTGITLSEEQLKYAETKVKETGLEDQIKFLLCDYRQLPDTSKYDRIISCEMIEHVGHKYHEEFFGCCDSLLAEDGILVLQFTSVPEGRYDDYRRSPGFIKEYIFPGLCVPSLTRLTSAMAASSRLCVEHVENIGAHYYQTLAHWKKNLMQNQSKILELGFNQEFIRTFECYFDYVAAGFKTKTLGNYQVVFSRPGNVATFGDPYKAIISAY >cds-PLY94056.1 pep primary_assembly:Lsat_Salinas_v7:4:171377534:171377848:-1 gene:gene-LSAT_4X101181 transcript:rna-gnl|WGS:NBSK|LSAT_4X101181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPKHLPSTLILPTPFNPSRFIFFTWVAGAREKWVVGATTASASLHLHIASPAGNNHHETDIIPFPFIFLLPLSFITCIHNPVSDLRSSSSLQIFTSMYQI >cds-PLY76796.1 pep primary_assembly:Lsat_Salinas_v7:MU042712.1:1569853:1570122:-1 gene:gene-LSAT_0X2921 transcript:rna-gnl|WGS:NBSK|LSAT_0X2921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHGSTPPIVQTVEPLIQNVVSPRSVTPSHQVETLPPMPMPIKSATFYQWESISNFDTTVLSQHFLLVKITQTMGERLTRVHKDVVDIK >cds-PLY72135.1 pep primary_assembly:Lsat_Salinas_v7:7:57483906:57485136:1 gene:gene-LSAT_7X41960 transcript:rna-gnl|WGS:NBSK|LSAT_7X41960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFYQKHINLPEFHIFAILSIFFLSKSRPHTFILIKAHTQTSIDLSKLCSLPAVAVVGLLSASSSDCYATAPPAPPPTATPKHLRRLLQRRLQMVYSFMQSRLPHEANLRPWRFHWQIKAFAEKF >cds-PLY81002.1 pep primary_assembly:Lsat_Salinas_v7:9:177457446:177460858:1 gene:gene-LSAT_9X109101 transcript:rna-gnl|WGS:NBSK|LSAT_9X109101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPDSVKSTLQTLAFGNVMAAAARDYQKEVAAYEKAQTSSSVNEEVDLDDLMDDPELEKLHADRIAALKREAEKRQSLTKKGHGEYREITEGDFLGEVTSSEKVICHFYHREFYRCKIMDKHLKSLATSHLDAKFVKLDAENAPFFVTKLGIKTLPCVILFRKGIAGDRIVGFQDLGGKDDFSTRKLEALLIKKGIIEEKKKDEDEEAEYDESRRRTVRYSGIHDSDSE >cds-PLY83130.1 pep primary_assembly:Lsat_Salinas_v7:3:165343104:165345122:-1 gene:gene-LSAT_3X102761 transcript:rna-gnl|WGS:NBSK|LSAT_3X102761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP13, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45680) UniProtKB/Swiss-Prot;Acc:Q9SCY2] MTTTVPFSLLSPTPIKCSSSVSSSPPPPPSHHHHRTINIASSSFSKSSNHHLLKHKEQLNLSRREAIGFGLCLKFLDAVVSPQPASAAPESTTATPCEFTVADSGLAFCDKLVGYGPQPEKGQLIKAHYVGKLENGKVFDSSYNRGKPLTFRIGVGEVIKGWDQGILGGDGVPPMLAGGKRTLKLPSQLGYGMRGAGCKGGSCIIPPDSVLLFDVEFIGKA >cds-PLY91722.1 pep primary_assembly:Lsat_Salinas_v7:7:26644207:26646679:1 gene:gene-LSAT_7X19041 transcript:rna-gnl|WGS:NBSK|LSAT_7X19041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFDTFSVDGEESTTIPSSGQFDEGYFDNNDSYSNFSTADTPAYQFPADGEHVSHQSVDSADPFGFGSNPDADQYSQPPQTSSPFDSSSIPISNGVSQDYDVGEDADGLFSSDGPVLPPPSEMREEGFALREWRRLNAIRLEEKENQEKELRAQIIQEGEDYIKAFHEKRLRNIETNKLTNREGEKMYVAKQETFHKEADKQYWKAIAELIPREVPNIEKRGKKDKDKKPSITIVQGPKPGKPTDLSRLRHILVKLKHTPPAHMVPPPPPPPAATKDGKEGAKDEKTVAAAAKDGKDAAAATETVAAVSNGTTEAPPAAAPVVA >cds-PLY88638.1 pep primary_assembly:Lsat_Salinas_v7:5:141576300:141578421:-1 gene:gene-LSAT_5X61460 transcript:rna-gnl|WGS:NBSK|LSAT_5X61460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLTGIYNNPLPKEEGSKVLKEAFNRGVTFFDTVDVYGVQHANEILVGKGKFLVDLACHGDKLFQVVFKERIKNRKFYQNQYAFCNQLLTAPATPLFPSLESESHKIFMNQNGDSKDHHPNAPKFRVRTTINEAFRCWCQACWQYSVEYPLSSILNSDVT >cds-PLY89093.1 pep primary_assembly:Lsat_Salinas_v7:9:28537403:28538909:1 gene:gene-LSAT_9X25080 transcript:rna-gnl|WGS:NBSK|LSAT_9X25080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFQDSYDPKNNIPTSFPFENEAKSERNPITPEKKELSVSSKNSDFKTNTIQRTISPRHHRPSPLKKLLDPFFQSKSTSSGQHIQTFEDPKVKPKVKLDFGSCKEVKVGDDSRNKMKETPSSSPSSSSSSSKQALFQMAIKNGRPLFTFTVDNNDDILAATVRNSSEKDDNNSWIYTFFTIHEVKKKKGRWLSQGTKATSQGFLPNITAQMIVSNCSVSNCREFVLSSLDPSLPDELAAIVVKFLRKEENQDCFSMTAVLPGGHHSAPSKGEPSPLIDRWRSGGACDCGGWDIGCRLRTFTNKVELESNRRSNKFDLFFQGDVISERPFFSLSSLKEGIFSVEYDSSLSLLHAFSISISIMECRKSCQYTEVKMYVAKQVDEDDEAPVTYASLPPVSPVGRV >cds-PLY90705.1 pep primary_assembly:Lsat_Salinas_v7:8:143282978:143288363:1 gene:gene-LSAT_8X96860 transcript:rna-gnl|WGS:NBSK|LSAT_8X96860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDCVEEVPLPFPLNRLIEEICAQKLLPMPDVAARKTLSKITEESAIDVLKRVRSTTTPIYNLSGYIVFMVNKYGLVASTSSSSHGDAESIRLSSRYPSSPSSSVSSTPRRSAYYDSPSSTKFLESPCSCKSASPVFTQYRTPTNDSHGGPVQGRTSPFSCSSLKDAIPTYWPIVDQVTTSPTSSSKCTNPNNVNQPYSGPANACHSDSSSYYISDQAMILSGLEFRKLFMVHSYIGSHKLEDAVSLEDAVKIDSMKTMSMFDFEDWMWSKFGCQYCQHSDRSMYLDWDCGKTYLYTCYVNSDGGYKFKGPYLDTKKTHLQRAIGDENVLIVKFAEPEKGDMIAVRKYEKIVEAGILVGVRRYHFFVFKDGGRERQKNEKDDKKRRSSGSIKCYFVQRESFTPLDDTDTFMKNCKTTHDVRCLFMHVHMVSNMAKYIARMSLILSTTIKLQVDLSSVSVEEIDDIPCTDENGDIVYDEDGEPLIQTDGTGFISEDLAGLVPCDFYDAKYMKDTSYEKFDSVKPEIGSLGLGGREVTIKDPPLLIQCRLFNEGSAVKGTLLFNKRLKPRTIQVRPSMIKVKKDPRLLDIESFNSLEVVAVSHKPKAAKLSKHLIALLTIGGVPKEYFLSLLDCTLKDAQKVCFDQRAAFRVANIYQHIDDSGISIEMFGARIPLNEPYFQYRLSQLAKEERKGLGEGKIPITESFYLMGTTDPTGILNPDQVCIILENGQISGKVLVYRNPGLHFGDIHVLNARYVKELEDFIGNGKFAIFFSTKGRRSVANEIANGDFDGDMYWVSRNPQLLHYFQSSEPWTRCYSTPNGSLKKPSDFSHQELEHQLFKLLFSTKTHNEAAGTAADSWMSFMDRYLMLQEDDADEKRLLEKKMLTLIDLYYDALDASKSGKKVVIPRELKATQYPHHMGRKPEYRSTSILGLIYDQFQSCKNEKSPVQEIWKLPYFDINVSDSCLKIWKERYESYKQEMSNALKYNDLSKNDAANSVLQKYKQLLYGALDFKDSTRNIDEIYEEAVAIYHVSYEYAIRRKDVAKCGFAWKVAGSALCAYHRKIHCIKTGEREITFVSSALDGLY >cds-PLY63208.1 pep primary_assembly:Lsat_Salinas_v7:6:87577311:87579008:-1 gene:gene-LSAT_6X61000 transcript:rna-gnl|WGS:NBSK|LSAT_6X61000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQRDWNELPVHCLVEVFGRVGIESLVETCPFVCKSWYDATFYPQCWEQLVFTKSPCLRSSKHPIPRLELDKDSWSDCCLVVPHDQTKYADESFEKFVKFAIGRSHGLVTAIVFHPKSLLKEGQIAWIAQRCPCLKLLVLPSYLSYVINFEVSNSICNWKDLEALQIASLIGLKKTIANISKHCRKFNHLSIYVPLLDEDVALAIASQLPHLKTLDLRFSVIERNALIMILKGCQELEQLDVSKFKGVAGDHEIQELASRICVFKHEGS >cds-PLY94322.1 pep primary_assembly:Lsat_Salinas_v7:7:164899845:164900130:-1 gene:gene-LSAT_7X96520 transcript:rna-gnl|WGS:NBSK|LSAT_7X96520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRKEMEASEKYFQESIQLFSLKKKKNKTKKPYYQQCRTSKLRLELHKEIIETRKLKKQATSPPSTTTTTTITTSKLTTDTPSIHII >cds-PLY83426.1 pep primary_assembly:Lsat_Salinas_v7:5:330315902:330320044:-1 gene:gene-LSAT_5X186821 transcript:rna-gnl|WGS:NBSK|LSAT_5X186821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLWWIVSFFIFVWLSPPRVFAIDDFNQAFPIVEPDPGHTKLRLSTEGLEAIRRITNPIAAVAVIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPVEMDIDGVKTSVFYLDTEGFESIGKSNVYDDRIFALATVLSSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVKEMVDEALQHVPNSEGDKNIAQVNQIRDSLAIMGDNSTAFSLPQPHLQRTKLCDLKDGELDQNYVKRREELKEVVKSVIRPKIVQGKSLNGNEFVSFLEKILDALNKGEIPSTGSLVEVFNKKILEKCLKLYEDNMSKVSLPISEDSLLSIHGASRSEALKSFDEQHFGRHHAKKSVEQLDEDIEKMFKNFMMANQYQSSKLCEALYTTCEDKMDQLQVLRLPSMAKFNAGFLHCNQSFEKECVGPSKSIYEQRMVKMMGKSRSLFIKEYNHRLFNWLVAFSLVMVIVGRFVIKFILIEIGAWVMFIFLETYTRMFWSAESLYYNPMWHAIVATWETLVYSPVLDLDRWAVQLGLVAVILLVYWRCHGRMKHKHGSNSLLPLYTDGSKVRDRSE >cds-PLY74988.1 pep primary_assembly:Lsat_Salinas_v7:5:87661784:87662134:1 gene:gene-LSAT_5X40240 transcript:rna-gnl|WGS:NBSK|LSAT_5X40240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVKHFAIASICFLICTTHIVYGQSPFRDEKSSYGHNEKNNPLTIALKLRDIAIMGLQESEHLIRVLNRSSNNHCGSERIEKLTGTFMVNSQEMDDNGFKYEGGEGDGGGLGGTTP >cds-PLY83392.1 pep primary_assembly:Lsat_Salinas_v7:8:564252:566816:-1 gene:gene-LSAT_8X1440 transcript:rna-gnl|WGS:NBSK|LSAT_8X1440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIKDLLRFMKPYVEPVDIKKYAAKRVGIDAYSWLHNGAYSCSMELCLNMEGDKMSQYLNYYMHRINLLRHHNISPLLVFDGCNIPCKSLTEQDRHNKRKGNRDLVMAKLKEGNINAATEMFQRAISITPSMAHQLIEILKSENIEFVVAPYEADAQFAYSTLDVEEGGIAAVILEDSDLLACGCSSVVFKMDRYGNGEEIALDKVLGSVRRIPSFLDFDKELFAGMCGLAGCDFLPSVPGIGISKAYALVSKYRNLSRVLSMLKYEKGNQMPQEYFKSFKEACVVFHHARIYVTFLSVAIFTEMSSFQATAIAQGRLDLSTMKPFNAQLTKPSESLPHNLSFKQGISVMEQETKPKVNVEERRCLSDSSLKILISPLKKNILSGDDAIPAKRSSPIPNNNPFKRQKKTLMVNKEQSSVKSMDSKTVIIIGIGEKKRVTSEKKVTILNFFCRDFKHHRLNHKADLDGTQI >cds-PLY87071.1 pep primary_assembly:Lsat_Salinas_v7:5:260162648:260165833:-1 gene:gene-LSAT_5X130261 transcript:rna-gnl|WGS:NBSK|LSAT_5X130261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCTQSKIENEEIVNRCKERKTVMKESVSARNAFAAAHFSYAAALKNTGAALSDYAQGEVQFSDHRHPSSVDAVSSSTTILPPAPPQPPYENLPPPPPPPLQRAATMPEFTISEPEFKSHPDPIIEEGDEDDEIDDRSLKRRTSSRSSAGAGVRSVSKREAEKQDFPPPSPPQPTPPRPTENTLNRAAPPPPPSHGMSSWDYFFPSMENVTGPSLAEADSQSSRFEREEIHQRKVLEEEEEEVQVQHRSMKNHMNHNGGDGRGGDSGDNEVEELATEVEEEPPPPLPKAVKKVRVPVSVPAEGRRSSGKGGGGQSLDLLQIFTKLDDCFLQASESANEVSKMLEANRLHYHSNFADNRGHIDHSARVMRVITWNKSFKGLPDADDKKDDFDSEENETHATVLDKMLAWEKKLYDEVKAGELMKLEYQKKIASLNKLKKRGASTDHLERTKATVSHLHTRYIVDMQSMDSTVSEINRLRDEQLYPKLVQLVNGMAEMWENMKLQHDYQSKIVQALRSLDISHSPKETTELHYKNTSQLHDHVQMWHSQFEKLMSHQKEYVKALNNWLKLNLIPIDNNLREKVSSPQRPQTPPIQSLLRTWNDFLEKLPEEGARTAIHNFAAVMDTIFQYQTDEMKMKERCEETRRELTRKTRKFEDWYNRYIQKRSSEDMEHDKEVIAEQQITVELLKKRLEEEEEGYQRQCIQVRDKSLMNLKTGLPEVFRAMSEFSWACSHMYKSLRSQRMNQNPNASFS >cds-PLY91974.1 pep primary_assembly:Lsat_Salinas_v7:7:125725949:125726299:1 gene:gene-LSAT_7X75260 transcript:rna-gnl|WGS:NBSK|LSAT_7X75260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEANVLEMKKIDEKSQPLSENLVGLEHDKVALSVVVVQLKHENEGLSIQLESDEKDLVDKDQSVPKMSSLVDGLEADLGCMMKDGLFTVFDRVLEIKEFAYDVNQFCDAFMEVGKA >cds-PLY91372.1 pep primary_assembly:Lsat_Salinas_v7:8:46709436:46710830:-1 gene:gene-LSAT_8X36340 transcript:rna-gnl|WGS:NBSK|LSAT_8X36340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRRFQRAYTSIKENTFVRYAKIATVGGFCDVDLILVKATSPEDIPLRDRYVLQLLKVFSVSPPAYRTFASSFSRRFSKTRCWRVALKCLILLHRLLRALPYNSSFRTELLWARSNGFLSLNPCPFRDSSSSNSEDYTRFISSYANLLDEALDCLTIDCEDGSVDAQEQTEEEQNNEGEEEEVILQSFPDKMKRVGEKLDVLPQLQSLVDRVIECRPKGAAARSFLIHCALKYIVRDSFFCYTTFRSEIVAVLDHLIQLPYRSCMMAFGVYKKAAVQADHLSEFYDWCKSVGLCGIYEYPFVDRIPEMQIQALENFLSGMWQLTDSSSTTGSPMASTIDSSSSSMEDDKQIVRFNNWVQFEDDGISKGQEKNEEKALIEFETNDNNNIMSWEVLLEASVILAPLMVSNTYFYFQPNSYGVSSNESTDQCATDLQIQVYNPSAINPFQHTYNGFTPSFDSYPTFL >cds-PLY69645.1 pep primary_assembly:Lsat_Salinas_v7:5:111761808:111765246:1 gene:gene-LSAT_5X49001 transcript:rna-gnl|WGS:NBSK|LSAT_5X49001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAKESLPSSFDLPNEVLEVLPSDPFQQLDVARKITSLALSTRVSALESESSDLRQQLTERDAFIADLHSQLDSLDSSLSQNLQKLSLADQEKENLLRENASLLETVNKLKRDVTKLESFRKTLMMSLQDEEGSSTTGPPVVAGNVQNHSSISSQTFSGEDEETMPPSSTSSIKSQTSDIPNSYHDEPVKEASRPRIPPSLLLASQTTTPRLTPPGSPPTLSASASPTRTPKPVSPRRHSIAITSSRPFNDDNKSSVFSSANSTPYGSMSGRTRVDGKEFFRQVRSRLSYEQFAAFLANVKELNSQKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIYIYIYI >cds-PLY85438.1 pep primary_assembly:Lsat_Salinas_v7:3:43008921:43010365:-1 gene:gene-LSAT_3X33341 transcript:rna-gnl|WGS:NBSK|LSAT_3X33341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METAAIGVTEIEGRGRAMVALRPLKGGEIVLRDSPIVLYSALPFHSDDHQKNNNCYCSHCFTSISQQPQNVSCSTCGSRFCTFECQSVASTTSHTPWVCQALIRLRQYFSDHHQPLDLQLQARFLVSAYNLARVSPDRFRMLSSLQGSVPSQPDADSSATFLHSLISSICPPPPLELGFSLELTSVLLAKDKLNAFGLMEPFSEEREGRSVRAYGIYPMASFFNHDCLPNACRFDYIDVAGSASGNNTDITIRMIHDVPQEREICLSYFPVNLKYAERQKSLRDDYGFLCDCDRCKVEANWSESDDDGLEVDAIDEEEDEDTSGVMDEDPDEQMQDEEDTDAMKGEDDFPHAYFFLTYMCDRKNCWGTLAPLPPSVTTTPSVMECNLCGTCKNLDQV >cds-PLY91985.1 pep primary_assembly:Lsat_Salinas_v7:7:91426688:91427522:-1 gene:gene-LSAT_7X63300 transcript:rna-gnl|WGS:NBSK|LSAT_7X63300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFFTTEIEVTSSLSATKLFKVYADFDTLAPKVDPQTFKAISIIEGDGGVGSIKSIIFGDGVPFTNSKQRFDAIDVTNLSVSYTIFGGDALLDNVDSATHHVKFVPSADGGSVYKHTALFNCKPNTQLGEDILNFTKEAFKNTFKAMEAYAIAHPEAY >cds-PLY67752.1 pep primary_assembly:Lsat_Salinas_v7:9:164553295:164555440:-1 gene:gene-LSAT_9X101820 transcript:rna-gnl|WGS:NBSK|LSAT_9X101820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKILIIGGTGYIGRYLVEASLKEGHPTFILTRPTTTKDDEKTKLLDDFESRGVELVYKVDVVISTVSGKSAAAQDKLIEAIKEAGNVKRFLPSEFGLDVDHGILDGPVDPAKSIFEGKAKIRRKIEAENIPHTLVVCNGFAKYFLPKIGLMKTEKEIIILGNGNVKVVFVKEEDIALITIKTVDDPRTLNKALIFRPPGNTMSFNEVVSIWESKIGKTFDRIYVTDEEALKEIEDSPGKNIMSSISYSTFIKGSATSFIIEPSFGVEASELYPDVNITTIEKYLDEYV >cds-PLY77755.1 pep primary_assembly:Lsat_Salinas_v7:2:169954644:169955454:-1 gene:gene-LSAT_2X91500 transcript:rna-gnl|WGS:NBSK|LSAT_2X91500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNDRHPHQVQVHTIQHPRYEHGGNKSSFFPDQEGPSKSKIFAVMALLPVGGILLGLAGITLVGTMIGLAIATPVFIIFSPIIVPAVLTIGLAVAGFLTSGTFGLTGLSSLSFLLNSLRQVTGSTVPEQIDYAKRRVQDMVEYTGQKTKEVGQTIQDKAHEIGPEGQVHGGAKEGRGART >cds-PLY65744.1 pep primary_assembly:Lsat_Salinas_v7:5:268433352:268434698:-1 gene:gene-LSAT_5X139561 transcript:rna-gnl|WGS:NBSK|LSAT_5X139561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASSEIVDSGRFSGHKTTEKSNFSQTFNLLSQYLKENGTFGDLSLGMATGTMNLFPATNTSSHRQQDATAAAAASPVSTQVPKKQPESAQMTIFYAGQVIVLDDFPAEKAMEIMTLAGKGTTQKTQTFRPASDWIPKPTEPSNLVASNIEKESVQLQPVVSDLPIARKASLARFLEKRKERITARSPYQSDNHGSPSKQEEGKSWLGLAAESRGQFQAQSAS >cds-PLY68409.1 pep primary_assembly:Lsat_Salinas_v7:8:22623067:22623222:-1 gene:gene-LSAT_8X16900 transcript:rna-gnl|WGS:NBSK|LSAT_8X16900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVAALMLSCMLWKMSSINTVFLSRSLMSDSVMTTCMYDISSGAFLYHFLS >cds-PLY76627.1 pep primary_assembly:Lsat_Salinas_v7:5:223436968:223440041:-1 gene:gene-LSAT_5X105001 transcript:rna-gnl|WGS:NBSK|LSAT_5X105001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAFKHVRSHFHVPGPGPLRTEKLTGVVHLNAISYHSFTESQLDPQSSEWREKALPKLIKLTPLLKNLDLINGKLVNLHDKCTVNDEFLLQSMHTFKSIATAFLMKQALSTVSTPCLSVDKPLYMETFTLNSLKKVCDILDVSAQQRKLVRLAICPQVTQHQIWTGALEEILNQLKFEMSVNDYGCIMAQQIVVNCLKFLDDVMSYDPESTSWMRLTLKKDANLPPSAKWEDFLEMINDLMICLKNEEGFFFYVTKLETMKEGLSQIKDVLVDKNIGYKEARHQQSLVQKKLTKSLGHSSKCLFTLLLYYLYGSIRDIELDICCWFSEGDVGNKCCLCVGKILISDEEKMLRREVKKLDRALRVIKFVYEMAEMKENLELQGHVWCLGCENRSLVYRGHKFFIHGFSL >cds-PLY87403.1 pep primary_assembly:Lsat_Salinas_v7:4:12959411:12961611:1 gene:gene-LSAT_4X9281 transcript:rna-gnl|WGS:NBSK|LSAT_4X9281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSKDVNKNPSSSINTSLERESLDTSTSGGVAKNDVKCSLLPNGDIVVLLQVNIGVDFLNDPVLEILQFRKHQSTILTSENSSQPKEDPYGDLLKWLLPVKNSTFLSSFPEMSHNPNARSSLTKPNSPSSFGSQNFLLGHFRSHSMSSIPSKTRPNVGPDDWNQIITSSNPGSERNNEELLSFRGVLLEPERFSVCCGLEGIYIPGRRWRRKIEIIKPLEIRCFSAECNTEDLLCVQIKNVSPPHAPDIVIYLDSISVICEESSKDGTPSSLPITCIEAGNNHGLPGLPLRKGEEHSFILKPVTSISCCNAQVKRSYQLSQLKAGSTRSSVFTLTNVDRGPSPSNRYAILISCTSNYTESRLFFKQPTNWQPHIQKDILISVSVASQPSRKTLQSDNTIPNLPIQVLTLEASNLTNEALTLTLVAPPSFASSMVSLNYSPASPSPMTPFDERVSNDDIALQRLCSVSKVLDQRCRVDSDQIVPVSKTDIGRTHMWLKSTVPLGSVPGRSTVSVKLELLPLTDGIITLDSLQINVEKGKYTFCF >cds-PLY74001.1 pep primary_assembly:Lsat_Salinas_v7:1:33195142:33197247:1 gene:gene-LSAT_1X28561 transcript:rna-gnl|WGS:NBSK|LSAT_1X28561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24750) UniProtKB/Swiss-Prot;Acc:Q0WWT7] MAAARKRWEALVREEKVKMLTPKEAGYAIQLSGKTLLDVRPSTEHEKAWVKGSTWIPIFDVDTQFDAGTLSRKVTSYMMGGWWSGVPTLSYDKQFVSKVMEKFPKDTDLIVACQKGLRSLAACEQLYNAGYTNIFWIQGGLEAAEEEDLEREGPQPFKLAGIGGVSEFLGWTDQQRAQAAKEGWGYKLMFSARLVGVVIAADALFIGVQQLSHYLQDIRAN >cds-PLY97843.1 pep primary_assembly:Lsat_Salinas_v7:2:216675258:216678667:-1 gene:gene-LSAT_2X134740 transcript:rna-gnl|WGS:NBSK|LSAT_2X134740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKKRVSRVGSYAISSAIADPNCFSCSTFNILAPIYKRLIHEDHACRESEIRAYWLNRNEGILDLLLCEKSSIICLQEFWVGNEELVNMYDTRLGDAGYINFKLARTNNRGDGLLTAVHKDYFRVIDHREFLFNDFGDRVAQLLHVEVIAAFTGTQCRNSQEILIVNTHLLFPHDSSMCLERLRQVYKILQSVEAYQKENSLSPLPIILCGDWNGSKRGHVYKFLRSQGFVSSYDTAHQYTDADAHKWVSHRNHRGNICGVDFIWLLNPNKSRKVLKTSWRDAVFGLLKYHVRRARASLTEADDAFAFLKAEPEADYITYSGFCEALRKLNFIGHPYGLSKEETKELWVQADPDGNGVVDYKEFQQRVWNPTSTRCEEEDEEEEEEEEEAVLGYSVKNAVLYPTEAEKGMWPDDYSLSDHARLTVAFSPVYTNITSS >cds-PLY65828.1 pep primary_assembly:Lsat_Salinas_v7:5:136423431:136428728:-1 gene:gene-LSAT_5X60380 transcript:rna-gnl|WGS:NBSK|LSAT_5X60380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVPELLGAFVRKLLRVPYYLITAILLLFKQLAKLSSILNPFNTNNPTMGWGNIYKRRMKVFTLAFVIYMDYKALQQREKLAKRSKRDALWERAHERNAKRVLKLIVELEGLWVKMGQYLSTRADVLPDAYIRLLKQLQDSLPPRRLEEVCQTIEKQLGESMDDLFTNFVSVPLATASIAQVHRATLHDGKEVVVKVQHEGIKTIILEDLKNAKAIVDWIAWAEPQYDFNPMIDEWCKEAPKELDFNHEAENTRKVSRNLGCKSQGLDRRPEDVEVLIPDVIQSSEKVLILEYMDGVRLNDSHALEELGVDKQKLVEEITRAYAHQIYVDGFFNGDPHPGNFLVSREPPHLPILLDFGLTKLLSSSMKHALAKMFLAAAEGDHVALLSAFAEMGLKLRLDMPEQAMEVTSVFFRATTPATEALETVKSLQEQRARNMKIVQEKMKLDKKEVKRFNPIDAFPGDIVIFSRVLNLLRGLSSSMNVRINYLNIMRPFAESVLKSNISNTPSSNAKWVYDTPVHSDVEDKLRRLLVELGNANRILGIQVCAYKDGEVIIDTAAGVLGKYDPRPVQPDTLFPVFSATKGVTAGMLHWLADKGKLKLDENVANIWPEFGMNGKDQIKVHHILNHTSGLQNALSDIYQEDPMLLCDWDACVKRIAKVSPETEPGRQQIYHYMSYGWLCGGIIEHASGRKFQDILEEAIVRPLNVEGELYIGIPPGVESRLATLTFDTNEFAKRAAATATRQPYAAMPSSFSPEILVGFIPLSNTLNVRRAILPAANGHFSARALSRYYAALVDGGTVPPRHSSTSLPPLGSHPHRPTFPSKKTSKNQKDSNSTTQPDLYIRIPETDDTSDEIDNKMFSNSKSKIHDAFLGSGDYKDLIVPNGKFGLGFRRVKTTEGLVIGFGHAGLGGSTGYCDVNNRFSIAVTLNMLSFGALTAEIIRFVCSELDLPVPEDYSGSREFIDKPVIN >cds-PLY97885.1 pep primary_assembly:Lsat_Salinas_v7:4:90526726:90530879:1 gene:gene-LSAT_4X60101 transcript:rna-gnl|WGS:NBSK|LSAT_4X60101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWLVSMPKVELHAHLNGSIRNSTLLELARELGEKGTIVFSDFEHVIKKNDRSLREVFKLFDLIHIVTTDHKTITRITKEVVEDFAAENVVYLELRTTPKRNDSIGMSKKSYMEAVVEGLRSISNLDINLSSDDFDKCSSTNEKKIFVRLLLSIDRRESTESAMETVNLALEMRDVGVVGIDLSGNPTIGEWATFFPALKFAREQGLSVTLHCGEVPNSVEIQSMLDFLPGRIGHACCFQDQEWRKLKSSKIPVEICLTSNIRTETISSIDVHHFAELYKANHPIVLCTDDSGVFSTNLSTEYALVSSTFGLGRMELFELARKAVDYIYAGKGVKMELIKVFESVGRTLEYN >cds-PLY98290.1 pep primary_assembly:Lsat_Salinas_v7:7:171161063:171163556:-1 gene:gene-LSAT_7X100160 transcript:rna-gnl|WGS:NBSK|LSAT_7X100160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMYTPKNILITGAAGFIASHVANRLVRSYPDYKIVVLDKLDYCSNFKNLNPSKSSPNFKFVKGDIGSADLVNHILITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIGGTHVLLEACKVTRQIRRFIHVSTDEVYGETEKDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGNPLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVIDVAKDMCTLFNMDPESSIKFVENRPFNDQRYFLDEEKLKSLGWAERTTWEEGLKKTMEWYISNPDWWGDVSGALLPHPRMLMMPGGVERVVDVSENIQSDSPHAAVNASQFRKVGPVAKTSKPSFKFLIYGKTGWIGGLLGKLCEKQGIGYEYGKGRLENRSQIVEDVQKIKPTHVFNAAGITGRPNVDWCESHKTETIRTNVSGTLTLADVCKESGILMINFATGCIFEYDEKHPEGSGIGFKEEDTPNFTGSFYSKTKAMVEELLREYDNVCTLRVRMPISSDLNNPRNFITKIARYDKVVNIPNSMTILDELLPLSIEMAKRNLRGIWNFTNPGVVSHNEVLEMYKEYINPGFKWTNFTLEEQALVIVAARSNNELDVTKLKKEFPELLSIKESLIKYVFGPNKKEIKMCNNGYTMPT >cds-PLY92550.1 pep primary_assembly:Lsat_Salinas_v7:5:78895095:78896438:-1 gene:gene-LSAT_5X36321 transcript:rna-gnl|WGS:NBSK|LSAT_5X36321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSASMETGTINLAGVAVDLTDQIHQLPSCIKYDGPAPVSSYFKPKSTGIEVDGLNVKEACFRGRKLQGTTFPLPDGYSGFVIGKMKASGKRKTSSNGDAEMTTSWQMNAKFENITLWNHDNRPSKEDAFLRAFHWLDVAKALHKPVTSEDMELKSNLGATVTD >cds-PLY71518.1 pep primary_assembly:Lsat_Salinas_v7:7:29068608:29070288:1 gene:gene-LSAT_7X22760 transcript:rna-gnl|WGS:NBSK|LSAT_7X22760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCPKLASFALRELLEEEEGERNKEKRCRAKIQGQNQSSLSRGDTGAAAVSFQSRAHSSQFTRYFRKQREELGMMASHTPQLQSCPGS >cds-PLY98154.1 pep primary_assembly:Lsat_Salinas_v7:1:139145859:139148829:-1 gene:gene-LSAT_1X99100 transcript:rna-gnl|WGS:NBSK|LSAT_1X99100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFSRLSMLKELYLDENPIVSLPNCVRSLPRLETLSMGNCTMLMSVEHPPHTLIYLNLFNISGKPMLQKVVFDPEMSPLQLVIDWDMLPPSSFEVEGMVKIQPMTSIEEKVLCSFCWTKPDFLNERHVVTLTSLRDNEKSEIQMYYEFGIFSTIYDGEEMPNWITDRIKGPSISFTIPSSPKNFTGLNFCYVLTSQRIGSVRATLILLPVIIISNIAKNRTWIYHNYIEKLHWMFGTGEMEAGDQVTITISWERPDDFTSTKEVQCTGEWPLRRYQSLPKKANDIYKLMYENDQDASSGVIC >cds-PLY90441.1 pep primary_assembly:Lsat_Salinas_v7:8:35369001:35371548:-1 gene:gene-LSAT_8X28200 transcript:rna-gnl|WGS:NBSK|LSAT_8X28200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGKSSSNTAGKPIRCRAAIARKPREPLVIEEVIVAAPKPREVRIKIICTSLCHSDINYWKLERPPAIFPRILGHEAIGVVESIGEGVHEVVEGDTVIPMFLPDCGECADCLSKKSNLCSKYPFHCTPWVGRDETSRFTDINGETLYHFLHVSSFTEYTVVEMARVIKVDPAIPANRACLLSCGVSTGVGAAWKAAKVEIGTTVAIFGLGAIGLAVAEGARLCGAKRIIGVDVNQDKFEIGKKFGVTDFVNPRNIGDKTVSQVIIEMTDGGADYCFECVGLTSLVHEAYAASRKGWGKTVMLGVDQPESMLTLSSFGLLEGGKSLTGSLFGGLKPKSDIPVLIKRYMDNELQLDLFVTHEVEFEDINKAFDLLLEGKSLRCVIWMKK >cds-PLY92412.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:820703:825609:1 gene:gene-LSAT_0X10501 transcript:rna-gnl|WGS:NBSK|LSAT_0X10501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein CDP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G19180) UniProtKB/Swiss-Prot;Acc:Q8VY16] MAAVFVDSSHILLLCCYISPNNKTHTRFSKYSSGEVTSSSYPSRVSFDSLSRRRQRRILLSVSGREIADVRVADNSNNVHHTRSAFVEIPVTCYQILGIPDKSEKDEIVKSMKHLKLAEIEEGYTMDTIVSRQNLLMDVRDKLLFEADYAGNVREKVPPKSSLQIPWSWLPGALSLLQEVGEEKLALDIGRTALQHPDSKPFVHDLLLSMALAECAIAKANFEKNKISQGFEALARAQSLLKSKPSLEQMTLLSQIEESLEELAPACILELLGMPHTQENAERRVGAIAALRELLRQGLDVESQCQIEDWSTFLNQALNKLMAAEIVELLTWDSLANTRKNKKSLESQNQRVVVDANCLYTVMMAHIALGFSSKQIEMIKKAKSILECFVASEGIALKLEEAFCLFLLGEGDEAAVIERLQQVESNLNSTSRTLLSRMDIKDASNAKKLLESWVKDALLGLFSDTRDCSPSLDSFFVGEKKVSENKNRKRAPQTSPSLNQRPLSSTFSSDWRTIEDHSSSPRLGSTVKQLTPSNLQSPLIANNLKPESYPSVQLKRNLGVHYDNIWEIWLDPNNTIRYMSFATAMFCLLFATMGVRFRGPRRVSSWALSGPNTGSLTESSHGLWGSACDKGNHIAKMVMSLWSTQKEKVKGGIEGGGVKDSSMGASLNSCKKRSMRVEEAESLVKQWQTVKAEALGPNHQLHNLVDVLDGSMLLQWKGLAESAKDRCCFWRFVLLELTILQADILTDGTGKEMAEIEALVEEAAELVDSSHKKNPNYYSTYTIRYLLKKQEDGSWKFCEGDVEIQT >cds-PLY87647.1 pep primary_assembly:Lsat_Salinas_v7:1:122213222:122214485:-1 gene:gene-LSAT_1X92800 transcript:rna-gnl|WGS:NBSK|LSAT_1X92800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTDYQGSSSPRFQPLRLSMKRAPAIHEATSQEQEEVEVFQRHVTQRFIDLSQIDSHEFLSLSWVYNLLDVFLCCEEEFKAISFNNQSYMTYKLVLDYFERSVKGLDICNAIRDGIEQIRQWHKQLEIISHALGNQRYLGEGHFRRAKKAIIELTIGMLDNQQSNSAHRNRSFGKDPQNYQKSLKKFKSFSWSVSRSWSASNQLQAIGNNIVPPKATDVIRVPVAVAVYTMSNVLLFVMWALVAAIPCQDRGLESHFNIPKNFNWGSPITGLHERILEESKKTGRRNACGLLKEIESIEISTRFINELCDSLQFPLTEELELEVRKRVEEVDMVSEAIKNGLDPLEKQVRDVFHRIVSTRTQALN >cds-PLY83324.1 pep primary_assembly:Lsat_Salinas_v7:1:61680866:61682281:-1 gene:gene-LSAT_1X52941 transcript:rna-gnl|WGS:NBSK|LSAT_1X52941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFSCRCFSVYFGEETEYLTIAKSSSESYHTACSEFDGKRFVSEPNFQDELPDLAERSRNPRVFTLTELKAATTNFCRSSKIRESESGSVRMGRIKSSVYPYKTIKGDKQLATEVNELWKIEHPNLVKLIGYCDEVERNGTLELIVHEYMSNGSLHDHLSAMSDAPISWARRLRVARDTACGLAYLHEGMDYSIIFRDFTPSNILLDKEWHAKLLNFGNTSVSTSEGQTHVSGYAAPEYLKTGYLTTKSNVWSYGVLLYVLITGWPPLDPHRPMNEQKLLDWVKPYLSDDKFCQIVDSRIEIDDFGLREAKRLSIIANNCLRKNIKLRPKMSRVLDMLDQLMTKSVMERASDNADRVLHEPYSSMKMKFKGKRRFECLSCICSSTK >cds-PLY68056.1 pep primary_assembly:Lsat_Salinas_v7:5:293186970:293188094:-1 gene:gene-LSAT_7X61721 transcript:rna-gnl|WGS:NBSK|LSAT_7X61721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKSRKKFAHKRRARKSKIVKVDPFIFLPDDILIEILKRLPDAVLRYKAKYVCSRWFNIITNSILLDHASYILQNMETFRTCLVDIREEGERLQVKEQDLDIPHIGMIRSWCNEFLLISVSYTDTLYVYNLITKEGSYLPQHNAPCGRYCIGNCGVALSGFKGIYKVVHLYSGPPIKCHILNLGRGDNLMSCVSSKWKHVKGSFTKDVKNRLHSWCEPVLVRQGRYIHWETFNDSNDLLVSMDTETEEIFQKRLPTSIEERYHGNSLFVIGGFLAVFDRVYDQGNVWILKDFRRKRWKKLQLITGHYTRYLDTYRICGGISKRYIILRSTTAAKGIRMCSYDVKNGVFKELDISIGSDHDHFVVHSSAPIKI >cds-PLY64748.1 pep primary_assembly:Lsat_Salinas_v7:6:127601704:127602039:-1 gene:gene-LSAT_6X75680 transcript:rna-gnl|WGS:NBSK|LSAT_6X75680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKISTVPPPEATQSQPLQQQDRVAIVIGVSCGINKAISLHLASLGAKLIVNYTSNSSKADLVVSEINSKFQSESPQVVSFKADVSDPIQVKALFDAAKSIGRKRRLERE >cds-PLY73761.1 pep primary_assembly:Lsat_Salinas_v7:2:95730731:95733326:1 gene:gene-LSAT_2X41360 transcript:rna-gnl|WGS:NBSK|LSAT_2X41360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVILKRSRLSLASSHLRRLITTNPRRIVDEGDWFYASEWWGNGDSDENTVFRSVSDKGNGVVSVVASHSSRPYERNWHKTETWLSQRYAELHPRDEQKASFKILGYQWRTLRFNDDTRQSTVKVMAAYNQQDPGSIFLRQEPHCLAVPYLKSMVSAGLASLSCNYDLESVVNGKNNMKILCIGHGGGSLPLFLAYKIPGATIHIVEIDPVVISASTQAMGFPSYSTMTQSGARTHSKPSLTDEILWKGIHERLLLFHSDAEHFITNTANIYDMIFIDAYDGDDIFPHKLWDPDSVFLKTLGERLHPKHGTCVVNLHSDVDMVEVSESESVIPMGKYVSQVCKAYKKMVVDGDGVGVAFTVHVPWVCNASLVVSKSFKDCTRDVVLNSLVSKSLVVENVLDLPFSCLQYVKRGLIFVE >cds-PLY84221.1 pep primary_assembly:Lsat_Salinas_v7:7:77638672:77647166:1 gene:gene-LSAT_7X55201 transcript:rna-gnl|WGS:NBSK|LSAT_7X55201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIVCSGCRSILLYPRGASNVCCALCNTVTSVPPPGTEMAQLICGGCHTLLMYTRGATSVRCSCCHIVNLAPVANQLAQVNCANCRTMLMYPYGAPSVKCAVCHYITNVNMSNGRVPIPMQPPGSMPSTSTEMPHFHTQTVVVENPMSVDESGKLVFDKMPSPKFPYGSFFSHTKAIWRSAAMSTHVRQLGPIRTIRTQTLLHSHSTIIQERTPFQNHSTETETISKRQIGDNISRNEKIKFLLKILMDLDNSKESVYNALDAWVAWEQDFPVGPLRRALIALEKEHQWHRVIHVIKWMLSKGQGTTMGTYGQLVRALDMDHRAEEAHEIWVKKLAVDLHSVPWQLCHQMIAVYYRNNMLERLVKLFKGLEAFDRKPRDKKIVKKVADAYEILGLIKEKERVLEKYKNLFDETPSSKKSLKR >cds-PLY88367.1 pep primary_assembly:Lsat_Salinas_v7:9:18141819:18144674:-1 gene:gene-LSAT_9X15801 transcript:rna-gnl|WGS:NBSK|LSAT_9X15801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHGQTQDSCGSNSNFGSLSSQILFETSSLNCLAVWSSENFILRYSQAGPSLWSFILSAPISNSYIGMGFSPNGAMVGSSAVVGWVASDGSGNMKKYFLGGQTPSQVLVDQGDLQILGNTSTILSDTSRIYMAFQLAIDEPRPQLVYSVGDNSNPPPSTPSFRLTQHRNHAAIRLNYASGEGSRIKAPYSNLKRAHGTLNMMGWGILIPIGAMVARYLKHMNPLWFYVHTGIQSLGFILGLSGVIAGLVLDNRIDANVNKHKGLGITILAFGCLQVLAFLARPAVEAKTRTYWNWYHSSVGRLMIFFAIVNIFYGIHLAKAGSSWNAGYGVVLGILVTSGLILEINMLRKK >cds-PLY71727.1 pep primary_assembly:Lsat_Salinas_v7:3:44896705:44897173:-1 gene:gene-LSAT_3X33800 transcript:rna-gnl|WGS:NBSK|LSAT_3X33800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRLVLMDLDPGTAKLAIPILGPLLSAFSFLFIVRIVMSWYPKLPVEKFPYVVAYAPTEPILTVTRKVIPPLGGVDVTPVVWFGLISFLNEILVGPQGLLVLLSQQV >cds-PLY86132.1 pep primary_assembly:Lsat_Salinas_v7:4:230806558:230818605:-1 gene:gene-LSAT_4X126221 transcript:rna-gnl|WGS:NBSK|LSAT_4X126221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLASMKNDTYLESVISKRIQLFESIRAKQIKERQSIAGDPIKVTLPHESVKKGKKWETTPFDIAKEVSKSLASNALISQVDGVLWDMSRPLERDCRLKIFTFDSDEDRDTFWHSSAHILGHALEITYGCKLCIDPCTTRGEEKQPFQRIEASRDEALEMFSDNEFKVEIIQDLPADKTITVYRFGPLVDLCRGPHIPNTSFVKAIACLKRGNKDRESLQRVYGISYPDQKRLKTAGKETGTFLFSSAQVGTPNMYNMQLWETSGHAANYKETMFVFDIDKQEFGFKPMNCPGHCLMFDHRVRSYRELPIRFAEFGVLHRNEASGALTGLTRVRRFQQDDAHIFCRESQIKDEVKGVLEFINYVYDIFGFTFELKLSTRPEKYLRDVETWEKAETALADALNEFGKPWEINKADGAFYGPKIYISVSDAMRRKFQCATLQLDFQLPERFWLSPRQTIVCHVSHKTVRDAQLAHYNYILVVGEEEVNTGKVSVRVRDKQQHSVKTIPDLLQHFKDEVEAFH >cds-PLY93172.1 pep primary_assembly:Lsat_Salinas_v7:3:257614311:257622803:-1 gene:gene-LSAT_3X140760 transcript:rna-gnl|WGS:NBSK|LSAT_3X140760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, miRNA biogenesis, Reguration of developmen [Source: Projected from Oryza sativa (Os03g0121800)] MDDKSSSMLTGTADTLEDTKPSYWLDACEDISCDDLIPGEFPPVGLSNLQDTSLDPCFFDGILDSIPTNANIVDAQLTLPSAIKVEEDTVASLLDASGVSNGLSDGNNGNSFKKSSRPHPHKHRGCDDEQERFGKRARIDGGRHLHLHLKERERERPSCRKRARDYEEKDRRDNSSNRSSIRRKEQQYGSNGRRGNREMDWREGRGYWERDRLGTNDMVFRPGSWESGCNRDIKPPVDKNLQGELKKDNDDDNSKKKVPKEHARKYQLDVLEQAKNKNTIAFLETGAGKTLIAVLLIKSVCNDLHRQGKKMLAVFLVPKVPLVYQQAEVIRDQTGYQVGHYCGEMGQDFWDARRWQREFEKKQVLVMTAQILLNILRHSIIKMEAINLLILDECHHAVKKHPYSLVMSEFYHTTAKEKRPSVFGMTASPVNLKGVSSQVDCAIKIRNLETKLDSVVCTIKDRKELEKHVPMPIETVVEYDKAASLWSLHEQIKQMEVEVEQAAQSSSRRSKWQFMGARDAGAKEELRQVYGVSERTEGDGAANLIQKLRAINYALGELGQWCAYKVAQSFLTALQSDERANFQLDIKFQESYLSKVVSLLQCQLSEGAVLQSSNCEVDEVASCPDEIEEGELPDSHVVSGGEHVDVVIGAAVADGKVTPKVQSLIQILLKYQHTEDFRAIIFVERVVAALVLPKVFAELPSLKFINSASLIGHNNSQEMRTSQMQDTISKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMVERGNLSHEAFLRNARNSEETLRKEAIERTDLSHLMGTSKLISIEPTHGTVYQVESTGAVVSLNSAVGLVHFYCSQLPSDRYSILRPEFIMERHEKPGGGPTEYSCRLQLPCNAPFERLEGPVCSSMRIAQQACHAVCLAACKKLHEMGAFTDMLLPDKGSGGEAEKADENDEGQPLPGTARHREFYPEGVAKVLQGDWILSGRDEYDNSELVLVRLYMYVVKCVNVGSSKDPFLTQVSEFAVLFGNQLDAEVLSMSTELFIARTVTTKASLVFRGCMELNKTQLDSLKSFHVRLMSIVLDVDVEPSTTPWDPAKAYLFVPVSADKIDWDTVENIIKTPAWNNPLQKARPDVYLGTNERTLGGDRREYGYGKLRHGMGGMKSHPTYGVRGAVAQFDVVKASGLVPPVTRSYISNNNINGDWDLTQGKVMMADSCTNAQDLVGRIVTAAHSGKRFYVDSVRYDMTAENSFPRKEGYLGPLEYTSYADYYKQKYGVELMYKKQPLIRGRGVSYCKNLLSPRFEHSEGHEGESEETPDKTYYVFLPPELCFVHPLSGSLVRGAQRLPSIMRRVESMLLAVELKNVISYPVPAFKILEALTAASCQETFCYERAELLGDAYLKWVVSRYLFLKHPQKHEGQLTRMRQQMVSNMVLYHYALTKGLQSYIQADRFAPSRWAAPGVLPVFDEDIKETSEHEDIKDDVDDDDLEDGELEEEEEGDSSSYRVLSSKTLADVVEALIGVYYVEGGKQAANHLMKWIGIEVEFDWSEVGWCTRPSNVPDSILRSVDFEGLEGALNIKFNDKGLLIEAITHASRPSSGVSCYQRLEFVGDAVLDHLITKHLFFMYTDLPPGRLTDLRAAAVNNENFARVAVKHNLHLHLRHGSSALEKQIRDFVKEVESEVSKPGFNSFGLGDCKAPKVLGDIIESIAGAIFLDSERDTSAVWKVFQPLLQPMVTPETLPMHPVRELQERCQQQAEGLEYKATRNGNVATVQVLIDGVEVGVAQNPQKKMAQKLAARNALAALKTKQEAKDKEEQEQEDNQSAAPNGNNKKNATQTFTRQTLNDICLRRNWPMPLYRCVSEGGPAHAKRFIFAVRVNTCDKGWTDECIGEPMPSVKKAKDSAAVLLLDLLNRWYASS >cds-PLY67456.1 pep primary_assembly:Lsat_Salinas_v7:6:68776543:68778148:1 gene:gene-LSAT_6X50180 transcript:rna-gnl|WGS:NBSK|LSAT_6X50180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGRHGNTQENETMIFFYVAHQVCSLLFIFYKDEKILRTFISDGPVCERKFAQCFCSRGCSKEMETLRSESSHRIGDHPTNLEEYIHPVLTILLHKVYVCVPKKRNLYIFRDLKGAWKHIKTLEWKWKRDGTICFDKILYVFTTMKQQTRPP >cds-PLY80369.1 pep primary_assembly:Lsat_Salinas_v7:MU041981.1:56244:56666:1 gene:gene-LSAT_0X28101 transcript:rna-gnl|WGS:NBSK|LSAT_0X28101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFIIRTIFILTTLSFCMASTPNYICLMRTYITNTLQDNIVVHVVGKDGGDLGNHTLALNEVFDWKFGVRIGTYYKGEFWWGSKYGSVSVFNYDIFGSCFKELIFSVQRCYWLVKPDGFYVHGTNSSFPGAWTRMGTWSN >cds-PLY93699.1 pep primary_assembly:Lsat_Salinas_v7:2:201554413:201555801:-1 gene:gene-LSAT_2X122621 transcript:rna-gnl|WGS:NBSK|LSAT_2X122621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRALPLGPRTVAMLHINKGSYTTVKSTEVTVKLIFTEGEGEFIDCAASMSRDSLNIDVYHKGVFSPNPFVYFHPDKLPVMGLDVRNMDFKEFKTYLQKLINNRCRDMYYCLKNRSLVDRLRELRDEDDYVRFLDVGFEMMIIKSVSTLMTIMNPY >cds-PLY91838.1 pep primary_assembly:Lsat_Salinas_v7:6:33070623:33071148:-1 gene:gene-LSAT_6X26000 transcript:rna-gnl|WGS:NBSK|LSAT_6X26000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDNSSFFANRTSSTMETLQEEHNTKLERTRVLKNQIKDVKRQLEETKNGNVYDKIEATKNLSEKYKQLREEYNLLLGQKSGTKQLN >cds-PLY72993.1 pep primary_assembly:Lsat_Salinas_v7:9:35700440:35703393:-1 gene:gene-LSAT_9X31560 transcript:rna-gnl|WGS:NBSK|LSAT_9X31560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWGTVTTEDLIEALREVDWSSPPRPLNEFFSRFTVPRSSSKWNSRLKCNLYYYRTNYFIMIVFILGLGFIRRPFAIVAAMLTALTIAFLNDSFAGTFSEKVTRTVRRFSPHMAAKMRPPLTPVIRGRPSSKRTIYICGKPRWVCVSLFSAASFFVWFISCGLLTLLWACAIGLLATVLHASFRTPNLKARLNTFREEFRAVWRNYSEL >cds-PLY67329.1 pep primary_assembly:Lsat_Salinas_v7:4:19991280:19992757:1 gene:gene-LSAT_4X13800 transcript:rna-gnl|WGS:NBSK|LSAT_4X13800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELTQTCIVVTEDGEGREEDIENDDNPTSTAPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKTKRPDMLSDLDPFGLGRMVEDGNWVMTNKVTRRLSKIPDEVRAEIVKFFVDTPPIVDDDNIKLPKFDDNTTDHVRNGLTISKVSFFFSFYNLGDVVFEMMHVSIFFI >cds-PLY97650.1 pep primary_assembly:Lsat_Salinas_v7:5:239055329:239056768:1 gene:gene-LSAT_5X117561 transcript:rna-gnl|WGS:NBSK|LSAT_5X117561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNIDHAWQFFLLLIMFFICTLQRAIAFISTKLTKPTTTQLTNQTPISLQVKITLPTKIIFGDHETITAFNPSDLIQLPKNRLGEGSLGTLYKVVLDSGSIITIRKICKNVTHGSNFEHWVKFFGGLSGNRWLLPLRFGFWYGGEAFVIHDYLCLGSLEELLHGSEGVQFTPLNWEIRQQIVLGAAKAVASIHSRGLLCGVIKSSNFLIQSDFSPRLSSYETPYLISASTIIRRNCGRMAPELTRTRSISKSFSQASDVYSFGILMLEIITGKKPSVTNLGQYVMEKKNREGLKGVPDRRMSGVEENVSASGMIMIAEVCLLSDPKQRPSMGTVVEMIQAAIH >cds-PLY98445.1 pep primary_assembly:Lsat_Salinas_v7:1:10009434:10016492:-1 gene:gene-LSAT_1X6740 transcript:rna-gnl|WGS:NBSK|LSAT_1X6740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRKTRFKGANVFMSRNLVPPEIFASLHDVLKDNGAEIFLCCDPSRNGPDDYHIISSRDHEKFNDLRQKGCNLIGPQCVFSCAKENRVLPKQGFTCCLAMDGVNILTSGFEKEGKDELDKLVTAMGGLLQTKASMDINFVIVKNVLAAKYKWALNALKKPIVSESWLHQCWKEHRVVPHDSYRVLPFSGLTISVTQVPLDARKEIEKLVIQNGGKYSAELTRKSTHLVCDAPEGDKYKVAKRWGHIRIVTRTWFDQSVARRACLNEDSYPVQRSPGTSMNSQRTSLKMQHSQEKVIRNSQGAPISITHQEISCDGMADADLEATLSQNMASTCSDIPGIISEEKTTPTIQPKTCMDIDGCVAEDSQSEGNDLYFLNCKIHLVGFDAPEMRRLVNMVRRGSGSRYMSLNDQLTHIVVGTPSETEKKEVRSLSAMGVINVVKTVWLEDCEREKKELPVLRRHVAYDLLLPKDSMPFNKGFVSAIPGLKQGNTSTVQPPLQNDQSQSQSLDKGQQKVQHGINNEKQNNKSSVFRGRSFRFSSTFPDVQRGEIVDWIHEGGGKVVDTLTEKNVNYTVEAHGVLCSRTQFNGVTNVSSHWIRSCLQDGCLLDVSSYILFSPLQCKIPLPGFTGLQFCVSQYEDKEKELLRNVCHVLGGKLVKRLTKKVNYLICKFTEGPKFEAAREWGVHTVTTEWLWESINQNKVVDWSGFLPKEATAGEHEAGMCTMSQYPTQAARMVSTAGASQSQDLKTTDGQGQGQSQSQKDDNRRGSIRKEVKSKSKVMGSKRSKLSTESSTESFGLCVLDSVCRIISTTENKATEIDLGGGGGVSKSVPDVAAAIEDLLEQTSKIHDHMSPERTPDKNASEVFTSDLHSQEDPHSAFGISKHWTRSSRSSNEKEDITNPRGVGEHTGGIYGNFSETQTESQVVGYEEDLSGRQMIIDRVRTRSGLTPNPITFR >cds-PLY87366.1 pep primary_assembly:Lsat_Salinas_v7:1:98860841:98870791:1 gene:gene-LSAT_1X80441 transcript:rna-gnl|WGS:NBSK|LSAT_1X80441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYVSKIVGFYMKIITFRFVLKQNGEITRVDLGLVVLDFFYKFGNQLSEVDVRPASNLLKQPAAWIACSIICKRWRCFLYKDQDPLFSYIKLLLGRLPAFERVTIKATGACDADQRIEIAEGGRKQLPLGNKESIVNVFGSRI >cds-PLY83630.1 pep primary_assembly:Lsat_Salinas_v7:4:38979823:38984368:-1 gene:gene-LSAT_4X27020 transcript:rna-gnl|WGS:NBSK|LSAT_4X27020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQKRKNAKDNSFFVGPSAFLVLFYADNIHSEALTVTRKRPTICYWSSEKIRYRETFEQEKGRFGVGELNEEFVNEQNEGDTDLEDSDSDKDEDHSVEAYESKISKMINSFERMKEKLNSKLNDAMTKFPEKESFRIFKEKMKNMIVEEKTESTTLFNFPINEIGVEGINLTPIMGQKTNDQTENEDKEGNGEEDSDNGASQPEVDYLLDSNEADNEGIKSDADKNQKEGEIRVKEKDAKRNENQNDEEEKDDHAEETNNHEETIQQTENQNLLDKVVDNIVDNVLGIGVSSLNSQEDEIWNHPEMKTIFDNIDIEKEKSEGVHKQGTKVEKTKGDDTDKENSEDRNEGGTEAKNTKDGGEEKQKEIEKGNTEDKVLYLSGKKKSENQNKKGEKADKTKGNKGDTHPSFSLGLSQDSDQTSSKKSNESSPKKALTKKQIKDDHQKVVIRLAKKNVLNPNPISVSIPTEVGPSKHDLDQPREKKLADAFKSPFKCRITDTKPKLTHQESIVCEWLFNLQGNTSDVVVQTKYGQIAERAVMESLYANTEIFGEVLDTWSDLLNHQELERDFGNSPYRLFLKVGVSTAYLTSTLSDERKYEKFKENFHDSTNGYKKILNIKDIDMVFFPVVKSAHIFVIVFNLKKPSIEILDNSAVEGDYEGKYGVIMKPLKNLFVRYFKEINHPRANAISKESIKPQRLEMSWRTVKNKVDCGVFAMRHMETYMGQPLSKWKPGLHKESAVQQTTLEKLRQRYAHIMLTSEINMLKAKVLDLAEKYQKVEFKVRTDHAYKAMQTIQKRLKEY >cds-PLY88386.1 pep primary_assembly:Lsat_Salinas_v7:5:62980651:62981913:1 gene:gene-LSAT_5X30260 transcript:rna-gnl|WGS:NBSK|LSAT_5X30260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRILAEDKADSADFDKNHKFSFEINGSVESSSSSSSSSTLSPFSPLLLIETLSRKSFSYGKLPLIPIKLTVLKLDGSSFDITVAKNPTVAQLKQAVEDAFSHFPKHGAGKVSWSHVWAQFCLSFEGQKLLDEQDSIRVHGIKDGDQLQFVRHTSVSYNLVKERSKKEDYKLEESEA >cds-PLY89161.1 pep primary_assembly:Lsat_Salinas_v7:3:20454718:20456628:-1 gene:gene-LSAT_3X13220 transcript:rna-gnl|WGS:NBSK|LSAT_3X13220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGYGQTPPVSAPATPGTPGGPLFTSLRIDSLSYSDRKSMPRCKCLPVDAPSLGAPHSCFTDFPAPDISLTRKLGAEFVGTFILIFAATAGPIVNEKYSGSESLIGNAACAGLAVMIIILSTGHISGAHLNPSLTIAFAALRHFPWAQVPAYILAQVSASICASFALKGIFHPYMSGGVTVPSVSTGQAFALEFLITFNLLFVVTAVATDTRAVGELAGIAVGATVMLNILVAGPSSGASMNPVRTLGPAVAAGNYTVIWVYLLAPTLGALAGAAVYTLVKLRGVEGEQPREVRSFRR >cds-PLY90983.1 pep primary_assembly:Lsat_Salinas_v7:8:254126447:254127221:-1 gene:gene-LSAT_8X148500 transcript:rna-gnl|WGS:NBSK|LSAT_8X148500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKSSEYRCVFNHIDIDGNGMISPSELQRCIGLIWNQGILLEEIEVAVELSQGNNGQLGFEDFVGLMESAKEEEKLEDLRKTFRIYQMDGTDCITPKSLNRMLNRLGESRSVDECVGMINRFDLNGDGVLNFDEFKQMML >cds-PLY96126.1 pep primary_assembly:Lsat_Salinas_v7:3:102351800:102353470:-1 gene:gene-LSAT_3X75000 transcript:rna-gnl|WGS:NBSK|LSAT_3X75000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQRGKGAVIGIDLGTTYSCAGVWIPKKNRVEIIPNEQGNKITPSMVAFNDTEVLVGEDVKRLIGSKFDEPQVHKDMESWPFKVIKGPSEKPSIVVELKGEEKKFAPEELSAMVLKKMKEACEEFLGTEVTDVVITVPAYFSNSQREATKKAGIIAGFNVMRLINEPTAAAIAYGVDNMTDYKDKNILVFDLGGGTFDISLLKVDKTGGIDVKAVGGDTHLGGEDFDVTLVNHCIKEFKKKHNDDISGNPKALARLKVACEKAKRDLSSTTLTSIEIDCLYNGIEFSTKISRGKFEELNSSFFDKCIQQVGRCLSDGKMQKEEVDEVVLVGGSSRIPKVQRMLEDFFNGKKLCKSMNGDEAIACGAAILAGRLSGFEKEKKQDMVLLDVTPLSLGIAVDIVEIGEKDCMSVVIPRNSSIPTSVKKIFYSQVNQRTARISVYQGEGVKVKDNILLGKFSLSSLTLGSNGDSELEVCFTIDVNGILLVSAEEMSSGLRNSITITKI >cds-PLY97752.1 pep primary_assembly:Lsat_Salinas_v7:4:374866706:374867758:-1 gene:gene-LSAT_4X185640 transcript:rna-gnl|WGS:NBSK|LSAT_4X185640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSNQAAVSFLTNLARAALGLGASATILNSSLYTVDGGQRAVLFDRFRGVIDDTVGEGTHFLIPWLQKPYIFDIRTKPHTFSSISGTKDLQMVNLTLRVLSRPEVNKLPSIFKTLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRDSLIRRAKDFNIVLDDVAITHLSYGAEFSKAVEQKQVAQQEAERSKFVVAKAEQERRAAIIRAEGESESAKLISDATAAAGMGLIELRRIEASREISTTLARSNNVMYLPNTGSQMLLGLNPSR >cds-PLY69921.1 pep primary_assembly:Lsat_Salinas_v7:4:67940328:67941295:-1 gene:gene-LSAT_4X46140 transcript:rna-gnl|WGS:NBSK|LSAT_4X46140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKHILLAKFKDDVSQEQIDLLIKGYANLVNHVEPMKSFRWGKDVSVENLHQGFTHVFESSFETTEGIATYISHPAHVEFADSFLAQLDKVLVVDYKPTAVEP >cds-PLY64510.1 pep primary_assembly:Lsat_Salinas_v7:8:123886728:123930438:-1 gene:gene-LSAT_8X86040 transcript:rna-gnl|WGS:NBSK|LSAT_8X86040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSASASAYVSKHNYQHPASLFSTRSRLSCKPFSASTNMNSFSISPSTSNGFSLSSSCFLHAHATACSFRFTRVSVHRCRRRCLCSHSHRSVVVKYAMKAETPSDRRRVVVTGMGVETPIGQNPDEFYNNLLEGVSGITEIEAFDCSNYPTRIAGEIKSFSADEWVAPKLSKRLDKFMLYMLTAGKKALVDGGLTEGQMEEIDKSRCGVLIGSGIGGMKVVSDSIEALRVSPRKMNPFCVPFASTNIGSSLLAIDLGWMGPTYSISTACATSNFCILNAANHIIDGDADMMLCGGSEAPIIPIGVGGFVACKALSERNSDPTKASRPWDSGRDGFVMGEGAGVLLVEELQHAKKRGANIYAEILGGSFSSDAYHMTNPHPKGAGVILCMEKALSQSGVSREDVNYINAHATSTPAGDIKEYLAITHCFGQNQELRVNSTKSMIGHLLGAAGAVEAIATIQAIRTGWVHPNVNLDDPDKDVDINLLVGKKKERLDIKVAMSSSFGFGGHNSSILFAPFS >cds-PLY80445.1 pep primary_assembly:Lsat_Salinas_v7:4:176291401:176295167:1 gene:gene-LSAT_4X104380 transcript:rna-gnl|WGS:NBSK|LSAT_4X104380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSHDKVADICDQIMLQIASQGLAFQDEWSFAVHXSSSGIFTLMIWKWLGSRQIRCNWATKGVGVTDERQGSDSKSVVKLTNGSSEDTKEQSDSDGPENNPQYTTVYVGNLGPKNLSV >cds-PLY67312.1 pep primary_assembly:Lsat_Salinas_v7:8:236314863:236316284:-1 gene:gene-LSAT_8X143080 transcript:rna-gnl|WGS:NBSK|LSAT_8X143080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNLYFTQKAPLLLHCYHSCMLVNAVKFNEYSSIVISAGYDRSLRAWDCRSHNTEPIRIIDTFLDSVMSICLTKTEIIAGSVDGTVCTFDIRIGRELSDELGQPANCISLLNDELVVNYYKNIREKKLKNVPIIVGAVGGVGLFFLVIALRLYYLIWKKSKRTKEDHLYGRQFPTS >cds-PLY82240.1 pep primary_assembly:Lsat_Salinas_v7:1:68261418:68262083:1 gene:gene-LSAT_1X60080 transcript:rna-gnl|WGS:NBSK|LSAT_1X60080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANSLLSTSTAATTFIIVLLSLFLAGKSQTFSTSLPRTALPRGKQNLTHLHFFFHDVVGGPNATAIRVAAAPITNTSATGFGAVIMMDNLLTVGPEPNSTRVGRAQGMYASADLNDMSFMMVQNYVFDEERYNGSTLSILGRNPILSPLREFPVVGGSGVFRFARGYAEAQTYFLNATNGDAIVEYNVYVLHY >cds-PLY77168.1 pep primary_assembly:Lsat_Salinas_v7:8:26329979:26330825:-1 gene:gene-LSAT_8X21520 transcript:rna-gnl|WGS:NBSK|LSAT_8X21520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEARLKTSVAAATSMNISTPTEESDYSNSHSPPSSPLAHPVVLSPCAACKILRRRCIEKCMLAPYFPPTDPHKFTIAHRVFGASNIIKLLQELPESRRADAVSSMVYEANARLRDPVYGCAGTICQLQKQLSALQAELAKTQAEVVNLQCRQSNFLTLIRMQMDQQPPPVSPPQHQQTPNYENASFFDDNANLGSFEPLWT >cds-PLY85090.1 pep primary_assembly:Lsat_Salinas_v7:7:6973253:6973976:1 gene:gene-LSAT_7X8421 transcript:rna-gnl|WGS:NBSK|LSAT_7X8421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKAADLVTALEKATLMAKQLPSTATATGSGNIYASLHAAHCQLSLFLLAHTAQPSADVTDGDDALMEVTDEEQQVVEGAREEDSKMTLIDLVEERMKSCFVQKNKRPKRPLSPTSLAAGEKSRWLEYESESARAGVDYDPLLMKLRALDLIYQFHC >cds-PLY87110.1 pep primary_assembly:Lsat_Salinas_v7:5:256018949:256019763:1 gene:gene-LSAT_5X131840 transcript:rna-gnl|WGS:NBSK|LSAT_5X131840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTGRVIVEKGFVYEKETHLTVMKTSLFFAGDGFAVYDSNGQVVFRVDSYGPDNRDAGELVLMDSSGRCLLTVRRKRPSLHQRWEGFIGERVEGDKPIFSVKRSSIIGKSNMTVEMLGDRSEEYHIEGCFSQRNCTIYDSAKETMAEIRRKVDASTNVMLGKDVFSLTLKPGFDGAFAMGLVLVLDQINGDDGGVDVDPTVEECSLSS >cds-PLY64612.1 pep primary_assembly:Lsat_Salinas_v7:6:37240252:37240887:-1 gene:gene-LSAT_6X26721 transcript:rna-gnl|WGS:NBSK|LSAT_6X26721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDSETHLIANQDLTFDFGFKVILGVHSKLAKRGSLNLLLIRKAVKAMKKMEAPLSPVQFLPVIDEDNKLIGIVTLHGLVSFGL >cds-PLY81393.1 pep primary_assembly:Lsat_Salinas_v7:8:31348121:31348309:-1 gene:gene-LSAT_8X25021 transcript:rna-gnl|WGS:NBSK|LSAT_8X25021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYASSDQGTTVVASSTNQLDDIEHFGDVSMDNNVESFLQHEGGDERQVYGAMTQHKTKVLFF >cds-PLY89272.1 pep primary_assembly:Lsat_Salinas_v7:3:250483057:250483575:-1 gene:gene-LSAT_3X138320 transcript:rna-gnl|WGS:NBSK|LSAT_3X138320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCPRISRILNLAYLNLVPSLNLNPFSIATLFYEPSTRTRLSFESAMKRLGGEVLTTEHAREFSSAAKGETLEDSIRTMEGYSDIIVMMHFESGAARRAAMTANILVINAGDGPGQHPSQALLDVYTIQREIGKLDRIKVALVGDLANG >cds-PLY72154.1 pep primary_assembly:Lsat_Salinas_v7:7:58380624:58387638:1 gene:gene-LSAT_7X40621 transcript:rna-gnl|WGS:NBSK|LSAT_7X40621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDLRRGVRQAKKVINLDNNNNDDDDVVVVVAPTTRRGTRRGKAQAPKATPAYPIPMPRPGGRGRGVRGIEHDKNGEIFGGVGGGRGPHLNLDVGIRDQLVVGKSAEKLVVNGEEEGSSSPVPERVQVGNSPAYKLERKLGKGGFGQVYVGRRVTGGMGNSGPDALEVALKLEHRNGKGCSYGPPYEWQVYSTLNGCYGIPMVHHKGRQGEYYILVMDKLGPSLWDVWNSSNQTLSEEMVACIAVESLSILEQLHLRGFVHGDVKPENFLLGQPGTPNEKKLYLVDLGLASKWRDTSSGNHVDYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLKGKLPWQGFVGENKGFLVCKKKMATSPDILCYYCPSPFKQFLEAVTNMKFDEEPNYSKLIALFENCLVSNASLRPLRIDGALKVGQKRGRLLSDLEDGSQPKKKIRLGTPANQWISVYTSRTPMKQRYYYNVLDSRLHQHVEKGKNDGLYVSCVASALNLWAVVMDAGTGFTSQVYEITPMFLRKEWIMEQWEKNYYITSLAGASNGSALVVMSKGTTYTQQSYKVSDVFPFKWINKKWKEGFLVTSMTTAGNRWGIVMSRDATYSNQVVELDFLYPSEGIHRRWENGYRITSAAATEDQAAFILSTSRRKLQDVTQETLRTSAFPSTHVKEKWSKNLYIASICYGRTVS >cds-PLY93681.1 pep primary_assembly:Lsat_Salinas_v7:2:201550351:201550864:1 gene:gene-LSAT_2X122600 transcript:rna-gnl|WGS:NBSK|LSAT_2X122600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRSANSCSRSKISKSKSTIDKANPCDCGFPSRIWISTTETNPGKKFRVCPNSLMKDPKDKCDFWEWVDENEEIIIKNKNKKDEEHDFNSEVKIAILEHDFSEYKVKTDKECKSFRKELDKMKCFLLMFVVLFVVKYMM >cds-PLY91177.1 pep primary_assembly:Lsat_Salinas_v7:8:186496913:186500601:-1 gene:gene-LSAT_8X120380 transcript:rna-gnl|WGS:NBSK|LSAT_8X120380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSTVRTLTSWKSLEDPACGRFVFQVDPLTNRYCIFDSSLGHYSWKSGSMSKNSFDDNQIYPQALKFLYNTQKKQNISLLNRSLPNYNGIEPYSRLVMNHTGHIQYFHWSNGSDSEQWVLDWQEPKDNCSRYGVCGLFGMCTQNKDSFTCSCLHGFEPTSPDEYKARDYKSGCKRTSEIICDKGTNDTFLNKTMISMDDTTLPFFKSENESECIKKCLENCTCLAYSYSSPNKGEIWDLSRNATQGGCWFWDSAPNNLRDNGLHIISFRVSKGSIIYSSYGKSKPETEKRLLAIVIVVIVTALVISTFCGISYILFKILVKRSENNQRSIEVQSSDLRRRITDLLDLDHSKEDDKEGIDVPYFELESIMTATDDFSEKNRLGEGGFGPVYKGKLPGGKEIAVKRLSSLSGQGLQEFKNEVMLIAKLQHRNLVRLLGYCIVGDEKMLLYEYMPNRSLDKFIFDRTMCTSLGWEMRFNIIMGIARGLNYLHHDSRLRVIHRDLKTSNILLDEDMNPKISDFGLAKIVQGKDLEATTDRVMGTFGYMSPEYALDGLFSIKSDVFSFGVVMLEIISGKRNIGFYQSRRSISLLGHAWNLWKEDKPFELMDQILMESCKSSEVLKCIIVGLLCVQGDLDDRPNMTNVILMLSGDIVTLPSPKEPAFIPGRDYVISSSSSSSKTNTQSKNMLTITKLDGR >cds-PLY90086.1 pep primary_assembly:Lsat_Salinas_v7:6:17741775:17742029:-1 gene:gene-LSAT_6X13401 transcript:rna-gnl|WGS:NBSK|LSAT_6X13401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSDLKKKSGLPLKILLDTQATMKIESIKSNKVGIRIKCEGIHSLIPKKGGVKSNSSSSSVAVATVSDAKCEVDLRIKIWKWTF >cds-PLY72086.1 pep primary_assembly:Lsat_Salinas_v7:9:197106112:197106297:1 gene:gene-LSAT_9X121920 transcript:rna-gnl|WGS:NBSK|LSAT_9X121920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPGTAKMIIKEIGIGMVLGYICGAAWKYTYHKDLTRRTKSFYHMLDKGEISVVVSED >cds-PLY63920.1 pep primary_assembly:Lsat_Salinas_v7:5:127753147:127758487:-1 gene:gene-LSAT_5X57121 transcript:rna-gnl|WGS:NBSK|LSAT_5X57121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSISIALIRSGIDRNADKKSRNFADFTTPTTVIKQKRRWSSLSPMAAAAPVKTGGFSPPKFYQEVLIAARANFAQEISFQSKDKDISLAKSLLHISAEDEAFIAFNREMDAHSLENERKGGKVSLDLQDPNKTDSMVMEGKRMEEWLSELDAIAKEVEVELISRDIGCHLIEVLEAVNKVLFESRGFKRSLVVDSKCSYLHHVLSSKCGSAILLSVIYIEVCRRLNLTIVGSRVGEDFLIWPQTGNPEELFKVKSGNTLFGIVNGRCVENPRSKASDLNSNSLLGLDIATNRDIIGIYLANLIRLHWKRASRMNRGLMLTSPLRPVDDEKTDSSSKPLLRPQDLRLAIMASERLLILQPHNWALRRDHGMMLYFSRDYGEAVQELSICMAFAPEEEAEILEPFVEKLHLLRLESSWKSLGQKGHLTVT >cds-PLY69471.1 pep primary_assembly:Lsat_Salinas_v7:6:44915584:44918431:-1 gene:gene-LSAT_6X31920 transcript:rna-gnl|WGS:NBSK|LSAT_6X31920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNRIGHQCQSLIRLPFPSLSPATLILTKSSSLLSAESLELEPSTTSPAANGSFPSGILSLFKVLGSSGVKLLGVKHRFKTLVSDLNSTQVDEIIDQLRNDDPDSAVELFELLKNEYGFKHSRVSQLVIAHVLASQRRLKLLRSNFMQMLQEEGSGSSSSLCELLSVNFKDWKSNAIVWDILAFAYSRSNMVHDALCIIAKMKDFNIQPSILTYNSLLHNLRHSDSMWDVYNDIKESGIQETKQTNSILVDGLCKQSLMQEAITLLHVKDSSPHVASFNTVMSSFSKMGFVDIAQSIFCLMLKFGVKPDAYSYNILINGLCIVGSIQDALKLTNDMVKHGVNPDSITYNTLAKGFHVLGKINGASKMIQETLSKGLKNPNSITYTLLICGNCQEGKVDESINLKNEMVSYGCKLNFISYSVLVSSLCKIGRVDEALCLLYEMEIDGLKPDVVMYSIIIHGFCKQGEIQKAIQVYMEMSNNRIFPNVFTHRAVLLGICGRGSVFEARKHFDMLISSDDIDIQDIVLYNIMINKYAKLGMNRESKQLFDQIIEKGIDPTIVTFNSLIYGFCKARDLIGAMRSFHNIEDHGLVPNAITYTTLMNFFCEEGNMQKVFDMKKEMEDNGVDPTHVTYTVIIKSLCKQKKLQESLLQLDHMFSNGISPDEVTYNILIQSLCKAREIEKAFELHDEMMSHNLKPDAVTYNILMNGLCVYGDLHDADKLFLYLREHDFELKKAAYTILIKAHCVKGDVDRAMEVFSEMVEMGFEVSVRDYSGVINRLCKRCLTYEAKGFLRMMFNNGVFPDIRVYTVMIYAFHVVGDVYSIHELLPNMVKCGIDSYVSMGLQNHI >cds-PLY82522.1 pep primary_assembly:Lsat_Salinas_v7:2:183692748:183693368:-1 gene:gene-LSAT_2X106101 transcript:rna-gnl|WGS:NBSK|LSAT_2X106101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAWHVPHESRLRPRMSAHSTSEHLNNRSPQLLLMDAGEPSYTTSDTPNTEGGFTYGLGFVFVVLFLLIILSYASYIYNRSRRSQSQLPPMITSFDTTTDSDYDEYHFIRLSQGLDEYVLATFPTFLYSEVVMLLNGETNTSNHADDCGSAGCSVCLEDYKPVDVIRLLPECGHMFHVSCVDTWLRVHPTCPVCRNSPLPTPMDLT >cds-PLY82523.1 pep primary_assembly:Lsat_Salinas_v7:2:187949338:187950606:1 gene:gene-LSAT_2X109600 transcript:rna-gnl|WGS:NBSK|LSAT_2X109600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKTHGMGAGRKLKSHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >cds-PLY89536.1 pep primary_assembly:Lsat_Salinas_v7:4:159659212:159663811:-1 gene:gene-LSAT_4X96061 transcript:rna-gnl|WGS:NBSK|LSAT_4X96061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLKGKQAAFEIGVVIHKRVVDDIGDSYGYDCDEVLVYEFQKVGLIVDRVAGLHDEFLKLAAPVEVLGQAAAELHLKKRTHIGVDLQFEWDESEAFIKQSDGSLFSWCERFRCYNHMIYGIVNNGESAVVLKFNGRKFNWEPGESLMWKLETEAIVKEVFPLHDETKRKQLLKRWALNLWDLTNQPIDEIYAYYGTKIATYFAFLGMYTKWLLFPAVFGLILQLVDFGSLQLFVLPFFFICIISWAVLFFQFWKRKNSTLLARWQISYSAGSESAYKYMDTEWSSFHSSAASAKKWGENKGKENEKFQREEWFGRMMRFRNDAIIILSIICLQLPFELAYAHLYESIGSDVVKFGLTAGYLFAIQYFTQIGGKVSVKLIKDEKNKCSEYRANSLVYKVFGLYFMQSYIGILYHAVLHRNFMTLRQVIIQRLIISEVLENLMENSLPYVKYSYRKYRAIRHKRKREKGYAGGKHIFNSRIEKEYFKPVYAASIGDELEDGLFDDFLELALQFGMIMMFACAFPPAFAFAALNNITEIRADALKILVMFRRPVPRVDATIGAWLNIFQFLIVVSICTNCALLVCLYDREGKWNISPGLAAILIMEHVLLLIKFGFSRIVPEEPDWVKANRMKNATHAQNMCSKQLLRNISGGRGMLVKANCN >cds-PLY93676.1 pep primary_assembly:Lsat_Salinas_v7:2:200536546:200537980:1 gene:gene-LSAT_2X121360 transcript:rna-gnl|WGS:NBSK|LSAT_2X121360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGKASKAEKKISYDAKLCQLLDEYTQVLVVAADNVGSNQLQSIRHGLRGDSVILMGKNTMMKRSIRIHAEKTGNKAFLNLVTLLIGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQASKLLFSHQTFCLPLQLFRLLISIMLQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLAKLGIRPFSYGLVVLSVYENGAVFSPEVLDLTEDDLVEKFAMGVSMVTSLALAIHYPTIAAAPHVLINGYKNALAVAVETSYSFPLADKVKEYLEDPSKFVVAAPVAVTGGSGPGVAEDVAVEEKKDEAAEESDDDMGFGLFD >cds-PLY77778.1 pep primary_assembly:Lsat_Salinas_v7:2:170080379:170081046:1 gene:gene-LSAT_2X91401 transcript:rna-gnl|WGS:NBSK|LSAT_2X91401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGDPYPTKAFIPYKGICGFTLVEEDKEESPIPGLSLITPGIKNPVRGNLLLTKTISNSRLLPCSSPNAQQKSRKQIRCWSTELHRRFVNALQQLGGSQTATPKQIRELMQVVGLQNDEVKSHLQKSRLHTRRLPPSSNTSSANQSGVVLGGLWMGSQDSYVE >cds-PLY74948.1 pep primary_assembly:Lsat_Salinas_v7:8:102266392:102268269:1 gene:gene-LSAT_8X70021 transcript:rna-gnl|WGS:NBSK|LSAT_8X70021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVLPSFSLGVDAVEDRKKSQRRSPLLVAARCCCCPSVVAAGRRFRCPILHLPSSSTSHQQGHPKAASLKTVPLLFPELCAELFDGNSASGNLSYATSQTPSGHVSSSFHVAQLHLMDVPSINIDEDDFFSNHTSEHFTQPPPSADSPSAASPSGNPNKRAKPSTPRPRAHSASPDPPSSSSPKASITADDLALEMQKALRHLTQGPTIPQCLEKLELLELDPIDPLRFAAYHIFGGTMNMREMWVNLPNDPRILRGWIEMTATSLGVLKDGKIVR >cds-PLY93844.1 pep primary_assembly:Lsat_Salinas_v7:6:144960484:144962416:1 gene:gene-LSAT_6X87620 transcript:rna-gnl|WGS:NBSK|LSAT_6X87620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGFSSFLLMCNSTTSAPVMPSEPGLGDLPESVVGSVLVYLNPQEICKLASLNRAFRYASSAEFVWESKLPKNYDLFISRVFDNNNFSSTLCKKEIYARLSAPSSIDGGTKKVWLDKGTGKACVLISSNGLAITGIDDRRYWSWISTEESRFRSVAYLHQIWWFEVDGEVEFPFPPGTYTLLFRLQLGRSGRRFGRRVCNSDQVHGWDIKPVKFQLSTSDGQKATTQCYLTDPGKWNVYPVGDFVVEDSKVPMKIKFSMTQIDCTHTKGGLCVDSVLICPK >cds-PLY83886.1 pep primary_assembly:Lsat_Salinas_v7:3:51446848:51447081:1 gene:gene-LSAT_3X40581 transcript:rna-gnl|WGS:NBSK|LSAT_3X40581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTRAWVVAGTVGLVEAIKDQGFSRWNYTIRTIHHQNKPNLRSFSQPKNKKSSDKARESEESLRKVMYLSCWGPN >cds-PLY82013.1 pep primary_assembly:Lsat_Salinas_v7:9:154870538:154873779:1 gene:gene-LSAT_9X98160 transcript:rna-gnl|WGS:NBSK|LSAT_9X98160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHTGVAASVLWKSLRSVLSSANHEVWSGFEVRVTALLADIMAANESRRAAIVGAGGGVVLDWLLESVALSGGGNYGTQAESARALAYLIADPNVSEAVLGKPNAIPNLLRFIFFAQPHQSKKHPRRSSFNISDPSKGRSMLVAAIMDIVTSNCDNVDKIKLKPMLSGTAAMRDIAAALEXVQGTCVCLLKKDKSGLAFCFHGYLGKLHLMLLDLLQSQSFLVSVKTMGLLPFPFPKHGGIGCETDATSSKCRKQRQSCQKQCWCAISEVEEKQLVLYAQTASLVNIILQWSTLNIKEIAKNFSKLACNTHTICDSELIPFGTRLYPFISIINHSCSPNSVLVFEGRMATLRAMQTIPKGSEPSMAGVKSWHQELSRNMIKLVKKRCLLPLRCFANLILLNSYNNSWFV >cds-PLY76668.1 pep primary_assembly:Lsat_Salinas_v7:4:117932013:117933464:1 gene:gene-LSAT_4X73441 transcript:rna-gnl|WGS:NBSK|LSAT_4X73441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMRTGGHDGFEDYYFESADAQADFRSPQTPYMPRPPSTPPTPHGSTSRGITGGHSSNASDFRTLCYPSLAEKGKSLDLGKSTACVLVSIGRIWIIRGPDSLIFPMRLLYRCFRISRPCKHWSTDKLRNNSKIAQQNRKTADASGSTVRHTASSIGFDEHRNNLEKMMVKPPTQYDVGKKLQEIMKISNIAHKRPKKHKRCIYRGWSKNSNKIFQTIKMMYGYGRKPKLGKGKKNGDIYGIGTSYIHFVVSGTPSSQSNNPPNRTVHSKRYVVMV >cds-PLY76277.1 pep primary_assembly:Lsat_Salinas_v7:8:33632692:33639150:1 gene:gene-LSAT_8X26500 transcript:rna-gnl|WGS:NBSK|LSAT_8X26500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSIGPRNDTLEESVGSSNINRLIVSLLYLPKGKKIYESSFLNPKESTWVLPITKKCSMPESNWGSRWWRDWIGKKRDSSCKISNETVAGIEILFKEKDLKYLEFFFVYYRDDPIRKDHDWELFDRLSLRKRQNRINLNSGPLFEILVKHWICYLMSAFREKIPIEVEGFFKQQGAGSTIKSNDIEHVSHLFSRNKSAISLQNCAQFHMWQFRQDLFVSWGKNPPESDLLRNVSRENLIWLDNVWLVNKDRFFRKVRNVSSNIQYDSTRSSFVQVRDSSQLKGSSDQSRDHFDSISNEDSEYHTLINQREIQQLKERSILWDPSFLQTEGTEIESNRFPKCLSGYSSMSRLFTEREKQMINHLLPEEIEEFLGNPTRSVRSFFSDRWSEFHLGSNPTERSTRDQKLLKKQQDLSFLRRSENKEMVNLFKIITYLQNTVSIHPISSDSGCDMVPKDEPDMDSSNKISFLNKNPFFDLFHLFHDRNRGGYTLHHDFESEERFQELADLFTLSITEPDLVYHKRFAFSIDSYGLDPKQFLNGVFNSRYEWKTTSLLVLLVLLPIFYEENESFYRRIRKKRVRISCGNDLEEPKPKIVVFASNNIMEAANQYRLIRNLIQIQHSTHRYIRNVLNRFFLMNRSDRNFKYGIQRDQIGKDTLNHRTLMKYMINQHLSNLKKSQKRWFDPLIFFSRTKRSMNRDPDAYRYKWSTGSKNFQEHFVSEQKSRFQVVFDRLRINQYSIDWSEVIDKKDLSKPLRFFLSKLLLFLSNSLPFLFVSFGNIPIHRSEIYIYELKGPNDPQFLESIGLQIVHLKKLKPFLLDDHETCQKSKFLINGGTISPFLFNKIPKWMIDSFHTRNNRRKSFDNTDSYFSMIFHDQYNWLNPVKSFHRSSLRSSFYKANQLRFLNNPHHFCFYCNKRFPFYVEKARINNYDFTYGQFLNILFIRNKIFSLCVGKKKHAFWGRDTISAIESQVSNIFIPKAFPQSGDETYNLYKSFHFPSRSNPFVRRAIYSIADISGTPLTEGQIVNFERTYCQPLSDMNLSDSEGKNLYQYLNFNSNMGLIHTPCYEKYLPSEKRKKRSLCLKKCVEKGQMYRTFQRDSAYSTLSKWNLFQTYMPWFLTSTGYRYLKFLFLDTFSDLLPILSSSQKFVSIFHDIMHGSNISWRILQKKFCLPQRNLISEISSKCLHNLLLSEEMIHRNNESPLISTHLTNVREFLYAILFLLLVAAYLACTRLLFVFGASSELQTEFEKVKSLMIPSSMIELRKLLDRYPTSEPNSFWFLKQLGDSLGGNMLLGGGPAYRVKSIRSKKKYLNINLIDIIDLISIIPNPINRITFSRNTRHLSHTSKEIYSLIRKRKNVNGDWIDDKIESWVANSDSIDDEKREFLVQFSTLTTEKRIDQILLSLTHSDHFSKNDSGYQMIEQPGAIYLRYLVDIHKKYLMNYEFNTSSLAERRIFLAHYQTITYSQTSCGANSLHFPSHGKPFSLRLALSLSRGTLVIGSIGTGRSYLVKYLAKNSYLPFITVFLNKSLDNKSQGFDNIDVDASDDSDASDDIDASDDILDMELELLTSMNALTMDMMPEDEDLLYITLQFELAKAMSPCIIWIPNIHDLDVNESNYLSPGLLVNLLSRDYETRNILVIASTHIPQKVDPALIAPNKLNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMFHTNGFGSITMGSNARDLVALTNEALSISITQNKSIIDTNTIRSALHRQIWDLRSQVRSVQDHGILFYKIGRAVAQNVLLSNCPIDPISIYMKKKSCNEVDYYLYNWYFELGTSMKKLTILLYLLSCSAGSVTQDLWSLPGPDEKNGITPYGLVENDSGLVRGLLEVEGALVGSSRTCSQFDKDRVTLLLRPEPRNPLDMMQNGSCSILDQRFLYEKDESEFEEGDERQQIEEDLFNHIVWAPRIWRPWGFLFDCIERPNELGFPYWSRSFRGKRIVYDEEDELQENDSEFLQNGTVQYQTRDISSKEQGLFRISQFIWDPADPLFFLFKAQPFVSVFSHRELFADEEMSKGLLTPQKNRPTSLYKRWFIKKTQEKHFELLINRQRWLRTNRSLSNGSFRSNTLSESYQYLSNLFLSNGTLLDQMTKALLRKRWLFPDEMQIGFMEQDKDFPFLSQKDMWP >cds-PLY99782.1 pep primary_assembly:Lsat_Salinas_v7:9:53006651:53007254:-1 gene:gene-LSAT_0X7960 transcript:rna-gnl|WGS:NBSK|LSAT_0X7960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLFVFILLVALFATDETMMSVTEAKMCQTTSHALSCATDTTCNSSCEKQGFAQGKCDGIRRRCTCYKQC >cds-PLY62217.1 pep primary_assembly:Lsat_Salinas_v7:4:275072159:275076125:1 gene:gene-LSAT_4X141120 transcript:rna-gnl|WGS:NBSK|LSAT_4X141120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKGAKRKAAAASRKDEAAAAATTTIEEPQQQNNKEPTKIVRPTKRSKVPKPESEQEFFEEQRELEDLWKQVFPVGTEWDQLDLLSEYKWNFSNLEDAFDEGGVLHGKKVYLFSCTEPQLLFFGGQSKVTCIPVVVAIVSPFPPSDKIGINSVQRESEEILDMKQMKMDWVPYIPLGKRGSSVERLKSQIYILSCVQRRAGLTQLKEERVKKFEYCLPYFYHPFKEDETEQSTIVDIMYPIEPKPVVCEFDWELDELEEFTDDLIKAEELSEDQKDAFKEFVKEKVREGKRANREAREKRKNARESLSKEKVEAYEKMKFYKFYPVATPDTPDVSQVKVLWKGTSSTLTRIPLRPRQMPTLLSPLPLLFRKLPKKLVFFVQGKDT >cds-PLY74356.1 pep primary_assembly:Lsat_Salinas_v7:2:15165577:15170217:-1 gene:gene-LSAT_2X7680 transcript:rna-gnl|WGS:NBSK|LSAT_2X7680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATIASVGSSYDRQSELKAFNQTKTGVKGLVDAGISKLFDDDKLDLSTLILLSAEVDLLSFCLIFLVKNQGMKPFLTISVRDDFFPLRLDYYERRKASTSLQEVVLPFVLTGLADINKAKSIAGNIIKEAAQDVELAVTSNISPEGMEKTGAPADTASPSSMQVAGGGGGGATPLMSMADNIVAASASLRMLRVNLRSYALDQMVQAASSSAGLRTIKCVEQFLQELKVNLKPKVPTKVVCAEHMELRKEILTLLNLQKLL >cds-PLY75480.1 pep primary_assembly:Lsat_Salinas_v7:7:73744883:73746268:-1 gene:gene-LSAT_7X51341 transcript:rna-gnl|WGS:NBSK|LSAT_7X51341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIGEVYECFILEVGSYMWRDIGFDKDTWTEVSEAERVGILQYLSRWFDFDAITNHPMASTYWASLNNRICARYRGRNNIAKNRFDDFVGNVEAATAQAPRGMDRQRWNAAIDHFLLEKHQKQSAGNNECRKKQVVKNRGGTCSYSSACFKKNALVDEVALQTHHIADSGGDPDTIDWIAIFEKVLGTRRGHVRGIGPKASSAAGTSVPSQWQSQSQASQPTQDVHVNAFLQNPAFVTAIGDIIRSFKNQVNKENNDGEDGREDEDN >cds-PLY65234.1 pep primary_assembly:Lsat_Salinas_v7:8:21108080:21110821:-1 gene:gene-LSAT_8X38160 transcript:rna-gnl|WGS:NBSK|LSAT_8X38160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQLESLLSNLQQFDNPRLSWNNIQLELTLRLAENSYEDVSNKVVADFGCGCGTLGLAATLLDVEHVIGIKVDDESLEIASINSDDLELLLKLYIHCTRQQQEKLMS >cds-PLY65923.1 pep primary_assembly:Lsat_Salinas_v7:4:135864960:135869486:-1 gene:gene-LSAT_4X84680 transcript:rna-gnl|WGS:NBSK|LSAT_4X84680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGALIHAASFLVRERVNGTTKEKRTVKMMACSYAPPMRMRTFSGLRGSNALDNIMKSPQDFHSKVAIATSIRKQKTSRIVPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSLGINLKDSRMEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARNLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPTNIRTQVIRMVGESAEAVGAGVGGGGASGSKMPTLEEYGTNLTKLAEEGKLDPVVGRQSQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVEETIQILKGLRERYEIHHKLRYTDEAVVAAAELAHQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPEEARELEKEVRQITKEKNEAVRGQDFEKAGELRDREMDLKTQISALIDKNKEMNKAESEAGEAGPTVTEADIQHIVSTWTGIPVEKVSSDESNRLLKMEDTLHNRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKSLATYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSNVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFERLKGKEIDLQVTERFRDRVVEEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDVDLDGNVTVLGGSGGAQPEILPEPIAL >cds-PLY67619.1 pep primary_assembly:Lsat_Salinas_v7:5:23871309:23873387:-1 gene:gene-LSAT_5X11760 transcript:rna-gnl|WGS:NBSK|LSAT_5X11760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIFYSGKVNVYDDIPTDKERALLQLAASPLQFPHEDPVDGNLLQQPPNINLPILRTVRTTNNYRLNKEESNISHEEISVFLLNDLFPTMDSAYHARPSGQTSALPPTRSVTSYYDLRFGEYLGHLLRKNIFLLRFHCIKDSSTNKPGSEVKSSERPVMIMRSYQQLKHMMHKTNLTQWSGSSLPLQEVSSMLLEKLIAARIGDRPVVFVTHSMGGLVVKQMLHQASAENRGNLVKNSVGVDMSIGTSWNI >cds-PLY88826.1 pep primary_assembly:Lsat_Salinas_v7:4:94601574:94604900:1 gene:gene-LSAT_4X61820 transcript:rna-gnl|WGS:NBSK|LSAT_4X61820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGSKFHEYGKSTSTVKQEKKISIAHEVDYHKPDTPLLLATKHDSTEIVKEILKVYPQAVEHVDKDGRTILHLAILHRRHKIIDVVEDMNYPLERLRGRLDKNFNTLLHMVGQRVDELAEDVKHPAQQLKDDQRLYKRVEKLCTTLDAMTRNSDLKTAYEVFSETNDQLRSEAKEWMCENAKNCSLVAVLIATVAFTSVYTVPGGPDETGHPVLKKKPMFLLFTLADSISLSTALTSVILFLNIVTSQFRYKDFASSLFEKQFTALVLLIISVAMMMVAFAATLVLTISSKAKWTDVTLYGVSFFPVFVFVYEYMQQYIEVVKDFKRVLKPMMEKVVEIHHEIWNYKPHSLHPLIDSMDLTTRSPV >cds-PLY68260.1 pep primary_assembly:Lsat_Salinas_v7:3:189890881:189894279:-1 gene:gene-LSAT_3X113761 transcript:rna-gnl|WGS:NBSK|LSAT_3X113761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHMIGAEVGSNANWHRDRDIQQKIACKVEDFGVHSDSAPYGDKDALKSRNRKHQGLDVAILKLWEKCEIAKIGVKKGVHNTNTELMAKELQSSLGLDGKKIESEGNVLLASIKNMQLFSLLGMF >cds-PLY83573.1 pep primary_assembly:Lsat_Salinas_v7:5:17712311:17715105:1 gene:gene-LSAT_5X8060 transcript:rna-gnl|WGS:NBSK|LSAT_5X8060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55580) UniProtKB/Swiss-Prot;Acc:Q9FM80] MAVSSLYTSNSLLHSHSFSYFESLKLYLHNGLNSRRRKSSGRFAVSSSHSNPKILKSNRRSRFGQRLSPYDSDNENEAIDDDDDDWLSDGPGPGYSDDKAKSKLQAASNVNGGSMYSRMGRKLKVIKGNGKVNLNRNNYTEKDKETGKSSKNKYRRLAEEIEVDEKWFPLLDYLTTFGLKDSHFIQMYGRHMPSLQINVTAARERLEFLMSVGVKSKDIKKILMRQPQILQYTVESNLKSHVAFLVNLGIQDSRIGQIITATPSIFSYSVENSLKPTVRYLIEEVGIKESDLSKVVQLSPQILVQRIDNSWNARYRFLTKELGAPRDNIVKMVTKHPQLLHYSIEDGMLPRINFLRSIGMHNSDILKVSTSLTQVFSLSLEDNLKPKYLYLVNELQNEVKSLTKYPTYLSLSLDQRIRPRHRFLVALKKAPVGPFPLSSFVPNDESFCQQWAGTSVDKYLAFRQRLLLKDLAKKYERS >cds-PLY68812.1 pep primary_assembly:Lsat_Salinas_v7:3:61925334:61928720:-1 gene:gene-LSAT_3X48100 transcript:rna-gnl|WGS:NBSK|LSAT_3X48100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESEEESGCSRINNNKPLRLDWEELLPSSSGDDDRPPELLVRSKKKFKGGGSGTDAQENQMEDLELQTKSLNEITESITRFKKNLVTLAKKLPDNGEKLKGTLQRYENELERRNKLKSEKVDFRCDETIQLSDHSDEGDSSGKKKGDQKSSYSSKFAKLFNKKIVEGSRTVNAFDSDLSIMNHCQGRKGKQNSQPLGKGKSKKALPSPRTPVVDNEKQIFSNDDKKYSDSTSSPDPPATSRNLRPRHKRTYHLLDEEPQFHSKIQYADLGICEKDVTVYYPSRDDPGSVEVNYADMACLAPEACLSSTIMNFYIRYLQQPTSSSESSTCHYHFFNTYFYNKLEKLSYKEDSFLKFRKWWKGVNIFEKAYILLPIHDNAHWSLVIICFPTKEDELGPILLHLDSLGLHNSKSLFVNIKRFLKEEWSYLRKSEVLEVPIPDEIWENLDTKMDHRRVTVPQQMNEYDCGLFVLLYMERFIKEAPRRLKKKHLTMFGRQWFQPEEASDLRVKVHNLLVEEFKNVKEKKES >cds-PLY77549.1 pep primary_assembly:Lsat_Salinas_v7:2:162728166:162729861:-1 gene:gene-LSAT_2X84940 transcript:rna-gnl|WGS:NBSK|LSAT_2X84940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRFDHHNFILVITILCIFQFSTSLKIGETCSMDDDKCDDGLRCGTCPASGNTRPRCTRIQPINPTTKVNGLPFNRYSWLTTHNSFALSGSTSPTGSPVLGPANQEDDVASQLRNGVRGLMLDMYDFNDDIWMCHSFGGKCYNITAYQPAINVLREIQKFLEENPSEIITIFIEDYVTSEDGLTKVFNASGLSKYMFPLNRMPKEGGEWPIITDMISRNQRLIVFTSKSTKEASEGFAYEWTYVVENQYGNEGQIAGSCPNRSESSAMNTTSRALVLQNYFSTNPNVTGACIDNSASLISMMNTCQQAAGGRWPNYIAVDFYQRSDGGGAAEAVDQANGHMTCGCPNIAYCRVNATFGSCDIPVLSPPPPAQLPPDEPIGGFGDGFLISAAAQPRWFYGVFLSALLLLAL >cds-PLY71323.1 pep primary_assembly:Lsat_Salinas_v7:7:185530607:185531272:-1 gene:gene-LSAT_7X110520 transcript:rna-gnl|WGS:NBSK|LSAT_7X110520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIRKVALHGPGRVEGHLTAGLSGDIVGLYKDKKKESLEGNLGGMFSGDIEKKYVSDIEQLPNSSNKNIRERCVKGDGGCYDVSDDKDNGYDKGVRNIFDSSNNNDNGNGSKNIIDKGSGIANSSSKGRNSGNVINVRNGNNSGSKGNSTNGQ >cds-PLY98820.1 pep primary_assembly:Lsat_Salinas_v7:7:22596801:22597808:-1 gene:gene-LSAT_7X17941 transcript:rna-gnl|WGS:NBSK|LSAT_7X17941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAIISIVFLLQAIMNAVNLVTAQFPAEPVFRCRDTGNYTTSSDYYRNFKSALNAVGNINKYSGGTFSSSQGQKETGYAIGLCSGVSSKWDGNCQDCIYQLTTSLVVKCPNQKEGVMWGSNCMIRYSDRKIIGVLDDWVWIFLPDKQGSLVNKPDQFNNVLNDLMKRLQGGSSRRY >cds-PLY83128.1 pep primary_assembly:Lsat_Salinas_v7:3:165476115:165477682:1 gene:gene-LSAT_3X103321 transcript:rna-gnl|WGS:NBSK|LSAT_3X103321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVMSHGGDGGDEPPHPFDGDFGVHQIDAIPPRRRCMTVNKKMHWLYEANGRQPLKLIFDINTFVPLGDIYECFIRKVGSYIWRDIALDKNTWKEVPKADRNGMFTYLLTYFDFQAISNDPDARSLWASLNHRICQRYRGRKNFAKDVDVNTFLQNPAFVTTIGDTILSFSKQVDNATNNDEENDDGDDD >cds-PLY77232.1 pep primary_assembly:Lsat_Salinas_v7:4:177359811:177360155:-1 gene:gene-LSAT_4X104000 transcript:rna-gnl|WGS:NBSK|LSAT_4X104000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPVPSPTNSWSINNHGHYGGSISNGIHEEDLAISLLQTLMEMSLIRDELVVDILQIQETVKIQLDALNLEVDNIRAGQINLLNTVGDLRNHFDSIQACYVKLLVKKRAVKKHN >cds-PLY98646.1 pep primary_assembly:Lsat_Salinas_v7:1:36747212:36748932:-1 gene:gene-LSAT_1X31101 transcript:rna-gnl|WGS:NBSK|LSAT_1X31101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSEDWRRTSAGHRRIIFGKYEMGRMLGQGTFAKVYYARDSVTAESVAVKVIKTEQVRKEGFMEQITREIAVMRLVRHPNVVQLKEVMATKTKIFLVMEYVKGGELFAKIEKGRLKEDLARKYFQQLVSAIDYCHSRGVSHRDLKPENLLLDENENLKVSDFGLSALPEQLRNDGMLHTQCGTPAYVAPEVLRRKGYDGAKADIWSCGVILYVLLAGCLPFREANIMHMYKKIFKAEYEFPPWFSAGSRQLISKLLVVDPSQRVTVPEIMHVPWFSKVSRTNHSSHDKLASLIIAPIPIDEPEKDEMTGESEKSMKRSKSNSSPRFYNAFEFISSMSSGFDLSGMFETHRKSASLFTSKFRASTVMDKLESVAKKLNFKISFSKQNEYKLKMQGISEGRKGKLAVTVEVFEVAPEVAVVEFSKESGDTLEYKKFEEDVRPGLQDIVWSWQGEDSNG >cds-PLY91748.1 pep primary_assembly:Lsat_Salinas_v7:9:16906550:16908008:-1 gene:gene-LSAT_9X16980 transcript:rna-gnl|WGS:NBSK|LSAT_9X16980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRKQPHVLVLSYPAQGHVNPMIQFCKRLVAKGIKTTFATTFSFSKNVHIDLNTTLITFETFSDGFDDNCPDQFVSPDVYFPKLREVGPKSLSDLVKKLGGVNAIVYDGFLPWALDVSKQFKIMGVVFFTQTCAVNNIYYHVNRSLLQIPLSDSDSLVSLPGLPPLENWETPSFVHKFDLFPAVSDLVFGQFTNIDQADWVLINSFYKLEEEVVNWMGKLWRVRTIGPTLPSMYLDKRLLDDREYGVNVFKPKRVECMNWLNNKPKRSVVYLSFGSLMQPGSEQMKEITCSLSDGGFSFLWVVKSSLEDNIPQEFVDGMSKKGLVVAWCPQLEVLAHESIGCFVTHCGLNSVLEAIGLGVPMVAMPQWSDQTTNAKYVEDVWGVGVRAKQDVNGIVTRRVLDACIRQVMEGEKSIEIRKNTTKWRDLAIEAIEEGGSSDKNINEFVAELSLQY >cds-PLY72841.1 pep primary_assembly:Lsat_Salinas_v7:6:22692530:22696099:-1 gene:gene-LSAT_6X17921 transcript:rna-gnl|WGS:NBSK|LSAT_6X17921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRFSRSSRYLQPLHQHISLTLSQSISPHLTNPPPPKPSLNHYYSDHRQNHEFYIPNFDNSKFKYFDLIRLGQSCGISTSSHSLRDEEEKAKRDEELKNNKGSSSSSSSVSWIDLYLPEKIRPYAHLARLDKPIGTWLLAWPCMWSITLAASPGNLPDMKMLTLFGCGAFLLRGAGCTINDLLDRDIDTKVERTKSRPIASGALTPFQGVSFLGFQLLLGLGILLQLNNYSRILGASSLLLVFSYPLMKRITFWPQAYLGLTFNWGALLGWSAIRGSLDPAVVLPLYLSGVFWTLVYDTIYAHQDKEDDKLVGVKSTALRFGDSTKKWVTGFGVACICSLALSGFNANLGWPYYVFLTGASSQLAWQIWTVDTSSGADCSRKFVSNKWFGAIVFGGILVGRLSS >cds-PLY86708.1 pep primary_assembly:Lsat_Salinas_v7:4:7912835:7919670:-1 gene:gene-LSAT_4X4840 transcript:rna-gnl|WGS:NBSK|LSAT_4X4840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEMYGFHSMSDYTIPPETNLISPSDYHGDHSTSVDHYRTFYGSGDLLSATASIITEAVSIAATPDQTLLPRRRSCRQRDQNRNGNISDDHHVDACDDIIKARIASHPLYPKLLDAFIDCQKLGAPPEMVCLLDEIRRENDVCMRNAAASTCLGADPELDEFMETYCQVLGKYKSDLARPFDEATVFLNNIETQLGNLCKDDGAVSSEEEFSGGETENTQQVSREDQELKDTLLRKFGGHISSLKHEFSKKKKKGKLPNEARQTLLEWWDSHYKWPYPTEADKIWLAESTGLDQKQINNWFINQRKRHWKPSENMHLAMMGSFSGQDYYDD >cds-PLY89781.1 pep primary_assembly:Lsat_Salinas_v7:1:6904837:6906474:1 gene:gene-LSAT_1X5661 transcript:rna-gnl|WGS:NBSK|LSAT_1X5661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTSLFLVFSSLLIFSSLLYVSDADYSAPIMKGLSWDYHHKTCHKLEKIVRKHLKKVFKEDVGQAAGLLRLHFHDCFVQGCDGSVLLDGSHGGPSEQTAPPNQSLRKQAFIIIEDLRNLIHKECGRVVSCADIVALAARDAVHLSGGPDYDVPLGRKDGLTFATQNATLANLPAPTSNATTILSALATKNLNPTDVVALSGGHTIGVSHCSSFTPRLYPTQDPTMEKTFAHGLKEVCPTNTTDATTVMDIYSPIKFDNKYYVDLINRQGLFTSDQDLYTHKETKPIVESFAHDEKLFFEKFVQAMIKMGQLEVLTGGKGEIRAHCSIRNPDNKGYFSYLSEEEDQYDLESKAELR >cds-PLY92321.1 pep primary_assembly:Lsat_Salinas_v7:9:178357647:178358060:-1 gene:gene-LSAT_9X110020 transcript:rna-gnl|WGS:NBSK|LSAT_9X110020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLATTDWVCHHGITETQLKTLQDVVASLRRELRDSEAECRRLSKQYFIVDCEKVALEDHVATLEDQAERHENQVTSLARENGVLARELARCQCQLARARVDGVVARGNLQWVLEKDVVQVIDKVIESEEFNSGIQGL >cds-PLY76083.1 pep primary_assembly:Lsat_Salinas_v7:9:29422659:29431545:-1 gene:gene-LSAT_9X25901 transcript:rna-gnl|WGS:NBSK|LSAT_9X25901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFTCCGGAKEDTVHDSPASNNNAAPPRGPPSGRVGGGSTRGEPRASGAGRSGAPPKILPIETPTLSLDELNKMTDNFGSRALIGEGAYCQVFHGKLSDGQEVVVKKLDASSSSELDTDFTDQLSIASRLKNECFVELLGYCLEDNNRILVYQYATMGSLHDILHGRKSVQGAAPGPPLTWIQRVKIAYGAARGLEYLHEKVQPPIIHRDIRSSNILVFDDFLSKIADFNLSNPSDTAAHLHSTRVLGTFGYHAPEYAMTGQISQKSDVYSFGVVLLELLTGRKPVDHTLPKGEQSLVTWATPRLSEDKVKQCVDPKLNDDYPPKAVAKLAAVAALCIQYEADFRPNMTIVVKALRPLVNARTSTARTESQAN >cds-PLY98920.1 pep primary_assembly:Lsat_Salinas_v7:7:48678235:48678777:1 gene:gene-LSAT_7X35641 transcript:rna-gnl|WGS:NBSK|LSAT_7X35641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDVRDGDLRVPLISSLFFVLVIIGGIFLTFYVFLPSIAQPWFPVVAFLLIGSPWAFWMLTYLYTCFKGTCCPRETSYPYDVHQNYSRRGGPVYPMPPRQNAMISSQDQATGRPLSKDKPPKPQPQPQPQPQPSGVGSRHVHFGEVVVVESDGSKVIHEMDSWTNPSKLVVMAPPKARSS >cds-PLY93043.1 pep primary_assembly:Lsat_Salinas_v7:9:26330952:26332437:-1 gene:gene-LSAT_9X23281 transcript:rna-gnl|WGS:NBSK|LSAT_9X23281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVENPELALMGSCLLVMLMKEEDVYVMNVGDSRAVLAQKPEPDLWRQDLERIKEETLYDLEVSDADLATTNPTLNACQLTMDHSTSIEEEVQRIKSEHPDDSCAVMNDRVKGSLKVTRAFGAGFLKQPKWNNALLEMFRIDYVGNAPYINCLPSLYHHKLGPRDRFLILSSDGLYQYFTNEEAVSEVEMFIQWSPEGDPAQHLIEEVLFRAAKKAGMDFHELLEIPQGDRRRYHDDVSIIVISLEGRIWRSCV >cds-PLY81810.1 pep primary_assembly:Lsat_Salinas_v7:3:29965925:29976014:-1 gene:gene-LSAT_3X23480 transcript:rna-gnl|WGS:NBSK|LSAT_3X23480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYNRFGSSKMMKSSDYNFTGILSNKQELNPNFYNWNRVAMRYCDGSSFTGDVEEVDPATNLHFRGARIFNVIIEELLSKGMKFASNALLSGSSAGGLASILHCDKFREIFPESTRVKCFSDAGYFAHVKDLSGGYKFEEYFDQVVTLHGSLKNLYPECTSKLKPSLCFYPQFSMPYVKTPIFILQTIYDTFQVQNILATPQADPMGLFTKCKEDINLCSSPQIQRLRAFLVVRDGSSRGWFVNSCFTHGQAESQTKWLGYRSWKLRHKATNLHFRGARVFNAIVEELLSKGMKFASNVLLSGSSAGGLASILHCDKFRDLFHKRTRVKCFSDAGYFAHVKDLSGEYKFEGYYDMIVTLHGSSKNLNPECTSKMKPSLCFYPQFVIPYVKTPVFILQTTYDTFQVQNILATPQADPNGLFTKCKEDINVCSSAQIQRLQDFRWEFLEAFLVVGNGSSRGWFVNNCFTHGQCEYQSKWSGNPAWELNHKAIAQAVGDWFYDRGTIQMIDTQNVLPHYCIK >cds-PLY97933.1 pep primary_assembly:Lsat_Salinas_v7:3:18283998:18284888:-1 gene:gene-LSAT_3X14101 transcript:rna-gnl|WGS:NBSK|LSAT_3X14101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCRGFQQSEKDRLLKIKAFYLLLSLPKTHKHLPDSLTLHYLPRINGSPLEINGSVIRPDAPAFVTLYRVIVSDKGKGSVMYGSREKVGVSEGVRFEVYLREEKVVKGGFRKDEEGEWKMECRCGLEGEMVVEMQNVEVCVATEGEVEVMREKVEMVVRRKRGRCFEGLEEILEQRSEGEEVEENCCCCCDCGGEDDDSEEEDGGGEVEAEVEGVRWAVDVGIWVMCLGVGVGYLVSKASSKNLRRRRLL >cds-PLY86130.1 pep primary_assembly:Lsat_Salinas_v7:4:230809585:230811125:1 gene:gene-LSAT_4X126240 transcript:rna-gnl|WGS:NBSK|LSAT_4X126240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKRFGFVRFLGNINVDYMIKNLCEVWFGYHKLFASVPRIQKKATGPYMEPPKEVKNREKLHVSYANVVRGGNSDISSSEKDETTIILESGNFVIDNTNLACLAKARDFNTLPNLGMLCHDEGFDDFIIRYVGGLWVMFEFKSKDACKSFLTSDAVNHWITKKRKWDKNFVPSDRIVWVDVEGLPLRAWSKNSFRLILAKYGSVAHLDENIGEDVYKSRVCIITSFLGIISEVIKVSIDGEIFHIRIKEAPGWNPTFLCEFNNIDNDSIDATHRFEQDEEGSNSNDSLIDKEEDSFDPFGIYDVMKKMDKDEEINKTSKIQKNTLQRKTGIFSHPMKTHHHRNLFLMLAQAATQLPSDAIKFATSAHGAAKIAITTTVAVKNATAAPADAPDATSTTSTHFFSNHPQKESVEKLKVRGTNAVFTEACSSKDRLHSKPLGFSGEYGRVSGINCSEEDLSHPWDSQTSGFRFQGTVKVFQVALEKLIHS >cds-PLY67812.1 pep primary_assembly:Lsat_Salinas_v7:9:7350263:7351659:-1 gene:gene-LSAT_9X320 transcript:rna-gnl|WGS:NBSK|LSAT_9X320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGRGKVWLDPNEGNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKMARREERLAQGPQERAPAPTPTPTPATQPAQATKKSKK >cds-PLY76049.1 pep primary_assembly:Lsat_Salinas_v7:5:318404109:318404270:-1 gene:gene-LSAT_5X175400 transcript:rna-gnl|WGS:NBSK|LSAT_5X175400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIWDNTVRVDIGQNNTARVQQKSIALNLVKHTPCLAFSVIPAHLVFLFDSVH >cds-PLY66712.1 pep primary_assembly:Lsat_Salinas_v7:6:79714572:79715648:-1 gene:gene-LSAT_6X55301 transcript:rna-gnl|WGS:NBSK|LSAT_6X55301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSISERIFREEQYPELEFSNETSIEHENRSGFFKKEDLWSKNSIRKKKNQVFLEGYVETSDEDDLVRAKSLTDEDLDELKGCFDLGFGFSYDEIPELCNTLPALELCYSMSQKFLDEQQKSPEVSPPLAAEPETVSPPPAPIANWKISSPGDHPEDVKARLKYWAQAVACTVRLCN >cds-PLY78282.1 pep primary_assembly:Lsat_Salinas_v7:1:129324928:129334812:1 gene:gene-LSAT_1X96120 transcript:rna-gnl|WGS:NBSK|LSAT_1X96120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METQTLLRLTSHSLSPFRKHTSHIKSPPRTTTKRHYVILASNISATSPKREKDAKKRVVVTGMGLVSVYGNDVDTYYQKLLAGENGIKLIDKFNASKLPTKFGGQISHFMSKGYIDDKNDLRLDDYLRYCIVAGKRALEDAAFGVHELSKINKERAGVLVGTGMGGMSGFSDASDSLMEGGYEKISPFFTPYDVHNMTSAVLAHHLGFMGPTYSISAACATSNFCFCAAANHIREGEADLMIAGGSEAALSIPFGVASLAATNALSKRNHDPHTASRPWDKDRDGFVIGEGAGVLVMESLEHAMKRGAQIHAEFLGGAVNCDAYHITNPRPDGLGVSSCIQMSLKNAGVSVEEVNYINAHATSTVVGDLAEVNALKKVFKTTTGVKMNSTKSIIGRCLGAAGGMEAIATIKAIQTGWLHPTINQFNLDPTVEFDTVADIKQPHEIDVAISNSFGFGGNNSVVAFSSFRP >cds-PLY87089.1 pep primary_assembly:Lsat_Salinas_v7:5:260453781:260457195:1 gene:gene-LSAT_5X130420 transcript:rna-gnl|WGS:NBSK|LSAT_5X130420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNAVGRHGQRSGSSGAHHQRQYSDNFLEVTSSSSNGRWLQSAGLQHLQQPSSNTSSQDFGYYGGGGGGQGSRMYNNNRNIGTQRSLSGGSDLFAEPLTPPGRQKKHGGAVDDPNGFSPGLLDLHSFDTELISEVPVAPMYNSTRDRSFDDAEPYFGNSKQPSKARGLPDNNLLKNFAPEKEKASTVAKIKVVVRKRPLNKKELAKNEEDIVTTDTHSNCLTVHETKLKVDLTEYMEKHEFVFDAVLNEEVSNDEVYHETVEPIVPIIFQRTKATCFAYGQTGSGKTFTMKPLPLKASRDILRLVNHTYRSQGFHLYFSFFEIYGGKLYDLLNDRKKLCMREDGKQQVCIVGLQEYRVSDVETIKELIERGNATRSTGTTGANEESSRSHAILQLVIKRSVDGSESKPPRVVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGNKKETIASSINVKESTTVSMTSLPPVSSYEDDEDDYDTTEELYEAADQVQQASWKNNNTKAEACSSSFDKNRRVNGIGQTKLKEPQPPPPKSESRNWSPDDELNALLKEEEDLVNAHRKQVEDTMDIVRVEMNLLVEADEPGNQLDGYVTKLNTILAQKASSILQLQNRLAHFQRRLREHDVLVSSGQ >cds-PLY67540.1 pep primary_assembly:Lsat_Salinas_v7:3:54979887:54982052:-1 gene:gene-LSAT_3X42540 transcript:rna-gnl|WGS:NBSK|LSAT_3X42540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g12770 [Source:Projected from Arabidopsis thaliana (AT3G12770) UniProtKB/Swiss-Prot;Acc:Q9LTV8] MVRSLTIPKTLTTFPRIYLSTKIQTSNLQPFTDHELTNRWNIYYSDFNSESFYTSLLDRSTCRRHLHQIHSRIIVNGFQCNGFIVTKFIHISSNLGEISYARKLFDKFPEPYVFLWNAIIRGYSKQNMFKEAIALYTQMQKVGVGPDCFTLPHVLKACGGAAALKVGKAVHGQIFRRGFEADVFVQNGVVAVYAKCGRVDNARIVFEGLGDRTIVSWTSIISAYAQNGQPVEALRIFKTMRSYGMQPDWITLVSVISAYTDIEDLGQGKSFHSCVIKMGLEFEPDLRIALTTLYAKCGHVMIAKSLFDEMEISNVIMWNTMISGFAKNGYSNEAITLFEKMLSKKLTPDSVTVCSTILACAHLGSLEEARKMGEYIDKSNYKFDVFVNTALIDMYAKCGSIHLARKVFDETKTKDIVVWSAMIVGYGLHGKAQEAINLFHLMKQANVTPNDVTFIGLLTSCNHAGLVEEGWGIFNSMKDYGIVPRHQHYACVVDLLGRAGCLDKAYEFITNMPIKPGVSIWGALLSASRIYRHVALGEYSAEQLFSLDPYNTGHYVQLSNLYASVYMWSGVARVRVLMKERGLSKDMGCSMIEIEGKLHVFRMGDRSHPRSDEIFEEHKRLDRRLKEAGFVADMESVLHDLSYEDMEESLWNHSERLAIAYGIISTPFGTTLRITKNLRACVNCHAAIKLISRLEKREIVVRDANRFHHFKDGECSCGDYW >cds-PLY69147.1 pep primary_assembly:Lsat_Salinas_v7:5:284068673:284069002:-1 gene:gene-LSAT_5X149801 transcript:rna-gnl|WGS:NBSK|LSAT_5X149801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMWGDVCFLDDDRDAPLSIKRVCIRTSNPALIHETVKVVAQGIEYDVAVREVSNWELDILEEGELRLDIPNLSDEEEANDFFGEVVCDLQKDCQEGKGDEVVCDLFV >cds-PLY65960.1 pep primary_assembly:Lsat_Salinas_v7:4:140018767:140023134:1 gene:gene-LSAT_4X85900 transcript:rna-gnl|WGS:NBSK|LSAT_4X85900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDELFDQLKCTKQGLTGDEGRRRLGIFGPNKLEEKKENKLLKFLGFMWNPLSWVMEAAAVMAIVLANGGVCIICICICIGISICICMYVDGEITIVQGKPPDWQDFVGITTLLIINSTISFIEENNAGNAAAALMAGLAPKTKLLRDGKWSEEEAADLVPGDIISIKLGDIVPADARLLEGDPLKIDQAALTGESLPVTKKPGDSVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGLVIEIVIMYPIQKRTYRNGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDILCSDKTGTLTLNKLTVDKTLIEVFVKDMDKDTVVLMGARASRVENQDAIDACIVGMLGNPKEARAGVTEVHFLPFNPVDKRTAMTYIDQSGNWHRVSKGAPEQIVELCNLKDEPRNRVFNIIDKFAERGLRSLAVCTQTVPEKSKESSGGPWVFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQNKDSAIANIPIEELIEKADGFAGVFPEHKYEIVRKLQERDHIVGMTGDGVNDAPALKRADIGIAVADATDAARGASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRVVLGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDKVKPSPMPDSWKLKEIFITGIVYGTYLAVMTVIFFWLAQESDFFTEKFGVHSIKNNDYELTAALYLQVSIVSQALIFVTRSRSWSYTERPGLLLLTAFFIAQLIATLIAVYANWDFAKIHGIGWGWAGVIWLYSIIFYFPLDLFKFIIRFSLSGKAWNHMLQNKTAFTTKKDYGKGEREAQWAVAQRTLHGLQQPEQAGPFSDKDAFRADVARLRELHTLKGNVESVVKLKGLDIDTIQQH >cds-PLY66426.1 pep primary_assembly:Lsat_Salinas_v7:6:152758172:152759460:-1 gene:gene-LSAT_6X92600 transcript:rna-gnl|WGS:NBSK|LSAT_6X92600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSKFSYDMNMEILSRTTLKTLDTMRCTNTEFEKLTYESYFLNLYKQRNNIVSGFLVQNLRKGCKYINEFAPSCESHSLDLGFLPPNAKILASSEQGFIVFESPHPRDYKLVSYHVCKPTTKEVLTLPNPKTRYLTKNVAILVVGSKPLHYKIVRLSEPKKPVLRGRDKLYTTYKCEIFDSMTWEWRLLDLVILPFGVFLTNQQAITTRGSIYMPLSNNDVLKFEAYSEKWTTFSLPIQTLEYPFGTTSHLIKFERKLGFAYRTTSHLWELWVLTNDESWERVHVFDTRSEDNGISSLDAIYDSDTRVMREYEAIAFYRFKGGDHIKKVLTSHHPYRIFTFRSDFEPIHLRGHKDVHMRMNSLYNKS >cds-PLY66144.1 pep primary_assembly:Lsat_Salinas_v7:7:30935957:30936843:-1 gene:gene-LSAT_7X23540 transcript:rna-gnl|WGS:NBSK|LSAT_7X23540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKSKGIGEIVEVEGGGRIVRSLRRKDRHSKVCTSKGPRDRRVRLSANTAIQFYDVQDRLGYDRPSKAIDWLMKEAKPVIDALENDHHAHELLTSVVDTSEAFHRTGGERNQEISTLAKISGFDDYPMGLIDSRNKNQEKSIEEEEEVITPMDFTWNPSYDSGDGFAFVDREPLQSSYPTLIHTFNNAFVDDEEFLGFNFQQENHLQEEENCNLVSKTRRSSATSYQIL >cds-PLY79593.1 pep primary_assembly:Lsat_Salinas_v7:2:166147394:166149339:-1 gene:gene-LSAT_2X88180 transcript:rna-gnl|WGS:NBSK|LSAT_2X88180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATKLLGSQLFPAMSAISSSKSPKFALPMWKNCNFQVSISGFPNNGSQFQSIQPSASLGMKNPTRIDESEIYTLDGIRSSLIRQEDSIIFSLVERAQYCYNEDTYDPKAFFMDGFQGSLVEFMVQETEKIHAKVGRYKNPDEHPFFPNDLPEPMLPPLQYPQVLHPSADSININRKIWDMYFKDLLPRLVKKGNDGNCGSTATCDTICLQALSKRIHYGKFVAEAKFRASPDDYEAAIKTQDRGKLMDLLTYPIVEEAIKKRVETKAKTYGQVVDVKDSPEPVYKINPSLVADLYGDWIMPLTKQVQVEYLLRRLD >cds-PLY91037.1 pep primary_assembly:Lsat_Salinas_v7:1:202671354:202675332:1 gene:gene-LSAT_1X123440 transcript:rna-gnl|WGS:NBSK|LSAT_1X123440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISHNNNRYHNHNRGKKTRLKDITEPQEVEFNSLGQDCGANQNKFANDCIAVTRKLISIEYPTWKKVPANEKENLWLTIKKRWNIHDENRRAYVLMTCNHKWRSYKKRLKKNFLVNENERNPLESYSYLEKTALQKFKDRISSKEFQDISEKARISSMCNTNPARVGPHGYKGNKPKWEQENASDVQKEISNGDLLPGPGEDLLTMAIGLEHPGRIRAVGHDIGLRKGMQGLDKKKRKSVDKELVSKMQVQLDETVTQLAELRTLFAMQGSRNQVPNNVCFGVQNNRFGSTSTLDGLDTIKVMIDNIDERYKGISVPVMTNEVGILEDAVGTVIQWPRIAIVLSKKCIGKCAFLNPYKILGKACQETPIDVVNYLVDVMQLHHGKAFLIAPYLQKAFEMYEKNTSIPIVWKLTECNQAGVLERELSGHYVMHWIFDFVLNRQHGFPSRFGTLWNDKTAFEEKVLVTTVATWANEFLKNFMNDVVV >cds-PLY84733.1 pep primary_assembly:Lsat_Salinas_v7:5:230487098:230488252:1 gene:gene-LSAT_5X110840 transcript:rna-gnl|WGS:NBSK|LSAT_5X110840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLKTIIDEFDRVAKKQELSTSKYHQLIVMIEDEIKQALADLQSTDDIDHKSVIKHLAEKLDAYRVQEQIKMLKKDLKVDLENYPEILGEFVETDISKASRNVEFESPIVNKIILNLLYHEGLFDVANTFTDEAQQRHINWLRRDFSKMHEILDALKAKNLEPALNWVSVNRLILDPKESNNLEFNLRRLQFLKLSKETPSEGINFVKTYLSPLASNHRNELLNLTLFFFWPEKVETSPYSDLISPEKWAAVSQEFIVQFCGSIGVSFRNPLTVTVDAGALGLPTLLNTANLMGRDEWAARRKFPVAMELGKEFQFHSAFVCPVCKEQSDEDNPAMMLGMDECHHVLCSQTISALTKRKTRFHTIQCPYCSCVMSVNMCQRLYL >cds-PLY64018.1 pep primary_assembly:Lsat_Salinas_v7:4:295964547:295968226:1 gene:gene-LSAT_4X152881 transcript:rna-gnl|WGS:NBSK|LSAT_4X152881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRQRPPPLMAAVAFLFFLVFQSPTLLIHADSSPETLAEIDALVDFKLNLHDPLGAFTSWDRSTASAPCDWRGVGCSDGRVTDLRLPRLQLRGVLSSKLGNLRMLRRLSLRSNNFNGSIPSSLSKCDLLEYVFLQYNSFSGDIPPEISNLNELLIFNVAGNNISGEVPENLPSSLRYLDLSSNSLSGELPGNLSDLPDLQLINLSYNLFTGAIPERYGALQKLEFLWLDHNQLQGTLPSAIANCTSLVHFSADGNEIGGVIPAGIGSIPKIQVISLSNNNLTGLIPASIFCNVSVSQPSLKNVQLGFNAFTDIVPPPSSSCFSVLQVLDLQQNQIHGDFPLWLTNLSTLTALDLSGNHLSGKIPSEIGNLKRLEELKLANNSLTGLFPSEIKQISNLKVLNLEGNLFSGEIPKFLSEMRGLKMLHLGGNRFNGSIPSSFSNLSQLETLSLRDNSLTGTLPEDLMSMSNLTTLNLAGNKFSGDIPVSIGNLKAISVLNLSGNGFSGKIPGSIGSLYKLTALDLSKQNLTGELPLDLFGLPSLQVIALQENKFSGDVPEGFSSLLGLHYLNLSSNLFSGHIPSTFSFLKSLSVLSLSNNNISGKIPPELGNSSDLQILNLGSNTLTGSIPYDLSRLSHLTQLDLSNNNLSGEIPQEFSGISSLNSILLNSNHLSGNIPATFSSLPNLTSLDLSSNNLTGEIPTNLTEIPTLIYLNLSNNNLQGKIPESLASRFNNESDFSGNTNLCGKPLNKKCKDKSSSSRKRLILLIIVAVAGAFLMSICCCFYTYSLLRWRKRIKQNSKSGDKKRPSPHRSSGTRSSGENGGPKLVMFNNKITLAETLEATRQFDEENVLSRTRFGLIFKACYNDGMVLSIRRLQNGVLEEIAFKKEAELLGKIRHRNLTVLRGYYAGPPDLRLLVYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLGFLHSTATVHGDIKPQNVLFDADFEAHVSEFGLAVLAGASSPETAASVGYASPEVVLTGEVTPESDVYSFGIVLLELLTGKKPVMFTQDEDIVKWVKRMLQTGQVSELLEPGLLELDPESSEWEEFLLGVKVGLLCTAPDPHDRPTMADIVFMLEGCRVGPDIPSSADPTSQPSPA >cds-PLY81564.1 pep primary_assembly:Lsat_Salinas_v7:2:127204474:127204740:1 gene:gene-LSAT_2X59520 transcript:rna-gnl|WGS:NBSK|LSAT_2X59520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHGYRRKLDFSDRLPKFFGGNLTLEKWLGSVTIRGENWEDFILAATGMSAAWRARRKTTQMFVVRCGINSSPLSFENKVRIRYLVGL >cds-PLY76338.1 pep primary_assembly:Lsat_Salinas_v7:5:224961699:224964554:1 gene:gene-LSAT_5X109540 transcript:rna-gnl|WGS:NBSK|LSAT_5X109540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSEPTFVPEWLKSSGSLNTTSHQFQSSSLHSDEQGASKATRNKSFVNINDNDLGRPSVSEKTTSSYFRRTSSNGSSHLRSYSSFGRNNRDRDWDKDIIHDKEKSDNSHRHRDYSDPLSNILPSRFEKEGLRRSHSSVSSKRESWPRKVISDKNNHNNGRSVGIGNVKISFERDFPSLGAEEKQIDGEIGRVPSPGLTTAIQSLPIGNMVVIGGDGWTSALAEVPVIVGSNGSNTSVQSTAIPTTTSMTAGRNMAETLAQGPPRAQTAPQLSVGTQRLEELAVKQSRQLIPMTPSLPKALGSNSSDKTKPKVAQVQLQNSHLINHTHSPRSASTKFDISKTSTVGKLHVLKPSRERNGVTPIPKDNLSPTGGGGGGKLPNSPLAVPSVVGPAPLLRNNNPVVSAVERKLGVASTLEKRPSSQAQSRNDFFNLMRKKSMTNSNAPVTSDTIDKVGVSEDGHEHEHDPVVEGSSGAHEPKVDLGCNGDMNERSTDNGKNNNSSSDAILYSEEEEARFLRSLGWEDTTEEEEGLTEEEISSFYRDVSKYLNLQAASKILKGTQTKLLMPLHTQMGKNGDISSDSKLES >cds-PLY82020.1 pep primary_assembly:Lsat_Salinas_v7:9:157762060:157764376:-1 gene:gene-LSAT_9X99020 transcript:rna-gnl|WGS:NBSK|LSAT_9X99020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGSYQYEHQELCRRQSPVGGSSRLGLRNSGGEIVEVHGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLAAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKTAIDELDELPAWKPTSTTAATNSTSILNFEQHPNDCIIDNQMSNAQNSSFLPPSLDSDSIADTIKSFFPMGGSSTPHNSNSSAMQFHQSFSPTDFLSRSSNQTQDLRLSLQSFKDPMLHHHHHHQQTEEGNSMFLDGSGWPERMVGWGGGASGGFPFSSPPTQTTPFLQNDLFNNNSQRGPLQSSNTSSVRAWIDQPPFVGAAAVDHPSSMSGFTSGVGGFSGYLIPARIEGEQEEDDGISDKPSSASSDSRH >cds-PLY83556.1 pep primary_assembly:Lsat_Salinas_v7:1:65106954:65109827:1 gene:gene-LSAT_1X55521 transcript:rna-gnl|WGS:NBSK|LSAT_1X55521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRLRDLQSQPGNKTCVDCSQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQLRKMESGGNEKLNSFFSKYGIPKETDIVSKYNTNAASVYRDRIQALAEGKSWQDPPIVKESSIKNGGSKKPPLSARSGGRGGNSEGWDSDWGDSSFDERGFGSPDNMRKNQSVGDFRTGGSGGGMPARSKSTDDMYTRSQLEASAAGKEGFFAKKMAENESRPEGLPPSKGGKYVGFGSSPNPIPRPNAQGDVLSSVTQGLGKLSIVAASAASVVQAGTKELTTKVRDGGYDYKVNETVNVVTAKTTEIGQKTWGIMKGVMAFASQKVEELTTENPSWQRNETQGNGFYQEFGQEHKGLNSNGTSNSIPSSAGISDSVGSSGRNSNSWDDWDTDGNRKHVSTTPTATNDDDWAGWDDHDPKDDGYDSFYKTPSSEGKTGKSDGNWSGAGFL >cds-PLY82892.1 pep primary_assembly:Lsat_Salinas_v7:4:18529749:18533264:1 gene:gene-LSAT_4X12860 transcript:rna-gnl|WGS:NBSK|LSAT_4X12860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATWRSMALLFLFLHLLPLAAMADKGFNIGTALSDMPSPTQVVALLKAQKIFHVRLYDADQAMLLALSGTGIRVTVSVPNQQLLAIGQSNSTAANWVARNILTHVPATNITAISVGSEVLTAIPNAAPVLVSALKYIHAALVAARLDSHIKVSTAHSSSIILDSFPPSQAFFNRTWDPVMIPLLEFLQSTKSYLMLNVYPYYDYIQSRDAIPLDYALFRPLPPNKEAVDSNTLLHYTNVFDAIVDAAYFSMSYLNFTNIPIVVTESGWPSKGDSNEPDATLDNANTYNSNLIKHVINNTGTPKHPGVAVSTFIYELYNEDARSGVVSEKNWGLFDGNGRPIYVLHLTGSGTMLANDTTNQTYCVARDGVDRKMLQAALDWACGPGKVDCSAMVQGAPCYEPDTVAAHATYAFDAYYHRMAMAEGTCDFNGVATVTTTDPSHGSCIFPGSHGSNGTFINGTSLAPSSNSTSGSLSTFNESNSVSFMRLFVVLLLSGVFL >cds-PLY73100.1 pep primary_assembly:Lsat_Salinas_v7:9:22337986:22341131:1 gene:gene-LSAT_9X20721 transcript:rna-gnl|WGS:NBSK|LSAT_9X20721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEILQSPLRISSSQSSLISLDDNDGLLQQTHHQFASSSSLINPCPNLYSVAVSVCNTEERERRKRREREEEDRELSLLAVVVTVFRKSLVGSCNSVKFEDFPCDNNSKKSSKMEIGVPTDVRHVAHVTFDRFNGFLGLPVEFEPEVPRRPPSASTSVFGVSTESMQLSFDSRGNSVPTILLMMQRRLYAQGGLQAEGIFRINGDNGQEEQIREQLNRGEVPENIDVHSLAGLIKAWFRELPNGVLDSIEPEQVMQAQSEEECARLVRLLPSTEASLMNWAVNLMADVAQLEHLNKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKTLIVKTLREREDLIVEAGPTSKFDHFDENNGPHCSVWGTLDETNDEGEDQDFSIKEPTLESHESTCKDDLTSRGQSQSQTTRVEEEGGGRVVEGGGGTMAEILKSRNAQSSNLSLKKALRKNVEKSKGSTIVSRLNSRTERIEAWR >cds-PLY83184.1 pep primary_assembly:Lsat_Salinas_v7:4:288611150:288611630:1 gene:gene-LSAT_4X146681 transcript:rna-gnl|WGS:NBSK|LSAT_4X146681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLGTQKEYEHALQTSTTIYIGNMSFYTTEEQLYELFSRAGEIKKIIMGLDKNTKTPCGFCFIVYYSREDTEDSVKYISRAILDDRPIRVDFDWGFQDGRQWGRGRSGGQVRDEYRTYYDPDILLFFT >cds-PLY61903.1 pep primary_assembly:Lsat_Salinas_v7:6:57514947:57515810:1 gene:gene-LSAT_6X41961 transcript:rna-gnl|WGS:NBSK|LSAT_6X41961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKSASTLVKTTKKTIQETVQVSVVDQTTKKPVTRGLSSKEKVEIITVKTPIEKETLEDDDTQLEELEDGDEDIVNDAVTREIKVQDATPTPEKKEQPKKTTTRKGGGRKKAEKNIDGKKKRKRRKVGESGGEGYKRYLFRVLKQVHPDLAISSKAMTIISNLMADMFERLAEDAARLSDYSKKSTMTAREIQGAVKLVVPGELGKHAVAEGTKAVTSYMSYGGGGRGRGGGRESESQTF >cds-PLY99769.1 pep primary_assembly:Lsat_Salinas_v7:9:52903283:52904921:1 gene:gene-LSAT_0X8161 transcript:rna-gnl|WGS:NBSK|LSAT_0X8161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYHPSRGGVRGGRDQFNWDDVKVDKYRENYIGHSLKAPVGRWQKGKDLHWYSRDKKSKQADMEAAKEEIRRIKEEEEQAMREALGLAPKRSSKPQTNRLDKHEFSELVKRGSTAEDLGAGHAEAAHVQGLGFAKAPKAWEESSSLQPVVTMEAPKNVNVNANVSETPSANKDSKEDSEDESSKKKRRREEKKKEKHERREERKHEKYEKRDERKHERREKRRGDDDDDDRRHKRDKGKRRHDCD >cds-PLY94133.1 pep primary_assembly:Lsat_Salinas_v7:5:34915120:34917893:-1 gene:gene-LSAT_5X14440 transcript:rna-gnl|WGS:NBSK|LSAT_5X14440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLNFLSPLQSKVIAFSDTSISQTHLLKLQGGFSFKRKDVKVVIGKGVRCSAQPSPPPAWPGTALVDPGTKNWNGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVTLLAEQVKTFKPQLVSIRNESLVEELKEALADADYMPEIIPGEQGVIEVARHPDCVTVVTGIVGCAGLTPTVAAIEAGKNIALANKETLIAGGPFVLPLAHKHNVKILPADSEHSAIFQCIQGFPEGALRRIILTASGGAFRDWPVEKLKDVKVADALKHPNWSMGKKITVDSATLFNKGLEVIEAHYLYGSDYDNIEIVIHPQSIIHSMVETQDSSVLAQLGWPDMRIPILYTLSWPDRIPCSEVTWPRLDLCKLGSLTFKAPDNVKYPSMDLAYSAGRAGGTMTGVLSAANEKAVEMFIDEKISYLDIFKVVELTCEKHQEELVTAPSLEEIIHYDLWAREYAASVKPTSTSPTPVGVV >cds-PLY83332.1 pep primary_assembly:Lsat_Salinas_v7:1:63913240:63917478:-1 gene:gene-LSAT_1X53421 transcript:rna-gnl|WGS:NBSK|LSAT_1X53421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLLSKRTLNADSRDAYGFTVRPQYLDTFKEFDSIYKKEEEERATKWRSFLEQQEELVRLNSSIKKPDDAEVTEENTNHVLESTQKEGDVLSDKKPTEDNNNNNNNNQTENVPEKVVSEAAKPAKKHALQNWTDVRTSLNTMEDMMCHRVNNPQKHVQEEGVGPSTEESTTDDEKPNPVFPWKELESLVRGGVPRELRGEVWQAFVGVKARRVERYYQNLLEIGEAGNKQLHDQIKSNPERLGEKGDKAAVPEKCKKQIEKDLPRTFPGHPALNEEGRDSLRRLLLAYARHNPDVGYCQAMNFFAAMLLLMMPEENAFWTLVGMIDDYFDGYFTTDMIESQVDQLVFEDLMRERYPKLVNHFNMLGVEMGWICGPWFLSIFVNMIPWESVLRVWDVILFEGNRAMLLRTALALMELYGPDLCTTRDAGDAITSMQSLVSSTFDSSNLVVTACISFSYVTEDTLQQLREKHRPDVIAAVEERKRGDAIRKDPKGLATKLYSFKHEPKPLVRQPSMKQGLTNDNTNLNTAPKPDDSLNIAAMTTEVDSLPDFQDQVVWLKAQLCSMLDDKRSATIRAEELEIALMEMAKEDNRRELTAKIEHMEREVNELHQLLADKKEQEKKMLEVLMRVEQEQKVAEDARKSAEQDAAAQRYLVNVLERKYEEAVYTLAQMEKRVVMAESTLEATMQYNSGQVKAVQPTGLIRPESNTAGRRPGILSFGLGWRDRNKVHSILNGTHFVEFFY >cds-PLY80909.1 pep primary_assembly:Lsat_Salinas_v7:8:258515076:258516348:-1 gene:gene-LSAT_8X151001 transcript:rna-gnl|WGS:NBSK|LSAT_8X151001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQCQTTLPLFCQRITTHRSNPSSSIFIPITHKKNPNFTIIKADARNGDQLQRTSIKNQQPIKKRVAQSPPVGLWDRFPTARTVQQMMDTMDRLMEEPLAYNGGGNGGAWGSQGQGTSSYSRGRTPWEIKEGEEDYKLRFDMPGMTKEDVKVWVEEKMLVLKAEKVKKDNNGESNEEAIDEGEWSPKSFGRYSFRIALPENIQFEKIKAEVRDGVLYVTIPKAPVSSKVLDISVQ >cds-PLY95024.1 pep primary_assembly:Lsat_Salinas_v7:5:226819250:226822513:-1 gene:gene-LSAT_5X106661 transcript:rna-gnl|WGS:NBSK|LSAT_5X106661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGFKNFLWHGGSAYDAWFSCVSNQGHMLRLHGISNQIVSWTQCNCCTTSVKLCVCKEQSNIIDILETIEAI >cds-PLY65255.1 pep primary_assembly:Lsat_Salinas_v7:8:231364143:231376903:1 gene:gene-LSAT_8X139480 transcript:rna-gnl|WGS:NBSK|LSAT_8X139480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDYYMDDVTEEEREDVLESDVVWESDSNASRVACEASIKGSSSNVMDEVTKDFPLIIHEDSIPSGNTANLSKNWQIPKDAVVISSPNSDLNQVKSHMNNVELRAFFLKHSGPQKDHSPHLENLSIRVEDVKVNDYSNFTLLLKKLIERGKVNLKDVASEINVSANLLASNLVISAECCPASDLLVNNFERGQTEAIESKCDTKVNSNLGNHDCLNPMNDIPDTIRIEALHDSHVHPLILLQNQFLSNINADKGGPIFQLLREVVSCGCFTCSSFTFQLHHLSRLLIMLLPAYFLDLNALLVLHSHSSSTTSQGSIFKVLDPLIPLTRASVMSLTDGLSKVEKARDVGVNSIVHFPKVPNALMIIYTNVALDPYSSDGHDGIVIEDGVIMNDEIVHQLCKQAVSQKTTLLPTASPILPSSPPLVGHLLPRHCHLYWQ >cds-PLY83776.1 pep primary_assembly:Lsat_Salinas_v7:4:39007231:39009411:1 gene:gene-LSAT_4X26961 transcript:rna-gnl|WGS:NBSK|LSAT_4X26961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQHKRYNIGILWVLLFLSHNIKSFSWFFTTEKIDKPSTIPVVSHDVVAEFSMESFNSKKGMSLIEKAKRKVIASNSCWQNAYQNLFSGCSEILAGEEQRSRLAWHLTDCFQKDTGRSHFPYCDVKSPMKNCLQKLDEDAHRIYLEFYLETNSICHQLQTDAFKRQTERLVNELKRSAEYAEDKLENIEEQSERMLHSSQHIHESLASIDVQTQQVAKTSKNVEQHVIVVLEHSQSIYEQSLKLADSQMELRNGQSIMNERLDEGMMMLNESANKIGGELKNLRDETVEIEKEISKVGDVMFMKMDTLQSKADDIENITETSLDKQKQLLDSQTAALEALQIVTSFQSQALEESRGTLQQLIELGHSQQQELIQRQQQLKQVHDHLVENSKTILASQEIFESKQASMFVAIDKLFTLHNAILVESRIFKAFLVYSILIFTLYMFTSTKQTYNVRSRLYIGLCVTFVIEIVVLRYGNDIEQQRWIISIVRSIFVLLTSCQLLYAIYTYRDYETLNHRLLQSLIEKVNGMQGNKQMVADDDDDDDVEWSSWVDTDLPEDELEDLDYMLPEGLGEASITTAVSRQYNLRHRHL >cds-PLY98370.1 pep primary_assembly:Lsat_Salinas_v7:5:315406778:315409766:1 gene:gene-LSAT_5X173120 transcript:rna-gnl|WGS:NBSK|LSAT_5X173120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSIFNPSTSTLICMISFNFLLIASSVRLPHPIHNNGDNPGQKQPIRIRQSNHSECALFMGSWVHDESYPIYKASSCPIIDPEFNCQMYGRPDSDYLKYRWKPANCELPRFNGLEFLMNMKGKKVMFVGDSLGRNQWESLICMTLSAVPQSATKMITGDPLSTFKFLDYGVDVAFYRAPYLVDIDAVDGRRVLKLEEISKNARAWMSADVLSFNTGHWWSHKGSLQGWDLIESGGHLYEDMDRLVALDTGLKTWARWVDSNVDTITTRIFFQSVSPTHYNPSEWSAGATSIGKSCYGETSPMSGSTYPGVYPDQMKVIDTVIREMQNPAYILDITTLSAMRKDAHPSIYSGDFSPGQQSNPDHYADCSHWCLPGLPDTWNQLFYTALFF >cds-PLY79906.1 pep primary_assembly:Lsat_Salinas_v7:8:16108295:16110668:-1 gene:gene-LSAT_8X12960 transcript:rna-gnl|WGS:NBSK|LSAT_8X12960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHWKMQSRSLLLHLLNLSILYRLGVVHALSTICEFSITQENKFYSYSLASPSETFPHGVLSEDGYYKVSSNGTVVWFQLCDGMIFNHDPPRCFDCWDCGGSSRCGMGCSALMSEIKLGYPVCTAIGRTQTITTDLIDKENPDMGIVVKTWHQGSERNCSLSVSVICDSKQVQGPKTLERVGVCNFVTQITHPAGCANVLSAPGSGLGWFGTLLIILLCLFGAYFIAGAAYRYFYLGIRGIDIIPNLEFWASLPHRIRSSYMSLVRRFRGPSQSYRSSYSPVDF >cds-PLY73640.1 pep primary_assembly:Lsat_Salinas_v7:5:205737710:205740211:1 gene:gene-LSAT_5X93720 transcript:rna-gnl|WGS:NBSK|LSAT_5X93720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVENDGSIRMRKSREIAAIDDQISHEKPQFSNKKNQKTKKNQKTKTETQNPYPLLRFEEAPEYMKDNEFILDYYRADWPLKQALFSLFQWHNETLNVWTHLIGFILFVGLTIANLMPVPQVSDLLNILTWSFPLNPGSNASNNSIMDTPKLIDLKHGSPLEMDISPPLLAATRWPFFVFLGGTLFCLLSSSTCHLFGCHSHRLSLQLLQLDYIGIAVMIITSFFPPIYYIFQCSPHWQFIYLGGVTIMGGFTIITLLSPALSTGKFRSFRAFLFMAMGLFGLLPAIHGTMLNWNEPYTKSTLVYESAMAASYLIGTMFYVCRIPERWKPGWFDLAGHSHQIFHCFVILGALSHYGAALVFLEFRGQVGC >cds-PLY84053.1 pep primary_assembly:Lsat_Salinas_v7:6:186228311:186228925:1 gene:gene-LSAT_6X113100 transcript:rna-gnl|WGS:NBSK|LSAT_6X113100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSASSKQNVELQADHPCKRDMPSRVKISRTPDNPGRKFRVCQNSLSARTPRFNFWEWVEDDEYQLQKNSDLGQIYNLTFKLGNLENEISYCRKKLEEEKNSDLVFRQELDKVKWKLFTHKASLFVLFFFFECNESYD >cds-PLY99327.1 pep primary_assembly:Lsat_Salinas_v7:1:69730304:69734926:-1 gene:gene-LSAT_1X59680 transcript:rna-gnl|WGS:NBSK|LSAT_1X59680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQLRSGARRSKRIGDIQPVDQAEDIVAPIQNRTRRKTGGGRGRGGNAAGVAKGPSAAIPVVRPTGRGRGIRLIDLDPEPHCEILPNHNKAAAGVGVGVRAVEPGVADKDMEGGSADKIMGVEEEGNATPVPERVQVGNSPMYKTERKLGKGGFGQVYVGRRVTGGSGRTGPDAVEVALKFEHRNSKGCNYGPPYEWQVYSTLNGCYGIPWVHYKGRQGDFYILVMDMLGPSLWDVWNSLGQSMSPSMAACIAVEAISILEKLHAKGFVHGDVKPENFLLGQPGSVDEKKLYLIDLGLASKWKDSSSNQHVEYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMATSPELMCCFCPAPFKQFLEAVTIMKFDEEPNYAKMISFFDTLIEPVTSLRPIRIDGALKVGQKRGRLLINLEEDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADTRLRQHVEKGNEDGLYISCVASASNLWALIMDAGTGFCSQVYELSSVFLHKDWIMEQWEKNFYISSIAGAANGSSLVVMSKGTPYTQQSYKVSESFPFKWISKKWKEGFHVTSMTTAGNRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWESGYRITSMAATADQAAFILSIPRRKMMDETQETLRTSTFPSTHVKEKWSKNLYIASICYGRTVC >cds-PLY82814.1 pep primary_assembly:Lsat_Salinas_v7:1:87095167:87101136:-1 gene:gene-LSAT_1X72041 transcript:rna-gnl|WGS:NBSK|LSAT_1X72041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMLPLQSSALPTELRPGEGMMLKRPYYNRHQLKQVKGLFGRFTIVAFLIVILIIYFIVISTSKTNYLSPESPSEINVQKLWNPADSGGWKPSSTPRSNWPPPPNETNGFLRVRCNGGLNQQRTAICNAVLAARIMNATLVLPELDTNSYWHDDSGFQGLYDVDIFIRALRYDVRIVESIPEIRKNGKTKKIKAFQLRPPRDASITWYTTTALEKMKEHGAIYLTPFSHRLAEEINNPEYQRLRCRVNYHALRFKPHIMQLSQSIVAKLRSQGHFMSIHLRFEKDMLAFAGCFDIFSPHEQKVLMKYRKENFAEKKLVYSERRAIGKCPLTPEEVGLVLRAMGFDNSTRIYLAAGELFGGDRFMKPFRAIFPKLQNHTTLVDTDTDSLNSNDLLTDNTQGLIGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRSNIRPDRKNLAPLFIKREEEGEFVGFEAAVRRVMGRTNIGGPHKRVSPESFYTNSWPECFCRAGVVGGEDGCPPENMMEVLEERLMNVSS >cds-PLY83445.1 pep primary_assembly:Lsat_Salinas_v7:9:88839885:88843166:-1 gene:gene-LSAT_9X68900 transcript:rna-gnl|WGS:NBSK|LSAT_9X68900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGQTYNEEIDCGSFFDQIDDFIEFPPVNDTTLNNSIDCNDFADIWTNNSDELQVSDPIFSDSNPASALSAELSVPYEDIIQLEWLSNFVEDSFSGGGMTMNKENIPAKTMNKENIPAKTEPVVVANYHFQMSSPVSVLESSSSSSSSSSCSGSGTGGMMIPLSPTHRGPQRARSKRSRPATFNPRAAIELLSPPNLIPIPIPIPGSMESAIPVEKKRKKKPKKKPEAAQFNPEDNNENGIENQKMGTGQGVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSVHSNSHKKVVEMRTKCMPIEVLGSVQVPVGPELIPNPNPLPEYI >cds-PLY90973.1 pep primary_assembly:Lsat_Salinas_v7:5:287547727:287549763:1 gene:gene-LSAT_5X151680 transcript:rna-gnl|WGS:NBSK|LSAT_5X151680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKRNSSKDSLIGIGGRNLHSNGIRNAPPVAGLLSHRRVMSFTSTTEDFNNSNSNNVDFLSRNRRSLSVASSDESDLQMRLGRLSVGSTKTAKNVLDDLLSSNEGGKHDYDWLLTPPGTPLLPCSSDGKESESQPTSTSISITPRRNSSVRSGSATKNSRLSASQSESIHPSNSKPARSSSTTTNRNPNILNTSSASVSSYIRPSTPTKRSSSTATSRPSTPSRTLPSTTTTTARSSSTTRPSQNSRPSTPTSPVIRQPSSRPSTPTCRSPTPSATSSPSSVRALSSNGRTVGPTSRPSSPSPRVRPPPQPIIPPDFPHETPPNLRTTLPERPLSAGRSRPGTAMATTSAASISRRQSSPIVTRGRHTVTEPPPSRGQLPVPESLTKRPIKMSNSEGVTGTGYGRNISKKSLDMAIKHMDIRNGGIRPSTLFPQSIRMQGGHGSSKNGTLYSSKIIKVDMYESSRYDSLLLKEELNDTTWLHNVEEKSDELGLLFDNGFETLPEPFSPL >cds-PLY73817.1 pep primary_assembly:Lsat_Salinas_v7:7:68381074:68382069:1 gene:gene-LSAT_7X48661 transcript:rna-gnl|WGS:NBSK|LSAT_7X48661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFWPDQSEFVRIIAMFGAKIIPFGVVGEDDIGELIFDYEDQMKIPYLRQFIQELIDEVVQLRSNIESEVANQDVHFPVMRPKIPGRFYYLFGNPIETQDINQRKRNARIL >cds-PLY84068.1 pep primary_assembly:Lsat_Salinas_v7:6:186464318:186467603:1 gene:gene-LSAT_6X115861 transcript:rna-gnl|WGS:NBSK|LSAT_6X115861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWRYKAGLFMIGAVVIIWVFSAEVTQGIFTDYKQPFAVTYLGASLMVIYLPIAFIKDWIIKLLKKRKNQSPVSLNSPLKFIGGSTVFELEGDKSSLSRKASDHDIAIQEEGNPLVPKTKNDNLPLKHETQVTTREVATYGFYIAPIWFLTEYLSNAALARTSVASTTVLSSTSGLFTLFIGAFLGQDSLNVTKIVAVFVSMAGVAMTTMGKTWAADEVASTSNSNGDRSLVGDLFGLCSAMSYGLFTVLLKKFSGEEGERVDVQKLFGYIGLFTLLALWWLVWPLTALGIEPKFKIPHSAKMDEVVIANGLIGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMVADMMIHGRHYSAIYILGSAQVFAGFVIANLPDKISKKFGL >cds-PLY89510.1 pep primary_assembly:Lsat_Salinas_v7:4:148051004:148052374:-1 gene:gene-LSAT_4X91041 transcript:rna-gnl|WGS:NBSK|LSAT_4X91041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARVIFTFVPAANVIKFVNTPDNPIVVIANNVAFSDIIPPQHQDSGIKDFVNLFKIYPLRYALYDVPEPFFPQNPKTNNEDEEDDEESNNSSGEDDEKEECSTNSSDNETDTMMVDASTQVVRFEFEHPSCDSPMFASDLPLGLNNNIRFPSSSPSSSPISMVSKHEQTPRQTDTSLLLSSPVQTSVEVLLSSPRQVATSIPQISTPQTATRFQGESISSNFQAIMLSQLTRLRNLK >cds-PLY74558.1 pep primary_assembly:Lsat_Salinas_v7:7:41200156:41208176:1 gene:gene-LSAT_7X31981 transcript:rna-gnl|WGS:NBSK|LSAT_7X31981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRMIRTTNPGRSYKECGDMLEKKCTRIEIHLLTPQGLQPMLDVPIYGRIATLELFRPHGETQDLLFIATERYKFCVLQWDADANEVLTRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCPKPTIVVLYQDNKDARHVKTYEVSLKDKDFVEGPWSQNNLDNGADLLIPVPPPFCGVLIIGEETIVYCSASAFKAIPIRPSITRAYGRVDADGSRYLLGDHSGLLHLLVIAHEKEKVTGLKIELLGETSIASTISYLDNAFVYVGSSYGDSQLIKLNLQPDPKGSYVEVLERYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRIVRNGIGINEQASVELQGIKGMWSLRSATDDIYDTFLVVSFISETRILAMNLEDELEETEIEGFCSQVQTLFCHDAIHNQLVQVTSSSVRLVSSSSGELRNEWHAPNDYSINVATANATQVLLATRGGHLVYLEIGDGVLVEKKHAELKYDISCLDINPIGENPNYSNLAAVGMWTDISVKIFSLPDLNLITEEHLGGEIIPRSVLLCAFEGIPYLLCALGDGHLLNFLLNTSTGELTDRKKVSLGTQPITLRTFSSKSTTHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAKEGELTIGTIDDIQKLHIRSIPLGEHARRICHQEQSRTFAICSLKYNQSSTEDSEMHFIRLLDDQTFDFISTYSLDQFEYGCSILNCSFSDDNNAYYCVGTAYVMPEENEPTKGRILVFMVEDRKLQLVAEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLRDDGTRELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEEGAIEERARDYNANWMSAVEILDDDIYLGAENNFNLFTVRKNSEGATDEERGRLEVVGEYHLGEFVNRFQHGSLVMRLPDSDVGKIPTIIFGTVNGVIGVIASLPQDQYVFMERLQTNLRKVIKGVGGLSHEQWRSFYSEKKTVDSRNFLDGDLIESFLDLGRSRMEEISKVMSVSVEELMKRVEELTRLH >cds-PLY89653.1 pep primary_assembly:Lsat_Salinas_v7:9:96724178:96726206:1 gene:gene-LSAT_9X72740 transcript:rna-gnl|WGS:NBSK|LSAT_9X72740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVARKNVFSLCRFQLLGFINSILSAADMLLSTTKNEPSHYMLKIESFSILSEAGNIKIESDVFEASGHKWRLDLYPNGNKEEKGDNHISLYLIICDTESLEKGWKVHVDVKFFVYDHIAHNYVIFQDDEGNRTSFHEKQMKWGFEKLISLEHFKDSNKGYIFNDSCIFGAEVFSVPEYTLKDRCLSMIKPPATTNTFTWIVDKFSSIKDDCLHSEVFKVGKIKWTLSLFPKGRGAGVGTNLSCFLKVHEAKSFPDDWIVYARFELRIKNKSGYAAKDTGHWFCEEEDDWGFSCFILLSELRDMSKGFLKNDRLIFEAKISVVGMLKNFI >cds-PLY61832.1 pep primary_assembly:Lsat_Salinas_v7:6:58902277:58904970:-1 gene:gene-LSAT_6X44800 transcript:rna-gnl|WGS:NBSK|LSAT_6X44800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKCWDKERDALLLFKAPLQDNPIDTLSTWTEEDDECCKWSGVICSNPTGHVTGLDIKGYDLRGEISRSLLNLTYLDYLDLFYNSLHGTIPTFIGSLTRLRYLDLSYNNLNGTIPRSIGSLSELRHLNLGRNNLNGTIPTYIGSMTRLRHLDLSSNSLYGTIPPEFGNLTNLQQLNLRSDNGGFRVENIEWLSHLSHLEELYMDGISLSKQNHWVNVILSLPKLSILSLQGCELSQVMYPYSSFLNSSSSSIESLYLVNNSLTSSMYRWLFPLTSNKLHELSLSYNMLDGIPKYLGNLCSLETLYFDNNSAVVKFPDFLNNLSGCTSNALKRLSASYNQFTGSLSREIRKFSSLEHLDLSNNLLNGNIHDLFDTGISDTTPQEFAYMWPSQLKYLNLSSNNISGKVPDLSSNFANRSVIDLSSNSFSGPVPNVPSTLSSLNLSKNKFSGGISFICQIVDGFLSFLDLSHNSLSGKLPDCLWHFKHLEVLNLGHNNLFGRLPPSIGSLIELKVLYLYKNDFSGDLPLPLKNCTSLISLNLGANKFSGNVPVSIGENLTGLYVLILRSNNFFGTIPLQLCQLANLQILDLSLNNLHGTIPSCLSNLTRMVQPGFTQDAEYYRFITDRLYRVETYVDHAMIKWQGDEREFISTLKLLKIIDLSSNNLTGQMPYEITNLYDLIALNLSKNALSGEIPQKIGEIKNLLTLDLSRNRFSGRIPSSMSQMSLLNDLDLSFNNLSGRIPISTQLQSFQPSRYNGNARLCGPPLTKSCPGDEESKAPPLIGKSEGDEEDIDELWGWFYIGGGMGFATGFWIVCGTLLFNRRVRHAFFHFFDSFKDWVYVKVAVFITSFQKH >cds-PLY87972.1 pep primary_assembly:Lsat_Salinas_v7:6:171217737:171219739:1 gene:gene-LSAT_6X104321 transcript:rna-gnl|WGS:NBSK|LSAT_6X104321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESDKANSLSEDYLLKDIEEKLKVESEPEEKSAVVAAPVESTVDGNAPTSEDISSQSSDSEEENVSGPPPVADEDVTDPTPTEETTETVDEGDTQNETPEIKLETAPGDYRFPTTNQSRHCFTRYVEYHRCVAAKGEDASECDKFAKYYRSLCPGEWVDRWNEQRENGTFPGPL >cds-PLY83126.1 pep primary_assembly:Lsat_Salinas_v7:3:165373696:165378487:-1 gene:gene-LSAT_3X102821 transcript:rna-gnl|WGS:NBSK|LSAT_3X102821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKKTALAFKSYQNHAQVLVKTYLLADAFMPYTSVLGGIFATKMVYDLTQLISTFYFRTYAGLTKIQRIEWNNRGMSSVHAIFITAMSLYFVFWSDLYSDQYTVGPVTFRSSPLSTFALGVSVGYFLSDLGMIIWLYPSLGGLEYVVHHTLSAIAVGYAMFTGEGQLYTFMVLISELTTPEINLRWYLDTAGLKKSNAYLINGVVIFFAWMVARILLFVYMFYHVYLHYHQVIEMHPIGFLLVFTVPSVLGAMNLMWFGKIIKGMMKTLAKRQ >cds-PLY88775.1 pep primary_assembly:Lsat_Salinas_v7:4:169794394:169796217:-1 gene:gene-LSAT_4X100020 transcript:rna-gnl|WGS:NBSK|LSAT_4X100020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELDMPLDYTSFQLSRKHSRCELIVSSIGNTEKLASGLVKPILTHLKVVEEQVGSSAQFIKLEVDKRKNVDSWCTKGTLERFVRFGSSDQLSSNIGDGRSSTTTRADATKKKLLRAIDVRLTVVKQDLNTTCARATAAGFNHDPVADLQLFAERLGATRLKIFAKKVVFFDWFKMKLPQCKDSHLMVVVSRLKSKILSIIPITREFKPDIILVSTGFDAAIGDPLGGCCITPIPVVFLNSYGRFQKRN >cds-PLY69351.1 pep primary_assembly:Lsat_Salinas_v7:7:81139571:81143436:-1 gene:gene-LSAT_7X57081 transcript:rna-gnl|WGS:NBSK|LSAT_7X57081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGVVSSEKQSAEATAASSYTYWVREAKQDAAPLPVPRKLTSEDLSVGSKSSNHLGSAWNKAGTWEEKNLNKWATERIKELLLSVGSLEFTSGKAEIAEVSRCTGDAFLVTVRNKKRVGYTYEITLKVKGEWLIGEEKKTFKGNLDIAEFSFGELDDLQVEVKVNDEKDLVHQDKQRIREDMKMFLKPLREKLLEFEAELKER >cds-PLY77633.1 pep primary_assembly:Lsat_Salinas_v7:5:113153645:113162621:-1 gene:gene-LSAT_5X44701 transcript:rna-gnl|WGS:NBSK|LSAT_5X44701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSDQFAPGYRNRASYMANNWSFDQYHHHRRRDRDCPPPSSTIRRRPNFVIELCSQNNDHNTYNRHEIVTLMGKFTCDPDDFFIYEKGVVAGRFFYQQWPNALETIVYLWEVLLNGGLSFMPRLRQNLIVPSDTEEYNSRLRVLFSERIKRFIEGELVKTWEKKLDQVSNEIAKIDGLLRKPKKYVTHMELSKKKEGFVREKLLIGKRIGEFKSGMECILDYVNGKVIDSSDVKVLKLKGNIVWSKIHWLIKRECRRLDDGLPIYADRKEIIWQIHCQQALVLVGETGSGKSTQLVQFLTDSEVAANKSIVCTQPRKLAAMSLATRVQEETRGCLEDNSVICSPTYSSLQFDSRVIYTTDHCLLQHYMNDKNFSSISCIIVDEAHERSLNTDLLLALIKDLLHRRSDLRLIIMSATADSDQLSKYFFGCGTFHVVGRTFPVDIKYIHSHSHSDSGFIPNYVSDVVRTVGEIHRNEGPGTILAFLTSQMEVEWACEQFKLMSTVALPLHGKLSHDEQHRVYLDYPDKRKVIFSTNLAETSLTIPGVKYVVDSGMVKESRYEPTTGTNVLRVSQVSQSSANQRAGRAGRTEPGKCYRLYSEADFKSMPFHQEPEIRQVNLGIAVLRILALGIHDVNTFDFIDAPSDSAIESAIKNLVQLGAIVFENGVHKLTKDGRMLVKLGIEPRLGKMILKCFEHRLGREGVVLAAVMANSSSIFCRVGREEDKQKSDCYKVQFCHPGGDLFTLLSVYRNWEQIPREKRNQWCWDNSINAKSMRRCQEAVIEIENCLQNELNIIIPTYWHWTPESNSKSEYDNILRDVILSALAENIAMYSGNDNLGYQVASTGTHVHLHPSCSLLIFNERPDWVVFGEIIAMPNQYLVCVSAVNFESLHTLSPPEFNISQIDRRKLQSKVLTGFGSTLLKRFCGKANSSLKHLLSQIRDVVKDDRIGLEVSVDRNEVHIFASTEHMDRVFEFINAALEREMKWLENECIEKTLFSGRHTSSPVALFGAGGEIKHLELDKKCLTVDILVSCSSDVEEKELLSLLETRFSGKICSVHRFPFPGATDKMEKWGRVTFLTPEAAENAIKLSTIDIPGGLLTIVPSRHGGENKFLTFPAVKAKISWPRRRNRGIAFVKCESPDDIPAIIQDFSMAFIRGRSIRCKPSMKSNDSVMLVGIDNDIFEAELFDELRSATNRNIVDIYLPRGMIIQDPSIVALEEALLREIRAFMPVGNNNRNECVGVHIFEPELADYFMKAEISFDGSLHLEAAKALEQIDGKALSGCQPWQKMKSQQLFHSTVSCSASVYMVIKDQLHSLLKHLELRNGAKFLFDKNDNGSYRVKISAKATKIMAESRRPLEELMNGKTVSDPRLTTPILQLVLSREGFAIQKSIQRETGTYFLFDRHNQSIRIFGPLTNIDRAQNRFVNALVTLHETKQLDIHLRGPDFPPDLMKKVVGKFGPDLHGLKEKFPGSDFTLNTRHHTISVRGNKELKQNVEETIHHLVKTSPDAESQSQAASCPICLCDVEDGFRLEKCGHEFCRMCLMEQCESAIKSHSGFPMICAHENCPAMILTVDLRSLLAAEKLEELFRASLSSFVGSSAGKYKYCPSPDCPSVYRVAMKEGGGGQPFVCGACSVETCTRCHLEYHPFLSCDKYLEFKRDPDMSLKEWMRGKEDVRVCPSCGFTIEKIEGCNHMECRCGRHICWVCLEVFNNSGECYRHLSTVHHANEGLVVI >cds-PLY77176.1 pep primary_assembly:Lsat_Salinas_v7:8:25502925:25503098:-1 gene:gene-LSAT_8X19421 transcript:rna-gnl|WGS:NBSK|LSAT_8X19421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPVAQLLDTGNLVVWDERSNNENPIWQSFDYPGDTLLPGMKFGKDLITGRERFLTS >cds-PLY89058.1 pep primary_assembly:Lsat_Salinas_v7:9:28785233:28786568:-1 gene:gene-LSAT_9X25321 transcript:rna-gnl|WGS:NBSK|LSAT_9X25321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRSIASIGSSWKQLSFNLTRRTLSTSTPASASPSASNPSTSVSKSKRKKKKNLFEVAQFLPNWGIGYQMAKTHWSGVAYQITKINLYKDGKHGKAWGIVHKDGIAAADAPKKISGVHKRCWKYIPNSNKTQQIITPESQSETQVQNQTT >cds-PLY73883.1 pep primary_assembly:Lsat_Salinas_v7:3:38048667:38049035:1 gene:gene-LSAT_3X28760 transcript:rna-gnl|WGS:NBSK|LSAT_3X28760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLNGLTFFLVVLFAFILFSAAGRTHPTTLQPQTPKQKAIESEKVVESDGGPSTWGGCWGCWKNHDRRHLR >cds-PLY98997.1 pep primary_assembly:Lsat_Salinas_v7:6:169660678:169661880:1 gene:gene-LSAT_6X104000 transcript:rna-gnl|WGS:NBSK|LSAT_6X104000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNATIIDEKVLNDCLNEITRALLQSDAQFKLVRRSSISMISLQVITSEESSSRSWEAIFHTQEKETTCCHVCRLIRVWKNYYMYKICLLPPKEGLETIFGIVGAFDQLKRNANGMVKRNA >cds-PLY73332.1 pep primary_assembly:Lsat_Salinas_v7:8:260799225:260802183:-1 gene:gene-LSAT_8X152120 transcript:rna-gnl|WGS:NBSK|LSAT_8X152120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSYCFSPTRNPITHSNTNLLFLNSNLYLPKHRNHAPFTYETKKDFISNIPKSTISLLNIKNHHKSTHPFASLSSFAQNQEGEGEGENQESVKDEGESLPGMAQAFNISSNTASAISVCIAVAALSLPLIMKSLAQGMGLKIKLLSYATLLFGFYMAWNIGANDVANAMGTSVGSGALTLRQAVLTAAVLEFSGALLMGRHVTSTMQKGILVANVFQGKDALLFAGLLSSLAAAGTWLQVASFYGLPVSTTHCIVGSMVGFGLVYGGPDAVFWSSLARVTSSWVISPLMGAAVSFLVYKCIRRFVYSAPNPGQAAAAAAPIAVFVGVTAITFAAFPLSNTLPIAFTQALACGTLGAFLFNNIIRKQLGHLLDKSNSKSNSTPQESHEESTTKSIGFLSDIAGPTGTQLEIVYGVFGYMQILSACFMSFAHGGNDVSNAIGPLAAALSILQGGATGGEIVIPMDVLAWGGFGIVAGLMMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNSVRAETVREIVTSWVVTIPVGAVFAVIYTWLFTKFLPNIF >cds-PLY75922.1 pep primary_assembly:Lsat_Salinas_v7:9:198559165:198562208:1 gene:gene-LSAT_9X122720 transcript:rna-gnl|WGS:NBSK|LSAT_9X122720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIKEFDHLKIKLKDIESATNNFGISNYIGIGGFGKVYRGELVLSDKLTMVAVKRLDTAFGQGPPEFWKEIMMLSRYKHENLVSLLGFCDENGENILVYEYLSNKSLDLYLKSNDLSWIQRLHICIGAARGLQYLHDPLDTQQRVLHRDIKSSNILIDENWKAKVSDFGLSKFGPANQQFTFLVSNTVGTFGYCDPLYMETGYLTKESDVYSFGVVLFEVLCGRFCVENYEDFRRFLPTLAQKCYKEMKLDTIIFHRGQISPNCLYTFSTVAYRCLQRDTKERPLMDDIVKELEAALEYQVEYEFEKEEKLKNAMAQEFDTEEFWKMKLPQDSEDIIKRLNPLAVYNTKKELFSLLHKGILFDDRERVFSVNEDGIKCELISTKRFLNMNKRSYKWQSGRKFRFSKAAIFPYSEQLNIRCRISTSMLSPGVMYAASLVFSFQRGRENVYGESSKLARINWKYQELSVSSTHIAEREKDGWLKIRLWHFLNGDKNAEFYFVLDKISYFKEEQDISNILIHQIEFEPVPMMKRHIGIRGLESVSISDEDTDWEKKVPSDYQGIIQRSDMYLKKNNNKELYFLLCDGILIDNGRKWFSLCKSTGGKCHMLPAIEILRSDDRSNRLFKEAIQLQYGTYAFVCKLESDMFSSGNSYACYLVFKFAETHKRLDDKGFFRVSYNLDMEPGSYKIAHLNQHEPINIPIIKPKNYDTSHDSSYISRTKFPRMPKNCTDDSMHHWMDHRNDGWMEVILCKPLRKLEDHRLLYVFLTSMGSALDGVIVQGIQFRPMQRDV >cds-PLY94623.1 pep primary_assembly:Lsat_Salinas_v7:8:144366708:144368360:-1 gene:gene-LSAT_8X98041 transcript:rna-gnl|WGS:NBSK|LSAT_8X98041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATEATNGAAAGEKNGAATEKAVTFSSLKPQLFVESAKASDAVAFYKAAFGAEEVNRVSHPKRKADQELPLLLSAEIKLGSSSILISDLSDDSTALVKTVGTGLVFCLETEDIEAAIDKAVKAGAVAEGEITEGEGACCGGRVGKVKDPYGIVWLICTPAKKCANVEA >cds-PLY75013.1 pep primary_assembly:Lsat_Salinas_v7:1:112528443:112533129:1 gene:gene-LSAT_1X89941 transcript:rna-gnl|WGS:NBSK|LSAT_1X89941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVELASNTHGNLDEQISQLMECKPLTEPEVRTLCEKAKEILMDESNVQPVRSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDSIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGFNWGHDQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >cds-PLY94990.1 pep primary_assembly:Lsat_Salinas_v7:5:5259657:5270665:1 gene:gene-LSAT_5X2381 transcript:rna-gnl|WGS:NBSK|LSAT_5X2381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHPKNNQGASSSDPSCGNNRSTKSTGETHTVGINTRTAREIMARLQSLEEEIREMKNKRGFEKEDDINEFFNQAFEVNENVEGSYQMPSPVNQQFGSPTNSNRVNEDDDVDRRKQKQIVKEKKKKKVVASNIVDAENHIPVPPVAKPSRPVRVLKLSQYLSSPYVSVQNAPRYRPGGVIRNEPPPLVSFSDPPTLLLETYVNPSCTDPSLYMGNKPTVYLKHQLYNERIEARFWNMLFYSIDLRFLDEAILFCFVSDGKVFMEYIGATGKPVTMDEVPIDDGIDFHFILSFAIDADASGNPQNGIFKPYWASSLTPESVDAVKSKHPNVKAMASLSGWSLGSTTLRWYKPENSQTWISNAFKSLKSIIQTYNLDGIDIDYENFPRHNESFSYCIGELITYLKNQSVISVATIAPYRLTTIPYVQLFAAYGGVIDYVNHQFYTDKVRTTEAYLEDFRLRTAQFDKEKVLPSYEIDGRGIQGEAFFDALHILEENGFDINGIMIYSADASASTNLTTKFYYEQKSQEFLLNSSRV >cds-PLY91918.1 pep primary_assembly:Lsat_Salinas_v7:8:199611899:199614154:-1 gene:gene-LSAT_8X126941 transcript:rna-gnl|WGS:NBSK|LSAT_8X126941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLENLDTLNSSGTDDKQGTENREDLDSRASGTKTNGGDSSDNEATSSVNDKGIKRSAGGDIAPTTRHYRSVSMDSFMGRMNFADESPKLPPSPGGHIGQLSPNNSIDSNSNTNTFSLEFGNGEFTGAELKKIMANEKLAEIALSDPKRAKRILANRQSAARSKERKMRYITELEHKVQTLQTEATTLSAQLTLLQRDSAGLTSQNNELKFRLQAMEQQAQLRDALNEALTAEVQRLKITTAELNGDTAKFSQLSISSQMFQLHMQQQQQQQQTEQQNGGAATKHESNQ >cds-PLY77792.1 pep primary_assembly:Lsat_Salinas_v7:2:170301742:170303145:1 gene:gene-LSAT_2X91041 transcript:rna-gnl|WGS:NBSK|LSAT_2X91041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSATTFLSFQLLIPTYTSISTPLGPNPYPKPFKTINVSRISSWISHKTTISPSKSLQFVTNTSDWSQQLTQETEPVDEEDATNEEGEEEEESYSEPPEEAKLFVGNLPYDFDSEKLANLFNSAGVVDIAEVIYNRDTEQSRGFGFVTMSTVEEAEKAVEKFNGYDLSGRALTVNKAAPRGSQPERRVVGTSFKIYVGNLAWQVDSERLEQAFNEHGKVVDARVIYDRESGKSRGFGFITMASESDMNDAIAALDGQNLDGRAIRVNVAEERPKRSFF >cds-PLY62453.1 pep primary_assembly:Lsat_Salinas_v7:1:82285579:82292921:-1 gene:gene-LSAT_1X71321 transcript:rna-gnl|WGS:NBSK|LSAT_1X71321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP214 [Source:Projected from Arabidopsis thaliana (AT1G55540) UniProtKB/Swiss-Prot;Acc:F4I1T7] MAETETADTSKNVIKVEEEIEGDQIGTKNYRFSKIGESVPIKSDADFKFDMDNLPSRPMAVSERFGVIIVAHSSGFCVARTKDVMDSAEELKNGGTGPCIQELSVVDVSVGKISILALSADSSMLAASVGSDLYFFSVEGLLNKDQEPSFSKSINGSSCIKDMQWSPRLKQQYVVLTNDGNLYHAAGQDDLNNLMDNVDAVNWSMDGKFLAVAKYDYLSILSSKFEEKLQIKLLFDSLVDDSAPDSVVKVDSLRWVRPDCIILGCYRLNADGKEENYLLQLISVKDGKITKPSSNPVALTFSDAFLAINEDDIPSGSGPHMFVSYVDECEIAFVANRKNTDQHIVLFGWSSDKNNEAEMIEITNDAWIPTINLQENSDDNLILGLAVDKVYKDEKLRLTLGEQDTEVSPCCILLCLTIDAKVFMFHFASATGPSLLSEDATSSVSDEEVESSPISSQNQVMNIVNNNKQIEDQTPQKVKVDEQNEKAHSEAHTMKKDEKTNSTSVLQLDKGATQQQVPVVKDVRKIENEKVADSVSKNDKSNKTLFGGLESSFNKASSFGFHGSSGSFSSSSNVFGMQSSKASFGLNTSSGSSPFSSNAFGMPSSSSSSFSSFSGIQRSAESSGKIGSTNLPVSSGTSSSGGMFSSRTFELKSPFSNTGTGTTPLKLSFPKMPSAPSTTTSTTSGVNVINTLPVMSTTPPNLGKSLDLRSSDKGNYRSQTQSRMLNSDPNLSEQHSVEEMAKELDTLLDSIQGPGGFYDASVSAHKQSVTALEEGILVLSERCRKWTDTMDHGIEEVQLILDKTVQVLARKIYMEAIVKQATDSQYLDIWNRQKLNSELEMKRRHILQINQNLTNQLIELERHLNTLELQRFGDKGDLETNRRSFHTRHGPPRHVQSLHSLHNTMNAQLAAAEKLSECLSKQMAVLSIETGPTKKQNVKKELFDTIGISYDNSPSQEKSRSVPPKSQLVISASSDTKKSQQSAVKSSEPETARRRRDSLDRSWASIEPPRTTVKRMLLQEERTSTSTSTPPARSSLPPGTRKLDRLSVGPTVSHNMPSETQIKRHSERQLNSSSIWGNNSNSNSNSLDSTKTPLVAASVLSLGSVSHSQSTPVVSQEVEKSKSRFTFNLKSDDPKITQQPPSFLEPSKSPATNIFQKKPLDFSESSNKETEKPNFSVGDMNQKPKPKVAESSSIWSKKSQESPFSATSSSTAFSGKSFSLDSSSSSTKEKQLSEAVSSSVASLSVPVTSTPASSTPPISFSKPSTSFGIQSGATQTTTPLSAPSPSPSFLSFSSSIKPSANLDLNTSKSKPDTTETPTPTPSLLSLPKFDIKPNENSSTQISPPTPVVSSVATSSPSPSLSSNPKPKPEQEQASLVIQDAAVSQEDEMEEEAPVPETPPTLGNFSGFGLGSSPNPNLGAPKPNPFGAPFGNPVATPSQPVPSFAPSQPTGGLFRPASFNIESSPPMQPSQPSQQPNFNAFSGGFGGGGGGQGFGQPPQIGSGQQVLGSVLGSFGQSRQFGAPSSFGGSGFGASQTAGGFAAASSGGGFANLASGGGGFSGLATSGGGFAAAATSGGGFAAAATGGGGGFGGAAAGSGGFGGAAGGGGFGGAAGGGGFGGAAAGSGGGFGAFGSQGGSGFSTFGSSGGTTPRPPSELFTQMRR >cds-PLY93114.1 pep primary_assembly:Lsat_Salinas_v7:8:253270230:253272069:1 gene:gene-LSAT_8X149361 transcript:rna-gnl|WGS:NBSK|LSAT_8X149361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWQGQKLAEQLMQILLVVFAVAAFITGYVVGSFQLMLFVYAGGVVLTTLITIPNWPFFNKHPLKWLDPSEAEKHPKPQVAVTSVSKKKPNKK >cds-PLY70747.1 pep primary_assembly:Lsat_Salinas_v7:8:167143995:167146487:-1 gene:gene-LSAT_8X109660 transcript:rna-gnl|WGS:NBSK|LSAT_8X109660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNLQRSQFVQVFNNSPDETAYFRMMLNRESITNATVMFQPSLISYSFNSLPSPALLDVAPISTDRILLLDSYFSVVVFHGKTIAQWRNNGYQHQPEHQAKFLLAKLNPSATYNNEVAKARMDVIFTDDVNLQRLMVQLSSQTNELYQLVAVAFCLLSAWMTVRDALESALVEEMAADSSVFIMGEERILGCIQAIGWQGGSILVLENVWCMVAQGLRSVIMSCI >cds-PLY77589.1 pep primary_assembly:Lsat_Salinas_v7:2:162652025:162652942:-1 gene:gene-LSAT_2X85040 transcript:rna-gnl|WGS:NBSK|LSAT_2X85040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATGETQPGKHQEVGHKSLLQSDALYQYILETSVYPREPESMKELREVTAKHPWNLMTTSADEGQFLNLLLKLINAKNTMEIGVYTGYSLLSTALALPEDGKILALDINRENYEIGLPIIQKAGVAHKIDFREGPALPLLDQMVEDVKFHGSFDFIFVDADKDNYLNYHKRLIDLVKIGGVIGYDNTLWNGSLVAPADAPLRKYVRYYRDFVLELNKALAVDPRVEICQLPVGDGITLCRRIS >cds-PLY86868.1 pep primary_assembly:Lsat_Salinas_v7:8:50385549:50386857:1 gene:gene-LSAT_8X37520 transcript:rna-gnl|WGS:NBSK|LSAT_8X37520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPPPAPVIAVVVFLIKDNTVLLGRRRDSVGLNTFALPGGRLEFGESFEECATREVKEETGLDIKDIQFLTVTNDVFTEAEKPFHSVAIYMRASLSDPDQIPQNVEPDKCYGWDWYDWKKPPQPLFRPLETMLKTGLNPFLTH >cds-PLY67761.1 pep primary_assembly:Lsat_Salinas_v7:9:166481314:166486009:-1 gene:gene-LSAT_9X103561 transcript:rna-gnl|WGS:NBSK|LSAT_9X103561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAILDNLSFSISFSLQKLDTHELNSPKIERFHRFRIEMQKRESKSPIKSGINGKPNGKPLNMDRIPIAMVPITEAMKTMTQSEKRPGILTRLTQIVNRETTSAIEVKKPSIEGSNILPSDETFSWANENYNPVQRTLDLCSFVISLHLRLLLNNSKWSYPRGFTEIKQKTRRKKTASWLRERVLQLGPTFIKIGQLSSTRSDLFPHEIIHELAKLQDRVPAFSSTKAKCLIERELGAPVDELFKEFEDQPIAAASLGQVHRAILHNGERVVVKVQRPGLKKLFEIDLRVLKLIAEFFHRNEILCGLTKDWMGIYEECEKILYQEIDYVNEAKNAERFRRDFRNIKWVRVPQVFWDYTAMKVLTLEYVPGIKINNLDAIDKKGYNRSRISSRAIEAYLIQILKTGFFHADPHPGNLAIDVDESLIYYDFGMMGEIKSLTRDKIFDLFYAAYEKDEKKITNSLISLGAIQPTGDMLAVRRSVKYFMENSINQMPNKHTNLAAISDDLFAIATDQPFRFPATFIFVMRAFSTLEGIGYTLDPKFSFIKIAAPYAQELLYLKQTQHTGTQLLQEIQKQADNARSYTMSMPYRVQHIEDFIKQIETGDIKLHVRVLESERAAQKTRILQIATMYTAIWCMLINLGVILTIHGNLFLANGLFISSGFLLVLFLMSMQRVKMLDDFKKMV >cds-PLY91002.1 pep primary_assembly:Lsat_Salinas_v7:7:129471847:129472164:1 gene:gene-LSAT_7X78500 transcript:rna-gnl|WGS:NBSK|LSAT_7X78500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNGNLDNHLFSSMSEKTRLTWSQRKNIILDVAKCLAYLHYGLKPTIYHRGIKPTNILLYANMRARVADFRLAKKSREGQSHLTTRVTGTHGYLAPEYAIYGQLT >cds-PLY97656.1 pep primary_assembly:Lsat_Salinas_v7:5:233856347:233856784:1 gene:gene-LSAT_5X116540 transcript:rna-gnl|WGS:NBSK|LSAT_5X116540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPIGDEKNSLTLKMGQKLQDSCSRSKPISGKKCYFCKRKFYCPQALGGHQNAHRRERDAARRYHSLHMDNKFPIHQTLGVHTHSLPYTPTTNEGGQIMVTVGEYGATWVNGEEGLMWPGSFYLDSQMAAPQPSDQLSLDLTLKL >cds-PLY95258.1 pep primary_assembly:Lsat_Salinas_v7:8:137154221:137154999:-1 gene:gene-LSAT_8X94820 transcript:rna-gnl|WGS:NBSK|LSAT_8X94820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFNLLNWVEEGRLWPHFCHTESHDTKDACKKTLTNWRFRGIRCLNVVKPPCSHAWCSQ >cds-PLY65268.1 pep primary_assembly:Lsat_Salinas_v7:MU045421.1:409273:409911:1 gene:gene-LSAT_0X12080 transcript:rna-gnl|WGS:NBSK|LSAT_0X12080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANTFVGFEERAVDALREGKCIPNGPTPPIYFIGPLIVGGNHVDPSENECLKWLNSQPSKSVVFLCSGSQGVLKKEQLKEIAIGLEKSEQRFLWVVRDPPPDDKKTDSNSGGGKEVGLDAILPDGFKGRIGDKGMVVKNWAPQPAILSHESVGGFVSHCGWNSVLEAVVAGVPLVAWPLYAEQKMNRVYLVERIDTRETEILIQVRLRGKNI >cds-PLY86162.1 pep primary_assembly:Lsat_Salinas_v7:6:155848083:155850153:1 gene:gene-LSAT_6X94980 transcript:rna-gnl|WGS:NBSK|LSAT_6X94980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESFSSFFDSQSRSASPNSWTYDSLKNFRQISPLVQTHLKQVYLSLCCALMASAVGAYLHILWNIGGLLTTFGTLGCMFWLLATPQYQEQKRVSLLMASSLLQGASIGPLIDLAIEFDPSILVSAFMGTAIAFACFSGAAMLARRREYLYLGGLLSSGVSILFWLHFASSIFGGSVALFKFELYFGLLVFVGYMVVDTQDIIEKAHLGDLDYVKHALTLFTDFIAVFVRILIIMLKNSAEREEKKKKRRD >cds-PLY82149.1 pep primary_assembly:Lsat_Salinas_v7:1:16076801:16079063:-1 gene:gene-LSAT_1X13341 transcript:rna-gnl|WGS:NBSK|LSAT_1X13341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHQFESKADAGASKTYPQQAGTIRKNGYIVIKNRACKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLKLPTDDALLTQIKDGFGEGKDLVVTVMSAMGEEQICALKDIGPK >cds-PLY75062.1 pep primary_assembly:Lsat_Salinas_v7:9:21884715:21892214:1 gene:gene-LSAT_9X19181 transcript:rna-gnl|WGS:NBSK|LSAT_9X19181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASDGTEYFDVDIESGHDMFSWRSNAEEAQRDETELLWAAIERLPSRKEKKFALLKRSVSGSNGSEERTETIDVTKLDRRNRSLIVRKALATSEQDNYKLLAAIKERFDRVGLEVPKVEVRFEKLNIEADVVIGSRALPTLVNYSRDVIEHVLTNLRIFHPRRHRLSILKEISGSIKPGRMTLLLGPPGSGKSTLLLALAGKLDTSLKKSGKITYNGHELHEFCVQRTSAYISQTDNHIAELTVRETLDFGARCEGEGFAGNLRELTRLEKENKVRPSPEVDAFMKASSVAGKRHSISTDYMLKVLGLDVCSETFVGNDMLRGISGGQRKRVTTGEMVVGPRKTLFMDEISTGLDSSTTYQIVRCIKNFVHQMEATVLMALLQPAPETFDLFDDLVVLSEGHVVYEGPREQVLEFFESLGFQKPPRKGTADFLQEVTSRKDQAQYWADSSKAYEYIPVSKISEAYKKSIYGRSLESSLSVPFDKSKGHVAFVGFVTCTLFIRTRLHPTNLTDGSLYLGCLFFALVHMMFNGFSELPLMIFRLPVFYKQRDNNFYPAWAWSVSSWILRVPYSAVEAIVWSTIVYYSVGFSPSVGRFFRYVFVLFSMHQMALGLFRTLAAVARNVIIANTFGSAALLVVFLLGGFIMPKDMIKPWWIWGFWMSPLSYAQSAIAVNEFTSTRWMKNLTGTNTTLGYTVLQFHDLPADDNWYWLGVGVLLLYALAFNIIVTLSLDYLNPLKSAQIVPPDTGENNSTDSTAGNQAPPADTSCRRQGMTLPFKPLSMTFHNVNYFVDMPKDMNLEGVPETERKLQLLSDVSGVFLPGVLTALMGSSGAGKTTLLDVLAGRKTGGYIEGEISISGFQKEQSTFARVSGYVEQNDIHSPQVTVIESLLFSAFLRLSPDVNKKQRREFVEGVMKLVELDNLRDALVGLPGSTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIEIFEAFDELLLMKRGGRVIYGGKVGERSNILIKYFESINGITPMPSEYNPANWMLEMTTPAAEERLGQDFSVIYKNSKQYRDIEALIQQTSTPQPGSEPLHFSSTYSQTGFSQFSTCLWKQNLVYWRSPEYNAVRLFFTTMCALIVGSVFWDVGSKRNSSQNLMVVMGALYTAVMFLGVNNSSSVQPVIAIERTVFYRERAAGMYSAIPYAIAQGLVEIPYIAAQTILYGITTYFMINFQRTIGKFLLYLVFMFLTFTYFTFYGMLAIGLAPSQQMAAVVSAAFYSLWNLLAGFLVPKPLIPGWWIWFYYLCPIAWTLQGLIGSQLADVEEPIIGPGGFQGTVKGYLKEALGIESNMIALSAFMLFAFSLLFFLIFALSLKFLNFQKR >cds-PLY87782.1 pep primary_assembly:Lsat_Salinas_v7:1:46154991:46156738:1 gene:gene-LSAT_1X40141 transcript:rna-gnl|WGS:NBSK|LSAT_1X40141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCEDRFLRQKTDDTEGVVSSSRFWLLQPTKRGFGFSLFKKTTGAETGEDEFSGPNNYYNCFKEQNCGTCRQQKCFQRTSNTQQEASSDVSESHNEIFQQRSTIAALCNTSFVAEYEEDGFSYEQNGGLEEAKPVHQLNISAFQFIRKESEVENDLNFVDDCEIRWEDLIFKEEIGLGSFAWVYRGIRNGSDVAVKVYFGNQCKRIVNGTLHFSIIHAYKVKIRDIKKESVEG >cds-PLY93871.1 pep primary_assembly:Lsat_Salinas_v7:5:332452315:332455519:-1 gene:gene-LSAT_5X185821 transcript:rna-gnl|WGS:NBSK|LSAT_5X185821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTEEPPFSTSALSALDKPLHQLTEDDISQLTREDCRRYLKQKGMRRPSWNKSQAIQQVIMLKALLEPAPESGDGSRKLYITSRQQQTPTTRVQNGTSADTEISLSAEESVPGHRNDTEKSDFLGDNDSAPPGIGGVIEEAKGQMTIFYSGKVNVYDDIPADKARALLQLAASPLQFPHEDPVDGNMLQQPQNINLPILQTVRTTDNYRLNKEESSISREEISGSASRKASVQRYLEKRKDRFKSKRKGGGTFDVYINSQQINEQSSRSTTCSPPPVRPPNTPNRFS >cds-PLY67269.1 pep primary_assembly:Lsat_Salinas_v7:5:133418369:133419221:1 gene:gene-LSAT_5X57741 transcript:rna-gnl|WGS:NBSK|LSAT_5X57741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWEEDSDEYIRKNLPYELVCTCSNCLLVIRIQPTVTNCEPVKYSDGQQHVNEEEVVGEAFEDSVLQKLQKHMGDFNYPRLAYFVAATSGSTKYNAKKCLKS >cds-PLY67410.1 pep primary_assembly:Lsat_Salinas_v7:6:107544766:107552585:-1 gene:gene-LSAT_6X67340 transcript:rna-gnl|WGS:NBSK|LSAT_6X67340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVELPSRIGILPFRNKVLLPGAIIRIRCTSPSSVKLVEQELWQREEKGLIGILPVRDAAETLSVGSMLTQGTDLGSSKNQTGLSDSHKLDGKSQQEPIHWHTRGVAARALHLSRGVEKPSGRVTYTVVLEGLCRFSVLELSTKGTYSTARISPLDMTKAEMERVEQDPDFIALSRQFKVTAMELISVLEQKQKTGGRTKVLLETVPLHKLADIFVASFEISFEEQLSMLDAVDVKVRLLKATELVDRHLQQSIRVAEKITKKVEGQLSKSQKEYLLRQQMRAIKEELGDNDDEDDDVAGLERKMQSAGMPPNVWKHAQRELRRLKKMQPQQPGYNSSRVYLELLADLPWQTSSEEVEMDLKAAKERLDSDHYGLEKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFVRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKKVAVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPYDLSKVIFVATANRAQPIPPPLLDRMEVIELPGYTPEEKLRIAMRHLIPRVLDQHGLNSEYLKVPEAIVKVIIERYTREAGVRNLERNLAALARAAAVRVAEQEQDRDRDRVALNKDVQQISSPLLESRLAEGGGVEMEVIPMAMGVNNHEISSAFRRVIMSPLIVDDEMLEKVLGPPKYDDKETAERVSTPGVCVGLVWTSFGGEVQFVEATSMVGKGDLHLTGQLGDVIKESAQIALTWVRARAAELNLGCAQGEGNLLEGRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSRRRVRSDTAMTGEITLRGLVLPVGGIKDKVLAAHRNGLKRVILPERNLKDLVEVPPAVLASMEILLAKRMEDVLEHAFEGGCPWRQHSKL >cds-PLY72552.1 pep primary_assembly:Lsat_Salinas_v7:2:138801348:138802850:1 gene:gene-LSAT_2X66321 transcript:rna-gnl|WGS:NBSK|LSAT_2X66321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNGVSSSSLIAPRELSRQCLAPTKISGLKLVSFSNSGRTNLPLSFRRLQVSCAAKPETVEKVCDIVRKQLALKDDISVSGESKFAALGADSLDTVEIVMGLEEAFGITVEEENAQSIATVQDAADLIEKLCEKSA >cds-PLY84554.1 pep primary_assembly:Lsat_Salinas_v7:1:29859857:29870513:1 gene:gene-LSAT_1X25540 transcript:rna-gnl|WGS:NBSK|LSAT_1X25540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTVVVNCESKPHVVFIPFPAQSHIKCMLKLARLLHHKGLHITFVNTVFNHKRLLNSGGPDCLDGAPGFQFETIPDGLPYDPDNSNVDVPQDIGELCNSILTNCLAPFLDLVVRLDSPVTCIISDGLMPFTIDAAEKLRIPIMHFWTVAACAFMAFREGPNLVEKGVIPLKDESCFTNGYLDTLIDWIPGMEGFCLKDFPSYVRTIDPNESGYNIVIKSAETTRKASHIILHTFEELESTIIKALKDYFPNLYTVGPLQLLLNKTENDEETKNLDIKSYSLWKEEPECIKWLEMREPNSVIYVNFGSITVMSLQELLEFGWGLANSNHYFIWIIRPDLVIGESAILPVEFKEVIEKRGFIASWCPQEEVLNHPSVGGFLTHCGWGSIIESLSAGVPMICWPFYGDQLTNCRQMCKEWDVGMEIGSNVKRDNVEKLVRKLMGGIEGKRIRNKAMEWKRITEIATSPKGSSLLDIEKLVDDINAFSRN >cds-PLY92208.1 pep primary_assembly:Lsat_Salinas_v7:6:74582400:74584573:-1 gene:gene-LSAT_6X54421 transcript:rna-gnl|WGS:NBSK|LSAT_6X54421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVPPTPFSPHDDAIHLYKAFKGFGCDTAAVINILAHRDATQRAHIQHEFQRMYSEDILKRLSSELSGKLETAVLLWMHDPAGRDAIILKQALTKDFINLEAVTDVICSRTPSQIQTLKQIYHSTFGTYLEKDIEIQASGDHEKILLALISKPRYEGMEVDRDMAAKDAKELYKAGEKKLGTDEKVFVHIFSERSKAHLVAINSFYHDMYGGSLKKAIKSETSGLFERALLTILQCAENPAKYFAKVLNKAMKGLGTDDTTLIRVIVTRTEIDMQYIKSEYHKKYKKTLNDAVKSETSGNYQTFLLSLLGPNH >cds-PLY83140.1 pep primary_assembly:Lsat_Salinas_v7:3:94281950:94283157:1 gene:gene-LSAT_3X70961 transcript:rna-gnl|WGS:NBSK|LSAT_3X70961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTFSSTENNIHHFRSMSLPALAHPSTIQAEEEISEFRKWEASTSSVPTADTICGALVRLQGLYECVDTLLSLPLTQQALTHSQYTKLVNELLDKSISLMDICESTRDLVSQVKENARDVQSAVRRKKGDVSIATSFMKNLKKDTKKAISSLKTIDEKIGGMPPIDLDHHVLSVIKVVRDIGVVRSSVYRSLLLFLSGSVAKSKSTRWSIVSKMIHKGTAEEKSQVQIFNGDLESLFEEMENGLECMFRSLIKTRASLLNILPPKHSLNLGSSVTMDQA >cds-PLY91904.1 pep primary_assembly:Lsat_Salinas_v7:8:196826223:196826955:-1 gene:gene-LSAT_8X126561 transcript:rna-gnl|WGS:NBSK|LSAT_8X126561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRLPSLIANAKQFSRLQSVCSRRLSDVPKGYMAVYVGEIQKTRFVVPISFLEQPQFQDLLHKSEDEFGFDHPMGGLTIHCEEEVFIDLTSRLRVS >cds-PLY75629.1 pep primary_assembly:Lsat_Salinas_v7:8:212912528:212913688:1 gene:gene-LSAT_8X132980 transcript:rna-gnl|WGS:NBSK|LSAT_8X132980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALNIGMLASYDQSVEFFKDNLATIVGKIKVLFPFFNCEKSAAESRNPASQNVVILIFNRSVESGFQKEMDMASSSGAHVSARLVISQNQMGCMLGKGGSIVADMRKMTGAFIKIVGDHQVPKCAPETNQVTGEMINVRDALYSVTGRLRNNLFSNKMSNSHETGTVTKRVHIHTINLLLPCHIILISIILI >cds-PLY89696.1 pep primary_assembly:Lsat_Salinas_v7:1:132062555:132064402:-1 gene:gene-LSAT_1X96921 transcript:rna-gnl|WGS:NBSK|LSAT_1X96921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPNIMSSPDNRSTQEVLEFLDFSEVSSVEKGLLFASDEGKGEMTANKYDAEREASNSNNIKGTFVEPFKKHYFSSCYRVPLGGSIGRTYKGEFLRWQLFPKICEDKPVLANQFSIFVSRPNGNKTSTVLCPPNPKLLK >cds-PLY80771.1 pep primary_assembly:Lsat_Salinas_v7:8:137820355:137825183:1 gene:gene-LSAT_8X95421 transcript:rna-gnl|WGS:NBSK|LSAT_8X95421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTQSPLHPASLKQETHLDEKIHSGTVSAVVSSRTQSRCNICMEEKNNSCQIVRSRTKLMKILIERKKPQEVQSIMKDLIEDGHIPTLVTYTTLLAALTLQKRFNSIPSLLSKVESNGLKPDSIFFNAIINAFSESGNMKEAMKMYKKMKDSGCKPTTSTLNTLVKGLGIIGKPEECLKLLEIMTTEEKVKPNDRTFNIIAQAWCSKGNIIEAWNVVDKMVVFGIKPDVVTYNTIAKAYAMNGDTYRAEEMIFQMQYHKVAPNKRTCGIIVNGYCREGNMMDAMRFVYRMKNLGVPPNDVVFNSLIKGFLDTSDSDGVDEALKLMEEFGVKPDVITFSTIMNAWSSVGLMEKCQDIFDDMMKAGIEPDIHAFSILAKGYVRAGEPKKAESLLQVMKESNVSPNVVIFTTIISGWCSAGKMDYAIRVFNEMGKMGISPNLKTFETLIWGYGEARQPWKAEELLQIMEEKGIVPQKNTIELVADSWRAIGVNNEVQRIMDHVEEDDDDEYNENDDEIIDSGMKSRSGIVVKRSTFSTESLSSLTRKSILIAHRCRFGVKAMTMFNNKVQVKVQVAIYGHMSTYKLVF >cds-PLY84492.1 pep primary_assembly:Lsat_Salinas_v7:1:29954397:29956101:1 gene:gene-LSAT_1X25740 transcript:rna-gnl|WGS:NBSK|LSAT_1X25740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPESRIDEKKPHVLFIPFPAQSHIKCMLKLARLLHHKGLHITFVNTESSHNRLLKYGGLHGLDTAPGFQFKTVPDGLPSDDDTELNQTAEAERLFVYLSTNFLGSFLDLVARLESPPTCIISDGFMTFTDTVNATAEKLKIPIILYWTLAACGFMAYYQTKVLTDKGLLPLKDESYLNNGYIDTLIDWIPGMEGVRFRDLPKHMLATSADDPAYNYLLESARTADKVSHVILHTFDELEATLVKELKSIFPRIYTVGPLQLLLNQTNQTKSSSFNGYSLWNEEPECIEWLESKEPNSVLYVNFGSLAVMSLQELLELGWGLVNSNHYFLWIIRTDMVDGGSAILPLEFEDAVKTRGFIANWCSQEEVLNHPSVGGFLTHGGWGSVIESLSAGVPMLCWPVSHDQMVNCRQMCQNWGVGMEIERNSKRDEVEKLVKALMEGIEGKRMRKKAMEWKKMAEIATDCNGCSSLNIEDLVNEITNMSTN >cds-PLY62471.1 pep primary_assembly:Lsat_Salinas_v7:1:84278243:84279832:1 gene:gene-LSAT_1X70260 transcript:rna-gnl|WGS:NBSK|LSAT_1X70260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNYHFLLVSLPHKSHINPTFRLATKLTRAGARVTLATTINGLKTLPSVPGLSYHFFSDGGAAGKPNYLQEIKLAGLSNLKELLITKAKEGQKVDFLIYGICLPWVAEVARELQVPSALFFIQSAASFSVVYHLFRSDGGVGNSNIDPSGTVKIPGLPLLRYSEVPSFLLTTHALAVVFQEHIEILEKHPDSFILINSFNALEEDSIEAIPDHRNIYTVGPLVSGDTEEPFVSDTFQDSDRETYLRWLDTKPEKSVVYVSFGSLLKLQKNQKEEIFQGLIEFGHPFLWVIRHNGENDEEEVMRYTAEGGGLIVRWCSQVEVLNHVAIGCFVTHCGWNSTLESVVSGVPMVGCPQNADQKLNAKMVEEVWGNGVKAVADGEDVVGREEMKRCLAVVMGGGEIKRNSEILKSMAMEATVEGGSSHTNLNRFFETLKRVS >cds-PLY71190.1 pep primary_assembly:Lsat_Salinas_v7:2:132693360:132700863:1 gene:gene-LSAT_2X63041 transcript:rna-gnl|WGS:NBSK|LSAT_2X63041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGNKMQQFQVLHFLILILLTTFTKAQNYIQTRCDRRCGQANIRFPFGIGINCSLNEWFNVDCNSERAYLPALNNVEVLNAYYTHLILNVPMISDCKNSLHNSSLDLSRSPFRYSRYNNMLVFQGCGHADIIMENGTIVGGCSTTCRKDSTVSDLVSDLNNCFGVGCCQTTIANDLRWFTLNRTSLESQDGDGTLCGSAFLMYKYRQPTFGPQSIGQDHSFVPVSLSLKGNDTSVGCNNTCGNFSIPYPFGIGRSCSGNEWFTIHCNSSTPYLSALNNLELLDIKSERFTVSVPMISDCENPIQNSSLDLNKSPFYFSKYGNLFVVEGCGNGVIINENGDISGGCSTSCSNESVSERNNCFGIGCCETTMTYDLKSFTLNLTELVGSHAGNVSCSRSAFLVDKNSYMRGRFSSQSTVEDQRFVPVSLEWGYMDEGGNAKKDKKCIDCEFKGGHCYYKDGLDSDVTCLYDNKISLGVILGVSISIGLLLLIVMGYALYKIIKKTKAKRRKQSFFKRNGGILLRQQQTTDISLVDKTILFTSNELDKATNNFNENRILGRGGQGTVYKGMLADGRIVAIKKSKVVDESQLEQFINEVVILSQVSHRNVVKLLGCCLETEVPLLVSEFISNGTLYDLIHDETSEFLFSLNMRLQIATEVAGALSYLHSATSIPIYHRDIKTTNILLDEKYRAKVSDFGTSRLVSIDQTHLTTLVKGTFGYLDPEYFQSSQFTDKSDVYSFGVVLLELLTREKPISLTRFGENRSLALHFMLAMEEGQVMSIFDASVVKEGSRSELLAIANLAMQCLNFNGRNRPTMKEVASELEGIRLSHVPSTDEPNFGHVKHYEEVALIYGESTSTSITFYHNPSQ >cds-PLY83575.1 pep primary_assembly:Lsat_Salinas_v7:5:18520805:18524782:1 gene:gene-LSAT_5X9301 transcript:rna-gnl|WGS:NBSK|LSAT_5X9301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:U2 small nuclear ribonucleoprotein A' [Source:Projected from Arabidopsis thaliana (AT1G09760) UniProtKB/Swiss-Prot;Acc:P43333] MVRLTADLIWKSPHFFNALRERELDLRGNKIAVIENLGATEDQFDAIDLSDNEIVKLENFPNLNRLGTLFLNNNRITRINPNVGEFLPKLHSLVLTNNRLVNLVEIDPLASLPKLQYLSLLDNNITKKPNYRLYVIHKLKALRLLDFKKVKQKERIEAASLFASEEAEEEAKKESVKTFVPGEVPSAHEESKEEEPSKPVGPTPEQIIAIKAAIVNSQTLEEVARLEKALQSGQVPADLNLGNINKKNPTDDHDASKENDGPADMEQVFYFRLKKMPSEFFFNKRRDERKGNSETSFDERKGNDRGQKERR >cds-PLY83610.1 pep primary_assembly:Lsat_Salinas_v7:6:165038535:165040524:1 gene:gene-LSAT_6X100940 transcript:rna-gnl|WGS:NBSK|LSAT_6X100940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWHGVKQPRNPWSDGPVYITQCPIQPGDSFNYKIIFSEEIGTLWWHAHSDWLRATVHGAIIVYPKHGRSYRFPKPDQEVPIIFGEWWKEDVREVLREFVASGGGPRNSDAYTINGQPGDLYPCSSQDTFMLNVQYEKRYLLRMVNAAMNEILFFAIANHSLTVVGADGSYTKPFTKDYVIIAPGQTLDCLLEANQKVRGSYYMATRAYSTALPFDNTTTTAILNYDGYSLPTTTSPLLPSLPFYNDTTAAFDFLGNLRYPDPLLFPLNKYDTRIISTISVNTLPCANASCAGPNGNRLAASMNNISFVSPFIDILEAYYYHINGVFGTQFPRVPPLFFNFTSTNLPTILLTPKRATEVRVIRYNSTIEVVFQGTNLVAGLDHPMHLHGFNFYVVGWGFGNFDKKKDPKNYNLVDPPYRNTVIVPINGWAAIRFKAHNPGVWFLHCHLERHLTWGMETVFIVKDGKKAQERILPPPRHMPRC >cds-PLY97975.1 pep primary_assembly:Lsat_Salinas_v7:3:19398924:19400554:-1 gene:gene-LSAT_3X15101 transcript:rna-gnl|WGS:NBSK|LSAT_3X15101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSYLVSMYKYLNDVNINITVSNANMLIQAYASWNNLSQVHEPSSVRDPPGTGKTQTILGLLSAILHTN >cds-PLY83427.1 pep primary_assembly:Lsat_Salinas_v7:5:330612334:330613347:1 gene:gene-LSAT_5X187060 transcript:rna-gnl|WGS:NBSK|LSAT_5X187060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTEGITENSYLGDNRSMMITNGLFRVGGAAILLSNRPSDHHNCKYKLLHTVHTNASSSNPSYNCIFQEEDEAGIRGVTITKDLFRVASTVIRSNVTTLGKLILPLSEKLRYLTNSIARKLRPTANIQPYIPNYSKSVKHFLPHVGGKPMLDELQKNLGFDETAMEPSRMTLYRFGNTGSSSIWYELAYAEAKGRVKKGNRVWQIAFGSGFKCTSVVWRAMRTVDYDEMNPWTNEIDGFPVNVDCDDGPSAIFFEPSQ >cds-PLY75910.1 pep primary_assembly:Lsat_Salinas_v7:9:198012956:198017096:1 gene:gene-LSAT_9X121981 transcript:rna-gnl|WGS:NBSK|LSAT_9X121981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSSFLHNTPTHQVGVTPASHYKLQKRNFNSTSFSSCPSSSCSMINQQVNRSISCRASMETDVSQRKENAPAHGVSEAVVGVLGGGQLGRMLCQAASQMSIKINILDPMVNCPASSICHHHMVGSYDNSTTVEEFAKRCGILTVEIEHVDADTLEKLEKQGVDCQPKASTIRIIQDKFLQKVHFSRHGIPLPKFIQIEDEESAKRAGEQFGYPLMVKSRRLAYDGRGNAVAKSEEVLTSAINALGGFGHGLYVEQWAPFVKELAVIVARGRDNSISCYPVVETVHRENICHIVKSPANESWKIMKLATDIASKAVASLEGAGVFAVELFLTEDGQILLNEVAPRPHNSGHHTIESCYTSQYEQHLRAVVGLPLGDPSMKAPASIMYNILGEDEGERGFILAHELIRRALCTPGASVHWYDKPDMRKQRKMGHITVVGPSMGIVEARVKSLLNEENADETPVAPRVGIIMGSDSDLPVMKEAAKVLKDFDVSAEVRIVSAHRTPELMFSYASSARERGIQVIIAGAGGAAHLPGMVAALTPLPVIGVPVRASALDGLDSLLSIVQMPRGVPVATVAINNATNAALLALRMLGVSDTALQARMVQFQEDARDVVLEKTEKLEKVGWEAYLES >cds-PLY79995.1 pep primary_assembly:Lsat_Salinas_v7:9:46709292:46717043:1 gene:gene-LSAT_9X43360 transcript:rna-gnl|WGS:NBSK|LSAT_9X43360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSKWKVDKAKVKVVFRLQFHATQIPQSGWEKLFISFIPTETGKAIAKTSKANVKNGSCKWADPIYETTRLLIDSKTKQYDDKLYKLVVGMGTSRSSILGEATINLADYADASQPSVINLPLHGSDLGTILHVTVQLLTAKTGFREFEQQRDKGLQRESESSAAKSPSSDSLILDDQLNKVKTQRQVRPHSKDLVNETGIHEEYSDSQVTCDDSSNTSENYKYDPSITHEVDSIKNRVTKSEKRNGSDELAIAYEENQRLRGSLEVAESSISELKMEVNTLQNHANEMCKETQNLQQELAIEVASSQELMKEVTDLKSECSRFKNDLQQLKEMKLEKIPILPLQEPGSTERIDSTTEGQNLELLNELKIAKAESESLVRKMEQMECYYEALVQDLEENQKKILGEFQSLKNEHSTCAYSINDQMLRFDEERRELSSINKELQKRATTSESALKRARLNYSIAVSQLQKDLDMLSFQVLSMFETNQTVIKEAFSDSSQGHEDLVDDDHRSKNLSGGGDVLSGDLKKSLSLQENIYKKVEEELGEMCSTNLYLDMYSGALSETLMEAVCHIRKTKEKLQELGHELEVSNESRDVLFMRLQTAIEDVHAVNAQNAYYLSKCNDLQLQNQMLETDLGNVSRENFLLMEKITECEALVMEYRVYKSKHEVVSAEKLEVESLLKVQVFDNQKLQKDLSSVKEELEAFRSQICNLEKEKDMARSEVDDVKRRFKNGLHEMVMKLGNPNESLEKVQFQLESVSNKLKNSLESGERHLENSELILKYLLSLELEVERMSSEDRNFVDQVKGLEAIIQEFEKSKLTVSELKQENQDFVLEINRMKESLRFVNDELGVEKDIKGKLEGIVSCLTLELESQRSEVDHVRKLSSDQESENSRVVQLLEQHETQMHKSMICEDLKLTFVKSQYESKIEELVKKNLEEEAKLNDLITSEARYIKENEKLLTTIESLRLESNNSIKEWKGKVGILEKEVEQLKELQVKLHDAEARLNEHVEENELLSRTLKSLRLELKASIANNNEISESKRMLEMSLMEVNNEQLKQLQVKLHEMEARLDEVVESEACCITENEKLSTTLESLHLELKDSIAHNAEISEANNKYALEIGQLKGILVKSEVEIEQNRELQIKLHDTEARLNECLENEARYIKENNNLEKDLESCRLKLEASILQNAEVSEANKDHVLEISQLKDNLVKSEVEIGELQMKLHDTEARLNNCLEKEAHYIKENEKLSRTLESVRLELEASIAQNAKISEANKDHALEISQLKGMLVKSESEIGHIHELQMKLQDTEARFSNSMESEAHYIKETKNLATKLESCRLELQESIAHNEEISKSNNIKVKMLEASLMEVKHELETELLDAKERLDQIVESEAFYVEENESLRSELDAIKKKIIFLENKKVVESTDDVFFMREESEIVLMVLKAKLDEQNDELIMIQNKCNELTHKLSEQILKTEEFKNLSVYLKEMKEKSEKKEPEGPTESLRMAFIKEQHQAKLQELKQQLSVSKRHGEEMLFKLQDALDEIESRKKSEALQLKRIEELEAEVESVISDKPDHDRIQAELECAILSLECCKEEKEKLVALLQESEEEKSRISAEVSLIKEQISQKDENGHTGVNGESKQRSIHGEDEDEENSLSNDSKQLMVVNDQFRAQHLRSCMEQLDEELEKMKNDNSILPLTNYDPGFQDLQKELSQLQKANEELGSIYPNFIDFPGNGNALQRVLALEIELAEALRTKKKSSIQFQSSFLKQHSDEEAVLKSFRDINELIKDMLEIKGKYVNVESELKEMHERYSELSLQLAEVEGERQKLMMTLKNVRSSRNLLRSNRSSMEDRKISENDALL >cds-PLY73739.1 pep primary_assembly:Lsat_Salinas_v7:8:169696102:169696671:1 gene:gene-LSAT_8X111181 transcript:rna-gnl|WGS:NBSK|LSAT_8X111181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLTIVSLLLFVLFVILLHLYARWFLSQARPRTPTTITLTHISRPARLHRRSTTSLGLPSSVIASLPLYIHRLPPSVDRNYVLECPICLSAFQEEEVGRKLPVCGHAFHVECIDMWLHSHSTCPICRATILYNYNRKMDNVNHIVDQVEIDIVNPEQLEIDDAIRFPVQESPKFTNTSLEIHTGPSSSS >cds-PLY74370.1 pep primary_assembly:Lsat_Salinas_v7:5:56569917:56571837:1 gene:gene-LSAT_5X26721 transcript:rna-gnl|WGS:NBSK|LSAT_5X26721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFKLLYTTLTLLALLSSSTFCSGFPSFHFGWGNGGGGGGGGVSRPIGGRKYSGLFPGFYSHTCPQANDIVMSVLEKAISKEPRMAASLLRLHFHDCFVQGCDASVLLDDHPTFKSEKKSVPNKNSLRGFEVIDEIKSKLEQTCPETVSCADILALAARGSTILSGGPNWELPLGRRDSKKASLNGSNKNIPPPNSTIQNLLTFFQRQGLNEVDLVSLSGAHTIGMARCTTFKQRLYNQNGNNQPDSTLERSYYHDLKSVCPKTGGDSNISPLDRTSPMTFDNTYFKLIMSGKGLLTSDQVLLSGNLGKTMELVKHFADDHVLFFRHFAQSMVKMGNISPITGYKGEVRKNCRRVN >cds-PLY87365.1 pep primary_assembly:Lsat_Salinas_v7:1:98157365:98170856:-1 gene:gene-LSAT_1X78900 transcript:rna-gnl|WGS:NBSK|LSAT_1X78900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKYEVVKDLGAGNFGVARLLRHKETKDLVAMKYIERGQKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFDRICTAGRFSEDEARYFFQQLISGVSFCHSMQICHRDLKLENTLLDGSRAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGGYPFEDPADPKNFRKTISRIMSIQYKIPDYVHISQECKQLLSRIFVANPSRRITIKEIKNHPWFLKNLPRELMESAQTNYYQRDNPSFSLQSVEEIMKIVEEARNPVPSSKSVGYFGWGAEEDDEKEEEFDEEEVEEEDEYEKRVKEKHQLLCYYSIFLCLHVEASTFLCYYSVDASTLNVLELELASIFGGLLVISHNFQTEKKHSDCLDVLSETHNRASNQKIQIGADPDVHPQFSP >cds-PLY64464.1 pep primary_assembly:Lsat_Salinas_v7:3:15662369:15664862:1 gene:gene-LSAT_3X11240 transcript:rna-gnl|WGS:NBSK|LSAT_3X11240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVTATARMMNGGGGGGQVVMVERERQQMIGASMMEQLVPEITTHALSYLDYPSLCRLSMTNSSMRRAANDDNAWKALYHKDFTMEQDSVTPANGWKAYYAATRAIVNINQQFFDMIRERSVPDMGRLWLNADYVKCIHASGELFTGYNGVIGSWQLAFNWEAEAGEAGVDFEVRDVRSRVLSDVAWVTMKAYVGMEQRPLNVTNVFELHNGQWYMVHHHTSLMLANAAADQPQPMMLMLPP >cds-PLY63730.1 pep primary_assembly:Lsat_Salinas_v7:9:86461302:86463117:-1 gene:gene-LSAT_9X67440 transcript:rna-gnl|WGS:NBSK|LSAT_9X67440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSWFSAVRKVISTSYLKEKKHKKSHNKSKSTKKSFHGNQMSLDRSLSLTKAPIVSPPIYNPPIDEPELKQPEHEPMDQDSSPRIKSQEDIAAIKIQKAYRGYLVRNGSNPLKAWRRLKMYMYSQSVKRQGVSTLKSMQTMARVQSQAQTRKIRIVEVSEALRQQLHQKRINKLNNSKSLERSGWDLSPKSKEQVEESLRRKKEAVERREKVLAYSHTHQQTWRKNLKKTKCVDWEWNRSASRQSPPFKVVAQPARSQSKVVVLSTKSMKAWSPMSKPGPTPMVSGKKRVQNTGALVGSAKKRVAVSGSPSSVGKKSLGRTNTNTKR >cds-PLY62498.1 pep primary_assembly:Lsat_Salinas_v7:1:84194037:84195531:1 gene:gene-LSAT_1X70160 transcript:rna-gnl|WGS:NBSK|LSAT_1X70160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITMKHHHFLLVSLPIQSHINPTFRLATRLTRTGAQVTLATTISGLKTLPSISGMSYHFFPGGGADGKLTSIQELKFLGSINLKELLLTKAKEGQKVDLLIYGLSLPWVAEVARDLHVPSALFFFQSAASFSVVYHFFKSDGGVGNSNIDLTGSIKVPGLPLLRYSEIPSFLIPTHRYAYVFQEHIDTLEKQPNSFILINSFDGLEEESIKSLPDHINIFSVGPLSSGETEEPFVIDTIQDSDRETYLRWLDSKREKSVVYVSFGSLVKLQKNQKDEIFQGLIESGYPFLWVIRNQGEDDEESRRYTAEGGGLIVRWCSQVEVLNHVAVGCFVTHSGWNSTVESIVSGVPMVGYPQDADQKMNAKMVEEVWGNGVKAVADGEGVVGREEMKRCLTVVMGGGEITRNCEKLKSMAMEAMSEDGSSHRNLNRFFQTLKLVSE >cds-PLY95004.1 pep primary_assembly:Lsat_Salinas_v7:1:189986712:189995062:1 gene:gene-LSAT_1X124421 transcript:rna-gnl|WGS:NBSK|LSAT_1X124421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxysomal processing protease, glyoxysomal [Source:Projected from Arabidopsis thaliana (AT1G28320) UniProtKB/Swiss-Prot;Acc:Q8VZD4] MGLPEIVDVARNFSVIIRVQGPDPKGLKMRKHAFHHYISGKTTLSASGVLLPTSFNVSSESMSLVLTVASIIEPFLSSKHRDHMSQVKPELISGAQIDIFVEGNAKGTPHWIPAELITLVDIPGSSVAVESLVGGSMDHGWEVGWSLASYNDAHQPYFDSKQKETQRSSMLESQSTDPTLIGNFGTRIAILKLSTKTFQDLPNLKICSPIKRGDLLLAIGAPFGVLSPSHFSNSISVGYVSNYYPPSSSHISLVMADIRCLPGMEGSPVFGEQSEIVGMLTRPLRQRGSRAEVQLVIPWEAIVTSCTRFLSPNTKTPLKSHVIPPNPIENATSSICLITIGDGVWASGVVLNHHGLILTNAHLLEPWRFKKPHANHKNTISNIFFTHSKEAKQEREFNQRVQVRVDNRVWCDANVVYVCKGPLDIAILKLEFVPHKLQPIVMDFTCPLIGSKVYVVGHGLFGPRCDFLPSTCVGVVAKVVKTGGDGDIPAMIETTAAVHPGGSGGAILNSNGHMISLVTSNAKHGGGTVIPHLNFSIPCAALQPVFNFSKDMKDISILKDLDKPNEQLSSVWALMPGPALPDPLPGLPGDERKGSKFAKFIANRQEFLKQVSPLDNKDADSSKYLPSKL >cds-PLY89942.1 pep primary_assembly:Lsat_Salinas_v7:4:4514880:4519041:1 gene:gene-LSAT_4X2860 transcript:rna-gnl|WGS:NBSK|LSAT_4X2860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKGRRSSRGSASPNRVSLSPRSHNSTYEGRHRASSAASASPPATLRHNTPPAHSHRSPPPSRKPVPRASTPPPPRRLSTGSTNGTTTTTKGIRGNSASPKVKAWQSSIPGFSTEVPPNLRTSLADRPASYVRGSSPASRSSRQSMSPTASRSIGSSHSHERDRFSSHSKGSVGSSAEDDMESLSSVLVVVGRPNSRRVGVGGFQNNKASPSKKPIRTISSSSAPKRSFDLALRQMDHRKGQNMFRPLLSSVPSSTFHAGIATSPYHPVISRNSSVTTSSNASSGVAISGAHDHDHDHDPDHEASQDDATSGYVKVQDDDDHDVDVDDEVFMIEKDDGNDSFLDNSRNILDRDPAATLTIIDDVSDISTQKEMLICSKCGCGYSPPIGQVENDTNLCENCMESNSSLTIIDPVVVSGPEPELTTIEPQMDVVESLQAMANDLVKDSQSEGNQVNVGSVDFSNSKVESLEGAGISILLKRSSSIKGGAVVRNTNFSASSISYDDFSYVRGDTTNSMRSVSATSSVDFGQGQSRQLDTRFQRQSSITKSEIEHSKHQRSGSSLSGTSSHVFHPSSLGTSTLDSSGKDVQENNEVENENVDSGESLLTNTLKDEEESVGFQKAEDLGADDTALDSCNDDADVAEAPLDVILEGELDSPSKCDVSQNSIEELPEDVALSAEDFGTSNHTTNVTGESIVLIEEEGGPKGRSLTLEEATDTILFCSSIVHNLAHNAATIAIDNQDPSSDNNNSSWPLIPATPTPTPTRKSHLNEPDSHTRNTTKRSSKSQKSRHKKDTETDPTKSPNTTEGKIDQQQKTRIVGVRDNGESKKPPLLESKCNCTIM >cds-PLY89737.1 pep primary_assembly:Lsat_Salinas_v7:7:42758346:42759979:-1 gene:gene-LSAT_7X30700 transcript:rna-gnl|WGS:NBSK|LSAT_7X30700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSKASPLLKAILVSVEPSGIMNSQVRDPPFPPQVVPHTHSALAACIWIQNKISLKEIERTLAMKKRCKPHIPYSNMDNKLSEYGERTGGEALKLHRLVTASTFHREFIAGDILSATTGLAHWYV >cds-PLY75022.1 pep primary_assembly:Lsat_Salinas_v7:1:112903210:112905279:-1 gene:gene-LSAT_1X87400 transcript:rna-gnl|WGS:NBSK|LSAT_1X87400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPFSNLFANILKKDKKPLKPLPIESVFKLPSSIPNFPPGDGFATGTIDLGGLEVCQVSSFTKIWATLEGGPDNHGATFYEPSSIPEGFFMLGCYSQTNNKPLFGWILAGKSVANETSPSALAMPTDYSLIWSSESHKLKQDSGNGYIWLPTPPEGYKAVGYVVTNSPEKPSVHKIRCVRSDFTDAVEVDKWLWGLDKKMNTNNLNLFKSRPKDRGINAVSMPTGSFVVQNGGAPNDVSLVYCLRNTKNNLLAMPNLSQVKALIQTYSPKVYFHPNEEYFPSSVSWFFQNGALLYEKGKETTPSLIEPNGSNLPQGGSNDDSYWLDLPIDNPAKERVKKGDLEEARAYFHIKPMFGATFTDIALWVFYPFNGPARAKVEIINVSLGKIGEHVGDWEHLTLRVSNYNGELKKVYFSEHSGGQWINASEIEFENGNKPVAYASLHGHAFYSKPGLVLQGSGGIGIRNDTAKGNEVMDTGVRPVVVAAEYLGSLVAEPPWLNYSRKWGPKISYDINKEIKKVRSVLPRVIRRAFDKFVSGLPNEVLGEEGPTGPKMKNNWSGDEKY >cds-PLY83062.1 pep primary_assembly:Lsat_Salinas_v7:3:81265586:81266320:1 gene:gene-LSAT_3X63160 transcript:rna-gnl|WGS:NBSK|LSAT_3X63160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHEISKERPRIGQCLPLWEELRVKLKDWCLKFQMNETHIDKVFDKRFKRNYHPEWAATFILDPFYLIRDRTGKYLPPFKCLTPDQEKDVDKLITRLVSQQEAHIALMELMKWRTEGLDPVYKQAVQLKQRDPISGKMKIANPQSSRVERRDFSNDDEKDADFFAVANGEDDMLNEVLFDASSP >cds-PLY94078.1 pep primary_assembly:Lsat_Salinas_v7:4:171720800:171722594:-1 gene:gene-LSAT_4X101421 transcript:rna-gnl|WGS:NBSK|LSAT_4X101421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVPLEHDYIGLSDASSLERSSESSNISSDSETNNVLNLKATELRLGLPGFAKNSEESATKFAHVKNFMSGAKRGFSDVNIEGPGKWVMNGGSEADLGKTSSVLFSPRGGINGGLEKNQVQQSISPSNVQPIEEKKKEHVSRNVAPPSAKAQVVGWPPIRSFRKNTMVTNLSKNAGDVATAEGNSGGGGCLYVKVSMDGAPYLRKIDLKIYHNYAELSQALEKMFSCFTLGQCTSSGLRRREGLSESNLKDLLHGSECVLTYEDKDGDWMLVGDVPWEMFIDSCKRLRIMKGSEAIGLAPRSMDKCKNKN >cds-PLY62796.1 pep primary_assembly:Lsat_Salinas_v7:4:31897683:31898395:-1 gene:gene-LSAT_4X21421 transcript:rna-gnl|WGS:NBSK|LSAT_4X21421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHQFLTNLPYCHQTTHPQVPIVDFYPQSSSLSYNNSSTSDEAEDNQMSIINERKQRRMISNRESARRSRMRKQKQLDELCTQVMRLRNENHGLMDKLNRFSETHEQVIQENNRLKKETTELRQLLSEAQLARTYTTLKDLDDDQEVVLVPSCTTAHLRAQSSKNSTAKNSSNLLH >cds-PLY73392.1 pep primary_assembly:Lsat_Salinas_v7:9:154010943:154012128:-1 gene:gene-LSAT_9X96220 transcript:rna-gnl|WGS:NBSK|LSAT_9X96220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYTMKNPPKHFEDLVIGHFHDHAVTILTLCKGYIKGVEVGCCVNRGEKGANGLGKNVDRYMRKLVRAFTKIGVKNVKNFAS >cds-PLY71788.1 pep primary_assembly:Lsat_Salinas_v7:6:89897331:89897486:1 gene:gene-LSAT_6X61381 transcript:rna-gnl|WGS:NBSK|LSAT_6X61381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKRLDDGGDVTGMKDCPRGDDDDLDGISLVQRPEEIDAKGKNVKFSVN >cds-PLY97990.1 pep primary_assembly:Lsat_Salinas_v7:8:286315587:286316898:1 gene:gene-LSAT_8X161541 transcript:rna-gnl|WGS:NBSK|LSAT_8X161541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIFYSGKVNVYDDIPTDKERALLQLAASPLQFPHEDPVDGNLLQQPPNINLPILRTVRTTNNYRLNKEESNISHEEISVFLLNDLFPTMDSAYHARPSGQTSALPPTRSVTSYYDLRFGEYLGHLLRKNIFLLRFHCIKDSSTNKPGSEVKSSERPGNT >cds-PLY91919.1 pep primary_assembly:Lsat_Salinas_v7:8:200158701:200159390:1 gene:gene-LSAT_8X128201 transcript:rna-gnl|WGS:NBSK|LSAT_8X128201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSVSLMASQTLILQNPTFLHPHRPSIVSLSKPKSIFSSKPVHLSLKNPKKPLIIASSSSSSSPTIAPNPPTEPLLTGSTRTITTLFAIALTASKVFAQKIATFALNLNLQQNVLPLTGTLFFATMSGRPARLHTPLTVVAAGMAKWLDIYSGVLLVRVLLSWFPNIPWDRQPLSAIRDLCDPYLNLFRNIIPPVFDTLDVSPLLAFAVLGFLGGILKSSKMGF >cds-PLY69091.1 pep primary_assembly:Lsat_Salinas_v7:5:276729474:276730274:-1 gene:gene-LSAT_5X144940 transcript:rna-gnl|WGS:NBSK|LSAT_5X144940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSMALSSAFAGQAVKTVPSGSEVFGNGRVSMRKTAAAKKVAPSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWATQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVANNAWSYATNFVPGK >cds-PLY89132.1 pep primary_assembly:Lsat_Salinas_v7:3:21700472:21702515:1 gene:gene-LSAT_3X16080 transcript:rna-gnl|WGS:NBSK|LSAT_3X16080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRMWASSTANALRLSTSCRPHFSPLSRCFSSVVDGLKYANSHEWVKHEGAVATIGITDHAQDHLGEVVFVDLPDAGGSVAKATGFGAVESVKATSDINSPISGEIVEVNSKLSETPGLINSSPYEDGWMIKVKPSNPSELESLMGAKEYTKFCEEEDASH >cds-PLY69219.1 pep primary_assembly:Lsat_Salinas_v7:1:79932868:79933844:1 gene:gene-LSAT_1X68500 transcript:rna-gnl|WGS:NBSK|LSAT_1X68500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGHSGDAMEWTEACEKYFLEILEGRVKRDPNGAPIFKGTDWTQMDEQIFMKFALRYGPEKVKAKYHRLKSVHLKFSELINHTGVTWDAISGKVFANDTVWDDFFKRDKVFKSFKKKGCKIYSLLSLVFNSSTASGAFHNASTCAPQTSEEEHRIEDEYLEGGSFGESEFNGGSRKGKRMLEEEMEGLPGSRRMKKGPGNSKYDTLLDAFSESIVARKERDLARAEHYKSKYGDVTSSLTEEYSISDCMTTLEATPGVGARSYTKALSFFPDINWRKMFLMMSENRRKQWLDSLDG >cds-PLY99686.1 pep primary_assembly:Lsat_Salinas_v7:9:55489181:55490755:1 gene:gene-LSAT_0X9581 transcript:rna-gnl|WGS:NBSK|LSAT_0X9581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSGKKTKKTHESINNRLALVMKSGKYTLGYKTVLESLRSSKGKLIIISNNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVSCLSIIDAGDSDIIKSLPGDS >cds-PLY70303.1 pep primary_assembly:Lsat_Salinas_v7:2:92653030:92654145:1 gene:gene-LSAT_2X39600 transcript:rna-gnl|WGS:NBSK|LSAT_2X39600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLASPIPLSAMPSAMYPNVQSPFVASSQAYGVLTGNWPVLVPPGMVPFPSWNPYHVLNNATVETDNPDLRDSAFVSSFNISRDKDIWILNLATNVERVITEEHPKVYIWNRSGCDPIEVLSGHSMTVNCVTWNPRRHQMLASASDDQTIHIWGPNPSQKMHLKGLI >cds-PLY71310.1 pep primary_assembly:Lsat_Salinas_v7:8:103655171:103655488:-1 gene:gene-LSAT_8X71481 transcript:rna-gnl|WGS:NBSK|LSAT_8X71481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVFNSVPVDVIVAGPVDVDVGPIDADDFETVPSVPIVIVAGPTVEVVQRLSDENGSIESLSQSLGFRCDVELDHGTSKMKCNSASSHGSSRLLKKRVLANIEDI >cds-PLY97630.1 pep primary_assembly:Lsat_Salinas_v7:5:238964840:238966916:1 gene:gene-LSAT_5X117761 transcript:rna-gnl|WGS:NBSK|LSAT_5X117761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNPPPELSLDFKPTFIPKTISQFLEQVSTIATLSDKILKLDDFVTRLEAEMRKIDAFKRELPLCMLLINDAIITLKEETIVLKKSSNPEPVLEEFIPLKNTSDEDAKLEIISKEKCGGDKKNWLSTTQLWNNPNPNPNPNTNQTQIWKPKSIHQITKKRTDEEDDGMIDDPYPTKAFIPYKGICGFTVVEEDKEESPIPGLSLITPGIKNPMRGNLLLTKTNSNSRLLPCSSPNAQQTSRKQRRCWSTELHRRFVNALQQLGGSQAATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRLPPSSNNSSANQSGVVLGGLWMGSQDSYVESSKNRISNSGSPDGPLLIGTTTTTGDNSDGEDDKSENYCWKGHHDV >cds-PLY67551.1 pep primary_assembly:Lsat_Salinas_v7:3:55016627:55017089:-1 gene:gene-LSAT_3X42480 transcript:rna-gnl|WGS:NBSK|LSAT_3X42480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHFKLVLFCFFFSAILIFRPTTARPIVKQSREIDQTLPALAPDSPSLVPAESPDGSDLDHDLQTKKHHHSSVAGGGVIVGGLVTIAFAAVYCYIRVTRKREGEYH >cds-PLY79193.1 pep primary_assembly:Lsat_Salinas_v7:8:164025588:164030613:-1 gene:gene-LSAT_1X78040 transcript:rna-gnl|WGS:NBSK|LSAT_1X78040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRALRSSSSRFLSTKPRDIFIRTIFSTPELSKPVALKAAVEPGVAKLAGARFHLSRFCCHDHLFISGNWRMPLVKFEKSQRSDSSILVALLPALFLGLSSTPAMAEDSSTELTETDLGGLRKIDDGSGKLEDAERLFMGALQEAKEGFGERDPHVASACNNLAELYRVKKAFDKAEPLYLDAISILEESYGHDDIRVGAALHNLGQFYLIKNELDKAHACYEIKRRVLGEGHADYAETMYHLGKVLDLQGNEKDAEALIKDSIKILEEGGQGGSFLYTRRLRTLVQIYIKSNKLTEAINIQRKILQAMEESKGWDSLETVIAAESLALILQSSGSLNEAKELLQRCLVSRRKLLPEGHIQIAANMLHLARVELLLFNQTNKDSSKALDNAQDLLCNATRLAQQVLDKLKKQGANRKSYEVSRTDTHSQHTALLILLQALNTLSQLEVTKSELITTVNSDAEKALLDCISAFRQFGSEKGLSNFGDIKGEYLSCLKHLSSLLKPSKINSLKNSRKPTLEEVQNEIKRVEANNTKPYQR >cds-PLY70228.1 pep primary_assembly:Lsat_Salinas_v7:9:823997:826184:1 gene:gene-LSAT_9X1760 transcript:rna-gnl|WGS:NBSK|LSAT_9X1760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable LRR receptor-like serine/threonine-protein kinase RKF3 [Source:Projected from Arabidopsis thaliana (AT2G48010) UniProtKB/Swiss-Prot;Acc:P93050] MGCLLTALLFYLKVTVESMGIHHQHLLLPFLFLAVLPLSTSYYQQQHHHHRTLLQSSNVSCPLNFDLLRRLAGSRRPTTADRATACRYAQQGLRLVEADYLLRTNSFVPPLNSAESCWASYQILANDFFPNFDLRQTCRFQTNWIAVPGCMNISTRQEFESHVSRSSLNPVVSACNQSLSGSSCSACTVALSTLQASYLNGDFVGNLTDCRAYPFIYAAAFVNPFGPTDRGTAACLFSLDIALSKSKKKNKTLIIVIVVVIVSIILFGIAIGFLFYRNRKLKRNRRTRNESNTVSALDSITGSTTLTRYTIEDIKEATRNFSRDNIIGRGGYGNVYKGVLPDETEVALKRFKNCSAAGDASFTHEVEVIASVRHVNLVGLRGYCTATTSFEGHQRIIVCDLVKNGSLHDHLFGEYSSRANLSWPIRRKIALGTARGLAYLHYGAQPAIIHRDIKASNILLDENFEAKVADFGLAKFAPEGATHLSTRVAGTMGYVAPEYALYGQLTERSDVYSFGVVLLELLSGKKALIGVGDGDGQPVLLADWAWSMVRKGTPLEVIDDSMPECGSPEIMEKYVLAAVLASHPQLYARPTMDQVLKMLDTDLPVPSIPERPIPLIAGIEDIEKSVSSSGSGRLSTPRGYQSFEIDGQFSTRREQHEGARLSGGR >cds-PLY98957.1 pep primary_assembly:Lsat_Salinas_v7:7:47968436:47969537:1 gene:gene-LSAT_7X35861 transcript:rna-gnl|WGS:NBSK|LSAT_7X35861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGTRRLNPDALPFYPSRSRHFKPSSGVPPPPSPSLPPHQSPSPPTWLGKSSFRFSNSLTPVPKGPRIRGGRGTPGRRFVSAGRVIGGDDGRRDGGRPLWVRKGVYREVTPLDPDENSTSIMIRNIPNNYTRELLVKLLEDHCKQENKKDENVVRSAFDFVYLPVDFKYRLNAGYAFVNFTTPDAAWRFQKSIKGKGWDLFQSKKIADVARAKIQGKDALVRNFERMQLQSPSSEYLPVWFDPPRDGSMPSSTMKMHAIGSVESW >cds-PLY93056.1 pep primary_assembly:Lsat_Salinas_v7:9:27175154:27176932:-1 gene:gene-LSAT_9X23841 transcript:rna-gnl|WGS:NBSK|LSAT_9X23841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRELSSTLKNLKFMQRGANKEEKTKKEEEVTPNGNFPSITTKKCMVIMEGDPSPGAIRGRMSFQSFNPSIDKLNEEASNPRQSESDATSSGHQPNRENVSPEDELMSMREETSSGKKAKRKQAEVISDTKSPNINEVNTSSSNNGKKSSHKPPKRGKLDFNVLRSPKNQNKRS >cds-PLY80586.1 pep primary_assembly:Lsat_Salinas_v7:6:13462486:13466672:1 gene:gene-LSAT_6X9960 transcript:rna-gnl|WGS:NBSK|LSAT_6X9960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLLKLSVFSSGPVITTSGSNGASEQKLKRSILILKPLLSHYRRYWSAALRRSWAILKSTSTDPFSKVAIMGVLDLDEAISPKCGLLFPCWTVIVQVYLGEEYVNNPTLSDVTFMIEGKRFYAHTICLLASSDAFRAMFDGGYRLASSVTEEKTNSDKNMSTMFDVLRRNRTVKLETLVLKCKP >cds-PLY66121.1 pep primary_assembly:Lsat_Salinas_v7:7:30862183:30865121:1 gene:gene-LSAT_7X23581 transcript:rna-gnl|WGS:NBSK|LSAT_7X23581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIYVKNGSLIIFVVALMEFFGNIEGATVTCEPKYGFLPCTSETWGALFLYMVYQYLMALGQKYVSEGSDKFFGLIGPGIFGASLFHILANFPTLFLVLRNESALSSEDGGASSTTSSMNTLTGSMVMSLTIIWPSVITFGSYDLSDDDDDSISSEEEEPSFFKKLTAYGVTTDNETSYTARIMLVSAIPFILLQLPTIINSTSITRVIILLTLIIVLFMYITYVTYQMFQPWIENRRSEYVTQKFVKDKLQTLLSTNGKPNVRLIREIYKGLDENQDGKVSKVELKTFLLGIQLQTNDATKDDLVEIIMDRFDISGDQSIEENEFVRILMKWLREARKSVSQNDYNPLSFFATKPSEDADEEHQNALLPKEIISKAETSILEFLEALSLIIFGTVLSGLVSSPLISNVMTFANHANVPSFLIPYCVVPCATNISRILSTIRSATQKTERASSLTFSQIYSGVSMSSMSSLTTFLLIVYIKDLSWDVSAQLLVVMIICVGMSVLTSTRKVYPLWTGYVIYLMYPISLLMLYMLTVVWV >cds-PLY77925.1 pep primary_assembly:Lsat_Salinas_v7:1:21532160:21532528:-1 gene:gene-LSAT_1X18420 transcript:rna-gnl|WGS:NBSK|LSAT_1X18420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFLPEHFHGGNTSSFDEVECAVCLRRIDDDDEISELRCDHVCHRSCLDIWLDCRHTTCPSCHDNLLVPQKLHASHSQGFGSQEVLFFDFCRRDSNTDYGTWWLR >cds-PLY90347.1 pep primary_assembly:Lsat_Salinas_v7:2:198663803:198665582:1 gene:gene-LSAT_2X119780 transcript:rna-gnl|WGS:NBSK|LSAT_2X119780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METGLRSTQTRETRRRIILFPLPFQGHINPMLQLANILHTQGFKITIIHTEYNAPTHSNYPHFTFNSISDRFHEIEHQLPTIPAPDPGHFLNYLNRSCVDPFRDCLTGLLAESNKGSIACLITDAGFYFTQAVADTLKLPRMVLRTGSLSCTTAYGVLPIFSKSKNSCFNLTTEDLDYEATVPEYPLLKVKDVMKMTINPKSYGDFLTNMLKQMKSSSGIIWNTFKELEQPALKTICRDFPCPSFTIGPLNKYFSSSSSSLIEQDKTILTWLDTQAPKSVIYVSFGSAAQITKSEFQEVAHGLVNIGLPFLWVVRPRVVRGSEWLESLPERFQKEVGDRGHIVKWCPQQDVLAHPATGCFWTHNGWNSTLESICEGVPMVCSPSFTDQPINARYVSDVWKIGVLLEDGFERKGIQMAFRRVMMDKEGEEMRERISCLKKKVNLSLKKGGSSHQSLKSLIDYISLL >cds-PLY91691.1 pep primary_assembly:Lsat_Salinas_v7:8:13214889:13221804:-1 gene:gene-LSAT_8X10581 transcript:rna-gnl|WGS:NBSK|LSAT_8X10581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLASFTPRELLEEEEEEEEEEEERSKEKRCRAKIQGKNQSSLSRGDTGAAAVGFQSHTHSSQFTRYFRKQREELGMMASHTPQLQFLSWELIQFLDMFLYSFPHSILV >cds-PLY94806.1 pep primary_assembly:Lsat_Salinas_v7:2:180328372:180332484:1 gene:gene-LSAT_2X102360 transcript:rna-gnl|WGS:NBSK|LSAT_2X102360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALMVLNTSFKGLFFMTSCTLIILKVTFFFITFIPSTSKLTIHDHDYLHFDMKPPVDQSAKSFNPDILTHSQYEDVVRILHEEQPTSTHVDGSNGGGNSPEVTGPPWQRGSGQHSMVNTSQAVVHDGGQPSAGSSKPSKKGRDLNNIEVYHDKDIFLENYEQMNKSLKIYIYPHQKTDPFANVLLPDTRSSPGGNYASESFFKISLSSSHFITHDPAEADLFFLPFSIASMRHDKRIGAGGIKNFIKDYISTIKREYPFWNRTGGADHFYVACHSIGRTAMDKAPEVRINAIQVVCSSSYFLQGYNAHKDASIPQIWPRPGVPPIRHPSEREMLAFYAGAMNSRVRESLVRTWMNDTEISVHQKRLKTPYSNSLLGSKFCIHAKGFEVNTARIGDAIYYGCVPVVLADHYDLPFADILDWSRFSVVVSTEDIPFLKKILKEIVDSDKYVKLQKNVLKVQNHFQWHRKPIDFDTFYMVMYELWVRRSSIRIRLFNE >cds-PLY84175.1 pep primary_assembly:Lsat_Salinas_v7:4:369819413:369820797:1 gene:gene-LSAT_4X181201 transcript:rna-gnl|WGS:NBSK|LSAT_4X181201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAALPDGAKRGSRASSELRSEWCAFVNSTNTVYEMVEATMVLETMIKTDYINNTWWWYWSSMAAAAKTSTISALALRIYTLDAATDYQKTNTTTAATSSSQKLSKKRKHPDDKPNEQRKKPKKPLDKQEIKEQIQNIIP >cds-PLY80694.1 pep primary_assembly:Lsat_Salinas_v7:3:171831213:171832279:-1 gene:gene-LSAT_3X104501 transcript:rna-gnl|WGS:NBSK|LSAT_3X104501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLARVTILCVILSLLLAVNAAALHPHQLQASNDFGNEHQHHHSALPRKLMVNRKVNGYEAKDLAASKEQNKPSSGELHKILVEVDVIVHVAKGTRQEWIEGSDTTHEFFTMDYSHVRRRWPIHNKSSLKTIINSP >cds-PLY94473.1 pep primary_assembly:Lsat_Salinas_v7:2:157265927:157268026:1 gene:gene-LSAT_2X81541 transcript:rna-gnl|WGS:NBSK|LSAT_2X81541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVYLVLCFLDPLLSNGSQRATCNIFQPSASFVSLASSPFLPSYLEEFFRRPTSRIMASRFLAAAVRRSISPRLLTPTRPLSTTAAAVAEPYDETAGISMKGVKISGRPLYLDMQATSPVDPRVLDAMLPYFVSQYGNPHSRTHLYGWESDEAVEAARLQVANLINASPKEIVFTSGATECNNISVKGVMHFYKEKRKHVITTQTEHKCVLDSCRHLQQEGFDVTYLPVKPDGLIDLNELRSSIRSDTGLVSVMAVNNEIGVIQPMEEIGEICKEFNIPFHTDAAQALGKIPIDVNKWNVSLMSLSGHKVYGPKGVGALYMRRRPRIRVEPQMNGGGQERGIRSGTVPTPLVVGMGAACELAMKEMEYDEKWVTKLKDRLLNGVRSKLDGVVVNGSTESRYAGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVDEDMAHTSIRFGIGRFTTEEEIDKAVELTVNQVEKLREMSPLYEMVKEGIDIKSIQWLMVGLLVSLQRQQNPHGGELDNKVIEAEASNTICI >cds-PLY73770.1 pep primary_assembly:Lsat_Salinas_v7:8:92441643:92445025:-1 gene:gene-LSAT_8X64540 transcript:rna-gnl|WGS:NBSK|LSAT_8X64540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSPLGFSTDNRFFIEFETVNVPKTKKTYCKSKECKKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >cds-PLY93604.1 pep primary_assembly:Lsat_Salinas_v7:2:175395642:175395935:-1 gene:gene-LSAT_2X99501 transcript:rna-gnl|WGS:NBSK|LSAT_2X99501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCLRCVGEYSTIFRHLSSITITPKAHSTSLHAPYCILLKNLSLGSIGCRNTFINVEYGGYIPSVI >cds-PLY77054.1 pep primary_assembly:Lsat_Salinas_v7:1:160069004:160071863:-1 gene:gene-LSAT_1X109681 transcript:rna-gnl|WGS:NBSK|LSAT_1X109681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKHLSKKTLLITSLVLNISLCMFLVPVSARLQRLHHQLNTNGSLNFLVIGDWGRKGWYNQSQVAVQMGIIADRLDIDFVVSTGDNFYDDGLTGVDDPAFDESFKYIYAAPSLQKQWYTVLGNHDYRGDVEAQLDPMLRKRDSRWRCLRSFIVHTDIAELFFVDTTPFVDMYFNDPKDHKYDWRGIRPREVYLTNLLKDLRSALSKSKAKWKIVVGHHGIRTTGHHCDTPELVTHLLPLLEV >cds-PLY81804.1 pep primary_assembly:Lsat_Salinas_v7:3:33372297:33374375:1 gene:gene-LSAT_3X25121 transcript:rna-gnl|WGS:NBSK|LSAT_3X25121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHWAHSVSHDLINWIHLDPAFAPSEPFDINGCLSGSASVIPGGKPIMLYTGIDTENRQVQNLAFPKDLSDPYLREWVKHTANPIISLPEEIQPDDFRDPTTVWLAEDGKWRLLVGSQRDKTGIAFLYQSDDFVNWTKSDSPLHKVSGTGMWECPDFFPVWVDSTNGVDASVINPSNRVKHVLKLGIQDHGKDCYLIGDYSPEKEHYVPEDELTTTTLRLDYGIYYASKSFFDPVKNRRIMTAWVNETDSEADVIAKGWSGVQAFPRSIWLDKNQKQLLQWPIEEIEMLHENEVSFQNKKLEGGSLHEIQGITASQADVKISFKLANLEDAEEMDASWVDDPQVICSENDASKKGKFGPFGLLALASNDLAEQTAVFFRVFQKNGRYVVVMCSDQSRSSTKDGIDKTTYGAFLDVDPQQDEISLRTLIDHSIVESFGGGGKTCITARVYPTLAIGEVARLFVFNYGTESVVISELSAWSLKKARLNVEKP >cds-PLY77647.1 pep primary_assembly:Lsat_Salinas_v7:5:175280449:175280791:-1 gene:gene-LSAT_5X77981 transcript:rna-gnl|WGS:NBSK|LSAT_5X77981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTEQTPFGMFSQVSVCANCNWNNEMISEYCWKCSGEGWIPVKKDIKIKIPHGVGKGGGARWGVGGTTAPPKNLLYVYEKNSL >cds-PLY81005.1 pep primary_assembly:Lsat_Salinas_v7:9:176176487:176178197:-1 gene:gene-LSAT_9X108760 transcript:rna-gnl|WGS:NBSK|LSAT_9X108760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSKILIIGGTGYIGKFIVEASAKSGHPTFLLVRESTLSNPAKSSLVESFKKSGVTFITGDLYDHASLVKAIKQVDVVISTVGHTQLGDQVKIIAAIKEAGNVKKFYPSEFGNDVDRTNAVEPAKSAFANKAQIRRAIESEGIPHTYVSSNCFAGYFLPTLAQPAATAPPRDKVVILGDGNAKVVFNEEHDIGTYTIKSVDDPRTLNKIVYIKPPGNIYSFNELVSLWEKKIGKKLERVYLSDEQVLKNIQESPVPLNVVLSISHSIFVKGDQTNFEIKPSFGVEASELYPDVKYTTVDEFLSRFV >cds-PLY72085.1 pep primary_assembly:Lsat_Salinas_v7:9:196562595:196565314:1 gene:gene-LSAT_9X121240 transcript:rna-gnl|WGS:NBSK|LSAT_9X121240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLTKLVADALGVVTISLVTISSLIGTLCILYSFYFRSHIRTQGYNRLKYFSGPWVIRIIFIFFTIWWGLGEILRLDFIRQEGRLLNTLNLKWQDIICKCYIVSNLGFSEPCLFLTLVFLLRASLQKTESGPLSQNWNFKTTIYILLFCFPILALQTLVVIFGHHYETGDRGYFFKITSPPSSRCYYPLTSTTLLGLFATLLNMYLFYLGRKILNLVISKGLRKRVFILIITVSSFFPLRVVLLGLSVLSKPGDTLFEILAFLAFLSILCCAEVAVCVLVYYPIADSLELKNLQDIEDRRRIIEEYNDTLSLIANQSPVSGGADSGKQGSISFRMNERGEEGGSGAFVELSLFSPSQRSSPVGSPRLLGWPMLPPSSGG >cds-PLY71256.1 pep primary_assembly:Lsat_Salinas_v7:7:90714159:90725850:-1 gene:gene-LSAT_7X63000 transcript:rna-gnl|WGS:NBSK|LSAT_7X63000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQVGLRHLEPGKGYRFPDGKQKELEFEANSLISRGGQTLLISSYGNVLREEPLAVFEEMLDAGVMKNQKMKKKKITCTTVLCWNPQRTGHHEGEEVEKILFFFLPDLSFPSQLYVTGINERLEVLRAIRDLDSTKPSIFAMSNPTLNESQVEVLSIAANGYYKVVKENQTLYNMVEDLKGNIQVYRRIRPEKVVSIQPRFWSN >cds-PLY76285.1 pep primary_assembly:Lsat_Salinas_v7:7:124581689:124581880:1 gene:gene-LSAT_7X76960 transcript:rna-gnl|WGS:NBSK|LSAT_7X76960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSHRELMCIRIIGASNRRYAHIGDVIVAVIKDAVPNMPLERSEVVRAVIVRTCKELKRDNGA >cds-PLY68051.1 pep primary_assembly:Lsat_Salinas_v7:5:293458010:293458582:-1 gene:gene-LSAT_7X62081 transcript:rna-gnl|WGS:NBSK|LSAT_7X62081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVKNTLVVMAVLDGWSGFGSVGGHECSSGGSGGRDSSGGDRECGGGGGDDGVGGENNGGDRDGGGGGCGGGSGHGGGGGGGGSGGGSGGDIYDGGGDDRGFGGGSDHGGGVRGYGGGNRKDDDGDIGVGGYGGVVWVVVITEVVLVVVVVVVAEVAMTT >cds-PLY81863.1 pep primary_assembly:Lsat_Salinas_v7:9:181023226:181035711:-1 gene:gene-LSAT_9X111181 transcript:rna-gnl|WGS:NBSK|LSAT_9X111181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPKCLTRTSYHHHLHQTNYLSPKVFSISCSIINTKKNSIISSTCRNPKRTRRYEVIANNNSSSTETKHETYEGVEKKKKERRVRILIAGGGIGGLVLALAAKERGFEVMVFEKNLSAIRGEGRYRGPIQLMSSALGVLMDIHMGVAEQVMNAGCVTGDRMNGLVDGVSGDWLAKIDLLAPAIKKGLPVTLVISRTTLQEILLKAVGDHIVFNNSKVVEISQDPHKVVATLDDGRQFEGDILVGADGIWSEVRKKLFGTQEASYSGYTCYSGLVDYVPSYTNSIGYRVFLGRNQYFVASDVGNGKMQWYAFHKEPQIGSGTLEGKKVRLTKLFGSWCSDVTRLIAKTREEMILRRDIYDRDMIYSWGKGRVTLLGDAAHPLQPNLGLGGCLAIEDCHRLIHELESIKKSEYNAIKSIDIASALKRYEQKRMLRTMITHGVTRMASKSLSGYQSFTFLSAFRLPVQVSEFLQMVSSYFMTWLVAGEADMLKKQDQQIEGQKQKVLLQYNRKRYE >cds-PLY98625.1 pep primary_assembly:Lsat_Salinas_v7:1:38645426:38647051:1 gene:gene-LSAT_1X34480 transcript:rna-gnl|WGS:NBSK|LSAT_1X34480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNLVTVSNGVNESEFGKILLSDVVVTSKRNLFWGRKWRNIDIQMGVGILAIHLLALVAPFKLAWDAFLFAFWTWVLCGICGVTLSYHRNLAHHSLKLPKWLEYTFAYLGVLSFQRDPIFWVSIHRFHHQFVDSQKDPHSPTFGFWYSHMGWLFDSGYIIEKYKERNNVEDLKSQVFYRFLQRTYIFHISAFAACVYAYGGFTYFVWAVGVAPTWGYHTTFLVNSACHVWGYQKWETGDLSKNNWWVALLTFGEGWHNNHHAFEYSARHGLEWWQIDFCWYMIRFLEAIGLATAVKLPTEAHKLKKSLSHNKFK >cds-PLY81462.1 pep primary_assembly:Lsat_Salinas_v7:5:334029364:334030280:1 gene:gene-LSAT_5X184300 transcript:rna-gnl|WGS:NBSK|LSAT_5X184300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVHPAPNRRNITVRYDFGSQSGDTAAICRQKKLRRLPHIFAKVIELPFYSDAEVSIQETSDSLKFVVDTDDDIATDVAAHTIEIYPGVTKVVVRGTRGVDDSGGGVNQLEVDLWRFRLPESTQPEHATAAFSDGELVVTVPKDVNLVRNQEVWGEGNGTGRFVMVQ >cds-PLY88939.1 pep primary_assembly:Lsat_Salinas_v7:8:129267223:129268743:1 gene:gene-LSAT_8X88760 transcript:rna-gnl|WGS:NBSK|LSAT_8X88760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSIFDCYCPTNHRQGIKNLDIFFMCSPVYAAMAIEAGIREIAIQEMKWRSIMEDTMTLGVKALAWMDNLFVIEWYQGVR >cds-PLY87503.1 pep primary_assembly:Lsat_Salinas_v7:8:95673692:95674408:-1 gene:gene-LSAT_8X67560 transcript:rna-gnl|WGS:NBSK|LSAT_8X67560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKKFKPSVDDIQMEKVIVETNEDSEEIVDAMDGSFCISHRKGSCVESNFDEKSTPYVNADIFDIDANINSGECPSTSLPEKTKFMPPKVLHSESNMEEDKTSNIDANLSNTDINVNIGDGRTTIKTSTVGTTTIESIVVPPSTPSSPPATSMILPTYTFGVSPSFDKVMQEPITTLFSSQLTEVEQVLIEEENDDDVMVTFSNLEFNPEEDDVLDNAIMSGKKFKYLNRKKDLIFNF >cds-PLY74827.1 pep primary_assembly:Lsat_Salinas_v7:5:114081368:114081615:-1 gene:gene-LSAT_5X50081 transcript:rna-gnl|WGS:NBSK|LSAT_5X50081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTSTHRQSHASLGRKTCLSSSRWKVKKVTEQIDTLGLKTNFDKGNLVSLVA >cds-PLY73304.1 pep primary_assembly:Lsat_Salinas_v7:MU043501.1:641858:643322:-1 gene:gene-LSAT_0X19180 transcript:rna-gnl|WGS:NBSK|LSAT_0X19180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPQQPPPSSSSSSSDPAANYWETHVMGTPVPPSSNQNNPHAPPNPYAQYGAPPPQPPPNNMDNVKKKLNMWGNKAEVAATNIWSNLKTGQSVTGSAWGKMSLTAKALTEGGFESLYKQKFTTYTHEKLSRTFACHLSTSTGPVAGTLYLSNIHVAFCSDRPLSSIQPNGMEAWIHYKVIIPLEKIATVNPITIPGKKQIDKYIQLSTIDGQDFWFMGFVNHDKAAKHLLAGVSTCVKSVPIHQ >cds-PLY83291.1 pep primary_assembly:Lsat_Salinas_v7:4:126975556:126977667:1 gene:gene-LSAT_4X80100 transcript:rna-gnl|WGS:NBSK|LSAT_4X80100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDITLSRYQNTSVFESSSLSLTDVKFFQERHLLSASHLLNKRARRAQVSAISCHFVEQGLHPKPKPKPLSNGHDILKKETHVQESRQMKGSSSGLCGQIEKLVLCKRYRDALEMFEILEIECEYDVHVGKSTYDALVDACISLKSIRGVKRVFNFMINSGFEPDLYLKNRMLLMHVKCGMMIDARMLFDEMPERNLVSWNTIIAGLVDSRDYLEAFQLFLTMWEEQSDASSRTFATMMRASAGLEMIFPGQQLHACAIKLGVSQDIFVSCALIDMYSKCGSIEDAQCVFDEMPEKTTVGWNTIIAGYALHGYSEEALDLYYEMQDSGVKMDHFTFSMIVRVCTRLASLEHAKQAHAGLIRHGFGLDIVANTALVDFYSKWGRIDDARNVFDKMPHKNVISWNALIAGYGNHGRGIEALKLFKRMIAENMIPNHVTFLAVLSACSYSGLSDQGWDIFESMGTDFKVKPRAMHYACMIELLGREGLLDEAFSLIQNAPFNPTVNMWAALLTACRVHKNLELGKLAAEKIYGMEPEKLSNYVVLLNIYNSCGKQKEAASVFHTLKKKGLRMLPVCTWIDVKKQQHMFVSGDKKSKSHVEIVKNLKKLMLGIEKHGYVSKKNTLLPDVDEREEQMLMFHSEKLAVSFGLMNTSDSTPLHLVQSHRICDDCHLAVKLMAKLTGRVIVVRDASRFHRFENGKCSCGDYW >cds-PLY73516.1 pep primary_assembly:Lsat_Salinas_v7:4:22154533:22155423:-1 gene:gene-LSAT_4X15441 transcript:rna-gnl|WGS:NBSK|LSAT_4X15441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGDADLAALIIPSTGSQEEELQSCHFSRESEVFSGDVQLSSSPDEASEQATGIIIVSMPESSDDYQTPPEHHFSSQNSSNDGQVTAVVDLETGSGGVGNSETMMLDDSCERMVDLTTDSDNLEFLENRHRVRVRALEVEDNDTVMVDGHNTNTEEIGTEYAVGETNAEGCVAEALKMLKETVPKVFVEMPVREEQEEVVTEGKTSLNLDCNGKRQLPFSMKGKEKNNIEKHEDVFTDILNRAWKILVGQQGKCGVEADGDDDLLETAMRRGLSLPRPRWWPPEEEEEEGGFEG >cds-PLY99924.1 pep primary_assembly:Lsat_Salinas_v7:7:16082564:16083736:1 gene:gene-LSAT_7X13160 transcript:rna-gnl|WGS:NBSK|LSAT_7X13160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPHHEKVTKMVLDVDLKCSDCYKKVKKILCKIPEIRDQEYDVEKNKVKITVVCCSPEKIRDKLCYKGGSSIQKIEVVVEKPKDSKPSDKDKPKDAAGKPKAAKGDAPPPQPKKSEPKPEVAKMVVEPVHGYPQMYPPNYAVVGYGYEGYGGSYPPPAPSQPSGYGYGHGYGYGHGHGHGYGYDHGYNGIASRHDYFSEENPQGCSVM >cds-PLY98759.1 pep primary_assembly:Lsat_Salinas_v7:1:8283633:8285517:1 gene:gene-LSAT_1X8440 transcript:rna-gnl|WGS:NBSK|LSAT_1X8440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPKFSGNPVPTSPYYGCGRTDIDQMPETPNRGNHHRRAMSETFFRFPDEDILLDDVVADFNFANIDLPSLSCDAPVPTTTEDSSSKSERESNDVNASKLTARKPLGYSSHLRSLSVGSDFFDGLGLSSPAEPEKLGVGVGAYRHRHSNSMDGSAATSFEGDSVLMMLDNSKKALAPDKLAELSLIDPKRAKRILANRQSAARSKERKTRYTSELERKVQTLQTEATTLSAEVAKLQRDTSGLTSENKELKLRLEAMEQHAHLRDALNEALREEVHRLKREAGQLPLNNGMNYNTSLPPQYMSHRQPLHHFGQQPQPQPQKTQMPSSNTNGRLKPSFMDLN >cds-PLY72035.1 pep primary_assembly:Lsat_Salinas_v7:2:204409939:204411809:-1 gene:gene-LSAT_2X126141 transcript:rna-gnl|WGS:NBSK|LSAT_2X126141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRERERFDEIGKKIKRDIDASYQLGRRHMLGLPGTLNTITPCAACKLLRRRCAQECPFSPYFSPHEPQKFASVHKVFGASNVSKLLMEVPENQRADAANSLVYEANVRLRDPVYGCMGAISALQHQVQSLQAELNAVRAEILRYKYTETHHDHHIIPSHHLALFSTGAVPVTTPPPPPPPPSPLPPPPPPPPPPASSSSSMYTPPSTTTDYSTITNDNVSYFG >cds-PLY80274.1 pep primary_assembly:Lsat_Salinas_v7:3:215507969:215508145:1 gene:gene-LSAT_3X124601 transcript:rna-gnl|WGS:NBSK|LSAT_3X124601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSLYKHESLVYLFRILKFCDAEIVVHEYISQRSPHLHVTSNDLVWTQSLKICIGMAY >cds-PLY68554.1 pep primary_assembly:Lsat_Salinas_v7:8:298119791:298121863:1 gene:gene-LSAT_8X163780 transcript:rna-gnl|WGS:NBSK|LSAT_8X163780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAHSPRRVASHNYKDALTKSLIFFEGQRSGKLPPNQRMTWRKNSGLSDGAAMKVDLVGGYYDAGDNIKFGFPMAFTTTMLSWSVLEFGGLMKGELGNAKEAIRWATDYLLKATAEPDVIYVQVGDATKDHACWERPEDMDTPRTVIKIDRNTPGTEVAAETAAALASASLVFRKSDRTYSKLLLKRAISVFEFADKYRGSYSNGLKKFVCPYYCSYSGYEDELLWGAAWLQKATRSPKYLNYIQANGLTLGAAETDNTFGWDNKHAGARVLLSKAFLVQKLQSLHDYKGHADSFICSLIPGAPFSQSEFTPGGLLFKMDDSNMQYVTSASFLLVTYAKYLTQARKVVNCGGSIVTPKRLRAIAKKQVDYLLGDNPLKMSYMVGYGPRYPLRIHHRGSSLPSISAHPAKIECGSGFSIMHSEIPNPNVLIGAVVGGPDEHDHFPDVRSDYFQSEPATYINAPLVGALSYLAHSFGQL >cds-PLY95403.1 pep primary_assembly:Lsat_Salinas_v7:9:190041065:190043334:1 gene:gene-LSAT_9X117080 transcript:rna-gnl|WGS:NBSK|LSAT_9X117080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVISFSSYFLLLFFIPYAASITFNLTKIVQQNQYRDINTTGSAYITNDGIQVTPNEIDSNRNQTAGRATYVKPLHLWDNTTGELASFTTDFSFVIDSRSFPDYGDGLTFFLTEENSVIINGSSMGLPIDGYTNTTFNPFVAVEFDTFPNSLWDPKNSSGNFVGTHVGIDINSLASVASQKWQHGIRTGNVSHAWIAYDSVSKNLSVSVTGFRNNTIVIQSINHVIDLRSVLPEWVAFGFSAATGAFFEKNMVISWAFNSSELKSHENNPSPDTVKKKKSTGLVVKLIIGLSVSITFTAAIVIAICVWKKKTMNKRREEEAGFHIGMNSEFEMGTGPRRFSYRQLARSTNGFSENKKLGEGGFGGVYKGFLKELNMNVAVKRVSKTSKQGIKEYASEVMIISKLRHRNLVQLTGWCHEKGELLLVYEYMENGSLDMHLFNGKSLLTWGTRYRIATGLASALLYLHEEWEQCVLHRDIKSSNVMLDSNFNAKLGDFGLAKLVDHDKGAHTTTLAGTRGYMAPEYAVNGRASKESDVFSFGVVLLEITCGRKPILHKLQENEMQLVEWVWDKYGSGTLLEVVDPHLGLDYDEEEIKRLMIIGLWCVHPDSSHRPSMRQVIQVLNFEASLPILPSKMPVATYLFSDFSSVSSSLYGDTTYSSINYPSSSNASNVGRL >cds-PLY79276.1 pep primary_assembly:Lsat_Salinas_v7:9:5581862:5583767:-1 gene:gene-LSAT_9X980 transcript:rna-gnl|WGS:NBSK|LSAT_9X980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFEKYIFVIHLRILRCLGYKIQRFGNSLLANMFTFEMYDYCKYYIPICKIYVIKSRGILQIF >cds-PLY94850.1 pep primary_assembly:Lsat_Salinas_v7:2:179103860:179113697:-1 gene:gene-LSAT_2X101081 transcript:rna-gnl|WGS:NBSK|LSAT_2X101081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATGINRQPDHGISAYNIPKFYHHFAYHRWTYHGLEFTVVHGRLSLLTWNSPSSLLFPLNFTMISSLVTTCALWRSSSSHLPGKIYDLGGQVLAANSAPTVFHLAKELGSELEEMDSHKLALIDSSTGKYEDIQVADDYVAVIPLAFELQDKVKKSGRNGVHAISEFASEPVPEFLESKGLKSVPKSVAYGYTASGYGFVQDMPYAYIHEFTRTSMAGKIRRFKGGYMGFWQKLSNSLPIQVQCNTEVLSIRRTSSSVSVDTMNISSKEVKNLEFDKIIISGSFPFTNGKIYRSPTYVPPDTDNGLMDLSDLEKELFSKVETIDYYTTVLKIKGFDHLPIGFYYFGEFMDDPATIGNPVAVQRFYADTDIYLFWSYGNSVDIKGPKVTQLAIDAVNRMGGQVESVILQRRFKYFPHVTSQDMKEGFYDKMEMELQGQQNTYYVGGLVAFELTERNSTYSMNLIRKHFANDDPLPKFPYVKRLFPLLSDSLDRNPERLDEYPGVTFPDLCSLDGYLSHWGTHEITKDKTLYTWINDEGEEAYKRTYGELHANASCIAHKLLTSQKPVMCPGDRVLLLHVPGLDFVDAFFGCLRARLIPVPVLPPDPLQRGGQALLKLENIAKSSDAKAILSTVLYHGAVRAGSVKNLISLKGGKSAAKWPNLPWIHTDSLVKNAKSVDFEDFKISEPKGEDLCFLQFTSGSTGDAKGVMITHGGLIHNVKLMRNIYKSTSRTVLVSWLPQYHDMGLIGGLFTSLVSGGNAILLSPMTFIKKPLLWLQTMSKYQGTHSAGPNFAFELVVRRLEGMKDKVMDYDLSSMKFLMIAAEPVRQKTLMSFVQLTAPFGLSQQVLAPGYGLAENCVFVCCAYGEKKPILVDWQGRVCCGYVGQSDEDVDIKIVNPETGLEHEPGKEGEIWISSPSAGVGYWGKEDLSEKTFRNLLGGKKYTKTGDLGRIIDGNLFITGRIKDLIIVGGRNIYSADIEKTVESASELLRPGCCAVIGVPEETLSTKGISVPDSSDQVGLVVIAEVRDGKPVSKEVVQQIQIRVAEEHGVAIASVKLIKPRTISKTTSGKIKRFECLKQFADGTLNLVPEPIVTKKRLMRSYTTGTCREGNTPRPLLDTNRPLSAPAGGPSHKEIEEFLIGVVSEQTGISANKISTTEGLTSYGIDSIGVVRAAQKLSDFLGVPVGAVDVFTATCIADLASFSENLLLKSQPNNATSSFPVQEYENDTSFDLMTEVSALHQFKIWTLQILALVYICMMLVLPAYLSVSVFMNFISSGHSWLGYATCLLFAPIAWIFCIFATCISVAFFGKSFLRPNYALTPEVSIWSMDFVKWWTLYKAQEISSKVMGTHLRGTVFLNYWFELFGARIGSSVLLDTVEITDPSLVFIGDQAVIAEGALIQGHEVRNGVLSFLPIRIGQRSEIGPYAVIQKGSRLGEEAKVGALQKTETGKPVFRSGRRKNVQKDAAINTQTLAIYHLMGIYMVGFLSSLSAGIAYFLYTSLYQESPSLNHFAFLCVAGAFHWLPFTIIAYATMIVTTSVDPLTFATSLAAAYLAHGLILSLLTCTITWFLETEEESPFKIWLRHRINISCHLKFAKLLSGTEAFCVYLRLLGAKVGNHCSIRAINPVSDPRLISIGNGVHLGDFSRIIAGFYSITGFKSGKIEVHDNAVVGSQSLLLPGSVVQNDVILGALSVAPIDSVLQRGGVYIGSQTPVMIKNTMHALDERIEEMDVKYKKIVGNLAANLAATTLKVKSRYFHRVGVSGKGILKIYDDIKGLPNHKIFYPGKTYPIIIRHSNSLSADDDARLDARGAAVRILSAETETETPILDLTLKTGNAFYARTISDFATWLVCGLPAREQHVKRVPHVRDAVWSSLRNTDSFTSLHYFSNICRIFRFEDGREMYVKFKLRPFDDNIPEESGRIEPIGILPPETGAIPRDVNDKRPPVFLADDFQHRVSQPGGVRYVFQLQIRDVPKDEPTQDIALDCTKPWDETQFPYIDVGEITINQNNTREQSENLQFNPFLRCNEVDVIRATSASQSASIDHGRSLIYEICQHLRNGQPLPESWRSFIEQSDVKVDLSGCPMAATVTAPPENKNSGEVTLARTWYQTSWTLFGQPLLQTFLPYFLMALLISGPLNLMFYIKSTTGYPIQWLLPLFWVCSGVWGGIACVIAKWVLVGKKKEGGSVLIWGKEVFMDTIWQAFRTLVGEYFMEMASGSFLFVVWMKVMGSEIDLNGGAYVDSMGAVLNPEMVEIEGGGCVGREALLFGHIYEGDGGKVKFGKIRVGEDGFVGSRSVVMPGVRVENGGSLSALSLAFKGEIIKSK >cds-PLY67383.1 pep primary_assembly:Lsat_Salinas_v7:4:211057603:211058076:1 gene:gene-LSAT_4X118061 transcript:rna-gnl|WGS:NBSK|LSAT_4X118061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNAPEQLGNIQVPKRASYIRVIMLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELIYDLFEAATSMRMMHIFFRIGGIAADLPHDHLDNISTS >cds-PLY75129.1 pep primary_assembly:Lsat_Salinas_v7:4:61939998:61940255:-1 gene:gene-LSAT_4X41380 transcript:rna-gnl|WGS:NBSK|LSAT_4X41380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKREFGSDVSEDLTRESLIALSYTLPDTNLSSTDVTKSSKSVKNVAEAVISDEREKFRADLISISYAESPDTKDLPVSTEKNKG >cds-PLY82994.1 pep primary_assembly:Lsat_Salinas_v7:1:18977868:18982950:1 gene:gene-LSAT_1X16460 transcript:rna-gnl|WGS:NBSK|LSAT_1X16460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGSLPTMDLMRSEPMQLARIIIPMESAHRTISYLGDLGLFQFKDLNTGKSPFQRTFATQIKRSGEMARKLRFFKDQMMKAGLFASSWPSYGSEFSLDELEVKLGELEAELTEMNANTEKLQRAYNELLEYKLVLQKASEFFHRAQSSATSQQREFGDRLVEGSMDSPLLLEQEMTTDISKQVKLGFVSGLVPRDKSMAFERILFRATRGNVFLKQDVVQEPVCDPVQGEKVEKNVFVVFYSGERAKNKVSGKLSELKTTIDVGILHWSSVVQSIANQFDQWNNLVKKEKSIYHTLNTLSFDVTKKCLVAEGWCPVFATSQIQNALQKATIDSNSQVGAIFEILHAKESPPTYFRTNKFTSAFQEIVDAYGVAKYREANPGLYTIVTFPFLFAVMFGDWGHGICLFLVTLFLIIREKKYSSQKLGDIMEMAFGGRYVIIMMSIFSIYTGFIYNEFFSVPFELFGRSAYDCRDPSCKDATTIGMIKVRETYPFGVDPIWHGTRSELPFLNSLKMKMSILLGVAQMNLGIILSYYNAKFFKNDINIWYQFIPQMIFLNSLFGYLSLLIIVKWCTGSQADLYHILIYMFLSPMDDLGENQLFWGQKYLQILLLLSALVAVPWMLIPKPLLLKKQYEERHQGQTYAPLHSLDENIESEMYESHGGHEEFEFSEVLVHQLIHTIEFVLGSVSNTASYLRLWALSLAHSELSSVFYEKVLLLAWGYNSVVILIIGIIVFVFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFDPFSFASVGEEDD >cds-PLY72761.1 pep primary_assembly:Lsat_Salinas_v7:4:373799086:373800610:-1 gene:gene-LSAT_4X184641 transcript:rna-gnl|WGS:NBSK|LSAT_4X184641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKLKSKRLYRSFSRLRSGSSGGVSGGSGGGNCDGEKRGNTTVMGSMGEVKWELRPGGMLVQVRRDVAGGESVTEGIIIVRVTTVSQWHDISIRATSTFGELKVILSSMTSLEAREQRLLFKGKEREDGEHLHMVGVRDNDKVLLLQDPAIKERKRLGLNKPPLYRTITV >cds-PLY66315.1 pep primary_assembly:Lsat_Salinas_v7:5:289259849:289260803:1 gene:gene-LSAT_5X157901 transcript:rna-gnl|WGS:NBSK|LSAT_5X157901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYKHFNHEHNLSMYQVQPGQQLRCSGCDKFCDKTIYACWHCKFFLHEHCGNATRYIRHPFHTPHPLILSPYPTYPSNCFLCNACGTTGTRFSYCCALCEVDLHVNCAFLPPKVTHKAHPHELLLNVSNRTQDQNATNQVCKICRKSLDCKHWSYECGVCANYGVHTLCATAEVKMGLYQMDDGSDTESDQGQGAPKPQQAAPTAQQGPSEGQVQVELTPQEALAFLHLMGLTNTNGASYV >cds-PLY63085.1 pep primary_assembly:Lsat_Salinas_v7:8:75202755:75205421:1 gene:gene-LSAT_8X54100 transcript:rna-gnl|WGS:NBSK|LSAT_8X54100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSVDEKCIKLESGHVVESKTNNNTGCWNRWRLIGSCVSSRSKVENSISGITTHCVESKLKIDEPVVPIVSSTTSNNESNPSTPKPEDELKLDSRLRIFGFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNYLSDLIHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAEKPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSRSDVYSFGVVLLEILTGRRSMDKNRPNGEHNLVEWARPHLGERRRFYKLIDPRLEGHFSIKGAQKAAQLAARCLNRDSKARPLMSEVVECLKPLPALKDMASLSFYFQTVQLQAERSGLSSSAQNAVRAQGLFLRNGSQHPRSLSIPNGSYASPYHKLNLKSPKPNDNEKKHNSTL >cds-PLY97283.1 pep primary_assembly:Lsat_Salinas_v7:1:43978034:43981536:1 gene:gene-LSAT_1X37821 transcript:rna-gnl|WGS:NBSK|LSAT_1X37821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHQRLKQQQQQALMQHALLQQQSLYHPGLLAPPQIEPIPSGNLPPGFDPNTCRSVYVGNIHTQVTEPLLQEVFASTGPVEGCKLIRKDKSSYGFIHYFDRRSAALAIVSLNGRHLFGQPIKVNWAYASGQREDTSGHYNIFVGDLSPEVTDAMLFACFSAYASCSDARVMWDQKTGRSRGFGFVSFRDQQDAQSAINDLTGKWLGSRQIRCNWATKGAGTSDEKQASDSSKSVVELTNGTSEDGKELGNSEAPENNPQYTTVFVGNLAPEVTQLELHRHFHSIGAGVIEEVRLQRDKGFGFVRYSNHGEAALAIQMGNTQSVLYGKQIKCSWGSKPTPPGTSSNPLPEPAPAPMLSAVDLLAYERQLAMSKMGLGVGGVHGPPMMGQHPFKQMGMGMGMGGAAGASQAIYDGGFQSIAAAQQLMYYQ >cds-PLY68725.1 pep primary_assembly:Lsat_Salinas_v7:5:279933485:279939630:1 gene:gene-LSAT_5X147760 transcript:rna-gnl|WGS:NBSK|LSAT_5X147760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Ts, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29060) UniProtKB/TrEMBL;Acc:Q9SZD6] MTPVIPSSSISLIPGCTFNTRNTNSSIRCYNLKRSTRRTLYAQDCVLPLSTSLKLFPHIHHKPRFGISSSATEVDVAVVQTDSDSPVSNSDSIQTPETPTPIDKSKQRSRPARKSEMPPVKDEELVPGASFTGKVRSIQPFGAFIDFGAFTDGLVHVSRLSDGYVKDVANVVSVGQEVKVKLVEANIETGRIALTMRENEPVSGGESSRPKRSQSQNQKSNQRSNEGRKSTKFSKGQDLEGTVKNLTRSGAFINLPEGEEGFLPASEEADEGFGSIMGGGSSLEIGQEVKVRVLRIARGQVTLTMKRDEDNKELDSKLQGKVFTATNPFLVAFRKNQDIASFLDEREKVEIESSSSLVVEDEKVEKESVEETVTSEEQVDVSVIEENVIEALGEEKVEIPEETGGITSSIEEVDAVIEDEKVEIPEEISNVTSSIEQVDAPVAEAEQVEIPEETSSVSSSVEQVDAPVTEDEQVVEIIEEACSITSSIEQVDTPVTEDEQVVEIPEETSITSSIEQVDAPVTEDEDVKIEPVSEENDSITNQVQVEIPQDSVEQIDTEVPAVTPVEDTNDVNAPQQVVESSTPPPLETTTKAKISPALVKQLRDETGAGMMDCKKALSETEGDLVKAQEYLRKKGLSTADKKSNRATAEGRIGSYIHDSRIGVLIEVNCETDFVSRGDIFKELVNDLAMQVAACPQVQYLVPEDVPEDLIEKEKAIEMQKEDLLAKPEQFRGKIVEGRIKKRVEELALLEQAYIKDDKVVVKDYVKSTIATIGENIRVKRFVRFNLGEGLEKRSNDFAAEVAAQTTAKKAADPTVVEPPAAVTEPVVETAKEAPKVTISASLVKQLREETGAGMMDCKKALSETEGDLVKAQEYLRKKGLSTADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVACPKVEYVSIEDIPEGIVGKEKEIEMQREDILSKPEGIRGKIVEGRVAKRLGELALLEQPFLKDDSVLVKDVVKQTVAALGENIKVRRFVRFTLGESKSEEVES >cds-PLY62566.1 pep primary_assembly:Lsat_Salinas_v7:9:74225162:74226966:1 gene:gene-LSAT_9X62120 transcript:rna-gnl|WGS:NBSK|LSAT_9X62120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCIHRYLYLMHVEIGGKALNLKNIEITNCREVESIYLYDFNLISFIYKGQAIDLGLTDLPNLKELNIGRGLAGLKTNLLWISPIKRRRDVRRCATRPHEHLKLLEIHGYYGRGSDLELVAYFIDNAVALKEILIDPRCQARKGTPTSMRFSNMNEKVARYSLQSITPRGVKLVIL >cds-PLY85402.1 pep primary_assembly:Lsat_Salinas_v7:9:78763345:78766005:1 gene:gene-LSAT_9X63901 transcript:rna-gnl|WGS:NBSK|LSAT_9X63901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTKGYMFRDVKIEMDFRDGGWLQNPKKVKEESALHDTLRSISTKALAPVTIGVLNFDALNGTFEKIHKDFSQEWGNVCSIYGENSFKMGLSNLDARYMRPLQLFQKLKVEQGSFDFDAFYERLAPIFPKKPQQSSNKVYSQAWDTKDFYYPLAAKAATISVLNFGASNFGFDAFYKKLHKQDSYQSGDYRIKHGNCRMTRSYRNVQPIVAKASNREYNCMPKVMTTIATTAIAASLKNQPMLREILTRVAMSMASECMSAVMRVIAQDLISQTKLQKLLMMGAITMVINFPLGMCREHSNRVPSWLTPVLVAIPYISITVNAILMPKATAPYTLSATVLGLYLGCMAEEQRLKSIAAMYPAEPQYRYYKY >cds-PLY69733.1 pep primary_assembly:Lsat_Salinas_v7:8:117830306:117830944:1 gene:gene-LSAT_8X80821 transcript:rna-gnl|WGS:NBSK|LSAT_8X80821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANTFVGFEERAVDALREGKCIPNGPTPPIYFIGPLIVGGNHVDPSENECLKWLNSQPSKSVVFLCSGSQGVLKKEQLKEIAIGLEKSEQRFLWVVRDPPPDDKKTDSNSGGGKEVGLDAILPDGFKGRIGDKGMVVKNWAPQPAILSHESVGGFVSHCGWNSVLEAVVAGVPLVAWPLYAEQKMNRVYLVERIDTRETEILIQVRLRGKNI >cds-PLY80310.1 pep primary_assembly:Lsat_Salinas_v7:3:209298078:209298987:-1 gene:gene-LSAT_3X123200 transcript:rna-gnl|WGS:NBSK|LSAT_3X123200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLIHLHDVAGLQGENPWRGLKQPIPVKVGGVECLVESQYVSNCDFLEDELVTWWQKASTNKGTPFVKDLYSKLAERKTLGL >cds-PLY89167.1 pep primary_assembly:Lsat_Salinas_v7:3:20393868:20400577:1 gene:gene-LSAT_3X13301 transcript:rna-gnl|WGS:NBSK|LSAT_3X13301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEASTSIPSSAVRSWRTIFLTLRDEVQNSPTGSTVVQLLNNLIFSQSETLIAAIPNLPVHEVTSDAMFLLELVGPISNSRGDDDTRHALVQLSNLIHSFSHLISFKVTSSTWGLVLHTFGGMMEMFLGNGIAGIKRDSSDNISVTEATKHCLDTVRSLVDANQRTITLSDNVQLLNFLLKIVTLSHNIRKSSPWEIQTTVFTMMSEVFSRVGTSLPPDHWQSAVDAFRNTLDVLASKGPLMEGNVMDRFYTSLLHCLHLVLVNAKGSLQDHVAGFVAALRMFFGYGLTSKSQIVYKKEVRMTNITSESAGSSSGPYRPPHVRKQSQKGMQSKDSKLEFSHAASIGYMSSDSDFSDSDGSAKGIGNYRSSKIRVSAIVCIQDLCRSDPKLFTAQWTILLPSSDVLQARRYEANLMTCLLYDPYLKARIASASTLATMLEGPASVFLQVAEYKESTKLGSFTALSSSLGQILMQLHTGILYLIKHEKNSGFLTSLFKILTLLISCTPYSRMPDQLLPTVILNLHERIVEGFLSHNDQTGLLAVALSCLMDALSVSPSSLKVNEMFVIEISIGLKIEKTSSGLLSTLFYYSQPSTSSTVSLEALQVLKAVAHNYPNLMVLCWKQVSSIISEFLDPILEGSSRVGAVNSGHIVGTIREKVITNAIKLLDECLRAICGFKGTEDLSDDKLLNSPFTYDYIKVKTISSAPSYGLESQPVANGDHPAGSEQWCEAIEKQLSSTLFHTSPMVRAASITCFAGITSTIFFSLPEDKQDQIITYSISAALDDDVPSVRSAACRAIGVIACFPQAFMSVETLCKFINAAEVNSNHPLVSVRIAASWALANLCDSVRHCIDRFTPSSSSVDLKDCTKMVSLLIDCSLRLTRDGDKIKANAVRALGNLSRFVPCSTQDFHISVETGRCLTSTSFLQLMWEDFSWLERMVHAFLSCVTTGNVKVRWNVCHALSNLFLNETLKLEDTDWGPSVFSILLLLLRDSSNFKIRIQAAAALAAPATILDYGKSYSDVVQGVEHTLENLGSDQMFAPSSFKYRVALEKQLTSTMLHVVGVASGTCHHPVHDFLIKKALFLEEWLRGLCSSVMNEEFEGEHDATWNQKKEVINRAIESLVKVYEGRNHPVMAERFRMLVDKLQECPLPQI >cds-PLY99469.1 pep primary_assembly:Lsat_Salinas_v7:5:333539144:333541073:-1 gene:gene-LSAT_5X187201 transcript:rna-gnl|WGS:NBSK|LSAT_5X187201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAQKLIHHWKILRGDNVMIIRGKDRGETGTIKRVIRSQNRVIVEGKNLVKKHIKQGQGHEGGIFTVEAPLHVSNVQIVDPVTKQPCKVGIRYQEDGSKVRVSRGIGASGSIIPRPEILKIRATPRPTIAGPKDTPMELVLEKTFDAKTGKGMPDL >cds-PLY89657.1 pep primary_assembly:Lsat_Salinas_v7:3:185250307:185252047:1 gene:gene-LSAT_3X111220 transcript:rna-gnl|WGS:NBSK|LSAT_3X111220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPSSSSSMAIQVVSISTIIIISIFFRKYFIKKTTTNQPGLPPGPTPLPFIGCTIQMLLNRPTFRWIHKLMDHFNTPILCIKLGPSTHVIAVSSPNLACEFLRKQDVVFSSRPETLSTYLVSDGYKTTILSPNGNQWKKMRTILIHDVLAPPMHKWLQPTRDDEANHLLSYIYNQIEKKDTLTDGGLVNIRNTSQHYFGNLIRMMIFGTRFFGAGMEDGGPGEEETEHVASVFIILKYLYAFSISDFFPWLRGKTDFEGHQKIIGTAIQRVRKYQDPLIDERIQMWKDGVRKLKEDVLDVLINHESPKLTDQEIKAQILELMLAAIDNSSNAIEWAMAEMINDPTILKRAVAELDKEVGHNRLVEERDLPQLNYIKACIKEAFRLHPFAPFNIPHVSMRNTTVAGYFIPKGSHVLLSRPGLGRNPNAWTNPMRFDPDRHVDAKGKQVVLSDNDLRMLSFSTGRRGCPGVALGSTITTMMLARMVQGFSWELPQNESGVNLVENHDDLALAKPLVVIAKPRLPHYLYPKS >cds-PLY64428.1 pep primary_assembly:Lsat_Salinas_v7:5:338268886:338270163:1 gene:gene-LSAT_5X191420 transcript:rna-gnl|WGS:NBSK|LSAT_5X191420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEKQQIVVKARLSLGSESHSVEGCKGGMVSEQVVYVKGECMSIFKEFITKHNVPNDVPDPDEIVSSEDDETPSHVVKSKKIRRESN >cds-PLY74360.1 pep primary_assembly:Lsat_Salinas_v7:5:56475950:56477730:1 gene:gene-LSAT_5X26761 transcript:rna-gnl|WGS:NBSK|LSAT_5X26761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDSNTNSNNIHQFLISNPTHYESQPFEAYGVDLRGLGSYQHQSLNVLPTIQSFGERISRSIDLIQAPSMAEEQELNQHHHNQKLSLSLGSGLLFPSSAEYRGERCYLVSEEELQEKTRKAFNPSDYSSSSSLNQSSSTFYGTESLSISVGSSRYLKPTQSLLEEVVSVGGKDLESSNKSYACKLSCSGRQGSLGLCSELKAELCSNGLPFEKQELQATLAKLISLLEEVERRYDQYYQQLEDAVSSFEMNAGLGSGKSYTALALNAMSGHFSSLKDAILSQIYATRKKILQDLPRINMGFSQLSLFDKENNRHNRIALQQLGMMASPRQTWRPIRGLPETSVMILRSWLFEHFLHPYPNDSEKLMLASQTGLSKNQVSNWFINARVRLWKPMIEEMYKEEFADSSEDSNL >cds-PLY86294.1 pep primary_assembly:Lsat_Salinas_v7:8:55942152:55943279:-1 gene:gene-LSAT_8X40740 transcript:rna-gnl|WGS:NBSK|LSAT_8X40740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLQGEVLSDIFIRLLAKQLAQMRCVCKDWNALLSESSFVKSHLHRSTHINQKILMFFGVRADCTSFTASPFSSPANELDNFFKFPVNLESQPARCFGNVVGSVKGLICFKYESGDDYIVCIQNHSLSAFLTLPPCSMGSSSESRNTIFRFGYDPKTDDYKVVKLTEFFDPRRMAPVEVYSLRKGKGSWELVSQRIPSHLQCIRDQDLVCVDGHEGHLHWFCYTYIGGKLTQLILAFDLGSERFKEIPFPDSLRTCCFGDRLNVVGVLGGKVCVMSRVRDTDCEVWVMDEYSWVKLHVFSGFSGGDKIFPYGFTSNKQFLFRSMNELNRYGLYDPVIAKTKNFKIRGRSVGCKVVEYFDSLVWINRIIEAKRHQ >cds-PLY89485.1 pep primary_assembly:Lsat_Salinas_v7:1:121852206:121855607:1 gene:gene-LSAT_1X91381 transcript:rna-gnl|WGS:NBSK|LSAT_1X91381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRYVAVAIGIDLGTTYSCVGVWQNDQVEIISNDQGNRTMPSCVAFTNGGRLIGEGAKNQISMNPTNTIYDAKRLIGRRFSDTKLQEDMKLWPFEVIKGSNNIPKILVSYNGENKEFSAEEISSMVLIKLKEAAEKFLGKTVRDAVITVPAYFDDSQRQATKDAGHVAGLNVLQIINEPTSAAIAYGLDMKNDIVRDINVLIFDLGGGTFDVSLVTIDKKGTIAVKAVAGDTHLGGQDFDNTMVDFFVEQFKRKHSIDIGKNKRALSRLRVACEKAKRVLSSIIDTTIDIDSLHDGVDFSMRISRAKFEKLNEDFFSKCIEMVEKCLGDAELHKKQIDEVVLVGGSTRIPKVQQLLKDFFQGKELSKKIHADEAVAYGATVLAAKLIGCSGKKVSNLVLIDVVPLSLGVEVRDGSLSIIVNRNSSIPTKKERNYVTADDYQKVITFNVYQGERTRAVDNNWLGKFEVAVPPAPKGKSQVRVAFDIDANGILNCSGVELTTGLKKGIIITNYKERLSTENIEKMLDDAHKYKLKDEDYKKTIFARNALEGYIDDVKSKIKKIGNTTKRFNNKDLQLMETAIEKASEILKQSRVVDFDEYQKALNQLEKVCLPIIAQHV >cds-PLY72177.1 pep primary_assembly:Lsat_Salinas_v7:7:58649527:58654792:-1 gene:gene-LSAT_7X42361 transcript:rna-gnl|WGS:NBSK|LSAT_7X42361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NIG [Source:Projected from Arabidopsis thaliana (AT4G13350) UniProtKB/TrEMBL;Acc:A0A178V2M7] MGSRLKEDERNERTIRNLLKLPENRRCINCNSLGPQYVCTSFWTFICTTCSGIHREFTHRVKSVSMAKFTTQEVSALQGGGNASAKEIYFKEWDAQRQSFPDSSNVERLRDFIKHVYVDRRYSGERSFDKPPRAKMGETTEDTYQGGSRSPPYENERRYSDRPSPGGRSDTSSRNSYDERRSPEYNNQSQDFKKSSPARSDVVNDWRREDRFSKTPDIGRSPDRQKDISSPPLVRPVREILGESVSPLRVIEPPPKTNGGSTSLQPQRTASSSSLASSNGNPPVTELRRESSLIDFDTDDPQPTPSVASVPQTHQIPPSAVPVSLNDNWANFDSTPTPVVTKPMPSSQAPSSGANLLDMLSELSVPASGNNPQMSLFDTSPPPPAAVAPPSAFAPGGGPAAPTPPLFDAFGNSGGGPQWQNVQPQQNVMQPPALFNQAPTPSVDSQVTSKPAAKQELPADLFTSSYSPFGGQGQGAQGWYPAPQYGMGFNMQYNVPMQQQQQHMPPAFGQPPRSANPFDVNESAPTMFPSMAPLQNALPNMGPPPSGIQQAWMPNQPPHPLATPPYGSSMPSGGK >cds-PLY90680.1 pep primary_assembly:Lsat_Salinas_v7:6:51361338:51362116:1 gene:gene-LSAT_6X37320 transcript:rna-gnl|WGS:NBSK|LSAT_6X37320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGTLSEEAEVKVPASKAWALYGTLELAKAAAGKMLEAVDVEEGDGGAGTILKLTLLPGLGFKYYKEKFTKVDNENKVKETEIVEGGFLDIGFILYRIRIEIKENPNDDTGSSCVVKLTLEYEVKEEAAANASLVTNAPLLALLSVGSEHLLKSN >cds-PLY94444.1 pep primary_assembly:Lsat_Salinas_v7:6:9312576:9314126:1 gene:gene-LSAT_6X5961 transcript:rna-gnl|WGS:NBSK|LSAT_6X5961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKVYGHRISEPSRAVIIFCKINRIDFEEIQVEVLKGQQFSKEYGAITPMRQIPAIVDGHLKLIESHAILIHLSCSFPGVASHWYPGDPQKRAKIHSILDWHHSHLRRGAAGLVYTTILAPLNELRSFLQIVIQAEEILLRSLSELENVWLKLGDGSFLGGSSQPSIADLSLSCEVMQLELLSEEDYHRILSPYKKVKKWIEDVRNATTPYFDEIHEYLFEYQKRIREQMATQSGKNKVRAKM >cds-PLY83624.1 pep primary_assembly:Lsat_Salinas_v7:9:101466072:101469160:1 gene:gene-LSAT_9X74601 transcript:rna-gnl|WGS:NBSK|LSAT_9X74601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYKLSDGGILASEKMIVIVAVNGSRRTPAGERRRINQIRGEWRCGVNAYASGRLWGNIVMAAVTVGVQKRWWRQCFYRSLTIFIHCHHFLKSFALRPSLSLFMGYSKAALLRTIPLPFLDLCARLFDGNSVTGNFRSYSTQSSSVPGASSCRVPPLQITATPFQGIDDDGDDTSHHEPPPSAASPYTASPSGNPNKRDKPSTLIPPSASPSASSPNGTSITCDNLALEMKKAQQSLTKGYTIPQCLEKLEVLQLGSTDLGVLKDGKIVR >cds-PLY91009.1 pep primary_assembly:Lsat_Salinas_v7:7:179168555:179168944:-1 gene:gene-LSAT_7X106441 transcript:rna-gnl|WGS:NBSK|LSAT_7X106441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQDQDGEHANMDYVVQDQGGDHANFDYDDFHYENFSHDHFDPFDGEHYQPPEDENVEDHHSEHEKPVDSDDFGQESEEQYDELVDDENNVSEVEVDMTEFNINLDRDDGCVQMDGLITGWGQMMNMRE >cds-PLY69396.1 pep primary_assembly:Lsat_Salinas_v7:5:302185277:302186464:1 gene:gene-LSAT_5X161261 transcript:rna-gnl|WGS:NBSK|LSAT_5X161261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFASYPWFKKEDINPLQKKIDLKTSGKFVYNSQVSSSLNFYASIVKGTGVANGSNEQIQKVIEFSSGDFIVERYDRACLVKARDFLTLPNLRMLCLDEGFEDFNMKYVGGLWVLIEFKSKHACEKFMSSDVMDHWLLEKRDWNRNFVPLERIVWVDIEGLLLSAWTKDSFRRIFSKWGSIAQMDDYVGEDVYKNRVCILTTAQQIISDTVKVRVDGKLFIIRIKEAPGWTPSFVSDTPKSEPEGCEERNFLDGNDNDTGTNSSTGNAEESLDPFGIYETMERMKAEERRNKISTGYHGWGKSKKHTNDAQELERQNGNKSVENVHYTHSAASVNEKMQIMSPVKSCSELQAGPHPCESQNQIATTPNEIVQQPSRSSEIVPTIPITDVSMQASNG >cds-PLY64562.1 pep primary_assembly:Lsat_Salinas_v7:6:36537405:36545153:1 gene:gene-LSAT_6X28920 transcript:rna-gnl|WGS:NBSK|LSAT_6X28920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKDLSQEQNQREVVTKSPKSFSNSSKTLIDFTAPNPSKSPKDIDLSLHQLKGKIQKRLLRSSSGTPLATNAAQCSSSSSQIKRNTKLTSKDQQMNRLVFQEGGLPDRTEVVYKSHGKELLKGYKWARGIFCFCCNTVVSPSQFEAHAGQASRKKPYGNIFLANGLSLHDYASSLKLTVGHLSKKNDELCGVCKRDGDLVLCDGCPRSFHKECVSDTSAPGEKWFCKYCRNSMNLQHSELDQIEQIAKRCIQIDNCDLVACCICRAYDFTTEGFDDRTVIVCDQCEREYHVKCLREHKKMYLKELPSGNWYCSADCGILNFVLERLVECGPVKVPHSLTGLIRDNSMVGDANGIGIGITNSDMKWIVLRGKNVSDENRVLLSQSVDIFHKCFNPIVDSITGSDFIPSMAYGQIMGDSDFTGVHCAMLTIESKVITAGMFRVFGTDVVELPIVATNETDQGKGYFQLFFNCFEKLLAYLTVKKMIVHAAEDVESMWIQKFGFQKVTPAQRKEYRQTLTSMVAFQGTSLLEKDVVGPHGGLTFQNGFRFSLSMRM >cds-PLY65901.1 pep primary_assembly:Lsat_Salinas_v7:5:38215873:38216142:-1 gene:gene-LSAT_5X19101 transcript:rna-gnl|WGS:NBSK|LSAT_5X19101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEQSFSYGGFRVSSLDVPTDYEFHAMETQVQIEEMKREMRQQLGEFREEICYLKKIVIVMGVVGVAVMSLIGVHVYVECSVFGFWGV >cds-PLY69275.1 pep primary_assembly:Lsat_Salinas_v7:7:130460055:130460954:-1 gene:gene-LSAT_7X77741 transcript:rna-gnl|WGS:NBSK|LSAT_7X77741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFPPRRRVSVNGNQRMRTYHYYWCRRCQRTFRTNSHNIPETVCPRCLGIIPDELDFQRPRLVSNIADIEPSLATQFIENLALLFDPPEPPPQFIRQQGSDTDIEQGLDSNFIFHVTGLQPNGGSLSHQNATFEEHNDVSNEEEGTRSPPTPISIIEALPLVSLTQSHLANDSDCPICKDEFEVGGEVRELPCKHFYHPDCIVPWLSVHNTCPVCRYVIQGLSNNYAHTHYEEDGFARQGSRNSVNMNRSLEQFITLWPFRAFSNSTFGHANFHDMNNPTSLLGSYI >cds-PLY62499.1 pep primary_assembly:Lsat_Salinas_v7:1:82354598:82357496:1 gene:gene-LSAT_1X71440 transcript:rna-gnl|WGS:NBSK|LSAT_1X71440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIIWKRVNDTGKNWRHVYKGLTVLEYLVANGSERVIDEIKEHSYQITTLSEFQYIDHTGRDQGNNVRKKSQSLVALVNDKEKLQEVREKAAANWDKFHKTSGSAKYRPGSYPGAGGYEDDRYEGRYGSRDDNSNSNNGYGREREREREREWGDDEYNRGRRSFDAENYGRRSRSSDREREQRAYDDEAHYSSRGSNVKADDQSQKSPEGVPPSYEDAVANGDPKTSPPPVTTTRETVAPPPVTAAPPPPPPAATMNTENNGFDEFDPRGSFQAAAAAPPPPPPVSGGGDMDLFGDPFSLNSLAIVPVTYETSDTNSNPPSGQTFVAPSSTSTVSSQAFEDPFGDGPFRAVPSTAGFSAPPQSASAATVGQNAKTNPLSDIGVDFEALNRKERRMEKTNTTPMATSNVAMGKAMGSGSGIGRAGMGALRPQPNPMMGPGPGGYPNPPMGQFQMQPPTAGYASGTYNPMMGLGRGGGYGQPPPYGGGYR >cds-PLY82238.1 pep primary_assembly:Lsat_Salinas_v7:1:69155488:69156298:-1 gene:gene-LSAT_1X60640 transcript:rna-gnl|WGS:NBSK|LSAT_1X60640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLTSLLTLTLKLSGKEYTIRMCADDSVGELKQRKCQLTNVLPKHDSTLLSGLPIKSSPKMTMIGTVKDHIIVDQADAPKIVADFEIGDIKDKEVNKQKLTPRSQVQLKERKERKWVEMRRGVRRRVDQYKIEFQNPNPLELMSPCLLEFLSFVYAEYDSII >cds-PLY64913.1 pep primary_assembly:Lsat_Salinas_v7:8:133726846:133727888:-1 gene:gene-LSAT_8X92701 transcript:rna-gnl|WGS:NBSK|LSAT_8X92701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIAPEGGTLLVRKLPAADPQRVFVGDVVVMKDPEKSDGYLVRRLAATEGYEMVSKDEKEEPFVLEKDQCWVLADNENLKPKEAKDSRLFGPVSMNDIIGRVLYCLRTAVDHGPIQNSQFSMQKDLPVLEVELDVDEMAKNHKA >cds-PLY74899.1 pep primary_assembly:Lsat_Salinas_v7:3:131727157:131729005:-1 gene:gene-LSAT_3X88380 transcript:rna-gnl|WGS:NBSK|LSAT_3X88380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNENVCSENPAFSFDDGLLCDEQENDLDFGCGFGFGLFEQEIQIVKEKAHLFTHFEHDLLWEEDELACLLSKERNLVSEEGDLFNGRVLLGLRKESVDWMIRVSTHYGFVAMTTILAVNYFDRFLLSDSFQKDKPWMNQLAAVACLSLASKVEEIHTPLLLDLQVEGSKYVFESKTIMKMELLVLSSLQWKMNPVTPLAIFDYTMRRLGLITHRLHSESINRCERFALAVVNDSRSLVYLPSVIAAAIMFLVFKEIDPDNSLDYQERVKGFLEINEEKIEECSNFILEVSETQGLTQKRKYQFTPESPNGVIDSYFSRENSNDSWAISSSVSSSPEPVFKKNRVQEQQMRLAPACRVALSKAH >cds-PLY72693.1 pep primary_assembly:Lsat_Salinas_v7:6:28579386:28581687:1 gene:gene-LSAT_6X22181 transcript:rna-gnl|WGS:NBSK|LSAT_6X22181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (cytosine(38)-C(5))-methyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT5G25480) UniProtKB/Swiss-Prot;Acc:F4JWT7] MEEQGLLKEQPWRLLEFYSGIGGMRYSAIKAGVNAKMVEAFDINDLANDVYEHNFGHRPFQGNIQTLTAADLDRYKANVWLLSPPCQPYTRQGLQKQSADARAFSFLQILEIIPQLMLPPSMLFVENVVGFETSDTHQKMIQILKENQFVTQEFILSPLQFGIPYSRPRYFCLAKRKPLSFYNPEFNGQLLYVPKPLLGENDNEMLQTCLPIESFLEFVNSKGKNGTFTDEEDSLNKYLVPSNLIERWGSAMDIVYPDSKRCCCFTKSYYRYVKGTGSLLATIMPITRDKTSLEELCLRYFTPREVSNLHSFPKEFEFPEHVTLRQRYALLGNSLSVAVVAPLLHYLFSES >cds-PLY65613.1 pep primary_assembly:Lsat_Salinas_v7:8:39783986:39785985:-1 gene:gene-LSAT_8X31081 transcript:rna-gnl|WGS:NBSK|LSAT_8X31081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVTALWCGIVLAILVWYACRFINWVWLKPKKIEKCLRDQGLKGSSYKFLFGNLKEVVSMLKDSKSRPINLNDEIVPRVVPFDHKSITTYGKICFTWMGPRPVVHIAEPAMVKEVLGNNYQFQKPRGGNPLIKTLAAGLADAETDRWSKHRKIINPAFHVEKLKHMVPAIYVSCEEMITKWEEGLKKESSCEVDVWPYLQTLSSDVISRTAFGSSFEEGKRIFELQRELAELVIHAIQSIYIPGSRFLPTKNNKRMKKIDQDVKDSIRSIIDKRIMAMKAGESSKDDLLGILLDSNYKEIKQHGNRNFGLTIDEVIEECKLFYFAGQETTGNLLVWTMILLGQHKHWQERAREEVSQIFGKKKPEIDGLNRLKIVNMILYEVLRLYPPVVALGRMIHKETKLGGITLPSGTFIQLQTMILHHDHDIWGDDVNEFKPERFSEGVSKVTKRQTSYIPFGGGPRICIGLNFSLMEAKMALAMILQHFCFDLSPSYSHAPHTVVTLQPQFGAHLILQKV >cds-PLY75957.1 pep primary_assembly:Lsat_Salinas_v7:5:247484664:247484852:-1 gene:gene-LSAT_5X124601 transcript:rna-gnl|WGS:NBSK|LSAT_5X124601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDVWEVAAPKAVVDIISESNETWWISSPAPEIPLWREGRGSNVKLSTEERMQNKRLRRVKE >cds-PLY84948.1 pep primary_assembly:Lsat_Salinas_v7:2:124244911:124245489:1 gene:gene-LSAT_2X57001 transcript:rna-gnl|WGS:NBSK|LSAT_2X57001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRESRHLRVPFRDIKLATTNFTMLIGSGGYGHVYRGELLLSGKLISVAVKRLPNNNKHSGQGLKEFLTEIQLLSRYKHPNLVSLLGYCDEDNEKILIYEYAKYGSLDRFLSMSNTRFQVPWKQRIKICIDAARGLDYLHNHVAENHRVIHRDIKSVNILLDHNWKAMISDLGLSKIGRANENESYLIHY >cds-PLY93584.1 pep primary_assembly:Lsat_Salinas_v7:2:173398243:173400724:-1 gene:gene-LSAT_2X95760 transcript:rna-gnl|WGS:NBSK|LSAT_2X95760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPPWIDDLADDLQSISFNSTTTTTATDINRSTSSGSETTWTTATYSARHNFSTTFKSTAPSGNPCLDAIRLAGSESGGNLSLTDLRFINRIGAGDIGSVYLSEIKRSSSSSSSSTSSPAVFAAKVMDKRELASRNKEGRAKTEREILEMLDHPFLPTLYASLESPKWSCLLTEFCPGGDLHVLRQRQPCKRFPESAVRFYASEVVVALEYLHMLDIVYRDLKPENVLVRSDGHIMLTDFDLSLKCDGSTSTPAQVFSDHNRPNPPSSADHYAFDPPKVTSSSCILPKCIVPSVSCFNPRRKRKTKLGNQRGVQFVAEPVDVRSMSFVGTHEYLAPEIVSGEGHGSAVDWWTLGIFMFELFYGVTPFRGMDNELTLANIVARALEFPKEPVIPAMAKDLISQLLVKDPAWRLGSTMGASAIKQHSFFQGVNWALLRCTTPPFVPPPFTSREVVSDDSCSDTAVEYY >cds-PLY96643.1 pep primary_assembly:Lsat_Salinas_v7:7:46984989:46989358:1 gene:gene-LSAT_7X36280 transcript:rna-gnl|WGS:NBSK|LSAT_7X36280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKTPHSFPEPSVKGIMQRILLDLNQLPLDISEEPKNDVPPPLRFSIDLTFHNEDMEVLKKKYKVLTASSFVSRDYLKNLGFEDGCATMMHIRNKKVKMPRNSNHALARSLFKELTKKNEGEDLMVSLYEPIEGNKNKKKGKDQVAHRLAAKSLLKEFVLQSKDRYSVIRKKRKKKVRFDCGPLDGIWKKKLPPKKRRGIVIFDKEYNA >cds-PLY75005.1 pep primary_assembly:Lsat_Salinas_v7:1:112718799:112719968:-1 gene:gene-LSAT_1X87560 transcript:rna-gnl|WGS:NBSK|LSAT_1X87560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVTALRTLSFFLLFPTYVMIKIWVNGVEGQKLEGQSATFSTKIAETADGGAKQSVVVPNPSNCCSNLSSQV >cds-PLY99241.1 pep primary_assembly:Lsat_Salinas_v7:6:184461502:184464461:-1 gene:gene-LSAT_6X112621 transcript:rna-gnl|WGS:NBSK|LSAT_6X112621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSATTLSPSCSFKPHIIDRSSNPRTSFFGKPTSYNPRSWTLRPQRRRVLKVVSEKVVGIDLGTTNSAVAAMEGGQPTIITNAEGQRTTPSVVAYSKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMMEVDEESKQVSYKVIRDDNGNVKLDCPAIGKQFAPEEISAQVLRKLVDDASKFLSDKVTKAVVTVPAYFNDSQRTATKDAGRIAGIEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAETFKRDEGIDLLKDKQALQRLTETAEKAKMELSTLTQTNISLPFITATSDGPKHIDTTLTRAKFEELCSDLLDRLKTPVETALKDANLSLKDLDEVVLVGGSTRIPAVQELVKKMTSKEPNVTVNPDEVVALGASVQAGVLSGDVSNIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAIDKGTGKKQDITITGASTLPSDEVERMVAEAEKYAKEDKEKREAIDTKNQAESAVYQCEKQLKEVGEKVPGEVKEKVEAKLKELKDAISGGTTQSMKDAMAALNQEMMQVGQAIYSQGGGGGAAAAASGGEGGKASGSSGEGDGEVIDADFSDSQ >cds-PLY94893.1 pep primary_assembly:Lsat_Salinas_v7:4:108839822:108842804:1 gene:gene-LSAT_4X70261 transcript:rna-gnl|WGS:NBSK|LSAT_4X70261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G20860) UniProtKB/Swiss-Prot;Acc:Q9ZWT1] MHSRFKSLSRTLINSNLLSSISVRSFSSQIQHPQTLTELRHRLAAESPTLNDFIRLQSDEEYSVEVGTKKKPLPKPKWMKESIPGGEKYTHIKKKLRELKLHTVCEEAKCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSKTPPPPDPNEPSNVAEAIASWGLEYVVITSVDRDDLSDQGSGHFAETVQKLKTLKPSMLIEALVPDFRGDVSCVEKVAKSGLDVFAHNIETVEELQSSVRDHRANFKQSLGVLMAAKDYAPPGTLTKTSVMLGCGETPDQVVATMEKVRAAGVDVMTFGQYMRPSKRHMAVSEYITPEAFEKYRLLGMEMGFRYVASGPMVRSSYKAGEFYIKSMIEKDRAASA >cds-PLY63031.1 pep primary_assembly:Lsat_Salinas_v7:8:72766310:72769370:1 gene:gene-LSAT_8X51560 transcript:rna-gnl|WGS:NBSK|LSAT_8X51560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIGNVSVVCSEHERLTLLNFKLSVHDPYGVLSSWVGNECCLWEGIQCDAVTGNVQRLHLIATNAYNYLAGNTVSSSLAELRHLKYLDLSGNSFHGCQIPEFIGSLKHLSYLNLSYAGFQGMIPPHIGNLSNLRVLDLSNNELKAYDMAWAFGLTSLELLYLNSVNLSGTQNWNMALHMTPSLKEFSLSGCSLSNVNLGPFLNSSRILPNIQHLNLGYNSFKGPLPGLFQNMSSLTFLDLSGFNLSLAWNFPNLLSMIPSLSEIHLSGCGLDKTCLSSSPLLNFSTLSNIQHLDLGNNPLGGIFPSFLTNMSSLRVLELSNTMLNSSLPILPKLLVLHLSSNKFKQIEHVGIWRQCHLKQLSVTDNEFDMEMTDPPKNASECSQYALEFLELSWSLKGRIPETLGRLTNLRDLDLSDNGVTGTIPESLGRLSFLEVLDLSQNQLTGLIPESLGKLAALTDMDLGSNLLNGTIPVSIGQLAKLRSLYISRNYLEGAIIEAHFANLSMLEHLDTSSNSKLTFNVSRGWIPPFQLISLYLGSCNIANGFPQWLRNQRKLHMLDLSNSTISGPLPTWLQEMPIIPWLDLSHNRLSGPLTNLPNGGNDNVFIFWSYPVLFLEYNLFKGSIPRSLCRRIYLQELDLSRNMLSGKIPNCVGNLQSLTTMRLSSNWLSGVIPRSLPLIPSLFWLSLNNNDFIGELPQELGNLQGLKVLDLGDNKFSGNIPKWIGKNLTSLLVLRLRKNNFTGFWGVIGILLFKKKWRQMLFMFAEESMDKIYVAVVVRISKIKRGREAA >cds-PLY67039.1 pep primary_assembly:Lsat_Salinas_v7:5:281731072:281738058:1 gene:gene-LSAT_5X148881 transcript:rna-gnl|WGS:NBSK|LSAT_5X148881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Time for coffee [Source:Projected from Arabidopsis thaliana (AT3G22380) UniProtKB/TrEMBL;Acc:B3H7F6] MDRNREARRATNMAAPQNGLSSSRRRHRSNSLRDSPDEDGGVELQESGRLRDRGVVKKDRGDRDRDRERERERSSRSKRRRENRMMIHHVTTGSNRDDGDDTSEESVNDEDEEDDEDAGNGGGMRMLPPPPANPSTAASAMTSNHHNHNPHPQQLHHRKSFPPSANKVFRPAAPPPAWKAADEMIGVSVPRKARSASTKRSHEWISGGAVVGVGGGTEQIHRQSSTSPVRLGLTAASPAPVAPPSPSSSNVSVRKKMKTNGGSKPRPPKSSSKSSSSNPEELEIEIAEVLYGLMTQSQAPSKKELPSNDSTTKFDSNKSSSDTKSRVSSPISNSTAPPQNSSSLSTVAPKRKRPRQVSENPFSVRSSPVSSSIKTEIDHHQHHQSPKTDISSPYLENNSPGSAAAAAENGVSFGFTSLPGHQAPSAPSSEPPLPLPQPPAEEEVKCGVGVVLTKEEVEKESHAVGVNDGNAKTESVIATASSTTATILKMANPETERQKEEKFEIDLMAPPPQLKTSPERARDIDFQDHKQQQMPTQEMTKNIKDKEDEKPKKSNKETVNLMDVAQKSMAGTLHEAGESSKQAMNEERNTQLHIDLEKPNKDSTNRSLHQALKHQQLSAKSTRDETHSEKSAQPSSLPMPMSVANWPPMGYVAPLQGVISMDGNTMPSAPIQPIFTHPRPKRCATHCYIARNIHYLQQFMKINPFWPAASGSAPMFGAKPCNLNVMPSTELHGNITGGQLQPLQQDKGPGIGIFTGKDNKSSQSTTIPDPAQRKQQVLLQQALPPVTPNNILHGPTFIFPFNQQQAVAAASASVRPGSSKLSTGAPGGLGGGASGAASSATVSSSTTAAAPALSFNYPNMGANETQYLAILQNNPYSFPIPAAVGAPPNYRANPHAQPMPMFNGSFYPSQMIHPSQIPQPPPPQQAPPAQPQNHHPSQPQQIQQTHQNSSGSTSSSQKHLQNQQRQQQGGNVGGAAVNGGGTGNGGASLHNSYPSHPSHKSRSQSSQQQQQQQNQGQHMNLPPQSRHLENEVAGGGGEDSPSTAESRVSRAATTMSVYGHNFAMPVHSQSFALMNPQAAALSNAAAVNNSNDKKQQQHQHQHQQQQSQQAGLKRGGVESSLPPPQTFAMSFAPMNGTTGTMSSMAQNHAIFQSLPESLRQSYHQIMTAPPVAHSQQKKDFRISEEATRAAGGHESSSNADEDRKGSSGLTGKSGQSIAFSRPDLAPDVSGGSSPAIPGTSVIDSSSRNINLGSNNRSTRSPSVASSQSSHHLHAQFQQQQQVFQLQKQQQQQQQQQQQQNHNMAAARSKNPNPNANPPSGNGGAAYPDHLITSSSSMAAKFPNSLSSFPQNLVQTSNTSSSPVQSPQWRNSVRGPATSSQPPTTSSLKNLHQSQHQQPNRSSHPQSHTQISFGGAASQKLPSTTSSHQQNPSSNSNNNQSPSPPMLVGSPTTSSISKGGGGGGSPRTNVSASTSGRTPQASSLSSSQQAKNSPATQRSSPSILGNPHVTNSGSNKSQQQQPQQLQKQTLQQQQQQQQQHAQAQAQAQAQAHLFFAHPHPYMQVQQQSQGGLQHSGSPTTASGGATGYYLPRKQQQNPQSSSTAMLSLSPPVTLSNTTTSDPAKAIAAATSNMKGGGVPQMMHGSQYGGAQSVNAGHQMLPGGFPQYVHNAPAAVQVKPAEQKQPAGAGE >cds-PLY87758.1 pep primary_assembly:Lsat_Salinas_v7:5:200465952:200469077:-1 gene:gene-LSAT_5X91080 transcript:rna-gnl|WGS:NBSK|LSAT_5X91080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFALLGSILILTLFLKSNLGQQQEQLIPNTDEFFISSFFEKMGRNSSSSHVFNLSSSVCSWQFVFCDAKQQNVIGLVAPNLGLTGPIPDNTIGKLKNLQILDLNSNQITDFSSDFWSLVSLKTLNLSNNKFSVNLPSNIDNFESLEKLDLSFNNFSGSLPDSFDSLPSLQVLNLNRNQFESIVPLGIIRCHSLISIDFSMNSFHGSLPDGFDTAFPKLKSLNLAGNGIRGRGSDFSKMVSLTYLNISKNLFTGAVVEIFKGPLEVVDLSSNHFEGHISQVNFSSSFDWSRLVHLDLSDNQISGMFFSNLSQAHNLKHLNLAKNRFSKQSFIHIDELHNLEYLNLSKTNLINRIGDGISKLNHLKTLDLSSNHLSGKLPLLSFKTLQNLDLSNNNLTGDIPLSLLQKLPWMERFNFSYNNLTLCDSEFSLETLQTAFIGSSNGCPIAANPTLFRRKIHRHRGLKLALGLAITLICLLVGLLLCAFGCRKKTRMWDVKQESYTEKCAISGPFSFRTDSTTWVADVKIASLVPVVIFEKPLLNFTFSDLLSATSNFDRDTLLAEGQFGPVYRGVLGGGVHVAIKVLVHGSTMTDQEAATELEYLGRIKHPNLVPLMGYCLAGEQRIAIYDYMENGNLHNLLYDLPLGVQVTEDWSTDTWEETETDENGIQNVGSEALLITWRFRHKIALGTARALAFLHHGCSPPIIHRDVKASSVYLDYNLEPRLSDFGLAKIFGNGVSDETGRGSTGYSPPEATVSPKSDVYGFGVILLELITGKKPVGDEYPDEDNLKATGLVDWVRGLVRMNHGSIAIDPKMRGVGDEGQMVEGLKIGYLCTADVPAKRPSMQQVVGLLKDIEPK >cds-PLY77332.1 pep primary_assembly:Lsat_Salinas_v7:5:144817945:144821792:1 gene:gene-LSAT_5X65240 transcript:rna-gnl|WGS:NBSK|LSAT_5X65240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable peptide/nitrate transporter At3g43790 [Source:Projected from Arabidopsis thaliana (AT3G43790) UniProtKB/Swiss-Prot;Acc:Q3EAQ5] MEDTATNSTHLSSKNKEKDAKLRFHNCPGCKIDRLKEERTGLPYAHLSYIWLVSLCTALPISSLYPFIYFMIRDFNIASQEEDIGYYAGFVGSSFMIGRALTSLFWGVLADRYGRKPIILIGTFSVFIFNTMFGLSSSFWMAISSRFLLGCFNSLLGTIRTYASEVCHEEYQALALSIVSTSRGIGLIIGPAIGGFFAQPAEKYPQFFSENSLFGRFPYFLPCLIISIYSLAVFISSWWLPETLHKHRNELEVEASESLLGQNEVKAEKMSSFALLKNWPLMSTIIVYCVFSLQEIAYTEIFSLWAVSDKSYGGLSFSSQDVGEILAISGFGLLLFQLLLYPLIEKLLGPLTVTRLSAVISIPILSTYPYIATLSGFSLLLAVNCVSLMRNFLSVSLVTGLFILLNKSVGQHERGAANGISMTAMSVSKAFGPAGAGALFSFAQKRQVAAILPGDQLVFFSLNILQFVGLVLTFKPFMAQPNA >cds-PLY97093.1 pep primary_assembly:Lsat_Salinas_v7:4:73448065:73451430:-1 gene:gene-LSAT_4X49460 transcript:rna-gnl|WGS:NBSK|LSAT_4X49460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGFGYLGGAKLSADEEYSLYSRYLAKENSLNLSEIAETSDLCKYWDLRQHNPVHTQLPDYCYGLTLRHPVIVVAIANRNLIAFNFQNPQHLRSYLVRLW >cds-PLY92295.1 pep primary_assembly:Lsat_Salinas_v7:2:209478772:209480416:1 gene:gene-LSAT_2X129900 transcript:rna-gnl|WGS:NBSK|LSAT_2X129900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQLSKFTHDTLLLHKSIPQNRTSILSTSFKILSFILLTLLAFLFLNQIQKANFLVLCVSFVFLIYKTYLISRSSDIYLVDYSCLKPPNFWRVPFSSFLEHSRIVHSLDQESVDFMSRVLVTSGQSQKTCIPPALHYLPPRSSHEDAINEAQMVLFPVFEDLLSKTKLSPLDIDIIIVNCSGYCSTPSLSSIIINRYSMREDIKSFNISGMGCSASALAVDMAQNLLKVHKNSNAVILSTEILSNGWYAGKDRSMMILNCLFRSGSAAILITNKESARKISKYRLLYTLRTQGAFDDIAYNAAIREEDSKGFLGFRLKKDVLHVVGELLRSSFHIMGSSILPLEEKIRYGFSVIRKKFLDKSTELYVPNFRKVIQHYCLPTSGKAMIMEIGRKMKLKDEEVEAALMTLHRFGNQSSSSLWYELAYMEGKERVKQGERVLQLGMGSGPKCTSLVWECNRPIVGESAKGPWADSINSYPIYSFLT >cds-PLY75141.1 pep primary_assembly:Lsat_Salinas_v7:4:61502270:61505477:-1 gene:gene-LSAT_4X41641 transcript:rna-gnl|WGS:NBSK|LSAT_4X41641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRPTLTLKLAFLMITTIAQCNPPEEPIRCSTNFTNCTITNSYGAFPDRSICLAAEVAYPSSEEDLVSLVAKGTQANRKMRVATRYSHSIPKLVCPEGNDGLIISTENLNRIIEIDKDNMLITLESGVTLRQLIDEAAKSGLVLPYTPYWWGLTIGGMLGTGAHGSTLWGKGSAIHDYVVRMRIVTPGRPEEGYAKVRTLEENSESDSDMNAAKVSLGVLGVISQVTLRMQPLFKRSITFVTQNDTDLSDQVVTFGRQHEFADMIWYPSQKQVVYRIDDRVSTNVSGNGLWDHPGFRAIPSLVLLILRSTEEGQEARGDVFGKCIGGKLTINTLTNGAYGLTNDGIIFKGYPVVGYQNRLQASGGCLKGHKDAELTACSWDPQVKGLFFHQTTFSIDLSKAKDFIQDVQKLVSIAPRSLCGVDLYNGILMRYVTSSKAYLGKQEDSLDFDITYYRSKDPKIPRLFEDVLEEIEQMAVFKYGGLPHWGKNRNVAFEGAIKKYKKGREFLKVKKKFDSLGLFSSEWTDQILGLKSGLSMFKDGCALEGLCVCSLDIHCAPQNGYLCRPGKVFEEARVCTLV >cds-PLY65559.1 pep primary_assembly:Lsat_Salinas_v7:5:311904947:311906275:1 gene:gene-LSAT_5X170960 transcript:rna-gnl|WGS:NBSK|LSAT_5X170960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEILKDTSERQLSQLLYAVFFFHASEYLLAIACHGKSKVTIESLLISKDYLLAMILSMLEYLLELHFFPSLKNNWSISNTGLMLVILGETIRKLAILTAGKAFSHIIQRYHEDDHKLITYGIYGVIRHPGYSGFLIWSVGTQIMLCNPVSTIAFAIILWDFFHNRIPYEEFFLKQFFGLEHDAYAQRVWSGIPFVK >cds-PLY65306.1 pep primary_assembly:Lsat_Salinas_v7:8:104788763:104791403:-1 gene:gene-LSAT_8X70921 transcript:rna-gnl|WGS:NBSK|LSAT_8X70921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNYSVCWCFTRKFKRSEAEPPTDVKEAFKKYSGDGIHMTADQFRRFLEEYQADDGQEAERIVEQILHKRHHLAKFVNRKSLSLEDFHHFLFNTDLNPPIRSQVHQDMTAPLSHYFIYTGHNSYLTGNQLSSNCSEVPIIKALKRGVRAIELDLWPNSSKTNVHVLHGRTLTSPVELMRCLKAIKEYAFTASPYPVIITLEDHLTPDLQAKVAQMVTETFGSMLFFPESEKLKELLTPESLKYRILISTKPPKEYLTAEDDKQSRSRKCQVSDDDGVCYMLQSENDIEGKTSPSSPVYKQLIAIHAGKPKGGLKHALKVENDEVRRLSLVEQALEKAVENHGHDVVRFSQKNILRIYPKGTRITSSNYKPLIGWLHGAQMVAFNMQGYGRSLWLMHGMFRSNGGCGYVKKPDFLMGYGPKNEVLNLKAKIPAKTSLKVNIYMGDGWHLDFKKTHFDKYSPPDFYTRVGIAGAPVDKKMKKTKIKEDNWTPVWNEEFTFPLAVPELALLRIEVHGYNMSEKDNFAGQICLPVSELRPGIRAIPLCNRKGDQYTSARLLMRFEFI >cds-PLY84366.1 pep primary_assembly:Lsat_Salinas_v7:4:214418620:214420917:1 gene:gene-LSAT_4X120460 transcript:rna-gnl|WGS:NBSK|LSAT_4X120460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSATSVEGTECSSGGNETAPNPPPELQPIKKKRNLPGMPDPDAEVIALSPTTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRDGKEIRKRVYVCPEKTCIHHDPSRALGDLTGIKKHFSRKHGEKKWKCERCSKKYAVQSDWKAHMKTCGTKEYRCDCGTLFSRRDSFITHRAFCDALAQESARSQSQSQTPSLPITNSNHHNHLQETEKVQAAILPPSCSPPPPPHTPSTGVLSPVQSVHSSAELPEKSTGILEHQKGAGATLEVVEAAAAAETCLPTTTTTTASGGSTSSGNNNNNASNNNTGVFASIFASSSVLPPSQSSPATYSNLMCGVAGADRNTTVEPMSLSLSSSLYRSTTAAPSLFPPPEQTLHQHHRQYVQTQQPALSATALLQKAAQMGATSSNTSFLHALGLAPPSSSSTDRYQEASVSQWSNIQSKQERNDNLMGPPPPTTLDFLGLGMGGGGSSGGYSAFLSSIGGSSLNVNSAAGVPFRGVTSVQNDDWDDSGDKKPALH >cds-PLY75595.1 pep primary_assembly:Lsat_Salinas_v7:9:33641560:33642141:1 gene:gene-LSAT_9X29520 transcript:rna-gnl|WGS:NBSK|LSAT_9X29520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANNLISTSTAATTFIVVLLSLLLAGKSQTFSTSLRRTAMPRGKQNLTHLHFFYHNVVGGPNATAIRVAEAPITNTSATGFGAVIMVDNLLTVGPEPNSTRVGRAQGMYASADLNNMSFMMVHNYVFDEERYNGSTLSILGRNPLNSPVREFPVIGGSGVFRFARGYAKARTYFLNATNRDAIVEYNIYVLHY >cds-PLY74552.1 pep primary_assembly:Lsat_Salinas_v7:7:37332113:37334527:1 gene:gene-LSAT_7X27760 transcript:rna-gnl|WGS:NBSK|LSAT_7X27760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSSLLPDLTTRIYPEPPCSFLSVAAEDEGVDRFDQLPDSLLLLIFNRIGDVKALGRCCAVSKRFHSLVPQVENVVVRVDCVISDDDSSSASGSSDKSRGPISSLFRIVFGGIVKPIQALGQFFGPKRSSYSSLSSPSSSSSSSLSVGNVDGDDDIEQGGGVTHHSPTQVLKNFNEIRYLKIELPSGELGIEDDVLLRWRADFGSTLDNCVMLGASSVIRPANSSPNQSNYDTMCVNGNNNLHEDNGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIISEHKTLDSLVLTDADGQGVLCMNREQLEELRVKPLSASSASKRTLVPALNMRLWYAPFLELPDGTVLKGATLVATRPSEQSVQKDFSDGLWVSSAFEEPFGTAARMLVKRRTYCLEMNSF >cds-PLY68668.1 pep primary_assembly:Lsat_Salinas_v7:7:84260305:84264749:1 gene:gene-LSAT_7X59161 transcript:rna-gnl|WGS:NBSK|LSAT_7X59161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGNGGVKVITKKAYSRLLVDITCKLGSTSFRGLQSSDPVMSPSTSSSSVSILRSSSNSPFSGLVICVTGLSKEARKQVMDATERLGGQYSPHLHPRCTHLVVQSSGGRKFEHALKHGATNGLFIVTLGWFVDSVRRNVRLSEALYVVKNLGENHMPKDDSNILASGNSCLPIAMLENAKQPNMIEKSRLFSSEEELKRRGSVFSGQSFYVDGDVSAQLQNKVAESAFGQGGTLVEQWLPGHNASHVVCEESSVQKYLGHSNYLVTPLWVLKTVKETRPQRLVHLSADLARQIGMMLGNLQFGGDQKESNRPNSSQDPKTLGINSNHEENQNIANLAKKGVRNRRNRRMQACEAPIKPLTPTTLLDSICWSISEPSSSASIYTDDAKSPSFEDGKESDASFVNFSRPLSETEKNELVFKNHFLTILFPVDRFSEMGPCSRTFFSDSGFTCLQLLDHIYAFYQEKMSRLEIELAIHTDSRHADRLRSLYASKEVSECGYMEVKRVEFLGSRKSLEMLKRVPGENNSNVYELLTRA >cds-PLY85029.1 pep primary_assembly:Lsat_Salinas_v7:4:224754097:224760885:1 gene:gene-LSAT_4X123061 transcript:rna-gnl|WGS:NBSK|LSAT_4X123061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGSIVMIASILVPQMGGSHGDKARVIQVLLFMSGINTLLQALCGTRLPTVMGPSFAYMLAVLSIINDFRDEDFPSEHERFLHTMRAIQGSLIISSFINMLLGFSRAWGEFTKLLSPLIVVPYVCVVGLGLFGRGFPQLATCVELGLPMLVFLVVFQQYMKHLHPSAHPVLERFALLFCIGLVWAFAAILTAAGAYNNVREITKQSCRTDRSFLISSAPWIKIPYPFQWGTPIFRASHVFGMMGAALVTTVESTGTFIAASRFAGATPPPAHVLSRSIGLQGVGQLLDGIFGSIVGTNASVENVGLLALTHVGSRRVVQISTVFMFFFAIFGKFGAFFASIPLPIFAAIYCVLYGLVAAVGVTFIQFTNNNSMRNIYIMGLALFLGISIPQYFVMNTNGGSGHGPVHTGGGWFNDILNTIFASGPMVALIIGTLLDNTLDAHNTHDERGVPWWAPFQHKKGDSRNDEFYSFPIRISDRIPTRFL >cds-PLY80844.1 pep primary_assembly:Lsat_Salinas_v7:MU041859.1:336553:338128:1 gene:gene-LSAT_5X38580 transcript:rna-gnl|WGS:NBSK|LSAT_5X38580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEFSVHFLSINKKQYDRFRVLAMSNGLILCCWRSPTPFVYYICDPLTRQWITLPRCRLNYHYTFKEGLITRVNKDHMLTGYTVVRFNHFESDYLNLEMLTSETGKWISYKLPSSIPLLEDAGGPIYCYGALHWRVCNCEGFDGLLAFNPYKDPKSVRLISLPDERDLRREYELCGESQGTLRYF >cds-PLY85636.1 pep primary_assembly:Lsat_Salinas_v7:3:88417509:88417815:1 gene:gene-LSAT_3X67840 transcript:rna-gnl|WGS:NBSK|LSAT_3X67840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIFGKQIHPRQIILLVAGMMVFGSTSYDIHRSIKSNETPPSKEQIQAMEDYLATKRRPG >cds-PLY88248.1 pep primary_assembly:Lsat_Salinas_v7:4:154221535:154224493:1 gene:gene-LSAT_4X93941 transcript:rna-gnl|WGS:NBSK|LSAT_4X93941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAPWKSHCLDHFTPLGFSGVVRHCLDGYAPETSVKIGGESLTTTRWSQLIQLNFLKRFLGGEFVKHTLAANECLEVSLIKFNALIQE >cds-PLY89935.1 pep primary_assembly:Lsat_Salinas_v7:5:92810414:92811324:1 gene:gene-LSAT_5X42161 transcript:rna-gnl|WGS:NBSK|LSAT_5X42161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLALLALCLLPVLSTAIATGNTFSLKGRVYCDTCRCGFETSATTYLAGAKVRVECRDRNSMNLRYTMDAVTDATGTYHIEVATDHGDQKCECTLVGSPDPGCGKPNIGRHRATVILTSNNGMNYGARYANAMGFLKDTALAGCPQLMKSYFPEEI >cds-PLY86080.1 pep primary_assembly:Lsat_Salinas_v7:7:139346176:139346871:-1 gene:gene-LSAT_7X83420 transcript:rna-gnl|WGS:NBSK|LSAT_7X83420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSRDQLQVTHVQSQEGVKVCDCVVLAKERTCWKITNPGRRFWNCQNSMTRLRKCSFFEWKDEEQADGYYKNLLYSLKQKLDAKDEMSEMNNLRRRIAEVEFLLSQEQYKVAKSEKEVHDAMKAIGRYRMIVALLFGCLALCVLKLGGSM >cds-PLY90121.1 pep primary_assembly:Lsat_Salinas_v7:7:13793257:13793463:1 gene:gene-LSAT_7X10900 transcript:rna-gnl|WGS:NBSK|LSAT_7X10900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLQTEEFIYHGPKLTGDHLNKTGYGNGLNNRHVADHSNAREHGGYDGAYATSPNHDSRKMAIHHKCL >cds-PLY68790.1 pep primary_assembly:Lsat_Salinas_v7:3:159004454:159006273:1 gene:gene-LSAT_3X100060 transcript:rna-gnl|WGS:NBSK|LSAT_3X100060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLLEMRIMNEFECWNNSVAQNPSAPTLLIFPENGNFGLSHTSSKSNEESEDVNSFSEVDIITPTQNLLDRKLTCEIVPGKSLLLTGPNGSGKSSVFRALRGLWPIVDGQLVKPCHNVNDVAEAESGCGNGILYIPQKPYTCLGTLCDQIIYPLSHEQAEKRALSLYQQGKIDVGVPDANILDMHLKRSLENVKLLYLFEREGRWNASQNWEDILSLGEQQRLGMARLFFHKPQFGILDECTKWLEGNPTAC >cds-PLY98975.1 pep primary_assembly:Lsat_Salinas_v7:7:49696855:49702492:-1 gene:gene-LSAT_7X34640 transcript:rna-gnl|WGS:NBSK|LSAT_7X34640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKFDLSSSSSPSRPLFTSGKRGSHTAPSMERSTSFRENIENPILSSLPSMSRSTVNVSQGEVTNFFQCLRFDLKSMAAEYKCNRFGDFKRLASAALCAPDDSPSGLLKGKFPSSSPENIKRLKVGLRESTIKARERVKIFSDVLSVMNKCFPSIPSRKRSRPDAFSVGKMGTTSGFEYEQQKVEERGKNVVPNKRTRTSMVDLRADVRPNTPARSSGSVDREREVLRLPNSNVLQGEERVIPVVADGWEKAKMKKKRTGMKADATLSASSVSAKPIDGIRDSRVHPRSLLDARSRLNDSHGFRPGAVNVREDFISGSSSSTPRLHATARGPRSGTGGIPKLPHGQRATVSNGMESQSQSQSPHGTSKNPSAMGPTNRKRTLSTPTQSSSPPVAQWAERRPQKISRTARRMNLVPVVSNDDVSTLGNSDATVGEKRRGFAKRFPKQFKSKVDHTLSESEESGAAEVSEEKGGSSVQKMSNLVLSTRKNKFMTGFASARSIGTAKQLKSGRVGVDKPESKIGRPPTRKLSGRKAYMRQKHSSITTPTDFLVTSDDGNGELLAAANAVINPNPGFVSSSPFWSQMDRLFGFVYDADVAYIKQEGSIQSTVNTANSIDYCDTHSNGSFEPPKTETECSSESSEHVMSGTSLCQRLLAALISEEDDNDYTWHGNDVYESAFEPETDGSFLSSYRMDSPLRDTGFDDCYKGLLVSEPTVVTCSQYQYGNMSMDERLLMEIHSLGLYPSPVVSRKKTLLNKLLKSTEEARVLHEKEFEQLSLEKLTRIAYHKYMNSWGPNAPGGKGVNGKMAKQLALECVKRTLDRCHEFETTGKSCFSEPLFMDMFLSGLSHFNDNTNTQQSPSLTNFDSYPPDTQNHSSDQGKEDDVWPIRSKKRELYLDDVAGTSISSGKGKRSDREREREGKGNGIRNGGPKIGRPTVSGNGERKSKAKIKQKTSQVSSVPIPVPIPIPIPKVEEKEKNECMLLLEDPLDLSHLQLPEFDVDVGQGQDIGSWLNIGDEVLQDDDFLGLEIPMDDLTDLNMMV >cds-PLY93087.1 pep primary_assembly:Lsat_Salinas_v7:4:107458934:107461622:-1 gene:gene-LSAT_4X69721 transcript:rna-gnl|WGS:NBSK|LSAT_4X69721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METEKITETTRHIRKSVSLGSDLIDYDGRTSADEYPMDSEYTSSHNTESIIEFTDKDDKDPENQKSNSLQVSSNLVNQESVSSGYQQSHEEILENELRFYRDSPSSLVKSSSLPFLDSSLSKLVDQSQSTDDLKALERVEAEKNTTDDSSDSCNHVGSAKDWIVPGVDESGKEKKIQEDYTGRSWDRLANKDFKVKRIEKWVMDLHYTPVNEVNKPVNLDDHGKNARTAILDCSATSRTGTKGVRGMDAAKSYISSLSGSSSTAHLANHGLVTIPFLSAYSSMKELNLSGNTIVRITAGILPKGLHILNLSKNNISVIEGLRELTRIRALDLSYNRILRIGHGLAACSSLKELYLAGNKISEVEGLHRLLKLKVLDLRFNKLSTTKSLGQLAANYNSLQAIGLEGNPAQKNVGDEHLKKYLTGLLPHLAYYNRQSIKPGSLKDPVNRASQLGPFDRGMRKSTHCRKSQNASSHCRHVRLPPSGMKTGNVRHNFHDLSNKRLIVGSKNVIRRTRSEGVLLRAV >cds-PLY76838.1 pep primary_assembly:Lsat_Salinas_v7:3:4781566:4782454:-1 gene:gene-LSAT_3X2381 transcript:rna-gnl|WGS:NBSK|LSAT_3X2381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSVGLYLLVLVVTLRYTTTVNGRAASPTAMDLIRASCKTTLHASLCVRCLSSYAGSIKGSNADHLLAKAAISVSLNNTKSASVFISKLGRVSGIKPREYQAVKDCISTMTNSVASLSQSVQELDQMARTRGHDFEWHMSNAETWVASALTNQNICARGFDDSSMNGHVKNAITSRMVYVSQVTSNALALVNRFAVRHRKGIRHP >cds-PLY78426.1 pep primary_assembly:Lsat_Salinas_v7:2:167775496:167778166:1 gene:gene-LSAT_2X88701 transcript:rna-gnl|WGS:NBSK|LSAT_2X88701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADNLPLASPSTSTNHDSMTKVTDMDIDSLVHCASYLTLQDISNMALSCKYLNRVVYSDSIWRRLYREQWPEQEPYIISQTSFPTAREAYLGRYSDLQRFKFVDPLVYDVHMGIGAKCSDFIFSNNSILFSQGPVIKILSIDKLLEGKTSLISLNDHRARITCMRLFPLKETSLFRNEAQRNENVLVTSSSDHSIRLWWKGSCQRCFRGHNGPVTILSDKLLGDGTGKVFASGGEDSTVRLWSMSSGGKRGGQHALKATLYGHEKPLVFMSVVQHKASLLASMSKDSKVRVWDTTISSDRSSCCVGMTCIPGVPVGIKCHDSLLYIAAGSSFVAVDLRTMKTAFKTSTNQPKLFSFDILPSKFLACTGGVGRAMLWDIRRSIGTGDADPMAELDGHVGPVTHLHMDPYKVVTGGREDPYVNIWDADTGNQTNILRSSSNLNLDGGGCGCCGMAADGFRIVTACFNGEECVVNFRDFNNAVCYGSFSENVTGSKFWCPSTSTYGLST >cds-PLY74200.1 pep primary_assembly:Lsat_Salinas_v7:9:23694344:23695855:1 gene:gene-LSAT_9X21280 transcript:rna-gnl|WGS:NBSK|LSAT_9X21280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDNDFDKDEDDFVENEDKEGNCEEDNDNDGSQPEVDYLLDSNKAENEGIKNDGDNNQKEGEAEVKDKDRDINENENDEEKNDDEIEETNNHYTDLVENENPEGVHKQEEEVEKRKGDDIGKGKFEHGNKEGAEAKKKKVNDGGGDKQREIKKGNADDRGE >cds-PLY68099.1 pep primary_assembly:Lsat_Salinas_v7:8:33802218:33805239:-1 gene:gene-LSAT_8X25880 transcript:rna-gnl|WGS:NBSK|LSAT_8X25880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYFSTTLIFLLTVLGIVFYYLQKQQEEEDDSEQKKSSLLSLSDQQSQNEEQSKRKDSSTSASSDKLCQKFSLAEIKLATNDFDDVFVIGKGGFGKVYKGKIEIGEEGIDVAIKRLNLEHSSQGATEFKAEIEMLSQFRHSHIVSLLGYHEGSDKREMILVYEYMPNGSLDHHLHKIRANGSNSSLLTWIERLNICIGAARGLDYLHTGTSVQSRVIHRDIKSSNILLDENLAAKISDFGLSTIGPANLVGTTNVYTNQIRGTFGYMDAEYFATHRLTRKSDVYAFGVVLLEVLCGRPALDFTLDEQQHSLSVWAKQRIREGKIDRIIDPCLREQTRTKFLKEFGRIAYECVLPRSKDRPTMTKVVARLELVLAWTLQNGLSHIGRSLFIEKAWSLFLIKALNKDHMRATKKLGQSINNKKNGIMMEKHATTFVEGGWQSGDIATVSQQVVLPGNETNILILKIFKLSELQSATQNFSQDMVLGDGDYGKVYKGWLDSVTFAPRKAGDGLAVAIKRSKPDIYRHFREWQNQIPLKWR >cds-PLY84081.1 pep primary_assembly:Lsat_Salinas_v7:6:188467347:188472093:-1 gene:gene-LSAT_6X114201 transcript:rna-gnl|WGS:NBSK|LSAT_6X114201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPPRPLPPPSPSTHQQQLHLQHQQQNQDVMVQIPQQPPTHPNTHLPTLPENLPRLELINGNREEYLHIGVPLYEASIKGDWKAAEAILETRPELVRSAITENFETPLHIAASARSTKSVEEFVKNLVTKMEAKDLELQNKSSNTALSLAATAGNVETAKIMVKKNKAVLEIPGSQGMMPLYVAALFARDKMVRYLYYEIPNSMLGDYWKDENRGWVLQKCVEADLFDVALKIVNDYPKLTTNKRLLRDILVVLAKKTDAFKEKKPHIILGTIKSIFAVFHVKVGPDERESDALQLLKIIWKQVVIMPKKDIDDIIRGPPATIEEHKKKNTHYPSRVLFVAAKMGNIKFISELIRSYPDLIWKVDEKGLSMFHKAVKRRQEKIYNLLYEIGSMKDLITPIKDDVGNNMLHLVGKSAKPNRFQNVSGVALQMQRELLWFEEVKQIIPPSYRQKKNFAGEEPHDIFTKSHAKLVEKGEEWMKDTAAQCMVVATLIATIVFAAGFTLPGGYDQNNGLPMFGPRSALVVFVIADAISLIFSSTSVLIFLSILTSRYAERDFLESLPKKLMFGLGTLFLSIVTMMIAFGSSFFLLYHKNQKWIPYMVAGFAAIPVILFAFLQSRLLLDVFYSSYRSRYLFKPKKHTLYD >cds-PLY70039.1 pep primary_assembly:Lsat_Salinas_v7:5:201145159:201150748:-1 gene:gene-LSAT_5X91400 transcript:rna-gnl|WGS:NBSK|LSAT_5X91400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKCHPIPDGSDPVILANAMDVSHFGYFQRVTVRQFIVFVGRTVAKRTPPDQRHSVQHEEYKVHSYNRNGLCVVGFMDDHYPVRSAFSVLNQVIDEYQKKHGNSWHNIQADITDQWPYLNDALTRFQDPAQADKLLKIQRELDETKIILHKTIDSVLERGEKLDSLVEKSSDLSAASQRSDRVGAFGSGGVGDRVVWSKVEDESYAIVGGENMVERG >cds-PLY72967.1 pep primary_assembly:Lsat_Salinas_v7:8:135319646:135322522:-1 gene:gene-LSAT_8X93841 transcript:rna-gnl|WGS:NBSK|LSAT_8X93841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLMLYGVENLAIIRGRVSPNGLGFNGSDLHGGKSFLKMNLGIENSNFRRISKTLFVPKCSMSVPRPASQRRFMQHKKEAFWFYRFMSIVYDHVINPGHWTEDMRDEALEPADLNSRDMIVVDVGGGTGFSTLGIVKHVDAKNITILDQSPHQLAKAKEKEPLKECKIIEGDAEDLPFQTDYADRYISAGSIECWPEPQRGIKEAYRVLKMGGKACLIGPVHPTYWLSRFFADMFMLFPKEEEYIEWFEKAGFKDVKITRIGPKWYRGVRRHGLIMGCSVTAVKLISGDSPLQLGPKVEDVRKRVNMFVFLARLILGAIAGGYYVMLPIYMWLKDRIVPKGQPI >cds-PLY71942.1 pep primary_assembly:Lsat_Salinas_v7:3:23774381:23776127:1 gene:gene-LSAT_3X17700 transcript:rna-gnl|WGS:NBSK|LSAT_3X17700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAKDLAAGTVGGVAQLVVGHPFDTIKVKLQSQPTPPPGQLPQYSGAIDAVKKTLAAEGAGGLYKGMGAPLATVAVFNAVLFSVRGKMEAILRSEPGAPLTVKQQTIAGAGAGFAVSFLATPTELIKCRLQAQGAGASVAEGGAAATAALKYSGPMDVAKQVLRSEGGVRGLYKGMFPTFAREVPGNATMFGIYEALKQYLAGGTDTSGLGRGSLMMAGGLAGGAFWISVYPADVVKSVIQIDDYKNPKYSGAIDAFKKILKSEGVSGLYKGFGPAMARSVPANAACFLAYEVTRSSLG >cds-PLY82982.1 pep primary_assembly:Lsat_Salinas_v7:1:20023975:20026544:-1 gene:gene-LSAT_1X17080 transcript:rna-gnl|WGS:NBSK|LSAT_1X17080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPVEPPNGIKSEGKHYFSICQALFELDTKYVPIKPIGRGAYGIVCSSFNKETNEKVAIKKIHNTFDNHTDALRTLRELKLLRHLRHENVIALKDVMVPMHKTSFKDVYLVYELMDTDLHQIIKSSQSLSNDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTNNNKDRFMTEYVVTRWYRAPELLLCCENYGTSIDIWSVGCIFAELLGRNPLFPGSECLNQLKLIINILGSQTEEEIAFIDNQKARKFIQTLPFSPRVSFSQLYPEAEPMAIDLLEKMLVFDPLKRISVDEALNHPYMSSLCSPGMDPPVEGAVDVNIDEELGDEVIREMIWKEMLHYHPEVVSVSIGNP >cds-PLY66986.1 pep primary_assembly:Lsat_Salinas_v7:6:140450623:140453240:-1 gene:gene-LSAT_6X84320 transcript:rna-gnl|WGS:NBSK|LSAT_6X84320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SEH1 [Source:Projected from Arabidopsis thaliana (AT1G64350) UniProtKB/Swiss-Prot;Acc:Q93VR9] MEKSVATLDKGAICSSWNYCGQRLSTGMIDGSIAIYDTSDPASSSFTSTFKFKVSEGSIVKVLWVPPEYGDAIACICSNGSLSIWEELAEDSEPVQWKQCKTFGGSMDQVLDAQFGNSHSSLKLTVAYANGILKVYEILDPMDLKNWQLQAELQNVTDSVTKFGKASCLSASISWNPQRSDTCPASFLLGLNSDTPQLNSPKVWEFDQDHQRWLPVAELADHDDKGDQVYAVAWAPNIGRPYELMAVATLKSISIWQMASDPDIDGRLSVEKIATFPCHNNQVWQMEWDMSGMTLATTGSDGRVRLWQSNLNGVWHEQAIIEPTM >cds-PLY62135.1 pep primary_assembly:Lsat_Salinas_v7:6:47135514:47136188:-1 gene:gene-LSAT_6X33620 transcript:rna-gnl|WGS:NBSK|LSAT_6X33620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSGSSSDNHVVLATRNRDNNLCACRHPKLSVERMSMSDKNPARRFRNCVDSLVEMAAAKCIYFKWIDDELTPHYKNAFKNLKYELKLMKDTSYAARLERTVSLLENLNAEAIAAKEIVDGELAMEVEEKKQLRGELKFVRLKFRIAMMFLVLLVAVLMMQKAKVVG >cds-PLY63760.1 pep primary_assembly:Lsat_Salinas_v7:6:27103079:27107929:1 gene:gene-LSAT_6X20321 transcript:rna-gnl|WGS:NBSK|LSAT_6X20321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLLIGIFGLCVVMMILMIKTSLFSEKIGVAMGNVYVNGTTSVAYIDEDFVCATLDWWPPQKCDYGTCSWGDSSLLNLDLNNKILLNAIKAFSPLKLRLGGTLQNKVMYQTQGDQKPCSQFTINTSEFLGYTQGCLPMSRWDELNHFFQKSGAKVIFGLNALSHRNTNMDVIGPWNSTNAEALMKYTIDKGFTIHGWELGNELSGFNAIGASIKADQYASDTISLQNLVQKMYKNFAIKPIVLGPGGFFDENWFTEYVAKSNNSLQVLTQHIYNLGPGVDTHLIKKILNPWYLDGGSQSFKDVQNILKESGSETVAWVGEAGGAYNSGRNRVSNAFVFSFWYLDQMGMASLYDTKTYCRQTLIGGNYGLLNTATFVPNPDYYSALLWHRLMGRRVLSASFNGIKKIRSYAHCAKHSDGLTLLLINLDGSIKAKVGVSIENVTIIMASTPDLKPTQETKSSRNPKGELFRREEYHLTAKNGKLNSNTILLNGKELSVNSTTGIIPSLDPIQVKLGSPIMVAPFSIVFVHIPNIHVPACR >cds-PLY78922.1 pep primary_assembly:Lsat_Salinas_v7:8:3318313:3322084:-1 gene:gene-LSAT_8X2100 transcript:rna-gnl|WGS:NBSK|LSAT_8X2100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQCPSNNFFRYNTTLCACNPGYFYNTTDNTCSPATSSGADEFLVGSGVDYSINIPANLFSFDTIKKFTQSQAVFLETTLVLLASWLVFCFFVRFGKLGDGTTLWFQIRWWISRLDVSFATRHWLDDQKVVKKRKTELGGTFSIASWILFIGLFAALLYQIISMRTVEVHTVIATNAPDLASFHNDMEFNITTISTMTCSNLQGLSTLVTGNPGFINYRTAPLSTLVNFTCENTTTGPTISLKCNDCQLLRDNLYISWQFVDLPNMPAMAVGFEFKLSARDPRHKKHVSFVSGALKNGSTNSAKFVTYRGRDPNILQFNLFPRIYRTKHDLKLIQPLFHDFLPGSSHSETNQLQASLQRPADGLVNMTLYVNYLSSYIVEIDNQSTLGPVGFLADVGGLYCISIVIFFYLMVQFEYRFKRFRSEDRVMRSIRNRRKAQERWNKLRKYVSFTWGPGILASEDKAFVERECCNCFAVPKRRKRTTMDHISFNTTKASTPGAKVVHDVNCKEENNRNSSSMHSNNTDTPQHDIPLPPSLEIMPGSEISIQEIHKNLKNLYEYNVMLRDKLVTTRSMINALTSKASAASSSDQRHQ >cds-PLY72587.1 pep primary_assembly:Lsat_Salinas_v7:3:199658652:199659474:-1 gene:gene-LSAT_3X120620 transcript:rna-gnl|WGS:NBSK|LSAT_3X120620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRQRSSTSHHYHLLQKGLPAIFIDQLYHPKSGQSSRQPVINKDNKKPGFATTSADTGGGNTSAHTSGSKREESVTTKQFSLLREKKKDESKKGDMLQTRDIRGVDERAEDYILKVREQMRLQREKSILDFQEMLARSV >cds-PLY89105.1 pep primary_assembly:Lsat_Salinas_v7:4:145490379:145493718:1 gene:gene-LSAT_4X88801 transcript:rna-gnl|WGS:NBSK|LSAT_4X88801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIKVDLIYLSMVACFLPPPPFYSVSGLSSDGQTLLSLSRHWISIPPPLVSTWNASDANPCSWVGVLCDRNKLVISLDLSKSQISGELGPEISRLTQLKYLNLSFNHLSGQIPSSISNCSRLQQLDLSLNDLTGKIPDSFGNLRLKSLILSNNSLNGSIPESIFHISGLEALLLSSNQLTGLIPSNLGNATMLNKLCLDDNHFTGSLPDSLNDLANLVYLDVHKAGVEGRIPLGSNGCKDLIYLDLSFNSFTRLPPELGNCSNLEQFAVVKCRLTGEIPSSFGQLTKLTLLYLSINELSGKIPTELANCSSLSDLQLDQNQLEGGIPSELGILKLKSLFLFTNRLTGEVPMSIWKIETLEHLLIYENRLFGELPIEVAQMKQMKEFTLFTNHFSGVIPQSLGMNSDLVILDFLDNSFTGPIPPNLCFRKKLERLVLGFNNFEGGIPSDLGNCPNLLRLRLENSNLTGVLPEFVNNPTLDYMNLENNNFTGEISASIGNLTNVSQIILSMNRFSGVLPKELGNLVHLQALNLSHNAFEGPLPSQLTNCRKMLEFDASDNQFNGSIPSAFQSMSGLMRLYLSDNQFSGNIPDFISEFQALIDLQLDGNSLDGTIPSSIVELKSLDTLNISRNHLTGDLPSGFSKMVMLQHIDFSHNHLTGSLSSLAELRVLTGLNISYNLFTGQIPPPLMKFLNSSLDSFLGNPDLCVDCGSNCDPFRNFKLCPGSSNKKKGLSKFHTAMVALATSACLFAVVLGVGFMLQCRQREKHDIEEVYPDKEDDDVLFQRVMQATEDLNDKYIIGRGAHGTVYKASLGQNGVFAVKKLMFGASKEGSTSMVREIETVGKVRHRNLVRLEDFWMRKNYGLILYRYMHNGSLHDILHEMHPPPLLDWSIRCNIALGTAHGLAYLHFDCDPAIVHRDIKPMNILLDDELEPHISDFGIAKLLDQSSPALMSGILRGTIGYIAPENAFTNTKSMESDVYSYGVVLLELITRKKAVDDSFADGLDIVRWVRSVWSEKVELEVVVDSGLYDDLYDSFVREQVTEVLQLALRCTETEPNRRPSMREVVKQLEDVYGSLRSKLK >cds-PLY94151.1 pep primary_assembly:Lsat_Salinas_v7:5:33225784:33227678:-1 gene:gene-LSAT_5X14920 transcript:rna-gnl|WGS:NBSK|LSAT_5X14920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSQNEDDFHVDTKGVFQDLHQLDNLCLAGSSFHPDYATHVEGYDPFGPLSYGLGSNDLEVYQFKPLEHVNDVEMVMNLNNGDKSFTGWDFSNRLECPIQDPKPMRFFVPDEGSCVIADNGIEKGNKKTYKGKNMTNSSKGQWTREEDRILKEMVEKYGVRKWSYIAQKLKGRIGKQCRERWHNHLRPDIKKDFWTEEEDRILIAAHAEVGNKWSEIAKKLPGRTENSTKNHWNATKRRQYTRRKCRSKWPRPSPILQNYIKSLNLPRGRMNSNHSNLGDDFDYNEVPEFAMDEKLLEWDNDIDSLLDDLPSNCNNGGEEVDSYLQGDVKEMDLMEMISQVNL >cds-PLY82056.1 pep primary_assembly:Lsat_Salinas_v7:9:156096446:156097350:1 gene:gene-LSAT_9X98780 transcript:rna-gnl|WGS:NBSK|LSAT_9X98780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKVTGIGMMIRRHNLLYASRPALFANGQLGGGFASFSDSDSRPEGEGNALADRAKQTMGKMMGAAKDTSENVKDRVTGANTSHPSKDPELNHGVEKTDSSCEDVRDRPGGYS >cds-PLY83402.1 pep primary_assembly:Lsat_Salinas_v7:8:1547468:1547961:-1 gene:gene-LSAT_8X1701 transcript:rna-gnl|WGS:NBSK|LSAT_8X1701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPYCSLDKQHKKTIGEMEQEFLQALQSFYYEGKAIMSNEEFDNLKEELMWEGSSVVVLSSTEKKFLEALMAYVSGNPIMTDKEYDQLKIQLKVHSLDSWQ >cds-PLY66916.1 pep primary_assembly:Lsat_Salinas_v7:7:18983725:18988848:-1 gene:gene-LSAT_7X15161 transcript:rna-gnl|WGS:NBSK|LSAT_7X15161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVANRRDQFLLLKFVAVIFVSLSFVNGTTDPSDVQALQVLYTSLNNAAQLTNWKSNSGDPCGESWKGVTCEGTSVISIQLPGLGIDGTLGYLLSGLTSLKTLDLSGNNIHDALPYQLPPNLTSLNLANNNLTGNLPYSIAMMFNLNYMNLSRNVLTQTVGDIFNNLTSLATLDLSNNNLTGDLPNSLSSLSNISTFHVQNNQLTGSLNALSGLPLTDVNIANNHFNGWIPRELLSVPSFIYDGNSFDNGPAPPPPPFTPPPPGKTPNNRTRSPPSHTPTASKLPSSNTSSGKKLSLGGILGISLGSAFLILLIILSLIFCLRKGKKKENVTRTSTPISSEKVNAELQEQRVKPTTSSIVDMKPPITENSTFERGKNGSMKRVKSPLTTSSYTVATLQTATNSFSQDNIIGEGSLGRVYKADFPNGKMMAVKKIDNAALSLQEEDNFVEAVSNMSRLRHPNIVPLAGYCAEHGQRLLVYEYIANGSLQDLLHFLDDPTKTLTWNARVRVALGTARALEYLHEVCLPSVVHRNLKSANILLDEELNPHLSDCGLAALTPNTEREVATQLVGSFGYSAPEFALSGIYTVKSDVYSFGVVMLEILTGRKPLDSSRARSEQSLVRWATPQLHDIDSLAKMVDPTLNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQALVRLMQRASVVKRRSSDDSGFIYKTPDHEAYEMSY >cds-PLY72806.1 pep primary_assembly:Lsat_Salinas_v7:6:22583426:22583995:-1 gene:gene-LSAT_6X17761 transcript:rna-gnl|WGS:NBSK|LSAT_6X17761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:BPC7 [Source:Projected from Arabidopsis thaliana (AT2G35550) UniProtKB/TrEMBL;Acc:A0A178W000] MSETNDDGPSFSQFPCFSSSNHSQVTYTEAVPIRCVAPISVTEPHTNKKNKLSPKNKTKSCSISKKSKKKTILKPNVERKNLDASFDDSKIDFSKVPPPICSCTGVPRQCHKCGVNGWQSSCCNSKISVFPLPMSPWRPGARVGGRKMSHGAYRKLLCKLASEGQDLSHPVDLKPHWAKHGTNNFVTIK >cds-PLY88651.1 pep primary_assembly:Lsat_Salinas_v7:5:140345614:140352644:-1 gene:gene-LSAT_5X61960 transcript:rna-gnl|WGS:NBSK|LSAT_5X61960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAILKEFQHLKIHLEAIKSATSNFDNSKLIGKGGFGNVYEGVLSDSYGEEMVAFKRLDRNYGQGDPEFWKEILILSSYTHENLISLLGFCNEDGQKILVYDRASRGSLDRHLSATTLTWRQRLKICLGAATGLCYLHDPKESQQRVIHRDIKSSNILIGENWNAKVSDMGLSKLGPANQKHTILISNVVGTIGYIDPVYMETCILTKESDVYSFGVVLFEVLCGRLCFEYKNGRFQSLVGMWKKSYKQKKLNEIIFEDLKQQMDQRSLETFSDIAYRCLQKSREQRPMISHVVEKLEIALRFQEIGDHVEPLMDYEEIIKTAVPSLANSSTEELKMHLLKGISVDKGTTVEDKAREEDEKVSILESDTDWELNLPHDWVEIFCWSKDALQCTTKNELYSILCKGIPMNDGELWFSLAKNGKKCFLQSATLALDQKGWSWTSSPESRFKLVAFDPVFDSFHIYCRPRMQSLGTNYAAYLVYKLQETHFWHEPPVRVRSDKYANVYSWYIYLLSPRTPVIKPKAYQNTHNPLSRPKILKGLPQKRNDGWMEVQVLEYQFGDAYERFVDEKFGHDLHLTFYDTPSFGMKGLIVQGIEYRPII >cds-PLY95273.1 pep primary_assembly:Lsat_Salinas_v7:8:137166703:137169177:1 gene:gene-LSAT_8X94781 transcript:rna-gnl|WGS:NBSK|LSAT_8X94781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIKLEKTLSSAMNARIIGSGKETLVLAHGYGGDQSVWDKVLPGLTQTYQVVVFDWSFSGAIKDPNTLFDPAKYCCYDAFSDDLTALLEELNLDSTVFVGHSMSGMIGCIASIKKPHLFKRLILIGSSPRYVNSESYEGGFDIKDIEQLFSSIESNYDGWASIFPSLVIDKNDSESVSSFEQCLKRMKPDIGLTTAKTVFLSDHCDILEKVVIPCTIVQTTNDIVVPLSVVEYMKKMIMGESTVEMIDTVGHFPQLTAPLKLLEIIDRMMNVCLT >cds-PLY89777.1 pep primary_assembly:Lsat_Salinas_v7:1:7589452:7592162:-1 gene:gene-LSAT_1X6081 transcript:rna-gnl|WGS:NBSK|LSAT_1X6081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGLPASIGNSGDDGVLAQSQQQPSKPLVNGGGGGGGGGTDELGDDGGAIGGGFTEDGDRNSGGNRWPKQETLALINIRSEMDAAFRDSNLKGPLWDDVSRKLSELGFHRSAKKCREKFENVYKYHRRTKEGRTSKPDKIYRFSDQLQALEQNPRSAFPPQPFPAVKPPPVMVNMSNNVTVPSPFITVTGADQNNVSPISVAAPAAVMAVMPPQPVMNQKRTFPFSQPNMSGSTNSATSSDTFSDDEPPQKKRKWQDFFGKLMKEVIEKQEELQKRFLDTIERRERDRVAREEAWRAQEIAKMNQEHDRLVKERSIAAAKDAAVITFLQKMTGKNPDTVDETRKRLADFLAPPIPLASTLPVIQQQQIHQTPPPPPPPRHEILQVAVPPSPMVKNLDGDVSGGDNMLLPSPSRWPKAEINALITLRTQLDMNYQENTAKGPLWEEISAAMRKLGYNRNAKRCKEKWENINKYYKKMKDGNKKRPEDSKTCPYFHQLDAIYREKATAIVARPEQQWPPATMVQEKTPPPPPPQQQQQQQSTNGVYVEKRDDYPGFTGKPETQTAPVVAWPQQQWRVPAALQETQQQPQPQSAADMEDQGSENTDDYDDDDDEDEDKEEEGGGYEIVASKISSVATV >cds-PLY77921.1 pep primary_assembly:Lsat_Salinas_v7:1:24029453:24030529:-1 gene:gene-LSAT_1X20621 transcript:rna-gnl|WGS:NBSK|LSAT_1X20621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDINRSHIQELDFNGHAVMSALIAPERSVKFHGSLVAQLLLKDENLPRGNLVKNTVGVVFYSCPHFGSKLADMPWRMGYVFRPAPSVLESTDHVNSCKPITRTDPSYSETLQFLHKLRAASSYR >cds-PLY92632.1 pep primary_assembly:Lsat_Salinas_v7:2:159812428:159816289:-1 gene:gene-LSAT_2X82920 transcript:rna-gnl|WGS:NBSK|LSAT_2X82920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPSLHFIASSINIAKFPLSSISPSSTTLLLRHIASAAPPQQHHRKQKHKHKYPHQNPLRQLPTSLPLPPLPPSRSYSLRPKVSLQETLAQKIGKAIRRPGAPSKARVYTDVNVIRPKEYWDYESLTVQWGEQDNYEVVRKVGRGKYSEVFEGFHCTNDEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKALYPTLSDVDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEKRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNTYLQRYRLELDPHLTALVGRHSRKPWTKFINSDNQHLALPEAVDFLDKLLRYDHQERPTAKEAMAHPYFYPIRNAETSRTRA >cds-PLY99081.1 pep primary_assembly:Lsat_Salinas_v7:6:149783317:149784098:1 gene:gene-LSAT_6X90640 transcript:rna-gnl|WGS:NBSK|LSAT_6X90640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKLGVYLQILLVALHYTTSSASTAAMNYVTASCNTTRYPSLCVRCLSSYADSIQGNDLKLAKAAISVSLNNANSTTAYISKLAASSGLKPNIYQAVKDCVNNMNNCVSSLNQSVQELERMGQFRGQSFEWHMSNIKTWVSSALTNQNTCSRGFSDGSVDGPVKDAVVRRMSYVTQLTSNALALVNRFALRHKAGTHMP >cds-PLY91620.1 pep primary_assembly:Lsat_Salinas_v7:8:244691906:244694056:-1 gene:gene-LSAT_8X145841 transcript:rna-gnl|WGS:NBSK|LSAT_8X145841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGGGFAGRSRGGGGFRGGRDGGGRFGGGRGGRGGGGGRCGGDRFNEGPPEEVVEVSAFVHACEGDAVTKLTNEKIPYFNAPIYLQNKTQIGKVDEIFGPINESFFSVKMMEGIVATSYAAGDKFYIDPMKLLPLARFLPQPKGATGGRGGGRGGGRGGGRGGGRGGSFRGRGAPRGGGFRGGGGGGFRGGGGRGGGFSRGRGRS >cds-PLY72458.1 pep primary_assembly:Lsat_Salinas_v7:2:141271262:141272908:1 gene:gene-LSAT_2X69440 transcript:rna-gnl|WGS:NBSK|LSAT_2X69440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTLLRRIIIPYTKSSSSINTNLPRFQNCINTAAESPHTAAALEEEEKTAETITCVCKSFSNNLNWETLNRKLKFIDVRNSAIINKVLIQLQEPPNAKKALSFFHWSSHFGNITHQTRTYALVIHILVNAKLIKDASALIESVLIRSVTGNLKDPDCKGSSSVLSFIHSLMNNYEVTSSTPFVFDLTIQICSKLRMIDEAIDVCFCLGEHGFRLSVISYNTLLHVIQKSDKTKLVWRVYEQMIKHRTYPNEKTTGIMINALCKEGKLQTFVDMIDRIDGKRCLPRVIVNTCLVFTMIEEGKIEDGLVLLKRMLIKNMITDTISYSLIVYAKIKLGQLESAREVFDEMLKRGFKANSFVHTSFIGAYCEAGKVESGDELFKEMECIGLKPYNDTYTHMIVGFSKVGRFEESLNLCQKMVQNGFIPSCLTFNEVVKMVNGHESVHKVDEMLTLLLDKGFLPDVNTYTYLVAGYGRDGDIEGVLKVYYEMEYRKLSPGVLVFTWLIVSLYECGRSEESERYFRTMKARSLVPIDYTYHKLISNLEIENDIN >cds-PLY64046.1 pep primary_assembly:Lsat_Salinas_v7:8:93874287:93878031:1 gene:gene-LSAT_8X65481 transcript:rna-gnl|WGS:NBSK|LSAT_8X65481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLITGREITSSWSLCCNLPTQSRNSIHTSRNLRNSSSICRATLSVQGKPNALFSLSSSTSCESSNFDPLGINSGKLPGLNSTWENVLGLFSERFDSSSSTRNEKSPSSKGAAAAIEDTSIDFGDFFKGPLPGKFLKLLGFLALSRLGIYIPLGGVNREAFVGNLDQNSILSTLDTFSGGGIGRLGICSLGIVPFINASIVFQLLTQIYPKLQELQKREGEAGRKKILAYTRYASVGFALVQAIGQALFLKPYVDDFSSQWVISTVVLLTLGSVFTTFIGERITDLKLGNGTSLLIFTSIISYLPASFGRTVAEAFQDGNYLGLIAIIISFFLLVLSIVYVQEAERKIPLNYASRYSSRTGGIQKSAYLPFKVNSSGVMPIIFSTSSLALPATLARFTGLNVLKNAAVGLTPGGAFYLPTNVLLIAFFNYYYTFLQLDPDDVSEQLKRQGASIPLVRPGKNTAAFIKTVLSRISVLGSVFLAILAAGPAVVEQTTHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYEIDKDITF >cds-PLY79649.1 pep primary_assembly:Lsat_Salinas_v7:5:251809181:251819696:1 gene:gene-LSAT_5X126560 transcript:rna-gnl|WGS:NBSK|LSAT_5X126560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEISSNSETKLPVRRRLIQSTLVPHKSQDNVVSVNEDLEPYVDLVDEEEEYCGSQIQVKKNEKRKPKVVSQTGVSTKLAVNGNELLGKNTEEEDSPATVKCDFFLKVSEKRRQLRQQKEQLPITSPDKNEQKEDLEPDVDHEDDDEEYCGSQSQGKKNKKRKPKAVSQSRASRKLAVNGNEIGGKNTEEEDSPATVKGDFFLKVSERRHQQKQKKEQLPIHTPEKIELNCSPSDVITSQASSTPKKQMNSTPPRNVVNGALAEDLSEKMLTSPPKPLPDLQLEAKMTAEPAVNGNEIGGKNIEEEDSPATVKCDFFLKVSEKRQLRQQKEQLPINSSDKIEQNEDLEPDVDHEDDDEEYCGSQSQGKKNKKRKPKAVSQSRASRKLAVNGNEVGGKNTEEENSPATVKGDFFLKVSQRRNQRRQKKEQLPTHTPEKAELNCSPSDVITSMMIFSTFIIFNSSKTLIVLNSFVFEDSKSPRKLRRRTSSTPKKKMNSTPSRNAVNGALDEDLSEKVLTSPLKPLPDLRLEAKMTAEENSRIFAGKQIHPFFSSWKTGKKNTESTGLEIKWSHVEGKESSNDFSTFHIFEKTQGEETFSVDWRNWTFSEGVSMRTSQDAEDACLHLINEGSVSCLQFDNFLDAPPLEVSSCQNKGYSSQFPIQLEEISSIPPNYQPENSLWTTKYQPEKAIEICGNNESVKFLSEWLRLWYEKGSRNSKCSTDNDNWIMQGVDLNYSPTDSDSESTDEETSLKNVLLVTGPVGSGKSAAIYACAKEQGFQVIEVNTSDWRNGALVKQKFGEAVESHWLKCSAPNHENPDNKNQLKSSDDVIELIPLSDDEDSKDVKPIDKDNKISSSQNGIKTLILFEDIDATLYEDRGFISTIQQLAETAKRPMILTSNSDDPDLPNNLDRIEVSFRIPSSNDLLSLAKMVCTAEKAEINPSLVERFIDYCQGDIRKTIMLLQFWCQGQNRKKCPDNEMHNTYAPLLFDTNASHHVLPQLIPSGHTSKLSEIIEKEITKSSLLAEKYDNTMMEIIEEEDENIEAKKDEMLRQHGSDQEDENKFSAQCFPSCSSSPVAFTKRTLQKKHDPGMSDSGDCLPDVPEDVIEEVVVEKRIRRKYNSVMSSDSEDECFDVSCVPESTFVPETELGNGMCSDGGIEDGAMSMDITSRTVAMEFHNSCEEDKAPSMAVAADSGLDSAPIHGEEMGDSHVEPMGGLPREYQMMDECSRIDFNKKLEPESELESVDIVQETWRKLRNCEHELRQYVSNEEKDSLEALQISHGITNLISEADLLLSDCQLLTCDYLKPSMVSPEKSHSSSWHEDQLQMGSTIGQHGFCLYAKKGSNGQLDLSREMLAASNNAVSLGKLINQNHLNKKIHNSGVSLKSILESPISNTVQSIVPLKSQLSLKGYVFHEYLSTLSQISRSESLRFSEAINSSNQRRKRVARNYLSNGGLSLSSEDISLLDQYSCCQKQSSETKF >cds-PLY67164.1 pep primary_assembly:Lsat_Salinas_v7:6:164473269:164477541:1 gene:gene-LSAT_6X100401 transcript:rna-gnl|WGS:NBSK|LSAT_6X100401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDVIGENLSTENFEVRDEESYVASTSNEIENLSTISSSSPMRHTDVSSPKEIFKSKELSHSAPPHPRKALDHSSTAPSTIRRNLFPENKEAAYSRSMTEKWWTQSWIPRHELKLDRLSEREKQKLIVELVKIQSDGTVEVDLSKSAPVASELFEYQTIEGFHPDMEFIVTDSNKSIPKLNIAVLVVGTRGDVQPFLAMSKRLQEFGHHVRLATHANFRSFVESAGVDFYPLGGDPRILAGYMARNKGLIPSAPGEIIIQRKQLKAIIESLLPACTQPDLKTGQPFRAQAIIANPPAYGHAHVAEALGVPLHMFFTMPWTPTSEFPSPLARVPQSAGNWLSYIVVDLLIWWGIRGYINDFRRKLNLAPIAYFSMYRGSISHFPTGYMWSPHVVSKPKDWGPLVDVVGYCFLNLASKYQPPEEFIQWIGKGSSPIYIGFGSMPLEDSTKTTNIILEALRRTGQRGIIDRGWGDLGTFPEIPDDVFLLVDCPHDWLFPQCAAVVHHGGAGTTATGLRAGCPTTIVPFFGDQYFWGDRIYNRGLGPTPIPISQLTVEALSDAINFMLQPEVKTRVMDLAKLIENEDGVANAVNAFHRHLPPDMPLSPPPSSKRHDDDDGYDHDDHPTLLQWFFTQIGLHCGCGSS >cds-PLY79168.1 pep primary_assembly:Lsat_Salinas_v7:4:218342177:218345065:1 gene:gene-LSAT_4X122780 transcript:rna-gnl|WGS:NBSK|LSAT_4X122780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQDEHRRLLWQLPRLLMVFGVLITMAEPMTSQSPERIAINYCSQYRPVDAENFLSNLNSTMSSLRQQLSITRFAAARGLLNGAPVYGLAWCRGYVSIPDCLNCFNNGVSQLNRCGVANGASGFYNDCDVRYENYNFFTEFNQLDQYLLCVNKTSANPTEFQRTAERLISDLQIAASRTSNLYAVSIRKLETGGNAMVYGIAQCHLNLSRSSCLQCLKWRSKSLSDCLPRTSSWAIDYGCFMRYSTTPLFGHNQTSDLTSLLWDGDSYKKKSIIGGVVGCVSFLLLTLAFYLWRRKSKNTSRDKQDISTGSTELLQGPATYSYNDLKVATDNFSDQNKLGEVFVEVYKGTLMDGCVVAIKKTFMASSRGKKQFDDKLKIISNIHHRHLLRILGYCTKGTQLFLVHEYMENGSLDHFLFGEKKRILNWKQRFEIIFGIARGLSYLHEQYHVPIIHTDIRTSNILLDSEFQPKIANFGLIRLLPEDKTHLSTKCTGSLNSGYVAPEYAIYGQLSEKVDTYSFGVVVLEIISGKRYKDVDYQLVTQNLLDHAWDLHESGKHLNLMDEKLDPSEYVVEHVVEIIKIALMCTQPPSTRPEMSEVVMLLSEKSLQERAPVLSAILDDQVEIELATMESLATNATASTFQLSGS >cds-PLY72977.1 pep primary_assembly:Lsat_Salinas_v7:8:64556440:64558616:-1 gene:gene-LSAT_8X46240 transcript:rna-gnl|WGS:NBSK|LSAT_8X46240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMESSSSKSLRFISHQSFFSSVRSGDLESLKLIVNDDDSDSASSSTLMAMQNDAGETALYIAAENNFQEVFNYLLKFCDLETVKIRSKSDFNAFHIAAKLGHLGIVNELLRLWPELCKVCDTRNTSPLYSAAVNDHLDVVNAMLDADATCIRIVRKNGKTALHTVARYGKTNMVKTLIERDRDIVSIKDKKGQTALHMAVKGQDTTVVEDLLLADHSILNERDKMGNTAIHIATRKCRSQIVSLLLSFTTISVNVINNQRETAMDIADKLNYGPPKLEIMEALSEAGAKHARHIGRIDEAMELKRTVSDIKHEVHSQLLQNEQTQRRVSGIAKELKKIHREAVQNTINSLTVVAVLFASIAFLAIFSLPGQYWKTGEKAGEANIAHTTAFRAFCLFNATSLFISLAVVVVQITLVAWDTRAQRQIVSVVNKLMWAAGISTCGAFCSIAFVVVGKRSAWMAITITVVGVPILVGTLVSLCYFVFRQHFGFFGSDSQRRIRRASGSKSFSWSVYSANISDDESDHEKIYAL >cds-PLY79883.1 pep primary_assembly:Lsat_Salinas_v7:8:17370944:17371348:-1 gene:gene-LSAT_8X12161 transcript:rna-gnl|WGS:NBSK|LSAT_8X12161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EARLY FLOWERING 4 [Source:Projected from Arabidopsis thaliana (AT2G40080) UniProtKB/Swiss-Prot;Acc:O04211] MTTLDSSSAAESSMDESSNLQQPPSATNDGRGYAGGVSDEEQNSKESEVVWSNFTDSFREVQSVLDRNRALIQQVNENHRSKIHENMVKNVALIQEINNNVSKIVSLYSDLSTNFSSAFHQRISETDADNLKES >cds-PLY84959.1 pep primary_assembly:Lsat_Salinas_v7:2:124292102:124292353:1 gene:gene-LSAT_2X56941 transcript:rna-gnl|WGS:NBSK|LSAT_2X56941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEEDDAPIWITNNAPFVVVTDPLDGSHNIETSIPTGTIFKTYQCLIELDNLPIEDKALLNSLEQKGSKGKTINLIAMCRRG >cds-PLY84370.1 pep primary_assembly:Lsat_Salinas_v7:4:215370643:215370954:-1 gene:gene-LSAT_4X121400 transcript:rna-gnl|WGS:NBSK|LSAT_4X121400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHDDGSGTGKWAATAAIVGTAAWRRQKRAEHLETTTNNDTPNGNGEGRMSFTSKRWSCLSQQRPRRSSSVLELVAPGFFVSSVEVAAIERWHMDIRGEHQPQ >cds-PLY63565.1 pep primary_assembly:Lsat_Salinas_v7:9:152188461:152188706:1 gene:gene-LSAT_9X96120 transcript:rna-gnl|WGS:NBSK|LSAT_9X96120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKRLTKIESDVAKMKQLMALGDADDDNMVVDDTPPNSLGDNPPPPPSPSTNLPLPSHPLPCTPSAPPNSPPQSDVPKRGE >cds-PLY63643.1 pep primary_assembly:Lsat_Salinas_v7:4:129772723:129774412:-1 gene:gene-LSAT_4X81581 transcript:rna-gnl|WGS:NBSK|LSAT_4X81581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVPATTVVPPLTDELDIVIPTIRNLDFLEQWRSFFQPYHLIIVQDGDPTKKIHVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGFLVSKKKYIFTIDDDCFVAKDPSGQEINALAQHIHNLLSPSTPFFFNTLYDPYREGADFVRGYPFSLREGVTTAISHGLWLNIPDYDAPTQLVKPRERNTRYVDAVLTIPKGTLFPMCGMNLGFHRELIGPAMYFGLMGDGQPIGRYDDMWAGWCAKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEEIIPFFQSVVLPKECTTPQKCYLELSKLVKEKLSPVDPYFEKLAGEMVTWIEAWNELNPSTDATPTATTTADAVPKKK >cds-PLY97087.1 pep primary_assembly:Lsat_Salinas_v7:4:74655684:74657582:1 gene:gene-LSAT_4X50160 transcript:rna-gnl|WGS:NBSK|LSAT_4X50160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENSSEVVSRLSMKVALITGGAQGIGEAIARLFIKHGAKVVIADIQDQLGHAFCKDIGLDSASFVHCDVTIESDVESAINMTLAKYGRLDIMVNNAAILDDGKPNIVDNDQSTFERVMNVNVTGVFLGTKHAARAMIPARSGSIIMMGSVSGSIGGIISHAYSSSKHAIVGLTKNTAAELGQYGIRVNCVSPYFIPSPLTANYTQDYPEKYSNVYSNLKGITLREKDVAEATLFLASDEARYMSGHNLVLDGGFTVINPAFGLFARASPNE >cds-PLY71549.1 pep primary_assembly:Lsat_Salinas_v7:7:28440613:28441797:-1 gene:gene-LSAT_7X20981 transcript:rna-gnl|WGS:NBSK|LSAT_7X20981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLIDQMWDEVVAGPQPQRGLGKLRKVTTVNEKGEGSSKFQKSLSMPPTPTTPGTPTTPSPTAARKDNVWRSVFNPGSNLATKSVGSNYFDNPSTVGSPTVYDWLYSGETRSKHR >cds-PLY99906.1 pep primary_assembly:Lsat_Salinas_v7:7:15784214:15787172:-1 gene:gene-LSAT_7X12761 transcript:rna-gnl|WGS:NBSK|LSAT_7X12761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLLGEVDYEPPLVRGLSFFLTGVKEEGEEDATALIYNRLLLLVEFVSFRFSNFFEISLIRYNTLRRRRLRPGGCGSIVGLKSTVCLDHLSIIMDMVNGSNGMNNNNNNNSSSQSLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSEGKTFDQKESGDMVSSLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQKLGGGVAGIDPQESDNNNNNQTQPANSISIDEPLTPDDESSGGGGGGGGGESPKEDQERSMKKRRVNMDTAVKPGTALGLNHQILESSFTTTTTTTMPPYQHHQQQQSGGFQFGTSSIDNQQD >cds-PLY69395.1 pep primary_assembly:Lsat_Salinas_v7:5:301632899:301633712:-1 gene:gene-LSAT_5X161760 transcript:rna-gnl|WGS:NBSK|LSAT_5X161760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSGKLIGYVEISKKGDVFHDLFRHNPHEIVAIAPNKVHDCELHDGERGAVGSIISWHYTHEGKRKICKQIIEAVNEENHMAVFKVIGGDIVEELYKSLTIILHVEQKGDGQVATWTFEFEKPNVSVPYPTSMMDYLCDLVKDLDAQGSTNN >cds-PLY63502.1 pep primary_assembly:Lsat_Salinas_v7:9:137594750:137598024:1 gene:gene-LSAT_9X88361 transcript:rna-gnl|WGS:NBSK|LSAT_9X88361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDIVLFKQGWKWLLSESHCYTVAAMKAASFFRDKIVISIARHWPSVCHGSSRLAKLLWYFLIQWKDCFVRGSRSLFGLGTAALLVIIWSCFLSLTSMSCLFCVLLSMGVAACAIHYLGHTPGIFIVGLFSILILWMYGNFWITGTLFIVGGYLFSRKHARLVVLIATLYALHSVKLQVGWIGILVSINLAFISNDALNCVLQWCDNLSEKTQFEEQSFTDSFVEDEFRAESESEFFVPNDEKVEEIHSDSSNEAEKVHVNPIDESEKGKSQSYSSTSTPQASTTIIVNKEKESPSIVVIKDDVNAINEMKRILGCVDHYEALGLSRYKKIDALLLKKEYRKKAMLVHPDKNMGSPMASESFKKVQCAYEILSDSLKKRDYDEQLRKKESKTLSHKSPSTSHQENDDYCSVESRRIQCTKCGLSHIWICTNRIKLKARWCQDCCQYHQAKDGDGWVEYKGSLSFDGPHKVEIPRAFVCAESKIFDVSEWAICQGMGCRPNTHRPTFHVNMVGLEKPQRSNSSRYPWDLDAQMADEEEDFELWLKQALASGLFSETSKRRKSWGPFKLPQKKGKKQWNRMSQ >cds-PLY81423.1 pep primary_assembly:Lsat_Salinas_v7:3:217606774:217615006:1 gene:gene-LSAT_3X126140 transcript:rna-gnl|WGS:NBSK|LSAT_3X126140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 10 [Source:Projected from Arabidopsis thaliana (AT5G63810) UniProtKB/Swiss-Prot;Acc:Q9FN08] MASTSCTLVVFIFFSCFLCFYISPFAEAASNVSYDSRSLFVDGERKLLISAAIHYPRSVPAMWPGLVKTAKEGGIDVIETYVFWNGHEPSPGNYYFGGRYDLAKFVKIVQDAGMLLILRIGPFVAAEWNFGGIPVWLHYVPGTVFRTDNEPFKHYMQNFTTLIVNMMKKEKFFASQGGPIILAQVENEYGYYEAAYGEGGKSYTQWAAKMALSQNTGVPWIMCQQWDAPDPVINTCNSFYCDDFKPSYSTMPKIWTENWPGWFKTFGGRDPHRPAEDVAYSVARFFQKGGSVHNYYMYHGGTNFGRTSGGPFITTSYDYDAPIDEYGLPRYPKYGHLKELHRAIKLCEQALLNNKPTLVHLGSQQEADVYEDESGTCSAFIANLDDKNEKTVQFRNASYTLPAWSVSILPDCKNVVYNTAKVGSQTSTIEMIPEQLQPSSASPHKDLKPLSWEIYVEKPGIWGEADFTKNGFVDHINTTKDTTDYLWYTTRLNVNENEEFLKKGNKPTLLIESKGHALHAFVNGILQASGSGNGTVSPFKFKSSVSLKAGNNEIAILSMTVGLQNAGSFYEWVGAGLTSVKIKGLKSGIVDLSNTTWTYKIGVEGEHLGLYDVDGSKHTNWTSVSTPPKKQPLTWYKAIVDPPRGNEPIGLDMVHMGKGLAWLNGEQIGRYWPRKAPNDKCVKTCDYRGKFNPDKCNMGCGQPSQRWYHVPRSWFKPSGNVLVIFEEKGGDPTQIRFSRRKLTSLCAHVSEDHPSFSTNNLQKNIASLEIKCPMNMHISAFKFASYGTPTGTCDSYSVGNCHDPDSTFIVEKRCLNKTACIIELTKENFKTELCPGTTKKLAVQATCS >cds-PLY70394.1 pep primary_assembly:Lsat_Salinas_v7:3:180155068:180155472:1 gene:gene-LSAT_3X108381 transcript:rna-gnl|WGS:NBSK|LSAT_3X108381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTQYNQKEQKAGGIGHGLSLPWVFHSFSAPPVSLGDQPAILNITSGNRDVTVVYVGKAAGRNGGGRTRSGIDDSTDGKRRWQNGRGYRQDNRKMKSNKRRRSHQHRPNAQRWHFWWFHDSHTMVAPPWCSIDRP >cds-PLY90408.1 pep primary_assembly:Lsat_Salinas_v7:1:161045486:161045915:1 gene:gene-LSAT_1X110180 transcript:rna-gnl|WGS:NBSK|LSAT_1X110180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGDLNIGSVRHVNVKARLPATTSTESVELLDDNEHILGIKIIGGDHNLMNYSSILTFHPEIIEGRSGTLVIESFMVDIPDGNTKDETCYFVKALINCNLNSLSEVSEWMDVQEDQRG >cds-PLY91213.1 pep primary_assembly:Lsat_Salinas_v7:3:74346021:74347290:1 gene:gene-LSAT_3X62461 transcript:rna-gnl|WGS:NBSK|LSAT_3X62461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSCHGKLKWPTKTKNCNNVENFLKNHELLAKRYSYLQVKKMTNSFEVKLGQGGFGSVYRGVLSDGNLVAVKVLSESKGNGEDFINEVASIGKTSHVNVVSLLGFCFEGHQRALIYEFMPNGSLEKFIYNQASSSNSQLGWKKLHEIAIGIARGLEYLHIGCNTRILHFDIKPHNILLDQDFSPKISDFGLAKLFPEKRSMISMSHMIRGTPGYIAPEVYSRSFGQVSHKSDVYSYGMMILEMAGGRKNIEVEVDHTSEIYFPNWIYKKLELDDELGLHRSMSNEENEITRKMIIVGLWCIQTNPLNRPTITKALEMLEGDLSSLEIPPKPYLSSPSRLGASSITD >cds-PLY85980.1 pep primary_assembly:Lsat_Salinas_v7:3:132429022:132429877:1 gene:gene-LSAT_3X90181 transcript:rna-gnl|WGS:NBSK|LSAT_3X90181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFENTNQKNQQALFNLWKGEVLLDGENIKNLKLEWLMSQIGLVTQEPTLLSLSIRDNIAYGRDATSLQIEDAAKIAHAYTFISSLEKGYDTQVGRAGLSLTEEQKIRLLVVRAVLSNPCILLLDKVTGGLDFEAERYVQEALDLLMLGRSTIMIARRISLIKNADFIAVMQKGQLMEIGTHDGLIASDGLYAELLKCEESDKLPKR >cds-PLY68059.1 pep primary_assembly:Lsat_Salinas_v7:5:293862101:293864344:-1 gene:gene-LSAT_7X62341 transcript:rna-gnl|WGS:NBSK|LSAT_7X62341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHQNSVSSVTTTTTEPSYATMAVDPFLVEALQNPRHRLSILRMELDIQKFIQNIEQHQFEFQHFPTSYLRLAAHRVAQHYGLQTMVLDNFTDGQGTRILVKKLPESKYPSICLSDIPIKQSENEKKDVKIVLKPRPKSSFDGSNDQGKKCNLVRSVEERKEEYDRARARIFSTPGSPQSEGTTDGDDYEGSRSFTIDGNGNTSRVAILRDREKDLTDPDYDRSYDRYVRNLPMGQGFNLFPPLSLPKFQPPPPGVQYDPIIPHVGPTPNPQQVPIGYRNSAMNNQYAVVGMNQHISRDAAVYMQWPSHSMMYAHTYDQFRHAVFQQAPFCQQQPLSFDYSQNR >cds-PLY98308.1 pep primary_assembly:Lsat_Salinas_v7:7:166918770:166919054:1 gene:gene-LSAT_7X99020 transcript:rna-gnl|WGS:NBSK|LSAT_7X99020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARMIDIGGNSGGILNFEGSHIAFMLCMIVVSMSVLSMIIFACGDSGDHKSEKKHRRNNHAFAFGGIAGAASSGGGGGGGGGCGGGGGGGGGGC >cds-PLY63256.1 pep primary_assembly:Lsat_Salinas_v7:4:200305363:200305719:-1 gene:gene-LSAT_4X112260 transcript:rna-gnl|WGS:NBSK|LSAT_4X112260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAGISRSPTTLIIVISLTILLLWYTTTTTTIIFRQPPPTVKANHIVKEKQQDQHYRLTRRVLINRKVLSPTTNFDFTPFLHGDHHQHHQHPAAVPEIDPRYGVEMRLVPTGPNPLHH >cds-PLY76658.1 pep primary_assembly:Lsat_Salinas_v7:4:117111431:117114400:1 gene:gene-LSAT_4X74340 transcript:rna-gnl|WGS:NBSK|LSAT_4X74340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTAQQKKTLHSMLASKLNNLTIPPSSTDQDFDFADVFGPNSSSSTNPNPNPNLFIGDPQIIHNRSHSFIGPSPRYTLSKSLAFHHEFDLESSGEDDDLIKVEKEEEYEVEGKGRLDYKIVPGDFEIMRVIGKGSFGKVFQVRRKDRSVINGEGEAVIGDGIFAMKVMRKDTIIKNNHVDYMKAERDILTKVVHPFIVQLRYSFQTKTKLYLILDFINGGHLFFHLYRQGIFSEDQARVYTAEIVSAVSHLHQNGIVHRDLKPENILMDADGHVMLTDFGLAKEIDESSRSNSMCGTTEYMAPEILLSKGHNKNADWWSVGILLYEMLTGKPPFTHSNRKKLQEKIINEKVKLLPRLSGEAHSLLKGLLQKDPLMRLGSGARGGDDIKSHKWFRTINWKKLELRELQPKFKPDISGEDCTENFDKCWTGMPLDDSPAPTPTAGEHFHGYTYVAPNPWLSSPPNQPTPQDL >cds-PLY86335.1 pep primary_assembly:Lsat_Salinas_v7:8:28386046:28386754:1 gene:gene-LSAT_8X23060 transcript:rna-gnl|WGS:NBSK|LSAT_8X23060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGASMVRKPEDESSGPPNPLLSVISKFTKFVNSRFPPPPAEKDVVKVETESKGSGDSIFRSSEVVEPEASKPVVVRFPDARTTTVPPLKVEAEELEQDTNPVVLWQVYAIGGFFVLRWVLARWKERRANKKKSNENSPPPPTASASGADE >cds-PLY86045.1 pep primary_assembly:Lsat_Salinas_v7:8:296731497:296733154:-1 gene:gene-LSAT_8X164180 transcript:rna-gnl|WGS:NBSK|LSAT_8X164180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEMAVGGDIPTNNTIYINNLNEKTKLDELKKSLQAVFSQFGKILEILAFKTLKHKGQAWVVFEDVSSATSALKQMQGFPFYDKPMRIQYAKTKSDVIAKSDGTFVPRERRKRHEEKGGKKRKGQHDGNQAAVGVNQGYGGAYGGPPPLSQIPYMGGGAKSGMPEAPAPPNSILFVQKLPHQTSSMMLQMLFGQHQGFKEVRMVEAKPGIAFIEYGDEMQATVAMQSLQGFKINPDSPMFITYAKK >cds-PLY82019.1 pep primary_assembly:Lsat_Salinas_v7:9:156050430:156051695:1 gene:gene-LSAT_9X97880 transcript:rna-gnl|WGS:NBSK|LSAT_9X97880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQNLCTIIWVYVANAYAAPYLGESKFVYMRNLPMLLTSLENFQDFNKIGRIKQDACYDVGVYFAIVDVEDVGGVPKAIEISSMEANGGRNVQRGSWKFLVHTLVSSLYRRCCNIRVFPVGSRQPYGSDVVDCGFMYFGHSLKFDG >cds-PLY75984.1 pep primary_assembly:Lsat_Salinas_v7:1:41885915:41886148:-1 gene:gene-LSAT_1X35860 transcript:rna-gnl|WGS:NBSK|LSAT_1X35860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLWTLLEGCLLIANALAILNEDRFLAPRGWSFQEYSGVKRNSLKGQIIGLIYATQYLRVLLMILNSLCIVVKLVSG >cds-PLY75368.1 pep primary_assembly:Lsat_Salinas_v7:6:178807647:178807907:1 gene:gene-LSAT_6X108860 transcript:rna-gnl|WGS:NBSK|LSAT_6X108860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEVEQLRSRWSRLDPRMSQLSSLGVLTFSLLSQDTLPGCSLLTGLVILVIECSAQQFKGMGEVSGSALVNYEISRVEYSLYQSMG >cds-PLY83252.1 pep primary_assembly:Lsat_Salinas_v7:4:147043158:147045066:1 gene:gene-LSAT_4X90661 transcript:rna-gnl|WGS:NBSK|LSAT_4X90661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIMIAGFVESVTTPIKTWLDTIQNSHNTTNMVLKELGVGSSPVDCVVCMSKVALGERVAMLERCSHGFHVKCVEAWLKEHPNCPLCRTPLHFAFYHYLTMDQVLRTLKTEMTAAFVGGTSTFVVTFWLLSGRQPPPSWWSAALRLLNRSNKTMVIAVEKEGGVVFDCIVCLCEVSQEDQYRKLPNCNHGVQFHAHCIDAWLKNHSSCPICRSNIPRPLSQRLKAYVLQHLFEEVISYCHSALDNVASSIGDCRDF >cds-PLY68465.1 pep primary_assembly:Lsat_Salinas_v7:2:213047622:213049437:1 gene:gene-LSAT_2X133400 transcript:rna-gnl|WGS:NBSK|LSAT_2X133400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGMVVLYPSPGIGHLVSMVELGKLVHTHHPSLSVTIFITPAPFETGSTDKYIKTVSVTNPSIKFHQLPNADLPSDFSSEFIDLAFGIPEFNNPIVHDTLVTLSEKATIKAVVLDFFSNAAFQISTRLKIPTYFFYTSGASGLCAFLHLATIHKTTSDSFKDVNIFFDIPGVPPVHSSHMPMVWSDRETYSYKKFIDTANNMAKSSGIMANTFVGLEERAVDALREGKCIPNGPTPPIYFIGPLIAGGNHVDPSENECLKWLNSQPSKSVVFLCFGSQGVLKKEQLKEIAIGLEKSEQRFLWVVRDPPRDDKTESNSGGGEEVGLDAILPGGFLGRIGDKGMVVKNWAPQPAILSHESVGGFVSHCGWNSVLETVVAGVPLVAWPLYAEQKMNRVYLVEEIKVALAVDMSSDDFVTAESLEKSVRELMLGEKGAAVRERILEMRERAKAAVENGGSSKVEFSKLIRSWTDVL >cds-PLY76966.1 pep primary_assembly:Lsat_Salinas_v7:6:63713117:63713359:-1 gene:gene-LSAT_6X46500 transcript:rna-gnl|WGS:NBSK|LSAT_6X46500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVSPYGSRNLRQRRTCDDATGTREAAAPSRFGYTVGNHATKAHIIKAAGGDLVVIERQQQQRTQGGGDGFHWWLGCS >cds-PLY81433.1 pep primary_assembly:Lsat_Salinas_v7:5:333712840:333732213:1 gene:gene-LSAT_5X184461 transcript:rna-gnl|WGS:NBSK|LSAT_5X184461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNRANVVGLVVIMVMFMVVLCDGATVESSKCRSAIVSLSPCLNYVSGNSSSPSHPCCSQLANVVRSEPRCLCVLVSGGHSSASLNINETLALGLPKACDVHTPPVSRCDGNGSKTMPTKSDGNAINLPLFFKIVSLLVASNLSY >cds-PLY76680.1 pep primary_assembly:Lsat_Salinas_v7:8:216574289:216575182:1 gene:gene-LSAT_8X134580 transcript:rna-gnl|WGS:NBSK|LSAT_8X134580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPRAVELTTILESRISNFYTNFEVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGLALNLENENVGIVVFGSDTAIKEGDIVKRTGSTVDVPAGKAMLGCMVDALGVPIDGRRALSDRDRRRVKVKAPGIIERKSMHEPMQTRLKAVDNLFPIGRGQRELIIRDRQIGNTTIAIDTILNQKQMNSRSTSESETLYCVYVAIGQRRSTVAQLIQILSEANAMEYSVLVAATASDPAPLQFLAPYSACAMGKYFRDNGMHALVIYDDLSKQAVAYRQIVLLLRRPPGCEVF >cds-PLY66214.1 pep primary_assembly:Lsat_Salinas_v7:1:175790553:175791921:1 gene:gene-LSAT_1X116260 transcript:rna-gnl|WGS:NBSK|LSAT_1X116260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILKFVLFSRSLVMMLINPCNSFIFFLCMLNFHQAYRDSPLQDCKKASEAKDVEVLSKAKKITGAPADTTSPSSMQVAAGGGGGVALTCCTNQVKKQTGT >cds-PLY66348.1 pep primary_assembly:Lsat_Salinas_v7:5:288936415:288937670:1 gene:gene-LSAT_5X153061 transcript:rna-gnl|WGS:NBSK|LSAT_5X153061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAAAATSSFMGTRLPELHSSSGRVTARFSFGKKKAAPKKVSKPVTSDRPLWYPGAKAPEYLDGSLVGDYGFDPFGLGKPAEYLQFDLDSLDQNLAKNLAGDVIGTRFENEDVKSTPFQPYTEVFGLQRFRECELIHGRWAMLATLGALVVESVTGVTWQDAGKVELIDGSSYFGQPLPFSITTLIWIEVLVIGYIEFQRNAELEPEKRLYPGGPFDPLNLAADPEKKAVLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWVTHLSDPLHTTILDTFGFFS >cds-PLY91371.1 pep primary_assembly:Lsat_Salinas_v7:8:45821957:45824408:-1 gene:gene-LSAT_8X35781 transcript:rna-gnl|WGS:NBSK|LSAT_8X35781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSHLASFLSFVFTILFTFNIAKAQSNVTRGSSLRPTGATTSWLSPSGLYAFGFYPQTGGYAVGIYIAGIAERTVVWTAKRDTLPLSNDSTLRFTTDGRLVVDQPQGQQISISDTGGIGAAVASMKDSGNFVLYDSDQRTILWQSFDHPTDTLLVGQRLVAGQALFSCVSVADYSIGIFKLSMQIDGHLLTFPNLGFPDVTATQYWWSNTPDTGPNVALNLDSDGFLYLLQNSTFYIKNLTQGGYRREDAIYRLKIDVDGILRLYFRDLNNTGQNDSVIWVSSTDKCVGRGLCGVNGYCYVMNDAATCRCLPGFDFVKPGSWSSGCKRYYTAETCKIPDGDKGNFSQMTRLPNNTYWEDAAYALPKASNQEECSLACTNDCKCEAALFTGQSCRLQKLPLLYVQVRDSESNVGLIKVYVASVNNGSNPTNYPSDQVRKVRQVKFLVIAVSLASVAVLVLLLSGVIMWRSHVWAYKKISEHVNVQLFEDVGLRGFSYAELEKITDGFKVELGRGSFGIVYKGIIESPMKMVAVKKLKKELAQEGEREFQTEMKVIGRTYHRNLTRLLGYCCDGPERLLVLEYMTRGSLADVLFHYKESKPCWTERIRIALDIAHGIFYLHEECETPIIHCDIKPQNILMDEYGCAKISDFGLAKLLEHDQTKTSTLIRGTRGYVAPEWHKKLPITVKVDVYSFGIVLFEILCCRRKFDNSLPSDEAILEEWVYECYETDELFKLVNDADVDRRTLERMIKIGLWCTQEDPSLRPSMKKVVLMLEGTVKIPIPPNPTSFLSVV >cds-PLY88526.1 pep primary_assembly:Lsat_Salinas_v7:8:295889656:295892546:1 gene:gene-LSAT_8X163540 transcript:rna-gnl|WGS:NBSK|LSAT_8X163540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEGPSTVLCLLHCTANKNLTGTARYASCNTHLGIEQSRRDDLESLGYVFLYFLKGFDFDYVFDWAILKHHQSEKNKPHMVNRS >cds-PLY72709.1 pep primary_assembly:Lsat_Salinas_v7:6:27458054:27458467:1 gene:gene-LSAT_6X21180 transcript:rna-gnl|WGS:NBSK|LSAT_6X21180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERHIELGEGDLSKALVALTSEAVAIPVPKLKNVIQLRTEHQVYELPDSHPLLEGEKPDDPCSYLLAIWTPGEWMKC >cds-PLY84408.1 pep primary_assembly:Lsat_Salinas_v7:8:78418056:78421061:-1 gene:gene-LSAT_8X56181 transcript:rna-gnl|WGS:NBSK|LSAT_8X56181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLHFVLFFLFFYHFNIVNSSTAFVAPIDRDESTELYTISIFFKSPLQSTDLLLDLGGKFSWIDCTPGLYTSRSHHYIHCGTSLCNSLASLACSNCFRPSGPGCHNNSCGLFPENPVIRDSILAQALIDSLALTTTDGRSTGQLGVVPNFVLSCSDASLLRGLPEGVSGSAGLGRSNFSLPAQVSSVYASPYLFALCLPSSSSTSSGSAFFNTGGPYYFSPGIDLSSHLVYTPLILNPIGFTVITYYRHPSDEYFIGVTSININGNPVEVDNKLLTINDNGFGGTKLSTVTPYTVLETSIYNAFIERFVNESATIGLTVTDPVSPFDVCYPVSEVSSTRLGPAFPTIDFVMHSEDVFWRMFGANSMVRVAGEDEDGDLWCLGFVDGGENPRSSVVIGGHQMEDNLLQFDLVSQRLGFSSSVLVHNTDCASFNFTDDPGL >cds-PLY77604.1 pep primary_assembly:Lsat_Salinas_v7:2:164640316:164646268:-1 gene:gene-LSAT_2X86640 transcript:rna-gnl|WGS:NBSK|LSAT_2X86640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVYELGHVRDQFTNKNIGIQKEGGVASNLHKKRRSGVNNVNKFIGSVAKNYMETTVGENWASDLINHLNTRFPTSIDSQKEYNDHKLLAHGLQNYIQHDANLHQIVSNTLASSHSSLPDLLVSPVFHSSTSICPENYMNLGYNHYNSTSIYNPELCGGSAFNDYSKNNPSTNVGCGGMNLISPLGVKQTDLDLITSPEQVSSITACSYGDMISDSEAFDSRAYYQLKSQSNMLFGNDEKSRNEVAIQAQPHVLESSNQISSLQVLNSYIMYKDMPADLRREVPFQNYMHAAECKDNFCNCSMYLELASHFDKCHDSNCSICGPARSLYGTNKFQLESKKRKIDESEPTLYADPSHTGTLSEDALLPTKYQKMETIVSNEDVQEVVQLQTELLGADIDSKVSANGEEEEEEEEEEEARDDDQKKKLNGEQESTGLKQSDDNGITNNEMNKSEKMKIQSVSLADFFTADQIRGHLLSFTPQKDDVSGNREQSIGQNRCQLCTMDKLVFAPSPIYCSSCDTRIKRNVGYFRSTNEIGIRHCFCMSCYRGSHGTNIIMRGFSIPKSNLQKAKNDEEKEDSWVLCDRCQCWQHRICGLYNDEKDVEGKAEYICPKCYLEEIEDGTRVPLPETNAFGAKDLPRTNLSDHIEERLCRRLNQERQEMAKISGIEPNEVPGAEGLVVRVVVSVEKQLEVRQKFKDILHGEDYPSGFTYRSKLIFLFQNIEGVDVCLFGMCVQEFGSECGGPNQRCVYISYLDSVKYFRPERKSVSGESLRTFVYHEILIGYLEYCKTRGFATCYIWACPLIKGEDYIFYCHPETQRTPKQDKLRQWYKSMLKKGAEDGVVVDYTNLYNQFFVASGEGNRKITAARLPFFDGDYWSGAAENIVRKLEVEETSAGGLQSKLPNKRILKAMGQDKPDVAVKDVLVMQKLGQTILPVKENFMIVHLQHVCTHCHEVILSGSRWFCSHCKKIQLCSRCFNAEKNLSRTEMHTCHSGEKNLLSEVVVKNVTVDTKDKDDVFVNSFFKTRDAFLNKCQKSHFQFDTLSHAKYSSMMILYHLIHKPPPIKPTCTACNKDVVVEGCWHCDICTKYNVCESCYKMKRGAYHPHRLNPPSLILVRGSKPGHGHLQKQQKPMTLKAVLDVLMHASECARIPCSYTECVTMRRLFYHASRCSVRVPGGCQFCQRVWRILKEHSQICKDSDCRIPRCMDIKKHKELKARSAMANQAPPVAGASCY >cds-PLY85997.1 pep primary_assembly:Lsat_Salinas_v7:3:132206767:132208906:-1 gene:gene-LSAT_3X90320 transcript:rna-gnl|WGS:NBSK|LSAT_3X90320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGSNGVWKDFLTVYAKKVGVSLSDPARRSPEALIAFLYTFSDADDLKFFDKVVEKHASIERILNKTDKLSLEQELVYKTIDHPHYVQSYSFPSYEEGWVVTKERKEVKESENNATVAIDCEMVLCEDGSDALVRVCVVDRDLKVKLDELVKPEKEVADYRTNITGVSAKDLEQVTCSLQDVQDSMEKLLSRGTILIGHSLNIDLQALKIDHTRVIDTSLVFKYGSGSNFRRPSLNDLCKSVLGYEVRKEGAFHDCLEDARAAMKLVLAKIEVVETDAMKLLCHCIPIAIPEEKLLEIIPGDFTIEENKKGKGKRYSVFIVFKNKEEADEVYKGLKGDEIKLRFIGASTKVNFI >cds-PLY69630.1 pep primary_assembly:Lsat_Salinas_v7:5:111704583:111709417:-1 gene:gene-LSAT_5X49040 transcript:rna-gnl|WGS:NBSK|LSAT_5X49040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPNEDCSSDMSGKDPLPSETYAYGLYGPIGTHSRIGDEYQTQIPPLITNPEYLDYIKNPIEEEMKAGVSSEFLIGLDIPIFWIKKEREMKIEAQIDIKQEDSNSTSDLGSISDDLCLVPGCFLETWTETEKGSFVLGLYIFEKDFVRLKRFIESKNMGDILAYYYGTFYRSDEYRRWSESRKSRGKRCLMGARIFSTLRQQELLSRLMPNVSQECQNSLLEICRSFGDGRISLEQYVFSLKSLIGMKAFVDAMAIGKGKQDLTGSSIEPTKQNQAIHIRPEIPVGKACSSLSSTEIIQFLTGDYRLSKARSNDLFWEAVWPRLLANGWHSEQPNGYNYTANGKHNLVFLMPGIKKFSRRLIKGETYMDSVTDVLNRVASHPQILEIDDENGDIEMKLQEEEEDEEDAFLEKRKTHCYLQPRAPSLGTLLFKFTVVDTSLSGKIVRVREIEPRDLDSGEVSELVSDESDSANTNTNTFLAEQETGCKPNKKLKTGDENERKSPEVKRKVVSKAKLARKAKPENNSGHHKRRRRLTACAKAGSSRDTDDLMENVGSSSQANNHNLSSNLSFSSKCSSIDTVDEHKMNLIDLNVTPEFVNSEFVPERHEKQSESLVVPKVEENGGGGGGGSRRQSTRNRPPTARALEALANGFLTVNCRKKGKEDGMRDSGSGSRSRGFRHSRGEIGVASECSTGDASSVVKGDDENGPFNGEIAK >cds-PLY91304.1 pep primary_assembly:Lsat_Salinas_v7:5:250312779:250314831:-1 gene:gene-LSAT_5X125361 transcript:rna-gnl|WGS:NBSK|LSAT_5X125361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVFPNPTTEQFDVKTCKPVNRLTYPAIPLIDLSKPDSKHLLVKACQDFGFFKVVNHGIPMKLINKLESEATEFFSSPQSVKEKAGPPNPFGYGNKNIGRNGDVGWVEYLLLNTKPESDYNKIISSFEEHPESFRCVVDDYVRAVKKMACELLEFMADELKLEQRNVFSKLLMDEQSDSVFRLNYYPPCPELQEHEIKGGNLIGFGEHTDPQIISVLRSNNTSGLEISLRDGSWMSVPPDSESFFINVGDSLQVMTNGRFKSIKHRVVANSKKSRMSMIYFGGPPLNEKITPLASLLERDEESLYKEFTWFEYKKFSFNCPLSHNRLGFFEKISSRY >cds-PLY69483.1 pep primary_assembly:Lsat_Salinas_v7:6:43722317:43729081:1 gene:gene-LSAT_6X32601 transcript:rna-gnl|WGS:NBSK|LSAT_6X32601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATIASVGSSYDRQSELKAFDQTKISVKGLVDAGIRKIPPIFFHLRDTTPKISTAAIKIPSIDLQSTHRASIVEIIREASANLGIFQVVNHGIPVSVMDEAVQGVRGFHEQDVDVKKGFYTRDISSTLVYNNNYDLYSSPALNLRDTFFSFMALSPPPPEELTEVCRDIQIEYSNQVMKFGGVLFRLFSEALGLNVNHRRFTKTFKLTSFFFIRKAPSSQSYPISFLLLSVMDVQCCILIISGVEYCHRNMVVHKDLKTKNLLLDAKCNVKSAYFGLSNTMRDGHFLQTRYGDLYTEKNVAISCIHTHARPGGYLQYVVYIVTSLGFVRQSFDIIVDGIYQSIIRAHENLHPGSVFVNKERAKYKYDVDKEMTLLKFVDDQWGPVGAFNWFATHGTSMSRTNELISGDNKETSARLMKDWFDQKSVSENPRRVSQTNHTRLPCDFNHSTCGGKNELCYGRGPGYLDEFESTRIIGERQFKKAADLCKNVAEKLTGNIDFRHSYLDFSQLEVKKGGNESVKTCPAVMGFAFAAGTTDGPGAFDFKQGDNTGNPFWKLVRNLLKTPSQEPLMHPIENQTRTVSSDVTAKACEPSMEKDFCISVLKTQSIGDIKNLKQATFVALQNASCEAVATAELIKITRQKEEEKDVVEDTIEEETLANCSQSY >cds-PLY70738.1 pep primary_assembly:Lsat_Salinas_v7:8:165713912:165714193:1 gene:gene-LSAT_8X109121 transcript:rna-gnl|WGS:NBSK|LSAT_8X109121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDDNDEEEEDPSEEAKLKRKKRDKELDEIDHIIKEVESHEEEARVTQVTIKTQKAIFPPWSMERILNEAIDNSSIYLLEPVVSFDLDNCSES >cds-PLY97546.1 pep primary_assembly:Lsat_Salinas_v7:5:240108738:240109276:1 gene:gene-LSAT_5X118480 transcript:rna-gnl|WGS:NBSK|LSAT_5X118480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYLFPSSNNDIVLTSSNKEANNENLDSNHDQEAALFGQFPLPFLDEISTSPIIQNHQLHHLSTDRSKTSTSSTANEPPPPPSPGKTKRARKKRSARKIYVYLGDQTQILMPARRENTYSMVNLLSCTSTVRENASIKLLP >cds-PLY75212.1 pep primary_assembly:Lsat_Salinas_v7:2:204044191:204045744:1 gene:gene-LSAT_2X125800 transcript:rna-gnl|WGS:NBSK|LSAT_2X125800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTRTLKIGIIGFGTFAQFLAKTMIKQGHLIRATSRSDYSGFPSVHFFRDMEEFMGLEHDVILICTSIVSVSQVVKSIPFHRLKKPLLFADVLSVKEHPRKLLLQEAPQDSDLVCTHPMFGPESGKDGWKDLPFMYERVRVKDEALCSSFLAIFENEGCKMLEMSCEEHDRLAAQSQFLTHTIGRVLSEMEIRNTPIDTKGFEKLVQVKESSTRDSFDLYSGLFIHNRFAKQQLHNLESALERVKETLEARMNNN >cds-PLY83881.1 pep primary_assembly:Lsat_Salinas_v7:3:48345973:48346313:-1 gene:gene-LSAT_3X37540 transcript:rna-gnl|WGS:NBSK|LSAT_3X37540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNVEDLKDVVNIRALYLGFNEQAKGAALMSFLIMHNPHERLHFTKAALKILKSIQ >cds-PLY95945.1 pep primary_assembly:Lsat_Salinas_v7:9:40677379:40678419:-1 gene:gene-LSAT_9X36361 transcript:rna-gnl|WGS:NBSK|LSAT_9X36361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLQKKPNVILKLKSIIQSQKNQSLLLRDLEKEVGFIQKWNFIAVIEKYPLIFHVSSGHKTPPAVSLTKKAEKIASEESLANELMEPILVNNLKKLLMLSVDCRLPLESIDLIQPEFGLPSDFKKSLIPKFPEFFSVKEVRGIPHLELETWDSSLAITAREERLIQDRISGSSGQLKRARISKDGNFHGPFAFHLKFPVGFRPNMSYLQELEKWQKMDFPSPYLNAKRFEVSDPKARKRVVGVLHEVLSLTMEKRLTSAQLEAFHSELRLPARLLLCLIKHHGLFYITNKGAKSSVFLKEGYEGSRLVDKCPLLMFRDKFVALSGRRDVEHSSCVV >cds-PLY96461.1 pep primary_assembly:Lsat_Salinas_v7:5:109152502:109152726:1 gene:gene-LSAT_5X47101 transcript:rna-gnl|WGS:NBSK|LSAT_5X47101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHEDNQFGRCGRTLLWISDHILHRALLSLPSPSSHYGRRRRRNREEGISNNWFYYGTAHNVHIDLLCASASSTG >cds-PLY78040.1 pep primary_assembly:Lsat_Salinas_v7:7:193076096:193077122:1 gene:gene-LSAT_7X114920 transcript:rna-gnl|WGS:NBSK|LSAT_7X114920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQIGTVLNIEDAENAKKAGAKFIMSPAIGILDEDNDDLLYIPGVMTPTEILSSYNAGAKMVKVYPVSVLGGFGYIATLKKPFPHIPLIASQGITTDLVGEYIGEGASAVVLSDAIFEKEAMTQRNFTAIYQLAKLAASQGNEAVERRQRLAVSIPFSL >cds-PLY90557.1 pep primary_assembly:Lsat_Salinas_v7:6:52570916:52572303:-1 gene:gene-LSAT_6X38581 transcript:rna-gnl|WGS:NBSK|LSAT_6X38581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTKLNIVPVLMIFLLFQYSYSAKVTYNVLSFGAKANGRLDSRSAFLKAWGLACNSTNPAIIYVPIGRYLIGSAITFSGQTCKSKAITMKIDGTLVAPSTYNAVGNAQVWIKFYRTNHVTISGGTLDAQGSSLWACKSSGKTCPKGATTLGIYHSQNIVISNLRSLNSQMFHILLYACTNAKLQGVSISAPGLSPNTDGIHLSSSTGVTILNSKISTGDDCISIGPGNSNIWIEKVVCGPGHGISIGSLGWDVIEAGVQNVTVKTATFIGSDNGLRIKTWARRSNGFVKDVVFQHASMVNVKNPILIDANYCPNNENCPNQVSGVKISNVLYEDVHGTSATRVAVKFDCRKGKPCTNIRLKDVNLKYAGQPAVSSCSFAAGTASGLLQPTSCL >cds-PLY67753.1 pep primary_assembly:Lsat_Salinas_v7:9:163887628:163889849:1 gene:gene-LSAT_0X6400 transcript:rna-gnl|WGS:NBSK|LSAT_0X6400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFSFYTLPTSTSTIKNPLFSKSSSHVKHSHRFRSSCKAAADSNDKYVENPDTPKLILPKSPSLDTQNVDRRNLLLGLGGLYSAANFTSIPSAFGVPIEAPDINISKCVTATVRGVSAEAIRGLTCCPPVFDSSAKPAPYEFPDNQVIRMRPAAQRVSADYKKDFRKAVEIMKGYNDNDPHSWTQQAKVHCAYCNGAYTQVKSGLEFEKYIIQVHNSWLFFPFHRWYLYFLEKIMGKALGDDTFALPYWNWDHPTGMTIPAMYEDKLKNPDGNVDTPENTRFNSLFDPLRNTSHIAPALIDFQYYPQKQEVYNCADQIEINLSIMYNQMIANALDTKSFFGGELVAGENPNENKKAGSIEDGVHTIAHQWVGNNRLKNGEDMGNFYSAGYDPLFYGHHANVDRMWKIWKGMNRRHHAPSSTDWLDASYVFYDENRKLVRVYNRDCVDTRTMGYDYERSEIPWIRNRPNPHPKGGKDKGNARKPDKATVKDLSFPVRLNQTLEVRVMRPAKRTTEDKERTEIAIEKLVLQGVRYDCERFVKFDVIMNDPDNGVDVTPVDTEFLGYFSRLPHGMVAENRMKEISGISFAIKDRLKILKVENDDSIVVKIVPRAGCEDVTIQNIEVVMDPVDNIVPLAESLVVQDRNSDELTLEGPTALDSNSDDSGSE >cds-PLY94658.1 pep primary_assembly:Lsat_Salinas_v7:1:41287780:41290691:-1 gene:gene-LSAT_1X36681 transcript:rna-gnl|WGS:NBSK|LSAT_1X36681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGTREINPDFLKIGLAVAFSLGGMLFTFIRNKRIKPSKSPSDPSKSPGNESGGSKSIERHASHTRHFDPLATTDKHDELHDSRLNPHKDTYLLPEFIDLVKEFDTTSMKTNTELPNPRVIKDNNEQEIKNLRNMVKTLKEREKNLEIQLLEYYGLKEQETAVMELQNRLKLNTMEAKLFNLKVESLQTENKRLEAQMVDYTKVLADLEAAKAKIKVLKSKLRVETAHNKERILNLQQRVEKMQDDEHEGVVGIDPEIQLKLCKLKDLEDEAEELRKSNYSLQIEKSELAERLENVQILATAVLEDEETERLKQERERLKQQNEDLSKEIEQLQADRCGDVEELVYLRWINACLRHELRNYQPGPGKTMARDLSKTLSPKSEEKAKQLILEYANKEGFGENGMNIQVPELDSDQWSSSQASILTDSGDLDESLIDDSSSRKTHNRFFGKLMKLLRGKDSHSPNHLPHLHPHHHNRHLSHSRNSSVEDMNSYSESSFGHLGSSFKHSVDSQNSINRHSDLGGWMCTNRRIDSIAEGEGIGGGGGDSSDTPSSSDGKRSELVKYAEVLRDSNPTRFHRRSAQFSSF >cds-PLY80413.1 pep primary_assembly:Lsat_Salinas_v7:8:171896671:171900821:1 gene:gene-LSAT_8X112461 transcript:rna-gnl|WGS:NBSK|LSAT_8X112461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSSGSEEEDEDLDCYRKGGYHAVRVGDTFSGGRYVAQRKLGWGQFSTVWLAYDTQTSKYVALKIQKSAPEFAQSALHEIQVLSTLTENDPENKKCVIRLLDHFKHTGPNGQHLCMVLEFLGDSILQLIRYNRYKGLPLNKVREICKCILTALDYIHRELNILHTDLKPENILLISTINPSKDPIKSKTEPVLNKVEGNVNGGVALNAIEKKLKQRAKRAVARISARRISMGGVKAEKAERCVDGIDFRCKVVDFGNACWASAPMAEEIQTRQYRAPEVVLQSGYSFAVDMWSFACTAFELATGEMMFAPKPGQGFSEDEDHLALMMELLGKIPRKIAVGGLRSKEYFDRYGDLKRIRRIKNTPLNRLLSDKFKLSVNDAREFADFLIPILDFAPENRPTAEQCLKHPWLNKTPESGIDKVDGEMGKLQIKGGK >cds-PLY87462.1 pep primary_assembly:Lsat_Salinas_v7:2:135598752:135599630:1 gene:gene-LSAT_2X64100 transcript:rna-gnl|WGS:NBSK|LSAT_2X64100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEASTSSKMQPRSHSKSLTDMPLEVIEKIIVDLGKFSAVDAFKMKSVKTEELYKQMEVDGLRYCRWSDHKHAVVNECKQMRKPNIMFRDGLMNLFLLEADHEGKMMLEEASALGHLDSRFVLGMMLMAKGKHRKHETLDMLNNAYCRTKGTWNLRLTCSKVHLHLNKVGRKHVHLHGFQRTCVMHKYVLSMLDTFLNGHKWVFRCEFCLWDACFVRFAREFGIIYE >cds-PLY87232.1 pep primary_assembly:Lsat_Salinas_v7:4:308128355:308130892:1 gene:gene-LSAT_4X156041 transcript:rna-gnl|WGS:NBSK|LSAT_4X156041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGENMVRTIAMDGTDGLVRGQKVLNTGSPILVPVGRETLGRIINVIGEPIDHRGEIKTSHYLPIHREAPAFNDQETEQQILITGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEQQMESKCALVYGQMNEPPGSRARVGLTGLTVAEHFRDAEGQDVLLFVDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPRILGEDHYNTTRGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKVQRFLSQPFHVAEVFTGAPGKYVELKESVASFQGVIEGKYDDLPEQAFYMVGGIDEVIAKAEKIAKENAS >cds-PLY66085.1 pep primary_assembly:Lsat_Salinas_v7:2:206601064:206602396:1 gene:gene-LSAT_2X127261 transcript:rna-gnl|WGS:NBSK|LSAT_2X127261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIGINAAMHRACSSSPHLTTKDVGTTPTRSLGSKQAATFVSLNVEAQKPLNPPQEQNQSNINGVLENGDDVTETCSIKFTDERWKHGTWDLNMFVKSGKMDWDALIVAEASRRKSLELHPEMATNEDPVVFRSSIIPWWAWITHSHLPEAELLNGRAAMIGFFMAYLVDVLTGLDVVGQSGNFICKICLLATVIGVVLFRQTKSIQDLKNLADEATFYDKQWQASWQDPDLSGSSLGKK >cds-PLY78244.1 pep primary_assembly:Lsat_Salinas_v7:6:7365781:7367493:-1 gene:gene-LSAT_6X4580 transcript:rna-gnl|WGS:NBSK|LSAT_6X4580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSEIYEFDGCIFNDPFSPFNDSSTIDILQAFQEHNYSYTPLLPSSPPSPPLTTQENLDTPFEEIDQIAPTIFSSSPPSHQLQNLSLYQMGISVTSSNEALDSCHLDVKTEESQLPLYDNYYYGGSDHALKMMQRSYSSNSFQQQKPNGVLYEPKINGLIESQHLQAQTLTSPDQNFSYSHMRRVCSTGDLQSPKINQTSQRLSSSPLATESSFMEDANFKVGRYNAEERKEKILRYRAKRTQRNFNKTIKYACRKTLADNRPRIRGRFARNDEPGEIQRTTIFHRYGDEEEFWVIYXXXXXXXMNNYKVTTLYDVSYNK >cds-PLY77428.1 pep primary_assembly:Lsat_Salinas_v7:9:108865765:108867257:-1 gene:gene-LSAT_9X80901 transcript:rna-gnl|WGS:NBSK|LSAT_9X80901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSHYVFNPHFSIIPKYQNTEVVHDIAVIQTLLAKSIDYESDSTEISPKNTEVVHGNADLQTVSAKSIDSESDYPEIIEYSPYFGPYKSILERVIAKEVSKIAKEDQHFERKRKRVLALT >cds-PLY92831.1 pep primary_assembly:Lsat_Salinas_v7:5:328918026:328919202:1 gene:gene-LSAT_5X182001 transcript:rna-gnl|WGS:NBSK|LSAT_5X182001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGSPCGACKFLRRKCIKGCVFAPYFCHEQGATHFAAIHRVFGASNVSKLLAHLPVGDRSEAAVTIAYEAQARIQDPIYGCVSHIFALQQQVVSLQSQLTSLREQASQRSLNVPMYSDNNNPTNGTHPSYQQTQDMKNWFQQSGNSCMNMPQFDPRSMNDIMDFKSMAENYENSLPKEEEGSFSSFEEGSSYSIDSLDMQISSNQQQWGFRDHGDQDLQSVAFGYNYN >cds-PLY79497.1 pep primary_assembly:Lsat_Salinas_v7:5:183900004:183903534:1 gene:gene-LSAT_5X81981 transcript:rna-gnl|WGS:NBSK|LSAT_5X81981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAATSVAASSIPASVLYCTAQSTSTRTIKRPHNNCRVVAGNFGHFVQVVKKDVDFLKKNIGAGIKWTSEALGLPEISKKVDEFVWLRNIKDPHYSGEFQSPSWPQPYYPEAYNAQEADDYFKCRPHIVALRLIEVFGSFASAAIRIRISGIIKSKTSNADSETEEYNSQHKFGMVLKETMLNLGPTFIKVGQSLSSRPDIIGFQITKALSELHDQIPPFPRTLAMKIIEEELGSPVDTFFSYISEEAIAATSFRQVCRATTVDGVDVAVKIQRPNLKHVFRDVYIMRVGVNKGVEACLVQLLETGLLHADPHLGNMLYLPSGQIGFLDFGLICRMEKKHKFAMLGAIIHIVNADWASLVGSLADMDIVRPGTNVSPITMDHFDGDEMNVHLPQDEGHDYAMWEPCHAVVATPLLIAFELLLCIYLENSHGASLVVVVDVVRKGAAA >cds-PLY73587.1 pep primary_assembly:Lsat_Salinas_v7:6:128740984:128741574:1 gene:gene-LSAT_6X75900 transcript:rna-gnl|WGS:NBSK|LSAT_6X75900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSIFMVAPITVFKRNPAFSIRCMAPTPQSGETGSSKQATTPNMTTPPPPPPAPKVSSKFSDVLAFSGPAPERINGRLAMIGFVSAMAVEVSSGQDVFAQIGNGGVAVFVGTSMVLTLASLVPLFKGVSVQSKSSGLMTSDAEPLSSLANVLQNFSITELNLIKTGKYIYSFEHLFVSIYHTWFKTSNHEKHVF >cds-PLY63398.1 pep primary_assembly:Lsat_Salinas_v7:7:153379184:153379788:-1 gene:gene-LSAT_7X90520 transcript:rna-gnl|WGS:NBSK|LSAT_7X90520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSIIAYAFLLLLFVLAISGEPNLVRKVVHGAFHKHEGKGCCFCYFDCDKKPPKDAKPVPCDVVPPRPKDGSPPKDVPPAEGGGSPPLADGSSTPPPAPSRH >cds-PLY67632.1 pep primary_assembly:Lsat_Salinas_v7:2:207763202:207764697:1 gene:gene-LSAT_2X128380 transcript:rna-gnl|WGS:NBSK|LSAT_2X128380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKAIRVHQNGGPEVLTWEDVEVPNPKEGEIRLKQKAIGLNFLDVYMRQGLHNTSPPLPYTPGMEGAGVVTAVGPGVTSCKVGDVVAYASPEVGSYAQERILPADLAVPVPSSVDPVEAAAIIFKGLTAHLLIHKGFKVEPGHTILVHAAAGGVGYLLCQWASAIGATVIGTVSTKEKAVQAKEDGCEHVILYKDEDFVERVMEITSGKGVEVVYDGVGKDTFNGSLACLKKRGYMVLFGVASGAPEPVPVVKLIPKSLYLTFASITEYTIDNREELLVAAQDLFSNLANGVLKVRVNHKYPLSQATQAHAAIENRETTGSIVLIPDEE >cds-PLY95825.1 pep primary_assembly:Lsat_Salinas_v7:7:177216169:177216911:1 gene:gene-LSAT_7X105000 transcript:rna-gnl|WGS:NBSK|LSAT_7X105000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYTSDIAHGRKLVEKENQPLAYGEASSKIGCSTGDMICGGGHKYNRKESLKENNGGMFVAGTGISFDDDNGERTKKIQGRGCANREVGCNDVSGRNRNNKKNVYFENKSNANKNNYLNIEKNTAITNNRNNENNNGSHNNIGNRSGSNNGAVNGNISQNGNQTVNGNGSGNNNSVGIGDESGKGNNSFSYSEGSSRDPHSS >cds-PLY63146.1 pep primary_assembly:Lsat_Salinas_v7:MU046008.1:139:3432:1 gene:gene-LSAT_0X45120 transcript:rna-gnl|WGS:NBSK|LSAT_0X45120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEAIGDQYDPETSEAIEVGLKAIATVDKSAIVGPSGSSEVADGGSSENSSSEDSSSSSTDDEISDILKFDENMYKKIEASHSHSHVDAGESSGVAGEVVEEEIVELEFEKVKPKLSTHSMHCPNCKAEVTKVILRRKVITFRSPEPAVVPVEEPQRDPNDLVGCLSCLSLFTCSGNGCFNPFDIFRKKPDPANVLPPQTTVEGTTATPVVTENENCLSMFLVFKKKQKVAETAVDPQQSDPVLVNREVIIPDQSTLPKYVTGNTSIAKGQPSVASPQPPSTVILKDKEVTSVVDNDGEKKRLLGGNRLPYIPPSTNVPVDDDTTIDVETEEPTENEVVMDAAVGGRRTWFGYEGILAEILKSIVYGGLMEVIASLSIVASAAASDTATLNIIALALASLMGGIIIIGHNLWDLRDDCHKETPNQQTEGGGGAINKYKELLGRINYFPLHAFFAILSFVIFGMVPPVAYGFTFHKTNDRDFTMSAVAITSLLCVSLLAIFKAFINECGVLDYFKTVVYYITTAVSTSGVSYVAGNLVTRLVTELGLFDTSLGGGMSLLPHASTTSLASF >cds-PLY70621.1 pep primary_assembly:Lsat_Salinas_v7:8:35123574:35125818:1 gene:gene-LSAT_8X27681 transcript:rna-gnl|WGS:NBSK|LSAT_8X27681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGFTLTRQDHKLSFVHETVVTECHDFSGPTFGFEFLDYQSIISLVHPENIAIDVIGLVVAFGEMVRDNDDKKKHRLNIQIQDENGLQLSVNLCGDFAYKMQHFLDNNPHNLRIIVILQFAKLSIWRDRPTVNTYFSVSKLFINTDIDEINVFKKSLDGDDRLDSSTNTLTRMKSNKVSEHDDFMIGTSLSFDIGEADFESQDNRILKDAISRTDDNITPETVDKNSATSPMKSLNTPTILKRNLEEVFDLEMNEKLSSTKTPKISSEGGINQIVKVKLEKSG >cds-PLY66283.1 pep primary_assembly:Lsat_Salinas_v7:8:308666836:308668315:-1 gene:gene-LSAT_8X167180 transcript:rna-gnl|WGS:NBSK|LSAT_8X167180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGEEARVLLGFLPNSTPSSSQVKAAYKKKAWETHPDRFPVSQRSLAESNFKQISEAYTCLQSGMRRQVSAAGTYSWVVRTGVPRAHGGSRNHALIGIPFLLIVLGTVTLGGSSGARAYRRQKEAYPSHNPFLP >cds-PLY82023.1 pep primary_assembly:Lsat_Salinas_v7:9:157646528:157680185:1 gene:gene-LSAT_9X99061 transcript:rna-gnl|WGS:NBSK|LSAT_9X99061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSTTTACSFAFFFCFLFWSVTGRFLQYDDGEQVSDGVYNHGDKHEQSFFLRFLGFSDSEEYCEQMYGFLPCSSNLPGHLFLIVVYEYLLYHGESYAGGDGRIFGVLGNNFFGASVFQLLDSLPDSLILLASGLSSSKEKAQEYVVTGAGLLAGSSVMLLTLLWGVCFICGRTKFYVKPGSKVKNKVMQLLTGSGVVTDAETSYHAKVMFFSLIPFVVMLLPSVFGLSYSGGGYRIVVLVSLCVAVICLFSYFFYQLFDSRIQTRRLEYAEVERKVELQVPFYEVQALMLDREKHLMLRQKEMEKMMKYPDASEKITMTRDDFYSTFEDWLDVTRQLMDDPHSLDKSGSEYNQVAELLLEDKNKLMELISHVFGEKMFMENGAIDESSIDRFFECIDDDQNKSITRSELKSYFMEQNSDEILIDEEMAEIIMRHLDIDKNGEIDQEEFKSGITKWLKQIDLVDSHTIEKQSEYNNQEEDSQSHDNNKKIDKFHRGEAKAKAEEKFKAIALLLVGIIMLTILAEPLVESVRKFSESLNIEPFYVSFILVPLATNARTAIAAIRAASQKRHLTTSLTFSEIYHKVFMNNILGLFVLVSVIYFRGLTWHFSAEILVVVIVCIIMGILTSFKSKFPNWTLFIAFPLYPLSLVMVYFVADTFQLT >cds-PLY87674.1 pep primary_assembly:Lsat_Salinas_v7:6:46339228:46339677:-1 gene:gene-LSAT_6X34301 transcript:rna-gnl|WGS:NBSK|LSAT_6X34301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSGKKPVAAAVASATTGGGKKADGPAQTKKDVEPEDVEPSEMTLEEIKSRIGSLIQVYTAKLKGTAWKERLEGIMFV >cds-PLY97423.1 pep primary_assembly:Lsat_Salinas_v7:4:15110038:15110882:-1 gene:gene-LSAT_4X11260 transcript:rna-gnl|WGS:NBSK|LSAT_4X11260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAANVFHLIVTLLAFSHLLFMADAILISAKIHRHLLNEKEEIMASGDTKNQMMNIEETAFLEDEFMSERMDLEKTDYPGPGANKNHTPKPPQRD >cds-PLY69223.1 pep primary_assembly:Lsat_Salinas_v7:1:80196338:80200741:1 gene:gene-LSAT_1X68160 transcript:rna-gnl|WGS:NBSK|LSAT_1X68160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVILLRLLFITICLPFIAAQQSNDSVPVGASLTATPNIRPWLSSSGEFAFGFQQVQGNDNFLLSIWYDKIPEKTIIWYPEEGRMVPRGSKVELLRESGLVLTDPQGTQVWRSGSISGVASGFMNDTGNFMIFGSNSSKIWSSFDYPADTLLPTQVILRGGMIISKRSKTNFSGGRFLFQLLEDGNLVLNTRDIPTGNVYDAYYSSDTFDSSNSTNRGEQVIFDTTGYMYLLRRNGQTFTLTPRGLLPSGDYYYRATLDSDGVFRQYYYPKNPTGNSSWEVIWFKPDNICFSMSGVRDSGACGFNSVCSFDGNWPSCECPQGFSLLDPNDPNGDCKPDFTPTCDEVDSNNAGDMFDFIELNGINWPQSDYVHMKPTNEESCKSSCSKDCFCAVAIYANAQCWKKRFPLSNGRKETSPPAKAFVKFQKGDPRPGTPSQLPAKNKDQKSLILVVSTLFGTSAFVNIILIGVICVGFFVVKDCTKVVLGNVKDVTPIPE >cds-PLY89515.1 pep primary_assembly:Lsat_Salinas_v7:4:150284723:150285109:-1 gene:gene-LSAT_4X91680 transcript:rna-gnl|WGS:NBSK|LSAT_4X91680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVRINKIKIVMRSMNSIQQGGEQEVNINNPYQMVFMKKTNDVDSIKLEKRTLEENFKNINEVRTGQRDHVSSGSGNGRVNINLEKVNEEDPSLTQKEKVEREKRDKELNEHNALRKKLDVEEVEARI >cds-PLY92746.1 pep primary_assembly:Lsat_Salinas_v7:8:67317226:67317498:1 gene:gene-LSAT_8X47721 transcript:rna-gnl|WGS:NBSK|LSAT_8X47721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSTGTTLWKENRDERDVTASERESNRSPHDHSIELELSPSPPMKVLAVMRRQEEGERVSIETVTTAATQLTVVPSSTEVAQHRLKV >cds-PLY94816.1 pep primary_assembly:Lsat_Salinas_v7:2:180143887:180146911:1 gene:gene-LSAT_2X102060 transcript:rna-gnl|WGS:NBSK|LSAT_2X102060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRLTSSARLMIVSDLDHTMVDHHDPENLSILRFNALWEAKYRHDSLLVFSTGRSPTLYKQLRKEKPMLTPDVTILSVGTEITYGNAMVPDEGWLDVLNHKWDRKIVTEETSKFPELTLQSETEQRPHKVSFKVEKEKAQEVMKNLSEILVKRGLDVKIIYSGGMDLDILPQGAGKGQALAYLHKKMVAEGKLPKNTLACGDSGNDAELFTIPDVHGVMVKNAQEELLQWYAENAKNNPMIIHADETCAAGIIQAIGHFKLGPNTSPRDLPLPDLFKLDDFDPAYEVVKFYLFLEKWFRGDIENPEQYLENLKAVCSSSGSYFSPFGVEQSLHEVIGKLEECYGEKKGKKFRIWVDQVFPSQLDSNTWLVKFKKLEQSGEEQMCCFTTVILSSKDVKPSQGLTWVHVHQTWMDGSTSDDKNWFL >cds-PLY75713.1 pep primary_assembly:Lsat_Salinas_v7:1:198169616:198170313:1 gene:gene-LSAT_1X123481 transcript:rna-gnl|WGS:NBSK|LSAT_1X123481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLECNITLKENYKSTTNPLRPYVNFPPSIWGDRLQSLTVDHSELHTYAIAMEEPKEELESLIINPNMDSNEKLRLINYVYRLGLRYLFEEEIECQLDKLFTELKMEDYDEVDLYTISINFQVFRQHGYKLSCDVFNKFKDSSSGKFKEYITTDVRGMLSL >cds-PLY79699.1 pep primary_assembly:Lsat_Salinas_v7:5:253578190:253579319:-1 gene:gene-LSAT_5X128601 transcript:rna-gnl|WGS:NBSK|LSAT_5X128601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVGVPGRSLFKQFVNVEYNPEKNQTVIGGFVITVFDEIMRELNLSYNYFPFNGSYDKMMREIPAKTFDTIAGDVTNVSTWHEYLDFTQPYTESGDWLHSNLSRMAGVVWLFVALIITQSYMASFASMLTTQRLEPTTTNVEMLRNMNATVGYCNGSFVNHYLEDVLGFNNIKTNIYNSIHKYAEALNSGEIAAIFLEVPAAKVFLAQYCKSFVRTVETFKVGGFGFVSTCEL >cds-PLY91980.1 pep primary_assembly:Lsat_Salinas_v7:2:38322933:38323394:1 gene:gene-LSAT_2X18040 transcript:rna-gnl|WGS:NBSK|LSAT_2X18040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNITPKALRFRSFVKVSHAPITDSEADHMLFSFYLKHMKPQYDTWSLSKITGLKVTGPIETGIFANAKFKVVTGSSNQCFEFTLADLPCLNPKDWFALYNTLLKKKEKYGPVMSHLKIMTESYIQEIGEVDVEVAAILRKQSSVVLKKNPKDF >cds-PLY64644.1 pep primary_assembly:Lsat_Salinas_v7:6:36872793:36874789:1 gene:gene-LSAT_6X28160 transcript:rna-gnl|WGS:NBSK|LSAT_6X28160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKSFWGSEEQHNEQSYGDRPVVSRIPPNEAAGIVVVLKDKSASELQKLLSNKDAYQQFLHSLDIVQNQIHLRNELRNETIQLAKHNLEKEPHIRELRNQCQIIRATELATAREKLNELEKQKQEILRFYSPTSLLHRLQESMHKTEEESEALHQQLLDREIDLTTFTHKYKRLRNLYHKRSLTHLAANTSLVG >cds-PLY87760.1 pep primary_assembly:Lsat_Salinas_v7:5:200434807:200438933:1 gene:gene-LSAT_5X91201 transcript:rna-gnl|WGS:NBSK|LSAT_5X91201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANRSHLGFHGDVLRVKVNKLASTKTQLPYSYYSVPYCRPNKIVDSAENLGEVLRGDRIENSPYEFQMRVPQMCNVVCRRVLNEKTAKEFKEKIDDEYRVNMILDNLPLVVPMTRLEKDSPVIYQHGYFVGRKVQYAGTKEEKYFINNHLTFTVKFHKDVQTDSARIVGFEVNAFSVKHQYDGEFNAKTTRLSTCDAHAKRMVTSSDPPQEVEDKKEIIFTYDIDFQESDIKWASRWDTYLLMADDQVHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFLGMILIAMIFAVLGFLSPSNRGGLMTALLLLWVLMGIFGGYASARLYKTFKGTEWKAITLKTAFMFPGIAFSVFFVLNGLIWGEKSSGAVPFGTMFALVFLWFGISVPLVFVGSYIGFKKPGIEDPVKTNKIPRQIPEQAWYMSSIFSILIGGILPFGAVFIELFFILTSIWLQQFYYIFGFLFIVFLILIVTCAEITIVLCYFQLCSEDYLWWWRAYLTSGSSALYLFLYSVFYFFTKLNITKGVSGILYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >cds-PLY67406.1 pep primary_assembly:Lsat_Salinas_v7:4:211239785:211244641:-1 gene:gene-LSAT_4X119160 transcript:rna-gnl|WGS:NBSK|LSAT_4X119160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:Projected from Arabidopsis thaliana (AT5G42740) UniProtKB/TrEMBL;Acc:A0A178UPP5] MATLISDTAPWKDLKAHVADINKTHLRDLMSDNDRCKSMMLEFDDIFLDYSRQCATLDTMSKLFKLAEAAHLKEKITSMFNGEHINSTENRSVLHVALRASKDTTINSDGKNVVPDVWQVLDKIKEFSDKVRNGSWVGATGKPLTNVIAIGIGGSFLGPLFVHTALQTDPEASKSAGGRQLRFLANVDPVDVARNITGLDPETTLVVVVSKTFTTAETMLNARTLREWISSTLGPQAVSKHMVAVSTNLKLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPVVEKFLKGARSIDQQFQSAPFEKNIPVLLGLLSVWNVSFLGYPARAILPYTQALEKLAPHIQQVSMESNGKGVSIDGVSLPFEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGIVKSQQPVYLRDEVVNNHDELMSNFFAQPDALAYGKTPEQLRSENVASHLVPHKTFTGNRPSLSLLLPSLDAYRIGQLLAMYEHRIAVEGFIWGINSFDQWGVELGKSLASQVRKQLHASRKKGESVEGFNFSTTKMLTKYLKASEDVPSEPTTLLPKI >cds-PLY64475.1 pep primary_assembly:Lsat_Salinas_v7:3:14797513:14800829:1 gene:gene-LSAT_3X10180 transcript:rna-gnl|WGS:NBSK|LSAT_3X10180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGSSVRLVRCPKCENLLPELPEYSVYQCGGCGAVLRGKQSTPAREKRPLERSDNEKKEKASLESASVIERENDEFELGRRQGKTVHEKISKLSASSSSKKEKRERFTDSDQIRKRRLDFDQTKVKVFNDWDMNVPNYGESQEIRHPFGPIRSRTVGIHRNSSTVERDRFGNLPYSNEGPSSSHSFGGLNELTRVQDLENDRAQLLQKLDELRTQLTRSCNVTENPNGRLTGSYPWPHQPPHHQYLPPTYHDPFLGYNQDTLFHRPSCSCSQCYNTNWHSNTLPKIRPFPSFNSLPAHDPLTYGQRQLPRHLDTGTGSFGRRYPRRRVILSHGGERVSRPVSGGAPFISCCNCFELLKIPKKLWVMEKNVHKKMKCAVCSSLISITLEDNRIVTSVSSSQQKVNKTKSNPSVHSSRDYDKSGYNFQLADTEPNLSPNEQSPDAAATVIDKASLSMSNENLESQNNDLSQSQRRDEERVLVENKKSLKDALVATEIDVSLTEYLNCEAVSKDKEDDQLRNKKGGTESFFAGLIKKRLKDFSRSSSERVVEKGRSDVFVNGQLLTDRQVKKAEKLAGPIHPGEFWYDPIAGFWGVMNQPCLGIIPPFIEEFDYPLPKNCGAGNTRVFVNGRELNQKDLDLLAGRGLPTTKDKSYIIDISGKVVDEDTGEELDGLGKLAPTVERVKHGFGMKVPKTVVSK >cds-PLY67109.1 pep primary_assembly:Lsat_Salinas_v7:4:242028162:242028825:-1 gene:gene-LSAT_4X129660 transcript:rna-gnl|WGS:NBSK|LSAT_4X129660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARINYVFTISLLLLTSLFFNIVARPINIGKPIDYAEDDMDISSLASIKIGGGPSERGKGHEYPNAGYFGNIKNSGPSPGGKGHDFINDKILGEIKNSGPSPGGKGH >cds-PLY99156.1 pep primary_assembly:Lsat_Salinas_v7:4:118711006:118712336:1 gene:gene-LSAT_4X73100 transcript:rna-gnl|WGS:NBSK|LSAT_4X73100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGPHMMYALATGLALMSVSNGRFSPHHCIVYALNAFFGPDIGSFAEWLTSTVGLGGVFGSSVETYIHDPFFYVLILGVPLSLLYARASRFFISKGYLDSISGIPLTLNQCFLLISAGSLSHFFLDHLFEENGHSTMYTWILSTGWWKGRAPVNPDAVVVIGFLCTCLIIGFIRINRIKAEKSIRKQLINSGKLLIAIASVYCLWCASQIYMVNPPRPAVGEEADLGVILFLAVYFFLPHSLCIMSMNQAERLPL >cds-PLY82516.1 pep primary_assembly:Lsat_Salinas_v7:2:187086874:187088082:1 gene:gene-LSAT_2X108901 transcript:rna-gnl|WGS:NBSK|LSAT_2X108901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDYRRQGGIQQLLVAEQEARQIVNTARTAKLNRLKQAKDEAGEEVAKYRANMEKEFQKIISDSTGFSGVNVKRLDEETATKIDQLKKQAAKVSPEIVKMLMTHVTGVKI >cds-PLY96907.1 pep primary_assembly:Lsat_Salinas_v7:4:170293747:170296059:1 gene:gene-LSAT_4X101061 transcript:rna-gnl|WGS:NBSK|LSAT_4X101061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTQAIVENNTVAFRNLVEEDEQVLDKRIGNGNPTLLHLASKNGNVEMVSLILDLRPEMVTAENNKSESPVHEACHMGHHMVVERLMEENQWVAGKTNCENQSALFMACSYGHLNIVNFILDHTSWLLNIIDDANCLHVAASRGQTDIAKKLLETCPYLANQKDENGSLALHGACRNGQFEITRTLLGMDPEQAFQFDKNGYTPLHLAAMNGNLAIIEEFSSIAPSSLQLVSKQGENVFHLTIRSNKFDTFKFLHGILKGTNLFYQPDKFGNTIQHLAQIRGLDDQSTSTVKQMSKLMPTTAMNKVNPSDIHVEGASLKEETHLNISPDINAYINSSSPFTVQDEKLSKADKDIPTREHIELHTEALQNARNTITLVAILIATVAFTTGMNPPGGVYQEGPLQGKSVMGEKRAFKVFAISNHIALFLSLSIVVVLVSIIPFRRRPLNMILAAAHKVTWVALSFMAVAYIASIWVIMPESNHKSPLRQWFIEALLSICFGSLGSVFFGLGVMQIRHQFKKYRWRKHKADHINNTTLSINSDVYSGVSDGFHAL >cds-PLY78036.1 pep primary_assembly:Lsat_Salinas_v7:7:193015439:193016323:1 gene:gene-LSAT_7X114840 transcript:rna-gnl|WGS:NBSK|LSAT_7X114840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNNIASSIDQSLQKLVEEVITNDPSWNFVSSVYKTKIAGMSRNVTTTWSKNLITVSLQITIESLSKDEDPYVCRIDVNTWQFWGKKGLKSFKVDEERVDVYWNLRDANFSTSPEPCSDYHVALVYDEDMVLLLGDQEEEACRRSKSTTCLEKPLLVLKEENIFGKNLFTSKTVLGKEGNEHHIVIETAISCENNEEPEMWIGVDVASIRIDNLHWRFRGYEDFLVDGMPVQVLWDVHDWLYMRSNSGSGGIFIFRQNTNGLQPTSLSCSNEKTKEDDDCAAEFCHFLHVWKID >cds-PLY99541.1 pep primary_assembly:Lsat_Salinas_v7:1:114190350:114190670:1 gene:gene-LSAT_1X88520 transcript:rna-gnl|WGS:NBSK|LSAT_1X88520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHEWRKPPRVTSEWRDGVLRCSFSSFFVHSPIIQVRRRHLLPPVKPRKLKTTSGHVVLPVPHLRPLPQSCRPLQRCYRSCVHRRAADDEVVVTFVRHHLSPPPVSL >cds-PLY72690.1 pep primary_assembly:Lsat_Salinas_v7:6:27663809:27666655:1 gene:gene-LSAT_6X21360 transcript:rna-gnl|WGS:NBSK|LSAT_6X21360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTLRKAIGAVKDQTSIGIAKVASNMAPELEVAIVKATSHDDDPAGEKYIREILQLTSYSRGYVSACVHAVSKRLSKTRDWIVALKCLVLIHRLLNDGDSVFQQEIMYATRRGTRLLNMSDFRDEAHSNSWDHSTFVRTYGFYLDQRLDLIAYERKQTGGSNGSGNGDSVRSREDQWRSPPNRGYDYDDEPGYGNIRKSRSSGDVREGSGSSHDKKDTNTTPLRDMKPERIFGKMGHLQRLLDRVLSCRPTGLARNSRMVLVALYPIVQESFKLYSDICEVLAILLDRFFDMEHQDCVKAFDAYATAAKQIDELVGFYNWCKDMGIARSSEYPEVQKITGKLLETLEEFVRDRAKATKSPEKKPEAIEQVKEEPVPDMNEIKALPAPEIHTPPPPPPPPVAAPPPKPQHTGDLVDLREESVTADDQGNRFALALFAGPAKGNGNWEAFGGSGEAEVTSAWQNPAAEAGKADWELALVETASNLEKQKAAMGGGLDPLLLNGMYDQGIVRQHVSTTSLSGGSASSVALPGKSSGGTPVLALPAPDGSVQPVGGDPFAASLSVPPPAYVQMADIEKKQHLLVQEQMVWNQYARDGMQGQVSLAKINNGGYVAPGQPPMMPYGAPPVNGSGYYYPTY >cds-PLY62159.1 pep primary_assembly:Lsat_Salinas_v7:2:153455265:153456995:1 gene:gene-LSAT_2X77221 transcript:rna-gnl|WGS:NBSK|LSAT_2X77221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIKNSNSELVPIASTISPPNSYGSVVLGGTFDRLHDGHRLFLKAAAELARDRVVVGILDGPMLTKKQFAHLITPIEHRKRVVEDYIKSIKPNLEVEVEHITDPYGPSIVDKNLEAIVVSQETLPGGLLVNKKRAERGLSQLKVEVVNLVSDESSGEKLSSSTLRKVEAHIAGKL >cds-PLY96133.1 pep primary_assembly:Lsat_Salinas_v7:3:98349446:98350131:-1 gene:gene-LSAT_3X72820 transcript:rna-gnl|WGS:NBSK|LSAT_3X72820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSPVSTPNSLRLRPPPRQALHSTTGTLQSPTSPSIRSPRTPPPSATLYATAETLYVATSPSAVISPPSLYEILGISMGATESEIKTAYRRLARTSHPDVKDSSGEEFMKINAAYSTLSDPDKRADYDRRLFRTQRSRSFSSVTPAASYYGFNGYSGRNWETDQCW >cds-PLY87362.1 pep primary_assembly:Lsat_Salinas_v7:1:97583255:97585333:-1 gene:gene-LSAT_1X79321 transcript:rna-gnl|WGS:NBSK|LSAT_1X79321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKVLSFLQLISLLKETNQIIHKLLKEASLLLKNTALLKEVNQNSLQAIASD >cds-PLY82655.1 pep primary_assembly:Lsat_Salinas_v7:5:84592280:84596586:1 gene:gene-LSAT_5X38020 transcript:rna-gnl|WGS:NBSK|LSAT_5X38020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERKAMTDAANLAGWVLKNTDDGHEAKFIQKVVEQISLELRSINFRSDENLVGMETRINGVLSSLGTGFDDVRVIGIKGMGGGGKTTLARAVFDQISFKFEGKSFVEKVREVSKASPSGLNSLQNQILSDVFNDKGMRVSSVHEGIGMMKRRMTSKKILLVLDDVDHKEQLKALAGETTWFKPGSIIIITTRDRQVLESYEEKSIHDVQLLSHEDAMCLFSRYAFGKVIPNPGYEELSKQVVHYAAGLPLAIKVLGSFLCGKDEIEWMDALLRLKTIPSQEILEILEISYIGLENDYKEIFLNVACLLKGWSKADAIKALESCGFHARNGLRVLEQKSLITVNDNGYLGMHDHIEEMGRNIVRRLHPDKPKKHSRLWINKEIEDILANKLGTQATRYIKFYMEKFSPDMVMKGLRKMKELTFLHVSLQFIDASKSDYSRQNWKSKNFPNALRYLCWNDYPYRSLPKKFQADNLVSLEMVISQIVQLWGGGERKALNKLKFLDLSYSKLRNLDLGLTPNIETLNLRGCRELVKLHMLARCSKLINIDLSSSMLRTIDLGPAPNLEILNLKECEELVELHMLPGCLKLITVDLSWSMLRTLDLRSTPNLELLDLKGCSELIELHMPDKSPNLRSLELSYSKLRTLDIGLTPKLEYLEITYCYDLEELHMADECQKLTSLSITRSKLRNLDLGLTPSLKILDLKHCYDLEELHMTSECRKLTFLNISHSKLRSLDLKLTPNLETLDLKECSNLVELQMVDECLKNLVYLDLSGSLRFLEFKFNIKDDTLSENDSYCSKGDASGSEDESLEVGPLAELLLIMLSLDECPFHPNNNLPKFQLICCYKEDQPLLTSNLEKLISVGLCVCTNLDTFSRSICGLQHIRKLKLQGGIPEVPKDLDQLECLEKLEFSYTNIKHLPDNICMLKHLQYLKVDSCSFLEKLPENLGQLECLRKLSLSSTKIKHLPDSICMLKHLESLELRDCSLLERLPEDIGRLECLKHLSLCYCIQLENLPEELGRLECLKMLELTHTFICHLPQSIFLLKGLRIVGSGELLQSCDLRSKIQPSIYDEFFYVDV >cds-PLY84425.1 pep primary_assembly:Lsat_Salinas_v7:4:289921435:289922298:-1 gene:gene-LSAT_4X149920 transcript:rna-gnl|WGS:NBSK|LSAT_4X149920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEQKVGLGEMRNKKIRISTDAEENFPGINASDDNGNVIGGEQVQGLATDEDAQMISIETEKKVYPFIGNGRYAHFGQTYSHDFHRRAAASYPICDGFSQFRPPNLFNVAEDYENQEFGRDPNRLDYFRVMPFDVFGDDHLTGIVGYGGGVGGRLDRGLVEGLIGSDTAVAGGGMRLRVPVGISANNSTEAVLDRVNQEKGNGVDGGGGGRRVVGPRLEKERGSSETAGVGGGDGGEGVVERLLGLKKDGATVTVRVAVIVVMLLMMVMVTVMMVLVLVMRKMEVKG >cds-PLY98901.1 pep primary_assembly:Lsat_Salinas_v7:7:49567956:49576268:-1 gene:gene-LSAT_7X34740 transcript:rna-gnl|WGS:NBSK|LSAT_7X34740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin-17 [Source:Projected from Arabidopsis thaliana (AT5G20490) UniProtKB/Swiss-Prot;Acc:F4K5J1] MAAPVNIIVGSHVWVEDPALAWIDGEVTRVNGQELHVHTTKGKTIVTNVSKVFPKDTEAPPGGVDDMTRLSYLHEPGVLQNLAARYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGAGFGELSPHVFAVADVAYRAMMNEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPPEDREKFKLESPQSYHYLNQSKSYELEGVSDAHEYLATRRAMDIVGISEEEQDAIFRVVAAILHLGNVNFAKGKEIDSSVLKDEKSRFHLNVTAELLMCDAKSLEDALIKRVMVTPEEVITRTLDPEAALGSRDALAKTVYSRLFDWIVEKINNSIGQDPNSKSLIGVLDIYGFESFKHNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEAINWSYIEFVDNQDVLDLIEKKPGGIISLLDEACMFPKSTHETFAQKLYQTFPKHKRFIKPKLSRTSFTIAHYAGEVNYLADQFLDKNKDYVVAEHQDLLTASKCPFVVGLFPPLPVESSKSSKFSSIGSRFKLQLQSLMETLSTTEPHYIRCVKPNNVLKPAIFENLNIIQQLRCGGVLEAIRISCAGYPTRRTFDEFLLRFGVLYPDVLDGKYDEKVACQVLLDKMGLKGYQIGKTKVFLRAGQMAELDARRAEVLGNAARIIQRQMRTYIARKEYILIRKAAIQLQACWRGLSACKQFEQLRRQAAAVKIQKNFRCFVASKSYQTLRVSAITLQTGLRAMTARNEFRYRKQTKAAIFIQAYYRYYRAYSYYKSLQKAAIVTQCGWRRRVARKELRSLKMAARETGALKEAKDKLEKRVEELTWRLQLEKRLRSELEETKAQEAAKLQEALRVMQIQIDEANAKVIKEREAARKAIEEAPPVVKETPVIIQDTEKVDSLTAEVESLKSLLLNQKQETEEAKKSLIEADAKNADLLKKFEEAEKRAYQLQESTQRLEEKLSNMESENQVLRQQALTMSPTGKSMSARPRTMIIQRTPENGNIHNGESRITTPDTALALSNSREPESEEKPQKSLNEKQQENQDLLIKCITQDLGFSSGKPVAACLIYKSLLHWRSFEVERTTVFDRIIQTIASAIEVHDSNDVLGYWLCNTSTLLTLLQHTLKASGAASMTPQRRRTSSASLFGRMSQGLRASPQSTGLSFLNGRVLGRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGRSQANAVAQQALIAHWQSIVKSLDSYLKTMKANFVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKSGLAELEQWCCYATEEYAGTAWDELKHIRQAVGFLVIHQKPKKTLNEITNELCPVLSIQQLYRISTMYWDDKYGTHSVSSEVISNMRVMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSMPQVDMGEIEPPPLIRENSGFVFLHQRAE >cds-PLY88734.1 pep primary_assembly:Lsat_Salinas_v7:7:193859256:193863681:-1 gene:gene-LSAT_7X114320 transcript:rna-gnl|WGS:NBSK|LSAT_7X114320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKPTSSSLLKQNPHIRFLSLYFSSETILMTILHLPAIKVFCLTEKKHKDVPSKPLKFGESNSRSTTNRKGSFQQERKPKKVSLEISPHRAVSAVRLMRIEFGGAFADLLNEKGKGSGDNEMGYVERTLGFCTRDLDDRDLRLVTDIVGGTIRWRKYLDYLILSLCHDPNSFSHMEPLLLQILRIGFYEIVKLEVPSYAVVDENVQLAKIALRPGAGNMVNGILRKLVALKESNSLPSPQLEGDDRAQARALATIYSHPVWMVRRWTKDMGREEAIKLMKWNNSSPSFSLRANRGKGFTRSDLVSRLETLKVPYELSPHLDDFVRITTGMQSVLQDGLLKEGLCSVQDESAGLVISIVDPQPGETIIDCCAAPGGKTLFMAARLNGQGMVTAIDINKGRLRILKETAKLQQLDDVITTVHADLRSFPENNHVKYDKVLLDAPCSGLGVLSKRADLRWNRKSEDMEQLKSLQDELLDAASMLVKPGGVLVYSTCSIDPEENEQRVAAFLLRHQEYSIESSERYISPEFLSEEGYYFSSPVNHSLDGAFAARLLRCS >cds-PLY62898.1 pep primary_assembly:Lsat_Salinas_v7:4:327088511:327091258:1 gene:gene-LSAT_4X162821 transcript:rna-gnl|WGS:NBSK|LSAT_4X162821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTLCFSLLTLLSLVSSLYGLPDPLFINCGSNSNIIFSGQTFVSDDNYASGGSAVENLNTSSSKPPIYHTARIFTKKSWYELPANEPNTFVLIRLHFFAFSTKKFKLSDSRFAVSVSGFSLLSNFSVGDAIEIKELFIPTGAKTSYRIEFTPSDPSSPAFVNAIEAFTTPANMFTQGVPFPRSSPAGKIDDLHNLTSDYAFNLIYRIDVGGLQTTEDERDTTLGRKWKLDDSFISNSEGATNKSFDGLLKYGDNGATEYHAPAYVYKTAKQLNINSFNITWNFGVKKNATYLVRAHFCDVISTSLSHEAFRFFIYSHFSANIGPSDRVIVLAAPFFVDMVVDSVESQFMNIGIGATPGSNYTAFLNGVEIMELLKNSSEIDQENERKNINTIVLIVVGCVAFVLILLIGFFMGKKYQEKLINQRSQVMLSYGGDSYTDLDTDPSTAPHLSLNLKIPFRDILKATKNFDEKLMIGQGGFGKVYKGTLSNGRNVAVKRGGKGHGQGRPEFVTEIMVLSKIRHRHLVSLVGYCDEKSEMILMYEFMEKGTLQDHLYGNEYNLKLSWEQRLEICISAARGLHYLHTGSKDGIIHRDVKSTNILLNDLYVAKVADFGISRFDNVDESGISDIKGSFGYMDPEYAIYMKVTQKSDVYAFGVVLVEVLCARPVIGHNFPDKEVNLADWAKKHIKCGNVENIIDPFLMGTINMDSLRIFLTLVERCLKDLGEERPTMIEVQRDLEYALGFQQPVDDDSEFYKNSTINQHIIAVSDVSD >cds-PLY77556.1 pep primary_assembly:Lsat_Salinas_v7:2:162808192:162809935:1 gene:gene-LSAT_2X84821 transcript:rna-gnl|WGS:NBSK|LSAT_2X84821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMHAKTDSEVTSLTASSPTRSEPRRPVYYVQSPSRDSHDGEKTTNSFHSTPVLSPSGSPGRQSRNSSSTRYSGSLRPGSRKGSQHQHHRKGEKGFDAIEEEGYNDEVGHRGIPRRCYFLAFVVGFFVLFTFFALVLWGAARPQKPVITMRSIAFDQFAVNAGADASGVATEMVTLNATVKFNFRNRGTFFGVHVSSTPLDLAYTELTLATGTVKKFYQSRKGHRIVSVNVRGVRVPLYGGGLNWSSENGKLTAPLPLNLNFTVKAKAYVLGKLVKPKFYKKVSCAIVYNPIKAINKPISLMNSCTVE >cds-PLY74835.1 pep primary_assembly:Lsat_Salinas_v7:8:107598618:107600701:1 gene:gene-LSAT_8X73500 transcript:rna-gnl|WGS:NBSK|LSAT_8X73500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATGTSANVPTPHVIGSTVVELSRNSRTLAPSPLVRISCKNSSNNGLSIRSSHIRQSSSKALLEGPSCLFVGPIESASQETLEALYRQARDAYYSGKPLIVDDMFDRVELKLRWYGSKYVVKYPRCSLRQHSTYADAEEDPAQVFALASVWLLFLGFGSSACLVPVVYTFGQAFKDAIDSGLSTGSQAPVLELIAMMNGMLVMMLGSMIGYPVASAAVGALKGLWKNDLVAIKGACPNCGEEVFAFVKSNQFGNSPHKAECHVCECLLEFRTKVEKSIASPGKRWVYGRIYLIRRRRTNRGQRWT >cds-PLY69837.1 pep primary_assembly:Lsat_Salinas_v7:6:3471472:3471771:-1 gene:gene-LSAT_6X3941 transcript:rna-gnl|WGS:NBSK|LSAT_6X3941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGPHQNPIEIHKRYRKEAFMILTERCPESSIPELHLHLLQKGETPQNRESGPKNRIGHSRTTVRNCVVTKPERNQVLPQDGGDHQRRMVAMDLLPQEK >cds-PLY67063.1 pep primary_assembly:Lsat_Salinas_v7:5:280303777:280306185:1 gene:gene-LSAT_5X146660 transcript:rna-gnl|WGS:NBSK|LSAT_5X146660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR30 [Source:Projected from Arabidopsis thaliana (AT1G09140) UniProtKB/Swiss-Prot;Acc:Q9XFR5] MGRSSRTLYVGNLPGDIREREIEDLFYKYGPIVEIDLKIPPRPPVFAFVEFEDPRDAEDAIRGRDGYKFDGQRLRVELAHGGRSSSSIDRHRSGGGSHGGVSRRTDYRVYVTGLPSSASWQDLKDHMRRAGDVCFSQVFRDRDGMRGIVDYTNYDDMKYAVRKLDDSLFRNHFSKAYIRDLINKLVVWFIDNRWRSMIEDTTSLGAEPQVHIIQEAEVQLTATALTAEAGLDLDLFLIDLNLLQDVDSTAFLWILDGILGANLIRKRSPSRSPTPSSTHRHGGDRSPSRSRSGSRSPSVRSD >cds-PLY81884.1 pep primary_assembly:Lsat_Salinas_v7:8:119996543:119999495:1 gene:gene-LSAT_8X84401 transcript:rna-gnl|WGS:NBSK|LSAT_8X84401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDIASYVAKKVLYHVLGAIPSYQGSVGPALDELCLGLQSKEVAPALSGVYAKDLHVRLACLNATKCILAISSRSVPQDVEISTSIWIALHDPEKSVAEVDEDLWERYDCEFGTDYSGLFRALSHVNYNVRVAASDALVVVLDELMFMQESLATLFSLYIRDSGVGEDMIDSGWFGRQVIAMALHAAADADTNVDVRGRMINDVIMIIDKHGKDNVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTRALAKHLSKEDPKVHAVVEKLLEVINTPSKAVHRAVSSCLSPLMKSKQEDALSLVTRLLDQLMKSEKYGERRGATFGLAGVIKGFGISSLKKYGVATVLREGLAHRNSAKCREGSLLAFKCLCEKLGKLYVIYLLPLLLFWFSDQVVAVREAAECAARAMMSQLTAQGMSLSFLXPLFFLL >cds-PLY99070.1 pep primary_assembly:Lsat_Salinas_v7:6:151764587:151766871:-1 gene:gene-LSAT_6X90800 transcript:rna-gnl|WGS:NBSK|LSAT_6X90800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g19020, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G19020) UniProtKB/Swiss-Prot;Acc:P0C8Q8] MKSISNNLLPSFLAKKLFKLTPKCYSSSPLSQNSCANSIKTTKTFDNYERALVQALKSSSSLSAPLLYGQHLHCHILKKGLDSNIFIRNSLISLYAKFGSINDAESMFVSGFQSDRVSCNIMLSGYVNCGRLNDARQLFDKMSGRNSVSCTTMIMGLAQNGFWGEAIHVFKEMKSLGLAPNEVTLSSVLSSYSHFSGVKNSQILHALVAKSGLENSNLVLTNLVLLYCSCSSLGDARILFDEMSERNIVSWNVMLNGYSKARMAGMARDLFDKMPERDVVSWGTIIECVLHVENLNPALKLYREMLNTGISPNNVMVVDIISVCGQETAFSEGQMFHGVSVKLGFDSHDFIQSTIIHFYAACGNIPLAQTQFQIGSKNNPSSWNALISGLLKNKMIESARQLFDKMPKRDVFSWTSMISGYSQMNQHHMALDLFHKMISSGIKPNEVTMVSMLPSVANLGNLQDGKWGHEYIITNSIPMNDNVIASIIDMYAKCGNIKNALQLFNQVKHKVSTISPWNAIICGLAMHGHARLSLKLFWELETYKYNDVIKINSITFIGVLSACCHGGLVKEGERYFERMKSVYNIEANMKHYGCMVDVLGRAGRLEEAEGVIKSMPMKADVVIWGTLLAAARIYNDVEMGERAAENLAKAEPLHGPGRILLSNIYVDAGRLDDAAFVRREMKTRRLIRSPGYSSIS >cds-PLY74849.1 pep primary_assembly:Lsat_Salinas_v7:8:106006410:106008686:1 gene:gene-LSAT_8X72280 transcript:rna-gnl|WGS:NBSK|LSAT_8X72280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDVTGKYTGDSELQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSLRSGAYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAIYRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEEEEEEAEYEG >cds-PLY86116.1 pep primary_assembly:Lsat_Salinas_v7:5:39357298:39360101:1 gene:gene-LSAT_5X19360 transcript:rna-gnl|WGS:NBSK|LSAT_5X19360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNIGMMDSAYFVGRNELLSWINANLQLNLSRIEEAASGAVQCQMMDMTYPGAVPMHKVNFDARTEYDMIQNYKVLQDVFNKLKIDKHIEVNRLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPLERRSKICKERHVKAMASQSQKSSKSLQTNNSQNPGSGDHKINGSKQKPSGVVEVESDSSEKIKALSNEITELKISVDLLEKERDYYFAKLRDIEILCQGPELENIPMVVAVKKVLYAADEDSALAEAQQILSATANQ >cds-PLY76042.1 pep primary_assembly:Lsat_Salinas_v7:5:319442684:319444235:-1 gene:gene-LSAT_5X175081 transcript:rna-gnl|WGS:NBSK|LSAT_5X175081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQSVKRMLKLIEEEGDSFAKKAEMYYQKRPQLVSHVEEFYRMYRSLAERYDNVTGELRKNIPSDLQSQGSGVSDVSSEPPLGSNSNSNPQRGSRSGTGTRAAGFDVFLKSGGSSSDLGTKEGDETSTLDSESESDDSSVNNYPIPLESEVRNKNDRVEEELRVANEKIHELTAELQKYESMPPPPPPQHSDSEGGIVNAGLEEQERKIQSLEIDLKVTKQKVLESEEEVKRLRHELHNNAAQKEISSWKLKFEKEKREVAKMNGRVTRYKENLSERDREIRAMRETLSNANKTLSEENFQLEEQVTKLLKERALLEDVIKEWDLRCQCSEEELRRLKIANTGMEFEIEELKAKVSELNELVVSKDAEIEGLCGHLRELHLEHVELVDGIEKSKKSIEELRCRGMELEREIERQRELIEEGGEEKREVIRQLCFSLEHYRDGYQMLRQAFTSQNKRHLVMA >cds-PLY87464.1 pep primary_assembly:Lsat_Salinas_v7:2:134930184:134931095:-1 gene:gene-LSAT_2X64521 transcript:rna-gnl|WGS:NBSK|LSAT_2X64521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SDG15 [Source:Projected from Arabidopsis thaliana (AT5G09790) UniProtKB/TrEMBL;Acc:A0A178UEL1] MRSLACALTSLNMEYSDDLTYSFDMAPRGANMSSFENGGMQVLSKEDTETLEYCRAMLKRGECAPLLVVFDSCEGYTVEADGVIKDMTLITEYIGDVDYIKNRQLDDCDSMMTLLLASDPSKSLVICPDKRGNIARFINGINNFSSEAKKKQNLKCVRYSVNGECRVLLVATRDIAKGERLYYDYNGYEHEYPTHHFV >cds-PLY98956.1 pep primary_assembly:Lsat_Salinas_v7:7:49125643:49126128:1 gene:gene-LSAT_7X35241 transcript:rna-gnl|WGS:NBSK|LSAT_7X35241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGRNDKGRKKNPSPGSTGRRGRASPNSTDEPCHMTLLTPIYMPMITGVAGSNSQGVIALQSNMADPLADLAGVMRQAQQMGYVSQPQPQPQQMSHRLHPSQIGYCPRPPLTQTGYGFQPSPPPRPHPSTHKGVVGPHLQFREPMVVMGFRIIFLRVGA >cds-PLY76903.1 pep primary_assembly:Lsat_Salinas_v7:6:29973469:29973801:-1 gene:gene-LSAT_6X22740 transcript:rna-gnl|WGS:NBSK|LSAT_6X22740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVESGKSFELLREADHDGVLEAECGCCRLKEKCTQDYIVEVKKLHAGIWVCGLCSEVLKEHVSKNPEITMEEAVNGQREICHKLMRNLKSVTIPSLATNPLAFHRENRPL >cds-PLY65411.1 pep primary_assembly:Lsat_Salinas_v7:1:60603784:60605347:-1 gene:gene-LSAT_1X51441 transcript:rna-gnl|WGS:NBSK|LSAT_1X51441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRGQRKPSKSDTDTYGFTPPGNRNSESVSTSNVVVNHASRGGAPPVANSIGQQQQTPSGTSIPPPPTGSIESLPMFRDVPVSERQHLFLRKCQVCRFQFDFTDTLKMVREKEIKRLNLVELVDYVQSGSGKVTESNQDEMIQMISVNIFRCLPPSSHEHTGTENIETEEEEPYLDPSWSHLQLVYELLLRYVVSSDTDAKVAKRYINHSFVLKLLDMFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIYETQRHNGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKSIAMYHQQLSYCITQFVEKDNKLADTVIRGLLKYWPITNCQKETLFLGELEEVLEATQAAEFQRCMIPLFRQIARCLNSPHFQTAERALFLWNNEHIVSLIAQNRNIILPIVFEALENNIQSHWNQAVHGLTVNVRKMFQEMDFKLFEECQKQFAEKQANSQQAEEQRQLTWQKIVDAAAGGTHQIH >cds-PLY71650.1 pep primary_assembly:Lsat_Salinas_v7:9:134970725:134971990:1 gene:gene-LSAT_9X87521 transcript:rna-gnl|WGS:NBSK|LSAT_9X87521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G05410) UniProtKB/Swiss-Prot;Acc:F4J7A7] MSIHQNFLHRTYMNQQNPGDRKVEFQETAIPSNKLPAEQFLPLATAFRRRLLTGIGSASLVAVGANFAGTTSFLLGLSPEAARNLKLDVLYPIKGYSRCIKSEEGFEFIYPANWVGDQTLLYRAAGKAELERSLDLPPVNRRRNVNEPIVAFGPPGSSGELNVSVVVSPVALDFSIEAFGGPEEVGEAIVRKITGSGRRPEIKGRLIKTDLREDEVKKVKYYVLEFAVESPSFSRHNVAVCCAREGRLFTLNAQTPESAWPMLKQDFYKIADSFSLTSL >cds-PLY77887.1 pep primary_assembly:Lsat_Salinas_v7:1:21523469:21525323:1 gene:gene-LSAT_1X18441 transcript:rna-gnl|WGS:NBSK|LSAT_1X18441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP721A1 [Source:Projected from Arabidopsis thaliana (AT1G75130) UniProtKB/TrEMBL;Acc:A0A178WDX2] MIAKAESSRPVSFNHDGVVQRVMPHYYNWSKVYGDTFLYWFGSTARLALSDPDMIKEILVNKSGSFGKIRFNPVSKALFGEGLVGLEGEKWIVHRRITGHAFNMERVKEWIPEIVDSTQNMMNKWNAKIGGRNDAELEFDVHKEFHDLSADIISRTAFGSNFKEGKRIFELQEQQVALVLQALRNVYIPGFKYIPTKNNRKRWKLDEETTKLIRKLIEKNKNKLEDSGTLLESLMSPYKKEDVLNLEEIVDECKTFYFAGKETTANLLTWTFLLLGLHQEWQNKAREEVVRVCGHNAFPNAENLTDLKIINMILNETLRLYPPAVMMMRQASENVKLKGRIDIPAGTQLFIAMTGVHHDARIWGEDANEFNPMRFLDPRNHLASFFPFGLGPRVCVGQNLAMIEAKIVVAMIVREYSFGVSPTYVHAPMQSLTLQTQYGAQIIFTRRKLSSLSNGKL >cds-PLY65675.1 pep primary_assembly:Lsat_Salinas_v7:5:274348994:274352675:1 gene:gene-LSAT_5X145360 transcript:rna-gnl|WGS:NBSK|LSAT_5X145360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVQFLIAAFMMIPLVLVLVQITLSQGLFLTTKGRILWDAMMPYVHCIEYSYASGQVITGSWYKTLKCWDPHGGENFLSCDIVALVYLWNPLTIITCLGYSTSPIENLVTVLSIYGACMRLVPLAAFGRVIASHLSLYHVILVILLVLLVGSGHDTPPRKLFPYIDDGYALSSVEGRVAMEGRRNICSQFQRKKREARKLQAQVRRRNQGQGVLQGTSRLTSYSHVIYNHRAAWTPNVKVAAFGTGTTIFHEATPSSEQFIEVAFTPSKGLSKHGFHITRLNTYTTEPVQHMDQTILQQALSASVVAVASPSAVGAWVDLLPEPHTWEGSVACIGETTDSAARKLGLTNVYHPSTPGLHE >cds-PLY64319.1 pep primary_assembly:Lsat_Salinas_v7:4:24708806:24709042:1 gene:gene-LSAT_4X17640 transcript:rna-gnl|WGS:NBSK|LSAT_4X17640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELLERLKGGISFLGKHCWLRGRRRATPVAWKEERSRRKQWSDVCFPIVATDGRTLPEEIVGSREDDGGGRCRWWRGQ >cds-PLY78583.1 pep primary_assembly:Lsat_Salinas_v7:1:107863064:107863345:-1 gene:gene-LSAT_1X84781 transcript:rna-gnl|WGS:NBSK|LSAT_1X84781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVDAQRYKIEDEEFKEKTSARSDLEDYIYKLKNKIKSIRSKIKSEDIEKMEKAIEDVRRQILHGMKLTEVDEYTKKLNQLENICFPILARYA >cds-PLY98742.1 pep primary_assembly:Lsat_Salinas_v7:1:8549462:8550548:-1 gene:gene-LSAT_1X8801 transcript:rna-gnl|WGS:NBSK|LSAT_1X8801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSPDFPTGKSPRSLQGPRPAPLRVHKDSHKIRKPPVAPQSHHQQPQHQLNQQQQHRPPVIIYTVSPKVIHTNPNEFMTLVQRLTGPSASSPVGSSFSAFQDDGGAVSPAARFASIEKAAKSPDGRKVTQNGDLGTVEGLEMGYFPGILSPAPGSLPPIPPNFFSPLTNDFFHDLSPVINSNRNFNYMEGNNWMPSPTNLLSSHIISPTTPNLDLFHSLFDL >cds-PLY82002.1 pep primary_assembly:Lsat_Salinas_v7:9:157477244:157479786:-1 gene:gene-LSAT_9X98601 transcript:rna-gnl|WGS:NBSK|LSAT_9X98601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRADDDYDYLFKLVLIGDSGVGKSNLLSRFAKNEFNLESKSTIGVEFATRSIRVDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDTSRHVTFENVERWLKELRAHTDSNIVIMLVGNKADLRHLRAVPTEEAKAFAEKENTYFMETSALEALNVETAFTEVLTQIHHVVSRKALDAGDDPLGLPKGQTINVGSKDDVSAVKKVGCCSA >cds-PLY65051.1 pep primary_assembly:Lsat_Salinas_v7:1:118315822:118316421:1 gene:gene-LSAT_1X90781 transcript:rna-gnl|WGS:NBSK|LSAT_1X90781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDVESIVSGAFAEWETILCTTTTLDLVWAQVFSEPLLRRIILRFLFCRSVLTWFRRREENDHYVPVCLPQLLNFVSPNSGIVQSAVMNLAEHLKVSHCFRFDSL >cds-PLY65049.1 pep primary_assembly:Lsat_Salinas_v7:1:120103665:120109556:1 gene:gene-LSAT_1X91700 transcript:rna-gnl|WGS:NBSK|LSAT_1X91700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRKAVAAIGIDLGTTYSCVGVWQNDQVEIISNDQGNRTMPSCVAFTNCGRLIGEGAKNQTAMNPTNTIYDAKRLIGRRFNDAKLQEDIKLWPFKVIKGTSNIPKIVVSYNGEEKEFSAEEISSMVLIKLKEAAEKFLGNVVRDAVITVPAYFDDSQRQATKDAGHVAGLNVLQIINEPTSAAIAYGLDMRNNITRQINVLIFDLGGGTFDVSLVTIDKNSTITVKAVAGDTHLGGQDFDSTMVDYFVEQFKRKHKIDISVNKKALSRLRVACEKAKRVLSSIIDTIIDIDSLHDGVDFSMRISRAKFEKLNEDFFSKCIEMVEKCLGDAQMNKKDIDEVVLVGGSTRIPKVQQMLTDFFQGKELSKKIHADEAVAYGATVLAGKLTGCTGKRVKNLVLIDVVPLSLGTDLYDGSMSVIIKRNSPIPIKNEKTYVTVEDDQEIIGCNIYQGERSRAVDNNWLGKFNVAVPPAPKRKSKIRVTFSVDANGILNCSGVELTTGLKRGLIVTNYKERLTTQDIEKMLDDAHKYKLQDEEYKKKTFVRNALEGYIDDVKSKIKKIGNNTKMIHKKDMEIMEIAIEKASKILNESQLDDFDEYEKALNQLEKVCLPIIAKLV >cds-PLY88844.1 pep primary_assembly:Lsat_Salinas_v7:3:193358751:193360021:-1 gene:gene-LSAT_3X115200 transcript:rna-gnl|WGS:NBSK|LSAT_3X115200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLQAVIKTEDYSCSLELLKRMNGLGVPVDAHTTSSLLDCVCQIHDIRKGSAVVGYGIKSAFLQDVFPDVHLSTFHTLIDEYILQGRVEEAELLVNKLAKSKIPNLIQSEMVGLDLTPDMVSYTTVIDSLFKMGSEDDALDLFREMAFHIGILPNSVTYSSLLHCLRILSHENDISKLLKDMEDKGVYVDLRTFNIFVDAYCKEGRMEDAESLVKLMIQRRMGPNVVTYRWLIDGYCFLGKMSEAWGIFVLMRRRGVIPNVDIYNSLLDGYFKDSETEDAMDLFHEMMKLGGITYDNMGLFRVGCCTEEQELFNEVQTPNEYTFKIVLEGLCNNNQVEEAVSLFHSMGDEKFSLNIGLYNILINGARTNGKLDIARSLYNELTKKGLQCNGMTYCMGNK >cds-PLY95802.1 pep primary_assembly:Lsat_Salinas_v7:7:93480703:93480998:-1 gene:gene-LSAT_7X63581 transcript:rna-gnl|WGS:NBSK|LSAT_7X63581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGNKKSFFSFFSIFRFKKSARGGDDAWDEAVKAYKVYPSDQDRGGRWADPRIDSKASAYIDDRTNKWNTNDVSS >cds-PLY87149.1 pep primary_assembly:Lsat_Salinas_v7:5:257266191:257268062:1 gene:gene-LSAT_5X132580 transcript:rna-gnl|WGS:NBSK|LSAT_5X132580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKDRRSSSLDRFRASPYPPCYKKADKTKSKSPSPPIGNEKEWEEARCPICIDHPHNAVLLLCSSREKGCRPYMCDTSSRHSNCFDQFRKSTMNMNPNSLQQQQTKLVCPLCRGQINGWIVVDPARHFMNKKTRTCSSGTCDFTGNYSELRKHARRDHPCVRPTEVDPDKELEWRILREDMEHQDIFNMQFEFDDNEEPIDQVLEGLSELASPMWDIDLDFMSFLTNFETEFDNIFPEVDGNMVMDDWENMFGFLASSHEPEIRSRGGGQNRSREIGLTTTRRRSIRNGRQRANRSNQSSDTQQARMMTPWVDFLDIDSNLP >cds-PLY84263.1 pep primary_assembly:Lsat_Salinas_v7:7:78148542:78157807:-1 gene:gene-LSAT_7X55961 transcript:rna-gnl|WGS:NBSK|LSAT_7X55961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKIHPGNGTAAGSPETEIPATAVEVAPEKEVKPTADRPAPAAETTVPKKEDCLFRVIVPALKAKSITGRNGNLIKKMCEETKAHIIVLEGPVWHPDRIVLISGKEEIEAPLSPAMDAVIRVFKVVNGFPENESDGLASIPFSSIRLLLTSMQATSLIGNQGSSVNTIQQNIGCSVRILPSDEVSTLSANSDDRVVDLKGEGLKVLQALEAVLKHLRMFLVDHSIPPLFIATHNATSTLEKHQVLTQVTQKIAISIRKVVDIIGIGGMNIANIGLRSGAILTVQERKGLHKEIAVVIKGTHSQVKTAGQLLHIQVKSVKDRDRPAVLNKRNSHPQVGITAATKTPVEVEPQKEVPPELPQVQPASHQLLPPGTERNKESKQTMVRWNVNIVKTFLDACIHEITINGWENGGLKAVSWKKVGEMLKATHNFSVDHIQMINYFYGLKRKYTAWVSLKIKTPNIYNPSTNTFNLTDKEWETEIKKNKCIETLRKAPLRFPDLCAQLFDGAISTGFFQTGPSGEPQATVPVIPPSSGSETSPLLAATEIPQEEPMVCSSLAKVPSELPQVQPASESKAVEVPPELPHVQLASALESIETKAEGPVVPVSTGDSNVVEEAMKMEPLLLSLPPQVTATQSGVESINEPKRSRVYWNINVVKTFLDACIHEITINGQENGSLKAMSWKKVGEILKARHNFSADSKQMKNYFDGLKIKYRAWLILKSKIHNGYDLSINMFNLTDNEWETEIKKNKCIEALRDAPLPFPDLCAQVFGSMGIGSRGLSFSQHIPETNIQKDTMSLASPSSSIIIKNKQKDSQFSSSGLPCFGFYPELSTKCPTVPFVTQANTAADGAFSDLTTPSSSLLGFAFASSSIPSPTIGFSAASLFSGSGLFGSTSTSTSSSRSSATSLFGLPYTFGSSSIFGASSTSPSIWNATTGVSSSFSTSYFGLSSPTTMNTATKTLSLPSFGVTSSSIATTAATAFGVTSLSIATTSSPFSVPSPTFGFSSSSTSRGATLFSSTSTFGTSSNFGASISTTSTVVSWPFSSGLFGSSSPNPIFTAATTTNTASKTLLFPAFGVTSSSITTTATGSVPQFANPTTTTTGTSSSFLGSKTVAATTPQSTAASSLCATGTESNKESKQNMVYWNVNIVKTFLDACIHEITINGWDGCNLTLKPMSWKKVGEMLKARHNFSVDTKQMNNYFDGLKTKYIAWVSLRNKAGNKYDPSTNMFNLTDKEWKTELMKNKCIGTLRDAPLPFPDLCAQLFYGALSAGYFQTGVDQVLTGPAFHPKKCHCKVAGGPSGEASLEQQVPLVLPSAPQKEAMVEVPPELPQVQPATASVTIETKRTMSVFEEEILGVLKMIAEKMNKPEPPSKPTFEACEKKLNQLGWRKDDPLHEVAYAIFCEENDKYRELWMKLDPKRCANWVRMIGRSKNFL >cds-PLY64222.1 pep primary_assembly:Lsat_Salinas_v7:7:2366775:2368283:1 gene:gene-LSAT_7X1260 transcript:rna-gnl|WGS:NBSK|LSAT_7X1260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDLNYIFSHLLFLILILLHLLHSHPSSASSSYNCPTSLCGATPYSVHFPFRMIDQQPDICGYPGFDLECNSQNSVTLNLPNSGEFLVRNIDYRSQIVQVYDPSGCSADRLLSLDLLGSPFSVSPIRNYTLLSCPVELTTSRFPIVTCLSNSTYSTVATSSVSFAMLMANRSSCQIIGSLWSPVSRYQGQEGLTSNLNMDIFLTWDSPNCQDCVTQGGACGYTNTTMEEVACFDITKKGSKKAMMALIIVSIGLALPAIGISIVMIYYICIDYRSVATWISRNNAAPATAMVTPETTTAISSLVAGLEQSTIESYTKVVLGESMRLPGYGDEDATCSICLSEYDVKDMVRCIPECRHCFHADCIDEWLRMKGNCPVCRNSPSPPPSLTYYNNLT >cds-PLY96398.1 pep primary_assembly:Lsat_Salinas_v7:2:87982710:87989339:1 gene:gene-LSAT_2X36961 transcript:rna-gnl|WGS:NBSK|LSAT_2X36961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase [Source:Projected from Arabidopsis thaliana (AT2G30390) UniProtKB/TrEMBL;Acc:F4IMT3] MNHQSMNIRIQAPCPPSPSSAFPPRFHQKTSLILPRAICSSEVVSNVVVQMEVSSDTSNSSLTTSKHKRAFGCSTKRSLLKQPLNKDLKPLRALLSSTTQDASASVVNGGKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVARAPKSKEGYAAIGGGSPLRQITDDQAAKELRKALYEKNVPAEVYVGMRYWHPFTEEAIEQIKKDGVNKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYIKAMADLIQKELIRFDRPEKVMIFFSAHGVPVAYVEEAGDPYKAEMEECVDLIMEELQRRKINNPYTLAYQSRVGPVEWLKPYTDETIVELGEKGVKSLLAVPISFVSEHIETLEEIDVEYKELALKSGIEKWGRVPALGCEPTFILDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLATYDSQRRELPAPVTVWEWGWTKSAETWNGRAAMLAVIVLLFLEVSTGEGFLHQWGVLPLQLPVFP >cds-PLY98182.1 pep primary_assembly:Lsat_Salinas_v7:3:28486030:28486596:-1 gene:gene-LSAT_3X20340 transcript:rna-gnl|WGS:NBSK|LSAT_3X20340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAFKNDVVSTLQDDDDVVKGDVPDSTNVSDDPPPKFKPCSSKAPFYFGLSSSSEASGDYEDPKTKKIPTPHPETTLEGHQEIPTQETQHNDAKGKCSEENKDDDQKYESSTPDMGSSNENIADPFSLLELQHNVAIANSKVDKVTQ >cds-PLY67709.1 pep primary_assembly:Lsat_Salinas_v7:4:3086598:3088543:-1 gene:gene-LSAT_4X881 transcript:rna-gnl|WGS:NBSK|LSAT_4X881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSQIGTIADVSLDTKSRRRPPSIGVALETLSGIITLTYSKVDGNLILGDKILGLARFFLGIGIPGNSKDLYHQIDALDCLDQNRKLLLTDQSDHCTGIYIYHTSFIGKTHASFHLWIKDASIVNQELKFDTKEEVHTLDAFPAGFDIGKYIFAFEVILIV >cds-PLY86926.1 pep primary_assembly:Lsat_Salinas_v7:5:260699688:260700386:1 gene:gene-LSAT_5X130760 transcript:rna-gnl|WGS:NBSK|LSAT_5X130760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATGEANIGSLLDKINPPLLEDAGLEDCALHPDSIQEAFLKAATAVRSHVFHDSDDESEGDCIEDPWPGNEFGGDRLVGITTETDPPGACAPKKGGELPEVIGDEVVIGEREGMPDKVVEPEVQMRRRSHVLMDCED >cds-PLY98311.1 pep primary_assembly:Lsat_Salinas_v7:7:172555663:172557197:1 gene:gene-LSAT_7X102800 transcript:rna-gnl|WGS:NBSK|LSAT_7X102800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPHWLYAISLLLLLSFQLFSTISEAVVPASDTFSYVNEGVFGDYIVEYDANYRFLPPFSNPFQLCFYNTTPNAYTLALRMGTVRSEALMRWVWEANRGNPVRENATLTFGTDGNLVLADADGRIAWQTNTANKGVVGFQLLPTGNMVLHDGKGNFIWQSFDSPTDTLLIGQSLRAGGVSKLVSRASAESNTDGPYSLVMEPNMLALYYKSPNSPRPMLYWSLYVDRVSVLNLTLTSDTATAEGFLYYFEFLYFTTNSQAASDVDLTYAHTRYNNTLSYLRVGMDGNLRFYTYNPNVQVYAWDVAYEFMGRDSLESECQLPERCGKFGLCENSQCVACPTPNGLSGWSTDCEAKKVTSCKASDFSYYKLEGVNHFMIRYTRGDGGTKQSDCESKCTKDCKCMGYFYHTADSRCWIAYDLKTLTKVRNSTHLAYIKTPKN >cds-PLY73026.1 pep primary_assembly:Lsat_Salinas_v7:9:35194391:35194651:-1 gene:gene-LSAT_9X32840 transcript:rna-gnl|WGS:NBSK|LSAT_9X32840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDSDCDKDEDDSIEAYESKFSKMLNSFERMMEKLNSKLNDTITKFPEWKVLGFSKKR >cds-PLY83664.1 pep primary_assembly:Lsat_Salinas_v7:4:41774256:41778939:1 gene:gene-LSAT_4X27921 transcript:rna-gnl|WGS:NBSK|LSAT_4X27921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G22010) UniProtKB/Swiss-Prot;Acc:Q9C587] MQPDIRKWFMKQHDKADDNGKAPKPAKPTLAIPGKVSTAKDASPSSPVKSAQGGQESSGRRKTSKYFASDKQNKEDPSEISEVTAKRKAKSDDVKPSPVKKSRKVDDDDDDDDDFVMPKTEKSSVEATPSKKLKSGSGKGIPIASVKEDDVVISPKSAGRGRGRGRTAGGRGTKLESDEGNADDDEDAKEVKSAGRGRGGRGGSAAAGGGRGGGRGGFMAFGERKDPPHKGEKEVPEGSAECLSGLTFVISGTLDSLEREEAEDLIKRYGGRVTGSNYLLCDEDIGGRKSAKAKELGTGFLTEDGLFDMIRASNRSKSTKKEDPKKQVDKIATPSKASPIKGGNKKEEVCESPQKGKATTHASPGVASSMRKKPTVGQSSLPWTEKYKPKVPNDIIGNQSLVKQLHDWLIHWNDNFLNGASKAKGKKQSDSGAKKAILLSGTPGIGKTTSAKLVSQMLGFQTIEVNASDSRGKADAKIEKGIGSSTANSVKELVSNQSLSVDFDRSKHPKAVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLLLSFRKPTKQQMAKRLLQVANAEKIQVNEVALEELAERVNGDMRMALNQLQYMSLSMSVIKFDDVRQRLLTSSKDEDISPFTAVDKLFGYNGGKLRMDERFDLSMSDMDLVPLLIQENYINYRPSTAGKDENGLKRLNLIARAADSIASGDIINVQIRRYQKWQLSQSSSLVSAIIPAALLRGQRETLEQGERNFNRFGGWLGKNSTMGKNYRLLEDAHVHLLASLQFNLGRSTLCLDYLSLLLKHMTDPLKTLPKDEGVEKVVEFMDAYSISQEDFESLMLMSKFQGRPNLLEGVQPAVKAALTKAYNKGSKTRVIRTADLITLPGIKKAPKKRVQAMLEPVDVGDATVENEENNSDDVEDLEGDAEEEKPKVDIASLSSKGIQVQVDAKKASGSKKAPAAGGGGGRGRGGGAAPKRKR >cds-PLY72012.1 pep primary_assembly:Lsat_Salinas_v7:8:148993702:148994022:-1 gene:gene-LSAT_8X100361 transcript:rna-gnl|WGS:NBSK|LSAT_8X100361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDVAGNDEISGQVPPVATKAYTIQQHEGQRREERRRLGLPELFLVEHVSQLADPSSRFRSRCSSGSLRRSTAGLWMAALDDVIGGKVAVGSVSQFSTLTRKNGDG >cds-PLY86051.1 pep primary_assembly:Lsat_Salinas_v7:3:195791342:195791701:1 gene:gene-LSAT_3X116720 transcript:rna-gnl|WGS:NBSK|LSAT_3X116720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTVEALMEGKPNNLEIESYLVLDPHAPKSSSAIVFTIGGGNYVEYGSFQELAQRQQQPPSSAKHIIYRTNEILTGVEFIDQLVVLGQKMGLGSRSTTPSASAPAPAPA >cds-PLY80853.1 pep primary_assembly:Lsat_Salinas_v7:4:326289036:326289936:-1 gene:gene-LSAT_4X162420 transcript:rna-gnl|WGS:NBSK|LSAT_4X162420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLCKDQKAKFLEDWNIWLKGWLVPKLIHPSTTILWIQYQSIRIILVVGIRSLQKPFMCILSDHKNEVWFVQFSNNWDYLASSSSDCTKIIWKVYIWNQSGFDPIEVLSGHSMTVNCVTWNPRRHQMLASSSDDQTIRIWGPNPSQKMHLKGLI >cds-PLY67114.1 pep primary_assembly:Lsat_Salinas_v7:5:291417301:291417627:1 gene:gene-LSAT_5X155361 transcript:rna-gnl|WGS:NBSK|LSAT_5X155361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFKSMEEFWPFYMKQHSKPATRRLHFISTLLSLPILIYALVFNWLFLFIVPFTSYGLAWYSHFYIEKNIPATFDYPLWSLLCDFKMFGFMLTGQMDKELKRLRKRQV >cds-PLY96541.1 pep primary_assembly:Lsat_Salinas_v7:5:336090492:336092056:1 gene:gene-LSAT_5X190081 transcript:rna-gnl|WGS:NBSK|LSAT_5X190081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLVKYCVVDAFTETAFKGNPAAVCWLEGTEKDDKWLQSVATEFNLSQTGFLTPIIDHGSENPRFKLRWFTPVTEVQLCGHATLAASHFLFEYGLVKFNNTIEFSTLSGILTAKKVPERRIKDSSVAENGEAQIQSNLLIELNFPIVAVSDFNDLEVSAISEILNGVSIVDLKKIASNDILVVLPSGKEVVDFEPQLDKIKKAPGRGIIITGLAPNGSGFDFYSRFFCPKYGIDEDPVCGSAHCALAAYWSDKLGKFDFLAYQASPRSGILDIHLDKKNQRVLIRGKAITVMEGSLLV >cds-PLY96357.1 pep primary_assembly:Lsat_Salinas_v7:4:355963940:355964320:-1 gene:gene-LSAT_4X175500 transcript:rna-gnl|WGS:NBSK|LSAT_4X175500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYPKFVSDDPHPTLSREENPKPPSDEEHSDDIMFDDDNTYVGAISILTTFKSLHKPSSSKPSYDLDIFSSSSSEEEELNVDANTLGNHNNETKTNPTDNPTPSEPQDDDVGDDNDKQSESSTSDT >cds-PLY75581.1 pep primary_assembly:Lsat_Salinas_v7:9:34521059:34521277:1 gene:gene-LSAT_9X32160 transcript:rna-gnl|WGS:NBSK|LSAT_9X32160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPPLPLIQGSSPIADEPKDPSTPNPTSTYMVEGDITPATPDLKMRDDEIDSKKSTGGVGIVHQWEIDWV >cds-PLY90118.1 pep primary_assembly:Lsat_Salinas_v7:7:14733242:14735255:-1 gene:gene-LSAT_7X12360 transcript:rna-gnl|WGS:NBSK|LSAT_7X12360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELESNGFKEFVYWVLLNKTLDLDSFRLWCADYYDMSTIRRWIHAAVVRKIKLLDLMFGPIDEPEDLEIPHCLVTCSSLEVLKLYLLGYHRLCLPKIPGFPALRVLELNDVVLSDIYKRKGDLVNYFLESCPLLEDLSLLNCCTPSGSLVIASPKLKNLRIEKHEIIPDPEVDDMLDCLRLKISCPNLELLKLVGPVSFKFSFRDLHSLKKSMIHCTDFSSESFCDVFNGISHVEYLSISICFIGQCYWPDEDEEPMSLPNLKTLEITVDDPQGLYMIITFLEYFPDLESLHLIFIKHVYGLNKWHLQEAEKINILTRRLKKVEFCEFDDEKPILVVARALLEHGKELEEMVFSWGDEAKFHEMSSETMNQVSNFRKVSSTVKLRFVINPSQADP >cds-PLY95398.1 pep primary_assembly:Lsat_Salinas_v7:9:190246175:190247041:-1 gene:gene-LSAT_9X117401 transcript:rna-gnl|WGS:NBSK|LSAT_9X117401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLISIPDLSQPSLYHYPRVVNTIQNPSSAKSNDNSQAQPIITVVSPPLEPQTAIKSTKRATIVGVALDCYYKQISQMPESSKLDFCKYATDWAGQDCPCKSEFDQKPQTTIITDTISTEEIEIEENVLEQMKNLQINDGYSNNVARATRIPLPWELLQPVLRILGHCLLCPLNSNDVKDAASMAVQCMYARSCHDLVPQAILASRSLVQLDKRSRESAASMVNPVSSNDSSPTESMKPETFLLPE >cds-PLY73803.1 pep primary_assembly:Lsat_Salinas_v7:7:69280502:69283401:1 gene:gene-LSAT_7X49760 transcript:rna-gnl|WGS:NBSK|LSAT_7X49760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDDSDRSSEATELENLTTQRKRHSDTDDAEDSGTVNEDEFDDDETDDQREVSELDTSPMEIDAYSRTCQSETLVSGLAEVPIQYEMRRDELEHLKNQLQGSHQEALAIVIAKAAQSQSGSKIQIPIIPSLPSELSPISNIPKSNSQGEILSIEGNPIKSDHKTISTSRTVRTPIDGYNWRKYGQKQVKSPEGSRSYYKCTYNECEAKKIESCDQYNSITKIVYKGQHKHEQPKKVFTRRGKVLSSSKGQERKFTSKLCKSSGPVSNGPILVEEMDAPPPKRRVKKNSMVSPGSVLKAAKKPKFVVHAAGDVGISADGYRWRKYGQKMVKGNPHPRNYYKCTSAGCPVRKHIEMAVDGSSEVILTYKGVHDHDIPNIHTKHININSPNANALLLTAVSSPNPDILT >cds-PLY63579.1 pep primary_assembly:Lsat_Salinas_v7:9:151813486:151817085:-1 gene:gene-LSAT_9X95981 transcript:rna-gnl|WGS:NBSK|LSAT_9X95981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFIVGYYSYLYAKYFASTLWERVCKEDPLSLDTGTVIRKKLLQHGGAKDPTQLLNDLAGDGITRSYQNQGHGGGYTLAKEFLKAGDNVLICSRSRRDHPFLPLSSYEKSLHLGSREVIVMDRSYTVHQTAATAYGALCAVLCSLPIGPNGRQNHVILGNLVDRFIGRAFPLFRNINAGDGTVELAAEGLHEFLNAAEGLNAGDGITTKLSSRLCPTMGKSWRLFLQIKCLILFFHTNLCCLHVLRWYSLQIISSDQVWPKVLPMQI >cds-PLY72451.1 pep primary_assembly:Lsat_Salinas_v7:2:143419895:143420554:-1 gene:gene-LSAT_0X22201 transcript:rna-gnl|WGS:NBSK|LSAT_0X22201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEARRSVCIKSDWVFCFNNNIDDHYFHVLECQFQNNHLEGSLWLNLLKISTSLCDCVRVHMNWRADQ >cds-PLY74487.1 pep primary_assembly:Lsat_Salinas_v7:7:35176368:35177474:1 gene:gene-LSAT_7X26960 transcript:rna-gnl|WGS:NBSK|LSAT_7X26960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVQVGEAAQQPKNDVYSVWALPPEDVTERLKKLMIGLRSEFGGPEFEPHVTVVGAISLTEEEARDKLKKACEGLKAYNATVEKVATGTFFYQCVFLLLHPTTEVMETGAHCWNHFGYKSSTPYMPHLSILYADLTEEEKKRAQEKANALDESVKSLKFPISRLALYKTDTEDKTLKSWEKVTEITLQ >cds-PLY67367.1 pep primary_assembly:Lsat_Salinas_v7:1:171537791:171539569:-1 gene:gene-LSAT_1X114300 transcript:rna-gnl|WGS:NBSK|LSAT_1X114300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVMLVQFFQKQLSESSAKETEPLTEEDAIVDIMGRHDQFVSSMQSRLAKLQDAEKGYNIEGVEAVHLNYSS >cds-PLY76410.1 pep primary_assembly:Lsat_Salinas_v7:3:225834265:225836152:1 gene:gene-LSAT_3X127881 transcript:rna-gnl|WGS:NBSK|LSAT_3X127881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIFSFFATLIFSLFLLVSPGVHGLTESEIRSWCSQTPHPDSCEYLFAGKPNLGPIKQKPDFINAILKLTLEKASNAEVNTRSLGGKCRNKHEKVAWQDCLELYETTVHRINMTIDPQRKCSQVERQTWLSTALTNLETCKTGFEEVGSGEYLLPLMNNNLSALISNTLAMNKGGSTENYEPRYRKGFPTWVKPGVRRLLQSSNAAPNANIVVAQDGSSGYKTIGAAIAAAKSGYVIYVKQGTYNEIVQIGSKLNNIMLVGDGIGKTIITGSKSAGGGATSFSSATFAIVGDGFIGRGITIRNTAGPQNHQAVALRSASDLSVFYQCSFEGYQDTLYVLSNRQFYKECNVYGTVDFIFGNAAVVLQNCNIYARNPPNKINTLTAQGRTDPNQNTGISIHDSRVAAASSLSGVKTYLGRPWKEYSRTVYLKTYLDSIVNPAGWYPWSGNFALNTLYYGEYMNTGPGSSTANRVNWKGYHVITSATEASKFDVQSFISGGSWLPPTGVPFTSGL >cds-PLY96216.1 pep primary_assembly:Lsat_Salinas_v7:3:197545194:197547397:1 gene:gene-LSAT_3X117600 transcript:rna-gnl|WGS:NBSK|LSAT_3X117600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVLSAFLTVVFEKLASEALKKIVRSKGIESELKKLKKTVDQIQDLLNDASQKEVTNETVKRWLNDLQHLAYDIDDLLDDLATEAIHRELSEEPVWYEASLVDESGIVGCEDDKKKLLEKLLGDRDESGSQNFSIVPIVGMGGVGKTTLARLLYDEKKVKDHFELRAWVCVSDEFNILNISKVIYQSVTGEKKEFEDLNLLQEALKKEFMNQLFLIVLDDVWSESYGDWEKLVGPFLAGSPGSRIIMTTRKEQLLRKLGFPHQDPLQGLSHDDALSLFAQHALGVDNFDSHPTLRPHGELFVKKCDGLPLALRTLGRLLRTKTDEEQWKELLDSEIWRLGNGDEIVPALRLSYNDLSASLKLLFAYCSLFPKDYLFDKEELILLWMAEGFLHRPSTNKSKQRFGLEYFEELLSRSFFQHAPNNKALFVMHDLMNDLATFVAEEFFSRLDIEMKKEFRMEALEKHRHMSFVCEDYIVYKRFEPFRGAKNLRTFLALSAGVVEDWKMFFLSNKVLNDLLQELPLLRVLSLSGLIISEVPEVVGSMKHLRYLNLSHTEITHLPENVCNLYNLQTLIVSGCDYLVKLPESFSKLKNLQHFDMRGSFMLKTMPLGIGELKSLHTLSSDIGLKLTELKNLQNLHGKVCIGGLGKVENAVDAREVNFSQKRFSELELNWGSEFNVF >cds-PLY70077.1 pep primary_assembly:Lsat_Salinas_v7:4:204434211:204435871:1 gene:gene-LSAT_4X114881 transcript:rna-gnl|WGS:NBSK|LSAT_4X114881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLLQLFLLLSLPLVYLLLKLTKNRSRFSPPGPLGLPFIGNLHQINHSNLHTSLWQLSKSYGPIVSLHLGFIPAIVVSSASLVKEVLKTQDIIFCNRPSLIGQQKVSYNGLEVVFSPCNEYWREMRKVFMVNLLGPKKVERFRYIREDEVSSTMTKIHELTLSSKPVNLSELMKSVASSIMMRLAFSRRYKDEQEREEVPRLLTEVQAMMADFFVSDIWPGVPFVGLFDRLLGKKDRLDKCFQYFDVFYQELIEEHLSSPNPKSHDKEEDFIDILLRLKEDQSLNLTYDHIKALLMDILVAGTDTSTATVVWAMTALIKNPKVMKKAQEEVREVVGKKGKVDEDDLSKLNYLKAVVKEIFRLYPPVPLLVPRETTKDTILHGYKIKAKTLVFVNVLAIGRDPESWESPEEFLPERFLGSNIDFKGNDFELIPFGAGRRICPGISMGVVTVDLLLANLVYLFDWGLPNGMNKEEIDFDVNPGITMHKRNDLCLMAHTYL >cds-PLY70691.1 pep primary_assembly:Lsat_Salinas_v7:3:105360836:105361901:-1 gene:gene-LSAT_3X76460 transcript:rna-gnl|WGS:NBSK|LSAT_3X76460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRCSCGLFHNHQPNSCAIFFTMPYDQNICPYASSSPSSVDCTLSLATPSTRLASEDFDSPESRPSSRFCWDFLQSDNHSSVPPSYKPNRGSATNVATVDSLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRANATATATAVGGGDATEEYNGNTWMHHIQGSTHKLPSYYSPAGVGNEFRFIDDVDDRDSTFLSWRLNVTDRVGFVHDFTRY >cds-PLY76990.1 pep primary_assembly:Lsat_Salinas_v7:6:64244601:64247578:1 gene:gene-LSAT_6X47040 transcript:rna-gnl|WGS:NBSK|LSAT_6X47040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQKALETIGNTLSFHSNANPPFWIPIHIVIPERPTESTVFNVIVDFFNWNKVKIRYCDGASFDGHPESEQKGLDNIKCKLLEGTNAQEVRAIGVGYMQAWLLLDMLGKGIESALINPSALVDKPWSTLQRVKNLSLGHCQFQREDTKEQSDSDALENNPQYRLGVEFG >cds-PLY85115.1 pep primary_assembly:Lsat_Salinas_v7:4:195777156:195785008:-1 gene:gene-LSAT_4X114440 transcript:rna-gnl|WGS:NBSK|LSAT_4X114440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVTLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDADVALIVFSTKGKLCEYSTDSGMERILERYERYSYAEMQANTTHNETQGSWSLEHAKLKARIELLQKTQRHFMGEDLDSLSLKELQNLEQQLDTALKHVRLRKNQLMLESISELQKKDKELQDQNNTLSKQIKELEKDIPSHQQQNNETMPSFQFNIFNNPNMCEQPRMEGDAEENLRQAQMATVTVMPPWMIQHMNK >cds-PLY90482.1 pep primary_assembly:Lsat_Salinas_v7:2:7436945:7442338:1 gene:gene-LSAT_2X3540 transcript:rna-gnl|WGS:NBSK|LSAT_2X3540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCLRCRSKDVKNCDGDLDSNEFPDNGKGRRTYNDVSDGKCVDSMRGKGQAWCGQRANVARSFTFRELAASTQNFRVANLIGEGGFGSVYKGRLESGKIVAIKQLNLNGLQGNQEFIVEVLMLSLLRHSNLVTLIGYCTDGDQRLLVYEYMPLGSLENHLFDLESHQEPLDWHTRLKIAVGAARGLEYLHCKANPPVIYRDLKSSNILLDNDFNTKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPDYAMSGRLTLKSDIYSFGVVLLELITGRKAIDMTKKSGEQNLVSWSRPFLKDRKKFVQLADPLLQGHFPARCMHHAVAIIAMCLQEQANFRPLIGDIVVALEYLASQAETPENPKSPTTTSRPPAASRRPSPSKASKTPTL >cds-PLY67304.1 pep primary_assembly:Lsat_Salinas_v7:8:235611008:235612963:-1 gene:gene-LSAT_8X141641 transcript:rna-gnl|WGS:NBSK|LSAT_8X141641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKRCCVVYWKRRFNQVQGSITGIRKETFMCERIKCLHHRTFFRLECKGKACVYWSPVGREDTTYMLVGMGCDAKTEGSANFESPMLDANVCWM >cds-PLY84349.1 pep primary_assembly:Lsat_Salinas_v7:3:150809934:150812888:1 gene:gene-LSAT_3X97321 transcript:rna-gnl|WGS:NBSK|LSAT_3X97321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKSTVDQCLTNSPLSKMLCNVYPGLHYFFVTEFGIAENPPFLGYLQSLLKLSSTILPSQAAKTVFQVFEQWNDGMESRILSTLKNVSVSSAVPDATFLEILLDKFKLCRSISYAVVAAHADQTSRRKLAAMLVEHEPLSSKQVPLLLGIGEEDTALTKATESGDTYLVYLVLFHIWQKHVATEGTALVNQAEDDASNKRLQVDPATWPIMIFRVC >cds-PLY91950.1 pep primary_assembly:Lsat_Salinas_v7:8:198533038:198533472:-1 gene:gene-LSAT_8X127220 transcript:rna-gnl|WGS:NBSK|LSAT_8X127220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPAIEKSSRIHHIVRVRQMLRRWRRRSSSSSRRCIASDVPAGHVAICVGINCRRFIVRATYLNHPIFQKLLMDAEEEYGFTNIGPLTIPCEESEFEEILRFISRPELGNNKKPSRHVNLEDFQRCCHVDYVGESKPLLHGSVY >cds-PLY91300.1 pep primary_assembly:Lsat_Salinas_v7:5:251219061:251221282:-1 gene:gene-LSAT_5X126301 transcript:rna-gnl|WGS:NBSK|LSAT_5X126301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G78560) UniProtKB/Swiss-Prot;Acc:Q93YR2] MQASFLSSDHGIPFCKSEGVLCPKRLPFKPNSPRNLKYKHTLTSCTRLSATLDSPCRANVISPPTTLTSRSRSSTGRFLPPILCSNSSNSLSANDDIVHSSGRSFRGWVEVVGETISTLFPIWVALGCFLGLMRPNSYNWVQPKWTMMGITFTMLGMGMTLTFDDLKGALAMPKELFTGFFLQYSVMPLSAFFISKLLNLPSYYAAGLILVGCCPGGTASNIVTYIARGNVALSVLMTAASTVSAVVMTPFLTAHLAGQYVAVDAIGLLISTLQVMLVPVLVGAFMNQYFKRVVKLVSPLMPPIAVATVAVLCGNAIAQSSSAIRMSGQQVVLAALLLHVSGFFFGYILSRSLGVDVSSSRTISIEVGMQNSVLGVVLATQHFGNPLTAVPCAVSSVCHSILGSALAGIWRRISPETQEVKSEP >cds-PLY97661.1 pep primary_assembly:Lsat_Salinas_v7:5:235405849:235407479:-1 gene:gene-LSAT_5X115000 transcript:rna-gnl|WGS:NBSK|LSAT_5X115000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSISEKNEQTSVLLVTAHAQGHVNPMLRLGKLFASRGLHVTLATNDLALKNRSTTIGGVHLEYFSDGLPEDADRLNGNIDTFMKSINLFGPVNISALIRSSGRKFACLINNPFVPWVADVAAEFEVPCAMVWIQASTVFHIFNCFYNRLSEFPTENNLDMSVNLPGLQPLHAEDLPSFVLPSNNMFTFDGILKDVFYNLHKYKCVLGNSFMELEKDVITSINDAGVPFWPVGPIVPASIFGKKDVVDDDFMGVNKFKSVNVSDCLEWLDKQQPASVVYISFGTLVFSSKNHIESIATGLKISKQPFIWVVKLPENQETHQFEILEEIREQGLIVRWSPQTDVLSHPSVGCFISHGGWNSLSETITAGVPMIVCPQWTDQPTNAKLVTDVWGIGVKLNKKPGAFFDGEDVARCVEEVLNGPKSKEFRKKGAELKRAAREAVAEGGSSDKNIQLFVDSVSSC >cds-PLY78993.1 pep primary_assembly:Lsat_Salinas_v7:3:7283374:7285549:-1 gene:gene-LSAT_3X4620 transcript:rna-gnl|WGS:NBSK|LSAT_3X4620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELLFLVLSSITFFLFLHGLNLYRRRKLPPGPAGFPIIGHLLELGPKPHESLAKLSKKHGPLMTIRLGSITSVVASTPDAAREILQRNDEACSGRIVPDAVTALDNHDVAVLWISPNEEWRTIRKALNTYLTHQHKLDTLRDLRQNVVEGMLEFLRESGRKNVAVDIGKLSFAVALNQMSNTCLSQNVTSYESDDIGGFKTAVKTLMEVDGKFNIADIFPVLKPLDPQNIRRQAKAAYDWFDKVTAGFISERLKHRMSSMERFGDMLDSLLDYSEENEADFNLIHIKTLLVDLFLAGTETSSNTTEWAMTELLINPDMFSRVRKEVSTIVGKDGKIQEAKILDLPYLHAVIKETMRLHLSVPLLVPHKTETEVKLGKYVVPKDTQILINAWSIARDPRYWEEPERFKPERFLGNELDYKGQHFEFIPFGSGRRMCPGIPLAHRVVSLMVASFVYHFEWKLPHAREEMNMNDIFGLTLLRATPLVATPIPIK >cds-PLY71993.1 pep primary_assembly:Lsat_Salinas_v7:8:148076059:148078550:1 gene:gene-LSAT_8X100000 transcript:rna-gnl|WGS:NBSK|LSAT_8X100000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSQIGTILDVSLDMKSRRRPHSIDDGANFFYDQHQGPVSATSSVVCGLTTFASASRVHLPGYGLYVWLVKVKASMLSKMFPQAFIVQRVVFVYNLLRIKITQLDDAKGVIPGISRRADLWLGTILMNKVARVNTKRRVGPVPDVDIGNVFFFLNGALFSGITFTNHGRGGTNKEIIE >cds-PLY88149.1 pep primary_assembly:Lsat_Salinas_v7:5:221153168:221156422:-1 gene:gene-LSAT_5X102060 transcript:rna-gnl|WGS:NBSK|LSAT_5X102060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSCLVLYLLTDDLLARVLDCLIDDSDRKSFRATCKFFHRVESSHRTHLKFLRPEFIPGLLRTYTRADTLDFSVCPRIYDGTISVLLNNVSCYGWAQRLRRVVLSRTTSLKFSGLEMLVASCPGLQAVDVSHCSQFGDREAAALTCAEELREVKMDKCLRVTDVGLAKIAVGCEKLEKISLKWCLEITDLGIDLLSKKCPQLKHLCVSYIKISNESLRSVSRLKKLEALVMMGCGLVGDEGLHFLGNGCHSLQVLDVTRCENVSCAGLISVIRGCKGLQKLNVGYHFLELPTSVFHNFTDLKHLKTFRVHGAQIADSFFDIISSNCLLLVEVGLSKCEGVNDTGIVKLVSGHPNLKILDLTCCDDITDMAILAIAQSCRKLSCLKLESCSLLSEKSFSYLGSSCPLLEELDLTECSVNDKGLEYLSKCLELRCLKLGICTDISDKGLSYIASHCKNLKELDLYRCSDVGDDGLGFIGSGCKKIRKLNICYCSKITDKGMAYLSQLEELSDLEMRSITQVTGTGLTALASGCRKLSELDIKHCVNITDAGFWSLAYYSWNLQQINLSYCGVSDVGLCMMMGNLTRMQDAKLVNLTNVSARGYELALRACCARLKKVKMIGSLRPLLSTEIIETLGASGCRIRWD >cds-PLY90857.1 pep primary_assembly:Lsat_Salinas_v7:9:161492834:161493064:-1 gene:gene-LSAT_9X101580 transcript:rna-gnl|WGS:NBSK|LSAT_9X101580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHAALSGLGHLDMAGIRPLCVLDDACEVAYEILIRTTGGGAPGTGGGGGGVGTSGGGNDFIGSLGILYRVLAFRV >cds-PLY83286.1 pep primary_assembly:Lsat_Salinas_v7:8:191320278:191321069:1 gene:gene-LSAT_8X123361 transcript:rna-gnl|WGS:NBSK|LSAT_8X123361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSNISDLVNLRHLGSNADLYLPSIEGPMKLLEVISKVVLGDGVDNFQKCFPGIKELTSTLYSDKENDFEVLHYLQILILIGSGYSRRRSVEGEFVRGEPNLGKNHIIIRFPATLKSLELERCGLPWSDMSIIQSLPNLEVLVIVDNGFEGTLWKTTGEEQFQQLKFLRLEKLNIKQWEASSINFPCLQQLQVLNCIVLEEIPLELGDISTLEYIKVWNCGASLLESLQKIRQEQDDAGNYELKIFVDGRDMPSCEPNHDD >cds-PLY85623.1 pep primary_assembly:Lsat_Salinas_v7:5:1833976:1834752:-1 gene:gene-LSAT_5X821 transcript:rna-gnl|WGS:NBSK|LSAT_5X821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGPATRKDLMIVNMGPHHPSMHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNAPEQLGNIQVPKRASYIRVIMLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELIYDLFEVVTEAYYTESHFLERVEGVGIIGGEEAINWGLSGPMLRASGIQRDLRKVDHYECYDKFDWXKSNGKTKGIH >cds-PLY92078.1 pep primary_assembly:Lsat_Salinas_v7:5:324922569:324925686:1 gene:gene-LSAT_5X180180 transcript:rna-gnl|WGS:NBSK|LSAT_5X180180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGHKVSLHVYDLSHGLARQLSMSFLGKTIEAIWHTGVVVYGREYYYGRGIQEVVAGTAPYGTPMRVVDLGITHIPKDVFEMYLQEITPRYTAESYSLLAHNCNNFSSEITQFLVGNNIPDYILNLPNEVTSSPMGALIMPMIQNLETTLKAGAVPQAPQFRQSPVTNQVNRSTDTESSKIQASKEQTSGKDEDAKPKVDPLGDARSKLQEEIGKEFAAIMATGTFRASEAAALATKKVMQKYGHINMNAAQS >cds-PLY70023.1 pep primary_assembly:Lsat_Salinas_v7:8:146234934:146235170:1 gene:gene-LSAT_8X98921 transcript:rna-gnl|WGS:NBSK|LSAT_8X98921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKIPVNVKAVTYALSPFQQKVMPGLWKDFTQKITHKVTGNWHSAVLLVCPAVGVYA >cds-PLY97078.1 pep primary_assembly:Lsat_Salinas_v7:4:73923912:73927532:1 gene:gene-LSAT_4X49761 transcript:rna-gnl|WGS:NBSK|LSAT_4X49761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELSKKRKTEENGVLYTTAGPNDVVAPPLSLSSCSLSPQDARKILEPFTKEQLLDVLQTVVVRDVAVLDAVRSIADSDPTQRKLFIRGIGWETTSEKLRSIFSSFGELEEAIVITDKNTGKSKGYGFVTFKHIDGTILALKEPSKKIDGRITVAQLAAAKDSNNVDVSTRKIYVGNVPFELSSERLLSHFSSYGEIEEGPLGFDKQSGKQKGFAFFVYKTEEGARNSIVDPMKNIDGHQVNCKMATDGKKGKGGGPQGPTGMPDSAPPSGSMPGSMNTGYGMPGGLTSYGGYSSGRPLPHQNPQMNSSLPSTVGGSHGYGNQGPPSYGGGSGGGYGGGGGYGSGGYSSGSHYGGGAASGDYPGYNNYGSSRIPPSSGGGYGGGDGGNYGGGGYSSGSQMPPAGPRGPPSQMYQGGPPYY >cds-PLY67972.1 pep primary_assembly:Lsat_Salinas_v7:2:13440542:13443765:1 gene:gene-LSAT_2X6620 transcript:rna-gnl|WGS:NBSK|LSAT_2X6620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVEQAAQSRSRRSKWQFMGTRDAGAKEELHQAHIENLAKKDATEKSDDAKEAFLAELALDSKKGAKKINKRKNKEYRKMKDIKVAFETFSGRIFRRSASAHVHVGNPCVTCALHPAEDYSVGNFYDDGRQAIKDILSRGRVLIVTGGTGLYLRWKPDVPKATPEITLELQLLNLYLPMIGIDYIVSLKSSSKKWVKYSTKWGDKILSDSLLNLSNEDDILFMDVDVKDDMKDTMDNVPNQEVDLCNF >cds-PLY86561.1 pep primary_assembly:Lsat_Salinas_v7:9:5230520:5230832:-1 gene:gene-LSAT_9X2341 transcript:rna-gnl|WGS:NBSK|LSAT_9X2341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVTALVNESFGANPTVYELDKHPKGQQLEKELKGLGCKPSVPAVFIGQQLIGGANEIMTLHLKGQLVPLLLRSNAIWV >cds-PLY74565.1 pep primary_assembly:Lsat_Salinas_v7:7:40366282:40367713:1 gene:gene-LSAT_7X32661 transcript:rna-gnl|WGS:NBSK|LSAT_7X32661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSASESSSATTEFRNWLEIPDELMRIIFRRLEAAEILNSAMKVCTSWQRICKDPAMWKVIVMHKSFDNWDKNYDHNTLIKQAVDLSCGELIDISIKGFCTDDLLHHIVLCSSKLNRVCLWNCYHMTGSGLSRAVKGAPQLENLRLFNTPINAKDIEAIGRNCPQLKSFMMAKRFTNGSGGLFLKCDDHALAIANNMPELRHLVLIDGEMTNDGLEAILIGCPHLLSLDVTMSQILVLNGNLGKLCMERIKDFKHHLI >cds-PLY76721.1 pep primary_assembly:Lsat_Salinas_v7:3:140564737:140566056:1 gene:gene-LSAT_3X94201 transcript:rna-gnl|WGS:NBSK|LSAT_3X94201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINNDHHPHRNHPNDAVERLHLRLRNMPETDNKSPYDTSPVASSKWSYREQPAPDCMDFSDYTGLLASLVRQEGNIYSLAAIGDLLYTGSDSKNIRVWKNRKEFSAFKSNSGLVKAIVIADDKIFTGHSDGKIRAWKASAKNPRVHKKIGTLPNLTSIMEKSIKPKNYTEVRNNHSAIWIKHYDAISSLSLTKDRSLLYSGSWDKTIKVWKVSDFKCLESIPAHDDAVNTVVAGFDDFIFSGSADGTVKAWRREKPGKRTKHFLLNTLLKQESAVTSLVINPSETVVYAGLSDGLLHYWEREKLLSGGRVLRCHTAAVLCLAAAGRMVFSGSVDTNICVWRREDRGDHKCLYVLNGHSGPVKCLAVDMSRRDGGGEGSCMLYSGSLDRSVKIWRVSTKTPQMEDQQPRRPSRQKDRLRRNYDGGFQTFSAQGRLNHRRK >cds-PLY63155.1 pep primary_assembly:Lsat_Salinas_v7:4:312028038:312028337:-1 gene:gene-LSAT_4X157220 transcript:rna-gnl|WGS:NBSK|LSAT_4X157220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTINLTCSASIEGRLSNVSDCTSTSSLSDQEDCTQINSGSVRRSHNWRKLMKKVIEGSKKSIYGSSKPLIFQYDAVSYSLNFDEGTHDDEYYSYGSR >cds-PLY71982.1 pep primary_assembly:Lsat_Salinas_v7:8:147784951:147798539:1 gene:gene-LSAT_8X99901 transcript:rna-gnl|WGS:NBSK|LSAT_8X99901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPEPEEDEEIYEQTLSEDSAPEEKPSHHHHHHHHHHVEHVETAVDIMRREREERKLRLKRERPDDRPTHRPTPTPTQPAYKSMEDHLFHTKNQRTYDKSRLPPGWLDCPAYGHEIGCIVPSKVPLGESFNDCIVPGKRYSFRQVIHQQRVLGRKLGLVIDLTNTSRYYSVNDWKKEGIKSTARGVVLYQRTKLLISLYTRQVILNIIAHNFLLPSIFKMTILPFTPHQVSQFLARQKNAKKYILVHCTHGHNRTGYMIIHYLMRTIPMSVTQAIKIFSEARPPGIYKPDYIDALFAFYHERKPDMITCPSTPEWKRSSEFDLNGEALPDEDDDGVPVDPLNEENHDTEGVMTNDDVLGDSIPQDQQDGFRQFCYQALKLSAGARGNHQFPGSHPVSLDRENLQLLRQRYYYATWKADGTRYMMLITMDGCYLIDRNFVFRRVQMRFPWKLNNEGLAEKVHHFTLLDGEMIIDTVPDTRKQERRYLIYDLMAINQVSVIERPFYERWKMLEKEVVEPRNHERHKIFKHGHSLYRYDLEPFRVRRKDFWLLSTVTKLLKEFIPRLSHDADGLVFQGWDDPYVPRTHEGLLKWKYASMNSVDFLFEMAEDRQLLFLFERGKKKLMEGNRIAFGDDIDLASYSGKIVECAWNKEEEVWVCMRIRTDKATPNDFNTYRKVPLSLLHPIYASGTMQNTHCALCIGRDEDELLNKQCPQNLELRWQTEVSSSIYATPLIADINSDGKLDVVVPSFVHYLEVLEGSDGDKMPGWPAFHQSTVHASPLLYDIDKDGVREIALATYNGEVLFFRASGYMMSDKLEVPRLKAKRDWYVGLHPEVVDRSHPDVHDDLLKEESAVHSIPPPKEANHTSGVEDNKGKEKETQPKPEPPQNTLNTSSEEHSGSPLNESDAQNNTIIPHIELPNDTHNNISSISAPENLNLEGSNNETKTGRRLLEENINTDDHKDVPVATAENNEALEADADQSFELFRDNDELADEYSYDYDDYVDETMWGDEEWTEAQHEASQNYVHVDSHILCTPVIADIDGDGVSEMIIAVSYFFDHEYYDNPEHVKELGGIDIGKYVGGGIVVFNLETKQVKWTAELDLSIDTGKFRAYIYSSPTVVDLDGDGYLDILVGTSYGLFYVLDHKGKVREKFPLEMAEIQGAVVAADINDDGKIELVTTDVHGNVAAWTPQGVEIWEVHLKSLIPQGVSVGDVDGDGHTDVVVPTVSGNIYVLSGKDGSFVRPYPYRTHGRIMNQVLLVDLGKRKEKKKGLTLVTTSFDGYLYLIDGPSSCADVVDIGETSYSMVLADNVDGGDDLDLIVTTMNGNAWRSHNQGRNNVAARIEREGVYVTPSSRTFRDEEGKNFWVEIEIVDKHRVPSGSQGPYNVTTSLLVPGNYQGERTIKKNEVFEKAGKYRMKLPTVGVRTTGTVVVEMTDKNGLYFSDEFSLTFHLHYYKLLKWLLVLPMIGMFAVLVIFRPQEAMPLPSFSRNTEL >cds-PLY64646.1 pep primary_assembly:Lsat_Salinas_v7:3:175889941:175899053:1 gene:gene-LSAT_3X105661 transcript:rna-gnl|WGS:NBSK|LSAT_3X105661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLPNLAIVLQAALSPNPAERTAAEASLNQYQYTPQHLVRMLQIIVDGNCDMAVRQVASIHFKNFIAKNWSPHDPDEQSKILPSDKDLVRQNILVFVAQLPPLLRAQLGECLKTIIHADYPEQWPGLLHWVTHNLQDQQVYGALFVLRILSRKYEFKSDEERTPIYHVVEETFPHLLNIFSRLVQIGNPSIEVADLIKLICKIFWSSIYLEIPKKLFDPNVFNAWMVLFLNMLERPVPSEGQPADPELRKSWGWWKVKKWTVHILNRLYTRFGDLKLQNPENKAFAQHFQKNYAGKILECHLNLLNALRMGDYLPDRVSNLILQYLSNSLSKTTMYNLLQPRLDVVLFEIIFPLMCFNDNDQALWEEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKENLQKFILFIVEIFKRYEEASIEFKPYRQKDGALLAIGALCDKLKQTEPYKSELEPMLVQHVFPEFASPVGHLRAKAAWVAGQYAHISFSDPNNFRKALQSVVAGMRDPELPVRVDSVFALRSFVESCKDFFKLMDEVENEDLVFTLETIVDKFGEEMAPYAIGLCQSLAAAFWKCINTSEADDEADDPGALAAAGCLRAISTILESVSRLPHIFAHIEPILLPIMRRMLTTDGQDVFEEVLEIVSYMTFFSPTISLDMWSLWPLLTEALADWAIDFFPNILVPLDNYISRSTVHYLTCKEPDYQQSLWNVLSNIMRDKNLEDNDIEPAPKLIEVVFQNCRGQVDHWVKFYIEITVERLRVAERPYLKCLLVQVIADALYYNAPLTLNILHQMGVAIEVFNLWFQMLQQTKKSGVRANFKREHDKKVCCLGLTSLLTLPPDQLPGEFLERVFKATLELLVAYKDQVAEAAKEDPEEDDDDMDDGLETDDDDEDDDGDMGVDAEDGDEADSLRLQRLGAQARSFRPADDDDDDSDDDFSDDEDLQSPIDEVDPFVFFMDTAKALQASDQMRFQRLSQTLDFRYQALANGVAQHADQRRLDIQKEKLEKAATASAASS >cds-PLY76294.1 pep primary_assembly:Lsat_Salinas_v7:7:123809543:123810293:1 gene:gene-LSAT_7X74701 transcript:rna-gnl|WGS:NBSK|LSAT_7X74701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPDSDTILNIKYKISGDRNHGSHTPMFEDESSQMLTFKSALVLQRPVLEPCLAVGFLPLPPEGLRVGQLFTMKWRVERLKYLEDEQYDEVVYEINANSENWMIAGRKRGHAPLSTKQGSRIEISILCVPLVAGYMRPPQLELPDIGEGNISCNPAGPHLVCVSPPPLSSSFCIPIPIPA >cds-PLY67228.1 pep primary_assembly:Lsat_Salinas_v7:6:133718615:133719238:-1 gene:gene-LSAT_6X80761 transcript:rna-gnl|WGS:NBSK|LSAT_6X80761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALGDDDDDDDDMVVDDTPPNSPGDNPPPPSHFPPCTPATPPNSLPQSNVAKKKENNQVGPQPMQIQVVILPTPSQPDITGRVEVEDDPQLHIVVVDIPYVDATTDDQPIPNTGDQSETDDYEGFLDLGFMSQVVVPLNVVYPNSYFEERFLKKRFLKELIVTLTLTMISSILERGRLLSQGELMTLLLILILQHLLLKRKASLSLI >cds-PLY68102.1 pep primary_assembly:Lsat_Salinas_v7:8:34362843:34365225:1 gene:gene-LSAT_8X27360 transcript:rna-gnl|WGS:NBSK|LSAT_8X27360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAALRRLIFVAAIFITLSIAHSIHDKCAACNAVAEELELEMMKEKPKNHLDMRHRLDSTGQRRGKVIDYRVSELRVVDLLDGLCDKMQDYTLQKIDSNKKTWIKVDDWDDMTSNKQESRAHSKEISSYCGRLLEETEDELAELIKKGSVKVGEVSKVLCQDLSKHCKKTSGQHESTHDDEDDEANEEL >cds-PLY85563.1 pep primary_assembly:Lsat_Salinas_v7:2:196445669:196448329:-1 gene:gene-LSAT_2X117241 transcript:rna-gnl|WGS:NBSK|LSAT_2X117241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFISSTRASTALLRSYKRSFNGFAAKLADDEKNKIARMEGVVSVFPSKIMELHTTRSWDFMGFPQDVKRAPLESDIIVGMLDTGAWPESDSFKDDGFGPPPSKWKGSCDSTNFTCNNKLIGAKYYLTDQRAANLSARDTEGHGSHTASTVAGRAVNNASLFGLANGTARGGVPSARIAVYKVCWEGGCTEADILAAFDDAIADGVDMISLSVGSPFASDYFEDSIAIGAFHSMKNGILTSNSAGNSGPLAGTVANYSPWSLTVAASTIDRKFITPIVLGNNMTFEGPAINTFDAAIHPIVYGASAPNTGFTSDDSRTCEPGSLDPTLVKNKIVVCDSTVGPSTALESGASGAVIEGDFGFEDLAFSWPLPTTYLNDTDGSAVQSYINSTTTPSASILKSIEPVDEAAPTVASFSSRGPNPITSDILKPDLTAPGVDIIAAWSLDTTVTGVEGDTRVVPYNIISGTSMSCPHASAAAAYVKSFHPTWSPATIKSALMTTAAPMSPTKNVDHEFAYGSGHIDPLKAIDPGLVYDAGEADFVSFLCGQGYNATNLKIVTGDTSACSAGNNATVWDLNYPSFALSAKDSGTIVRTFNRTVTNVGAPESTYQANVVAPSGLVVKVYPSSLAFKAVGEKQYFVVTVDATIGSMMISGSLVWSDGVHNVRSPIVAFKN >cds-PLY68522.1 pep primary_assembly:Lsat_Salinas_v7:2:213813799:213816519:1 gene:gene-LSAT_2X134241 transcript:rna-gnl|WGS:NBSK|LSAT_2X134241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKKNESIQYEHDNLFTDPDQSPGNAIHEYELELMSESSRMTEPIKPPPQQPIPTKMNEKLGLCERALSAAGAAFLSAIIVNPLDVAKTRLQAQAAGVAYSHPMTNLTSRMAVFGPNMMFADLRCSPSCTRAGVHGTVSICPPDCFEYKGTLDVFYKIIRQEGFARLWRGTNAGLALAIPTVGIYLPCYDIFRNWFEEFAAENAPGMTPYAPLLAGSLSRSLACTTCYPIELARTRMQAFKDFNTGKKAPGVWKMLLDVKTNVQSYRALWTGLGAQLARDVPFSAICWSTLEPVRRRLLSVVGEEANAASMLGVNFSAGFVAGSLAAAATCPLDVAKTRRQIEKDPVRALRMTTRQTLMEVWRDGGMRGLFTGVGPRVGRAGPSVGIVVSFYEVVKYALHHQRSTS >cds-PLY67916.1 pep primary_assembly:Lsat_Salinas_v7:5:298940092:298943617:1 gene:gene-LSAT_5X159761 transcript:rna-gnl|WGS:NBSK|LSAT_5X159761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKKPLSDCPSPESSLTQGDSDSESTSVSSSMQGSISSATSSLDQESSNVNGGTTTKKILKKSNSKKLGTYGSFISSRRRSQSRLSQSSIKLSDDGSITPPDHSSVEVSDESSNYVQKSTPKFTRTGSLRSVKIFRSKSKNKSSLKSNKSSTDTHSEISEEFHFPKATFSSILKDSKFPEQLKHQTSPSEPEEVLPVAKFCPYQHCSLHGHHHHHEPPPTRFAYLKRRTTNDQNTLKKAESETRSTDKKMSKKREAKAKAKATKRVSEVKNDGDFSIEFYAKTRSDPVENHGHDDSEMADILFGVNSLHEKKNEKPILDNENFSDLKEKNDGNLNGSKSVLEKKPALKKANQMNMWHMIHRHMVSGLEAESEDNIVQQVDDNDEKKPNIATKDFDDVANQETEIRKMFAIKLVRDAIEKILLPEVQDEQSTTSEVIPEQDLPEKNQNEESPAPEKNQKEECPAPVVEEQTGTVTEKLEEPKVEKQAPKSWSRLKKVFLLRKFVKELEKVKKFNPKKPTHLPLPPQPEQETVSLRRQTTGDKKNSDEWMLDYALQKVVGELAPTQKRKVALLVKAFETVAPAQDDNQEQGLNRELTRVDSNTDFEINPSPNVVLGSFSEKKSESISDLETEKRIKMWHMIYQHVVTDIATKIGSDLLLDGDESTNSEEKNLQEVEDQTERNYRLAFTQSDAVKLVRESVDEILLPDIPDTPSSQVSDQELCDKKEGEPEKMANNSVLDENKKPTIDSGMSTIASRLQNQKSKNWSKLKKLILLKRSIKALEGFRNLKPQTPQREVTISDPEKEKVDLRRQMMDERKKAEQWMLDYAVQHIVTKLTPARKKRVSMLVEAFEAVVPLPEI >cds-PLY76274.1 pep primary_assembly:Lsat_Salinas_v7:8:33013535:33018746:1 gene:gene-LSAT_8X26220 transcript:rna-gnl|WGS:NBSK|LSAT_8X26220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Branching enzyme-I precursor (Starch-branching enzyme I) (1,4-alpha- glucan branching enzyme I) [Source: Projected from Oryza sativa (Os06g0726400)] MTNIKAEMKTPKILELDPALGPYKDHFEYRMKRFSEQKLLIDQYEGSVEEFAKGYLKFGLNREDGSIVYREWAPAAEEAQLVGDFNDWDGSNHKMERNEFGVWSIKIPDHDGNSSIPHNSKVKFRFKHNNGVWVDRIPAWIKYATVDSTRFAAPYDGVHWDPPPSERYEFKYPRPPKPNAPRIYEAHVGMSDSEPRVNSYREFADNVLPRIQANNYNTVQLMAIMEHSYYGSFGYHVTNFFAVSSRSGTPEDLKYLIDKAHSLGLRVLMDVVHSHVSNNITDGLNGFDVGQPTQDSYFHTGPRGYHSLWDSRLFNYSNWEVLRFLLSNLRWWIEEFKFDGFRFDGITSMLYNHHGINFAFTGDYNEYFGESIDVDAVVYMMLANCLIDLLLPDAIVIAEDVSGMPGLGRPVDEGGVGFDFRLAMGIPDKWIDYLKNKNDDEWSMVDIATCLTNRRYNEKCVAYAESHDQSIVGDKTIAFLLMDNEMYSGMSCLTEASPAVDRGIALHKMIHFITMALGGEGYLNFMGNEFGHPEWIDFPRSGNNWSYEKCRRQWELVDTEHLRYKFMNAFDRAMNLLDEKFSFLSSTKQIVSSTDEDDKVIVFERGDLVFVFNFHADNTYDGYKVGCDLPGKYRVALDSDAWEFGGHGRVGHDVDHFTWPEGIPGLPETNFNNRPNSFNVLSPPRTCVVYFRVEEDIMEEAKDDVDDRDLDDVEKIQEATHEQSGNPKNTLEEEEEDERLI >cds-PLY88298.1 pep primary_assembly:Lsat_Salinas_v7:6:126572067:126573943:-1 gene:gene-LSAT_6X77381 transcript:rna-gnl|WGS:NBSK|LSAT_6X77381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPMNEFDHLKIRLKDIKEATENFGIDRKIGGGGFGPVYKGKLTLSSGRRAVVAFKRLDPRFGQGNTEFWKEIMLLSDCKHENLISLLGFCIEGEERILVYEYLSRGSLDRYLSNPRMTWNQRLKICVGVARALTYLHDPRKTQRRVLHRDIKSANILLDAEWTPKVSDFGLSKLAPANQPRTYVISNGVGTPGYCDPVYIETGFLCKESDVYSFGVVLFEVMCGKLCCEYRNGQLINILVPRWKICCDKNKLDNIICPGLKEQINRDSLVTFSAIANRCLSRSFEVRPTMAEILKNLEVALEQQNFNPENSALVDISKLAIPRISYTSHQELHSRLSKGILIDGGQTWVSVNKNGRIREMISAVKCVSGGWFVSDTADDSRSFPS >cds-PLY83030.1 pep primary_assembly:Lsat_Salinas_v7:5:51336348:51339978:1 gene:gene-LSAT_5X24240 transcript:rna-gnl|WGS:NBSK|LSAT_5X24240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFGAGVVGDKSGVLMDWNVSTEYKNLKDMEPKSVMDVALIPNMEPIDVTPGTSDKGNNSTKPRKKTMTSVYLKFFETTPDGKNRKCKFCGQIYSISTATGNLGRHLSNRHPGYDKLDDSISTPGSQAIIVIKKPQTQPKTSHIEPEHLNWLLIKWLILASLPPSTFEEKWLSNSFKFLSPPVQIWPTEKFQAVLHEVFRSMRDDIRCMLENVSSKVSITLDFWTSYQQIFYMSVTCQWIDESWSFRKFLLDISRVPSPCGSAEIYHTLIKVLKFYNLETRVLSCTHDNSTNAVLACHTLKEDLDSQKANPFCYVPCAARTLNSIIDDSLRSTKLVISKIREFALEMNSSSEMMEEFIQFTTTYQEGNWKFPLDVSSRWSGTYQMLDIVRKAGRSMETLIRKYEETHGRLLLNSAEKNAINIMHSYLEPFYKTTNDICTNRLPTLGLVLFFMDHISETITACRESCHTPDWLKNAAVDMAAKVRSYNDQVSNIFTYMTAILDPRIKIELIPESLNLENYLEEARSHFVRNYSTTHFASVTVAYGSNQELEDGGGVNVSFAEEIARKRRRASMGTATDELTQYLSEPPAPIPIDVLEWWKVNSGRYPRLSVMARDFLAVQAAAVVPEDLFCSKGDEVERQKVSLPYSSMQAILCVRSWTESGMKLKYKSTEIDYERLMELVENGGGGFEKKQK >cds-PLY86412.1 pep primary_assembly:Lsat_Salinas_v7:5:338639940:338641414:-1 gene:gene-LSAT_5X190420 transcript:rna-gnl|WGS:NBSK|LSAT_5X190420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAITLKFASVVLFLSMITLFFVASADSSYGKPQLNEHLPPKPVIPKEEHGAYEKSNPKIPTKAVIPKEEFGAYKKSNPKVPTKPMIPKKEYGADEKINPKVPTKPVIPKDEHIGTYEKRNPKLPTKPVIPKEEHGAYGKGIPKIPTKPVITKAEHGAYGKNNPKLPKELVIPKEEHGGYGKNNPKIPTPKPLIPKEEHGAYGKINPKIPTPEKPKLPKVSEHLTNIAVQGLIYCKYGSKLIPLKGNALFACGATVRVTCLAVHKNGYESAPFSFSSCPTDVKGYFLAKISSSELLKNNLWEVTECKSFLENSPWPGCKVPEDTNGGITGAQLTFSRMLNGYSLSSVGPFIYNAGPQPSLTKEGY >cds-PLY99819.1 pep primary_assembly:Lsat_Salinas_v7:MU037944.1:518635:522708:1 gene:gene-LSAT_3X53181 transcript:rna-gnl|WGS:NBSK|LSAT_3X53181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIPIRAAGVDNLSDKAAMMRESLQKSQSITDNMVSMLGSFDHRLSALETAMRPTQIRTHAIRRAHENIDKTLKAANVILNRFDLSREAESKIIRGPHEDLEGYLEAIENLRSNIRFFTNNKSFKSSDGVLSHANNLLSKAISKLEHEFKQLLSSYSKPVEPDRLYECLPNSLRPSSGSPETNAKNPSSHNDRITAENAVYNPPVLIPPRVLPLLHDLAQHMVNAGNSQQCMIIYRDTRSQVLQESLHQLGVEKLSKDDVQKIQWEVLELKIGTWIHFMRIAVKLLFAAEKKVCDQMFEGIESLKDQCFAEVTKGSVAMLLSFGDAIAKSKRSPEKLFVLLDMYEIMRELHSEIELLFSGKACKEIREAAVGLTKRLAQTAKETFGDFEEAVEKDATRTAVADGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFEKGDESNSQLASVTMRIMQALQTNLDGKSKQYKDPALTNLFLMNNIHYMVRSVRRSEAKDLLGDDWVQRHRRIVQQHANQYKRIAWAKILQCLSIQGSTSSGGGDGGNSSGASRALIKDRLKIFNLQFEELHQRQSQWTVPDSELRESLRLAVAEVLLPAYRSFIKRFGALVENGKNPHKYIRYTAEDLDRMLGEFFEGKT >cds-PLY98881.1 pep primary_assembly:Lsat_Salinas_v7:5:20965105:20968249:-1 gene:gene-LSAT_5X11461 transcript:rna-gnl|WGS:NBSK|LSAT_5X11461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHVVHPPKTPDSAGDDDQAQELLRTYQTWKGSNIFFLGGRFIFGPDVRSVFLSIFLIVVPVSVFCAFVARKLINDFPHHLGVLIMVIVILFTFYVIILLLMTSGRDPGIIPRNTHPPQPETTDQSPEVGPGQTPQLRLPRIKEVTVNGMIVKVKYCDTCMLYRPPRCSHCSICDNCVERFDHHCPWVGQCIGRRNYRFFFMFVSSSTLLCIYVFGFCWVYAIKIMHSEDVSIWRALIKTPASIVLIIYTFLAVWFVGGLTIFHSYLIGTNQSTYENFRYRYDRSENPYNKGIFGNFKEVFWDTIPASKNNFRAFVKKELEFPTRVTGGNYINSDVEKSPIDIEMGDRKPIWKNGPATGDIGGTYGGGGGDLQPHPRQSSWGRRSGSWDLQNEMGSIASGIGYSDRVSGRSSDSLGGSRDVVVK >cds-PLY90666.1 pep primary_assembly:Lsat_Salinas_v7:6:55099851:55101353:1 gene:gene-LSAT_6X39901 transcript:rna-gnl|WGS:NBSK|LSAT_6X39901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGSPQFMSACSATTSATGVICALNTGFFTLLVIINIRYLGKLESDYKWSVLVIFIIQFVGGILGTIAPLARCFAALSFKLSLKWIWKHVKVSKVESFWTQKLYDWKKSSIPFPSSSRKCKIVIQLLKILSLSICIGFQKTVVVACKMIAVIPIFFVICFLYCLRCWKWLKGMFSTSSVVLGQNPAQQGKNKDLRGYVLQLEDDIEFADRTLKGMLKSVNRLIQKAEKQQPNNLMKLLAESRGFEGVEKFESHRVPPLVSEEYINCWSLPLVTLTSIIMSLPNIQKNKVDCLVSGVSEGLVYVKLVEETLNTTDDHVRIQKAAKTLWVEVEVYHKWLGNKLPISKPRMNTPEHILQWLRNTAKNMVIKVESMDIRGQNDNSKYSSICANSMYRITETILLSYHENIDEISQEELFAMLSSMIADILAACLTNLPQVITMKCHTSAIEKREASVRAAAQLLGETTQIINSLQDRELPSLNPKDLAFIDKWHSYLKHPFP >cds-PLY80725.1 pep primary_assembly:Lsat_Salinas_v7:3:84825406:84829172:-1 gene:gene-LSAT_3X63961 transcript:rna-gnl|WGS:NBSK|LSAT_3X63961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] iron-sulfur protein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G37510) UniProtKB/Swiss-Prot;Acc:Q9FGI6] MGLGSLASRALRSSSSSRVLSTNPRYNLIRTIVSTPELHKPEAATEAASEPDLPKRTPIAGARVHFPNPDDVIEVFVDGYPVKIPKGMTVLQACSVAGVDIPRFCYHDRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPIAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTETKRSVVDKNLGPLVKTVMTRCIQCTRCVRFASEIAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRIDSRGPEVMRIVPRLNEDINEEWISDKTRFCYDGLKRQRLSDPMIRGADGHFKPVSWRDALAVVAEVLHQVKPEEIVGVAGKLSDAESMMSLKDFLNRMGSNNIWCEGNGKYLDADLRSGYLMNTSISGLEKADCFLLVGTQPRVEAVMVNARIRKTVRATKAKVGYIGPPTDFNYDHDHLGTTPETLAAILDGNHPFSAALSTAKNPAIIIGAGIFDRQDTAAILSLLNSLSAKFKNLITPEWNGLNVLLLNAAQAAALDLGLIPESDSGMESAKFVYLMGADDTNLDDLPKDAFVVYQGHHGDKGVYRANVIFPSTVFSEKEGTYENTEGCVQQTVPAVPTVGDAREDWKIIRALSEVCGVRLPYDSISGVRSRIKNVAPNLLRVDEREAAVFSGLLKPEGSGKVSAVPFGVTVENFYMTDAITRASKIMAQCSALLAKK >cds-PLY71314.1 pep primary_assembly:Lsat_Salinas_v7:4:210332610:210333951:-1 gene:gene-LSAT_4X117601 transcript:rna-gnl|WGS:NBSK|LSAT_4X117601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWDSPLRDLESARNRSLTKEDISAFWRLKKIEEEELFFLKASYRLSKEDNKKVVSQGSEPHPTSLDVNEEENMEPLLKKHGWWISSKWAFLNEPPKISWKASYNYVAQFHVARKHIDHDFNLSQVTDGIRA >cds-PLY79543.1 pep primary_assembly:Lsat_Salinas_v7:1:33421216:33422978:1 gene:gene-LSAT_1X29241 transcript:rna-gnl|WGS:NBSK|LSAT_1X29241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTFYVGSEAGLKKLDEYLLSRSYITGYQASKDDLTVHAAFTKPPSPQYVNVCRWFTHIDALLRISGVSAEGSGVVVEGSASFPEEAIATPPVADTKASDEDEDSDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMQKLEEAVRSVQMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDTIIEERLTEEPINEYVQSCDIVAFNKICKFIHDKKKLIIVIIIIIIIIIIIIIIIFCLLMMELDVL >cds-PLY70851.1 pep primary_assembly:Lsat_Salinas_v7:8:116920735:116928118:-1 gene:gene-LSAT_8X81320 transcript:rna-gnl|WGS:NBSK|LSAT_8X81320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESESPFPNSTEEIVASALLLLSSSPCEGTTCTCHSDCSESLLVCKSCSRSSASSTVSSDDASSDEAHGSGLRMVASAIAHSEHEMKFKVVRKSRSKTLWISDCRKNSSVEQKSFVVSGSSSETTEGSSCLSGGSSSLVSSSESWKSKTKGEKPSAPVSSSHLIRRSEAILEVLAHNGSASEVRIRQLLGDSPDTSKALRMLLKKEEVRRSGAGGRTDPFIYQLQYEEDEEEDEEEGGALVTKKEGFGGATCGRCGEEIHMYHRYYYTCTICSSSCDDKKVFSLHKFCGELPPRLEKALHVHPLIQRRLTVNYSWCCHICGSDYRYPETQMIYDCNECCFHIDVKCAMEVGKNVIHHPCHPHLLMWAIPIPILCKCSACGKEHKGMFYQCIACVGFTIHSECAFLPETLLIQERTYGAFYHTHPLTISYSFPFIDQDAKHNPRCRVCGGGFNRTEDLWIYKCDKCLYYTHLDCVRVPPLADLGKTIKNYEDVDHPGLLRLPFPDETYNLQKHLFFQQTTTHHHHHHKINVDDRLTHKSHQHTLILVDHGQTSSSSSNSLLLIKCHDPMKKTQLLCNGCLRPIMETMQFYICAQRSCNNFALHEWCT >cds-PLY75867.1 pep primary_assembly:Lsat_Salinas_v7:9:195265823:195269906:-1 gene:gene-LSAT_9X120441 transcript:rna-gnl|WGS:NBSK|LSAT_9X120441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIVGNEVAKEVVDMVLADDNFSTIVAAVREGRSFYNNMKAFIRYMISSNISYQHLSNCCHWDSKRPDSSSTSMGCTPLHWATLRGILEACVVLQHSGTKQELMVKDSAGFTPAQIAADRGHHHVSLILSNAHRAQNSCWKDKSWIKKTRDIFYALILLSLVFVSTLIFINSVLFASNLVKVTTVVGLWGWTTVTLSIAYLLMVIRCSSKDSGYVNMSGGIKNNADAEGPFLTIDLTNTAYWSANWSQLCPTCKVLDMPAYEA >cds-PLY61974.1 pep primary_assembly:Lsat_Salinas_v7:5:160073878:160078798:1 gene:gene-LSAT_5X69820 transcript:rna-gnl|WGS:NBSK|LSAT_5X69820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVNVLTHSTTVTPKPKELEKINELKQRHKAQDQRELDIDNTKDATSEQVICLKEQEVGPGGCVDGSDSDLEEGGALWDVFRREDTPKLQEYLKKHFKEFRHAFCLPLQQVIHPIHDQTFYLTMDHKRKLKEEFGIEAWSFVQKLGDAVFIPAGCVHQSCIKVALEFVSPENVGECIRLTEDFRLLPQNHKAKEDKLEVKIPSLYLAMSPLLKLHIFRFRCDYLGDMAYFAANLQVKKMALYAVEAAVKDLKYLVAENSQHLEDSHDSPIPQYNECSQFISNLDKIPIIEDLQNSQNSINNARGEMELQLDFENVQTLQNTENVAGGKVKLQRDSENIQTSQNTENVSTGEVGLQINCENLQTSPNIENDVRGNVGIQIDSENVQTSQHTENSTRRKLGLQMDHESTPSCSEHEVGDEESGGNTKRSRNSEEGDQSVHSTPEVPNSRDTSKINGEGKVSQPSSNPNEFVAQLQAMRVTSKEGDYSLHSNPETPNSGGSTAHPPTSGDASKIKGKAKVSQSSSSPNEIVAELRAMRVTRDNEVELMRKRLELEQKREERKNKKMYHMHLNALLMKDHLSPEDEDIKRRLLAMLCG >cds-PLY75006.1 pep primary_assembly:Lsat_Salinas_v7:1:112445460:112450015:1 gene:gene-LSAT_1X90121 transcript:rna-gnl|WGS:NBSK|LSAT_1X90121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVSAIFTALYEKFISRNLRRVARSEGIDSRLKKWIKTLLMIEAVLADADEKQITNRAVQLWLKCLQDLAYDIDDVLDDLATEAIRRNLNQESYVSIKFIPTCTNFTRRSFMYGRQVSSRLDEIATKLKDLIDAKNDLGLKVNVERSNRRTRRLEQTPLIDEYKIMGRGGDKEALLVKLLGNEACDENVSIVSIVGMVGIGKTTLAKVLYNDYKVQDHFEVRAWVNVSEEFDLFTISKAIFVVLGGEDKSFSNLDMLQVALKDKLLKKRYLLVLDDVWNKSYTDSEILQRPLLVGAPGSRIIVTTYDVGVALIMGSDEPYNLDVLSDEDALSLLAQHALGEKNFDKRPTLKSLGEGFVKKCGGLPLALTMFGKSLRTKVDADEWKMLLNTEMKDVQIGREILASLRSSYYDLPSHLKQLFAYCSLIPKNYVFNKNELVLMWMAHGYLSGSISNKSMEHLGHLYFEELKSMSFIQHSATGELGYTMHTLINDLATTVAEEFFFRLDDEMNMSNTNETFKKLRQFSVSPRCGSYRKLKELQGATRLQTLLLIPSLGGKGYGLLDNLLAELLPKLQFLRVLSAANQEITKVPESIGSLKHLRYLNFSDTAITCLPQQVSHLYNLQTLLLRNCYQLSELPESFSNLINLRHLDISGTPNLNKMPLGIGGLSSLQTLPKVIIEGANGFKISELKGLSNFQSELSISGLDKVTDPKQAKDANLHQKEGLDVLEMKWSNVFDGSRNEMNEYKVLEDLRPHPKLRNLKILFYKGTRFPSWVGDPSFSRLTELTLCGCRSTHLPPLGHLKSLRKLFLTRMNEVKIVGFESLEPANSPLEIAFPSLEVLKFDDMPGWQRWLLICGDNHGTFRPFPCLHEISITRCPKLDVVFVDRIPSLRLLHIEECSGVVLRSMVGVSSSLVSLEMLNLKGLTRLHGEYLKHLGALEDLYIAGCDELIHLGEPVSVHKLEVLNCKKLASLGEKEVKNAISMRPIKEVICLNCDSLESYTCPKTVEKLVIGWCRSMTSLTLHELDSSLKELCINDCPNMDYSFPSGSWPLNLSKLTIGGLKKPMSEWGFQNFPTSLLELHLYGENSEVDSFAVATEARNATATSSSTFILPSSLTSLSLDGFMDVESLSEVLKHLPFLQRLDIWSCPKLRDLPETTSDSSSLTIRVWH >cds-PLY85891.1 pep primary_assembly:Lsat_Salinas_v7:5:96868008:96868289:-1 gene:gene-LSAT_5X45681 transcript:rna-gnl|WGS:NBSK|LSAT_5X45681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNSKSTIQNYRSFDNFYLNSKTCYGYPLGIKFGGNFEFNFGFKLRNGVRALKNGDDGNWWNFPTVNAVNTSPPVATTILAPRKVKKAPVEKK >cds-PLY63048.1 pep primary_assembly:Lsat_Salinas_v7:8:73345052:73347148:1 gene:gene-LSAT_8X53121 transcript:rna-gnl|WGS:NBSK|LSAT_8X53121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIASEKRHNTNLLDASFSSYATQERFIIKLSHPQINPKKKAQDDELGIFGAEKYFTGAIDEELNKTAHYRGSNRPQEKHEEPCPKPKLTTPPSGTPSVRSESSWNSQRGLLASNGNEHRKKTRVKSLFASLGCNCNDNASVKVTNNKVRVNEAVKPPVKTGDLAHKTKSLSNRWADDDVPMKKFEFDELNIKRDDCFTFPVLNSSMADGKHSEPPELELHYSSEVFGSPGKKSFSLERKLTMLNWDGVTPRADIIDISRNGGHNDTGSDASSDLFEIESFSTNENNSFLSRQTLENSEGRPSNVNGYAPSEASVDWSVVTACAADFSTADDFAVARTVKAKGDTERVKGSGILSGCHSHKAVRVSGDEYCMTGGGDKVAGIAAPSRREWCRRLDSVTPIAKIHADTKLVGVGSDSNRGQNGFGTTRSVPRMHASKYLYSIQQQ >cds-PLY68981.1 pep primary_assembly:Lsat_Salinas_v7:9:139306829:139309554:-1 gene:gene-LSAT_9X89140 transcript:rna-gnl|WGS:NBSK|LSAT_9X89140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDTETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDGQPELFIHIIPDKASNTLTIIDSGVGMTKADLVNNLGTIARSGTKEFMEAITAGADVSMIGQFGVGFYSAYLVADKVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEVLGRGTKMTLYLKEDQLEYLEERRLKDLIKKHSEFISYPISLWVEKTTEKEISDDEDEEEKKDEEGKVEEVDEEKEKEEKKKKTIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLNVKHFSVEGQLEFKAILFVPKRAPFDLFDTKKKPNNIKLYVRRVFIMDNCEELIPEYLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFQEIAENKEDYAKFYEAFSKNLKLGIHEDSTNKTKLAELLRYHSTKSGDEMTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKKGIEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKQKQDALKEKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENSIMEELRKRAEADKNDKSVKDLVLLLFETSLLTSGFSLDEPNTFGNRIHRMLKLGLSIDEDTVDGDADIPALEEADVDAESKMEEVD >cds-PLY99740.1 pep primary_assembly:Lsat_Salinas_v7:2:131092652:131098134:1 gene:gene-LSAT_2X62341 transcript:rna-gnl|WGS:NBSK|LSAT_2X62341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPWTWWWWWLSWWCPSKPNPNLNLAAAGLTPGGIGGAEGPGRIFVGGLPYYFTEVQIRELLESFGFGPYEAFLVKMVPKSLNPCKNGLYD >cds-PLY63732.1 pep primary_assembly:Lsat_Salinas_v7:9:86689852:86690556:1 gene:gene-LSAT_9X67261 transcript:rna-gnl|WGS:NBSK|LSAT_9X67261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHERITTPFSLQTTEGEKTAQEDEPDDDDFMVSFAEIQFDLEEANIPDNMLMPGKQFKIINHMLNSLLQLQVDTGGRKSISGVEKHEERLKNHARNFEYEVTKLRDVAKELHEIFVEQVKKVEESVNLKMAELKSEMTKEVEKIEKNYSILHGKVDVIAKVITKLVESHTSFSNKFDAKTETYSKVFEKLEDFLGSLKESMSKHDLSQQSVIS >cds-PLY62392.1 pep primary_assembly:Lsat_Salinas_v7:7:108101336:108101524:1 gene:gene-LSAT_7X69600 transcript:rna-gnl|WGS:NBSK|LSAT_7X69600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQQPAADICRLSDGQAKARAITTAKYSARGQIGGEGESGGQHIFLKMVLICFKRFEAFLLY >cds-PLY69541.1 pep primary_assembly:Lsat_Salinas_v7:8:83793085:83795265:-1 gene:gene-LSAT_8X58680 transcript:rna-gnl|WGS:NBSK|LSAT_8X58680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANAWKKDRSIKFATPKSIFLLFSTSLVLLTFFYLCTHTTTSSNPNPNFKTLINLPNSLIQPFDCYKSPQSHPVIANLVEGVKYPFLYSLSDFGTLPDKPHKNIVRILKGKLFRRPDISVTVQDLLEKKKAEGKTGIFIDVGANVGMATFAAAVMGFRVFAFEPVLENLQSICNGIYFNRVGDLVNVFEAATSDQIGNITFHKLVGRLDNSAVSATGAKMAFKSNEEIEMKVRSIPLDKVIPENEHVLLLKIDVQGWEYHVLKGAKKLLSRKKGEAPYLIYEEDERLLQASNSSSKEIRKYLGDLGYTHCVQQGTDAHCTK >cds-PLY68378.1 pep primary_assembly:Lsat_Salinas_v7:7:1096489:1098453:1 gene:gene-LSAT_7X720 transcript:rna-gnl|WGS:NBSK|LSAT_7X720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:Projected from Arabidopsis thaliana (AT1G01120) UniProtKB/TrEMBL;Acc:A0A178W124] MDHNSTVDMDKERLTAELAIKDSSSVVIKIRRKLPDFLQSVKLKYVKLGYGYSCNTATLVMLVLVFPLFIATLIQLTGLRLHNFSQQIFTSFDTATSLTGCVVFLFLSGVYWAKRPTPIYLVDFACYKPDQDRKIPNESFTKMSEESGFFNQDTLHFQKRISTRSGLGDETYLPKGITARPPQLNMKEARAEAELVMFGALDSLFTKTGVRPQEISILIVNCSLFNPTPSLSSMIVNHYKLRPDIMSFNLGGMGCSAGLISIDLAQHLLKANPNTYAVVLSMENVTLNWYSGNDRSMLLCNCIFRMGGAAMLLSNRSRDRRRSKYELAHTVRTHKGADDNSYNCVYQREDDKGIVGVSLARELMAVAGDALKTNITTLGPLVLPFSEQLMFFLTLVKRKVFKMKVKPYIPDFKLAFEHFCIHAGGRAVLDEVQKNLNLTERHMEPSRMTLHRFGNTSSSSLWYELSYAEAKGRVAEGDRVWQIAFGSGFKCNSAVWRALKPIPAGCEANPWADSIDSYPVKVPAA >cds-PLY90735.1 pep primary_assembly:Lsat_Salinas_v7:3:36435943:36436462:1 gene:gene-LSAT_3X28180 transcript:rna-gnl|WGS:NBSK|LSAT_3X28180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDELSAGDDHIHDQHEYPIDYADDDEDFELSDHDSRYNIDFEIGENSNLNRDVENENEIIDDETDDTIADDNIDLQKGKHTNNKIF >cds-PLY81471.1 pep primary_assembly:Lsat_Salinas_v7:5:334534233:334534785:-1 gene:gene-LSAT_5X184121 transcript:rna-gnl|WGS:NBSK|LSAT_5X184121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGSNIQLPYPSQPPPLINGGSTWSLPRATYVSDPLLQIPQTYLPIVLPHSQGIGPTQGWSTYMGSLSLVSSGSIYGGPGSIEQPYSSGSTNLPERPREPECRYFMHTGNCKYGSNCKYHHPKEKIAQLAASSLG >cds-PLY97808.1 pep primary_assembly:Lsat_Salinas_v7:6:18430330:18430656:-1 gene:gene-LSAT_6X13960 transcript:rna-gnl|WGS:NBSK|LSAT_6X13960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDLEIPEGLALISNEGEYQKFIEIAYQVRVQVLVYMDHFGTNLQVFSTGVSKENEIEDNCSVMSNMSMEMEDGVNLTEFMSPRKSNMEGVPYDGVNSGKWKWGCHRS >cds-PLY73266.1 pep primary_assembly:Lsat_Salinas_v7:8:210508085:210511498:1 gene:gene-LSAT_8X132400 transcript:rna-gnl|WGS:NBSK|LSAT_8X132400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSTNLECFLDCTTPVVPSQFLSKSEASKLNRLWHPWESEKVEFFTLGDLWNSFDEWSAYGAGVPIKVDAGEDETIIQYYVPYLSAIQIFTSNSALNYLREETDSETRDSFSDSFSDESESEKLSRWDGCSSEEGVFEHESTPPFNDRLGNLYFQYFERSTPYGRVPLLDKISMLSQKYPGLMSLRSVDLSPASWMAVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDTDNEDHNGFSKKKREVGEGIHVPPFGLATYKMQGDLWTSGRNGNDHEKLVSLLNVAGCWLKQLNVQHHDFNYFMGYRHG >cds-PLY74211.1 pep primary_assembly:Lsat_Salinas_v7:5:145422505:145426006:-1 gene:gene-LSAT_5X64961 transcript:rna-gnl|WGS:NBSK|LSAT_5X64961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSPSCFPPLSCSDNHKPLGFPISYHQRRRHHHHTKTSILLPPSAPLGLIKFRCFSSQEQRQSSEQKPKLEEDDIEFEFERLFSNLNRATLKREPGSVTSSILLVAGTTVGAGILAIPAVTQEAGFLAAGITCILCWIYMVVTGLLIAEVNVKTMCELGSGGVSLVSMATRTLGTIGVQITCWSYIFIHYALLVAYVARSSDILTNILGIPVWECASLFSILLGSICYFGSQRVIGAVNGVLVSGIIISFSALVAVASGDLQWDALLKANLQAIPTSIPIIALSFVYQNVVPVLCTNLEGDLSKIRSSIVVGTAIPLVLFLVWNGVILGTITITNPDHKLADPLQQLLATNGVVGVSN >cds-PLY63293.1 pep primary_assembly:Lsat_Salinas_v7:3:90455004:90455655:-1 gene:gene-LSAT_3X69721 transcript:rna-gnl|WGS:NBSK|LSAT_3X69721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKELAEQELKILEAQHPTRFQLLKLELKAFIQLFDEEEQQQSFERKQQQQQQFHLSILTQESSSRKRERMKVEREEGKTKRGRRRRAEEGRSGAIQKAEACLRKIEALKSLFRSSNG >cds-PLY73900.1 pep primary_assembly:Lsat_Salinas_v7:3:38944846:38945241:1 gene:gene-LSAT_3X30061 transcript:rna-gnl|WGS:NBSK|LSAT_3X30061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQIFYKIRGKPFNGSRIRALLNHQNPQPMIPHLLNHPEPNTKTLFPESSSENKSTHFIRFHVYPSFSFEHFLNPISSTGLPNSKPEEDPELDGSSLSNDIIRADSVKKKRKKKMNKHKLKKLKKRLRHCS >cds-PLY70893.1 pep primary_assembly:Lsat_Salinas_v7:9:16662286:16664754:1 gene:gene-LSAT_9X18020 transcript:rna-gnl|WGS:NBSK|LSAT_9X18020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVKMVVAPPHAGAIWRERLASALRTAIACTIIGCTALYGPQPIRNQIQYPSVAYVTAILIVSDATLGTTLRGSFHALCATALVLPSSILCLWAVGPSRFTESGAAAAVALSAFLVAVPEFLPLLAKRIAFVQLVIIYVGAVVRGGTADAVTHPVHVAACTALGASASVLALILPYPRLAVTEVKKQFQLYTENASERSGLYMKAFLSEDKTTACDLISQGEPMVESGTKLINHINHIQEGVKWERYRMRDFKRSFVKMEDRLDDIETPIKGMELALDSIPSFPIGIVNPELRSVLQSDRVHQTLKLDHAKCFVPFDEAVTVPERKDELFDNSLHTLTNSPPTHRNLPAFFFLSCFELLVNNSSMNPKPESETKAESESVNSQAIDVGQESRITGPYSQQRIVFGLKCSISLGLAVLLGMFFDRKNGYWSGLTIAISFVEGRQPIFTVANARVQGTAIGTVYGVLGSCLLYQVAEIRFIILLPWIVLTSLLQHSRMFGESGGISAVIGALLILGRKNYGPAKEFAIARLTEVSIGLFCMVVLEIVLEPVRASTLVKRHVSKCLGIVDDCFNKISSLNFVLVKENIKRLKSDINLLNNLSRDAKLEPCFWFQSFRGNCYDRVQKSLSTIVDLMQIMIYNLEFIDSDEGRKELQEHVNRNLEMLKVNGIKHLQNIMSVKSIKDFEHQLQEREGFLDLESARPIPVSTDSTTKDIDAFLQHTKEVTDKIQATEEWKEKTILHLNSLGFCIYRLMEETKEVEKCIKEIIRWENPTRQIDFNQLCYMIDK >cds-PLY66271.1 pep primary_assembly:Lsat_Salinas_v7:4:63706560:63714688:1 gene:gene-LSAT_4X44681 transcript:rna-gnl|WGS:NBSK|LSAT_4X44681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKKAASVTGGSKKSNQVQQQSSEKPSKFGIQHFFERHTQNSLSQNPQKQQPTVAKVASVDVGPDPVRLNEPVASTTTAGSSDSGINPRNDLGSGDANKEGNKNASQSTPIEELISVVRGDASGNQLEVSPEFCKSVSRKRIKFSPGMLIDQSQDDGGDEVTWRISPVNERLHALTKNLVDVRKLSPCSAVKLERFLSSPPLKGAENSLKFIKGINLEKRNLEQDLISYGSNEKAIDKHNVDFRSPFKTPPSCHDKNADSVDTNGESTQLGSKQHKKALLELLDQVEDVICVEDQVCTDTKTSLKSYQNKHKNRIHVERTTMGSTEKVKIEPSNVYFLVFEVSEKQFVGSNGSQCSSKVLRLLNEQCGEERSVCLSDEWFYSVIAPGDTVHVIGEFNDEGKCDVNHDKGYLIVHPDILVSGTRVAGSFSCPRRTVLDERLKSNEQSAAALLGTLLHQLFQAGLVKETPTKVFLEEYARILLQKNYESLYACGVYEGDVHKTMIEAIPKLLNWILLFRDSQGPMNPNVDFGSSDGVKKVKITEVVDIEEMAWAPKYGLKGVIDASMRVKVTSKTNETSDFIMPLEFKTGKATNGQAAMEHSAQVMLYTLLMSERYKKNIDHGLLYYLHTDQTQGISVKRSDLVGLIMRRNELASDILKASMVQQLPPMLQVPNMCKSCRHLNACTVYHKANNGSVEGSGLGDVYTSLVSHLTSAHCIFLKKWERLIDLEANELEVVKKDMWGSQSLKSQHSSTCLPSIVLDTSDQIPLKNFTQGNRFVYRFVRHDVNDSNLSSSGNDLECSLKTGDYVILSTDPERLVVARGVIMDITHTNVTASFSKRLRLPGSSPSRIIHDLCQEVWRIDKDEFTASFATMRFNLIQMFLQDDRSSHLRSMIVDLHAPRYDGGCIFSQDPAVSYIWSEKTLNDDQRKAILKILTAKDYTLILGMPGTGKTSTMVHAVKALLMRGATILLTSYTNSAVDNLLIKLKSQGIDFIRIGREEAVHEEIRGHCINAADMQSTKDIKVRLDEAKVVAVTCLGITSPLLCDKKFDVCIMDEAGQITLPSTEARENGMGVSLFCRLSEAHPQAISALQSQYRMCAPIMELSNALIYGDRLRCGSPDVANAKLEYTTSTSSSSSWLKKVLDPDRQVVFINTDLLPALEVKEFKTVNNPLEAYIITEITVNLTCQGIKGEDIGVITPYNSQANLIKQYLPKSVEIHTIDKYQGRDKDCILVSFVRSSNNPKNCKSSLLGDWHRINVALTRAKKKLIMVGSYRTLSYVPILKLLMEKVEESSSSSSSCSMLNVNKMDIDININNQKGESQLKRCSQLR >cds-PLY71045.1 pep primary_assembly:Lsat_Salinas_v7:3:162775513:162776154:-1 gene:gene-LSAT_3X100521 transcript:rna-gnl|WGS:NBSK|LSAT_3X100521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPRYKCRWYMCGSWIWIIWGRGHKLDQVSYTSKTRFDILMFFEMGPCMVLVRGCLLKLEVMNHALGVLSSRHSSDMEQYTILFLSCVLHNQLGDHSTSEGGISVGRGYGSFWGRGPHLDLLSYGFETWLDFLMFFDMGPYMVAVRGCILKLEVMDHIMGVLSNYHYGVMEQETKLFLSPVLVQQPGDHATSVADTGVARGYGKFGVGLISTI >cds-PLY91260.1 pep primary_assembly:Lsat_Salinas_v7:3:74488652:74493651:1 gene:gene-LSAT_3X62301 transcript:rna-gnl|WGS:NBSK|LSAT_3X62301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYRIRLLYIFLVLFIGSLSGSLYEDCAPQHCGNDINISYPFFVGGLQRSYCGYPGFELQCNFNGLPSVLNISGTNYSVNDIDFQQKSMRLVHAPTSTCPLDIRNITLGSDSQLVGVFPAGLTSELIFLTECSKEVPNNMTEYRIGSCDPDVMLVMLGDDEHLEMAKEFCNSVVVAPVEVPGDQVVVGGNYTEVMKRGFTMSWSAPGCNQCEQSGGRCGWIDSQFRCLCPSSIDVKDTCPVGDTSKKQGSTNKKQVILVTALCVGFVIILVVIILCFLWKLKQRRKTKNSSNVENFLKNHEFLAKRYSYLQVKKMTSSFQVKLGQGGFGFVYRGVLSNGNLVAVKILNKLKGNNEDFINEVASVGKTSHVNIVSLVGFCFEGNKKALIYEFMPNGSLEKFIYDQASSSNNQLGWEKLHEIAIGIARGLEYLHIGCNTRILHFDIKPHNILLDQDFSPKISDFGLAKLFPEQRSMISMSRMRGTPGYIAPEVYSRNFGQVSHKSDVYSYGMMILEMVGGRKNIEVRDNHTSEIYFPHWIYKKVELDEELGLRSNMSDKENEMARKMIIVGLWCIQTNPLNRPTITKALEMLEGDLESLEIPPKPYLSSPSKLVGSSLTD >cds-PLY96019.1 pep primary_assembly:Lsat_Salinas_v7:1:12853024:12856316:1 gene:gene-LSAT_1X10521 transcript:rna-gnl|WGS:NBSK|LSAT_1X10521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRYLHILPVFVFFFIVQYAFCQLPSTQVTTMNKLRDLLQNNSNSKWNSSQDSTNPCSWTGVSCAPNNSSVTSLSLCSFSISNDDDSWSSLVCDIKTLQSLNLSSNQLTSIPQPIFSSCGGLKALNFSNNNLNGPLPSFQGFRSLEVLDLSRNSFETSIDSQLNGLNELKSLSLSGNKFTGSILTKLGNSTLLEELQLSFNCFVGNIPDGITNYKNLTLLDLGGNNLTGIIPSRIGELSNLQLLVLSANNLTGEIPQSISMITTLKRFAANQNEFTGVIPQGITRYLRNLDLSYNQMGGSIPADLLSQKDLQTVDLSDNKLIGSIPSNMSTTLVRLRLGNNSLTGKIPAWSFGNDMPSLAYLELDHNILGGVIPSELRLFRNLSLLDLSNNQLVGFLPRELGNLTKLEVVLLQHNRISGEIPDEISELQILDKLNISWNSLNGSIPPSLSKLQKLSNLDLQANNLTGGIPDSFSSMDSLLELQLGKNNLGGVVRSLPTKLQISLNLSWNNFEGGIPRALNRLQSLEVLDLSNNKFSGGIPSFLTGMQSLTLIQLSNNRLTGIIPAFRSNVNLSTDGNNLINPTPDPSVVKRKKSVSVPVGIIVASAAAVVTLMIVSILALILSRRIHKVNHEESQSSEPVVIKSDFLTENSIQRLNLDFTRAMEAVSNPSNIVLKTRFSTYYKAVMPSGMMYFIKKLNGVDKIFQIGSHDRLQEELRVLGKLRNSSVMIPLAYTLTTESVYLFYDYTENGTLYDVLHGSLGRGLDWSNRYSIAIGVANGLAFLHGCPSGQITLLDLSSKSVMLKSINEPQIGDIELSKVIDPSKSTGNVSAVAGSVGYVPPEYAYSMRLTTAGNVYSFGVILLELLTGKAAVSEGSELVKWVSSKSNQDNVDQVLDSTVSRTSSAAIRDQMLAVLKVALACVSVSPEERPKMRSVLRMLLNARN >cds-PLY93854.1 pep primary_assembly:Lsat_Salinas_v7:6:143961440:143962545:1 gene:gene-LSAT_6X87361 transcript:rna-gnl|WGS:NBSK|LSAT_6X87361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDRLSLPSSPQPPVIGKRLGKRKKMIVYEDEYEEGEVKPQDLLPLMNSNISDHDDEEVNAKPIGHVVRVSGEGEWVTKHFKGFETDGISYELEDTVLVSPEEYNMKPSVAIIKDIRETRDGRIMVTGRRFYRPEIAVNEDGGKWGSEEERELFYSFDEIEFAAESVMHKCRVHFVPPNKTIPNVREYPGFFVEKVYDAKLKRLCGIVDVGYGDNKQHELFGLVQKTIDRIPSWPLKL >cds-PLY80747.1 pep primary_assembly:Lsat_Salinas_v7:8:138577032:138579819:-1 gene:gene-LSAT_8X105320 transcript:rna-gnl|WGS:NBSK|LSAT_8X105320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEFKKVHDPYLQPKMITSTNSDSLPRRVWVPGPVIVGAGPSGLATAACLKNHGVPAMILEQANCIASLWQFKTYDRLRLHLPKQFCQLPLMSFPVDFPTYPTKEQFLSYLESYAKNFELKPVFNATVLSAEYDDGCRFWRVKTKGTEYVCQWLIVATGENAVEVVPRFEGMDEFNGPIVHTSSYKSGEGFQNKRVLVVGCGNSGMEVCLDLCNSNAFPSLIVRDSLHVLPQEMFGISTFGISMWLLKWFPVRIVDQLLLFISRCMLGDTSLLGLTRPKLGPLELKGISGKTPVLDVGTLAKIRSGDIKVYPAIKRLECNIVEFVDGRKEKFDAIILATGYRSNVPTWLKDTNLFSKKDGFPKKKYPEGWKGERGLYAVGFTKRGLFGASMDASRVAKDISRQYSDGKCVCLQRT >cds-PLY95824.1 pep primary_assembly:Lsat_Salinas_v7:7:176359284:176360606:-1 gene:gene-LSAT_7X103981 transcript:rna-gnl|WGS:NBSK|LSAT_7X103981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNDTSRLTKKKKTSTKALVKRLIGIVAELTSKVDRALQKKDVPNTNVEPDRGFQEEEEMTNEDEEEKYQHHTYFEYDDIGTHGLEGEFGPTPTHVEQSSNVGEDHTKEMTPIGRPQRKRGVPWFQRTPFTVLQSTPKVKKITKPKKKKVVKSPEKPNEDIVNEESNDVSNHLLLDSVEAASTLSFWKEWNSISSNLITKHRLHMLTLDLDFWSRNIANGVGGHPKWKDVDKVVFPLIIPHVHWFLAVLHLDTWKVDIYDSARCMNHFTKYSSGGEFKSFGDSIIEVLDAIEYWKDFPDGHKDNAVVEF >cds-PLY62568.1 pep primary_assembly:Lsat_Salinas_v7:9:73419322:73421904:1 gene:gene-LSAT_9X61500 transcript:rna-gnl|WGS:NBSK|LSAT_9X61500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCVERMTASSCLDSSSHITTGDELKTVVQLFQNNTRNDGDGDDLNRNIEEEEEGFSKMVIRECRICQEEDGEHELESPCSCNGTLKFAHRKCIQKWCNKKGDITCEICNQVYSPNYALPPARINPDVMTIDIRHAWGPQIDLRDTHFLAFASEHQFLEHDYEEYDVSSNTSIAYLRFMALILMLILLVRQALLITRDLGMVPESSKIFKFQVSVLQLAGFLLPCYVVSRSWLMILRQRRRQI >cds-PLY89481.1 pep primary_assembly:Lsat_Salinas_v7:8:91956368:91958295:-1 gene:gene-LSAT_8X64760 transcript:rna-gnl|WGS:NBSK|LSAT_8X64760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLGEGSCGDKHGGKDDSKTSKKRSVYNLRSKDPKPEGSMGPPTYNLRNKVQKTEGKKASKVTQNKKTPKKNGSKDSSPVIQRRVVSETELKRTYPKIPPFPITDIGSKKPLTTSMADHDKNQPSSSQLEPFFHDPVYHIRWEGYELGSGHCGQICVLCNKDLSSALESDSDDDEESEYNEDSLYGDDDDDGGVDLGYFDERAPPLLPIVDILGCGHAFHTECLKNGAHDEESGDPICVLCSRIA >cds-PLY98422.1 pep primary_assembly:Lsat_Salinas_v7:7:88887249:88891184:-1 gene:gene-LSAT_7X61241 transcript:rna-gnl|WGS:NBSK|LSAT_7X61241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP57 [Source:Projected from Arabidopsis thaliana (AT4G33060) UniProtKB/Swiss-Prot;Acc:Q6Q152] MSSIYVSEPPTKGKVVLQTNYGAIDIELWPKEAPKAVRNFVQLCVDGYYDGTIFHRIIKSFMVQGGDPTGTGTGGESIYGGTFSDEFHSRLRFSHRGIVACANTGSPHSNGSQFFITLDKCDWLDKKNTIFGKVTGDSIYNLLTFGDIETDKDDRPLDSPPKILSIEVLWNPFDDVFPRAAPSKSLSSTTVEAANKDTKKKATKKLNLLSFGEEAQEEEKELAAVKTKIKSSHDVLNDPRLLKDENAKDKLDPSEDKARRDLQKSVREALSSKKEELPKDSDAESAGDSDDDEANFDSRMRQQIIKKKKELGDMPTKKKGQKENSMSRSHEKSPPRSKGERHDDRPKVDKLSLKKKGIGSEVRAERMANADADMQLLNEHERERQLQKQKRRRRQGHEEDVLAKLEKFKTSMFKKPDASNGEQEGKEEEDLSDWTKAKLKFVADKDNMTRRNDPNDYVVHDPLLEKGKEKFNKMIAKQKKREREWAGKSLT >cds-PLY72872.1 pep primary_assembly:Lsat_Salinas_v7:5:168226924:168230620:-1 gene:gene-LSAT_5X74161 transcript:rna-gnl|WGS:NBSK|LSAT_5X74161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLVHINGISPEPDIETVECESGVVEERRSLAYLTWEELGVVVSSSERNAGKDGCKSILSGVSGYAKPGEIVAIMGPSGCGKSTLLDSLAGRLASNTRHTGRVLINGRKQRLTYGTLAYMTQEQVLMWTLTVKEAVYYSAELQLPKLMPRSEKRERADRTIKEMGLQDCVNTRIGGWGLKALSGGQKKRVSICLALLTHPKLLLLDEPTSGLDSATSYHVMNKIVKLTRQYQMTVLAAIHQPSSQVFGLFDNLCLLSMGKTIYFGPTLDANNFFAVNGFPCPDLQSPADHYLMTINVDFDEDTVSGEVPDEHIINALAESYKSSEMYMEVKSEIFTICGEEGELIQREGSLEASFITQCSVLSQRSFINMYRDPGYYWLRFGVYIGLGFSLGTVFYQIGSGFGSIHDRVSLIMFVSTFLTILAIGGFPSFVEEMKVFQWERLDGHYTVGSFVISHAISSTPYLLVISIIPGAIAYLLMGLQREPRLFIYFALVLFVSMLLVECLMMIVATIVPNFLMGIISGAGIQGLMILGAGFFRLPNDLPHVFWRYPMYYISFHRYVLQGLYKNEFEGLKFPKYLGGPPTIDGEMILKSSLQIEMRYSKWIDLGILFGMVIAYRLILFYTIKIIERMRPIIKDFML >cds-PLY80528.1 pep primary_assembly:Lsat_Salinas_v7:5:181199559:181201766:1 gene:gene-LSAT_5X80261 transcript:rna-gnl|WGS:NBSK|LSAT_5X80261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIFYASLLSLFVVVISCSLRFGFFISKLAVDTKLPPGGRGWPVIGETIEFVTAGRKGHPEKFIVDRMTKFSRHVFRTSLMLEDAAVFCGPEGNKFLFSNDNKLVQFWVPASVKKIIPSVKGLQNEKTVPKMIRNLFKPEALREYVPIMDMVAQKHFETGWEGNDQILTHKLTKNFTFLVACKIFFGIDEPEWVNKLSVPFERLAPGLFSIPINLPGTLFRRAINAGTFIRKELTAIVKKRKSDLADGKASPTQDILSLMLCDDYGRLMTDSEVADVIMGMIIGGYDSTSSTCTFIVKYLAELPEIYEGVYKEQTEIAKSKKSTELLNWEDLSKMKYSWNVACEVLRLVPPTQGTFREAISDFTYKGYSIPKGWKLYWSTNSTHKNPDFFHEPKKFDPSRFDSNVPITPYTYVPFGGGAHLCPGKEFARLEILVFIHHLVRRFKLKKVIPNEDVIFNQVQPKLAKGLPIHLHPHKP >cds-PLY65243.1 pep primary_assembly:Lsat_Salinas_v7:3:219274848:219275284:1 gene:gene-LSAT_3X127121 transcript:rna-gnl|WGS:NBSK|LSAT_3X127121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVDNGLVAGMGLLHTNLILKIANPKQRRDFGRQKRHARFCGKVVVLSILFLPEEGQKWGFLIWLLFSYSGLVCIKHSFSF >cds-PLY65801.1 pep primary_assembly:Lsat_Salinas_v7:5:272741115:272742951:-1 gene:gene-LSAT_5X143140 transcript:rna-gnl|WGS:NBSK|LSAT_5X143140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQFNCNQGSWCAWEVIMPNNKDKLTLAISTMILATFFYRWIFSRSSNGGPCLPPGPRSLPIVGYLPFLSRDLHKQFFNMANSYGPIFKLHMGSKLHVVINTSDLAKVVVREQDEIFSNRNATIAALAITYGGRDVVWSDNNSDWRNLRKIFVHEVLSHKNLEASRYFRRDEVRKTIKNVYSKIGKTVDISEIAFSTEANVLTSMVWKNTSDEKANGDQISAELQIVVANIVELMSKPNMSDIFPSLAWLDLQGIKGNMKRQLDRLDRIFTRIIDDRIQSNSNKSEDVIGHEGKKDLLQTFLELMDQKDPTSINITQIKALILDIMVAGTETTTTLIEWAMAEIMQNDDIMKRIQEELLQIVGPNKIVEESHLPKLQYLDATIKEIFRLHPVLPFILPRSPSQDCVVGGYTVPKGSMVIINVWAIHRDPRYWENPLEFNPERFLTNKYDSKGSNLNFFPFGSGRRLCPGVPLAEKMQMYILASLLHSFDWSLPKGEDHDLSEKFGITLKKRKPLFAVPSQRLCNVELYM >cds-PLY71398.1 pep primary_assembly:Lsat_Salinas_v7:3:218121060:218124361:-1 gene:gene-LSAT_3X126481 transcript:rna-gnl|WGS:NBSK|LSAT_3X126481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQNQGGGGGGGGFFSSIASSFSSFKNQVNGLLGYEGLEVINPEGGTDDAEVEAQRGRWKGEERDSYWRSMQKYVGADITSMVTLPVLIFEPMTMLQKMAELMEYAHLLEQADNCEDPYMRLVYTASWFISVYYALQRTWKPFNPILGETYEMVNHCGITFIAEQVSHHPPISAAHAENEHFVYDITSKVKTKFLGNSVDVYPLGRTRLKLKKDGVILELVPPPTKVNNLIFGRTWIDSPGEMVLTNLTSGDKVVLYFQPCGWFGAGRYEVDGYVYNSEEEPKILMTGKWNESMSYQPCDSEGEPLPNTELTQVWKVAEAPADDKFQYTHFAHKVNSFNTAPKKLLASDSRLRPDRYALEMGDMSKAGSEKSILEEKQRAEKRIRETKGKEFVPKWFDMTDQIAPTPWGDLEIYEYNGRYSKHRAEADKSSSVQDVTIANTEFNPWQFGNVAESD >cds-PLY80485.1 pep primary_assembly:Lsat_Salinas_v7:2:137716343:137716622:1 gene:gene-LSAT_2X66561 transcript:rna-gnl|WGS:NBSK|LSAT_2X66561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVSITASTTTGKPIPENTLSENHRSIRMGYIAPNLSAIVGSGVAAKLMGTAGGLTPLAKMPACIGCSKQSRC >cds-PLY74169.1 pep primary_assembly:Lsat_Salinas_v7:9:12823900:12824250:1 gene:gene-LSAT_9X10600 transcript:rna-gnl|WGS:NBSK|LSAT_9X10600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELNVDDLECIICKKICKDQNTLSDHLSLHVLDTSAEATRSYRNQKRNRSPPRTIDFFPDPLRLKLAPAPQTAPPSPDELPLAPKSNQALVEPTNVHEEPKENQKESQVSTTLSLS >cds-PLY76564.1 pep primary_assembly:Lsat_Salinas_v7:5:223055523:223058208:1 gene:gene-LSAT_5X104640 transcript:rna-gnl|WGS:NBSK|LSAT_5X104640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTAPSPRSLNTLNQLQQQQNQIMVPIGPPPPLPLNLPCGQLFEGSREDYIKFGAPLFEASIKGDWKAAKAIFQKNPEMVRFAITKNFDTPLHIAASARGTMAVEEFVENLLYMMEKKDIELQNKSYNTAFILAVTAGNVRTAKMMLRKNRALLEIPGNHGMMPLYVAALFARDYMVRYLHANSNNMSSDFWTNEHRDVAVEILNKCTQFTTDKRILGDVLLLLAQKTDAFIERKPHIISTIIKSSKHQFLSLISLFQSSFDVCEDCTSKSISECTRSCSTNATGDIMVQGPPFFQAYDQ >cds-PLY81521.1 pep primary_assembly:Lsat_Salinas_v7:5:80752891:80757170:-1 gene:gene-LSAT_5X37600 transcript:rna-gnl|WGS:NBSK|LSAT_5X37600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYEYLEKTVDESDTRNGSSSKTKDVSSEKSEKGHRRKGREDDGEDAEDDRIEERRRSKKYKGDEENGGSRRDVDRDRDHGRSREERSSRSERHRSSGRDGERDVERERERDRRRSSRDGDRERSSRGRDKDEDKDKERERRDRDRDRDREKRDKERERERERERERETSRRSRSHSRLEREREKELIRERERELESRESRRLKDKKDAVEPEADPERDQRTVFAYQMPLKATEWDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGHLLLGQPVMVKPSEAEKNLVQSTATSGAAGGGAGAFGAVDRKLYVGNLHFNMTELQLKQIFEAFGPVELVQLPTDPETGHCKGFGFVQFAQLEHSKAAQSLNGKLEIAGRTIKVSSVTDHVTAQDTGAKAADFDDDDGGGLALNAQSRAMLMAKLDRSGITTGIPGAIGAPIVNGSGPIQGGGLGMNVAAAAAATIPILPPQIVSEPIGNPSECLLLKNMFDPATESDPEFDLDIKEDVGEECSNYGRVKHIYVDKESAGYVYLRFESVEAASRAQQAMHKRWFARRLISAIFLQPYEYEAKFKGVAA >cds-PLY80655.1 pep primary_assembly:Lsat_Salinas_v7:5:245220082:245225278:1 gene:gene-LSAT_5X122481 transcript:rna-gnl|WGS:NBSK|LSAT_5X122481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPRYFILPVYRVSDMELVSVLPSAEDEVNVACFHPLAGGGLVYATKEGKLRILHHDGGHAPRPDHFVEARAVEVQQLLYFLYIC >cds-PLY95353.1 pep primary_assembly:Lsat_Salinas_v7:5:267470951:267472387:-1 gene:gene-LSAT_5X139160 transcript:rna-gnl|WGS:NBSK|LSAT_5X139160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLVKPSLSSSLPACNATTQTPKQYPSANNPFKSSQSHFHGLKLSLSIPSSFSSFTPLISKTSPIVSAKVNKGSVPPSFTLKDQDGKTVSLSKFKGKPLVVYFYPADETPGCTKQACAFRDSYEKFKKAGAQVIGISGDDAESHKAFAKKYRLPFTLLSDEGNKVRKEWGVPSDLFGTLPGRQTYVLDKNGVVQLVYNNQFQPEKHIDETLKLLQSL >cds-PLY94192.1 pep primary_assembly:Lsat_Salinas_v7:5:321520402:321523977:-1 gene:gene-LSAT_5X178201 transcript:rna-gnl|WGS:NBSK|LSAT_5X178201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSIHLILLICLSFTILLTQSAPQDAIVTHVPGFDGTLPSKHYAGYVTIDENHGKKLYYYYVLSERNPSQDPVVLWLNGGPGCSSFDGFVYEHGPFNFEKTGSLPKLHLNPYTWAKVSNVIYLDSPAGVGMSYSGNKSDYITRDTTTALDSHKFLLEWFTLYPEFLSNPFFIAGESYAGVYVPMLSYEVMKGLDAGDKPTLNFKGYIVGNGVTDDVFDGNALVPFAHGMGLISDELYMDVTKECQGNYYNPANNECESKLEKVDQELDGLNIYDILEPCYHSDSSSKIRLENTNLPLSFRKLGETERPLPVRTRMFGRAWPFRAPVKAGYVPSWPELLNSEESVPCTDDEVATAWLNNEVVRKAIHADPISVAGEWELCTGRIRYYHDAGSMLKYHRNLTARGYRALIFSGDHDMCVPFTGSEAWTRSLGYPVIDEWRNWKVDGQVSGFLQGYDSNLTFLTVKGSGHTVPEYKPKEALAFYSRWLEGKKI >cds-PLY73966.1 pep primary_assembly:Lsat_Salinas_v7:5:105816560:105816925:1 gene:gene-LSAT_5X47921 transcript:rna-gnl|WGS:NBSK|LSAT_5X47921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQQQGQKINEESSNRYDPVVTDRRHQSKNGSNRWLLSPTAVARGGSRLLVVSPFFSDLTADNTKGGRVAVDRRRASPGSLVLSPKAIRRRRNRKGKYLPERNEEGKGRRPVEFRRSLTQG >cds-PLY76512.1 pep primary_assembly:Lsat_Salinas_v7:5:12746400:12750956:-1 gene:gene-LSAT_5X7121 transcript:rna-gnl|WGS:NBSK|LSAT_5X7121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNSWKQRELVFILVYAAIFYIIVIRYSLQLSHDNYNKLYGLRPGLISTQLNDASDAQWRNFRSNLPILTFVFGVFTLMANTVRACYDLKAEGMSVVWLLISLAYLSYLHGAFIFFILAIASTNFLLVKIFGRTKYFPFILWVFNLSFLLCNRVYEGYSFASFGDRWAHLDKFKGTFRWHICFNFVVLRMISFGYDYHWSDHNPYVDQEKHIRHCNTCSSGKTCYRMLQERSVGIDKLSYTIYLCYLLYAPLYIAGPIISFNAFASQLDTPQKSYSLKQVAWYGFRWVLSLLLMEIMTHFFYYNAFAISGIWKQLSPMEVFIIGYGVLNFMWLKFFLIWRYFRFWALVSGIEAPENMPRCVNNCYNLESFWKNWHASFNKWLVRYMYIPLGGSGRKLLNVWVVFTFVAIWHDLEWKLLSWAWLTCIFFIPEMILKSAASSFKADNVFKEFILRELSAVAGAITIACLMVANLVGFVIGPSGISWLKSVFLQTEGLPTLFGLLVTFYVGTKLMFHVSDTKQRTHQS >cds-PLY68615.1 pep primary_assembly:Lsat_Salinas_v7:2:72366522:72371031:-1 gene:gene-LSAT_2X33000 transcript:rna-gnl|WGS:NBSK|LSAT_2X33000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESQLELGFKEATSSEIFGEGNYKLVPWISWDDWSFVRESLFSSSPASIDLALRRISAWRSRGCLPIIIEVTASIVEIQQKDSYFRDGLPESDLLADDMLTMLYCMTMIRLVNGIVEKTRKKNEVSIGEAADVIGIPRMLIDIRHECSHRDLPSLRLVRLASTKALDWLKAYYWEPQKMAIPYPNDRNTNFGKEIKSKIRELAFCLDVKQATKSSSPVVKGKRSKKHITKALKNVIKLYSSFPSEVVSILLEFLLKALESADVALDDWKPLIMKLSNKVPEMLLSLLKANLQMIETQDALTHDSGENLKPDNKSRFHETENLSRLFSWLIGNLKDLNHSLRKLTFLETSGTSKDKSLPKSALMDLLRKCLLVPSLGNTHLTTATSILAQTTANNTLIEKLKKLASLHTSNTGITYSDSGRFYDQEEESIREAANKFELIKRKLSRKNELKIGENGKKGGRWNVVKSWRPCPIGMLPSDFGSSGVVPVLEIVGGCEEQRVLVEWNEKGGEGEGKREADCSVGDLDDSVVKKVKMSEEEDDDGVGVLGGVENRLMIGGVWKRVTHDEVVNIASSVRILV >cds-PLY99805.1 pep primary_assembly:Lsat_Salinas_v7:MU037944.1:245393:249762:1 gene:gene-LSAT_0X21020 transcript:rna-gnl|WGS:NBSK|LSAT_0X21020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPNISKNGFIQSVSAAMWRTPADSTPPTPNGEQSNHSRQSESPLPVQNKPPEQITIPKPDKKQEEQQQVKPKKAPQMKRVSSAGLRTDSVLQRKTGSLKEFFTLGKKLGQGQFGTTFLCIEKATGEHYACKSIAKRKLLTDEDVEDVRREIQIMHHLAGHPNVIAIKGAYEDAVAVHVVMELCAGGELFDRIIQRGHYTEKKAAELTRTIVGVVETCHSLGVMHRDLKPENFLLVDKKEDSLLKTIDFGLSVFFKPGESFNDVVGSPYYVAPEVLRKRYGPEADVWSAGVIVYILLSGVPPFWAETEQGIFEQVLQGDLDFSSDPWPNISEDAKDLVRRMLIRDPKRRLTAHEVLCHPWVQVDGVAPDKPLDSAVLSRMKQFSAMNKLKKMALRVIAESMSEEEIAGLKQMFQMIDTDNSGQITFDELKAGLKRVGANLKESEIYDLMQAADVDNSGTIDYGEFVAATLHLNKIEREDHLFAAFSYFDKDGSGYITADELQHACDEFGIDARLEELIQDVDQDNDGRIDYNEFVAMMQGHTGGAPKKSLDNSFSIKFREAFKL >cds-PLY82600.1 pep primary_assembly:Lsat_Salinas_v7:8:175286897:175288964:1 gene:gene-LSAT_8X113600 transcript:rna-gnl|WGS:NBSK|LSAT_8X113600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQLRPTSANSIALTPLTFLERAATVYGDCISIQYNNTTFTWTQTHRRCLQVASSLVGLGIQRGDVVSVLAFNLPAMYELQFAVPMSGAVLNNLNTRLDARTISVMLRHCEANMLFVDTHLRVVAEEAVARFPPGIRRPVVVLITDNVSVGNDGTKDKLVDSLTMLSCVGSVKDVDCVTTYEDLVEKGDSNFRWIRPVNEWDPMTINYTSGTTSSPKGVVHSHRAIFIITIDSLVDWSVPKEPVYLWTLPMFHSNGWSFTWGMAAVGGTNICLHKFTAEDVFSALNRHNVTHMCGAPVVLNMIANSPHARPLNNPVHFLTGGAPPPAAVVLRTEALGFIVSHGYGMTEVAGVVVSCAWKEKWNRLPVSNQSSLKARQGVRTLGLTEVNVLDPKSGLGVKHDGLTQGEIVLKGACLMLGYLKDPRATAMCMREDGWLYTGDVGVIHPDGYLEIKDRSKDVIITGGENVSSVEVESVLYTNPAVHEVAVVARPDKFWGETPCAFVSLMGKASMEELIKYCRERLPHYMVPKTVVFMEELPKTATGKIQKFTLRNIAKGMAPQFGNSSM >cds-PLY74043.1 pep primary_assembly:Lsat_Salinas_v7:1:194661466:194661771:1 gene:gene-LSAT_1X124480 transcript:rna-gnl|WGS:NBSK|LSAT_1X124480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAFNQWRRWLHSSRLSTTAAQPAHHRNGDEEEKHDKEVAPVVFDGSPSAAHDVTSSDCDVWRVKQRRQSVGGWNIGEARRRLRRTEVNGWCGGWKREREA >cds-PLY78164.1 pep primary_assembly:Lsat_Salinas_v7:6:109033599:109034896:1 gene:gene-LSAT_6X68361 transcript:rna-gnl|WGS:NBSK|LSAT_6X68361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNFRPNGVTLPSSTSNLLYVGPIRLPPAPIFNVYYSLFSIDCQPPSISRFSFLGFQMKTYTSELEPSEAHALKQSLEENKVTADVYVAMQYWHLFSKEVLQIVL >cds-PLY87763.1 pep primary_assembly:Lsat_Salinas_v7:1:213091887:213103227:-1 gene:gene-LSAT_1X130161 transcript:rna-gnl|WGS:NBSK|LSAT_1X130161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLQTLLKEVGSKLENPPANKDALIKLLKQAASCMCEVDQSPSKEVLASLQPFINAIVKPELLKHHDKEVKLLVATCTCEITRVTAPVAPYDDHVLKDIFRLIVSTFSGLRDTKSPSFGRRVTILETVAKYRSCIVMLDLECDDLVNEMFRTFFAVASDEHSETVITSMKTIMVLLLEESEDINNDLLLVILSVLGRDKKAITMAARRLAMNVIAQCAGKLEPGIKQFILKSMSSEGNSVLSQIDYHEIIYNIYRSAPQALVGIVPYITGELLTDKVEMRLKVVKLVGELFCIPGSVIPETFQPILLEFLRRLTDRVVEVRMSVLEHVKLSMLSNPFRPESPQLIGALCDRLLDYDETIRQKVVAVVSDIACHELSSISTKSIKLLADRLRDKSLPVKKYTMERLSDIYRTCCLKQMAGLNVNVSDDYDWIPGRILRCFFDKDLRSDTVEYILCMSLFPPEFSVRDKVKAWVKLLSKFDKVEVKALEKILEQKQRLQLELQKYLYLRQMYKDGDASELQKKVALIFRFMSHCFTDPTKAEADFLLLHQLKDANVWKIFTALLDPNTNSLQTFKSRDELLKIVGQKHPLYGILSTLSMKCSYILFNKDYVKDILLEVDLHKSSGNKLLSQSCMNLLVILASFRPSLLSGTEKDLVHFLEDENEVIKEGVLHVLAKAGGAIRDQLGESSSRLDLLLERICVEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEKKTHLPSVLQSLGCIAQAAMPVFETQESKIEGFIKKDILGCSEKPKDEAKESWNDRSELCSWKIFGIKALVKSYLPVKDAHLRVGISELIQDLKNILSIGEISKDIESSCVDKAHLKLASAKAILRLSKHWDKKIPIDVFYLTLRTSEVGFPEVRKLFLKKVHQYIKDRSLDPKYACAFLLGIGSQEENSEDKENSNNLSDIIQMCQQGKARQSDVNSWVVHSTYILPYLVHAFAHHPSFPNLHECKDLQAYQPTYRKLYLFLSMLAIGGDCEDGKPDNSLKKEEVISVLSILRSIRSSQDAVDTNMSKNSYAICDLCFSITKRLAQKQQDLQEPILAHVPLPLLLYKPLEKKQDKKEEEEKEKKDEVQTDVTEGQKTWLADASSVAHFDSLNMEANENVAEVDILKEIEKDGKEVPLGTVLKILKAKGAKERKAVPVKSESTPAAASESDNNNNNNNNNNVDILGMVKEINLDNSDMMTNKLSSMNGTGDDDDDEENKGVVDDDDEKRKRKRTIKHHESTNVSVSSLKPKRQKSSSKGLSAFENIKMIDDEQPHTDTVSVSENKVSSEDHIQSTDFDEPHNIQTEDHGNPDDTDHEKMPKKYTDTDGIHKSVRKRKRKSIAGLAKCTTKEKEKEKEKEKEKEKEKETHTTDLIGKRIQVWWPMDKVFYEGLVKAYDHQKKKHVVLYNDGEVEVLRLDKERWKLIDKTPKPPPTKRNKVSKGSGPKRGSIKKIPDNSKKFKDLADISSSPMARGKRTPRKKMKRVFQKIPEYSKRETKEDLEEDITESEHATFSKVDNLDTEEEEASDQEGGHSGDEEKHTLKKQTDDDDDDDDVKRQSPDDRKTLDSGEGEFSDDVPLGVWKSKVRKPDVSKSKQV >cds-PLY67772.1 pep primary_assembly:Lsat_Salinas_v7:9:165507651:165511524:-1 gene:gene-LSAT_9X103240 transcript:rna-gnl|WGS:NBSK|LSAT_9X103240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTPDGKSAIELTDDSRAVPVSTTRNLSSLKSNSEDFGTNYIWRLVLSSIKAAFFSDKISFLLPCGPLAMLVDQLSQRHGWVFLLSLLGIIPLAERLGWATEQLVFYTGPTVGSLLNATFGNATELIISLFAMKQGMLRLVQQSLLGSILSNLLLVLGCALFSGGIVHRNKEQIFNKSNAGMNMGLLLMAVMGLLFPALLHETQTELQIGTSELLLSRFCSCIMLIAYAIYIFFQLTNQKQDYSTIKEDGRQDDEESAEISKWESVIWLGLLTLFISVLSEYLVNAIEGASVAMDVPVAFIGVVLLPVVGNAAEHASAIIFAIKDKLDISLGVAIGSSTQISMFVMPFCVVIGWMIGSPMDLNFQLFETSILFMTVLVVAFMLQEGTSNYFKGLMLLFCYMIVAASFFVHIDPVSIRELSLLFVTLCHLGLFWSFESIDLVLQKITLNIRSFRIT >cds-PLY69848.1 pep primary_assembly:Lsat_Salinas_v7:6:3493633:3495997:-1 gene:gene-LSAT_6X4021 transcript:rna-gnl|WGS:NBSK|LSAT_6X4021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSKESKAEIPNSSASAPSGNIFKSLFGGGLEEPNNPTSDSIFSDSNPFRSKPANESQSIPQKLQEVLRLDIDSPQKNDAKIPDSVNTLPSKRKRKEKLPESIDSDDSDIEGDVKKSKKEKGVVSEGSKSSSFDEEKKKKKKRKRGEVEAEYEERKYGAMDVDLKEDEGVKGKVGGKRKELDKGEDQLVPKEGFDDEEKLLRTVFVGNLPLKVKKKALMREFNQFGEIESVRIRSIPLLDDKTPRKGAIIKKKINDAVDRVNAYVVFKTEESAQASLSHNMTVVGGNHIHVDRACPPRKKLKGENANAPLYDNKRTVFIGNLPFDVKDEELYQLFNGFNNLKDCIEAIRVVRDPGTSLGKGIAYVLFNTREAANTVVRKHKLKIRDRELRLSHAMKSNPTPPESSYGRKAAAVVGGNTSYQGVRATKSGGEKKFATRVTRPVRSESRSENGVKRKVRSEKRPAVLARKAAANAARNGGDGGVGSGGVKRKKTESRTPQSNGQKKKARTFR >cds-PLY70062.1 pep primary_assembly:Lsat_Salinas_v7:3:238966855:238971240:-1 gene:gene-LSAT_3X134240 transcript:rna-gnl|WGS:NBSK|LSAT_3X134240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSQVESILEPWHDLNGKVVFVTGASSGIGKEFCLDLARAGCKIIASARRIDLLKSLCDEINRMDISSGSESQSVAEGVPIRAFAVQLDVSADEATIKAAVKKAWEAFGRIDSLINNAGISGEPRNPLEFEEEKWNYIYRTNLTGSWLVAKHVCKYMRDAKCGGSVINISSIAGTNRVFLPGGVAYASSKAAVNTMTKVMAMELGTDNIRVNCINPGIFRTEITEGLVDKTWFNNVVLKTIPLKTLGTINPALTSLARYLIHDSSLYVTGSCFIADAGTSLATIPIFSSL >cds-PLY65078.1 pep primary_assembly:Lsat_Salinas_v7:1:119635106:119641879:-1 gene:gene-LSAT_1X91880 transcript:rna-gnl|WGS:NBSK|LSAT_1X91880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSELPEASSSTHGHSPSTCGPSSSTDGYRYDVFLSFRGVDTRLSFTNYLYEALIDANINTFLDDEEIETGEDLKPELESAIKASRASIIVLSKNYASSTWCLDELVLILEQRITSNHIVIPIFYHVEPTHVRKQQSSFGEAMTKHKQKMDEETDENKRSQWGQKIERWNKALIQVANLKGNDINGRFETEFIEEIVKDIYRRLRVPLLQSFQPLLIGMKSSINFITSWLTDVSSLTSDILTISGIGGIGKTSLAKHVFGLYCHEFQTSSFIEDIGRRCDGKYNGLLDIQKQLCGDISKTSPVQVYDVSKYTSMIENVVARKRVFLVLDDINSIDQLDALLGSKGFHSGSKVIITTKDRWLTESCALFKTNIKPKHVKHFLEGLDKIESQQLLCSHAFMCDHPKEGYEEVSDKLVDYCQGHPLALEVLGKSLHNRDVTYWEGYMEGLKKEISSPVNNVIKMSFSSLPSKNDKELFKHIACFFVGMYRDVSETILEACDINTRSGITNLIDRCLLSIGSNNELKMHQLVQEMGRFEVHQESPDKPWKRSRLWCHKESFKVLKQKKGKGNLLGLSLDMHMLEKEKLRGSFELKTDALSNMDNLMILQLNYVQMHGSYENFPEEIRWLCMHGFHSKSIPLNLPIENLVALDMSYSNIESFVGCYSNPQRLEKRQRVDGSCLKEKRLFGSLKILILSFCKQLHSVGDFDQLPALERLILRNCIGLVDVCESIGQCVELIFIDLSYCTKLEKLPRNIDILEKVKTMLLDGCNLVESRIKNMDVDSVEMCTVTNIGINTAFVGSIPRDLKSSAMSLPKSLVRLSLANNNISHDSFPVDFSCLSMLKELYLDDNPINSMPSCVRTLPRLEILSMEMCKNLKSVEYPPRTLKQLFLDVAYGYPIEKVVFDPEMSPLQLSIDPLDWTSSLGLKDYGIEGVIKIQTMMIVEEKVLRSLGWINLDFLNERRVGTNSSESEIQMMFYEFGIFSMLYEAEEMPGWIRHRSLGPIISFTIPSSSSSSSSSSSPNNLLTGLNFCSLHTLNLLDEELDLSDDDLLPWTPMMTISNITKKRTWIYERYVDRFSETLNCWVILSHWMFGKNEMEVGDHITITVTPQRHELLMKDEVIKECGVSFVYENGEKKDEEEEVLGYYKSWNHIIGGDLSPFQTTTGQYILCNRRFYDSGIRLWPYHRKFVQDDPDFQAQKEKCWFRALSPRKLDILGRACESYNLAMDACLRKTTSKHSSGRYDGA >cds-PLY91083.1 pep primary_assembly:Lsat_Salinas_v7:9:124354767:124360225:-1 gene:gene-LSAT_9X81901 transcript:rna-gnl|WGS:NBSK|LSAT_9X81901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTEGSPRDPLLLSIKEDQNGFAYINKTSSLLKSTKWVLKSAMWLIFISWVSFLFLVPSDSVKQLYTKLVKATSGTLFGTTGSIFLIYSGPILVIAFLAIIYLGISAENEVQEKKTKHASFRLWTFPIIVDGPFGVVTAAELIGILLFAVYLIWAIIAYTFQNISLLPLFEITDAGKRNLILLELTGLRLGFIGLICMAFLFLPVARGSILLRLIDIPFEHAARYHVWLGHLTMILFTLHGLFFVIPWAINGRLIKELTAWQNVGVANLPGVISLVAGLFMWVTSLPPVRRRINFELFFYTHQLYVVFVVFLAMHVGDFIFSIAAAGIFLFMLDRFLRFFQSRKTVDILSAKCLPSGTVELVISKPEGLQYNALSWVFLQVRELSWLQWHPFSVSSSPLDGKHHIAVLIKVLGDWTEKLRGHISSVPEEEQGETDDLLHPNFTLKASIEGPYGHESPYHLTYENLILVAGGIGISPFVAIMSDILNRIRDSKPCLPRHVLIVWAVKKSDELPLLHSLDMNSLCPYFYNTLNLEIQTYVTRESEPPLEEGKVPEYVNSSVFPTPSHGGMSSLVGTGSIIWSGAYMVVSTLGFIVLLAMLDMFYINPYKISYWWYKGLLFTICMAASIILFGGFVIGLWHLWDKKTSVEKNKNSEDEKKIGGLQYYESNVHKNSSRRDFVNNIKYGQRPDFKEIFGNMAERWGNVDIGVMVCGPTALQTSIAKECRSKNFGRISGEPIFHFNSHSFDL >cds-PLY61697.1 pep primary_assembly:Lsat_Salinas_v7:5:216727817:216728806:1 gene:gene-LSAT_5X100361 transcript:rna-gnl|WGS:NBSK|LSAT_5X100361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIRCRGSVGEGVHEVVEGDTMIPMFLPDCGECADCLSKKNNPCSRYPFHCTPWVGRDDTSRFTNINGETLYHFFHVSSFTEYKIVEIAHVIRVDPAIPANRACLLSCGVSTGVGAAWKAANVETGTTMVVFRLGAIGLAL >cds-PLY83537.1 pep primary_assembly:Lsat_Salinas_v7:1:65101592:65101993:1 gene:gene-LSAT_1X55561 transcript:rna-gnl|WGS:NBSK|LSAT_1X55561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGKLIKIKSVLKKWHSFGNGKPGGLTKGCFSASTSDEDIECPQQKLSRSHSHPAVYVGKSRRRYLISFGVAQHPLFQELVERSGSGLDDEDHPNVTIKCEVVLFEHLLWMIENAVSQPDALHELVDFYAC >cds-PLY64774.1 pep primary_assembly:Lsat_Salinas_v7:2:101045778:101053115:1 gene:gene-LSAT_2X43880 transcript:rna-gnl|WGS:NBSK|LSAT_2X43880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGDGDLKTITKKKRRMNEETDYFGTATTSQTPLDSPSLFYGEAAPTKEKLLCDGWERRSVAAPEHPSPIGSAPVSPPIHSPLGARDPTPRTSIAYGSSFTSPIPGQKYSEITFPILSSDPATKKGILFLKYPIYVGANRGRDQIYPDGSKSNNAVYNATASGIVSKILRKEKGGYEITIADASYGRQVVDIIPPGPELLVSEGESIKFEQPLTSNPNVGGFGQGDAKIVLQDPLHVQGLLLFLASVILAQIFLVHKKKQFEKVQLSEMNF >cds-PLY94498.1 pep primary_assembly:Lsat_Salinas_v7:2:158560761:158562857:-1 gene:gene-LSAT_2X83321 transcript:rna-gnl|WGS:NBSK|LSAT_2X83321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTASAKFSLVYTSSPELDFVHPPPSTKLGFAKFRTTSIGYLRNMTSTSKNLAPIKAMEGSRMPSYVEVKPNGHAVTNDSNDTILHKSLANGMESDNIVWHKSSVDKIDRQELLQQKGCVIWITGLSGSGKSTVACALTGALHARGKLTYILDGDNVRHGLNRDLTFKSEDRAENIRRVGEVAKLFADAGVICIASVISPYRKDRAACRSILPDGDFIEVFMDIPLCVCEARDPKGLYKLARAGKIKGFTGIDDPYEAPLNSEIVLRQEGEVCPPPETMAEKVISYLEEKGYLQA >cds-PLY63934.1 pep primary_assembly:Lsat_Salinas_v7:4:77367522:77370801:1 gene:gene-LSAT_4X53561 transcript:rna-gnl|WGS:NBSK|LSAT_4X53561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSHPCDLVTLEPNRVVETLKNLTTRFCDYRVVDVVNLLPTANDGTITHLYMQGTVLDTAKSLIFPLPLVAAAHQQFLAGCRHADVNGLDGLKTSGGDSSEGMGSTISSLLGNASILGWAMNSLTTKGKPSEQTTQAMPPKSTSPLVSVVPTTLVWSTHTQSTTTLVRGGSLDYGGDMADQPAPVSPTSTDDWGELENSIGIHEDEEIEKDGWXPLEGQKVPPAFCKHRSSSKRLVVHTKPQELYLFFFFPRSIPRPKSTSHVSKDAYDDLWGSITAPDPAPAPKLASRSSNLNVKAAAVGGSGSGCVVDEDDPWATIAAPAPAKGYSYKTSLIVGVADAVQKESLHFCVSNYFILFLFHCLVITRKYG >cds-PLY68916.1 pep primary_assembly:Lsat_Salinas_v7:2:194317250:194319346:1 gene:gene-LSAT_2X116240 transcript:rna-gnl|WGS:NBSK|LSAT_2X116240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRHHRSIDVHTTNAATMCHNPSLYSSQTLSVKALIISLSSSPNALLSSPGPPHQSVRTNPPRSPINIRRLSYPSSSAIELTGCGYFGYSSTSKAARCFSYFSSSSSSPSVSVANTTEWHEPIAVTEVGDSYNDGVTEEDLKPSIPVRAYFFSTSVDLRSLVDQNKPNFIPPTSRMTNYVVLRFGSTNPELIGSAASISGSDCCYMVVFQYGSIVLFNVRDHEIDGYLKIVERHASGLLNEIRKDEYEVKEKPTLNTWMQGGLDYIMLQYLNTDGIRTIGSVLGQSIALDYYVRQVDGMVGEFTDINRGMEKTGTFTMKRKKLFQLVGKANSNLADVILKLGLFERSDIAWKDAKYAQIWEYLRDEFELTQRFATLDFKLKFVEHNIRFLQEILQNRKSDFLEWVIIVLIVAEILISVYDIAHKSVTSL >cds-PLY62212.1 pep primary_assembly:Lsat_Salinas_v7:4:274718886:274724819:1 gene:gene-LSAT_4X141000 transcript:rna-gnl|WGS:NBSK|LSAT_4X141000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSLLLPPFLFSSSFVLSLPKYSPITPPILYVQIYNRPYHPISFLHRLIYHSFSLIPATYPSFFHRFRYICFFILFSRQSPPLNPYTDHSKPSITLNVYFFYYSTASHLIDVTSSPSTASPPQGSRPRIRLYSLLQFDYGPPKDADKFAWKPNVGVEINETEVGGRFRPLSNITGVFPKCKDQINGNANTAKYKPLTEPAKCDDSSFHHPFSFNLTDFGAVGDGITLNTKAFEDAVSTISKLRKRVLLFLTTATFYFEKDNNVIDKLISGSLLSNYAKLNRGSGNSGVQRVTGCFSNDPEIKKINDEKKASMADQFVAEATLRAVLAAEIQAIVGPLEAGWKRVLTTELLKARHIGMIERMKNQASESRI >cds-PLY80635.1 pep primary_assembly:Lsat_Salinas_v7:5:246325095:246326751:-1 gene:gene-LSAT_5X121860 transcript:rna-gnl|WGS:NBSK|LSAT_5X121860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylglycinamide formyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31220) UniProtKB/Swiss-Prot;Acc:P52422] MEALFSVCSNSLFSSLQNHKNKTLLPFLTSDSRLSSSQLKSQSRVSLKSHDFHDFPPLVASRRRVLKCRSSNEQLETSFDESESLKNGVRRKNLAVFVSGGGSNFRAVHQAILSGDVHGRFVVLVTNKHDCGGAQYARENGIPVIIYPSTKKEPEGLSSNDLVAALSTYKIDFILLAGYLKLIPSELIRAYPNSILNIHPSLLPAFGGKGYYGTKVHKAVIASGARRYSGPTIHFVDENYDTGRILAQRIVPVVVNDTAEELAARVLRQEHKMYAEVAAAICEERVIWREDGVPIIRSKADPEHYS >cds-PLY86147.1 pep primary_assembly:Lsat_Salinas_v7:6:157072244:157074333:1 gene:gene-LSAT_6X95261 transcript:rna-gnl|WGS:NBSK|LSAT_6X95261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKCFNIFSSGTKRRGKSSPELREQNQSTKPATRLVKSTGSIVSPRSIPELYREKEHNLRKFSFSELRNATNNFNRMLKIGEGGFGSVYKGSIKPPDDQGEPLVVAIKKLNRNGMQGHKEWLAEVQFLGVVEHPNLVKLLGYCSVDGERGIQRLLVYEYMPNKSLESHLFSRAYPPIPWKKRLQILLGAAQGLAYLHEGLEIQVIFRDFKSANVLLDENFNPKLSDFGLAREGPQGDRTHVSTTPVGTYGYAAPEYVETGHLKSNSDLWSFGVVLYEILSGRKAIDRLLPQSEQKLIEWVKQFPADSKRFRMIMDPRLNNQYSLSAARKVAKLADSCLRKNPEDRPAMSQIVDVLQDAIRESEDDIRSPSSVPSPLPLPEPSTRRTVHVG >cds-PLY80229.1 pep primary_assembly:Lsat_Salinas_v7:6:125652022:125652627:-1 gene:gene-LSAT_6X77041 transcript:rna-gnl|WGS:NBSK|LSAT_6X77041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFLNPPTISLAMGLKPNLADVAIFGVLRSIRYMTPCKDMVEHRIIGEWYARVENVAGESLSVEA >cds-PLY62309.1 pep primary_assembly:Lsat_Salinas_v7:3:211453916:211454293:1 gene:gene-LSAT_3X125180 transcript:rna-gnl|WGS:NBSK|LSAT_3X125180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTWDYNCGLAGKSLGLDMLRNPNIVANDSTSSYKTALWYWMEYLHSFACEGFGVTIMSIKHDLKCLIGDYIRDTMPNSVIFYTEYCRQFGVSTGRNLQCHTETESPIKLSIYNNLVLKNFGLYLN >cds-PLY84814.1 pep primary_assembly:Lsat_Salinas_v7:8:24473481:24474667:-1 gene:gene-LSAT_8X20480 transcript:rna-gnl|WGS:NBSK|LSAT_8X20480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRMQRRLLSLFTSTTTSSRQPPISLRNDPSTNFLPSLNSFLHGDQFYKKQFRSFSETNNSTVKNTVEVEEEHEASSSKSMEKSEIEKTEVSFSAKSELKISPRHDLAMMFTCKVCETRSVKTISRESYEKGVVVARCGGCDNYHLIADHLGIFGEKGSIEDILAARGEEVRRGNSDTLNLTLEDLVGMSKSSN >cds-PLY72610.1 pep primary_assembly:Lsat_Salinas_v7:5:44976584:44977671:-1 gene:gene-LSAT_5X21900 transcript:rna-gnl|WGS:NBSK|LSAT_5X21900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPESDPPICNGVGRSHCWCEDPGSVTCVRLHIIEARENLRENIGHERFSELGFCNMGDMVACKWTEEDEHLFHEVVYSNPVSLGQNFWNHLADAFPSRTNREIVSYYFNVFVLQRRAEQNRFDPMNADSDDDEWQGSSESSEEDEVSIESPVFYYNEESEFIHGYNQTLIDESGDNSCTSSESQVSEVNHDFMLEPSDSREWDVGCFSFPRNKADFLPTGSMIEEVFGVESWKIDDNEEGSS >cds-PLY77132.1 pep primary_assembly:Lsat_Salinas_v7:7:183684748:183689458:1 gene:gene-LSAT_7X108921 transcript:rna-gnl|WGS:NBSK|LSAT_7X108921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNILRKDPGLPTDSFYEVRAGFSDGPKTNFRIKAGKTLSEKRWRASFTDEGCLDIGKCLSRIYHGGIHPSIRGEVWEILLGCYDHKSTFEEREQIRQRRREQYAELKESCSRMFPTVGSGKFITARVITANGDLIQDPNVDLGTNPEKPPTLQSQETVAREHDKEIIQWKLALHQIGLDVVRTDRTLMFYEKQENLAKLWDILAVYAWFDKDVGYGQGMSDLCSPMIMLLEDEADAFWCFERLMRRLRGNFRCTGNSVGVETQLDSLANVTQVVDPKLHSHLEHLGGGGYLFAFRMLMVLFRRELSFGDSLYLWEMMWALEYNPELFSLYEGGDETNSKSDTVRLKRKAIRQYGKYERANRKNQARVKPGSVSPLPISVFLVASVLKDRSDKLLTEARGLDDVVKILNDISGNMDAKKTCTEAMKIHKKYLKRQKRHSFHIPWLVSNAS >cds-PLY88840.1 pep primary_assembly:Lsat_Salinas_v7:3:193674139:193682396:1 gene:gene-LSAT_3X115781 transcript:rna-gnl|WGS:NBSK|LSAT_3X115781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSSMASSLEVDHNSSDNLDTNGPASEEQLDESPAAAGEESPASSAQPLQSQVQPTSGSPVGGPRHAPNYSVVNAILEKKEDGPGPRCGHTLTAVAAVGEEGTPNYMGPRLILFGGATALEGNSANAGTPTSAGGAGIRLSGATADVHCYDVLTNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAEMTSAASHAAAAAASSNAQSGRLPGRYGFVDDRSRQPVSETDSDGSVVLGSPVAPPVNGDMYTDISTENAMLPGSRRLSKGVEYLVEASAAEAEAIAKTLAAAKARQSNGEVELPDRDCGSEATPSGKQMIKPESDVGITPPPTGIRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSSERIFSSEPSVLQLRAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDIAYIDYLFLGDYVDRGQHSLETISLLLALKVEYPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWVWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHIEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPETSPERHIEDTWMQVPLLFN >cds-PLY83469.1 pep primary_assembly:Lsat_Salinas_v7:3:205556275:205557886:1 gene:gene-LSAT_0X19261 transcript:rna-gnl|WGS:NBSK|LSAT_0X19261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSYDRKAELTEFDETKTGVKGLVDAEVTEVPRIFLLPSPENLNSDQDVSLPTIDLKGIHEDPIRRKQAMEEVKDALGSWGFFQIVNHGIPVEVLEEMKKGVLAFFEQDSEVRKEWYTRDYSRKVVYNSNFDLYSAPVANWRDSVMCTVYPNPPQPDELPPPCRDILLEYSSKVMKLGCSILELMSESLGLAPNHLLDMGCVEEIQVTGHYYPPCPQPELTIGTTEHTDAGFITILQQDDIGGLKVFYQNQWTDVRPIPGALVVNAGDLLQLVTNDKFVSARHKVIANKDGPRISVASFFLANLKPQQLKVLEPIKELLSEDDPAKYRGTTAKEYLNYFYTKGLDTTSALLHFKK >cds-PLY77728.1 pep primary_assembly:Lsat_Salinas_v7:9:19326257:19327640:1 gene:gene-LSAT_9X14500 transcript:rna-gnl|WGS:NBSK|LSAT_9X14500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHPSPETSKKRAIEELSDSQDDHHPTTITRQRRGNRGNMVNQAKKLNSDKVHSYLDIPEDKKSSFTRLDPLWYTLYSSDSNKEKVLNWIKKKDIFSRKYVVFPIVQWGHWSVLIFCHFGESLGSKFKTPCILLLDSLAKADHSKQLEPVIRK >cds-PLY63776.1 pep primary_assembly:Lsat_Salinas_v7:6:24955349:24956716:1 gene:gene-LSAT_6X19801 transcript:rna-gnl|WGS:NBSK|LSAT_6X19801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCGMMRKKKKTGTPVYINVYDLMPVNSFIYWFGLGFFHSGVQVYGVEYAFGGSDNSRPGILKLEPKHFQGLQIRKSILIGRTEMDEQECREFIKKMAKEYPGNSYNIIFRNCNHFANDASKRLTKKSIPGWINRLARLNFLYSCLLPDGWNETPPRTVVAANNNKK >cds-PLY82690.1 pep primary_assembly:Lsat_Salinas_v7:2:18532648:18533063:-1 gene:gene-LSAT_2X8120 transcript:rna-gnl|WGS:NBSK|LSAT_2X8120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRQPNTTNKPEYTNAAKIQNSALGYKIWGIKGPFIRYGLLMISLNVFVALGLGYLFTSQVKDDQEWEILETKKAFSRTRPIEAYKPKNLSIEEEQNVILASNKLIH >cds-PLY93084.1 pep primary_assembly:Lsat_Salinas_v7:4:106694322:106694620:1 gene:gene-LSAT_4X69380 transcript:rna-gnl|WGS:NBSK|LSAT_4X69380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKDGKLLGKCMEELKRKCLESYLLKEVDEIRRAKIFKVVGSFSAIDLKMGFGFFFSRLGITNV >cds-PLY92797.1 pep primary_assembly:Lsat_Salinas_v7:2:149336381:149341131:-1 gene:gene-LSAT_2X75441 transcript:rna-gnl|WGS:NBSK|LSAT_2X75441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIECRSLFHRIAGSRNSFSRILKTLEKQGGGEFGKYYSLPSLSDSRIDWLPFSIRILLESAIRNCDDFQVTTKDVENILDWEKTSPKKVEIPFKPARVLLQDFTGVPTVVDLAGMRNAMNKLGGDPSKINPLVPVDLVIDHSVQVDVSRSENALQANMELELERNKERYSFLKWGANAFNNMIVIPPGAGIVHQVNLEYLARVVFNTNGILYPDTVFGTDSHTSMVDGLGVAGWGVGGIEAEAAMLGQAISMVLPGVVGFELSGSLKNGVTATDLVLTVAKMLRQHGVVGNFVEFYGKGMSEISLPDRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDDMISMIETYLRANKMFMDYSKPEKEMAFSSHLKLNLEDVEPCVSGPKRPHDQVLLKDMKADWHACLDRKVGFKGYGILKEDQSKIVKFESGGLPAQLKHGDIVIAAITSCTNTSNPSVMIHSGLLAKKACNLGLEVKPWIKTSLAPGSRVVTKYLEKSGLLSYLKKLGFHIVGYGCSTCIGNSGDLDESVASAISENDIVTAAVLSGNRNFEGRIHPLTRANYLASPPLVVAYALAGTVDIDFETEPIGVSKDGKEFFLRDIWPSSEEVAEIVQSNVLPDMFKSVYETITEGHPTWNDLYVASNRLYEWDPKSSYIREPPNLKDISVTPPGPYEVKDAYCLLNLGDSITTDHISPGGSIHRDSPAAKYLKELGVDRRDFNSYGSRRGNDEVMVRGTFGNIRLVNKLMEGEVGPNTIHIPSGEKLSVFDAAMRYKKKGQDTIVLAGAEYGTGSARDWAAKGPKLMGVKAVIAKSFERIHRSNLAGMGIIPLCFQPGEDAETLELTGHERYTIDLPNDISKITPGQNIIVKTNNGKLFKCKLRFDTEVELAYFDHGDILQFVIRSFITSKNGNVHASS >cds-PLY83187.1 pep primary_assembly:Lsat_Salinas_v7:4:288327654:288328589:1 gene:gene-LSAT_4X146821 transcript:rna-gnl|WGS:NBSK|LSAT_4X146821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNPHFALTSQSHDITNIDSSNFNSPWIDINTLQEEEEEDGVYMNEVAKTVEMITQVMESLVERVIMAESETDIEKQKVAISQEEIMKKEIQVEIMSEKLDEMDRFAVDTNCVLNEMRQWVDNLVEETSRQKQRATQNEQELIRVKQDFESLKSYVNSLISVRETLVSSEKQFQTMEKLFERLIAKTTQLESEKKQKEGEVEKLMEENLRLNGVLDQKEAQLLAMNEQCKFMALSGSHI >cds-PLY76279.1 pep primary_assembly:Lsat_Salinas_v7:8:32702195:32703137:-1 gene:gene-LSAT_8X26880 transcript:rna-gnl|WGS:NBSK|LSAT_8X26880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPQQHPTTMEKLSGDVLSDIFIRLLAKQLAQMRSVCKSWNALLSQSSFINSHLHRSIHNNDDKALMLFRKVFDFDSEALTAHSSRSPHVELTNFIKLPGKQQIIVAFDLVDETFSEISLPDFTLDSTLSYRHNVLGLLGGKLCVMSRVKDVGCEVWVMGQP >cds-PLY69472.1 pep primary_assembly:Lsat_Salinas_v7:6:43983917:43986019:-1 gene:gene-LSAT_6X32460 transcript:rna-gnl|WGS:NBSK|LSAT_6X32460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNMNVEEVFFSIARDIKQRLSETDSKAEPQTIKINQGNQGAGSSQGGEKSSSCCS >cds-PLY77613.1 pep primary_assembly:Lsat_Salinas_v7:2:165104723:165107518:-1 gene:gene-LSAT_2X86060 transcript:rna-gnl|WGS:NBSK|LSAT_2X86060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADQKLTRIGLAGLAVMGQNLALNIAEKGFPISVYNRSTNKVDETVERAKKEGNLPLYGFHDPESFVNSIQKPRVIIMLVKAGAPVDQTIKTLSQYLEKGDCIIDGGNEWYENTERREKAMAELGLLYLGMGVSGGEEGARNGPSMMPGGSIEAYKYIEDIVLKVAAQVPDSGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKSVGKLSNEELHKVFSEWNQGELQSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAADLSIAAPTIAASLDSRFLSGLKDERVEAAKIFKSGGFGDILETQNVDKEKLINDVRQALYAAKICSYAQGMNLIRAKSIEKGWGLKLGELARIWKGGCIIRAIFLDRIKQAYDRNSELANLLVDPEFAKEMVERQSAWRRVVCLAINSGISTPGMSSSLAYFDTYRRERLPANLVQAQRDYFGAHTYERTDVPGSFHTEWFKLAKQIKKN >cds-PLY93175.1 pep primary_assembly:Lsat_Salinas_v7:3:257650544:257651061:-1 gene:gene-LSAT_3X140720 transcript:rna-gnl|WGS:NBSK|LSAT_3X140720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLLTIGLGNVKLNVNNTHTGFKPYKRCSMEAKEKPGSSSNQNDDKAAKRMRFQAEGST >cds-PLY64988.1 pep primary_assembly:Lsat_Salinas_v7:6:96446396:96448218:1 gene:gene-LSAT_6X64781 transcript:rna-gnl|WGS:NBSK|LSAT_6X64781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLFKKIAGYLGLGNHDLHEVNKEYDDDKDISGNNNLNSTEEIHHHNYSNLPRKGFSVPVKVPIDRGQQIGPVLVPCVAGDGGVQGLGWYARRLKIDEDGDVADEFLVENILETEEIHQQQKQQFVPKFEVKLNMKSAKARNPILSREGRIQHYVEYRGRLLXXXXXXXXXXXTPLHLLFATLRRIVYRFKRRILYCCVLWF >cds-PLY67717.1 pep primary_assembly:Lsat_Salinas_v7:4:2400898:2404276:-1 gene:gene-LSAT_4X261 transcript:rna-gnl|WGS:NBSK|LSAT_4X261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATFSPSTHCPSSSAYSNSSSIINGSNRLHQRIGLSSILPKRCRSRKLSLQQSSNGVSLSKAVHVQDGQEMGCFFQHENATSEVRMLTKLLAKGTTAKLCIRVESKRSHKDELRSLGASTHCRILYICIDCATNMKTSPVENDDPVKILKDGILSVPVVPPPEEVKDIASFDFDKDKSTVSITVVGASGDLAKKKIFPALFALFYEGCLPEHFTIYGYARSKMSDAELRTMVSKTLTCRIDQRENCGEKMDHFLERCFYHPGQYDSEENFLELDRKLKEHEAGRVANRLFYLSIPPNIFVDAVKCASSSASSANGWTRVIVEKPFGRDSESSAALTRSLKQYLDEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQFIFAEDFGTEGRGGYFDNYGIIRDIMQNHLLQMLAIFAMETPVSLDAEDIRNEKVKVLRSMRPLRLDDVVVGQYKSHTRGGVKYPAYTDDKTVPAGSLTPTFAAAALFIDNARWDGVPFLMKAGKALHDRRAEIRVQFRHVPGNLYNKNIGTDLDLATNELVIRVQPDEAIYLKINNKVPGLGMRLDRSNLNLLYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELNAAWSLFSPVLKELEEKKIVPEYYPYGSRGPVCSHYLAAKYKVRWGDIGSDQQ >cds-PLY95511.1 pep primary_assembly:Lsat_Salinas_v7:4:143309899:143311211:-1 gene:gene-LSAT_4X88480 transcript:rna-gnl|WGS:NBSK|LSAT_4X88480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMEIMSNFDHDNRNPRVLMHNALIVRRLSFSYHKLPKQLLKLSVLKLDGSSFGVEVSMNATVADLKLVLEEFFRFLPKEKRCIVSWSHVWGHFCLCYKGQKLLNDKAYIRRLGIKDGDQIKFVRHVTINYRPTRQQMIKNQSDELKQPSISSDDARNCDSIEEDEEGESMFIHGSKVANFLKGWFSYRKFRNSIV >cds-PLY80050.1 pep primary_assembly:Lsat_Salinas_v7:4:349345681:349346816:1 gene:gene-LSAT_4X172100 transcript:rna-gnl|WGS:NBSK|LSAT_4X172100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHYDVSIDFGFSYLTCHGKFGWKVDHLKPCKSNSILNQGKVKHVPRQVPFIIESVSNSSNDCRDSNELNQFDWVSNGLWLNFNHPDSLVSSLTNQGCKDEPIFDLHRKPLITSCDSKFEVEGLFNDLYQHQPDIVVVKEENRLGEKENKYDEDKFIWVEKVIKEEISYKEDRMVQYFLHVFSLLFSSYEHDTKSAIDIIDFYGGKNRNIGVRIGVLLEKILAMQACGLLVLITKSVYVPCLEESLGFWIWSTVYLHENTLRVADTAVKMMVWAAKQSDMWVNDNGGTKKMIKRTEDELKLRETMMNNRSLSERSLRDSYLFSWATVGCLGFSSPFSTTRDQEDKGDPFSSSP >cds-PLY87889.1 pep primary_assembly:Lsat_Salinas_v7:5:3377788:3378644:1 gene:gene-LSAT_5X2240 transcript:rna-gnl|WGS:NBSK|LSAT_5X2240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDISIPEWFSNAQDELGFRTGSPQSSNDANNNSSFFQNSVCDEFEILHGDVTGLPDTINFFKSLAELHVSHYSEKPYIRERKAATALFNWEEEIYVARAPGRLDVVGGIADYSGSLVFAGRNRLYIGLYALRIISLEN >cds-PLY94546.1 pep primary_assembly:Lsat_Salinas_v7:2:157252285:157253627:1 gene:gene-LSAT_2X81601 transcript:rna-gnl|WGS:NBSK|LSAT_2X81601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRQNSSPIKFMAATTITDPLVKRKTKVCFSIAAYSKDLIANLHHHSNVPIDQGLTQQELSAIEASFNFAFPPDLRSILREGLPVGQGFPNWRSSSSQQLDILINLPILGVLKEVHRRKFWDSRWGNRPEKDDDAVQLAKQFLKYVPVLVPVYRNCYIPTIPCVAGNPIFYVNGLDVRLWSYDVVGFFQQTEFKGGVLRPRSLGHFLSVPLWAATESRTIEFWTELTDLRRVTPVNGGETEKRWWGGNELRRFLEDVRLKLRNGGWKEEDVDEMMMVMEMNGGDEKSSSSSSSPAPSPSSWSSSSDDESGPGGVNYVRMLSGMLLRAGWSRGDVMESLGCLTIEEDRTVEIENECGGGDSLFDFEYNNYSCVREGQSRC >cds-PLY89619.1 pep primary_assembly:Lsat_Salinas_v7:9:39416338:39421297:-1 gene:gene-LSAT_9X35780 transcript:rna-gnl|WGS:NBSK|LSAT_9X35780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVPPSPVTFSGSLSSGTSASDLLRSSSNGINGIPLRTLGKGHVNTGRKGLSITAKVRKVKKHDYPWPQDPDPNVKGGVLSHLSPFKPLKEKPKPVTLEFEKPLMDLQKKIIDVQKMANETGLDFSDQIISLENKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHVFNITEKFVELHGDRGGYDDPAIVTGIGTIEGRRFMFIGHQKGRNTKENIQRNFGMPTPHGYRKALRMMYYAHHHGFPIVTFIDTPGAFADLKSEELGQGEAIAHNLRTMFGLEVPIISIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKAAPKAAEKLKITATELTKLQIADGIIPEPLGGAHADPQWTSQQIKKVILESMDELQKMDTPQLLKHRMLKFRKIGGFQEGLPVDPEKKVNMKQKEEPKPGLISNQALQSEVNRLKEQIMKAKESSSMAPDMDQNGLINKLKREIEYEFSEAAKALGVQDKINQMRDNFDTEKELTSDQIEDLENLKKEFNEKLSTAPNYGKLKYKLNLLNEIKEAKAFAENYKKTLPLKTKVNETFKQVLENPILKNKIEGLKAEIEKLGGEGLDEDLKEKMMEVKAEVETEFLNALEASGVHVASRMGQASMEMVKAKVNELNAEINQIIQDVVENTPELKSKLELLKFEVIKDKKNPSKESKEKIKDLEIQLKEEVAYVMTAAAVKEKYERLQAELKELSGGSDGSLIKEPSYV >cds-PLY64009.1 pep primary_assembly:Lsat_Salinas_v7:4:190337138:190339827:1 gene:gene-LSAT_4X109081 transcript:rna-gnl|WGS:NBSK|LSAT_4X109081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRKLSASFLSSLFIIFYFLSTNARFTPSDNYLIDCGSPHNTILDDGRTFKSDPQSVSYLSTDENIFATSNSTPENETLPLYRTARIFDSESVYKFLVFQPGRHFLRLYFYPLHHPSYNLTTAVFTVKTDQGLVLLHDFSATKNSDSHFKEYLINVPSDDFSLVFSPLKKSFAFVNAIEFVSAPDELVPDSATIISPPGVFNGMSDYDLQIVHRVNVGGPMVSPKNDTLSRTWKSDSHGYMMFPKGDKLVSVDPSTITYPVGGATSLIAPNQVYSSAASMEESGVSNANFNLTWEMKVDSGFNYFIRLHFCDIVSTGMNTLYFNVYVNNMMGISGLDLSSLTSNLAVPYYKDFVVNASAVSNGLIRVQVGPSDLESAIPNAILNGLEIMKMRNAAGTLDGLFSSGSNSRGVSHTTTIAEVVGVGAAAIALLLLIFTFIRNKNKAKGWDQGGTSSKSWFLPLNASYCSTLLSSKSKSKNGYSSVFSSNIGFGRSFTFNELRDATKNFDESVVIGVGGFGKVYIGEIEAGTKLAIKRGNPRSSQGINEFQTEIQLLSKLRHRHLVSLIGYCDENSEMILVYEYMANGPLRDHIYGSTLPSLTWRQRLEISIGAARGLHYLHTGGSSHGIIHRDVKTTNILLDENLVAKVSDFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEILCARPALDPALPREQVNLAEWAMAKQRKGVIEMIVDPRIVKTICSESLIKYVEAAEKCLAEYGVDRPSMGDVLWNLEFALQLQDASLQLDPPEEDDTCDAKTNKIMASEKSKKGDETNNDSDVSILINDDSGIVIGSPLFSKIEDFEGR >cds-PLY71541.1 pep primary_assembly:Lsat_Salinas_v7:7:28938514:28939833:-1 gene:gene-LSAT_7X22621 transcript:rna-gnl|WGS:NBSK|LSAT_7X22621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKRSGITPNKFTYSSVLKACSGLGLQYLGQQVHSFLTKSEIELDLHLCCGLIDIYSKCGEMDDAQRVYDMMPKKELIALNALLSGHCENGNDIEAMTLFAKHMDEIGFDETTLIKILKSSANLQDVYVSEQIHGFSLKSGFQSDPFVINNLIVSYSKCGHVEMAKMLFDELDTADLATFTSLINGYAQLGQGEEAIKLYLKMQDLELKPDSYICSSLLKASTILSAYEQGKQIHVHTLKSGFLSDVFTANSLVDMYARCGSIEDASRAFFEVPEKGIVSWSAMIGGLAQHGYGKEALSLFDKMLKDGIAPNNVTLASVLSACNHAGLVTQAKTYFETMEGVFGIKPTQEHYACMIDILGRSGKLDEAMDLLSRMPFEANASVWGRFLVLQELIKTLTLVNGLPESFLFLNRKNRAPVLFLRIYTHRLVYGKRLRIQGG >cds-PLY78291.1 pep primary_assembly:Lsat_Salinas_v7:2:110982032:110985714:-1 gene:gene-LSAT_2X51341 transcript:rna-gnl|WGS:NBSK|LSAT_2X51341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVTTGVPFRAPLWPIVIALTVFGIANLIAVSADPYIYSSPPPPYMYKSPPPPVHSPPPPYLYKSPPPPVHSPPPPYLYKSPPPPVHSPPPPYLYKSPPPPVHSPPPPYEYKSPPPPVKSPPPPYYYKSPPPPVHSPPPPYLYKSPPPPVHSPPPPYLYKSPPPPVKSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPTKSPPHYYYTSPPPPKSYYPVPYPHHKKLIVKVVGKVYCYSCYDWKYPIQSHAKHHLKGVVVEITCKAVGQKEIFAYGKTKINGKYAITVEGLDYSKYGGAKACTAKLHMAPNGTKCNIPTNLHGGLKGAVLMVKSKNAYEVVLEAKPFAYAPKTPSTLCEKPKPKPEPTPSPYYYKSPPPTYLYKSPPPPMKSPPAYHYTSPPPPKKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPKYHYTSPPPPKKSPPPPYYYKSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPMKSPPPPYHYTSPPPPIKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYSSPPPPVKSPPPPYHYTSPPPPIKSPPPSYHYTSPPSPVKSPPPPYHYTSPPPTMKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPTKSPPPPYHYTSPPPPVKSPPPPYHYSSPPPPVKSPPPPYQYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPVKSPPPPYHYVSPPPPVKSPLPPVYIYGSPPPPTNY >cds-PLY77259.1 pep primary_assembly:Lsat_Salinas_v7:3:57432238:57432792:1 gene:gene-LSAT_3X44561 transcript:rna-gnl|WGS:NBSK|LSAT_3X44561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPLCSPPLAIASLTNGRRPSHPTATLETFNLSATAFASRDFPNHMTVEILEADYLFETGTMMTYVGLAPPGDVGSSRNRISESC >cds-PLY92476.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:712914:717719:1 gene:gene-LSAT_0X10721 transcript:rna-gnl|WGS:NBSK|LSAT_0X10721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAFALFVGFVVGALAVIGVEVVGVLFFIQKLGRKTKEDAVKVAKSRSYEREEPPFSFPDKQGWVWILEKEKIPKTLPSFDKGSRSQKRKNEIVEVSPVRKHASIKDLSLILIEPDGNLTKIPLSGCTVEAVSATNLPTRKWAKKYPVRVENKSSVLYHGSKLFYLYFETSSEKESWCKALRLASCDDKEKLKWFQKSLSDFHNYLGSLNVEYPSFLKPSIGFNPETGDKTIKIDTSSSKVRHFLKKLAKKTSKAGREDKKEKSNTVQESSSVGSSSKHFQTHKKPNHSTEEEIVQALLTPRSDTDSTHSINKIASDDGTLCCNLLISRLFFDAKSNADLKNSIQARIQRTLSTIRTPSYIGEIVCTGVNPGNIPPYIHGMRVLPSDLKEVVAMEIDIEYYGGAVLDIETRLEVQELENPETMNSDSKSVKDVTSDLLEGVGYYEEQLKLNQHKGDEIRKLEEVKSFKGDEQASSSSAVSKWKSVLNSVAKQVSQVPLSMAIRVTTLRGTLRVHIKPPPSDQLWFGFTSMPDIEFSLESSVGDHKITSGHIALFIIGKFKTAIRETMVLPNSESVTIPFMLAEKNDWIPQKAAPFIFANPESTTNSTTTTTTTTNTNTTTTTTTTTTTTTTEPTIVHEPHPYQPSQEPQDVHNTSNRSLESVSETDEQNLGADDDHVSVDEKTPLLESEEHGQEADLTVSLRSVDEKREVKLPSWQLHPLPPQPVVVTSEESNDMAEGEDARLRRMGTKAKMLGLRKKMGEKLEEKRRNIEEKGRHIVEKMRGPGGS >cds-PLY78962.1 pep primary_assembly:Lsat_Salinas_v7:3:70322235:70324818:-1 gene:gene-LSAT_3X55680 transcript:rna-gnl|WGS:NBSK|LSAT_3X55680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKSKNFFHNIIKPFGSSSTKESQEEDLSKLAAQDQKVFTFQTLVSATKNFHPDRKLGQGGFGPVFKGKLNDGVEIAVKKLSHTSNQGKKEFVNEAKLLARVQHRNVVSLLGYCVSPEKLLVYEYVPNESLDKLLFKSSTTRDVLDWKRRYDIICGVARGLLYLHEDAHDCIIHRDIKASNILLDEKWVPKIADFGMAKLYPEDQTHVHTRVAGTNGYMAPEYVMKGNLSVKADVYSFGVVVLELISSQKNFTFNLDPDCVNLLDWAYKMYKKGKGLEILEARLASSADPDQVATCIKIGLLCIQYDHHLRPTMSRVVLMLSRKPTTLDEPTQPGYLGSRHRRSHEPGTFSSSEGTSGGSNSTTTAATTTATGTATSSARATSSSDHRHRHRGSTSTGPVSDPYGKRPVDNNRFFTR >cds-PLY83116.1 pep primary_assembly:Lsat_Salinas_v7:6:95011060:95025035:1 gene:gene-LSAT_6X69020 transcript:rna-gnl|WGS:NBSK|LSAT_6X69020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPIPVLTHGYLSWCILVGHIFVIVVSMNSGGYESRNSSGSEIRSWNYIERWGYPELSYNEVTCEGLGGVGSFDTTCLVNSDVNLSGDVYILASGNIEILPHVMIVCPIEGCVISFNLSGNIKVGQNSAIIAGSVILSAANMSMESNSMINTTGMGGTPPSQTSGTPVGYDGAGGGHGGRGASCLRNNETKYWGGDVYAWSTLPYPWSYGSKGGGTSDEHKLGGNGGGRVKLIVKDLLYMNGSLLAEGGDGGVNGGGGSGGSIVIRALKLKGFGIVSASGGTGWGGGGGGRISLDCHSKQEDIKVEVHGGKSIGCPMNGGAAGTCFDAYLLSLRVDNDNVTTETETPLLDFSTAPLWTNVYIENDAKVLVPLRWSRVQVRGQIKLECGGSIIFGLSDYPVSEFELVAEELLMSDSTIKVYGALRATFKMVLMWNAQIQVDGGGSTLYTISVLEVRNLIVLRENSSINSNTNLAVYGQGLLRLTGEGDAIRAQRLSLSLFYNITIGPGSLLEAPLEDDKSKTMVTKAHCENPSCPRDLIYPPDDCHVNDTLSFSLQICRVEDIVVNGIIKGSIIQIHRARTVMVDLDGMITASELGCRNGFGLGNYSNGAGGGAGHGGRGGTGLYHGRLSEGGTTYGRPDLPCELGSGTLGPNESVGRVAGGGMIVMGSKQWPLSKLDIYGSMRADGESYGNATTNSNGTLIGGLGGGSGGTILLFIQAISLFDNSSLSVAGGHGVPVGGGGGGGGRVHFHWSKIGTGDDYVPLAIINTTISTWGGEGDGEALPGEDGTITGRECPKGLYGTFCEECPVGTFKDVEGSDDDLCTPCSLENLPRRAYFVHVRGGVSESFCPYKCRSEKYRMPNCYTPLEELIYTFGGPWPFALVLSCVVVLLGLFLSTLRVKLVGQACAYNKVDSMEHSNHHHFPSLLSLSQVRGTRGDETQSHVYRMYFMGPNTFREPWHLPYSPPDAIIDIVYEDSFNRFIDEINSVAAYDWWEGSVHSILSLLAYPCGWSWKQWRRRYKINRLQEFVKSEYDHSCLRSCRSRALYKGMKVGATPDLVVAYIDFFLGGDEKRLDMVTSIQKRFPMCILFGGAGTYMSPYNLHSDTLLTNLIGQHVPSSVWNRLVAGLNGQLRSVRSGSIRSALVAVINWIKTHGNPQLEFHGVRIELGWFQATATGFYQLGILVMVGDHTLHHHPTDFIGNIQESPRPPIVTKQLQPSQSYTSHVLTRKRVAGGVNGGIINDISLKSLEFTRDYFFPFSLFLHNTRPTGRQDTLQLMICMLLLLDLSLTLLILLEFYWISLGAFLAVLLILPLSLLSPFPAGLNALFSKGHRRASLARIYALWNATSISNIAVAFTCGMIHYGFLSFQTYQEPNAWKNRSEDDDWWLLPMLLMVLKVIQARFVDWHIANLEIEDFSLFCPDPDTFWAYETTL >cds-PLY89604.1 pep primary_assembly:Lsat_Salinas_v7:9:39890346:39892097:1 gene:gene-LSAT_9X37241 transcript:rna-gnl|WGS:NBSK|LSAT_9X37241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHMKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVQSILMQRHVLVDNKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSVRDEEAKFKLCKVRSVQFGSKGIPYINTYDGRTIRYPDPLIKANDTIKLDLDTNKIVDFIKFDVGNVVMVTGGRNTGRVGILKNREKHKGSFETVHIQDSTGHEFATRLGNVFTLGKGSKPWVSLPKGKGIKLTIIEEARKRRAAQDAVA >cds-PLY73526.1 pep primary_assembly:Lsat_Salinas_v7:3:107864217:107865913:-1 gene:gene-LSAT_3X78821 transcript:rna-gnl|WGS:NBSK|LSAT_3X78821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSQYYPGIYDQLIPEQGEVVKPRRRRKKNKADGNSSMMRKRKLSDEQVNLLEQNFGNEHKLESERKDRLASELGLDPRQVAVWFQNRRARWKSKKLEEEYSKLKSQHDSTVLEKCQLESEILKLKEQLSEAEKQINSLVERSDGISSTSPCSSFSMEAMEPHFLGGFGMEGLENVFCLPENNYIHGSLDWMNI >cds-PLY76252.1 pep primary_assembly:Lsat_Salinas_v7:5:30233031:30233619:-1 gene:gene-LSAT_5X15440 transcript:rna-gnl|WGS:NBSK|LSAT_5X15440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGLGFHHWSHDKYAVVNKCKELRNQNILFTTGLMNLFFLEGHEGKTMLEEASALGHLGATFFLRMMMTAEGRQRKQEALDMLNNAYHITKGLKCVCGRLVSLGFLRSLDHL >cds-PLY86279.1 pep primary_assembly:Lsat_Salinas_v7:8:54865873:54866550:1 gene:gene-LSAT_8X40601 transcript:rna-gnl|WGS:NBSK|LSAT_8X40601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLDQLNLFEKRILYSIMCNKRLDFAQLFLDQVIDCITGNKKPVYVPYPCWLGMILACDEGYFNNHGIIIQIHSLSSKIINVAPSDDDLNITQRMKKYIANPYIFQSSNSKEENDEDDDDEKDTDDVEDFDNEEDEPVTDKGEAFVRGMNSLPRLNKHIRFTSTFSSTPSTTDIVQHGSTPPQVETTDPLIQDVISPRPVSPSHQAEFVPPMPTPLETDFYQR >cds-PLY73813.1 pep primary_assembly:Lsat_Salinas_v7:7:69935190:69936566:1 gene:gene-LSAT_7X50340 transcript:rna-gnl|WGS:NBSK|LSAT_7X50340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATSKPPQSSLSLPWKTRITLSILSAVTDASCRKNGTINRRILTLIDFRSPPTSKSINGVASHDVVVDETRKLWFRVYVPTQHAGEDLPVIVFFHGGGFVFLSPDAFTYDAVCRRFARKVPAVVVSVNYRLAPEHRYPAQHDDCFDVLKFLDDEENRSKSLPENANLLRCFLAGDSAGGNLAHHVAQRACEFNFRQLKVAGVVAIQPFFGGEERTDSETRLAGTPVVSVKRTDWMWKAFLPEGEGFNRDHPIINVSGPQAVDISEVKLPPVMLVVGGFDALNDWQKRYYEWLKKSGKEVYLFEYPNMCHAFYIFPELPESGQLIAQVKDFIEKISSNVYIVRIK >cds-PLY93727.1 pep primary_assembly:Lsat_Salinas_v7:3:170028982:170029524:1 gene:gene-LSAT_3X104660 transcript:rna-gnl|WGS:NBSK|LSAT_3X104660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDVNSQLHELHEDMKKEILSIQRDYASLHQKVDIICDTDTRFVKLYEGLSPQITQISKSESANFEEVIKLLKELKEISTTPVSSSSITPKFLSQKFVQFEAILNKQLAPLFRITSLLSTTTAPPGFTGVQEGERKSAEEEAKVVGKLFPSNIPSTKPVIVSAEPATSTIVTTLPIARPI >cds-PLY83503.1 pep primary_assembly:Lsat_Salinas_v7:5:23212201:23212654:-1 gene:gene-LSAT_5X11900 transcript:rna-gnl|WGS:NBSK|LSAT_5X11900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPCEQHGDDTFFETYNDEETYECVVDNNVESSTHEEDLSCSKLEPNEVEEEKHGGLEEDMELSLPAEELNKLADDFIARIIRQRKLEAEYCRS >cds-PLY64626.1 pep primary_assembly:Lsat_Salinas_v7:6:34745461:34747911:1 gene:gene-LSAT_6X24981 transcript:rna-gnl|WGS:NBSK|LSAT_6X24981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDNLENVVGSTNPLSVVSRGGATRSWGTTASGQSVSTSGSVGSPSTRSEAAMAATPASDNTFMRLNHLDIHADDAGSQGAAGNKKKKRGQRATGGDKVGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPRNSDQPPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWRGLPRTTLNDIEELKSERIAIKNRIEKKAAYLRELEDQHIGLQNLIQRNEQLYGSGNAPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFGDGTKNVCTEGSVGSSMFEGGVPMQPRSTITHGRPPPSPPLPGILKGRVKHEN >cds-PLY75727.1 pep primary_assembly:Lsat_Salinas_v7:4:333001732:333002085:1 gene:gene-LSAT_4X165321 transcript:rna-gnl|WGS:NBSK|LSAT_4X165321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFIIASSPPVLQIGCVRIRHGSRPRNWLHHQTHYSPYRRASTMNHEQALSLGMPLSDLDNHSILDLAIDGADVVPDMNLVKGAGHCCKKFVVIVDESKLVDYVDGSGLAMPVEIVPF >cds-PLY73997.1 pep primary_assembly:Lsat_Salinas_v7:1:32944178:32944510:1 gene:gene-LSAT_1X28881 transcript:rna-gnl|WGS:NBSK|LSAT_1X28881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDLDMHDIENNHLDEENHQFGSTDSEILHNEKNQSETQDMNDIGNDHYDEENHQFSSGDSEILHNDGNQSEIQGNSITDIGYSL >cds-PLY73214.1 pep primary_assembly:Lsat_Salinas_v7:8:178824816:178827604:-1 gene:gene-LSAT_8X116041 transcript:rna-gnl|WGS:NBSK|LSAT_8X116041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKHVLLLFIFCIPHLTNGIDFNYPAVFNFGDSNSDTGDLVAGVGDKLESPNGQTYFKGPSGRFCDGRLIIDFLMDAMDLPFLNAYLEALGVPNFRKGCNFAAAGSTILPPSVNAVSPFSFGIQVAQFFRFKSRVLQLQAKSKRFNKYLPDEDYFSQGLYMFDIGQNDIAGAFYSKSFDQIVASIPLVLAEFEAGIKKLYDDGARNFWIHNTGPLGCLAQNIAKFGTDPSKLDSLGCVSTHNQAANLFNIQLHALATKLQGQYSDANVTHVDIFKIKSNLIANYSKYGFEQPTGACCGYGGPPLNYNSQVACGQTKTINGTSITTTVCNDTTEYVNWDGIHYTEAANQHIASQILTGKFSDPPFADKMPFLLNLKF >cds-PLY74652.1 pep primary_assembly:Lsat_Salinas_v7:5:177277443:177279032:1 gene:gene-LSAT_5X79461 transcript:rna-gnl|WGS:NBSK|LSAT_5X79461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATANTISRLATHQFNSRISRHIAPHSSPERKLRTLTVRCAVFPEERRETRRLVSISVVLLHFLSIPKDATASPFDKYVKRKKLEPLEAYVPAIILTEMQIEELGKTLEAEQPQYAACRSLLRAGPAASLRMNIRAVAQYANEVGNDKTASNDVDQCLRALEELDSLLLHASRNEPGASVKSMKSNIGIAVSALNSLLETVPTDILKKGKAIADAYNTPEEDFAPENLDPNLKQLESIL >cds-PLY91983.1 pep primary_assembly:Lsat_Salinas_v7:7:91499618:91499800:-1 gene:gene-LSAT_7X63160 transcript:rna-gnl|WGS:NBSK|LSAT_7X63160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWNSQAGGSFTNTHGIDENCGRNTKITLFLKGYQLEYWEDHSFKELIKKQSDSMSYTISI >cds-PLY89802.1 pep primary_assembly:Lsat_Salinas_v7:2:138308877:138316171:1 gene:gene-LSAT_2X67780 transcript:rna-gnl|WGS:NBSK|LSAT_2X67780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFQERNGNGTPEREPMTPHSLTKMGSRERSSMEDPDGTLSSVAHCIEQLRQKSSSSQDKEFSLKQLLDLINTREGAFSAVSSHSQAVPVLVSLLRSGSLGVKIQAATVLGSLCKENELRVKVLLGGCIPPLLALLKSKEGQITAAKTIYAVSEGDAKDHVGSKIFATEGVVPVLWEQLEKGSKVVDDLLTGALRNLCGTTEGFWSATVKAGGEDILVKLLTHDQSNTQANVCFLLSCMMMEDASVCSKISTSETTKQLLKLLGPGYEPPVRAQAASALKSLSAQSKKARKDIASCNGIPSLINATIAPSKEFMQGEDAQALQENAMCALANISGGLCHVITSLGQSLDSCTSPGQVADTLGALASALMIYGNESETNRASDPFDIELTLVKQFKPRVPFLVQERTIEALASLYGNATLSSKLSNPVSKHLLVGLITMATNEVQDELIKSLLVLCNNEGNLWNALQGREGVQMLISLLGLSSEQQQECAVALLCMLSNENDESKWAITAAGGIPPLVQILETGSAKAREDSATILGNLCNHSEDIRNCVESADAVPALLWLLKNGSSNGKEIAAKTLNHLIRRSDTATISQLTALLTSDLPESKVYVLDALKSLLSIAPITDLLREGSAPNDAIETMIKILGSTNEETRANSAMALGGIFNLRKDLRESSMAIKTLSSVMKLLDSDSETILAECCGCLSAIFLSVKENHDVAVVARNMLPVLLTLSRSSALQVAEQALSALASLLLDNEVVEKLSPQEIIMPATRVLLEEKATRKNHAALAISRLRLDSVSTLTEYVNETGTVLALVSFMESSNSGAIATSAGLDALVVLSRLKGSGDIKPAWAVLVENPESITPIVSLINGATTLLQDKAIEILSRLCHDQCVVLGNAILQSHCTSLIAKRVIDSSNTRVKIGGASLLVCATKVNIQRVMEDLHEANFHSQFIRSLVLMLSSPVSSHSEDMDSISICRCPGEKRTSTTVINGASISIWLLSAIASHDEKYKIQITEAGAVEILTGRISRLLPYSQLDVDEDNSIWICILLLAILFQNRDIIRASATVKAIPALSSLLRSEAPANRYFASQAISSLVSNGSRGTLLSVANSGAANGLISLLGCSNSDLHDFLQLSQEFSLPPHPEEVALERLFRVDDIRVGATSRKSIPALVDLLKPIPDRPGAPFLALGLLIQLSTDSPSNKIAMVESGALEALTKYLSLGPQDATEEAATDLLGILFGTAEIRKHESAFSAVTQLVAVLRLGGRRARYSAAKALENLFSADHIKNAESSRQAVQPLVEILNNGLEKEQHAAIAALVRLLSDNPSRGLTAADVELNAVDILCRILSSNSSMELKSDAAELCCVLFENTRIRCTVTAGRSVEPLISLLVSEFTPAHLSVVRALDRLIDDENLAELVSVHGGIIPLVGLLHGKNYMLHEATSLALVKMGKDRPSCKIEMVKAGVIDNILKILQEAPDFLSAAFAELLRILTNNATIAKGQSAEKLVKPLFVLLTRPELGPDGQHSVLQVLVNIFEHEQCRTDYILSPHQAIEPLIPLLDSNSTAVQKTSAELLSYLLLEEHYQKDSMIQQVIGPLMRVLSSGIPILQQRALKGLVSIALTWPNEIAKEGGVSEVSRVIMLSDSFLTNPLWESAATVLSSILQFSSEFYLEVPIAVLVRLLHSNSEATVIGALNALLVLESDDSMSAVAMAESGAIEALLELLRCHLCEGTAARLLEVLLHNVKIRETKAIKSAILQLSQYLLHPQTQAQQARLLATLALGDLFQNESLTQSSDAVVACRALVNVLIDQPSEEMKVVAICALQNLVMSSRPNKRAVAEAGGVQVGLDLIGSSDGDTSIQAARFIKLLFSNNAIQEYASSETVRAITAAIEKDLLANGMVNEGYLKALNALFGNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDALFLLRQAWSACPPDVSKAQSAAAADAIPLLQYLIQSGPPRFHEKAEFLLQCLPGTLTVTIKRANDLRQSVGNPSVYCKVTLGNSPSVQTKVVSTGPNPEWDENFVWSFESPPKGQKLHISCKNKSKMGKASPYIYTSKKSFGKVTIQIDRVVMMGAVAGEYALLPQSKTGASRILEIEFQWSNK >cds-PLY65735.1 pep primary_assembly:Lsat_Salinas_v7:5:269235403:269237717:1 gene:gene-LSAT_5X140080 transcript:rna-gnl|WGS:NBSK|LSAT_5X140080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQADSSLQAIRYNRGSLQLLDQRKLPLDTIYLEIRDAAEGWEAIREMVVRGAPAIAIAAALSLAVEASKLEEFSGTPNDAASFLKTKLEYLVSSRPTAVNLSDAATKLTEIITNSAATATEANQVFLAYIEAAEVMLEDDVASNKAIGSYGSSFIQNQQKESKKLSVLTHCNTGSLATAGFGTALGVIRALHADGVLEIAYCTETRPFNQGSRLTAYELVHDKIPATLIADSAAAALMKTRRIHAVIVGADRVAANGDTANKIGTYSLALSAKHHGVQFYVAAPLTSVDLSLSSGNEIVIEERSPKELLNTRGGMGEQVAASGICVWNPAFDVTPANLISGIITEKGVITKSGNDFFDIEGFVHKSMSN >cds-PLY72990.1 pep primary_assembly:Lsat_Salinas_v7:9:35121795:35122629:-1 gene:gene-LSAT_9X32880 transcript:rna-gnl|WGS:NBSK|LSAT_9X32880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATMDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLLSKEATVGEKQFSSQGHQGNFSKNEGAGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIEPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGVNFNGLSTTPSSPLP >cds-PLY80842.1 pep primary_assembly:Lsat_Salinas_v7:6:111171943:111174439:1 gene:gene-LSAT_6X67061 transcript:rna-gnl|WGS:NBSK|LSAT_6X67061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMFYRVTLIYHSELSVIKHPHLKRRKSRSQPPPTFVAEPSTQSNSFVGTEEYISPEIITGAGHSSVIDWWAVGAVSGKAAAAFAFGLKAEDGG >cds-PLY67782.1 pep primary_assembly:Lsat_Salinas_v7:9:166513287:166516865:-1 gene:gene-LSAT_9X103601 transcript:rna-gnl|WGS:NBSK|LSAT_9X103601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLGYAEKLSYKEDVGDVGMSEMFDSPDDLQQKIKQLAKMIKKSKHLVAFTGAGISTSCGIPDFRGPKGVWTLQREGKGIPEASLPFHRASPSLTHMALVGLEKAGILKFVISQNVDSLHLRSGIPRQKLSELHGNSFREVCPKCGKEYLRDFELETVGLKETSRRCIDAKCGAKLRDSVLDWEDELPPTEMKAAEKNCRMSDLVLCLGTSLQITPACNLPLKSIRNGGKMVIVNLQQTPKDNRASLVIRGFVDKVMSGVLHLLKMQIPPYVRVDLFQIIFNQFRCTSETKYAKWSLRVTSVHGEKALIPFIESVEVTFPERPELKAAVLHQQPFFLKRETLKSRPLHMVLSINFSEGCGCRFISIDYPVDFEASTEDINEDKNIVIQQLRDMANEDRFCGQSYVIERKIISDSGKTISTYAVATNIAQFDELSPSKTAHISNGEREKMNLGNGVDTSTASVPTKKVRVH >cds-PLY84380.1 pep primary_assembly:Lsat_Salinas_v7:8:79066252:79066911:1 gene:gene-LSAT_8X56660 transcript:rna-gnl|WGS:NBSK|LSAT_8X56660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLVVVAQQDQFHSRSRGRNHGSFGSYPSRDFREINCRTFQSGPGILPSPLKARSPPIAKQSLPTSPKTPSAVPSNVKKHFNSDQNPKHTKRITKSNSVPIPIDIKHVDYSLKDNIRGSLNDNFFYSELWAGPAYSNSPPPSSLPIPKFSIKPKRTVSLNLPSVSASDLDLLTPVAKSAPPSPTREHHKSSRRDFFNSDDEFATKTLRRILNLDLVDE >cds-PLY65753.1 pep primary_assembly:Lsat_Salinas_v7:5:270899092:270899424:1 gene:gene-LSAT_5X141400 transcript:rna-gnl|WGS:NBSK|LSAT_5X141400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHELLRYISKLQSKDLSLCHSMIPLGSCTMKLNATTEMMPVTWQPLQICILLLLPNRHRGSVQEFGGHSVYHNRI >cds-PLY64336.1 pep primary_assembly:Lsat_Salinas_v7:4:24932596:24934477:-1 gene:gene-LSAT_4X17781 transcript:rna-gnl|WGS:NBSK|LSAT_4X17781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDTSTSQSIHMMPPAPPLDSTKELRMNLKDLQAPPGGSDTGCGGGSVKKRGVGDTVSATPKQPRAKKPKKATSIPKKTRHQRSKSIKRNMDVVINGQNQLRGIWIPILVCSCTGVPQQCYRWGTGGWQSACCTTTISMYPLLVSTKRKSARIVGRKMSQGAFKIVLEKLGSGSYNFANAIDLRAHWAKHGTNKFVTIRLIFLLLQMEAE >cds-PLY61982.1 pep primary_assembly:Lsat_Salinas_v7:5:159548662:159550659:-1 gene:gene-LSAT_5X69280 transcript:rna-gnl|WGS:NBSK|LSAT_5X69280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRKALLTRRALWKTNRTNFFYKASLPPVVFMWGLLFLLYIRIGHDDGYRDGLIDLPKDSYTYQTETKSGTIQNHAFINKTQQIHFSFSTEELKEIKTERFLVQDLDEFKNKAFGGAKIHPSNPNPENIIHRLEPSGAKYNYASSSKGAKVLAHNKEAKGAPNILNTDQNQYLRNPCSSEDKFVILELSEETLIDTIEIANFEHHSSNLKGFEVFGSSVYPTESWVKLGIYTAVNVKNSQRFVLRDSKWVRYVKLNLQSHYGTGFYCTLSALRVYGVDAVEMMLEDLVFARNNEVLSNEHESDGKPEAEDELWLEERANVPDPHVGRLPGDSVLRLLMQKVRLLDINLEVLERFLDELNSRYDAEIGERDVVVEKVRKDLRDLHESKDVVKEHVEELESWKSLVSIQLDIMTMDNAFLRKTL >cds-PLY70789.1 pep primary_assembly:Lsat_Salinas_v7:3:135170288:135172737:1 gene:gene-LSAT_3X89261 transcript:rna-gnl|WGS:NBSK|LSAT_3X89261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDQLSKQTSIFGLHLWIVLGVSAGTAVVLLLFLLSLWFTNRSKTKAIPINPQKITSDPNSIPPVNPFQETQRLSGIEKQALLLKQDEDYGHTAHPRIQIEMGKRHPHRVLYPERFGAVSSVTGEHPASVGPEVSHLGWGHWYTLRELESATDGFAAENVIGQGGYGIVYHGVLADKSQIAIKNLLNNRGQAEKEFEVEVEAIGRVRHKNLLRLLGYCAEGAHRILVYEYVNNGNLEQWIHGDVGSCSPLTWEIRMKIIIGTAKALTYLHEGLEPKVVHRDIKSSNILLDKSWNPKVSDFGLAKLLGADRSYITTRVMGTLGYVAPEYASTGMVNEKSDVYGFGILFMELISARYPVDYKRPQEEVHLVEWVKKMVNERCPEKVLDPKMTETPSLRVLKRTLAVALRCVDQNSEKRPKIGHVVHMLESQGESLINESVGLRVASHSSPNNGLNEKETTRLNG >cds-PLY84569.1 pep primary_assembly:Lsat_Salinas_v7:1:30491045:30491518:1 gene:gene-LSAT_1X26340 transcript:rna-gnl|WGS:NBSK|LSAT_1X26340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLRVRYPGKLERHAVPLYETSPPPPTPRFRLSGVISVSSQPMGSSNALESRPGSHTVVTPATASPDPTGSVDHDVVDSKVGIVTIALRIIGKRKVVQANNGPVLRGGSGPIGRRVMQHCGLVRLLSSHPSDPWDVLVIPDDDVQQSEGIERASH >cds-PLY97229.1 pep primary_assembly:Lsat_Salinas_v7:4:94923008:94924757:1 gene:gene-LSAT_4X62941 transcript:rna-gnl|WGS:NBSK|LSAT_4X62941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQQRSHIPKFGSWDADNVPYTAYFDNARKDKGSGIMINPNDPEENPEAFMAYGGNNDDISNDDIIFADKNMKSGGKKSTTSSKVSSERSSISDLSSKQQSYKSDRKKSPNTNTREMTSNFAPPSPSPAPNRPRYGYNPADDIVSHRSASVPMFGAWDEKDPRSGDGFTVIFQKVKEEKHIAATKFPPIPQHDNPNIPKNPKKDSKGIKVCIFLVT >cds-PLY84934.1 pep primary_assembly:Lsat_Salinas_v7:6:14806144:14807318:1 gene:gene-LSAT_6X10780 transcript:rna-gnl|WGS:NBSK|LSAT_6X10780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKELLGILRVFEDGRYERIEVHTIVPAGIDPSTGVNSKDAVYSRETNKSARLYLPKTATPNHKLPLLIFYHGGGFVDESPFDTTYNDFLNLVVADSNVIAVSVDYRLAPEFPVPIAHEDSWEAIKWVAQHANGKGPEPWLNEYADLQNIFLAGDSAGGNLTHNMAVRVGLDTPAGLRFRGAILLHPYFWGTERVGTEADWMSPGLIDSVNELLALAYPGRSGMDDPLINPAMDPRIAGILCSKILLCVSGNDFMRDRTRNYKTLIENCGWKGNVEVVEDNEESHVFFLKKPTSKNAVTLRNRISAFINSA >cds-PLY78841.1 pep primary_assembly:Lsat_Salinas_v7:5:308859237:308861564:1 gene:gene-LSAT_5X166481 transcript:rna-gnl|WGS:NBSK|LSAT_5X166481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSVIFYHPLFPPFTTTTRHTSTYAPPKPPPLSAHKTLTFTCWALGNDDGGSENGLGFGVSASDAGELFPTPEISDAFMTVDAEITPDTVNFFVSDAEGDPDCPSDGFSSVEDALTTLREGKFVIVVDDENGDIEGNFVLAASFATPETIGFVIRHGSGIISVGMTTNDLERLNLPLMSPENEHKSSAPSFTITVDAIDTATGVSASDRAKTILALASRSSGPESFRRPGHVFPLKYRNGGVLRRSGHTEASVDLVKLAGLDPVSVLSTIVNPEDGSIAQLNRLQKLALDHNIPLVLITDLIRYRRKRERLVERVAISRLPTKWGLFEAYCYRSKLDGTEHIAIVKGDIGNGHDVLVRVHSECLTGDIFGSGRCDCGKQLELAMQIIEESGRGALIYLRGHEGRGIGLGHKLKAYNLQDQGHDTVEANLELGFAPDAREYGIGAQMLRDIGVQTMQLMTNNPAKFTGLKGYGLAIVGRVPVLTPITDENRRYFETKRTKMGHIYGSDIPLISPKTYKNDSSDVSDTKL >cds-PLY62450.1 pep primary_assembly:Lsat_Salinas_v7:1:84767874:84778325:-1 gene:gene-LSAT_1X70500 transcript:rna-gnl|WGS:NBSK|LSAT_1X70500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKEQNPIYDIKISSVVPGIISGNNAFQELTPMDLSMKLHYLRFVYYFTAPAFDGMTTRNIKETMFIWLSYAYIPCGRFRRTNSGRPFIKCNDSGLRIIEARCHLNLDEWLKSKDDSRDRLLVPNNVIGPDLEFSPLVMIQLTKFKCGATSVGMSWSHVLGDVFSAVTFMRLWAEAIVHHYPAQPLTMAQQQTQTYNIKSLNSSKDLFSIKQIGPVGDHWSTSNNTKMETFSFHISMFELIRLQAKICQEKSGPQVPLFECICVVLWNCLGKIRQGLGLQTVTVCKSDFGNRTKGIITNKSQTVGVVKTDIPIDECNLMQLGLLMMNHVVDERMKIEEVMKMDDKLPDFLIYGANLTFVDLSDVSFYEFEVRGQKPFYVNCFIDNIDDEGVVLVLPTPKGCSDGRIVSITLPENKVVDLKMVLKDDWQIE >cds-PLY98946.1 pep primary_assembly:Lsat_Salinas_v7:7:49848042:49849408:-1 gene:gene-LSAT_7X34200 transcript:rna-gnl|WGS:NBSK|LSAT_7X34200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMMSGVCARNIRFPPSHDTLKQGEILPSPQPPFLMRQGIGSIGNMVKEEEGLSRLGSRPPRCEHKCRGCTPCFPIQVPTAQVGPQYANYEPEGWKCKCGSIFFNP >cds-PLY77371.1 pep primary_assembly:Lsat_Salinas_v7:1:206595353:206609618:1 gene:gene-LSAT_1X123741 transcript:rna-gnl|WGS:NBSK|LSAT_1X123741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNFNLALPFSDSDGGNQSDHRKIPFSDIIVTKPRDQFCGRKWQPIDIQVALWFTFVHILALFAPFSFTWGAFWIAFSGYLLTGILGITLSYHRLLSHHSFKLPKWLEYMCVYFGVLAAQGDPIFWVSIHRYHHKYVDSNNDTHSPLNGFWFSHMGWLFDSEYMVEKYQERKNVEDLKSQTFYKFIRKTYMWHLFGCGALLYAWGGFTYLVWGMGVRTVWVYHLTFFVNSVCHIWGNQSWNTGDLSKNNWLLGVFFFGEGWHNNHHAFEYSARHGFEWWQIDITWYIIKFLEAVGLATNVKVPTEAQKLKKSNFNSVNKFK >cds-PLY87784.1 pep primary_assembly:Lsat_Salinas_v7:3:172080369:172083606:-1 gene:gene-LSAT_3X105841 transcript:rna-gnl|WGS:NBSK|LSAT_3X105841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIESGGVSHSMLLSEVETSFYHIDSSHDHSLTFDIRGFRASVLKFSLLDCCFHDDTSGFSASADNTVTRLVFYNEREDLLGRHDAPVRCIEYSYAIGQVITGSWDKTLKCWDPRGGGAHENIVPRPXCI >cds-PLY81864.1 pep primary_assembly:Lsat_Salinas_v7:9:181491060:181491338:-1 gene:gene-LSAT_9X111341 transcript:rna-gnl|WGS:NBSK|LSAT_9X111341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRQHQYYLFLLFILFLINATFSTIKGCRLIDSRTEETDDLNVQVLSETSSPAPRARGLRRSLVVVARSGPSPGEGHKHVEDNGIVKRKPTN >cds-PLY77602.1 pep primary_assembly:Lsat_Salinas_v7:2:164753031:164755353:-1 gene:gene-LSAT_2X86520 transcript:rna-gnl|WGS:NBSK|LSAT_2X86520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKVQRSKNGHQTLEENLYKTHTTRHFNSSLPPEPPPAAMNRHSNHPFTFPETLSTVLPDPSSFFSPHLLSSPLPTNSFFQNFVLKNGDQPEYIHPYLIKSSLSSLSLSYPSLFSNSAFTYQIFTADLTISVLNNPNPNQTHTISSFNDLSVTLDIQPSLRFFLVRGSPFLTCQVLQNVALSISTIHAILDVVPNSSKTKFKINLNNGQTWVLYSSTPISLTHDLSTISSDKFCGIIRIAILPNLDSEFESVLDRFSSCYPVSGHAVFTKPFCVEYKFEKKGWGDLLMLANPLHLRLLDNCSVKVVDDLKYRSMDGDLVGVIGDSWVLETDPVSITWHSIKGVKQESYPQIIDALVKDVEGLNSTAIETTSSYFFGKLVARAARLALIAEEVCYLDVIPKIRKYLKDTIEPWLNGTFGSNAFLYDKSWGGIITKQGSTDTGADFGFGVYNDHHFHIGYFLYGIAVLAKIDPIWGRKYRAQAYSLMADFMTLGRGGNSKYPRLRCFDLWKLHSWASGLTEFADGRNQESTSEAVNAYYSAALMGLAYGDTHLVAVGSLLTAMEIHASQTWWHVREDDTIYAADFTKENRVVGVLWANKRDSGLWFAPAEWKECRLGIQVLPLLPITEVLFSDVRFVRQLVEWTLPALEREGVGEGWKGFVYALEGVYEKQIALEKMRSLTGYDDGNSLTNLLWWIFSRDDDDDDAGEDGGRHCWFGRYCH >cds-PLY79938.1 pep primary_assembly:Lsat_Salinas_v7:3:109431531:109432661:1 gene:gene-LSAT_3X80041 transcript:rna-gnl|WGS:NBSK|LSAT_3X80041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSEDCSIRPCLQWIKDPESQGNATVFLAKFYGRAGLMNLINAGPEHLRSAIFRSLLYEACGRIVNPIYGSVGLLWSGRWQLCQDAVEAVLKGSPIVQISSDTEEPNNGPPLKAYDIRHISKDENSGGSNELHRIRTRCRFKRSGSKGKAKTNRVCVGSSDHEESGHDEVNNRSSSHESTLSHQSEAAHVVEGESHETAEESLVSVETAEGSAAAPTMQDKKDEIELELTLGFERSRSPESLGKAAEDSDNSGDTVYRMELGLGYPV >cds-PLY82950.1 pep primary_assembly:Lsat_Salinas_v7:1:18774426:18780576:1 gene:gene-LSAT_1X16420 transcript:rna-gnl|WGS:NBSK|LSAT_1X16420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHQSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHENPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCALFHPKEDLVVSASLDQTVRVWDIGALKKKTVSPADDILRLSQMNTDFFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKIWRMNDTKAWEVDTLRGHMNNVSCVLFHARQDIIVSNSEDKSIRVWDATKRTGLQTFRREHDRFWILGCHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDSLYFIKDRFLRFYEYSTQKDTQILPIRRPGSTTLNQGPRTLSYSPTENAILICSEVDGGSYELYIVPKDSFSRGDTVQEAKRGVGGSAVFVARNRFAVLEKSTNQVLVKNLKNEIVKKSALPVVTDAIFYAGTGNLLCRAEDRVFIFDLQQRLVLGDLQTSFVRYVVWSNDMESVALLSKHSIIIADKKLAHRCTLHETIRVKSGSWDDNGVFIYTTLTHIKYCLPNGDSGIIRTLDVPVYITKIFGNTIFCLDRDGKNRPIVIDSTEYIFKLSLLKKRYDHVMSMIRNSELCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASAKEIDEKDHWYRLGVEALRQGNSGIVEYAYQRTKNFERLSFLYLITGNLDKLSKMMKIAEVKNDVMGQFHNALYLGDVQERIKILINAGHLPLAYATAQTHGLTDIVEELAVKLEGNVPSLPSGRSSQLLMPPTPVLCGGDWPLLRVMKGIFEGGLDNVGRGGNEEYEDAADADWGDGLEIGDEDNIQNGDVTVVLDDDEAPEENEEGGWGLEDLILPDIETPKAASSATRSSVFVAPTAGMPVSQIWVQKSSLAAEHAAAGNFDTAMRLLSRQLGIKNFTPLKSLFLDLHMGSHTFLRAFSSAPLISLAIERGWSESASPNVRAPPALVFNFSQLEEKLKAGYKATTTGKFTEALRLFLGILHTIPLIVVESRREVDEVKELIIIVKEYVLGLQMELKRRELKDNPIRQQELAAYFTHCNLQLPHLRLALMNAMTVCYKAGNLITASNFARRLLETNPTAENQTKTARSVMQAAERNMKDSTQLNYDFRNPFVVCGATYVPIYRGQKDVLCPYCSSHFVVSQEGQLCTVCDLAVVGSDASGLLCSPAQIR >cds-PLY89405.1 pep primary_assembly:Lsat_Salinas_v7:4:123334941:123338018:1 gene:gene-LSAT_4X78000 transcript:rna-gnl|WGS:NBSK|LSAT_4X78000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTSEIWDRKSEVQGVLAMWSLHEQIKQMEVAIEYVAQSSSRRSNWQFMGARWKLLCGLIEEIDYLASNVNGLIEINLEKHKNLSEESVYFWREIQDGTPQI >cds-PLY68724.1 pep primary_assembly:Lsat_Salinas_v7:5:279525115:279526512:-1 gene:gene-LSAT_5X147561 transcript:rna-gnl|WGS:NBSK|LSAT_5X147561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALRHLIIMLPLLFASCSGGADARKGYLCPGGGNGGKGCPCPGGCPCPAAADGGKECPCPGGGDGGKGCHCPDGCPCPGAADSEKGCPCSGGADGGKGCHCPDGCSCPAAVDGGKECRCPGGGDGGKGCHCPDGCSCPAATDGGKECICPGGGDGGKGCHCPDGCPCPAATDSGKECPCPGGADGGKGCHCPDGCSCPAATDGGKECPCSGGADGGKGCHCPDGCSCPAATDGGKECPCSGGADGGKGCPCAGGADGGIGCPCAGGADGGKGCPCAGDAGGGKGCPCAGGADGGKGCPCAGDAAGGKGCPCAGGADNVKGCPCAGGPDGAKGCPCAGGADGGKGCPCAGGADGGKGCPCAGGAEGGKGCPCAGGAEGRKGCPCAGGADGGKGCPCAGGVCCGKGCPCAGGAEGGKGCPCADGSEGGKGCPCAGGADNGKGCPCAGGADGGKDSKQDVTEKGNIKT >cds-PLY96114.1 pep primary_assembly:Lsat_Salinas_v7:3:100023416:100024502:1 gene:gene-LSAT_3X73941 transcript:rna-gnl|WGS:NBSK|LSAT_3X73941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:HIS1-3 [Source:Projected from Arabidopsis thaliana (AT2G18050) UniProtKB/TrEMBL;Acc:A0A178VX64] MSSTGGDKADVVVEKPAAEQAVVKKAKTPKEKKAKTAKTASHPPYFQMIKEALLALKEKGGSSPHAIAKYMEDKHKAVLPENFKKMLALQLKNSASKGKLTKVKASYKLSESGKKKRHRPPPVARSPLLQPKNRSNQSRKSLPPL >cds-PLY64351.1 pep primary_assembly:Lsat_Salinas_v7:4:24456568:24458452:1 gene:gene-LSAT_4X17440 transcript:rna-gnl|WGS:NBSK|LSAT_4X17440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHCGIQQKNTFASTCEEMRNSVSVSVSFDKSEHHMVCPKPRRLSLFNDPVKPLRWQMCYQPEPYESKESIDILEIIFEKGGGYGGSEPFFSGSPPSRVSNPLTQDTRFGYGDNICKSSSEKKIGTVGGNFGNKPAVRIEGFEYARNNCSIPARA >cds-PLY70406.1 pep primary_assembly:Lsat_Salinas_v7:9:124167096:124168607:-1 gene:gene-LSAT_9X83240 transcript:rna-gnl|WGS:NBSK|LSAT_9X83240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSSSRIQDPNQIWYNNVLRTKLAEVKGHQNWVKVTGEYLSFPGGGNQFKNGALHYIDHIKKSLPDIRWGKRTRVILDVGCGVASFGGYLFDRDVFTMSFAPKDEHESQVQFALERGIPAISAVMAMSKLTKAMCWELVVIYSDKLNQVGAVIYKKLTSNECYDNRKQNDPPICGTNDDPDAIWNVELEACMHKVPVDESIRGTNWPKTWPQRLESPPYWLKAIESGVYGKSAPENFTADYEHWKRVVSKSYLNGLGID >cds-PLY82179.1 pep primary_assembly:Lsat_Salinas_v7:1:13616854:13618122:-1 gene:gene-LSAT_1X11781 transcript:rna-gnl|WGS:NBSK|LSAT_1X11781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVSMVSHDDAEKYQRYFIRSFVQDNRKTKWCCDYAVDFIFGGGTFDVTCGCSYSFCWNILANSKPCPKCKQLKRTKVVCILHVLHLPNLNSAGFVLVRGMIMVKEQVVFMHATVMRQQNKREQKALADLQQMQSTHLEKLSDKQCQPESQLKFITEA >cds-PLY64256.1 pep primary_assembly:Lsat_Salinas_v7:7:2990792:3001527:1 gene:gene-LSAT_7X1960 transcript:rna-gnl|WGS:NBSK|LSAT_7X1960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIDGSFGVEYELQRFLLRCPKLAAAPQLDNLVEKGKILTEKELIDGVAELLLHPRYTIPLLGCFRPIARKVVDRVVALLHLVPDLTSNSNDSMIEFDDGRLFKDNESSDYEQDISVINLYVKYGRGLGLHELSCLAFSRTLDMLPYLTGSVKDYFKFAPPPFKRMMEKESMAQSLSLVQPGTHLLDAVQVSYRLLLAEPEVFAKLWDWSCYMDLIHEITSLNNGENTEILMDIRWCAVQTLTMVLNMSDKFIRKSKTSDLATSDFGFDDEKAYGCLLRWKEFLQDVSLEKAGWYLEPFGENTSVGQPNWSNMEAKWDTLKTGSPFVLTSAVKKSFEMVLLAVSQRWPVLLYGPAGAGKTALISRLAQGHGSQVLSIHMDEQIDGKTLIGSYVCAEQPGEFRWQPGSLTQVSYXVLLYGPAGAGKTALISRLAQGHGSQVLSIHMDEQIDGKTLIGTYVCAEQPGEFRWQPGSLTQAIRVSESFRLFSTVSSSRLDASSMTTGRNSLGALWRRVMIGSSSSSDLESILKAWYPDLESLCGKLIETFEKVNHLTGFQLGTCVTLSSAGRDLLKWCKIIAGLGFHFMGERLSGHVAKCIYQEAVDVFATSSSSVEARMRIMRELAEIWGIPISDAETLFPVDKPDIQDLRSDIRIGRVTLQLTRIPSSSVNKSFVEIRTSMHILERIAGSVKYNEPVLLVGETGTGKTTLVQNLAARLGQKLTVLNLSQQSDVADLLGGFKPMNAQFLCLPLYQEFENLFRSTFSSKDKENFLAILRKSVSGKNWNVLFSGLQKGVRTVVKIGKQKPGVKRKRPLGEKLIKSWEKFSLKLEAAHGQINASDGMVFSFVEGVFITALKNGDWILLDEINLAPPETLQRVIGVLEDEGGSLCLAERGDVDYISRHPNFRIFACMNPATDAGKRDLPLFLRNRFTEYFMDDVLEDEDLKLFINRFMEAADNSVKDITTKILKFYKIAKKESEERLQDGANQKPQYSLRSVYRAMEYTKKARRAFGFLKAIYDGFSMFFLTMLDPPSANLMKKLITGYLLDGKDPTHVSFDRYIVVGKNTKNDEFLENYVLTKSVRDQLSNLACAIFIGRYPVLLQGPTSSGKTSLVQYLAAITGHEFVRINNHEHTDLQEYLGSYITDASGRLVFQEGVLVKAVRNGHWIVLDELNLAPSDVLEALNRLLDDNRELYVPELCETIHAHPDFMLFATQNPPTFYGGRKMLSRAFRNRFVEIHVDEIPQDELSTILENRCKIPGSYAKKMIEVMIDLQKHRQSSKVFAGKHGYITPRDLFRWADRFRMFGKSYEDLGRDGYYLFVLDRINSLVEQSGSITVNECGTIEGKPMVLHPHPQFRMFLTVNPTYGEVSRAMRNRGVEIYMMQPYGENELIDVKRFLALSDIPGEHLVNAMAKAHLSAKRDGLKHNVSISNLELMRWVQLFQRLVTNGNQATWSLKISFEHTYLSSLGEIEGKDIINEAISCYLSTQELHNCSSLCLPGGWPTTLNVRDFVWYSRETSVKQNCMYLEYLAAQMASHSFRASFGRMDNVLCPSDSMRAYLVDLETLHAMTFPKADVAGLDKVNQTELDFAFMNKRLLFAANWTMEQATESDLKLYLGDHLNRHCSFFSSFRKLLEKELDHSIWNCIRTSHGAQYSSPMLSLDFGKSTPSESESNSRNLSNAVKCVGLLRVSYQQWHNESEFKYSEQTRCFIPVLQSLKRLEERILDMLVESPFFDVLFVLYKELINDHLSAWNGLVSCQYDCMLISWRSLVKDAKKLKEFCANEVENFQNEMKNLERVLSWSLSSQRSLLWAYGGHPYSPSSVEIYRKQQQLVNLCHSIWTKNINLQELAHKGYIEAAVATNPELRFLAMQGVCMSAFIMSKVDEDDHDVLNQLEEMYQVLSSKFHYEKDKMERNAGTNDKASLFGALSSCCTMNPDMLCLPSGFNCWLDTLPLNDNNSFGLDMRLLQELSNIITLDGKDLESGLSRLRWLLESTLKFSLNSSSRPPTDFSPYQKISWVLEAWTSVNNAHASISSTVLEMWFNWHTSLWKHHSNREKKNSSQHGNADLVPDMLFQPVATLALDKILGNPIGIKDLNAHSLKLGVASRNLWLSSPHVADSHSFFLSSARSLFQQIILAHERSFEADKYSLIKSIFFSAQQADVRVIISLLASSTHHVLKSLIRPFIEPLLHALYVKNSADSLFDLGCAWLHIGGLRYHLLLCCDDVDPAVKKNLKYFQLTERIASLELDIEVRKECVQLAGCFSLSEADKEKTELLNDLKAERNRLQKQVVFRSDPRKFKKLRSECDEFMKLVATPFDLLKNIGNLSMQGVADQVHNWQETATRFVDRLSNEYSEYVDIVQPVQIAIYEMKLGLSLVLSNSLRDQFLDRVGQKDIDVVLGAIYSFMRFPRGISGKDDSCVDDSFHVKLSSFDKAFPTYIGEADVAMVETLVTSAKDNNSDEVVC >cds-PLY64639.1 pep primary_assembly:Lsat_Salinas_v7:6:35849195:35852665:-1 gene:gene-LSAT_6X28461 transcript:rna-gnl|WGS:NBSK|LSAT_6X28461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 4-phosphate 5-kinase 9 [Source:Projected from Arabidopsis thaliana (AT3G09920) UniProtKB/Swiss-Prot;Acc:Q8L850] MTGPVAIPENVERTLSFSNRTRSLDSVSFRNNDTTSNGDQTARSSTESVAFRYGDLKLPNGECYSGHLLGNVPEGSGTYVWSRGCKYEGEWRHGRWHGYGKLRWPSGARYEGEFSCGYMQGTGTYVRSDRLVYKGRWRLNRKHGLGFQTYPNRDSFEGSWIQGSPDGPGKYTWANGNEYLGNMKGGKMSGKGTFTWTNGDSYEGSWSNGVMHGFGAYTWSDGGRYVGTWTRGLKDGKGAFYPNGCRLPARQELYLKALRKRGLLSDLQKVNQVSRIQHASSIDMGNFKVGGGRSSSQRNNNVSLERRWSLEVAIEKVMGNDLASYMSDAAFSNGDDDTKTPILEREYMQGILISELVVDSSFSNGSKREMRRQRKLVREVKRPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPVQKREVRVSDFGPRASFWMQFPKEGSQLTPTHQSEDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVLLRMLPDYHRHVRTYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSADKVEIDENTILKDLDLNYCFYLEPSWRDSLLDQIKIDSKFLEAQNIMDYSLLLGVNYRAPQQLKSLMSYNQSVTADGLGIVAEEETLEDEISPQGLVLVPRGSEDGSVVVGPHIRGSRLRSSATGDAEVDLLLPGTARLQIQLGVNMPARAELLPGVEEKQMFHEVYDVVLYLGIIDILQEYNITKKIEHKYKSLQFDSLSISAVDPTYYSERFLHFIQKVFPQNVNAN >cds-PLY81578.1 pep primary_assembly:Lsat_Salinas_v7:1:134050359:134051956:-1 gene:gene-LSAT_1X100781 transcript:rna-gnl|WGS:NBSK|LSAT_1X100781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVNPFSSLTSGNKQWVDRISKILKKQLAIKVDTPPLSIFQIPQILKDQKPEVYVPQRIGLGPNHHFRPELYQNMEQNKLTSVKRVLKSNKVQVSEDQVVDKVKEIIPIICACYDLYLDADDDTLAWLFTIDSLFFIDLLGAYIDQKVAIDAKDIIMLENQIPIIVLKEIQNVLSGNYDEAQEDFWESKFGYFCKCHSPFILSKEKIDFSRVNHLLDYMYQSIVTNEESISPEVYFQKSGSGPSEKDDKLELLEMFIQLMALIPGTKPFLQIFESFMKTFSESIEKMVTAEEIKVPSVSELRDIAGVKFHLSPTDGGIRNINFVGENERFCYLPLITLNIDSEVILRNLVAYEQLMAKKSFTTGYGLELTEYVDFMCGIIDSVKDVWLLREEKIIIGDLGNEDIVKLFNGIGRSHGKMNRVSDLRKTVYQLNKVYQSTPRVWVEKQLRASAKMITFLISISSTLILIREVYLKDYGLNPPNMNLDDIVRTTLSSFFH >cds-PLY70327.1 pep primary_assembly:Lsat_Salinas_v7:4:100202455:100209431:1 gene:gene-LSAT_4X65420 transcript:rna-gnl|WGS:NBSK|LSAT_4X65420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQVVSSKKQKLDNGDKEQTVEKKNFGMEGEENTKEEQHNSSEEKKAEVSVSPTINSAETTEDAKRGRVSIKYEEKDRDDNSKEDHQTPTRSVEMHLAIKSAETTQDANRTSISNEDGDDNSKEDHHHHLETPTKSVEVPPAIESTPTTPVAKRARISNDHEEEDKDDNSKEDQPQLETPKKSDIDVEMFDASSSKKTAQTSLSSQSPGSKIVLMDNLSFSIQAKDVKNFFKNVGEIAEIHFDMKEDYFTGHGHVEFTTAEAAKEALKLNYTSLLDKPVKLYLATESDHQTTSHATGCKTLFLGNLSFSIEEDDVREFFKDVGEIAGIRFAIRNDRFMGYGYVEFTTAEAAQEALKLNNKVVLDRKVKLDLARERGASTSGSSFEKSNQSGGHAQGRTLYVRGFDYSHGFDNIRSTLEKHFGKCGEISRISIPKEYESGAPRGVAFIDFVESNAFSQALRLDKSEIGGFRIIVEEAKKGRADGREGSGYGSARGGSGGGWGRESGSGGGSSRASDAWHRESGGGNDYGRPGGGWHGESGSGGGSGRVSGGWHRESGSGSGGSDYGGGGSGRASGGWRREGGYGGGGNDYGGGGSGRASGGWHREGGYGGGYKGILGRGSGYGGGFSSSRVGMFNGGYGSSRGGGSRR >cds-PLY68412.1 pep primary_assembly:Lsat_Salinas_v7:8:22605594:22607699:-1 gene:gene-LSAT_8X17020 transcript:rna-gnl|WGS:NBSK|LSAT_8X17020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKHLEKQDELLMDAYRSMSHELHKLQVEEEMLMRAFYDLMASQGLATKRQDGTSVLEDIEPPQSKHLEKQDGLLMDASRSLSHEWQKLKAEEDMLMHAFSDFMEAQGRTTKKKDGRDVLEEINRPHSKEVVNVDFVGCPNIKIN >cds-PLY78525.1 pep primary_assembly:Lsat_Salinas_v7:1:102955057:102955263:1 gene:gene-LSAT_1X84061 transcript:rna-gnl|WGS:NBSK|LSAT_1X84061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRQQSSSSLTASSSTTGTMTARDENPTMPEGFPLFPLLVFGISGHGGCRRCSCLVGTTRGSGGGD >cds-PLY62777.1 pep primary_assembly:Lsat_Salinas_v7:4:290846339:290847002:1 gene:gene-LSAT_4X148460 transcript:rna-gnl|WGS:NBSK|LSAT_4X148460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVIYNTPPNSPGDTPPPSPLSSNPPPPPSSSLPPPPPPSTNLPPPSISPPQYVNETTNDQLIPKTVDQSETNDYEGFLDLGIMLQVVDHVIPSNVVYPDSYFEGEILEGTNSDITSDNDLLNSRKRKDSFLGGANDVESGSSSTIGNPFAPPPSNKSKHIFFEFLAEV >cds-PLY91806.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1594557:1599299:-1 gene:gene-LSAT_0X360 transcript:rna-gnl|WGS:NBSK|LSAT_0X360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNGSLDQWIYYGDRKQVLEWKCRKKIILDIVKGLTYLHEDCRQKIIHLDIKPQNILPDEDFNAKVSDFGLSKLKRSQPMLPTILSAPSFFFGFFLIFSSIPAQLYYFSVYLSTTWINHESSNPSTNSSDGTRIRVILLNSYRFACGFFCNGTCTSYLFAILLNQYDPTVIWSANRDNPVREGAMSPESCAC >cds-PLY91590.1 pep primary_assembly:Lsat_Salinas_v7:7:70202754:70203458:1 gene:gene-LSAT_7X49520 transcript:rna-gnl|WGS:NBSK|LSAT_7X49520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYIRVKRNKTTYFLQCDATEKILDIKQKLHDLIDQPINDQRLILVASGEVLDDSKTLADQKVENDAVVALVLRKDDNEFEDVNIVKPDDFYQSRDADTTSNW >cds-PLY84047.1 pep primary_assembly:Lsat_Salinas_v7:6:186243637:186247146:1 gene:gene-LSAT_6X113180 transcript:rna-gnl|WGS:NBSK|LSAT_6X113180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPYDSDYCDDGDYCDEDDYYEFDHHDDCDRRADVALTSRNGSSCKVITKECVSTAQREDLQRVMDLLSLREHQARTLLIHHRWDVDKVFQVLVENGKDRLYNEACVMVSNDSNVSSKNRSSIVLCEICLEEVPFYKMTTMDCGHYFCNTCWTEHFVVKINEGQSRRISCMASRCSVVCDEDKIRNYVSKKDPKLAEKFERYLLESYIEDNKRVKWCPSVPHCGNAIRVEDDEYCEVECACGVQFCFSCSSEAHSPCSCQMWELWSKKCQDESETCNWITVNTKTCPKCHKPVEKNGGCNLVRCICGQPFCWLCGGATGIQHTWDSIANHTCGRFNEEQIANTKRAKIEIVRYSHYHSRFKAHTDSLKAEIIMKEKLERKIVKMEARELEIKDYDWVRNGAKRLFRSRRILSYSYPFAFYMFSDTFLSDELTNLERNIKQNLFEDQQQQLEGHVEKLSHFLEQEFEEFSEDKVMDSRMRIIALSKVTDALCKNLYDCIDNEILLHLRTTINIAPYRSMGADKAVELDK >cds-PLY97084.1 pep primary_assembly:Lsat_Salinas_v7:4:74851263:74858357:1 gene:gene-LSAT_4X50280 transcript:rna-gnl|WGS:NBSK|LSAT_4X50280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFFNTCLWNSNSTTNHLLLPVLHHHPPTTDHHHRSPTWDLKNDLKHNTVTLTRGVMHTREEVEGKRLSMKVAIITGGAQGIGEATARLFIKHGAKVVIADIQDDLGQAVCKDIGLDNALFVHCDVTVESDVENVINITLAKYGRLDIMVNNAAIVDDGKLSILDNDMLDFERVMRVNVTGVFLGTKHAARAMIPARRGSIIMLGSVSGSIGGIISHAYSSSKHALVGLTKNTAAELGQYGIRVNCLSPHFIPSPMATNYVQDHPDKYSKVYTNLKGILLRIEDVAEAALFLASDEARYMSGHNLVLDGGFTVINPAFGLFARASPIE >cds-PLY98448.1 pep primary_assembly:Lsat_Salinas_v7:1:9424174:9424626:1 gene:gene-LSAT_1X7001 transcript:rna-gnl|WGS:NBSK|LSAT_1X7001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNPNILFRDGLLFFLEADQEGKTMLKEASALGHSDSTFVLGMMLMAEGRHRKQEALDMLNNAYRRTKGTWSHRATYSKVRLHLNREERKHVHFHGFYRTCVMHKFVISVSNPFVNG >cds-PLY96482.1 pep primary_assembly:Lsat_Salinas_v7:2:124453657:124454787:-1 gene:gene-LSAT_2X57840 transcript:rna-gnl|WGS:NBSK|LSAT_2X57840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNICRNISVSGGIPANYKPEQQLLSPSCSKLGSLDDLFSGHSMEEGDFNTEWLSIFVEECLSSSGSYMPLNPQSTTSNAQVTNTTTAETEVKMKMKMKPHSGNYLSMHKLVVPCKARSKRKRKSTSRLCMSQEEYPMNTDPPLLHQAYWLADSELILPNKGTSDNSTRSEDYGQGRYKEMKHEEEEGSSGGQGRRCRHCLSQRTPQWRAGPEGPKTLCNACGVRYKSGRLLPEYRPAKSPSFVSYKHSNSHKKVLEMRMCS >cds-PLY77897.1 pep primary_assembly:Lsat_Salinas_v7:1:26658397:26660616:1 gene:gene-LSAT_1X22460 transcript:rna-gnl|WGS:NBSK|LSAT_1X22460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQMEFLGMDFSCVFGSLSDGKFPEKDCLLPLISKLLGYAIVAASTTVKLPQIMKIMQHKSVRGLSVMAFEMEVVGYTIALAYCLHKGLPFSAYGELAFLLIQAIILVATIYYFSQPVSNSTWIRALLYCGIAPTILAGKIDPLLFEALYACQHAVFFFARVPQIWENLKNKSTGELSFITSFMNSLGSIVRVFTSLQEGAPTSVVMGSVIGILTNGAILTQIIMYQKPQPPKKGRKKAD >cds-PLY77675.1 pep primary_assembly:Lsat_Salinas_v7:9:19595547:19597953:1 gene:gene-LSAT_9X14760 transcript:rna-gnl|WGS:NBSK|LSAT_9X14760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSISRSSLLLSLLLSLAFTTIFSETHNYEFKVQEQAATRLCRNHRIVTVNGQFPGPTIDVQNGDSLAIKVTNAAPYNVTIHWHGLRQLRNPWADGPEFVTQCPIRPGGSYTYRFTITDQEGTLWWHAHSRWLRATVYGALVIRPKSGSSYPFPKPTIEFPVVLSEWWDRKVISVLRQALFTGAAPNVSDALTINGQPGDLFRCSSQSTTKLTVNKGDTVLLRVINAALNQQLFFSVANHKLTVVATDAVYTKQFTTNVIMVGPGQTTDVLLTADQQPGRYYMAARAYASARNAPFDNTTATAILEYKSANSQPILPQLPAYNDTNTVTAFSNQIKSPGKVMVPTKIDENLFFTMRFGFFNCTPGPRCQGPNNTRFGASMNNVSFVLPNRVSLLQAYTQKIPNIYTPDFPRVPPLQFDYTGNVPRGLWQPVKGTRLYKLKFGANVQIVLQDTSIFSTEDHPVHLHGYHFYIVGQGFGNFNPSRDTANFNLVDPPQRNTIDVPVGGWAVIRFVADNPGVWLMHCHIDTHLAWGFAMAFIVENGVGETQTLLPPPSDLPKC >cds-PLY63532.1 pep primary_assembly:Lsat_Salinas_v7:9:25090108:25090476:-1 gene:gene-LSAT_9X22720 transcript:rna-gnl|WGS:NBSK|LSAT_9X22720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEELPKYLYKVHHPFEMFEFPEEKPRSIKPESLLPTFNEDFDEDEFCYWIKDVESGFEYCDVAEDEEVEVVVRCTLPRDGEAFKWWQGIQELSKKVDEKRPIGWNEMKRLFMAKFLYPKIV >cds-PLY72789.1 pep primary_assembly:Lsat_Salinas_v7:4:373246650:373247024:-1 gene:gene-LSAT_4X184261 transcript:rna-gnl|WGS:NBSK|LSAT_4X184261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKCSCGCLAIIRMSSTPSNPGRPLYACPTKGPRNGFICWVEEADTKNMIAEAVMIAGLARSNKKLESKIWKLKMSLVLSWIIFFGIIVYKL >cds-PLY67168.1 pep primary_assembly:Lsat_Salinas_v7:6:162363638:162369836:1 gene:gene-LSAT_6X99560 transcript:rna-gnl|WGS:NBSK|LSAT_6X99560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEASEAYLVGLFEDTNLCAIHAKRIIEQQVLSVAKAVEEKIDDEIAALEKLDLDDIEVLRERRLQQMKKMAEKRSRWVSLGHGDYSEIFSEKDFFSIVKASDRVVCHFYRENWPCKVVDKHLGILAKQHIETRFIKIQAEKSPFLAEKLKIVVLPTIALIKNAKVEDYVVGFDELGGSDDFSTEELEERLGKGEVIFFEGESSLKPSKKPQTRNVRHGSKSNDDSDSE >cds-PLY82092.1 pep primary_assembly:Lsat_Salinas_v7:1:128326067:128326628:-1 gene:gene-LSAT_1X96441 transcript:rna-gnl|WGS:NBSK|LSAT_1X96441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELRIEGPLQAHNLADVHHMIFQTTEASLRNVLLHEYIYVASLEVSGNRGVRGVDFILGIGYSSEPKAAPPTGSTVKSFRTRVMAQFKSSFVVASASSNNSRNQGTKKMVLPGFFFWWFHRWTSPHPHANLSEHRTVAAVDF >cds-PLY96877.1 pep primary_assembly:Lsat_Salinas_v7:2:110119991:110121085:1 gene:gene-LSAT_2X51941 transcript:rna-gnl|WGS:NBSK|LSAT_2X51941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPTSAHSQTHYDHLRIRLADIKKATDNFSKDRHIGGGGFGEVYQGELINHSQGQTLAFKRLNRKHGQGTRELWNEIYMLSEYKHPNIVSLLGFYEDDKEGILVYEYASRSGLDLYLDKKELDWRQRLTISIGAASGLAYLHSGGADGTLQKIIHRDVKSSNILLDKEWNAKISDFGLSKFAPANKNISIIHTGAVGTLGYCDPLYVESGSLTKESDVYSFGVVLFEVLCGRLCIPSNQKQGEIRFIEWVKNAYEQQTLNDVICHHLLHEITLESMKVFTEIAYQCLNKDRKERPSMNKIVEALKKALDYQVGPSFPLTLYFHYNF >cds-PLY74256.1 pep primary_assembly:Lsat_Salinas_v7:1:78360498:78360908:-1 gene:gene-LSAT_1X65861 transcript:rna-gnl|WGS:NBSK|LSAT_1X65861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKIIHNGRKHGFSNKNPTKNFRDTRTFAEVTHPRLQHQPQAQVPPPPPRIITIAPDTETSHRLRKISVVGEALSLDHLGHMRSLIHLKETPNFEIKYIGGLKVLVFKDSTVANEFMENRRKMGRLHEMGETWRS >cds-PLY65773.1 pep primary_assembly:Lsat_Salinas_v7:5:269392789:269395325:1 gene:gene-LSAT_5X140220 transcript:rna-gnl|WGS:NBSK|LSAT_5X140220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHLEKIFHRKPIYFRGSFHALCILVFIVLCFNNWSYKKVDRVSLGSNFTGLKITDPTDCSGLNQHKGFENECEYLKANPQCKAGGFFYYLDFFYCDCQKFAPLAYIALVIWLATLFYLLGNTSADYFCCCLEKLSNVLRLPPTVAGVTLLPLGNGAPDVFASIAAFVGTDNADVGLNSISGGAVFITCVVVGTISLCVADLGVTIDKKCFKRDVYTFMFAVLALAVILFIGEVNVGGAIAFVSIYVVYATYVAASEFLRKKEEYAPLLPLANTSEDRLLVSETDGVPHLVKSSKVPHWMWGAHVAMYSEVFNHGLEDNPKTPWGWTDEETQSEDSCSWFSCSKLLTWLEFPLMLPRRLTIPIIDEERWSKFYAVASVTFAPLLLAFLWNRVYNGGQLGEDLIYIGSAVVGCFLGLGAFVSTKIDHPPQKMLLPWVLGGFFMSIIWFYMVANELVALLVSLGLIFQVNSAILALTVLAWGNSMGDLMSNVALAMNGADGVQIAISGCYAGPMFNTLIGLGVSMLIGSWSKRPNSYVIARDSGLLCNLGFIIIGLVWALVALPRNQMRPSKLLGMGLIGIYLVFLCLRIGTAVVVGSLNESN >cds-PLY95823.1 pep primary_assembly:Lsat_Salinas_v7:7:176833605:176834682:1 gene:gene-LSAT_7X104060 transcript:rna-gnl|WGS:NBSK|LSAT_7X104060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFHKPLYLFFAFFFALVSFSSAYTFNVGGRDGWTLHPSESYNQFSGRLRFVVNDNLHFKYDAASDSVLEVSKGDYDNCNTNNPITTLTGGDSFFTLNRPGPFYFISGNRSHCNEGQKLEVVVISPKTKPSHSAAPPPGVSLAPMVSPGSPVSSPPESGTSGPTAPMGSPMSYSSPPEPGTSPMASPFSSPPEYGATPMVAPTGGISASPTGNPSDVNGQSPNGSPEGSPSSSSARLPVSTTLTVSFVLMIVGLVWFY >cds-PLY82723.1 pep primary_assembly:Lsat_Salinas_v7:2:147912324:147914056:-1 gene:gene-LSAT_2X73780 transcript:rna-gnl|WGS:NBSK|LSAT_2X73780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H(+) antiporter 15 [Source:Projected from Arabidopsis thaliana (AT2G13620) UniProtKB/Swiss-Prot;Acc:Q9SIT5] MPPHNVTQDTIVCYAPLMITSHGIWQGDNPLDYSLPLFVLQLSLVVVTTRILVFLLKPFRQPRVISEILGGVILGPSVLGRFSIFANAIFPLRSVMILETMANIGLLYFLFLVGVEMDIAVIRRTGRKAILIAVAGMILPFLIGISFSFLLHRGTQFVKQGTFILFLGVALSVTAFPVLARILAELKLINTELGRIAMSSALINDMCAWILLAVAIALAENERVNLTTVWVILSSAGFILVCIFVVRPLIFWVIQKTPEGESVSEFYICLILTGVMISGFITDAIGTHSVFGAFIFGLVIPNGPLGVTLIERLEDFVSGLLLPLFFALSGLKTSVGAINGADTWGILALVIILACAGKVAGTLLVALLYQMPFYDGVTLGLLMNTKGLVEMIVLNVGKDQKAISISFLSTYRAG >cds-PLY87628.1 pep primary_assembly:Lsat_Salinas_v7:MU040392.1:830794:833611:-1 gene:gene-LSAT_0X11660 transcript:rna-gnl|WGS:NBSK|LSAT_0X11660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGDVAANYRPIQQMRQRRINSKKSHGIPTFMRIYLIRFRNLPILPFAPPGRCSRIRPLIFELLSFLSYFCVLTDQLTIVREHPTLSAGIAITAGLLLMREPSTGIKSVKPKVKGVSMIELFTSEQVREHITGLRQWVGQVRICILLYGVERGERMPLPQSVVLGAKDLPRTILSDHIESRLFGKVKQERLERTRFYGKTYDEVVLLFQKIEGVEVCLFGMYVQEFGAEFCSQTIGIFIFLIWIQSSTSGLRLRL >cds-PLY70979.1 pep primary_assembly:Lsat_Salinas_v7:9:69841752:69841970:-1 gene:gene-LSAT_9X60260 transcript:rna-gnl|WGS:NBSK|LSAT_9X60260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIKQTYTLFVFVVILMAVMSPLVVQGRILSDDFADKNNLATYSSLYEKTKNEMSFWLQRLASGPSPRGPGH >cds-PLY79636.1 pep primary_assembly:Lsat_Salinas_v7:5:252189845:252195310:-1 gene:gene-LSAT_5X127320 transcript:rna-gnl|WGS:NBSK|LSAT_5X127320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TBL31 [Source:Projected from Arabidopsis thaliana (AT1G73140) UniProtKB/TrEMBL;Acc:A0A178WIY7] MTNLPFRAHYLFPAALASVLFLGSLRIVLDNLQNSHHTCAFFRPLSGGYRVIRPPIRVSDEETIKDGCNIFEGKWVQGNGSYPLYTEESCPLLVKQVTCQKNGRPDSSYQKWKWQPDGCNLPRFNALKLLEILRDKRLMFVGDSVQRSMFDSMVCLVQSVIPEGKKSLRRDPPRKIFRAEEYNASIEFYWAPFLVESISDHATNHTVMKRLVRLDSISNHSKQWEGVDILVYESYVWWMYKPTINATFGDLEHVEEYNVTIAYKRAMETWGKWIETSINSHSQKVFFMTMSPTHLWSWEWKNGDDGNCFGESEPIQRPYWGTGSNLDIMGIVKDVLGKLRVDVRLLNITQLSEYRKDGHASVYGERKGKLLTKEQRSDPKNYADCIHWCLPGIPDTWNEILNLSVTYANNSLVPNTCSSQSFYHFVTPLDYTLSPNLVYVSSSREGQLRRLFHCNTHSNKASNFLESRNQFNSSYLERESTAKETDSDNFFIEQRSDKDKNEMERRMKIGLANRGRVPWNKGKKHTAGTRELISQRTKEALKDPKVRKKMSECPRTLSEETKAKIRKTITKQWRERLKIKRSGERFISLWAESIAKAAKNGGHDQKELDWDSYEKIEREIVLQQLQRAADVAKAKEMAQIRAERRAKAKAEKVKLTLKKRVAKVKGLVKKKSKKEKEELAAAEDLKLKERLTKIHRKRSVNEQLSSRDQRAWERLDLEILKRDIKKDDISLADQIREVKNKKAEILTTMPPNHSSA >cds-PLY81814.1 pep primary_assembly:Lsat_Salinas_v7:3:30715675:30716103:-1 gene:gene-LSAT_3X22600 transcript:rna-gnl|WGS:NBSK|LSAT_3X22600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPYGEVRLIFKNCSATVGQVGNVGVNQKSLGRAGSKRWLGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKQPTTPWGYPALGKRSRKRNKYSDNLILRRHSK >cds-PLY88710.1 pep primary_assembly:Lsat_Salinas_v7:5:66447333:66448989:-1 gene:gene-LSAT_5X30860 transcript:rna-gnl|WGS:NBSK|LSAT_5X30860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGKLYEASLKGDVQALNELLHQDQLILDRLSLTAFNETPLHIATMRGHLNFATVVLTQKPKLAMALDSQRRTPLHLASANGNLEMVQELIRLGSGGVCGFQDQDGLTPLHLAAMNEHLEVMKALIQANPQAAKETPASGETILHMCVNYNRIEALKVLMELWKEEELAKITDHNGNTLLHAASINKQIQILSYLLSIPSIKGNGNAVNRHGLTALDVLDQSPKDLKGFETQQILMEAGVLRANGLRPLSKPLQPSAVLSQIKRKGFLSRTWDRYLNDDKQWLEKQRGILIVAALVVAAMAYHSGINPPGGAITDTQNGRYSLGNAVQTEVDMDQFNSFVMYNTITMIFSLGIVVILITGFPLRNKFLMWVLTLGTLFTVVFMVATYLQSLGMMAPDGYVDATSVWVCLVLLLGCGITALIHTIYFVVWVVMKLSKRRMPETNATRNQSVAEV >cds-PLY74976.1 pep primary_assembly:Lsat_Salinas_v7:3:154679058:154679921:1 gene:gene-LSAT_3X98261 transcript:rna-gnl|WGS:NBSK|LSAT_3X98261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVCKHWQQIAQDDYFWKCLCARKWPSTCKNPSPPVTYHKLFKTFYKREHRRTLLPPRISLNDLEFYIDIWADDEILFSQVIQGPALRKGMWTPPPGICDTLKYHLEGPEYKMTLPVDPKFAVPYTQTVSVSVLVSRKDTNKVACIINKSMFDYIDRSAYRALAFDYLVFSPIYPFVSGIRAWISLLFMEHGEEGIIDVFGVEMDFCDAANSEDEVLWLLDMLDWK >cds-PLY72078.1 pep primary_assembly:Lsat_Salinas_v7:9:197097171:197100698:1 gene:gene-LSAT_9X121900 transcript:rna-gnl|WGS:NBSK|LSAT_9X121900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR5 [Source:Projected from Arabidopsis thaliana (AT1G56440) UniProtKB/TrEMBL;Acc:A0A178WG02] MARVPSKHDRDNPLDFEGFLNNLQDWELSLKDKDKKLKSQSDVEKMEPLNLKNAFGNSSNLGTNGRSRESKQPGSGSLKKIGVDKRQMGKSTSVNNPSTARGEDYLKKYEDVSSISSGFIKDDSSIDANSEKELGNEYFKQRKYKEAIDCYSRSLALSPTAVAYANRAMAYLKLKRFQEAEVDCTEALNLDDRYIKAYSRRSTARKELGKYKDSKEDADFALRLEPNNQEIKKQYADAKSLYDKELLKKVSATVKASTEGLQKDEKSNNGQQYTPKISETTRVSSTKTENITINHNIGKKIIKESVQQLAARAASLATTEAAKTILPPTSAYQFEVSWRGFSGDRTLQFRLLQATDPVALPMIFKNAMSAPLLIDIIRCIATFFSDEVDLAVKYLENLPKVSRFNMIIMCLSPADKSDLERIWDEVFCNKEVVLEILQQLRPRYCLIKQ >cds-PLY86828.1 pep primary_assembly:Lsat_Salinas_v7:1:16653052:16655062:-1 gene:gene-LSAT_1X14620 transcript:rna-gnl|WGS:NBSK|LSAT_1X14620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFKSAYQLWQNQCYPFSVLNLSIWPVLLLVFSQRSMAFDPLDPFGNITIKWDVISWTPDGYVATVTMNNFQMYRHIMSPGWTLGWNWAKKEVIWSMVGAQTTEQGDCSKFKGGNMPHCCKRNPVVVDLLPGVPYNQQISNCCKGGVVSSWGQDPSAAVSAFQVSVGLAGTSNKTVKLPKNFTLLAPGPGYTCGPATIVPSTVYLTPDRRRKTQALMTWNVTCTYSQFLVSRHPRCCVSFSSFYNQTITPCPACSCGCHNKDRCIKSDSKRLSTVGINTPRKDNEPLLQCTRHMCPIRVHWHVKQNYKDYWRVKMAVTNFNYRLNYTQWTLVAQHPNLNNVTQVFSFDYKPLVPYRSINDTGMFYGMKFYNDLLMEAGPFGNVQSEVLMQKDKNTFTFKQGWAFPRKVYFNGEECMLPPPEAYPSLPNYASTKSIIISAMAASLFWFLQLFIC >cds-PLY71987.1 pep primary_assembly:Lsat_Salinas_v7:8:149385272:149388608:-1 gene:gene-LSAT_8X100521 transcript:rna-gnl|WGS:NBSK|LSAT_8X100521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AtAIRP2 [Source:Projected from Arabidopsis thaliana (AT5G01520) UniProtKB/TrEMBL;Acc:A0A178U9T4] MRKSFKDSFKALEADIQHANTLASDYPKEYDGGCLQMRLSYSPCAQFFLFFVQWSDCHLAGALGLLRILIYKAYEDGKTSMYIHERKATIKEFYGVIFPSLLQLQRGISDIEDRKQKELCASKYQRKDEMKKGKLSEIDMEREEECGICLELNTKVVLPDCNHSLCMKCYTNWRARSQSCPFCRDNLKRVNSGDLWIYTCRYEVIELSAIARENLRRLVMYIQKLPLIVVDPVNISYDPRFR >cds-PLY94860.1 pep primary_assembly:Lsat_Salinas_v7:2:177926226:177928786:1 gene:gene-LSAT_2X97861 transcript:rna-gnl|WGS:NBSK|LSAT_2X97861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKIHISIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKGRYEEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPTGLTTEVKSVEMHHEALTEALPGDNVGFNVKNVAVKDIKRGYVASNSKDDPAKGAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFQELLTKIDRRSGKELEKEPKFLKNGDAGMVKMMPTKPMVVETFAEYPPLGRFAVRDMRQTVAVGVIKSVDKKDPTGAKVTKAAAKKGVK >cds-PLY77089.1 pep primary_assembly:Lsat_Salinas_v7:MU042616.1:379210:387716:1 gene:gene-LSAT_4X76620 transcript:rna-gnl|WGS:NBSK|LSAT_4X76620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRQAEAGRRKAKRARLGSGAVRSESEKRKAGSVRAAIRREGELLKPVEDPMVIHHPKDTYLCDISRISDEEGLEKMFKFLDRIEKIVSNKKDDEVTVWYQESTIAL >cds-PLY75643.1 pep primary_assembly:Lsat_Salinas_v7:1:99970870:99975317:-1 gene:gene-LSAT_1X80920 transcript:rna-gnl|WGS:NBSK|LSAT_1X80920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVSKQALYASHHHLSTHIRGGGGSSSIKLRHLSSGKTRRKVCRGSHIDYSKTITTTAHSFKFLNPNLNSSGGRNSTGSVCKNAMNGTINGGINAEEVVLYEEGGRVRKVKCEVEVISWRERRVKSEVQVDADVDSVWNALTDYERLADFIPNLIFSARIPCMHPGRIWLEQRGLQRALYWHIEARVVLDLQEFPNSANGHELHFSMVDGDFKKFEGKWCIKPGKRSSNAILSYEVNVIPNFNLPAIFMERIIRSDLPVNLQALARRSERNFEGNENITTKFHSGSGSSSSSTTIDIDGTIDKNTPTVDVKENDPSSSFSPLSKPSAEVNNNWGVFGKTCDINSPCKVDEIHLRRFDGLLEDGGVHRCVVASITVKAPVREVWNVLTSYETLPEIVPNLAISKILARENNKVRILQEGCKGLLYMVLHARVVLDLCEHLEQEITFEQVEGDFDSFKGRWLLEQLGNHHTLLKYNVESKMHQGSLLSEAIMEEVIYEDLPSNLCAIRDYIEKKQTENSIRSIVMHTNDLVSNEHPSKRNDKNPSRQRNRVVGLHKDIEVLKSELLKFISEHGQEGFMPMRSQLRKHGRFDMEKAISRRGGFRKFASLMNLSLAYKDPKPKGYWDQLENLKEEISRFQKSWGMDPTFMPSRKSFERGGRYDIARALEKWGGLHEVSRLLSLKVRHPNRMRSIVNDGDKSSSKHDVSQDTQKWLEKLKDLDINWVE >cds-PLY83061.1 pep primary_assembly:Lsat_Salinas_v7:3:81079301:81079843:1 gene:gene-LSAT_3X65401 transcript:rna-gnl|WGS:NBSK|LSAT_3X65401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADTISLFSVAILAISIAMVLPLSNGSRRLTNSDNLVNPFCRTAENNSLCTSIVNGATTWTSATVNAISITLKIATKGRPIFDDLVEKLRDTDLSRISKESVGHTCNEVYDFAIECLQGALVDLKYGNIDRSAIKLLSVTGPSLECINLVGYLSMYSELSNYFSELELYSKTCLSITRTT >cds-PLY87202.1 pep primary_assembly:Lsat_Salinas_v7:4:309240953:309244269:-1 gene:gene-LSAT_4X154740 transcript:rna-gnl|WGS:NBSK|LSAT_4X154740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDADTALELVKKGASLLFLDVPQYTLLGIDTQMFSVGPNFKGIKMIPPGPHFIYYSSSNRGGSEFSPMIGFFIDLNPSQVIVRKWDPQEERLVKISEEEEERYSQGVKNMEFDKYLGPYTLNQFGEWKMLSNYITKTTIERIEPIGAEITIIHEPDIFVNNPKTSMEEALSEQLKNTKIQKSDDKVVKRGCYYTKIPRLIKQKGILGQDLTSLNLDKTSLLESILIKEYGGMEDLLLGEMQFAFVAFLMGQSLEAFLQWKNFVNLLFGCTEAPLHTRSNLFTKFIKVLYYQLKYAFQKENNVATKGSITLLDDSFLSSDSFLQHLCKEFFLLVLEAPFVDGDLLSWTRKLKELLESSLGWVFEHDTRDGIFYEEDDEFAPVVVMLEE >cds-PLY75327.1 pep primary_assembly:Lsat_Salinas_v7:5:52483066:52484522:1 gene:gene-LSAT_5X26121 transcript:rna-gnl|WGS:NBSK|LSAT_5X26121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >cds-PLY75098.1 pep primary_assembly:Lsat_Salinas_v7:2:94421283:94423534:-1 gene:gene-LSAT_2X41141 transcript:rna-gnl|WGS:NBSK|LSAT_2X41141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEAFIRNKPGMASVKDMPLLQDGPPPGGFAPVRYARRIPSKGPSAVAIFLTTFGIFSWGMYQVGKGNKIRRAIKEEKYAARRAILPMLQAEEDERFLKEWRKYLEEEARIMKDVPGWKVGESVYNSGRWMPPATGELRPEGVCGGGDGSGSAAVVVMTGDGGGGGNCVGVGDGGGNGGGGASDGLVVVVVVMVMVCR >cds-PLY68997.1 pep primary_assembly:Lsat_Salinas_v7:9:139744153:139756907:-1 gene:gene-LSAT_9X88720 transcript:rna-gnl|WGS:NBSK|LSAT_9X88720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLFIVHQPPKIKSFINGVTAAPLESIEEPLKSFFWDFDKGDFHHWIDLFNHFDTFFEKYIKPRKDLQVEDDFLESDPPFPRESVLQILRVVRIILENCTNKHFYSSYEHHLSSLLASTDADVVEACLQTLSAFLKKSIGKHIIRDASLNSKLFAFAQGWGGKDEGLGLVSCAVENISDSVAHELGSTLHFEFYAVNESSNESNQGLQIIHLPKIDTYEKSDLELLHKLVEEYKVPPSLRFSLLTRLRFARAFTSFTARQQYTCIRLHAFVVLVQACGDTDDLVSFFNTEPEFINELVTLLSYEDAVPEKIRILSLSSLVALCQDRSRQPTVLSAVTSGGHRGILSSLMQKAIDAVVSKSSKGSILFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKNTDPQHLHLVGTAVHVLEAFMDYSNPAAALFRDLGGLDDTIYRLKVEVSHVENGSKQEGSTSVEMESSESDHDQPLYSEALVSYHRRSLMKALLRAISLGTYAPGTTARVYGSEESLLPHCLYVIFKKAKDFGGGMFSLAAIVMSDLIHKDPTCYSILEEASLPSAFLDAIMDGVVCSGEAITCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSRMYLRALMGDTPSSLSSGLDELMRHASSLRAHGVDTLIEILKAIEKLGSGPEATSSTVDAPSSSSVPMETDADDKIENPEKSPEQPSDASSLNVETFLPDCVNNAARLLETILQNSDTCRIFVDKKGIEAVLQLFTLPLMPSSVSVGQSISVAFKNFSPHHSASLARALCLFLREHLKSTNELLVSLGGMQLAQVEESKRTKVLRCLSSLEGILSLSNALSKGTTNLVSELGTSDADVLKDLGVAYREILWQVSASSDSKVDEKKSSEAEAENATSAAGGSNGGGDGDAAIAASNERESDDDASMPVVRYMNPVSVRNSTHPHWGLERDFVSVVRSGEGFSRRSRHGLARIRGGRTGRHLEALHIDPEASMSNTEVSSSQDLKKKTPEALVMESLNKLASTIRSFFTTLVKGFPSSNRHRAETGSLSTASKNIGTALAKIFLEALGFQGYSMEPGFDVLSVKCCYLGKVVDHMAALTFDNRRRICYTVMINNFYVQGTFKELLTTFEATSQLLWSLPHSASTSGGDNENSEGSKLSRGSWLLDTLQSYCRLLEFFVNSTFLLPPTSTSQAQLLVQPVAVGLSIGLFPVPRDPEVFVRMLQSQVLDVILPIWNHPLLPNCNPGFITSIVTLVTHVYCGVGDAKRTRSGVSGSGSQRFMPPPPDESTIATIVEMGFTRARAEEALRRVETNSVEMAMEWLFTHAEDPVQEDDELARALALSLGNSSEGPKVDSNTEKTSDVQTEVAETKTPPIDDILAATMKLFQSSDSMAFPLTDLLVTFCNRNKGEDRPKVILYLIQQLKLSPLEASKETSTLCMISHTMALLLAEDVTAREIAVNNGIVSVSIDILIKFLEGTESQSELLVPKCISALLLILDNLLQSRPKVSSDSKEASLPDSKQGQEQEQDQEQKKEGGEEKSTPVDVDKEKEKGGAFEKIFGKSTGYLTIEEGNRILNVACDLIKRHVPAMVMQAVLLLCARLTKTHTLALQFLENGGMVDLFSIPKSSFFPGYDTVASAIIRHLIEDPQTLQTAMELEVRQALSGTRHAGRVPPRAFLTSMAPLISRDPEVFMKAAASVCQLETTGGRTVVVLSKEKEKEKEKDKEKSKAPGVEIGISENRSQDGPAKCGKAQKKIPANLTQVVDHLLEIISKYSEEDCVRSGNAAMNMEIDESTANKGKMKVDETRKESDSQSERSAGLAKVTFVLKLLSDILLMYVHAVGIILKRDLEMCQLRGYNEVEYPGHGGIVHHVTHRLLHPSIDNKSTTTTSGSDEWRGKLSEKASWFLVVLCGRSSEGRRRVINVLVKALSSFANSANSSSKGSLLPDKRVLAFVDLVYSILSKNSSSSNVPGSGCSPDIAKGMIDGGMIPCLSSILQVLDLDHPDAPKVVNIIVKAMEGLTRAANAVEQLPLSDLGNKKKSVSLSTGSDNQMPDNNNNTPVDEIPEGNNNSQHEIADDNDTEQHDEETTQDEGGGGQQSNLNQPPAEQELRIEMDETEEMEDGGVLRDSDQIEMTFHVEGRGGGDEDDDMGDDGEDDEDDEDGDGEGDGDDEDEDIAEDGTALMSLADTDVEDHDETGLGDEYQEDDMVDEEDDDYHENRVIEVRWREALDGVLGQPGGDSGLIDIAAEPFEGVNVDDLFGLRRPLGFDRRRQQSRTSFDRSGTEGNGIGSGSGNGLQHPLLLRPSQSGDLGSIRELESLSGGSFDVAHFYMFDAPVLPFDHAQQSSVFGDRVGIGGAAPPPLSDFSVGLELRAPGRRGPGDGRWTDDGQPQAGGQAAAIAQAVEELFMSQLRPPSTTTSTQSQGQHSDSPLPNDNQPGGETDQTNTLHQDLNEVVENVQIQPNTAVEELQVDGHTSQDNAPNSHDNMEIGEGNVNVNEQQDLSANDEDASNHLSVTSGDNVLPDVDMNVADPDQGGVMEESDSRIAQQDNNGQPDETGATNADADAAAAPNANGIDPTFLEALPADLRAEVLASQQAQSAPPPPPVAAPAPVTAEDIDPEFLAALPPDIQAEVLAQQRAQRVAHQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGNSHRLNNNRRNGLGFDRQTVIDRGVGVTIGRRTSSALLENLKVKEVEGDPLLDPEALKALIRLLRLAQPLGKGLLQRLFLNLCAHSYTRATLVFLLLYMIKMETEGPVGGGGLTTVNSQRLYGCQSNVVYGRSQLLDGLPPLVLRRVLEILTYLATNHSSVANLLFYFDSSLVPDSLSLKYHDKKNDKGKEKVVEGGGGSGSDGDIPILLFVKLLNQPLFLRSIAHLEQVMGLLQVVVYTAASKVDSQSHTEQEQAAAATASSQGLAAIETAPASDNPPAQGDSSSAAAEASQDDKSVSDGLSTSDDQKSVNMYDIFMKLPQPDLHNLCSLLGHEGLSDKVYLLTGEVLKKLASVAPLHRKFFIVELSDLAHSLSSSAIKELITLRNTQMLGLSAGSMAGAAVLRILQTLSSLTISGEKSDADDDDNNQEHVTMWKLNVSLEPLWQELSECISVTETQLAQSSFSSVMSNTNANANANAGGDHVQGQGQGQGQGSGSSSPSPPLPPGTQRLLPFIEAFLVLCEKLQANNSNSNSSLQQEDTYATAREVKELSGSSSPSGVDGAVTFSRFAEKHRRLLNAFVRQNPGLLEKSLSMMLKAPRLIDFDNKRSYFRSRIRQQHDQHLTGPLRISVRRAYVLEDSYNQLRMRPSQDLKGRLNVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTGGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWLLENDVSDILDLTFSMDADEEKHILYEKTEVTDYELKPGGRNIRVTEETKHEYVDLVAEHILTNAIRPQINSFLEGFNELIPRDLISIFNDKELELLISGLPEIDLDDLKANAEYTGYTVGSGVVVWFWEVVKAFNKEDRARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEASEGFGFG >cds-PLY90146.1 pep primary_assembly:Lsat_Salinas_v7:7:12774893:12786041:-1 gene:gene-LSAT_7X11121 transcript:rna-gnl|WGS:NBSK|LSAT_7X11121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRSSTTRLLYTLRSSIYPSSRSPTPPSPITALLHLHRHYSAAPAVRAKDDKEPWWKESMEKVRNIGISAHIDSGKTTLTERVLFYTGRIHEIHEVRGKDGVGAKMDSMELEREKGITIQSAATYCTWKDYQVNIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRVAFINKLDRMGADPWKVLNQARKKLRHHSAAVQMPIGLEEDFQGLVDLVQLKAYFFHGSSGEKVVTEEIPADMQAMAAEKRRELIEAVSDVDDQLAEVFLNDEPISAADLEAAIRRATIARKFIPVFMGSAFKNKGVQPLLDGVLCYLPNPLEVDNFALDQSNKEEKVKLSGTPAGPMVALAFKLEERQFGQLTYLRIYEGILRKGEFITNINTGKKIKVSKLGRMHSDSMEDIQEAHAGQIVAVFGLDCASGDTFTDGSVKYTMTSMNVPEPVVSLAVSPVSKDSGKQFSKALNRFQREDPTFRVGLDPESGQTIISGMGELHLEVYVERIKREYKVDATVGKPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVVGYMEPLPAGSERKFEFENMIIGQAIPSNFIPHIEKGFREAANSGSLIGFPVENIRVVMTDGASHAVDSSELAFRLASIYAFRQCYAKCKPVILEPVMLVELKVPTEFQGTVAGDLNRRKGIIVGNDQDGDDSVINALVPLNNMFGYSTALRSMTQEGDKLVAEVIRYILFKTHQNSGCPIKREELSQLITKNYHHRYLPTLVLNKATAKFSTIFGYNLKELQRSRPSSNNQARSSQSSVDLKSYIITSQLDPGVYKKHVEDTTKSNLTGFAFVVIGIVHLAGGKITEESLWHHLGRLGMSQNDERHTDFGNIKQTVETLVQQRYLHKDKSNGPEGLTLFYELAERGLDATLSSSFKDSLSQIVTSEATAIGLD >cds-PLY99518.1 pep primary_assembly:Lsat_Salinas_v7:1:113196217:113200262:1 gene:gene-LSAT_1X89461 transcript:rna-gnl|WGS:NBSK|LSAT_1X89461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSRVVNLENYVIPLEEINRATENFSSQRFIGCGGFGKVYKGKLSERWENLTVAIKRLDHDSYQGEIEFRNELEMFSRFHHENIISFIGYCNESNEMIIVYKYAINGSIDYHLQDPDKRRSITWKQRLKICIGAARGLDYLHWGLKEHERVIHRDIKSANILLDENLVAKVCDFGLSKLGTKNQPNTQQYTKVAGTQSYVDPTYQESGILSKESDVYSFGVVLFEILSGMLVYSKRNIGDDRPRALMNFVRRYHENEPEKLIDPYISDQIDSRSFNTFIQIAYQCISFSLKERPRTNTIIQRIEEALSIQENVDELIECATTDRGFSHGIPVAAFTMYKCLLHWKFLEAEKTNIFERLIQIYISAIEVEDNTNHMAYWLSNASALLFLIQKSIKLDNASLVQNPPPLASLTTGFDSSLSRAEAALNIVQQVDAKHPALLFRQQLATCVEKMYYMTCDKLKKELGPLLSHAPRTSFGKDTQSNHWKGIIGCLNTLKDNFVPPIIVQKIIPQVFSYMNVQLFNSVLVRRECCTFSYCEYVKAGLAELERVEYARPAWGELMHIRHAIQFMTMHHKYRLSYDEITIDLCPVLSIQQMYRISSLYWDDQFDTRSLSEDVISSMRMLMTQDFNNRSIILEDSFSIPFSVDDLSNSFQVENFANVKPAVQFATYPAFAFLYK >cds-PLY74870.1 pep primary_assembly:Lsat_Salinas_v7:8:106504260:106507351:1 gene:gene-LSAT_8X72520 transcript:rna-gnl|WGS:NBSK|LSAT_8X72520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSSTGKYLIVDVHYSGVFAQNPLKYLDLEKIKVCDVNFGGFTYKEFLLWLRNLTNGSCDYVYYCSRKETLGESIIRIDSYADYWEFVEATYTPEAELDVYIDHQNDPILDWAENEVLLDGKVKVELNQEVDVESDSDSEVQREPDSEVESYEVDFEEGNHTYEDVDQPEVEVEEQVEVGGVEALVEVEVEEGEYQAAVVDQVEVDAEGQGDGQEGEELELEVQDPVGQDDQGQAAVQDPMEEEHMMEVPAFQVL >cds-PLY86859.1 pep primary_assembly:Lsat_Salinas_v7:8:49936884:49937303:-1 gene:gene-LSAT_8X37881 transcript:rna-gnl|WGS:NBSK|LSAT_8X37881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIAQSFSPSSSVDLIYISICIITDLIQSQIKLVASNLKWAWSFLLHQCFFHHQLQNLQGLATSQTRFEATCMEQVECVVCLSMIGEDEEIRELRCGHLFHEACLDRWIRFRNRTCPLCRDNLVLAGVVSQLGHEVLVF >cds-PLY72960.1 pep primary_assembly:Lsat_Salinas_v7:8:135554544:135554941:-1 gene:gene-LSAT_8X94201 transcript:rna-gnl|WGS:NBSK|LSAT_8X94201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTCDSSSKSSQKMHHEDAIAVKSKRGNQVVVVASPPTEDAVVAIDPLTKEDLVWYLASGCKPKENWRIGVEHEKFGFELKTLKPMTYVQIADLLNAISERFD >cds-PLY83490.1 pep primary_assembly:Lsat_Salinas_v7:3:234417442:234419061:-1 gene:gene-LSAT_3X131120 transcript:rna-gnl|WGS:NBSK|LSAT_3X131120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKTHHIAILPSPGMGHLIPMAEFAKRLVKHHPISVTIIIPTTGTPPKAQISVLESLPEDIHHLFLPSVNIEGLPEGTRPELQISFIMQSSLSSLRDALISLKSRTNLVALVFDMFGHDSMEVAKELCLLNFLFFPMNAMALSFTFILPKLDEETSCEYKELPDPVKVPGSITFPGHELMAPVQIRTDEVYKGYLLLSKRLTLLDGILVNSFEELEEETFRVLNTGVAGQTPIYPIGPLIQSAPSNGSNPHDCIKWLDNQPDGSVVLVSFGSGGTLSLEQIHELALGLENSGHRFLWIVRSPDEKASNASFFTASSQTDPLGFLPEGFLARTVTQGLIVPSWAPQIEILSHKATGGFLTHCGWNSTLESIVHGVPMIAWPLYAEQHMNAKVMTEALCLALRAETDENGMVRKEVIEKVVKELMEGYEGKKISQRMSELKVAANKALVNGGSSMESLSKFAQQLKK >cds-PLY83963.1 pep primary_assembly:Lsat_Salinas_v7:8:37197544:37198762:1 gene:gene-LSAT_8X29600 transcript:rna-gnl|WGS:NBSK|LSAT_8X29600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLCFLLLTVIYSLFLHVHALTPSRWTKAHATFYGEADASGTMGGACGYGNLYSTGYGTRTAALSTALFNDGAACGQCYKIICDVKTDPKWCKKGVSVTITATNFCPPNYAQANDAGGWCNPPLQHFDMAQPAWEKIGIYRGGIIPVIYQRVPCKKHGGVRFAINGRDYFELVLITNVGNAGAIRSVKVKGSKTGWMPMSRNWGANWQSNSYLNGQSMSFMVTTTDGITKTFTNIVPSSWTFGQTFSSRLQF >cds-PLY67006.1 pep primary_assembly:Lsat_Salinas_v7:7:174357301:174359473:1 gene:gene-LSAT_7X103661 transcript:rna-gnl|WGS:NBSK|LSAT_7X103661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKISSRSILSPARAGAARDPPPLSLSNSHSRRLRNSRSIKGGASPAMFPATGKKRGSGFENPEPSSPKVTCIGQVRVKSKKKHAKNLRSLSRRRSAGEVSFRRLEHSGNGFGSQSQNLGSNQECLPLQRNNQRWVHLPLTICEGLRAFGSEVSCLFPCRSSCSSTTAMEKEEKMVGENRQGSCGAGFARWLVALQEGDGSGRDTGGSGGGGERDVELVAGDDDDENEEIDEIGIKNSRRHVFQDLEIVNDSVLGTIDEARVSTCVPPKNALLLMRCRSDPMKMEALTNRFWEPNMEKNEEEEEDEDEESFRNEEKIEESVIPENLQQEEEETHMGLIEVSNQEHDHLQAKAIDQEKTEKNLELEMEKKVQEEEVETNPDMETKQSIEEEEEEEEDNQEQSIKTNEEEEEEEEEGSMYLWSLFEENTDQNQEYEETEEEEEVHSEMEDAQEQLSEDEDIEETAACESNSEFEEENEEINKSKSLPECLLLMMYEPKLSMEVSKETWVCSTDFIRRHSGRKPPPVAPPIKPIAGEDESKCQPVLQQPARSSCSSMATKFDQKLVNTNGFEPLILTRCKSEPMKLAPESCFWKNKLEPPRRASFGIDVAGFGF >cds-PLY72939.1 pep primary_assembly:Lsat_Salinas_v7:1:91008376:91022384:-1 gene:gene-LSAT_1X76180 transcript:rna-gnl|WGS:NBSK|LSAT_1X76180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREDFGMIGLKTEKLKKSRLDMEIGAYTGRVQFVLENAYGSCLTKKRLLTMLSTTRATASNIIVGSQVWVEDNEVAWIDGDVLEMNDKEIKVKCSNGQEVVTNVSRVYPKDPEASECGVDDMTKLAYLHEPGIKDLSERRQMVNEGISQSILVSGESGAGKTEASKNLMQYLAYMGGRPGADGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFNGQGKISGAAIRTYLLERSRVCQVSDPERSYHCFYMLCAAPPEVCEKYKLGNPRTFRYLNQSKCYELDGLDEAKEYLSTIKAMDVVGISSEEQEAIFRVVAAILHLGNIEFTRGSEPDSSQPKDDKSRSHLKTAAELFMCDETALQESFCKRVIVTRGESITKSLDPNSAALNRDALAKIVYSRLFDWIVSKINNSIGQDPNSKFLIGVLDIYGFESFKSNSFEQFCINLTNEKLQQHFNQHVFKMEQELYTKEEINWSYIEFVDNQDILDLIEKKPGGILALLDEACMFPRSTHITFAEKLYQTFKGHKRFSKPKLAKTDFTICHYAGDVTYQTDFFLDKNKDYVVAEHQALLSASKCSFVSGLFPPLPEDSSKASKFISIGSRFKQQLQALLETLSHTEPHYVRCVKPNNVLKPNIFENQNILQQLRCGGVMEAIRISCAGFPTRKPFHEFIERFEILSPDVVHMSDEVEASKILLEKANLEGYQIGKTKVFLRAGQMAEIDARRSEVLGRSASKIQNKFLSYSACKKFTMLRSAAIPLQAFCRGEVARSWYQRRRREVACLRIQKIGRMHYDRKAYKFLHSSAIQIQNGMRGMAARKAYNHKRQTKAAIGIQTRSRHHLACRRYLKMKKVAIYAQSVLRRDLARIELRNLKKAAKDTRALEQSKAKLEREHKELTIRLEQEERMREAKNKESAKLQSDLQEMEVQVQETKELLESKKIELEQLLATHNEKVESLTADNVKFQEKVSTLEQEINETQTKLEETTKLSEDRLKEAMEAENRIIDIKIDMQSLQEKIADMEAEEEILRHKQQRNGGPSKEFDSNLTNSTIGKERDLVDALMKAATQELGFSQGKPVATYVIYKSLLHWKTFELEITTVFDRIIQTIGSSIEKKEDIKHMAYWLSFTSTLFFLIQKTLSPAPHKPQQPTSLFGRMTQGFRSASIISIVHHVEAKYPALLFKKQLAVYVEKLYGFIRNSLKKDLSHFLSSCIQAPITSDGKSYPTSYWESIIESLNGVLDTLKEFHVPPVIIQKVFAQVYSFIDVQLFNSLLMHKECCTLGNGEYVKSGLAKLEAWCTKATAEYADSASDELQRVRQAVGFLVIEKKSKITYDQLTTNICPVLSIQQIHKICTFYANDNEGSNSVPPEVRIK >cds-PLY82380.1 pep primary_assembly:Lsat_Salinas_v7:4:336761869:336762802:1 gene:gene-LSAT_4X166600 transcript:rna-gnl|WGS:NBSK|LSAT_4X166600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNPFIITDDENSTIVSALRYVISGGKDAAKSNGLMGNSRSQPSPVMQEVCSECEMRVPDQCLGCQMFTGGGGEEMGKRTKKVYRGVRLRPSRKWAAEIMVPGTKERKWLGTFETAEEAARAYDVANIYYRGNTAKTNFPVEEYTESSCSRAYKSQRGLG >cds-PLY75815.1 pep primary_assembly:Lsat_Salinas_v7:3:68813910:68817738:-1 gene:gene-LSAT_3X56300 transcript:rna-gnl|WGS:NBSK|LSAT_3X56300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDEDPPLAVEINETLDHQSFNQSLQKYENTDELPPVGVTVITGYLGAGKSTLVNYILNGQHGKRIAVILNEFGEEIGVERAMINEGEGGALVEEWVELANGCICCTVKHSLVQALEQLVQRKERLDHILLETTGLANPAPLASILWLDDQLEAAVKLDSIITVVDAKNLRFQLKKHQGSSTFPEAFLQIAFADVVILNKVDLVSPDVLEELEKEIHSINSLSNIVHSVRCQVDMSIVLDCKAYDALHVAHLENLLEENKSLTTKDLHDSGVTTMCICDSHQLDLDKVRLWLEEILWDKKYDMDVYRCKGVLNVVNSDELHIVQAVKEIYEIVPSRKWKSQENKTNKIVFIGRSLNEDILIESLRGCASTVV >cds-PLY72534.1 pep primary_assembly:Lsat_Salinas_v7:2:141638764:141643181:-1 gene:gene-LSAT_2X69281 transcript:rna-gnl|WGS:NBSK|LSAT_2X69281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRQFVYLCPFNSCKLIESGDFRTNEKIVISDSYIAVSGGWDYINPQTVKAAFSFSLFLIRFTKTPFLHHDFFSISHLLLSSHSRQLPNLHHRQLSDILRRQLSISDVITSRLGSPISTAAALRSDDKKPTENNINIHELHVLDKLSVLLPIRHCNIHPTGKPRSELTQLNKNLEMLLSPEDKLRGIFIQKLNGKTEIKRALSAASVDIEVTFDLVAKVVNRGSLDGGSMVTFFKWAIEKGKVFEDVDSYNIVLKGLGRRKFFNYMINLLGEMREKGVNPNHETLFIFMDSYVKAKQVSKALHMFKKLEEFGMEYDSESLKVMLRCLCNRSHVATASSLLYKMKEKVRLDCETYNILLCGLSKFGKINEIERVLKEMVEDGFDPDSLTFSYLLEGLGRAGRINDVIDIFVKLKEKRTCVLNVNLFNAMIFNFISIGDIDESLKYYNLMLSNNCEPNMETYISIISAFLKARRVADAIEMFDEMIGRGIIPTTGTITLFIEFLCSYGPPHAAMMIYKKARDVGCVVSLSAYKILLMRLSRFGKCGMLLNVWDEMEQSGYFSDVEVYEYIINGLCNNGQLENATIVMEECLKKGFCPSRLICAKLSNKLLASNKVEMAYKLSLKIKKGRHDENAQKYWRAKGWHF >cds-PLY68206.1 pep primary_assembly:Lsat_Salinas_v7:8:118854094:118855248:1 gene:gene-LSAT_8X82520 transcript:rna-gnl|WGS:NBSK|LSAT_8X82520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSDGITVITIFDPPVNAFTFSNIADYKPFGYKRESHRLRNAIADACVGNPNGYLNRYLEVETIMESD >cds-PLY73109.1 pep primary_assembly:Lsat_Salinas_v7:9:22122769:22123404:-1 gene:gene-LSAT_9X20900 transcript:rna-gnl|WGS:NBSK|LSAT_9X20900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGKMGSVKKLAKKVKVKVVNGTESHHEYLLREKEEACSSPSCTTPTGFIALYIGEERKRFVVPTGYLSHPLFKMLLDKASDEFGFEQKNGLVVPCSVTAFQEVVSVVECCNGKFDLSNLVQEFI >cds-PLY87310.1 pep primary_assembly:Lsat_Salinas_v7:4:271437202:271437744:1 gene:gene-LSAT_4X139581 transcript:rna-gnl|WGS:NBSK|LSAT_4X139581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSVGSRSNIRSQRQSLRATCFCEDPVGMWRSWRPTNPGRRFIGCPNFRDEEKDCKYFAWVDPPLPNNWYRNTLMDFHNNGIQVDNEFVEEFVKEAVDFHNNGIQEVPVQGEVGCKLYVVE >cds-PLY89182.1 pep primary_assembly:Lsat_Salinas_v7:3:22578198:22580567:-1 gene:gene-LSAT_3X16821 transcript:rna-gnl|WGS:NBSK|LSAT_3X16821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVLSCFFCKVSETHVPIRFTESQKTSIKRNHQDEVRFSLMNPSRTRIYLRKPFKKSNDPQGTKPFNDPDDSNSTSMEMDHENGEDEVEKEEDNPEWDPDEIETITSLFRGRIPQKPGKKARERPLPLPLPYKQQPLGLPTSKKFAKTINSSRKSLSSKLYKDPTFLITLAKEIKNLPPEKDVSTVLNNYTRFLRKSSLSLTVRELGHMDLPERALQIFCWVQKHPHLYPDDTLLASTVEVLARNHELKLSFKLEKFLSLSSQNVYEAVVKGFIRSGSLKLAYKLVSAAKNGKIMVDTGVYAKLILELGKSPDNYVHIMALLEELGEREDLNLTQQDCTSIMKVCIKLGKFEIVEGLYNWFKDSGYEPSVVMYTTVVHSRYCSNCYREALALVWEMEGRNCLFDLPAYRVVIKVFVALNDLSRAVRYFSKMKEAGFSPGFDIYMDVIKIYAIHGRIGNCKEVCKEAEVAGFKMEEQMRSMLMNATQ >cds-PLY94277.1 pep primary_assembly:Lsat_Salinas_v7:1:91611870:91613351:-1 gene:gene-LSAT_1X73741 transcript:rna-gnl|WGS:NBSK|LSAT_1X73741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKMIGGSDGSALTPEAECEPLTYERRVRTDLETHLPKPYLARGLVAPDVEHPNGTLGHRHHDMTVLQQHVAFFDQNDDGIVYPWETYSGMRQLGFNFVISFIAAIVINFGLSYPTQPSWIPSPFFPVYIHNIHRSKHGSDSGTYDTEGRYLPVHFENMFSKYAKTVPDKLTLGEIWNMTEGNRVAFDLFGWIAGKLEWAILYALARDEEGMLSKEAVRRCFDGSLFEYCAKMNRAAQDKMY >cds-PLY94423.1 pep primary_assembly:Lsat_Salinas_v7:6:9844366:9846770:1 gene:gene-LSAT_6X7060 transcript:rna-gnl|WGS:NBSK|LSAT_6X7060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNRDLESRGSWKNRGAPPPPPPHSNYYTSSSPYYAESSEKQWTSWLVPMIVVANVAMFIVIMIVNDCPKNHNSSLEGNCVARFLGRLSFQPLKENPLFGPSSSTLEKLGALEWKKVVNENEAWRLVSCIWLHAGVIHLLANMLSLVFIGIRLEQQFGFARVGVIYLLSGIGGSILSTLFIKNNISVGASGALFGLLGAMLSELLTNWTIYANKAAALITLVIIILINLAVGILPHVDNFAHIGGFLTGFLLGFVLLIRPQFAWQERRHLPVEARGKSKYTLFQYAFWFLSMLLLIAGFAVGLVMLLKGENGNDHCSWCHYLSCVPTSRWHCNNQ >cds-PLY72433.1 pep primary_assembly:Lsat_Salinas_v7:2:138822837:138823214:-1 gene:gene-LSAT_2X66280 transcript:rna-gnl|WGS:NBSK|LSAT_2X66280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEELGLRMGKFGTLEFRGDGKVDLMDVNGGEDKLVGVESIEMLYCRICDDKKKIEEAIKKGLEDDANDDVLKEWSRKVHQLFNEKTVEHGDNR >cds-PLY74815.1 pep primary_assembly:Lsat_Salinas_v7:5:59270538:59270815:-1 gene:gene-LSAT_5X27661 transcript:rna-gnl|WGS:NBSK|LSAT_5X27661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGSTRTEIKHWVELFFGVKVIAMNSHRLRGKARRMGPIMGQTMHYRRMIITLQPGYSIPPLRKKRT >cds-PLY73476.1 pep primary_assembly:Lsat_Salinas_v7:2:100271697:100273186:1 gene:gene-LSAT_2X42860 transcript:rna-gnl|WGS:NBSK|LSAT_2X42860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNVEEFRKAQRAEGPATIMAIGTATPPNCVLQSTYPDYYFRITKSEHKTDLKEKFRRMCDKSMITKRYMYLTEEILKEKPNICAYMAPSLDERQDIVVVEVPKLGKEAAIRAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRSSVKRFMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPNETHLDSLVGQALFGDGAAAIIVGSDPLPDVEKPLFELISAAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKHIEKSLVEAFKPLGIADWNSLFWIAHPGGPAILDQVEEKLRLTPDKLRATRQVLSEYGNMSSACVLFILNEMRHSSATAGFDTTGEGLEWGVLFGFGPGLTVETVVLRSVSI >cds-PLY69840.1 pep primary_assembly:Lsat_Salinas_v7:6:4645921:4650575:1 gene:gene-LSAT_6X2821 transcript:rna-gnl|WGS:NBSK|LSAT_6X2821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALPELQVAKTLSDAWDYKGQPAHRATTGGWISAAMILGVEACERLATLGIAVNLVTYLTGTMHFGNASSANDVTNFLGTSFMLCLLGGFVADTFLGRYLTIAIFAAVQATGVTLLTITTAIPSLKPPKCTPDSGNSCAKATEVQLTFLYLALYLTALGTGGLKSSVSGFGSDQFDESDKGEKTQMVAFFSWFFFFISIGSLGAVTVLVYIQDNLGRRWGYGIVACVIVTGLVIFLLGTKRYRFKKLVGSPLTQIASVFVGAWKKRHMELPSDPSLLFNVDDIVINEGADSKKSKQKLPHSKEYRFLDKAAIKDGERSFESLATVDKWNLSTLTDVEEVKMVIRMLPTWATTILFWTVYAQMTTLSVSQATTMNRHIGNSFQIPAASLTVFFVGSILLTVPIYDRIIVPIAKRYLKHPQGLSPLQRIGIGLVLSILAMVAAAICEIKRLRVARSHGIVDDPSKVVPITVFMLAPQFVLVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFFSSILVTIVHKITGDKKPWIADNLNQGRLYNFYWLLAILSLVNFGIFLVVAKGYVYKERRLAEEGIELEEDDFVGHA >cds-PLY65670.1 pep primary_assembly:Lsat_Salinas_v7:5:271505621:271506091:-1 gene:gene-LSAT_5X142061 transcript:rna-gnl|WGS:NBSK|LSAT_5X142061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNYQAAISPPGGAYQDTRSVNGTIEYQAGQAIAAYVAAHEYKRFSIANTISFTFSMTTMFLFLSGLSLKRRIFSLLLTASMFATITATTYSYKYAMEATTPDHDDELEAGWKFINRLVTGALITWFVLAGTTIVIFVYKLLKPLVTAAYRQATLKT >cds-PLY61676.1 pep primary_assembly:Lsat_Salinas_v7:9:137821749:137823450:-1 gene:gene-LSAT_9X88381 transcript:rna-gnl|WGS:NBSK|LSAT_9X88381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKASLLRFRLRIPKRFYRASLLIATSLVLRGWIPSGHTSPIESVTFDSTQVLVVARASCSVVKLWELEETKVFRTLNGHRSYCTALEFHPFGEFLASGSMDTNLKIWDIRKKGCVHTYKGHKRAISTIRFTPDGRWVVSGGLDNVVKIWDLTAESFCMSSRVRSVAFHPDGRTLFCGLDNSLKVYSWEPIFFHDAIDIGWLTLGDLCIDDGELLGCSYYQNSIGVWAADVSYIEPYADNMIAMEKAHVDPKTNLQESLIERIKTLRMSFIPLDNDTKDIKNIYVDSKLFCYLNYFNLLPILSYCYCNCDTHCLK >cds-PLY72812.1 pep primary_assembly:Lsat_Salinas_v7:6:22052371:22054753:1 gene:gene-LSAT_6X17221 transcript:rna-gnl|WGS:NBSK|LSAT_6X17221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP31 [Source:Projected from Arabidopsis thaliana (AT5G45360) UniProtKB/Swiss-Prot;Acc:Q9FHK0] MTVSEDEDEHLAHFLESEVLSEISDQEDARSEKEEVRQPKRLRMEQNGGVNNRVINPRRIDDGFFSRIPPELFPHILKFLSSEDLASCSLVCRFLNFASSDESLWRRLYCMRWGILPPTKTLRDRAWKKLYIQRDEEDMVEFVRNCPNEFKEYYIQMQVAKRSQAPLPCQLKDDWMILDKSVADQVSIWKKSKGLTDKVFSDHNCSGETCSYYQIGDVFVCETTGYVHVCDDTCKDVVLDPENELLVCTISGHCFDRLLSPSETGDMEEQQQVGVTDEAEPFMGSGRFARAYQLGYNCEDEKELEACLRFC >cds-PLY92599.1 pep primary_assembly:Lsat_Salinas_v7:7:161729499:161732574:1 gene:gene-LSAT_7X93920 transcript:rna-gnl|WGS:NBSK|LSAT_7X93920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLPSPPYPFGLHVLSICRFQISFYGLLALTQIQFITMSLCKIIRDAVVSAIIFHPSRVMAVSASYGGDFKEESYMVVAAFSSDVSVLAVAAETVIILSYCGTLRKTYSWL >cds-PLY81876.1 pep primary_assembly:Lsat_Salinas_v7:8:122320759:122322720:-1 gene:gene-LSAT_8X84020 transcript:rna-gnl|WGS:NBSK|LSAT_8X84020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKDRKVGVAMDFSKSSKAALQWAIDNLADKGDTFYIIHIKSPSSDESRNKLWTTSGSPLIPLVEFREPEVMAKYDVKTDIDVLDMLDTGARQKEIHVVTKLYWGDAREKLIQGIEDLKLDTLVMGSRGLTALQRIILGSVTNYLITNAPCPVTIVKDKH >cds-PLY76126.1 pep primary_assembly:Lsat_Salinas_v7:9:29484419:29493732:-1 gene:gene-LSAT_9X26001 transcript:rna-gnl|WGS:NBSK|LSAT_9X26001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-mannose transporter (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G13650) UniProtKB/TrEMBL;Acc:A0A1B0VP09] MRRFATPNRPLNFCENTEQGPRTTPKGSTLTMKNVGDDEADVENGKFDKEKERSQKSSRIKVNNQALLSGFAYCLSSCSMILVNKYVLSSYDFNAGISLMLYQNFVSVLIVSSLSILGVISTEPLTWRLIKIWLPVNFIFVGMLVTSMFSLRYINVAMVTVLKNFTNVITAVGEMYLFNKHHDNRVWAALFLMIISAISGGITDLAFNAVGYTWQIINCFLTASYSLTLRRVMDTAKQVTKSGQLNEFSMVLLNNTLSLPLGLVLIFVFNEVDYLYTTPLLRMPTFWVVMTFSGFLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSVAGIVLFHVPTSVENSASILFGLVAGVLFARAKIKDRTQS >cds-PLY98382.1 pep primary_assembly:Lsat_Salinas_v7:5:314305977:314308906:1 gene:gene-LSAT_5X171780 transcript:rna-gnl|WGS:NBSK|LSAT_5X171780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKSDLGFRLVVLYLLLLLLTGFQNSQGHFNRHVAFFIFGDSLFDSGNNNYIKTTPEFQANFWPYGESYFDPPSGRFSNGRLIPDFIAEFAGLPLIPAYLDPRYHNNGFLYGANFASGGSGVLVETNAGFVVDLKTQLQYFYDLEKRFRKDLGGLKAQQLLSDAVYLFGCGVNDYAVLLSNNQSSHHHQQYVEMVIGNLTDVFKGILEKGGRKIGIATIPPLGCSPIARAQQLGNTCNEELNTLASLHNRALSKKMKELTKQFEGFMCANYDLSTALAKRMRNPSNYGFKVGDTACCGSGPFRGIFSCGGKRGIQEFELCDNPDDYVFFDAPHPSEAASRQFAQLFWDGDSNVTTPYNLKALFQG >cds-PLY95437.1 pep primary_assembly:Lsat_Salinas_v7:9:189860600:189862453:1 gene:gene-LSAT_9X116980 transcript:rna-gnl|WGS:NBSK|LSAT_9X116980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAVIQDFGCPECQEIVSDKKKKGPHVYLRKILHRHKTTIPNSVSSHEKSALETIRNWETIKGKRALLCGVTYDKQKYKLKGTNYDVTQMQEFLMTRFKFPSASIHILAEMDSHPHPTKTNIQEALRWLVKDNQHGDSLVFYFSGHGLRQPDFFDDENDGFDETICPLDFKTAGMIIDNEINDTIVRPLKKGVKLHAIIDACHSGTILDLSHVYSTKEHCWSDNKPPSGVYKGTNGGLAISISACEDNQLAADTSAFSESGKQMEGAMTHTFRKALSDNSKATYASVLSSMHDNILAVKKKKFSLSGLFHRERLQVRFDH >cds-PLY66126.1 pep primary_assembly:Lsat_Salinas_v7:7:30495644:30502071:-1 gene:gene-LSAT_7X23880 transcript:rna-gnl|WGS:NBSK|LSAT_7X23880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHITWMTLNLVIIVMFLFQAVVTCNARAMGSTQKLRVLAAKYNVTSILVFGDSSVDPGNNNNLPNTWHKGNFLPYGKDFSHSLPTGRFTNGRLCTDFIAEALGYRNIIKAYLDRNLMEVDLLHGVSFASGGSGYDDFTAKVTNVISLRKQLEYFKEYKIRLGKLVGEETCHKIVENAVFILSTGTNDFLQNYYIDPTRSHRFTIAQYQRFLINCMETSIKEMHLLGVRRLAVVGMEPFGCMPMIKTLKNSVKCDENMNQVALSFNFLLKAKLSSLEATLRMRSVFVDIYGVIQNTLQNPLKYGFTEAEKGCCGDGLTEFGTSLKGLSTCANHSKYIYWDAVHFTENMYYIIADEAVKSIITSL >cds-PLY72332.1 pep primary_assembly:Lsat_Salinas_v7:1:174125931:174126176:1 gene:gene-LSAT_1X115661 transcript:rna-gnl|WGS:NBSK|LSAT_1X115661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGETIQSNDDDGTRENDERGEGSTLPVERDVASTTNSPIFTVIGDRSVRGLAPSFRLLTTSPVAKHDVAVLNGEAAGGPV >cds-PLY84087.1 pep primary_assembly:Lsat_Salinas_v7:6:190572393:190576433:1 gene:gene-LSAT_6X116000 transcript:rna-gnl|WGS:NBSK|LSAT_6X116000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKHEDLPVPIHSKLDEVYGDGSQVEEAQLRFSILDSKFEELFGHLPDLYARAPGRVNLIGEHIDYEGYSVLPMAIRQDTIVAIGKRDAGESEKLLRIANVNDKYTMCTYPVDPNQEIDLKNHRWGHYFVCGYKGFHEYAKLAGMDVGSPVGLDVMIDGTVPTGSGLSSSAAFVCSSTIAIMAALNVNLPKKEVAQLTCECERHIGTQSGGMDQAISIMAKTGFAELIDFNPIRATDVQLPAGGTFVIAHSLAESQKAVTAATNYNNRVVECRLASIVLGIKLGMEPEEAISKVKTLSDVEGLCVSFAGTHGSSDPTLAVKEYLKEEPYTAEEIEKITGKTLDSIFLDSASSLDVIKAAKHYKLFQRASHVYSEAKRVYAFKDAVSSDSDEEEKLKKLGDLMNDSHHSCSVLFECSCPELEELVEVCKGNGALGARLTGAGWGGCAVALVKESIVPQFILNLKEQFYQSRIDNGTIKKSDLGLYVFASKPSSGAAIFRF >cds-PLY73006.1 pep primary_assembly:Lsat_Salinas_v7:9:37244467:37250190:-1 gene:gene-LSAT_9X35340 transcript:rna-gnl|WGS:NBSK|LSAT_9X35340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFAKKLLQKATKLHNLHHHHQNLRGSLTQEDLDFQLAVHYGIPSTASILAFDPIQRLLAIGTLDGRIKVIGGDNIEGLLISPKQLPFKYLEFLSNKGFLVSISNDNDIQVWNLEDRSVASSLQWSSNITSFSLIHGSFFMYVGDEHGLMSVLKYEEDAELLMLPYHISAKSLTEAAGSSFPDHWTVVGVLHQPCSSGNRVLIAYESGLIILWDVFESQVVVVRGDNVLELKNGVVDSSDQPEHNLEEKEITALCWASSNGSILAVGYIDGDIMFWKTSTTTSSKTQKSGASNNNVVRVQLSSAERKLPVIVLHWSANSKSQNDSDGQLFVYGGDEIGSDEVLTVLSLEWSPGMETLRCVARAELTLIGSFADMSLLPNPINNLGTDLLVLTNPGHLQFFSHESLTALTSEHDKRITLNSIECPVVIPTLDPILTASSLSSLISTENTSNFLLEIATNMKVNSTSKFMNGSGNWPVSGGIVQQLSSPEGYLIQRIYIAGYMDGSVRIWDATSSVLSILCVIREMKDVEVKVKGSTAPVSELNFCSLTSSLAVGNQLGLIRVYNLNSSSKDTTLHIITAIKQEVHKQAENGGPKCSACFHLLDSPVRALQYMDHGAKLAVAHECGRVAVLDVKLFSVLFLSDSLPNPRSPVISMMWKSFLHNDGYVKSPKDLGSKDLNKNKPVESLMFILTKDAKLYLFDCDDYRMINSKPMQLKKETTAISMHVIEGSTSMVESVDQKESKPLTKDVLARNEPSSPVDQKESKQLDSLVLLCCKDVLRLYRLKSVIQGNEQTLCKVKLAKPCCWSSTFKKDEKTCGLVLLYQSGELEIRSLPDLEVVKVTSLMSILRWSFKANMERTMSCTETGQISMINGSEVAFISLLNGGDDFRVLESLPCLHDKVLAAAIEAVISSSQKQRKKQGTHGSIVNILKGFKGGKAKNGINFPADFLSSFRNLDKIFSKNPFPDPVESITDDQEDVELDIDDIEIDEEPVSMPMPTTSSHSKQNEETGKKSDRERLFDGDNSDATPRLRTREEIIATYRKAGDASSVASQARNKLLERQEKLERISRRAQDLNNEAEDFASLANELVKAMERRKWWQI >cds-PLY93618.1 pep primary_assembly:Lsat_Salinas_v7:2:175006350:175006721:-1 gene:gene-LSAT_2X99820 transcript:rna-gnl|WGS:NBSK|LSAT_2X99820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPPNLGPGDGNAPAAPLLPETDMTRICFRDQLWLNTYPLDRNLVFDYFALPIFYDYTCNNEQLRMCSIHPLDISHLSRMRMTQIMLKGTCSGL >cds-PLY97687.1 pep primary_assembly:Lsat_Salinas_v7:8:5897045:5898223:-1 gene:gene-LSAT_8X5560 transcript:rna-gnl|WGS:NBSK|LSAT_8X5560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVALTSVTPHSSNKLYPTPFTCYSNSVNENTSSSSNFIAINPIKRRHSLSRLTIRSSAATKPPKSPAEQDWKTKRELLLEKRVRSVDVKEALRLQQENSFVILDVRPIAEFKEGHPPGAINVQIYRLIKEWTAWDIARRAAFAFFGIFAGTEENPEFLQDVASKLDKNSKIIVACSSGGTMKPTQNLPEGQQSRSLIAAYLLVLNGYNNVFHLEGGLYQWFKEDLPIESEEEEE >cds-PLY92578.1 pep primary_assembly:Lsat_Salinas_v7:7:160095988:160096837:-1 gene:gene-LSAT_7X94860 transcript:rna-gnl|WGS:NBSK|LSAT_7X94860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKLYNHNKGKIHPSQTPSATADHLSLLPIAIATLAAALAPEDKEVLAYLLSCSVTTTTTNNNNNTNNNFSGNRKPTSKIHGGDGGGGGGGSHIPQFNCDCFTCYTSYWVRWDASPNRQLIHEIIDAYEDGLIHNKKSGKNKKERRKNKVSSSSPNAPIIASEKVPHAPPQVEEKLINSDYDDDGDEEELMIGSSSEKGSVRKFVSFIGDRIWGVWGI >cds-PLY72746.1 pep primary_assembly:Lsat_Salinas_v7:4:372063452:372065555:-1 gene:gene-LSAT_4X183001 transcript:rna-gnl|WGS:NBSK|LSAT_4X183001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSQNTKICIYGIFTFVLLWSSKLGANASREAKQWRHHGGSRQLLRETFYPSYGESMPNSFQYRKTSTIFNVLNFGAKGDGTSDDTKAFEAAWFAACKLEASTMVVPKGSVFLIKPISFSGPDCQPNIIFQLDGKIIAPKASGSWESGLLQWLEFTKLNGITIRGTGIVDGQGSGWWTKSGTKPTAIRFYGSSDVTVTGITIQNSPQTHLKFDNCQSVQVSDITVSSPGDSPNTDGIHLQNSQDVTIQSSKLACGDDCVSIQTGCSAVNIQNVDCGPGHGISIGSLGEDNTKACVSNITVLDTKIHDAMNGVRIKTWQGGSGSVQGVVFSNIQLSQVKTAIVIDQYYCDGSKCKNDTSAVAVSGISFENIRGTYTVNPVKFACSDSLPCTDITLDTIQLRPVQGSDHLSKPFCSNIYGELKTSTTPPIDCLMVGKPSRKQALNNYDSCQN >cds-PLY86936.1 pep primary_assembly:Lsat_Salinas_v7:5:263803481:263806614:1 gene:gene-LSAT_5X135520 transcript:rna-gnl|WGS:NBSK|LSAT_5X135520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQPRVGNKYRLGRKIGSGSFGEIYHGTNIQTNEEVAIKLENIKTKHPQLLYESKLYKILQGGTGIPNVRWFGVEADYNVLVLDLLGPSLEDLFNFCSRKMSLKTVLMLADQMINRVEFIHCKSFLHRDIKPDNFLMGLGRRANQVYAIDFGLAKKYRDSSTHRHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKICEKKVSTSIESLCQGYPSEFASYFHYCRSLRFDDRPDYPYLKRLFRELFIREGFQFDYIFDWTILKYQQSQLSNPPSRALGAGTSSGIPPMAANPSRLTGGEEGSGSRGWSSSRPRNMLNSRQKNPASTDQSTSRELTSSNILQTSGSSRRPTDAVLGRVASSSGRRSSPVVSSDQRRTTPSRNANANNFDSTLKGIEGLHF >cds-PLY82701.1 pep primary_assembly:Lsat_Salinas_v7:2:144601975:144604416:1 gene:gene-LSAT_2X71340 transcript:rna-gnl|WGS:NBSK|LSAT_2X71340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPALVVAVLIVLVVVVKVGVAQSITNDSNTNTPLRFYCGSNDAMSPTSFSRNLHSSFSQLRSQLSKAGVYYARAQNLENGDAVYALAQCRNYLSAARCLDCFDVSVSVVETCGSANGATVYLDNCFLRFENYDQFYDDPEGSLDASIAPLGICGNESTSQSITTFNQAVEDLLSDIRVATPRSSDFYTASKRQVGDSNTTLYAIGQCVENVSQVICKDCLSTSYNSLYNCLPAAEGRAINVGCFMRYSEFPFFQDNQTINLIPLLDEVRSNIFGIIIGVSSSVALLLIIIALSLWYRRWKKFKAFREGIT >cds-PLY62882.1 pep primary_assembly:Lsat_Salinas_v7:9:131658324:131660140:1 gene:gene-LSAT_9X85660 transcript:rna-gnl|WGS:NBSK|LSAT_9X85660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGIGFMFYTSLALLALGSGGVRGALAPFGADQFDTMKPKGLTAQGSYFNWLLLSTTVGATVGVTGFVYVSTNHGWWWGFLLATITSSLGYTLFLFGKPFYRIQAPKDSPLLRVTRVFVASVNNRNLALPENSEELHESSKNEPLMGKKLSRTAQFTWLDKAAVVPKDSKPSELAPWEICTVTQVEEVKILIRMLPIILSTVVMNTCLAQLQTFSQAQGNFMNKKLGKLDFPAGSIPVIPLVFMSILLPVYEFFFVPFARKFTKHPQGITQLQRVGVGLVLSAISMGVAGIVEVKRRNQSRLHPLEPISLFWLSFQYGIFGIADMFTLVGLLEFFYKEAPVGMRSLATSFTWISVSLGYFLSSVLVDIVNSVTKRVSPSKKGWINGLILDNNNLNLFYWLLAVLSLINFAVYLLSAVKYKYKKEDDELLKTEMASATTSVAMVSASEDELPKSTIKEDSPEGETTVESNEDVKNVKEPKEEVNDGKDHEVHSQI >cds-PLY71073.1 pep primary_assembly:Lsat_Salinas_v7:3:163243242:163243772:1 gene:gene-LSAT_3X100421 transcript:rna-gnl|WGS:NBSK|LSAT_3X100421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKINDEVLVESNGNVQVEGDFDWSPLPAGPWISDEYCGHDNDDSTSIDDEDDSQAVGETLEEENANKGLEDDELEKGELQHDEFIGVVRMTSSEPMAVEDESSQKTRIHMGGTQGPEDCSTEEIPRNGEDQSSPNINGQREGIPFVFNGESTKDGDIIEVPKETNIGSKFDLVKA >cds-PLY78791.1 pep primary_assembly:Lsat_Salinas_v7:8:60143437:60146307:1 gene:gene-LSAT_8X43480 transcript:rna-gnl|WGS:NBSK|LSAT_8X43480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDSTAILAAIQSLLRLVRDVARCSGTGFSGDFKKDCTDLSRRVALLSHLLEEIRDFKGDLRLLDESASSSSSSSCLSDLTVALQAAKKLLVIAGNFDQKISPEGLAKKIAFQFQCVTWKLEKALANLPYDDFEISEEVQEQVDLVRGQLRRARERYGKPLNSSILSQALLQAPDKEQVENTGNINHQEPETKVESASKENISKGYNQNEMIYQSNDSKISSASSPLDICKNIDENKKLDAPAIPDDFLCPISLELMRDPVIVSTGQTYERSYIQRWIDCGNTTCPKTQQKLQNTTLTPNYVLRSLITQWCINHNIEQPTLLTNRKLKRTDGSFRDVTEDIEAIEVIVRNLSSHSIEERRAALSEIRSLSKRSTDNRILLAEAGAIPILVSLLNSEDTLIQENAVTSILNLSIYENNKALIMLANVVPSIVQLLRVGTMETRENSAATLFSLSLANENKIIIGASGAIPALVNLLENGSNRGKKDAATALFNLCIYQGNKGRAVRAGIITVLLKMLRDSDSRSNSGSGGCMVDEALTILSVLASHQEAKVGIVKAGTIPLLIDLMRTGVSRNKENATSILLSLCKRDSENLECISRLGAVIPLMELVKNGSERAKRKAESLLGHLQRNQQGM >cds-PLY96475.1 pep primary_assembly:Lsat_Salinas_v7:2:124612013:124615189:-1 gene:gene-LSAT_2X57620 transcript:rna-gnl|WGS:NBSK|LSAT_2X57620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATNRNFKLAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGSLVSYVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPAELSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLNDHGMSVSGQRFVIQGFGNVGSWAAQLIHEAGGKVVAVSDISGAIHNKNGIDIPTLMKHVKEHKGVKGFGGANAIDSNSILVEDCDILIPAALGGVINRENANEIKAKFIIEAANHPTDPEADEILSKKGVVILPDIFANSGGVTVSYFEWVQNIQGFMWDEAKVNTELKKYIVQGFKDVKEMCKTHNCDLRMGAFTLGVNRVARATVLRGWEA >cds-PLY94745.1 pep primary_assembly:Lsat_Salinas_v7:2:179097751:179099402:1 gene:gene-LSAT_2X101060 transcript:rna-gnl|WGS:NBSK|LSAT_2X101060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G12890) UniProtKB/TrEMBL;Acc:W8Q2U4] MAGNNNNIIMFPFMAQGHIIPFLSLSLQLEQKGYQITFINTPLNIANLRRVLPPTSTVRLLEVPFNSSDHGLPPNSENTDSLPPYQICDLLVASTSLKPAFRNILSGLVDAGTPPLCVVADFFFGWSAAVTHEFGIFHVIFSGSGGFGLACYFSSWINLAHKHSDSGEFTLPDFPEAGKLQVTQLTPSLVAATGDDPWSKFQKQNLPAWGNSDGFLFNTVEDLDKVGLSYFRRKFSRPVWAIGPIDLSVSGGARTGKNGRIETNSCLQFLNSMPPASVLYISFGSQNTITASQMMQLAKALDKSKKHFIWVVRPPLGFDINTEFRAEEWLPENFKKQTEEEHRGLIVEKWAPQVEILSHQSVGAFMSHCGWNSVLESLSRGVPLLGWPMAAEQFYNAKMMVEIAEVCVEVARGTSFEVRSEDLRETIEEVMSEDGKGREMRKKASEIKKMIEEATRNEQGFRGSSVEAMEDFLQAALMKNTTITTTNDLQQD >cds-PLY64889.1 pep primary_assembly:Lsat_Salinas_v7:2:77621766:77622422:1 gene:gene-LSAT_2X35060 transcript:rna-gnl|WGS:NBSK|LSAT_2X35060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSTDDQRTTTEATSIVAALVTMPPLIDSGGSMVLDTNEAGLEASFLNSHSKAEIVDMLRKHTYNDEIEQSWSRFVKEKNLKAGDIVSFQRSTGSDKQLYIDWKTKNRSGSSNIQEQATLQHVQER >cds-PLY91192.1 pep primary_assembly:Lsat_Salinas_v7:4:124725926:124726841:1 gene:gene-LSAT_4X78341 transcript:rna-gnl|WGS:NBSK|LSAT_4X78341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVMEDLKIKKSSSSSASSPASRVPSSNFFYLRKPSALRQPISFEESPDWEEPDVEVKVEESGGGSDSINIATTPISPSLSKLNSGSMPSPPLPEGVVVARKIAGASMVWKDLIVTIKGKRKYSNRVTKSSNGYALPGTIPIIMGPTKLGKSTMLRALAGRLDDSAKTYGEVFINGAKPKLQYGSYDPIFLTNFLCY >cds-PLY83505.1 pep primary_assembly:Lsat_Salinas_v7:5:22991775:22992248:1 gene:gene-LSAT_5X11061 transcript:rna-gnl|WGS:NBSK|LSAT_5X11061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESPVKGRLRKKNFLIKTWERCRTIPSSAGVSGFCNIRKKKVAPEGFFPVYVGPEKQWFAVKTQYANHPLFRMLLEDAEVEYGYDTPGPILLPCEVDLFYRVVAEMETMEMEPRKLRYGSCSPFNPSRRLINAGGDQMVKGYGGYGLLTPSRLVKMN >cds-PLY91955.1 pep primary_assembly:Lsat_Salinas_v7:2:20250041:20258335:1 gene:gene-LSAT_2X11200 transcript:rna-gnl|WGS:NBSK|LSAT_2X11200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVVSAILKPVAETLMEPVKKHLGYLISSTQHVRDMRRKMGELDSLRHAEEDHLDRNIRTRLEISFQVRSWLEEVEKIDAKVKTVPSDVGACCSLKSRHTVGRETFKLIEEIESATRKHSLITWTDHPIPLGKIDTMKASTSTPSTDHDDFESREKTFTQALKALEPNNTSHMIALCGMGGVGKTTMMQRLKKVARENRMFSYMVEAVIGEKTDPIAIQQAVADYLRIELKESTKPARADKLREWFKANSGDGKNKFLVIFDDVWQSVDLEDIGLSPFPNQGVDFKVLLTSRDEHVCTMMGVKANSVINVGLLTEVEAQSLFQQFVETFEPELCKIGEVIVRKCCGLPIAIKTMACTLRNKRKDAWKDALSRIEHYDIRSVAPKVFETSYHNLQDRETKSVFLMCGLFPEDFNIPTEELMRYGWGLKLFDRVYTIREARTRLNTCIERLVQTNLLIESDDVGCVKMHDLVRAFVLGMYSEVEHASIVNHGNMHGWTKNDMNDSCKTVSLTCESVSEFPGDLKFPNLKLLKLMHGDKMLRFSQDFYEGMEKLQVISYHKMKYPLLPSSPQCSTNLRVLHLHRCSLRMLDCSCIGNLTNLEVLSFANSGIERIPSAIGNLKKLRQLDLRGRYGLCIEQGVLKNLVELEELYIGNASAFRDYNCNEMAERSNRLSALEFEFFNNKAQVKNMSFENLERFKISVGRSLDGDISKSAHSYENTLQLVTNKGEISDCKLNELFVKTEVLCLSVDDMNGLEDVEVKLTNPQSSTFCNLRVLVVSGCAVLTYLFKLRVANTLSKLEHLEVYKCDNMEELIHNGTRGSGKETIMFPKLKFLSLRELPKLLSLCNNVNIIKLPQLVELQLKGIPGFTSIYPQSKLETSSLLKEEVVIPKLETLRIDDMENLNEIWPCELSRGEKVKLREIKVRNCDKLLNLFPHNPMSLLRHLEELEVTECGSIASLFNIDLDCVGAIREEDNNSILRSIKVENLGKLTEVWRIKGADKSHSLVSGFQVVESMRIRKCERFRNLFTPITTNFDVGALLEIRIEDCGGETGRFNESKESSQEKKTDILSEEETLREITGNISNVAFPSCLIPSFHNLHKLYLKKYEGVKVVFEMENPTSRELVTTHHNQQQPILLNLQELYLYNMDNMSHVWKCNRYRFFNLPKQQSESTFHNLTTIHVYQCKSIKYLFSPLMAELFSNLKNVHIEWCHGIEEVVSNRDDEDEEMTTLFPQLDSLTLKYMNNLKCIGGGGAKDENTEISFNNTTTTTVFPDRFKLSEAGGVSWSLCQYSREIEIEHCPALSSVIPCYAAGQMQKLRVLRILCCSGIKEVFETQSGMISNKNKRGCDEGIPRVNNNVIMLPNLKILEIVACGGLEHIFTFSAIGSLTHLEELTISSCDSMKVIVKKEEEDASSSSSSSKKVVVFPRLKSIELSYLPELEGFFLGMNEFGFPSLDNVTIKKCPQMRVFAPGGSTALQLKYIRTGLGKHTLDESGLNFFHVQHHQQTAFPSLHGATSFPATSEAIPWYFHNLIELDVERNHDVKNIIPSGELLQLQKLESISVGDCEMVEELFETALEVTGRNRKSSSGHGFDEPSQTTTLVNIPNLREMTLDLLDNLRYIGKSTQWTVYEFPNLTSLYIGCCKRLGHVFTSSMVGSLLQLQELTVRYCDHMEVIVKDASGVVEEESIGKRNEILVLPRLKSLILDDLPCLKGFSLGKEDFSFPLLDTLEIYYCPAITAFTKGYSATPKLKEIVTRCGSFYAGEDINSFIKIKQEVN >cds-PLY74699.1 pep primary_assembly:Lsat_Salinas_v7:5:26123908:26124215:1 gene:gene-LSAT_5X13181 transcript:rna-gnl|WGS:NBSK|LSAT_5X13181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEDHLHVMSVKAKVVDECDSTVGCDAEHGYQPPCPNNIVDASKAVWKALGVPKSDWGEAKVTWSDA >cds-PLY92607.1 pep primary_assembly:Lsat_Salinas_v7:7:163364885:163365944:1 gene:gene-LSAT_7X96100 transcript:rna-gnl|WGS:NBSK|LSAT_7X96100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidine kinase a [Source:Projected from Arabidopsis thaliana (AT3G07800) UniProtKB/Swiss-Prot;Acc:Q9S750] MSLTPTVNHSDRDLMAQPLRPSGEIHVIVGPMFAGKTTALLRRIKSEGTNGRNIAMIKSSKDTRYAVNSVVTHDGTKYPCWALPDLLSFKQKFGEEAYEKLDVIGIDEAQFFDDLYEFCCKAADTDGKTVIVAGLDGDYLRRNFGPVLDIVPLADTITKLTARCEVCGKKAHFTFRKTKETKTELIGGADMYMPVCRQHYVNGQMVIKH >cds-PLY77376.1 pep primary_assembly:Lsat_Salinas_v7:1:206955708:206960420:-1 gene:gene-LSAT_1X122940 transcript:rna-gnl|WGS:NBSK|LSAT_1X122940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDNRPPSEGGGGGKVWGLFKLPFRNTGNSTTHTTTSSSTYQTEGSNTQNSNNHQGLSASNSVSSVARSLLPTRRRLRLDPSNKLYFPYEPGKQVQSAIKIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGETIIATVFKFVEPPENNEKQMDQKRKVKFKIMSLKVKGVMDYVPELFEEQKDHVAVERILQVVFLDAERPSPALEKLKRQLAEAEAALESNKKPVEEPGPKVINEGLVIDEWKERRERYLARQQVEGVDSV >cds-PLY86119.1 pep primary_assembly:Lsat_Salinas_v7:6:83668227:83670151:1 gene:gene-LSAT_6X59660 transcript:rna-gnl|WGS:NBSK|LSAT_6X59660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSVHILTAQFHMKSPHLQHLNIWMLSGVINRQMVEDVDYSLKWTTTTNHSYVQTLCSESYRVEPQLLEVDVKSLFYFIEAENKPLKRFGFLLNS >cds-PLY91266.1 pep primary_assembly:Lsat_Salinas_v7:3:77036536:77036712:1 gene:gene-LSAT_3X59780 transcript:rna-gnl|WGS:NBSK|LSAT_3X59780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLTKTLLLLSLSSHQTSDESQVASPLASARGGVSTFLVSLASSDGEATPLSFVFFVN >cds-PLY83397.1 pep primary_assembly:Lsat_Salinas_v7:8:653631:654150:-1 gene:gene-LSAT_8X1360 transcript:rna-gnl|WGS:NBSK|LSAT_8X1360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDMIGKEGERRLSKIGMEKMLVSMGHQSCGAVTLWNYPLWMRNLVAHDINGEERTDLVDMASIEIYRDRERKVPRYNEFRRNLLMIPIRKWEDMTDDEEVIEALYEIYGDDVEKLDLLVGIHAEKKIQGFAISETAFFIFILIASR >cds-PLY69756.1 pep primary_assembly:Lsat_Salinas_v7:5:231331186:231331413:1 gene:gene-LSAT_5X111480 transcript:rna-gnl|WGS:NBSK|LSAT_5X111480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLPSPFTGALSVDLGSDFVFGGAPGSPGGSFQSEKPSTVDEIRTSSHSLSFKAYALSWAITRDSLLSENITTQE >cds-PLY87847.1 pep primary_assembly:Lsat_Salinas_v7:2:66378280:66383457:-1 gene:gene-LSAT_2X29981 transcript:rna-gnl|WGS:NBSK|LSAT_2X29981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPLRKFLLKSSSGDVIVRREMRRAKSDSTTKMQIMIRSFESIDKNLHWELPPDTRKIGLPSTRSLFTVLKSPHVHKKAREQFEMKINKEMLVMETKRHELRKKLFWLKRQRIFGAQFEILFSFKTRLDKAQLQEVLQPGVKDVSKYNKLEERSVMQALNSLAHEGFYSDAVETSLNSVESSLPANNTSAFPQGLALMLRSVPMELLKARVDKKGSRAVSTQLIEKFILNNPHRPNVEAQPDPEKASQHESVERYNLEKLKASMTEEDLAELARVTSELQLKPSAPLKAVPRFSLKNISKKPMQVPLEVGDINGVKVLKHDLITNDVLYADIAFDMSSLKPELLPLVPLFCRSLLEMGTKDVNALQLSQMIGRETGGISVYPFTSSKQGSKDPVSHVIVRGKAMSANTEALFNLMTCVLQEAEFTNPKRFKRFVSESKAKLENHLREGGHGLAATRMNAKLNHSGWIAEQMGGVSYLEFLKDLEGKIEEKWSEISMSLEEIRQTLLSKKGCVVNLTSDGRNLKISEKYIRKFLNSLPSNSSVSSSISNAQLPSNNEAIIIPTQVNYVGKAADIYENGYQLNGSAYVISKYISNTWLWDNIRVNGGAFGGFCDFDTRSGVLSFLSYRDPNLLKTLDVYDGTSDFLRGLEIDDNTLEKSIIGTIQDLDSFQLPDAKGFSSFLRYLSGISEEDRQARREEIFSTRSNDFKEFADIVDAVKDKGVIVAIASQADADVANKERSNLFEMKKVL >cds-PLY62490.1 pep primary_assembly:Lsat_Salinas_v7:1:84364929:84380719:1 gene:gene-LSAT_1X70360 transcript:rna-gnl|WGS:NBSK|LSAT_1X70360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDSMSIAITSTNYIVSPPYHRRRPPFCCQFQYQYVNAGNVFYHGKKSTRDRVSLLNRIRAYSESKTEEKQVRKFSPILEGQLLSEKNVSTAELKAIPDIWRSSAEKFGDRVALVDPHHDPPTNMTYNQLEENILNFCEGLRVIGIKPCEKVALFADNSCRWLVADQGIMATGAINVVRGSKSSVEELLHIYTHSERFIFPHVNNLHSLDNSVALVVDNPELYHRIATGFNSKASVRFVILLWGDKSSLNSHSHIMEGIPAYTYKEIIDIGHEHRMLLVDLHDAREEYVYEPIKSHDVAAVIYTSGTTGNPKGVMLTHSNLLHQVHNLWDIIPARPGDRFVSMLPPWHAYERALEYFIFSLGIELVYTNVKYLKDDLRHYQPQFIISVPLVFETLYNGIQKQISTSSAIRKVIALALIKISLAYMEFKNIYQGECLSRSQKEPSYIAATLDWIYARIIATILLPLHLLAKKLVYSKIHPTIGISKAGICGGGSLPLHVDKFFEAIGIKVQVGYGLTESSPVIAARQPYLNVLRSVGRPIRDTEIKIVDDETGQDLPHGSKGIVKARGPQIMQGYYKNPEATKQAIDEDGWLNTGDIGWICPSHSLGRSRNSGGIIVLEGRAKDTIVLSTGENVEPEQIEEAAIRSNLIQQIVVIGQDQRRLGAIIVPNKEEILLASKNVSMSAASSGNTQLTKDQMAGMLSQDLRKWTCDCSFQVGPILVIEEPFTIDSGLMTATMKIRRKKVVELYKDEIDDLYK >cds-PLY94393.1 pep primary_assembly:Lsat_Salinas_v7:6:10439287:10441419:-1 gene:gene-LSAT_6X7341 transcript:rna-gnl|WGS:NBSK|LSAT_6X7341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVANKHKINFYKTTTIRVSAPFVDRIDPFNFVSFHDLTTRNFDTRVAFDFIGQVVFTEPMRVIKENARETRLMIIVAQDLIIVDIDLNVEFSINTTQLNTKTVVAKPKDYYIRFQIKNIDDIPYYNEVTKNGDDSDGEPFTCNGCRGVSDVFGKVRVVIRVQDETGSASFVLFNRHVKDLIHCGNHWLMEKISKDQGRQKIPDEFNRMLNMKFVFKVQISKFNLEKNYHAYTIHKMTDDELEKSVSVEGDNINIVDLDAVTPTTTSLKCPIEVVTTTESFEWSSSKDGVAPHTLKIPKMEKLE >cds-PLY72354.1 pep primary_assembly:Lsat_Salinas_v7:3:107712823:107713374:-1 gene:gene-LSAT_3X78660 transcript:rna-gnl|WGS:NBSK|LSAT_3X78660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSPTSISIPPKPYISQCFLYNRSSSTSRRRLNLRSMAKQTGEEVSTNGITEKLAIAGGLIANPVIGWSLYTLKTTGCGLPPGPGGSLGALEGVSYLVVVGIVGWSLYTKSKTGSGLPNGPFGLLGGVEGLSYLSLVAILVVFGVQFYQQGSLPGPVPVPGDQCFG >cds-PLY82324.1 pep primary_assembly:Lsat_Salinas_v7:1:65874824:65876141:-1 gene:gene-LSAT_1X56341 transcript:rna-gnl|WGS:NBSK|LSAT_1X56341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAVIDQNTGADAGDDDTPMLSSHTLAALKEFLSEQNGLLVANNDGATVAEDEKEVALVTEDWRLSQFWYDRETAETVAREVNALYTSMDSPPSIACIACPTLYVYLKKLHHDLPVQLLEYDNRFKQYGNEFTFYDYNQPLELPSTMKHSFRIIIADPPYLSKECLEKVSETIGFLKQPGESFLLLLTGAVQHERAGELLGLRPCGFRPQHSSKLGNEFRLFTNYDPGLRLGGWEHEE >cds-PLY97930.1 pep primary_assembly:Lsat_Salinas_v7:3:18027552:18030514:1 gene:gene-LSAT_3X13660 transcript:rna-gnl|WGS:NBSK|LSAT_3X13660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:N6-adenosine-methyltransferase MT-A70-like [Source:Projected from Arabidopsis thaliana (AT4G10760) UniProtKB/Swiss-Prot;Acc:O82486] MEPQSDSTDEIASIKEIRQQIQSRIETLRNSQLEIISSLQYGVVPDITSSLDLHLKVVSSFNGRPFTPLPNPLPAPNPNTRRRIQPPPPAPPIKIPKLSDDLHPKPSTEGFAGDEGGSGATPLATVRSMVAVCLLERVPFTEIDSASILSKLESDSFQSVTVAEKAALREVAGGETILAVELALRSMAEDNGGVQLEEFKVNGKFRVLVKGIDRTKLVKELPESKQNESNSNNSSGGNAIQQSSRTIGDGGWMGQGDPSQFGGGQIMGPRGMMGMLGRPRGMGVNPMHRPPPSMGGMYSPMHSSGGGSNGKPPRTEEDDLKDLEALLNKKSFKELQKSKTGEELLDLIHRPTAKETAVAAKFKSKGGSQVKEYCTALTKEDCRRQSGSFISCEKVHFRRIIAVHTDMNLGDCSFLDTCRHMKTCKYVHYELDSTPDVAHMNMMMGVSGPSKPLKPQRAEYCSEVELGESQWINCDIRNFRMDILGQYGVIMADPPWDIHMELPYGTMADDEMRNLNIQALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGDPIVNRNIDTDVIVAEVRETSRKPDEMYPLLERISPRTRKLELFARMHNTHPGWMSLGNQLSGARLVDDGLRARFKAAYPEVEVQPASPPRASAMEVDTTSPSPFSETKPMSAHFSSEPNYVAESNKPMDES >cds-PLY91271.1 pep primary_assembly:Lsat_Salinas_v7:3:76048259:76048528:1 gene:gene-LSAT_3X59200 transcript:rna-gnl|WGS:NBSK|LSAT_3X59200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQEAGKYESRDEEPKKKLEVMNALENYTYNMRNTVKDEKLGEKLTPVDKKIEDVIDEVIVWLYTNQLAEGDEFKDKMKELFPILKLLG >cds-PLY78390.1 pep primary_assembly:Lsat_Salinas_v7:9:9526203:9532752:1 gene:gene-LSAT_9X7440 transcript:rna-gnl|WGS:NBSK|LSAT_9X7440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIKKFSLFVLGFVICIITRTAGESSTCLAVYKEGGAPAVFRSPKCPRWSLPKHDRRRQSTAGRCQSATRQGRRKSLEDRTFCTLDIRIPFPDPKGIRDTSVGIVAVFDGHNGAEASEMASKLLLEYFTLHTYFLLDNAFSFLSKTSRGMLPNKGEHDHASRMLSWDEKLGEHVLHIGRIKFTSSTIFNGAFHLEILKEALLRAIDDIDAAFSKEASRYSFNSGSTAAVILIADHQILAANVGDSKAFLCSEMFQPPPEAKATLVRLYRKRKRDGASVRMKDYGNFKLAASDGLTHFSVKELTNDHHPDRADERSRVESAGGHVLEWAGVSRVNGQLAVSRAIGDVSYKKFGVISVPEVTDWQPLTPNDSYLVAASDGVLEKLSSQDVCDLFWQLHTDAPLELINSSSCSYSLADCIVDTALERGSMDNVAAVVVPFGVEALPSERSSDVRLQNYIDEQSDSENADSVIDKFGRLLVEGKHNTYRCFYLSESLNEKDDYTFWIAKDDHDSIYGSPALPDLLDHSYGRPLNLYRDQMMCLHYGRSNGGDRDQCINPEGLASFLGFLESLPSHTIEPNQESYEPTSPNTRYILKKRFDRGSYGEVWVAFHWNYLQQRNDSNKTSQFYTTHLGSENGSTTQTNFTSGPLDADMFILKRIMVEKGNAVYLSGLREKYFGEVFLNVSASPSKESYPYMYSFLRRNDSEDHHQPPEHMSSTRKRQAYEEGVKHIARYIESFESRSNEIWLVFRHEGVSLSKLLYTAEDVGSSDDTTKNVNDDHIKHIRILHPSNWWHWLKTTKAGQDEIRNLIWQLLMALKSCHDRNITHRDIKPENMVICFEDRDTGRCLKGSPSGNMNYTTKMRIIDFGSAIDEFTIKHLYGSAGPSRNEQTYEYMPPEAFLNATWSQGPASMNTKYDMWSVGVVMLELIIGSPNVFQINARTHALLNQHLEGWNEGLKELAYKLRSFMELCILLPGSSSKGKRTGSPASWKCSEEFFSSQIKSRDPLGIGFSDVWALRLVRQLLVWDPEDRLTVDEALRHPYFSNHTTTTRQ >cds-PLY99026.1 pep primary_assembly:Lsat_Salinas_v7:6:150277760:150280003:1 gene:gene-LSAT_6X90160 transcript:rna-gnl|WGS:NBSK|LSAT_6X90160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAFHFALVLLTLLSVKFSEASRLSLFASTKDAQVANSTKWAVLVAGSNGYSNYRHQADVCHAYQILKRGGLKDENIIVFMYDDIANNTMNPRPGVIINSPNGSDVYAGVPKDYTGDYVTAANFYAVLLANSSGLTGGSGKVVASKPGDKIFVYFTDHGGPGLLCMPNLPYIYANDFIEVLKTKHASGTYDEMVLYVEACESGSVFEGLLPEDLNIYVTTASNANESSWGTYCPGSATPPPPEYNTCLGDLYSISWMEDSDVADLNTETLEEQYLKVKARTSNNNTVGSHVMQYGTQLISNETVSVYQGSVTLNSTSNTFQSIGFMGVVEQRVADVYSMWKMYESSILEPQQKIELLKEIKEITTHRAHLDSTIESIKGELLDQEYLKERAPGSVLVDDWDCLKSMIRTFETYCGSLTQYGVKHTRTFANMCNNGVTMDAMDEALKGRCGSYSLGKWNPATVGYSA >cds-PLY69768.1 pep primary_assembly:Lsat_Salinas_v7:5:231606508:231606965:1 gene:gene-LSAT_5X111660 transcript:rna-gnl|WGS:NBSK|LSAT_5X111660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLFIIPSLAHLSLALRRDFLIPQNEARAEVGVHPSYGTKLWYTMPVEGPFGENLAIGYGDQFNATAADAVDMWVGEKQYYDYESNSCFGDECLHYTQVVWRDSVHVGCAKVICYNDWWFAICNYDPPGNYDGQRPY >cds-PLY96516.1 pep primary_assembly:Lsat_Salinas_v7:5:337265547:337266209:-1 gene:gene-LSAT_5X189101 transcript:rna-gnl|WGS:NBSK|LSAT_5X189101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVRALVKERPVVIFSKSSCCMCYTIKTLISSFGANPTVYEVDEHPQGKQIEKELRGLGCKPSVPAVFIGEELIGGANEIMSLHLKGQLVQLLLNANAIWL >cds-PLY64576.1 pep primary_assembly:Lsat_Salinas_v7:6:36268569:36269099:-1 gene:gene-LSAT_6X28701 transcript:rna-gnl|WGS:NBSK|LSAT_6X28701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESRGSEASSSRPADYCGVEREGGDLQGTFLVRASCSRPKSSKYDNLRSLVGPKKRKETKQRICSSSTPAMRYEGEEISDFGLVFCSLSSTGRKENEKELDQTKGRMDEMQQYGCIDSGCPLQTGSRKEVVWWEELTAVAAHMGRLVTRSLTGKFLVVSCSIFSFLFFFLFCSCFD >cds-PLY92414.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:760144:762860:-1 gene:gene-LSAT_0X10580 transcript:rna-gnl|WGS:NBSK|LSAT_0X10580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSGESRSPHPSSPSPTFSIRKRKNAKKKTSRSSSFNSQREEHLHRIPGRMFLNGSSQAASLFTQQGKKGTNQDAMIVWENFGSRTDTVFCGVFDGHGPFGHMVAKRVRDSLPLKLSAHWELNIKGSNDVLREVNLNHEVETPLTGDDDSRLLMDLEINKNPEKLQALKDTFLKAFKVMDRELRMYANIDCFCSGTTAVTLIKQGHDLVIGNVGDSRAILCTRDNKSNSLVAVQLTVDLKPNLPAEAERIRRCKGRVFALQDEPEVTRVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDIFYRRLTQNDQFVVLASDGIWDVLTNKDVVDIVASAESRSCAARAVVEAAVRAWKYRYPTSKVDDCAVVCLFLSPHSNNNISTASAADLVDKKEPISLKSKPNEALAPAAAEEGADADEELADDELAGTLDEDQSTMETEGGIDWSALEGVARVNTLLTLPRFVPEEAGKQPPGNKKTN >cds-PLY74653.1 pep primary_assembly:Lsat_Salinas_v7:5:176994920:176995490:1 gene:gene-LSAT_5X79701 transcript:rna-gnl|WGS:NBSK|LSAT_5X79701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNQELLDNFKDYEKDVKARHSYGPKGHRGMSVLIFESSVVGYMEAERLNKHFENEGTDRDAWDQSLRRILYYPGGQRQLYGYMATKRDLDFFNQHCQGKSKLKFELVSYHERVVNELKQMNENNQQLIWYKNKIAKEQMHSKALEESFTLVSQRLRKE >cds-PLY92712.1 pep primary_assembly:Lsat_Salinas_v7:7:5098018:5101658:-1 gene:gene-LSAT_7X4740 transcript:rna-gnl|WGS:NBSK|LSAT_7X4740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAIPSRAVLALVVISLISCVSLVFAGDIVHHDDVAPTRPGCDNNFVLVKVPTWIDDREEDEFVGVGARFGPTLESKEKDADKSRIALADPSDCCTTPKNKLTGETILVHRGNCSFTTKANVAEAAGASAILIINNGTELFKMVCEPDEPDVSIGIPVVMLPQDAGESLKQNLQKNLNVFVQLYSPKRPLVDVAEVFLWLMAVGTILCASYWSAWTAREAAIEQDKLLKDGSDEYLNMEVSNSSGVVEINTTSAIVFVVIASCFLVMLYKWMSYGFIEVLVVLFAIGGAEGLQTCLVALLSCFKIFEHAAKTYVKIPFLGAVSYLTLGVSPFCVTSAVVWAVYRRFSFAWIGQDILGVALIITVIQIIQVPNLKVGTVLLSCAFLYDIFWVFVSKWMFHESVMIVVARGDNSGEDGIPMLLKIPRMFDPWGGYSIIGFGDIILPGLLVAFSLRYDWLSNKSLRTGYFSWTMIAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTFITLGMKRGDLRHLWTRGEPDRICPHVQLQPSSESQHS >cds-PLY90657.1 pep primary_assembly:Lsat_Salinas_v7:6:51716932:51717528:1 gene:gene-LSAT_6X37800 transcript:rna-gnl|WGS:NBSK|LSAT_6X37800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSPPRLNKHTRVSTTSSSSSADDTRHRGSTPPVGDSTNPMIQDEPSPKPSPPQPNIVHQVETIPPTLLPITTIALLQVDQGQPSSNFETTVLYQLSLNVQLTQSMDKMLTKVERDVATMKRLMALDNGDDDMDFDDTPPNSSGDKAPPPPPRSTNLPPPSHPPPRTHSLPLNSHPQSDAAKIGRIIKGILSQCKCRW >cds-PLY62494.1 pep primary_assembly:Lsat_Salinas_v7:1:83484506:83488169:-1 gene:gene-LSAT_1X69961 transcript:rna-gnl|WGS:NBSK|LSAT_1X69961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYSQKPIELRAFDSLGLGFDFASDFRLKFAKACPGGGRLVQLDESRKRDIVLPGGGATISGVSVDIHCDKGDHVRFKSDVLEFNQMSELLNQKASVQGKVPSGYFNALFDLSGAWLNDVADAKHLAFDGYFISLYYLHLTASPLVLQERVKKSVPTHWNPALLARFIQTYGTHILVGMGIGGQDIICVKQKSSSTISPTELRGHLEDLGDCLFLDGASPSLPERKTKDGKQKIPEVFSRMLEPHTIQFTNITETSSKDGLTIIGSKRGGDVFSKSHMKWLQTVAASPEAIRFKFVPITSLLNGVPGSGYLSHAINLYLRYKPTPEDLQCFLEFQVPRQWAPLFCDLPLRHQQRMTSYPWLQFAFLGPKVHISTTQVSSNKKPIIGLRLFLEGKKSNRLAVHVQHLSSLPNIMTTNTPPSAVRPCQWRGSDDFESTAQFLEPIRWKRYSNICSSVVKHDPNWLQGTGGGGVFIVTGAQLVTKGKWPKTVLHLRLLFTHLPHCTIRKTEWAGAETLGRKSSIFTNLSSTFTFTQRSVADTPKQLPATINSGVYPDGPPVPIRSTKMRKYVDIEEVVRGSHDMPGHWLVTGGKLVMDDGKIGLHVKFALLDFPEDE >cds-PLY61733.1 pep primary_assembly:Lsat_Salinas_v7:5:215188659:215192407:-1 gene:gene-LSAT_5X98560 transcript:rna-gnl|WGS:NBSK|LSAT_5X98560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARFAILLFSLFLLSHRLTSEPTQDKQALLSFISKVPHASRISWNASESACSWIGVTCDPTNSSVIYLRLPGVDLVGSIPPKTIGSLSQLRVLSLHSNGLTGGLPSDFSNLAFLRSVYLQDNRFSGGFPPSLSELTRLTRLDLSRNNFSGPLPFSINNLTELTGLFLENNAFSGQLPSISPASLVALNLSNNNLNGSIPTSLASFPLSAFSGNINLCGDPLPACNSSFFPSPAPSPSSDEPPALHKKSKKKLSTGAIVAIAVGSALVLALLLLILLLFLRKKRNQRSKQSPKPPLPASTAASRGVAEAGTSSSKDDITGASTEGERNKLVFFDGGIYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVVVTKKEFESQMEVLGKMKNENVVPLRAFYFSKDEKLLVSDYMPAGSLSALLHGSRGSGRTPLDWDHRMRIALSAARGVAYLHVAGKVVHGNIKASNILLRQETNRDASVSDFGLNTLFGGSSSPNTRVTGYRAPEVLETRKVTFKSDVYSFGVLLLELLTGKAPNQASLGEEGIDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQLLQIAMACVSTVPDQRPAMQEVVRMMEDMNRGETDDGLRQSSDDPSKGSDVHTPPTETRNSPNTVTP >cds-PLY90417.1 pep primary_assembly:Lsat_Salinas_v7:8:214256881:214257709:1 gene:gene-LSAT_8X134160 transcript:rna-gnl|WGS:NBSK|LSAT_8X134160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILAYNLIDENGSRLMDEYERELVDLSNIVDANELDDYKITIGSNKSMEINHNTSDLRKPIGYTYLASSYLRLFTESAENCSRKGNFLKEKFSDFYSFEFPFDEFHPELEIIKTIKRCLEIVPLFKSTLYVILYASCKNINGESLKGSQIIFDVPKMYGVSHINNKDLLNLMYAPIFKHKLKGIGDLMVMDHMKGNYALKMWKFGRIFERRNEYLEIAHRKVNDASIGDRREAYVIASRVIILAKRIVDL >cds-PLY72219.1 pep primary_assembly:Lsat_Salinas_v7:7:53062320:53064312:1 gene:gene-LSAT_7X38001 transcript:rna-gnl|WGS:NBSK|LSAT_7X38001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLPEILVFEILSRVDDSAAVACCRAAWKTFNTLSPGLHSINLQWPLSGCIESRSRVSNASSSSQITSPLKTIFLNLLSNLTVVESVRIGVKNQPRDLLHVDVEDKANDLHLTDWDFIMEWLPRVSGSLKTLSISDFWAQSFHRRSNVLFLVSAYCHNLVELEVKNAWLSVDNLNQMLMVTSLTLESMRLNDKELTQLNKSFPNLQVFNLIDVRGFKLPKIHLLHLKTCHWTITDAPPFINVIAPNLITLRIECKKRAAIRVEAPLLTHFHLSIPHADPLLLRRYGSIKTAWIEASLIYPLLAQLRYTDTLDHLTLDSLGLIRGPFGIFKFTLQNLINIFPNMTSLCFRSRAWSAFQTRASIFGIGMEGLKTFCGYLMIVDLSSTLYSVAYVLDQCYNLVDVSLLIHRNVSSNVSKDFMRTCMLRWPKLNWRWGTWEEGKEDSWISDEDLMQISSYKKPELRCVKKQRR >cds-PLY78080.1 pep primary_assembly:Lsat_Salinas_v7:3:256102557:256103203:-1 gene:gene-LSAT_3X139920 transcript:rna-gnl|WGS:NBSK|LSAT_3X139920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEIMDERLLDVMCDCLKPVLYIENSCIVREGDPVDEMLFVMHGELLTWALDPNLSSTLPISTRTVKPLTDVEAFALKAEHLRFVASQFRRMHSRRFYFQVLLTTMEDMGSLFYTGGMA >cds-PLY94394.1 pep primary_assembly:Lsat_Salinas_v7:6:10863301:10867357:1 gene:gene-LSAT_6X12841 transcript:rna-gnl|WGS:NBSK|LSAT_6X12841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPQNVGILAMEIYFPPTCIQQDTLEDFDGVSKGKYTIGLGQDCMAFCSEVEDVISMGLTAVTSLLEKYEVDPKQIGRLEVGSETVIDKSKSIKTFLMDIFEECGNTDIEGVDSTNACYGGTAALLNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPDAPIAFESKFRASHMSHVYDFYKPDLASEYPVVDGKLSQTCYLMALESCYKGYCQKYEKLQGKQFSIADAEYFVFHSPYNKLVQKSFARLVFSDVARNASSVDESAKEKLGQFTSLKGDESYQNRDLEKASQQVAKPYYDKKVKPGTLINKQVGNMYTASIYAAFASLIHDKNSSLDGNRVMMFSYGSGLSATMFSLHLSEGKAPFSLSNIAKVINVDDKLKRRTELPPAKFVELMKVMEHRYGGKDFVTSKDTSLLAPGTYYLTEVDSKYRRFYAKKTTELSNGH >cds-PLY64941.1 pep primary_assembly:Lsat_Salinas_v7:5:49124531:49127862:-1 gene:gene-LSAT_5X23900 transcript:rna-gnl|WGS:NBSK|LSAT_5X23900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPEPVVNEMRLLGCLDALALNFSIQEVEFSFNEFGPDDEADDYSRNTPDAFHEDYVGNLFDEMPGDEFWSELKAIRWCPISVDPPLIGLPWLVSTHQIVAPDSIRPKSQMWLGSSMVVKSERSMFESSNTPLLVPDSRGVLMVARDAMYNDAPWLENNTPLGKHIIHSSISHDLANRLGIQSLRLRLVFHMLGVSIDADQRITQDLEKLSTDLSGLVTGMVKPSIDILWFTWRMKMLIGRHGIAILYAYMLLGLGFLRSATLDFGDLGNREQELEGSFRFMHERLRIHAESVAFFGGGALEKVVSLHMHCGFWHQLYLKASWPLVTSWSCIESSSNCLVVLIGFLNLRNFLMLHNLVSVLSNLLGCSLFTNNLIEIADENVGTSSKSNEESEDVISFSEVDIITPTHNLLARKLKCEIVPGKNLLLTVFRALRGLWPIVDGRLVKPCHDVNDVVEAESGCGTGILYIPQKPYTCLGTLCDQIIYPLSHEQAEKRALSLYQQGKIDVGVADANILDMHLKRIQENVKLLYLFEREGMWDASLLVNNKD >cds-PLY91488.1 pep primary_assembly:Lsat_Salinas_v7:7:141037170:141037658:1 gene:gene-LSAT_7X84380 transcript:rna-gnl|WGS:NBSK|LSAT_7X84380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKLSFTLVCFFTLAILHTINAQNSQQDYLDTHNAARAEVGVANIVWNATVAAYAQNYANQRKADCNLVNSGGPYGENLAKGSGTFSGTAAVNLWVAQKAYYDYATNTCAGGHVCGHYTQVVWSNSNQLGCARVQCTNNSWWFVICSYYSSGNINGQSPY >cds-PLY98947.1 pep primary_assembly:Lsat_Salinas_v7:7:49530038:49534137:-1 gene:gene-LSAT_7X34780 transcript:rna-gnl|WGS:NBSK|LSAT_7X34780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID MOVEMENT IMPAIRED 1-RELATED 1 [Source:Projected from Arabidopsis thaliana (AT5G20610) UniProtKB/Swiss-Prot;Acc:F4K5K6] MSKNELKRRSGEGSGNGILFKDIDVLTKALYEDKTHPRSIINSTASSRSKAIVKPHLPETKSKSKINNEDRFLKDKKSIWSWNTFKSFTHVRNKRFNCCFSLQVHSIEGLPPSFDNLTLCVKWKRRDGELTTHPASVSQGVAEFEELLTNTCSVYGSRSGPHHSAKYEAKHFLLYASVYGDPELDLGKHRVDLTRLLPLTLEELEDEKSSGKWSTTYRLSGKAKGASMNVSFGYSVVDNVKSPVQSQMKRGESLPVMPRSYNRSVEDIKDLHEVFPVSKSELSESVSMLYKKLDEDKSTISVDYETKRDVQDFDTPDPEKSEFSILEQGIEFSVKEKATPEHDIKAYEEEEGTLKDQRFDEKELIMQELELAFSNVNEVSDSQEESENLKEDEEEGTLKDQSFDEKELIMQELELALNIVNEESDSQEESENPKEDEEGLKGTEAESVAGFEYEENENMEVKIGYKEKGKALKLDHATEVVAEDFLNMLGIDHSPFSLTSESESNSPRECLLRQFEKDALTNGSSLFNFNLDDDDDEIGFICDSSSTSMLPEEHNKTKASIMEDMESEALMREWGLNEKVFESSTKSQVDFSPEEPLELPPLGDNLGPFVETSNGGFLRSMSPEIFKNGKNGGNLIMQVSSPVVVPAEMGSGVMDVLQGLASVGIEKLSFQANKLMPLEDISGKTIQQIALEQESSSSIVGKSESQRSRKYDLGDTDPEYVSLEDLAPLAMNKIEALSMEGLRIQSGMSDNEAPSNVSPKSIGEVSALEGKRVNLGTGGLQLLDVKNSGGNNGHDDNDDGMDGMMGLSVSLDEWMRLDSGEIDESERTSRILTAHHASDMARVKRGGKNKKGGLLGNNFTVALMVQLRDPLRNFEPVGTPMLALVQVERVFVPPKPKIHSSIYWRKPQEEEEDEVKVKDVEEDNKVVVVEEESVLVPQFKVVEVHVAGVKNESESVNEGGKKGIWGWGSNAKQEKAGSRWLVANGMGKKNGKHPLMKSKAADKSSAGGGGSLWSVSSKGKGKGKVDPPVTVRNPNVIIPNETVRLLSGAGFL >cds-PLY80858.1 pep primary_assembly:Lsat_Salinas_v7:4:325816474:325816740:1 gene:gene-LSAT_4X162060 transcript:rna-gnl|WGS:NBSK|LSAT_4X162060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATGEANISSLLDKIKPSLLEDEGLEDCALPPDSIQEAFLKAAMLSAHIFSTTPVTNQKEIESMIYGLETNMEVIDSSDLQTINLIV >cds-PLY62276.1 pep primary_assembly:Lsat_Salinas_v7:5:165607248:165607529:-1 gene:gene-LSAT_5X73121 transcript:rna-gnl|WGS:NBSK|LSAT_5X73121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEKGVVRIIDKFIKSAKFASGIQGVRRACEALGFEKGKKLGSCSKIFGQSEAPNPSRVARRTEEVDIALSSFAEMDFAGLFCLGELDYDSFR >cds-PLY76960.1 pep primary_assembly:Lsat_Salinas_v7:6:63353107:63354943:1 gene:gene-LSAT_6X46681 transcript:rna-gnl|WGS:NBSK|LSAT_6X46681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEREDFLDLPPGFRFYPSDEELIVHYLQKKVNFCSVFPSVIGEIELYKFNPWELPRKTFSGADEWFFFSPRDRKYPNGSRPKRSAGSGFWKAMGKDKPIFSSSGSKKIGLKKALAFFKGSPTKNVKTNWTMSEYRLPESSNRSSRLNGSMRLDDWVLCRVRQKGNKSKNKSKAEENPKNQLPITTQELPSPYIVTNANLDIISDSMFKDFQFISASILAGQDLPYIIETSSPKQLQGTKTDNYGLAFENGQLYEENMSFIAKDESAKRTNMICDDPYDVLNMVHLDVVMKLIKDG >cds-PLY96356.1 pep primary_assembly:Lsat_Salinas_v7:4:357161492:357162640:1 gene:gene-LSAT_4X175720 transcript:rna-gnl|WGS:NBSK|LSAT_4X175720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACKQKLIQRLFNIRSSKSSNQTLSSCRISSSSSSGVQSLMPPEPDGVTPDPEHGSILRRFLQRRPLYLSSSSALPQIFRPGGEKLLERLKEMDIARNRTRLDGLITPPSLTVADAKKILRASQLEIVKSKLRNTQKNRVSYDEFIQICVDECSNRDQGVDLAKVLDDSGSVLILGTIVFLKPEQVVNAINGLMTGEDIPVKEMEEMERWKSEIDEKAEKMVRRELWGGLGYLMVQTAAFMRLTFWELSWDVMEPICFYVTSMYFMIGYAFFIRTAREPSFEAIFQSRFRVKQMKVMKMEGFDFEKYKQMKKKACGSHQYYSINS >cds-PLY81258.1 pep primary_assembly:Lsat_Salinas_v7:4:305013067:305013426:-1 gene:gene-LSAT_4X154041 transcript:rna-gnl|WGS:NBSK|LSAT_4X154041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLINISLTHDQFAALQERYGFQPEDDVTFPGEHASFINPPEGKIDIYLKDFYVRYRLPTSDFFREVLCTYKVHINQLVPNGINKVDAFEIFCDANGTLHCVIGASFSVVRHDQGFMR >cds-PLY75565.1 pep primary_assembly:Lsat_Salinas_v7:9:33630772:33631353:1 gene:gene-LSAT_9X29500 transcript:rna-gnl|WGS:NBSK|LSAT_9X29500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANNLISTSTAATTFIVVLLSLLLAGKSQTFSTSLRRTAMPRGKQNLTHLHFFYHNVVGGPNATAIRVAEAPITNTSATGFGAVIMVDNLLTVGPEPNSTRVGRAQGMYASADLNNMSFMMVHNYVFDEERYNGSTLSILGRNPLNSPVREFPVIGGSGVFRFARGYAKARTYFLNATNRDAIVEYNIYVLHY >cds-PLY68262.1 pep primary_assembly:Lsat_Salinas_v7:3:189096226:189096806:1 gene:gene-LSAT_3X113581 transcript:rna-gnl|WGS:NBSK|LSAT_3X113581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFRVNGVSPGVSLQAFDYPSTTHSFFVAKAMDCFMYEKQCLGLSIKDSKWKALSALNI >cds-PLY72307.1 pep primary_assembly:Lsat_Salinas_v7:4:60522186:60522877:-1 gene:gene-LSAT_4X40481 transcript:rna-gnl|WGS:NBSK|LSAT_4X40481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVGVSGDEKVIKGVTDWITNDLKEVAIEIVGLDMELERESVVDEAVEKTWSILGKVDALVNCYTYEGAAAYGACLGGIHQLVRTSAMEVGKHQIRVNAIARGLHIDDEFHIFLFYENVFHLEMVKLLF >cds-PLY86325.1 pep primary_assembly:Lsat_Salinas_v7:8:29150925:29157449:-1 gene:gene-LSAT_8X23401 transcript:rna-gnl|WGS:NBSK|LSAT_8X23401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNITIIYPDLRIGFTSIKWYNAKNCSDMDKKNCRMAYPYSNTTRRCYTILTRLPFFKLHFGVLNRWIDMIGELEKMPNLSTRHDRVLTRGIQLNITNKQICFFRKFGRYVVRADYLTLGKAFIMWSTLGICGGLYAL >cds-PLY96881.1 pep primary_assembly:Lsat_Salinas_v7:2:110068103:110071925:1 gene:gene-LSAT_2X52041 transcript:rna-gnl|WGS:NBSK|LSAT_2X52041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G43360) UniProtKB/Swiss-Prot;Acc:P54967] MILIRSIRPNRRAFSSMLDLQPRSLHFSSSAAAVEAERTIREGPRTDWKKEEIKSVYDSPLLDLLFHGAQVHRHAQNFREVQQCTLLSIKTGGCSEDCSYCPQSSRYDTGLKAQKLMNKDAVLEAAQKAKEAGSTRFCMGAAWRDTIGRKTNFKQILEYVKEIRGMGMEVCCTLGMIEKQQALELKKAGLTAYNHNLDTSREYYPNVITTRTYDERLETIKHVREAGINVCSGGIIGLGEAEEDRIGLLHTLATLPSHPESVPINALVAAVEIWEMLRMIATARITMPKAMVRLSAGRVKFSVPEQALCFLAGANSIFTGEKLLTTPNNDFDADQSMFKLLGLIPKPPTFSHDDSQEQPLLQEALSSSG >cds-PLY63112.1 pep primary_assembly:Lsat_Salinas_v7:8:76160719:76168710:-1 gene:gene-LSAT_8X54540 transcript:rna-gnl|WGS:NBSK|LSAT_8X54540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYQPRSSNHNESDDHHDNRRLYNAYQNLRVPAQTLYKLPTSPEYLFQEESIAQRRSWGENLTYYTGIGYLIDAVVGVGKGLVEGVKAFEAGYTMKLRVNRILNASGHTGQTIGNRAGVIGLLYAGMESGMVKARDAYDIINSVVAGLATGALYKRRQGLDFDMGIVSTQVSVALLVDEFLFGGLLSYLKVLSFHIVGYGCTTCIGNYGDLDKLVASAISENAKDLNGLGAITSAAAFVVAQKVLMIYILAVGLLEVSGPSLSDTFFQHQAYYFGNIVDPRQHLFCSYVLFFADNRYSE >cds-PLY69045.1 pep primary_assembly:Lsat_Salinas_v7:9:139491691:139494000:1 gene:gene-LSAT_9X88981 transcript:rna-gnl|WGS:NBSK|LSAT_9X88981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKFIIFLFLLTVALAPTSHSSFDNFSVDGVEDLYDEEDYSLPTTHQQIAVLSSEVDIQDENAGGDFTSVSLTSSSCLSKQGDKDFATWPHRNVEDHIGIDCKSARGSSQQTSGSLELGISASYNPKVGSDAVFEVNSVKKLDPRESDALALNQSSECCILVKPKQLKFDEMDGCNSNKGSSLLSRIRELLGNPSMDMDSASLKDDKSSEQQLKSAMIRSHEDFDACTSTETMNIDLDLDLNPLVEESLLPKNRMVNPKVSLHDAAAAVYCEAAFTEVERAASESDQTSNGDVEDFYYEDMDISTSTDYEDMDISTSIDYEDMDISTSTDYDSVTIADYSYPTQQQTDDSLPPFNTWQTKHFCSSPVDLGNLWDRSTSTTENPLTSFLPHPQKQAAAVWPGRRGDIKVKALVAAKAAKIRQEKQENERNWKKEAFRMEIARRKKRKKQKEGKEKKSRRKWFLEA >cds-PLY63680.1 pep primary_assembly:Lsat_Salinas_v7:4:81610976:81631066:-1 gene:gene-LSAT_4X54361 transcript:rna-gnl|WGS:NBSK|LSAT_4X54361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEPQCKSHSVQWNIFKKFSGLLLYGVFLMVGSSDVSDSRFLVKELPGLIGELPFTLETGYIGVGDSDDIQLFYYFFESERDPKNDPLILWLTGGPGCSGLSAILYEIGNEVGEEPNINIKSAKENCHGNYLIVDPNNTLCIHDLQVDDNYLYAAPWANSREVREALHIHEDFKEIEWVLCNASLSFVSLNTEPISYTYNVKNVIDYHRRLSYKQCRALVYSGDHDMVVPYFSTLKWLESLNFLVIDDWKPWFVEEQVAGYTIKYLNYNYNYNLTFVTVKVIINLP >cds-PLY87656.1 pep primary_assembly:Lsat_Salinas_v7:1:169360545:169363213:1 gene:gene-LSAT_1X112921 transcript:rna-gnl|WGS:NBSK|LSAT_1X112921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSACKDEREEVIQAWYMDDSNEDQRLPHHRDPKEFVSLEKLAELGILSWRLDADNYETDEALKKIRESRGYSYVDFCDIRPEKLPNYEEKIKSFFEEHLHTDEEIRYCVAGSGYFDVRDKNDAWIRVWVKKGGMIVLPAGIYHRFTLDSDNYIKAMRLFVGDPIWTPFNRPHDDLPARKEYLETFVEKGDTVDAAA >cds-PLY79821.1 pep primary_assembly:Lsat_Salinas_v7:8:17973655:17975482:-1 gene:gene-LSAT_8X12601 transcript:rna-gnl|WGS:NBSK|LSAT_8X12601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAIKSPSPTRFFTIGLVTSWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACALLSYIAIAWLKMVPMQTIRSRVQFFKISALSLIFCGSVVSGNISLRYLPVSFNQAIGATTPFFTAVFAYLMTLKKEAWLTYITLIPVVTGVIIASGGEPSFHLFGFIMCIGATAARALKTVVQGILLSSEGEKLNSMNLLLYMAPIAVVFLLPATLYMERNVVGITIALARQDFGIVWLLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMAGYTLTVIGVILYSEAKKRSNK >cds-PLY81678.1 pep primary_assembly:Lsat_Salinas_v7:6:56891677:56902253:-1 gene:gene-LSAT_6X42700 transcript:rna-gnl|WGS:NBSK|LSAT_6X42700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:GABA transporter 1 [Source:Projected from Arabidopsis thaliana (AT1G08230) UniProtKB/Swiss-Prot;Acc:F4HW02] MASIGSTISIGAVHEQTIKEAQVFSTATTTTHRHGKQLDAGALFVLKSKGSWWHSGFHLTTSIVAPPLLSLPFAFASLGWTAGVISLVVGAIVTFYSYNLISLVLEHHAELGNRHLRFRDMAYDILGPKWGKYYVGPIQFMVCYGAVVGNILLGGQCLKAIYILWNPNGTMKLYEFVIIFGILMLILAQIPSFHSLRHINLLSLILCLLYSACATAASIYIGSSSKGPHKNYSLSNNDETRIFGIFNAMAIIATTFGNGIIPEIQATLAPPVKGKMFKGLCVCYAVVTVTFFSVAVSGYWAFGNEAGGLILNNFLNEDGNPLVPRWFIMMTNIFTILQLSAVAVVYLQPTNEVLERAFSNPKSGEFSARNVIPRLVSRSMSVILATTIAAMLPFFGDINAMIGAFGFLPLDFVLPVVFFNLTFKPSKRSPIFWLNSTIAVVFSAVGVTAAVAAVRQISLDAKTYKLFANV >cds-PLY82915.1 pep primary_assembly:Lsat_Salinas_v7:6:133268023:133275368:-1 gene:gene-LSAT_6X80861 transcript:rna-gnl|WGS:NBSK|LSAT_6X80861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEHFSHENHPLNLINSEMIVGARFDGGDKKPQVIGCNACDKPISSGFAYACTKCRYFLHKACAQLPPTFNDPSLYHHPLILTRMTDPRSWKCAVCRIQKKSGMFSYTFEKDYIYIFKACIDCCVSRITRKAEADAIKEAGRIKIEHKGHPQHTLSLKLRPNVVLCDACKAKDEGLFYECDSCDFWIHKTCVSLAHTIDLPHHPNHKLVLVYSLPEIFFNFWYYCEICNEYIQRNEWLYHCANCRYFVHIRCALNAEQTSTTQRDDDDVKGLLHFPMSNAFTDQLKLLHFEKTTQVDDEKTKIKHWSHHHPLILTVEAQANNMSCSSDLIECHTTKVFFLSG >cds-PLY96815.1 pep primary_assembly:Lsat_Salinas_v7:2:170817428:170819965:-1 gene:gene-LSAT_2X93621 transcript:rna-gnl|WGS:NBSK|LSAT_2X93621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEIVKMVSINIFRRLTPQPRDNKILETFDGEEEEPSMDPAWPHLQLVYEFLLRFVASPETDAKLAKRYIDHTFILRWLDLFDSEDPREREYLKFVLHRMYGKFMVHRPFIRKAINNIFFRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIPMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLSELEEVLEATQPPEFQRCMVPLFRQISHCLSSSHFQVAERALFLWNNDHIENLIKQNRKVILPIIFPALERNTKSHWNQAVRSLTLNVRKIFSDSDPQLFEECLSKFQQDESRKEETISKREAVWKRLEEVAANRSMSTTAPG >cds-PLY81420.1 pep primary_assembly:Lsat_Salinas_v7:3:217738861:217739262:-1 gene:gene-LSAT_3X126321 transcript:rna-gnl|WGS:NBSK|LSAT_3X126321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDCVIGGYKIPKGTRLLTNLWKIQHDPNKWSHPEEFQPERFLTSHKHVDVRGNNYELLPFGSGRRVCPAIPFALRSLHITLATLIQQFVLKNPSKEPIDMSESAGVTISKAIPLEVLLAPRLSLDMYPAVAA >cds-PLY71188.1 pep primary_assembly:Lsat_Salinas_v7:2:132951466:132951795:1 gene:gene-LSAT_2X62841 transcript:rna-gnl|WGS:NBSK|LSAT_2X62841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNHSQQKRKTKGEGISRDDPRMEPGSGGGNCCRSKGLPLVLSRTDEGRRARVGSPAAIREGNREVASTFAIIYGGEREAVPAASHGCSCLRSDSKDFTNEGWNQKQVGV >cds-PLY64307.1 pep primary_assembly:Lsat_Salinas_v7:5:83856033:83857104:1 gene:gene-LSAT_5X39220 transcript:rna-gnl|WGS:NBSK|LSAT_5X39220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEQIIGFLSEIGTIAHVDHPNTAKLIGYGVEGGTHLVMELSPHGSLGSLLRSGPKEKLDWNARYKIIHGTTNGLLYLHEDCQRRIIHRDIKADNILLTENFEPQICDFGLATWLPKEWSHHNVSKFEGTFGYFAPEYFMHGIVDEKIDVFSFGVLVLEIITGRQALDDSQRSLVLWAKPLMENNLIKELVDPCLGDDYNPQELERAIIAASLCIELTPVLRPRMSQTSLFESF >cds-PLY79691.1 pep primary_assembly:Lsat_Salinas_v7:5:253656108:253659496:1 gene:gene-LSAT_5X128780 transcript:rna-gnl|WGS:NBSK|LSAT_5X128780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLRFNQHKISTTSGSIEGLRYSSKRLGFGIRSIVTTCRAVLLPRFGGPDVLEVRDDVRVPDLKPNEVLVRVRAVSVNPLDTRMRAGYGRSLFESLLPLILGRDVSGEIAAIGQSVQTLNVGQEVFGALHPTAMRGTYTDYAILAEDQLTPKPSTISHVDASAIPFAALTAWRALKSTARISKGESIDRLLAAGAEQALDYTSEDLEVRLKGKYNAVLDTIGIQQTEQLGINLLKRGGHYMTLQGESASLSDRYGLVIGLPTATSILMKKQIQYRLSHGIEYWWTYMRTDAEGLDEIRRLTEAGRLKVPVQKTFPITQVQEAHQAKDTRIIPGKVVLQVD >cds-PLY82946.1 pep primary_assembly:Lsat_Salinas_v7:1:19046422:19049601:1 gene:gene-LSAT_1X16540 transcript:rna-gnl|WGS:NBSK|LSAT_1X16540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTNRVGVGSVYLLACLILGALRSCNGGISSSYARSNSISADMPLNSDVFAVPPGYNSPQQVHITQGDHEGKGVIISWVTPDEPGSSEVIYWAENSELKKHAVGTVVTYKYYNYSSPYIHHCTIKNLEYDTKYFYEVGIGNAKRQFWFITPPEVGPDVPYTFGLIGDLGQTFDSNRTLTHYESNPAKGKAVLFVGDLSYADAYPLHDNNRWDSWARFVERSVAYQPWIWSAGNHEIDFLPEYGETEPFKPYTHRYYVPYKSSGSTSPLWYSIKRASAYIIVMSSYSAYGMYTPQYKWLMSELPKVNRSETPWLIVVMHCPLYSSYVHHYMEGETMRVMYEQYFVQYKVDVVFSGHVHAYERTGRVSNIAYNIENGLCTPRNDGLAPVYITIGDGGNQEGLLYEMVDPQPRYSAYREPSYGHGIFDIKNKTHAYFAWHRNQDGYAVEGDSLWFENLYWKSSQDSLSTSF >cds-PLY76693.1 pep primary_assembly:Lsat_Salinas_v7:3:140951086:140951481:-1 gene:gene-LSAT_3X93800 transcript:rna-gnl|WGS:NBSK|LSAT_3X93800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGKIPKCLANLQKLEGMKFSSNKLSGVIAKSIVLFSSSLLGLKLNDNMFSGELPQEWGNLKDLMVLDFGDNNFFGNIPKQIGEKLPRLMGLRLHGDNFIGGIPPSVCNILALQILDVPFNNLTGTIPRRV >cds-PLY75057.1 pep primary_assembly:Lsat_Salinas_v7:9:21667937:21669791:-1 gene:gene-LSAT_9X19460 transcript:rna-gnl|WGS:NBSK|LSAT_9X19460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTLVKRIQNINSKEASLGISEDASWHAKYKDSAYVYVGGIPFDLTEGDLLAVFAQYGEIVDVNLVRDKGTGKSKGFAFVAYEDQRSTILAVDNLNGAQVLGRTIRVDHVTKYKKKEEEDEEEEQKKREARGVCRAFQRGDCTRGAGCKFSHNEQRAANTGWGPQDAKNSRWENDKSGGPTRSERGGPVPGPSNRMHDKDAKSSNVEKERYQKRTDTNARDEDTRRSSDRRLKHGNGNGNGEKVKPRRYDSDEDGDRRKEKRTKQESESESYRREGDRSRREYGSREHRRKGEDHHVSVRSHR >cds-PLY96856.1 pep primary_assembly:Lsat_Salinas_v7:2:109855173:109856561:1 gene:gene-LSAT_2X51300 transcript:rna-gnl|WGS:NBSK|LSAT_2X51300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPYVSGSSDKSLVYRLYGVVVHVDTMNDAFSGHYVCYVKNHHNQWFKFNDTMVNEVDLQHVLTKGAYMIFYARCWCQACWQYSVEYPLSSILDSDVT >cds-PLY65948.1 pep primary_assembly:Lsat_Salinas_v7:4:139525533:139526951:1 gene:gene-LSAT_4X87021 transcript:rna-gnl|WGS:NBSK|LSAT_4X87021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAKSDSIQIREVWNDNLEEEFALIRNIVDDFPYIAMDTEFPGIVLRPVGNFKNSNDYHYQTLKDNVDMLKLIQLGLTFSDEEGNLPTCGTDKQCIWQFNFREFNVNEDVFANDSVELLRESGIDFKRNNETGINARRFGELLMSSGIVLNDSVYWVTFHSGYDFGYLLKVLTCKNLPDTQSGFFNLINMYFPTIYDIKHLMKFCNSLHGGLNKLAELLEVERIGICHQAGSDSLLTSSTFRKLKENFFSGSLEKYAGVLYGLGVEN >cds-PLY62038.1 pep primary_assembly:Lsat_Salinas_v7:5:129722824:129730601:-1 gene:gene-LSAT_5X56320 transcript:rna-gnl|WGS:NBSK|LSAT_5X56320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVRKKFKHLEIPIQLIKSATNNFDESHLIGRGGFGDVYKGELLQLEGHTMAALKRLEIARGQGEPEFWKEVMTLSLYKHENIVSLLGFCDEKGEKILVYKYASKGSLDSHLKSKNLTWVRRLKICIGAARGLAYLHNPILNKQRVLHRDIKCSNIILDDDWNAIIADFGLSIRVPIKQEYSFMVSDACGTAGYCDPLYAETGFLTKESDVYSFGVVLFEVLCGRLCIDNKDEHQRLTELIQNFGNNIEEVIYDGIKDEINPSALQEFTTIAYQCLRREREERPLMEDVVLKLESALKYQLEPIEIQPEDIISATNNFAEGNYIGQRGFGKVYKGKLVLWKGPTMVSLQRLGSVFAQARYLEQLEEIMMLSGYRHENIISLLGFCDENGDKILVQEYASKGSLDLHLGSNHLTWVQRLKICIGVTRGLAYLHNPGWTQQRLIHRNMSSSNILLDENWNAKIVDFGLSKFVQSMVMHTFIFTRPIGNLGYCDPMYMETGLVTKESDVYSLGVILFEVLCGRLCSDNHTHNSLIRLVRKCYRHNKINEIIFGDMKDEIHPGSLEAFTTIAYQCISLEYEQRPLTKEIVTALETALEYQVQSPCSKSSNVSPPLHWEKTRATVGSLWAVSDKQGEQSRAPQIDMTELESLFCEPSSEKLKPEDINLVNRDLASNCQFMIRNINIPRPDIINVILALDSSAVAVDQADDLIKLCPTKEEMEMLMSYTGDMEKLGQREQFVLECAKIPRIKSKLRAFAFTITFNSQVNYLTDSLNKIKDATKEIRESTKLVKIMQIILMMGNKLNTGTVGGSAGGFRLDSLEKLGTTYATDKDITLLHFLCKVVAEQTPELLDFDKDLIHLKAAYMHKTRIRNVRQLKYAIVGGFEEVQQEFHVSANDGFVSAQFRKGLKFFLHSAGAKLQRLTSLFDEVDQYADSLAVYFAEDPSRCPWEQVISSLVRFIGKFKKAHMENKRWADTEKKKLEENV >cds-PLY74637.1 pep primary_assembly:Lsat_Salinas_v7:7:33050597:33052403:-1 gene:gene-LSAT_7X24620 transcript:rna-gnl|WGS:NBSK|LSAT_7X24620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGARGGGRSGSHIGGRDARDRNASQSHNNAESQPSSSVRGSNILEQVPSNPSKRKFIEGLYVWPEAIDVLARKVCEDCMKKRFPDIMRRAREESLKLAKAANVNASLEGDLNLLKDYHPNWIKKEYWEKMINEVWTTSKWKRLSQSGKNNKNKLEDGSVSKHTGGSISIRQHKKRMQATLKRPPTGVELYARLHTKRSTQEYITPKAAKVKVVYERVRNEMRGEMDAKAAEMEAKHQQMREEMDAKAAAIDVKQQQIDAKYEAMEKMYAALQNMMRN >cds-PLY94055.1 pep primary_assembly:Lsat_Salinas_v7:4:171233445:171238913:1 gene:gene-LSAT_4X100740 transcript:rna-gnl|WGS:NBSK|LSAT_4X100740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRFSFRSSYNLDSARSEDTTSSRLPNFAKFSKNQQLKRSSLKNNPLRACVSSSRNISLSRSSSKSLSSIPSSGIDNFILEDEPSLGITTHTNEFEFNHLNCLIWVLHHSARSFSLAIQSLDFAKTGPELSNAWNGIDVNAWHKHTAYQVAVYALLKAAIEVGFSLSQKRSNSQVYEILSSKTSFLGEFIETQLNSKHPKLIQWFRTVELPRITGLFLPLFKKWSAEYSGSGVAGVILAVTCCTSITKLGSHRVSCPQFTASIDDSLAEFRDLSCDLVSVDKLHNLSIKAGFEEDFLLHCGKKVLPSKNIEDVEFWIGLVKKKLSLAFHRESVIIPQENFIEKVEETTLATLGIFAYLGRETRLYLSDMNIKEMDDQIKDFLSYLECGSLYIYPEFNSLAKYQLFIEVVIDEISWLDFYAPLKCKFQYDGRRFRKHQTEKEIILYTVLTVCYDVFSGFVHYTTSSQKPLNSDVLSFLSQSQALLSNCLEEYWATYDKSGEVMKFGERVVHESFMLLEAHSKPIELMKRGNHEEMSREIKGISLLAGINHLKVARKRKRLYERLLRKSTEKVIYASNIVCMGTQLLFIDVGDVIGLLVKQLHGKKITNREKRKIKRTLNDMVTLVPVTILMLIPVSAVGHAAILAAINKYVPSLIPSPYSSERLNLVRQLKRTKKMEVGSWVIREANEESEGGKSFTVVVP >cds-PLY63063.1 pep primary_assembly:Lsat_Salinas_v7:8:73564998:73567299:-1 gene:gene-LSAT_8X52860 transcript:rna-gnl|WGS:NBSK|LSAT_8X52860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G26840) UniProtKB/Swiss-Prot;Acc:Q9ZVH3] MDLSDIAKKLGLKESKQVVRKAAELRRVSDLQFDSSNAGIGETCKALICLEIAANMKQVLFDRPNAIKLSGMSEKAYIRSFNVMQNGIGVMNRLDIRELAIQFGCVRLIPLVKKGLSLYRDRFMSSLPAWRRATTDCSKPVFTAAGFYLCAKKHKLKVDKTKLIELSGSSEDEFSSVCTSMKDLCHDVFGVAQEKKDPKSVKGNRDLLDVLPEKRQTEDGGYSSDEGEDHSAYKKRKREDNHKYEEWKSTVVESNKQNKEKVGVKRTKQAQLNFLKRDQGTEVGAT >cds-PLY91325.1 pep primary_assembly:Lsat_Salinas_v7:4:247319707:247340174:-1 gene:gene-LSAT_4X131420 transcript:rna-gnl|WGS:NBSK|LSAT_4X131420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCYFDFGFSVSRKISEKEESGGDCAEDDDEWRDLIATPNRLISNDFFVLKSIKLDEREEDFEKGNDGSSPEDRDRVHSIRVSVSSSRVTVWPPILKIHCGISPTSLLDSLVLIPNSQVQNVVDFSKMIGALDVNENLTHLDTMFSSTSNQENEKSGLDSKKLKNKERRKGLKAEDGDQEQYLTLDTVHGLSYLVSLRIVPVYRPFFSFIPLPPDSSSQLHREKLSPPPPLTLYFLAIPAIDCFFYFSSDFH >cds-PLY99269.1 pep primary_assembly:Lsat_Salinas_v7:2:63851629:63853305:-1 gene:gene-LSAT_2X29320 transcript:rna-gnl|WGS:NBSK|LSAT_2X29320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNQDSNNSNNRTAAPPTNASKQPLPTPKAVDTQSVLKRLQSELMALMMSGDSGISAFPEEDNIFCWKGTISGSKDTVFEGTEYKLSLSFPNDYPFKPPKVKFETGCFHPNVDLVGNICLDILQDKWSSAYDVRTILISIQSLLGEPNTSSPLNTQAAALWSNQEEYRKVVVEKHLYKKPNH >cds-PLY82504.1 pep primary_assembly:Lsat_Salinas_v7:2:186180931:186183036:1 gene:gene-LSAT_2X106641 transcript:rna-gnl|WGS:NBSK|LSAT_2X106641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNKSLLAAFTKSIPKVPASTLVNPDQRIANLISKSLQTCQTSQFPPASLNPKIITLVLSNTHLPPQSCFSFFNSLQPNGLNLIEPYISLSCRLYKSKDFALAKDILNQLAINNHIQQPIKKITSFVNENCSYGVSKVVLRKLFDTLFRVYADNEKFEESLEVFDYMKSNGFSKIDDRSCMVFLLAANRCNKFDLLSDFFNKMVDSGIGITVYSMTMAISAMCKLGKTIKARELMEEMITKGVKPNANTYNALINAHLKKSEFREVETTLDSMKTQGVSFSVATYTLLIEFYTMLGDIQEAEKVFDEMHEKGIVADVYVYTSMISCNCKLGKMKRAFKLFDELTERGLVPSVHTYGVLLNGVCKAGEMKAAEVLLIEMQNKGLDVNDVIINTLMDGYCKKGNVNDAIKLQSLMEKKGFKPSVISYNIIATGLCTVNRYEEAKTLLFTMAETGITPNMLTYTTLIDIFCKQGNFIEARRTLREMESKGEKPNVVTYNAFINGYCKKGLMKEAYRVRSEMEEKGVMPDVYTFTCLVHGECLAGRVNNAMKLFDEMPERGFTRNVISYTAMIDGLSKEGRTEEAFKLYDQMQNEGILPDDTMYSSLVGSLHSVKG >cds-PLY84617.1 pep primary_assembly:Lsat_Salinas_v7:1:29676526:29678914:-1 gene:gene-LSAT_1X25281 transcript:rna-gnl|WGS:NBSK|LSAT_1X25281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQAERREHILDGILQESIRETQKMKFAVKAWSKSGRARVGLLQLSSTSSSFAQGGPPAKEIEIETPALLLTTRKGLPAFISPDHLSSLPNPDSRLLQFSPMHFMEGPNSKTISAIGGVHQLLGLHEYGFVAVPRDSILSLPECNSSNKNGASFETPCGRFLVKPVEYMKMISSMKPDLWVSLADEVHASVTTKRNKASVDRTIKWLDDCISLKSTDGALFGSIVGGCSIEERQRCTQEVAKRNVSGFYIGGFGLGDSVDERATLLHAVTDLLPKEKPRHVCGLGLPEEVLQGIAAGIDLFDSTYIYHLTLGGFALVFPLDGKCKHVSDPGVSAMASDGTKINLKATIYRKDASRIVDGCICYTCQNHTKAYINHLLNVHEMLAHILLEIHNTHHYLSFFGVIREAITEGRFEELRQNFIEKRRDHLLAASLSACELETPVRLR >cds-PLY86291.1 pep primary_assembly:Lsat_Salinas_v7:8:56950837:56951460:-1 gene:gene-LSAT_8X42440 transcript:rna-gnl|WGS:NBSK|LSAT_8X42440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSQYWLDPIASFDIQNSQDLQFDPPITPKAFRFRAFIKVDNVPFSDNTGDQLLFAFYLKHMKPHFETWSVSKIVAVKVTGPIETESFPNVKFKVARGSARQACEFTLADLPCLNPNECMVIFNILLREKEKYEPVFAHLHIMIKSYIQEVGSMDVEIVVVLRQKPSVVPKEAPKDFQKLKPGKIYKEGWFVVYTARDHPSAYRRKL >cds-PLY76064.1 pep primary_assembly:Lsat_Salinas_v7:9:30651339:30660120:1 gene:gene-LSAT_9X27821 transcript:rna-gnl|WGS:NBSK|LSAT_9X27821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPPTLNIFPSQPMHVVDPSTSTTKATTGLVSPSSSGSRRPSEQSMDNIANHKKDAPPPAPQSSKAIKNEGNKKGPTTSSDQNRPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQELQRARAQGVYLGNGGGVLGADQGVPLGMSNISSDAAVFDMEYARWLEDYHRQMCELQAAVQEHLPENELRIYVDNCIARIDDVMNLKSIVAKSDVFHIISGTWKTPAERCFMWIGGFRPSELIKIILGQIEPLTEQQLMAICEVQQATQEAEEALSQGLDALNQSLSDTIASDALSSPTNMANYMGQMAAAMNKLSTLESFVIQADNLRRQTIHHVLQLLTTRQAARSLLAVAEHFHRLRSLSSLWVARPRQD >cds-PLY93145.1 pep primary_assembly:Lsat_Salinas_v7:9:3351304:3352137:-1 gene:gene-LSAT_9X4780 transcript:rna-gnl|WGS:NBSK|LSAT_9X4780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELKARILVIISISSLILLYLSVEGLSILPIPSIVQPNSKFKFDEPKDQGLPNQAAPPPFLLGLDEAKETPISSPDSLIFIGKTVSAPASSSLLPESEISLSPEAMAPAAVASYGDASPSSSSSPAPGSSTLSEIEAMTPAIAQGPGPSGFIDQDIALAIEARIKQSQSDSQVAMEEAKKLLKEDPNIASSETGKCLSKCVDKYAASLNELNRAIKDLGVRDVTLLADDFGAVETDILSCQACFKDKVGEDSPFKALEEATTKAARECLTVMDYAVV >cds-PLY92827.1 pep primary_assembly:Lsat_Salinas_v7:2:2566215:2575211:-1 gene:gene-LSAT_2X1781 transcript:rna-gnl|WGS:NBSK|LSAT_2X1781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMQVIVKEDGEHTETSKSIIVFPRLKSFTLGFFTKSQKGFFLGTNKFTWPVLEKVEIYGCPQMMNFTSSHFNAPKLKYIHTGIGKHSLECGLNFHLTNAPHVFPWSFSNLVEVAEQEDDINLWLCRIIFPCNELHNLKNLEKVSITVVPSYYGEYYSVTEEVFEVEEGTNEDVDFEEGTNKGVVVFEKLKEVTLSGIDNLKHMWKRNRRIVLNFPNLTKVSINKCPLLGHVFTGCMVGSLLRLQELQITDCESMDVIVKQVEDSETRPTT >cds-PLY70467.1 pep primary_assembly:Lsat_Salinas_v7:1:76203882:76204244:-1 gene:gene-LSAT_1X63641 transcript:rna-gnl|WGS:NBSK|LSAT_1X63641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPTPNDMDFIKVEDPYEDEEPVEEDPNDGQAGRDPSDSSPYLDLLSNDDGHLETQELDTLESEPSSESPTLDQPSSVYASGPRVIYTPIKSVPTPSQKRATSPHHPQIHQKKPFMYL >cds-PLY87360.1 pep primary_assembly:Lsat_Salinas_v7:1:98309747:98319433:1 gene:gene-LSAT_1X78841 transcript:rna-gnl|WGS:NBSK|LSAT_1X78841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVLNVAEKPSVAKTVSGILSRGQGLRMREGRSRYNKVFEFNYTIQGQPCQMMFTSVTGHLMEIEFESRYRKWNSCDPVDLFQAPIRKHVPEDKLDIKKTLEEEARKCQWLVLWLDCDREGENIAFEVVEVCSNANSRLDIWRARFSSLIDREIHQAVQNLVRPNQLFSDAVDVRQEIDLRIGASFTRFQTMLLKDAFAFNFSTDGRSLVLSYGPCQFPTLGFVVERYWEIQAHEPEEFWKIQCTHTADEGTSTFNWMRGHLFDYTCAVLLYEMCLQEPIATVTKVRQQERLKYPPHPLNTIELEKRASRYFRMSSEQTMKIAEDLYQSGFISYPRTETDSFSSRTDLHILVQEQQEHPVWGPYAKRLLDPSSGLWKNPTNGGHDDKAHPPIHPTKYSSGESGWSEDHRKLYELVVRHYLACVSQPAVGAETTVEIDIAGEMFSALGRTIVAKNYLEVYRFETWGGSLIPNYTFGQQFTPTSLTLESGITRPPPLLSEADLLDCMDKAGIGTDATMHDHIKKLTDRFYATKESQHFKPTNLGEALVMGYDDMGYELWKPYLRSMMEMDMKAARLNKVKLFEALEIFFDRTNNAGGTGQQSFGEKIRKCDVCQDSDMVLKKKPDGKYMVGCLGFPQASCRNVIWLPGSLSEATVTTTVCNTCTPGPILKIQFTFRRLEIPPNFNVNHLGCVGGCDDILRELMEISGTGHRNPSSIPGDTPVPCVICGAPCVLRTANTEANRGRKFYTCQTQGCKSFIQLMSVMSNT >cds-PLY84662.1 pep primary_assembly:Lsat_Salinas_v7:2:150963436:150963955:-1 gene:gene-LSAT_2X78540 transcript:rna-gnl|WGS:NBSK|LSAT_2X78540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLVPCMSVPSIKHNTGDTSSTSTGGNLHNSATGVKGDLRNNNQFGLFSQPILSIIPASVFVFVDSMISDVDWFLGNYYLLITRCNLLH >cds-PLY76727.1 pep primary_assembly:Lsat_Salinas_v7:7:60962631:60967725:-1 gene:gene-LSAT_7X44581 transcript:rna-gnl|WGS:NBSK|LSAT_7X44581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTSLILSDLGTEIIIPVCALVGIVFSLFQWFLVSKVKLSLDGPGGKNAFTESLIEEEEGINDHNVVQKCAEIQNAISEGATSFLLTEYKYVGIFMVVFAFIIFLFLGSVDGFSTSSQPCTYNITKQCKPALFTAIFSTVSFILGAVTSVISGFLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAANGLLVLYITINLFKIYYGDDWEGLFEAITGYGLGGSSMALFGRVAGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGNNHEFTSMMYPLLISSVGILVCLLTTLFATDFFEVKTVKEIEPALKNQLIISTILMTIGIALVSWIALPSSFTIFNFGVQKEVKNWQLFLCVCVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFTFAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAEITTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGYFFGVETLSGVLAGSLVSGVQVAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDPHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLLFKIF >cds-PLY83358.1 pep primary_assembly:Lsat_Salinas_v7:1:61693590:61695644:1 gene:gene-LSAT_1X52980 transcript:rna-gnl|WGS:NBSK|LSAT_1X52980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFITIHSSTHNCPPQSQLPPPSSVHHHPAATMVHIARFYQVLIIQLMLTMFMFVKSDIVTREFYDEERNSLIPFRDSMKSNFNLHGNWTGPPCRTNMSRWMGIGCTNSHITHLTLESINLSGSLPVAFLQNITFLSKLNLNNNSISGDLPTLTNLTNLESVFLSGNRFSGPIPSDYLDLPKLTTLELQENEITGTIPPFNQESLTSLNLSYNKLSGQIPETKILQRFGISSFDHNTGLCGKPLETPCPFSPPPKDKKKTLKIWSVALIAAAAAIVPFFVILLLFCCYKRFQEKAAKKQEVIEENPNEGVAKAQWSRSTDDPEKTKDLEFFDKHKPVFDLDDLLRSSAEVLGNGKLGTTYKATLESGMVVAVKRMKEMNSLSKKEFIQQMQILGKLKHQNLVEVVSFYYSKEEKLIIHEFIQNGSLFELLHENRGFARVPLEWTTRVEIMMDVAKGLIFLEQSLSSQKVAHGNLKSSNVLINFTEETESVQAKLTDYGYFPLLPSQRSSEKLAIGKCPEFVEGKKMTNKADVYCFGVLLLEVITGKVPGDNDNNNQEDLLDWVRGVLNSDWSMDIFDLEILGEKERHEDMLKLAELALDCTHISPERRPKMTQILIRLQEIHQSQVVNIEVNS >cds-PLY96453.1 pep primary_assembly:Lsat_Salinas_v7:MU038438.1:331901:332455:-1 gene:gene-LSAT_0X26961 transcript:rna-gnl|WGS:NBSK|LSAT_0X26961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEDMSSNIHEHLSYKDSNVNMGEGHSTYIVDSSTITPPPSSPPPTSTITLTTILALSPTFASIINEYLTLLFSSQSTNQELKTNYEDEMVEFSMLGCNPEEEDVNDNVIMSGKQYKILNSKLNTILQFLNDNTWKSSMNGKEVEFLLKSQESRMRNLITSSITSLEEHIVAHSNCYKHDVKEL >cds-PLY70610.1 pep primary_assembly:Lsat_Salinas_v7:1:88997512:88999163:1 gene:gene-LSAT_1X75161 transcript:rna-gnl|WGS:NBSK|LSAT_1X75161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyanate hydratase [Source:Projected from Arabidopsis thaliana (AT3G23490) UniProtKB/TrEMBL;Acc:A0A178VD28] MEKETPTKASIVSSLMAVKRRSGKTFSQIAEETGLTNVYVAQLLRRQAQLKPDTVPKLRASLPGFVDSQIQLMMEPPLRSYDPSIIQDPTIYRLNEAVMHFGESIKEIINEDFGDGIMSAIDFFCSVDKVKGVDGKERVVLTFDGKYLPHTEHKVENMVSRPKVPKE >cds-PLY70474.1 pep primary_assembly:Lsat_Salinas_v7:1:71535408:71535753:-1 gene:gene-LSAT_1X62201 transcript:rna-gnl|WGS:NBSK|LSAT_1X62201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIMKLVNKYVILLILITISISTKASIATTGDRNTNRKMNIKVCHNFSTMPTGCQDGKCSNYCRDLKGDFTYGKCSDPYTCDCQYVCG >cds-PLY77729.1 pep primary_assembly:Lsat_Salinas_v7:9:19555338:19557227:-1 gene:gene-LSAT_9X14701 transcript:rna-gnl|WGS:NBSK|LSAT_9X14701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAENGEEKLIAVARHIAKTLGHTDNAMTDDILQIFSNFDGRLREKLNENLNDGDDSRNGVAALDQTLKSLDRQISRYVTVDHPIWSDSADASTFLDAVDELVAAIREWTPMAADKAVTACLDRAEDLLQQCMFRLEEEFKLLMERGGADPFENTDGGGGAYVDSDDEEDNDEYDEAEIPVAHPVSDYNIIIDALPSGTINDLHEISKRMVGAGYGKECSLAYSSCRRDFLEESLSRLGFSGLQKSNAALEDDDNEVEIDKWIKAINMAVRVFYPSERRLCDRVFGYSSSTAAAADLSFMEACRISSMELLNFANGIAMGSRAPDRLFKILDVYEAVKDLMPEFEVLFADQYCLFIRNEAIRVWKRLGESIRGIFMELENLIRRDPAKAAVPGGGLHPITRYVMNYLRAACSRPTLEQVFDENVVPPVGVDRSFSPSSPLAVQIAWIMEVLESNIESKSKIYRDPALSSVFMMNNGRYIVKKVKDDELGSLLGDDWIRKHVGKVRQYHVNYQRNSWNKILNTLKLDNNNLSPNVASKSFKEKLKLFNSHFEEICRNQSTWAIFDEQLKEELKLSVNGALLPAYKTFLARFLNIQDIAKYAEKHVKFNVEDVEERINGLFQGTAVSGSGRK >cds-PLY73675.1 pep primary_assembly:Lsat_Salinas_v7:5:205977064:205979324:-1 gene:gene-LSAT_5X93901 transcript:rna-gnl|WGS:NBSK|LSAT_5X93901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKDNWEEKHGTHVGNMNMMLQSLEGFSDNQPSSIIEAVSFKHHQNHNVSETRPVVDNGFSQSEAHKSLPPMEASKTDAEKSVLGSTSNMQELIKFLSTNYGPLKTPLEMGTPEYLRLVEILQQSDSYSKVGGLDNNNNNNATSVVGMSEQNEILSINNDDEEKVVGYDKKNDSKKLWEGSLQLSSSVTLSAAAFFRSGEKLVCDKWPESIQVKGKVRLEAFEKYVKDLPRSRNRGLMVISVCWKEGSSNTGLTGMKEVAKGYKKSSRVGFAQVLSGIDLYICPRSDPIITILAKYGFFKGMSVLDDKQQDSMIGCVVWRKNRPLNPVNTKNAPDSNHPIQPPNSPPSLPEEPPLTSNTETATDVDHNSASNSTPDTCNLVVQKRAFQDDVDDLPEFDFGSRLAAAAVVVNCQKMDNSVEQLSKKTKLFDDNDDDMPEWCPPMPPSNFHNLPLCPPRPPPLPILPPPPPRPDTRPSFSYQPFRPSITSPPPTFMAAPLPPPPPLPLPPPPPPLGRFNSNQGMWHRPGLSNGNPLFNRRPPQQ >cds-PLY91367.1 pep primary_assembly:Lsat_Salinas_v7:8:45516679:45516954:-1 gene:gene-LSAT_8X34881 transcript:rna-gnl|WGS:NBSK|LSAT_8X34881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRILYTVSCPHIFDLQGRRRSTTEHLVARVAMRFPTPIESTGVNQLLPAVTKETANGNFSSYFTSARHGDQEMVARYDGASPSARNGGDGR >cds-PLY92678.1 pep primary_assembly:Lsat_Salinas_v7:4:153434352:153435048:1 gene:gene-LSAT_4X94161 transcript:rna-gnl|WGS:NBSK|LSAT_4X94161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIHDDTTTFSDDVKLSTGIYRCSVRRRLWPLRRQKKKNKLPTVRLGGKRQLLVRFLKRIRIKWMKMKKACTLKKLKEYYYSVLKDVIEAGGSFETFQQRLLLETSFAVPVMGLSFNTFH >cds-PLY76760.1 pep primary_assembly:Lsat_Salinas_v7:7:61651190:61653144:-1 gene:gene-LSAT_7X44280 transcript:rna-gnl|WGS:NBSK|LSAT_7X44280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSFFEDHTVPSSSSASPPASKRIRCFSSSSPVQFSSATPSSRFFALDRLIALFPDMDKQLLERTLNECGDDIETAIKSLTELRLVSVEHAASNVGKESEYQIQANANGVANGEAVLVENSSPQKPPVDGADWVDLFVREMVSASNIDDARIRASRALELLEKSICERVTSEAHGLQQENMMMKEQLQALIQENSLLKRAVAIQHERQKEFEDRGQELHNLKQMVSQYQEQLRTLEVNNYSLTMHLKQAQQGSSIPGRFHPDVF >cds-PLY83603.1 pep primary_assembly:Lsat_Salinas_v7:3:73692806:73695771:-1 gene:gene-LSAT_3X62580 transcript:rna-gnl|WGS:NBSK|LSAT_3X62580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAGEHRNGRDIICDAVSGASAGAIAATFVCPLDVIKTRLQVHGLPKVPPGQKGGVIVTSLQNIIRKDGLRGIYRGLSPTLAALLPNWAVYFAVYGHLKELLLHSHADSNGHLSFGANMLAASGAGAATSIATNPLWVVKTRLQTQGMRVGVVPYTGILSALRRIIQEEGFRGWYSGLLPSLAGISHVAIQFPAYEKFKCYLAQRDNTTTNKLSPGKVAVASSMSKVLASLMTYPHEVIRSRLQEQGQVRNSGTHYKGVVDCVKKVFHKEGVAGFYRGCATNLLRTTPSAVITFTSYEMISRFLQGIILPRENPSKKDT >cds-PLY99550.1 pep primary_assembly:Lsat_Salinas_v7:4:71018972:71019602:1 gene:gene-LSAT_4X48081 transcript:rna-gnl|WGS:NBSK|LSAT_4X48081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKHRVYSSSSSSSSYARKDVTDSTSQPPYGLYGLVAIIGVLKSNACYYIFLGVAAVFVARSFLGNIMRGFALLRNKPFSIGDMIEVGHLKGEVIDMGLITTSLLTEENRRALLPTSWLSGQVFHRYP >cds-PLY63007.1 pep primary_assembly:Lsat_Salinas_v7:8:184629809:184630078:1 gene:gene-LSAT_8X120780 transcript:rna-gnl|WGS:NBSK|LSAT_8X120780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSEQSFSYGGFRASELDLPTDYELHAMETQVQIEEMRDEMRQQLGEFREEIRNLKRKVTMMGVVGVAVMSLIGVRVCIECSGWGFWWV >cds-PLY65086.1 pep primary_assembly:Lsat_Salinas_v7:4:202919976:202920820:-1 gene:gene-LSAT_4X115261 transcript:rna-gnl|WGS:NBSK|LSAT_4X115261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLVITIGHFICAEADLHYQKVTGTRQGMDVLVYIFQVVRNAARNMANLGSFVLLVFAYVLFTRIIVSAFATFVVYKNPWMINAAEETVSLVFCMVMFYLFRPCEDEEADEDEKATGMVDEEFGIDGQA >cds-PLY89116.1 pep primary_assembly:Lsat_Salinas_v7:4:145554012:145555393:1 gene:gene-LSAT_4X88721 transcript:rna-gnl|WGS:NBSK|LSAT_4X88721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMCNIFVKASCHKLHEVLSGLNGQVRDILSTTSFGYLLDLPAQSGDGLLIHGLLLHMLRPTVETDTAERLHFRFSRRTLSFGPEEFCIVSGLYMGCCPRSRIEFSIMYKHGYIENTFRSRVFPYRTDTSLLVEDLELLILNQRFNEISAHDGVCVILLYILNQGFLGKEFNDKVTKEFLWVVENLDGCNRFCWGSYLWSKTYTNLPGASSSNPSCGNIQSTQSTEQTHTVGINKRTAREIMTRLQSLEEEFR >cds-PLY68809.1 pep primary_assembly:Lsat_Salinas_v7:3:61884981:61885484:1 gene:gene-LSAT_3X48181 transcript:rna-gnl|WGS:NBSK|LSAT_3X48181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLCQHEAERLDVWAMYVPLLGSKEIITPWQPKINPKKWIEHARTAFAVDPRIAFSLGARFPTNSPLKMELTHLVQTDILEIRTIPEALPYFVTPKAVDEDSPLLQQLTH >cds-PLY77558.1 pep primary_assembly:Lsat_Salinas_v7:2:163406997:163410189:-1 gene:gene-LSAT_2X84201 transcript:rna-gnl|WGS:NBSK|LSAT_2X84201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHQYHTSSGKLPALFAACTQLTHLKLLKSHLIVHGFFKQEAVVGNFLTRCFDLDDPQSALSTLSRINPTLFLQNLTIRCLHDHKLYQNVLSVYKFCQSSSCPSDNFTFPFVLKACSAVNAVRNGKEIHCVIVRTGFDQNVVVQTALVDFYAKNGDISIARKLHDEITQPDLVSWNALLSGYSFHGLNHEAIHVFNRIRLTDLNPNVSTFASLIPVCSRLDDLRIGKSLHGHVLKCGYFTHDFLIPAFISMYGNNQDLSIARGIFDYTSKKNVTMWNAMISAYTQNQKSHDAIELFGEMTRDHITPDMVTFVSIIPSSGTDYGESLHAYVIKLGLQNQPAIATTILSMYAKFGHLNSAKFLFNHMNYRNLLSWNAMVSGYVYNECWNMSLAAFCEMQAHGVHPDAVSIINILSACSGLEATRLGQSAHAFSLKTGIDSNLNLSNTLLAFYTDCHKMSYSFCVFERMDVRDNVSWNTLISGCVHNGEDENAILLLRQMQKKGVKFDLVTLISVLPCFKNLENLVQGMAVHGCGIKLGYASDVSLANALISMYMNCGELDTGKLIFDEIPNKDVISWNALITGYHLHMVHKEGILMFAGMMKDGENPNQVTLLNLLPMCYTRMQVKSIHAYATRRWSIMLETLFMASLISVYGRFGDVRSCKFLFQMGEKGDISIWNAILAAHVESNHAMMAVSLFSSLLRVKIQPDYITILSLTSACAQINHTNLTNCVMGYVLKKGIDKYVAVSNAFIDLHAKSGNISYAENVFDEMLVRDIISWNTMIHGYGLHGNGEAAFALFTQMRDSGFKPDEATYINILSACSHAGLVDEGWMVFKLMLKDDEISPRMDHYGCMVDLLGRTGEVKKAYDVVRRLPFEASVSMLESLLGSCLNHGEIEIGEEIGRLILERDPENSGVYVMLYNVYAGSGRWSEGNKVRSCIEAKNLRKLPGFSLV >cds-PLY61764.1 pep primary_assembly:Lsat_Salinas_v7:5:302789288:302791610:1 gene:gene-LSAT_5X163941 transcript:rna-gnl|WGS:NBSK|LSAT_5X163941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVESFQFGEDDIQSLMSVVPPPEPGNSFTALLELPPNQAVKLLHSPEETTPPAIFPPSVFPAMETDNSLETTSSILPNSSPNYCNLVKQEPIDSDSLHNSSPIQSDPIIPKLTKRKEREKKVKPSVKKIKNVANETDSEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTAMVLDEIINHVQTLQRQVEFLSMRLAAVHPCIDINLDNIFSAESGSLMDCSFAGMVSLDGQMNGNSHNHHHHHQQLWQQQQPDGMPLQGLWGGEDLHLHPNFLTPDDSLLSYDSSGNSASLHTQQLKMELL >cds-PLY78578.1 pep primary_assembly:Lsat_Salinas_v7:1:102422289:102423908:-1 gene:gene-LSAT_1X84340 transcript:rna-gnl|WGS:NBSK|LSAT_1X84340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLIQAAWNGDVDELLKEIDKNPSMLHVVAMEGSETPLHIACFAGHVNFASTLIKLKQEFSRELNQDGFTPLHIAAACGHTEIVKELLKVDIGLCLIKGKDRKIPLHFAVVKGKVEVVKELLLASSDSVDFTTARLETPLHLAVKNNKFEAFKVLIQHLKKVNKEDLLNSKDIQGNTILHLGVSMKQYEVVDFLLNEQATIKGKIELNSLNKRGLTPLDMLLMFQSEAGDREIEDILIKSGGLKSENLQSPTYTHIERSNHTDTRHENPRSNLSDYFKYNNLKDSPNMVRNTLLVIVILITTATYQPALSPPGGAWQDDSIPSTNHTISTKSHIAGKAIMGTKNPIAYSIFLFANSVGFYTSVHMIYVLTAAFPLQLELRISMFAISVTYATCMNEIAPTNYITFGFIGISIALPFAIPCIIMLLRNYLKKGRNVLPETSQERV >cds-PLY98209.1 pep primary_assembly:Lsat_Salinas_v7:7:172666795:172668359:1 gene:gene-LSAT_7X103561 transcript:rna-gnl|WGS:NBSK|LSAT_7X103561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYPKSFYASSLLLLLSFQLLSTISEAVVPASDTFTYINEGDFGDYVVEYDADYRTLPPFSNPFQLCFYNTTPNAFTLALRMGTVRSESLMRWVWEANRGNPVRENATLTFGTDGNLLLADSDGRIAWQTNTANKGVVGFQLLPTGNMVLHDGKGNFIWQSFDSPTDTLLVGQSLRAGGVSKLVSRASAANNIDGPYSVIMEPKQLAMYYTSPNSPRPMLYWTSVEWFNVDVATVTNGSLINLTLTSVPDTDEGFLYYLTFLYYITNPPSGWNRNMAYSRYNNTLSYLRLGIDGNLRFYTYNSNVQGVAWELVYTFLDRNSIEGECQLPERCGKFGLCENSECVACPTSNGLSGWSKDCEAKVTSCKASDFAYYKLEGVDHFMIKYTRGDGGRKQSDCESKCTKDCKCMGYFYHTQGSRCWIAYDLKTLTRVGNSTHLAYIKAPKK >cds-PLY67051.1 pep primary_assembly:Lsat_Salinas_v7:5:281031431:281038219:1 gene:gene-LSAT_5X149121 transcript:rna-gnl|WGS:NBSK|LSAT_5X149121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESKASRRLQTIQDHITSAAGDPLSTVQQNQTAGEFFTKQGYSVVLPEKLKTGKWNVYRSAASPLKLVSRFPNHPDIATLHDNFVHASETFRDYKYLGTRIREDGTIGEYKWTTYGEAATARSAIGSGLVAHGITKGSCIGLYFINRPEWIIVDHACSSYSYISVPLYDTLGPDAVKYIVNHSSAEAIFCVPQTMHILLSFLSEMPSVRLIVVVGGLDELLPSLPSATGVKVVSFSKLIAQGSNDRHPFRPPKPDDVATICYTSGTTGTPKGAVLSHGNLIANVAGGSFEIMFYPSDVYISYLPLAHIYERCNQILLAYYGGSVGFYQGDNLKLLDDMAELKPTIFCSVPRLYNRVYDGVMNAVKSSGGLKERLFNAAFNAKRQALLKGKNASPMWDRLVFNKIKNKLGGRVRFMVSGASPLSPDVMDFLKVCFGCSVMEGYGMTESSCVITCMNEHDVLSGHVGAPNAACEVKLVDVPEMNYTSDDQPYPRGEICVRGPIVFQGYYKDEVQTREVVDEEGWLHTGDIGLWAPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYVKSKFVAQCFVYGDSFNSSLVAIVCVDPDMLKAFAAKDGIKFESLEQLCNDPRARTAVLADMDAIGKEAQLRGFEFARSITLITEPFTMENGLLTPTFKVKRPQAKAYFAKAIENMYEEVSASESSSRKLL >cds-PLY91611.1 pep primary_assembly:Lsat_Salinas_v7:5:297491440:297493443:1 gene:gene-LSAT_5X159240 transcript:rna-gnl|WGS:NBSK|LSAT_5X159240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene beta cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10230) UniProtKB/Swiss-Prot;Acc:Q38933] MDSLLRTHSSFEFLHAINRFAGNATTLSSSKSQIHETRFFPKKPHLKWGHGGCCVKASSSALLELVPEIKKELLDFELPLYDPSKGLVVDLVVVGGGPSGLAVAQQVSDAGLTVCSIDPSPQQIWPNNYGVWVDEFEAMDLLDCLDTTWSSAVVYINENSPKNLGRPYGRVNRKQLKSKMLKKCISNGVKFHQAKVIKVIHEELKSLLICNDGVTIQATLVLDATGFSRSLVQYDKPYNPGYQVAYGILAEVEEHPFDVDKMLFMDWRDSHLNNNPEIKERNSKIPTFLYAMPFSSNRIFLEETSLVARPGLKFEDIQERMVCRLKHLGIKVKSIEEDERCVIPMGGPLPVLPQRVLGIGGTAGMVHPSTGYMVARTLAAAPIVAKSIIHYLNSEKTVSGTDLSAGIWRDLWPIERRRQREFFCFGMDLLLKLDLEGTRRFFDAFFDLEPRYWHGFLSSRLFLPELMTFGLSLFGRASNTCRLDMMVNGTLPLGTMINNLVQDRE >cds-PLY80492.1 pep primary_assembly:Lsat_Salinas_v7:2:136545950:136550407:-1 gene:gene-LSAT_2X67460 transcript:rna-gnl|WGS:NBSK|LSAT_2X67460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGASAANSTNGVRIVVAGDRGTGKSSLIITAAAEAFPANVPPVLPPTRLPEDMFPDRVPVTVIDTSSSLENRGKLADELKRADAVVLTYACDQPATLDRLSTFWLPELRRLEVKVPVIVAGCKLDLRDEQQPVSLEQVMSPIMQQFREIETCIECSAYKHIQIPEVFYYAQKAVLHPTAPLFDQEAQTLKPRCVRALKRIFILCDNDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKRVVQEKLPEGVNENGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIRLSDDQLLPPIKRTPDQSVELTSEALEFLKGVFTLFDIDGDGALNAHELEDVFSTAPESPWSEAPFAVAAERNALGGLSLDGFLSKWALMTFLNPVISVENLIYIGYAGDPSSAVKLTRRRRVDRKKQQTDRNVFQCFVFGPKEAGKSTLLHAFVGRPFTEVYTPTPATEERYTVNIVDQPDGTRKTLILREIPEDAVGKLLIHKDALAACDIAVFVHDSSSEASWTKATELLVQVASHGESTGYEVPCLIVAAKDDLEPYPTAIQDSTRVSQDMGIEAPIPISTRLGDFNNVYRRIVRAAEHPHLSIPETEAGKTRKHYHRLLNRSLMVVSVGAAVGIVGLAAYRVYATRKNASG >cds-PLY83288.1 pep primary_assembly:Lsat_Salinas_v7:8:191456135:191459205:1 gene:gene-LSAT_8X124080 transcript:rna-gnl|WGS:NBSK|LSAT_8X124080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYANIQMFMEKLKQLMYCNDIPVINNPSIICERPQFQILYEELDSTIQTIFNHEDQDLHNFEEVRKVKKRFKAAAEEAEDIVDVFLSTVHCRSNRFFPRSDVFQPSLHLEVAMSSIESIKKEFMTLSIDNMKMDSSLRTDRLQTQSSGTSHTRNSRGSKKLLENVVVGFDRDAEIIRDKLVEDGKHRDVVSIVGMGGIGKTTLANKVFTDPYVVYHFHVRGWVTVSQTYDKRDLLIQVLSSIDDQLELEKATDCQLRAMLHKHLMGKRYLIVIDDIWSIEAWDKSQLFFPDDNTGSRILLTSRLTEVASHAKSHGLIHQLQHLTEEESWKLLCEKAFQEDECPEWLIEPGKQIAKNCHGLPLSVVVMAGVLAKEPRSEDVWLKISCSFHSYIASDEKGCLETIALSYHHLPPHLRDCFLYLGGFPEDYPISSPRLLWLWMAEGFIQEDGSQSLKEIANSYLMDLVDRNLLIISKWYAWGDVRRCKVHDLVRQVCVEKGKEENFFLKIDSPPSNNLCEVITTYKKRRVVTNQEMHIMSPSLPTTPSVQSLLCFTRKTTLTDIISKFFPSFSLLRVLSLEKCRLLYFPPGLALLVHLRYLYIWLSSFPPSICTLWNLQTLIVKTSSSPMVLPSNISNLVNLRHLRCNADLYLPSVGKPLNLEFITKVELGDGVDNFKKCFPRIKELTSTLYSDKEKDFEVLHYLQILTLMGSVEREFRRGAPNLGKNHIRIGFPETLKVLTLVRCGLPWSDMSIIQSLPNLEALILEDNGFEGTLWETGEDQFQRLKVLRLKKLNIKQWKASSINFPCLERLRVVNCVHLEEIPLELGDISTLESIYILNCDASLLVSLQRIRNEQDDVGNYELKIKVDGRKMPSCVSKHDD >cds-PLY85444.1 pep primary_assembly:Lsat_Salinas_v7:3:42513644:42514207:1 gene:gene-LSAT_3X32401 transcript:rna-gnl|WGS:NBSK|LSAT_3X32401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLLLPMLHSSRDLDSDSDYIVKNVSMKELISNTLTYIADVLDECVKVRRQQGRRPKPQCEPVVQSAEELKRSVMSLLDLVYFERPFQLIIPELAGSVGGICTEIDNCAQTSLTKFFGCRCQGSDRRLQKWKIQMEWLAYILRRAYGKDQPELEEGKYPLMNTTVPPSLAEYNAAAWFYIASLYG >cds-PLY83272.1 pep primary_assembly:Lsat_Salinas_v7:8:192840052:192840463:1 gene:gene-LSAT_8X123481 transcript:rna-gnl|WGS:NBSK|LSAT_8X123481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSISTTHLGRSKCVDADTHVLLDGDATPPSNEYRFLGDGDATLDRNSTCLIIDVNLQIAYLTWEKGITIWKAI >cds-PLY64809.1 pep primary_assembly:Lsat_Salinas_v7:2:102125962:102127108:-1 gene:gene-LSAT_2X46180 transcript:rna-gnl|WGS:NBSK|LSAT_2X46180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEALKAAKVYRELMKAVKKHIGKEEHKTHFRDFIKSEFKKNGDGLETSFIQQKIKLAHDYTFLINSVHHHQELLFSYNIAVDRTDEMKRILGKSAASVGLQLPDVYQP >cds-PLY94562.1 pep primary_assembly:Lsat_Salinas_v7:1:58136554:58137994:1 gene:gene-LSAT_1X47661 transcript:rna-gnl|WGS:NBSK|LSAT_1X47661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIWFMNMTMCHRLKSNFFIFTLPFTSSNASLLSQYTTTSITPLYPATRYTNVCPFRHRSSGNNGGKWEKLTAYNLCNYVAVYMIVLLSFTTTMHSLT >cds-PLY87278.1 pep primary_assembly:Lsat_Salinas_v7:1:51239797:51247108:-1 gene:gene-LSAT_1X42540 transcript:rna-gnl|WGS:NBSK|LSAT_1X42540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILVYEYVSRGSLDDYLRGIHNMINLKWVQLLQMCLDIAEGLNYLHNEQSIIHRNIKMAKIADFGLSKLGPISEQVSYLETNVAGTNVYLDPEYEKTYRLKKASDIYSFGVVLFEIIHKIGYGPLQYTQFCCKRRVNLGQYTREKLQTRMEVTPRLDKDSNQGKTEFLTEPEILLEYKHENIIGLVGYCNEMGESIIIYEYASRGSLDKYVRRDDGLNYLDETTSDMH >cds-PLY90104.1 pep primary_assembly:Lsat_Salinas_v7:6:16459985:16461598:1 gene:gene-LSAT_6X7920 transcript:rna-gnl|WGS:NBSK|LSAT_6X7920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQNHLKKINFVKNSLFEQGYLDEQFTQLEDLQDDANPNFVEEIVTSFYSDSTRLIRNVETALENHPLDFCKLDNYMHQFKGSSSSIGAKKVKVECNQFQECCKARNAQG >cds-PLY67852.1 pep primary_assembly:Lsat_Salinas_v7:9:145424288:145424584:-1 gene:gene-LSAT_9X92820 transcript:rna-gnl|WGS:NBSK|LSAT_9X92820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFSSTSSIPRSFKYDVLLSFRDEDTRTNFIDHLYSSLQMKSIYTYKEDERTNKEKRINDELIRSIEDSRFYIIIFSKNYASSSWCLDELVKIMECDK >cds-PLY89543.1 pep primary_assembly:Lsat_Salinas_v7:4:158413632:158414311:1 gene:gene-LSAT_4X95380 transcript:rna-gnl|WGS:NBSK|LSAT_4X95380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQAMFFIDKLQSAKIAPSFFFASSSRCGANQKMLKGKWISWNNKIHGKWISTERLGIEIVSRYAETN >cds-PLY72302.1 pep primary_assembly:Lsat_Salinas_v7:4:60582611:60582955:-1 gene:gene-LSAT_4X42240 transcript:rna-gnl|WGS:NBSK|LSAT_4X42240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRHFVQGHVDGTGEIVSMEVERDSLWIKVKTSPESSNFIVAKGFITVDGTSLMVVDVFDEEECFNFLLVEYTQQKVVIPLKQTGQKVNLEVDILGKYVERLLNSGFVESIKSR >cds-PLY95022.1 pep primary_assembly:Lsat_Salinas_v7:5:228096725:228097021:1 gene:gene-LSAT_5X108280 transcript:rna-gnl|WGS:NBSK|LSAT_5X108280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARKRPRARQRSSKLTILFIAIGFNSLTENPYSRIIKFKKLVVGKRRPASKKVIGIPTLPSGGLYKEASCKGICTPMFGNRPKLELERLQFLGGKISS >cds-PLY68282.1 pep primary_assembly:Lsat_Salinas_v7:1:27412646:27414233:1 gene:gene-LSAT_1X23761 transcript:rna-gnl|WGS:NBSK|LSAT_1X23761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVLYLYVSFLLLLASYLFTSIFRRKISNFPPTVFPSLPVIGHLYLLKPPLYRTLAKISDKYGPIVRLQLGFRPVVVISSPSLVEECFTKNDVILANRPRMLFGKIIGVNYTSLAWAPYGDNWRNLRRIASIEILSIHRLNEFHDIRADEARSLIRKLASGSSPVTMKNFFYELTLNVMMRMIAGKRYFGGDNPVLKQEGIRFREMLHETFILAGASNVGDYLPILSWFGVKGLEKRLIALQEKRDVFFQGIIDELRKSKGSDQTRNKRKTMIEVLLSLQESDPEYYTDALIRSFVLVLLAAGSDSSAGTMEWTMSLLLNHPEVLKKAQNEIDQVVGKDRFVDESDISNLPYLRCIMNETLRLKPPGPLVPHEASEDCVIGGFNIPGGTMVLVNQWAIHHDPMVWADPETYNPERFEGVEGTRDGFKLLPFGYGRRSCPGEGLAVRVLGMTLGTMIQCFDWERISEEMVDMSEAPGLTMPKAAPLVAKCKPRLQMENLLSEL >cds-PLY79521.1 pep primary_assembly:Lsat_Salinas_v7:1:33426384:33427435:-1 gene:gene-LSAT_1X29220 transcript:rna-gnl|WGS:NBSK|LSAT_1X29220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSKEPDNPTKSCKARGSDLRCHFKNTRETAHAIRKLPLIKAKRYLEDVLVHKQAIPFTRFCRGVGRTAQAKNRHSNGQGRWPAKSAKFILDLLMNAESNAEVKGLDVDSLHISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELTLSEKEEAVKKEPETQLAAKKTK >cds-PLY92909.1 pep primary_assembly:Lsat_Salinas_v7:1:153529841:153541679:-1 gene:gene-LSAT_1X107281 transcript:rna-gnl|WGS:NBSK|LSAT_1X107281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEKCSVFVGGDNVHGAGARGINGEEDEEELEKGFRKKMWDLVSFSHSDSCVWILFQISLRVLVSFGVALLFFYLITKPPPPDISVKVGTANEFQLGEGVDNTGVTTKFLTYNCSINLLVNIKSSLYGLHIHPELLTLSFNNIPIVTSSETRALYASSNGPTSFLLYLGTTNKPMYGAGRIMQDILDSGKGLSLVVRFKLRSRFHVVGKLISPNYRHRVECILILNKTHDKQHKSLMYDKHCNLL >cds-PLY97887.1 pep primary_assembly:Lsat_Salinas_v7:4:90616756:90618763:1 gene:gene-LSAT_4X59981 transcript:rna-gnl|WGS:NBSK|LSAT_4X59981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRMLLSIPSPSSMKMFDLWLSSNWWDWEEINESKSWQDGIFFTLCGFYALVSAIALIQLIRIEIRVPEYGWTTQKVFHLMNFIVNGVRAVGFGFHMQVFNLHPKVCIWMLLEIPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRTTYVCVNVGVYIIQAFIWIYLWVDDNSLVQFIGKIFIAVVSFIAALGFLIYGGRLFFMLRRFPIESKGRRKKLHEVGSVTAICFTCFVVRCIVDVVSAFDSEVSLDVLDHPVLNLIFYMLVEILPSALVLYILRKLPPKRVSAQYHPIR >cds-PLY99811.1 pep primary_assembly:Lsat_Salinas_v7:MU037944.1:135691:137048:-1 gene:gene-LSAT_0X35700 transcript:rna-gnl|WGS:NBSK|LSAT_0X35700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVNIIVGSYVWVEDPDKAWIDGQVTKITGQEAEIETPDLKKVVAKLSKVYPKDTEAPAGGVDDMTKLSYLHEPGVLQNIRSRYELNEIYTYTGNILIAINPFQKLPHLYDSHMMDEYKGAPFGELSPHVFAVADVSYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRKATEGRTVEQQVLEV >cds-PLY63636.1 pep primary_assembly:Lsat_Salinas_v7:4:130878610:130879597:1 gene:gene-LSAT_4X82740 transcript:rna-gnl|WGS:NBSK|LSAT_4X82740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRFWTLMSGVHQLAGPVTMLLYPLYASVVAIESASKEDDQQWLSYWILYSFLTLVEMLLASVLEWIPIWYDVKLIAVAWLVLPQFRGAAFIYNKFVREKVIKRYYPGIGGGEHKSSSSSPNGKMKNKLADLMTTKKSS >cds-PLY77105.1 pep primary_assembly:Lsat_Salinas_v7:7:183900556:183902050:-1 gene:gene-LSAT_7X108600 transcript:rna-gnl|WGS:NBSK|LSAT_7X108600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLEEALVKHRSNHITGSEMAETREKRIESHRLTKLEEEEKNRVETRKRKFFAEILNAIRELQLQVQASQKRRKQRNDVVQEAYMRMVEESKNERLTMLLGKTNDLLVRLGAAVRHQKDAEHDGIEPVNAPETELPESSSASKIGTSDES >cds-PLY86888.1 pep primary_assembly:Lsat_Salinas_v7:5:263721453:263724058:1 gene:gene-LSAT_5X135061 transcript:rna-gnl|WGS:NBSK|LSAT_5X135061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTPGMKLPPGLVSNLQDVLKKKGNPAPEENDDKSNNKKDDESAVQSSAPVDDFDPSKPVIFVTNSDGIDSPGLIFLIEALVSQGLYNVSVCAPQVDKSLSGHSYTFQESIAVSPAEIKGATAYEVSGTPVDCVSLALSGALFAWSKPQLVIVGVNKGPICGNDMFSSSAIAGARQALISGIPSLSISLNWKKGESQENEFKDAASVCLPVINAAIKDVENGTFPKSSSLHITVPSSPLENKGFKLTKQSLWRSKPVWQAIAANRNPSAARFMSNQPGMGLQLAQLGRDASAAGAARRLNSQKKNLEVVESVGVSGKVDPNKTVKYFRLEFQDTPQEETDENLDFRALLSGFVSVTPISVSHMIEPDIEKAASEWITAALQTDN >cds-PLY89780.1 pep primary_assembly:Lsat_Salinas_v7:1:6779919:6780254:1 gene:gene-LSAT_1X5801 transcript:rna-gnl|WGS:NBSK|LSAT_1X5801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRLCFTCSSSASVSKSDYDKFYIDQLLESFLAVSDSASVEAAFNRLVESRSTYSDQNGLIEHAFQCGSNLLEAIKRTIRKRSPVHNAFGWLLPHDLTVKDIIIPILIVDF >cds-PLY65489.1 pep primary_assembly:Lsat_Salinas_v7:3:1025854:1032239:1 gene:gene-LSAT_3X1200 transcript:rna-gnl|WGS:NBSK|LSAT_3X1200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MEDDTGERSSFVIGLIENRAKEVGVAAFDLRSASLHLSEYIETSSSYQNTKTLLHFYDPMAIIVPPNKLAAPDGMVGVSELVDKFYSSILKVTMHRGCFDDTKGAVLVKSLAAKEPSALGLDTYYKQYYLCLAAAAATIKWIEAEKGIIITNHSLLVTFNGSFDHMNIDATSVHNLEIIEPLHSTLLGTSNKKRSLFQTLKSTRTAGGTRLLRANLLQPLKDIETIKARLDCLDELMSNEQLFFGLSQALQKFPKETDRVLCNFCFKRKKVTNEVLGGIDNARKSQVVIGNIIILKTALDALPFLSKVLKDANSFLLANIYKSVCANEKYACMRKRIGEVIDEDVVDARVPFVARTQQCFAVKAGIDGFLDIARRSFCDTSQAIHNLANKYREDYKLPNLKIPFNNRHGFYFNIPLKDIQTQGKLPTKFIQVAKHGNSIHCSTLDLASLNARNKSAAKECYLRTQVCLEALMDAIREDVSVLTLLAEILCLLDMIVNSFAHMISTKPVDRYTRPHFTENGPLAIDAGRHPILESVHTDFVPNNIFLSEASNMVIVMGPNMSGKSTYLQQICLIVILAQIGCYIPARFATLRVVDRVFTRMGTLHNLESNSSTFMTEMKETAFILQNISERSLVVMDELGRATSSSDGFAIAWSCCEQLLSLKPYTIFATHMEKLSQVASIYPNVKILHFNVEVKNNRLDFKFELKDGRRHVPHYGLLLAGVAGLPTSVIETAKNITSRITEKEGKRKELNYEAYEGIQMAYTVAQRLICLKYSTQDEDSIRQALEHLKTTNLQNFR >cds-PLY79412.1 pep primary_assembly:Lsat_Salinas_v7:3:78634185:78635468:-1 gene:gene-LSAT_3X60880 transcript:rna-gnl|WGS:NBSK|LSAT_3X60880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNFLFFSLALLILTLSPIPNFASSSLEEANALLKWKTSLQIPNNSLVSSWISLPLNSSALVPCTSWFGVVCNADGSTQRLNLSSCGLNGTLHQFPFSLLHNLTYLDLTINNFFGPIPQEIRLLSKLVYLDFSVNKFSGVIPPEIGTLHQLSILYLNSNNISGSIPSSLGNLKSLTKLSLSRNQLSGSIPSTLGYLTTLNVLYLYYNQLSGPIPIELGNLKSLTDLQVSNNQLNGSIPSSLGDLTSLNVLYLHHNQLFGSIPIELGNLKSLTDLQVSNNQLNGSIPSSLGDLTSLNVLYLHHNQLFGSIPIELGNLKSLTDLQVSNNQLNGSIPSSLGDLTSLNVLYLHHNQLFGSIPIELGNLKSLTDLEVSNNLLNGSIPSSLGDLTSLNVLYLYYNQLSGPIPIELGNLKSLTDLQLKQQSA >cds-PLY62519.1 pep primary_assembly:Lsat_Salinas_v7:1:82964185:82966468:-1 gene:gene-LSAT_1X69501 transcript:rna-gnl|WGS:NBSK|LSAT_1X69501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVIAVSSFVSLLFVASISISLVASENRNFRGAVFSVNSKFSKKDRSLSVLKAHDSLRHLQMLASGVDLPLGGTSRPDAVGLYYTKIGIGTPPNEYYVQVDTGSDIMWINCIQCQGCPEKGYNGLDLTLYNPNDSFTGRPVTCHEEFCVDINGGKVGGCKGNVSCLYTETYEDGSDSIGYFVKDVVQYDSVSGDFETKLANGSIVFGCGATQSGNLGSSSEALDGILGFGKANASVISQLASSGKLKKMFAHCLNGDNNGGGIFAIGNVVQPKVNSTHLIQDQPHYTVNVMGIEVGKEFLNISMDSYGRVEKRKAIIDSGTTLAYLPEVIYKQLVNKIVGGKFDMRLSILHDQYTCFKFPGSVDDGFPEVTFYFENSLSLKVYPHDYLFNYQYVCRKISCVLGGKTMEWIPLVQET >cds-PLY71466.1 pep primary_assembly:Lsat_Salinas_v7:7:190054986:190058613:1 gene:gene-LSAT_7X116141 transcript:rna-gnl|WGS:NBSK|LSAT_7X116141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RECA1 [Source:Projected from Arabidopsis thaliana (AT1G79050) UniProtKB/TrEMBL;Acc:A0A178WA75] MDMVFCSKPHCFGSQLQPYQQSIVSPSPLSSFQSKTLNFARSISLFAAKKTRVISNLDSRVNGALSSDPDSSFLDRQKALEAAMNDINNSFGKGSVTRLGSLGGALVETFPSGCLPLDLALGGGLPKGRIVEIYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPSYSKALGVDVENLIVCQPDNGEMALEIADRMCRSGAVDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVYYGNPEVTSGGIALKFFASVRLEIRPIGKIKSVKGDEDIGLKVRVRVQKSKVSRPYKQAEFEIIFGEGASKLGCVLDCAEMMDIVLKKGSWLGQGRDRALQYLRENLPLCDEIEKLVRSAMMDGNGQAVTSYARNSTPIHEEDELLEELQ >cds-PLY89491.1 pep primary_assembly:Lsat_Salinas_v7:4:149294745:149296377:-1 gene:gene-LSAT_4X92341 transcript:rna-gnl|WGS:NBSK|LSAT_4X92341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDESNTTDPEELFKLSLQVALSSLSGLSSLPETLMKTTDNPNDKEALKVCGTVLNDAIDNLHDSISSMDVKSSEKVLSLKKIDDLRTWLSASLTNQQTCLDSLEEMDSTFLVQVKSQMQNSTEYTSNSLAIVTKIIRILASLDIPIHRKLLGEMKSGFPRWVVAGDRRLLQEGRPTPDVTVAKDGSGDVTTINDAMAKVPMKSKNRFVIYIKEGEYLENVVLNKSFWNVMIYGDGMDKSIISGSLNKVDGVGTFNTATLGVSGKGFIAMDMGFKNTAGGIKEQAVAMRSSSDFSVFYRCSFDAFQDTLYPHSNRQFYRECNVTGTVDFIFGNSAVVLQNCRIMPRQPLPNQFVTITAQGKTDPNENSGISIQKCEITPLNNLTAPTYLGRPWKDYSTTVIMQSSIGGFLNPLGWAEWDRGVEPPSSIFYAEYQNSGEGSAADKRVSWSGYKPSLTSSQAAKFNVRSFIDGAMWLPQTDVDFDST >cds-PLY78851.1 pep primary_assembly:Lsat_Salinas_v7:5:307248354:307248681:-1 gene:gene-LSAT_5X166781 transcript:rna-gnl|WGS:NBSK|LSAT_5X166781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSASSTSSKRNVELQADHPCKCDMPSRVKISRTPDNPGKKFRVCQNSLSARTPRCNFWEWLEEDEYEVEKNSDLGKI >cds-PLY70387.1 pep primary_assembly:Lsat_Salinas_v7:4:98312128:98314993:1 gene:gene-LSAT_4X63560 transcript:rna-gnl|WGS:NBSK|LSAT_4X63560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein 70-5 [Source:Projected from Arabidopsis thaliana (AT4G17220) UniProtKB/Swiss-Prot;Acc:Q8GYX3] MVGFDEFCQEGLLLAQPDPVVLELNKLQNMLKDKDRELGFAQSEIKALKAADVMKEKSLEEMGNIIEKLDNKIRNTENLLEQKNLDIKKLETEKKEAFAAQFAAEATLRRVHANQKDDDSVPIESIIAPLEADIRLYKHEIAVLQEDKKMLERHTKSKEAALLEAERILRSALERALIVEAVQNQNIELRRQIEICQEENKILEKTNRQKVLEVEKLSETIKELEEAVLAGGAAANVVRDFRRQISELQEVKRTLERELARANVSANRVATTVANEWKDENDKAEMQRLREKLTISERTAKSEAQLKEKVKLRLKTLEDGLKHSSCGLPRVDKSTHFFGILSSNGGRKRSTSQPRASSTTSSEVRRINSINKKYNNSGDNLVRKSLWASRSKVVDRDEKENNEMILENTSGMNLDKFKDDNRGIVVKGKVAASGGSEERQPRSATTDDDDVVSGFLYDKLQKEVIGLRKFCEMKEESLNEKDEENKVLMKKVENLVKALEVESKKWKREASLRDKNSTSIKTDDQKPVRNLNSSKR >cds-PLY99765.1 pep primary_assembly:Lsat_Salinas_v7:9:52425123:52428580:1 gene:gene-LSAT_9X47141 transcript:rna-gnl|WGS:NBSK|LSAT_9X47141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRVVCPENAELVEFMLKKQKEMVDLSENNVKTISKACLKVCSSKTPIKTLKDFSNVKGVGNWILRIMKGFFVDEAEDEEIIESGKRKKGNKQYVPQKNSAAYALLITLYRGTSNGSNFMRKQELIDAAEASGLSRSPIMPEIGKGKAGQFGVSSGRDWYSGWNCMKKLIDKGLAVKSSNPAKYMLTEQGKEAARDCMLRSGLVDSTDDLATLDKTSDLTQKNLKDSVCIDAELVDDVASRHVSSSLQKKPVEIPPDTVDKLVRMGYSKEQVIRAFSEVSKKSPNEEPSSLWLSVLCCLREVEVYSSPLTSQNVPKVKHHHPSSNSFTHKETTQLPTSIKPCSSSDENVRKRSRNVLEAKSNVLSMPPLTLGDRFEDVYEVVLILDDREKFTKESRSRKLLENIRLHFKIPIEVRRLPVGDGIWIARHKHIGSEYVLDFIVERKNVDDLRSSIRDNRYKEQKVRIMRCGLKRLIYLVEGDPNALESAESIKTACLTTEILEGFDVQRTSGLGDTLRKYGYLTQSITQYYKSLGNEGEHVDFPICPPFDQFIERCQELDKMTVSDVFATQLMQVPQVTEDVAIAVLDLYPTVSSLARAYSHLDGDTCAQEELLKKQSNNVINGVASRNIFQFIWGG >cds-PLY87398.1 pep primary_assembly:Lsat_Salinas_v7:4:11866691:11867701:-1 gene:gene-LSAT_4X7740 transcript:rna-gnl|WGS:NBSK|LSAT_4X7740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKACLHGFIKSPLLRCIATFDIFSSSYVYRVSDMELVSVLPSAEDEVNVACFHPLAGVGLFYGTKEGKLRILQHDGGHPPIHDHFFEARAVEVQQLLYL >cds-PLY98252.1 pep primary_assembly:Lsat_Salinas_v7:7:173564226:173567413:-1 gene:gene-LSAT_7X103240 transcript:rna-gnl|WGS:NBSK|LSAT_7X103240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGILDADKISKGKSKWGEGNKVYTRRFKRIAKNTTAAAPSSPTTTDTVAATSPPTTRTNTSNPTSPPTASNNEQNLATEDANSEHQHTLSPVVEVSDDHSVQIAASPNQPTGNGVIKPVVVIVGDRVRINLKAAKAKNEIIELREKLRAELNQVRRVSQKLEDKEAELTTFSAVLAVPADVEAYSHSQYSGNDIIERRALLRVNSEMGTDFVDRRALMRLNSDMGSAPNTDMRPFRQLNVSVVDNNHGVGEFVEKEKRTPKANQYYRNSDFLLGKDRLPPETNKRQKANGGRKHSKESDYSSGHERHRNQMFKNCNNLLQRLMKHKHGWVFNEPVNAKLLGLHDYHDIIKHPMDFGTIKSRLAQNFYKSPMEFAEDVRLTFYNAMTYNPKGQDVHVMAEQLSNIFEERWSVIESESNPDWRYGMIYDANTPTPTSRTPTSRKAPHFAHAPSRTLYRSESMSLPFMNRPKPKIAPPSRTPAPKKPKAKDPNKRNMTYEEKQKLSTNLQSLPSEKLDNIVQIIKKKNTSLSQHDDEIEVDIDSVDIETLWELDRFVTNYKKSLSKHRRRAELAQQANRTVLQNPNPMTSTMEAPKENNKTRGEKSIAGSGGGEGGDNGVGSSRSSSSSSSSSDSGSSSSDSDSDSSSEDGSDADADAAHSPTS >cds-PLY67935.1 pep primary_assembly:Lsat_Salinas_v7:5:298647198:298648116:-1 gene:gene-LSAT_5X159920 transcript:rna-gnl|WGS:NBSK|LSAT_5X159920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSGRINPKNIFRSKKRSTVSRSESSSFSSSITTTSGSPEPSHHHKSKSNGLATPTSVLPTHSHEVSSDEWSEISADIQFELVQAFRIMDTDGDGRITRAELEALLSRIGGAEPVTPEEVSLMLNEIDRDGDGSISLEEFGVISSAFGPPSCDDELRGAFEFFDTDHDGMITADELFAVFKSIGDGQCTLEDCRRMISSVDKNGDGFVCFEDFTRMMEQQR >cds-PLY80877.1 pep primary_assembly:Lsat_Salinas_v7:8:126586369:126588427:-1 gene:gene-LSAT_8X88321 transcript:rna-gnl|WGS:NBSK|LSAT_8X88321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVCIDQPQSDSEVVENKNYSNNNNNNNNTHELVLDGGFVVPDNVAFGGFDAPEINAFGHTFRDYDVESERQKQVEEFYRMNHINQTYEFVKKMREEYKKLDKLEMSIWECCELLNEVVDDSDPDLDEPQIEHLLQTAEAIRKDYPNEDWLHLTALIHDLGKVLLLPRFGELPQWAVVGDTHPLGCAFDESIVHHKYFKENPDVKNHVYQTKNGIYSEGCGLENVMISWGHDDYMYLVAKENGTTLPQAGLFIIRYHSFYPMHRSGAYKHLMNEEDVENLKWLQIFNKYDLYSKSKVRVDVEKVKPYYQSLIQKYFPEKLRW >cds-PLY96203.1 pep primary_assembly:Lsat_Salinas_v7:3:93149152:93149940:-1 gene:gene-LSAT_3X68860 transcript:rna-gnl|WGS:NBSK|LSAT_3X68860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRPYRFLSTAVNSSSKAAAESPEAVSVESDFVVIFAALLCALVCIVGLIVVARCAWLRRRSLANSLPGQQSANKGIKKKFIDALPKFKYDSAKDDNGGKLSSGDCACAICLTEYADGDEIRVLPQCGHGFHVGCIDKWLGSHSSCPSCRQILVISRCKKCGEFPTISAGKISLVVDHGAIQTITEFL >cds-PLY77049.1 pep primary_assembly:Lsat_Salinas_v7:3:254697001:254699444:1 gene:gene-LSAT_3X140620 transcript:rna-gnl|WGS:NBSK|LSAT_3X140620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCIIALPVPALNNNDQNSSAVSQIINGACNVGSKRKKKHSDAQKCTHHVQGCDQVNAKQEEEHLGNEKKFVDFGKVKMKKRKGSDIDDFQHCGDNKLKKKKKNNSTEEREHMVTENTLLQHFGTPERKDEISNRVIETTTECDHSKNKRTLQVNATKAEEILGTGNTSEHLVTDMKNGAPKKHKKYKKQKDLMVNESTTVVKRKRMKSESRKEEEHLVKDSNLQHLSMLVSSKEEEKKQRVKETSPLLNQVNDAKNEKKKKTSLDNTTEEGEHVQIDNNLQKLKHKVAEMTAHLNEGCDSNKKKKKKKKKKRPNDSTQDLVKGDEQDNVSENKKRERLVLDNPFSEFMYTGGSGHTISNKVGTRTFWHSTSHGIASNEQNDQKTSPYFHKAVVKEEMQGSIDDQKASSVKEDEESQKKKDTVKVSPYFQKAVAKEEEASVGDKNNSRVKVSPYFQKTLKGKVASSVGRCNKGGEGESTKDDSNNNNKKESENSKKKKKRSDYLTVAQKRDEAYKKKTPDNTWIPPRSCHNLIQEDHIHDPWRILTICLLLNQTQGLQVKRVISDFFTLCPDAKTASQVPVQVIEELIKPLGLQKKRSSMIQVLSEQILNDEWTYVTELHGVGKYAADAYAIFCTGHWNRVVPKDHMLNRYWEWLHENKEALRLVG >cds-PLY86576.1 pep primary_assembly:Lsat_Salinas_v7:1:8976711:8977159:1 gene:gene-LSAT_1X8080 transcript:rna-gnl|WGS:NBSK|LSAT_1X8080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLKKFELELEKEWNLIPIPYAVWLVGNGIELIPESVWFARNGIEIHWCWCWRQWWVAVMVAVTVVVVVVVALIVVAEVVVMVAVLAGGDSDGGDGAGGSSGGWWQRWW >cds-PLY87807.1 pep primary_assembly:Lsat_Salinas_v7:4:292869501:292870629:1 gene:gene-LSAT_4X152101 transcript:rna-gnl|WGS:NBSK|LSAT_4X152101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSAVDSDDEEINPVTMLLLDNDDDAQQQNGKKPELAPTPIQHQNYHLTSIDSTVVIRQLPSQGLSFQLWPAAATFVKLLDSYHASNTDPFSAAITTAKRHPRLRILELGSGTGIVGIAAAAILGADVTVTDLPHVLVNLKFNADANSEVLAPRGGEVHVAPMSWGETEEMEAIGREYDLIIGSDVVYHDHLYEPLLQTLKFLLLDGGGDAGEKVFLMGHLRRWKKESGFFKRAKKHFQVELIHEDGPSSDSRTGVVVYRFARKDTVLR >cds-PLY68939.1 pep primary_assembly:Lsat_Salinas_v7:9:195104448:195107709:1 gene:gene-LSAT_9X120721 transcript:rna-gnl|WGS:NBSK|LSAT_9X120721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLIQTSLQQCPAATTAFSQFLPSPSSHSSTKLSVRFTVSCCLSSSPMTSVNGNIDMQSSDRNEIRLGLPSKGRMATDTLDLLNDCQLSVRQLNPRQYVADIPQLSNVEVWFQRPKDIVRKLVSGDLDLGIVGLDTVSEFGQGNEDLILVHDELAYGDCRLSLAIPKYGIFEKINSVKELAQMTQWTAEKPLRVTTGFTYLSRKFLRENGLQNVVFSTADGALEAAPAMGIADAIVDLVSSGITLKENNLKEIEGGVLLESQAVLVASKKSLLGRKGLLDITHEILERFEAHLRALGQFTVVANMRGSSAEEVSERILSQPSLSGLQGPTVSPVFRKIDGEVKADYYAIVICVSKKQLYKFVQQLRAIGGSGVLVSPLTYIFDEETPRWRQLLSKLGL >cds-PLY87991.1 pep primary_assembly:Lsat_Salinas_v7:6:171820228:171822217:-1 gene:gene-LSAT_6X106080 transcript:rna-gnl|WGS:NBSK|LSAT_6X106080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHIKDQWDPKSSPDDCTGGGECDRYFLGELFLPDNHRDMRLESSPTATVATTTATAAGRRKRRRTKSGKNKEDIENQRMTHITVERNRRKQMNEYLAVIRSMMPSSYAQRGDQASIVAGAINFVKQLEHQVQTLEIKKSANLHGYSPPLQPFKEFFTFPQYSTGRAIYDDGGGGGGGGSATKTKNRPPAMAEIEVAMVESHANLKILSKKRKTQLLKMVAGLQCLWLSILHLNVTTVEQMVLYTLSVKLEDGCQLSTVDEIADAVNFLLCRIEEESLCSN >cds-PLY86548.1 pep primary_assembly:Lsat_Salinas_v7:4:297245704:297245976:1 gene:gene-LSAT_4X149080 transcript:rna-gnl|WGS:NBSK|LSAT_4X149080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKWLRRLVTVKPCSGRLRRQHNHAISSASSALITNHRCSFSATTEVMTSGHRGKRKLRFHNATAAAAAMKLDEAAASGGGLRLLLGFRC >cds-PLY64596.1 pep primary_assembly:Lsat_Salinas_v7:6:34601251:34602135:1 gene:gene-LSAT_6X25081 transcript:rna-gnl|WGS:NBSK|LSAT_6X25081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSQILWNCVALLNHPLQKQTVRQFHQFQFRPIPTTFHRHLRPTPPPLFRPHLFNMSSQASPDPPSDSSVPIKTVKVVASGRVQRVHYRDWTVQNATELGLKGWVKNRGDGSVEILLSGEADKVDEMQERCRTGPPLCVVTKFQSFPSTEDPGIGFQRLQSY >cds-PLY64728.1 pep primary_assembly:Lsat_Salinas_v7:5:21285928:21286421:-1 gene:gene-LSAT_5X11360 transcript:rna-gnl|WGS:NBSK|LSAT_5X11360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVVRISPEENFPTKTHVLVKVWLHGSSKIDLLKKPTMYVFGITHVPRLEDWSVMPVERIGFMLQPHGFFNSSPTVDVPPSPRACESDVKEGHVKETIATNLCQMG >cds-PLY62126.1 pep primary_assembly:Lsat_Salinas_v7:6:46612550:46619943:-1 gene:gene-LSAT_6X33820 transcript:rna-gnl|WGS:NBSK|LSAT_6X33820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADPAYSALRKILLDEITPAVMVLPTPLVEERCQKNGLSFVQMLTPFCVFNNIDVPVRTASDQPYRLQKFKLRMFYASDVRQQNVEVTKERLKQVITDAGNNKDSDLYLDPSPIETIPISSQPDFIPTWFQFFNKELVRSVSFSDHEAFDHPVACLLVVSSNDKDPINKFVELFNMNQLPPLLNDGAMDPKIPKVFVLVHDNQDGSPERATKILAEMRNTFGANDCRLLCINSLQGEALDHEPNPWEFYMPNASPDQHLGRLLNKEDVDELKDFVRDLSSKDIIPNMEQRIRVLNQQVSATRKGFRNQIKNLWWRKGKEDAPENQSVNMYTFSSIESQIRVLGDYAFMLRDYELALSNYRLLSTDYKLDKAWKRYAGVQEMMGLTYFMLNQSKKDAEYCMENAFTTYLRIGTSGKGNATRCGLWWVEMLKASGQYKEAAGVYFRISGEEPLHSAVMLEQASYCYLLAKPIMLRKYGFHLILSGDLYKKCDQIKHAIRAYRNALSVFKGTTWSHIRDHVHFHIGQWYAFLGRFDVAMKHMLEIVACSHQSKPMQELFMKNFFQILQKTGKTYKISRLQLPIVNINSLNIVSEDHRTYGSPAAVSVKESIWQSLEEEMVPSLTNAKTTWLDLQSKLLPNKLKQSNVCVAGEALMVEIAFKNPLQLSIPIYSVTLMCEYSPNEIIPDANNSFVDQSIEDEKTTSDASLFTLSEVDITLTGGETTLAQLSVTPIKEGRLKVTGVSWKLSDSMVGFYAFEPDLIKKRISKGRRKAKQNTNKLEFLVIKSLPRLEGIINNLPSTVYTGNLQRLSLELRNSSEIPVKNLKMKISHPRFLNIGNPEKSDVNFPSCLEKEKTPSQKDADLDTTKKSDTIFHFPEDTIIHKETPFVLPLWFRAATPGNVSLYLTIYYEVEDKSTAMRYRTLRTHHILEVLPSLDVSFNISPCPSRLQEYIVQMDVINKGLKSLKLRQLSSVGSEWQISLIESIQDIIPSGNLASGQSLSCFLKLKNAKTTETATDVWLGRIGNEAVFDTQRAPLVNFHHYEQLHQKTSHKEQEHQSTVDFIVATKRPIWWLLEGPRIIHYNLANSLCETKLKMTLHNSSTFSASVRINTFDSPPGSSSSGNKVGWHDMTSPPIEIRVPPPESGKVVVLESVPPFIWTGLSTTHVKLEPNSTTEIGLLVTTFAPGTYDLSNYTLHWNLVGCDDVREKEGVCGGHPFYLTILREE >cds-PLY92501.1 pep primary_assembly:Lsat_Salinas_v7:2:152296012:152296461:-1 gene:gene-LSAT_2X76360 transcript:rna-gnl|WGS:NBSK|LSAT_2X76360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVTEEERETAGDRTVKYHGKWPLRCAFRIEEAVSVALSSLNIAVELSESKFKYEGLCYNGSSKGTDLGGMGWNFESSI >cds-PLY74804.1 pep primary_assembly:Lsat_Salinas_v7:6:119881015:119888672:-1 gene:gene-LSAT_6X72500 transcript:rna-gnl|WGS:NBSK|LSAT_6X72500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGCGAFAFNLMLTVVVIVLLCLYSFPNPPKLSIEELSVPAFTNSSDQTAGNIYFDLKLRNMNKAIGLYYEDPLSIAFFYYPYDDPYQKYAWAGTMAAFYQGGGKTSHIKSIKENDLQFPSTVVVEPEEKPLDLVDTGHARALLKDHLQLPSTLAETRKGMVGRIQAVNIRIAVVINYRFNYWVGSSEHQLELGGNTVVDLNTGEMVSLGSLELVESAAPAGGPVMLVVNELRQQVSNMQQAMDEKQNEMNLQM >cds-PLY86855.1 pep primary_assembly:Lsat_Salinas_v7:8:49402606:49407130:1 gene:gene-LSAT_8X37600 transcript:rna-gnl|WGS:NBSK|LSAT_8X37600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFSLSVAPPLSFKNPKVPKFSRKSLNPITSMAKEIHFNHDGSTTTKLLAGVNMVAELIGVTLGPRGRNVVLQNKYGPPKIVNDGETVLKEIQLEDPLENVGVKLVRQAGAKTNDLAGDGSTTSIILAHGLIAEGVKVIAAGMNPIQISRGIQKTSEALVSELKLMSREVEDHELSDVATISAGNDLEVGSMISEAIKRVGRKGIITIEKGNFAENNLQIVEGMQFDRGYLSKYFSDRRTMKVEFQDCKLLLVDKIITNPKEVYKVLDIAVKKDNPIVIIAEGIEKEALAPIIRNKLKGIIKAAAVKAPAFGQRKSHYLDDIAILTGGTVIREDSGLTLERVKEEMFGSASKVVITKDSTLIVTDGSTQEDVKKRVTQIQNLVENTEENFEKKILKERIARLSGGIAIIKVGAQTQVELKDKQLRMEDAVNATKAAIEEGVVVGGGCCLLRLSLKVNQIKELLDNEEQKIGAGIFKKALEYPARQIAKNAGVNGSVVIEKVLSNDDMRYGYNAASNKYEDLMAAGIIDPTKVVRCCIEHASSVAKTFLTSDAVVIDMDGPVPEGMRPSKESPKPMPMPIPASDNSIPTRRRNRSEMKLRL >cds-PLY75601.1 pep primary_assembly:Lsat_Salinas_v7:9:33269674:33270235:-1 gene:gene-LSAT_9X30861 transcript:rna-gnl|WGS:NBSK|LSAT_9X30861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFGTTTTFTDEQITELAIGLERSRQRFIWVARAADKGDVIGEEAKMVDLPEGFEERVEGRGLVKVYQGESDQPRNAFLITDVLRIGLVVNDWQHRDELVKSVVVKDVVRRLMDSKEGEEVRKRAVELADKVQRSVEESGESRKEIDPFISHITRHI >cds-PLY79904.1 pep primary_assembly:Lsat_Salinas_v7:8:15832551:15833613:-1 gene:gene-LSAT_8X13240 transcript:rna-gnl|WGS:NBSK|LSAT_8X13240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDTPCRWMEKDIETSRRKLALFQVLLLLGIGEEDTTLTKATKSGDIDLVYLVLFHIWQKRPALELSGMIQARPIARDLFIRDSRYHILAWLEVLEETRLEQK >cds-PLY92278.1 pep primary_assembly:Lsat_Salinas_v7:2:209435965:209439579:1 gene:gene-LSAT_2X129840 transcript:rna-gnl|WGS:NBSK|LSAT_2X129840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAALPETLSPSSEALTTKAKKMKNITSETVGLGSSIKKEKKSKKNKTTPQTDSPSDSEKSEKKIKKKRKASSDTDNDEGKSDTSELVEPINLKIEESMKKSKKKKMKTAEADEEAEPIKEDNPNAVSKFKISEPLKNALKAKGIEALFPIQARTFESIYDGLDLIGKAKTGQGKTLAFILPVLESLINGPEKVSRKTGYGRSPTILVLLPTRELAKQVYTDFKYYGDAVGLSSCCLYGGGGASISPQTVQLKRGVDIVVGAVGRVKDHIERGNLDLCSLKFRILDEADEMLRQGFVEDVEYILGKVNDATKVQTVLFSATLPAWVNHIASKFLKPNKKIVDLVGVQVMKASENVRHIIMPCSWSARSQLIPDIIRHHSSGGRTIVFTETKDYCSELSGVLEGARPLHGDIQQSVREATLAGFRSGKFMTLVATNVAARGLDINDVQLIIQCEPPRDVEDYIHRSGRTGRAGNSGVAITLYEPRKANISKLEREAGVKFEHISAPQPADIAKAAGGDAAEAIIQVADSVIPVFKSAAEELLNTSGLTPVELLAKALAKSIGYTEIKHRSLLSSMENHVTLHLEAGRPVYTPSFAYGVLRRFLPEEKVESIQGLALTADQRGAVFDVAMEDVDLFPAGQENATGVTLEVVKELPQLQEREQPRGRFGGGGGRGGYGGDRRGSGGGGFSRGGRGGGFSRGFGGGGGGGRGGRGGYKKW >cds-PLY84999.1 pep primary_assembly:Lsat_Salinas_v7:3:121252986:121255650:1 gene:gene-LSAT_3X84460 transcript:rna-gnl|WGS:NBSK|LSAT_3X84460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHIPHGDPLLVHMMMLHEVRSQQIFEMGRFMFDIQGIQLDFGENEYILICGLKVGPYVDLLHDEKGQLNSQLRARLFPDISDSRLRLKDLEDLIMSPNYSTLQDEDAVMLIQLVFMLKGLHGRDVKTGIHAAIWILETFPDATMFYIRTPTELPRMRAWRSKTPLNWDQCCRIMNVSVPNKQPINVVANPEELMSPFYVRYVNRTLNPVESPPRQNSPVQNNLPHVDSPARRRMYKSEIETSATEFATNASSSQHLETSYMSNDTSRLVKKKKTSTKALVKRLIGVVADLSSKVDRVLHKKDVPDTNVEPDRGFREEEEMINEEEEEKYHHDTYFDYDDISTDGLEGKLGPTPTHVEKSSDVGEDHTKEMTPIGRAQRKRGVPWFQQTPFTVSPEKPNEDIVNEESNDVSNHLLLDSVEAASTLSFWKEWNSISSNLNTKHRLHMLTLDINFWSRNIANGVGGHPKWKDVDKVLFPINVPHANWFLAVLHLDIWKVHIYDLARCMNYFTKYLADGEFKSLGDSIIEELDAIDYWKDFPDGHKDNAVVEFIDIVDAPQQEYIVDRGDCGVFISMFMEMIASGVPVKSDKPRRDAGFLCRNRMTNIIWDTK >cds-PLY86786.1 pep primary_assembly:Lsat_Salinas_v7:5:16380019:16383367:1 gene:gene-LSAT_5X7901 transcript:rna-gnl|WGS:NBSK|LSAT_5X7901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g26000 [Source:Projected from Arabidopsis thaliana (AT2G26000) UniProtKB/TrEMBL;Acc:O80996] MSEAISVSAAANSAIAGAEDYFRPSSIMKLPITDIGDSSTSSSSSAYVTQAFPFSSGNPRIEQTRGVMHLFLDDAASSSSNLPVGRIPLICVLGVPNHMTYADFCQFCGSFIHHIMEMRIVRNDGMENRYSILVRFDDQSSTDSFHKHFNGRRFSSLETESCRVLFTVDVQYTSSIEHTQTSPANTEQPSCPVCLERLDQDMSGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPEKSKCLICQTSENVWICLLCGFVGCGRYKEGHAIEHWKQTQHCYSQELETQRVWDYVGDNYVHRLIQSKTDGKLVELNHHHQHTDGDCGCDTDPAFSEALLNSRVESIVSEYNELLTTQLENQKLYYESLLQEFEEENEREISSAVRDFMKQNTKLQKMQAKLDKGLKEKKFLDDMNENLLRNKETWESIIAKIEEREKEALRVKDDKIQELEAQLQGLMVSLEETNTVDVQ >cds-PLY80868.1 pep primary_assembly:Lsat_Salinas_v7:4:325768080:325768785:-1 gene:gene-LSAT_4X162021 transcript:rna-gnl|WGS:NBSK|LSAT_4X162021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRRATEIELDTMEGFASREKLHHHLNDQADLIQEIDSKLPVVQLALELTHKIFGSCPPMQSFDPAKMLTVRFYLTITLMQFSLFPIPKMN >cds-PLY98879.1 pep primary_assembly:Lsat_Salinas_v7:5:20448825:20455296:-1 gene:gene-LSAT_5X10760 transcript:rna-gnl|WGS:NBSK|LSAT_5X10760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKVRIKAFMSLESSILKGNKKSNTFIEACSQRYDVILKIWKKFVWDEAKYPTMSPLKEIVDGIHVQVAKIDDDLKVRIAEYNNVRSQLDAINRKQTGSLAVCDLSNLVAPEDLVTSEHLVTLIAVVPKFSQKDWLSSYETLTTYVVPRSSKNLHEDNEYAIYTVTLFVRDADSFRTKARERGFQVFTSWMHFCAVRLFAESILGYGLPPSFLSVVLSPSVKSEKKVGSILETLCSKDDGGMGSLGGDADTHPYVSFTINLI >cds-PLY77006.1 pep primary_assembly:Lsat_Salinas_v7:6:65868705:65878531:1 gene:gene-LSAT_6X47421 transcript:rna-gnl|WGS:NBSK|LSAT_6X47421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKQDLGKHHVEAYRDEIGTVVKSINYALGNLKQWMAGKKARLPLAAFPSKAELVPEPLGVVLIISSWNFPIGLSLEPMIGALAAGNTVILKPSELAPMCSSILAETIHDYLDSTAVKVIEGGSDIGEKLLQQKFAKIFFTGSTRVGRLVMLAAAQHLTPVTLELGGKCPALIDSFSSSRDTKMATKRIVWGKFGASAGQACIGIDYILVEKKNLSNLVELLKKYIKQCFGDDPNGSNSMSKIINKRHFSRLKSLLDEHMVKSSVVYGGLFDEENLFIEPTILVDPPLDAAIMTEEIFGPLLPIITLEKIEDSIDFIRSRPSPLALYAFTKDNNFQKRLVSETSSGSLTFNDVILHYVVDTLPFGGTGGSGFGRYHGKYSFDNFSHEKVVLTRSFFIDIWFRYPPWNDTKLQLIKSGLRFDYLAIVLIALGLKRKA >cds-PLY98026.1 pep primary_assembly:Lsat_Salinas_v7:8:43240164:43240580:-1 gene:gene-LSAT_8X32701 transcript:rna-gnl|WGS:NBSK|LSAT_8X32701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVVEELNIRGVEEKEHSEVVVCRQLGNARNEKQIRMVEKIPFNHRRHRWWLRRNKEKGWMRSGGSTATSATHRRICNSPTEALAEAVSQQRGLVVNEEEDLCDCNKKNSIEETEYAKSRFETLDTITLKQQADADFG >cds-PLY66579.1 pep primary_assembly:Lsat_Salinas_v7:6:167085274:167086246:-1 gene:gene-LSAT_6X101941 transcript:rna-gnl|WGS:NBSK|LSAT_6X101941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAALQQAPVTFQSRTPVSARVSSVKPVKFSFSGGLRLPKLSIKLTGKPRRTGGGGASGAKMADSAAGSYAAALLEVAVANGTLEQTVKDVELVDQLFSEDSLLSYFVSPIVSLEDKRALLDDITASGKLQVHVCNFINILVESKRIDMIKEIVKEFELAYNRLTNTELAVVSSVVKLEEQHMAQIAKQVQKLTGAKNVRLKTVIDESLVAGFTIRYGNSGSKLIDMSVKKQLEEIAAELEIGDISLAV >cds-PLY82134.1 pep primary_assembly:Lsat_Salinas_v7:1:13916786:13917345:-1 gene:gene-LSAT_1X12041 transcript:rna-gnl|WGS:NBSK|LSAT_1X12041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGKSFAEHCNEKNPQMPDEPWDRNAPMPPGIAYMFKKKTSNPAVNYVTYDNAKQVAGNCAKLVKQGTFAGMQWIKDKYQKPTQKR >cds-PLY71595.1 pep primary_assembly:Lsat_Salinas_v7:3:174955390:174961530:1 gene:gene-LSAT_3X104900 transcript:rna-gnl|WGS:NBSK|LSAT_3X104900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAADQLNKRSKSSNIIRHNTCWETQKAKKKKISHHDLNIKSNISLEWDEKKEQVVPKKEQIGIARRDLTPFLPLLPHSQNALGDVFAAPSELFQLDNLTTLLSYEVWQTHLSVQERGFLTQFLPEGAEPHKIVHELLGGNNFYFGNPFMKWGSSVCSGDCHPDAILLQEQCNKANKIAYYSELHKYHTKMIGNLQLWKERWASCANPESEFTQKMIRSRKDFHKSGSLHENGMQFGQEDEFGATSNSCSWDADDKSYTSDSPNLAITNGETIMRIPKMDLENKFYDSSGERRRSVARPRKGDKIRKLNIECGDGAKYMSYIKVSKEQHERVKSSMKHSNTSIQPRSLNHVLGNLDSFCVQPYEVFEEEERQNLHHHWLNLAKIDLPAGYENWKSWQSAKREVTKSLKKEIEDKRKSNDVSVSNLYCDNEENEETQILLLDSDHNANLDSGLVNSEDDEEEQQLVGNLCDEETNDVLLVAPEDTMERNDESLFQSEPDLNDTDKTTMQIEQTDESSEDSARNHHLPPITIHNNNQTFCPITIATNNEPDPFSSNLHEFTENMSQPDAPVSQQFPLPPAATEIWPSTTLPTSYYHQPPPVVTHGYSELSLGHPQIRRSDGGDSFFYPYPNQDTRNELLLHSLFKDPGTSYLHEHKLSRLGYHPTGGDPVATTSQFPRNLSNIQENIFADGGGRFSIPRQEPLLPLHDWPGNTHQPIQNWFSGDEVARDGWSGNQDIGNGCVTGGPVVADESLYSVLSQCNGLRSGVNFGSSGEFIQSGNYGGIGWPMGSNGVAPRAGLNYMSGNEGQSGAGGAGSNGLGWMNLPKSFQRSWNANELG >cds-PLY85734.1 pep primary_assembly:Lsat_Salinas_v7:1:47641980:47653066:1 gene:gene-LSAT_1X41121 transcript:rna-gnl|WGS:NBSK|LSAT_1X41121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQSTKTPSAEELLRKIQELEKRQSQLKQKISKLMLSGNLKEPEPLAMKLTEAQYFNIMQSLGQALHIYDIDFRIIFWSRGAENLYGFTPDEVYGKTPTELLVEPKDASLCDDLLERSLKGETWTGEFPIKNKKGERFVVIATNTPFRDEIRGIIGGLCISSDSRPYHARKPAKPGFDSPQPLQISIASKISNLASKVKLKMKRRDNYTDHEGGSDVVGDHCEASTPTGHIRSPFGAYSSMATEEHFTKKLTIYYGHESENKPGICKILSTKADAWMGRKRSVWPWEGDERVESFDPIFGRFGWQRLDIIEEHKPGLQTSSCSSSKLDFQLWENTNNKIVASGLWSSSLHVSSSTSSSSSGASIKSNAIIKVERETDSLDYEISWEDLITQEQIGQGTPRDVAIKLFVYQEYPEELIVSFKQEVSLMKKLRHPNILLFMGAVTTTPHLCIVTEFLPRGSLFRVLQRNTTRLDWKRRFHMAMDIARGMNYLHHCNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRIKHHTYLKTKSGKGTPQWMAPEILRNEQADEKSDVIGAVGFMNRRLEIPKDVDPLWASLIQSCWCSEPQSRPTFQEILDKLKDLQKKILVERRRKES >cds-PLY91814.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:895866:898101:-1 gene:gene-LSAT_0X1060 transcript:rna-gnl|WGS:NBSK|LSAT_0X1060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFSEFGITLQSFFYRRKRNDFSKELLALGEQIGNAGSGLSEDFISEKARMQAEAKAAEDARRRVEEEATTEAKRKRDLERESTRQALLKMERSEREIRESKLQELMNKHFAASSVPKGIHCLSLRLTDEYSSNAHARHQLPSPEFLPVLSDNSYYHFILSTDNILAATVVVTSTVQSSLTPEKIVFHVITDKKTYAGMHSWFALNPISLAIIEVKGVHQFDWLT >cds-PLY63856.1 pep primary_assembly:Lsat_Salinas_v7:1:125114374:125116984:1 gene:gene-LSAT_1X94701 transcript:rna-gnl|WGS:NBSK|LSAT_1X94701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWLYSDHQSLEKVVFDPKMSPLMLFYFPRSWTPRLYEIEGMVKIQAMVGVKEKVLRSLGWINLLHNKRNQGNNPRESRMQMLYEFGIFSTTYDVEEMPSWFIHRSAGPSISFTIPSSSPNNLLKGLNFCFLQTRRVSYERPLILLHHFPITPMITVSNITKNRMWIYECNTDRYSIYGECWVVLNHWMLGMNEMEPGDHVTITVTDSRRELTKECGVSLVYKDDGEKKEEDVLGYYKSWNHIIGGDLSPFQTTTGQYILNNDRFFTHGIVLYPYHRKFVPDGPDIQVEKERFWFRALSLRKPDISGRAHKGEGESSRSHPSDEKD >cds-PLY88139.1 pep primary_assembly:Lsat_Salinas_v7:MU040217.1:80763:81098:1 gene:gene-LSAT_0X31441 transcript:rna-gnl|WGS:NBSK|LSAT_0X31441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCAVVIFFTPTPSAAALSPPPYHSVFSISKATSGVFCDRRLRGLPGNQLACDATVVAQLGCLSVFVATMEALIRRRECNKCSGTTSRIQLRYSRPIAPLLPLPCVAATPLP >cds-PLY83546.1 pep primary_assembly:Lsat_Salinas_v7:1:64966899:64967621:-1 gene:gene-LSAT_1X55740 transcript:rna-gnl|WGS:NBSK|LSAT_1X55740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKAEKKPAEKKPSTADKKPAKAEKKLPKEGVSSGGVDKKKKKIKKSSETYKIYLFKVLKQVHPDIGISGKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >cds-PLY74627.1 pep primary_assembly:Lsat_Salinas_v7:7:33149106:33150485:1 gene:gene-LSAT_7X24441 transcript:rna-gnl|WGS:NBSK|LSAT_7X24441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKPKVNSSVDLEWKINTGKNGTRNKEKAVSMYITNFPPNMESQDLWKMCGEVGTVSDVYLARKLSKLGKRFAFVRFLRVQDESELARKLSAIWIGTHHVFATVAGFGRKQKPFHQLETRKEEGNSTNDHENVVTEIMRDGVTKAEGKEDRISALPDCLLHEILSRLPTTKDAIRTDTLSKRWKHVWTWVPSLIFRDDNYPPLEFSSFVDKTLSKFRGLKLKKFQVFTINGHHYHNRYNICRRFEAHANNWIRYAMNCNVEELNFEFWCTGSEAAVLLDQIFFINSCFTDLRLLGCKFNPTGSISWKNLRSLCVFHGKLDEDLIENILSGSPLLETLELGECYGYKRLDITSKSLKNLVFSGYLDMDKYNGGSYFDIIEINAPNILSLTIEGDLWLRMLLLLNVSSLVKAKLDYFNFGDFQPRPEEEAKVGGEMLKEFIVNLRHVKELRIEGFSSYV >cds-PLY76650.1 pep primary_assembly:Lsat_Salinas_v7:4:116526797:116528632:1 gene:gene-LSAT_4X74820 transcript:rna-gnl|WGS:NBSK|LSAT_4X74820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADIVKQILARPIQLADQLITSTDYVCSFKQDCADIKGKTEKLAGLLRQAARASNDLYERPTRRIMDDIEQNLDKTLQLVTKCRASGLQRLFTIIPAAAIRKSSLQLENSIGDVSWLLRVSSPNEDRDDVFNGLPPIAANEPHLCLIWEYIAILCNIALADDWADAAGSLVSMARDNEKYGKLIIEEGGIPPLLKLAKEGRMEGQENAARAVGLLGRDPESVEHIVNAGVCSVFAKILKEGHMRVQLVVAWAVSELAANHPKCQDHFSQNNAIRLLVSHLAFETIQEHRKYTIISCHSHPPGLPPGPKMHDVSAIHNVVADTMAMKSVKDDYSKKVHIQSTNSKTNQQHHHHIGFLGASIKGREFEDPSTKKEMKAMSARALWFLCAGNLSICRDVTESRALLCFSVLVEKGEGEVQYNSLMALVEITAVAEQHPELRRSAFKPTSPAARAVVDQLLKIIEKGDSDLLIPGIQAIGSLARTFRATETRIIAPLVRLLDENETEVSAEAAVALVKFACTDNFLHVNHCKAIVEAGGPKHLIQLTYFGEQMVQFPALILLCYIAMHVPDNETLGQDEVLIVLEWALKQGHFMQDRSLETLIQEAKQRLEIYQT >cds-PLY84980.1 pep primary_assembly:Lsat_Salinas_v7:8:63094284:63101045:1 gene:gene-LSAT_8X46521 transcript:rna-gnl|WGS:NBSK|LSAT_8X46521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NUP155 [Source:Projected from Arabidopsis thaliana (AT1G14850) UniProtKB/TrEMBL;Acc:A0A178WJ50] MSWENEIVMRDITKASLVVSDRIGRDVSAQLDLEEALEASRYTSHPYSTHPREWPPLVEVVDSRELPPVLIERYNAAGGEGTALCGIFPEIRRAWASVDNSLFLWRFDKWDSQCPEYSGEEQAICAVGLVKARPGVFVEAIQYLLVLATPVELLLIGVCCSGNGDGTDPYAEVSLQPLQDYTIPSDGVTMTSIACTNKGHIFLAGRDGHIYEMHYTSASNWHKRCRKVCLTSGLGSVVSRWVVPNVFKFGAVDPIVEMAVDNERHILYARTEEMKIQVFSLGENGEGPLKKVTEEKNLINQRDLHNTTRQVAGSRGATRSTKASIVSISPLSTVESKWLHLVAILSDGRRMYLSTTKNSGSVGGAFGSNLQKPSCLKVVTTRPAPPLGSFGSFSRAQNEDLSLKIESAHYSSATLVLSDSSPSTTSSLLIVNRDSTTHSSSNLGTGVRSSRALRECVSSLPIEGRMLAVAEVLPLPETAATVQSLYSQLEFSGYDNSGESPEKLAGKLWARGDLPTQHILPRRRIIVFSTMGMMEVVFNRPVDILRKLLESNTPRAILEDFFNRFGAGEAAAMCLMLASRVVQTESFINNVVAEKAAEAFEDPRVVGVPQLEGSGVALGNTRTALGGFSMGQVVQEAEPVFSGAHEGVCLCASRLLLPVWELPVMVSDGSKDGIVGCRLSVDAMGVLEDKLRSVEKFLRSRRNQRRGIYGSVGGLGDLTGSILIGTGGDLVSGNMGLARNFFNPYSRNLEASEIGTSSKRQRLPYSPTELASMEVRAMECVRQLLLRCGEALFLLQLLSRHHVTRLLQGFDHNTKQALTQLTFHQLACSEEGDKLATRLVSSLMEYYTGPDGRGTVDDISGRLREGCPSFYKESDYKFYLAVECLEKAANASNNEERETLARDAFSKLSGVPESADLQTVCKRFEDLRFYEAVVRLPLQKAEALDPNGDALNEQIDDGIRAHALAQRERCYEVIASALRSLKGEVSQREFGSPIRPSAHSLAPAARKKFICQIIQLGVQSSDRIFHQYLYKTLIDLNLEDELLEFGGSDLVLFLQNAAREPTQQVRGVSGAQISSHQTKYSELLARYYVLKRQHILAAHVLLRLAERRSTGLENHPTLDQRRQYLSNAVIQAKSASGNDSLTNSSRDSGLLDLLEGKLTVLQFQIKIKEELEGIVSRIEASPSTSNTNNSNLDSLKEKVKELSLDLKSITQLYNEYAVPFELWEICLEMLYFASYSGDTDSNIVRDTWARLMDQAVSKGGIAEACSVLKRIGSHIYPGDSAVLPLDTLCLHLEKAALERVVTGVEGVGDEDVARALMAACKGAVEPVLNTYDQLLSSGVILLSPTLKLRLLRSVLVVVREWAVSVSATGTGAASVGGSLLRGTFSMDQRTAVHHGIRDKIASAANRYVTEVRRLNLPQSQIETVYRGFKELEESLLASFSFERF >cds-PLY64069.1 pep primary_assembly:Lsat_Salinas_v7:8:94510964:94511802:-1 gene:gene-LSAT_8X66400 transcript:rna-gnl|WGS:NBSK|LSAT_8X66400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSLLLFSILLLTSLTSSLSSTPSPTFHQKPNNETVYRTSKQLCIGCTWESLQFLFTQNLVRAAKWEIPLAWDFQLQRYAQWWAGQRKGDCELMHSFPEDDFKLGENIFWGSGSSWSPVDAVNTWAGEEKYYRYGSNTCASGQQCGHYTQIVWKTTRRVGCARVVCDSGDVFMTCNYDPVGNVIGERPY >cds-PLY79611.1 pep primary_assembly:Lsat_Salinas_v7:2:166489523:166491837:1 gene:gene-LSAT_2X87661 transcript:rna-gnl|WGS:NBSK|LSAT_2X87661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMQGNIAGAVTLTPSKSKLSHKSKLPENANPNVTSPDPKASKSPAIKSATKVQKSGLKKPNQVASPSPRNKIRERKFVVAKKNSKREKSNTPTSVDCKCKASSNSKKCLCVAYETLRASQEGFFNIGSANPCLPVGSEVEKEEEAEKNMTESHNLNGLEEKLGKCKIVEASGVTVSAKVKRRRDKYMEVARQSIPEDGRGRVMHLVKAFETALTLPKSKTDTEGEEQNEELEGEDTRKIPKWELPGLRPKTPVTEFSSPDLFLTPESLGLDSRASSSSSGSSHESVSNRNSCGGRRSRRNSSESSATFGGNRGKRRTPKATPLQPFKLKTEQRGRSKQEEFMKKVLEMTIEQEKQRIPVAKGLPWTTDEPECLARPPVKESTRPVDLVLHSDMRAMERAEFDHQVQEKLSFIEQFKLERERQQKLAEEEELKRLRKELVPKAQPMPYFDRPFIPKRSEKQPTMPKDPKFRNPQAQHKKMNPGH >cds-PLY66042.1 pep primary_assembly:Lsat_Salinas_v7:6:49431308:49439109:-1 gene:gene-LSAT_6X35600 transcript:rna-gnl|WGS:NBSK|LSAT_6X35600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTISQPHALPSVCCPRSVSLSKYLNPWISCKHSTCLFHKKVASVDYLLSSKVYSRKRYQINLCLLEDGTLGLSSRSLKPQLTYFTHYKSRRTRQFFPFASADDGVTVNGASTSGDVEEMRVKLDQSLQNEEYNSALIQSLHDAARVYELAIKDQISASKSSWFSTAWLGIDQNAWVKALSYQASVYSLLLAACEISSRGDRRDRDINVFVQRSLSRYYAPLESVIRDALSDKQPELYEWFLSQQMPSVVSSFVNYFEKDQRFSAATGVVRKGTSVTSGDASDRSLLLFALSCIAAITKLGPTKVSCTQFYSLLPEITGRLMDMLVEFVPIHKAYGSIKEIGLKREFLVHFGHRAAACRVKDDQGTEEVLFWVSLVQRHLQRAIDRERIWSRLTTCESIEVLDKDLAIFGFFIALGRRTQLYLSANNFEAAPKPIEGLIRYLIGGSVLYYPQLSSISSYQLYVEVVCEELDWLPFYPGDIDTQKGSHGHKSKQGPPNEEAIPVVLDVCSHWIQSFIKYSKWLENPSNVKAARFLSRGHNILQDSMEELGIPNCDTLAECKKVELASSYEKSPKALESECNLGWLERLVHVIVVICQTVKRMIESSTNNTFEITRSGGYSPLKKQLDSFDKALESVDDALIKLEGLLQELHVSSSSSGKEHLKAACSDLEKIRKLKKEAEFLEASFRAKTDSLQQGNDDGRAERQSSSNVDLDMSSSKPDVLWSLLLRRPTPTTETSYKNDDDDDTSLLESNEIQRFELLRNELMELEMRVERSTKRSENEEEDLKMTNYPKDPEGVQLVKAQEKENIIGRSINKLKGSTTDVLQGTQLLAIDVAAATGLLRRVLIGDELTDKEKQALRRTLTDLAAVIPIGFLMLLPVTAVGHAAMLAAIQRYVPSMVGDIIGNRGAEGIRGISEEQRMSKWKERRQRMSKEKERHCNLVDDRNAMTILEGNNDLGTDGMLRRRRNRCF >cds-PLY66242.1 pep primary_assembly:Lsat_Salinas_v7:5:142252207:142256903:1 gene:gene-LSAT_5X62540 transcript:rna-gnl|WGS:NBSK|LSAT_5X62540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDYVNNPANMHDFSFINMKAFANLKGSGGNIWEVFEVLDDARRAIFRDTVFGYFIDVPRLQGDALLFHKMFLHQIRPDPVLSPDGIKRLYFRVGNTKMVYKPEEFCLIIGLNFGEYPKNIGRKGSEKLLSSKKRCLLRERLFPDHTNSLVKIGDLKRLILNRTFLELDDVDAVRVCLIYILCEGFLGKEVNDRVPQDWFFFAENLDLWNSFAWGSYLWDFTYVDLEDTWNKIYNYLSFSERRQTLKYSVSRFTAPIRIWIYEMIPAVRACGFVSRKNKDLPRMKRWSGTKKLKWVDVNKIWSKIQEGQPPRQNMLPGDGEMTSCYYMSFQEYVYGERKAVPSPVRNHFRRQDESPSSMSSSGRSHGRGRGRGKHNQDEVLKWLHALEQHVFMNRQPTEVFVEEVNTEQFWNDITFDDPIVSQRKYDEQVVQDEVMNKNNTTENVFGDIQDDKVLEERNDYAGNKFDDDVFDVNDYSEVKEESEERNDNAGNKFDDDVPDEDEIIITRIVDDFDEYDGNEVTPDKPRTRKPSQYLCPPYTELHTTPKQKRRAKKKVDIKSTSPVPPPVFGVAHDFSMLCLQPYVAGGEVVIQNYLFHSYDVQHRLFNFVLDRDFWSSLFGHTHDGWLESSHITIWYRLLMERRRAGLEGVYGWYCYVPNFMVAWWDVDTVLLPIHSSPNHWLFGELRLASMEVHIYDSLGRGAYEKFKSEGIFSKFERRVANYLDKIKYWARRNIPRIPLNMQFIYEENVPQQSSHLGDCGQPIRVLIDPKNAALEFRQRMAKIYWGSSLGPIFVNGWQKI >cds-PLY99445.1 pep primary_assembly:Lsat_Salinas_v7:6:20473652:20475507:-1 gene:gene-LSAT_6X15741 transcript:rna-gnl|WGS:NBSK|LSAT_6X15741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCGKSKHAVVTETTIVKSTKSDDGKETRKTKTVTEKGDSMSVQEVETTTSVVEDTKKETTTTKVDPIGTANVGASVAPTLADEEVAKEDEKVTDVAPTDDVDDVMKAVKEDEEVKNLDTTDAVPTSNEVCTEVVKDDKKKESTMIDEKEAKKDNATPTLRENVMEATLVVKGDKKFKDSKEEMTYETPMKATEDFPITEFTTPKVQELKAKESTKVETKKEEDVKVTGADIAKTETSPATEPKKVPTTDEANVVETKEKET >cds-PLY71271.1 pep primary_assembly:Lsat_Salinas_v7:5:181889618:181891745:-1 gene:gene-LSAT_5X81041 transcript:rna-gnl|WGS:NBSK|LSAT_5X81041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIFYGSLLSLLVIVISCSLCFAFFRPAADANLPPGGRGWPVIGETIEFVTTGLKGHPEKFILDRMTKFSHHVFRTSLILQDVVVFCGQEGNKFLFTNENKLLLQWLPPSVGKILPFSDHNRTKAKMVRKYFKPEALRQYVPVMDMVTQKHFQTEWEGKDQIMTQKLIKNFTFLLACKIFFSIDDPEWAKTLSGPFEKLAPGIFSIPINLPGTPLRKAINAATFIRKELTAIVKRRKIDLADGKASPTQDILSLFLCDDEAKYMAEIEVADLIVGLLIGGQDSTSSTCAVIVKYLAELPEIYEGVYKEQIEITKSKASKELLNWEDLSKMRYSWNVACEVLRLVPPTQGTFREAITDFTYHGYSIPKGWKLYWSTNSTHKNPNFFPDPEMFNPSRFDNKRPTPYTFVPFGGGGHQCPGKDFVRVEILVFMHHLVTKFKLEKLIPNEQIIFSPVPKLEKGLPIRLYAHKP >cds-PLY74938.1 pep primary_assembly:Lsat_Salinas_v7:9:14636874:14641304:1 gene:gene-LSAT_9X12641 transcript:rna-gnl|WGS:NBSK|LSAT_9X12641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFLQDRGTRRIPNGHDTNEKMVGSKSDVSGNLGFNEISGMLGLQRREPVVPTDNISAKGPLSMASAPVMSLPHRASGSGAVNDSQRGKIKFLCSSGGKILPRPSDGKLRYVGGETHIFSIHKNISFDELVNRTGQFCNQPHTIKYQLPGEDLDALISVSSNEDLQNMIEEYNGVGICDGSSRLRIFLIPLTELESTVSIESNANLQNSPDYQYVAAVNGIADDGLNNSTFTSHISEPRILVAQPDPSLFVSPVLMPQNDLIDSHAQVYNDPLSDSIEIPILKGRAFHSENRILPPSDPVNLCVVGSNGSQLGIPHAFSDPQLKEHGSTSAFGSQDGSNFPSTLTFPPPPLPSQLTSSFFQGNSVEQHDNFPPQILFEMPNLNHEDAMHSHETHQDVKQDLSQNVGLQLPNIVSASTESNIRTTTMAPNYNNLSDADKSLNDLLSHLSHGLVQQSSSHQKESNGQNPNLIDALESTLHDSSLNQIPTTGAKFTKEVNLIDDNFNYTELKPAELGNDESQNKIQKEIPSSKVKEEIQLELNDPLGDVNVAISHSQLLGAVSTEALPSSEIEAEDIHLESDIEDAVIENGGSDGPFNNALIAEMEADMYGLQIIKNAELEELKELGSGTYGTVYHGRWRGSDVAIKRIKKSCFSGRSSEQERLTNDFWREARILSNLHHPNVVAFYGVVPDGAGGTLATVTEFMTHGSLRNVLIKKDRSLDRRRKLIIAMDAAFGMEYLHMKNIVHFDLKCDNLLVNMRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSTTRVSEKVDVFSFGITMWEILTAEEPYANMHCGAIIGGIVKDTLRPTIPERCDPEWKKLMEQCWSADPTVRPSFTEITNRLRTMSKALQANGHKKPQHV >cds-PLY96008.1 pep primary_assembly:Lsat_Salinas_v7:4:20787553:20802599:1 gene:gene-LSAT_4X13881 transcript:rna-gnl|WGS:NBSK|LSAT_4X13881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKLEMKRIEDKHSRQVTFSKRRLGLNNKARQLSVLCDVDVAVVVFSSCGNLYEYCSGSTESVDAMVSRYHKSRPQAEERPTQDTGISTRFKTCNELLQSVKRVDEEGKDVSMSDMTELEKELNAALMHTRSRKTQLMMERVSSLREQEKKLSDENKELNRQLQVASAVNQYCSRPPQKLRALPLFKD >cds-PLY94117.1 pep primary_assembly:Lsat_Salinas_v7:8:29731373:29733817:1 gene:gene-LSAT_8X24060 transcript:rna-gnl|WGS:NBSK|LSAT_8X24060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFECAFVDGNRSNKMEERLVKLDNYTEAVTSKKQQRSELKFNGRTDALNMKITTRMKSVNESVEDRPKNICLSKRARTSVSEFQAECQINGLKKKPVAMAKDVNFLKDSGRESDQFEKIRRLAAVEEGWDKKIKRKRSIGTILSRPIDRDEVPKRATQNRVVDEHGVQQYDARISRSNNCDDSYTTCPSPLTKKKASRTTQIGWETAMSKERLTSQESDNNHKASLSQWVGQRPPKLPRTRRSNSNLVSPVSNQDDCSPSNGTPRHATNGSLKLILKLDTLQTEVEESVGGEKQTKGKMGNNEADGIHVQDIGPCATTLARKNKAFINEESVLRKGRSARGLLISRCSKSPMGNELDKAGCKKNDSKSGRRLKRLSEYKGLSNDAPLQNSSSPYSTGESDDDQEQLLSAASHAHIASSCSRPFLKKVESSFTPISSEEKSLLSQQLGVDALPSEERKRRTPRQQTESLLKKHDMVDETCNGHTPFYQRVLSALIIEDGNEIDGLEEWDSRNTQIQKSFSDKYGLSDFEPRKKARVENGCGQSLGLTKKSFTEDEMLGGTSNSKLHGCQYEEMSIDDKLLLELQCIGLCPDTLVRG >cds-PLY82976.1 pep primary_assembly:Lsat_Salinas_v7:1:17296050:17300599:1 gene:gene-LSAT_1X15001 transcript:rna-gnl|WGS:NBSK|LSAT_1X15001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDQTTSGNPPPAASSSLPPQPPLLIPNLPDDVALQCIARVPRSYHRSLLLVSKLWRSTIRSPHFFAIRSLIRSTQQSLYLNIRHNSSFKWYYHPNPNPTDKGILSPLPPIPIQPVGSAYAVLGHKIYLIGGSINDIPSTSVWVLDCRVNRWEIGPKMRVGREFAAAATVNEKIYVMGGCLVDNRTRSINWAEVYDPAVGVWCPLPSSSVEAKDKWMHANAVIDGKIYAMADRGGVVYDVAEMEWGRVPKRLDMGWRGRAAVVDGVLYCYDYLGKIRGYDVEKDVWKELRGVEKGLPKFLCGATMVDLDGRLCVVWECKEGGGGEKAIMCAEIEVYTNEDGGLSGSILWVDVVLSVPDLELLPLQNNVNVYGYGPYLQGQRNKIIPGIGKKMATSTKGVGSTDDDRKAASLEGLSAVKLMVKGSSSERG >cds-PLY95816.1 pep primary_assembly:Lsat_Salinas_v7:7:176265437:176265892:1 gene:gene-LSAT_7X103920 transcript:rna-gnl|WGS:NBSK|LSAT_7X103920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVCDSSPENTHASKSFLEKRIQHPPETYMTQSYFGGSRWPEALARGDSRMGAAAIHGSTKERRRKRKDRLKLTYCAFPMAHDFHNDGRTSFIDPQWFSDSSVTMATFNGCVAVGGAQWRGGRRRRLIRTVNRREAVAAYFTNDGWNISQV >cds-PLY64074.1 pep primary_assembly:Lsat_Salinas_v7:8:94434959:94437117:-1 gene:gene-LSAT_8X66480 transcript:rna-gnl|WGS:NBSK|LSAT_8X66480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLVFTRLFSSLFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTERLVIAKEEFHAILEEEELKGAVVLIFANKQDLPGALDDAAVTESLELHKIKNRQWSIFKTSAIKGEGLFEGLDWLSNTLKSGGG >cds-PLY84306.1 pep primary_assembly:Lsat_Salinas_v7:5:191365717:191368136:-1 gene:gene-LSAT_5X84460 transcript:rna-gnl|WGS:NBSK|LSAT_5X84460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPHHHAVIIQPVAATPLHLCHRSRHPYATGNVSITKTMSESNIQKGDDELKGSRHANDNINFY >cds-PLY92937.1 pep primary_assembly:Lsat_Salinas_v7:3:113567450:113567825:1 gene:gene-LSAT_3X83120 transcript:rna-gnl|WGS:NBSK|LSAT_3X83120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGSEQSASYGELVSIGDLNPDVNKKVSVAVVDILSSKLSVPKSRFFLKIFDSKIFLCFDFDLTEVNCKNEFLQTQSHGMNCSNIRGSLDNFS >cds-PLY70084.1 pep primary_assembly:Lsat_Salinas_v7:4:204116814:204120345:1 gene:gene-LSAT_4X115101 transcript:rna-gnl|WGS:NBSK|LSAT_4X115101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALFFLCLLVCVPLLSLLYLLSKIIKNRSCSYPPGPHGLPFIGNLHQIDYSSLHTFLWQLSKSYGPVISLQFGFIPAIVVSSANVAKEVLKTQDIIFCNRPSFVGSKKLSYNGLDVTFSPYNDYWRDMRKVYMFHLLSPKKVESFRYIREDEVSSTMKKIYEQALSSKMVNLSETMKHVAITLVTRVGFGKSYQDEHERKNVLRLLNELQSITAEFFVSDLWPGLPFVGLVDRFLGKMDRVEKCFKSLDSFYEELIGEHLDPQNRKSNEEEEDIVDILLRLKKDKDFNFTYDHIKGMLVDILVAGTDTSAATVIWAMTSLVSDPRVMKKTQEEVRNVVGKKGRVDEDDLSKLTYLKAVVKETLRLYPPAPLLVPRESQKDVILHGYKIKKKTIVYVNAFAIGRDPEAWENPEKFIPERFLCSDIDFRGNNFEFIPFGAGRRICPGMSMGVVTVELLIANLVYLFDWALPDGMKKEDLDFEAMEGITMHKRNDLCLVAHMYL >cds-PLY83388.1 pep primary_assembly:Lsat_Salinas_v7:8:218831:224044:-1 gene:gene-LSAT_8X1580 transcript:rna-gnl|WGS:NBSK|LSAT_8X1580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGREDEDHIKSPLISIISQENGDGDGDGVFEETQKQLWLAGPLICVSLLQYSLNLISIMFVGHLGELSLSGASMATSFASVTGFSLFMGMACALDTLCGQSYGAKQYHMLGIYMQRAMVILMLVSIPVALIWLNTGSILKAVGQDPDISREAGVYAQFMLPSLFAYGLLQCLVRFLQTQNIVFPMMVSSGIATLLHVLICWLLVFKSGLGTKGAALANSISYWNNVILLALYVKFSSSCAKTWTGFSREAIHGILPFIKLAIPSAVMVCLEMWSFELIVLLSGLLPNPKLETSVLSICTRVSNELGAGHPRTARLAVIVVLVVAIVVGILVGSVLILIRNIWGYAYSSEVEVVKYVASLMPILAVSNFIDGLQCVLTGSVRGCGWQKIGAYINLGSYYLVGIPLAVLLAFVLHIGGKGLWFGIICALIVQVASLMTITIRTDWETEAKKAQERVYESTLPMDIVS >cds-PLY79524.1 pep primary_assembly:Lsat_Salinas_v7:1:33363623:33366801:-1 gene:gene-LSAT_1X29280 transcript:rna-gnl|WGS:NBSK|LSAT_1X29280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLYESASGYGLFLAHGIDEIGQNTEAVRNSVVDLNRFGKVVRIAAFNPFESALDALNQCNAVSEGQMTEELRNFLELSLPKVKEGKKPKFSLGVADPKIGSHIHEETKIPCQSNEFVLELIRGIRLHFDRFIENLKPGDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDVNSFSMRVREWYSWHFPELVKIVNDNYLYAKLAKFIEDKSELSEDKLSGLVDILGDEDKAKEVVEAAKASMGQDLSPVDLINVKMFAQRVMELAEYRKKLYDYLVAKMGDIAPNLAALIGEVVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTKGNTPKYGLIFHSSFIGRASAKNKGRMARYLANKCSIASRIDCFSEKSSTSFGDKLREQVEERLDFYDKGVAPRKNIDVMKAAMENDSNQDTEMEVENASSKKSKKKKSKDNEASDDGDKENTAANGESKSEKKKKKRSLEERLESNENGKENGEETGKKKKKKKSKVVEAVDAPAVSEGKKKKKKSSVE >cds-PLY79751.1 pep primary_assembly:Lsat_Salinas_v7:8:177699309:177703302:1 gene:gene-LSAT_8X115501 transcript:rna-gnl|WGS:NBSK|LSAT_8X115501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHNTLDDASASSLHLTMLQEVIGRDAENHMLQRYTSSFHGFSARLTQEEVKKLSAMEGVVSVFLSKKNKLATTSSWDFIGFPLKVNRSTLESDIIIGVFDTGIWPESPSFTGLGYGPPPAKWKGICDANFSCNNKIIGARYFKADGIYGPTDLQSPRDSDGHGTHTASTAAGNIVTNANLLGLDPGTSRGGVPRARIAVYKVCWSNGCSDVDILSAFEAAIADGVDLITVSVGLVHAEELFKDAFAIGSFHAMRKQILTVQSAMNEGPMPQTIGSIAPWILSVAAGSKNPDLITPVRLGNGIVVNGVSVNPFKLKGMYPLIYAGDVPNITAGFSGSTSRFCIKNSLDKNLVKGKIIICDTLTTGEDEMLAGAVGSIMIYPGPYFEAIGSYPLPASIVNSDQASIIADYLQSTENATAVIMKSEDINNVSTPYVASFSSRGPNPTNKNILKPDLTAPGVRILAAWSPVARISQAEGDHREVPFNMLSGTSMACPHVSGIAAYIKTFNPKWSPAAIKSALMTTASVMNGETDAEFAYGAGYLNPLAAMKPGLVYDAVEVDYVNFLCHEGYNIKDIRIITGVNSSSCSKHKQPNDLNYPAFVIPTLRNKAVNITFSRTVTNVGSAKSRYRASITPPRVGDLGIQIEPNILQFKKIGQKLSFKMSVQTTIQKLDSPIVSGELTWYEIDGVHQVRSPIVVHVP >cds-PLY76604.1 pep primary_assembly:Lsat_Salinas_v7:5:223435707:223436175:1 gene:gene-LSAT_5X104980 transcript:rna-gnl|WGS:NBSK|LSAT_5X104980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDAGSTTSTAIIVDSCLLVANVGNSRVVICRGGKAYVVSRDHKQDQSDERIRIEDVGGFVTWVGTWRVGFVTWVGTWRVGGVLVVSPAFGDSLWLFIRKLNKKRLMGHLSFLYLLVMDFGMLSLMTKLVTMIKLMQSPKDVAMMLMHEAS >cds-PLY70108.1 pep primary_assembly:Lsat_Salinas_v7:3:12864099:12864916:-1 gene:gene-LSAT_3X9560 transcript:rna-gnl|WGS:NBSK|LSAT_3X9560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPPYFFVFFFFFFLGISAYPGIKRQHYPCKNLVLYFHDVIYNGQNAANATSAIVGAPQWGNLTILADKFHFGNIAVFDDPITLDNNFHSVPVGRAQGMYFYDTKNTFTAWLGFSFVLNSAQHKGTINFIGADPIMVKSRDISVVGGTGDFFMHRGIATIMTDSYEGEVYFRLRVDIKFYECW >cds-PLY87197.1 pep primary_assembly:Lsat_Salinas_v7:4:309270891:309277111:-1 gene:gene-LSAT_4X154680 transcript:rna-gnl|WGS:NBSK|LSAT_4X154680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSEKLLESESSEMKNELSMEIDPPFKENHATAEDWRKALHNVVPAVVVLRTTACRAFDTESAGASYATGFVVDKRRGIILTNRHVVKPGPVVAEATFVNREETPVYPIYRDPVHDFGFFRYDPAAIQFLSYEEIPLAPEAACVGLEIRVVGNDSGEKISILAGTLARLDRDAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAIALNAGSKTSSASAFFFPLERVVRALNFLQKGRASGQDKWEAVSIPRGTLQTTFVHKGFDETRRLGLQTETEQLVRHASPLTETGMLVVDSVVPGGPAYNHLEPGDVLVRMNGEVTTQFLKMETLLDDSVGQNVELQFERGGTPLTVQLKVEDLHSITPNHFLEVSGAVIHPLSYQQARNFRFHCGLVYVSEPGYMLYRAGVPRHAIIKKLASKDISNLQDLINVLSNLSKGARVPLEYISYTDRHRRKSVLVTVDRHEWYAPPQIYTRDDNSGIWVGKQALVVGPTLEVIKGVDVDVGEQVANGNNVTNMEDSSSDNGNGNGNASVAERVIEPTLVMFEVYVPSSCMLDGVHSQHFVGTGVIIYHSQDMGLVAVDKNTVAISASDVMLSFAAFPIEIPGEVVFLHPVHNYAIIAYDPSALGATGASLVRAAQLLPEPGLRRGESVYLVGLSRSLQTTSRKSVVTNPCAALNISSADSPRYRATNMEVIELDTDFGSSFSGVLTDEEGRVKAIWGSFSTQIKYGVNSSEDHQFVRGIPIHSITQVLDKIISGAKAKGPSLLINGVTRPMPLVRILEVELYPTLLSKARSFGLNNNWIQALAKKDPVRRQVLRVKGCLAGSKAENLLEQGDMVLAINKKPVTCFQDVEDACHVLDLSDNMEEKLELTIFRQGCEVEVVVGTDIRDGNGTKRVINWCGCFVQEPHPAVRALGFLPEEGHGVYVTRWSHGSPVHRYGLYALQWIVEVNGKPTPDLDAFVDEIEDGEFVRVRTIHLNGKPRVLTLKQDLHYWPTWELRFDPETSMWQRKTIKSLATGDNNNKNNNSL >cds-PLY68969.1 pep primary_assembly:Lsat_Salinas_v7:9:142905745:142905960:-1 gene:gene-LSAT_0X15441 transcript:rna-gnl|WGS:NBSK|LSAT_0X15441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLCFPSTPNKLWMTVGLFLGGSSLFGVGLYLSYVHIAPQQARIKARNDYVRDRLKKKYGYDKFTTQPNKD >cds-PLY90188.1 pep primary_assembly:Lsat_Salinas_v7:7:14590333:14591175:1 gene:gene-LSAT_7X12661 transcript:rna-gnl|WGS:NBSK|LSAT_7X12661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSASLSYSGCEDPHFLEACTLCSKPLGQNSDIFMYRGNTPFCSQECRQEQMGIDEGREKRCKRRVSKKASSEIKKSTETLVVA >cds-PLY71693.1 pep primary_assembly:Lsat_Salinas_v7:3:44559128:44560911:1 gene:gene-LSAT_3X32760 transcript:rna-gnl|WGS:NBSK|LSAT_3X32760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQLSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSLVQKRFKFPENSVELYAERVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMENGAKGCEVIVSGKLRAQRAKSMKFKDGYMVSSGQPVKEYIDSAVRHVLLRQGVLGIKVKIMLDWDPTGKLGPKTPLPDNVIIHMPKDDVIVHPPKEVEEYRPPIVADEPLPMPMSVPV >cds-PLY70383.1 pep primary_assembly:Lsat_Salinas_v7:4:98009233:98009756:1 gene:gene-LSAT_4X63161 transcript:rna-gnl|WGS:NBSK|LSAT_4X63161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAAEGTSKEAGKMIDVDQSISPLKRMKMMARRGGKIKYVGRIGVVHGSISQNVAGVDHEDFETIKDLQASRYDHGEIVEAFNKLTKERKEMLVESIVDEETSQETQDPLVKKRKPSERIIKIKLKKAVHDPDGGGSTTEKALTLD >cds-PLY78503.1 pep primary_assembly:Lsat_Salinas_v7:4:124023166:124023444:1 gene:gene-LSAT_4X79061 transcript:rna-gnl|WGS:NBSK|LSAT_4X79061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVGPSPPATTQQPPTPPPPPPGQLPPPLPADQPPPPPVAQPPGARPVLRKRAPISRNGLMKYFERIVKMALRRKILGVGSSAENPAVLD >cds-PLY93970.1 pep primary_assembly:Lsat_Salinas_v7:8:234124656:234128432:1 gene:gene-LSAT_8X141960 transcript:rna-gnl|WGS:NBSK|LSAT_8X141960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFVIIALGLFSSCALIGIISTVFGIGKPKNLPPGPAPLPIIGNLHLLGDHPHQSLANLAKIYGPVMFLKLGRTTALVISSAAAAKEVLQKQDLAFSSRHIPDALNAHNHSHYSTVWLPVSTQWRTLRKILNTNIFTGNSLDTNQHLRRKKVQELVAYCRKAGACKDPVDIGRAAFRTTLNLLSNTIFSIDLTDPYEDSGKEFKELVGNIMVEAGKPNLVDFFPLLKKIDPQGIKRRMTHYFGKIFEISEELIEERLVMNGSKHEDVLDVCLKLSQENPDEINRAHIKSLFLDLFAAGTDTTSNSLEWAMTEVLRNPHIMTKAKHELEEVIGKGKIVEESDILKLPYLWCIVKETLRIHPPVPLLIPRKIHSEVKLNGFIVPKGTQVLVNVWAIGRDSTTWDDSLMFKPERFLTSSLDVQGRDFELIPFGAGRRICPGLPLAIRMLPVMLGTLINNFDWNIDGGLRDKNIDMSEKFGITLQKANPLCVVPIPID >cds-PLY62764.1 pep primary_assembly:Lsat_Salinas_v7:5:308945756:308948006:1 gene:gene-LSAT_5X167360 transcript:rna-gnl|WGS:NBSK|LSAT_5X167360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVTDDNDYALQRQLAVVDVVTPISHSISNSDSSAITPFSSPFSLPDGWFVHPVPRSDGLRVDKYYIESETGRKFRSRREVKRYLNVEEYKATRSRPLRLTYRIKNKLDLKMITSQANYYNDPNTERKFRSLKDVERNLTKGSTSTKSTTKRLKYHEKHLQSCSSRKKTVSRGKMQDFEEDKYNRYQLVNVTPTSFHSSSTFTLPDGWIVEEVPRKTGDHIDRYYYEPGTGQKFRSLTAVQKHIAELEENAPLSVVLEELRENNLPIAKAFKLSNSIKNHGSYDSWKKSVLKKEEGSSSFTTSPPSKINWVIASSGGQNWNAFIGDNPIPDSLKQEWNERFLLAIGNGNHNQPLSA >cds-PLY96201.1 pep primary_assembly:Lsat_Salinas_v7:3:93231516:93234343:1 gene:gene-LSAT_3X69940 transcript:rna-gnl|WGS:NBSK|LSAT_3X69940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFWGLLGVASMPILQVLIISAIGAFMATDYLNLLPSNTRNSLNKIVFVVFTPSLIFTSLVESVTFQDIISMWFMPINIGITFLCGGILGWIAVKLIKPKPHLEGLIMAMCSTGNYANLLVIMVPAICTDNGSPFGDHVICKAKALSYASFSMAWGSFYTWTCTYQMMQASALKYNAIKETEELSNHANQNTHILDTNNDHIDQIMPSSNLTSQDIENQCIVQQTSVGNVKKKDGSISDKLVAMLKKIVNQLLAPPTLGSFAGLIIGAIPWIKHLLTGEKAPLRVIQDSMILLGAGTIPCLTLILGGNLTQGLRKASVGPTIIITVMLVRYLILPVIGIGVIKMAANMGLVPVDPLFRFVLLIMFALPPGVNISTMAELFSVGQEECAMLMMWTYLAAAFALTTWSSVYMWILS >cds-PLY74800.1 pep primary_assembly:Lsat_Salinas_v7:6:119878503:119879322:-1 gene:gene-LSAT_6X72520 transcript:rna-gnl|WGS:NBSK|LSAT_6X72520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTVVVIVLLCLYSFPNPPKLSIEELSVPAFTNSADQTAGNIYFDLKLRNMNKAIGLYYDDPLSIAFFYYPYDDPYQKYAWAGTIPAFYQGNGNTTHIRSLKENDLQFPSTVVVDPEEKTLDLVKTGHARALLKDHLQLPSTLAETRKGMVGRIQAVNIRIAVVINYRFNYWVGSAKHQLELGGNTVVDLNTGEMVSLGSLELVESAAPAGGPVMLVFQFSSRNINFIQHKCS >cds-PLY77878.1 pep primary_assembly:Lsat_Salinas_v7:1:21441914:21442694:1 gene:gene-LSAT_1X17840 transcript:rna-gnl|WGS:NBSK|LSAT_1X17840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCDNITAAVMGMSPEQKQAILRMGFGSILQVNITSYPGQLSYYLLYVYDADSKRLVLQNSVIEITEQTVHDMMGLPFGGEDINELPLCDKGNQILEEWRGQYSGDKFNGEEYLRRIQATTKDNLMFRLNFLTLFVNNFIESMLMGTNQIKVVRKLVLVEDFSKLNWCKYMLDCLGSRKKLWKRDDKSSYYSGPITLLILVYVYNMKYSIKIDKRLPFIGHINGAKLLEV >cds-PLY76100.1 pep primary_assembly:Lsat_Salinas_v7:9:30334218:30335991:1 gene:gene-LSAT_9X28001 transcript:rna-gnl|WGS:NBSK|LSAT_9X28001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTTDRRYLEEEDSSMTKMMKGTATGLAAGTIWGTIVATWLDVPRVERNVALPGLLRTLRMMGTHGLTFAAIGGVYIGVEQLTQNYRMKRDFVNGAVGGFVAGAAVIGFKGKSISTALSAGAALAATSAAIDVGGQTTRMDTGKEYYPYTTKKRVDAN >cds-PLY73863.1 pep primary_assembly:Lsat_Salinas_v7:4:207071866:207074336:1 gene:gene-LSAT_4X116601 transcript:rna-gnl|WGS:NBSK|LSAT_4X116601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSASSRKALSKIATNRLQKELMEWQVNPPAGFKHKVTDNLQRWVIEVNGAPGTLYSNETFQLQVDFPEHYPMEAPQVIFIPPAPLHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSSTKQRPNDDERYVKNCRNGRSPKETRWWFHDDKV >cds-PLY98850.1 pep primary_assembly:Lsat_Salinas_v7:7:24515074:24516164:-1 gene:gene-LSAT_7X20521 transcript:rna-gnl|WGS:NBSK|LSAT_7X20521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSQVDLAREAAHLSRFIYNFRRLKDVSFPKPVYPLVHPAVLVETFEQGESVAFYVDELQGHERLKSSLAHIGTHALLKMLLVDNFIHADMHPGNILVRNKSSRKGIFKSKPHVVFLDVGMTAELSGSDRVNLLEFFKAVARRDGDTAAKSMLRLSKQQNCPNPQAFIKEVKESFDFWGTEEGDIVHPADCMHQLLEKVRRHRVNVDGNVCTVMVTTLVLEGWQRKLDPDYDVMHTLQTLLLKEDWAKSLTYTIEGLMAP >cds-PLY65814.1 pep primary_assembly:Lsat_Salinas_v7:4:360805226:360806538:-1 gene:gene-LSAT_4X179181 transcript:rna-gnl|WGS:NBSK|LSAT_4X179181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEELPGAIGTSASLALRLGQTVFSVASLLFMCVGVEFYAYTSFCFLVTIKGLTIPWSLTLAMVDAFSVFVKRPSRQIQIVSIIVIGDWVLSFLSLAAACSTASVADFMITEAGAFFCGRKLCSRYQLSAAMAFLSWCLSIASALFNLWLLPSLY >cds-PLY66793.1 pep primary_assembly:Lsat_Salinas_v7:5:18796639:18797088:1 gene:gene-LSAT_5X9560 transcript:rna-gnl|WGS:NBSK|LSAT_5X9560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNLLDIALYLVEALVCIAALVLFAGCTKLCYQCYGLVIQDIETGRIPPTRTPTATHVPHHLVLAIQQTNLDRQAGAQPNGYLGKITQEQIYDSSKCKNDDCVICLEDFKKKEKIQLLVSCQHSFHGHCINKWLLVNGSCPICREAIRS >cds-PLY89429.1 pep primary_assembly:Lsat_Salinas_v7:4:63017519:63017819:1 gene:gene-LSAT_4X42981 transcript:rna-gnl|WGS:NBSK|LSAT_4X42981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVSSAAVQAEQLKQDGNLYFKKNRLGAAIDAYTEVITLCPDVPVYWIWVWPLIGELSLCHDSI >cds-PLY96208.1 pep primary_assembly:Lsat_Salinas_v7:3:93196445:93198209:1 gene:gene-LSAT_3X69860 transcript:rna-gnl|WGS:NBSK|LSAT_3X69860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRAQILMVVENINERGKQVDSNRTVSSSISHSTLELLSELRRMTTLANSFVSLPTHRNQFLSGLLMQVDQGSSNLFIGHSGATKLTKHRKSLTVRAGANDDRLGGASLFVGGFVLGGIVVGALGAIYAPQISKALAGADRKDLMRKLPKFIYDEEKALEKTRKILTDKIAQLNSAIDDVSAQLRADDPPNGSSVTTDGVEASSY >cds-PLY94574.1 pep primary_assembly:Lsat_Salinas_v7:8:180411205:180411763:1 gene:gene-LSAT_8X117601 transcript:rna-gnl|WGS:NBSK|LSAT_8X117601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGNLNFQMNNIEKVPVFGMPSFDQTKLAAPPPPYGGGGGSGGGVLELGLPEDGQKLISDVMTFYETNLQQTNGSFNCGNLDQIQLNDGFFGPNSGFDSGNNLNSNDNNTLDFRFGAQSSFSPQDASMWYL >cds-PLY84367.1 pep primary_assembly:Lsat_Salinas_v7:4:216341032:216342901:-1 gene:gene-LSAT_4X122280 transcript:rna-gnl|WGS:NBSK|LSAT_4X122280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQEGGSSSLTAAGEGGGRRKPSWREKENNRRRERRRRAIAANIYNGLRAQGNYNLPKHCDNNEVLKALCQEAGWVVLPDGTTFRKGSKPPLPSIETGGTSTTTTPCSSQKPSPPSSSFPSPIPSYQCSPSSSSFPSPSSNPFAFLRNTIPSSLPPLRISNSAPVTPPLSSPTSKFPKPNNLNWESFTKQSISSFNLPFFASSAPTSPTRSHRFTPATIPECDESDCSTIDSCQWLRFKNYEPMVMTNPNSPTYNLVKPVARATAAMDASSEKGKGMEFEFENGGVKAWEGERIHDVGVDDLELTLGSGNSKI >cds-PLY63300.1 pep primary_assembly:Lsat_Salinas_v7:3:91265170:91268724:-1 gene:gene-LSAT_3X68520 transcript:rna-gnl|WGS:NBSK|LSAT_3X68520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTTGFKEAILKSKRDDDIFKLNFLSLFVNTFAESHSYGTCNIDHVIRVVFPIHNGDQMYVVILNQTYPQVHIIDNIKTKSLEETYGMTPTSLKTTFIVNKINGLRSTTVKMMKIEWNTKKLTTENGALLMRHMEKYCGEKQGKWYVEMKKGSDVQDVQFVNLRALYSVKIATHEINNHKERVNK >cds-PLY78780.1 pep primary_assembly:Lsat_Salinas_v7:8:60995227:60996691:-1 gene:gene-LSAT_8X43861 transcript:rna-gnl|WGS:NBSK|LSAT_8X43861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTMVKPTSEIKQQKPKLKAHDFNSVKAKTKESKSLHMKEKEKKAPQPATPISHSVRKTLKSEESSMSFVKAKILPLNKRKKG >cds-PLY81499.1 pep primary_assembly:Lsat_Salinas_v7:8:157108111:157108665:-1 gene:gene-LSAT_8X103701 transcript:rna-gnl|WGS:NBSK|LSAT_8X103701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIGMGYSVHRDKNKSVGADGAFKLISEAWSLLYDKSKRLTYNQRRKVPSGSPGVGVNSFAKRAASKVQKSHSSTTTFWIVCHGCRMQYEYLKVYLNQTLLCPNFQEPFLATESAPPVTFKKSVAHQHQQHLDSIKKYHSSSNINTDVVYKESVNERLKREREDLSMGNLSKNRKADDSDIK >cds-PLY76073.1 pep primary_assembly:Lsat_Salinas_v7:9:29518965:29521565:1 gene:gene-LSAT_9X26020 transcript:rna-gnl|WGS:NBSK|LSAT_9X26020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDRRVGDLVGELVCHLQSDSSSPHSNSKPKPNPNSEDVKYAIRILSSRMTPLTVTNEAAMANSIKSHMAKQGKLSDALTFADLYSRFASKTGPGSVKNKRAVLYLLKVISEDRSSNPKFQTDRSFADSDTNGNDPSDIKDNSKGRSDNLRALRDHNSKKLKNGGVLSISRDPDDMRDMAYREFAILVEMESDVSEEVLVSDVLSVCQGIDGTYVKFDDTVDGYVLPDSIKVPKATRIMIRKLCELGWLYQKVIRYICENIDGFPSENVGKVAEAFCYALQDELLGYDKLLTTLQSHDLSLRRLSVWLVEPMVKMRRMAVLVDSCRSLKGGAMAGAIHLHAQHGDPLLHDFMKNLLQKLSSPLFEMVRSWVLEGDLQDSYSEFFVSEQSVKPESLWREGYKVNYLMLPSFISQSLAHQILRTGKSINFLKVCCNDHTWADLATENTSSTWTNPKRGGLGYGETNALESLVTESSKRIDKHLMDVIFNRYKFKEHCLAIKRYILLGQGDFVQYLMEIIEPVLLDPAKTISLLHLSGLLQKAILSSSAQHDDPDILDRLTVKMMPHGTTDGVHDIGWDVFSLNYDPRVPLNTIITESAMGDYLKIFNFLWKIRRVEHGILRSWKTMKPNLITYLLTKLPKGVKFQIVLRRCQVLWGQMSHFLTNLQSYIMFEVLEVSWAKFCNEMEIAKDLDDLIGAHDKYLSSVIEKSLLGESCEGVNKTLLMLFDVMLKFSGVLDKLYEGIFGFGNDKKGKGSKGTDSWVNEGRKAVTQRAGDFINNIGHDLDEVSKEYFLLLKGFICQLPMQQHVDLKFLMFRLDSGYC >cds-PLY98502.1 pep primary_assembly:Lsat_Salinas_v7:7:126066815:126070357:1 gene:gene-LSAT_7X75821 transcript:rna-gnl|WGS:NBSK|LSAT_7X75821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L3-2 [Source:Projected from Arabidopsis thaliana (AT1G61580) UniProtKB/Swiss-Prot;Acc:P22738] MSHRKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDNPAQPCKLTAFLGYKAGMTHIVRDVEKPGSKLHKKETCEAVTIIETPPMVVVGVVGYVKIPRGLRTLNTVWAQHLSEDIKRRFYKNWCKSKKKAFTNYSKKYETETGKKDIQSQLEKMKKYCNVIRVLAHTQIRKMKGLKQKKAHLMEVQVNGGDVAQKVDFAYGFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKAGQESHSAITEYDRTEKEITPMGGFPHYGVVKDDFLMIKGCCVGPKKRVVTLRQSLLKQTSRLAMEEIKLKFVDTSSKFGHGRFQTLDEKAKFYGRLKA >cds-PLY97036.1 pep primary_assembly:Lsat_Salinas_v7:2:69628117:69630308:-1 gene:gene-LSAT_2X31041 transcript:rna-gnl|WGS:NBSK|LSAT_2X31041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g26540 [Source:Projected from Arabidopsis thaliana (AT3G26540) UniProtKB/Swiss-Prot;Acc:Q9LRV2] MGTNVKTLTNKISTYLKLNNLSKAVFTLYESTVPFDFSLYASLFSLCGSRRAIVEARKVESHLITYNPTPPIFLLNRAIEVYGKCECLRDARELFDEMPQRDGGSWNALISAYKLNGLAQEALGLFLDMKDDGFPWNEVSFANALGCCGSLLEISFAKGIHGLVVKYGFCANVIIASSIIDVYGKCRFLSDAKRMFDELRNPNEVSWNVIIRRYYDMSKEREAIIMFFEMIRRNEVMPLAYTVSNALLACSKISAFNEALQIHSFSIKTNLVNTLVVSGSLIDIYAKHGDLDSAHKIFNQPNAKNLITWTSMVTAYATNGQTKHARKLFDEMPERNIVSWNAMLNGYTRHYKWESALEIIYLTTKSNIIIDHVTIMLILTTCSGLLSLELGKQVHGYVYRHNFNSNLFISNALLNMYGKCGDLRSCRSWFYQMSHFRDNISWNSILTSHARHQRSEEVMKVIWKMLEETPPNEHTLATILAACANIFALHQTKEIHGFLVRNGYNMDIVTKGALIDAYSKCRCLSYALVVFKETCSRDLFLYNSMILGCCHNSRGDLALDLFEMMKDEGLKPDNTTFQGVLLGCVCEGRVDLGRECFDGMSSEYCVIPRLEHYELVIELYGRYGYIGELEKFVKEMPFDPTVSMLIRVFDACYEYGCERLGKWAADRLNEMNPLVPYRFELTNHDRIAT >cds-PLY86112.1 pep primary_assembly:Lsat_Salinas_v7:3:171455671:171455943:1 gene:gene-LSAT_3X106640 transcript:rna-gnl|WGS:NBSK|LSAT_3X106640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKSDDEAGHASCVTDLQSPTVPPASSVSGVVQLSAPLVVTPPSPISDSAPVIPESFPLLMSEKLSSERERVVCCSKRGGTMNEVVRGTI >cds-PLY88628.1 pep primary_assembly:Lsat_Salinas_v7:5:139056048:139057574:-1 gene:gene-LSAT_5X60680 transcript:rna-gnl|WGS:NBSK|LSAT_5X60680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKQKIEAQKKNAEKNQKSKGSQFEARAVALKITCPICKVQLANEKQLVDHYSSKHPKEKPPSNSE >cds-PLY82843.1 pep primary_assembly:Lsat_Salinas_v7:1:87121506:87122366:-1 gene:gene-LSAT_1X72081 transcript:rna-gnl|WGS:NBSK|LSAT_1X72081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSFWGPVTSTTEWCEQNYVHSSYIAEFYNTISNIPSIVLALIGLVIALSQQFEKRFSILHISNMILAIGSMLFHATLQRLQQQGDETPMVWEMLLYIYILYSPHWHYKTTMPTFLFLYGVLFAIFHSQIRSNFGFKAHYITLCLLCIPRMYKYYIHTHDKNAKHLAKLYLITLFLGLSCWGFDRVFCRKISIWEFNPQGHALWHVFMAFNSYFANEFLMFCRAQQRGWDPRVVYFMGGFPYVKIEKPKAQ >cds-PLY63459.1 pep primary_assembly:Lsat_Salinas_v7:7:149079476:149083567:1 gene:gene-LSAT_7X88340 transcript:rna-gnl|WGS:NBSK|LSAT_7X88340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVAMSHARLGLYVFCRRSLFEQSYELQPTFQLLLQRLDLLALNLHEVAPATDCPVEDTGPINFVSRIDEMAGIVNFRMHQVYQVVDAPEILNVIGKIPFLSKFMNSLYECQYKSLFFAFAGITEHINLDRYLHPHFHFYMREIRTVCSSLYHEGILEVKVFNELVVSDTSKGLVHIFFAQRAISMPRSVKKVVVIGGGLMGSGIAIALILRNIKVFFNEVNSEYLQKGKKTTEANVKGSVARKKLPQGLGEKALSNVNGVLGYSQFKGIDMVIEAVIENIPLKQKIFSDIENICPPHCILVTNTSTIDLNLIGEKIKFQDRVIGAHFFSPAHVMPLLEIVRTEKTWSQAH >cds-PLY78858.1 pep primary_assembly:Lsat_Salinas_v7:5:304929379:304932218:-1 gene:gene-LSAT_5X165621 transcript:rna-gnl|WGS:NBSK|LSAT_5X165621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Sorting nexin 1 [Source:Projected from Arabidopsis thaliana (AT5G06140) UniProtKB/Swiss-Prot;Acc:Q9FG38] MITTQRSHTGGGGLQSPRSPSSQPPFLSVSVTDPAKMGNGVQAYISYKVITKTNFPDYQGPEKIVIRRYTDFVWLRDCLFKKYKGIFIPPLPEKSTVEKFRFSAEFIEMRRQALDSFVNRIALHHELQHSEDLQTFLQADEQTMERARSQDTGIFKRKPADLMQIFRDVQSKVSDVVLGKEKPVEETNPEYEKLKRYIFELEDHLAEAQKHAYRLVKRHRELGQSLSDFGKAIKLLGACEGDALGTAFSELGAKSEMLSIKLQREAHHLLMNFEEPLKDYVRAVQSIKGTIGERANAFRQQCELSETIKLKEIDLNKLRLTRSEKMLDAEHEYEELKADGEEATRRFETIVKLMNEEIIRFQDEKTQDMGLAFHEFAKGQARLANGIADAWRSLIPKLDALTLSSS >cds-PLY90948.1 pep primary_assembly:Lsat_Salinas_v7:9:169987338:169987932:1 gene:gene-LSAT_9X105581 transcript:rna-gnl|WGS:NBSK|LSAT_9X105581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRVLAMMRRNMKKSTKVADESMFGEGNGIEIPVIPHHTRQGWNGLSLIYSIVKAPLSLLSCLSSHPHGGADGIWVSGEFSRVSEINHLMVNDSMRYAILM >cds-PLY62633.1 pep primary_assembly:Lsat_Salinas_v7:3:36986992:36988118:-1 gene:gene-LSAT_0X37261 transcript:rna-gnl|WGS:NBSK|LSAT_0X37261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSCIDESITIREVWSDNLESEFDLIRSIIDQFPYISMDTEFPGVVYRQSSEPGRSYRHRQPSEHYKLLKSNVDALNLIQLGLTLSDASGNLPQIDGTHQRFIWQFNFNDFDLTRDAYAPESIDLLKRQGIDFERNRVNGIDSSDFAELMMSSGLVCNESVSWVTFHSAYDFGYLLKILTRRLLPSELPEFMEAVKVFFGDNVYDVKHLMKFCRNGLYGGLDRVASLLEVNRVVGKCHQAGSDSLLTWHAFQKMRDVYFVDVGLEMYAGVLYGLEAH >cds-PLY65907.1 pep primary_assembly:Lsat_Salinas_v7:4:137681305:137683082:1 gene:gene-LSAT_4X85040 transcript:rna-gnl|WGS:NBSK|LSAT_4X85040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQINLLDEPSPLGLRLRKSPSLLDLIQMRLSEGNSFSSSTKEHKNTAASAATDKLKASNFPASFLKIGTWEYTSKHEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDIMSLKATYPDDGPGTLDIVLARQPLFYRETNPQPRKHTLWQATSDFTGGQASLYRRHCLQCPQGLLGKHYEKLIQCDPRLNFLSQQPEIPLVSPYFESKRSVFDEPNESIGYNLSNEGPTTVDLHGTMSTSGGQSSSSRPTDFIRETPSPSSASANEEVKRGDLKRHEIKVGGVESSMSMSDLVNHIENKIIKHRVPGDHHHNHPLSNEEKESLVILEDISRCLFNDAQNGSLASDEQSIMSRVNSLCCLLQTDPETVQDTNQTDKIEKSCDEGDENNNGGGGGGGMRRNDSVGELLLNLPRIASLPRFFFNLDDFGNQSR >cds-PLY71259.1 pep primary_assembly:Lsat_Salinas_v7:5:181806218:181809408:1 gene:gene-LSAT_5X80920 transcript:rna-gnl|WGS:NBSK|LSAT_5X80920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHAPLKSKGITTRVSNQHVIFELKQRVILALNKIADRDTYEIGVEELGRTIESLTPDGVSPFLSCILDTDSEQKIAVRKECIKLMGTLATFHQDLIIPHLGKMVISIVKRLKDPDSVVRNACIETMSILASKLSTTDNEQEGSFVLLVRPLFEALGDQNKHAQCGSALCLSRVIDHTRDPPVSILQRMLIRTTKMLKNPHFMAKPAMIELNRSIIQAGGASTQTTLNAAMSSIQEALKNSDWRTRKAACEALLELASTSTNGSFSDSIKSSCIRSLELCRFDKVKPVRDTVLQALQLWKSLLGTDASELSEAGSSIKGDYSESNKKRVPLSVRKTGISYVESPQNSNTKDWQIEVNVPKTRNISLAYTRDEESEGSSVTKTFERTKSDITSIQDTGYEYVPMDDKQESSSVTVIGDDHVKGNFVKSNQNEIEEEASTEEQRYFPKGQDRKSLDSSVTESSSIIGHESRGCCVQTAKEMSCIREKLLEIESKQSNLLDLLQVFARKTMDSLSMIQSKVSTLENVVDRMSEDITNNGRTYSDSAPKFLKKNSTIPSPRLSTCTPRSSVDRKSPLQTMRKSDSWEEGSWMDPRVVKTSRNPVKKGMHGVRNGIGDSGSGRNGISDSGFGSSVRNGNGNGPFEIVKGYLIQGDLDSAYVEALNCGDELVLVDLLDKTGPVLESLSNRTANDVLGTLAFFLSEQQFMSSIIPWLQQAVDLSSGHGANQLVLTAKARRQFLSAIQEAVNMEFPNAMERRSITQLVSRLHQVWGK >cds-PLY93724.1 pep primary_assembly:Lsat_Salinas_v7:2:202691706:202692578:-1 gene:gene-LSAT_2X124560 transcript:rna-gnl|WGS:NBSK|LSAT_2X124560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWVTQLEFYLHQLLHILQSPDQQDESKHKQLIRLVMAHYHKYFLAKARVSSRNVFLIMSPPWLSSYERTFLWLSGFKPGLALHVVSKCGVELSSDQTERMERLTVDTKDHESVIAERLARLEQQVLAPSMLAMARMGGREVNGMIREADTAVERMAEHMEFLVGCADYLREKTVAKVVGILTTAQTVRFLAAMAQLQLRIRRWGQLREREIHGDANLS >cds-PLY99803.1 pep primary_assembly:Lsat_Salinas_v7:MU037944.1:276828:285392:1 gene:gene-LSAT_0X21080 transcript:rna-gnl|WGS:NBSK|LSAT_0X21080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTQSNCIEEERKTLLEIKASHMKSYDSENDHFLPTWVDNYDSSTPGDRGCDCCDWERVNCNTTTGHVTDLSLYNLRGVEAESKFWPLNFSLFLHFKELRSLNLSYDYLDHKAIMKTGLRRLLSLNKLEILDLSFNTDIDNDILPSLRKFTSLKVLDLSYTGLNGNFPIKFAALENLEMLDLSYCGFNGIFEIQGSDRVSIFWKLKTLNLGSNRFNESIVTFLNTLSSITSLDISNNPMSGPFPAQGEIPEELGLLTQLRALNLSHNHLTGPIPVNLSNLAKIESLDLSSNGLTGKVPIELIKLTSLSIFNLSHNNLSGRLPEMKAQFGTFMEESYEGNPLLCGPPLENKCRGNAQVVTNPWDEEDNEKWYGIDMTCFYASSCSTCFVLLLGFVAILYTNPQWRRRWLDRV >cds-PLY83936.1 pep primary_assembly:Lsat_Salinas_v7:MU041291.1:817416:818198:1 gene:gene-LSAT_0X35501 transcript:rna-gnl|WGS:NBSK|LSAT_0X35501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTYDRQHHTAQHHTAQPHFRYDRTTGDKLPYPQPGPSGSKIMAIMALLPIGGILLGLAGITLVGTMIGLAVATPVFIIFSPVIVPAILTIGLAVTGFLTSGTFGLTGLSSLSYLVNLLRQSTPSLQDQIDYAKETVQDVGMYTGQKTKEMGQTIQQKAHEIGPQGQTGQGHQVGGGVHVQTAGGKEGGKVGDRT >cds-PLY75102.1 pep primary_assembly:Lsat_Salinas_v7:4:10886480:10887707:-1 gene:gene-LSAT_4X6101 transcript:rna-gnl|WGS:NBSK|LSAT_4X6101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIAAVLFLFSVFSSSITAFPHFFPNVSSIPPSLLPNITAGAWDSFNNLSGCHLGQKVPGISKLKNYFHYFGYIGNISKNFTDDYDDALETAVKNYQLNFNLNATGELDESTVKQILKPRCGVADIVNGSSTMNSGKAASMTGHTVAHYSFFPGTPRWPRSRRDLTYAFEPRNQLTDDVKRVFTNAFARWSEWTPLTFTESNNYNTADLKIGFYGGNHGDGEDFDGVLGTLAHAFAPPRGLLHLDSDETWIIDDVFASGSPSAMDLESVAVHEIGHLLGLGHSSVEDAIMFPTISSGIRKVELARDDVEGIQVLYGTNPDSNSTTGPSFGEREMSGAHVMSSVVVQIIFLAVGLTLFVL >cds-PLY75710.1 pep primary_assembly:Lsat_Salinas_v7:8:195735565:195735729:1 gene:gene-LSAT_8X125960 transcript:rna-gnl|WGS:NBSK|LSAT_8X125960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDEGFSVVLEVWVVLGREEVRGRESGGGVVYARVGTTEMKKRRLGLWLVNK >cds-PLY95640.1 pep primary_assembly:Lsat_Salinas_v7:7:192105521:192106895:1 gene:gene-LSAT_7X113221 transcript:rna-gnl|WGS:NBSK|LSAT_7X113221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVHFLGSLLLSHSSLANPLKNFVDSYKKQDKKRSVDIHNSRTQVLECNNNNAKFCRPDYSDQFSCVPISKIQTQPVPVHKDGEDEEDEDLWLTMKAEARSNVDQEPILSNYYFSAILSHDVIESALADHLSVKLSDSSLPSGTLYDLFMSVLTDDSEIVTAVKDDLRAVKERDPACISYVQCFLNFKGFLGCQAHRIAHKLWSQDRKVVALVIQNRVSEVCALDIHPGAKIGRGILLDHATGVVIGETAVIGNNVSILHNVTLGGTGKISGDRHPKIGDGVLIGAGTCVLGNVRIGDGAKIGAGSVVLRDVPARTTAVGNPAKLIGGKQNPVKLDKIPGLTMDHTSDISEWSDYVI >cds-PLY73820.1 pep primary_assembly:Lsat_Salinas_v7:7:67317591:67318724:-1 gene:gene-LSAT_7X49240 transcript:rna-gnl|WGS:NBSK|LSAT_7X49240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLWTIFSGWLPAQFRDHVEQYVYKVRDYVYPYIEITFHEYEGDEIERSKAYATIERFLSTNSSDRANRLRAKVLKDNESVVLSMDENVEIINEFQGIKIWWTSKKNIRQHQPLYSNRVDEEKRYYKLTSRREHRDTIKNAYLQHILHEGKAIAVITRQRKLYTNNKSENIGYGYRRSMWSHIIFEHPSTFDTLAMDPVKKEVILDDLQTFSESKDYYKKVGKLWKRGYLLHGPPGTGKSSMIAAMANLLEYDIYDLELSSVKDNTDLRKLLIETSTFKVLAKNYMDLESHELFGIINGLLKETNITPADVAEDLI >cds-PLY63970.1 pep primary_assembly:Lsat_Salinas_v7:5:100654082:100659004:-1 gene:gene-LSAT_5X46760 transcript:rna-gnl|WGS:NBSK|LSAT_5X46760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVLIMRMKENNFPFQSAMVIIQVWVQLGVFLMFLKYQQLRFLVWELSVAQGAKIRGASTIIGVDTNPEKKEKAKAFGVTDFINPNDINETFQQAIKRLTDGGVEYSFECIGDTEMINTTLHSCCDVT >cds-PLY95351.1 pep primary_assembly:Lsat_Salinas_v7:5:267785587:267787318:-1 gene:gene-LSAT_5X138820 transcript:rna-gnl|WGS:NBSK|LSAT_5X138820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMYGSEDVRVEIPRGNQQEPVDKINIHDVAREWEGCFEDNDTNQHSERKRQMQKVHPLLLKGEKGERNRHCYEPAVVSLGPYHHNRDDFSAANEYKLVTLEEYRLSCGTQNNSMDSLYLYNKVFEVIHDARKCYIHGSTDEYNDEQFNRMMLLDGCFILFFIECVASQNTMLMLNNEYLGALGFAHISRDILLLENQIPFIVLQVLLDLRFPEDRGERILNWFFNYLNYGEIIGKEENVLEKKQPLHLLELYRSYFISLSSCFAHVQSSNSSMWHWRENLDIDTNEKWNYVKRNRSFASVTELKAKGIFLKCTNVDDESSNGDIKFRSHYCYGELELVSRAVYSNSKAIYLNMIAYEMCPHNPNDFRVSTYIRVMKSLVIRPDDVKELRDNNILLHSLGRDEDVVKVYDEIDAPAVNVHMFNQLRRGIEKHCNNKYKTWAAELINIYFSSPWKTMALLVATAILITSFLQTYFTIWPDD >cds-PLY80545.1 pep primary_assembly:Lsat_Salinas_v7:6:14429840:14433888:-1 gene:gene-LSAT_6X8401 transcript:rna-gnl|WGS:NBSK|LSAT_6X8401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTISSFRSFTLAILVAILVWSSATHNSCNATRSGRHWRKNRSTSTTLSKKKSGNNHHNGGGGKSKSKSSSHKAPPASAPAPPEHDDGGVPAAANTYNVLNFGAKGDGIADDTKAFEGAWAVACKVKGSKIIVPSPYKFLLGPITFSGPYCQPNIVFQVDGTIIAPSSFKPYGSGLFQWILFTKLVGLTIQGGGTIDGSGSIWWKSTPFDDPLDDELKLIIPINGTTGKKILHSSITDSLGGIMPSIKPTAVRFYGSFDVTVTGITIQNSPQCHLKFDNCVGVSVYNFKVSSPGDSPNTDGIHLQNSKDVLIHTTDLSCGDDCVSIQTGCSNIYIHNVNCGPGHGISIGSLGKDNTKACVSNVTVRDVMMTNTMNGVRIKTWQGGSGSVKGIMFSNIQVSEVQLPIVIDQFYCDKSTCKNQSSAVALSGISYERIRGTYTVKPVHFACSDNMPCTDVNLNGINLKPVQERYHMYDPFCWKTFGELLSPAVPPVECLQIGKPSSSHVQADHDSC >cds-PLY85048.1 pep primary_assembly:Lsat_Salinas_v7:7:6040652:6050015:-1 gene:gene-LSAT_7X5161 transcript:rna-gnl|WGS:NBSK|LSAT_7X5161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNISSSFQIRGCQGTSHGTLKQMNLQSSFGYNFLVTSRVVSKKKLITSSSHGFRPNYSISILTSSRGNLKTGCQGNDSLAFVNGNGRDVEFIEENSKEETSHSQEKKKDSKDKDKGESAEGEAPTLDELKELLQKALKELEIAQLNSTMFEDKAQRISEAAIALKDEALNAWDNVNSVLLSIEEIVKEEDPTKEAIQRAKMALSLAEARHQVALESLELAKEKIESEDENKSLMREEETLLAAQKDMKECRVNLENCEKALLRLQNRKDELQKEVVMLNGLAEKAQNDALKADEDVANIMILAEQAVAFELEALKRVNDAEIALQKAEKILSVSIADSSSESTLLFSDTETDEKEGTSVEIIGDMDGDVAIEGALGEQIQDSVGQMSEESRFSDESDQETTESDAEKTKTGVQAKKPETQKDLTKDSTPLPSPKALLNKSSRFFSASFFSFAGDGTEFTPAAVFQGLGESAKELLPKLVVGSLLLGASFALYAKQEKRIGKLFQQPDIITTTIDQQPDFITSTIDDVSSKAQPLVRQIRKLPEKVKKLIELLPHQEINEEEASLFDVLWLLLGSVIFVPIFQKLPGGSPVLGYLTAGILIGPYGLSIIRNVHATKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAVVIGLITHFVCGQLGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGIGFFAIAEALGLAAVKALVAISAIIAGGRLLLRPIYKQIAEMQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPVIMGSLALLIAGKTILVAAVGKLFGVSLISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSPQLSSLLFLVVGISMALTPWLAAGGQLIASRFEQHDVRSLLPDESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREVLHKIGAERACAAAITLDSPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLASAVLAQAKLPMSEIAATINEFRSRHLSELTELSETSGSSLGYGFSRIMSKPSTKSSPSDSSSSDDNLSEGTLAI >cds-PLY91727.1 pep primary_assembly:Lsat_Salinas_v7:9:16957705:16960593:1 gene:gene-LSAT_9X16821 transcript:rna-gnl|WGS:NBSK|LSAT_9X16821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISDFYTANPHYTTRIAFTTRDTKGEPLRALSAALDLLENTKVQAIIYPKSTVLEYKANIPILSLSTSPFSNRNPNLLGIAQDENSQFKGIAAMVELFKANNVIVICEDTANGREMATYMAREFQEKNIHVTYTSLISTSDSNQQVREELHKLQTMQDTVFIVHTPPSLASNLFSMAKELGMMGEGYMWIVTSKTMDLLGSMDAEAIESMQGAVGFRSYFPSSKELHNFLLKWRKEHYALNPFMEVDSNGIWAYDAVYALAMAIERKQTREYASKDLGSNIDTSLLLDEMLRVRFHGLGGEFKLMNGRIITKVMEVVNVVGKGDRRVGFWMMATGGGFMKEIKKPNSPSNQGLEIIIWPGGTTSINPKRRKLQTNGNKKLRILFPGSSRFQNLAQISVDPRTNLSVLSGFCGDVFNAAFNSLGYGVGIEVVPFSYKDGITYNDVIKKIYLKEYDAAIGDFTITSNRSLYVDFTLPFTDLGVGIIARNAKDSMWIFLDPLSANLWITTSCFFIFLGFVIWFIEHRTNEEFQGSAPQQLGTTLWFAFSTLVYAHREKLQSNLSRFVVTVWVFVVLVLTSSYTATLSSVLTVQQIGMKEISTGFFHGLSPLGVVNNKLNAVEAWSEKLYAPEDYAKALTSGKVEAIVAEILYIKSFLAMFSGSDFSLIATAPTTNGFGFVFQKGSPLATEMSTHIAKMREDGTLKALEDKWLKHKSAVMSKEFSSPSPKILNLYGLRGLFIISGVSMALALSVSMIELVCEKWHIKDKIKMLRCVLHRSSEIHAHDSDVESTV >cds-PLY91158.1 pep primary_assembly:Lsat_Salinas_v7:4:160943423:160948404:-1 gene:gene-LSAT_4X97080 transcript:rna-gnl|WGS:NBSK|LSAT_4X97080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNFQSLVTILVLLGVFVDAQNDQSGFISIDCGIAKGSTYTDQVTGINYISDAEFRDTGEIHSIMPAYNSLDIYKHATTLTSFPQNTRNCYTLKPTQGKGNRYLIRTSFMYGNYDLKGQLPEFDVYLGPDYWDTMKFNSSSQPKTMEIIHVSSTDYIHLCLVNIGRGTPFISAIELRLVAGDMYKETDFGSLYLYARANFGTTLGTVRYNDDKYDRVWSPINLFDSTFLYTREQVSVGLSNVFDPPSEVMSSAATPRNPNDPFKIEWDPFNTNDKFFIYMHFAEVELLKRNQTREFNIYLNGNLSYGPFSPIYLNTASIYSTAPETIAPRYTLTINKTKNSTLPPIINALELYVLKQLTQRQTDHHDVVAIGSIKSYYRITRNWQGDPCAPQEFVWDGVRCSYNDTEMSRITFLNLSTSGIKGKIDHGFSYLTMIETLDLSNNNLTGTVPDFLSGMKFLKVLNLRGNNFIGPIPEELLAKSNNGSLSISFDGQSTDEEASSCVMNRCKNKKDKKFIVPVIATVASLFVILAAITTIWIINKQKAHGSIRQSNLHTNKSKTSSDGLEIKRQKFTYSEVQSITDNFKVVIGKGGFGEVYHGYIGDVQVAVKMLSASFQQGDKEFQAEANLLMSVHHKNLTTLVGYCNEGNHKGIIYDYIANGNLENHIFDTSLSVLNWEKRLRIACDVACGLEYLHHGCTPPIVHRDVKCTNILLNEKFHAKLADFGLSRAFPTEGATHISTLVAGTPGYLDPEYQSSYRLTEKSDVYSFGVVLLVIITGRPAIARYDNDNKHISRWVNLKLSDGNVKSLVDPRLQGEFDINSAGKAVELAMTCVDDMPNRRPTMKEVVMGLSDCLVTLQDAKTEILTGIVSLTLENAT >cds-PLY69320.1 pep primary_assembly:Lsat_Salinas_v7:4:105856123:105861337:-1 gene:gene-LSAT_4X68300 transcript:rna-gnl|WGS:NBSK|LSAT_4X68300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANLDKYSTSNDTSTHTRGPSNQESRVHFSEDEKTLITRMYKLVGERWSLIAGRIPGRSAEEIEKYWTSKYSRTNDQM >cds-PLY97629.1 pep primary_assembly:Lsat_Salinas_v7:5:236962097:236968113:-1 gene:gene-LSAT_5X115640 transcript:rna-gnl|WGS:NBSK|LSAT_5X115640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIHVDEPLTPAGRLFVQPATEQIINCVLGLDRPVGVELVRSVISDSLVIKHPRFTSLLVNDNHGRERWKKVELDINRHIIFLPDAVGSNDDDEATVNDYIADLTVSSPLSTDKPLWEVHILPAHKCVVLRLHHSLGDGVSLLSLMLTMCRKVSDADRMPTIELPPSSRSHRREGGGERLWKWLKMIWFTLIYMFEFLLRSLWLRDKKTVVRGGAGVELWPRKLVTATFRLEDLKTVKNVFVNTTINDVLFGVISSGLSRYLDNHSPKPLQEGLRITGAAMVNLRPSQGLQEFTELMKSGSKSRWGNKFGMMLLPIYYHKNGSDPLQYLKRAKTMIDRKKLSLEAFLSYKIGYFIMRCLGPKFASLLNYRIICNTSFTISNVIGPKEEFMIAGIPVTYIRTTSSSLAHAITMHMVSYAGKADMQILVAKDIIPYPEKLAKCFEDALLEMKEAALKIKGEKPNNFPENLA >cds-PLY84284.1 pep primary_assembly:Lsat_Salinas_v7:1:45132385:45135121:1 gene:gene-LSAT_1X39781 transcript:rna-gnl|WGS:NBSK|LSAT_1X39781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTDIEDEIRDEKNPPPLDEDDIALLKTYGLGPYSTSIKKAEKDVKEMAKRINDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGAGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDMESRTQIFKIHTRTMNCERDVRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >cds-PLY90039.1 pep primary_assembly:Lsat_Salinas_v7:MU039662.1:61153:61497:-1 gene:gene-LSAT_0X35081 transcript:rna-gnl|WGS:NBSK|LSAT_0X35081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHRYSVRDFRLLCRLGGAGYRVLARLDHRRPRRYSHLVVMGLKLLSPSYSIDFWLGKGRPLSPEAHGRLVRLLRAKLKPRELILPSMSYLRLRNLDISWSTLSFMDGCANG >cds-PLY81421.1 pep primary_assembly:Lsat_Salinas_v7:3:217679424:217685535:-1 gene:gene-LSAT_3X126241 transcript:rna-gnl|WGS:NBSK|LSAT_3X126241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRNVKIVSLLVNPKVPLWTTLLQSNAMGKHQKVEVNERLRFSKLVVKDGEEEDDKYHEVDSDISVAGSEFDSPSPVKNDENKHDDGDKISNIMSRLKILSIEKGRHPIKQLPNSSDSVINEKFNQQKPGGIFSSNPKVVNENTFPEFQISNNDINNSTSGDELPFSFSDPKFDFLLPSKIANMLYPHQREGLKWLWSLHCKGKGGILGDDMGLGKTMQICSFLSGLFHSNLIKRVLIIAPKTLQPHWMKELGVVGLSEKTGVFFGTCAEAQQYQLQHILRGNAILLTTYDIVRNNIKSLSGEHEKMEEDAITWDYMILDEGHLIKNPSTQRTQSLLKIPCGHRIIMSGTLLQNNLKELWALFNFCCPGLLGDKICFKHKYELEIRRGNYKKASDENKRRGSVAAKDLRNCIQPYFLRRLKSEVFRDDDATNTAKLSKKNEITVWLRLSGFQRQLYEAFLNREIGSPLAAVSILKKICDHPLLLTKREVKDVLKGLKSVLNPEDHADAAERYDTGENHDILSCKISFIMSLLGNLIPEGHKVLIFSQTRIVLDLIQDALNAKGYKFLRMDGTTKDSERLKIVHDFQEGVGAPIFLLTTRVGGLGLTLTKADRVIVVDPDWNPSTDNQSVDRAYRIGQKKDVIVYHLMTCGTIEEKIYIKQIYKGGLFKSATEDKEQIRYFDYWDLKELFSLPEQGFDVSLTQQQLHKEHDSEHEMDSSLSVHIKFLESLGIAGISHHSLLFSKTTPVPIMQDYELRRIRQSMYPGNTFSHSTRERNMDTRSRFSFNPKDYPVKRKNLSGCISNNLPESEIKHRINLSNIFANKVSSISFTSK >cds-PLY78920.1 pep primary_assembly:Lsat_Salinas_v7:8:3342828:3343051:-1 gene:gene-LSAT_8X2060 transcript:rna-gnl|WGS:NBSK|LSAT_8X2060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMQHANSDSFMIGSSDIGSHETKVLKDSFSQTAGNLTPCARDNSTATSQG >cds-PLY84515.1 pep primary_assembly:Lsat_Salinas_v7:1:29664637:29667118:1 gene:gene-LSAT_1X25220 transcript:rna-gnl|WGS:NBSK|LSAT_1X25220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGICSKSSCGRDRNDCEEEESKYSDVQVAAPSKRQGVSQHGKNETKDCHKQSLSERVLGSKRMQKIKSIVGGTQGAQKSAQWPSWLTSVAPEAVHGWTPRSADSYEMLNKIGQGTYSSVYKARDLQNDRIVAIKKVRFVNMDPESVRFMAREISILRRLDHPNVMKLQALVTSKFSGSLYLVFDYMEHDLAGLLTSARVKKFTEPQIKCYMQQLLRGMEHCHNRGVLHRDLKGSNILLDNNGILKIGDFGLAARFEPGQKEPLTSRVVTLWYRAPELLFGSTSYGVAIDMWSAGCILAELYTGRPIMPGRTEVEQIHKIFKLCGSPSDEYWMKSRLPHATSFKPKHPYDRRVSEIFQQHMPPSALALLDTLLAIDPEKRGTATSALASEFFTTSPLPCDPSELPEYPPSKEFDARYRAEEARRRKAEAMKGHVPESVRVGVVEWKAQSKAQVAMNARNFNAQGENRNVKKGFSYSNSEIHQSAVAGYSKNINDDACTSLRMGPLRIDPAMKRPMSNTHQAADPMKLDNPGQGKRVNREYSGPLMPGGRTEDMLKEHERHVQAAVRRARADKNKSRMA >cds-PLY88625.1 pep primary_assembly:Lsat_Salinas_v7:5:139373966:139374286:-1 gene:gene-LSAT_5X60841 transcript:rna-gnl|WGS:NBSK|LSAT_5X60841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIISSIPTLTVQSSNLLADLDSSHYPAALGIIVECLPHSALSKALTASKDVPLKLLSLAFSSAKYNKAKDVVSFEVTGGKVVQLSKPIFARLLGLPSSGQFVIPST >cds-PLY90155.1 pep primary_assembly:Lsat_Salinas_v7:7:13745343:13746141:1 gene:gene-LSAT_7X10820 transcript:rna-gnl|WGS:NBSK|LSAT_7X10820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNNLDLGSTSPFNLQQTLQHRPDLHLQIPQQDSEDDTNQNTPGSGDGSGRRPRGRPPGSKNKPKPPVIITRESANTLRAHILEISIXAAGSVVTLHGRFEILSLSGSFLPPPAPPGATSLTIYLAGGQGQVVGGNVVGALVASGPVIVIAASFTNVAYERLPLDEEEAAASSGGGGGGNGNGDGDGGPNHPFPDPSSMGLPFFNLPLNMPNVQLPVDGGGWSGNTASRPPF >cds-PLY71332.1 pep primary_assembly:Lsat_Salinas_v7:7:185419590:185419766:1 gene:gene-LSAT_7X110621 transcript:rna-gnl|WGS:NBSK|LSAT_7X110621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVETSAYMSHWLCEKEIRLFKRKKKRETNLLSLRVELKTSRLLNGCSNQLSYESFLP >cds-PLY67014.1 pep primary_assembly:Lsat_Salinas_v7:3:29280828:29281864:-1 gene:gene-LSAT_3X20180 transcript:rna-gnl|WGS:NBSK|LSAT_3X20180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSVDGIHVVVRLAKLSVDKVLPAPCSTSVLYPEIGGNLHRFTAITSCAVLDILTPLYEEYSGRKCTYYRDYPYSSFGSGDELIDGKEDEYAWLEEIDAPDVAITTADIVSKSVAVEFEVDGDTSTNDTIIALASGLSGSNRISSLHSSEGNQLQMWLMR >cds-PLY99911.1 pep primary_assembly:Lsat_Salinas_v7:7:15932815:15935848:-1 gene:gene-LSAT_7X13121 transcript:rna-gnl|WGS:NBSK|LSAT_7X13121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKADADHKQNGHPLPPECTVEEVALVVPETDDPSLPVLTFRAWTLGVASCTVLIFLNTFFIYRTQPLTISAILMQIAVLPIGKFMAATLPTKEYRLLGRSFSLNPGPFNMKEHVIITVFANCGVSMGGGDAYSIGAITVMKAYYRQSLNFLCGLIIVLTTQIVGYGWAGMLRRYLVDPVEMWWPANLAQVSLFRALHEKETKAQGMTRMRFFMIFLVASFAYYAFPGYLFPILTFFSWVCWVFPNSITAQQIGSGYHGLGVGAFTLDWAGISAYHGSPLVTPWTSIINVGAGFIMFVYIIVPLCYWKFNTFDAQKFPIFSNQLFTSTGHKYDTTRILTPQFDLNIAAYQSYSKLYLSPLFALSIGSGFARFTATLTHVALFHGGDIWKQSRSAAHNVKLDIHSKLMKTYKQVPQWWYLVLLIGSIALSLIMCFVWAEDVQLPWWGFLFAFFLAWIVTLPIGVIQATTNQQPGYDIIAQFIIGYVLPGKPIANLLFKIYGRISTIHALSFLSDLKLGHYMKIPPRSMFTAQLVGTLVAGTVNLATSWWMLENVENICDIEGLHPESPWTCPKFRVTFDASVIWGLIGPQRLFGPGGLYRNLVWLFLVGAFLPVPVWILSKIFPEKKWIPLINIPVISYGFAGMPPATPTNIASWLVTGMIFNYFVFKYRKQWWQKYNYVLSAALDAGTAFMGVVLFFALQNEGVNLKWWGSKPDHCPLATCPIAKGINVTGCPIF >cds-PLY95299.1 pep primary_assembly:Lsat_Salinas_v7:4:299518277:299518606:-1 gene:gene-LSAT_4X149701 transcript:rna-gnl|WGS:NBSK|LSAT_4X149701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWHHEASSRAILENGIEEGLGDSLETTPIAFPQDMEMEMDENKLRTNRTTTMICHYEDQMVPSMTMSLFRGGEENRLQKLEILRKIAGRKFRLIKAEMEMEMEMEMVKL >cds-PLY95342.1 pep primary_assembly:Lsat_Salinas_v7:5:267666683:267670771:-1 gene:gene-LSAT_5X138880 transcript:rna-gnl|WGS:NBSK|LSAT_5X138880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVFTRVHSLRERLDSTLATHRNEILMVLSRIESHGKGILKPHQLMAEFDAICKEDTKLHDGAFHEVLKSTQEAIVLPPWVALAIRLRPGVWEYVRVNVNALVVEELTVPEYLHFKEELVNGSSNGNFVLELDFEPFTASFPRPTLTKSIGNGVEFLNRHLSAKMFHDKDSMHPLLDFLRTHACKGKTMMLNDRIQNLNSLQSVLRKASEYLSTLDATTPYSEFEHKFQEIGLERGWGAKAEGVMEMIHMLLDLLEAPDACTLEKFLGRIPMVFNVVILSPHGYFAQENVLGYPDTGGQVVYILDQVPALEREMLKRIKEQGLDIVPRILIVTRLLPDAVGTTCGQRLEKVFGAEHSHILRVPFRNEKGILRKWISRFEVWPYIETFTEDVAKEVTAELQAKPDLIIGNYSEGNLVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKNFDEKYHFSSQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTMPGLYRVVHGIDVFDPKFNIVSPGADMGIYYSYSEKEKRLTALHPEIDELLFSSVENEEHLCVLKDKNKPILFTMARLDNVKNLTGLVEWYAKNERLRELVNLVVVGGDRRKQSKDLEEQAQMKKMYDLIEEYKLNGQFRWISSQMNRVRNGELYRVIADTRGAFIQPAFYEAFGLTVVEAMTCGLPTFATLHGGPAEIIVHGKSGFHIDPYHGDQVTELLVKFFEKTKADPSHWEAISKGAEQRIQEKYTWQIYSDRLLTLAGVYGFWKHVSKLDRLEIRRYLEMFYALKYRKMAESVPLAVDE >cds-PLY73730.1 pep primary_assembly:Lsat_Salinas_v7:8:169067897:169070673:1 gene:gene-LSAT_8X109901 transcript:rna-gnl|WGS:NBSK|LSAT_8X109901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTIIRISKSKTPIPRTSFPSTTSSSISSTDTVNASHEFKITGYESSKGMGVGKYIASESFTVGGHTWAIYFYPDGKSPEDNSTYVSLFIALASDATDVRALFELSLMDQSGKGRHKVHTHFGRVLDAGPYTLKYRGSMWGYKRFLRRTVLETSDYLKDDCLLVKCTVGVVKSCTEGPQIFSISSPPSDISQHFGHLLESGELTDLTLEVDGEMFRAHKLVLAARSPVFKAQLFGPMKDNNTDCIKVQEIKAPVFKALLYFIYWDKVPDMEELMGLSQQWASTLMSQHLLAAADQYGIERLRFLCETKLCEEVAINTVATTLALAEQHHCFQLKSVCLKFVAEPENLKAVMQSDGFDYLTQSCPCVITELLEYVARIREHSVTSYGRGTGTGTDVNLDGSGPDGRRVRQRIY >cds-PLY71092.1 pep primary_assembly:Lsat_Salinas_v7:1:145584017:145585630:1 gene:gene-LSAT_1X103221 transcript:rna-gnl|WGS:NBSK|LSAT_1X103221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMLFIVARVIVYDYELNIGWGKSVSLPSQSLPALPPGQMAIMSKEGTTIILSGPSGPPVTSVPSHNSELVLTPNVSDDKGLTGSSSDLRYDYGKFYASNTFFDSVKNRRILWGWINESDRSTNDIKKQWAGIQGEALFQTHDNLEHLAMMERVLGPLPEHMVQRDKWQLQLKNNKLIHLKLTPLRYSI >cds-PLY93264.1 pep primary_assembly:Lsat_Salinas_v7:6:158432806:158433114:1 gene:gene-LSAT_6X96981 transcript:rna-gnl|WGS:NBSK|LSAT_6X96981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKNVNGDVGVMANEENRGREDTRQGVRHGRDKSKDIWGSLDKSHGEIETAMETLCDQVENAHRKMGAMASDNEVMQDNVKGVINLRKKDARLTRVSPRRGG >cds-PLY79302.1 pep primary_assembly:Lsat_Salinas_v7:4:371012573:371012737:-1 gene:gene-LSAT_4X182661 transcript:rna-gnl|WGS:NBSK|LSAT_4X182661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNDKMKALLIGVVGAGITLSAYSQTYMTPTQCIGTGLVILIVGLFVGEGILPV >cds-PLY98352.1 pep primary_assembly:Lsat_Salinas_v7:5:314401561:314403135:1 gene:gene-LSAT_5X171660 transcript:rna-gnl|WGS:NBSK|LSAT_5X171660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANIRPLLTNFISLLVIVLHLGCFTIYPTTRHHHHRHRPKTPRKASAPVPLLRVTSTKLKRHGCLSSFWSFIKRVFSTKSTSQVTDKKNSIHVHQIPSPASSTRSISFIPPDAQSTTRPGSLTESDYLPMRNEIHPCLNCGEVFQKPGLLEQHQSIKHAVCELLDDDPGKNIVQIIFTTGWPEKTPIISRIMKIHNTPKILAQFEEYREIVKSKAARPGDSRRRDERCIADGNELLRFYCTTFLCDLGQNGNSSICVNQYCNVCEIIGSGFSPKLDGISTLSNSWRGHVAIPDDIEDEFGFMNVKRAMIVCRVIAGRIGCDKGSGDKDDPGYDSLVGRESGARQSRLEEEDELIVFNPRAVLPCFVIVYTA >cds-PLY94592.1 pep primary_assembly:Lsat_Salinas_v7:8:181718164:181719936:-1 gene:gene-LSAT_8X118101 transcript:rna-gnl|WGS:NBSK|LSAT_8X118101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSLQLSLVILISLALAPISTPIYARPGCTDMCGKIRIPYPFGIGTGCYINEWYAVDCNSSTPYLSAINNLELLSLNLENQTMAVNFSMNSDCVDTIRNNSQILSVDLGESPFLFSREDNKFTVEGCENAVILDQGGNLVTGCSTICQNQTTNQRDDCYGVNCCQTTIPYYLKAYTIDTTSLQRQSPGGVCGSAFVVDEQMYLPGRFSGKSAIFENSFVPISLRWTLRPEEIGESDCSSMARETLYLGNGTNIESYKCNCRPIEEGSPYLTNGCQVVKECATCIGECQVYQGNITCIPNPLSQAKRKSSTLGVILGVSISFGVLLLVAIIFALYKLLKKTKDKRQKARFFKRNGGLLLKQQESADEGIVNKTTLFTAKELEKATDHFHENRILGRGGQGTVYKGMLTDGRIVAVKRSKIVDESQLEQFINEVVILSQVNHRNVVKLLGCCLETEVPLLVSEFVSNGTLYEQIHNETDEFPMTLNTRLRIATEIAGALAYLHSATSIP >cds-PLY87535.1 pep primary_assembly:Lsat_Salinas_v7:8:95524603:95525814:1 gene:gene-LSAT_8X67381 transcript:rna-gnl|WGS:NBSK|LSAT_8X67381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFSYTSKFSTLLLLSIFLGSTSIVSADFNNEFDITWGDGRGKILNGDLLTLSLDKSSGSGFESRNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGSNWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIIFSVDGTPIREFKNAESIGVPFPKNQPMRIHSSLWNADDWATRGGLVKTDWTQAPFTASYRNFRADVCVVSSGKSSWGGSASSGGNQAWLSEELDNTKQERLEWVQKITWIYNYCSDSKRFPQGFPPECKMA >cds-PLY83976.1 pep primary_assembly:Lsat_Salinas_v7:8:36133763:36137210:1 gene:gene-LSAT_8X28941 transcript:rna-gnl|WGS:NBSK|LSAT_8X28941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENRERSFPGPSLNDPQNEPEEIHIPRKYSDQFPSYTTPTTDSGNHRKHAGKGTYSTATTDTSAVEPELYNSAVDLENPNKVWKDVNYDFTSDGYKYDPKTHRTSSSIPESPNNYGQLTPKEVRISFNDEVMPTPNRRRSNVSGGGGGEEVLVCSSNAAYKRSSTLMRTRTKSRLMDEPEMKTSKSGNYQGRGGSGGGSGGGGDMEEDDFPFNDDDIPDEYKNLRYSKWTLFQLASLILIIGVLVCTLAIPSFRNKSLYDLEWWKWEIMIFVVICGKLVSGWGVRILVFFIERSFLLRRQVLYFVYGLKKAVQNCIWLCLVLIAWQCIFNKKVERTTYGKTVLPYVTKIWICLLVGTVVWLLKTLLIKVLASSFHVSKFFDRIQDSLFNQYLIETLTGPPVIEIQQEQEVEEEDWGIDDVDKLKEDVFKGWRAIGTPRSSNSTAGKNEGITIDHLHRLNQRNISAWNMNRMMNVINTSLLTTLDEQLEDISDDEDEAAVQITSENQAKVAAKKIFFNVSKPGSTHIYLEDLGRFLPDDEALKTIRLFDDVNEEQGISKRALKSWVVNVFRERRVLALSLNDTKTAVDKLHQMMNVAVGIIILVIWLLILRVATTQFFIFLSSQLVLVAFVFGNTCKMLFEAIIFLFIVHPFDVGDRCEIDGVQMVVEEMNILTTIFLRYDNQKITYPNSVLCTMPIANYHRCPDMGDAIDFCIHVSTQAEKIAKMKERITCYVEKKSDHWQPAPMIVLRDVEDMNRLKISIWLAHRMNFQDMGERWKRRALLVEEMIKIFKDLDIEYRMLPLDVNVRNIPALTSNRVPSNWTACAN >cds-PLY94379.1 pep primary_assembly:Lsat_Salinas_v7:6:9289221:9291169:1 gene:gene-LSAT_6X6121 transcript:rna-gnl|WGS:NBSK|LSAT_6X6121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKVYGNRMSEPTRAVLIFCKKNGIDFEEIQVDVLKGHHFSPEYKVGYMHRYPVDPSKRAKIHSILDWHHSYLRRGAAGLVFNSILAPVNGMRSYPQIIIQAEEILLRSLSKLENVWLKDGRFLGGSTQPSIADLSLACEVMQLQLLSEKDYNRILSPYKKVKKWIEDIRSATAPYFDEVHEHLFESQKGIREKMVTQSGKNNVRSKM >cds-PLY76967.1 pep primary_assembly:Lsat_Salinas_v7:6:66649888:66653999:-1 gene:gene-LSAT_6X48240 transcript:rna-gnl|WGS:NBSK|LSAT_6X48240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQKREKEETELKVPETLTLCTPSIPTATSKITGSDEHRSSPERSDPKTVVVVDSRSSSASSPKRPDLLQKPRLETVESSEDDVNRLKRREVNRCSGCRRKVGLMGFRCRCGEMFCSDHRYSDRHDCSYDYKAAGREAIARENPVVRASKILKAGKYKKMEKEIKYCKKKSTTVDDLFPFFDKYGKVVDVFIPRDHRIGDSWSFVFVRCKYADEAHKAVDKLNGNN >cds-PLY81545.1 pep primary_assembly:Lsat_Salinas_v7:2:126948148:126949472:-1 gene:gene-LSAT_2X59441 transcript:rna-gnl|WGS:NBSK|LSAT_2X59441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATATTATVVEKVVADAEELPKAIVKRLVKDKLSQLSQDGGEIQVLKDSLLAFSESSRIFIHYLSATANDICKESKRQTISAEDVFKALEEIEFPEFIASLRTSLEEFKQKNAKRKSDTSKSKEAKKTKTEESPMENGKAEETEAEDVNVVVNGE >cds-PLY63984.1 pep primary_assembly:Lsat_Salinas_v7:4:371256101:371257006:1 gene:gene-LSAT_4X182740 transcript:rna-gnl|WGS:NBSK|LSAT_4X182740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVREENNCPCENPSRNTPPTTGITRRNHRYPAEEGGGPQDPDVGCSGQSCRSCTAGAIADCVAVCCCPCAVVNFFTLTCLKLPWMMGRKCLGLGNKKKKKLKNDEKEKDRSGILRKVEGLESKKSENLRALEEQEDEKNEHSARFETEVWLELYKVDELGFGRVSFTGIQSLE >cds-PLY82824.1 pep primary_assembly:Lsat_Salinas_v7:1:85651613:85655762:-1 gene:gene-LSAT_1X72680 transcript:rna-gnl|WGS:NBSK|LSAT_1X72680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDSGGKSFCHLKLITGYGVAKVVESGHSNFKKGDLVWGFTRWKEYIIITAPDTLLKIQHTDVPFSYYTGILGSDPVYSIERLREENDAVILVVGATKPRDLPVPGCELSGVHFAMEFLHANTKSLLDSNLEDGNFMRGTKRSTFYSRLFSNYNNILPFS >cds-PLY84600.1 pep primary_assembly:Lsat_Salinas_v7:1:30155330:30158816:1 gene:gene-LSAT_1X25980 transcript:rna-gnl|WGS:NBSK|LSAT_1X25980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRARLGVYVFCRRSLFEQCYELQPTFQLLLQRPDLLALNLHEVAPATDRPVEDTGPKNFVSGINEMDGIVNFRMHQVYQARMMGNQFSDYSGEMGTGGPRGCGCTIDSECDWKDSIFIRIYELSVQEVKVFNELVVSYTSKGLVHIFFAQRAISKCEKVAVIDGGLMGSGIAIALILGNIKVVLNEVNSEYLQKGIKTTEANVKGSVARKKLPQGLGEKALSNVNGVLDYSQFKDIDMVIEKGGFFFEWFRVKDNGNNKEKLHSEEEVGPCEDNAPADPKGVKLVIMFGIPLQ >cds-PLY68265.1 pep primary_assembly:Lsat_Salinas_v7:3:189149354:189152371:-1 gene:gene-LSAT_3X113520 transcript:rna-gnl|WGS:NBSK|LSAT_3X113520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNLKKSRDGDADDSSSINRLPDEIILQIINKIIDFKSLCVCYLVSRRFSSIVLQVDAISFTAPCIYLNIPDKNAVSDISPSGSFPPLISSFYGESFLSANRFFRNFKGVKSLCIELPSSSHRAIGNRFVFKWKVKFSNRVESFIFLSQDSVCDKDGFYLNGNGEEEEEDNELFSDSFKQKRQVSFECLMDVLAWHLMLLYLVNDLPMLEEVSITDSGRRGRLSLSGKKLNEVKEWVHSASETELDRVEIPDMVSKCYIPVLKLPVSGYVMRGVFITIMEVKDLQGENNGLMNNEDGFEDKEEAAYTEAVMEILKNHKGNLSRLR >cds-PLY66219.1 pep primary_assembly:Lsat_Salinas_v7:1:175797043:175797438:1 gene:gene-LSAT_1X116300 transcript:rna-gnl|WGS:NBSK|LSAT_1X116300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S8, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG00770) UniProtKB/Swiss-Prot;Acc:P56801] MGSNTIADIITSIRNADMYRKSVVRVASTNISQSIVKILLREGFIENVRKHRENNKSFLVLTLRHRRNRKRTRPGLRIYSYYQRIPRILGGMGIVILSTSQGIMTDREARLERIGREILC >cds-PLY64121.1 pep primary_assembly:Lsat_Salinas_v7:1:1579908:1581361:1 gene:gene-LSAT_1X840 transcript:rna-gnl|WGS:NBSK|LSAT_1X840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVGSYRATNICSHCDRAIPSSNIDLHYFHCSRNLEKCKLCDEMVPKKHAEEHYSNTHAPVSCSLCSESMEPESLAAHQREHCPKRIVTCDYCEFPLPAIDLFEHQEVCGNRTELCNLCIRYIRLRERMAHELTCNGVPDTTAEASRAREGEREHGGGAARRRPRPPPQEFSTRRLVFTIAITGIAVVLGSLMFQRKPQNTDLR >cds-PLY91159.1 pep primary_assembly:Lsat_Salinas_v7:4:162473464:162475142:-1 gene:gene-LSAT_4X96620 transcript:rna-gnl|WGS:NBSK|LSAT_4X96620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 6 [Source:Projected from Arabidopsis thaliana (AT3G16380) UniProtKB/Swiss-Prot;Acc:O04319] MAKCVLLQASPPRPPLLLSPRRLLPPPPTGNPFSLYAGDLHPSVNEIDLYIFFSAIGPLHSVHLCIDRFSHKSLRYAYINFYFPFNAADALCRLNHMELKGKSIRLMWCQRDPILRKTGIGNLFVKNLDLSIHETKLEEVFGIFGRILSCKIAKEGDGKSKGFGFVQFDSEDSALDALDVLNGCVLKGKILTVAKYLKKSERKKPQFTNVYVKNLDENFTESSLIEKFSTYGKVTSAVIMNDKEGKSKGFGFVNFESHDNAKIAIEALNGEVIGLKKLYVGKAMMKAARDSFLRRTHVQKTKPIITSNLYVRNLATSVNEKDLREVFGAFGCVVFTKVIRFSNGVSKGIASVCFSKPEDAMKAVKNLNGFCYHGKYMKVTVAMSREEYARRLQTLFPFTFFNKVRNLKPYLETNVQKDDDHQVNETKSSSCNGGFALQDPK >cds-PLY85346.1 pep primary_assembly:Lsat_Salinas_v7:5:244635319:244635984:1 gene:gene-LSAT_5X121240 transcript:rna-gnl|WGS:NBSK|LSAT_5X121240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGSNISWQILQKKLCLPQRNLISEISSKCLHNLLLSEENDSSNNESPLISTHLTNVREFLYAILFLLLVAAYLACTRLLFVFGASGELKTEFEKVKSLMIPSSMIELRKLLDRYPTSKPNSFWLKNLFLVALKQLEDSLGGNMLLGGGPAYRVKSIRSKKKYLNINLIDIIDLISIIPNPINRITFSRNTRHLSHTSKEIRTLFSDHSIKRFILFIKNRK >cds-PLY77889.1 pep primary_assembly:Lsat_Salinas_v7:1:21253960:21257796:-1 gene:gene-LSAT_1X17561 transcript:rna-gnl|WGS:NBSK|LSAT_1X17561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESAFASASAIGDQRQKIEEYKHILSSVIASNDVVQAKKFIDHILSDDVPLVVSRQLLQTFAQELGRLEPENQKEIAHYTLHQIQPRVVSFEEQVLVIREKLAELYESEQLWSKAAQMLSGIDLDSGMRVIDDKFRLTKCVQIARLYLEDDDAVNAEAFINKASFLVSNSQHEVLNLQYKVCYARILDLKRKFLEAALRYYDISQIEKRQIGDEVIDEDALEQALAAAVTCTILAAAGPQRSRVLATLYKDERCSRLKIYPILQKVYLERILRKPEIDAFSEELKAHQKAILPDNVTVLDRAMIEHNLLSASKLYTNISFGELGTLLGIAPHKAEKIASRMICEDRMRGSIDQVEAVIHFEDDNEELQQWDEQIFGLCQALNDVLDTMAKKGLAIPV >cds-PLY93909.1 pep primary_assembly:Lsat_Salinas_v7:7:182041808:182043797:-1 gene:gene-LSAT_7X107740 transcript:rna-gnl|WGS:NBSK|LSAT_7X107740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHHTEALNDLRPPLLPPDDHELPLEWLSVDQMLTKYCGEFGFWQLKHFILTCIAWALQSIHTMVMIFADCEQGWTCEPGSGCVWDADKSVCGLDPGSWRWDDGEGWSTVAEWGLVCGEKYKVGLVQALFFAGCMIGAGIFGHLSDSKLGRKGSLTIVCSLSFVFGLLTSISPNYWTYVLLRVLTGFSTGGVGLCAFVLATEPVGPTKRGIAGMSTFYFFSIGIALLSGIAYIFRYSWRSLYIASSIPSILYIVFILPFISESPRWCLIQGKTDQAMKIMHSIAKSNGKHLPKNVYIALNEECNSSSDHDHDTVSNTIRNETIETVVVRGSVIDVIKSPLTRKRLFLVMGVDFTCSIVYYGLNLNVVNLKTNLYLAVLLNAIVEMPAYLLTAILIDRFGRKPLGIGTQWFSGVFCIIGGILGSRGIWKEVTMLCGVLGIFGMAGTFNLMFIYAMELFPTVVRNVALGCGTQMIQLGAILVPFVVVVGGGFPFMVFGACGIVGGILVLYLPETLNKPLYDTMNK >cds-PLY84345.1 pep primary_assembly:Lsat_Salinas_v7:3:150941724:150944133:-1 gene:gene-LSAT_3X97601 transcript:rna-gnl|WGS:NBSK|LSAT_3X97601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFLKTIACGGGGGGGGGGGGGGDTHSLKEETFGEENVELSLGLSLNGRFGVERRRVVANDYHDNNNRLIRASSVADFSSFPSAMEDPTALTVYPPLTRTCSLPIEMGDEWKKRQELQSLRRSEAKRKRVEKLKNGKVEKGLLTSEENYDAKRRNVMKGNDQSWQPPPSSLLPPLPRPSPFPSQVSVGSPASGGSSGVSDLESQPFSGINKDGELKSTASQHSILHKIPSSTPYRSNSIVSNKSTNETLKMMFADMPCVSTKGEGVNSKKIEGFLYRYKKGQQVRIVCVCHGNFLTPAEFVKHGGGGDVEHPLRHIVVNPPSL >cds-PLY78180.1 pep primary_assembly:Lsat_Salinas_v7:8:250796099:250798785:1 gene:gene-LSAT_8X148221 transcript:rna-gnl|WGS:NBSK|LSAT_8X148221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKNAQDLAKDYDEEIIFRSRYPSVPIPDLLLPDFVLKDAESYAENVAFIDAATKKSYTYGEVARDVRRSSKALRSLGMRTGHVIVVVLPNVVEYGIVALGIMGGGGVFSGANPSSHSSEIKKQVELAGATLIITDDKTYNKVSELGLPVVIVGEERVPGTILWDELLEAGERASNSMIESVVTQDDLCALPFSSGTTGLSKGVMLTHRNIVANLCSTLFSVGPDLIGKVTILGLIPYFHIYGLTGILCATLKNKGKVVVMGRYDLSTVLKALIEHEVTFAPIVPPILLGLVKHPIAEDIAKLQLRSVMSAAAPLAPEIYEEFQRRFPQVVVQEAYGMTEHSCITLTHGDPRKGHHTAKKRSVGYILPNLEVKFIDPDTGRSLPTDTPGEICVRSQCVMKGYYKNEAETAQTIDEQGWLHTGDIGYIDKEGDVFIVDRMKELIKYKGFQVAPAELEGILLGHPSVVDAAVVGLPDEEAGEIPGANVVMSKDAKESEEDMMNYVANNVAHYKKVRVLHFVDTIPKSPSGKIMRRLIKEKMLESIAKSATN >cds-PLY85907.1 pep primary_assembly:Lsat_Salinas_v7:2:189878565:189884358:-1 gene:gene-LSAT_2X111660 transcript:rna-gnl|WGS:NBSK|LSAT_2X111660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEVCVAVLSNVGEMENEKIQQKRDHQWLTVGSDMEPLPKKQAIEGSVDDEVDSCAITHSEVALVSIEQEPCPKTPPTEGLDNIKKPEPSVEQDSKECSNGLELVQPTEALNDNMPDASSEEPVQECLKVTEQQNCESKQATESLNNNNNDVNPSAVQPVTEGLDDMELDPCGENEKHTLEVSHDMEIEPIVEQPVKECLNEMAIEPVVEQPVKECLNEVKLEPIVEQPVKECLNEVKLESNVEQPVKECLNEVKVEAIIEQPVKECLNEIQPVKECPSEMETEVCGKMKTTEALNESEPESSVQKPIKDSLLEMKIEVSRSKQTTQSLTDNEIKPPVRNHITQAVNDTGIEPSVIKQTTEALNDTELVIDPCTKKEVKEASNDDICSEVSNPNVSPRDNSSSFQTVNSQPDGKQVIKDQTVCGEITSSSSEGSSSQDEHGTTNDTSHVVLEVPKHASTSSGIRKITFKFSKRKEEYDSQLSASTAKSTGNSFTNGTRKAPRLHETGDSLLYPNTLEATTEKVAPPESYPTTVKRLLSTGILEGAKVKYISASGELIGIVKDCGYLCGCASCNFSNILRAHEFEEHAGGKTRHPNNHIYLENGKPVYSIIQAMKNSPPNTVDEAIRNVAGSSVNEEFLQVWKGGLQGNMDRGKSDNNHHMKLMNLYHSTTSCTNHTREDGSIPYYSYRKSSALEPQTFVSDTTKERKTQFKKPRSYTSSTAVETKRNAEGGHKKRDNDLHRLLFMPNGLPDGTELAYYARGKKILEGYKQGTGIVCSHCDTEISPSQFEAHAGWAAKRQPYRHIYIPNGLTLHDIALLLANGQSITTSNSDDMCAVCGDRGELMICDGCPRAFHAVCLGVVDGVSSEDWHCPYCRDSIGSGSGRKASATESRPIVIRLTRVVKAREYETGGCVICRAHDFSVAEFDDRTVMLCDQCEKEYHVGCLRESGRCDLKALPSDKWFCCDHCDMIHGAIQDVVVNGATVISAPVLSIINRKHQHVDKGGVTNEIRWRMLSGKSRYPEHLPLLSRAAAIFRECFDPIVATSGRDLIPVMVYGRNISGQEFGGIYCVVLMAGSVVVSAGLLRIFGREVAELPLVATSRQHQGKGYFQALFFCIEELLLSLGVELLVLPAAEEAESIWTKKLGFRKMSDDRYTQYSRDIQLTIFKGTSMLEKELHRATSTS >cds-PLY84094.1 pep primary_assembly:Lsat_Salinas_v7:6:191731455:191749013:1 gene:gene-LSAT_6X117861 transcript:rna-gnl|WGS:NBSK|LSAT_6X117861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQMCFDCLQRQLRTDFSDKLLLNYAIAASALPFGSDAVVQVLNSDGEVSPHFILMYLPINQDDCFTNYINEHCPEKPTGNDYKAKDNTPLSILPQDQNEVTTGNLHDKPNEALFLADSGGKTSLPGLECNSSTCYFSTRFSCLRTLTALVPVSQIGPISFPSLENLASNFSSGITEDHLLHSLTLLIQGKPTGRDSINFLDLIGTPSFTETTFPGCIRHPNIVPILSIMKSSTHISLILPKTPYTLENILHYSPDALKSNWHIKFLMYQLLSPLRYMHGLGIAHGSISPSNVLLTDSLWCWLHIGDTLQSNSNLSRSKIGCCTDTCQSSKVYADLKLTDWHTGFIRWWSGEMSNFDYLLLLNRIAGRRWGDHTFHTVMPWVIDFSVKPDENNDMGWRNLSKSKWRLAKGDEQLDFTYLTSEIPHHVSDECLSELAVCSYKARRLPLSVLRTAVRSVYEPNEYPSTMHRLYQWTPDECIPEFYQDPQIFRSIHSGMSDLAVPSWAGGPEEFIKLHREALESDRVSTQIHHWIDIIFGHKMSGEAAISAKNVMLPPSEPTNARSAGRRQLFSIPHPPRWCVIKKTSQHNSEKNLLLDTFNLQALEEAATFIEHASHLSPIYRNDLVKDNLEEVGSDTFINGRDSKLASVIDINFLLQTIETDDSTSGYQDFLLWRQKLSSSTNLSVDIANDVFSTGCILAELHLKKPLFNPTSLAQYLLSGVLPKLMQELPPQVNIIVEACIQKDWKRRPSIKSLLESPYFPSTVRSSYLFLAPLQLLAKAGSRLHYLASYAKSGALKSMGSFAAEMCAPHCLPLLLTPLTDTEAECAHIMLKEFLRCLNLVSVKTLILPVIQKILQAGYSHMKVSLLQDSFVRELWSKIGKQMYLEFIHSVVLSNLYVSPHKSSSGAASVLLIGSSEELGVPVTVHQTILPLIHCFGKGLCSDGIDVIVRIGGLFGETFIVKQILPLLKNVIRSCMDVCNVSRPEPMQSWSALALMDCLMTLDGIMELLPNEVVVKELIEDGGCLYIQLLMIPNLGIPALQVAATSLVTVSQQIGPELTATYVMPKLKELFERLAFSEETRSGSQKVCKIRIEEEQTQNRMDLVLLLYPPFASLLGIETLQESCRGGRRGNHKKNPMSEYNPAKMLLHGFGWSVPQSQGEKGPKNSSPHHHKRQVTSASASAMSREQEAWVWFPSPAPSWDGPDFVGRIGASEGPWKISASVIHSIRAHHGALRSFAVCQDESTFFTAGVGPGFKGSVLKWDLSRTASSSGYHAHEEVVNDICALASSERMASCDGTIHIWNSQSGKVISVIGETSSLTSTSKIHSEQANMLDFSSLSNGILSTSYDGSLYTCMHHLQTLNRLVVGTGNASLRFIDVEQAQKLHLWRSDSVESSFPSLISSVCCCGSSKMQSNGASWIAAGLSSGHCRLLDIRSGNLINSWQAHDGYVTKIASPEDHLLVSSSLDKTLRVWDLRKNLASPLILFGGHSDGVSGFSVWGQDVISISRTKIGLSSLSQQDGQHRITPQYLYMADRESRNIFAIMETFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKANIDYEKIVRDTCREIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKKPEDIGAGDQGHMFGYATDETPELMPLSHVLATKLGAKLTEVRKNGTCAWLRPDGKTQVTVEYHNDNGAMVPLRVHTILISTQHDETVTNDEIAADLKEHVIKPVVPENYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVACGLARRAIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVKENFDFRPGMISINLDLKRGGNGRFLKTAAYGHFGRTDPDFTWEVVKELKWDKP >cds-PLY73980.1 pep primary_assembly:Lsat_Salinas_v7:8:62446714:62447420:1 gene:gene-LSAT_8X44140 transcript:rna-gnl|WGS:NBSK|LSAT_8X44140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEHIFMKFALRYGPEKVKAKYHCLKYVHLKFSELINHTGVTWDAVSGKVFANDTVWDEFFKRDKVFKSFKKKGCKIYSLLSLVFNSSTASGAFHNASTCAPQTSEEEHRIEDEYLEGGSFGESEFNGGSRKGKRMLEEEMEGLPGSRRMKKGPGNSKYDTLLDAFSESIVARKERDFARSEHYKSKYGDVTSSLTEELIDRGILN >cds-PLY68543.1 pep primary_assembly:Lsat_Salinas_v7:5:92228077:92230289:1 gene:gene-LSAT_5X41561 transcript:rna-gnl|WGS:NBSK|LSAT_5X41561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39710) UniProtKB/Swiss-Prot;Acc:Q9SCY3] MAISTLQTLTTPSLHHTLQLSATRPHHHCTTIKSHSNSNCSLDSTTNLTPKPNKKRGLVGAGIGLLAASMLISSPLDANATRIEYYATVAEPSCELQFAPSGLGYCDVAPGFGEEAPYSTLINIHYTARFADGIVFDSSYKRGRPLTMRIGVGKVIKGLDQGIFGGEGVPPMLVGGRRRLQIPPELAYGPEPAGCFSGECNIPANATLLYEINFVNIYSGNRALPPK >cds-PLY90958.1 pep primary_assembly:Lsat_Salinas_v7:9:169991580:169992367:-1 gene:gene-LSAT_9X105540 transcript:rna-gnl|WGS:NBSK|LSAT_9X105540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGMPVVNSSLKPPAPARGIDVFVAAVPLTATKGAPQLLMSTAYSFSLSWDLQHFMVLTSSADLPSQVMVFDFQPQDPESIYAALAAISGRKIPGVLQTRMMKKLPKTKCWKVGSCKVDVVDAIHKFNSNWDTDLIIGRHDCRHYTNGIKNLRLQSHC >cds-PLY97427.1 pep primary_assembly:Lsat_Salinas_v7:4:15530654:15532770:-1 gene:gene-LSAT_4X11100 transcript:rna-gnl|WGS:NBSK|LSAT_4X11100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSNFFSSSRTLGTGGFLGKGQINSNVGNTRENRYISYSDHSQNTPNKRDFVQNDRGFGKSNPHPDNHNHIRPAVFELQPCPPPKISNHSNNDGFTTLKLCRSISYAAVAAHAD >cds-PLY71925.1 pep primary_assembly:Lsat_Salinas_v7:3:23776408:23777279:1 gene:gene-LSAT_3X17720 transcript:rna-gnl|WGS:NBSK|LSAT_3X17720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKMDDQLQSHSALPLLSKPDRMDSFMKHIRKHPNLSRWRYIFKFGRKNSECVPLNETIADVNNKSYLLDRIESLEDRLIQLSLEIETRRTSRTSTTASAIPGTRELPISSYPVFNNPKPKCKRVASDVLPISTGGELQKRSETLNPKQKRRNGKSHVENCKTFKNGKKKAHSWPHLKILGC >cds-PLY70933.1 pep primary_assembly:Lsat_Salinas_v7:8:227473008:227485583:-1 gene:gene-LSAT_8X138880 transcript:rna-gnl|WGS:NBSK|LSAT_8X138880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKQAQTFFLEEWLKANSGAISNTNSTNSTPQSARAIIQAWADLRESLQQKSFTQQHYQSLQTLLNSGIALYVADPQVKLILAILSSPDLSLPSESYPLFLRLLYIWVRKSSKPSPVFINSSINVLFQLFSSQSVTNKSSLFFTEFLLLLGAFSFIPSIPENTRTQCLDCFCKIMEEEYKLVSLFDDLVPSVLSGIGYALSSCGSIHFVRILDNLFQIWNKEGGPSSNVQHGLMILHLVEWVLYNCIQSHEKIIIFSREILESHKSQYASFSIVMGAAGALRASNRSISSGLMELRSSAEKRLEIIATEYVSINGNDSVLLQCISLALARSGDVFSREKHSLLLCLTSAILTEILPLKRFYTNIYMNSSNKGNHEVKEHLQSVIFKEAGVITSVFCKQYAQANEGSKNKVENLIWDFCQDVYMSHRQVALFLKGKDEELLQDLEKITESAFLMVVFFSLAVTKQKLVPNIPKEIQLDISVKILISFSCLEYFRRMRLSEYMDTIRGVAGSVQENEAACVSFVESIPSYGDLTKVHVSSNKMEFTWCKDEMQTSRILFYLRVIPTCAEYIPSSVFRKTVAPILFLYMGHPNGKVTRASHSTFVAFISSGKDYDDERVSLKEQLVYYYMQRSLEGYPGVTPFEGMASGVAALVRYLPAGSPCIFYSVHCLVEKASSLCSEFPKEPNSWNDLHDELEPCKKLLDLLLRLLSLVDIQVLPSLMKLLAQLVVELPEDGQNMVLNDLFAQVADSDDVTRKPTLVSWVQSLSYLSSQATIKGGGQRKHEKSSVGNISVVCFEQERLALLKFKESVGDESKMLSSWVGNDCCLWERIHCNGVTGHVERLHLRGDIAIVSFEKYDSGSSYSFDSDGNYLVGYEVNSSLVELRHLRHLDLSGNYFIGNQIPEFIGSLNQLTYLNLSNTFIQGIVPHHIGSLSNLKILDLSSNEELMASDMAWTFGLSSLELLDLNSLNLSGAHNWDMMLYMIPSLKELSLSNCGLFGSFLNSSRIVSNIKHLDLGFNSLKGPIPKSLARLRTLEVLDLSHNHLTGPIPTFFGNLTKLDLSFNQLSGSIPESFGKLAALTKLYLESNSLTGHIPTNIGRLVSLQAISVHSNLLNGTIPVSFRQLDKLQYLDISNNSLEGVIFEAYFANLSMLKHLDTSSNMKLTFNISHEWIPPFQLVTLRLNSCNIGNEFPQWLHNQRKLEELVLSNTSISGPLPTWLRKMPIIPFLDLSHNKLTGPLTNLPNGGNVDAFDYGLNNALFLGNNLFNESIPRSLCRRTDLRYLDISRNRLTGKIPKCLENLQRLLTMIFSSNRLSGVIPNSITLNSSLVWLQLNDNNLIGELPWESGNLGALRVLDVGDNELSGNIPASIGEKLGSLVVFRLHKNNFTGSIPRSLCKASNLQILDVAYNFLTGSIPHCLGELKAMVESSPVNLVFNMDLSSNKIVGEIPLKLTALSMLIGLNLSNNHLSGMIPENIGNMTRLESLDLSGNKLTGMIPSSMAALTFLSHLNLSHNNLTGRIPTGRQSVTTPYXDLSFNQLSGSIPESFGKLAALTKLYLESNSLTGHIPTNIGRLVSLQAISVHSNLLNGTIPVSFRQLDKLQYLDISNNSLEGVIFEAYFANLSMLKHLDTSSNMKLTFNISHEWIPPFQLVTLRLNSCNIGNEFPQWLHNQRKLEELVLSNTSISGPLPTWLRKMPIIPFLDLSHNKLTGPLTNLPNGGNVDAFDYGLNNALFLGNNLFNESIPRSLCRRTDLRYLDISRNRLTGKIPKCLENLQRLLTMIFSSNRLSGVIPNSITLNSSLVWLQLNDNNLIGELPWESGNLGALRVLDVGDNELSGNIPASIGEKLGSLVVFRLHKNNFTGSIPRSLCKASNLQILDVAYNFLTGSIPHCLGELKAMVESSPVNLGNATLSSDKNVIQVLKGVDLEYTKTWDIVFNMDLSSNKIVGEIPLKLTALSMLIGLNLSNNHLSGMIPENIGNMTRLESLDLSGNKLTGMIPSSMAALTFLSHLNLSHNNLTGRIPTGRQLQTLTDPSIYNGNKDLCGAPLLKNCSNHKDPSSTRSKEKYEAANAPMKIWFYVDIMSGFATGFWGVIGVLLFKKHWRHKLFMFADETVDKIYVAVVVRFTNLKRGRETT >cds-PLY92869.1 pep primary_assembly:Lsat_Salinas_v7:8:40862402:40866736:1 gene:gene-LSAT_8X31821 transcript:rna-gnl|WGS:NBSK|LSAT_8X31821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVESDLNTQKIAHEEGFGFHRDPSFSNWGDKDGIYNQNRVQEDEIVNTNEVDDNFELPVLQKVDEEQSSELRGVKMNRSGSIDMFPAPSPRRGNKNGNYSSFDIEIADNRMLDPEDTDFVETYMAVSSSSRNPIAVSDILKTLFFILMWYIFSTLLTLYNKTLLGDDMGRFPAPLLMNTVHFAMQAILSKGITYFWSKRFEPSVPMSKKDYFVRVVPTSLATALDINLSNASLVFISVTFATMCKSASPIFLLIFAFAFRLETPSVKLLGIILIISVGILLTVAKETAFEFWGFIFVMLAAVMSGFRWSMTQILLQKEVYGLKNPLVLMSVVTPVMAVATALFSLILDPWDEFRRTSYFDSPWHITRSGLLMLLGGTLAFFMVLTEYILVSMTSAVTVTIAGVVKEAVTILVAVFYFHDEFTWLKGAGLIIIMFGVSLFNWYKYQKFQNRTRSGAKIAKSDDTTPARYVILEDMEGEEISP >cds-PLY80113.1 pep primary_assembly:Lsat_Salinas_v7:5:148059426:148061075:1 gene:gene-LSAT_5X65600 transcript:rna-gnl|WGS:NBSK|LSAT_5X65600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSELVFIPSPGAGHLPPTVELARLLLDRDQRLSITIIIMNLPLEAKPDTETPTSTPRLRLIDIPSDDSTKDLISPNTFISKFLENQKPRVRDIVSGITESHSVRLVGFVVDMFCVAMTDVANEFGVPTYLYFTSSAAALGLMFDLQAKHDDEDFDVTELKDSELEVSLPSYANPVPAKVLPSVLFDKDGGCNTFIGLARKYRGMKGILVNTFEELERYAMESFLRSNANIPLVFPVGPILNLKTPTNDGKADGIMTWLDDQPDCSVVFLCFGSMGSFNEEQVKEIAVALEKSGQRFLWSLRRPPSKDKLMGLPKDYENYEDVLPEGFLERTSGVGKVIGWAPQTAVLSHSSVGGFVSHCGWNSTLESIWCGVPVAAWPLYAEQQLNAFKLVVELGLAAEIKIDYRSNMRPGGNEKEVIVSAAEIESGIRRLISDGEMRKKVKEMKEKSRFAVSEGGSSHASIGRFIDHVIVNE >cds-PLY68436.1 pep primary_assembly:Lsat_Salinas_v7:2:59957302:59958282:1 gene:gene-LSAT_2X28161 transcript:rna-gnl|WGS:NBSK|LSAT_2X28161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKPPMLMDISLRCNNNKDRKCHLEDSEGRVYKTTLTHSAGMMKTNKDFWLVNLITRHELNFPPAPWMPDYVSDVTSVLVFSPSISKLVFVVLAENQIWFSIEDEGTWNCVSSTFDLKFCRDLHVFKGKIYTVDTNNFNLCEFTINPEPKVTLLETKILVDDPHLFFPQLVSCCENLYVMRSSAYGYVFNVYKLDFGEMEWVPFQDTGEKHGFFISEEAHGAAVKPELWADPWSQYPRCDVDNGGGHGGLFLSYEGWYFPHESVNVNLLDES >cds-PLY82700.1 pep primary_assembly:Lsat_Salinas_v7:2:145218857:145223289:-1 gene:gene-LSAT_2X71681 transcript:rna-gnl|WGS:NBSK|LSAT_2X71681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFHKIKVENPIVEMDGDEMTRIFWQSIKEKLIFPFLDLDIKYYDLGLPNRDATDDKVTVESAEATLKYNVAIKCATITPDEARMKEFTLKSMWKSPNGTIRNILNGTVFREPILCKNIPRLIPGWTKPICIGRHAFGDQYKATDAVIKGPGKLKLVFVPEGEGEKIDLEVYNFTGAGGVALSMYNTDESIGAFAEASMNTAYLKKWPLYLSTKNTILKKYDGRFKDIFQEVYERNWKSKFEAAGIWYEHRLIDDMVAYALKSDGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQRGGETSTNSIASIFAWTRGLAHRAKLDNNAKLLEFTEKLEAACIGTVESGKMTKDLALILHGSKLSREHYLNTEEFIDAVANELKARLVGKSSL >cds-PLY82531.1 pep primary_assembly:Lsat_Salinas_v7:2:187998394:187999505:-1 gene:gene-LSAT_2X109681 transcript:rna-gnl|WGS:NBSK|LSAT_2X109681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEGPTSPGGGSHESGDLSPRSSNVREQDRFLPIANISRIMKKGLPANGKIAKDAKETVQECVSEFISFITSEASDKCLREKRKTINGDDLLWAMATLGFEDYIDPLKSYLNRYREMEGDTKGSGKGHEGSSRKDNNLNAQVIIN >cds-PLY85911.1 pep primary_assembly:Lsat_Salinas_v7:2:189348086:189351892:-1 gene:gene-LSAT_2X111320 transcript:rna-gnl|WGS:NBSK|LSAT_2X111320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVATGKTNESLIRNRTMEKKDDTSLHIAARTGDLDVAFEILGSYEDEKLMELLKKKNQSGEIPLYVAAEYGCVDLVKEMIKFYDSESASIKAKNGFDAFHIATKQGNLEVLKILMEMNSELCMTFDQQNTTALHTASEQGHLDVVNYLLEKNSSMANIARSNLKTALHSCARKGHLEVVKALTQKVPGIVARADKKGQTALHMAVKGQNVEVVDALIEADNGLINIVDKKNNTALHITSRKGRTQIVWALLKHKEAINKQAINKYGETALDTAEKFGRSEIAIILREHGVPKAKFIKNTQSPLTPKSRELKQTVSDIKHGVHDQLEHAIKTQKRVKGIGKRINKMHLEGLNNAINSTTVVAVLIATVTFAAIFQLPGQYVDTNKDIPVDFSLGEAHIASNPEFIVFLIFDSLALFISLAVVVVQTSIVVVEKRAKKQVMAVINKLMWLASVFVAVAFLALSFIVVGNEKWLAIGVTIIGSVTLASTLGTMCYWVIMHRIEENSIKSRRLERSMKSLSGSVSASDSETDEFKKLYAI >cds-PLY79103.1 pep primary_assembly:Lsat_Salinas_v7:4:11724565:11724762:-1 gene:gene-LSAT_4X7780 transcript:rna-gnl|WGS:NBSK|LSAT_4X7780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDIQDCFLALDDPGTPPTEKCCDELKQHRKCFCFYNQRGFTLGFDSLVDACHIKFDDFCQDIVN >cds-PLY79619.1 pep primary_assembly:Lsat_Salinas_v7:2:166401960:166403340:-1 gene:gene-LSAT_2X87840 transcript:rna-gnl|WGS:NBSK|LSAT_2X87840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVQDSLEIKFRLIDGSDIGPKSFPAAASVATLKESILSQWPKEKENAPRTVKDVKIISAGKILENNRTVGECRSALCDVPGGITTMHVVISQPPQEKGSSKFVKNIEF >cds-PLY70074.1 pep primary_assembly:Lsat_Salinas_v7:4:184734028:184735705:-1 gene:gene-LSAT_4X107781 transcript:rna-gnl|WGS:NBSK|LSAT_4X107781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDDHFNHRPNFPFQLLEKKDDEACSSSATVSATTGYPSRPISADTNNPPLRSNSSNLQITTIATTSSGDPSKKLAPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSGYFNPNFAIPQNRNLFPGIGLSSSENSSSNLLNFGSATNLHQILQTKQETRDNSVELSETEDSIGRKRRAPAESELPSQHQQQMGNYLLQSSSSSMVANHASIPANFWMVANSNQHQAMSGDPIWTFPAVNNTALYRGTVSTGLHFMNFPTPVALMPSQQLAAGLGGNSGGSGGGGGGGGGGGGGGGGFTEGQLSMLAGLNPYRPIFGPGGSEQQASGSQSHHGGGDDPHDTTSHHS >cds-PLY66787.1 pep primary_assembly:Lsat_Salinas_v7:3:66557766:66558869:-1 gene:gene-LSAT_3X50900 transcript:rna-gnl|WGS:NBSK|LSAT_3X50900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRSQQGSSSINDAQMADLISKLQRLIPLDVNHTTTSKVSASKVLQETCNYIRRLHKEVDDLSERLSELLESTDTNSAQAAIIRSLIM >cds-PLY92879.1 pep primary_assembly:Lsat_Salinas_v7:4:197827264:197827948:1 gene:gene-LSAT_4X111200 transcript:rna-gnl|WGS:NBSK|LSAT_4X111200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCMSMTIKETLHDSITETLVTDDDKVVAVSEVCDASVYHIVNNDQSSQRQIPNAIFPLLRYQQYDSSESSLSLQGSPSEDRNFRSELDAAEMEETSFSSQKDSEHDEILDWANDQGPGPEDYHMESSYQDVDTRWKAISRANVGFSVET >cds-PLY78762.1 pep primary_assembly:Lsat_Salinas_v7:9:51271040:51272244:1 gene:gene-LSAT_9X46221 transcript:rna-gnl|WGS:NBSK|LSAT_9X46221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTPPTTTAPMVLLLLLLITITYLPSPIINAQANSPLSPTIAECGPRILPLALCAPFVQGVSNTPTQLCCDNLMHVNEQQPRCLCLLLNNSGLSSSFPINSTLAKQLPLMCSVNFDISSCSGSPLSSVSPTPQVSLGSITNSTVAASPMATVTPKSPLMGIGYHPNNAIKVRGSLQLWMMVVLTILTCIWTSTLY >cds-PLY67388.1 pep primary_assembly:Lsat_Salinas_v7:4:212357205:212362930:1 gene:gene-LSAT_4X119301 transcript:rna-gnl|WGS:NBSK|LSAT_4X119301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYEQNGRLPDHQNWPRPELLYSEALRELHATIESDWDSVKRSACQTAAGRALWKHVVNDQLAELFAGETYLTNLYEKIKNDRMNNAREVSGVILAVRTLWFESKLEAALESFGGGAQVVLLGAGMDTRAYRLSCLKESDVYEIDFPEVLEMKETLLQTAINNSINPQSKSLTRIAADMREEDWFKKLQSSGFIPEKNTVWILEGIIYYLPHSQAMGVLKTIADNCSLTKTVLLADFMNKQSTTLSSSNSFHFYSDWPDHLLPTLGFSEVNLSQIGDPDADYGLLHDPLNLFNKLRGVPRSFQNHPDDGTPCCRLYLVQASGSPKTISS >cds-PLY85047.1 pep primary_assembly:Lsat_Salinas_v7:7:5878136:5880349:-1 gene:gene-LSAT_7X5021 transcript:rna-gnl|WGS:NBSK|LSAT_7X5021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATELPAKVEPELAPAKVDAPVDVAPEKPVDSPTVPETKADDSKAVAVAEKTPIPEVKKSSKNSLDRDIALAGVDKEKKLSFIKAWEESEKSKVENKSQKELSAITSWENTKKADVEAKLKQIEEKFDKKKAEYAEKMKNKVGLIHKQAEEKRAMVEAKKSEDHLKTEELAAKYRATGTIPKKALGCFGG >cds-PLY64345.1 pep primary_assembly:Lsat_Salinas_v7:4:25758241:25763837:1 gene:gene-LSAT_4X18440 transcript:rna-gnl|WGS:NBSK|LSAT_4X18440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVMYDDSQVNSSSSNDFAAVLDTELDSTSDTSPEQKEDANETYDHMDINRTKRQKIDDVLENVETSMKKDICTHPGTIVGMCFKCGEKMDKKIGVAFRYIHKGLRLTKDEITRLRERDLNNQFSQKKLCLVLDLDHTLLHSTQITDLTQEEGYLMNQSEHTQDALRESLFKLDSIQMLTKLRPFVHTFLKEASELFEMYIYTRGGRAYALEMVNLLDPKKVYFDSRVIALTDCPKKHHKTLDVVLVQETAVLILDDTERVWGKKDKENLILMERYHFFASSRKELRCRGYKSLSELKLDESEVDGALARILQVLKRIHHMFFDLELGDDFTGRDVRQVLGTVRGEILKGCKIFFCRVLATEFHRLWKMAERLGAKCVTDVDMSVTHVISVDGGHDRCRWAAQENKFLVQPRWLEAANYLWKRQPEEIFSVIVKEIKENTVRIRKMNNDDDDNKDATRTETPLASIAQAFEEIADLIKKGNKSEGSVDLRLKPFCEACTLVSVLFGCLGIAFRFAEMEYTSKVRDLLEASKQFATLSSVVEFDLRNKTVKSPGSHTRNLRRVRQGLDLIKELFQNFISDENHSLKKAATQAYQQVCAPYHTWAVRAAVSAGMCTLPTREQLLLKINETDKSAEKEMRRYIKAAHEVIKNIDNHYISRNIELDW >cds-PLY67903.1 pep primary_assembly:Lsat_Salinas_v7:1:54928496:54930259:1 gene:gene-LSAT_1X49041 transcript:rna-gnl|WGS:NBSK|LSAT_1X49041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFSLLHVSTLLSLALLGCHAVTPDVYWKSMLPNSPMPKSVKDLVYTAGWTDEKYTMVGVGSRGVEVGAGTPGDQTDVGVGRGGVAVHTTHRGKPVCVGVHPGPDPFNYLYAASADQLKDDPNVALFFLENDLHQGKEMKLHFTKVDPTQKPNFLPRQIADSIPFSSNKLPQIYNKFSIKPDSLEAEIMKQTLNQCESKGIKGEEKYCATSLESMVDFSTTKLGKKVKAISTEVNVKESSPLQKYTIEGAKKLVADRAVVCHKKNYAYAVFYCHKTVSTRAYVVSLVGEDGTKAKAVAVCHTDTSKWNPKHLAFKVLKVKPGSVPVCHFLPEDHVVWVPY >cds-PLY83757.1 pep primary_assembly:Lsat_Salinas_v7:4:44187734:44189964:1 gene:gene-LSAT_4X30520 transcript:rna-gnl|WGS:NBSK|LSAT_4X30520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSITRIPSRYVIVILTFMCTCVCYIERVGFSIAYTVAADNEGVSQSSKGTILSTFYYGYALSQIPGGWAAQKIGGRRVLLLSFILWSLTSALVPLDPNRVITLVIARLLVGIAQGFIFPSIHTVLAQWVPPHERSRSVSLTTSGMYLGAAMGMLLLPSLVKFKGPQSVFLFESCLGGIWCVLWFKFASDPPRSNHPKAAASGFGESLLPVKDGQRTKNEKVSKIKIPWGKIFLSLPVWAIVVNNFTFHYALYVLMNWLPTYFELGLKSSLQEMGSSKMMPYLNMFLFSNIGGVIADHVITKKIMSVTKARKVLNSVGFVVASVALIGIPYFKTVDGVVVCSSLALGFLALGRAGFAVNHMDIAPRYAGIVMGVSNTAGTLAGIIGVGLTGQLLETAKIMDSDLSDPDSWKPVFFIPGLLCVLSSVVFLLFSTGERIFD >cds-PLY79195.1 pep primary_assembly:Lsat_Salinas_v7:8:163699301:163699585:-1 gene:gene-LSAT_8X108780 transcript:rna-gnl|WGS:NBSK|LSAT_8X108780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METVNATKESLALKVSELKALISKEVMMMEENYNLLHGKVDVIVSAITRQVEFNNEYTKQFEARSEEDKKMFEKMEEFLSGIKETLSKFDLLNQ >cds-PLY78589.1 pep primary_assembly:Lsat_Salinas_v7:1:102510751:102512510:-1 gene:gene-LSAT_1X84280 transcript:rna-gnl|WGS:NBSK|LSAT_1X84280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSPSSSHEQTNPLAAKPPAASRRLPPPCWSHDETVALIDAYRGKWYSLQRGNLRAPHWQEVADGVAVRCPLSDPPKTSIQCRHKMEKLRKRYRAEIQRIANTPRGHRYPSSWVHFKQMDAMELGLSSSDPSVDPINQEEDEVDERGDEENEDELFLYPKRIKQAVTLPLNRRYQGLVGNGVTAGKRNGNGVRIRIPNIAAVTPPNTSFDDYPPPVNPHYRPGKRSRDGFVKEAFGGEMNRKHGGGGGMKMRKEMENDESHLMDGMVAAIQKLGDGFLKIEMMKMDMARELESMRMKMEMKRTEMILESQQKLVDSFAKTVMEKKNKKIKRMGTPEL >cds-PLY77003.1 pep primary_assembly:Lsat_Salinas_v7:6:67934574:67938590:1 gene:gene-LSAT_6X48280 transcript:rna-gnl|WGS:NBSK|LSAT_6X48280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIDKERAGVLIGTRMGGVTMFSEGVRALIENGPRKITPFFIPYAITNMGSALLAIAIGFMRPNYSISTPCATSNYCFYATTNHIRRGEADMMIAGGTEATIIPIGLGGFVACRALSQRNDDPKTASRPWDKDRDGFVMGEDAGILMMESLEHVMKRSAPILTEYFRGAVNSDAYHMTDPRSDGLGVSSCIKSCLEDAGVSAEEVPKYAMVNFVGPSILSDVTQVMECYKEEITGLVLLCMQAESLEEAIAIVNRNRAVESSGESANPAVVGRNLQLAAMFGVWYLLNIYPNIFNKQDLCVVLDQELGLILKLRDMMIGWIHEGF >cds-PLY99229.1 pep primary_assembly:Lsat_Salinas_v7:6:184792403:184793453:1 gene:gene-LSAT_6X112441 transcript:rna-gnl|WGS:NBSK|LSAT_6X112441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAFGLWVLFLVFATALISLCFPSFSEASMNLHKGMKLKEDMNLDDESNIDLLDYHKINPVPSSKASIRPGPIQHGTPLMPFIPKDPPPGPDHGNDADHVVFP >cds-PLY69278.1 pep primary_assembly:Lsat_Salinas_v7:7:130227813:130230723:-1 gene:gene-LSAT_7X77580 transcript:rna-gnl|WGS:NBSK|LSAT_7X77580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYCGPRLHVFSVIFSTEFYQKPRHHSVTDLQSISKSNNFSLSLVMSQVNGKDPVINPPAATDEKADSAVKKASSESSSSDSQSGKIPVMPSTPGAGFPASPFNFSSMASLLNDPSVKELAEQMAKDPSFNQMATQLQKTFHGPEEGVSPQFNTQQYYSTMQQVMQNPQFMTMAERLGNALMQDPSMSQMLENLSNPAQKDQLEERMARIKEDPSLKPILEEIESGGPSAMMRYWNDKEVLQKLGAAMGVPVPGDAAGGNEAGGVSEDESAVHHTASVGDVEGLKKALDLSSNKDEEDSEGRTALHFACGYGEVKCAQILLEAGAKVNVLDKNKNTALHYAAGYGRKDCVALLLENGASVTLQNMDGKTPIDVAKLNEQTDVLKLLEKDAFL >cds-PLY84064.1 pep primary_assembly:Lsat_Salinas_v7:6:188199531:188200472:1 gene:gene-LSAT_6X115200 transcript:rna-gnl|WGS:NBSK|LSAT_6X115200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSKNSPDRCGSSSSDGGSHLIKTCADCGTTKTPLWRGGPAGPKSLCNACGIRSRKKRRALLGLKDEKKQKKNTTNGTCAGAGATSTSGGDSQTSGLTSNKRSQMGDFCLKRKLMALGTEVVLQRPRSAITKQRRKIGEVEQAALLLMALSCGSVYA >cds-PLY95897.1 pep primary_assembly:Lsat_Salinas_v7:3:186412918:186414646:1 gene:gene-LSAT_3X110621 transcript:rna-gnl|WGS:NBSK|LSAT_3X110621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERTHISPYTNHQTTSKEQSIHTYTDSGGGETCPDEDKWASRLLRQCAQAISEKDSAKINHLLWMLNELSSPYGDCDQKLAYYFLQALFCKATESGQRCYKTLVSVADKSHCFDSARKLILKFQEVSPWTTFGHVASNGAILEAFDGETKLHIIDISNTLCTQWPTLLEALATRSDETPSLKLTVVVTASLVSSVMKEITQRMEKFARLMGVPFEIKVINGSNIPLGDLTKEELGVKEDEAVAVNCIGALKRVEVEERGDVIRLFSSLKPRVVTIVEEEADFTSSRSDFMKCFEDCLRYYTLYFEMLEDSFTPTSNERLMLERECSRSIIRVLSCDDKQNIGSGDCERREKGNQWCERLKEVFSIVGFSDDVVDDVKALLRRYRTGWSLVNDQTNPNTDSGIYLAWKDESVVWASAWKP >cds-PLY83510.1 pep primary_assembly:Lsat_Salinas_v7:8:188540845:188542790:-1 gene:gene-LSAT_8X122761 transcript:rna-gnl|WGS:NBSK|LSAT_8X122761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSEIKKEEIDSKEEEAAVKEIWKYVLGFTPMAVVKCAIELRIPDILQKHDTPMTLAELASELRCSSSFLYRIMRFLIQYKIFQEKPISDTSLGYALTPLSRLLTRHGKQSMADFVLLESSPVMLAPWHKLSAMVLGSQNTPFEAAHGVDLWKFAAANPSHSKLINDAMACDARNAVRAVIEGCPEVFEGLKTVVDVGGGDGTALGLIVEGCPWIKGINFDLPHVVSVAPAWKGVEHVGGSMFDHIPKADAAYLMKVLHDWGDEECIEILRKCREAIPQDTGKVIIVESIVGLEENHDFEDVVLMLDMVMMAHTSTGKERTLEEWSYMFDEAGFTRYTIKQITSYHSVIEVYP >cds-PLY78102.1 pep primary_assembly:Lsat_Salinas_v7:1:6067043:6070237:1 gene:gene-LSAT_1X5301 transcript:rna-gnl|WGS:NBSK|LSAT_1X5301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:LCV2 [Source:Projected from Arabidopsis thaliana (AT1G43130) UniProtKB/TrEMBL;Acc:A0A178WDP4] MAEGSKESTSSTVSQHDVIVEDTEDSVKSPPNSPNSSTRKACYAVLQSWVSKKFMTGCVVLFPVAVTFFVTWWIVQFFDGFFSPIYERLGVEIFGLGFLTSLIFIFFVGIFASSWMGATVFWVGEWFIKRMPFVKHIYSASKQISAAISPDQNTTAFKEVAIIRHPRLGEYAFGFITSSVVLQRENGDEELCSVYVPTNHLYIGDVFLVNSEEIIRPNLSIREGIEIIVSVGMSMPQSISPIERVPHANNRIPLNRMM >cds-PLY97266.1 pep primary_assembly:Lsat_Salinas_v7:1:43410684:43411697:1 gene:gene-LSAT_1X38421 transcript:rna-gnl|WGS:NBSK|LSAT_1X38421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVMSDVMIIPPTDSQTTEVEFATCDCCGLTEECTPAYIERIRERYDGKWICGLCGEAVKDEIMRSERLMISTEEAMFRHMNFCRMSSSSDPPPNPAVHLITAMRQILRRSLESPRSLRSAPSSPLRHTGSIRLSRSSSCITDLALESSSYTDLQEIGK >cds-PLY91635.1 pep primary_assembly:Lsat_Salinas_v7:8:11835908:11837745:-1 gene:gene-LSAT_8X7981 transcript:rna-gnl|WGS:NBSK|LSAT_8X7981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCNMGFGSFLGMKIDTLPGKLAYFVVNSFSTSSCSIRVKSGEVAITNETVEAMFGLPNKGLDFNTLDECDENDNLLEAWKGQYGKGNYYNENYLKNIRKTNVADEMFKLNFLTLFINTFAETKTMGSCRISFIEKLIQCKDVSRINWCEYIVNCFEKSKNKWRPNDKNCYFIGPVAFLMMAYADKVICEDESYGIIESMVGRLVEQKKVVEASSLMCMEMHPNNDKMKAVIKKVVDIFNGTKLKALIVDGHEDRIEVDGTSVNAIEGEKSAEDMNLMITDLTNKMRKDLLSGPKVYSDSNMDASDQGMEGISPTIVKPTGMCNDKVLFEHQGGSHQQNIGMEVVMYNENSSFDSPCILTPGWVKQDDEIERNNSKNSIRFNNDCPSFEARPNECADEMKTSKGNEGKDDAIVEQDCPKRTKRNVEAVVGIKMREKREMKHGPTLKSPFVQRVVNLKDSVEQKEILVSQVIIGLGMDKRCS >cds-PLY61875.1 pep primary_assembly:Lsat_Salinas_v7:6:62142510:62144210:-1 gene:gene-LSAT_6X45961 transcript:rna-gnl|WGS:NBSK|LSAT_6X45961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRDVVCTLGKLVDTYIVGRKDKSGSYFAFVKFEGVKDIQVMLNSLNKVRCGHCILKVNMAKYEKNPTSKELVKPNCRVLYPRHKKSYDGWNKTSGRRSYADTVRGRSGVPTTSSPPPIPPVNLKSIKAMKNLVSLLGEVTGFQLLSNLPKMINADGEIPCYAYYAGGLKVILKFSSLGAAERYLKNELLWNRWFKWLKPGISDDTRFERIAWVKLIGLPIHLRSDDNAILVASKFGKVIEVVGCQNWHGIDLSFANARILTPCRKLINNKILCSFNGKSFTVGLVECEDSWVPFSKFYESDSESFPQDNENIVDDEDDELERPDSDDKGISETWENNRNVDHDLEEGEFVADFGENNIPRAGVDHTTSPEKSKNFENPINAFNSEGVKSHEEYQAINGVNSNSTISPTDVIISEATQTIPCTVGNLICPNRPILIPSAQFHTNPTDRGDGPPDFMFGGSHSKKRKRDHSDRFCIPCSLTPRRLSLEFSGPPPLNAQPQNLNFDLNESVHISSSSNSSIDSSSEGISNIIKVGDEVGFQINEDSINILEGIESNVVAGDGVPIDHQ >cds-PLY62465.1 pep primary_assembly:Lsat_Salinas_v7:1:82150612:82152321:1 gene:gene-LSAT_1X70980 transcript:rna-gnl|WGS:NBSK|LSAT_1X70980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVASSPEAAKEILKTHDLKFASRPKLRIPDILLYGSNDITFSPYGEYWRQLKSIAVVHLLNNTRVQSFEQVRKKEVALMIDKIKNSDGSLVDLNALFFWLTNNIVCMASLGRKYGGSTFADIMDMFVHLLSGFNLGDYIPWLAWIDRLSGLEEKAHKVAKEFDDFLECVVEEHVNKRKEVDAQCREDQDLVDTLLDVQRDNATGFTFHRDVIKALILILHMQVWSISELIRDPRVMQKVQQEVTEIAQERSMILEKDLEKMNYLKAIIKETLRLHTPVPLLVPRESTQDVKVMGYDIPAGTQAIVNAWAIGRDPTLWEEPEEFRPERFFNSSTDYKGLHFEFLPFGGGRRGCPGIQFAIVIIELALANVIYKFDLALPDEVKGKDLDMSEKYGLVVHKKSPLIVVATSLFLVVACSM >cds-PLY73099.1 pep primary_assembly:Lsat_Salinas_v7:9:22381089:22382820:1 gene:gene-LSAT_9X20681 transcript:rna-gnl|WGS:NBSK|LSAT_9X20681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKINCFSHRMFNTSTPFSPIKLPSQMETHLWYALPNEVKSQSLLNQYVDLLSPLEKDHIFSISALHLQKSALLARALVRTTISRYQIGSQVNPRSLEFRKNPHGKPEVIWQNSEDWHPPPLHFNLSHTSSLIACGVTIDSPIGIDVEDKQRTMKNNILSFAKRYFTFEEVELLSAISDPEVQRHEFIKLWTLKEAYVKALGRGFSGAPFSTFTIRFRSVNPDSEDSEIVIVPLEKPSELTTNWQFAQVELAGSHYAAICRESNGGGAPMKVVVRKTIPLVEEHIVSEID >cds-PLY87794.1 pep primary_assembly:Lsat_Salinas_v7:8:222906090:222908224:1 gene:gene-LSAT_8X137340 transcript:rna-gnl|WGS:NBSK|LSAT_8X137340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVTGFIKDAYAASRILNFSTGQSSFINLHYSRKIFDHIESSNGFIYNTMMRAYLQINHPKETVFLYELMLNNKNNVYPDSYTYPLLIQACIARCSILEGKEIHNHVVKMGFDSDVYVRNNLINMYSVCGDMVDARKVFDENPVRDSVTWNSILAGYVQMGNVEEAKLIFDQMPEKNIIASNSMIVLFGRCGRVPEAYHLFINMKEKDLVSWTALISCYEQSGMHQQALNLFIEMNGIGIKTDEVAVISVLSACAQSSIMNTGTSIHGLIVTSGTASYVNIKNALIHMYSTWGDISAAEKLFNSSTHLDIISWNSMITCYSKCGSLDKAREVFDKMPQRDVVSWSALISGHSQVGLFDETLTLFHEMMVHGTVKPDETILVSVISACTQLAALDQGQWVHTYIKKNNLEVNFILGTTLIDMYMKLGCVESANEVFNKMEKKGVSSWNAMILGLAMNGEVEKSLEMFSQMERFGVAPNEITFVAVLGGCRHMGLVEKGRRYFDCMINIHKIEPNIKHYGCMVDLLGRVGFLEEAEKLIDSMPMAPDVATWGALLGACKKHGAIEMGKRVGLKLIQLQPDHDGFHVLLSNIYASEGSWDYVSDIRELMVQQGVDKMPGCSVIEENGVVHEFLVGDKTHPRIREIVETLDIISGEVKMVGCSSDTNEVSFYINDKEFL >cds-PLY62744.1 pep primary_assembly:Lsat_Salinas_v7:8:307657764:307661172:-1 gene:gene-LSAT_8X166701 transcript:rna-gnl|WGS:NBSK|LSAT_8X166701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLLQFQFHSICENSLRKVKRKPQFQRSANHGLFRVSAGESGIQKTTEALVVSRSPGVLDHVFLNLFRNKMVQEVGWDSEKAGYDGLIDVANRLMLARTNSQTQEAAVRILRSLFPPLLLKLYKILIAPLAQGKVAAVMVARVTAVSCEWLMGSCRVNTVDLGNGSSLPSGVFVERCKYLEESKCVGICVNTCKLPTQAFFMDHMGVPLVMEPNFNDYSCQFKFGVSPPEEDSSLKEPCLEVCPNAIRRRTTTTLENKCPKA >cds-PLY95095.1 pep primary_assembly:Lsat_Salinas_v7:1:93538977:93541784:-1 gene:gene-LSAT_1X77161 transcript:rna-gnl|WGS:NBSK|LSAT_1X77161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKVVAAVGDEEALFLIGNHTNHESDEMETDETRIETQNNDTVTCKSDDRDDENVVFSKESSPLVSKSTLLSTDSGAIKFEKCSQEKKLSRQDRIELGRLFQGAVSSHDWELAESLISLADPQTLNDALCISLDSIWFLSTHHELDGITALIKTIISNGASDFTRAALRTSFLASCVSACQSRTMSLADTVSVMAKRLHERLQECNGDEVLKAEAGAKVQKFTEWALKCIGFHSRCQGNKDRVNQNSAAQVQLQLSAFKTFLDLAGNHLTGKDFTEAFDAACFPLTLFSSSFDPGWASGMSATAIQGLLAMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYSKISTMECLVEEGNAMAFLGPLMRAAERGCMQVVQWFVKRGCRDMELCLALTAATSSSQVDIAAYLLPHVPQHVLAALSIEILKAAGERSGGSLEGVAFLLQSNFLXPRTRWRIRFRGRMTTRWRRSSGSFYRRSGRRRRFSPDLMDLPGPLRVAIAYLPLYRECVKAGGCLLSQQLRGRLVEAVKRLGGVVMEEAGQGRELLGVLEQHLPPFLLKG >cds-PLY95001.1 pep primary_assembly:Lsat_Salinas_v7:5:5341878:5345393:1 gene:gene-LSAT_5X3221 transcript:rna-gnl|WGS:NBSK|LSAT_5X3221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyltransferase and sulfurtransferase MOCS3 [Source:Projected from Arabidopsis thaliana (AT5G55130) UniProtKB/Swiss-Prot;Acc:Q9ZNW0] MESNGGVDESTRLLKELQSLKDSKRDIEARISALEGQLRQIQSNQQLNKKASSDCSNGGSEFGHDLTPDMIYRYSRQLLLPSFGVQGQSNLLKSSILVIGAGGLGSPALLYLAACGVGRLGMVDHDVVELNNLHRQIIHGEAYIGRSKVESAAAACRSINSTIEIIEHREALRTSNALEIVSKYDIVIDATDNAPSRYLISDCCVLLGKPLVSGAALGLEGQLAVYNYNGGPCYRCLFPTPPPTTACQRCSDSGVLGVVPGVIGCLQALEAIKVASLVGEPLSGRMLLFDALSARIRIVKIRGRSLQCEACGENATMTQENFQHFDYEKFTQSPLSPAPLKLKLLKEDCRISSKEYEEVVKKGDAHVLIDVRPSQHYKIVSLPNSMNVPLASLEDKLPEIESALKSIDNGNAGVYVVCRRGNDSQRAVELLHNKGFVSAKDIVGGLESWARDVDHRFPTY >cds-PLY87458.1 pep primary_assembly:Lsat_Salinas_v7:2:135182055:135183843:-1 gene:gene-LSAT_2X64840 transcript:rna-gnl|WGS:NBSK|LSAT_2X64840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDANVVETKDGTIAVASAFAGHQEAVKDRDHKFLTRAVEEAYKGVDCGDGGPFGAVVVRNDEVVVSCHNMVLKHTDPTAHAEVTAIREACKKLNQIELSDCEIYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANLEIKKADGNGAMIAEQVFEKTKAKFAMY >cds-PLY74775.1 pep primary_assembly:Lsat_Salinas_v7:6:118638668:118640583:-1 gene:gene-LSAT_6X70961 transcript:rna-gnl|WGS:NBSK|LSAT_6X70961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARQLIINTLCCSRWTQLTLFLVTLLSHFTTTATYHSGAPHELLKGFNTAPDLSTSSFQTLLSDSVGNYSLGFLRVNQNQLSLAVIHVPSKEPLWIANTNKLARWSDQTRIIFDGSLVISDTHTGLFWSTYTDGDRVRISNTSNLLIEKVTGTISILWQSFQFPYNTLMENQNFTSAMKLVSSNAIYSMKLGSNFIGFYAKFNMNSSSDHDTVHGELYLKHNPLQAKARVFEGEGPIWVILNPDGYLGMYQNGSTPVDVQPFSTFQQPGLGNRRIRLEPDGNLIAYFWTGSSWVVDFKQISDPCELPSTCGSYGLCQPGKGCSCLDDRKEYISGSCEIPGNRKKVAGGDFCYLFDRKYRVLRKYGVELPYKEIMAYTRKSSLAKCESACEEVCTCWGAVYSNTSGFCYTIDYPIQTLVAVEDETKMGYFKVKEGGGKKKMVVWLGVGIGLLCGVVLIFLGVMGLFWKRAAREKRRYVEEEGVARGVGSYRDLGSTKLKALELSQTQSS >cds-PLY97686.1 pep primary_assembly:Lsat_Salinas_v7:8:6344527:6353017:1 gene:gene-LSAT_8X5201 transcript:rna-gnl|WGS:NBSK|LSAT_8X5201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMEELAAIEDDNSRNVTGEEAVGIVTEGNQVDMTVAVVIENDDGNAILEAETDKTLAEDEKWGLVSEENGVNSEMKDEVGVKKKRHRPKKGDRPGAEDRVIVVGLHCASAGFDFFNKKGPPSDSKHNKRKFSSVMDFGSMEKKRRKLILGANGVGVKIRRIGRPKGSKNKKKKIGNGDIIPVMNGNFDENHSKSIDEGEGGESENHGVKVKHVGRPKGSKNKKKLGVDDDMSIKEFGIKVKTDGRGRPKGSKDKKKRRRKRFRDNVDEHGNPMESVPSSSCGGFRNKLQAKKPRLIVAGEIMAYSSDVENVKAVDPSSPIRPKRKPRKSIDGCEGNLNLKCHQCLRNLKNVIFCSNCKRKHYCNNCIVSWYPERTKEEVKDACPYCRGNCNCRACLQANVIIKASHEEANEDIRLQRSLYLLKKTLPLLKHITEEQRSELLMEASILGVELTEEQVPKASFDADDRVYCDNCNTSIVNFHRSCPSPDCSYDICLNCCRELRDGIQPGGSEAESSFQQFIENSQLQGTDSKGQFFGWKADFKAHTFINSLDFPAWKAENDGSVPCPPKARGGCGNGMLQLRRVFEANWVQELVEKAEGLTVNFQLADIETGENCSSCNVENHHHHGHGVRKSASREGSNDNNLLYCPDAIDLEDKDFEHFQMHWRRGEPVVVKNVLKKTCGLSWEPRVMMRAFRNAKKRLKEENQCVKAIDCLDWNEVEIHLNQFFRGYVEGRRHGNEWPEMLKLKDWPPTNSFDECLPRHCEEFIAMLPFSDYTHPRSGLLNLATKLPDGSRRPDLGPKSYIAYGFPEELGRGDSVTKLHCDISDAVNILLHTTKVKVSSKELKKIDEKRKEYKAEDTGPVSSMSPLKTEPPSKDSCNGDSLCIKKEKEEEDVDESKASVVRDPSIDQNVNGSSQDFQESDDGIGGCNIEYGGALWDIFRRQDVPKLTEYLTKHQKEFRGINNAPVSSVVHPLHDQTFYFDEKHKKQLKEEFGVEPWTFEQYLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPDNVQECIRLTEEFRLLPKTHRSKEDKLEVKKMGLYAASLVIDEASKLMMKNNSESQPDPPIEQVKENLEQSVESMEADVSREGLDDVKNYFLNSQNTDRFPITFPVYRLSSTAMAAVAALRSKSSKATSSLSSVSQFRYFISTHRMHGGRIPNSQVTSSIPTTNKLDEYFPHHHHQHQSFKPFSLSGELVDKGYGFFKSNNKRRLVNLSGGKGSGGFGDPPEVWQPPGDGIVPQPGFKMVPVSSGDDSEASTGGFGSGSGDGNWGGSSLGHSFPTPKEICKGLDKFVIGQERAKKVLAVAVYNHYKRISIDSSRKPAAGHSRNDKTNAMDDDDAVELEKSNILLMGPTGSGKTLLAKTLARLVNVPFVIADATTLTQACYVGEDVESILYKLLTVADYNVAAAQQGIVYIDEVDKITKKAESVNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRTGGVTSASVTSTLLETVESSDLIAYGLIPEFVGRFPILVNLLALTEPQLVQVLTEPKNALGKQYKKLFQMNQVNLHYTESALRLIARKAITKNTGARGLRALLENILMDAMYEIPDERTGKDVIDGVVVDEEAVGSGAKILHGQGALAHYLSQHLQTSADTAADGGAEAEMEAEGEAELPSIAAM >cds-PLY65922.1 pep primary_assembly:Lsat_Salinas_v7:4:138189455:138191444:-1 gene:gene-LSAT_4X86841 transcript:rna-gnl|WGS:NBSK|LSAT_4X86841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSSYLARTIKQRTHSHLFLPFILRSLASQYGFSTLSYSHSTHTLFQAFHQTPGIQYQKLDYNHFSTLHPSHLYQTIDFTQITECADETTKSDVIQLVKLLRSNFNPGEAESSDFLDRSDIKPSDVLINSVIWELKDEWKLAFFVFKWGQKWRCDNEKAWSLMIWVLGNHKKFNNAWCLIRDLYQSSMNTQRPMLIMIDRYAAANEPAEAIRTFQIMEKFKLSPDQNSFYSLLHTLCKHGYIEEAEEFMFLNAKLFPLETHGFNIILEGWCTTFIDILEAKRIWKEMDKCQIIPDEHSYTHMISCFSKVNNLFDSLRLYDEMKKKGWTPNQKVYNSLVYVLSHENCIDEALRILEKMKEMGLNPDSTPYNFIIRTLCEVGKLEDARGILSRMLEENVSPNIDTYHAFLEGVGVNFEESLELVERMGRSGNGPTRDTFVILLEKFLGVDEVENAMKIWVKMKDYEVFPDSKHLVIMVEGLVKHGLKVKGRELYNEMISIGIVEDPKLKKLLKDSLEDECRKSRKSRHEERRVRMHHGKKWFSVKKSRT >cds-PLY81457.1 pep primary_assembly:Lsat_Salinas_v7:5:335459138:335460966:-1 gene:gene-LSAT_5X187701 transcript:rna-gnl|WGS:NBSK|LSAT_5X187701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFFDEESRPRFVLQSRSTPKTTPKSEKLDDLHKPALFVSLSLSALLLTLSLFYFQSDPLQSIFIWFSLSLLVGPFAPPSLTAGDIRVGLGPAIQPQSPRQTPEPEPLKRSRFKTKKPDQDFISVPAVVVNETPKPSNKSDSNVIVVEDKEWSEGDLELLKKQMVKNPVGMPGRWEAVAEVFSGRHKVESVVKMAKSMGERKIGDSDSFSKFLKDRKAVDKRVDEVIENEREQVSNGGSWSSAEDIALLNALKAFPKDSALRWEKITAAVPGRSKAACMKRVADLKKDFRSSKVSSEA >cds-PLY88435.1 pep primary_assembly:Lsat_Salinas_v7:8:86960835:86961433:1 gene:gene-LSAT_8X60480 transcript:rna-gnl|WGS:NBSK|LSAT_8X60480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASGAAGDGVFGNVFGGCISSDDISIERRPYHRNCSCALHRSGETNCPHTSHHKVSYPIRRSWSEGCLVAMLASNSAPSPGSSPCCPSSPAPTAPVTARLPPHVPNHQLNH >cds-PLY90984.1 pep primary_assembly:Lsat_Salinas_v7:8:254347895:254348530:-1 gene:gene-LSAT_8X148580 transcript:rna-gnl|WGS:NBSK|LSAT_8X148580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYLDPVKTSVRDVDFGGFVYKEFLLWHMKLTKGSCDNVYYCIRKESLCDGIRRIDSDADYWEFMEIVYSLKSELDVYIEHRNEPILNWADNELLADGKGYESDELDEEDDKDSEPSERMEYEHECDEEVHTFHKNVGDPFLDKLSGHISDDDEEEANNGKYKDVVFPVHNENQEWEQMVLVLGMKFSNPLELKLCITNYAVKNGYDLWYEK >cds-PLY78152.1 pep primary_assembly:Lsat_Salinas_v7:4:97648941:97650444:1 gene:gene-LSAT_4X63440 transcript:rna-gnl|WGS:NBSK|LSAT_4X63440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFYSQDDSADDYLFKIVLIGDSAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKIEIKGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDITRRRTFDSIGRWLNELHTHSDMNVVSILVGNKSDLKEAREVSMEEGKSLAESEGLFFMETSALDSSNVITAFEMVVKEIYNILSRKVMEVKKPEPSLSGTGKTVVLETDSDQENVTKKAWCCSS >cds-PLY68738.1 pep primary_assembly:Lsat_Salinas_v7:4:186087026:186088731:1 gene:gene-LSAT_4X108080 transcript:rna-gnl|WGS:NBSK|LSAT_4X108080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKIGVKKGPWTPEEDITLVSYIQQNGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTEQEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLDKLHEDTDQETHHPHHGCHSSSSSSYETTMYKGQWERRLQTDIHMAKQALCDALSIDNKTINLPVSSSATTSGKSESVIPSSNQSTATYASSAENIARLLPDWMKCSKKSPQTNSESIETKQTQSSVIYQQLTSPPSEGYDNSVQFSNSNNMIHNNQINNYYSNYSNSDISQSVSPETSRFQDESKPSMENQMPPLSLLEKWLFDEASTQGDLMNISLEESDDFF >cds-PLY88937.1 pep primary_assembly:Lsat_Salinas_v7:8:129456009:129463403:1 gene:gene-LSAT_8X89820 transcript:rna-gnl|WGS:NBSK|LSAT_8X89820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGCTSGFMLIVNAEFDSMGGVIDTGVGISSKPSLQQSSDLEKTQAELRQTFTAAEKFRRELEFLQKGGDPLDLKPGNAASISFQSTSLTADRHLTSEAKGSFAITASPHGDSVESSGRLGAPSVCEPNSADNLMLFDGANNINLSKLDVGHISKESGVDSAVLELPKKSYKRRIRSRPNRDGARSSSTDAVPSRGAHFLPFRHASNSNPKSPNELDGVPAGQSTLGPPHGPYSAVLDANVIQNTQENHQEQVEDMTDSKCPPHADTLKTEIFVGPTPTPTNGVGNMEEVKIRHDDGRNNGAVIPTKVLGDSESSCGQRFDGYDGNSLASKEVVDVVGNNLVAEKDDKILNVDKDKDKNDDGSSIKEEEGMKGSESCSQNELKHSDSTRKDVADGCNTSETERKHQASNDTARHCADVAEQNACSQENLKQATKEHEDSILEEARIIEAKRKRIAELSVRTLPLERRLKSQWDFVLEEMSWLANDFAQERLWKVTAAAQLSKRVAFSSRVRFTKQSSLQKQKEVSHTLAEAVMEFWHMIQVKHEESESQFTKDHGVGIQGYAMRFLEYNSSKAQYNPTEAPVTTDTLSDLGIMDVSWEDNLTEENLFYTVPPGAIEAYRKAIESHLLQFERTGSSMQEEVDTSGYDAVAENAFEEDEGETNTYYLPGGFEGHKSSKAAQKRRKNFKIYGGRSYEMGGDVSFMQTGGTQPSILSGKRPATSLNVSIPTKRVRTASRQRIISPFHAGTSGSVQAPHRTDASSGDTNSFQDEQSSLHGGSQIPNNMEAESVGDYEKQLQFDSMEVSNRPKKKKKVKHPGSTFDHRWQLDSNFQSDQKDHSRRRLDAHQFDSNGSSVASQMSNMSNPNKIMKLLVRDRGRKAKALKTPVGQPGSGSPWSLFEDQALVVLVHDMGPNWELISDAINSTLQFKCIFRKSKECKERHKILMDRNTGDGADSAEDSGNSQPYPSTLPGIPEGSARQLFQRLQGPMEEDTLKSHFEKIIMIGHKQHYRRSQNDNQDPKQLQQPHTSHAFALSQVIPNNLNGGPVLTPLELSEPISSSPDVVPVGFQGPGGLPALNHGTVPPMLPGSGPAPSAPGSSNSVHGTNLPSASSPLNPSVREGRYGIPRAGSLSVDDQQKLQQYNQMLSARNANQSSLPPGSHSVTDRGVRMFPAGSGMGVMCGMTRGIKMARPPSMMNSSTSLLTSGTPTPTSAQGNSLLRSREAMHMIRPNQNTDHQKMVAAEQASQSGSTQGVPPLPPVQSYPLHHQQPPRPISPQQSPRVLNPNSHHPHHFQGPTNPQHPAFAMRFAKERQLQQQRLRQQQQQQQFPTSNPIMPPQDSQLPASSPPLVPSQKHPVPAPAPAPHGIMRNPQTITNNNNNNNQIAKQQQQRPRQQFQQPKLMKGGRGGGGNSMMTSDMNGFSGGGGQPSAADKGEQKVMHHMMYSGKQPPISHSSLSQPQPLPHLQTSKQQKASTDNNNNQNHAPPPPSNNHHKSLQTINPQKLLLTRKVNPNPSESPVTTSQTTTPVNDANSSETPVVMADNANNSNSVRLSPPQTGGAGAETGSSDHAAVEWQQQKPPS >cds-PLY71021.1 pep primary_assembly:Lsat_Salinas_v7:9:72565208:72567545:-1 gene:gene-LSAT_9X58540 transcript:rna-gnl|WGS:NBSK|LSAT_9X58540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNALRLLYGQCCGEPPTTTTAHGGAGDQPLGHHGVSSSSVGVSALAQHLYHFEITSQVPEGLSKHVSSSKKAQSNWYKKLSKAWRESKPPPKTPEEASRLVIRILHTRQIADIQGLLSFYGLPLPHSLVELTGGDAPSVVDGLKFVLHTLPVDPRAVADGDGATVYVSTSDTRESSRVPPEIQMAAVERNEARAQRNFTKADKLLKQIKDAGYGVLHINNEEILARKYRIRLRGIDAPENAMPYGKEAKDELVRIIDGKCLKILIFDEDQYGRFVGDMYCNGIFVQKLMLKKGLAWHYTAYDKRPELAKWEKSARDKRIGLWASSNPEMPWEWRKNRRENR >cds-PLY90023.1 pep primary_assembly:Lsat_Salinas_v7:5:185956124:185959847:1 gene:gene-LSAT_5X82740 transcript:rna-gnl|WGS:NBSK|LSAT_5X82740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHEESAKHAMDILFRFSRPYAAMATVLSVLSTSLVAVERLSDISPLFYTKVLQINKPDLPLPAGELTMNSAIIVTLISAIMDIPDIKGDEMHGIKSLASQIGPERMFWSCVWFLGVAYGVAILIGATSSSTWSKYVTVNDFKN >cds-PLY70314.1 pep primary_assembly:Lsat_Salinas_v7:4:99685215:99686923:1 gene:gene-LSAT_4X65181 transcript:rna-gnl|WGS:NBSK|LSAT_4X65181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGGSTSCPNSPISSQHTRTWPLPDTRSSMTAEDTLSTRLIVRSSSCSSTTDVGNCPPLSKFSSSSLCSRSLNLSISSCQNSFKTNSLPPQPTSMKLGVDSRKARKPQSSQEDIHSLKLLHNHYLQWRYANAKAQVTTQTHKTSMENQLFSFGADIAHLRETVKKKQAELAILQRIKAVSTIIEAQMPYLDEWSGFEEDYTCSLSGTTTALTNSLLRLPVTGNVQVNIKEAAEALDSAVKTTEMIVDHMQTFLPKAEEMDSLSSELATVINIEKALVEECGNLLANTNTSQVKECSLRGHLIQLHCSNVSKQK >cds-PLY77410.1 pep primary_assembly:Lsat_Salinas_v7:9:201020917:201022105:-1 gene:gene-LSAT_9X124981 transcript:rna-gnl|WGS:NBSK|LSAT_9X124981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFHHEHDEEEEEEEEEVGEMALPINTTYGHNHILPLSLHQPPPDMSTITAPPPPPPSMEDISKKMMVVVRYRECLKNHAASIGGKATDGCGEFMASGEEGTLEALTCAVCSCHRNFHRKEMEGDNPSYHRHHHPIPTTSYDYINYHSSSPHLKRLDLGNGRNLILQAHHHHPNGVLGNGGGALVPYNMGMGMGMGMGGFRSESEEQEDRRGAAVAQQVVKKRFRTKFTQEQKEKMMNFAEKVGWKIQRQEESVVQHFCQEIGIKRRVLKVWMHNNKQNLAKNITNSISINAPQPQDPN >cds-PLY86465.1 pep primary_assembly:Lsat_Salinas_v7:8:4141451:4141968:-1 gene:gene-LSAT_8X3041 transcript:rna-gnl|WGS:NBSK|LSAT_8X3041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNIILASKEWWDEKIKDTVVVRDKAKVPCEFGDNSTPDDVQFVDITDGKVDTDEVRLFEDVDHILTYDRSSMKRRGKKLNPKRDNKRKFEGKMGKSMANSSYEEKLDTVFDVLLTRSTQP >cds-PLY77787.1 pep primary_assembly:Lsat_Salinas_v7:2:169870250:169877733:1 gene:gene-LSAT_2X91661 transcript:rna-gnl|WGS:NBSK|LSAT_2X91661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVGMAPLTAEKVDGDSMFVDKLPEEINEMKIRDDKVEKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGQGSFGIVFQAKCLETGEAVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETAYRVARHYSKANQRMPMIYVKLYTYQIFRALAYIHAIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSVGCVLAELLLGQPLFPGESGVDQLVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRTPPEAVDLVSRLLQYSPNLRCTALEACIHPFFNELRDPSTRLPNGRPLPPLFNFKPQVLAHSLNLKPTTSPQTLTSFSHGWIKHSPALSQCRTVHHRFTPGPQKPEGTRKKFNNHTTAQPWHVQIGYTHRPSFTPPSQLLAIGGTLLRQASVXSAGKPIFSSNYQYRPPAVAVQAQAKRGFSLKKEEETASAADMQFEAPLKVVLYPDPILRAKNKLIATFDENLKKLVDEMFDVMYKTDGIGLSAPQVGINVQLMVFNPVGERGEGEEIVLVNPRVTRYSKKLAPFTEGCLSFPGINADVVRPEAVKVDAQDITGEKFSVGLSGLPARGVLFFDRMTAQVVDSIRSQLQELEQKYEDRTGLSSPEKIETRKRWKAAAGFGRS >cds-PLY80458.1 pep primary_assembly:Lsat_Salinas_v7:2:137087453:137090734:1 gene:gene-LSAT_2X66981 transcript:rna-gnl|WGS:NBSK|LSAT_2X66981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSTLLKYKLTVLGDESVGKTSIIIRFTYDKFDSTYQIQDTGGKERFRNLIPSYIADSSYIVIVYDVTNRKSYLNISTWIEDVRTKRGNDAVIVLVGNKTDLVDKRIISNVREYMKPHDNPSPNLSNQQPSPQESQQTPPNRPQEQDIHPQHPQLAVPTPQASSTCESLEDIVKNLVITQQQYIQDTQASLKNLEKAIEQLVALVGKLEAKEWFPSQTEVNLT >cds-PLY68826.1 pep primary_assembly:Lsat_Salinas_v7:3:63280706:63288391:-1 gene:gene-LSAT_3X49220 transcript:rna-gnl|WGS:NBSK|LSAT_3X49220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDIKKEKRGVSWLEMEDKTYAFASVKKGDPRFIEYDVLMKINFATIRSFSKNPDVDVVDEMKGDCYGYLSEEIAVSYALMNTHVKAPICVIKKSGKIDGGCHTLMKLLSEVTVRSITIRFGCEEGPTAYGAARESQQEFFRSAVSSERSFSVKGIGSGDDILFLSWKPRAFVYHNILSKEECDYLINHANPHMENSTIVDSKPGQIKDSRLRISPGTFLRRGSDKIIRDIEQRIADFAFIPVSTFTTDGADRYRAFKKKETVLTALGPGEIASSPYYRPDVEKFDFC >cds-PLY72859.1 pep primary_assembly:Lsat_Salinas_v7:5:167486048:167490102:-1 gene:gene-LSAT_5X74600 transcript:rna-gnl|WGS:NBSK|LSAT_5X74600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLGCIKSLNISLLAKWTWRLKTEDFALWARIIRCIHKLDGRHWSVLTNKSNKGVLMNITKSRSYLERSNIDIKEIISWNSNDDRWESEFTVEGCFIVLGVVEYEDDPWFPFKFDDEREPYESQSDVNSSSDEEYEGEHIKDFSDGVQVDKAMNGNEVEEGEIVAEEFDVPPTPPPPLPRDDVLMDADGSVVAESLRDCITENESSLETMDSE >cds-PLY93872.1 pep primary_assembly:Lsat_Salinas_v7:5:332022617:332023069:1 gene:gene-LSAT_5X185420 transcript:rna-gnl|WGS:NBSK|LSAT_5X185420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRQFIENTCKGTPSYNLCLSILLANPKSQNADLTGLALIVVDALKIKGVKTLQQIDSLKKSMPELTPALMQCGDVYKIVVGVDVPLTINALNLGNPKFDEDGMADTSIESQACERSFQEHGQTSPLTNMNKDMEDVANVARAIIRMLL >cds-PLY82741.1 pep primary_assembly:Lsat_Salinas_v7:2:147230690:147232465:-1 gene:gene-LSAT_2X74301 transcript:rna-gnl|WGS:NBSK|LSAT_2X74301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIFSSTDSLPFVVYLGIDDVVDAGVDDWTDLDTDDWLDDVGKDDWVDDSCVHDVAPIVPTCETNVGADTVPTNDNVVWDDDDILPTNFASSTENKFIISTKLTVEGPLRIKEEYTEGVLETPTVDEGTIPEQLKGALTQALNTMQQLPSPIKEVVSGGLRLPLNGAFQRMLMISYLDEEILILRDTSGVPEVLTRLDVDQSPIDPIQEYES >cds-PLY70461.1 pep primary_assembly:Lsat_Salinas_v7:1:72823539:72825309:1 gene:gene-LSAT_1X64681 transcript:rna-gnl|WGS:NBSK|LSAT_1X64681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNRSPMRVLIRPPPSSSITLPSTTTVLQPPAAIHQPPPPPPSALPPLPPPPPPPTTFRQNSVVVVGFVGRRKGDVSQLINRIVDANVFGSGDADVPLGFEKTGEIVTDEVKDWFKQRQISYYHEETKGVLYLQFCSTKCPVMEGFSEVPSGFDSVFEKQEFGDLQGMLFMFSVCHVIIFIQEGSRFDIQNLKTFRVLQGAKHAMFPFIKSQTQQSTTSRSHTSSSSHTSPSRTPSKNRSPGKNGPPMARNPSSVSLMSGLGSYTSLFPGQCTPVILFVFLDDICESGSSSSSSSSSPSNPDESTQSRSTLPMKGSGSVVVLSRPVSKPEGGFKKKLQSSLEAQIRFSIKKCRVLSGSETGPPGSRNGPGPISSHMPLFSLDGSKAVLLLDKLSNNSGESLEFATSIVENVLEGISTSDSLLLETHSQSSNKEDILSLKEFIYRQCDILRGRGSISVSGGGGGMVAXXXLPPR >cds-PLY92922.1 pep primary_assembly:Lsat_Salinas_v7:3:115578502:115581733:-1 gene:gene-LSAT_0X34120 transcript:rna-gnl|WGS:NBSK|LSAT_0X34120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGENTLIEDVPILEMEDEDQNDGSDLDDSEHEDTLDYTSSDSNSNTETTKKTRKRGLTRLPKLRTEHTNSGGRKKHVKFDEFGRFAGKYRSQLPTYLGDLVRERVGVSVFNWKKVTKEVKEKLWEEITRFYEIEDSRRKYIMNRLGMLLRNIRRKLYAKYIEPNLGNTAKLKRIPKRYRTIILNQDHWDKFVEHTQSHKFKDTSRKAIVARSKSNYNHRMGRGGYTALREKLVFSVDKNIESHATPVTNTIIEKTPIINKASTNETVTRVMAKATKITIESKSATTNSHPKTKDTHSPKLSQVPRMGSTQDDEHKSSKKQRKSLTQDDEHKRSKK >cds-PLY84580.1 pep primary_assembly:Lsat_Salinas_v7:1:28862700:28864172:1 gene:gene-LSAT_1X24360 transcript:rna-gnl|WGS:NBSK|LSAT_1X24360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSYDELHGSNNWDGLLDPLDHSLRSLILSYGDLCSAAERAFNDDQGSKYCGYCHYGKSSFFKGLMLPWAESKFKVTSFIYATSSIELSLLIPRMPRKADSAFDSNWMGYIAVSNDEYSKSIGRREICVVWRGTVRLYEWMDDILGATPVPAEPLVPLPPVTLTGDEVKNIPQIMAGWLIIYNTSDPNSEFLKTSARTQLLGRINELLIKYKNEKVSITCTGHSLGACLATLSAVDLAANVATPGVNVSAFVFESPQVGNEAFKSKMEEMGNLKVLRVKNVPDIIPFWPSKLLKWVNEKHWVTVPSDLLEYVDVGIEILIDTKKSPYLKQENGLNGMLHPMVFHNLEGVLHTLSGWTGMNGEFDWSLEKRDLGLVNMSTDYLKEELKIPGTWWGEKNKGMVLNDDGHWVLSPIDPNDQDLPVY >cds-PLY62578.1 pep primary_assembly:Lsat_Salinas_v7:9:74770958:74771329:-1 gene:gene-LSAT_9X62321 transcript:rna-gnl|WGS:NBSK|LSAT_9X62321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSGEKTIPEKIDFRERKFYPEIGLWILIALEKALARVGSRAGGGSHRTRGQWLKMRAMWRSGDDLRCKEREVDCQFREKGFTNAAEPVLTMDGRVGRRLVSRGDGVIGHAWLDGRNEEEEVE >cds-PLY95805.1 pep primary_assembly:Lsat_Salinas_v7:7:93589420:93591455:1 gene:gene-LSAT_7X63620 transcript:rna-gnl|WGS:NBSK|LSAT_7X63620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARNKSQKKSFLSFFSNFRFKKGRRGADEAWDDSMKAYKVYPSDQDRGGRWADPRIDSKASAYIHERTNRWNNVEKRHQTCNSLLRPTIPDLATISYHHWRPDHVKHTIEIGDTSTHCEAKLFEIP >cds-PLY68641.1 pep primary_assembly:Lsat_Salinas_v7:8:97864567:97866580:-1 gene:gene-LSAT_8X68860 transcript:rna-gnl|WGS:NBSK|LSAT_8X68860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-C4 [Source:Projected from Arabidopsis thaliana (AT5G20500) UniProtKB/Swiss-Prot;Acc:Q8LFQ6] MARSATIPFICIILLTITAFYMCNAEESSVDFVKKTVSSHPIVIFSKSYCPYCKRAKGVFKELNKKPYVIELDEREDGGKIQNALGELVGRRTVPQVFINGKHLGGSDDTIEAYESGELAELLGISSSQKTDL >cds-PLY77684.1 pep primary_assembly:Lsat_Salinas_v7:9:18418416:18420499:1 gene:gene-LSAT_9X13540 transcript:rna-gnl|WGS:NBSK|LSAT_9X13540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLCSSQLFLQVFVIISLLPLVFADLNSDKQALQAFAAAVPHGSKLQWDNSTNICTSWVGITCTPDATRVLIVRLPAVGLTGPIPSNTLGELDALRVLSLRSNFLNGSLPSDLLSLPSLRSLFLQHNNFTGNIPDFLPRRLHILDLSYNFFTGNIPATIQFLSRLTGLFLQNNSLSGPIPNVTFPKLKHFNISNNHLNGSIPSSFKALPSASFLGNPFLCGVPLKSCLHHPPSSRKFKFPLWAIIAIAAGGGVAVLVLVIIFVLCCCLKKESGDIRRIERGKSFTNRNGQKPSEEFGSGVLDTDNNKLVFFQGSSYNFDLEDLLRASAEVLGKGSFGTAYKAVLEESTTVVVKRLKEVAAVKKDFEQQMEIIERVSHHHNVVPVRAYFYSKDEKLLVYDYFSGGSLMSLLHGNSGSGRIPLDWETRIKIALGTARGVSHIHSFGGPKFSHGNIKSSNVLINHEMDGVISDMGLVPLMNFHPAPSSSHAAGYRAPEVVETRKHSHKSDVYSFGILLLEMLTGKQPVQSPGRGEMVDLPRWVQSVVREEWTAEVFDIQLMRFHNIEEEMMQMLQIGLACVVKSPDSRPTIHEVVRMLEQIQLYNFENRPPSE >cds-PLY98444.1 pep primary_assembly:Lsat_Salinas_v7:1:10128335:10131762:-1 gene:gene-LSAT_1X8300 transcript:rna-gnl|WGS:NBSK|LSAT_1X8300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQLKPRRSVVRGETGKSSDPAVDSKMRAESPYLHLSKKVQDYILQTKNMPSSSPFNHEDASITPPSVSDKTNDEGNPISLDAERSQPSFGTSCTSNNTACSLGMERDNGPKKVQFALQKNTRFHEMESNGSNQLDVSAAAHQSFQNMDVDLCFKTNDDQNHINPFRNFLQRDFGHNMTQSSVVGSSCATTTLVNSQNAPMLNSTTNCSHPQQNSIQTGEYSHVQNQPLHSDHLGSLVPSASVGSNLEKKHEFSKDQQGKEEGYSGMTKDPPLSAEISTKGNTFAADITDIQSEAPISDDHFSNVKTEASKSEKKEKSSRKRNYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISQNCTIYALKKIKLKGRDYATAYGFCQEIEYLNKLKGRDHIIQLIDYEVTDKALMKEVMSGSVSNKNGKVKEDGHIYMVLEYGEIDLAHMLSHKWKELDNSKPNSTIDENWLRFYWQQILLAVKTIHEERIVHSDLKPANFLLVRGSLKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPEAFMCNETDAQGNIIKCGRPSDIWSLGCILYQMVYGRTPFSDYKTFWAKFKVVTDPHHNIQYQPVSNPCLLDLMKKCLAWDRNQRWRIPQLLQHPFLVPPLEQQQDNTNNCKFIRLVSESCVNNPKALMICGRIEELIRDPATTTTEESRCISGLLYEMSKLCVQLKEEFEDEQR >cds-PLY99902.1 pep primary_assembly:Lsat_Salinas_v7:7:16092303:16093196:1 gene:gene-LSAT_7X13180 transcript:rna-gnl|WGS:NBSK|LSAT_7X13180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPEKVTIMVLDVDLKCSQCYKKVKKIIRKIPEIRDQEYEVYNNKVKIMVVCGNPEKIRDKLCYKGGNSIQKIDVIAEKLKDPKPADKPKEKGDHAPPVVKKPDPKPEMARMASGY >cds-PLY93017.1 pep primary_assembly:Lsat_Salinas_v7:4:193280404:193282136:1 gene:gene-LSAT_4X110821 transcript:rna-gnl|WGS:NBSK|LSAT_4X110821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSFIHWLPFLLILADARRPHFLKTFNVLSYGARPDRITDNSMPFLRAWKDACEHDGGGRVWIPRGEFKLDSIVFVGPCKGPVDFVIRGLLEASTDPSKFFVDHWIAFKYVDQLVVRGGGYLLGNGRSAWQFNDCATNSRCKPLPVTMRFDFVSNSKVNHIHSIDSKNAHFNLFACHNMNMSHIRILAPATSPNTDGIHIGSSTKIKITNSLISTGDDCISMIAGSKDIMVSEVHCGPGHGFSIGSLGGSQNEEHVNGIYIQNSTLRGTQNGLRIKTWAPSPPSLASDFTFEDIIMENVNNPIFIDQQYCPMPPCNGLAQSNVQIKNVTFRKVHGTSSSKIAVKIQCSKHVPCEGVNLVNINLEYRGPEGPAASSCMNVKGKSYGSQLPAGCL >cds-PLY94626.1 pep primary_assembly:Lsat_Salinas_v7:8:144841327:144844135:1 gene:gene-LSAT_8X97660 transcript:rna-gnl|WGS:NBSK|LSAT_8X97660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISDFYALNHSYKTRIVLHTRDSEGDPVKALSAVDHLLKNAKVQAIIGPETYLPSKLLSLFADKAEVPIFSFASSSSRENPYLFQIKQDESIMAKSIAALVKSFNWRNVIFIHEDTEDGREILPYLAESLQDKNICISYRSAISASATHDSITQELRKIMTFHTTVIILHVSPSLASSLILNAKRLEMVSEGYAWILTEKTVDLLRSTNFTVIESSQGALGFRSYVPPSDRLHILTTKWHNFFYGNNFTSITKEVPVPALWAYDTIWALAESVEKVGVPHNGSMLLHEVLKIRFKGITGDFQLSEGKVLSNGYEIMNAVDNGERRVGYWTLSKGIRRAHPHINIDRLYPSLGSEAVVWPGGSTTAPKGWVLRATPSKKLKFGVQNINNFKYFMDIDHDVEKNVTTATGFSADVFYTCICALPYKVPYTFISFDNVSIDDLVQKVYNEEIDAVIGDSTILANRSEYVDFTATYTDLGVGTLVRIKKKDVWFFLKPLLDIYLCLVAIGSLIFNALVVWAIERMNPDSKVSRGTVSPTSFLLTILFAQRDNLSRNLSKFIMFIWFIVLLVLFTSYDAFLTSLLTVEQFESASERGIVGFHGGDFMKGVTVNNLHFENWNHRPYYSYEAYAHALSKDGEADAIVDEIPYIKMFLSRYPGDYALVSSQPITSGFAFIFQKGSPLVEDLSREIARIRLDGTLRSLENKWYENQIPLPSRNSTMPELSLTLDKLGGLFIISAATSTLALIISFFYLLHVMVTEGFNYLAIDGLEGF >cds-PLY83912.1 pep primary_assembly:Lsat_Salinas_v7:5:9843720:9852060:1 gene:gene-LSAT_5X5700 transcript:rna-gnl|WGS:NBSK|LSAT_5X5700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASITIRNLVLYTTNENWQKLEWEYLCMDLLPHPDMFADPSQSKKHDKGGAKRITIQRTEHNRPLGMELQVHITEAVCPALSEPGLRAVLRFFAGVYVCLNRGDVTPNAQKATVSDGAIAKCLTRVTLGGLILRDTFSRPPCPLVQPSMQDAADEPLHIPDFGKNFCPPIYPLGDQQRTQDDRVPLMSLHCLKFMPFLPPPEISSHTVIECKPLTIHLQEESCVRISSFLADGGVVNPGDISPDISINSVQFNMKKLEITVPLEPRKPDHPTYFHHHSSSFNGARLQIHNLHFSETPFLKLGLLNLDMDAACFCLWEGQPIDASQKKWSIGASLICLSLETCTNPFVPNPSRIRSSELELWRCIEMKSVCIQVAMVTADGTPLTHVPPRGGVVRVGIACEQYLSNTSIEQLFFVLDLYDYIDDVSVRMAMAGISKPTKIVRSECLDHESLSEKVPADTSISVSVKNLKLTFLESSSVDIQGTPLVQLVGDDLLMKVAHRTLGAAMAISSNLQWEKVQVECAETDRSLSEMRGVFWVQNKRNSNGVPIPFMNISVVHVIPYNPEDIKCRSFRVMACIAGVRLAGGMNYNEALLHRFGILGQDGGPGDDLSRGLHHISSGPLSKLFRPSSPFAEDIETRDEGVGSSSSSYLQLDEVNISIELKDWIFALEGAERLKLEVEDSCSREKRSWHTFFESFVVKANGSKNQKVKNSIQGEAHKYPVQVVKVGIEGLKTLKPESPTKGSADQRHSGVDIKIDVVPCEDKAGNITWAVENLKFSAKQPIEVVVRKEELQHVAHMCKLEIDSMGRIAAGILRLLKLDGTVGQATLDQLSRLGSDGFEEIFSPRKVKNDTSTEVPSGSMNRSPSFLAPVDDKESCSCLDPTLASLEAELLESQSNCAELRSSESSSNPHLQHLAQKLETMHRLLARLRDQVQVIKERERCTFEMINYRGLEDVLL >cds-PLY81969.1 pep primary_assembly:Lsat_Salinas_v7:9:153218818:153220063:-1 gene:gene-LSAT_9X96840 transcript:rna-gnl|WGS:NBSK|LSAT_9X96840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLETLTVPRTSGFPSASLSPIVSSPLSRLSGRRNIIKLPESRGLRIQSFGSSGSVSLRAKSGRRGARIVCEAQEAVQVLPVTDATWQSLVLESGLPVMVEFWAPWCGPCRMIHPVIDELAKEYNGKLTCYKVNTDESPSIASRYGIRSIPTVIIFKDGEKKDAVIGAVPKSTLCSCIEKFL >cds-PLY72686.1 pep primary_assembly:Lsat_Salinas_v7:6:28351715:28352874:-1 gene:gene-LSAT_6X22340 transcript:rna-gnl|WGS:NBSK|LSAT_6X22340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGDWNCRSCQHLNFQRRDSCQRCGETRYGGGGGVFGGRGSIISPSAFGFTGPDVRPGDWYCNVGNCGAHNFASRSSCFKCGAFKDDLACLAAMSTTLQAEWNVLGATHLGNRVTSLLIKQVAAFPVPGIMDLLSTKQEER >cds-PLY63963.1 pep primary_assembly:Lsat_Salinas_v7:3:172777121:172780849:1 gene:gene-LSAT_3X106281 transcript:rna-gnl|WGS:NBSK|LSAT_3X106281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQILEGLQWKVRVKAALIKQSKTRLIRITPGYIHKPGRIGVVSRSGTLTYEAVYQTTIVGLGQSTCVGIGEDPFNGTKFVDCMRKFIDDPQTEEHASWSGCFYVRILQTGLAAVGAPENLVEVMTGFAETGEALVSSVEKIIFDGSPVADTLIPVTLELGGKDPFIVCEDVDVAHVAQVVVRASLQSSVQNCAGAERFYVHKDIYASFVAAVVKIVKSGPPQLGKYNMGVICMQDHSERLQSLINDALDKGAEIVGGGNVRDISEGAVDQYFPPTVIVNVNHRMKLMQEEDGTPLPGNNTRDHPGDNNEA >cds-PLY69712.1 pep primary_assembly:Lsat_Salinas_v7:2:41088407:41101434:-1 gene:gene-LSAT_2X20080 transcript:rna-gnl|WGS:NBSK|LSAT_2X20080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSIEKDDVPMLTTTNWSTDSYDHNINRRNLQRSRTAVSISIPSSSIEMNPGATNRVGFTGPLRSERKTRDVQRQERELVERVRTKNYSHQSEHLMKSGQLGMCDDPYCTTCPAAYKARSMSRSEGFRHRFDGVWYEGAKLWAKDKLLLIKSFAFGVMNPHAKAVQQWNQFIVISCLFAIFIDPLFFYLLSVKKDCKCIIINWPMTKTIVVFRSVTDLIYFMHILVQFRLAFVSPESRVVGAGDLVDQPRKIALHYLSGFFFLDLFIVLPVPQIIVLLFLPSATSGATYAKNLLRSGVLSQYIPRLYRFLPLLAGQSPSGFIFESAWANFVINLLTYFLAGHVVGSSWYLLGLQRVSECLREACRQSTLFPNCLKFIDCGRGDDFQYLARDRQWNNWKQDVSSSSCFTDQDGFPYGIYQKAVNLIAEDNIIRRYVYSFFWGFQQISTLAGNQSPSYYVGEVLFTMGIIGLGLLLFALLIGNMQNFLQGLGRRRLEMSLRRRDIEHWMGHRHLPEDLRRKVRESEHYNWAATRGVNEEMLMENLPEDLQRDVRRHLFSFVKKVRILAMMEEPILDAICERLRQKIYVQGGTPLYQGGVVTNMVFIVRGKMESIGEDENHVPLSEGDVCGEELLRWSLEHSSVNGDTKNDRKQGGRLLSDRTVKCITNVEAFVLRAADLEEVTTLFSVFLMNQRVQFAIRYESPYWRSQAATTIQVAWRYYKKRKNRAKTSHGRTTLPSKWSALQQRVASR >cds-PLY64253.1 pep primary_assembly:Lsat_Salinas_v7:7:3939699:3940999:-1 gene:gene-LSAT_7X4020 transcript:rna-gnl|WGS:NBSK|LSAT_7X4020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSLLEIEEQQDKEQDEAQEHRRKCEIEERNALKAYLKAQRALAEANTKCSYLYHKRSCSGRQVVEDELDETKIILHKTIYSILERCKKLDSLGEKSSDLNAASQVHL >cds-PLY91088.1 pep primary_assembly:Lsat_Salinas_v7:9:124804133:124810498:1 gene:gene-LSAT_9X81581 transcript:rna-gnl|WGS:NBSK|LSAT_9X81581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGATIGQPLLILFILFSFTSAQNNDYTFLRALKDAWQNTPPSWEDNSDPCSGWDGIRCTNGRVTSITLASMGLTGSLTGDIGQLSELQILDLSYNTGLTGPLVPAIGNLRKLTNLILVNCGFSGPLPDTLGNLENLIYMSLNSNRFTGSIPPSIGNIENLYWLDLADNRLSGSIPVSSGTTPGLDMLIHAKHFHFGRNMLTGPLPARLFSSNMTLIHLLFENNQLTGPIPSTLGLVKSLEVVRLDRNRLTGDIPSNINNLTGVFEMFLSNNRLTGPVPNLTGLGVLNYLDLSNNTFDESTVPSWFSTLQALTTLKMSNNNLVGVLPVNFFSIPQLQNVDLGGNQLNGTLNIGSTHSNQLQQVDLRNNQIGDFTQRSEYNIELILAGNPICMESGVTDKFCNLPTVTTSTYSTPPSNCVPTSCPSGQASSPNCQCSFPYTGNLFFRAPSFSDLGNTSVYESLRNAMMISFQRSQLPVDSVSVRNPMKNLDDYLVINLQVFPSGNDRFDRSGILGLGFSLSNQTFKPPAAFGTYFFIGENYDFLLGSSGSGKKSSNTGIVVGAAVGGCVLVVLLIVAGMYAFRQKGRAERATHESRPFALWDTTTGSGGVPQLKGAKAFSFEELSKCTNNFSETNNIGTGGYGMVYRGSLPNGQLIAIKRAKQGSTQGGLEFKTEIELLSRVHHKNLVSLVGFCFDQGEQMLVYEYIVNGTVKDSLSGRSGIRLDWTRRLRIALGAAKGLQYLHDLADPPIIHRDVKTNNILLDERLVAKVADFGLSKSLGDANRTHVTTQVKGTMGYMDPEYYMTQQLTEKSDVYSFGVVLLELITARSPIEKGKYIVREVRNAMDKSKELYNLHEVLDPTIGLSTQLKGLERFVDVSLRCVEETGSKRPTMSDVVKEIEGIMELAGLNPNAESAANSAGYDDGSRGSEHPYTNDSLFAYSGDHFPTKFDPK >cds-PLY83784.1 pep primary_assembly:Lsat_Salinas_v7:4:39408874:39412701:1 gene:gene-LSAT_4X26601 transcript:rna-gnl|WGS:NBSK|LSAT_4X26601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAMTFCSKPVTSPPGLFIGRSSGIKSSQCSFLVGNKLNFPRQRVQASQKARKSGKHEGALGATCRAEKILIANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAPSSQSYLLIPNVLSAAISHGCTMLHPGYGFLAENAVFVEMCRGHGINFIGPNPDSIRVMGDKATARDTMKNAGVPCVPGGEGLLQSTEEGIKLADEIGYPVMIKATAGGGGRGMRLAKERDEFVKLLQQAQSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGQFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRMTQDDIVLRGHSIECRINAEDAFKNFRPGPGRITSYLPSGGPFVRMDSHVYTDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALNDTIITGVPTTIEYHKLILEIEDFKNGKVDTAFIPKHEEELAEVRVNTNLNLYLN >cds-PLY66333.1 pep primary_assembly:Lsat_Salinas_v7:5:289261609:289264124:-1 gene:gene-LSAT_5X157880 transcript:rna-gnl|WGS:NBSK|LSAT_5X157880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor 49 [Source:Projected from Arabidopsis thaliana (AT3G56660) UniProtKB/Swiss-Prot;Acc:Q9LXX4] MAEEVQAVADPLASLPIPPLSSSIFSDDLTLPDDFLPYDDGDFDITFDDLHLPSDTEDFFNSAFNPTNPIDLSSSDPEFNDFVSDPETVVAESGNLSEHQGSDVSGFLNIPSPDNSGKDFLGETVMVLDSLSPESRTENPVSSQGSGNCGSAGSDAAMNCPSPDSGNSVVDQKVKRESGSNFMLKRKKETPEGNSESRTIKYQRSNGTSTTTENSNEIDGKDEKKKARLIRNRESAQLSRQRKKHYVEELEDKVRAMHSTIQDLNARITYFAAENATLRQQMVAGGGGVVCPPPVMYPPHPAMPPMGYPWMPCPPYVVKSQGSQVPLVPIPRLKPQQPTSSQKSKKVEVKKTEATTKTKTKTKKVASISFLGLLLFIVLFGGLVPIMNVKFSGVTDGGFSGVSKDYMFYEQQQQPGRVLMGDDHVNGTNHRTGITNGRAHEHNASEPLVASLYVPRNDKLVKIDGNLIIHSVLASEKAMASMEEQGMTKKEDTGLAVALDLVPAISIPKAGRNSGRQPHIYRSSSDHQRILSSDKEKLKSKHADGKLQQWFREGLAGPMLSSGMCTEVFQFDVSVASASGALVSATSTANITAEDRHNSTYITNVKNRRILHSLPIPLSATTTTTNITKEQVAGNSSKHEEHHKNNSVSSMVVSVLFDPREGGDGGGDVEGMMGGAKSFSRIFVVVLLDSVKYVTYSCMLPLKGASHLVTA >cds-PLY72494.1 pep primary_assembly:Lsat_Salinas_v7:2:139885369:139885716:1 gene:gene-LSAT_2X68280 transcript:rna-gnl|WGS:NBSK|LSAT_2X68280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILPSFSLANGQRPEILCPSLPSMLFPSTQCPKSLALLPPLLAKPWLTPRSRKRRGRLHSFPISFSLVSLDPPLRTLLTEKQERSPAAPFTSLRRLVNPGDDLPRCYQSTHDLRD >cds-PLY66917.1 pep primary_assembly:Lsat_Salinas_v7:7:17296204:17301125:1 gene:gene-LSAT_7X13481 transcript:rna-gnl|WGS:NBSK|LSAT_7X13481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKENGSNVQVTHGGRIRRRKGSNEVPGDVGQSNGNNHLLVNDQNKYRSMLIRAYSSIWMIGGFIFVVYMGHLYIWAMVVIIQIFMAKELFGLLRKVNEDKQLPGFRHLNWHFFFTAMLFVYGRILSQRLVNTVTTDKFLYKIVGNLIKYHMVTCYFLYIAGFVWFILSLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIVINDIAAYFFGFFFGKTPLIKLSPKKTWEGFIGASVTTIISAFVLANFMGRFHWLTCPRKDLSTGWLQCDPGPLFTPETFILPGWLPEWFPWTEMQVLPVQWHALGLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGMTDRMDCQMVMAVFAYIYHQSFIVAQSVSVGMIFDQIVMNLSYEEQKVLYNNLGQILQDRQFGES >cds-PLY93751.1 pep primary_assembly:Lsat_Salinas_v7:6:142105248:142113242:1 gene:gene-LSAT_6X84720 transcript:rna-gnl|WGS:NBSK|LSAT_6X84720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQGGRAFVSALSVGIGIGVGLGVGRTVNRLTAGNDSSTNALTPQIMEREMLGLIMDGKDSKVTFYEFPYYLSEQTRVLLTSAACFYLKNIDFSKHTRNLAPASRTILLSGPAELYQQMLAKALAHYFEAKLLLLDVTDFSLKLQSKYGPSSKPNSLKRSISETTLARMSGLFEGLSVIQPKQERKGGLRRQSSVADLGSRGAEPLQNPPNLRRNASTAANMDQFASNNAPVNPGPILIIGSQVVDHDQDFGVVDERITAVFPYTIDIKPPEEENHLVNWRNQLQEDMKMIQFQDNRNHISEVLAANDLECDDLGAICVADTIVISKYIEEIVVSAISHHLMNTNNPHYRNGKLVICAESLSSGLSLFNEGRSVKTGPPQEVPPDNEFEKRIRPEVIPANEIGVTFADIGALSEIKESLQELVMLPLRRPDLFIGGLLKPCRGILLFGPPGTGKTMLAKAIASEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRSKGGEHEAMRKIKNEFMTHWDGLLSKSGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSVEQRETIFKTLLSKEQVVEGLDFREIANMTEGFTGSDLKNLCTTAAYRPVRELIQQERQKDLEKKKKAESGDSSESPKRVITIRPLNMKDFREAKTQVAASFAAEGSIMSELKQWNDQYGEGGSRKQSTQHLTYFL >cds-PLY96945.1 pep primary_assembly:Lsat_Salinas_v7:4:174564647:174564871:1 gene:gene-LSAT_4X102740 transcript:rna-gnl|WGS:NBSK|LSAT_4X102740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALMGGFARIGNNEITVLVNDAEKSGDIDPQEAQQTLEIAEAALRKAEGKRQTIEANLALRRARTRVEAINAIS >cds-PLY84150.1 pep primary_assembly:Lsat_Salinas_v7:6:190006125:190007447:-1 gene:gene-LSAT_6X116661 transcript:rna-gnl|WGS:NBSK|LSAT_6X116661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWVPTGLHHLLSQPLWSFPQFVHQLLFLLVCMRVYKQEEVMDLLGKRQSLRVVSSSDEETEYNDVGLRPRKFRKTVSVARLVGDIGDILGGHFFVPKQREVVVVPSSLGSSPSRSAGALSHSPSCEAYALGWAITKDSLLSKYTTAQEWSRCAHPPTTMSSLMGQSSARMADDLCYTAAQTSTLMVASADRVLLAGKGVDRVIDRVIESVEFAKGVQEVREACEAFGFEKGRQLSGCSASSGKFEVLGPGQVASRAKEVNIALTSFFETDFAGLFQLGELDYGGFRQFCGKLSLGGSSSDSEG >cds-PLY86910.1 pep primary_assembly:Lsat_Salinas_v7:5:260071882:260075303:1 gene:gene-LSAT_5X130180 transcript:rna-gnl|WGS:NBSK|LSAT_5X130180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEKPSVVLDRERSGAGKGIKIKENNVAFSNIIPNQHQNSGIEDFVSYVKSCPLHYALCDALEPFYPKQVCELFYSCIVDVDAQTISVTIGDGHSWVNITATSICMALHLPQLDNYYEAPSEVKCRSILQHLGYDFTLQGNPNKYTLRQCFRARWKYLTGVIGKCLRHKTRSLEQLNLFKQHIVYVMACNKKLDFAQIVFDQMVECFTENKKSADVPYPLWLVLLMACTGTGYNNNHGEYMPFLVLSSKIINASPTNGDPHLAQRMENWVQNPYYIEVPHATHMADHKGNNGDEDEAEDEEDSNHSSANSVMLIMKTKILMTLRLILTWSKFHLRDWLAISFQG >cds-PLY69429.1 pep primary_assembly:Lsat_Salinas_v7:3:153655487:153658456:1 gene:gene-LSAT_3X96441 transcript:rna-gnl|WGS:NBSK|LSAT_3X96441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEVTKDLRPIIHEDSIPSGKTGNLSKNWEIRKDAIVISSLNSDLNQVRSHMNNISAESCPASDLLVNNSNSGQTETIKSKCDTRVNSNLGNHDFLNPMNDIPDTIMQMIPQIHSVGGYGLSYSSSSAVRIXVRGYGLSYPSSSAVRIRFLLNTPGMVALDLDTTLKGLFQKIVDHLENIPKPQGENIFAITCDLSLTRIGQDAILYVESLIESIMGGLEGLINILDSEGGFGSLETQRSWEPSDKADLHFVYKDVEGAATQWDDIQTKLGNLPPKPSAFKPDPFTPIEDEDSKPKTKSRIDNKT >cds-PLY77131.1 pep primary_assembly:Lsat_Salinas_v7:7:183586640:183589776:1 gene:gene-LSAT_7X109101 transcript:rna-gnl|WGS:NBSK|LSAT_7X109101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSIDGQAEDMDAQCETIEARLQSFLSQFQSELGVLDRIIHKNKNQHRRSSYFQYLLKVRRDCKLLQSTNLEEIVNSSFLVINGNRPKQKVQLLESLKRRKCEGVKHNFLVRLQGAARLLSQMVEPMVKAAIEISTLLARSFFMGFSMTVLAILARLRVLTQQILLDVVVVFNMVSSLSQKQQSVRLNQEGIEVFREYYPKTEQEVVFLECVWETDKFVLVERKSELDNKNPEATNVDVICKEGLSTVEYQSIETILGADNPSEEDPATIKADNLSSVENLLTHDCIQDNDQNAEKDHSEPSSNNKEQEQITSLNLLDNNLDSFKPKPELKKKVAFVSVKRPEPLKTNDTMGVLIKPNDGVNANSEKEDLFFSLLTGGS >cds-PLY68146.1 pep primary_assembly:Lsat_Salinas_v7:MU044714.1:29294:29867:-1 gene:gene-LSAT_0X33000 transcript:rna-gnl|WGS:NBSK|LSAT_0X33000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYPRLPEAHMLDFHALMPSDGSNVQLKFLEILDSVIAMAKSTFNKLFAHTMLAYRSGSLNQGGGGLVADLVERNVEVTGELQGDAHGVMMA >cds-PLY67822.1 pep primary_assembly:Lsat_Salinas_v7:8:187249096:187252989:1 gene:gene-LSAT_8X122001 transcript:rna-gnl|WGS:NBSK|LSAT_8X122001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCVGSKPDDSPAVALCRKRCQFLDEAIHQRYALAEAHLAYFHSLKSVGDSLHHFFDLQYTAAGGGDGLSSPVLNLPDQRKGDSSGLPAPPVTSAPVVAQRHSHSNSGSSHLHFHTDSDEDSGDEDESLHLHSENGGSPPLHQPRYGNLSYIDQHESLEGSYLPTAYSYSTPGYPPAGYPPAGFPPAGYSSGGYTMNYMKKQPTPSVLYQQRPMSSEPIRYGEASTSSYSSNSYNNQNPSTYAYNNDYSNYGEFFGSSSQQQQPYAGISPPPPVLQAEASSSNSKPPPPPPPPPSTAWDFLNPFETFEGFYPPYTPSRDSKEVRDEEGIPDLEDEDLYQQEVVKEVHGNQKLVDGGGGGGGGGVDGHKDGGDSGSGDGKKAAVVEEKSEERAAADLHYRSVPKVVAKQDDPVEYEVHVVDKEVVDNQKKPLVFQNDSEIVKELQTQFDRASDSGNELSKILEVGKVPHNRKHVAYQVPSKMLNVFTPSLALTQADPATLDTDVDLLTKSKNLSSTLHKLYLWEKKLFDEVKIEEKMRLLHEEKKRRLARLDEKGAEPHKIDATRIFVRSLSTKIRIAIQVVDKISDKINNLRDEELWPQLNDFIEGLTRMWRSIHECHHTQCQAITAAKRIDAITSQKHVTDANLEATLQLEHDLLNWAIRFSCWFGAQKGFVRSLNEWLLKCLMYEPEETVDGPVPYSPGRLGAPAIFIICNQWAQAMNRISDKEVVDSMREFAGSVLRLWERDKVEMRERDKSMERNAKSFEKEDQKIHKRLQVLDKMIVDASGGDNDNNGLSLGHPVYQSETSKNGSLQTSLQRVFESMERFTLTSLKAYEELLQRIQEDKLAQ >cds-PLY74257.1 pep primary_assembly:Lsat_Salinas_v7:1:78862443:78863116:-1 gene:gene-LSAT_1X66401 transcript:rna-gnl|WGS:NBSK|LSAT_1X66401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPVEEKKAAVAEKAPAEKKPKAGKKLPKEAGAGATDKKKKRSKKNVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLASESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >cds-PLY81790.1 pep primary_assembly:Lsat_Salinas_v7:3:34273000:34276242:-1 gene:gene-LSAT_3X25320 transcript:rna-gnl|WGS:NBSK|LSAT_3X25320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYAANHWGGSLEIANENDHEDQNRRNKNMEDMDKASLYNTQSQYSGTLDETQQSWLLDPNDASRKKNKTRYVDLGCIVVKRKALKWTLIAVFVAFLVIGLPIIITKSLPKHKSRPPPPDQYAEALNKALLFFNAQKSGKLPKNNGIKWRGNSGLKDGSDATDVKGGLVGGYYDAGDNTKFNFPMSFAMTMLSWSVIEYEHKYKFVKEYDHARDLIKWGTDYLLRTFNSSSSKIDHMYGQVGGSQNGSATPDDHTCWQRPEDMDYKRPTQLITAGADLAGEMAAALASASIVFRNDVAYSKKLVQGAATVWTFARDSGKRSRYCRGNLFIEPYYNSTGYYDEYMWGGAWMYYATGNISYLSLATNQGIPRHAKSLMDIPSQRVLSWDNKSPAAMLLLTRIRLFLNPGYPYESMLSSYHNATSLTMCSYLHQYQYFNWTKGGLIQLSRGQAQNLQYVVNAVFLASVYADYLDASDLPGWKCGSAFIPLDKLREFATSQMDYILGKNPMNMSYVVGYGKKYPTHVHHRGASIPDNNVRYSCKGGFQWLSSGKANPNIITGAMVGGPDRFDKYRDVRRNYSYTEPTLAGNAGLVAALVSLTATGGNGIDKNSIFTAIPPLYPTAPPPPPPWKP >cds-PLY64258.1 pep primary_assembly:Lsat_Salinas_v7:7:3898199:3900662:1 gene:gene-LSAT_7X2720 transcript:rna-gnl|WGS:NBSK|LSAT_7X2720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTPISASKRYVHHLLKPHKYFTTTHLRRLSVAPDSTQPPSQEELTETAITQLKITEDWTSKTNLHHQLLSLPPQSLIKIARHLETSNKALKFFHFIHDNQSPSLSQLPLPSIFQTVIELAMREEDSVPLVDLFNLSKELKVPLTSNSAILLIRYFFNVKKVQESLQLYNELEPDAKNTNVKNTLLGLLLRFNKFEDAHKLLDEMLQPDTKFPPNETTLSVVFSVLLRWNYGKEDEKILGLIPKFGIHGVFPCNVWSTQLITRLCRSHRNDKAWELLHELIKFGKLEAGPCNALLSGLSRERNYKRINLVLNEMKENNIKPDIVTFGMLVNHLCKVHRVDDALDMLKKMKEGSDGISIKPDVILYNTVIDGLCKVGRQEEGFLLMEQMKSEHNCAPNVVTYNCLIDGFCKSGEIERAHELFDQMNKENVVPNVITINTLVDGMCKNGRISNAMEFFRKMQEEKGIKGNAVTFSTLISAFCNVNNIDRAMRLFDEMESLGSPDALAYYSLISGLTLAGRPDDAAFIASKMKKSGFLPDLLTYNTLIGGFCRKKKLDKAVEILKDMEDSNIKPDSVTYNTLISYFTQNGDFETAHKFLKQMVKDGNAPTVVTYGTLIHGHCVAGNLDEGLNLFEEMLRTSKVVPNNVIYNILIDSLCKCGKVDHALSLMDGMLEKGVRPNTTTYNAMLKGLSSRNRLKDASRLMNQMTTQACNPDYITMEILNDWFSAIGEVDKLKQFVQGFQVSPSAA >cds-PLY91128.1 pep primary_assembly:Lsat_Salinas_v7:3:152218870:152220635:1 gene:gene-LSAT_3X97300 transcript:rna-gnl|WGS:NBSK|LSAT_3X97300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNISNLRESNHETKQEGSSDACKIVPKPNLTTSSWSRLKDPRIVRVSRAFGGKDRHSKVCTVRGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLDAAKHEIDELPPLQIPPGSFGQNLQAMLSACSQSEEVKSNVNGLNWEDYWNPDKSKATARDTSEDGENLDREDERNKHDHHGTFVQPSNFFGRNPNSSNLPGLLNNVVPNSSFLKWDPSNLSLSQFHHQHGDTVHNFNMNVSALPSIPSGSQVLLYPPPQPPPQTQSYFPSHNHNSGEFDPKQLNFQMLSSSSSSNFTPPLYTINQGMRPFHLSMNPKFFSSEDHGGHEPHK >cds-PLY67281.1 pep primary_assembly:Lsat_Salinas_v7:5:134578019:134579084:1 gene:gene-LSAT_5X58041 transcript:rna-gnl|WGS:NBSK|LSAT_5X58041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRINGNFIDKTFSIVANILLRIIPTTSGEKEAFTYYRDASDAMFQFSILVLSERLGIKRMVGTTNIHLVCILDTPQSEGNYAEALQNYYEAMRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYVRLSPL >cds-PLY99556.1 pep primary_assembly:Lsat_Salinas_v7:8:81614558:81615785:1 gene:gene-LSAT_8X57721 transcript:rna-gnl|WGS:NBSK|LSAT_8X57721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTLESSIANEAFSKWKQLASEEVSAKSEKDYCLIPESPFYLEGQVEERDASGNKLLIDIGQWLIQKIKNHFAIVKRMAINMKYIDPTYIIQAVPSNAYDNIYCTLLAQSAIHGAMAGFSGFIVGPVNNRHAYIPIQRVTEATNVVKLTDRMWARLLASTNQLICP >cds-PLY97902.1 pep primary_assembly:Lsat_Salinas_v7:4:90631081:90632769:-1 gene:gene-LSAT_4X59940 transcript:rna-gnl|WGS:NBSK|LSAT_4X59940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQVVEEWYKQMPVITRSYLTAAVLTTVGCSLEIISPYNLYLNPRLVVNQFQIWRLITNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTSIVLVGGMIPYVSESFAKIIFLSNSLTFMMVYVWSKRNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWADLLGMVAGHAYYFLEDVYPRMSGRRPLKTPSFIKSLFGNENVVVARAGDVRFAGPPLD >cds-PLY79604.1 pep primary_assembly:Lsat_Salinas_v7:2:166398226:166400194:-1 gene:gene-LSAT_2X87860 transcript:rna-gnl|WGS:NBSK|LSAT_2X87860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATAISVLLPKSTTIDLLKQSNSKFLSGCPLEGLSLNFKPGYANKPRDLDFPRLIVSASAGTTTTTTTGGGSGRFYVNFTGFPFPLGPFLNRRTIRTEAVKDCIWLFEQEQALGFSSVSTNIRMTVIKLKSGGLWVHAPIAPTKECIQLVKELGAPVEYIVLPTFAYEHKIFVAPFSRKFPEAQIWVAPRQWSWPLNLPLEFFGIFRAKTLLHEDLSTPWGSEIEQKILSSPEVGIGPYVEVAFYHKPSKTLLVTDAVIFVPKQPPECISNDSLLASAKNGLAVKLLSKGKEVSQEPVIDNSMNRQKGWERMVLQILFLGPSNLLEPNASFSQMSQKLIVRDWIDSISRDWRFTRIIPAHFAAPVKANRSDFVAAFAFLDDLLGERYVTRPSLQLLFTSIMGKAASYFPPDDMKTLSSLDQFLVSVGAVKKTVSGRKRS >cds-PLY69057.1 pep primary_assembly:Lsat_Salinas_v7:3:206958459:206961977:-1 gene:gene-LSAT_3X121441 transcript:rna-gnl|WGS:NBSK|LSAT_3X121441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAKEQQIARKKVAVLPWMRSPVDVSSFENCPLTQLPFLNPRLEAALCNSGIDSLFPVQVAVWQETMGPGSFERDLCVNSPTGSGKTLAYALPIVQTLSSRSVKCLRALVVLPTRDLALQVRDVFATIAPAVGLSVGLAVGQSSISEEISMLIERPKLEAGICYDPHHHPIELQSAVDILVATPGRLIDHINNTKGFTLEHLRFLVVDETDRLLRESYQSWLPMVLQCTNSTVDAFFSNPSTFGSLKTVRRIGVERGFKNKAYPRLMKMVLSATLTQDPTKLSQLQLHHPLFLTTGHIRYKLPEHLHSFKLICEQQMKPLYLVSLLKNLQGEKCIVFTSSVESTHRLCTLLKFFGDLGIKIKEYSGHQHQTLRSKTLRAFREGGVQVVVSSDAMTRGMDVEGVRNVINYDKPPYIKTYIHRAGRTARAGNSGRCFTLLHQDEVKQFKKLLKKADIDSCPVHTLPSDSIESLRSTYDAALEKLKENVEMEAFKKRKLGFKSSSKMKKR >cds-PLY89527.1 pep primary_assembly:Lsat_Salinas_v7:4:158852257:158855637:1 gene:gene-LSAT_4X95640 transcript:rna-gnl|WGS:NBSK|LSAT_4X95640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWWCSCVAPSRPHIVPIKNYVSLTVSYIKYLLHLLHQFISFLPYRLRFYCINAFSTFRLLTLVVDEDGGSAVPYQAPIQIESIPGTLVLRWVNSQLSRILGWVERAIQQEKWEPVSMQQRHGSSIVEVYRIIEETVDQFFALKIPMRSGEMNSLFRGIDNALQVYSKHVVDNLANKEDIVPPMPILTRYRSESGFKAFVKKELNDSSSRMPDMRKSRDINVMTTSTLCVQLNTLYYGISHLNKLEDSIWERWIRKQKQGKSNKRSTDENLRKESFDGSRKDINAAIDRICEFTGENIFQNLSYHGQEPNQLCDMIVEPLRDRIDGLFRVLVDGGPSRLSMPSDANLLEV >cds-PLY90987.1 pep primary_assembly:Lsat_Salinas_v7:8:254729159:254731596:-1 gene:gene-LSAT_8X149501 transcript:rna-gnl|WGS:NBSK|LSAT_8X149501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLDFSGLESSAPLYGGSDDLLSDGFSDAPSFDLPVTSDFDGFQKNSIQMVKPAKGTTTLAFIFKEGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKMLANILYSYRGMGLSVGTMIAGWDEKGPGLYYVDSEGGRLKGTKFSVGSGSPYAYGVLDSGYQYDMSIEEAAELARRSIYHATFRDGASGGVASVYHVGPTGWTKLSGDDVGELHYSYYPVEPAIVEQEMTEAVAA >cds-PLY99272.1 pep primary_assembly:Lsat_Salinas_v7:5:300886322:300889331:1 gene:gene-LSAT_5X162601 transcript:rna-gnl|WGS:NBSK|LSAT_5X162601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIENITFNVPSKKTLDIVAATLFENHRSPTVAITQASLLKKIINPHCRYNHLAMINPRIHSLSQKGPYKVKHIIIGGPHKVIFNYSILDSKYNDIILKVECYNPHDKDHPSNIFIYEREHSNSLSLLLHSMITSKRKNC >cds-PLY82366.1 pep primary_assembly:Lsat_Salinas_v7:5:312792994:312796248:1 gene:gene-LSAT_5X170501 transcript:rna-gnl|WGS:NBSK|LSAT_5X170501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGICFSAETKDTSPFHSGVISKSGGDVGTQNSSKVSSMPATPRSEGEILQSSNLKSFSFSDLKMATRNFRPDSVLGEGGFGSVFKGWIDEQTFAATKPGTGLVIAVKKLNQESFQGHREWLAEVNYLGQFSHPNLVELIGYCLEDEQRLLVYEFMPRGSLENHLFRRGSYFQPLSWSLRLKAALGAAKGLAFLHNAKTKVIYRDFKTSNVLLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTSKSDVYSFGVVLLEMLSGRRAVDKNRPSNEYSLVEWAKPYLAHKRKLFRVIDNRLEGQYTLEGAYAVATVALRCISVEPRFRPTMVEVVKELEQLQDPKGSKPRRHSTHGTLPIGKAAYPRPQGL >cds-PLY98656.1 pep primary_assembly:Lsat_Salinas_v7:5:303715819:303718158:1 gene:gene-LSAT_5X163520 transcript:rna-gnl|WGS:NBSK|LSAT_5X163520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSWVGKLASAFWLPLSLYARTNKDDSLGDDENDPLLWSKELEKHAFGEFSFAVVQANEVLEDQSQVEIGQNATFIGVYDGHGGPEASRYICDHLFPHLIRLTQENGLNLTSSKSLKDAVSATEEGFLSLVRRTCGIKPLMAAVGSCCLAGIISQGTLYVANMGDSRAVIGRLNKRKSNKIIAEQITEDHNASMDEIRQELKSDHPDDPHIVVMKQGVWRIKGIIQVSRTIGDAYLKKPEFALDPSFPRFHLREPIRRPVLRSGPSMHTRELKPEDKFVVFASDGLWEHMTNQQVVEIVHNNPRQGIARRLVKSAMVEAGRKAGKKRYDEVKKMEKGSRRAIHDDITVVVVFIDQQKMNMGIDELSMRGFNDSTSPSGFRALG >cds-PLY65400.1 pep primary_assembly:Lsat_Salinas_v7:1:60948811:60949581:1 gene:gene-LSAT_1X51800 transcript:rna-gnl|WGS:NBSK|LSAT_1X51800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLPRKLDGREFEKENDGWTEVRGRRYNVVSSKAITSFFVSNIPAGTNRFELNEAFKPFGRITDVYIPGRKDKGGSYFGFVKFGGVKDVTTLERSMQSVRCNHCILKVNISKYEKKAQGRRNPLEFRRKDYVHAQPPYPPTSFHEAVNGMPKTGRSYAEVAGVHHHAPFIPPLKSHTVALKQVPAMQAWNNSALVGEVLSLQLLTEIPKLIESVNYFSINAFYAGGLRVVLRFDLPSHAQNFMQDEHNWNRWFNWL >cds-PLY69711.1 pep primary_assembly:Lsat_Salinas_v7:2:40003208:40004806:-1 gene:gene-LSAT_2X17440 transcript:rna-gnl|WGS:NBSK|LSAT_2X17440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKYYCDYCDKQFQDTPFHRKRHLQGLLHQKAKALWYASIPRDPNQVSLQSSTVGLCNRFIRTGSCPYGDSCKYVHPNQNLPNMNMQGFAVANSIETQLPSFPGSQSIERSSLSGGMVVDRMGVMLGNLPPSLRPPPEGGYPPLPFVDWG >cds-PLY99309.1 pep primary_assembly:Lsat_Salinas_v7:7:179295340:179303300:-1 gene:gene-LSAT_7X106680 transcript:rna-gnl|WGS:NBSK|LSAT_7X106680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMLGKLNELAGFAPDEEIELFEEIKFEPNVMCEHIDKKLTFRASQLEDGENMAIMEVVQFAGQHPGGLGVPAVGMAFPGYVTQPNGMGNSEMTWLPILAGAAGAFGCFTLYHNGWCLSCYAIWPDLCIASYKSHGFFNCSPTVDVPPSPRACESDVKEGHVKETIATKSVSNGLIAML >cds-PLY91665.1 pep primary_assembly:Lsat_Salinas_v7:8:13143099:13145597:1 gene:gene-LSAT_8X10480 transcript:rna-gnl|WGS:NBSK|LSAT_8X10480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVDKEQHEDIPDYNTENGENKDIHYNSQSDSEEEIHKTVPSKSDRKRGMTRLPKLKTEYVNSGGKKRVRFDEFGKFTGKNNAVFVSYLGDLVREKVGLSALCWKKVKPEMKDKLWEEITRYFDVHESGKEFVMNRLGILLRNFRRKLYADYIKPHLGDTDMLEKIPVRYHALITEQDDWNKFVTYTQSQEFNNVSQRTIKARKMSKYDHRMGRGGYTTLRRKLIEENVISKEEIPPRSVMWCKGRESKGEFKDEDVKIMADKLGVGTGVTYNRYFNVPRSKGSSKEEIKDLKVALHNGKLELEKKDVELKALSTKVNEQDQTLKLVLAHLNAKGADFPNMSHIIGISSEKIVQSNETSPVSLKNNEPSEPVTPPIKCSLSYPYKRNIVARGTIHLSSERQFIHGVPLQDDCYKVSIDEVVVKTAFLPHQTGEFKLVEDAYKSFVPWPKYLVQTESEVPEIISHQKSTKRKPTYISSDALLKKTRSNTNKNNA >cds-PLY94143.1 pep primary_assembly:Lsat_Salinas_v7:5:35537645:35539357:-1 gene:gene-LSAT_5X16900 transcript:rna-gnl|WGS:NBSK|LSAT_5X16900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQPKVRLYELLKHRPSLKQTKKIHAQVIFRSLVKSNNLTGSLIRSYSDHGELKSARKVFSRYPSLPPTFLWNLIIQAYSKTARNNESLHLFKEMLLLGGCHSLAVPDDYTFTFTITACSHHRTLLGFAQNCHTMVIKLGYDSDVCVGNALVNTYVVYSRTESAQKVFDEMSQRDTVTWTSLVKGYATGGKIPQAEELFAKMPERNEVSWAVMIAGYVGHKMYNHALRCFNEMLINGDVEPNEAIYVSVLSACAHLGQLNQGKWIHFYIHKNGVPKTSNIATALIDMYAKCGKIDYAKQVFNGINKRDLLTWTSLISGLSMHGIGEDALQMFTEMLAENIKPDNITLVSVLNACSHSGKVKEGILIFNNMERLWGISPKLEHFGCLIDLLSRAGELEDAFKAVKKMGMEPDGVIYRALLSACRLHGNAGLAERIIEHVTRRDGGGHVLLSNLYASLGQWDNVRKIRESKIDKEGDSTSTPGCSYIEIDGVVHEFLAADKLHPRIKEINLKLNEVMRRISLEIDVGDYSLFHT >cds-PLY87449.1 pep primary_assembly:Lsat_Salinas_v7:2:134098577:134100770:1 gene:gene-LSAT_2X63881 transcript:rna-gnl|WGS:NBSK|LSAT_2X63881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKPFFKRPFIYHGSVFTKLFSSSSSVSRSIGIAHSNHAVTNNLDTQLRQLLQKPNPQFADAVLLFEQSAELGLIPSSSTCNFIVESLGRNSKYGLVLQVYRKLATIGVVPFFLSYGAVIESLVHFQKPEYAISVLCLILKSGFSVNVYLVNLVLKGLCHKNKIDDAMGLFHQVSSYGLAPDEVTLNTLVSGLCKTSRYDEALALKGKMENLGCAPDLVTYRTLVINLYQNGKTGKGKEVLDDMMSKGLSPSLDIYNPLVHGLSKEGKFKEAMRILNSMMDNGILPDAVTYTGLINGHCLNGKAKKALDLLNLSIEKGQEPNSTTYMQLIISLCKEGLIIDCFKLLKTMMEKEKNPSMNFYNNLLKELCDQKKVDDALILYNILIEKNDVNPNVKTHNLLIQGLCKENRINEATKIHQNMISNGNNGNVITYNSLIDAYLRNGEIEKSLLLWKQILEVGLTPNSYTFSHIINGFCKLHMINIAKGIFILMRKHGHVPNVCDFNALMLALCKEGSLEQATMLFQEMGNENCEPDVTSFNIIIDSLFKAGNMEDLRKLLIMMREKGVDSDAYTFSILINRYCKLGELEEAKGMLKKMVDFGFMPDKFVYDSLLKCLCEKGETDEIFELLREMAKKGIMLDSKLTSTILTCVCHASEDVDVMELLPNFSQESSEKGSISCNDLLAKLSTSVS >cds-PLY91301.1 pep primary_assembly:Lsat_Salinas_v7:5:250913624:250913992:1 gene:gene-LSAT_5X125880 transcript:rna-gnl|WGS:NBSK|LSAT_5X125880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METHDMVEIALFSVFVFVAVILLCGVCFFLCNSNSDEKTKTKDLPFPRARDHENGKTSTDTTFNGGVAMAILAGAALSAAISNTHDEHDGGCGCGGDGDGDGGGCGGSGCGGGCGGSGCGGC >cds-PLY89823.1 pep primary_assembly:Lsat_Salinas_v7:4:324561818:324563409:1 gene:gene-LSAT_4X162340 transcript:rna-gnl|WGS:NBSK|LSAT_4X162340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKFVQPDDKECMKMAMLKHEETFKEQVYELHRLYKTQKMLMKNVQRTRHNHQENISFNYQQQKNKFDLEHPATTAKEYNRVSETLNDQELEEDECEIELTLAPTSFNRRRNMTNKPESSDSVPSFSSSSTGSSHTKRIERISRENSGFMNSNRRNSNSSSDRPLKQSPWMYQVLSLNMT >cds-PLY69177.1 pep primary_assembly:Lsat_Salinas_v7:3:204335880:204336518:-1 gene:gene-LSAT_3X121961 transcript:rna-gnl|WGS:NBSK|LSAT_3X121961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor 1B [Source:Projected from Arabidopsis thaliana (AT3G23240) UniProtKB/Swiss-Prot;Acc:Q8LDC8] MDSCLSIHSPESSFSSSLEFSHGDSSRLHSTNSLPFNENDSEEMLLFGLLTTGFDTTSSDEVTSKALKAPNKVTAFRGVRRRPWGKFAAEIRDSTRNGVRVWLGTFDSAEAAALAYDQAALSMRGSSAILNFPVERVRESLCEMMGSKPAAESGGSPVMALKKKHLMRRRAASKRSRRNREEEKVVFEDLGVDYLEALLTSSIENAN >cds-PLY61809.1 pep primary_assembly:Lsat_Salinas_v7:6:60511601:60513465:-1 gene:gene-LSAT_6X43820 transcript:rna-gnl|WGS:NBSK|LSAT_6X43820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSISGRRLGFPIQFRPVLTDIPSINNHHYRNALCLLSVQICHLAKVFRNPWKIAREINQCLVYWNQGRNEMVHSIRMFKGMQWAIPIPSVLLLSFP >cds-PLY91890.1 pep primary_assembly:Lsat_Salinas_v7:8:198198403:198198699:-1 gene:gene-LSAT_8X127340 transcript:rna-gnl|WGS:NBSK|LSAT_8X127340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMPRIIQARQILQRSLSNQNHTTATDLPKGYFPVYVGEQEKKRFVIPVSLLSQPSFQDLLRETEEEFGYDHPMGGLTIPCSEQKFFDLASRLRAC >cds-PLY90399.1 pep primary_assembly:Lsat_Salinas_v7:9:173795026:173796587:-1 gene:gene-LSAT_9X107080 transcript:rna-gnl|WGS:NBSK|LSAT_9X107080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRWTRGHVLGRGSSATVSTATSTATREVFAVKSVVLSQSETLQREQKFLSLLSSPYVVSYKGYDISREENKIMYNLLMEYMPGGTVIDAIKVQNCDRLNELEISNYTRQIIQGLEYLHSNGVVHCDIKGANLLVDENGVKIADFGCAKWASEGVAVCGTPVFMAPEVARGEEQGFAADIWAVGCVVIEMATGGLPWSNVSDPVSVLYKIGFSGESPEIPDVFSYQAKDFISKCLIQDSKERWGATELLKHPFLEQFKRHTKEITDRDLRTGSPTSILDRDVWNSMEESALVGSDFIRQSCSSYSLRQRIEQLAGNSEKVKWTWKVEEEIDWMTIRSNESSGRWRR >cds-PLY75028.1 pep primary_assembly:Lsat_Salinas_v7:9:193846833:193847541:1 gene:gene-LSAT_9X119281 transcript:rna-gnl|WGS:NBSK|LSAT_9X119281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIVTTNNIGDSHTQLRLDFVKFFLLSIFSHAVDPPLMSINCCLITDATYISCIPTIQLKSLTEEMQAIIKGLEKVIQELDASANDGPVYEVFHKTPNQFINIAELEVGSITNLYSVVGRNVDALALYFGEDPTHCPFEQGNIFN >cds-PLY73089.1 pep primary_assembly:Lsat_Salinas_v7:9:3859984:3862671:1 gene:gene-LSAT_8X76680 transcript:rna-gnl|WGS:NBSK|LSAT_8X76680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVFARLNRSDFISFCVKKIWKRTARSIIGVGVKVEVWFEEDDLRDAWFPATVIEDLGNNLFLIEYQCDEAEFRKVTVDHHHIRPSTPPIRDTNFVLLEKVDAFYDFGWWTGVITKKLADSRYIVYFKHTNKEREVSHLELRLHVEHGESSSVKRITEERSGVLLNFTGPIDKQTSIVASTMKRTKVTSAGSNDKHLKPSKKLKPGITFDDQTLSDVGGSKEASNPTATENLSRRKRVLVNREKNIESSSPVITSARKKGRSAADSRGVKALPQGEVKIQTPKIVEKEHVPREFGPPVTCVMGLQCKALTISQTKNVKKIVESGVPLTPKTTGNQEEKTADGGMSLKRKRGRPFKSQPKTPLAVTHENGDAGQQKNTPPIVNNAEKLPNTNTTVMYQSVKGKRGKRGKRRKIKSINIVSPAQAQGGKDSSSKQKQKANENGGSASEVVVVEKSADTMSMSMSISISDDQPLSRWIEGMQQQQQPSASVRKQIEKPSFEKRSTLWATLESMELFRMIPQKPHFRPLEKEKECTREGQAISKMVNYLRVVEATSQMKLESPRSAYEDNMEALLELESHGFDVKAVRERLNGLLKIKEKQEGLEQESKKVKEKMEAERVEGVRMDKEIELVDKQVVALLEKRAQVLKKKEKKDAQVVVLEAEVDGIHQGIAQARRNFDDLAAASLCVSSI >cds-PLY98796.1 pep primary_assembly:Lsat_Salinas_v7:7:22399889:22401615:1 gene:gene-LSAT_7X16981 transcript:rna-gnl|WGS:NBSK|LSAT_7X16981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISSSTLSLSGLPQQSSPLIAGPTPEPKQTSHRPPEPDHRKLNKGSSQLIRWSRARAIRSGLKLDRPVQRPLLQNSEVIDPPVRRSGDGESSTSPNYSSDEEDGCVAEMEEAAAGAKHIYMVSDGTGWTAEHSVNAALGQFEHCLVDRGCPVLTHLFSGIEDVEQLMEIIKQAAREGAMLIYTLADENMAASARHACKRWGVPSTDILSPITEAIALHLGVSPSGLPRGAPGRKFPLTEDYFKRIDAIEFTIKQDDGALPQNLHKSDIILAGVSRTGKTPLSIYLAQKGFKVANVPIVMGVSLPKALFEVDQEKVFALTINPVVLQTIRRARSKTLGFAEEMRTNYSEMDHVRQELDFACKIFAQNPTWPVIEVTGKAIEETAVTVLRLYQDRKNRCSMPRISKRY >cds-PLY91045.1 pep primary_assembly:Lsat_Salinas_v7:2:54624632:54625517:1 gene:gene-LSAT_2X24961 transcript:rna-gnl|WGS:NBSK|LSAT_2X24961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIDKVVWALRNVAGDSPKCRDFVLAQGALIPLLFQLNEHAKLSILRNATWKLPNFCRGKPQPSFSQMAQMIKSKL >cds-PLY82621.1 pep primary_assembly:Lsat_Salinas_v7:8:175461521:175462023:1 gene:gene-LSAT_8X115161 transcript:rna-gnl|WGS:NBSK|LSAT_8X115161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQLYNSQRNKHPWIQMVIIIHCSEKQSWYLSTVIDPYGWGVVVDARCACGLKLQEIGFRCKMNYFPLIYASKLSSTLHLSLF >cds-PLY65953.1 pep primary_assembly:Lsat_Salinas_v7:4:137576050:137578662:1 gene:gene-LSAT_4X84960 transcript:rna-gnl|WGS:NBSK|LSAT_4X84960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:TLP8 [Source:Projected from Arabidopsis thaliana (AT1G16070) UniProtKB/TrEMBL;Acc:A0A178WHW0] MSNSNKDPIPRQPSYSSLYHNPLTELKSEANNGVLTLHNGQNKENSEPNKVKTNSDPVVDKENVDSNITIGCKPTPIAKSRLKDRAWKPSSLQLCMQLNDPIPNFGSSFYEPIDSGKNNSGNIWDYSDSEAAPASSWSTLPNRSLLCRPLPVDIGRCTCIIVKEKAVDGINGGSVYTLYTNEGQGRQNRKLAVAHHRRHNGRSEFIIAQNTKGIISCADDSYVGSVSANLLGSKYNIWDQGRRLNSMTKHSKLLAAVSFVPTIATWTGSYRSMKAWLPKHQSMQLKNTTQAQHINGLPTGWEERMDRVHQLFSKVPCYNNITKQYELDFRNRGKSGLKIQSSVKNFQLTLEKNGKQTILQLGRVGKSKYMMDYRYPLTGYQAFSICLASIDSKLCCTM >cds-PLY81742.1 pep primary_assembly:Lsat_Salinas_v7:3:34476594:34478520:-1 gene:gene-LSAT_3X25561 transcript:rna-gnl|WGS:NBSK|LSAT_3X25561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKALAPDMQSLCGVCSSVGALVGYSTSGFFVHHLGPQIALGLLAIPAASQIFLGFVIYEKKTFDDSSANKKQAIIENLGGALKGMYETIQFPQVWKPSLYMYLSLALSFSTHEGQFYWYTDPKAGPAFSQELVGMVHAIGALASIVGVLIYHKLLKDYSFRNLLFFAQLVYGLSGMLDLMFILRWNLAIGLPDYLFVVLEECVSQIVSRVRWMPMIVLSTTLCPIGIEGTFFALLMSIDSLGSLSSKWGGAIILHVFHVTRTDFANLWLVILIRNFLRIATLSFIFLVPNTDRLEPGSKSTDIETNGDNLQLLPLKERDEV >cds-PLY80565.1 pep primary_assembly:Lsat_Salinas_v7:6:13777360:13777848:1 gene:gene-LSAT_6X12020 transcript:rna-gnl|WGS:NBSK|LSAT_6X12020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAITNRDFCSHSSSSKLGLQVPGLTRNRGGLLAFDGIGDDRSQRGKECEGSPATTTIGRQEGKKGSHRRCDVQYSGCCVQLRSSPGFEEVEETEGRGGGKASILWRPLWLNRRHQQHINGGRSKQQKIDIWGEIRKHHRSMLMVHLLSKGKGKRKWLGSAV >cds-PLY62191.1 pep primary_assembly:Lsat_Salinas_v7:6:31499207:31503207:1 gene:gene-LSAT_6X24321 transcript:rna-gnl|WGS:NBSK|LSAT_6X24321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQTQPPSSEPPPASTTPPITTTSSTTSPEQPQQSQPQQLSTTSSSSSLQALPPYVNPNPNPKHPNPPISQPLQPQPLNPMLRTPFSRPWQQNPPPFPHFSSITNHATAPSSSLTSIPPPQRGGMAIGVPAHGPSPQPPTSFSSLTPSSFGSQFGGGLGRPGTVSMPESATSTSTPQVRQSISGMPGVGMMGSLGSNSSLRPSGVPASHPQRPLQSSLRPQTGANNQTASQNFQDHGLLRLPSVGSPGTPSPTTPQTSQPHNQPWLSSTSQGKPPLAPPSFRPQISPQSLQNRSHLPSQPQNPISTSTNQPQISSSLQSQPSSLTQQPQEHYTLPPSRVPQTLTHQQQLARNRGLGNQRPFAPGGGPPSGVAPPPVFNRALAGMEASEPCNRIISKRSIHEIVAQIDPGERLDPEVDDILVDIADDFVESIKKSIAAAETPNLKSSGGQAGGGGGGGAKGHPAKAPGLVIGSPNPKGREATAMAETFSWYCSLFLVSMLVLSCCESFANENERMVNRVQRSDVGYGPCDEIYVVREGETLHMIGEKCGDPYIVEENPHIHDPDDVFPGLVIKITPFKNR >cds-PLY65360.1 pep primary_assembly:Lsat_Salinas_v7:6:41059279:41061879:-1 gene:gene-LSAT_6X30000 transcript:rna-gnl|WGS:NBSK|LSAT_6X30000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVENLVIIGSGPAGYTAAIYAGRANLKPVVFKGYQIGGAICDGATGATAKRLKLPREDEFWSRGISACAISDGASPIFKGEVLAVVGGGDTGTEEAIYLTKYARHVHLLVRRDQLKASRAMQDRVFDKPNITVHFNTETVDVDALVKDGLLDKLKTGPLCWCQACWQYNVEYPLSSILDSDVT >cds-PLY82649.1 pep primary_assembly:Lsat_Salinas_v7:5:84545540:84551746:-1 gene:gene-LSAT_5X38001 transcript:rna-gnl|WGS:NBSK|LSAT_5X38001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSIHKSFKYDVFLNFRGEDTRTNFVDHLYHALEQKGIHTYKDDERIKKGNMICDELIGSIEDSKLYLIIFSKNYASSSWCLNELVKIMECHKNDEHTAYPIFYDVELTEVRNQSGSVEKALANHENYKAAGKWRDALREAADLAGWELKSAAYGHEAKFIQKIVEEISLELRAINFSIDEKLVGMETRINNILSSLGSAFDDVCMIGIKGMGGGGKTTLARAVFDQISFQFEGASFVENVREVSKASLFGLKSLQNQILSDVFNDRAISVSSVYDGKNIMKRRMCRKKILLVLDDVDHIEQLEALAGETNWFKPGSRIIITTRDEQVLVAHGVKSIHKVLLLLDDEAICLFSRYAFGRVIPNPGYKELSQQVVHYAAGLPLTLKVLGSFLCSKNELEWIDTLERLKTIPLMGTLKKLEISYISLEEDYKELFLNVACLMKGWPKTCALIALESCGFHARNGLRVLEQKSLVTVDPNGHLGMHDHIEELGKNIVRRSHPDKPNKHSRLWIAHEIEDILANKLGTEATRYINVNTWHLSADIVLKGLKKMKELRFLEVSAIGVIDASRCGCFHRNWKFNKLNNLRYLSWNRCPLWSLPKTFQGDNLVALEMTHSIFVQLWEGKKRKVLNKLRFLDLSYSSLKTLDLGLTPNIETLNLNRCFSLVELHMPNGCSKLITVNLSWSMLRTLDLGSALNLELLDLTGCADLVEIQMPFRSPNLSSIKLANSKLRTLDIGLTPNLKYFDLERCYDLEEVHMADKCLKLTYLDVSYSKLRKLDLRLTPNLETLDLENCNDMEELHIANGCLKKLVYLNLNRCLRFKSFRFCIESVASLNKDDSSCCEDKSLEVGSLAELHLIVESLERCPIHPDTNLPKFQFACFYKEDKPLLTRNLEKLISVGLCACMNNETFSRSICGLQHLKKLKLEGSILEAPKDLDQLEYLEELDLSYTEIKNLPDTICMFKLLKSLKVKSCWNLEKLPKDLGRLECLKKLTLSSAKIKELPDSICMLKHLELLNLSFCLLLETLPEEIGRLENLKKLTLSSAKIKDLPDSICMLKNLESLQLHDCLLLEKLPEDLGRLKCLKHLNLSFCKHLRDIPESICMMKCLKYLSLYYCIKLEKLPEELGCLECLEILDITCTCISYLPQSIFLLKRLRIFGRRMLQSCDFRSEIQHSIYPGMIWEAPKTRKEENSHI >cds-PLY98085.1 pep primary_assembly:Lsat_Salinas_v7:4:78955718:78958056:-1 gene:gene-LSAT_4X53020 transcript:rna-gnl|WGS:NBSK|LSAT_4X53020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVLLTTTHLPFNPIPDKSQKFPQSYTPCSSPRFQILCSLSGTIGNDASVTGGAYDYSKATTSLTQKLLSSPKQVTLVRHGLSSWNDESRVQGSSNLSILTETGIIQAERCKKALADIHFDQCFSSPISRAKSTAEILWNGREEPLIFLDTLKEAHLFYLEGMKNEDAKRMYPKEYTMWRQDPSNFNVNGVYPVRQLWDTAKIAWKEILFTPGESFLVVTHKSILRALICTALGLSPERFRAVDVNNGGVTVFKLNVEGEPMLQSLNMTAHMYTDYNYVY >cds-PLY67689.1 pep primary_assembly:Lsat_Salinas_v7:4:3438989:3442217:-1 gene:gene-LSAT_4X2241 transcript:rna-gnl|WGS:NBSK|LSAT_4X2241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHAKFAYTDGEHMEDESSSSVSQLFNGFQTITTLEKDVFVTDLATPNFSTYVESTSKTETGTTEDEMKKMTRAVKYENETTGNAAILIKDRRTSLWELFQKTKTVEKKNEPVMKKTLKRRTIQPSSKDYTVAPVGTINLANSADEKLHKILRILQRVHPEALAISQKSQNLWKYVMKSNCTNEGCRNKNQMLSDDIIIFPFRDISEKSENHTKSNMSNHLICDGGDTNQKTECWIKSDEECKSDIGIVEEDKQCVLKWEDVQVPDPKEGEIRLKQKAVGLNFLDVYMRRGEQNLAPPLPYIPGMEGAGVVTSVGPGVTSCKVGDVVAYASPVVGSYAQDRILPADLAVPVPSSVDPVEAAAANFKCLTAHVLIHKGFKVGEPFNS >cds-PLY93910.1 pep primary_assembly:Lsat_Salinas_v7:7:180783497:180788079:-1 gene:gene-LSAT_7X107440 transcript:rna-gnl|WGS:NBSK|LSAT_7X107440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIIELFLSCFVIFYLHKSYAVDTMTVAESLTDGDTLTSAGGIFKMGFFSPGNSTNRYVGIWYTNASVLTVVWVANRQFPLTKNSGVLQLVRNRNLIILDSIQSNNTIWSSSSSSSSSSSHISKKPALNPVLQLLDSGNLVIRDENDQNPENFHWQSFDHPVDTLLPGMKLGINFKTGLETYLSSWKTSDDPSPGDYNYRFDYTGYPQLIMRKKSVISFRLGSWNGLGFSGIPISKPAINASYKIDLIVNENEVSYTYNLVNKSSTFSKLSITPSGTAQRISFVKQTKSWRVLFTAPADHCDEYSRCGAYSTCSLLCQCLDKFTPRNQKEWEVNDGSSGCVRVKNLECKTDGFRKYSGLKLPDTRFSWFDKNMNLEECEMKCLKNCSCMAYARLNILEGSGCLIWFGDLVDMKDLSVNVQDIYVRMASSDSVLKSSDDKKKKVMIKVLLPLVFGTLIMVMSLMVCYLRKKKRTQMNMEGRLRNINETRNEDMELQLFALQSVIKATKNFSLSCKLGEGGFGPVYKGILGEGQEVAVKRLSKTSTQGLEEFKNEVICIAKLQHRNLVKLIGYCMEDDEMMLIYEYMPNNSLDSIIFDEKRRKLLDWPTRYHIINGIARGLLYLHQDSRLRIIHRDLKASNVLLDSDMNPKISDFGLARRFGGNEMGSNTRMVVGTYGYMSPEYAVHGLFSVKSDVFSFGVLLLEIAWRLYKEDRSMELIDEALLESFSVSEAMRSIQVGLLCVQHSPGDRPNMSSVVVMLAGEGSLPEPKQPGFFTEDNILQAQCSSSVATQFSVNEVTITLLDGR >cds-PLY82456.1 pep primary_assembly:Lsat_Salinas_v7:2:182783838:182787252:1 gene:gene-LSAT_2X104621 transcript:rna-gnl|WGS:NBSK|LSAT_2X104621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLKTLTNLISPVTVTAHLRSQNSKSNDRSFDAINNLFISRKVRSVRRTLIIRNHANSDQVQGNGGPNPNESPRKSGFLSFPVLAEPLLSFASSNFLPLGLRLRSEDIGAAAEAWPVGLFGLASILLFTPLLSKIILQLRFQPQEFVTGLALFSCMPTTLSSGVALTRLAGGNCALALAMTVASSLLAIMMVPFSISKLIASGLGASVPTEQLFRRLIVTLLIPLILGKAFRESFKGLAEFVDNNNQLLSVLSVILLSLVPWIQVSRSRPLLVMVKPEAFLVAVMMGALLHLILLGFNATSIRCLCAVSGGSKSIFAKKKNSTALLLIASQKALPVLVAVVDQLGGTFGEPGLLIIPCVAAHLNQIIMDSFLITFWNKKDQSLANT >cds-PLY81874.1 pep primary_assembly:Lsat_Salinas_v7:8:119878772:119879967:1 gene:gene-LSAT_8X83441 transcript:rna-gnl|WGS:NBSK|LSAT_8X83441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEVKLYAVAGSPFVCRAKIALNMKGIKYENLEQDLSNKSAELLKYNPVYKKVPVLVHNGNPISESLLIVEYIDEVWKGVPILPQDPYEKVVARFWAKFIDEKCIPALYKAFGPDGNEETDKEACEQLQILENELKVKATKFFGGDNINLVDIAADFIAYWLGIIQEAAEKTLVTKDKFPKLTEWADDFVNLEVINQVLPPRERLLAFFKKRFGKA >cds-PLY70340.1 pep primary_assembly:Lsat_Salinas_v7:4:100906724:100908057:-1 gene:gene-LSAT_4X64660 transcript:rna-gnl|WGS:NBSK|LSAT_4X64660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDAKLPSGNGALVVKKPPAKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTNPASFSSVSVALRNSNANCSLSAALDQKPPSQHLFSPTPFILGKRLRANEDGLDGHKEDHNMSSGTVTTATPGGFWALPARSDFGQVWSFAAPEMVVPSPLAMSSQQGRFIHQEQTMGEASAARVGNYLPMTQGHLNLLASLSGPPPPSSGRREDDSR >cds-PLY79114.1 pep primary_assembly:Lsat_Salinas_v7:2:16278455:16279342:-1 gene:gene-LSAT_2X11040 transcript:rna-gnl|WGS:NBSK|LSAT_2X11040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFWDASSFFFRFYLKSGGDQSATTNSRNRPILQSQKMQSSQFLLIPHLLLSSHRQQLSEHRRHPYRLSFRYLISTADNSPIRNTKERLINDISVPKSTPLTRDQEQKSKKDGDQQKKKIKKNDQKIGGFDIEEENFIDKNVGRFDFG >cds-PLY91566.1 pep primary_assembly:Lsat_Salinas_v7:1:11475822:11476604:1 gene:gene-LSAT_1X9760 transcript:rna-gnl|WGS:NBSK|LSAT_1X9760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTPRACVLQHSHRRFTSMDKPSFTNILKPPMKASAITSSSSAHSFNLRSRIICKAAVNQVQVVTDGTWNEMVEAAEMPVLVEFWAPWCGPCRMIAPVVDELAKEYAGKALCYKINTDDCPNIASKYGIRSIPTVLFFKNGEKKESVIGAVPKSTLCATLDKYVE >cds-PLY69126.1 pep primary_assembly:Lsat_Salinas_v7:5:283939193:283941230:-1 gene:gene-LSAT_5X149681 transcript:rna-gnl|WGS:NBSK|LSAT_5X149681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELAAGKSGFLRNVVVRFLLFSLLVVGVRFAYVITIRGESCSFGDDFCFFSSTSPENQNLVTAAGGHLDSSSSVTLNPIAAATPEVHKRVMFYITVFQDLIVDGFLSTKSKSLCVETPVGEDVYALKEIGVDDSVGIYKKASKPLVINGLGHKHPFKDNTFDFIFSGNGALDRSDKAAEFAAEVGRTLKPEGYAVIHTSSIDTYSFNSFIRLFNCCKFVHSRHMDGFDPDMPHIHEIVMKKIVDIKIREKTDSSLSNRCSVPGYKQDLLKKAEPLIETEPLKPWITLKRNIENVKYLPSMADINFKQRYVYVDVGARSYGSSIVSWFKKQYPKQNKTFDIYAIEADKHFHDQYQSKKGVKLLPYAAWVKNESLVFEINQTPGDENVEKGRGMGRIQPVKSGGGIVGSVDVIQGFDFANWLKNTVTEKDFVVMKMDVEGTEFDLIPKLIETGAICLIDEVFLECHYNRWQKCCPGVRSPKYQKTYGQCLDLFKSLRQRGVLVHQWW >cds-PLY91199.1 pep primary_assembly:Lsat_Salinas_v7:3:75879325:75880805:-1 gene:gene-LSAT_3X59061 transcript:rna-gnl|WGS:NBSK|LSAT_3X59061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSTILRVQMHMQPFGRHKPRTRHGHRTRHRRRMDPPLETMYRWHSLENNNIFDAFKCVLKDRYKDVMKRIRIKSGDMARNDGKPVPPDANGSTTRHTAGSIGFDEHRNNLEKMMGKPPTQFDVFMKTHGTTEAKNIYFAGDHENIEYCSQTAKEAQEAYLQGLVKKYEEDSSNHKDDVGVWEETQLRRKGKKKGDIYGIGASDIHFVVSGTLSSQSTKSNHSDSTQQEVCCYCLKVK >cds-PLY81334.1 pep primary_assembly:Lsat_Salinas_v7:4:37141966:37145439:-1 gene:gene-LSAT_4X24661 transcript:rna-gnl|WGS:NBSK|LSAT_4X24661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNGKNMKKSSSSSSRISVEQFVSKMNPLIDMEKEAEISASMSSGANRTLDSASKKGSTLLNLKCVDVQSGLMGKTLIELQSNKGDVLPAHKFGTHDVVVMKPNKADLSSPALGQGVVYRLKDSSITIAFDDIPEEGLNSPLRIEKVANEVTYSRMKDTLVQLSKGVQKGPASDLVPVLFGEKTPSVVKKDVSFTPFNKNLDHSQKDAIKKALSSKDIFLLHGPPGTGKTTTVVEIILQEVKRGSKILACAASNIAVDNIVERLVPHKVKLVRVGHPARLLPQVLDSALDAQVLRGDNSSLANDIRKEMKALNSKLLKTKDKNTKREIRKELRILSKEERKRQQLAVTDVIKNSSVVLATLTGSSSRKLDGISFDLVIIDEAAQALEIACWISLLKGSRCILSGDHLQLPPTIQSIEAEKKGLGKTLFERIADLYGDDVMSMLTVQYRMHELIMTWSSKELYNNKIKAHGSVAGHTLHELEGVEKSSSSTEPTLLLIDIAGCDMEEKKDEEESTLNEGEAEIAIAHARRLIQSGVRASDIGIITPYSAQVVLLRVLRTKDDKLKELEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDRRRMNVAVTRARRQCCVICDTETVSSDTFLKGLIEYFEENGEYLSGSDYGN >cds-PLY62922.1 pep primary_assembly:Lsat_Salinas_v7:3:144091165:144092348:1 gene:gene-LSAT_3X94461 transcript:rna-gnl|WGS:NBSK|LSAT_3X94461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNFSNSFPSPINGFPHSSTVFFGQEKDGVHFNHHPFIAGDCSFDHVQTPLPIKASSIQNSDNRNHLNLSESVNSPSRKRVAVSKKDRHSKIFTAQGPRDRRVRLSIEISRKFFGLQDLLGFDKASKTLDWLFTKSKSAIKDLLEEKKHTLSPSTLTDQCEEVFMEKGDHVEKKGKKKKPVAAKYVNGVKRKKKTTPKNMKTGFPINLAARIQLRAEARARARERTIEKSRNKNLENIDSKNVLDDRNYCYLQSQSNHEPKIGESFMEQKLPKPYSLLYTSHHNFVGSKDSISQFKNNLH >cds-PLY63605.1 pep primary_assembly:Lsat_Salinas_v7:8:189606811:189607074:-1 gene:gene-LSAT_8X121660 transcript:rna-gnl|WGS:NBSK|LSAT_8X121660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGDFRWSMSLAIDDGIPASARQQRWWLVLDPDKVAASGDGDTRSASEMVHCKGGGWEKGGIRVAADAVSRFRVVDGNQTAKYPG >cds-PLY66231.1 pep primary_assembly:Lsat_Salinas_v7:5:142310001:142313130:1 gene:gene-LSAT_5X62580 transcript:rna-gnl|WGS:NBSK|LSAT_5X62580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGALTINRNKRDAEFQTSSPSVYGYNSLHVAKKLKSSSWSSPHIAPTKTSRSSVSRLSQYPKPVTPINREVHAPCIIVSGFTSTVKRLNGGSSGIVRQKSYISGENMNDLFSKYKHAKESAIGACRLVVFEKQDMTEVIDVDKEENFKYDKAASTSSSVEEVEMVKDAIKHIHSSGEVQEIAAKYWELDQKTPSTSSEVVSELTNGKMLESLSLDRGSDAMDVDMGSPLHKKLYESAKRSDSKLRRINFDIELHEKRRALYQQSHPAKKKEDLNDPFRPLTEDEEKMVDNALSYSNRRKPFVNHENSNITITGEVLQCLRPKAWLNDEVINVYLELLKERETRDPQKFLKCHFFNTFFYKKLISGRTGYDYKSVRRWTTQKKLGYGLLECDKIFVPIHKEIHWCLAVINKKEEKFQYLDSLGGADKKVMKMLATYITDEVKDKTGKNIDVSCWKQEFVTDLPNQENGYDCGMFMIKYADFYSRDIGLCFNQEHMPYFRLRTAKEILRLRAD >cds-PLY89302.1 pep primary_assembly:Lsat_Salinas_v7:2:48306328:48307350:-1 gene:gene-LSAT_2X21720 transcript:rna-gnl|WGS:NBSK|LSAT_2X21720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFSNFILISSLVSLFTDLPIIKDVLEIANVLNSKWKRQVELMYIITYDILFGQDSSLTGDPEKYLILRKSQLQSALAKILLKKGAKRIEDLMSQYKIPADVKKPRYVRVNTLKLDVETAVSELSKDNMVEKDDMIPDLLVLPPATDLHNHPLVTNGSVFMQGKASSMVAVALGPKPGWETL >cds-PLY79886.1 pep primary_assembly:Lsat_Salinas_v7:8:18710575:18714954:-1 gene:gene-LSAT_8X14601 transcript:rna-gnl|WGS:NBSK|LSAT_8X14601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFSEKSLIYWRGNPQFIGDESKVSRPPSISLDNRISSDKHVEELDGATALSLVARAPSRSFDNRTRSDEQNEKLDRASALSLVSRTPSRSLDNRIRGDKHNEELDPASAFSLISRAPSRSLNNRARSDKRNEELDRAFALSLVLRAPSGSFDNRNTNNKHKEKLDGPVALSSDIRTRSNKQKEELKRATALSLAEGLKKPIGNNNESMVLRAPVRSLDNHTRSDKYKGELDRAIALSLAEGLRKSNGYGWKPSNNNDLAKSFQDDFHPSYPPYVPREYVPKGYRICGGCNRDIGYCNYLSCMGTYFHPECFCCSACRYPITENEIPTNGAGLIDYRRHPFWSQKYCPAHDYDNTARCCSCERLEPVNVRYISLGDGRSLCLECMESAIMDTGDCQPLYHSIRDYYEGMNMRIDQQIPMLLVERHALEDATAREKNGFHYSPDTRGLCLSEEQTVASILKRPRIGGQQLVGMRTQHQKLTRRCEVTAILVLYGLPRLLTGAILAHLLMHAWLRLKGYRNLNPEVEEGICQVLSYMWLESEIMPNIPSSSSSSSSTSKKGGKSRNENKLGEFFMHQIAHDSSPIYGGGFRAANAAVNTYGLRRTLDHIRLTGSFPL >cds-PLY75365.1 pep primary_assembly:Lsat_Salinas_v7:6:179994363:179995750:1 gene:gene-LSAT_6X110120 transcript:rna-gnl|WGS:NBSK|LSAT_6X110120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIPVIDFSMLNGQGRASTMDQIANGCEEWGFFQLVNHGIPVELLERVKKVSSECYKLEREQNFFNNSTPRKRLKELVEKKSGDKLENIDWEDVFLLSDDSEWPSRTPGFKETMMEYRAQLKELAEKMMEVMDENLGLPKGYIKKAFNGGEGETAFFGTKVSHYPPCPHPEMVTGLRAHTDAGGIILLFQDEQVEGLEILKDGKWIDVQPLPNSIVINTGDQVEVLSNGRYKSVWHRVQAMPDRTRRSIASFYNPSYNATIAPATELLEKENDEIKELDYPRFVFGDYMSIYSELKFLPKEPRFKAVRAV >cds-PLY88624.1 pep primary_assembly:Lsat_Salinas_v7:5:139473955:139482009:-1 gene:gene-LSAT_5X61180 transcript:rna-gnl|WGS:NBSK|LSAT_5X61180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISIGHNILNIGQHTYDASLSYHHVALLLSSLLVICEEFIMVFWVFGYGSLVWNPGFDYDDKMIGYIKNYRRVFDLACIDHRGTPEDPARTCTLEYKEGAVCWGAVYCVKGGEEKERLAMAYLENRECEYDQKTTVEFFKEGETSEATLSGVIVFTSTPDKESNKYYLGPAPLEDMAKQIATATGPCGNNRDYIFLLEKAMFDIGHEDDMVIELANEVRKVLGNTGLGIIPKESSSNRLTGPSHKPKSPHKLLHLPEAIAMDT >cds-PLY72915.1 pep primary_assembly:Lsat_Salinas_v7:9:194456244:194457430:1 gene:gene-LSAT_9X118521 transcript:rna-gnl|WGS:NBSK|LSAT_9X118521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVWTCDWHLKNDVRIGSHIWYCFDPLDVEHEEVGVKKFQSCFTHSSREKQLLSLCSPLFSVQTDSHGPSFCNNIAPAS >cds-PLY71625.1 pep primary_assembly:Lsat_Salinas_v7:9:135089603:135089842:-1 gene:gene-LSAT_9X87301 transcript:rna-gnl|WGS:NBSK|LSAT_9X87301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELLMAQEFCTTTHLLPICEQYACIHVCLKIYGHHSFGECKNPVMCRCYHQCNIMGKDGIRRSSENPPLPSPHIKNHII >cds-PLY69411.1 pep primary_assembly:Lsat_Salinas_v7:5:301225117:301228206:1 gene:gene-LSAT_5X162241 transcript:rna-gnl|WGS:NBSK|LSAT_5X162241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCAVPSTSDTEFEKKKGKNKPNPFSLDYGGSIPTGNGYKSYVLENPTGHEIEETYVLGKELGRGEFGITYMCTDKSTGEIFACKSISKKKLRTRVDIEDVRREVEIMKHMPTHPNIVTLKDTYEDDSAVHLVMELCEGGELFDRIVARGHYTERAAAGVTRTIVEVIQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPKVSDAAKDLVKKMLNPDPKLRLTAQEVLDHTWIQNAKKAPNVNLGETVKARLKQFSVMNKLKKRALRVIAEHLSAEEVAGIKQGFDLMDTSKQGKINIAELKAGLQKLGQQIPDADLQILMDAGDVDKDGFLNYGEFVAISVHLRKMGNDDHLKDAFAFFDQNKSGYIEIDELREALSDELETNNEEVVAAILHDVDTDKDGRISFEEFTAMMKAGTDWRKASRQYSRERYNNLSLKLFRDGSIREK >cds-PLY84476.1 pep primary_assembly:Lsat_Salinas_v7:7:128861135:128864173:1 gene:gene-LSAT_7X78961 transcript:rna-gnl|WGS:NBSK|LSAT_7X78961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLTRAHQSNLSLGFVPHSSHSNQIANDTVSFQIDTSFRNPSNHLVSGVPLQLMEQQTTTESKNVDLNEKHQQTNTGSMDLNEESRDGGEDDGERDVEEFRILGHSMCLKRRRDNNTPSCATTDSASSQTLSKRCHTEQNRHPQSLESRRQAVRAWGNQSLQAADPDIFDILEKEKHRQYKGIELIASENFVCKAVMEALGSHVTNKYSEGMPGSRYYTGNQYIDEIETLCCQRALVAFGLDSESWGVNVQPYSCTSANFAVYTGLLSPGDRIMGLDTPSGGNTSHGYYTPNGKKVSGASIFFESLSYKVNPQTGIIDFEKLEERALDFRPKILICGGSSYPREWDYSKFRQIADKCGAVLMCDMAQISGLIAAKECASPFEFCDIVTSTTHKSLRGPRGGIIFYKKGPKPRKRGMLLNQGDGSDKYEFEEKINFAVCPALQGGPHNNHIAALAIALKQLATPEYKDYMQQVKKNAQALASALLRRNCRLVTGGTDNHLLLWDLRNLGLPGKNFEKVCEMCHITVNKIAIFDDNGTLIPGGVRIGTPAMTSRGCLESDFETMADFLCRAAQITSSVQREHGKMVKSFIKGLENNKDIIDLGAQVENFAIQFAMPGQDL >cds-PLY94713.1 pep primary_assembly:Lsat_Salinas_v7:2:85408797:85416761:1 gene:gene-LSAT_2X38000 transcript:rna-gnl|WGS:NBSK|LSAT_2X38000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTELVVLVILLMISNLGTSQSNNNNTNTTNTPIRLSCGINPVTSSSSLFSSLDSTITELRSQLWNKDVYFARAHDLRSLDLVYGLAQCRNYLSAAQCVTCFDAAVSAIKTCSSVTGAYVFLDNCFLRFENYPEFYEDPQAKMDVKIAPTLICGNQLASETTIFNQIVGELLSDIRVATPRTSNFYVASTKRLPSGNTTIYAIAQCVESVTQPICEQCMNISYNNLNSCPPSKEGRTLDFGCFLRYSQTPFFQDNQTTNIIHFLSKGSSRKLGMIIGASVGVGIILLIIASSLRYKLRKKPKIDEGKHELEGVKAYKYTDLRLATHNFKEEYKIGKGGYGEVFKAVLDNKNVVAVKKLHDGYGKSKLEFNNEVKLISNIRHRNLMQLLGWSSDGPQVLLVLEYMPQGSLDRFLWGEKRGTLNWKQRFDIMVGIARGLVHLHEEFHVKIIHRDIKSNNILLDDNFQPKIADFGLARDQPEDQSHVSTLFAGTLGYTAPEYATHGQLSDKVDTYSFGIVALEIISGRRGTAVNLDPHGNNYLLEHAWELYESGMHIELVDKALDPNEYEEENMMNMIEIALLCTQSPANLRPIMSEVVLMLSSGGSFRRLQMTRPTVIHHHRRIHVDAKGLHQM >cds-PLY68867.1 pep primary_assembly:Lsat_Salinas_v7:3:64313152:64315873:-1 gene:gene-LSAT_3X50240 transcript:rna-gnl|WGS:NBSK|LSAT_3X50240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLGTAASPYVNRVQFVLNLKSIKYEFIEENLARKSDLLLASNPVLKKVPVLLQANKPPLCESLIIIDYLEDIYPNVHKLLPSDPLDRAIIRFWANYMDNEVLPLYEKLRCTPHKEGKEAIIKQIIEESSLIEKTHIKFSNGKSYFGGEDVGYLDVVFGSFIGWTRFVENHYNFKIFDRIRTPNLVEWAERMTSHEDIKDVIPREETLMNFYMMIQEHKKPRVV >cds-PLY66405.1 pep primary_assembly:Lsat_Salinas_v7:9:127834858:127840806:-1 gene:gene-LSAT_9X83520 transcript:rna-gnl|WGS:NBSK|LSAT_9X83520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKGLYGSVDEFLKQCSQSGDSAYSALRSLLERLENPDTRTEARIFFTHLQKKLETDGASEHCLDTYHFQIQDIFLERNEGYQKKKKLTMMVIPSIFMPEDWSFTFYEGLNRHPDSIFKDKTVAELGCGNGWISIAIAEKWSPLKVYGLDINPRAVKISWINLYLNALDENGELVYDHEKKTLLDRVEFYESDLLSYCRDNHIELERIVGCIPQILNPNPDAMSKLITENASEEFLHSLSNYCALQGFVEDQFGLGLIARAVEEGIDVIKPMGIMIFNMGGRPGQGVCKRLFERRGLRVNQLWQTKILQASDTDISALVEIEKNNPHRFEFFMGLVGDQPICARTAWAFGKAGGRISHALSVYSCQLRNPNQVKKIFDFLKNGFQDISNSLDLSFQDESVADEKIPFLAYLAGILKDSSRFPYEPPTGSKRFRDLIAGFMKTYHHVPISADNVAIFPSRATAIENTLRLFTPRLAIVDEHLTRHLPKQWLTSLEIEQKGDKKTSTDGITVIEAPRQSDLMIELIKKLRPQVVVTGIAPFEAVTSSAFEHLLRTTREIGSRLFIDISDQFELSSLPSSIGVLKYLARTPLPSHAAIICGLLRNQVYADLEVAFVISEEQTIFDGLSKSVELLQGNTALISQYYYGCLFNELLSFKLPDRRPPTERETENTKSSDMIGFSSSAISVLTDAELSIKETDTSSLIHMDVDQIFLPTPTPVKAAIFESFARQNVTESECDVTPSIKQFIKTAYGFSADHTAEFIYADFPLALFNKLVLCCIEEHGTLCIPTGSNGTYTAAARFLNANIVSIPTCPETGFKLTENQLGKALETVTKPWVYISGPTINPTGLLYSNEEMKSLLKTCAKYGARVIIDTSFSGVEFNLKGWEGWNLDGSLAELKGNSSFCVCLLGGLFFKMPTGGLASGFLVLNQRFLADACYGFLGLNKPHSTIRYTAKKLLDLREQNGDLTGDGEKQGKILADRLKRLKQTLESCGWEVIEAHGGVSLIAKPSAYLGKTIKTDKDGSAWEVKLNDTNIREAMLRTTGLCINGASWTGIPGYCRFTLALEDIDFDRALDCIAKFKQIVN >cds-PLY94618.1 pep primary_assembly:Lsat_Salinas_v7:8:144274304:144274543:1 gene:gene-LSAT_8X98000 transcript:rna-gnl|WGS:NBSK|LSAT_8X98000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQERRGGEGGQLPPEISATTPNNEFLQLFTVMASHGSLGLQRRLKQRRSSTEAATSKAGGVSVVFAGWRTEVSGWCYG >cds-PLY79270.1 pep primary_assembly:Lsat_Salinas_v7:9:5329352:5331804:-1 gene:gene-LSAT_9X5680 transcript:rna-gnl|WGS:NBSK|LSAT_9X5680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGQGDLEKEAKMSRAGGAGAKGGKKKSATFVIDCGKPVEDKIMEIASLEKFLQERIKVGGKAGNLGDSVTISREKNKISVTSDSNFSKRYSAFLKLVQYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEGEEED >cds-PLY92075.1 pep primary_assembly:Lsat_Salinas_v7:5:324340751:324342538:-1 gene:gene-LSAT_5X180440 transcript:rna-gnl|WGS:NBSK|LSAT_5X180440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQVVVMPYPGRGHINPLLNFCHLLSSRLNQPNRTTVFTIVVTEEWLGIIHPDRKQANNNILFATIPNVLPSELNRGSNMITFLTAVRTKMQPPFEEVLDQMKLPVTLIIADVTMFWPFEVANRRNIPVAAYWPMSASMFSLMHHIDLLESHNHLYVDESERGHEHIDYIPGIPSLTIADMPMVFHAKYGEMFKGLIPNPLEVTKKANYVLLSTIYELESKVIDALRSKIQIPIYTCGLNIPYSQIEPNLESYGYGLINWLDSKPLGSVMYVSFGSYLPVSSAEMDEIAAGLAQSGVYFLWVARGETSRLKEMCGEKGMMVDWCDQLRVLLHSSIGGFWSHCGWNSVKESMFSGVPMLTFPILLDQPLNSKAIVENWKIGWNIRKEVRGIERDKIAEVVRKFMDSKSVERLGMMERVKKVQEICQESGSSEEDLKAFVNDMVTN >cds-PLY84310.1 pep primary_assembly:Lsat_Salinas_v7:5:191472943:191473203:-1 gene:gene-LSAT_5X84320 transcript:rna-gnl|WGS:NBSK|LSAT_5X84320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHTSLLGLESLDMEGMRQLCALEDTDEIYEGVLLGGGEGSGGGGDIDCAGGDGVDSVGGDGVVGDDSGGDAVARNDVGGVGSAVS >cds-PLY63084.1 pep primary_assembly:Lsat_Salinas_v7:8:76288495:76290834:1 gene:gene-LSAT_8X54441 transcript:rna-gnl|WGS:NBSK|LSAT_8X54441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVMDEEGTKYQSRVFHQNFSRFRDLLKEGENYIILKPNLAGVKNGFSVTGQKQTLTLDWKSIVKKSDDFSGPVNGFVFADFNSIIEQKCPRDTLFDVIGLIVSFRPLETTNPNPSKHYMKMTISNLESVHLNVTIFGSQAHEMSHYLKSNTTITCVVIVMQFVKLNVWNGIGQTQSHFDVTKMFINSDIVEINEFKKELKANNKGGMSEKSITTLPSYSTSYIDDFKGDFPLKTVCEITEPLKEMKFLLVGSIVNIRQNLPWYYDACYKCGRRINNVPKTNLSYTAPGKMEDSVVIKCKNAACNDSNFHTVIKYIIPINVQDHTGTIGFTLFDREAKRLLDISAFELKKIHEEVGDSLELYPNHMNVLKNRKFAFLVDVTSHNVTNYNNIYTVVKLTEDESVVLELESKLELMSVQSVSLNEVPLESDEVVQNVQKDVISQTDENFTPSTIDKSSATSPLKISVDLKRNLHDIYDVDGGGDLSSIKSKRKSMGEGNPLLVPKVEK >cds-PLY79818.1 pep primary_assembly:Lsat_Salinas_v7:8:16358437:16358781:-1 gene:gene-LSAT_8X12680 transcript:rna-gnl|WGS:NBSK|LSAT_8X12680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSSPNHSRSPNYSRHMVKNVSTGVTHEDMAINLLQTQLELSLVREAIASYIRELQRNVTGGLDTPNHEVDDVRTGQLDLSNMVADLKNHLCSLQASYVNIVLGKNKCKKVK >cds-PLY68627.1 pep primary_assembly:Lsat_Salinas_v7:7:132174678:132179269:-1 gene:gene-LSAT_7X79701 transcript:rna-gnl|WGS:NBSK|LSAT_7X79701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDMRDGFGSLSRRSFDVRLSGHHNRGKSQGSINDLNDNGPSLIVQNSRWANLPPELLFDVIKRLEESESTWPSRKHVVACAAVCRSWRSMCKEIVRTPESCGKLTFPVSLKQPGPRDVTIQCFIKRDKSNLTYHLYLCLSPAALLVENGKFLLSAKRTRRTTCTEYVISMDAENISRSSNTYIGKLRSNFLGTKFLIYDTQPPHSGANIPAPGRSSRRFYSKKVSPKMPSGSYNIAHITYELNVLGTRGPRRMHCVMHSIPASSLEPGGSVPGQPDHLLPPRSLEDSFRSMSFSKSLDHSAEFSSSRFSEIMGAAIGTATSSDVPESERSKMPLVLKNKSPRWHEQLQCWCLNFRGRVTIASVKNFQLIAATPAAGPSQPPQPPPPPPPEHDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >cds-PLY99483.1 pep primary_assembly:Lsat_Salinas_v7:4:56945985:56947667:-1 gene:gene-LSAT_4X38221 transcript:rna-gnl|WGS:NBSK|LSAT_4X38221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMLVDCPNCRTTLNLPEGARSIRCSVCQTVTKIADTVVPSLRPSPFADVTGYAPSPSPYTNAPPYPYGVSPFPGLAAHVPSPYNNAPSSPSAVTAYPGLVPHMPSPSHLSYSPPSVYGRKKAVIVGVSYKNTSHELKGCLNDAKYMKYLLIKTFQFPESSIRMLTEEETESNRIPTARNIRTALSWLIEGCQPGDSLVFHFSGHGSRQRNLNGDELDGYDETLCPLDFETAGMIIDDEINATIVRPLPLGAKLHAIIDSCHSGTVLDLPFLCRMNSSGHYEWEDHRPTAPGIYKGSNGGEVISISGCDDDQTSADTSALSKITSTGAMTFCFIEAIEHGNASTYGSLLSSMRNTIRNASRGFGSANSVHDMLSSNRGFTQEPQLTSCEPFDVYAKPFSF >cds-PLY67298.1 pep primary_assembly:Lsat_Salinas_v7:6:22982516:22984141:-1 gene:gene-LSAT_6X16841 transcript:rna-gnl|WGS:NBSK|LSAT_6X16841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPFDRFGNDVDNQEMGSFGKLPQLWQEDDTGNKFNATHGLSFSSEYHEIDQQQPLKAFHDLDALFLDTTPPFPRYEHQMQELANIESQYSELVKPHANPKRTKTTSPDVVRYEVSGHKLSTDAVIRLGGEKFIQSCSSSINDISMPSHPYSSSFSVFSNQKAKDIELIQNLLLSAQKVSQQQFERSSKLLNWCDTLSSSSGNPIQRLVHYFSKALREKIAKETGRISFHGPGKEHVDYIEERTLSPNPTSVSVYQKLPFFQAGKFSGVQALVDAVAGATKVHIIDLSIKQGVHCTILMQALASQPTCPIKHLKITAVGTNFREKIEQTGHWLKSFAESINLSFSFHVAMVGDMLDFKEDLLELDPEEALAVFSSYGLWGMIGQQDRLETLMKVIKSINPRVMVVIEGAANLNSPNFVDRFIEALFFYGAFFDALEDCMDREDENRAITESVYMGNGIWSIVATEGAERVIRNVNINVWRKFFARFGMKETELSMSSLYQANLVTGIFSCGNSCTFDMDGNCLIIGWKGSPIQCLSVWKFS >cds-PLY63723.1 pep primary_assembly:Lsat_Salinas_v7:9:86025778:86026508:1 gene:gene-LSAT_9X68140 transcript:rna-gnl|WGS:NBSK|LSAT_9X68140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLREVRHADVVRFIGACMKKPPLCIITEYMPGGNLYEYLHKNRNILRAAVGAGGAARALEFGAKSKGARVLIFNRNFERAESLPQAVSGETLTIEQLDAYCPKIGMILANCSAIGMEPDVLH >cds-PLY87584.1 pep primary_assembly:Lsat_Salinas_v7:8:112793698:112794588:-1 gene:gene-LSAT_8X79101 transcript:rna-gnl|WGS:NBSK|LSAT_8X79101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLLGVASSTQENINAPKSDLFREYIGAEFNGVKFTDLPINPNVEFHYLLAFAIDYTTSSSSSPTDGKFNVFWDTDNLSPSQVSSIKSQHSNVKVGLSLGGDSVGGGSCYFSPSSVDSWVSNAVSSLTKIIQEYNLDGIDIDYEHFHADPDTFAECIGKLVTTLKNNGVISFASIAPFDDDEVQSHYLALWKNYAHVIDYVNFQFYAYDQGTTISQFIKYFQTQSSNYKGGNILASMSTDGSGGLSPENGFFTACNRLKSQGKLGGIFVWSADDSKASGFRYEKQSQALLAAPR >cds-PLY80059.1 pep primary_assembly:Lsat_Salinas_v7:4:348066215:348067919:1 gene:gene-LSAT_4X171601 transcript:rna-gnl|WGS:NBSK|LSAT_4X171601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKPHAILVPYPAQGHVIPMMELMQRLVKHGVKVTFVNTNFTHKLVTDAFSEDEKLNDLASLVSLPDGLETGEDRNDFGKLPDAIFRVMPEKLEEMIKELNAKGDDFEKVTCIVADICMGWVFEVAEKMKIRKAAFWPAAAISLASLFCIPKLLEDGIIDNKGTPMKKQMVQLSPTMPAISSLEFTWLGIGDLKTQEILFNLMVKANEFVTLADFTICNSTYELEKGTFTSYPEILPVGPLLASHRVANQIGHFWKEDSTCLAWLDQQPARSVIYVAFGSFTLFDRTQFDELAHGLEMTNMPFLWVVRSDMVKDMKNDGYDDGIKSRGRIVGWAPQQKVLSHPSVGCFVSHCGWNSVLEGVSSGLPFMCWPYFSDQFANRMYISDVWKTGLVFDKDESGIVSREEIKNKIELLLGNDEFKVRAIDLKEKVAVAVSKGGHSDKNFSNFIDWIQEKGT >cds-PLY90393.1 pep primary_assembly:Lsat_Salinas_v7:9:172961825:172963472:-1 gene:gene-LSAT_9X107520 transcript:rna-gnl|WGS:NBSK|LSAT_9X107520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTNLSPFTPSSYSSTIRFLKNKNKHHFSTLSSSSALHSSSHSPSSNLSFLDRKQFPHEKIGYPFTKRKNFNKTSALADFGFGGFESAQSVIEAVSVLTAIIVVHESGHFLAAYLQGIHVSKFAVGFGPILAKFNANNVEYSLRAFPLGGFVGFPDNDPDSEIPIDDVNLLKNRPILDRVLVISAGVIANIVFAYLIIFAQIVFVGLPVQESFPGVIVPEVRPFSAASRDGLLAGDVILSVNDIDLPQAIPNSVSQVVDVIKKNPKSSVLFKIDRGGKDFLITITPDQNPDGSGRIGVQLAPNVKILKEKPKDVLEAFGFTGREFWGLTSNVLDSLKQTVLNFSQTASKVAGPVAIIAVGAEVAKSNIDGLYQFAAILNLNLAVINLLPLPALDGGSLALILVEAARGGRKLPLEVEQGIMSSGITLVFVLGLFLIIRDTLNLDFIKDLL >cds-PLY63618.1 pep primary_assembly:Lsat_Salinas_v7:4:133968962:133970240:1 gene:gene-LSAT_4X82801 transcript:rna-gnl|WGS:NBSK|LSAT_4X82801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METENVNTIVSKLRPIPSPVSHLCFTASQIWLRIVAAASSIAAACLMFNSRQSKVLFGTDLDARYTYNPSFKFFTIMNVVASVLSLLSLLPVFSLGRKFSNPVNYFFLFIHDLILTSLMVGGFGAASAIAQVGKYGNNHAGWMPICDNFGKFCHKVMASLILSLLSTICYLLLTVISANKAREVSD >cds-PLY93241.1 pep primary_assembly:Lsat_Salinas_v7:6:158732343:158736133:-1 gene:gene-LSAT_6X96520 transcript:rna-gnl|WGS:NBSK|LSAT_6X96520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative UDP-glucuronate:xylan alpha-glucuronosyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT1G77130) UniProtKB/Swiss-Prot;Acc:Q8W4A7] MEEVNHKGMPSEDTSKRRSFGSRSFKDGEKPYLSPNQDRNASCKFPTLKLVLVIIILGALFTLLHSPAVYNTERPSHSGSRVSLVERRGNKKSLSDDERYLSHLKVNWNHISGVIRTLSDANEYKGIGLLNFNENETDQWKELLPLPEHITLHLNPAKNITWEDLYPEWIDEEEEYKVPICPKLPLIEAPRKPRIDFIAVKLPCVKTRDWSRDVARLHLQLAVARFVAKSRGVHPVRVLLVTDCFPIPNLFMCKELVMRRGNVWLYEPDLNLLRDKVRLPVGSCELSVPLMAKDYRYSGNPKREAYTTILHSAHVYVCGAIAAAQSIRMSGSTRDLVILVDNTISDYHREGLQAAGWKVHTIERIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFEMPEITATGNNATLFNSGVMVVEPSNCTFKLLMDHIDEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEKKVMKTRLFGADPPVLYVLHYLGLKPWLCFRDYDCNWNVAKIQEFASDVAHERWWKVHDAMPENLHKYCLLRSKQKAALEWDRREAEKGNYSDGHWKIKIKDSRLESCFEEFCFWESMLWHWGETNWTDNGTVNALAPPPPATVLTAALL >cds-PLY73714.1 pep primary_assembly:Lsat_Salinas_v7:8:170782663:170784336:-1 gene:gene-LSAT_8X111520 transcript:rna-gnl|WGS:NBSK|LSAT_8X111520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVMNGGATEKPNGSVLSSPPPSVVEDEKEKSFTTKSLSDKSEVSHGELGEDVTLSGVFNHLTESIFDPNPDQNSSAGRPPMANRIKKSFIEAAPMFREATLNTRREVLQWTRRGSPLRALLVVSGGAVTLLALTGILVFMLFFVAATVNAIVISLLISMAAVGGFLAIFFFCLTAFYITTLFVAAFVTFTVTISSIIAALVAAGWIGLIWMVWLGVSKSASFAKRSLSVDTLHNTPRNYKEPAPVVVN >cds-PLY97046.1 pep primary_assembly:Lsat_Salinas_v7:4:350604558:350609291:1 gene:gene-LSAT_4X173220 transcript:rna-gnl|WGS:NBSK|LSAT_4X173220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYCFRSLTRFSISTIVLAIILSLFSFTCTEAYDALDPNGNITIKWDIISWTPDGYVAVVTMYNFQQYRHISPPGWTLGWTWAKKEVIWSMMGGQATEQGDCSRYKTTPPHCCKKTPSIVDLLPGTPYNQQIANCCKGGVINSWAQEPNNYASSFQLSVGAAGTTNKTVKPPKNFTLLAPGPGYTCGPAVVGKPSKFVTADGRRVTQAMMTWNVTCTYSQFLAQKTPTCCVSLSSFYNNTIVPCPTCTCGCQNNDTHPGSCVNPNSPYLASVVNGPGKNSLTPLVQCTRHMCPIRVHWHVKLNYKEYWRVKVTITNFNFRMNYSQWNLVVQHPNFDNLTQIFSFNYKPLTPYSTINDTAMLWGVKFYNDFLNQAGPLGNVQSELLFRKDKTTFTFKEGWAFPRRIYFNGDNCVMPPPDAYPYLPNATSRVNFTLFGLLITFLAFFFLFE >cds-PLY80416.1 pep primary_assembly:Lsat_Salinas_v7:8:171552551:171555883:1 gene:gene-LSAT_8X112581 transcript:rna-gnl|WGS:NBSK|LSAT_8X112581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEITKVVVGGDDWHDGFSAMVAVSGLMAVRFRDSTLSILSIVKSPFPSPDAENVISCGFYATSMLHHAETPSSHTISPVIYMWRPNQKSTNGSEDHVKVNNGQTFRQLW >cds-PLY99448.1 pep primary_assembly:Lsat_Salinas_v7:6:20326497:20327348:1 gene:gene-LSAT_6X15520 transcript:rna-gnl|WGS:NBSK|LSAT_6X15520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASAETLKCEHHKTAEDDDWTIVLRKRTNPKRKFPKLKPSKQQQEQQQTQWAPTDIETTLEKELHLMNKMKISIEKLEKSQFFNAFLDQIHTPEASQHFLKLTQSQSKVKMVIYGIGSIESFESPRLQLSLAILMKRKLDWIGEMEVFDPIISLTESKVMVELGCRVLLVNEHGRREAVDPILFFMPHCEVELYDNLLKTNWRHDLLNKIILLGNSFEKYEHHRLVSKNQALDESRKHLLAIQEFTKEFEIKTLSDDYFRAFHGSSWHFFSVDCDTDLQLTC >cds-PLY78772.1 pep primary_assembly:Lsat_Salinas_v7:9:49571312:49573544:1 gene:gene-LSAT_9X45761 transcript:rna-gnl|WGS:NBSK|LSAT_9X45761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTEFSPAYAMLEGGFNNIVSIGEDEISQNSDRLKHMAIRKPPRHLSVVRHSMSTATLLAPTNPDFGIGIVAVAVKSDGNSEFLPVIRSGSCAEKGPKQYMEDEHICIDNLLDYLDQPEGFPSPGAFYGVFDGHGGTDAASYVRKNILKFIIDDPQFPICLETAIRNAFVKADHAFADTSCLDISSGTTALTALIFGRTVVVANAGDCRAVLGKRGRAIELSKDHKPNCPSERLRIEELGGAIYDGYLNGQLSVARALGDWHMKGPKGSACPLSAEPELQQIVLSEDDEFLIIGCDGLWDVMTSQCAVSITRKQLMIHNDPERCSKELVREALKRNTCDNLTVVVVCFSPEPPPRIEIPQTRVRRSISAEGLNFLKGVLDGNS >cds-PLY65364.1 pep primary_assembly:Lsat_Salinas_v7:6:41398530:41400083:1 gene:gene-LSAT_6X29721 transcript:rna-gnl|WGS:NBSK|LSAT_6X29721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHPKPRFIYQGSKSQNVLLLHDLHGKSRGRTTGTTGKLVKSTSGANRDNLSSQSSSTNRSPRTPASEKKRVGRVSELETQVAQLQEELKKTKDHLSESELCQKRAHREAEDAKKQLAATLAKLEESQQQLDEIWASEESRIQELRKISQDRDRAWESELKAVQKHHEMDSAVLAATMNENQKLKIQLQKVVESEATRAKDIESAHGHEVHMLRLELSEALDLVEELKDRLNESKDSEARALELVSQTREQLEMVKSSMEKDVVELTEDGDGSDEAARVVELGSALAANAEMENELRRLKVQTEQWRKAAEMAASMVLGDGDGKFVEQSSESFEFHAPGEKSNSPYSEDTEEESTNKKTNSMLKKIGVLLKKGQKQFESSTK >cds-PLY66826.1 pep primary_assembly:Lsat_Salinas_v7:7:19199881:19200300:1 gene:gene-LSAT_7X15400 transcript:rna-gnl|WGS:NBSK|LSAT_7X15400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKILIILIILLALVINLSATLVDATLVEDEEKDAFPTYGSLRGANRFLAQQSRGLLKCNKNPRLCRVKGSAGPDCCKKKCVNVKTDKQNCGLCGKKCKNQEICCKGKCVNPLADKRNCGGCNNTCKKGNSCVYAMCSYA >cds-PLY70466.1 pep primary_assembly:Lsat_Salinas_v7:1:73851274:73852137:1 gene:gene-LSAT_1X62820 transcript:rna-gnl|WGS:NBSK|LSAT_1X62820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVARLADHSTSISQFDINPTSSSFFFTADHFCLLPITIVWFTKDWGKTGSGHFSPVGGYHDGRDMEFVLDVARFNVVFVPKPDGLEEDDGWVISFVHDEHLNISWMHRSLPVSQLQLLPCHIECLMVFMELSCR >cds-PLY82312.1 pep primary_assembly:Lsat_Salinas_v7:1:69032975:69034815:1 gene:gene-LSAT_1X60941 transcript:rna-gnl|WGS:NBSK|LSAT_1X60941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQKYNIPTSSCSDDGDCLLPFEGGASVVNAFSAHRFFCIITLMKSICLPSSSLSCLPSLHHNPTPFFQSWPNYKPFSSSPLFSPLSIQSTSPKSSIPAVTPTEGSIPVINFEDFVEKDWSFLDADDISSNQVYKQHTDRIISSGKISEDSKVLISTGSEGFVDQVIDTHSCKQLLVVHDSLFVLACIKEKYDKVKCWQGEVIFVPEKWAPFDVVFIYFLPALPFELNQIFEALSKICSPGARIVISHPKGREMLKQQKVEYPDVVVSDLPDKVMLESVASHHSFIMVEFVDEPGFYLAVLSINTQKLIQVNCF >cds-PLY69474.1 pep primary_assembly:Lsat_Salinas_v7:6:44622077:44624353:1 gene:gene-LSAT_6X31740 transcript:rna-gnl|WGS:NBSK|LSAT_6X31740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYNSHRCIDRSHISIRLSFSVHRVYHFLSLRFCSTYNLDNGPFGVASQDFFKPLKPQEAAPFLTQSLGQGLVNKSSLTHQPVFVSSGAILFVAANTAGVRTYHYLEAAEQDLWIAVKLNIYLYHSYLFSCWP >cds-PLY89343.1 pep primary_assembly:Lsat_Salinas_v7:5:113429287:113433648:1 gene:gene-LSAT_5X50541 transcript:rna-gnl|WGS:NBSK|LSAT_5X50541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALCAFAFPGQLNHRSLTSHTPVLQHCFFGTDLQQPQSSHKTFNQGSKKVGGVRATLSERAEYYSQRPPTPLLDTINYPIHMKNLSIKELKQLADELRSDVIFNVSKTGGHLGSSLGVVELTVALHYVFNTPQDKILWDVGHQSYPHKILTGRRDRMHTMRQTNGLAGFTKRAESEHDCFGTGHSSTTISAGLGMAVGRDLKGGTNDVVAIIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTANLDGPIPPVGALSSALSRLQSNRPLRELREVAKEVTKQIGGPMHELAAKVDEYARGMISGSGSSLFEELGLYYIGPVDGHSIDDLVAILKEVKSTKTTGPVLIHVITEKGRGYPYAEKAADKYHGVGKFDPATGKQFKSSAPTQSYTTYFAEALIAEAEADKKIIGIHAAMGGGTGMNLFLRRFPSRCFDVGIAEQHAVVHDVDLQKLPVRFAMDRAGLVGADGPTHSGSFDVTYMACLPNMVVMAPSDEAELFHMVATAAAIDDRPSCFRYPRGNGIGVPLPPGNKGIPLEIGKGRIMLEGQRVALLGYGTAVQSCMAAAELVKDRGLNITVADARFCKPLDHALIRALAKSHEVLITVEEGSIGGFGSHVAHFMALDGLLDGNLKWRPLVLPDRYIDHGAPADQLAEAGLTPSHIAATVFNVLGKTREALEVMS >cds-PLY88190.1 pep primary_assembly:Lsat_Salinas_v7:5:221280440:221283436:-1 gene:gene-LSAT_5X101780 transcript:rna-gnl|WGS:NBSK|LSAT_5X101780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGRDPWGGPLEINTTDSATDDDGSRNLNDFDRAALSRPLDETQQSWLLGPGEEKKKKYVDLGCISVSRKIFVWTVGLIFAAGFIAGFIVLIVKTVPRHHKHPPPADNYTVALQKALMFFNAQKSGRLPKHNNVSWRGNSCLNDGKSDKSGAVLKDLVGGYYDAGDAIKFHFPQAFAMTMLSWSVIEYSAKYEAAGELNHVKEIIKWGTDYFLKTFNSTADTINQLVSQDIDYPRPVTECSSCSDLAAEMAAALASASIVFKDNKVYSKKLVHGAATLWKFARDQRGMYSGGGADAANFYNSSMYWDEFVWGGAWMYYATGNQSYLYLSTHPTLAKHAGAFWGGPDYGVLSWDNKLTGAQVLLTRLRLFLSPGYPYEETLKTFHNQTSIIMCSYLPYFNSFNRTRGGLIQLNHGRPQPLQYVVNAAFLATLYSDYLDAADTPGWYCGPNFYSTNDLRKFAQTQIDYILGKNPRKMSYVVGFGNHYPKHVHHRGASIPKNKVKYNCKGGYKWRDSKKPNPHTIIGAMVAGPDKYDGFHDVRTNYNFTEPTIAGNAGLVAALVALSGERTTKIDKNTIFSAVPPMFPTPPPPPAPWNP >cds-PLY78357.1 pep primary_assembly:Lsat_Salinas_v7:9:9286180:9287179:-1 gene:gene-LSAT_9X6120 transcript:rna-gnl|WGS:NBSK|LSAT_9X6120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEALHNTDVKLLAFDFLSLTPSSTQPNAVCRRDTLLISRAETLGVVTSREHKPDIFLRFTIDDGTGCIPCVLWLNQLTSPYHSRRSPPDVRLIAESARNFATLIQIGVSARVRGKATIYRGKLQLTVSDVFVERDPNAETLHWLQCIRLAQKCYDIVPPSLPPIKRKANDLKD >cds-PLY69366.1 pep primary_assembly:Lsat_Salinas_v7:4:114729310:114731461:1 gene:gene-LSAT_4X72600 transcript:rna-gnl|WGS:NBSK|LSAT_4X72600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGDDAPAIGIDLGTTYSCVAVWKNDRIEIIPNAQGYRTTPSCVAFVDAARLIGDGAKNQATINPANTIFDAKRLIGRRFSDSKVQEDKKLWPFKVIEGPSDTPKIVVSYKGKAKEFLAEEISSMVLGRMKEIAESYVGKPVKDAVITVPAYFNDPQRQATKDAGTIAGLNVISMINEPTAAAIAYGLEEPFKMKEKKKKNVVVFDLGGGTFDVSILTMVEGEFGTFEVKAVAGDTHLGGEDFDNRMVDHCVKEFKRKWKKDLTPNKKALGRLRCACEKAKRILSSDTLTSIELDCLHEGIDFSMKFSRAKFEDINMEYFDRCIKTLEACLSDAKMKKPEVNEVILVGGSTRIPKVQCMLQKLFYQKELYKSLNPDEAVAYGAAVMAAKLSGNSDKRCRDLVLLDVTPLSLGIEVRGKSFSIVIPRNTPIPMKKSKNYFTSRDNQTCIDIMVYQGERAKSTDNHLLGKFSISGIPPAPKRVVTCIVYFEIDASGILTVTAEILSTGKMNKLMITNENRSLSKEKIEKMVKDAEDYKHEDQEYKKKVEAFNALEDCIYDMKNKIMNMGYSERLKIMERTIADTTKWIEHHEDASVDEVQAMKEYLESICMQEF >cds-PLY93489.1 pep primary_assembly:Lsat_Salinas_v7:9:57595077:57597344:-1 gene:gene-LSAT_9X50581 transcript:rna-gnl|WGS:NBSK|LSAT_9X50581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFYIKGPDFAALKIDAFRLTPQDQKLTFVQQTVVTECIDFSGHEFGFSFVDYENILSFAHPTDKSVDVMGLVVAVAEIQRQDPDKSKHKLNINIQDAKGLQLHVYLWGDYAYKMQEYIHNNPHNRRIVVILQFGQINVFRDRPSVNTYFTSSKLFINSHIDEIIRFNKSLDGDDGPDSSTNTYSVIPSKQLSEYDDFMIKNKLNAISEVWEPVEKCSFVIVGTIKGILQNKTWYYKACTNCFGKAVPSDGSDDQEATSYVCHNGDCTKDITSVVPRFMIPIRVQDNTVTLTLTMFKRDGKYLLKQSAKELVKKTIERGDSLDFYPDEINALKGLKLAFKVSVTNFNVSKKNNQYGIARISEDASIIEQLKKKLNDSQDAISGTDDNITPSTLDKNETTSPMKTLTTTPILKRNLKEVFDLGSNDHLSSTKTPKISPDGPGKHLLKVKLEKND >cds-PLY80560.1 pep primary_assembly:Lsat_Salinas_v7:6:13446136:13448722:-1 gene:gene-LSAT_6X9921 transcript:rna-gnl|WGS:NBSK|LSAT_6X9921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNGVPAAGNGGATTTTTSNSTAPPSQKQSPPKGLTILPASDPAPPRQPLPASVGRVLGRPMEDVRATYTIGKELGRGQFGVTYLVTHKTTHQQFACKSIAMRKLINKDDIDDVRREVQIMHHLTGHRNIVELHGAYEDRHSVNLIMELCAGGELFDRIIAKGHYSERAAAGLCRQMVTVVHDCHTMGVFHRDLKPENFLFLSTDEDSPLKATDFGLSVFFKPGDVFRDLVGSAYYVAPEVLRRHYGAEADIWSAGVILYILLSGVPPFWGETEQSIFDAVLRGNLDFVSDPWPSISSSAKDLVKKMLKSDPKERLTAVEVLNHPWMREDGDASDKPIDIAVLTRMKQFRAMNKLKKVALKVIAENLSEEEIIGLKEMFKSMDTDNSGTITFEELKAGLPKLGTKLSESEVRQLMEAADVDGNGTIDYIEFISATMHLNRVEREDHLYKAFEYFDKDKSGYITVEELEHALKKYNMGDEKTIKEIIAEVDTDNDGRINYDEFAAMMRKGSPEMVTARRRK >cds-PLY96510.1 pep primary_assembly:Lsat_Salinas_v7:5:335997960:336000461:1 gene:gene-LSAT_5X187301 transcript:rna-gnl|WGS:NBSK|LSAT_5X187301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVSFAFTWSSAARAFLFFILLAVFAFACYSLPVEKILKNFLLWIKAELGPWGPLVLAFAYIPLTVFAVPASVLTLGGGYLFGLPVGFVADSIGATLGATAAFLVGKTIGRSYVISKLKKYPKFQAIAIAIQRSGFKIVLLLRFVPLLPFNVLNYLLSVTPVRLWEYILATWLGMMPITFVFVYIGTTLKDLSDVTHGWHEISKTRWIFIGSGLMISVIMIVYIIKVAKASLEKALAENGEIDGIDLDSPGLLPILADSALGLHQPLIHTTPDDLV >cds-PLY76825.1 pep primary_assembly:Lsat_Salinas_v7:3:5211871:5213690:-1 gene:gene-LSAT_3X2841 transcript:rna-gnl|WGS:NBSK|LSAT_3X2841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISDSRWSWWSELITTTKRDDLTFQLLIFSVISFPILWYSFRKATFRLPPGPRGLPVLGYLPFLSPDLHHEFTKLGQRYGPIFKLQLGRKTYIIIRSSDLAKEVVRVQDDVFSNRDPPVAGIVLTYGGKDIVWSDNNSYWRNLRKVFVSEVLSNKNLEASRSFRRAGVRKIINHVYETMGNEIDVGGIAFTSSLSVVTNMMWGKSLDERNENSNLGVGFREVISKSVELLGAANVSDFFPVLSRFDLQGVEQKIKQQLQKVDEIFQKIIDDRMSVKPEESVEQEGRKDLLQILLEHKQKDNTSTFSLTQIKALFMDIVAGGTDTTSTMAEWTMAELLKHPEIMKKIQDELEQVIGLNNIVEESHLPRLCYLDAVIKETFRLHPPLPLLIGRCPNMSCNVGGYTVPKGSNVFLNVWAIHRDPKYWENPTEFDPNRFLNPDGTTKYDYSGNNTNFLAFGSGRRRCPGVPLGEKMLVYLLASLLHSFDWTLPKDKEHELSDKFGIVLKKKNPLMAIPSQRLSDKNLYM >cds-PLY71108.1 pep primary_assembly:Lsat_Salinas_v7:5:195497904:195500645:-1 gene:gene-LSAT_5X87041 transcript:rna-gnl|WGS:NBSK|LSAT_5X87041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDCLPTLSEAHPETMDLLSRAWCDFAVKEALQPECQNQALVLHEYSINSFDDGSTSPNLLKLETIKMENTTKPLPPWKTNDVKSWIWMQQAMHPELNYNSCFRKKWQPWHIIPFKSSNLSIKKWLKEIKRKRRENERLQKAELHAAVSVAGVAAALAAIAAQNSHNGGLNSTTKEAAVATAAALVAAQCAKTAEAMGAKKQQISSVMSSAMSATSASDILTLAAAASTSLRGATTLKTRAACKNMLNTNIPVLPIEQNTDQEFDFEQCQLILRRGTELSIRTSDGKCIIRSVSVILNHEAKVILRTRKLNLLNAFSSKKESVVLDQHVELYKNYEDGRDPCFLIVLTTTRGIIKLDMIDDDECYKIWAWTVNRMLSLSTSFTKYSLPFCKN >cds-PLY62348.1 pep primary_assembly:Lsat_Salinas_v7:4:86935396:86936865:1 gene:gene-LSAT_4X58301 transcript:rna-gnl|WGS:NBSK|LSAT_4X58301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAYSRLRGIFKSSTATATVVPTSNSTATATVVPTSNSTSTTTTTTTTTKIVKEKIKRPKKPPTVPTTTAPAAVTTIISRPKNENLAFQPLPMSQLTASLGNLRSFIDQFKRASNLGPFRYSYKYYDDVVSHLARAKQHSYIEEILEHQKRYKTDMSNEAFVARLISLYGKSRMYDHARKLFDEMPELNCPRTVLSANALLTACVNSKKLNEMSDLFRELRDEFSIDPDDVSYNIVIKAFCEMDATDSALLVADEMEKNGFKCNLYTYNTILDALYAEGNISEADKLWNEMKSKNLEPDVRTYNSKIRGLIIDKRITEAIELLDEMKNKGVNPDVYTYNGLIHGFVKEDDLVEVKKWYTKMVENGIVPDSVTFRIIIPFASKKGDYKFGFELSKEGLRMEINVGRLSLQGVLDGLVKESAIDEAKELVELVKGSDFIYYKLKVFNHSKFGG >cds-PLY63400.1 pep primary_assembly:Lsat_Salinas_v7:7:146355047:146355957:1 gene:gene-LSAT_7X86781 transcript:rna-gnl|WGS:NBSK|LSAT_7X86781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSKQHPKLLSKQLSWSPDIHRDIEWQKLKIKQLRRFRHRRCKSIDATPEVDVTDDDLKELKACFDLGFGFDFSSDLDPKLSQAFPALELYAAVNRQYNRRLLSRSSSLSSDSSSTSSTPISTIIDPSDDPEKMKTKLKQWAQVVSCSVHEASSQSQITTSDKHN >cds-PLY74735.1 pep primary_assembly:Lsat_Salinas_v7:6:116484768:116485855:1 gene:gene-LSAT_6X69481 transcript:rna-gnl|WGS:NBSK|LSAT_6X69481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPGITIGDSLPNLQVDTTHGKINLHDYVGDSFTIIFSHPGDFTPVCTTELGMMAAYADKFAERGVKLLGLSCDDVASHKEWIKDIEAYNKGKKVTYPIAADPTRDIIKQLNMVDPDEKDDAGHNLPSRALHIVGPDKKIKLSFLYPSSTGRNMDEVVRALDSLLKASKHKIATPANWKEGEAVVIAPSVSNDEAKKLFPNGFKTADLPSKKEYLRFTNV >cds-PLY89667.1 pep primary_assembly:Lsat_Salinas_v7:3:184249592:184249840:1 gene:gene-LSAT_3X110220 transcript:rna-gnl|WGS:NBSK|LSAT_3X110220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASVGFKAGVKDYKLTYYTPEYQTRDIDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWKTVWTDGLTSLDRYKG >cds-PLY85613.1 pep primary_assembly:Lsat_Salinas_v7:9:97368882:97369946:-1 gene:gene-LSAT_9X71981 transcript:rna-gnl|WGS:NBSK|LSAT_9X71981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASASSPTSFTDLHPHIIQTQILPRLDGQSLSSTASTSSYLRSLCADEILWSDICNSTWPSITHPRIDHLISTFSAGHRSFFQDSFLPPITEVKHPLRHHRWSSSQPGSTLRHHPLPTELISAVDIRYHDDMIYSRVEFTNNTTDFRSSALKIVLEDDPVVTGMHRSIDLKVDKLVHADDAAISHLKESVTLNWILIDPTRKRAVNLSSIKPVLAWITTDIHIRYAVVLPGFDLNELVECRIEVTLAVGKGGVSLKVREVTLHVQDVGCNYVTGKEFLVITRRAFLGINDYKEVEVGVGVDFYQNDLCDMI >cds-PLY99824.1 pep primary_assembly:Lsat_Salinas_v7:5:114672637:114676735:-1 gene:gene-LSAT_5X50940 transcript:rna-gnl|WGS:NBSK|LSAT_5X50940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVMNVILYYLFSTLYICYSKDTVNLLENVSIPAVIAFGDSYLDQGNNNYINTITKANFYPYGKDFVDGKATGRFTNGKSLADFFVKALGVKEYLPAYLEPLIQDHDFQTGVSFASGGTGYDPLTPKITSVIPLSGQIEMFKQYIEKLKKMVGEDATQNILTKSVYLISASTNDFFISYSTVPIRKVEYDVPTYDKMLVKLAVNFVQEIYKLGARRIGVLSGPPVGCLPAQRTLAGGALRTCSEMDNKAVQLFNAMLKQQLQVLASNLPQARMAFVDFYNPLIRIIEAPHQYGLEVTDKGCCGTGEIETLVLCNKLSTTCLDDSKFFFWDSIHLSEKGCDIFVNQVLQDLVTNLF >cds-PLY80055.1 pep primary_assembly:Lsat_Salinas_v7:4:348774259:348777946:1 gene:gene-LSAT_4X171740 transcript:rna-gnl|WGS:NBSK|LSAT_4X171740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRLHKPFNFLLITLLVFTTSYFHAVSGDDSDKSALLEFKAAVSDLSGVLMSWNLSSSDHCSWIGVTCGSDSRVVAINITGGGNSGDFTCSKYNQFPLYGFGIVKNCLDLNAKLVGNLSSAISKLSELRILSLPFNDLSGEIPSEIWGMEKLEVIDLEGNSIHGNLQSHFTGLKSLRVLNLGFNQISGEIPNSVSQFKNLQVLNLAGNHINGSIPIFLDKFGDLRGLYLSFNQLSGNIPIEIGYNCGNLENLELAGNLLVGGIPSSLGNCTKLQSLLLYSNLLQEEIPIELGRLKTLQVLDISRNSLSGPIPRELGSCLNLSIVVFSNLFNPIPTFSIPDNNFLQLAPEDEFNYFEGTIPSEITTLPNLKLLWAPRATLEGRFPDNWGTCGSLEMINLAQNLFSGEIPKGFNICKNLNFLDLSSNKLTGEISDKLRVPCMTVFDVSSNHLSGSIPSFHNTTCEPLNPSSTYLQFFASKTVNSLFLPTDNRNLAVIHNIGNNNFTGGLSSIPISRTGENTVYAFLAGENALTGEFPGVLFENCAKLKGLVVNVSSNGLSGQIPVNIGQTCRILTFLDLSGNRISGTIPVGFGDLDSLVSVNLSRNMLSGEIPGSFDKIKDLKNLSLSGNNLTGRIPSGLGDVKSLQVLELSSNSLSGEIPEDLVNLKNLTVLLLNNNKLSGKIPSGLANVKTLQKFNVSFNNLSGPLPSNENLMKCSSLLGNPYLQSCKISPATSSPEQPVSGDFSNLSGSPIPGPKDRNRNGFSSIEIASITSASAIFSVMIALFVLFCCTKWRPKSAGRGHGSTRKEVTVFTDIGVPVTFENVVRATGSFNASNCIGNGGFGATYKAEISPGFLVAIKRLAVGRFQGVQQFDAEIKTLGRLRHPNLVTLIGYHASETEMFLIYNYLPGGNLERFIQERSIRAVDWRVLHKIALDIARALAYLHDQCVPRVLHRDVKPSNILLDEDFNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSSYGNGFNIVGWACMLLRQGRAKEFFTGGLWDAGPHDDLVEVLHLAVVCTVDSLSTRPTMKQVVRRLKQLQPPSC >cds-PLY63609.1 pep primary_assembly:Lsat_Salinas_v7:9:128166768:128167059:1 gene:gene-LSAT_9X82600 transcript:rna-gnl|WGS:NBSK|LSAT_9X82600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALIPVRKTLLITALGVFLAMDRVNILGDAARHHLNNVVFYVFIPALMGGSLTDTIIASSIVSLLVQLPLNYP >cds-PLY72256.1 pep primary_assembly:Lsat_Salinas_v7:7:88279406:88280319:-1 gene:gene-LSAT_7X60300 transcript:rna-gnl|WGS:NBSK|LSAT_7X60300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLEFGLLESRSKLLLVSPQSSAVPKSHIFALSDYDWVTIMLEPTIGSDSSLLVALRKSDIHVDDIRNKKIQVVGEQKFNIKRMKKEHVCGAKSEISKYKVFFWYNVMSVMLGDMQIV >cds-PLY83277.1 pep primary_assembly:Lsat_Salinas_v7:8:192733526:192737996:1 gene:gene-LSAT_8X123541 transcript:rna-gnl|WGS:NBSK|LSAT_8X123541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYLLSPTSPAGKWMGFVTAVWVQAISGNNYTFSNYSDALKSLMALTQLQLNNLSVAKDVGKAFGILAGLASDRISPSALLLIGSIEGFIGYGVQWLVVSQRIQPLPYWQMCIFLCMGGNSTTWMNTAILVTCIRNFRKNRGPVSGILKGYVGLSTAIFTDICTALFNNDPARFLLMLTVVPFVVCLFAIVFLREIPPSSTAAEEKSETRYFAIFNVLAVFIAVYLLIFDITGDHGLSLSRVFCIVLLILLASPLSVPVYLAIQNLIRSNHKTLDVEATANTTTEPLLAQQEEQTTETVAAVVDDSKASLAVVEKMPPVIGEEHTVFEALQTVDFWILFVSFLCGVGTGLAVQNNMGQMGLALGYADVSIFVSLTSIWGFFGRIGSGSISEYFIKKAGTPRPLWNAASQILMAVGYVLMAIAMPGSLYIGSIVVGICYGVRLAVTVPTASELFGLKCYGLIYNLLILNLPLGSFLFSGLLAGFLYDAEATATTGGGNTCIGAHCYRLVFIVMAFACVVGFTLDVWLAIRTKGLYSRIYMSRKSKKSMAAAGGR >cds-PLY64354.1 pep primary_assembly:Lsat_Salinas_v7:4:26010872:26012078:-1 gene:gene-LSAT_4X18681 transcript:rna-gnl|WGS:NBSK|LSAT_4X18681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carboxylesterase 6 [Source:Projected from Arabidopsis thaliana (AT1G68620) UniProtKB/Swiss-Prot;Acc:Q9SX25] MAIINSDSTLSLPIGTKNPQNHRVLIEEIGGLIRVYKDGHVERPQIVPCVTCTLPLELGVTCKDLVIDKFTNIWARFYVPKCNLEKLPLLVYFHGGGFCVGSAAWSCYHEFLAVLARNAGCVIMSVDYRLAPENPLPAAYEDGEKALIWVKQQALSGSNKWWLKNCDFSNVFVAGDSAGGNIAHNVSLRLSVNWAQLKPLIFKGNILIQPFFGGESRTISEALMVQPRGSVLNLVASDTYWRLSLPKGANRDHPWCNSRAKRSSKLDRMTYLPTMVCVSELDILKDRNMEFCGVLRNSGIQVEHVVYKDVGHAFQILDKSQFSQMQTQEMISHIKAFVSKRMNSG >cds-PLY66171.1 pep primary_assembly:Lsat_Salinas_v7:4:34635977:34636135:1 gene:gene-LSAT_4X24340 transcript:rna-gnl|WGS:NBSK|LSAT_4X24340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLPFSLKPTSDTTRFHFLVKHFIELHELRGVGARPALLDFNRCLRTEPTKT >cds-PLY79221.1 pep primary_assembly:Lsat_Salinas_v7:5:300068290:300068514:1 gene:gene-LSAT_5X160420 transcript:rna-gnl|WGS:NBSK|LSAT_5X160420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEKGVVRVIDKVIEIAEFASGIQGFHEACEALGFEKGKRLIGCSTSSREPEVPYHVRVARKVEKVDAFLSSLA >cds-PLY84189.1 pep primary_assembly:Lsat_Salinas_v7:3:145981697:145982301:1 gene:gene-LSAT_3X95540 transcript:rna-gnl|WGS:NBSK|LSAT_3X95540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLQNSPILQFNINTPSNPFYNHHIHPQYQEISPYFSDEADDQQQINLINERKQRRMISNRESARRSRMRKQKHLDELWSQVIWLRDENHQLVDKLNKFSGTHDQVLQENAQLKEEASELREMVNKLQLNGTYPSLIDLDDINSNVLYLRNESLNPSISSSSEFF >cds-PLY74306.1 pep primary_assembly:Lsat_Salinas_v7:9:187697698:187698294:-1 gene:gene-LSAT_9X115100 transcript:rna-gnl|WGS:NBSK|LSAT_9X115100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDLEFAKVHNSAVFLENSPAVQNDLKFLVDGLKKCCLEHALTTSSAIYQNLIKDFWRSAVVKKDDKDEKYLEATIQGKKIRVSERIIRETLQIDERPKYSMEINVHQTQDGLDHMRYEGTFPPTIKILLPPCWKYLAHVFVSCISGRRSGANEISLVNTGAITALASGIEFNFSKLILHELVLNIEGNKREKFLMYP >cds-PLY74173.1 pep primary_assembly:Lsat_Salinas_v7:9:11770153:11770912:1 gene:gene-LSAT_9X8621 transcript:rna-gnl|WGS:NBSK|LSAT_9X8621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPFRAPINATAASLITYRSRKPSRMNHGGGGAKDHGKEGGGGGGDEVRYRGVRRRPWGKYAAEIRDPNKQGVRVWLGTFATAEEAARAYDRAAFDMRGHMAVLNFPAEYPPTFSAAAYSASIRAATSSSSTREVIEFECLDDNVLEDLLDYDNAKNKK >cds-PLY83173.1 pep primary_assembly:Lsat_Salinas_v7:3:95017610:95020158:-1 gene:gene-LSAT_3X71621 transcript:rna-gnl|WGS:NBSK|LSAT_3X71621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSTLINFLKACWRPSDRYPYTGSDTAGKQDGLLWYKDLGHHLNGEFSMAVVQANMLLEDQSQIESGSLSFLDSGPYGTFIGVYDGHGGPETSRYVNDHLFQNLKRFTTEQQSMSIDVIQKAFQATEDGFLSIVAKQWIVKPQLAAVGSCCLVGVICNGVLYIANAGDSRAVLGRVVKATGEVIGIQLSTEHNASIESVRQELHRLHPDDPQIVVLKHNVWRVKGLIQISRSIGDVYLKKAEFNREPLYAKFRLRDPIRRPILTADPSISTHELQPDDRFLIFASDGLWEHLTNQEAVDIVQNHPNNGSARRLVKAALQEAAKKREMRYSDLKKIERGVRRHFHDDITVVVVFLDSNLVSKASSCKGPNLSLRGGGVNMAAKTLAPLSTATEISSTTTAAATAATAM >cds-PLY79898.1 pep primary_assembly:Lsat_Salinas_v7:8:15340138:15342294:1 gene:gene-LSAT_8X11440 transcript:rna-gnl|WGS:NBSK|LSAT_8X11440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKEQVDKFAKVAAPSGLLNELNPGIINHVRNRRQVHSIIENLVRSSRNKNKISKDVEDDVLALKLSSDSTMASENISSLSNEESGNISTVDSLSVKAASVACQWLELVHQDIKGRLAALRRSKKRVQSVIQTELPFLISMELYSPVGIEMHRFRWTALFDQMDKSLSEEEEHLEKSLNQVREMLSHCEHGLLPFPSEIGLQNNYLFQKVDRDLAVRAAAAAIYSTSNFLQPAQNLPGF >cds-PLY90729.1 pep primary_assembly:Lsat_Salinas_v7:3:35906658:35907677:1 gene:gene-LSAT_3X26941 transcript:rna-gnl|WGS:NBSK|LSAT_3X26941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFDRNKVGRVVKEDRLSSLPDELIHNILSSFDMKFVVQTCLLSSRWKLLWTSMPCLNFTSWQFTSLPKFAKFVNHVLSHHNHQLEVSTVKLYFTGAASQVFVRKIVKYAFSHKVQQLTVNGSAKYRLTNYPPCLFSSHSLKHLTLTGLHSPCITPKTPWDFPALTTLHLSHITLCEDDTEKSLDLFSKCVNLENLTLELFSVGNVEVFDIITPRLSNLMLAHGDSCSAVINLIAPQLEKLTVISFSFKYLNAPPGLSSLFYWGRPPLQFCKDRFYSLNEVTICFNSFGSRYKEEDARKTMNLLQELHSARYLTLHVDIVKVCLYYISEIYDMYCRNCR >cds-PLY96241.1 pep primary_assembly:Lsat_Salinas_v7:7:182673824:182679522:-1 gene:gene-LSAT_7X108240 transcript:rna-gnl|WGS:NBSK|LSAT_7X108240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGTANGSRTPVTPRQAFSVVNGGQDPGTRSGPGSIAGSDCGGIEFTREEVEALLNMKIRTKDKFNLKEKCEIMMEYIKKLRMCVRWFQEFEGELSLEHEKLKKMFESAEKKSNDMEMLMNAKEEELNSIIMELQTNYATLQEKFTKEETDKLAAIQTLMTEKDARLSAERSQASLTEDLEKALRESSSANQKIISLNDMYKRLQEYNTSLQQYNSKLQTELNQTSETLKNVEREKAALTENLSNLRGYHDAQQEQLTNTKASLEETMKLKDGLVTEVGCLRGDLQQVRDDRDRQLALVQDLTAEVLQYKECTGKSAAELGTLTSRSVELEATCTLQSETIRRLQEELATAQKSLEISDLSSVETRIGYEEQKKVNSELQIRLVEAESKVIEGEALRKKMHNTILELKGNIRVFCRVRPFLSDDGIDSVTKTISFPTTTEALGRGIEVVQQGQTHPFMFDKVFTPQSSQEEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTHTMMGTPGSYDDKGLIPRSLEQIFDAREKLKEQGWKYEMQVSMLEIYNETIRDLLSSNKSCSTDSGNKQQYAIKHDSNGNTNVADLTIVDVRSSKEVAFLLNRAAQSRSVGKTQMNEQSSRSHFVFTLRISGVNETMEQHVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLTDVIFALAKKEEHIPYRNSKLTYLLQPCLGGDSKTLMVVNVSPAPSSVNESLCSLRFAARVNACEISIPRRQTSMRYLEPRLSYG >cds-PLY74020.1 pep primary_assembly:Lsat_Salinas_v7:7:9652861:9653508:-1 gene:gene-LSAT_7X8860 transcript:rna-gnl|WGS:NBSK|LSAT_7X8860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEDGISKDLYSYSIYMDIQCKSGKPWKAVKLYREMKKKGIKLHVVAYNTVIRAIGVSEGVDVAVHLGREMLELGCEPNVVTYNTIVKLLCENGRVKEAYKVLDKMSKRGCAPPNVITYHCIFRCLEKTNEILAMLDRMIELREKMENHGLSPNEFAYNALIDALVEKGMIDMARKYDEEMLAKGLT >cds-PLY94241.1 pep primary_assembly:Lsat_Salinas_v7:8:69927967:69928227:1 gene:gene-LSAT_8X50241 transcript:rna-gnl|WGS:NBSK|LSAT_8X50241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPCCEKTGLMRGPWAQEEDELLIDYINKNGHGSWRSLPKLAATYLDLRFFYLLDRSSYHFFLLLICCGFDVHHEINAIKFRKI >cds-PLY82579.1 pep primary_assembly:Lsat_Salinas_v7:2:187221862:187225266:-1 gene:gene-LSAT_2X108740 transcript:rna-gnl|WGS:NBSK|LSAT_2X108740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ protein P58IPK homolog [Source:Projected from Arabidopsis thaliana (AT5G03160) UniProtKB/Swiss-Prot;Acc:Q9LYW9] MKILKMVSAMDLVAWRGFVYTIFILHFVFACQLLLLQPLVSALDGKSGDTAELFERVSHSIKVKHYSEAINDLNAAIEADPSLSEAYWRRASVLRQLCRYEESEKSYKKFLEMKPGNSVVEKELSQMHQAQSALDTANNLYDSGDYTKALDFIDKVVLIFSPACSQAKLLKVKLLLAAKDYAGVISEAGYILKEDEDNLQALLLRGRAYYYLADHDVATRHYQKGLRLDPEHSELKKAYFGLKNLLKKTKSAEENEKKGKLRVAVEDYKSALALDPDHVAHNLHLHFGLCKLLVRLGRGKDALNACTEVLTIDEEHVEALVQRGEAKLLTEDWEGAVADIKSAAEKSPQDMSIREALMKAEKALKLSQRKDWYKILGVSKTASISEIKRAYKKLALQWHPDKNVDNREEAENKFREIAAAYEVLGDDDKRAKYDNGEDIDEGMGGGGGGGFNPFGAGGFGGGGGGGGYTFHFEGGFPGGGFGGFHM >cds-PLY96534.1 pep primary_assembly:Lsat_Salinas_v7:5:336842661:336845559:-1 gene:gene-LSAT_5X188661 transcript:rna-gnl|WGS:NBSK|LSAT_5X188661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQKPRKHSDESVKLFVGQVPKHMTEAQLAAMFEEFAHVDEVNIIKDKATRASRGCCFVICPSREEADKAVDACHNKRTLPGASCPLQVKYADGELERLEHKIFIGMLPKNVSEGEVSALFSQYGSLKDLQILRGSQQTSKGCAFVKYETKEQAVAAIEDLNGKHKMEGSTVPLVVKWADTEKERLARKAQKAQSLASNMANADPTQHPSLFGALPMGYMSPYNGYGYQAAGSYGVMQYRLPPGQNQRGGSGTPRNYAVSPAGGYVGSVYHPPAQYPVAYPPQQGQGIMSSGPPSPVPPPSSVSSGSPPGDQVEGPPGANLFIYHIPQEFGDEELANAFQGFGRVLSAKVFVDKATGVSKCFGFVSYESPSAAQSAISVMNGYQLGGKKLKVQLKRDNKLNKAQ >cds-PLY98701.1 pep primary_assembly:Lsat_Salinas_v7:3:57630150:57630658:-1 gene:gene-LSAT_3X44500 transcript:rna-gnl|WGS:NBSK|LSAT_3X44500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIWASPTTHHEDLFPSSVVSVGAARAAQAVFGCFSFDSGSICEGEENIWPGGARQAETAAPVRVFAVVLWLVPPPHRLIHASSASNQSNRLTIASSPYLYKSRSKKVSITEISLRFLSIDLKSTCDQSH >cds-PLY68098.1 pep primary_assembly:Lsat_Salinas_v7:8:34172749:34175166:-1 gene:gene-LSAT_8X27141 transcript:rna-gnl|WGS:NBSK|LSAT_8X27141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTPCICSFLFGFFLIFSSIAAQLDYVSNANLSSTWTNNESSIPSSNSPDGSRIRIILSVKYRFACGFFCNGTCTSFLFAILFNTNDHVILWSANRDNPVRESAILNFTAAGELVLQDVDGSTVWTTNTTEKSVAFMNLTDKGNLMLFDNTKSMVWQSFDHPTDCLLPGQKLFQGQKLIPSVSSTNWTAQKDSYSLQLTHEGLFAYVGSNPPQVYFPSFGIDIDMINSYFKFFNGSLSFVDSMQYSLQYVKLMPDGHLKGFTVPDSVWADFLTGDLGECSYPLTCGRNSICSAERQCTCPGIDSFRAVNDRKPNMGCFPVTPLTCNATHDQHFIELTNIAYINNIADMEDVDIEICKQACLKKCSCKAAVFEYGSNTTSGRCYLLSELFTMPSVEAGVLPYNILTHIKVQNVTSSSMNVTSSSSSRNLVATVLGYTIGSFVLLIVVVGFIMFAVRKEKTNSEMEEEHLDQVPGMPIRFSYEQLKTATQNFSKKLGEGGFASVFKGTLEDGLQIAVKHLQGDVYVHVKKSFMAEVESIGSIHHVNLVQLKGFSAWKSERLLVYEFMSNGSLDQWIYYSGGDHQKHLLGWECRKKIILDIAKGLAYLHEERSQKIIHLDIKPQNILLDSNFNAKVSDFGLSKLIDRNQSRQVMTTMRGTFGYMAPEWLSSVITEKVDVYSFGIVLLEILCGRKNLDRSQPEESWHLLGVFQRCWEQGTLLDIVDRYSEDMQLHATEVTEMMKVVSWCLQTDFTRRPSMSTVIKVLEGVISVESNLDYNFSCPRLQETTFEHDKSSKPACDSILSGPR >cds-PLY83762.1 pep primary_assembly:Lsat_Salinas_v7:4:38747270:38748579:-1 gene:gene-LSAT_4X27240 transcript:rna-gnl|WGS:NBSK|LSAT_4X27240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSETPTKLPLIDFSKIYLNELTPDDWNSIKTQVHEALTEYGFFRASMAGMSSEFQSSLFSSLDQLFSLPSETKLKSISDKPFHGYIGHSPTMPLYESMAIPDAQVAGEVEAFTNFFWPHGNPVFSESVRNISDKLYWLDRMIRKMIMESLRLEKHMDDHMDNTKHLLRVAKYQGPDTQESIMGLVSHIDKTTLSILYQNEVAGLELESKGGEWIRVEQSIDSFTVFVGEVFHAWSNGLLHAPYHRVMMTGNEARYSLGLFSVPKPGYITKVPDELVDEEHPLLFNPFDYDEFLKHLFTGKIGANEDALDAYCGVKESELTTYSKSESK >cds-PLY98198.1 pep primary_assembly:Lsat_Salinas_v7:2:83212865:83213122:-1 gene:gene-LSAT_2X36121 transcript:rna-gnl|WGS:NBSK|LSAT_2X36121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCRCCRSRTKSRRPMKTTIDQLPILTLIRGRGNSVCSSNDVSLSLPTSLIERRVARSLLGEGVSGKSPSSTCVAVDGASVASIRR >cds-PLY65471.1 pep primary_assembly:Lsat_Salinas_v7:2:63968990:63973278:1 gene:gene-LSAT_2X29261 transcript:rna-gnl|WGS:NBSK|LSAT_2X29261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTDNKPKSGAGNPDNKKRKQQYRPNNKAVKKGSYPLRPGVQGFFITCDGGRERQASQEAVQVIESFYEELLEGKDSDVKHKVSGSQNKPTNKKIKFTYSDSEDDNNNDDENNEKVDKDSLVSNEKNHENSISVKEVENETNEKVDEDDKNGVEDDETEKQQEKKQRVETSDLKCEEVVKRKVEEKSVDRLIEDELEELKDKSKRRFNYLDSGCNGVVFVQMRKRDEDPSPKEIAQRMITTAAETKKHMARFILRILPIEVSCYASEEEISRAIKPLIAQYFPKETETPYKFAVLCEARANSGFEKMKIIDAVAKSVPEPHKVDLTNPERTIVVQIAKVKPQIIN >cds-PLY83054.1 pep primary_assembly:Lsat_Salinas_v7:8:194576500:194577114:-1 gene:gene-LSAT_8X125061 transcript:rna-gnl|WGS:NBSK|LSAT_8X125061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERRFWPKFGDAVQEDVGARLTMVSTEEIIFERPRAPSTKVEDSNAAGDPGGDVLMVCRTCGKKGDHWTSNSPYKDLAQPTESFSENPNPSDSSATGEFGLWFPS >cds-PLY91943.1 pep primary_assembly:Lsat_Salinas_v7:8:202942915:202943928:-1 gene:gene-LSAT_8X128920 transcript:rna-gnl|WGS:NBSK|LSAT_8X128920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFPGEIGRTWSVLWFELGGEGGDDVYSIGAITVMKGYYRQSLSFLCGLVIVLTTQISGYGWAGMLRRYLVDLPQVSLFRSVTFKGHTNEKLCYYIYYILLMIIFF >cds-PLY96837.1 pep primary_assembly:Lsat_Salinas_v7:2:108759373:108759699:-1 gene:gene-LSAT_2X49741 transcript:rna-gnl|WGS:NBSK|LSAT_2X49741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVDVPSPPTDDPFKPFAYVNFVILTYSLRYDNFDFSLFLFEKNYDDQLQKMNVDPWARPKFQLWSVSVEPPRNTSVVEYGKANEKIFTIRVVHGGFFTGYPGKAYQ >cds-PLY99236.1 pep primary_assembly:Lsat_Salinas_v7:6:184570362:184571598:1 gene:gene-LSAT_6X112740 transcript:rna-gnl|WGS:NBSK|LSAT_6X112740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIALLPARQTLPATMMNFTRRLNVKSGSPAIFSVTSCSYSSSSSESASRKQLILYSKPGCCLCDGLKEKLHSAFSLSSPSPDSYSLHDVELQIRDITSNPEWERAYQYEIPVLARLRSDGTEEIIPRFSPRAAVDHIQTKLAAALSKDN >cds-PLY85423.1 pep primary_assembly:Lsat_Salinas_v7:4:291404959:291405642:1 gene:gene-LSAT_4X148681 transcript:rna-gnl|WGS:NBSK|LSAT_4X148681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFFAKKSKLFVLTIILLICCSHANDQIPQVRKEDRKLLGFAKGAASLLKQRIKGVDDATKPAAKTVPATNQARTRWPADITAKNIGESGAGKAFVTGFRVGWKRGKWYYRMEERCQKYQSVLNCFPASPACHGTSRSCFVQVTGNTRMVDSCREDCVPSLPTLTPCTYHNGVFYLGSTKLSIDVARTKCQVV >cds-PLY68211.1 pep primary_assembly:Lsat_Salinas_v7:8:119249865:119255607:1 gene:gene-LSAT_8X83120 transcript:rna-gnl|WGS:NBSK|LSAT_8X83120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMSIADDAKKSNLTKQIIEDLGGSVTSDGRVSTHIITGKLRNTIVKAIENPGGLLKGFEVCLATHVQPPVSTMSAIVRSTGGNVIRSFEKAKDLGKTISVASEEGMEEALSAVKKGIATFSNDWFMNCVMK >cds-PLY74115.1 pep primary_assembly:Lsat_Salinas_v7:9:13102157:13104497:-1 gene:gene-LSAT_9X10141 transcript:rna-gnl|WGS:NBSK|LSAT_9X10141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEGKLFLGGIAWDTTEERLSDYFGKYGDVSQTVIMRDKITGRPRGFGFVVFSDPSVLDSVLQDRHTIDGRNVEAKRALSREEQQASRPGSNVSRSSGGGGTSRTKKIFVGGLPSTLTEEQFRQYFESYGDVTDVVIMFDQTTNRPRGFGFISFDTEDAVDRVLQKTFHELNNKLVEVKRALPKDANPGGGGRGGGYQGNNGGSFDNQMDGNRFMQAQNSGGGYPPYSGYGQPSYGGYGGYGGYGVGGYGGPAGAYGNPSAANSGYIGGSPSPLKNPWSGQTPGYGGAGYGANAGYGANVLWNGGGGGGGGAVGQPPSGGSSYGSQGYGYGGYGSNDGYNSNTGGYGAAGGRSGPGDQQVAGGGGYMGGAGAAYNGQPGYSNAGWRSEI >cds-PLY81137.1 pep primary_assembly:Lsat_Salinas_v7:9:66321925:66327393:1 gene:gene-LSAT_9X58321 transcript:rna-gnl|WGS:NBSK|LSAT_9X58321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKRCRVSESGGGGDDDEWSGKSRKKRKTKNGSHGHGYYPLHLLGEVAAGIITLSELGFHFAAGVIPSFCSDTGAVVIVDSKPIAPKTELEDNSNAINNDTVRPAKPRPPLVRTSRGRVQVLPSRFNDSILDNWKKEKTKLDVNQDSHFDHEFTPIKDKSTLKIPKPRDQIQTKKHVGDKVSYKCRKSLPKFEEFEDEEYKSFEMTKYSRLNSQHDDDDNDTNIKAPDEFSPGDIVWAKSGKHYPAWPAIVLDPVLHAPQQVLGFRVDGAYCVMFFGYSGNGTQRDYAWIKSGMIYSFVENVDRFQGQTDLNDNEPSVLRSAIEEAFLAEHGFTEMLMVEINAAAGNLDYLDSIPRGVQNLEHQRCGSTISLRMLRKMNDTSPNNRLLCNTCAKLTKLKHYCGICKKIWNRADTVRCDGCKVWVHAECDKISGHHFKDLGATDYYCPDCKAKFNFELSDSETYQPKHRCNKKQEEMVLPDKVTVVCTGVEGVYFPSLHLVVCKCGSCGTEKLALSEWERHTGSKVKNWKTSIKVKDSLLPLEQWMLQVAEYHARTVVSVNSLKRPSLKARKQKLLSFLQEKYEPVNAKWTTERCAVCRWIEDWDYNKIIICIRCQIAVHQECYGARNVQDFTSWVCRSCETPDIERECCLCPVKGGALKPTDVDPLWVHVTCAWFRPEVCFASDEKMEPALGILSIPSNSFVKICVVCKQIHGSCTQCSKCSTYYHATCASRAGYRMELHSLEKNGKQITRMVSYCAYHRAPNPDTVLIIQTPGGVISAKSLLLNNNKNGSRLISSRRLQLQPEKASISEISNEAEPFSAARCRVHIRSDNKKSEGLIVHRVTRPCHHSLTTIQRLNSLRRTENDRVCFGRSGIHGWGLFARRNIMEGEMVLEYRGEQVRRSVADLREASYRREGKDCYLFKISEEVVVDATDKGNVARLINHSCMPNCYARIMSVGGDESRIVLIARTNVTTGDELTYDYLFDPDESDECKVPCLCNAPNCRKFMN >cds-PLY93779.1 pep primary_assembly:Lsat_Salinas_v7:6:142345595:142347188:1 gene:gene-LSAT_6X84980 transcript:rna-gnl|WGS:NBSK|LSAT_6X84980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:2-C-methyl-D-erythritol 2,4-cyclodiphosphate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G63970) UniProtKB/Swiss-Prot;Acc:Q9CAK8] MATTSSCYTSPFHTGVFSKQQSILPSSTSLLTCSTRRSPISLRSISRPMVVAAAANAVEAEKPAVAPTPSKILPFRVGHGFDLHRLEPGYPLIIGGIDIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPKWKGAASSVFIKEAVRLMDEAGYELGNLDATLILQRPKLSPHKEAIRANLSLLLGADPTVVNLKAKTHEKVDSLGENRSIAAHTVVLLMKK >cds-PLY76712.1 pep primary_assembly:Lsat_Salinas_v7:3:140804569:140806156:-1 gene:gene-LSAT_3X94020 transcript:rna-gnl|WGS:NBSK|LSAT_3X94020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARVSSNPVGLHKFRPSCIHPAIKSLRFVNPSVHSRNRKLRFQRLYCQTEPNPTDSNSEKNSIVESGSDKDDKITEVTDSPSGGGLPALPNKTINRRVAVASVLGAVGLFASGRLDFGVSLKDLSAASLPYEEALSNGKPTVVEFYADWCEVCRELAPDVFKVEQQYKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKNGNEEGNVVGKLPKKYFMENIDALATGKPSIPHARVVGQFSSAEARKVHQVSDPRSHG >cds-PLY78117.1 pep primary_assembly:Lsat_Salinas_v7:2:63011060:63014871:-1 gene:gene-LSAT_2X26880 transcript:rna-gnl|WGS:NBSK|LSAT_2X26880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVTIAAEGILKKVLTIAASEIAIAWGCEEKLSSLHRTLDLIRAKLSDAESQKGTQAVMVWLKQLKDVVDEADDVLDEVHYEMLRRQIKKPDRLSTKVSCLPILKKFSFRNEIGHKIQNINKTLLEIYTQANGLGLQNEHPAGSVPDGLYRETIPHPEEFKIVGRDDDVLRIIEFLTQSRKEEKLAVVPIVGMGGIGKTALAKLVDIITLLAKIYESLVGKKPESDSKVNLIKSLEEKLGSKRYFLVLDDVWVEERPYWEEFRRCMLSVNSQNGSCILVTTRKLEIGTRDMIMDSYCLEGLSNDECWSIFRERAFVGGESPSPELEEIGREIVNKCGGLPLLLNVIGGMFANYSGPEKWLSIKNSKVWDLEEERDRFQKSLELSFDNLPNSIIKQCFVYCSIFEKDTVMEREELVRLWMALGLVQADEASNKEMEVVGNDIFQILVNNSLFQDVKRDEYGHITHCSMHDLVHDLLLSLSKHESLRLMGVKNDDIARIPQIKHLIFYQLYHELEGKICKFIERDTVARTLRTLFIEGEVEKNFSFERFKCMRILKLKGCHIDKLHDSIGELVHLRYLDLSNTEIHVLPESIGNLYHLQTLKLPYGFKQFPESMRNLISLRYFQGHIKIPTNIVGHLTSLRNLSSFTVLSSMGHGIEVLHHLNNLTGKLRICDLENVRSKEDAFKAGLSSKKNIYDIEFNWSEYNESANRNDKDVLEGLQPPRDVKTLTINKFSGDNFPDWTPLDNLMKISLSGCRNCLSLPMLEHLPHLRNLFLSNMDSLTCLRNSDVTGSTKPLFPSLRSLGLIHMKRLEKWIDGAPNSSKMISPVLESLSIRDCPKIVLLDESHPHPLNSLEIRACTGLVSIKSIQGLTSLETLVISRCDSLLGITNLPNQCHSLKTLKITYCSKLNSLPLKLFDCFAFLNKLKLGPFSQELDYLPSLKGIEKLRDHLHSLDLWGWDDWESMPEEIQHLTSLTRLQIHKFGIQELPMWLTNMSSIRHLLFHDCKGLDKETVKRGAPHEANFVSVNYSRVSINRDVN >cds-PLY90968.1 pep primary_assembly:Lsat_Salinas_v7:5:287339944:287341932:1 gene:gene-LSAT_5X151380 transcript:rna-gnl|WGS:NBSK|LSAT_5X151380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTQFRSLTILVLLICFLCWPRVGSPLSNSETKPARALDTTLQEYAYRAFFHPRTGIPFDGLVPPYLTGIEISAMRLRSGSLFHRGVETFKEFRIPIGVREQPYVERLVLVYQNLGNWSTTYYPLPGYTYLAPILGLLAYNGSDLSATNLPELEFWASDDAITIKFGQIRSKPEGSGSHPKCVWFDLHGQVNFTDLVSDNQCLTYEQGHFSIVVESPPPSPPATPEVSASPEETASSSKLDNMPRVCAIVGIAGGGIILVVLFALLILWAWRYKKRKRIQELERAANSGEALRMTRVGSMRVPYAMATRTMPDLEIDFTA >cds-PLY77522.1 pep primary_assembly:Lsat_Salinas_v7:2:163694379:163695573:1 gene:gene-LSAT_2X84400 transcript:rna-gnl|WGS:NBSK|LSAT_2X84400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRDMGFGSFMGMKIDMLPGKLAYFVVDSFTTASCSIRVKSGEVAIKNETVEAMFGLPNKGLDFNTLDECDKNDPLLEGWKGQYGKGNYYNGKSKNKWRPNDKNCYFTGPVALLMMAYADRVICEDVNLHRHRPFITEIDSEHLRVLEEYEVSMGVFGNLSVRENVDGVFYDAMMNQDHSKDRSVEESYGIIESMVGMLVEQKQVVEASSLMCMEMHLNNDKMKAVIKKVVDIFNGTTLKALIAEGHEDRMEVDGTSVNATK >cds-PLY73840.1 pep primary_assembly:Lsat_Salinas_v7:7:67685449:67687247:-1 gene:gene-LSAT_7X48940 transcript:rna-gnl|WGS:NBSK|LSAT_7X48940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRKSSKIDKLEYCGTHHPNYTGPILVAARQNVYKFYTVHIKYTTLSMTLGSGRIYTERFLILLRIISCTWLESWHLQMNSVVEQVQHYSYNENFKGYKQVELILPEVKKHVFPTYITQDNIFKETPDRVFTREHESLLKEGENWMKTTAESCSITAALITTIVFAAAITVPGGTNETTGTPLFRKDIAFSIFAISDAISLFASTTALLVFLSILTARFAENDFLVSLPRRLLIGICALLISTTAMMVAFSATLFLVFCDEKTWMLGPICGLAFIPISFFVTLQFPLIVDLFRSTHLPIFGKQRKSAYRRFNADTIRKQKPVNALLKAHHDGADACHESKMSLRRQSLRNATQRHVLRNVVLNAFDIVTMPNVMSFKTLLLRLSSGRILAPTKPRF >cds-PLY84186.1 pep primary_assembly:Lsat_Salinas_v7:3:146442162:146442514:1 gene:gene-LSAT_3X95760 transcript:rna-gnl|WGS:NBSK|LSAT_3X95760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFCKNMPLSPIKNPRNQSSLPFRRTLRFQMVMLSDLSLTLIKICLHNRDPKTKRYLKARYRYLECMCLLVFEGKKSISFT >cds-PLY74867.1 pep primary_assembly:Lsat_Salinas_v7:8:107487339:107488777:-1 gene:gene-LSAT_8X73421 transcript:rna-gnl|WGS:NBSK|LSAT_8X73421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSPVVVSLNANPCRLFPPTINRISLRHFNKHLVGQLSTDNTFKTTLQCPLLSATNLYPSRNRTHFVQPIKSSYSDTTSSILGNSALSNFENFSLDGLKKAISELNPIRVCKWVVLGYLAVASVKWGVSNLLSPFFWMYFSWTWLFWPWYIAIGLAIYGIYSFIKHIKGESSVLEQLAIVTSSFTWLTLVPPGFTNGFLEGWPFVFFLVYHYFFFLNPIIRKRLYGDFYPREHDPKWDIQTPVNHRVLFCVGVMVAHWFAAFEGPQLHLIPGGWSNLGIWGLIMLTVFLQYDSSLYLAKYSEKVVVPTAVVQFGAYRWIRHPIYASTGLLFLSYFIALRAPLSSLFVVAVCLMYYEQKAKLEEGLMVEAFGDEYTEYMNKVRYKFIPFVY >cds-PLY71069.1 pep primary_assembly:Lsat_Salinas_v7:3:160676500:160676775:1 gene:gene-LSAT_3X101340 transcript:rna-gnl|WGS:NBSK|LSAT_3X101340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVALQTSVIQLGLHQACMEMKEKYPEEMKVKNVMYSYPDVQRQIMERFAEMTTYKYSLVSALESEEMDVGGLKKLLKVVDSSEAGEVGLG >cds-PLY62433.1 pep primary_assembly:Lsat_Salinas_v7:4:226356374:226358382:-1 gene:gene-LSAT_4X124980 transcript:rna-gnl|WGS:NBSK|LSAT_4X124980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWHARLDEKWQKNSKIAQQNRNTADSSGSTARHTAGSIGFDQHRRKLGLVNKFGEDPSNRKDDVDVWEESQLRRKGKKKGAIYGIGASDIHFLVLGTPSSQSTQSTQSDSTQQEDDRLRAQVSVMEQQQQQMKEQMEMVMRMINMSGNQPRGPPDNPPEDN >cds-PLY79392.1 pep primary_assembly:Lsat_Salinas_v7:5:125423336:125429734:-1 gene:gene-LSAT_5X54040 transcript:rna-gnl|WGS:NBSK|LSAT_5X54040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMARQPLQQHSDNDRSSGELRALDCNLTSLCDHIQMEGFNNGSFSDVVVQAMGATYHLHRLILSRSSYFRNMLHGPWKDANAPVLTLHVDDNNVNREAIAIALAYLYGNHPKLDDTNAFRVLAAASFLDLQNLCAICTDFIISELWTTNLLAYQVFAEGQNYGEHGERVRNACWGYLCQSGAMELKEVLPKLSSQTLHALLTSDELWVPSEEKRFELALYILISKGAFSKVEHPDKSGPLSKTLTSQLSCEGEVHDAAQTILVELADRIVDSKTGLPEFRRQVQESGSGQSNTDPKCHCNTEQTTPIPPTNDLNYPSSFQDMPHGADMATMEDQGEGPSEEGSCYQLNNNSWLNVGQRFGNDNEWGRCGVPLSWGGRIVGRRELKSCAKGVCGLNSDEYDTFVNIFEGGSLLYCNMTFEALLNVRKQLEELGFPCKSVNDGLWLQTLLSQRIHEIGADTCKSCCLVSMACQCRPPYGFTRTGYYMQEHDQNTSTTGIGDVYVTDSSQGEVNGLFRPVRVHVRGTIDGLAGIGRGSTYVPATAWPPTRFVFSRVPFHMGNRNCQQSIGNGNGNGNGNGNDDVENREEQQQQQQQHQHGGDGLTAVVGLSQGSGEGYDGDFGGRVNGVQMMDSSSEDGGGVEWENESSSISLDMKTPLSHFPPFRFGVEFQDVLRLNDGQVKHSPEYFYAGSLWKVSVQAFNDEDPQGRRTLGLFLHRRKAEIPESFRKVHMYVDAREKVTARYQLICPSKREVMVFGSFKQPGTLLPKAPKGWGWRTALLFDELGELLQNGSLRIAAVVQLI >cds-PLY91782.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:2525036:2525413:-1 gene:gene-LSAT_0X18060 transcript:rna-gnl|WGS:NBSK|LSAT_0X18060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSGISGLTFFLVALFAFILISSAGRTHQTTVQPRTNKEKGDAVVEPERAVHTDDEWGGCWGCWNNHDRRRLLR >cds-PLY93585.1 pep primary_assembly:Lsat_Salinas_v7:2:174974986:174975918:-1 gene:gene-LSAT_2X99840 transcript:rna-gnl|WGS:NBSK|LSAT_2X99840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDHRNSVLEWPYLHKEKSAEELTQSLWSTTMELEATRIRVQEEIRARDDQLNQLKDLLNDAIKERDEAHSKYQSLLLDNKLLLQQQFHHHRRYTHHQTTTPPPQSGVSSIEDEPITNCGFSSSDCEESIVSSPPIENPVQLRPPPQQELRFPVVQPKGLPEKGKFLEAMMKAGPLLQNLLLAGPLPHWQHPPPPLDTYHIPSPPLVISTPTSHHLSNQDFLRRITNNCGEFNTKRAFSEDCDSSSETKYQRIALN >cds-PLY99874.1 pep primary_assembly:Lsat_Salinas_v7:4:45424574:45429244:1 gene:gene-LSAT_4X32101 transcript:rna-gnl|WGS:NBSK|LSAT_4X32101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-N-acetylglucosaminidase [Source:Projected from Arabidopsis thaliana (AT5G13690) UniProtKB/Swiss-Prot;Acc:Q9FNA3] MFDSKLSFLILLLLLPLSSSSTSSSSPQAIESLVKRLDTQRPSPLLQESAAKALLKRLLPTHLSSFQFKLISKDACGGYSCFWITNYQNSSNKSPEIMIQGTTAVEIASGLHWYLKYLCGAHVSWDKTGGIQIASIPPPGSLPPVKEHGIMIQRPVPWNYYQNVVTSSYSYVWWDWERWEKEIDWMALQGINLPLAFTGQESIWQKVFMDFNISAQDLNNFFGGPAFLAWARMGNLHAWGGPLSQNWLDQQLVLQKQILSRMIELGMTPVLPSFSGNVPEALREIFPSANITRLGDWNTVDKNPRWCCTYLLDPSDPLFIQIGEAFIKKQIKEYGDVTDIYNCDTFNENSPPTSDPAYISSLGSAVYEAMSKADKNAVWLMQGWLFYSDSSFWKPPQMKALLHSVPFGKMIVLDLFAEVKPIWESSSQFYGTPYVWCMLHNFGGNIEMYGVLDTLASGPIVARVSENSTMVGVGMCMEGIEHNPVVYELMPEMAFRKNKVHVEEWLKVYSRRRYGKTVKQAEEAWEILHQSIYNCSDGIADHNTDYIVKFPDWDPSLDNYLSFSKQSLITKHINRRHVLSEVKSTLPQPHLWYSIHDSINALKLFIEAGKDLSGSLTYRYDLVDLTRQVLSKFANKVYIDAITAFQHKDAKALKFHSQKFEELIIDIDELLAADDNFLLGTWLESAKNLALNSHEKQQYEWNARTQVTMWYDTTRTNQSQLHDYANKFWSGLLVDYYLPRASMYFKHLYESLRENKKFEVVEWRTEWISYSNKWQKGTKVYPVKAEGDALEISKLLFKKYLE >cds-PLY84998.1 pep primary_assembly:Lsat_Salinas_v7:3:121996666:121998955:-1 gene:gene-LSAT_3X84881 transcript:rna-gnl|WGS:NBSK|LSAT_3X84881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFDGLSDEGLALGLSLNSSPSMVKPNSVGSTKLPWVTETMASFEPSLTLGLSGDGNKKVVVGGGGDDHGGLCRQDSTVISGGGSSFSNVSVKREREVGSEESERVSNDNNYSRVMSTTSVDDVEDDDGSINGRKKLRLTKPQSALLEEAFKLHSSLNPKQKQELARELKLRPRQVEVWFQNRRARTKLKQTEMDFEHLKKCCETLRDDNRRLHKELQELKALKSSQPFYMQLPAATLTMCPSCERIGDTKSSAVKNPFNMAAKPHYFNSFTNPSAAC >cds-PLY89232.1 pep primary_assembly:Lsat_Salinas_v7:5:311375452:311380109:1 gene:gene-LSAT_5X169961 transcript:rna-gnl|WGS:NBSK|LSAT_5X169961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRLEYGFNGYQVPPTPRAARSATRRVPSWKKTEENNTNNNNKQMCAFDLLATVAGKLLQEGGGGGQEAETVKTEKQIEDEYPSNVNNNNNICDQGSCNSRSFFVSEIISQAPIMDPQPESYSGPSSGITVSDCSEKIPIPVPVLSVDKVKLPFSCKVDDKNSKPVTNEVPKPHVVHNIDNNNNNNNNNNRGHVHGHGHDHLPLCQDNVNLVVRDDDENSSGVTRVPNKSFKPPPRIGDRRIRRLLASKYWKSGPESNDEVHCDVDEEMKGGFRNRKSCYKRPRSLKDYPFKKRRLYEFEYFSNSDDVVNSEDQSSPPRKDSIENGSGFGVKSTGGTKTSGLVSKQNPAFQTRDSHVKLKIKSFRVPELFFELPKTATVGYLKRTVMEAMTSILSGELHVGVMLQGKKIRDDDKTLVQTGIHNKLDALGFTLEPNHLQAPSSLSPGGDQSFAPNPVTPKPLIRYTPGPNVANQMVIQPEENPPVTNFGNLIESDHDSAPSPPNMSFDNKSGSGSGSDSRALVSVPAMNPRALAVVPMRKSKRSEVAQRRIRRPFSVSEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLAAHAYWSHHQAKQQFKQTPQSETCRLL >cds-PLY99090.1 pep primary_assembly:Lsat_Salinas_v7:8:109091536:109094450:-1 gene:gene-LSAT_8X155161 transcript:rna-gnl|WGS:NBSK|LSAT_8X155161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTSRPAVVIDNGTGYTKMGFAGNVEPFFNVPTVVALNDSFVSQPSRSSIKGSSSWLNQHSAGVMADLDFHIGEEAMSKSRSSGIYNLTHPIKHGQVENWDAMERFWQQCIYNYLRCDPEDHYFLLTESPLTAPETREYTGEIMFETFNVPGLYIAVQPVLALAAGYTTKKCEMTGVVVDVGDGATHVVPVAEGYVIGSSIKSIPISGKDVTYFIQQLMRERGEHIPPEDSLEVARKVKETFCYTCSDIVKEFNKHDKEPAKYIKQWKGIKPKTGAPYSCDIGYERFLGPEVFFNPEIYNRGFTTPLPVVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQREVKKIVGARVHASEAKFSNEVKAQPVEVNVVSHPIQKHAVWFGGSVLASTQEFFMACHTKAEYEEYGASICRTNPVFKGMY >cds-PLY80212.1 pep primary_assembly:Lsat_Salinas_v7:9:191849564:191852374:1 gene:gene-LSAT_9X118320 transcript:rna-gnl|WGS:NBSK|LSAT_9X118320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKARIQSVVENGSILFQQNSKITQFGKTGRVEEACKLFNEMTHRNTVTFNSMISVYAKNRRVKDALNLFDKMPRRNLVSWNTMIAGCLHNDRVEKARQLFDQMPQRDVFTWTLMITCYTRNGELEKARNLFNSMPDKTNPACWNAMISGYVNHRKLKEARQLFDEMPVKDLVSWNSMLGGYTQIGEMSIASKFFEQIPVKDVVSWNLMVDGFSQIGDFDSAWSFFKSTPNPNIISWVTILSGLGRNGQIMDARKLFDEMPVKNTVSWNAMIAAYVQNSQIHEALTLFNQMPEKNAVSWTTMINGYVRIGNIDQARQLLDQMPYKNTGAQTAMISGFAQNNRIIEAREIFNQVHNRDTVCWNTMIVGYVQNGIMEEALDLFKKMVKKDVVSWNTMIAGYGQDNQMDKALDFFNKTEHKNIVTWNSLISGFTHNGFYIDAFKYFGLMLQNGYKPDESTYASTLSSSANLASLHLGTQVHQIVLKTGYEEDIYVINSLITMYAKCGHMSRAKRVFCYTTRTDVVSWNSLIDGYALNGYGKEAVKVMEAMEVAGVIPDEVTFIGVLSACSHGGLVDEGFRVFESMVKKYSIDPLPEHYGCMVDLLGRAGRPETTLQMVEEMKMGGNAGVWGALLDGCRAGGDLKVAEVVAEKLAEIEPEKGSGFVMLSNVNAAAGRWEVVERVREKLKERRGLKQPGCSWIEVRNQVHVFVSGEGLKGENSNFGGDLKALLAHVSDTNETFF >cds-PLY62982.1 pep primary_assembly:Lsat_Salinas_v7:3:118931791:118933553:-1 gene:gene-LSAT_3X83481 transcript:rna-gnl|WGS:NBSK|LSAT_3X83481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPEFPDVMEDGYGSQHEDLNQKAELTLKGVVWTMIKKYFPRLTQSQRVLFEASPFGIFLGMHIPHGDPLLVHMMMLHEIRSPQIFEMGRFLFDIQGIQLDFGETEYILICGLKVGPYVDLLYDEKGQSNSQLRARLFPDISDSRLGLKDLEDWIMFPNYLALQDEDYVMLIQLVFMLKGLHGQDVKTGIPAAVYKLANNIDAWNRFAWGTYFWKYTSRMMRGMFEKIEEFREFKQANPESKKGHKYTVPGFMLLFKIWILETFPEATMFYIRTPTELPRTRAWRSKTPLNWDQCCRIMNVSVPNNQPINVVANPKEPMLPFYVRYVNWTLNPVESPQRQHIHVQNSPPHVDSPA >cds-PLY92320.1 pep primary_assembly:Lsat_Salinas_v7:9:177950143:177951492:-1 gene:gene-LSAT_9X110341 transcript:rna-gnl|WGS:NBSK|LSAT_9X110341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIRSLVRFARRQLHTIVCREIIKPSSPTPSHLKTYNLSVIDQIIPHTYMPMVAFYPNTSIYRSSHDKTLDLKNSLSQTLTKYYPFAGRLPKVAPVFVDCNGNGAEFLEASIDSTLLDFLKNSQHEDLDQFFPHGLVYCNSNSRDDDLENEEVIIPLAVQVNHFECGGVAVAVSMSHKIADGSSLVQFINDWATTTRFCSDKHEHEVLVDPKFISVESMKLNYTGDSLDYESKDCVTRSFVFPNSKINELKLKVKAITSECVEPITNATRVEVLSWLLYKCAVEAATKNNSGSFKPTGVYHALNLRNIMIESMPEKSIGNFAMAIEMETNNESEISAESFINDLKVKKLELLGIRNIETVFDLFSQADLEEIKMNDDHMYMCSSMCGFPGYEIDFGWGKPVKVTIAGNLRKNSFILMDTPNRDGIEALVCLGKQDMATIQSDHELLAFC >cds-PLY69094.1 pep primary_assembly:Lsat_Salinas_v7:5:276718217:276718453:-1 gene:gene-LSAT_5X144960 transcript:rna-gnl|WGS:NBSK|LSAT_5X144960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEPPTRPFTPELTPITPHLISRTNTTTLYTDSSAIRLSLKRSNPLPPSVTIILPVMTPPLTSMISALPSLSSSSLYS >cds-PLY92972.1 pep primary_assembly:Lsat_Salinas_v7:8:98305569:98311164:1 gene:gene-LSAT_8X68541 transcript:rna-gnl|WGS:NBSK|LSAT_8X68541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRSQDFNVWYLDVISQAELADYDPVRGTMVIRPYDYAIWEAIQFASGETMRKCLSYNKNHNFTNNDVEDKSMKLHCYMDTRSSLSQGVLSQRRSSFRGNQFPLVVEAARKAPIRNMERMQKKLQHQLIGNAPNVEESENDTPDVAVTRLFASAKKDTSQYGDYGAFANCLQQLPPEGQIRLHLEPASSKSLPANGNGAITQKLRVTNTQHGKGILGPCASLEKKGALCSDTSIGQGGTTTWKVLQYTLAYNNVHKLTKEIAM >cds-PLY65431.1 pep primary_assembly:Lsat_Salinas_v7:9:184453430:184453780:-1 gene:gene-LSAT_9X113360 transcript:rna-gnl|WGS:NBSK|LSAT_9X113360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKAIRLTAVFITTVLLLSLLQYAVARPPPVVTPVGTHHTLLKDEEINNHNVEENCRGDGEEECLMRRTLAVHLDYIYTQSKQP >cds-PLY68963.1 pep primary_assembly:Lsat_Salinas_v7:9:140108235:140110961:-1 gene:gene-LSAT_9X89781 transcript:rna-gnl|WGS:NBSK|LSAT_9X89781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMAGKLRVFLFLLAVALSLTSHSSSHNFTLDDDPIDSDVLGAILVSRIFLIFSYLFVFFFEQGVEDLLHGSMMAGKFIVFLFLALAPTSHLQFHNFSVDVTIDSGVLGAILVLPIFLIFSYLFVFFFEQGVEDLLYGSIMAGKFIIFLFLLTVALAPTSHSSFDNFSVDGVEDLYDEEDYSLPTTHQQIAVLSSEVDIQDENAGGDFTSVSLTSSSCLSKQGDKDFATWPHRNVEDHIGIDCKSARGSSQQTSGSLELGISASYNPKVGSDAVFEVNSVKKLDPRESDALALNQSSECCILVKPKQLKFDEMDGCNSNKGSSLLSRIRELLGNPSMDMDSASLKDDKSSEQQLKSAMIRSHEDFDACTSTETMNIDLDLDLNPLVEESLLPKNRMVNPKVSLHDAAAAVYCEAAFTEVERAASESDQTSNGDVEDFYYEDMDISTSTDYEDMDISTSIDYEDMDISTSTDYDSVTIADYSYPTQQQTDDSLPPFNTWQTKHFCSSPVDLGNLWDRSTSTTENPLTSFLPHPQKQAAAVWPGRRGDIKVKALVAAKAAKIRQEKQENERNWKKEAFRMEIARRKKRKKQKEGKEKKSRRKWFLEA >cds-PLY73409.1 pep primary_assembly:Lsat_Salinas_v7:2:38866020:38870770:-1 gene:gene-LSAT_2X18081 transcript:rna-gnl|WGS:NBSK|LSAT_2X18081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKNGAERSALQQKFQFGALVLVFLSICTLSFSEIIFEERFDDGWQSRWVKSDWKKNEGKAGSFKHTAGSWSGDPDDKGIQTSVDARHTAISAKIPEFNNKNRTLVLQYSIKFEQDIECGGGYIKLMSGYVNQKKFGGDTPYSVMFGPDLCGTQTKKLHVIVSYQGQNYPIKKDLQCETDKLTHFYTFVLRPDASYSILIDGRERDSGSMYTDWDILPPRKIKDLKAKKPVDWEEREYIEDPDQVKPEGYDSIPREIPDPKAKKPDTWDEDEDGIWRAPKIPNPAYKGPWKPKKIKNPNYKGKWKIPYIDNPEFEDDPDLYVLKPIKYVGIEVWQVKAGSVFDNILICDDPEYAKEVVKEIFTHREIEKEALEEAEKAKKAREEEIEKEALEEAEKAKKAREEEEAKRAREEGEKRKRDRDYGRRHRDKERYRDRYRDRYGKVKKT >cds-PLY75375.1 pep primary_assembly:Lsat_Salinas_v7:6:178569977:178577177:-1 gene:gene-LSAT_6X108521 transcript:rna-gnl|WGS:NBSK|LSAT_6X108521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TIO [Source:Projected from Arabidopsis thaliana (AT1G50240) UniProtKB/Swiss-Prot;Acc:Q2QAV0] MGVENYHVIELVGEGSFGKVYKGRRKYTGQTVAMKFILKHGKSEKDIQNLRQEIEILRKLKHENIIQMLDSFETPQEFCVVTEFAQGELFEILEDDKCLPEEEVQKIAKQLVRALHYLHSNRIIHRDMKPQNILICAGGVVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIIKDPVKYPDNMSSNFKNFLKGLLNKDPHYRLTWPRLLDHPFVAETFEDVEARELRAKTAAARGCDAAWKGEKYVQSTGLTPASPESKNRSPATIDENSQPSGQHSTIDCVSPQPESQRVTENDNIQSGCQVLDKLENNSRTVKGAKLIGQDNEALSVILLPLKNRLCRDEDAVTSNQSLRILSNLVAAAAINSTGVLDEIFWELIGFTANLLHIKKSDYNDLLLKCFSVIKKLLDTCGGGFGDSYIRHWVAIVELYKEVSQNTEDASGRVVYESIACITIIVSRVAQGFKASPATKRADVAAANEGLKEILDHAKTSGVLDTLVSCLVTCGTSLMSGSSNLIRAACEACRAIWSLIDASEIHSTKEKENPFPLRSIRSHSLDRININEDESKPLIGGASEKIVNVVTRAFLGSKAVQVAFYYCLRQRAEAAAWSSGIQIILRCCLHNTSVTGVLCGLPSSLPVTTVVSGGGDNTIISEIFSILSLCASFDRDQQTGDQNNLKNKLPNPHALICHSCLVLSAVAQSLKSSGRNSALFMLTSSPKKQRSRLSDLAHHYSLCDRIQNSFQSHSMSAMLALASILSLENSPSVETSISEIAVPLIPRSATLCDYLRISTPDGNGMRGKLSYWNGIRDGCVGLLESRLRWGGPLAIQQLCASGIPQTLVDLLGNDQLDDQIGLSPVGVVWTVSSLCQCLPGGSSTFRQVLLRKEHVKVVSDLISVVHLKGIRCWGGPGGGRNGIRDTVNAVIDLLAFPFVAVQNVPNSSSAVSAAAASVNNGYLLNMGSPGGKVSADDKDMVRAIEASMGKYIQILSEVGIPGQIIQCLEHMELKDTARAVAFLAKMTLHRSLVVQLLERGLLDPNKMRRLLDSSSPREVTLDILMIISDLARMDKAKHKIISLLVDRCSDGDKRTRKFACFAIGNAAYHNEMFYEELRKCIPQLASLLMSAEEDDKTKANASGALSNLVRNSNKLCQDIVSKGAMQALLKLVSDCSVVALNPTRRDAITESPLKIALFSLAKMCQHPPCRQFLRSSELYPVIGRLRQSPESTIANYASVIFNKTSS >cds-PLY84944.1 pep primary_assembly:Lsat_Salinas_v7:4:329936047:329937475:-1 gene:gene-LSAT_4X163821 transcript:rna-gnl|WGS:NBSK|LSAT_4X163821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGLKSLYAKQRVRNPTSPYCSKCSRETFFNSSFPLANLSKITLSAPYQRADSILESVYKFNSLLFTYNKPLTGASMNSARTLGPTMAANNYKGIWIYLTAPILGALAGASIYTAVKLLEEDDV >cds-PLY77753.1 pep primary_assembly:Lsat_Salinas_v7:2:169907965:169909604:1 gene:gene-LSAT_2X91581 transcript:rna-gnl|WGS:NBSK|LSAT_2X91581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVFSGDTTRLSYWLTWDFLLCLSSVFTSTITASILIWKYEGSDNTERASSLYDGESWMPCLKGLSPFWLMGFRMIAFCLILAASIADVATHGTNLFYYYTQWTLILTTIYFLFGSVLSASGCFRKDKNNMYNGHRKDIIDTEQGIGIYMPLNHEVNLQEECYFSQTAAIWGYIFQIVFQITAGAVMLTDGVYWLVIFPFISISDYEMSFLTVVVHSLNLVLVLGDTAMNSLHLPWFRISYFILFTVLYVIFEWIVHAFVETWWPYPFLDVSAPYAPMWYWMVAVLHLPCYALFALFVKTKYTVLSRWFPESYQCLR >cds-PLY95230.1 pep primary_assembly:Lsat_Salinas_v7:6:97207453:97209831:-1 gene:gene-LSAT_6X65141 transcript:rna-gnl|WGS:NBSK|LSAT_6X65141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDYRLPTAMNLWNADDNAMMDAFISSDMSSFWGNPTSTAAPSAVPPASSSASTSTNDLHKLAGESQPFNQDSLQQRLQGLIDNARDSWTYGIFWQSSAVDYTSPSFLGWGDGYYKGEINKQKTTTSVSSLAEQEHRKKVLRELNSLISGTQMPENEAVDEEVTDTEWFFLISMTQSFVNGSGLPGQAMFSNQPVWIAGRERLLASQCERARQGEGFGLQTIVCIPSTNGVLELGSTELIFQSSDLMNEARVLFNFSYSPPDLTPMNPNQTPGGDTTDPSSLWLTDPVSSAAATTTTTTVEMKDSVDVTAVAPPTTVIPSNNSVSKQISIDNPSCSSLTENPSSVIHNSNRESIQNQGLFGSRELNFSEFGSYDGSRNGNTSHSCKPESVELLNFGESKKTFAGGEDNSNKKKKPHDGMLSFTSGTVIPPSETVKSGGAELDPSIGREAESRLVVEPEKRPKKRGRKPANGREEPLNHVEAERQRREKLNQKFYALRAVVPNVSKMDKASLLGDAISYINDLKSKLQNTETGKEELKTQLDAMKKEILLTKDSHQSSSSTVSPPEDFKLTPTPNPNHPKITDIDIDIKIIGWDAMIRIQCSKKNHPAARLMSALKDLDLDVHHASVSVVNDLMMQQATVKMGTRFYTQEQLRLALTNRVSDPR >cds-PLY65544.1 pep primary_assembly:Lsat_Salinas_v7:9:143126157:143126453:-1 gene:gene-LSAT_9X90880 transcript:rna-gnl|WGS:NBSK|LSAT_9X90880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTASRSIDGPVVHLLCSSVSEGCQGRGLGVAKGFEGKKARKEFRREARLCRWFSGVYFDERRRDVREVRLLSRWLTKAPLQWKVNRVVMAEDNDDNN >cds-PLY89236.1 pep primary_assembly:Lsat_Salinas_v7:5:311848175:311866861:1 gene:gene-LSAT_5X168961 transcript:rna-gnl|WGS:NBSK|LSAT_5X168961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSELNRRPMIGTNDGSFGDELENELGLLLHEHRREADDHEKELNMYRSGSAPPTVEGSLSAVGGLFGNNNGSNNNNNHLAFSEFAGGNGFMSEDELRADPAYLSYYYSNVNLNPRLPPPLLSKEDWRFSQRMQSGGGGGGVGLGGIGDRRKSNRTDGGGGGGSGGGGVSLFSMPPGFNSKKQEAEVSEAEKVKVSAEWGGDGLIGLPGLGLGSKQKSLAEIFQDDLTRSTTPSGHPSRPASRNTFENNDDNNTLPTSYSYAAALAASLSRSGTPDPQHIARVPSPVPTPIGGGRVEKRNLNGQNNLFNGGSSSKESEDLVTALSGMNLSNGSMGEAKNRAHFDQNPDYHDAYPSNNNNNNNSNNNSNSNNLYVDGSSNNIYGGWDPAYSNYGTTAYTTNSSQMVSGQVGNLNLPPLFENAAAAASAMAFPGMESRFGMESQSRIGGNTPFIDPMYLQYLRTTEYAAQIAALNDPGLDRNSYLGNSYTDLLQKAYLGSLSSPQKSQYPFGGKSASPNHHGYYGNHGYGMGLSYPGSPLISPVPNSPRGPGSPIRLGELNARFSPQMRNLGGGGGGVMGPWHLDGGDNSFASSLLEEFKSNKTKSFELSEITGHVVEFSADQYGSRFIQQKLETATTEEKNMVFQEIFPQALTLMTDVFGNYVIQKFFEHGMPTQRRELAGKLLGHVLTLSLQMYGCRVIQKAIEVVDLDQKIKMVEELDGHIMRCVRDQNGNHVIQKCIECVPEQHIQFIITTFFDQVVTLSTHPYGCRVIQRVLEHCEDQETQNKVMTEILACVSMLAQDQYGNYVVQHVLEHGKPYERSIIIHELAGKIVQMSQQKFASNVVEKCLTFGDASERQLLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCSDQEREHILTRIKVHLNALKKYTYGKHIVARVEKLVAAGVTCVEAHYNHKFVGLPYRILASSLLQALNTKERPLILPCCCTQAIHISKLGHQGREMPKAEILKTSGQYKEDVSSYLCITDEEPLHSAVICLNKHLIVTFSINQTNHLKKVVEGLDELDPISSINNWVGLKVTLIEYDTLTYFDSGMMVNHLLHFLLVALIRFFIEMKLQPSRTHQPLGVKVVSFSKLIIQVTKELFTYRNYTHLNCKFFT >cds-PLY76385.1 pep primary_assembly:Lsat_Salinas_v7:8:84768770:84772080:1 gene:gene-LSAT_8X62500 transcript:rna-gnl|WGS:NBSK|LSAT_8X62500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGERRVLVIGGTDSPLSSASVFEFSIASHPHLLMIDPSAHSKLSSSPPSGHKFQLSLPDFLTPEEARASILVLLSKHLRGRSGSGSGCFSSSSASQITDILNNNTQTLTLDLDDDIHISKACWTDITSIGISTLLDYTASSLTKVADAVAALSCEALKADTCSVFNLFMDSGDLYDKDRAAVASDLKVLLNGSKLHSDLQFDQVNKIPIIHGHLRSLCKSINTSTRIELNSIPLATGGVSEYLVTLFSPLAFALQDFGESSFLRAEALMKNNLFPCLVDIFNDNCPDLEKLRDSIMHFVALKMDKRYTESLHEIYVLSAFVSKILCWEAAVSFISLEGNELIKAQKDIDGGNLKSGKKDKRKKITGKGTSVLIHFIKDRLQYDITSKITSSDDSDLIKKKVKEIVDSNEKRRLPKVPKGTRDFANEHMTAREKTSTTIVNVFKRHGAMGLETPSFELRETLTEKYGEDSKLMYHLDDQGGELLTLRYDLTVPFARYVAMNGLTKYKAYQIGRVDRRDNPSKVRLREFYQGDFNISGDESIEADFEVVKVLIEVLDEIDIDDYMIKMNHRKLLDGMLEICGVPNHKLRTICSSIDKLDKQSFEEIKKEMIEEKGLDAETVEKIGSYVVLKGDPLKLLSQLKNKGSEFLKNDSANEALKDLEKLFSYLEKRKCIEKVVFDLSLARGLDYYTGVIFEAVLKEGPTDGCSIAGGGRFDNLIGMFGTKHVAAVGVGIGIDRILTIMEQLPKHENQEIRGVETEILVSVLGDDKSLAIELVDMCWAAKLKADYIVSKRLTKHFDIAEKFRIPWMVIVGQHEIDKGIVTITNKQANVSKQVVMCNFVEELITLISATVLL >cds-PLY75618.1 pep primary_assembly:Lsat_Salinas_v7:1:144821351:144823105:1 gene:gene-LSAT_1X104801 transcript:rna-gnl|WGS:NBSK|LSAT_1X104801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTLLCLVLLHAVGALGFPATLKLERSFPTNHGVELKQLTERDGLRHHRILHKYADPNVVVGFGVYGTYDPFDAGYSTSETGQKSQTERTLVGIMGLGRQSISVISQISSQGIAPNSFGHCLAGGDGGGILAFGTSM >cds-PLY84401.1 pep primary_assembly:Lsat_Salinas_v7:8:77881662:77884021:1 gene:gene-LSAT_8X55920 transcript:rna-gnl|WGS:NBSK|LSAT_8X55920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFHRHAISSHLNASRSSTAVRVWIQFTASISSLKVVWRRDQKLDQAIENDKAWRQCAKVVKEVLNEPGKVIPLRYLEKRRERLRLPVKIQTFLSRNPGLFDVYYDRIKPKTEPVKFLRFSDALQRVLDEEERIYLENEPLLVAKLCKLLMMSKDNVVNADKLLHVKREFGFPNDFLVNLVPKYPEYFKLNGSPGEGNSYLELVTWNPNFAKSVIEQRADDESESTGIKIRPSFNWKLPSGFLIRKEMREWIRDWMELPYISPYDDASDLDQASLEMEKRTVGVFHELLSLSLYKRIPVPILGKFTEEYRFSNAFSSVFTRHSGIFYMSLKGGIKTAMLREAYKGDELIDRDPLLEINDNFILLLAEGHKQRIEKLNLQKQADENNTTPNTGLPIVMHME >cds-PLY63452.1 pep primary_assembly:Lsat_Salinas_v7:7:147271352:147272270:-1 gene:gene-LSAT_7X87161 transcript:rna-gnl|WGS:NBSK|LSAT_7X87161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEETCTSGCESTEEVSTMEVPNSHGDVRRSRDTDVSSSGTGKRRRLDSQAQENVFQLQFQSRSEIGFNFHENVVLTAESGVSDHVSSFICSINDDSRPDLKAECLSETEIFMSSNDGFSRETSASSVVSLESEEMESLSTSTPKNKKKTAAANEATSGRKPPPEKSPSPAELEEFFSEAEKYEQKRFTEK >cds-PLY70673.1 pep primary_assembly:Lsat_Salinas_v7:5:72423516:72424254:-1 gene:gene-LSAT_5X33961 transcript:rna-gnl|WGS:NBSK|LSAT_5X33961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSISSSRNPPIQIGNFRESDELKSCGYEKSAKECKRWKYHNPGRQFWNCSNILTRLKICDCFFWEDDEMYEGYYKNLIRTLKQQVDSKESSVELIILRKKVVKLEFLLSKEKSILDKLQKKVTKEKQAIMMLNNKLEASMQQNSMLKVMVVMILLVVALWCFK >cds-PLY67696.1 pep primary_assembly:Lsat_Salinas_v7:4:3558116:3559335:-1 gene:gene-LSAT_4X3520 transcript:rna-gnl|WGS:NBSK|LSAT_4X3520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNRPPITDRRLYLLLSAGAIIAGSLLLSTFNGGGEIPLFCSESISDEFFPSTTTPLQLDAILHYATSQVVPQQSLEEITVSFDVLQSISPCNFLVFGLGHDSLMWASFNPLGKTLFLEEDPTWVRSVLKTAPDLNAVTVNYRTKQADAFELLNWYQSEPECAPSKSYIRGNTQCKLAITGLPDHVYDQEWDMIMIDAPRGYFDEAPGRMGAIYTAAVMARNRKKSGVTHVFLHDVNRKTEKAYGEEFLCRRNLKKSVGRLWHFEIPPAANVTDQNAKFC >cds-PLY94782.1 pep primary_assembly:Lsat_Salinas_v7:2:180630333:180631790:1 gene:gene-LSAT_2X103600 transcript:rna-gnl|WGS:NBSK|LSAT_2X103600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGGGALSELYQRSKRLLLKTRDDLERVERLEFSSSAGAIGSSELSVAVRRDISQIQALCSEMDGLWRSVASKPQRDLWKRKVEQVAEEADSLRESLDRYMQRQQRRMQEAQERTELLGRANGESSHVLRIFDEEAQAMQSARNSSRMLEEASATGAAILAKYSEQRERLKGAQRKALDVLNTLGLSNSVLRIIERRNRVDQWIKYAGMILTFVIVIAFLRWVR >cds-PLY63033.1 pep primary_assembly:Lsat_Salinas_v7:8:73523181:73524773:-1 gene:gene-LSAT_8X52920 transcript:rna-gnl|WGS:NBSK|LSAT_8X52920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SBH2 [Source:Projected from Arabidopsis thaliana (AT1G14290) UniProtKB/TrEMBL;Acc:A0A178WPE2] MEFNVSDEFLGTVAPLVVYWLYSGFYVLVGSGEKYRLHSKKDEEDKNLVSKTTVVKGVLLQQAIQAVVAIILFTVTGSDEDSTTTKTHSLFDLARQFFTAMVVIDTWQYFMHRYMHQNKFLYRHIHSLHHRLIVPYAFGALYNHPVEGLLLDTIGGALAFLISGMSPRASIFFFSFATIKTVDDHCGLWLPGNLFHVFFWNNSAYHDVHHQLYGTKYNYSQPFFVVWDKIFGTYMPYSLEKRENGGFEVRPVKDVKDE >cds-PLY71296.1 pep primary_assembly:Lsat_Salinas_v7:3:192698294:192699668:-1 gene:gene-LSAT_3X114740 transcript:rna-gnl|WGS:NBSK|LSAT_3X114740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLCSKKSGKGKRPGKGGNRFWKSVGLGFKTPREAIEGTYIDKKCPFTGDVSIRGRILTGTCHSAKMVKTIIVRRDYFHYIKKYQRYEKRHSNTPAHISPCFRVKEGDHVTIGQCRPLSKTVRFNVLKVIPAGSSGSTGKKAFAGF >cds-PLY90126.1 pep primary_assembly:Lsat_Salinas_v7:7:14530464:14532354:-1 gene:gene-LSAT_7X11961 transcript:rna-gnl|WGS:NBSK|LSAT_7X11961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRINIRKLGFPRFFMGKRNEALLQMSEEVQNQPKIADKRTKLQEIMEKEDDDRVSELPDCLLIEILSRLPSTKYAIRTGTLSKRWEHLWTWVPTLMFCLPPPQRLKNPNSRDEFALLVDKTLTQCRQLKLKKFEVHILNDIRFESLFNNWIRYAISCNVEELNLNIWRMKDEPEFLLDQFVFMNSCFTDLRLRGCKLNPTGAISWENLRSLCISRVNVDEELVVNIVSGSPLLETLVLEMCRWPYITSSEFGSEADDIIGISGPTSLSLTQCRQLKQLKKFKVSTNYGIQFQPQLNNCILYAIRCNVKELHLRFCNNIYDDEFMLDQIVFTSSCFTELSVGGFMLNPVGAISWKSLRSLCISEYQSLDEDLIENILSGTPVLETLELNRCYGYRLLSITSKSVKNLVIFGYEVPYGESEANIIEINAPNILSLTMGGLNFLCKPLLLNVSSLVKAHLDYSCTKPEHLQTPPNEVEEEVLKRCIMNLRHVKELQLGLLCSKVLFCLEAKGFVSPSNVKAYQIL >cds-PLY98034.1 pep primary_assembly:Lsat_Salinas_v7:1:112983822:112985225:-1 gene:gene-LSAT_1X88221 transcript:rna-gnl|WGS:NBSK|LSAT_1X88221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSRVVNLENYVIPLEEINRATENFSSQRFIGCGGFGKVYKGKLSERWENLTVAIKRLDHDSYQGEIEFRNELEMFSRFHHENIISFIGYCNESNEMIIVYKYAINGSIDYHLQDPDKRRSITWKHRLKICIGAARGLDYLHWGLKEHQRVIHRDIKSANILLDENLVAKVCDFGLSKLGTKNQPNTQQYTNTKVAGTHFYLDPTYQESGILSKESDVYSFGVVLFEILSGMLVYSKRNIGDDRPRALMNFVRRYHENEPEKLIDPYISDQIDNRSFNTFIQIAYQCISLNLKERPRTNTILQRIEENVDALIACATADRGFNHGIPVAASTIYKCLLHWKYLEAEKTNIFERLIQIYISAIEVLGPVMKKQSTM >cds-PLY66785.1 pep primary_assembly:Lsat_Salinas_v7:3:65568258:65570649:1 gene:gene-LSAT_3X51361 transcript:rna-gnl|WGS:NBSK|LSAT_3X51361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g69810 [Source:Projected from Arabidopsis thaliana (AT1G69810) UniProtKB/TrEMBL;Acc:Q058I4] MAASSSMEKSMFSFFKVNEEHDDQTIVSKTSAQDVEMGQVRQENQKLKHTLSMVLKDYNSLQTHYNDFFQQEIPKNTKNLVTNQEGDHGNELISLSLGTLSSYKQKDSQQKVNCFSKTKDGGDEQELKLGLGCEFDMKKDEKTQNLEPPVHNLKSERREDNDLLDQIPLKKARVSVKVVCDTQTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVSSSCPVRKHVQRCAEDRSVLITTYEGTHNHPLSVSATAMASTTSAAASMLKSTSSTSQPGLTTTATSTSSSTATFSGHHGTTYNSRPPHQYPFYLPNTTISTYQSHPTITLDLTTNPHFYKSTSSNFLRTQPRFSSSSCLNFSSPTSSSSSSMESNYKNPINFSYLGRQTSYETLYQNPSNDNFQSKSNSSNHSPETIAAATKALTANPSFRSALAASITSLVRNVGGGARI >cds-PLY88424.1 pep primary_assembly:Lsat_Salinas_v7:8:88254811:88256319:-1 gene:gene-LSAT_8X61401 transcript:rna-gnl|WGS:NBSK|LSAT_8X61401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLEVKLIQAHALTNKDLIGKSDPYAKMYIGPERGKTQSSKVIDNDLNPIWNEHFEFVVEDTSTQHLAVEIYDDDGLQASEVIGYARVKLSELEPGQVKDVWLKLVENLEDPKENEDQGMVRLELLYCPNGVRALFLKDGEHSEVVEKKKRLLIRGVLSVTVISAEDLPPADLSGKADPFVDFMGRCILTLTRVLLEGEYKDSFQVENAKSGKLELNLKWSAQPINRKS >cds-PLY80333.1 pep primary_assembly:Lsat_Salinas_v7:7:155674723:155678343:1 gene:gene-LSAT_7X92260 transcript:rna-gnl|WGS:NBSK|LSAT_7X92260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSRGDQQASRSLVSPKWTFLLCIGSFCVGLLFTNRMWTVSDSKLITRPTALESEGLKLVQEGCDLRKLQMKNVKRDSKQIFGEVSKTHQAIQTLDKTISSLEMELAAARSIQESIVNGSPISQDDTRSAPERRKYLMVVGVNTAFSSRKRRDSVRATWMPQGDKRKKLEEEKGIIMRFVIGHSATVGGILDRAIEAEDRKHGDFLRLDHVEGYLELSAKTKTYFATAVTMWDAEFYIKVDDDVHVNIATLGHTLVRHRKKKRVYIGCMKSGPVLAQKGVRYHEPEHWKFGESGNKYFRHATGQLYAISKDLATYISLNQHVLHKYANEDVSLGSWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNICVASFDWTCSGICRSVDRIKEVHKRCGEGENAVWSATF >cds-PLY93229.1 pep primary_assembly:Lsat_Salinas_v7:6:159380877:159382638:-1 gene:gene-LSAT_6X97801 transcript:rna-gnl|WGS:NBSK|LSAT_6X97801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSIQKKPHVLCIPAPAQGHINPMLKLAKILHSKGLHITFVNTEFNHQRLLRSQGSAALHGLPSFCFEAIPDGLPPPENPDATQDVPSLCKSVDETCLGPFKSLLTKLNASSSPVTCIVADVLMGFTHDAATEFDIPEIVLWTSGVGSLMCLHEYPNLLERGLMPKESGVLEDGYLDTKIDCVPTMPGIRLKDMISFFRNSNPGDEYMIQFLCLQVERAKRASAVIFNTFHELESDLLEMLGSIFPPCYEIGPLNLLEKKLVDESVSSLKSNLWKEEHECLKWLDSKPPSSVIYVNFGSITVMTHQHLVEFCWGLAKSNYSFLWIIRPDLVVDNSAMLPPEFLEETNSRGMLASWCPQELVLNHPSIGGFLTHSGWNSTIESISSGVPMISWPFFGDQQPNCWSCCNKWEVAMEIDNDVKREEVANLVIELMNGERGTELRKKAIDLKNRAEKACASPFGSSIVNLDKVIHLLQTSTE >cds-PLY91343.1 pep primary_assembly:Lsat_Salinas_v7:8:46511805:46517191:1 gene:gene-LSAT_8X36401 transcript:rna-gnl|WGS:NBSK|LSAT_8X36401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLKMQGHGNGHHHLVAVSLNEQQLGTKRKYRTYFPRTNQGKYLALWIITFGFAAIYVYRCIDEGHQERIEEGLVSMCDQRARMLQDQFSVSVNHVHALAVLVSTFHYYKNPSVIDQETFAEYTARTAFERPLLSGVAYAQRVMNSEREEFESQHGGTIRTMANKEPSPHRDEYAPVIFAQQTLSYLNLLDMMSGEEDRENILRARSTGKAVLTSPFKLLGSHHLGVVLTIPVYKSKLPPNASVRDRIEATAGYLGGAFDVESLVENLLGQLAGNQEIVVKVYDVTNTSDPLIMYGRQTEEGDQSRTRVSKLDFGDPFRKHQMTCRYLHKPPISWTATISAILGYVIVLLVGYMFYTGAIHIVQVEDDFDIMQQLKVRAEAADVAKSQFLATVSHEIRTPMNGILGMLALLLDTDLSSTQRDYAQTAQACGRALITLINEVLDRAKIEAGKLELEAVPFDLRSILDDVLSLFSEKSRHKGIELAVLVSDKVPQIVMGDPGRFRQVITNLVGNSVKFTEQGHIFVQVHLAEHSKAVLESKSDTCMNGRSDGQFKTLSGREAADDRNTWDKFKHLMSDQEFPFPPSQNVSLMVSVEDTGIGIPLHAQDLVFMPFMQADSSTSRHYGGTGIGLSISKCLVELMGGQINFISRPQVGSTFSFTCVLKRCEKTTITDPKRPNYDDLPTSFKGLKATVVDNKPVRAAVTRYHLKRLGVSVDVVSNIGIAVSDSDSGRRPDMILVEKDVWLANGNVTKSPKMILLATNITGMEFDKAKNAGFSDTLIMKPLRASMVAACLQQVLGTGKKVEQGKVMANGSVYLRGLLCGKKILVVDDNRVNRRVAAGALKKFGAEVECADSGKSALDLLKIPHGFDACFMDIQMPEMDGFEATRRIRSMESQANEQQTSGTIDGSTTEWHLPILAMTADVIHATFEECQKSGMDGYVSKPFQEENLYQAVAKFFESKPTKES >cds-PLY97811.1 pep primary_assembly:Lsat_Salinas_v7:9:2134581:2135482:-1 gene:gene-LSAT_9X5481 transcript:rna-gnl|WGS:NBSK|LSAT_9X5481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIIIFTFSILLFSPFTVSSLIDATDDGDHDNLTAYEIIQSYGFPKGILPIGVTGYELDKSTGKFKAFFNGSCSFSLEGSYDLKYKSTIGGIISKGRLKDLTGVSVKVFFFWLNIVEVYTSEDELGFSVGIASAGFPIDNFEDCPQCGCGMDCNGVVDDPRGKQSTKIRTNPFVSSI >cds-PLY66892.1 pep primary_assembly:Lsat_Salinas_v7:7:17371400:17372295:1 gene:gene-LSAT_7X13381 transcript:rna-gnl|WGS:NBSK|LSAT_7X13381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYRKSTVHPSPPLISDQLALLPAAIFTLTVALSQEDKEVLAYLVSSTNFPTARKSTTTSTTCKSSSSSAADHPPLFNCSCFRCYMSYWVRWDSSPNRQLIHEIIDAFEDGLVRHKREKSKKDRKKKYNSSNSSNEETTTPESTELTQSPELSPVTLNESESVGDCEEGSVRKLVNFLGERIWSVWT >cds-PLY66434.1 pep primary_assembly:Lsat_Salinas_v7:6:152224840:152226088:1 gene:gene-LSAT_6X91941 transcript:rna-gnl|WGS:NBSK|LSAT_6X91941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTIGSIGDSFSAGSVKSYLAEFIATLLFVFAGVGSAIAYGKLTADAALDPAGLVAVAIAHAFALFVGVSIAANISGGHLNPAVTFGLAIGGNITIITGLFYWIAQLLGSVVACFLLQFVTGGLAVPTHGVASGMSSIQGVVFEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGAFSGGSMNPARSFGPAVVSGDFSQNWIYWVGPLIGGGLAGLIYGDVFIGSYEALPASGDYA >cds-PLY83902.1 pep primary_assembly:Lsat_Salinas_v7:3:50514644:50515611:1 gene:gene-LSAT_3X36880 transcript:rna-gnl|WGS:NBSK|LSAT_3X36880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKSSCYDNGVKKGAWSEDEDTKLRAYIQRYGHWNWGLLPKFAGVSRSGKSCRLRWMNYLRPNIKHGNFTKEEDDLLIGLHKKLGNKWSTIAAKLPGRSDNEIKNRWNTHLKKRTQNEHTESSNEHIRTLEYDQATSKENHVNKTNLQHQPEVGTLFAGVSTESPSDSSLTEISSCQLSGSDSAVFSDFTPQTFDEELVGNFWSEPFLTDIDSITSSGNNLLSPFNFVNEFSSQYSCQDLMMTDDQCLWSMMDSYIENNIFLD >cds-PLY67814.1 pep primary_assembly:Lsat_Salinas_v7:9:7159120:7159600:1 gene:gene-LSAT_9X521 transcript:rna-gnl|WGS:NBSK|LSAT_9X521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRLISDLRVIFSLGNARIDQRRASLGISDSQRTPMPGEALKDFYKRTNSYWQMAAYEHTAHTGKEHRKDGFDLAETRFKELKPILVHKLLNG >cds-PLY73691.1 pep primary_assembly:Lsat_Salinas_v7:5:203257797:203260362:1 gene:gene-LSAT_5X92641 transcript:rna-gnl|WGS:NBSK|LSAT_5X92641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENRKPAWLEALYVQKFFTACSTHEHLKKNEKNICCLDCCISICPHCVHFHRLHRLLQVRRYVYHDVVRLEELERLIDCSNVQAYTINSAKVVFIKMRPQNRQLKGSGNFCTSCDRCLQEPFIHCSLGCKVDFVLKHYRDLTPFLRVCNSLQLGPDFFIPNDNGDDDMTNETPHSTIMDYDDPMSSCSGSSSSENISVMCTKFVRKKRSGLYICGRISSNPVHSEEDMASSISRRKGIPQRSPMC >cds-PLY95610.1 pep primary_assembly:Lsat_Salinas_v7:6:139057431:139061373:-1 gene:gene-LSAT_6X84061 transcript:rna-gnl|WGS:NBSK|LSAT_6X84061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASTLFLIMGVLPLALARGHDYGEALSKSILFFEAQRSGYLPDNQRVKWRGNSGLFDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSIMEYGNQMGASGELSHAMDAVKWGTDYLIKAHPEPHVLYGEVGDGNTDHYCWQRPEDMTTSRNAYRIDQNNPGSDLAGETAAAMAAASIIFRRSNPTYSRELLTHAYQLFDFADKYRGKYDSSITVAQKYYRSVSGYADELLWAAAWMYKATSNEYYLDYLGKNGDVLGGTGWAMTEFGWDVKYAGVQTLVAKFLMAGNAGGNAPVFGKYQEKAEFFMCSCLGKSSQNVQTTPGGLIFRQRWNNLQFVTSASFLLTVYSDYLTSAGKNLHCSSGAVAPSELLSFAKSQVDYILGDNPRATSYMVGYGSNYPQQVHHRGSSIVSVKVNPSFVSCRGGYATWFSRKASDPNLLTGAIVGGPDAYDNFADHRDNYEQTEPATYNNAPLLGILARLHGGHNGYNQLLPVEISVAKPIAVQPKPNPVKETPFSAPIAIEQKTTTSWVANGKTYYRYSTIVTNKSGKTIKNLNLSVSKLYGPLWGLAKSVSGSYGFPTWVSSLPAGKSIEFVYIHSSSPAEVSVSSYTLA >cds-PLY68636.1 pep primary_assembly:Lsat_Salinas_v7:7:132218627:132219814:-1 gene:gene-LSAT_7X79741 transcript:rna-gnl|WGS:NBSK|LSAT_7X79741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTMIAAVALVVLLIMSSNSITQVESQGIDCLDSCSTGCVNSNTRLMQRCERKCQIRCSPDRSVDDHLD >cds-PLY86642.1 pep primary_assembly:Lsat_Salinas_v7:4:279111429:279112337:-1 gene:gene-LSAT_4X143181 transcript:rna-gnl|WGS:NBSK|LSAT_4X143181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSPKPTSRKDFRSITLPCRSHPSTYRIEKVLNKVKTWESTSSLSNPSAEIICSGVFQLTELYECLDDLVKTCPSKTSLDSSNQNMRWTDELLDVSVIFLDIFSNISDLMLQTKQHVRDLGCDLRRNGGPSIDSIIDNNTAFRKKLRKDIKTSVASLNQLDDMIGHSPLVDFENNHLISVIRVFREVKAFTVVIVQLLLKFLAIPLSKTRSRCRWTSVSRYISKSKVVPEEKADTNINELQHLDAALFRYRTSNKLEFIQTVRKRLEEFEATVDGINSQLESMSRHLITTRTSLLNFISFY >cds-PLY86609.1 pep primary_assembly:Lsat_Salinas_v7:8:164158772:164159294:-1 gene:gene-LSAT_0X40840 transcript:rna-gnl|WGS:NBSK|LSAT_0X40840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRLMELKSFSDAGYMQKAPCPSPLTPAYIKMSRANRESVIQEENDAGEACRSFENYLVKMIVEEGKMRDLVDVEELLYCWKNLKSPVFMNLVCRFYGELCNDLFSSNVDVADHDDIRSLM >cds-PLY89193.1 pep primary_assembly:Lsat_Salinas_v7:3:21152550:21152877:1 gene:gene-LSAT_3X15600 transcript:rna-gnl|WGS:NBSK|LSAT_3X15600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKGCQDASSPPFVDFTLDPLLACLLLLVSFGLFLDLHTDRCPDFVMKILKAWHALEGTDGRRY >cds-PLY96155.1 pep primary_assembly:Lsat_Salinas_v7:3:96512797:96513161:-1 gene:gene-LSAT_3X72140 transcript:rna-gnl|WGS:NBSK|LSAT_3X72140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRTHVDVSFHVQPLLRKMEEINKIIRELWKQTYRGHDIYYISIHSDVECGATRSYSYEVVIQAGDAELEMQGRCSAGQKVYHHL >cds-PLY74818.1 pep primary_assembly:Lsat_Salinas_v7:5:113801663:113802058:1 gene:gene-LSAT_5X49820 transcript:rna-gnl|WGS:NBSK|LSAT_5X49820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHIIKLIFNHVPMSFMIPLYLAIFNQSIIYVSRVKLVHLNLYNILVSTILTDVTLHPRTPEAERIRIRDRENN >cds-PLY92901.1 pep primary_assembly:Lsat_Salinas_v7:3:181734934:181758526:1 gene:gene-LSAT_0X21600 transcript:rna-gnl|WGS:NBSK|LSAT_0X21600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLYRNLREVQANHDVERMKRQRWNNIILWNNIIPMIRLGLTPNHPKLNEADVMPLTNQVIIPDDDVPIEQPNNLKIPLHVIKTCTQDFNERNFIGKGGYGRVYKGTLTWANHINQLVAVKRLDVTRFQGNKEFQTEITLLSEYQHKNIITLIGFCNDNKEMILVYEYATNGSLDAYLCDTSLFGGLSWPQLLKICIGVVSALDYLHNHVAEKHRIIHRDVKSANILLDENWNAKLSDFGLARIGLANQLNTFVITNPAGTYGYTDPQYIRTGFLTKESDVYSLGVVLFEVLCGRLAYVSSYHDERRFLHHLARTCYKNGELDKIIDQRIKKDINPRTLSKFSTIAYKCLHKTREERPTIADIASQLREAWKNQEEEHVKRERRSKKKLDKSVERKISPKPLNALLLEREIRTKRKNDRVQIVKNLKNKGIAESVKEEQEDNVRKTNKEYPPSLRYLPTRMKCDNITAAVMGMSPEQKQAILRMGFGSILQVNITSYPGQLSYYPLDVWWHINGAKLLEIQRLEISLGGFGRQFQDEHDDVDMGDETGGEEQQMLSFKRDFGDEEAYAAVIEHSYGVTLTEKNTMEVALKDGLEKFPHSVVLNEWMEKMNELFKEVFEGAGNKIVHDPDCFNEINMNDIGDGGEGNSSPIGGLILTKVNTEKEVNYTTPVDTTSLTMTQFHRLPGVNEEMIKLLDETELQVYRRKKRMSVISGDNLVGRNIGEAVDNAVGYDDNDKREKRIPKKANIFHSPDIERIVKTEDILNELKSEDIKCRLFATLLRIYTKKFDVKPSFRDVSLVFFPIVDNGKYYLLIFDLRSSLYYIVDHVKRTGTLERKYGMIPNLVKKLFCNYLTSQHHPMAKALTFKAGRVMNISWLVEKAGTECKNTNISGKDSPTMRETRSMQKRKNAKVIIYVQLFYADNINLEALTVTRKRPTICYWSLEKIRYRETFEQEKCRFGVGELNEEFVNEQNEGDTDLEDSDSDKDEDHSVEAYESKISKMLNSFERMKEKLNSKLNVR >cds-PLY62145.1 pep primary_assembly:Lsat_Salinas_v7:1:211615391:211630553:1 gene:gene-LSAT_1X129101 transcript:rna-gnl|WGS:NBSK|LSAT_1X129101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNISKRKKRILELGFWVVFFIVKIESKCSNGCDLALASYYVAQGSNLTYISKIFSQTIPEILRYNPQIPGGDSIESGARINVPFSCLCLNDDFLGHTFKYETQVGDTYGKIASEVFANLTDEYWVQRVNWFEAEKIPDFVDINVTVNCTCGNKHVSKDYGLFATYPLRPDEDLQSISIESSVPMTLLERFNPRSNFSAGLGLVFVPAKVQFLVLFLIANRIESRCKNECLALASYRMWVRSNITFVSQIFSTKIPQIQSYNPQITNPDTVAEGSRVNVPFSCSCEDRGFLSHRFVYNVSPENTYSWIAGTGFSNLTTVSMLRNYNTYNELSIPLGSEVNVVVNCSCGDKSVSKDYGLFITYPIQSGESLSSIANESGINENLLRDYNPSSSFSSGDLVFIPGRDQNGTFPPLKTSTKGCLSGSATVGASVGAVVGVLLLGICIYFGFYRRKRVAEGSFLEENANELHLASDSNLGRRVESGHLIGGAPPGVTGITVDKSVEFTYEELSKATDEFSMANKIGQGGFGAVYYGELRGEKAAIKKMDMQASKEFLAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEFIENGNLSQHLRGSLGRQPIPWATRVQIALDSARGLEYIHEHTVPVADFGLAKLTEVGSGSLQTRLVGTFGYMPPEYAQYGEVSPKVDVYAFGVVLFELISAKEAIVKANELSSESKGLVGLFEEVLSLSNPNEALCKLIDPRLGADYPIDSIRKIAQLAKACTHENPQLRPSMRSIVVALMTLSSSTEDWDVGSFFENQDLVQLMSGR >cds-PLY76270.1 pep primary_assembly:Lsat_Salinas_v7:8:32917361:32919003:-1 gene:gene-LSAT_8X26101 transcript:rna-gnl|WGS:NBSK|LSAT_8X26101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFLESLTILIFLSLLIFHYRPQYLKALIDRYWPHLGVFQALTNLNQIHEFLAIYINRSGGSFMLRGPWFTNMDMLFTTDPLDIQHVLSKNFTNYPKGPKFREIFDFFGDGIFNVDGHLWEIQRKTTMSLFRQPNFQSVFEGIVWNKMERGLLPILESISKLGNEMDLQDIFQRFTFDTICTLLLDFDPKSLSLDFPFLPCEKSLTDIGESMLCRLFSPPIFWKFQQLFKMGNEKKLSEANNTFDQFLCKCLARIQHVSNTNIESEHFGLASLVREFKDQSSSFEDPSKFLKDVIKNLIGAGRDTTSTALSWFFYLIAKNPIVEDKIREEIHTLLQEKVDDQKNWNSKELGKLVYLHGALCEALRLYPPVPFNHKTPLQPDILPSGHQVDQNTKILLYFYGMGRMEKIWGEDCMEFKPERWISKEGGIKHESSYKFPTFNGGPRICIGKDMSFTQLKIVASMIIYHYHIELVEGHMVYPIASITLQMKHGLKVRLTKLS >cds-PLY82769.1 pep primary_assembly:Lsat_Salinas_v7:2:146755589:146758465:-1 gene:gene-LSAT_2X72981 transcript:rna-gnl|WGS:NBSK|LSAT_2X72981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDLFSAASTPILKVLIITALGSILALDSVDILGQTARKHVNNGVFFVSNPALVASNLAQTITLESIISMWFMPVNILITFILGAALGWLLLIITRPPEHLKGLILGTCSAGNLGNLLLIIVPAVCKEKGSPFGDPDVCHGYAMAYASLSMAMGSLFVWTFVYNLLRVFSQDSGNNVVTEAVTEQEDLTESLIPLSSSTFNIKKKTKVMLYTVKQHLGNFSRRINLKSLLAPSTTAAIVGLVVGMVGPMRRLLIGTDAPLHVIQDSASLVGDAAIPTMTLILGANLLRGLKGSSRVSLPIVFGIVAVRLVLLPLVGILIVKGAIYLGLVHPDPLYVYVLLLQFAVPPSMNIGTITQLFGAGESECSVIMMWSYGLASVSLTVWSMFFMWLVA >cds-PLY72636.1 pep primary_assembly:Lsat_Salinas_v7:8:253994600:253995929:-1 gene:gene-LSAT_8X148940 transcript:rna-gnl|WGS:NBSK|LSAT_8X148940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSTDVTVVLVSWDFTWIIFNISTCYPHSGGLGVPAVGMVFPGYVTQPNGMGNSEMTWLPILAGAAGAFGCFTLYHNGWCLSCYAIWPDLCIASYKLSWQPLTVVLDRQLLAKDIVYVFFCCYCGFGNILAYWSNCMHLT >cds-PLY96937.1 pep primary_assembly:Lsat_Salinas_v7:1:142739968:142741368:-1 gene:gene-LSAT_1X105040 transcript:rna-gnl|WGS:NBSK|LSAT_1X105040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKESTTPNPRAHLKKPPWQIALTFFTKNLVLLLLLLLLSETILRLLFGNKGIYSIGLDSRTAEETKSFFQTTTKMMQVQIEAADRKIEKEIVDLRNELNERVDDFDFVYRTKLRDLGENVQSKIRSLIGKEWIWNNKSNNVLDGFNFKKRKDEGFDGDMKGYVKEMIEKAIEKHAADGLATIDYAVASGGGMVVKHSEPYNLQDEIHLFALKILQPSFGEPGQCFPLKGNNGYVEIKLSHTIIPEAITLEHVAKSVAYDRSMAPKECKVFGWLHPDSKKMVLLREFTYDLEKRNVQSFNVWEKGYAVNMMRFEFKSNHGDPTHTCIYRFRVHGYEPHSLSSLMPQ >cds-PLY76028.1 pep primary_assembly:Lsat_Salinas_v7:5:320254819:320256118:-1 gene:gene-LSAT_5X175881 transcript:rna-gnl|WGS:NBSK|LSAT_5X175881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRTRVSNSKEMNIDRVIVEKGFVYEKETHLTVMKTSMFFVGDGFAIYNSNDQVVFCVDSYGQDNRDVGELVLMDSSGRCLLTVRRKRPSLHQRWEGFLGERMEAKETMAEIRCKVDASTNVMLGKDVFSLTLKPIRIESQSSSPKSIYSLQFLCVFMVGVEEARAGCVFDLPSNQFFFALVATTGNVN >cds-PLY90036.1 pep primary_assembly:Lsat_Salinas_v7:5:186097980:186102018:1 gene:gene-LSAT_5X82800 transcript:rna-gnl|WGS:NBSK|LSAT_5X82800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMSIASQVQSIRSIVKANTDPLGSAFFRNSHLVCIASCNSSLIDEIDVPYIQLSLPDVVWISNFRNTRLVKLYDDCDKLLDSKIVKLDDAVKAHMSNSKSCNVTMLSAIAFMLTFMISEFKKREVKKYCSPPKWQVLYTTQLTQKAKKYHDGILKVLIYGLPGRQAILYDETRTQLDSKFLKKEETITAGESMKFDGHIVGIIELRDHKPLKDTNVDGRNCYKQNIMPSKNHNEHLAEFKKHETNMSFTKTYLKEWNVMYTTQVTQKAKKFHDGVLKLASCGSQGREKTKIPLYVLPSFQDHGGVSHKVKPLEAPTAFQDVKIVGLADVVEGRINDIANNGQV >cds-PLY87204.1 pep primary_assembly:Lsat_Salinas_v7:4:308094820:308101056:-1 gene:gene-LSAT_4X156060 transcript:rna-gnl|WGS:NBSK|LSAT_4X156060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSKPKTLTLDSTALFLQSPQTTCPPLSFSLLSSRSPLPPMPTDFPLSKLIPKTKFIVDGFRLSGDYSVSYFLSHFHSDHYGGLNANWSKGIIFCSEITARLVIQVLQVSASLVVPLPLSESVFIDGCEISLVDANHCPGAVQFLFKIPTGDGSCERYVHTGDFRYSVSMKSETVLNEFTGADAVFLDTTYCNPKYVFPSQQESIDYVVSVINKYSLENDGGLKSVLFLVATYVIGKEKILLEISRRCKRKIHVDDRKLGILHTLGFGEDEVFTTKESESDVHVIGWNVLGETWPYFRPNFAKMNEIKDAKGYSKVVGFVPTGWTYEVKHNKFAVRTKDPFEIHLVPYSEHSNYEELREYVKFLRPKRVIPTVGVDVENLDGKHVNKMLKHFAGLVDDMAIKQDFLKGFHRGSKGQNENLEKDPQKEKEAKNDSFSLCDQKDEHETDEMLQELLDCLPDWVTRAQMMDVLSKSRKNVVESVSYFYEHETEYYEQIITSVSTSASQENPVEILKEVIAHASSTSSKGFTSPSPPVKSLLKTDDVSSSKRMTSSTIKQTKSINSPNKKKQSSSSKNTISPNQKRKKINDNISSKKPKITSQDGSNGPKQCTITKFFNKLSPVVEISNNDVIKTYKDEVDQFIQIINGNESLHDYATTLLEETKGDINKALDLYYLKDKQSENQKRIFSNEDTEASQDLISLPPEKYSPIDHACWKDGEFAPYLHLARTFNLVEGEKGKIKATSMLCNMFRSLLILSPEDVLPAVYLCTNKIAPDHENTELNIGGSIVTSAMEEACGTNRLKIRELYNTLGDLGDVAQLCRQTQRLLAPPAPLSIRRVYSVLREISVQTGNGSTLRKRNLIINLMRSCREMEIKFIVRTLVKNLRIGAMMRTVLPSLAQAILLNSSHETTKETLQGLSAAVVEAYNMLPNLDLLIPSLMEKGIQFSSSTLSMLPGIPIKPMLAKITNGIPQVLKLFQGKAFTCEFKYDGQRAQIHKLPDGSIRVFSRNGDETTSRFPDLINIINDTCNKDTMSFIIDAEVVAVDRKNGGKLLSFQELSSRDRGSKNSSILVDNIKVDICVFVFDVMFANGKQLLGFPLRERRKYMKGLFGDEKSGYFEYSQEITVEMDDADVANEATVSKMNRFFDEAFHSSCEGLMFKSLDVDAGYLPSKRSDSWLKVKRDYVEGLNDSLDLVPIGAWYGNGRKAGWYSPFLMACYDPDTEEYQSVCRVMSGFSDAFYKEMKEFFVDEKMMSKNEKPVYYQTGEEPDMWFSPEVVWEIRGADFTVSPVHHAAMGLVHPSRGISVRFPRFIRARLDKKPSDCSTNMDIVEMFHLQTWKMDVRGGS >cds-PLY83747.1 pep primary_assembly:Lsat_Salinas_v7:4:41578589:41580303:1 gene:gene-LSAT_4X27581 transcript:rna-gnl|WGS:NBSK|LSAT_4X27581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEEIMKSEEQKLIDVNKHRGVRSDGEEDEQLEEGEIVGGDADTLSSSSSSRPGTAIAQHPLEHSWTFWFDTPSAKSKQVAWGSSMRPIYTFSSVEEFWSLYNNIHRPSKLAQGADFYCFKNKIEPKWEDPVCANGGKWTMTFTKAKSDTCWLYTLLAMIGEQFDHGDDICGAVVNVRARQEKIALWTKNAANESAQLSIGKQWKEFIDYNDTIGFIFHEDAKTLDRSAKNKYTV >cds-PLY99808.1 pep primary_assembly:Lsat_Salinas_v7:MU037944.1:547789:549410:1 gene:gene-LSAT_3X53141 transcript:rna-gnl|WGS:NBSK|LSAT_3X53141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILNKERRRRKLLLLTTKTLPPLIFTLTDALRSQHLRSSTIMAINDPEHREEEEAAADDEDTGAQVASIVRLEAIEVINGEENEDAILHLLINCAVRSNCGKHISRFRSGVIVTMSMEKKKEEEVWIWTENKQVMTTTVERGWTTFIFSSIHSQLATDWSSIALINPIFIEDKSLFDNKDKLVSTISEISSPQELEQLQSAYEHADNIIVDLLDWQVIPAENIVAAFHGTHKIVFAISKGLSLQFHGR >cds-PLY86063.1 pep primary_assembly:Lsat_Salinas_v7:3:195560622:195564479:-1 gene:gene-LSAT_3X116660 transcript:rna-gnl|WGS:NBSK|LSAT_3X116660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRRPNLDLSNSSPLSSIYTKTPQTPTSSTFPYKYRLSSSNFNGYQSKCKVPTPPQALPKAYSNESVESNKKLIDSIAKGLIGIAVAVSICLDSPALAESLTIAFLASHTHEVNAVQRTLVETWGLIRETFVDPTFNHQDWDSKFQQTMVEMLPLRTANAAYRKIKGMLSTLGVRFARIISPQVVLSCVEGSPAARAGIHVGDELIEINGERVKGISGEAAAQKLSGHVGTSVTVKVHNGGLVKVGLDVAQIWLNGDETLVNTIDRDGNMLPINMINGHALTRDPQDVLLRKHKEVVQLCIHHNGDIHVAK >cds-PLY69996.1 pep primary_assembly:Lsat_Salinas_v7:8:146557817:146561481:1 gene:gene-LSAT_8X99721 transcript:rna-gnl|WGS:NBSK|LSAT_8X99721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAATMVLDPKPTSLSPPPTLPTPYSTIDTVIADSEDDLYTRLKSLERQIEFIEIQEEYVKDEQKNLKRELLRSQEEVKRIQSVPLVIGQFMEMIDQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYSDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAAEITAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFDFYK >cds-PLY77270.1 pep primary_assembly:Lsat_Salinas_v7:4:164131405:164137887:-1 gene:gene-LSAT_4X97440 transcript:rna-gnl|WGS:NBSK|LSAT_4X97440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:MMS19 nucleotide excision repair protein homolog [Source:Projected from Arabidopsis thaliana (AT5G48120) UniProtKB/Swiss-Prot;Acc:Q0WVF8] MGDSTTINCVKHIETYVDSSSSKSPAEQDASLDAIAKLVMNDMITLESLVREMGMYLTTTDNIIRARGILLLAELLTRISSKPLENATIHSLIGFFAERLSDWRALRGALVGCLALMRRKGDISSSDATAVAQSFMQNLQVQSLGQHDRKLCFELLECLIDCYPNTVMTMEDDFVYVICEAIDGEKDPQCLLLTFHLVEMLSHLYPDSSNSLTSYAEDLFNILGSYFPIHFTHPKGEDDVKREELSRALMLAFASTPLFEPFSIPLLLEKLSSSLPSSKVESLKYLSYCTLKYGPHRMLQHLESLWSSLKEAIFTSDQSNSNKIASEALVLLQNLIQQDNGVFVNLILKDADINLTLNSLSNYNNHDDSPMPDQQRLNSIGQILHVSSAASMASCNAIFQSFFSGLVNGLEKDYASEKPKFGCLYICVELLAACRILVMKNDEVSYNENETWCIMLHSFCDSLISSFVKSIKESNEDSYTQSGVRGLKILASFPGSFSPVSKSTFENILREFISIIILKFNNTSLWSSILDSLTEIGSFIEKSQDSEKMPSFDAIIVDKMVDLLSSDDSTMPFSLKVKSVSEIGLINLKYMMKIVQELNKTIITSLHKSWIDGDSKSVEHAMILLECYDDKILPWFQNIKDSDDVATNFALKIWDEIEDNTLFYDTLVEKKLLETTMNTMKHAVATCSEENQTKILEKSFKVNESNLVNKVSQPRNKWIISLFNSVIIALHPKTNIKNPKKTLETLIESLTNGHTSSAHALGSFFNKIPSNEAIIDNTFSHLIKFSNVNAIIGLSWMGKGLLMRGHEKVKDLIKFFLDFLILNSPQDQEMVDLMRSVADSFGIMMSDSEFCLNKRLNSVIKPLYKQRLFSIVMPILSSSIVKLDSPVTRSMQHRALAHVISNAPLSAVLGEAKKLIPLMLDGLTILSEDVENRDILYNLLLVLSGILTNKNGEEVIVENAYIIIRCINKLVAYPHMMLVRETAVQCLTAMSEVSYARVYPFRPEVLQALSRALDDPKRSVRQEAVRCRQAWASVSSRSLHI >cds-PLY82433.1 pep primary_assembly:Lsat_Salinas_v7:2:183709049:183711223:-1 gene:gene-LSAT_2X106141 transcript:rna-gnl|WGS:NBSK|LSAT_2X106141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILVSEIGDETFIIAALMAMHHPKSIVLSGALSALFVMTILSTGLGRIVPNLISRKHTNSVATVLYAFFGLCLLYIAWRSDSKASQKNEMEQVKLVEEKNMKPLDSNLAALSARCSKDLELNLAKSFLSEMGQCTTSYPYNNCLEH >cds-PLY96225.1 pep primary_assembly:Lsat_Salinas_v7:3:197640787:197642531:1 gene:gene-LSAT_3X116880 transcript:rna-gnl|WGS:NBSK|LSAT_3X116880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNVRNSLKKDSSRFLSSCIQAPITSDGKSYPTTYWESIIESLNGVLDTLKEFCIISKFKVLMHEDTESPDSESYLLDDNSRILFWINPFVLVEILRALHGKYKIRSNLSSSHHWRLDFKFSYEGKVREDNFPTIIGDLMKTSAWVMLYYGH >cds-PLY86588.1 pep primary_assembly:Lsat_Salinas_v7:1:132913747:132917301:1 gene:gene-LSAT_1X98261 transcript:rna-gnl|WGS:NBSK|LSAT_1X98261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVSAVITVLCEKLISGDLMKLARSEGIDSQLKKWKKNLPLIQAVLADATQKEIKEKAVQLWVNDLQDLAYDIDDVLDDLATEVMRRKLNQEAHATTSIGKVWKFFPNCCTKFSPRNIMYGRKMSSKLDKITTKLRDLVVQKNDLGLNVTDERSTLERRLEENSLVDESKIMGREGDKVTLMGKLLGCEECDENVSVVSIVGMGGIGKTTLAKVLYNDEKVKDHFELRAWVCVSEELDVFNISKAIFQAVTGKNKDFANLDLLHVALKKELSKKRFLLVLDDVWNEDHKKWELLQSPLLAGAPGSRIIVTTRSTRVASVMDSQETYPLDVLSNEDGLSLFAQHALGEKSFDKHPTLKLLGEGMVQKCGRLPLALKALGRILKGNRNGDKWQELLKSEIWDIEDGSDILPALRLSYYHLPPHLKQLFAYCSLIPKDYVFDKNKLVLLWVAEGFLSQSKGNKSMERLGHEYFEELKSRSFFQLSTDDELGYTMHDLINDLATSVAGEFSFRLDGEVDVSAMNETFDKFLHFSLIGSGSRSFRKLKELQRAKRLRTVLLISVPWEIGSLLDELLPELQFLRVLSVVGLNPSDYQNPLVAYWREGIFSIRWIPESIGSLKHLRYLNFSFTDIRCLPEQVSDLYNLQSLLVQDCYELSSLPKSFAKLINLRHFGIDNTPKVIKLPLGIGGLTSLQTLSKVMIEEANGFKISDLKELSDLQGQLFIIGLDKVINPIHAKDANLHQKKGLEVLEMEWSDNVFDDSRDETIEYEVLEELRPPPKLKILKILYNKGTRFPSWVGDPSFDELTELTLCGCRSTQLPTLGCLRSLKKLFVESMSEVKSVGFEFLAPVSSFIGIGFPWLEVLEFYDMEGWKRWSINNGNEHGTPSSFPRLHEISIRYCPELADVSIGLIPSLRVLYIEECSEAVLRSMVGLSSSLVKLDILDVKGLTRLHGEDLMHLRALEHLYIEKCDELRYLWERESEACKSLVSLQKLEVYDCKKLVSSAEKEVNFGKSMKSLKEVKFSVCETLESYSCPNSVERLVINSCDSMISLTFSALQEQPSGITELIVSDCDNIQLQPNLIPVTDCGFLPMSRLISLDISDCKNLKSFPHEHFQSLTSLEEMYINECPSMDYSFPCGVWPPNLRMLLKTQTHKLPNLNSLFNINQFDYTSTYTRNLTTITLTLG >cds-PLY94415.1 pep primary_assembly:Lsat_Salinas_v7:6:9236493:9237373:1 gene:gene-LSAT_6X6241 transcript:rna-gnl|WGS:NBSK|LSAT_6X6241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYRYPGDLTLRAKIHSVLDWHHSNLRRGTDLLENDLIIYHTFLLSVGLVFNTILAPLFGLPSNLQTAKESEKLLMKSLNKLETFWLKDGSFLVGSSQPSIADLSLVCEIMQLQLLSETDCNRILSPYKRVVEWMEDTKKATAPHFDEVHEFLFEAQKRIREQTAKESFGKD >cds-PLY82286.1 pep primary_assembly:Lsat_Salinas_v7:1:69116362:69117414:1 gene:gene-LSAT_1X60741 transcript:rna-gnl|WGS:NBSK|LSAT_1X60741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPTAVSSHPTIGFKKVSILIWELGYIVHLCCCQSAYSGLKMQISIVYQPFLDATNDHMLGELWTGKQNPYTGLFDGYDFGFCLVKEGRGL >cds-PLY93895.1 pep primary_assembly:Lsat_Salinas_v7:7:180615964:180619121:-1 gene:gene-LSAT_7X107560 transcript:rna-gnl|WGS:NBSK|LSAT_7X107560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSERFLLAEATIFALLLVSLHIQKIHTAEIEFISDSRFLTEADTLVSPAGIFELGFFKPRSSENKYVGIWYKKISVQTVVWVANRDFPVNGSSSGTLKIVSPGNLVLMKGPNDVVWSSNSTSSANAIVQLDDTGNLVVKEGINDKILWQSFDNPTDTLLPVMQFGRNFLTGKKWKLSSWKSDQDPAPGEFTWSTDTSGYPQNLLKQGTSVKFRAGPWNGVWFSAGSGFNRNIIKPDMIINETEVVYRYFLVDSSVVSRVTLNSFGQLERRVWEDGKTWQLTLQLPKDICDTYNICQAYGACTASTTQICVCLDERRFVPRNQNGWERANWSGGCVRRTPLDCKNGTDGFIKYSNLKLPDTKSSWFNMSMTTKECEAKCLKNCSCTAYANTDVRQKGSGCLLWFSELKDMRVSFEGNGQDIFVRMAYSELVVTSAQSFSEKKGRANIKIILPVVFLGVLLIGLSSTWFWYKWRKRHHPQPTREGEFLHVGESKRDAMELPLFNFSTIAKATANFSLENKIGEGGFGQVYKGMLEEGLEIAVKRLSKTSSQGIDEFKNEVICISKLQHRNLVKLLGCSIQRDERLLIYEYMPNRSLDSSIFDKTRSMLLDWSMRFNIIKGIARGLLYLHQDSRLRIIHRDLKASNVLLDLDMNPKISDFGMARSFEGNETQANTERVVGTYGYMSPEYALDGVFSIKSDVFSFGVLVLEIVSGKKNRGFIHPEHDNNLIGHAWRMHNEDRLMELIDTALGQSVNSSEAIRSIIVGLLCVQQSPKDRPSMSSIVVMLANEGALLKPKQPAFFTERNLLGGDFSSSSTCPTSSTNGLTVTEIVAR >cds-PLY78910.1 pep primary_assembly:Lsat_Salinas_v7:8:2173485:2178041:-1 gene:gene-LSAT_8X881 transcript:rna-gnl|WGS:NBSK|LSAT_8X881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQGVYSGGDGDGGGNTHGAISKLYFCYQCERTVSIRPSPTDSSELFCPDCSGGFLEEYENPNPSPTTQNPFLAFDDDASPFAPFSSGFPLVFSTTSRGSGAATGDFQNPTDLSALFGGPLSRSGGFQNPGEFNPFAFLQNYLSTLRAGGANIQFVIENNSDGDPSGFRLPANLGDYFIGPGLEQLIQQLAENDPNRYGTPPASKSVIQNLPNIKITSDLLESDYSDCAVCKDSFELHEQAKQLPCKHVYHQDCIVPWLELHNSCPVCRYELPTDDQEYENRSREGSTGGTLGAAGPGVASGSGDPQENPNTPNRLTCLGLLLGLAHLPSQAIVEQVTTTQAIPTQEVVVRQDRKILADDRGMKEEWTIGVVG >cds-PLY91217.1 pep primary_assembly:Lsat_Salinas_v7:3:77572295:77573266:-1 gene:gene-LSAT_3X58061 transcript:rna-gnl|WGS:NBSK|LSAT_3X58061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDIWVMGRVVVAFSVMLREVDDKWVMGRGPILILNEAGKIEEIFKQMEIDGSCFSRWSDGKYAVVNKYKELRNPNILFTDGLLFFLEVDEGKTMFE >cds-PLY79807.1 pep primary_assembly:Lsat_Salinas_v7:8:16532121:16538565:1 gene:gene-LSAT_8X11660 transcript:rna-gnl|WGS:NBSK|LSAT_8X11660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFLQDDDPRLKDFEPRVVVHYGIPTTASILAFDPLQQLLAIGTLDGRIKVIGGDNIECLLISPKPVPLKNLEFIQNQGFLVSVSNENEVQVWDLERRQLAANLQWGSNISAFSVICSTNYMYVGDEYGYLSVLKYDIEEGNIQPMSYQIPPDLIADGGGVQIPEHQSVVGLLAQPCSTGKRVLIAYQNGLIILWDVSEDKALLIRGYKDLQLKDEIVIRSNNDIKRESINYKSDEEQIEKEISALCWVSPDGSILAVGYVDGDIILWNLSTNNQKTNKNTKNAVKLKFSSSDKRLPVIVLHWCPNSQRNGSGQLFVYGGDDIGSEEVLTILNLDLSSGIEALKFIKRFDLTLDGSYADIELVPNVGATESNCTSLFVLTNPGQLHVYDDECLTGLTSGPSVKPVQCPVTIPTVEPNMTISKLCSVPKDGDFQRVLNETVLAVKSELASPKTPGSGKWPVTGGFQSQLSSDENIGVERIYIAGYQDGSVRIWNATFPVFSLIFTLELQVEDTEGTEVPGASEPISALDFNSKTSSLAVGNNCGLVNLLKLVGETKETNVPLVTETERQEIDLHHGNGWQSTAVISVLNSPIRTLQYTFSGAKIIVGHECGKAAVLDVQSSSVLFLTDCLVDPSSPIVSLAMKTYLQTDTNVPEQSGNTSTSGPETEVAFLLTKDSHIIFLDSNNDESFSVPELPEENPANTSQETTNEKESLKSTEPESESTEAEEKTSSQPIEPWKNFVDSLILICCEDSLLLYSTKSLLQKNEVESGVVLVYSTGIVEIRSLPDLKVVAETSLMSILRWNFKTKMENTMSSFGNGHIAMVYGCESSVLSLFSSENDFRSLSLSLSHTHTHILIDGFWYMIFRIPEGLPRLHDKVVAEAKEAAYKFALSQRKQNAPTGLLGGIIKGIKRDKGNETENIEETRDVLVPKLETLFSRTPFSVSDLDLDLDLDLGDHELDMPTKLVVERIEAEPKSPSPPSPAPAKTEKNKQVERDRLLEGGSEPKARTTDEIKAKYRKTDIAGAAAAAQEAKDKLLERQMKLEKLKENAEELESGSENFASMAEQLAKQMENRKWWQL >cds-PLY83138.1 pep primary_assembly:Lsat_Salinas_v7:3:95940229:95943524:1 gene:gene-LSAT_3X72120 transcript:rna-gnl|WGS:NBSK|LSAT_3X72120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKTLMAARAPVLSEKYSKEIENARRDLRALIFIKKCAPIMLSLALHDAWTYDANTKKGGPNGAIRNYIHGENTGLKTAIDLCEEVKAKHPRVTYADLYQLAGVVAIELIGGPTIDYAAGRKDSKESPNEGLFPDAKEGRFVFMVNAHPERSGFGEKPLKFDNSYFVELLKGDSDSDGSLKHATGKALLDDPNFRTYVELYAKDEDVFLKDYAESHKKVSELGVTLPLSQDASFEARGAANLVSSATLVFLNFSSMLLVYIQQINRKCKE >cds-PLY70609.1 pep primary_assembly:Lsat_Salinas_v7:1:88437745:88441495:1 gene:gene-LSAT_1X75601 transcript:rna-gnl|WGS:NBSK|LSAT_1X75601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPFHFPLTAAQVGHYFVGHYYQVLQTQPDVVHQFYSERSTLLRVDGDARVTGTSMLEIHSIVMSLNYTGVEIKTLHSLESWDNGVLVLASGSVHQKDFNSRTFVQTFFLAPQEKGFFVLNDIFHYIDDQPIHHHHPVAYLSQNNLLSKLNAPTALQEQASNYMGVGDIQGRDFVTPTTTAVENGSVNNYSFQKQQLQAPEVENSLEDNYPVKSNGSVNALQDRLTSVEEPVGEPQKHTYASILQVAKGQSAPPSAPREQQPVTKSPTPFELNHVEPTSQRSGVEAVEDSSGVEDEVELKSVYVKNVPTNAIASDIEEEFKKFGKIRQDGVAIRTRKDIDVCYAFVEFEDMSGVHNAIKASTVEISGQQVFIEGRRANRSNNAYRGGRGRGRGRSGYQMDGRGRFGGRNYARMNGQDPRSNGYYRHTYSRNGHSPSD >cds-PLY83581.1 pep primary_assembly:Lsat_Salinas_v7:5:17715875:17719361:-1 gene:gene-LSAT_5X8081 transcript:rna-gnl|WGS:NBSK|LSAT_5X8081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAFEAAKIVYNRILETESETITRKIIGYIYLLDHADREMIRLAYCPDNLIQNLIQKAKIELNLLAKPPPSPPISPSLQFSPILHSHRPFSAPPNLQIPSPTPNWDSPFHYPNMVLDDCRYQNQNHDNFIGLGEQFEPFNREITSFTESCYSPDGNFSVRGRRDVIPTKICHYFSKGHCKHGNKCKFLHSQSLHDFNNELMGDDQASFSPGSLEKLEFEITEILKSRRGNPISIASLPMIYYERYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHFLVLADDASMYMENRYDRSSLSPGPIVSGSKQIYLTFPADSTFTEDDAANYFSTYGPVQDVRIPCQQKRMFGFVTFHNSETVQMVLAKGNPHYVCGARVLVKPYREKLKLFDRKYFEKLEGPMCYHSHHIDMDPDLQARLDSTRLFGRQLVDEPEVAVQLEMMRLSQLQLAHGSSYLENTFNEQKPFEDQADNSKFQSPKLITHLLDHAGANYTDHDNENSQGLNLPDSPFACNKASSKQM >cds-PLY75441.1 pep primary_assembly:Lsat_Salinas_v7:7:72141268:72142006:1 gene:gene-LSAT_7X52380 transcript:rna-gnl|WGS:NBSK|LSAT_7X52380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFYVASSCFGFEDRGVLILAANSGLFANRAACGDRYRVTCTGRTNDGVLNPCTGRSVDVTVVDLCPGCAANQVDLSQEAFAVIANTDAGRINVEYNRMGINEVRMQYAKINSLMISLL >cds-PLY88372.1 pep primary_assembly:Lsat_Salinas_v7:5:62961033:62965331:1 gene:gene-LSAT_5X30220 transcript:rna-gnl|WGS:NBSK|LSAT_5X30220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERRGSPPKHRHDGTSPLPLGMDWSPPPKKWNGRDTIWPHDPRTGWSYCITIPTWVVLPKSRDSDPVVFYRVQVGLQSPEGVTTTRTVSRRFNDFLRLLAALRKAFPKKNLPPAPPKGLLRLKSRTLLEERRCSLEEWMTKLLSDIDISRSIVVASFLELESAARSSFQDENPQIGESSATVSSSPHIHPNESESTPDYNSDTAYDETSEIGTSRDNISEDLSLDEDLVGPLEKLVKHGVSNIDEGLLMGNAILDQVEGYSRNTNHSREINMKGSSSSSSEKNGDYVSEKFFNGNNEIIKESNDVKNLVLPLGQQQKMNRVVVSMKRRLGTAKTDMEDLISRLNQEIAVKDYLTTKVKDLEEELETTKVRSKENLHQAILMERERVTQMQWDMEELRRKSLEMEFKLNSQSQQDEKVEMKSVIEEKDELLQELEDTKSKLEQVSKKHQELEIKSKADVKILVKEVKSLRTSQAELKQQLNQSLIGKSEAEKLVQQEKERMEEEKAGRMKLLHECDVLQKRLKECSMNLVINGSSEDKLVICSPSVEDALQLVKISDDRMEALLAQAQMLAEDDSFDDGDNPTNNNNNKLRKILSEILVDNITLRRQVSLLFDGALKTGVITTTTTTKDMEVSLDKS >cds-PLY88150.1 pep primary_assembly:Lsat_Salinas_v7:5:220681460:220685760:1 gene:gene-LSAT_5X103081 transcript:rna-gnl|WGS:NBSK|LSAT_5X103081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKTISHRLKTSIFNLSIIVLILSISLFRFSKPPQNQTLTPEISPKSQEQEGTLARRNYIVRFIEYKKAEHHKEYLEHSTKEKLNGSSSWEWINRNNPASKFPTDFGVVAIDDEAASSIIGEFERLKMVKDVTVDSSYQLRNLLGGDKNEKHEKVGAFSDGRKRPGKIFTSMSFGDGGDNVAAAATSNQTIDWARHLLSQRSQVTSLFGADALWSKGYTGAKVKMAIFDTGIRSDHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGEDAECLGFAPDTEIYAFRVFTDAQVSYTSWFLDAFNYAIASNMDVLNLSIGGPDYLDLPFVEKVLELTASNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNDHIASFSSRGMSTWELPHGYGRVKPDVVAYGREIMGSKISTGCKPLSGTSVASPVVAGVVCLLVSVIPDSDRKQILNPASMKQALVEGAAKLSGPNMFEQGAGRVDLLESYEILKSYKPRASIFPSVLDYTDCPYSWPFCQQPLYADAMPVLFNTTILNGMGVIGYVKSQPVWNPDSEEGNLLSIHFTYSDVIWPWTGYLALHMQIKEEGSQFSGEISGNVTVKVYSPPATGEKSFRYSTCVLRLKLKVIPTPERSVRILWDQFHSIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFNMLRDAGFYIETLGSPLTCFDARNYGTLLLVDLEDEYFEEEIQKLRDDVVNSGLSLVVFADWYNVDTMVKMRFFDDNTRSWWTPVTGGANIPAMNDLLAPFGIAFGDRILNGDFTLNDELIRYASGTNIVKFPGNGYVHSFSFYDSSESGATHSFLASGIDNSDSAVLGLVEVGGSRIAVYGDSNCLDSSHMVTNCYWLLRKLLDFTGKNIKDPVLFSNSVKLKAPLHQDEDQLPSRRTDLNFSLYSGVVGKELTCRSDSRFDVWGTKGYGLQVRGRNRRLPGYKAIDLGRGLNSSSVDISMLKGGPKDNSSGNRFLGLLYRDDLETPVVFPSHWLVPAVIAVLGILVLINFCRIRQKRRRRRKGSGSSASGRYTNL >cds-PLY74913.1 pep primary_assembly:Lsat_Salinas_v7:3:130986054:130987443:1 gene:gene-LSAT_3X88661 transcript:rna-gnl|WGS:NBSK|LSAT_3X88661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSPFSVSSRLVSIITTVRASMDDDPQKLDQTKKRVVVCGGGVIGVCTAYFLSKKGAAVTLIEQSSIACAASGKAGGFLALDWCDGSPISSLARASFNLHRSLAEELNGGESYGYRPLNTLSLSVAESENPPPPQSRKSTIPPWIDGPAKSPKTIGTTETTAQVHPQLFTRTLIEKAVTDYGVEVVIGKVKSLETGEGKVRVVIEGGGRIDGDAVVLALGPWTSKFTLLSSVIRIHGVKAHSIVLEPKEANAITPDALFLTYFPANGGQPMDPEIYPRPTGEVYVCGMSSEEEIPDDPEEIQPNMDSIGVLKRVAEMVSSHLGGEARVKAEQACFLPSTDDGVPVIGEVPGMKGCFVATGHSCWGILNGPATGAAVAELVLDGCSTVVDLSRFSPGRFVSGRGRRR >cds-PLY70684.1 pep primary_assembly:Lsat_Salinas_v7:3:105555539:105556095:-1 gene:gene-LSAT_3X76280 transcript:rna-gnl|WGS:NBSK|LSAT_3X76280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNTIRSVSVHTKQPVGKVSTIIGSVRRSGSGPSINNQTNPTNTEKIQHSQEPTQKGDHVMLNSFGDGYSTRSDEEGFGGTFSGNQSLSGREQEKIVNANAPGVKGAKQEKRREDEINHNSNHQVL >cds-PLY84963.1 pep primary_assembly:Lsat_Salinas_v7:2:123681706:123687666:1 gene:gene-LSAT_2X57341 transcript:rna-gnl|WGS:NBSK|LSAT_2X57341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEISIQGKEMSFHYGEEVRVIGGEYEGAEGIFLKPMFEIWTEERKIIIVEPHFVDGYDNTPMTPPISETTTLTRSPPLASSMSTTGENIVNVESHFLAGNNTTIEASPAMSTPRAIYKSRRRHKYGRCGKYVHLEKTFKNLAPQGSDLSKTSTSKRGCRKNRKSRGTRAVLGSM >cds-PLY91716.1 pep primary_assembly:Lsat_Salinas_v7:7:26409058:26410247:1 gene:gene-LSAT_7X19341 transcript:rna-gnl|WGS:NBSK|LSAT_7X19341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPYGVHALGGVGERGCIPAIFDVTDVEQSSEVSQSMPGLVFSRENYAPLEPHMERHPLVPPFGGVINEALDIITYGSPDQREAPAKGLRPKALMSVQNVNIQSQALLNVKDSNKDERQRIVYCRFKFEELQLEQIKDLETLGTARILTAVGPVGQTNWGDSRGPMLIPSQVDGQWNDRFMLYTD >cds-PLY75658.1 pep primary_assembly:Lsat_Salinas_v7:1:100662570:100670989:1 gene:gene-LSAT_1X81840 transcript:rna-gnl|WGS:NBSK|LSAT_1X81840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASYFTKSKSSIMHNLKSKRFLMLGLINRTTSSSIGSSQFAHRLLHTISPPSLPAWSYASSSRINSSIVLTRCFASVAGGRRAHTATSVSDDVDQFSNEAPTREHLDFPGGKVKFTPKLTFTSKTIEERAHCYRVLNENGHIIPNSDSVQISKELAVKMYADMATLQVMDTIFYEAQRQGRISFYLTTIGEEAINIASAAALNINDFVFPQYREAGVLLWRGFTLQEFANQLFGNKYDYGKGRQMPIHYGSKKLNYITVSSTVGPQIPHAVGAAYSLKMDKKDACTVTYFGDGGTSTADFHAGLNFAAVMEAPVIFFCRNNGWAISTPISDQFRSDGIVVRGKAYGIPSIRVDGNDALAVYSAVHKARKMAIEEQTPVLIEAMTYRAGHHSTSDDSTKYRSVEEIEWWRGDQNPVKRFRKWIENEGWWNDEAESQHRSNIRKQLSQAIQAAEKVVKPPLGDLFSDVYDVIPKNLIDQEAFVRKSMDKHSQDYPSDVPV >cds-PLY90299.1 pep primary_assembly:Lsat_Salinas_v7:2:198096750:198098351:1 gene:gene-LSAT_2X119040 transcript:rna-gnl|WGS:NBSK|LSAT_2X119040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEARGVGYFVILCAILTAVNARIPGVYTGGKWESAHATFYGGNDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFELKCVNDPRWCHSGSPSIFITATNFCPPNFAQPSDNGGWCNPPRTHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKQGGIRFTINGFRYFNLVLVTNVAGAGDITRVWVKGSRTGWMSLSRNWGQNWQSNAVLVGQSLSFRVTSSDRRTSTSWNVVPANWKFGQTFTGKNFRV >cds-PLY96466.1 pep primary_assembly:Lsat_Salinas_v7:2:125081160:125083486:-1 gene:gene-LSAT_2X58480 transcript:rna-gnl|WGS:NBSK|LSAT_2X58480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGLRGESDEKKRGYGVSVFFFLSSKTLKRLRVFSSSSSLKAELGDLQHQFLHAFATKKSEGIARMAEVAPIVVEDGKSRSEVLLFNRWTYDDVQVPDLSVEDYITATASKHPIYMPHTAGRYQARRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIVKHAMEIIHLLTDANPIQIIVDAVINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNVKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >cds-PLY95997.1 pep primary_assembly:Lsat_Salinas_v7:9:42143377:42144264:-1 gene:gene-LSAT_9X37820 transcript:rna-gnl|WGS:NBSK|LSAT_9X37820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNKHTWITEEDAKLIEALLELHVSGKYGGADNGFKPGYLKAVQQLLDSHKGAACLCNKPFPQFDNLCKIFGNDRATGHGATDLGEDVTEETQRNSPVDVEGLEEIVEETQKTAHVNSKRKRPPTDDTESSYKEVAKEMKETFKEVGEKLNETIYNIRKHENKEACDMIDKVIEDIQSMPNINVKQRIKAIDMFSKDQFRA >cds-PLY70929.1 pep primary_assembly:Lsat_Salinas_v7:9:14952809:14954104:-1 gene:gene-LSAT_9X12461 transcript:rna-gnl|WGS:NBSK|LSAT_9X12461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLNTSIHDELDFEFLGNRPGKPITLQTNVFANSIGGREQKFTLWFDPATDFHYYKLLWNHHQIAFFVDDTPIRIYKNNINKGVGYPNNTMQVKVSLWDGSSWATDGGQTKANWTNGPIEAHFQDFKIDGCLSPINNPNKDCFGQDYWWNTKTYWQLDTKQQKAYDEVRKKYMNYDYCNDKGRYPTPPHECTR >cds-PLY66798.1 pep primary_assembly:Lsat_Salinas_v7:3:202563714:202567406:1 gene:gene-LSAT_3X119040 transcript:rna-gnl|WGS:NBSK|LSAT_3X119040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGSEDGTVRIWHSTTYRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTINIKSVGADHEVSDGERLPLAVKELGTCDLYPQSLKHNPNRRKYHPKNDGPKVSFFSDDEETTSTPKADALFIPRENPRALVIRPLKQWPGKSSAEKLKNASSPAQTNGDYTGFASSPPLNGRSTENGNRNHSENGTLKEQTPIKTPQKQNGGCDGGEMEDGFEFIVKNKGINTEVAYPYQATDGTCNTKEEAVHAATITGYEKVPANSESALLQAVANQPVSVAIDASGMGFQFYSGGVFTGDFGTDLDHGVTSLLNQMELYVVVYILAILGLDSLQGIMLDQGSCIDTCCTFCRKFFKLSPEESSKDPLYLLRDMDAMGYKRGHFVLIAKIIISALGYLMLGTFIYVLIVDGSTFNANVLSRCMIATTTDFYFCIVIVAVWIAYKESRWISAFFWILSLLSFWRESWYEKLQRWDDALKAYTAKSAQATSQRLILDATLGNSYCSVFIPNPKFFGWRKGSQELSEQGFNISLKEV >cds-PLY62385.1 pep primary_assembly:Lsat_Salinas_v7:7:107087460:107088355:1 gene:gene-LSAT_7X69680 transcript:rna-gnl|WGS:NBSK|LSAT_7X69680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATVDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLFSKEATGGEKQFSSQGHQGNFSKNEGSGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIQPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGGYQQHRHLRYHSVINKAPLKALHHLPLPLLP >cds-PLY75557.1 pep primary_assembly:Lsat_Salinas_v7:9:33578925:33581767:1 gene:gene-LSAT_9X29420 transcript:rna-gnl|WGS:NBSK|LSAT_9X29420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIVVTVPGFVSSVVLFSDLTFVVRLKIPEAITAIENHHRQPYDHQLNTTCSLLDSGCRWNLDFALNSHRRLAWSIPLPYGKEYPGLLYLGNGHDRCQTGYLRGTKSSWTVAYKSTSTITKKEKEEAK >cds-PLY82347.1 pep primary_assembly:Lsat_Salinas_v7:5:312397290:312399341:1 gene:gene-LSAT_5X171140 transcript:rna-gnl|WGS:NBSK|LSAT_5X171140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTDSFTVTTVCLLRLPTAVSLQTTSSKTTTLGDETRRLPLCAEGTWDGTKKEAHVDSDGDGADHRKVQRGRPSDGYQRHPGTESLKEVDIIDSSIQDPFPTLPGGVTGATINFTVPRHLMLSGAIMVISTGDEKGVLINFVFCFQDIGVGVLSVETIDKWTNYDAQQMDVVE >cds-PLY76610.1 pep primary_assembly:Lsat_Salinas_v7:5:223504280:223505201:1 gene:gene-LSAT_5X105841 transcript:rna-gnl|WGS:NBSK|LSAT_5X105841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQTICNLPPGYHQYKFIVDGEWRHDKHQPFVTGNYGVVNTILLAREPDFTPSALTPHTTSGSSMDADNEIRTHRLAVVEDSRIKQKIPDNQLTVDAKTLPLMITKNWMNLYVEKLKLLFIQMKLEGLYQLHKMK >cds-PLY80360.1 pep primary_assembly:Lsat_Salinas_v7:7:154940153:154940707:-1 gene:gene-LSAT_7X91921 transcript:rna-gnl|WGS:NBSK|LSAT_7X91921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVVLVACLWVLLFYSVNGTEKEVVRNNISSTDQGKKATRNGTVNSIVIDNKGKDNGGGGYGWGFGWGWGGGGGGGGGGGGGGGKGSGGGGGGGGGGGGGGWWRWGCRKHPRKRSGSHSRHHGEHREFTPIGENYYVTGEFAECMGGGRCRGMRLDCPLHCAGPCFYDCTYMCIPHCRNRRHHR >cds-PLY80022.1 pep primary_assembly:Lsat_Salinas_v7:9:46551049:46552052:1 gene:gene-LSAT_9X43120 transcript:rna-gnl|WGS:NBSK|LSAT_9X43120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKESWVTLGKALKEKFDMDTSQKQLKNAFDNLKAKYVGWKYLRNKSGNLYNAQTNSFALVNTEWEEFKKGHPKAGSLRTHPLPYPNLCASLFDGSSASGSIKWTSTQTTPADTSSSSHRVQRLLIDDNPFNGLEDDDDDDASNDTSARAPSDKAHGGSTERPDKRSKTTDASTERPNKRSKTTNASTERPDKRAKTSDASTDRTDRTDMDKASKTSVSLDDLSLDMQKALQHMVNSKEGPTVEECYERLKLVELDPMDPIFLAAFHLFVMSMNMREAWMTLPPIPGVLKGWIKMTGTTLGMFK >cds-PLY88536.1 pep primary_assembly:Lsat_Salinas_v7:7:134475946:134477499:1 gene:gene-LSAT_7X81160 transcript:rna-gnl|WGS:NBSK|LSAT_7X81160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNSHSHGGNLASSSSSTLRHVSVFTMTTASRRSKKRRKLRKQEVNIRAKFRATKESRPIISPKIKKYWLQRYSLFSRYDEGIKMDEEGWFSVTPEEIAVRHAQRSVGGGVVVDCFAGMGGNSIQFASLGYHVIAIDIDPRKVEMASNNAKIYGVDNYIDFIVGDFLQLAPFLKGNVAFLSPPWGGPSYKNINNFTLDLLKPVNGYTLFQVAQTITPNIIMFLPRNVDVCEVEQLSWLSSPPLNVEIEENYVHGFLKGKTAYFGNVAY >cds-PLY84092.1 pep primary_assembly:Lsat_Salinas_v7:6:187647696:187648514:-1 gene:gene-LSAT_6X114821 transcript:rna-gnl|WGS:NBSK|LSAT_6X114821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFFGRPTTEKIEFRWLEEDEDNPSTGVPDDECHLFDREEEPGARSLLDLDDLSTIFSKLNRSVSGPRHRGVIGDRGSVSISRESSSASVDLLEWLDQHISDTESSHGSRRWSSQSHLPSDPKPLYRASSYPTEKH >cds-PLY79020.1 pep primary_assembly:Lsat_Salinas_v7:3:8818170:8824989:1 gene:gene-LSAT_3X8441 transcript:rna-gnl|WGS:NBSK|LSAT_3X8441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESPLLQRYRRDRRKLLNFIVSSELITDIRKFPGSAIDFDVISADYVLECIKSGGGVFDVSKATTKHTDESMFPPMIQSQSGDSFFLVSAPESAGSPPRRVPPPVEINSARQHSSIPHVSSNDSEATTSGVNDPYMVPSSSKSAKNMDVPSLGLPVLRTGLSDDDLRESAYEVLLSCISFSGITIHSLENPKKDKGSKFLTGLKNRRDRRHMRSHSVENQFEHIDTVRAQMQISEAMDERIRQKLMQFSMRKPHVPLDIPQISIELLSGIQLNDFLVERSYTQWRKRQANVLEELFASVNYPEMQELGILLDKIRSPEEWNIIMTPADRTEVLLAIRQVASSLSSMRGSSHTSYWNAGYHLNIRLYEKLLFGVFDILDEGQLIEEHADYLKLIKLTWGTLGITQKMHDALYGWVLFQQFIETKEMVLLDQANLQVQKVLSANHIDENEEQCIDKVICTIDNNGVKTRCNLVQAVFCSINLWFDNRLQDYHLHFSEKPAFFRKLVSMGLAVATDNSAAIRVRVYVERSVEATCRRVEDTINLISKMEGKHPLAILASELKVIAEREVSVFSPILSQWCPDAGMVASVYLHRFYGERLKPFLEDVSFSVDAISVLSSAHRLEHYLIQSFKSKDEKNGAGSFSVDFYQINKISRPIILDWLISQHERILEWTGRAFHLEVWEPLSNQQKQAASVVEVFRIIEETVDQLFGLSLPMDISHLQALLSIIFHALDGYLLKLFSQLVEKNHLYPAAPPLTRYKDAMFPIVKKKVVESVFLDEEVEEKLKELTAVKLCVRLNTLQKQINLLEDGIKKSWTSTMGKTDSMLIDSESLDELFVATFDSIRDSVADAIRKICDLIGQGLKCKSIVLGTKIIFWDLRDSFLLRLYHGTVEGSRLENLLPHIDSVLNHVCGLIDDTLRDMVVASICRAALEGYIWILLDGGPSRAFSDSDIIMMEDDLNMLQDLFVADGEGLPRSLVEVESKLAHQILSLFSLDAESVIHMLMMASENLSTGFGSKIQGQRSLDDVDTLIRVLCHKKDGEASKFLKLQYHLPASSDYVETASYESTPKSPIPMGSEFLRSASVKWGEKGNSSFRLLKKRFQEVQGGSFRWS >cds-PLY95608.1 pep primary_assembly:Lsat_Salinas_v7:6:138162598:138164359:-1 gene:gene-LSAT_6X83420 transcript:rna-gnl|WGS:NBSK|LSAT_6X83420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDGWTEVRHRKKPGIHRNDSDITSYFVSNIPNGAKKEEFRRIFKSFGTLSDIYFGGRKGKNGKNFGFIRFENVTDKKLLESKLNGITCRNYKLEINIARHERTEKLQPPSGVSKWSRTHVPISVKVRGGFTDHLGHLSALLSIHCDMDVKVKYAGGMKAILEFGSSGSNEDEDGISDTWMDDIEDGEIVGDANMKSNGIRCCGIAGDEVSFDGPDVAAVPHQALTAVLESKKAFTHSNEAKTIPIPTDIGPIDDNEARGDKPKELDRGTVGLAIQDACKMDSLLLGSLRPITPTSFPSMLAQSGCFGPFPYNKQTPSPSYQTSHLQGINIGRSSKKRRFSQVLSPSRDLLQYPVQALFRDGDGNASTPDSHQNEMVPSSSLNLPLDANKSIQINEIEATTEIGAMLGFEIDANNELLAGIL >cds-PLY82825.1 pep primary_assembly:Lsat_Salinas_v7:1:85802895:85803332:-1 gene:gene-LSAT_1X72600 transcript:rna-gnl|WGS:NBSK|LSAT_1X72600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARIVMMVLCVVVTCMVVAEPYAQAITCYKVASDLWPCYGYLTNGGVVSSSCCSGVEALNNAANSAYARQTICSCLKSAYSADSGIKLSIAASLPSDCGVDVPYKISPTTKCSSYVKIYVYICILSISVSFCMSTHKTFFLHEHA >cds-PLY71196.1 pep primary_assembly:Lsat_Salinas_v7:2:132322033:132325567:1 gene:gene-LSAT_2X62580 transcript:rna-gnl|WGS:NBSK|LSAT_2X62580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGVYALTRERDTLRRGQNKKSNDAALLKEKDEIITQVLAEGEELSKKQAAQESQFKKLRAHIAVCDGGFSDLVVVGMVGMAVIGVAILYTTFYVWLGVDTTGSMKFSKEPGKQLEECKLKVVYIALQGNNNSGDAPKKTSNPNSAEKELQPWFANSVGCQLPFGAFLTRVL >cds-PLY82574.1 pep primary_assembly:Lsat_Salinas_v7:2:186329272:186329439:-1 gene:gene-LSAT_2X106500 transcript:rna-gnl|WGS:NBSK|LSAT_2X106500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFVIVISLPLILLFLIAAIGCYLFGRNRARKEAITPQYYGPPAPPYGVQVSSNK >cds-PLY81757.1 pep primary_assembly:Lsat_Salinas_v7:3:31141836:31148968:-1 gene:gene-LSAT_3X22060 transcript:rna-gnl|WGS:NBSK|LSAT_3X22060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTTDVELQLTQQLKEAGKRLLRPPTSDDELLHVLDQTDKLLSMVDQSPNDLMKEVLTPLQKCLVDRSLVDHSNVDVKVAVAACLSEITRVTAPEAPFDDQELKDVFRLIVSTFENLSDKSSRSYIKRASILETVCKVRSCVIMLDLECEELIVEMFEHFLKSIREHHPDTIFSSMVDIMSLIFEEIEEVSDNLLKPLLFSLKNDSEGVLPVARKLGEKILEKSRTILRPYLSKTLPDLGDSLDNYSPVLAAVCEGATVFEQNDESDLVQQQTDENKLAKERSEETPQACMHAIYFIFDLADESKITVVSSEETTQVVKETSERPAETVATPTRILKIVMSNGGRVTLTEKDSSPVKESSKKPEEAIEHQDSSLASKADINDSVAELANVEFDKEGNKIDATLESVQPSDGENKDERENDIQVSVPELESKPEETSNKEVNKTDVTMTSSETSASYGESKDEKADEKKDEKADEKNDEKADEKKDDENKDGKNDENKDEKADENKEGKNDENKDEKADEKTDEKNDEIQVSVPEVETEVVNGPSQSGSLLEEMNVEKVEESEVANAGLESQSGGLQYKIHIRKGPRSKKKSMIKDDTPLIDVIKKKKAESIFQKDKLPVPATTATPEDEKKADTVASGAKSGKKVGGGSVKRKRKMSGKKSGGGKSGAKKAKKPLIEKDDESDSFDKPLRQSSAGKEDETDSDSKPIKLPAIAKKGNKNTSSSAKNKDGKKNASSGKKKLEEKDQAKSLPEDDDNDAIGMDISPKLAVKTATEEGKSEESSKRKRSVGKNNSQSNKNVKYDDSLIGLKVKVWWPDDHKYYEGVIESFDSAKNKHKVSYVDGDVETLNLKKEKWEILQEFSARNEKKPAEVHSTDDDETLSKIITYKSKGKSDSTKSKPKSKSKSKSTGVDEKELDDDDAAAEKSTEGEKSGSKKRKKMGTIRGTSKQMVTTDNTTDNHSIEASPIPRMQDLEAQAEMPQVTTVVKNHNVIGTSIDHTDENKELEEFEAFKRYKAMKKWCKEKEKQKRKRDEKD >cds-PLY75993.1 pep primary_assembly:Lsat_Salinas_v7:1:42049531:42051083:-1 gene:gene-LSAT_1X35700 transcript:rna-gnl|WGS:NBSK|LSAT_1X35700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADGRSDSAKEEEDAKVRILIEKGKEGKKEMIDKDKEKSPTHDKETHGTSNDIGRDTPIEQVKGPGVLERAKEEIEAVVEAIHPKK >cds-PLY70542.1 pep primary_assembly:Lsat_Salinas_v7:1:74066983:74069242:1 gene:gene-LSAT_1X62940 transcript:rna-gnl|WGS:NBSK|LSAT_1X62940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLINLYKQKIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTSIGCRELPIPEHMDIFHLTREIEASDMSSLEAVMNCDEERLKLEEEAERLIGQDDGGGEALERVYERLDAMDASTAEKRAAEILNGLGFNKNMQQKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFERILVVVSHSQDFLNGVCTNIIHMQNKKLKMYTGNFDQYVQTRSELEENQMKQYKWEQDQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVTRDRVLVFRFTDVGQLPPPVLQFIDVSFGYTPENLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMTGELMPTDGMVRRHNHLMVAQFHQHLAEKLDLEMSALAYMMSEYPGNEEEKMRAAIGRFGLTGKAQVMAMRNLSDGQRSRVIFAWLAYRQPHMLLLDEPTNHLDIETIDSLADALNDWDGGLVLVSHDFRLINQVAREIWVCENQKVTKWEGDIMGFKEHLRSKAGLS >cds-PLY93185.1 pep primary_assembly:Lsat_Salinas_v7:5:199897988:199914765:-1 gene:gene-LSAT_5X89861 transcript:rna-gnl|WGS:NBSK|LSAT_5X89861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQGSQSHIQPCHFLYKSNNVILLGFFLIIMVVSLQVTESGYLVKTLPGFSDDLPFILETGYISVGEFDDVRFFYYFVESERSPENDPLILWVRGGPGCGVLSSIFFQIGPISINLANSTLENPMLELRSDSLTKVANILFLDEPAGSGFSYAKSPEAYMTNDTLTPILIHKFIRKWLVDHSKFVKNPLYVGGDSYSGIVVPMTIQKIYNSNEGGEEPHINIKGYILGNPVTEKNAEYNAKIKQAHRMALLSDKIYECVERINVYNMIEPVCDPLNTSRSELLRRDLRSLEKTSIDISSSDEVETQWDCNSMSAYTEAWANRKDVKEALHVSEDFTKIRWVVCNESLTYDYGNPVKTYKFNVMSTVAYHEEFSHRHLRALVYSGDHDLFVPHFSTLKWIKSINLLLVEDWRPWYVDKQIAGYTMKYSNHDYRLTFATVKGGSHTAPENRPKECLNMFMKWITNVDL >cds-PLY62994.1 pep primary_assembly:Lsat_Salinas_v7:5:28192275:28195443:1 gene:gene-LSAT_5X13881 transcript:rna-gnl|WGS:NBSK|LSAT_5X13881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSKRSVSGRYASYGSTSNSWAYPQPSYPQPPVSQPYASPSPYQSYPNQAPAVKKKLERKYSRIDDNYNNLEQVTDALARAGLESSNLIVGVDFTKSNEWTGARSFHRRCLHHIGNEMNPYEEAISIIGRTLASFDEDNLIPCFGFGDASTHDQEVFSFLPDDKFCDGFEEVLRRYRELVPQLRLAGPTSFAPIIEMAITIVEQSGGQYHVLLIIADGQVTRSVDTERGQLSQQERKTVEAIVKASEYPLSIILVGVGDGPWDMMREFDDNIPARSFDNFQAKIMSKNIDRSRKEAEFALAALMEIPAQYKATLELNILGGRRGKAIDRIALPPPCYGSVSSRASSKPSGPTTFQPSSHSFQAKSTPVNKSYAGGSSSDNQLCPICISNPKDMAFGCGHQTCCECGKDLLLCPICRDQIDTRIKLY >cds-PLY70459.1 pep primary_assembly:Lsat_Salinas_v7:1:72700349:72700645:1 gene:gene-LSAT_1X64921 transcript:rna-gnl|WGS:NBSK|LSAT_1X64921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAIGLVAFAIFLETLNIKLTRSSSKAQVIDSSKPLPPQATFRGPYINIGSRDVGPDYQTYSKK >cds-PLY89763.1 pep primary_assembly:Lsat_Salinas_v7:1:59259675:59261580:-1 gene:gene-LSAT_1X50121 transcript:rna-gnl|WGS:NBSK|LSAT_1X50121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSSLKSWAQQTEEGYQLQLALALRLSSEATCADDPNLLDHDETASVSSAEALSHRFWANGCLSYFDTVPDGFYFIHGMDPYVWSVCSNPQESGRIPSLESLKVVNSATESAFEAIVIDRIGDPSLMQLQNQTHSIYSNSLTTTEVVEQLAKLVCNQMGGVASAGENDLLPLWKTYSDDLKKHLGSIALPIGRLSIGLCRHRAILFKVLAETIGLPCRIAKGCKYCRKDDASSCLVRMGNDKEVLVDLIGNPGCLYEPDSLINGPSSISIFSPLRFPRSTHIDSTVDFESIAKHYFQDSDSLNLVFEDPPTGDGDVGDAVHPQQSDRHYVDINMSGLVNNNGFNPTRMVENAPLQHTLNADVFDIPWTDMVLKEKIGAGSFGTVHRAEWNGEDVAVKTLFLEQDFHPGKFNEFWREASCNNETPAASKYCSFYGCCH >cds-PLY65244.1 pep primary_assembly:Lsat_Salinas_v7:3:218899434:218901378:-1 gene:gene-LSAT_3X127220 transcript:rna-gnl|WGS:NBSK|LSAT_3X127220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRVHDSQKLPLSRPMPDNILKLPNSHFNLVIEDMNHIKPQTFQDSNRWKEKNSLHDDEEDLMVDINMLKEPDDSYDEIGSTSFSGASHPPEPIDTDLMRPVCVPINQNKPMKLGGPFLEDLSLRENLTPTPTPTPSSPFSISRPSQNPENSPISDEKECVWDASLPPSGNVSPHSSIDSTGVVTSMSTSTYRMSDGMLSIERNFGNTKTTLRGECLESGKTSISRASDSSGLSDDSNWSNFTGSTNKPHKGNDPRWKAILAIRSRDGLLGMSHFRLLRLLGCGDIGSVYQSELSGTRCYFAMKVMDKASLASRKKLSRAQTERDILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLGLEYLHMLGVVYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLVKSSSLDSDPSKRPANPFCVQPACIEPTSVCIQPACFLPRFFPQKNKKRTPKPRSDPTPLFGHLPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGRTPFKGAGNRATLFNVVGQQLRFPDSPATSXXXX >cds-PLY99576.1 pep primary_assembly:Lsat_Salinas_v7:7:189387498:189389555:1 gene:gene-LSAT_7X112100 transcript:rna-gnl|WGS:NBSK|LSAT_7X112100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METLAPSIQTLISANFTSRSVSATGFSLPCLKSPVLCQPRRLTLIKASVAVEQQTQKKVALIRIGTRGSPLALAQAYETRDKLIATSSELAEEGAIEIVVIKTTGDKILSQPLADIGGKGLFTKEIDEALLNSEIDIAVHSMKDVPTYLPDKTILPCNLPREDVRDAFISLNASSLADLPSGSVVGTASLRRKSQLLHRYPSLSVLENFRGNVQTRLKKLNDGVVQATLLALAGLKRLSMTEHVSSILSIDDMLPAVAQGAIGIACRSDDDIMANYIAKLNHEETRLAVACERAFLLTLDGSCRTPIAGYACRDEDGNCLFRGLVASPDGTKVLETSRKGAYAYEDMMLMGKDAGEELLSRAGPGFFDS >cds-PLY66432.1 pep primary_assembly:Lsat_Salinas_v7:6:152649955:152651052:-1 gene:gene-LSAT_6X92061 transcript:rna-gnl|WGS:NBSK|LSAT_6X92061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCMAFESPIFESPGFSLSFLQETIQYLASNNGLVLCCADLCPPVVYFVCNPLTKQWITLPPPPTDVKSSYIGFTCNPQYSCNDNQEQRMTTSFKVVRIQVALRDTLSLEIFCSESGKWTNENYMLSSTSVNEFFYRCNFGYPCSAVVCGGLLHWDNLSKTEIFTYDPYRGICGSIKYPPGITESSSDYCLGESGGRLRYADYSKSSKTYRVWQLKDCSNDGGEWFLLHKVNILGMKSTVQTAYNDLISLLPPHPLDQDIVFFWCLCSLRILEYNMRTKLLKLPCFPQDAKVKYLMIPVVFPFVLPCWPTTVPIIQQHGES >cds-PLY80843.1 pep primary_assembly:Lsat_Salinas_v7:6:111304223:111306637:1 gene:gene-LSAT_6X67781 transcript:rna-gnl|WGS:NBSK|LSAT_6X67781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMSLNQIGALSGTPISTDSGHTAGGESTTAAVSASAVWRSQPANIRVSVQKQGAEMDRLSPSPPMSPVRGGMRADLSVACQALMEAPTEEVVVREHRSGGVGGKGKGVPVYVMMPLDSVTMGNGVNRRKAMNASLQALKSAGVEGIMMDVWWGLVEREAPGEYNWGGYAELLEMAKKHGLKVQAVMSFHQCGGNVGDSCTIPLPKWVLEEINNDPDLAYTDQWGRRNNEYLSLGCDTIPCLKGRTPIQCYSDYMRAFKDKFSHLLGDTIVEIQVGMGPAGELRYPSYPEKDGVWRFPGIGAFQCYDKYMLSSLQAAAESYGKPEWGSTGPTDAGEYNNWPEDTNFFKKESGGWNSEYGDFFLSWYSQMLLDHGERIVSSATSIFKNLGVKISVKVAGIHWHYGTRSHAPELTAGYYNTRFRDGYLPIAKMLGRHGAVFNFTCIEMRDHEQPQDAQCSPEKLVQQVAMATREAGVELAGENALPRYDEFAHEQILNAASLSENDEMCAFTYLRMNPDLFHPENWRKFVGFVKKMKEGRDVDKCREEVEREAEHFVHMTEPLVQEAAVALMH >cds-PLY61657.1 pep primary_assembly:Lsat_Salinas_v7:2:207892808:207894635:1 gene:gene-LSAT_2X128620 transcript:rna-gnl|WGS:NBSK|LSAT_2X128620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADAEIQSRYVKLNKDQAPVDINPGELNQPIEVPQMNVRKCNECGQALPESFEPPAVEPWSTGIFGCAEDTESCWTGLFCPCVLFGRNYEKLREDMPWTTPCVCHAVFVEGGMALAAATAAFHGIEPQTSVLICEGLLFTWWVCGIYTGIVRQTLQKKYHLKNSPCDPCLVHCCMHWCALCQEHREMKGRLSDNAIMPMTIVNAPPVQVMDTDGEKKESVNGHDRRAHLEMQAL >cds-PLY61972.1 pep primary_assembly:Lsat_Salinas_v7:5:160147883:160150350:1 gene:gene-LSAT_5X69900 transcript:rna-gnl|WGS:NBSK|LSAT_5X69900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYSIKIDKRLPFIGHINGATLLEIQQLEISLGGFGRQFRDEHGDVDTRDETEGEEQQMLSFKRDFGDEEAYVAVIEHSYGVILTEKSTMEVALKDGLEKFPDSVVLNEWMEKMIELFKEVQEGASNKKVHEPECCNELNMNDVGDGGEANSSPVPGLILTEVYRRKPLMSGISGDNVVGRNIREAVDNAAEDDDNDKREKNIPKMEKKIFHSPYIERIVKVGDTLTKDETGICNSVFASNRDDGDEIWDIGIGHLLHQGFAYQFNQGMFLHSRVIDFWAAFLNKMENYKDESSLSRFFFNTTIVVFFPIVDDGKYYLLIFDLRSSLYYIVDHVKRTGTLERNYGMIPNLGVGRVMNIYWQLEKSGTECGIYLMRHMESYMGENEGRWECGLTGCVDALFNLWDDDVVPCEKQFSLNGK >cds-PLY64908.1 pep primary_assembly:Lsat_Salinas_v7:8:133174443:133175001:-1 gene:gene-LSAT_8X92441 transcript:rna-gnl|WGS:NBSK|LSAT_8X92441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMARRGGKTKVSGSRNKTPKRTPNGKKHKSNAKEDVSLTCDEDFVDLFTHAPNGKQGMSQATKDVQEQEHDDNEVKVYGEVEEQHIEEKEVEEGVEDREVEDREVEEEEEVEGIQVGQEEVEGIVVEEEGV >cds-PLY91039.1 pep primary_assembly:Lsat_Salinas_v7:1:202895497:202896794:-1 gene:gene-LSAT_1X124060 transcript:rna-gnl|WGS:NBSK|LSAT_1X124060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTLILSISLFVFLPILPLTTCNSIHDLLRSRGLPAGLFPQNVKSYDLDQNDYLQVYLEEPCEAKFENRVFFESVVKANLSYGGLIGLEGLSQEELFLWLPVKGIKVIDPLSGLILFDIGLAFKQLSLSLFEEPPICVPKVGQLESYGRKELGYQNL >cds-PLY75530.1 pep primary_assembly:Lsat_Salinas_v7:9:32203380:32204533:1 gene:gene-LSAT_9X29100 transcript:rna-gnl|WGS:NBSK|LSAT_9X29100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDFMHDDNQFNHPNARRRICNVCERPATVCLCDSIPAEPFSTSTQIIILQHPHERRHPLATVPVLNKCLRSCQTLIGRRLRRGGSALLDSLHDAATEENPKQCLHVAFLFPGTDLTPSMEINQWKSSFGDDDSNKFVLIAFDGTWKHAKEMVCSSFPFLSKFATQVCLNYDVNVDGGTIYNSELILRKEPFGGCMSTMEAVARCLRVLEPNGVDIESKLISVLRTMVSFQASFLKPLKPRPKLLKTRKDDEKKIS >cds-PLY88024.1 pep primary_assembly:Lsat_Salinas_v7:4:178536069:178537419:-1 gene:gene-LSAT_4X105880 transcript:rna-gnl|WGS:NBSK|LSAT_4X105880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLHTLNNSVGIIGGVSSNATLNFIKKLVNMSNECKKDENGLPFVLCSDPILNKSLQVNDQTQIVKILRQKRVFLEKSGARCIVMPCHISHSWYDHVSKGSSVPILHMGECVAKQLKEAKLKPLEAGSPLRIGVLATNETLKAGFYQEKLQKEGFEVVVPDKATMEHTIIPSMEAIRRKDIEGARNLLRIALQVLLVRAVNMIILASDDLREILPHDDLLLKKCVDPLDSLARSTIKIAQSATEGS >cds-PLY83364.1 pep primary_assembly:Lsat_Salinas_v7:5:94701212:94702234:-1 gene:gene-LSAT_5X43220 transcript:rna-gnl|WGS:NBSK|LSAT_5X43220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSYVVAFNIPEGGYEVECATGNCFSIQKDHETALKNFQRAVQLNSRFAYAHTLCGHEYVALEDLENGIKSYQNALQIDGRHYNAWYGLAVIYLRKEKYEFFEHHFRKAHQINPRSSVIMSYLGTSLHALKKREALEIMENAIRADKKNPLPMY >cds-PLY96824.1 pep primary_assembly:Lsat_Salinas_v7:2:171726265:171738614:-1 gene:gene-LSAT_2X93321 transcript:rna-gnl|WGS:NBSK|LSAT_2X93321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAFWKTCDKVNSRTRYRLKLKATLGMGRGKVELKRIEDKSSRQVSFSKRRNGLMKKSHELAVLCDVDVALFIFSGRGRLYEFSTGESMSKILSSYQSYKKTEEITRMTLQEKLASEYGDACTVDELTHIVQRHLEENNIKHLDIAGFNQLERHLSNFLRLVRIRKTQLMMGVVKDLQEKETQLKKENSIIMKEIEAARMNENEMDEDSGDAAAEAAATPPYTDFQVTW >cds-PLY62565.1 pep primary_assembly:Lsat_Salinas_v7:9:74191544:74193475:1 gene:gene-LSAT_9X62080 transcript:rna-gnl|WGS:NBSK|LSAT_9X62080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAVAVVELISLKKLVLKSVNVSNAIFEELLVNSPQLEMLCIDHSAYLTHVEVGGEALNLKHLEITNCCEVESIYLYEFNLVPFTYNGQAIDLHLTNLPMLKELDIGQGLAGLKANVFGKISSYFSYIQALSFKIRQPKKSLILASIPELPNVKNLRLTIGTHEDDSLLEVASLANSCPSLEAFLIKLIWISPIKRRRDVRRGVTCPHEHLKLLEIQGYYGRGSDLELVVYFIDNAMVLKEILIDPRCQARKGTSTSMRFSNMNKNAAQCSAKRQLQSMTPQGVKLVIL >cds-PLY93865.1 pep primary_assembly:Lsat_Salinas_v7:5:332434942:332437283:-1 gene:gene-LSAT_5X185781 transcript:rna-gnl|WGS:NBSK|LSAT_5X185781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRFKNGILAAEILFLLEILLSGIDAVVSAVSSSCMTAYDDGGALAVLQSFECAAQWGFMVESLKNSTKNCEFASLQGHRKYQEDRVTCNLGIQIPLIGKDARNAAKVDLLAIFDGHGGTEASETAKQNLLDYFLVHVIAGAFKKSSTLYDNQHDLVKGSHGSLLEMEDKSLHDMLKEALLGAIRDIDLKFSLEAIQKGYSAGSTASIVVLLNDEELMIANVGDSKVILCSGYAEELTSDHHPDRDDERARIEVAGGFVLDWDVPRVNGVLAVSRAIGDVQLKRYGVIAEPETVGWRNMSDKDGYLVVASDGVFESLTPQSVCQLIGDAKVQENGAPYDKFPFLPSLSLAYRVVKTALQRGSTDNLSAIVVPLAQAGGGILVHKDEL >cds-PLY72820.1 pep primary_assembly:Lsat_Salinas_v7:6:22570289:22574220:1 gene:gene-LSAT_6X17700 transcript:rna-gnl|WGS:NBSK|LSAT_6X17700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATR interacting protein [Source:Projected from Arabidopsis thaliana (AT5G45610) UniProtKB/TrEMBL;Acc:C8KI33] MAGEHDFEEWDEEFLKEAIQSTEAAVCSSSLNPIQPPPKLFLPPPPPHYAPHISYSPPRELSQRVKEDNYRNIPVMGFDWPVSLNGINRGLDTAPSFPHSSRLHDEDKCSKQLEINHLKVSSPRELSQRVKEDNHRNFPVKGFDCPVSMNGINRGLNSAPPFPHSSLLHDEDKCSKQQEINRLKEELGRVSKVLTNLEQECLELRKDREKNEKHLRSVLPVNGSKDPEALCTNKSNLKNKDPFEDHTIIHPGTRGVIPCKAVGVQTDDQELMIKKSLSVTNHSRKLADIWEPGNDQQPRNNLVSKLFVACGADLQVLFGCLGLKIPSKKTTTKMESFNHDLLDMAPNHRIQSVEAAKVSHLYLTLTKISYDIGRLDDLLEALVTLCHVQHKTIVHRSLCVLHVVLKHILVMENKGCSRDNVIVNEQHSEVRHLFPDNPHHPVLSSLINAKKVGDSSSFKWFSYYQTMHQIIMTHSEEVIRVEAVSIMNILLLRTDAYKERKMYGEVPVFETISQLLRKEGGVAVQKQTVDLLYLLLNCPSLMLMFCSSCKEEGTSSDIPTTSTETAPVFKGTGAILEGLADCLASRGNGAPKTLVLKLQRNAIIVVAFLASSGRRGFEFLLGRDPCSRRSNFLFLILQILASEIDVEEASSSSSKACDDFRERTLVIREALILLNRVASNPQYSTPVLRLLTNRRGDMACLTIDIATRLSRKPLSSLWHHQKSLDTISKQMRESEIPDLARIFKRRVFSFLGDPLPSASASTSH >cds-PLY69151.1 pep primary_assembly:Lsat_Salinas_v7:5:284767043:284769462:-1 gene:gene-LSAT_5X150900 transcript:rna-gnl|WGS:NBSK|LSAT_5X150900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSFYFYSLPTCIFSLCVLVGTATGQLSANFYASSCPNFSSIISSAVNSAVSNEARMGASLLRLHFHDCFVNGCDASVLLDDTANFTGEKTAIPNNNSLRGFDVIDTIKSQLESSCPGVVSCADIVAKAALDSVVALGGPSWNLVFGRRDSTTASLSAANSNIPAPTLNLSGLITSFSNQGFTSNEMVALSGSHTIGQARCTIFRARLYNENNINSSFATSLRANCPSSGGDNNLSPLDVASPTSFDNAYFNNLLNQNGLLHSDQQLFNGGSTDAQVRTYNSNSATFLTDFANGMLKMSNLNPLTGSSGEVRTNCRRTN >cds-PLY87919.1 pep primary_assembly:Lsat_Salinas_v7:4:34252194:34253076:1 gene:gene-LSAT_4X22460 transcript:rna-gnl|WGS:NBSK|LSAT_4X22460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTWKTSLLLKKRKNGPQLGFKNLDNTCYLNIVLQCLTYTPPLANFYLQLQHSENCEFLAQQDKKSGCPFCLLEKRTVRSLSIDSTLDTPGHGIINIISSVAVIRLFLLLIRSIKVGSDDKLRFDPRATNRIAGCLQLMMTIA >cds-PLY84120.1 pep primary_assembly:Lsat_Salinas_v7:6:186427803:186432858:1 gene:gene-LSAT_6X113460 transcript:rna-gnl|WGS:NBSK|LSAT_6X113460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFFTGLFFGVAFGLGFIVCIARFQIIRSARRADLAGIIAAFAKMTVADSRKLLPGEYYPPWVVFARRQKLNWLNLIMNKIWPYVDEAASDLIRSSVEPILEQYKPIILSSLKFSKLTLGTVAPQFTGVSVEEGDPGEITMEMEVQWDGNPNVILDIVTRVGVALPIQVKNIAFTGLFRLIFKPLVDEFPCFGAVLFSLREKKQLDFTLKVIGGDLSALPGVSDAIEETIKDAIEDSVTWPVRIVIPILAGDYSDLELKPCGILEVKLIEAKELTNKDIIGKSDPYATLFVRPLRAKMKNSKTINNQLNPIWNEHFEFTVEDPNTQHFTIRVFDDEGVQASELIGCAQVPIKDLEPGKVKDIWIKLVKDLEIQRDNKKRGQVHLELLYCPFGTDAGFQSAYNPDFRLTDLEKALKSGISDTDVDPAKLAAQKKKEVIVRGVLSVTVMSAQDLPAVDLMGKSDPYVVLLMKKTEQKLKTRVINNTLNPVWNQTFDFVVEDGLRDLLMCEVWDHDTFGKDKMGKCIMTLTRVILEGEYTETFDLEGSKAGKLTLHLKWTPQTIIRDP >cds-PLY95527.1 pep primary_assembly:Lsat_Salinas_v7:2:1453079:1458795:1 gene:gene-LSAT_2X421 transcript:rna-gnl|WGS:NBSK|LSAT_2X421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARRHGWELPAHTFQVVAITVYFLLSVAFYAFFSPFLGKDIYEHVAIGVYSFLALSVFVLYVRCTAIDPADPGILIEPGRVSPNRSQNGTEAHGHASSVGEASKSGFQNEGIYDNNSPSCCSKVLGVLCGCIVKEDCRNDEEMQQGGEEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFICLMAMGLVWLTFDCGVGIAVLVRCFVNKGSTEDQIANRLGDGFSRAPFATVVAICTVVAFLATIPLGELFFFHIILIRKGITTYEYVVAMRTQSEPAGPSIDGMDQQSLQSSPTSSAVTAMSGRSSLGLGLQYKGAWCTPPRIFMDHQDEVIPHLEPGRLPSTVDPDAVDNKGKKPPQRPVRISAWKLAKLDSTEAMKAGAKARASSSVLRPLGTTKNTQFDPDHLSSSNVSIKSSPTSTHNQFHASKSSYPPSRASRDDTEISNLSSPTPNRDHFNPMYQSSGNQSPWSNEPGPVRMPVQASAPIPVRIPVQASAPALAPVSRRNAVVNDGTRSSSSVYWDQEAGRFVSAATTRAVGGGGGLVQGSGTELTYTGQSIFFGGPLVGPSGGGGGGLQRETTSTATIAGNTSSYYQQGRSQRGGQLPVFVPSDTSQQRLHRDI >cds-PLY63130.1 pep primary_assembly:Lsat_Salinas_v7:4:65738520:65739526:1 gene:gene-LSAT_4X44241 transcript:rna-gnl|WGS:NBSK|LSAT_4X44241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDVERVCCMCGDVGFPDKIFRCTNCHNRFQHSYCSNYYNESSDPPELCDWCQIDEIRSSKHGGSSKKPAAISSGRSEYSGDKIKQQDREEGSEKGKSPTTGAPSPRTGTRRYKLLKDVMC >cds-PLY98231.1 pep primary_assembly:Lsat_Salinas_v7:7:167199968:167203448:-1 gene:gene-LSAT_7X99441 transcript:rna-gnl|WGS:NBSK|LSAT_7X99441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREEGISSSGDPFLSPNSPHPPLPPPPTAAASSAGASSPAVAINGGSTDWLGHGQGSKAGSLSRISSQPMWISLSTSAHGSALGSSQPSCRPWERGDLLRRLSTFRPANWFGKPKTASSLACARRGWVNVDVDKIQCESCGANLKYNAPDSISTPCDEDFSNQLDEGHNVTCPWRGNSCSESLVQFPPTPPSALVGGYKDRCDGLLQFPFLPLVATSALDQMKVSRGPEIDRFLLQSDMENTKDVLCIYSRAQKLISLCGWEPRWLPNVQDCEEHSAQSAKNGASFNPNKHHNPNKKTISEDSSKKETRSPLLDCSLCGATVRILDFIHVNRPSRFAPNNIDVPEASKKIVITRGISAASGISGWVEAEQQTEDIDEAATTGMDLNLTMGSGLSQKRPLSDLYQDPNLGQAAGSEVGGRAASYESRGPNKRNVDEGGSTVDRPQGIIPHTDSIEGVVIDRDADEVNDSKRARGSGSYKRDYSSGAGPSRVSYFDIDRNALPPSNKDSARASSVIAMDTFCHSDDDNDSMESVENHPGYVGGDEVNYPSVSGVKSPDNQGQNSTNDEEVLNHGKDGFTLAISGGGSVGMGASHEAEIQGSDGLIHRSGSVVGDIEVVTGVTENQGQTGNFVTESQEDSDVNLSHPSLSCNAVVCSGFEASRDEVMQVGKSSPNDEFGFPNYPPANGLGPPNGESNFEEAVEFDPIKHHNFFCPWVNGNVAAAGVEVGVGPTSTSSGAGGGCGWQLTLDALDGFQGPEPNQTLESESAASLYKDDHQVSGRKLKARHSFNKSHGH >cds-PLY78118.1 pep primary_assembly:Lsat_Salinas_v7:2:63090825:63100766:1 gene:gene-LSAT_2X29420 transcript:rna-gnl|WGS:NBSK|LSAT_2X29420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSRTNAISRITNLRRHFNISKQGSKGGNEGNSYENTSSGSIKISQDVSTALSNGKAVVALESTIITHGMPYPQNFETAKEVEAIVRENGAVPATIAILDGIPCIGLTTEELERLAKLGTKARKISRRDIAYVVATRGSGGTTVSATMIFASMVGISVFVTGGIGGVHRNGENTLDISSDLTELGRTPICVVSAGVKSILDIPKTLEYLETQGVCVAAYKTDDFPAFYSEKSGCKAPCQVDTPEECARLIGKRLDLRTGILIGIPIPKQHSVTGNVIDSAIQTALREAR >cds-PLY92635.1 pep primary_assembly:Lsat_Salinas_v7:2:159882526:159883736:1 gene:gene-LSAT_2X83120 transcript:rna-gnl|WGS:NBSK|LSAT_2X83120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDSCILRPCLQWIDTPEAQGHATVFVAKFFGRAGLMSFISAVPETQRPSLFQSLLFEAAGRTVNPVNGAVGLLWTGNWHLCQASVDTVLRGGTLRPIPELSDGFPAMPKLGDSSEIDVTRFDLLEPQDPVTHPRSKVQKRRRAGESPSNMTQSHDLELNINQSFTAVKGFPEKRRQGSPSMNSEDSVTTTCLGGAGGLGEYSFTQGGREVHLLNLFK >cds-PLY79544.1 pep primary_assembly:Lsat_Salinas_v7:1:33750278:33757264:1 gene:gene-LSAT_1X32961 transcript:rna-gnl|WGS:NBSK|LSAT_1X32961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERRLNGCWNDPSSDGGRNGTNDNNSLYSAFDGPTLAVRDLTDSGLWRCSAHQVARDQRNKGRPVMTAGARKMGGDGCLSFLREVQKSEVEPKPVADGKEESKEPSLPPPIVLRVFMHCEGCASKLRRCLKGFEGVEDVKTDCKTQTVVVKGEKADPLKVLERIQKKNHRQVELLSPVPKAPADQPKKVDDKEASKPEEKKEEAPPQVITVVLKVHMHCEACAQEIRKRIMKMKGVESAEADLKSSEVAVKGAFEPQQLVEYVTKKVGKQTVIVIVKQDPKPNIPEDDKGKDVKVKKKESGADKKKEEKKPEEAGGEVAAQPKEAASGGEETKVVDLRKIEFNCHQTNLPRYVVESVYGYPAAPQLFSDENPNACSVM >cds-PLY65312.1 pep primary_assembly:Lsat_Salinas_v7:8:104562258:104563680:-1 gene:gene-LSAT_8X70741 transcript:rna-gnl|WGS:NBSK|LSAT_8X70741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAINDPEHREEEEAAAEDEDTGAQVAPIVRLEAVEVINGEEDEDAILDLKSKLYRFDKDGNQWKERGAGTVKLLKHKETGKVRLVMRQSKTLKICANHLVLPTTSVQEHAGNDKSCVWHAADFSDGELKDELFCIRFGSVDNCKKFMETVEEVAESQHPEKEENKDASDAAGLLENLTVKDKTEEEGKEVKEASADAPKKEEKEPEKAAESEDKKKEESSPASST >cds-PLY94049.1 pep primary_assembly:Lsat_Salinas_v7:3:231185201:231186695:1 gene:gene-LSAT_3X130181 transcript:rna-gnl|WGS:NBSK|LSAT_3X130181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLCVSVSILLFLPPKKQRLGWGEGLAKYEKKKVDPEDILDKEVAARNGMVDGVTGSEPLLTSPSSLTDKSPSVNGLEAAYAQAFGKSVSLSKQQLVDCARDFNNFGCNGGLSSQAYEYIKYNGGLYTEESYPCTRKDGVCKYISENVAVKVIDSVNITMGSEDELKHAVGVVRPVSVAFQVINGFHQYTGGVFTCDVCGNDPMVREIHVYLIFLIAFVLIVFMMRRFMIIDIKCNKISNMNDHGFSCSLVIHPFVSMSATE >cds-PLY63504.1 pep primary_assembly:Lsat_Salinas_v7:9:137387660:137389547:1 gene:gene-LSAT_9X88241 transcript:rna-gnl|WGS:NBSK|LSAT_9X88241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDASSVTDEPEVQSEQEKLKHLEFVEVALVQAILYASKAYDFAKDKTGPLKPGVETLETHVKTVVGPAYEKLQDYPVVALKFVDRKVDESVTQIDGVMPPYVKGLTTTTKGLLVKVDPVAEGYASSAWKTLNYLPFVTTVAKAIAPSATLITEKYNQTAQQTSSFLPLVPTEKISRVFAIPSDTKPEEPVEEVPRGEEEVAEEVVAAGEEVAEPAAAGDEEVVEA >cds-PLY85780.1 pep primary_assembly:Lsat_Salinas_v7:3:221020349:221020660:1 gene:gene-LSAT_3X128320 transcript:rna-gnl|WGS:NBSK|LSAT_3X128320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMPKPYGVMVLLVVEILMVKVNVGMAVDCNIIKLLPCYQFIKDPTLPAPSPDSECCNNLQMEEPCLCDFAKNPIVGPYLDNPAIKKVADACSVTIPDPKTCH >cds-PLY78906.1 pep primary_assembly:Lsat_Salinas_v7:8:2552035:2552612:-1 gene:gene-LSAT_8X461 transcript:rna-gnl|WGS:NBSK|LSAT_8X461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDVMSDGDGLDMADMDYITQQITELRKSGYTDVDIMRCLGITKAHLEEFGYVKEMDRVMKKKEDMDKEMEWKEVEMDKKEMDKKEKEMDRVMKKKEDMDKEMEWKEVEMDKKEKEMDRVMKKKEDMDKEMEWKEVEMHKKEMDKKEKEMDKKKMKKVFQ >cds-PLY88992.1 pep primary_assembly:Lsat_Salinas_v7:8:242106264:242106650:1 gene:gene-LSAT_8X144480 transcript:rna-gnl|WGS:NBSK|LSAT_8X144480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNDDNHSDDNEVDFGVNEEEENFQDPFEGFIDPILALSLIDDDSDLDEEHVNDSMTKQDYKALSRKMNMLVRHTEVFSTSMFEHLLHIHESSVKSLLSESKRVFEEQGMLVKTTNEKLEATLKEMKE >cds-PLY91948.1 pep primary_assembly:Lsat_Salinas_v7:8:196413540:196417249:-1 gene:gene-LSAT_8X126280 transcript:rna-gnl|WGS:NBSK|LSAT_8X126280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLMRLPSSLVSSVKRFTRLNSIRNSNYQRDVPKGHLAVYVGENQKKRFVVPVSYLEQPLFQDLLRQSEEEFGFDHPMGGLTISCEEDQFFELTAQLILASFEYMAMEDLHSPYDDVSLRMRPGTTEQSSKEHWRPPSRQISPSTSRQATEVCAHMHSLCAHRHSLRASEPAHPLFLNGHYTLVIRGNASRRKM >cds-PLY83782.1 pep primary_assembly:Lsat_Salinas_v7:4:40802680:40802976:-1 gene:gene-LSAT_4X28440 transcript:rna-gnl|WGS:NBSK|LSAT_4X28440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDRAKALEVLKFKHTKGESGISFPVLNLDSISGIPGDKESRGDGEGLDGLKIDSSEDDNDNRFAADIGNETLQSVAQKCPKSSLDHQQRARKQSRWT >cds-PLY97047.1 pep primary_assembly:Lsat_Salinas_v7:4:350854674:350856688:1 gene:gene-LSAT_4X172940 transcript:rna-gnl|WGS:NBSK|LSAT_4X172940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSYPLKDDLDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSRTIKVPDGFDYELYNRNDINKILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPTGKDIDALQQHINNLLHPSTPYFFNTLYDPFSDGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPRERNTRYIVGLQWAFFNKSVPQPTWDMYVDAVMTLPKGILFPMCGMNLAFDRNLIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLGLGIKTGLPYIWHSKASNPFVNLKKEYKGIFWQEEIIPFFQDATLPKECTTVQACYKELSKQVKDKLGKIDPYFVKLADSMVTWIDAWEELNPSTKETNGK >cds-PLY79174.1 pep primary_assembly:Lsat_Salinas_v7:5:57888559:57888765:-1 gene:gene-LSAT_5X27261 transcript:rna-gnl|WGS:NBSK|LSAT_5X27261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGSDGSDTHADCTVHPLPPAATSIFRGNFTLISDTADNKDVRGWLRQLVTMALHRTSTATSMVAGER >cds-PLY91712.1 pep primary_assembly:Lsat_Salinas_v7:7:26602720:26604155:1 gene:gene-LSAT_7X19121 transcript:rna-gnl|WGS:NBSK|LSAT_7X19121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQIVHKSVEVNGVKLHLAEIGSQSSPVVVFLHGFPEIWYSWRHQMVAVANAGYRAIAPDCRGYGLSDPPPDTEKFGFSDLVDDLLAILDSLGIDKISIIAKDAGTRPANLFTLRYPTRVTGIISLGIPHSPFGPPAFLKELPEGFYINRWRESGRAEADFGRFDAKTVVRNIYILFSRTEIPIADESQEIMDIVGPSTPLPPWFTEEDLSVYGALYEKSGFLNPLKVPYRSMDEEYDIADPVIKNPMLLIVGEKDYFFKFPGVEGFVKSGMVQHFATNLEIEYVPEGSHFVQEQFPDKINQLILAFLHKHSS >cds-PLY76327.1 pep primary_assembly:Lsat_Salinas_v7:5:296665792:296665998:-1 gene:gene-LSAT_5X159700 transcript:rna-gnl|WGS:NBSK|LSAT_5X159700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRQPENHQGPPEHHRCHHPSTLLPSPINPPPTTLSFSFLLVKLVGTGKAAGLPSVAAVVESRRTPSTS >cds-PLY70220.1 pep primary_assembly:Lsat_Salinas_v7:9:570389:570568:-1 gene:gene-LSAT_9X121 transcript:rna-gnl|WGS:NBSK|LSAT_9X121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAITHPSPAKFQPIWLLGAASRRRQPHQIPSSEVSGQKKEWWRRRKDGKYKLDDRIISM >cds-PLY69723.1 pep primary_assembly:Lsat_Salinas_v7:2:64155283:64155648:1 gene:gene-LSAT_2X28861 transcript:rna-gnl|WGS:NBSK|LSAT_2X28861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQSKKEDPKPSAKNPLKSESQPKVKGKLFSQEPIIDHSEDEEPDENEIKRRKAHEAEMDEHQRMVCEVEVKEKAEREAQATLESLFPEWTLQRIQNEVVDLPSQYWLEPVMSFELQNTQD >cds-PLY86198.1 pep primary_assembly:Lsat_Salinas_v7:4:7229684:7229917:1 gene:gene-LSAT_4X4620 transcript:rna-gnl|WGS:NBSK|LSAT_4X4620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWVTPYGIIEDDFGLVCGLLKVKGTLVGSSWKYRQFNKDRVTLLLRPELRNPLDMMQNGSCSILNQRFLYGKRRIKV >cds-PLY92521.1 pep primary_assembly:Lsat_Salinas_v7:4:322549818:322550770:1 gene:gene-LSAT_4X160641 transcript:rna-gnl|WGS:NBSK|LSAT_4X160641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTNIRTCYCGDRVGMWTSWTRKNPGRRFFGCPNYMDEEKDCGYFRWIDPPLLNKWYKERMYELGAIANGGVAIPFNNPVNEGEIPVDGPIAPVNVDVPIAPANALEPDNQIAMCDNTHVPSNEFGFCKWMMVCFVCFIVGMMYA >cds-PLY76720.1 pep primary_assembly:Lsat_Salinas_v7:3:140092431:140094845:1 gene:gene-LSAT_3X92341 transcript:rna-gnl|WGS:NBSK|LSAT_3X92341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g50420 [Source:Projected from Arabidopsis thaliana (AT3G50420) UniProtKB/Swiss-Prot;Acc:Q9SCT2] MSSPQEAISLATLLIQKCTSINSLIKARQLHARVITATPPIRKSPYLNNNLISMYSRCGSLLHSHLVFDEMPQRTIVSYNALIAAYSRSRNNTHIAFDLLKQLNIEGFIPNGPTFTSLLQASSSLQDLLLGSSLHTQIVKFEFLSDTLVQTSLLGMYSDCGDLESSKKVFNNILKKDAMAWNSIIVGHMKNEKIIEGLYFFREMTNSESFPTQFTYSMILNACSKLQHHNIGQLTHAKVIISGLPTDLPLLNALLNMYSNCRDTKTALKVFYNIEKPDLVSWNSILSGLSLNGDKDKSIKMFIHLSKVSYVKPDDYTFAIIISATRSLPFCNYGKPLHAQVVKLGFDSNVYIASTLVSMYFDNLDMESAQKLQSLIPIKDVVFWTEMITGYAKTGDGSHAIKCFHEMSQEHKIDSFAISIVLSACADLASNNQGEMIHCSSIKLGLNLEMSVFGSLIDMYAKSGDLKSAESVLSEIKTPDLKCWNSILSGYGHHGKVEKAFMIFDEIVKRGLIPDEVTYLSMLSTCNHCGLIDKGRLLWSSMKENGLIRGSKHYSCFVGLLSRAGLLEEAEEMIMEIDECNLEMWRSLLKSCIDRRNVEVGTRVVDRIMEICEEDLASNVLVTSFYALMGRWDDVANMRRKMRDVGEKDAGLSWIEVWRSTHVFCSGDETHPKVDELRAELVSLQMNMMKCEEDSVRLLDM >cds-PLY84941.1 pep primary_assembly:Lsat_Salinas_v7:4:330038408:330042391:1 gene:gene-LSAT_4X163920 transcript:rna-gnl|WGS:NBSK|LSAT_4X163920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKLHLCLSILVLFLVNRSFGLTTDGVLLLSFKYSVLNDPLGVLDTWNYSDESPCSWKGVRCGNVGDSSADHRVTGLSLPNSKLTASIPANLGLIQYLRNLDLSNNSINGSIPLSLYNASELTFLDFSNNLISGELREFVRGWMGLQFLNGSGNSLTGELPESLGGLRNLTVVSLKNNYLHGKIPNGFDSLKFLDLSANFINGSLPKDFGSGDLVYFNVSHNNISGEIPPEFANKIPTNATVDLSFNNLTGSIPESSVFFNQNERSFAGNSQLCGKPLDNLCLIPSSASTLPNISSPTSPPAIAAIPKTADSSRSSNSSNNSNASSRTGFKTSTIVGIVVGDIAGIAILAAIFIYILKKRKRVASESNKKHGNVGNKEYDWASSSEDQEHKWLRSWPCLTKTRVTEDEESSNESSTSESEDNEVSPATAQKSKHDNSVRKEVETKGELVTVDGGDKELELETLLKASAYILGASGSSIIYKAVLEDGTALAVRRIGESGLERFRDFENQVRVIAKLVHPNLVRIRGFYWGSDEKLVIYDYVPHGSLANARYRKVGSSPCPLPWDVRLKIAKGTARGLMYIHDKKQVHGNLKPSNILLGSDMEPKIGDFGLERLVVGENSCKVGSSSRNFGSKRSTASRDSFQDVTVGSNPSPSPSAIGCISPYYAPESLRSLKPTTKWDVFSYGVVLLELLTGKVIASDEFGPASMTWSSTLTDEEKIKVLRMVDVAIRGDMEGKEESLLAILRLGYNCISPIPQKRPHMKDVLHALDKFPSVSSYYFGHI >cds-PLY77337.1 pep primary_assembly:Lsat_Salinas_v7:5:143932599:143934413:-1 gene:gene-LSAT_5X63121 transcript:rna-gnl|WGS:NBSK|LSAT_5X63121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPIFSCNLRAITTTLGLRSIVSERFSTSLPKLCIPRSAKIKSISKVSADELKEKWLLSLTCPPPLKETENPIPENDASRGNEDVPTSNSHSQWVIGIDPDVSGALAVLKTDDLGYSAQVFDSPNVKILVGNRVRRRLDANAMVELLRSFNIPLGTTAYIEQSIPFPGDGKQGWWSGGFNYGLWIGVLVASQVSVVPVPSMRWKSEFKLSGNGSTKDNSRALACNLFPQISSSLRRKKDHGRAEALLIAAYGKGIKLNSDSSNTNTTTTTTTTTIT >cds-PLY86931.1 pep primary_assembly:Lsat_Salinas_v7:5:265919914:265923614:-1 gene:gene-LSAT_5X137860 transcript:rna-gnl|WGS:NBSK|LSAT_5X137860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKFVAHRAKKLITNATASLLNPHHQSSSSSNQYYTSARLARFFTSLPSDTSMASDKSTPPLTVDSLNPKVLKCEYAVRGEIVSLAQTLQQDLQANPGTHPFEEILYCNIGNPQSLGQQPITFFREVLALCDHPTILDKSETQGLFSADSIERAWQILDQIPGRATGAYSHSQGIKGLRDTIAAGIEARDGFPADPNDIFLTDGASPAVHMMMQLLIRSEQDGIFCPIPQYPLYSASIALHGGTLVPYYLDEGTGWGLEVSELKKQLETARQKGITVRALVVINPGNPTGQVLAEENQRAIVEFCKKEGLVLLADEVYQENIYVPEKQFHSFKKVARSMGYGDKDIPLVSFQSVSKGYYGECGKRGGYMEVTGFSPEVREQIYKVASVNLCSNISGQILASLVMSPPKVGDESYDSYFAERDGILTSLARRAKTLEDALNSLEGVSCNKAEGAMYLFPQVKLPNKAIKEAEKAKKAPDAYYAIRLLNATGIVVVPGSGFGQVPGTWHFRCTILPQEEKIPAIVTRLTDFHKKFMDEFRD >cds-PLY85715.1 pep primary_assembly:Lsat_Salinas_v7:4:216671787:216672089:-1 gene:gene-LSAT_4X122101 transcript:rna-gnl|WGS:NBSK|LSAT_4X122101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKIMRETVVRREKVGIDGDGTSDNKGNDDKCREGSSAIKGTNGNDGERCSGKKVMDGKDEEGAEKPTKLKVKKNKKTDGKDYEGNCGKKGKGGCKWRWN >cds-PLY82540.1 pep primary_assembly:Lsat_Salinas_v7:2:188955025:188955516:1 gene:gene-LSAT_2X110841 transcript:rna-gnl|WGS:NBSK|LSAT_2X110841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMTLKEDNPDSPMSRIYEIPGEPTLVINGVPPVCTSVNGSLVPYKVCCDIDSKTNESLGDWLEGRKVQKLFGKKFFHGEVTKFDKESNWYRVVYDDGDFEDLEWHELQEVLLPLDITIPLKTLASKVNKRRQEHDKKSGRSVSKPKIHQHKGLESEVEKMEV >cds-PLY94460.1 pep primary_assembly:Lsat_Salinas_v7:3:251231957:251233763:1 gene:gene-LSAT_3X138521 transcript:rna-gnl|WGS:NBSK|LSAT_3X138521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLHSISGFFHANSPTKFQSITNTNTISPDPNGLPKARNNRRRIRVKVCSRIKDLEPWVLTDVKGTRNSAATSVKPSKEDEEKQNYYVNTGHAIRTLREEFPELFYRELSFDIYRDDIVFKDPLNTFFGIKNYKSIFWALRLNGKILFKALWVDIISVWQPVESTIMIRWTVYGVPRVPWEKRGRFDGTSEYKLDKHGKIYEHRVHNIALNAPPRFQVLSVNELIQSLGCPSTPKPTYFELEMDSSSSSHDN >cds-PLY82974.1 pep primary_assembly:Lsat_Salinas_v7:1:18584809:18586140:-1 gene:gene-LSAT_1X16141 transcript:rna-gnl|WGS:NBSK|LSAT_1X16141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMNLSKNKGYGWAVSAGLNAAFAAISAKFIGPQLFRYAMVIFFNVVMWGCYVNSLKALSSLQATVTNFATNFLSSGLAGFFLFQEPLSLKWFAGALLIVAGVFVLSRSSIEEKKSKD >cds-PLY75242.1 pep primary_assembly:Lsat_Salinas_v7:7:65497906:65500585:1 gene:gene-LSAT_7X48281 transcript:rna-gnl|WGS:NBSK|LSAT_7X48281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFATAISSCPHLHFPKRLSATQKKIRCCSTISSSISTTFDLKTYWSTLREEINQKLDEAIPVQYPNQIYESMRYSVLAKGAKRAPPVMCVAACELLGGNRLAAFPTACALEMVHAASLIHDDLPCMDDDPSRRGQPSNHTVFGMDMAILAGDALFPLGFRHIVSHTPTNLVPQTQLLHVITEIARAVGSTGMAAGQFVDLEGPPNTVEFIHEKKYGEMGECSAVCGGLLAGGNDDEIQRLRRYGRAVGILYQVVDDVLEAKMKGEEKTGKSYVAVYGVDRAVEVAEELRVEAKRELEGFEKYGDKVLPLYSFVDYAVDRGFSFA >cds-PLY68023.1 pep primary_assembly:Lsat_Salinas_v7:5:294748285:294750910:1 gene:gene-LSAT_5X157480 transcript:rna-gnl|WGS:NBSK|LSAT_5X157480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNSVISSKTETLEKNKKKDVVCLSDLPDLPLECILEKLSPAGLTSMSGVSRSFRAMCTQDHLWERHLNQKWGKILMGDSVYKEWQAYIDTKKKQTLLSSSKGKGYFRVFTTGFWWKKNVKLNNTRICLPVDSIMAWYLSLETGNFSFPAQVYNRENGNVGFLLSCYDAKVSYDSNSDSFKARYLAHGRPTTEENIKWERLRSPVVDSSPYDLHVSSCLTDLHPGDHFEIQWRKSKEFPYGWWYGVVGHLESCDGNKLYCQCHRSDTVMLEFKQYGLGSRWREVVIDRKDHREVGNEEDGFYAGIRKLYNDHEILVWKALWPTKPLE >cds-PLY87564.1 pep primary_assembly:Lsat_Salinas_v7:3:165999964:166000167:1 gene:gene-LSAT_3X103081 transcript:rna-gnl|WGS:NBSK|LSAT_3X103081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRMINHGVSYSLLEKMKEETQEFFSLPMEEKKKFWQDARDIEGYRQDFVVSEEQKLDWADMFYLPS >cds-PLY97355.1 pep primary_assembly:Lsat_Salinas_v7:4:286355585:286355779:-1 gene:gene-LSAT_4X145741 transcript:rna-gnl|WGS:NBSK|LSAT_4X145741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMLKSISKSFKFDDINTTTTTPLKEFNKGSSRIRKNKHHLLQNDRNDLTNSARWSRLVEDMLW >cds-PLY68938.1 pep primary_assembly:Lsat_Salinas_v7:9:195111803:195115673:-1 gene:gene-LSAT_9X120700 transcript:rna-gnl|WGS:NBSK|LSAT_9X120700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLIQTSLQQCPAATTAFSQFLPSPSSHSSTKLSVRFTVSCCLSSSPMTSVNGNIDMQSSDRNEIRLGLPSKGRMATDTLDLLKDCQLSVRQLNPRQYVADIPQLSNVEVWFQRPKDIVRKLVSGDLDLGIVGLDTVSEFGQGNEDLILVHDELAYGDCRLSLAIPKYGIFEKINAVKELAQMTQWTAEKPLRVATGFTYLGPKFLRENGLQHVVFSTADGALEAAPAMGIADAIVDLVSSGITLKENNLKEIEGGVLLESQAVLVASKKSLLGRKGLLDITHEILERFEAHLRALGQFTVVANMRGSSAEEVSERILSQPSLSGLQGPTVSPVFRKIDGKVKADYYAIVICVSKKQLYKSVQQLRAIGGSGVLVSPLTYIFDEETPRWRQLLSKLGL >cds-PLY81714.1 pep primary_assembly:Lsat_Salinas_v7:3:32432073:32435798:1 gene:gene-LSAT_3X24280 transcript:rna-gnl|WGS:NBSK|LSAT_3X24280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIMADSSDSVAIDMDTSSPGGKEHIVETATGFISVAILGDPDKPALITYPDLALNYMSCFQGLFLCPEAFSLLLHNFCIYHISPPGHGHELGAASAMSYDDDDDLALSADDLADQVAEVLDYFGLGAVMCLGVTAGAYVLTLFAIKYTPRVAGLILISPLCKAPSWSEWLSNKVMSNVLYYYGMCGLVKELLLTRYFSKEVRESDMVDSCRRSLDEMQSLDVLRILEALNGRADITEGLKRLQCRCLILVGENSAFHSESVHMASKLDRRFSALVEIGRCGSVVTEEQPEAMLMALEYFLMGYGFYRPMAMELNISPRSPLSPTSISPELYKPESMGLKLKPIKTRLPPGSN >cds-PLY65107.1 pep primary_assembly:Lsat_Salinas_v7:4:5761929:5763895:-1 gene:gene-LSAT_4X3841 transcript:rna-gnl|WGS:NBSK|LSAT_4X3841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANHLVQAVLSLGFSIVVILCIVALVARNGYLEDDELINTKAVCIICKPTEYKDACKKALSEVSKNSSSTKKDYIFASFHSIQKGLDKASEYAKSGGSELKNCAKLLGDASEALDQVLNVATKSKIATLGEQADPMLVWLTAIRAYQTTCVEEINDENLRKEMKQELDDANKHTFNTQKIVYYISDILMEFGVDMGNFHYAGHRRLLDLDEDDEGPVRFSAADRTLLGGGCDDEDEDDEDEDKDKDHGMFKKYTSQDYFKTPEPEKLKKLKKGSTMTPDVVVAQDGSGDFKTIKQALDGYPSNHKGRYFIYVKAGTYKEGQITVKKNQCNVYMYGDGRDKTIITGDKSQEKGKVGATQTATFVAEGERFMARGIGFRNTAGPDGNQAVAFRSQAPNTIMVDCSFEGYQNTIYYHAHDQFYKNCSIYGTVDFITGSGRAFFQDCEIYIRKPENGQSCYITADGKMKHVEAAGVVLQKCKIKADKELDSAKGETKSYLGRPWKPDATAVVMQCNIGDLIQPQGWSTWLETKNHKTCMFREFYNKGPGSDTDDRVKWKGFKVIKDKKYAGYFTPDAFMDAKSWVRNAGIPVKAGFKY >cds-PLY75008.1 pep primary_assembly:Lsat_Salinas_v7:1:112504574:112508248:1 gene:gene-LSAT_1X90081 transcript:rna-gnl|WGS:NBSK|LSAT_1X90081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKEKVLLKKAAAEVEKAKEFTRAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMQKSTNIDDVDKTMDEINEQTENMKQIQEALSAPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVHTPAGRQHTRPLPQKNTAEEDELAALQAEMAL >cds-PLY80188.1 pep primary_assembly:Lsat_Salinas_v7:8:190306169:190306423:-1 gene:gene-LSAT_8X124681 transcript:rna-gnl|WGS:NBSK|LSAT_8X124681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTQLRLSNLFNQLWRTITLLIHFVFSLHNFNNIFAPLLMGEVHQRPRLEEGRSNEGGRRSWSGTSSIRPHHLSSTCFGALFML >cds-PLY78358.1 pep primary_assembly:Lsat_Salinas_v7:9:9427286:9431438:-1 gene:gene-LSAT_9X6000 transcript:rna-gnl|WGS:NBSK|LSAT_9X6000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTGEYDYPKSNFEQQPHKVAIPPPQPFIKSLKTTVKETFFPDDPLRQFKNQPPSRKFVLGVQYFFPIFDWGSRYNLSFFKSDIIAGITIASLAIPQGISYAKLANLPPILGLYSSFIPPLVYAMMGSSKDLAVGTVAVASLLIGSMLGAVVNANEDPKLYLQLAFMATFFAGVLQASLGIFRLGFIVDFLSHATIVGFMGGAATVVCLQQLKGILGLEHFTHGTDLVSVLRSVFTQTHEWRWESAVLGICFLFYLLVARFVSTKRPKLFWISAMAPLTSVILGSVLVYLTHAEKHGVQVIGELKKGLNPITIMDLSFGSQYLSTAIKTGIVTGVIALAEGIAVGRSFAMFKNYNIDGNKEMIAFGMMNIAGSCTSCYLTTGPFSRSAVNFNAGCKTAVSNIVMAIAVMITLLFLTPLFHYTPLVVLSSIIISAMLGLINYEEAIHLWNLDKFDFVVCMSAYFGVVFGSVEIGLVIAVALSLLRVLLFVARPKTFALGHIPDSSIYRSIDQYQNVKTVPGILILQIDAPIYFANAGYLRERISRWVNEEEDRLKSAGEDSLQYVILALSAVGNIDTSGITMIGEVKKVMERRGLKLVLANPGGEVIKKMNKAKLIEVIGQEWIYLTVGEAVGACNFMLHTYKNVEKSGSSGSSLGKETQSDSNV >cds-PLY90133.1 pep primary_assembly:Lsat_Salinas_v7:7:14678391:14680009:-1 gene:gene-LSAT_7X12500 transcript:rna-gnl|WGS:NBSK|LSAT_7X12500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTVRQWIEAAVGRKVKVVDLIFRPREQYKDAHTIHPDHWINRWDFEDIKLPLSLVSCGSLEVLRLFLFGRGLRLPKLTGFLTLRVLELNAVEFACEDEKLELFFKSLSLLEELSLIHCLIDEHDVVSISCPNLKNLRIVNQKMIRFEQWDGEEEELESMCPEVRICCPKLVFLELIGRIALNFFFESLDCLKKAMIHAESINPEGLSLSRISHVEYLSLNLYIVLRCLSQVDVWTSLPNLKTLELTIDDYAPVALTNEMQIFEREYWNLEKAAAMKILARKVRKVEFVEFDGLEWELNVARCLLEHGNALEEMVFIWDNKDMYIHENSMEAMKKVSNFHKASSTVKLINSPKSS >cds-PLY75673.1 pep primary_assembly:Lsat_Salinas_v7:1:99458591:99460476:1 gene:gene-LSAT_1X81341 transcript:rna-gnl|WGS:NBSK|LSAT_1X81341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDKGLACNLFPVRPSPGGRSSSLPNRSGLQHIPLTGGFVPGARSSPLPDPSGLPRIPLTSGSVPGARSSPLPDPSGLPRIHLTVGSVPGAKSSPLPDPSGLPRIPLTGGFVPGSGSSPLLDPSGLPRGGFVSGARSSPDPNGLPRIPLSGGLSRTSVRTARSGFSGSSSMGDINTHMGLTDAIADTGVVQNVGNGIAYGYEMTGNNEDPASDFFYNGPEHENEPEHGHEPESPMKQLTGEDPSFIDLYYKTHLTAESKKIYFGGDKEAQVDFVNETSRVAIESYNTALSQKYGDDPTQHNVNDPELWTQTQLLRKGGKQKGPIYGAGYSDLHFLMTDAYSYELTSSFADFAKSQQEVLLFT >cds-PLY84770.1 pep primary_assembly:Lsat_Salinas_v7:5:230006440:230006943:-1 gene:gene-LSAT_5X110181 transcript:rna-gnl|WGS:NBSK|LSAT_5X110181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVDEEIEQLKEEIKRLGHKQNDGSYKVTFGMLFNDDRCANIFEALVGTLRAAKKRKIVTYDGELLLQGVHDNVEIILKEAEA >cds-PLY85858.1 pep primary_assembly:Lsat_Salinas_v7:9:128850488:128851344:-1 gene:gene-LSAT_9X84180 transcript:rna-gnl|WGS:NBSK|LSAT_9X84180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 13 [Source:Projected from Arabidopsis thaliana (AT1G73177) UniProtKB/Swiss-Prot;Acc:Q8L981] MAQELTLGILIDVVDDEWMRDTLPDDDLALPPSLVPRNDDAEDSNHEAPQADGDIWHDLALGSTQ >cds-PLY96137.1 pep primary_assembly:Lsat_Salinas_v7:3:99313088:99313399:-1 gene:gene-LSAT_3X74220 transcript:rna-gnl|WGS:NBSK|LSAT_3X74220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLRKSNNKQTQALALKKIIKRCSSFGKNSDDNSLPNDVPKGHFVVYIGERRSRYIVPISCLDHPTFQDLLQRSEEEFGFNHESGIIIPCQEVDFLSFFSMIA >cds-PLY71907.1 pep primary_assembly:Lsat_Salinas_v7:3:23766520:23769052:1 gene:gene-LSAT_3X17660 transcript:rna-gnl|WGS:NBSK|LSAT_3X17660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFILELPMNLLGRQQQQHFTSFTRSRTPSKFPNFTRKQRCSLSISATLNTQIQSLDDKHLAQSKPLALPIMVNSCMGKMGQAVIEAGISAGLHIVPASFGIEQDAGKTIQVGGKDIQVHGPSERETTLASLLKQHPDLIIVDFTVPNAVNDNAELYCKTGVPFVMGTTGGDRDLLYKTVEEAKLYAVISPQMGKQVVAFLAAMDIMSKQFPGAFSGYTLEVLESHQSTKLDTSGTAKAVISCFQKLGVQLIRDPKQQIELVGVPEEHLNGHAFHMYHLTSPDGTVSFEFQHNVCGRSIYAEGAIDAALFLAKKIQGKADKKIYDMIDVLREGNMR >cds-PLY62623.1 pep primary_assembly:Lsat_Salinas_v7:8:108087402:108089449:-1 gene:gene-LSAT_8X73161 transcript:rna-gnl|WGS:NBSK|LSAT_8X73161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKQSHCMLTYLMSLSTVFGSQKAPLSTICSIKCPSETTADQSSNLLKGSLTNAAEKEHVTHTSKVGHLNDSQDKKNKKKKSVAKEVQIHVDQQMGEKQGSTEANAMQPLSQIVPIPKNEITPYRVVIIVDFSYTSSWHTD >cds-PLY68894.1 pep primary_assembly:Lsat_Salinas_v7:2:194135231:194138151:-1 gene:gene-LSAT_2X115401 transcript:rna-gnl|WGS:NBSK|LSAT_2X115401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCLFCSDKSKKKPKFQQNKKPEDQISSAPDKSKVNSGVGLNKEALKDGGSTHIAAHTFTFRELAAAAKNFRADCLLGEGGFGRVYRGRLESTNQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDKQQLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLDQDYNPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTPKSDVYSFGVVLLEIITGRKAIDHAKTGCEHNLVAWARPLFKDRRKFGQMADPMLEGQYPVRGLYQALAVAAMCVQEQPTMRPVIADVVTALTYLASQRYDPRARSRSRSQSQSQSGSSTPRTQRNSDAANALR >cds-PLY89733.1 pep primary_assembly:Lsat_Salinas_v7:7:42704437:42709576:1 gene:gene-LSAT_7X30801 transcript:rna-gnl|WGS:NBSK|LSAT_7X30801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGGGGGNNGGGVQGIPAASRKMVQSLKEIVNGVSEAEIYSALKDCNMDPNEAVNRLLSQDPFHEVKSKREKKKEFKDTTESRPRGGGSTSSRGGRSGADRYSARGGSTQFSSSESGGLHGKPRRENGTSSYTSSSAPTYGVSATNTNRNPPTFSGSIGYENKASTFSAPEGPTTVSQQPSTGYQSAWMGGVPGQKSMADIVKMGRPQNKTYNTNTSVTQPPSTHYEFEDYGSKAPEIHQEHVSVSPVDDWPSIEQPQTVGIQTVEPHVEPENVGHLDLQYERNDHTDEVQGEDEGGFEDHTTNHVEDTSGSVPVYENYPHDSFHHEDNSFEHNEVEEGDVSAASVSANMQQLNIQEESHVDEQEEDIPSVVIPNHLQVQTADCSHLSFGSFGATMNTGFPGSFTSRQMRSKIEETPVEPDTQSVGPSETRNPEYYNDESIMTSESNQVRSNYDLPSASQTEVLKQENPEVTHGNQYSFPSSTPGQGYTFDTTQLLNPSFPQSQTLTQMQTPTPFSNVMQAAYTNSLPSTLLAANGHPVGESDLSYSQFPISQQMPTKYGSSTISMAEALKTGVFSSSQPTQQQQQQQTPPGNTIPTGPALPQQHLTVHPYSQPTLPLGPFANMIGYPFLPQSYTYMPSGFQQAFAGNSTYHQQLAAVLPQYKNSVSVSSLPQSAAVPSGYGSFGNSTAIPGNYQVNQAAGPAGSTLSYDDVLNAHYKENSQLLSLQQNDNSGMWVHGAGSRTMSAVPASTYYSFQGQNQQPTGFRQAQQQPSQTYGGAALNYPNYYHSQAGISPEHQLQQNPRDGSLVGVGGSQGQAKPQQQSQQQLWQNSY >cds-PLY74190.1 pep primary_assembly:Lsat_Salinas_v7:9:24738295:24740766:-1 gene:gene-LSAT_9X22140 transcript:rna-gnl|WGS:NBSK|LSAT_9X22140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVTISKHMEEPITDGDDYAHHRQLVLVDVVNPISHSISSSSSITPLSPNSPNDGSALQTVPSSDDIRSNPATPNMIGTSKFTLPKDWSVQKVHRKYGGTTDKYYRDPESGRQFRSLKEVERYITEGVTPTKSRLKKPNNHREKNSGSQDMMIVAECQDMIVANEKMSDVEEDKDKEYELGIVSPTTTSVSHFQLPYGWVVKEVPRSSGDYADKYYFEPGTGQRFRSLVAVQKHLTEVEENSPLSVALEEIRENSLPISKAFKLSTTIKNHGSYSSWKKSMISRKEKTSPPNKINWVIDQGSGGDDTWNAFMDDTLVQDSVKKQWSDSFMVAITNGKHHNN >cds-PLY77077.1 pep primary_assembly:Lsat_Salinas_v7:3:220326895:220327843:-1 gene:gene-LSAT_3X131581 transcript:rna-gnl|WGS:NBSK|LSAT_3X131581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDTNLSFLDSNQSHLPQSKISELFPATCTSEKIGKEEPVVADGTHAPSEWRKFRGVRRRPWGKFAAEIRDPAKRGARIWLGTYESPEDAAFAYDQAAYKIRGSRALLNFPHLIGTNMAEPVRVTARRRSIMETVSPPLSLSEEGGLKRCRTGSGGDATTVDDSASRPC >cds-PLY73680.1 pep primary_assembly:Lsat_Salinas_v7:5:207668503:207669593:-1 gene:gene-LSAT_5X96340 transcript:rna-gnl|WGS:NBSK|LSAT_5X96340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMKSCMVMLMMMMVASMQMQSSIAQTRHVVGDALGWTIPPNGAAAYTTWASQQNFTVGDSLVFNFTTGAHNVAEVSQAAYGPCTTTNPISIATAGPATLTLTTPGTHYYVCTVGSHCQIGQKLTVNVVAAAAAPTTPPPAPTPTPPPAATPTPTPTPSPVSPPTTSPTPAPGPSTTITPPTSSPAPSPVGDRPPSPPESSPSPTGSTTPSPAGDSTPPPPAPSNAASLMAAVPVTFLAFALAFFY >cds-PLY92780.1 pep primary_assembly:Lsat_Salinas_v7:2:149197772:149200207:1 gene:gene-LSAT_2X75080 transcript:rna-gnl|WGS:NBSK|LSAT_2X75080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLKICLVLFSVTTIATKDASSETVGPIFILGDSIVDVGTNNYLKNCKAQVNRPYYGVDYPGSIATGRFSNGFNPADTIVQLLGGYEESPPPFLALLAHSSNLTGDLLQGVNFASGGAAIITGIGTDLVGNVIFMDEQIQQFATVQGNITTLLGASKGQLLIQNSMYIICVGSNDIMNYVLYHPTTPEVFIANITATFAIQLENLYKLGARKFGIMGVPPVGCCPVSRAYTGSGDCGPISNGLSATFYTALKSLLKNLSSTLEGFKYSLANSYNMTMSVIENPPPEFKDVKTACCGNHSIDGIKDCKEGGYLCQNRDNHLFWDAFHPSQAASKLSAKEMVFGEDPNFVTPINFSTLRKA >cds-PLY69319.1 pep primary_assembly:Lsat_Salinas_v7:4:105557056:105558287:1 gene:gene-LSAT_4X68481 transcript:rna-gnl|WGS:NBSK|LSAT_4X68481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIEIVSSRPLDPRASPYNTIYHRDFYVYHIPRPLPYYLILPPVPPRPPYFWSYNAHQQPLPAKSLPLPLPPPSSPGKKSNFSLASVPSGPRIPKSRLPGRHRRVNKVVRFGSHGCGGTETKTQLKPVEKKTTLEKVGRGGKRRWKNRSGEYQEILPLDTETSSVMIKNIPNKYTRKLLIQTLDDHCKLVNQKINKDSIDEKNSISAYDFLYLPIDFNNRVNAGFAFVNFTTPEAASRFRDTFHGKHWDFFDSPKIAEITRARIQGKRRLVNNCKTMDFSYGSEEDMPVSFEPARDGSDGVHSKMTVLGKFLR >cds-PLY87344.1 pep primary_assembly:Lsat_Salinas_v7:1:98653314:98654173:1 gene:gene-LSAT_1X80541 transcript:rna-gnl|WGS:NBSK|LSAT_1X80541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHCYQITYHESSGGRVLSVDYTDLVGELAKKHNLKLHIDEPRIFNAYVALGVSVRRLVQAADSVSVCLSKGLGAPVGSVIVGTKSFIDKARILRKTLGGGMRQVGMRESYVLVLLQL >cds-PLY76369.1 pep primary_assembly:Lsat_Salinas_v7:6:170491645:170494871:1 gene:gene-LSAT_6X103120 transcript:rna-gnl|WGS:NBSK|LSAT_6X103120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLCCLQFIGGPSSYSSGKGKNHEGNIKFGFDLVKGKANHPMEDYHVARFVEVDEHELGLFAIYDGHSGDRVPSYLQKHLFDNILNESEFWVDPKRSISKAYEKTDEAILSHDSDLGRGGSTSVTAVLINGQRLWVANLGDSRAVVSKGGEAIQMTTDHEPSTERVSIEHKGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPAIQDTVVDSKTDLLVLASDGLWKVMDNQEAVDIARRIKDPQKAAKRLIAEALKRDSKDDISCVVVRFR >cds-PLY75300.1 pep primary_assembly:Lsat_Salinas_v7:3:156847285:156848990:-1 gene:gene-LSAT_3X99620 transcript:rna-gnl|WGS:NBSK|LSAT_3X99620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKVGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPSKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLTKMGIDPVSHKPKNDTLLCTDGQSKSVANLSHMAQWESARLEAEARLVKQSKLRCPVEKQVGSVDASMASVKLLATTAGEPTRCLDILKAWDRVWGGKQNDDGVNGIGDIESPTSTLSTTGVGESSTNFFEFVGGNSSGSCDDGATGEDGEEEWEYKDENDMENSIPLTSGFSGVTMASDNNNEHVPGGNFLESFTDLLLSSSGGGHRNLQSGGDSGNDLEPNGVNNSNYYEDNSKNYWNNILNLVNSSMQDPPLF >cds-PLY79432.1 pep primary_assembly:Lsat_Salinas_v7:3:79377625:79378014:1 gene:gene-LSAT_3X61041 transcript:rna-gnl|WGS:NBSK|LSAT_3X61041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALFSKYLKWTWSILLHHSFFHHHLHGIPKELLEFESSHTRFDPGCFEQVECVVCLSIIGEDEDMRELRCGHLFHRACLDQWLWLKNVTCPLCRDSLVLPTVVSQIGGDLFMSNYYLTSDYGEYRLWWI >cds-PLY96211.1 pep primary_assembly:Lsat_Salinas_v7:3:93220446:93223204:1 gene:gene-LSAT_3X69900 transcript:rna-gnl|WGS:NBSK|LSAT_3X69900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIVQVLIVSVIGALMATDHFNLLSNDTRRSLNKIVFVAFTPSLVFASLAESVTFQDIISWWFMPINIGLTFLCGGILGWIAVKLIKPAAHMEGLIIAMCSTGNLGNILLIIIPAICTQSGSPFGDGNVCKANGLSYTSFSMAAGCFYIWTYTYQLIRNSAVKYNEMKKEEDLLIKDINKDFNANETSHLLLNEGQADVDPENPTMVYKDLANNERKEGFSSKLIETLQNMLEELLAPPTIGAIAGFIFGAVPWLKKLLIGDEAPLRVIQDSIALLGDGTIPCITLILGGNLIQGLRNSNIRYVTIITIIVIRYLILPVIAIGVVKGAAALGLLPSDPLFSFVLMIQFVVPPAMNISTMTQLFNVAQEECSVLTMWTYVFAAFALTGWSTVFMWILT >cds-PLY79472.1 pep primary_assembly:Lsat_Salinas_v7:3:249193433:249197235:-1 gene:gene-LSAT_3X137960 transcript:rna-gnl|WGS:NBSK|LSAT_3X137960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDGVPLEQFRQFISTPSSRIPTNSSLIQTTPISTPANLNFLSFDPLLFTPTLPTPHHHQSLFQSQHFLRTPTRDHYNDTEGKVDQEIDINDSWSNDEVIQLLRIKSSSENWFRDLTWDHVSRKLAELGYKRSAQKCKEKFEDETCRSFGSTIGYNKDSSRYLISEELDEHLYNNADQNTHHDHITTESPEDLSYQENAQVHEQRVEEQDLEVQGQKDHFIEDVSEIMMQKSQDNVHVINSKKRKRKHKKFKMVKGLCVDLVKKMMAQQEEMHKKLLDDMRNREEEKIKREEAWRKEETERVKREIHIREHEQEMAKDRQSTITEFLNKITSFDQKIQIPFDMNLQELQTNLSNINKQIPLCEITKIPSSEKITENPHQNQSTSKDDIGKRWPRDEVLALINIRSNVNNGLGGNNEDHQGYIGNNGGGGGGGVGGSLWERISQGMLELGYKRSAKRCKEKWENINKYFRKTKDANKKRSLDSRTCPYYHQLSILYNQEKQASNSVGLSFDDPEKCVIVEITPEN >cds-PLY73785.1 pep primary_assembly:Lsat_Salinas_v7:8:150517609:150518049:1 gene:gene-LSAT_8X101421 transcript:rna-gnl|WGS:NBSK|LSAT_8X101421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIGEVYECFIWEVGSYMWRDIGFDKDTWKDVSEAERVGMFRHGLIGVITNDPMATVYWASQNNRICAQYRCCKNVAKTHLTGFEGDVEAERAQAHASMDLERWNAAIDHFLTEKHKK >cds-PLY89964.1 pep primary_assembly:Lsat_Salinas_v7:2:192524613:192527699:-1 gene:gene-LSAT_2X114320 transcript:rna-gnl|WGS:NBSK|LSAT_2X114320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSNHSSLEMLQEPSIDTDKLSYEIFSILESKFLFGYDDQKLWQPKQVSPEVSVAAADYEGVQSIKNQRGKICVLSIDGGGMRSILAGKALAYLENALKEKSGNPNARIADYFDVAAGTGVGGVFTAMLFGSKNQSRPIFKAEDTWKFLAEQGKRLYDQKSSSSGGGGLFKRIFRGRSGGGGGGGGGGGGISHATTGFEKAMKEAFMVNGQSLTLKNTLKPILIPCYDLSSSAPFLFSRADALETDSFDFQLWEVCRATSASPDLFDPVSMKSTDGKTRCVAVDGGLAMSNPTAAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQLLEGNYNYEQVKGWKPHNWCRPMARISGDSSADMVDHAVAMAFGHSRCSNYVRIQANGSNMGRCGMNVDSDPSPENVKMLTEIAEEMLRQKNVESVLFEGKKIGDRSNLEKLDWFAGELVLEHQRRSCRIAPTVAFKQTSQKQQQT >cds-PLY63151.1 pep primary_assembly:Lsat_Salinas_v7:4:312238951:312239534:-1 gene:gene-LSAT_4X157020 transcript:rna-gnl|WGS:NBSK|LSAT_4X157020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANTNTTNFIGSTTPRRSSYFSACMSPSCVPVDEQYTRIKSTRNDNHHHHQRRKLKKLMNKVVEESKKSIYGSSKPLVFHYDAVSYSQNFDEGNHGDDFYMYRLRIAQVLGECS >cds-PLY64506.1 pep primary_assembly:Lsat_Salinas_v7:8:124428436:124432096:-1 gene:gene-LSAT_8X85660 transcript:rna-gnl|WGS:NBSK|LSAT_8X85660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDDNFTTGVEDGLRLAKRIYFGNDRSVAPPKHITPMEKATRSLYPTSPMVYAVISNPAIVDNPDMPSYQPHVHGRCNPPALIPLQMNGISFEVDCYLDTAFVTMSGSWRVHCVMGDESCSCRIAVPMGEEGSILGAEVEVPRITYSTQLAPTEEIGERESVAPPEEGGLLKPHIFTLTVPHVDGGSNVSVKVRWSQKVMYKDGEFILDVPYTFPEYVTPAGKKLPKKEKIQLSINSGLTTEVVCNTTSHPLKERKREPGKLALLYEADVLSWSATDFVYKYHVSTTNSFGSVLLQSPSTLDIDQRDMFALYLFAGPHKGKKMCRKEVVFVVDTSESMKENTIEVTKNAVISAISKLDEEDRFGIMAFNDETHLYSSSLELANKESIGNATQWIDKNFVAAGGTNISMALNQAIEMFSGKSKSVPMVFFITDGSVENERQICEVIKKQLQNKESELAPRINTFGIGSYCNHYFLRMLAMIGRGHYDASYDAESIETGIKSWFSKASSTMLTNIVIDGLDSLDDLQIHPCTIPDLSCERPLILSGRYKGGFPETLKASGILPDMTDFTIDIQGQRANDIPLHKVLAKKQIEFYTAQAWLSNDTELEVKVSKMSVETGIVSEYTRMIMLKTGPPAKKFGKKDADPKTVTEKIKVLHQLGLEFGNITATIENIPPGFLPKLPTQTEMLAAAAGNCCANVFGKCCCMCCVQACSRINNQCSIVLTQFCGFVTCFGCCGWYE >cds-PLY68390.1 pep primary_assembly:Lsat_Salinas_v7:8:23227999:23234683:-1 gene:gene-LSAT_8X18160 transcript:rna-gnl|WGS:NBSK|LSAT_8X18160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIYSSSTFPTPPSSLSWNYDVFLSFRGKDTRKTFVDHLYSALVQQGIYAYKDDETLPRGESIGPTLMKAIEESQIAVIIFSKNYANSSWCLDEVSYIMKCKDTRGQTVMPIFYDVDPSEVRKQKRKYKEAFSKHEFEDNKVESWRKALVDASNLSGWEPKHIANGHESKGIKQIVDEISAKLHFVTSSENENLIGIAARMQRLSLELQIGSNDVRMIGIWGVGGGGKTTLATSIYDQICCKFDGCCFVENIREESSRYGLRKLQEKMISEMESNQVGGGRRLISHRFRHRKVLIVLDDVDRLEQLKALVGSHDWFGEGSRIIITTRDEHVLNAHRVDVTHNISLLTDDEAIKLLRKHAPLNYRPMKDYEQLSKEVVSYAGGLPLAVTVLGSFLCDKNIHEWRSALARLKEIPNYDILEKLKVSFDGLAPIEKELFLDIACFFRWQKKDKAMEILDACGVHPVIGLKVLIQKALISISEDGMFDMHDLVQEMGHYIVRGEHPKNPEKHTRVWKKEDVLNICAMDAMTLMENDKIEAITFNYDRLPEKEQDLPLIATSMKNLRYIESRVKQANPLFNNFPPKDLCCLILHEGLQQKLWEGCKLLPNLKIMKLCGLENLIMTPDFDGLPYLERLTLHECPCLEEIHPSIGSLERLVFLSIVFCVRLKMCPPITRPKKLETLSFAWCSKLVNISEIQQQNMVNIGHLDLDKSGSEVASYLECCLPHNTNHIGLRFFHNLQELGLRKLDLSRCNLGDENIGSHVLELPVLQELNLYGNKFSRLNFSRLRLPRLKWLNLSWCEELLVLSHLPSSISVIITDHCSSLETFGDISNCKWLWKLSHYGGYNIDYFDGEILLDIMLQGKAIEDHFISVILPHQTPKGFIGRFFLGKTFTRRIRHVKTGSNRVLVRPDSDMFTLCLPCGWNNDFCGFLIRVVSNGISMDIDIIITQESEPDEEDSRFEIWQDSDELPEPEYGGKVKTYVGYVSFSSMMQTISLNSSHNIVSFSIKSYWTSFAVELVPRKSQYDQVQTTKVSTNCSEYWGENDAYGRCFTTQHDSKSSIKILWRPLSL >cds-PLY70559.1 pep primary_assembly:Lsat_Salinas_v7:1:89190930:89191890:-1 gene:gene-LSAT_1X74701 transcript:rna-gnl|WGS:NBSK|LSAT_1X74701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNLYMFQVITFIESVQITEADNEKEPEIQIGAPTDVKHVAHIGCDGPSSNAPSWMNEFQGSSDAGSSDLKGGTKVICHLVLGGESSDRRGKSKKKKQGSSNSISTPDREPKPRKNKSSASDNESSRARRTKNSSMGSESPAQDPRAKKDRNKKRASNNDEDPKGNEMRLKENVS >cds-PLY80101.1 pep primary_assembly:Lsat_Salinas_v7:5:146294673:146295507:-1 gene:gene-LSAT_5X63921 transcript:rna-gnl|WGS:NBSK|LSAT_5X63921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQKTNDQKENEDKEGNGEEDSDNDASQPEVDYLLDSNEAENEAIKNDANKNKKEGEIGVKEKDGKRNENENDEEGKDDHAEETNNHEETIQQTKNENLLDKVVDNIVDNVLGIGVSSLNSQEDEIWNHPEMKTNFDNIDIGSPMSIGKTNTLAEKEKSEGVHEQGTKVEKTKGDDTGKENSEDRNEGGKEAKNKKDGGEEKHT >cds-PLY72146.1 pep primary_assembly:Lsat_Salinas_v7:7:52687303:52688389:-1 gene:gene-LSAT_7X39061 transcript:rna-gnl|WGS:NBSK|LSAT_7X39061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEWAVGPQKVENADGEEDAIFGFNKTPSNSNGPVAVTMSEKTSEASEEADETIEDQMQSRFSNRLIQQAMDRMLQQPLLVITLLRLLPIPWNLLGHNYIGSEHLLPCLLREGEGVAARVLENLGADPNNIRTQASVFALFFMCRKYPCLMCNVYTC >cds-PLY70307.1 pep primary_assembly:Lsat_Salinas_v7:4:99814740:99815853:-1 gene:gene-LSAT_4X65020 transcript:rna-gnl|WGS:NBSK|LSAT_4X65020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTNQSLLGQLLMHAHKNPSGLNTEEPLPVAVFDLTNCELGSLIIHVLNNHSRSASPTLAIGLQNPYNPWASRVIGMITTKDLIFEDNDDSGSVELQSTSLRYIFNFVQIFGESTLEEALDMQPPV >cds-PLY78554.1 pep primary_assembly:Lsat_Salinas_v7:1:107399739:107400333:1 gene:gene-LSAT_1X85281 transcript:rna-gnl|WGS:NBSK|LSAT_1X85281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHTICLWHVFRITHVPRLEDWSMMPVERIGFMLQPYGFFNCSPAVDVPPSPGACESDVKEGHVKETTKSVSNGLIAML >cds-PLY78822.1 pep primary_assembly:Lsat_Salinas_v7:8:289861941:289862549:-1 gene:gene-LSAT_8X160881 transcript:rna-gnl|WGS:NBSK|LSAT_8X160881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLWGVIYKVNEKDLEGYICNIIGTGCFVRFIGRLTGFAPKNKAIDDQRFDLSEVLFVGQAVRSNILEWRWDSP >cds-PLY63103.1 pep primary_assembly:Lsat_Salinas_v7:8:76366367:76366747:-1 gene:gene-LSAT_8X54280 transcript:rna-gnl|WGS:NBSK|LSAT_8X54280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTRVVAEKPVVIFSKTSCCMCHTIKTLINSFGSNPVVYEIDEHPNGKQLEDELKALGCKPSVPVVFIGQQLLGGSNEIMPLHLKGKLAHLLKNVSL >cds-PLY76095.1 pep primary_assembly:Lsat_Salinas_v7:9:29736739:29737302:-1 gene:gene-LSAT_9X26201 transcript:rna-gnl|WGS:NBSK|LSAT_9X26201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHKHSHIISKIKPKIRIIHIFAPEIIKTDVANFRELVQRLTGKPTEKKKPKTRKQPHKRSNLLQGGMEVREKIKGEEDIWVGANSGGGFLGGFGDLDGFMQEFNNHNHGFASVQQNLDTPAATLVNSHLDYGFGERSFNLPTYS >cds-PLY97015.1 pep primary_assembly:Lsat_Salinas_v7:2:34061554:34064054:-1 gene:gene-LSAT_0X31681 transcript:rna-gnl|WGS:NBSK|LSAT_0X31681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTHNSDNEIIIDKLANIDASRESWNVRFEVVMIWKQTYKNNSNMVNSLAMILMEQELTKNGDDNDGEPFNCDGCGGVSDVDDKLRVVIRVQDETGSASFVLFDRHAKDVIHRENHWLMEKIANISKFNLQNNYHAYTIHKMTDDELVVGVIFKHSLVYEEDNIHSDGTPMYKSIKENSVSVEGDNINGVDLDVVTPTTTSLKRPIEIVTTTKLFECSSSKDGVAPDTLKIPKIKS >cds-PLY76323.1 pep primary_assembly:Lsat_Salinas_v7:5:296764759:296765025:-1 gene:gene-LSAT_5X159640 transcript:rna-gnl|WGS:NBSK|LSAT_5X159640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEMIREAAINLGIFQVVNHGIPVSVMDEAIPAVRRFHEQDEEVKKGFYTRDLSSTLVYNSNYDLYSSPTLNWRDTFFFIYGSVTSAA >cds-PLY74129.1 pep primary_assembly:Lsat_Salinas_v7:9:11909915:11911773:1 gene:gene-LSAT_9X8581 transcript:rna-gnl|WGS:NBSK|LSAT_9X8581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTGNLQVLNALDGAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHKEGSPTPGSLPPEVSAAVNGVALVGTLAGQLFFGWLGDKLGRKKVYGITLMLMCLCSIASGLSFGSHPKGVMTTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGGFIAAVFAMQGFGILGGGVFAIMISAIFNSRFKAPPYEVDPIKSTVPEADYVWRIVLMVGALPALLTYYWRMKMPETARFTALVAKNAKKAASDMSKVLQVDIEAEQEKVDEVMEDSKHSFGLFSKEFAKRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPPAKTMNAIQEVYKIARAQTLIALCSTVPGYWFTVFLIDKMGRFTIQLLGFSMMTIFMFVLAFPYNYWTHPENNIKFVVIYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAASGKLGAIVGAFGFLYLAQSKDPKKTSEGYPPGIGVKNSLIVLGVINLLGTLCTFLVPETKGKSLEEMSGENEEETGETRA >cds-PLY80532.1 pep primary_assembly:Lsat_Salinas_v7:3:200569007:200574157:-1 gene:gene-LSAT_0X19660 transcript:rna-gnl|WGS:NBSK|LSAT_0X19660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGIISALYSLFCDKLASDTFKQFARFKKIDDDDMEKLKMSLIKIKDLLNDASEKEIRQEAVKEWLNSLQHLAYDIDDLLDELETEAMHREFSEESETPSKLRKLLPSMFTISPESVRMHDRFENIITKLEELFEEKYKLGLSVIGESPKHRNRRLETSLVDASSIIGRKDEKDALIHKLYEPCDRNFSIVPIVGMGGVGKTTLARLLYNEMQGKDDFELMAWVCVSDEFDIFNISNIIFQSVGGGNQVFKDLNLLQVALREKISNKRFLLVLDDVWSESYTDWEILAGPFLAGAPGSKVIMTTRKMSLLTQLGNNEPYHLPILSDESALSLFCQHALGEKNFDSHPLLKPHGEGIVRKCNGLPLALKALGRLLRTKIDEEDWKEVLNSEIWSLEKGDGIVPALKLSYHDLSPCLKQLFAYCSLFPKDFEFDKEKLILLWMAEGFLQSTTNKTMERLGLEYFNALWSRSFFQAAPNDKSLFVMHDLMSDLATSVAGEFFSRVDIGMEKEYRNESFEKYRHLSFVREEYMVSGRFEPLKGAKSLRTLLALSVGAIRKRETFYLSNKVLDNLLQELPLLRVLSLSHLGISEVPEFIGSLKHLRYLNLSRTYIKLLPENVYKLYNLQTLILFGCRCLTKLPNSFLKLKNLRHLDIRDTPCLKKMPLGIGELKGLQTLSKIIIGGENGFAITELKNLQNLHGKISIWGLGNVQNETEARGSHLSQKRLTELELDWGYGLLQKRLHMLEFAVSFGLSELNVFRKETHDKEVLTELKPQTDSLKKLEIVSYAGIEFPNWVGDPSFLRLTHVSIYGCEECTSLPRLGQLPSLKELYIGKMSKVKVVGWELLGTGVAFPSLEILTFDSMPSWGVWSTNNNGVVDAAVFPCLKELIILFCPNLVEVSLEKLPLLRVMTVKGCGHGVLTSLVHVASSVTKLILYDISGLTHEVWGGVMKYLREVEEVRIECCSEIRYLWESEAEAGKLLANLRRLKVLYCSNLVSLGEKEEDNCGSNLKSLRWLWVSDCDSLQHCSCPDSLQSLFIFTCDSITSVSFPTGGGQKLKSLSIWNCKKLKSMNELKYFIHLTTFRIEECPSLESFPFPDHELPNLASLTHLQIQNCTSMDASFPGGLWPPKLCHLTIGGLKKPMSEWGPQSFPTSLGYLHLYGGPYEDVTDFSRLSGLFPSSLTKLCIERFEKLESVSTGLQHLTSLQHLTIVKCPKMMDLPEKLSSLLSLGIIECPNLKKKTSIGGSYWPVVSLIPRLHEDDYIFL >cds-PLY69546.1 pep primary_assembly:Lsat_Salinas_v7:8:83628480:83629523:1 gene:gene-LSAT_8X58780 transcript:rna-gnl|WGS:NBSK|LSAT_8X58780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGALSEAVLKNIILSYTFVGIWIFLSFTVIVFNKYILDRKMYNWPYPISLTMIHMSFCSSLAYILVSILKVVEPVQMTRDVYLTSVVPIGLLYSLSLWLSNSAYIFLSVSFIQMLKALMPVAVYSIGVLFNKENFKPRTMSNMLSISLGVAVAAYGEAQFNAWGVALQLGAVAFEATRLVLIQTLLSSKGITFNPITSLYYVSPCCLLFLSIPWMIVEYPLLSEASSFKFDYMMFLTNSFCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGFAFMGVAYYNHAKLQALKARESEKKPDLIEGTKLLEESKLENAIKKSESAN >cds-PLY88778.1 pep primary_assembly:Lsat_Salinas_v7:4:169596827:169597773:1 gene:gene-LSAT_4X100101 transcript:rna-gnl|WGS:NBSK|LSAT_4X100101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPHGDAIVTSYNHPHAFNKPPRLASEGLKRTMSDMSFELSKEAIDIKSLTTISEVVDAKCECCGMIEECTPEYMEKVHNRYYGKWICGLCSEAVKEEMEKNGGNKEEALSSHMNTCVRFNKRDRAYPVLCQAEAMRDLLKKTRLRGKSLSPRDHVKKGGIARSSSCIPAITKEINGVNTQ >cds-PLY77048.1 pep primary_assembly:Lsat_Salinas_v7:8:151297771:151298171:-1 gene:gene-LSAT_8X102061 transcript:rna-gnl|WGS:NBSK|LSAT_8X102061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFHDSPRKDSTIESNIKEAHIHGVTLNTSNVDKNINYGEPIITSIHEKTPITPPEGPISKSNMAEGEENSTSAIDTSIVPPPPTASPPPTSIV >cds-PLY78747.1 pep primary_assembly:Lsat_Salinas_v7:9:50039520:50045857:-1 gene:gene-LSAT_9X45260 transcript:rna-gnl|WGS:NBSK|LSAT_9X45260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSASFPQFVTEAIIRPFLDPPGVKCLKEGLKVKHPVVFVPGIVTGGFELWEGHQCMDGLFQRQQGGTFGEVYKRSDAYKPMQFIYLFALLNCQHVESDSVIEVYICD >cds-PLY75848.1 pep primary_assembly:Lsat_Salinas_v7:9:195567525:195568392:-1 gene:gene-LSAT_9X120100 transcript:rna-gnl|WGS:NBSK|LSAT_9X120100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLTRGQAVQQHPSFFKIKDPSFQLLNLLPFSSEHEGYKVTLHVYDLSEGLARQLSMSFLRKSIEGIW >cds-PLY64064.1 pep primary_assembly:Lsat_Salinas_v7:8:93865387:93869746:1 gene:gene-LSAT_8X65501 transcript:rna-gnl|WGS:NBSK|LSAT_8X65501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide and calmodulin-regulated ion channel-like protein [Source:Projected from Arabidopsis thaliana (AT4G30360) UniProtKB/TrEMBL;Acc:Q0WUI2] MELNKEKLVRFYANGKQKNDVIWGKTEPLHLEKSSSGYNGQSTLLKLPVRGKLNVPTNPKHGPTTTTTTTSNLFPEDHEPWQKRILDPGSEIVLQWNRIFIVSCLLALFIDPLYFYLPGIVENQDSWCVKTDLNLRIVVTAFRTLADLFYMLHVAIKFRTAYIAPSSRVFGRGELVMDPKKIAKRYIRTDFFIDLIATLPLPQIVIWFIIPATRNSKANHNNNALALIVLLQYIPRLYLIFPLSSELVKATGVVTKTAWAGAAYNLMLYMLASHVLGAAWYLLSIDRHLSCWKSICNDEKELCKASYLDCSTINDIERKKWAEVTNVFKGCTGDDDLPFKYGIFQNAVQKNVISSSIFHKYFYCLWWGLQQLSSYGQNLSTSTFIGETSFAILIAIVGLVLFAHLIGNMQTYLQSLTMRLEEWRLRRRDTEEWMRHRQLPEDLRKRVRRFVQYKWVATRGVHEDVILNGLPADLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTEGAYIVREGDPVTEMFFIIRGRLESSTTNGGRTGFFNSIIMRPGDFCGEELLAWALHPKSTVNLPSSTRTVRSLTEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRHYSHHWRTWAACFIQAAWRRYKRRVMAKNLLTMESFVDRDEQECEDEEEHEGEEEEEGGGGGDVAGGQVKSNLGVTILASRFAANTRRGMANRLKLQKPEEPDFSEVS >cds-PLY92065.1 pep primary_assembly:Lsat_Salinas_v7:5:323410597:323412951:-1 gene:gene-LSAT_5X177621 transcript:rna-gnl|WGS:NBSK|LSAT_5X177621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGALDEGHYNVVLENGVKLGGCGFEQEPETVAIEDAVKVLLQGLGEDINREGLRKTPLRVAKALREGTRGYKQNVNDIVQGALFPELGLETGIGHAGGAGGLVLVRDLDLYSYCESCLLPFQVKCHIGYIPSGQRVVGLSKLSRVADIFTKRLQDPQRLADEICNGLQHGINPTGVAVVLHCSHIHFPDSHSAFLDSNQKRWVNVIVNSGSGSFGKPDSANWIDFFGLLRRRDITLDNIHSRTTNKDSWCPCPSRVKTGPNVSPMDTAVTSIIRSLGQDPGRKELTGTPTRFVKWLSNFKDSKLEMKFNGLGLGPLKTTSPTNCKIRSELNLSLWSLCEHHLLPFYGSVHIGYLSSEEVSPILKSILQSVVHFHGFKLQVQERLTRQIAETVSRLLGGDIIVVVEANHTCMISRGIEKFGSNTGTIAVLGRFSHDPAARAEFLELIPESL >cds-PLY68745.1 pep primary_assembly:Lsat_Salinas_v7:4:185401842:185451767:-1 gene:gene-LSAT_4X108440 transcript:rna-gnl|WGS:NBSK|LSAT_4X108440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQADLARFWVSHRHKRISSVQVLLVMVLLALPPNPLSHKKKKKIRIKTFLTKGVNVSYVKMLSCLNKLNVHHFTVHI >cds-PLY70753.1 pep primary_assembly:Lsat_Salinas_v7:8:167984780:167985315:1 gene:gene-LSAT_8X110460 transcript:rna-gnl|WGS:NBSK|LSAT_8X110460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLWGKEERLRSDLEKTEKMLEERGLMLEKMTKEIDKKVETQKALQTEADMLFRFRLLCKRIRPLRETEQQNMKKEESGDEMEEKFSGLKTTYLQMRKSMG >cds-PLY97033.1 pep primary_assembly:Lsat_Salinas_v7:2:69624741:69627420:1 gene:gene-LSAT_2X31020 transcript:rna-gnl|WGS:NBSK|LSAT_2X31020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g16860 [Source:Projected from Arabidopsis thaliana (AT5G16860) UniProtKB/Swiss-Prot;Acc:Q9LFL5] MRHRFPTPPHLKRLHHQLSTFFSTAAHVFEKCSSVTKVKLLHQQLTVKGLAASDPTALPKIVGMYISCDAPSHALVTLSRIPPSPSSVFWWNSLIRRAVRLRLLDHALELYHGMQSLGWRPDGYTFPFVLKACGELPSFRRGASFHGAVCILGYENNVFVGNALVAMYGRCGDLDSARQVFDEMILNEITDVISWNSIVAAYMQSGDSKKALHMFYRMTKGENQLHADAVSLVNILPALASVQASIQGKEAHAYALKTQLIKDLFVGNAIVDMYAKCGLMDDANRVFEQIELKDVVSWNAMVTGHSQIGRFEDALGLIEKMREEKIELNVVTWSTVISGYAQRGHGNEALDIFRQMVFSGSEPNVVTLVSLLSGCASVGSLLQGKEIHCYIIKKILNIKNNDNGDEQMVINSLIDMYAKCKSIDLAHKLFDPVLPLNRNVVTWTAMIGGYAQHGEANDALKLFSQMVNYENTPTTPNAFTISCALMACARLANLRHGKQIHGYILRTQFHSDVLFTHNCLIDMYVKSGDLDNARVVFNTMEQKNTVSWTSLMTGYGMHGYGHEALRLFAGMRDSDSGLRIDGVTFLVILYACSHSGLVNEGIECFDAMTKEFKIVPGVEHYACMVDLFGRAGQLEKAMEVIETMPVEPSPVVWVALLGSCRVHTNVKLAEYACDKLLELGCENDGTYTLLSNIYADMKRWKDVSKIRSLMKGSGIKKRPGWSCVQGKTGLVTFYVGDKDHARSDEIYDVLGDLIHRIKELGYVPDTSFALHDVDDEEKGDILVEHSEKLALAYGILTTSCGQPIRITKNLRVCGDCHVAMVYISKIIDHEIILRDSSRFHHFKNGSCSCKGYW >cds-PLY77134.1 pep primary_assembly:Lsat_Salinas_v7:7:183498759:183505333:-1 gene:gene-LSAT_7X109200 transcript:rna-gnl|WGS:NBSK|LSAT_7X109200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLSLTAPFRRTTAGSPSGFSNPPLLHSRSLQLSKFRSAKSVSGFRVFAKQNEFPAIRRPDSKGFFGKHGGSHCPDSMLPALSELESAYESLSSDPDFQVEALVADKTLKVDIMEACIECRRRQESENRSAADEDHNPSVGIVDKIFRWGGDGRRQDYLGFLEQ >cds-PLY73766.1 pep primary_assembly:Lsat_Salinas_v7:2:95606945:95608642:-1 gene:gene-LSAT_2X41261 transcript:rna-gnl|WGS:NBSK|LSAT_2X41261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAATATAAAAIGSPFSKCNIKSKPWSSDPIFLRLTSTVPRLQSSSLSHSLTRTDPIPISGKWRVLRVSASVAQEEAAVSATEEETLEQEEEPVVKEVQEVSGDGGDQPEAISSVHTKLYFGNLPYLCDSAQLAGIIQDYASPELVEVLYDRDTGKSRGFAFVTMSSIEDCKAVIENLDGREYGGRTLRVNFSDKPKPKEPLYPETEYKLFVGNLSWSVTSESLTEKFSEHGNVVGARVLYDGETGRSRGYGFVSYSTKSEMESALQALNGVELEGRSVRVSLAQGKKQ >cds-PLY65851.1 pep primary_assembly:Lsat_Salinas_v7:4:86265744:86266480:1 gene:gene-LSAT_4X56541 transcript:rna-gnl|WGS:NBSK|LSAT_4X56541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKNASMFVKMAAIVSIVLMLVVFTESRSTIVRGLKETNAIVSCNQVTGVESGDDCTSISESFKLTLQSFLAINPNINCNSMFVDQWVCVDGNVTI >cds-PLY62413.1 pep primary_assembly:Lsat_Salinas_v7:5:36013293:36013813:-1 gene:gene-LSAT_5X16620 transcript:rna-gnl|WGS:NBSK|LSAT_5X16620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:CcmFC [Source:Projected from Arabidopsis thaliana (ATMG00180) UniProtKB/TrEMBL;Acc:G1C2X7] MVQLQNFFFFITSMVVPRGTAAPVLLKWFFNRDVPTGAPSSNGTIIPIHIHSFPLLVYLHSRKFIRSTDGAESGVLVRASRPILLPVIIGGSSFETRARKDLFRFIHGDFSYLEAFCCVLRLLFFRTFFSLPCDSSAKRERARRRKGQRLQPNGNEQGRKERS >cds-PLY65290.1 pep primary_assembly:Lsat_Salinas_v7:8:104130114:104133004:-1 gene:gene-LSAT_8X70541 transcript:rna-gnl|WGS:NBSK|LSAT_8X70541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein coupled receptor 1 [Source:Projected from Arabidopsis thaliana (AT1G48270) UniProtKB/Swiss-Prot;Acc:O04714] MATVQAVLGNLTAGERHVLTAVNSGASTLSLLGSGFIVLCYVCFKELRKFSFKLVFFLALSDMLCSFFSVVGDPSKGFFCYAQGYSTHFFCVASFLWTTTIAFTLHRAVVKHKADVEDFEPMFHLYVWGTSLVMTVVRSIGNEHGHVGNIGRVAAWCWTETGRTGKAVHFFTFYAPLWGAILFNGFTYFQVIRMLNNATRMAVGMSDRGSQSDTRVDMKALNRWGYYPLILIGSWSFGTVNRIHDFIEPGHKLFWLSILDVGMAALMGLFNSIAYGLNSSVRRAIYERLDLLPESLRRCFPKKLKSRSQQQQHESELVSLRIEDQHQ >cds-PLY76549.1 pep primary_assembly:Lsat_Salinas_v7:8:90802520:90805231:-1 gene:gene-LSAT_8X63381 transcript:rna-gnl|WGS:NBSK|LSAT_8X63381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADTTHHLRICVFLLLSIHGCSFYLPGVAPQDFYKGDILKVKVNKLSSTKTQLPYLYYSIPYCRPQQIVDSAENLGEVLRGDRIENSPYEFKMRVPRMCNIVCRIIPNERTSREFKEKIDDEYQVNMILDNLPLVVPITKSEQEQDSHVQYHHGYFVGHKVWYAGMKEDKYLINNHLTFTVKFHKDPEFEYARIVGFEVKPFSVKHQYDGEWSDDTRLTTCDPHAKKLVAGVDPPQEIEDKNEIIFTYDVEFQESDVKWASRWDTYLLMPDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISNYNKQEEESKFEQETGWKLLHGDVFRPPVNSDLLCVYVGTGVQFSGMILAAMIFALLGFLSPSNRGGLMTALLLLWVLMAVFGGYATTRLYKSLKKSNWKTITLKTGVMFPGIIFVMFFILNALIWGEKSSGAVPFSTMFALVLLWFCISVPLVFAGGYIGFRKPAIENPVKTNKIPRQIPEQPWYMRSAFSILIGGVLPFGAVFIELFFILTSIWLHQFYYIFGFLFIVLIILLVTCAEVTIVLCYFQLCSEDYEWWWRSYLTSGSSGIYLFIYSIMYFFTKLNITKGVSGILYFGYMLIASYCFFVLTGTIGFVACFWFTRVIYSSVKID >cds-PLY87800.1 pep primary_assembly:Lsat_Salinas_v7:9:120486459:120490407:-1 gene:gene-LSAT_9X79080 transcript:rna-gnl|WGS:NBSK|LSAT_9X79080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA-type zinc finger protein with TIFY domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G24470) UniProtKB/TrEMBL;Acc:F4JQX4] MYGKSTVSEFGGIPPVVSSTTESEVIRGNPDGVGSNGVDSIDNRNVSYGLDDADGGSDDICDFEGIDGVVYSELSVMPSGDAGDQLTLSYCGQVYVFDNVTTDKVQKVFLHLGGCEFPVGQQGAELAYQNERDFDYLARCSDPRRAASLSRFRQKRKERCFEKKIRYNVRQEVALRMKRKKGQFVTSQKEKEKESGPWNTAAEAEGGAEDEMAVTTISCGHCGIRSKDTPMMRRGPDGPKTLCNACGLFWANRGTMRDLSKKSSDHSSSLAQQVYNCKDEYMPRCSNAAELGFGN >cds-PLY63322.1 pep primary_assembly:Lsat_Salinas_v7:9:133522765:133524556:-1 gene:gene-LSAT_9X86081 transcript:rna-gnl|WGS:NBSK|LSAT_9X86081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQYLDGTRVYIKGSLWEGFADSNGGWTDGPYEIQNPEDFFKDEFYNYGFNPEVGNVGMPVADTIRATMPEEGWVIPLFNELESGYVEEVANPIWVYHKYIPYSKPGKVHDQILMYGKPKDLDDFCLKAQLVNYVQYRALLEGWTSRMWSKYTGVLIWKTQNPWTGLRGQFYDHLHDQTAGFYGCRHAAEPIHVQLNLATYFIEVVNTTSEELADVAIEVSVWDLEGTCPYYKVSETLSIPSKKTVSLFEMTYPKSKNPKPVYFLLLKLYDTSNSHIISRNFYWLHLPNTTGDYKLLEPYKKTKIPLKLTSDISISGSTYNVRVKVKNKSKKPDPVTLLDKNKFMETNFDCDFDEVDKNKNKNKKKEKEIGLFKKVYGLLKEDDDGVRVSEVNGSGVGVAFFLNFSVRGSGKGFEKKGDKRILPVHYSDNYFSLVPEEEMTVNISFEVPEGVTPRVSLRGWNYDGGHTVR >cds-PLY81354.1 pep primary_assembly:Lsat_Salinas_v7:4:35207300:35210201:-1 gene:gene-LSAT_4X23640 transcript:rna-gnl|WGS:NBSK|LSAT_4X23640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRQAVALLNDEDVDANSSSSSKCPSTFLNFVALGNTSAGKSAVLNSLIGHLALPTGEGGATRAPICIELKRDGNLSSKSIVLQIDRKSQPVSARSILANILLVLGCMFFCGGIFLPKKEQVFYKPNIVMSLGLLLMAVMGLLFPAILHFTHTELHFGKSELALSRFSSCVMLITYGAYLFLQLTTQKNSYSPITEEDSPKEDNSDDDDEESPDISKYECVIWLSILTLFISILSEYLVNSIEGASVAMNIPIAFISVILLPIVGNAAEHASAIMFVMKDKLDISLGVAIGSSTQISMFVIPFCVVVGWIMGRPLDLNFQLFETTTLIMTVLVVAFMLQDGTSNYFKGVMLVFC >cds-PLY69067.1 pep primary_assembly:Lsat_Salinas_v7:3:206985765:206986505:-1 gene:gene-LSAT_3X121521 transcript:rna-gnl|WGS:NBSK|LSAT_3X121521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDASLRYVGLKEYIVDYLKSQNHPKVEMFSHVMPHRLEMPWRTINNHIDCGVFTMRHMETHMGGSMNEFKVGFKNESSAQDDQLVKLRTKYLYKIVTHEYNVQKDYMLQKVDEFHKIPSRQRSQLLAIAKEQIHTRLDDFI >cds-PLY74540.1 pep primary_assembly:Lsat_Salinas_v7:7:33620582:33623101:-1 gene:gene-LSAT_7X25760 transcript:rna-gnl|WGS:NBSK|LSAT_7X25760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g29280 [Source:Projected from Arabidopsis thaliana (AT1G29280) UniProtKB/TrEMBL;Acc:Q0V866] MEVGFHPFTLHQEDNQNSPENSDESPPSPLFYDTKATSTSSPKRSRRGTHKRVVQVPIKDVEGSRLKGETNAPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRTDPTMVMVTYSCEHNHAWPASRNHNHHPHQNPPPASPPSASTPTTEAETSNTDDRIEEETPILITQSEPDQLEPEQKFSSLDVGSCGPFITDQYGWFSDLDSTSSTMLESPLMTRDVVEDSDMAMIFSIREDEESFFADLGELPECTTVFRRREIERVEEHSRNLAPWCGSTG >cds-PLY73486.1 pep primary_assembly:Lsat_Salinas_v7:2:99367157:99367646:-1 gene:gene-LSAT_2X43661 transcript:rna-gnl|WGS:NBSK|LSAT_2X43661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDENKQKCSRSSTHSSSRLSHVNNLDDGCLMHIFSFLSPIPESGIVEVPVLVSKASHSTLVIPEIQ >cds-PLY90481.1 pep primary_assembly:Lsat_Salinas_v7:2:7621089:7625507:-1 gene:gene-LSAT_2X3700 transcript:rna-gnl|WGS:NBSK|LSAT_2X3700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 7 [Source:Projected from Arabidopsis thaliana (AT3G60830) UniProtKB/Swiss-Prot;Acc:Q8L4Y5] MFGSMDFEVLELQFCTKLTKDLMEAVVIDAGSKLLKAGVAIPDQAPSMIIPTQMKIMNEDGSMPDSPSLQANNVDPVVRGFIKDWDAMEDLLHHVLYNGLGWEIGNEGPILFADPLLTPKAVREQLVQLMFETFNISGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVIEGAVQHVASRRLEIGGSDLTNLFAQELAKSNPLIKLDTSEVEKLKEQYASCAEDDLAYENTLQSCQEEQHTLPDGQVISIKRERFTVGEALFQPSILGLEAHGLVEQLVRIISTVSSENHRQLLENTVLCGGTVSMTGFEDRFQREASLCSSSIRPSLVKAPEYMPENLSMYSAWVGGAILAKVVFPQNQHITKGDYDESGPSVVHRKCF >cds-PLY67319.1 pep primary_assembly:Lsat_Salinas_v7:8:235731887:235733267:-1 gene:gene-LSAT_8X141741 transcript:rna-gnl|WGS:NBSK|LSAT_8X141741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIADGSYFQNKEDPLVRLLGREHRGRSRTISNIIGHTQVYGGLFKNVENSRTTRPRQQVDMIPSLHESSGASGGRLTEYPPIEVRTECELLVNVADTQLKVANGIAWPTSETVIHSQPISTGCVKVQVDEIVEIYENLSVHAVTRTEEVEYVKHLLHTIVQWPRYAIKLVNKTPSKSNSSTGMGSNRASPHIHVDDIMTTSVYRPQFEENHIAYQHEINEHFQGGLVDMMFSMNAPQVDLNAPEPRARPEPEFESELESESESETEPEPEFLTHDEPDLITSLSKLQEQRPQIQSVGFQGVEFFRNANVVNTFSPKGMYRRRVQIIIQYIEVLQLLLRD >cds-PLY85186.1 pep primary_assembly:Lsat_Salinas_v7:9:148133970:148134335:-1 gene:gene-LSAT_9X94561 transcript:rna-gnl|WGS:NBSK|LSAT_9X94561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKRNKPTFAGGSSKQWKDTIETEDTANSKPKEEEIEEIELGNIEIMQEILSYRNRKGVWPWENPYDLQRFCFPYIHVGIGNEGGWLKKIEEMKNKFNDESAPMENVDKKEFKLWKKIWGN >cds-PLY91196.1 pep primary_assembly:Lsat_Salinas_v7:4:124876565:124877179:-1 gene:gene-LSAT_4X78620 transcript:rna-gnl|WGS:NBSK|LSAT_4X78620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLFPTNRVYKSFIGMSYYNTSIPPVILRNIMEKNPGWYTLYTPYQAKIAQGRLESLLNFQTMVTDLTGLPMVNASLLDEGTIAAEAMAMYNNIWKNKKKTFIIASN >cds-PLY72731.1 pep primary_assembly:Lsat_Salinas_v7:4:372705454:372717020:-1 gene:gene-LSAT_4X183480 transcript:rna-gnl|WGS:NBSK|LSAT_4X183480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RST1 [Source:Projected from Arabidopsis thaliana (AT3G27670) UniProtKB/Swiss-Prot;Acc:Q7XZF5] MDSFYPLLERTRLPQPSLQKLAVISIFEKLRSPSTLTGPESDPGIHAVTQCLNSNSPAVVDQSVRELCLLVKDSKLKLSRGLMELQSALDGSDSRFVNVFVKAIGFLVQLGFRNDHLCFRFQSSEAHPFVKIISCRIEVQSELVRQVLMFISQNRHLGMEGVCEFLKPFLNLLVIQMSSSATMSSLARNLLSSIASLCCSFPQDAIPVFKLLMGCCKYVQCNNTEDVTNVSYLMEAIVDAFVGVLSHLAANGVLIHDAQLCGAELLEMVFSLYTDILKYSGGEEGIFDMSRRLIGVQAELGLKFIPEASSVMLSLFVNLIHSEIEHIQLSILKLVLDLIKWKSGNDTINDTHEEILFVFPAINLMSSPSRYIKEAASELLIILKELSVNFLVAPINEVLMEEDKFPRISRLEDIIFRVFRHLWFQDQTSSSGSFYLNLVLDSEKFVEEKQNLFKSWSWTASITEYCQNMVEIQKSSLPKSQSQEILPREIPALLGAIASVVIVHPTLGNSAVDLLAVTGNMDPKLGVPLFLVILFYQNIFSGKSEEMDLHDILLKILRMLPSLVSHPAMIPLVVQSILPMLQKDANPVLYATALRLLCKTWEINDRIFGSLQGLLLPEAFTQFKHERSICISMAVTVRDVCKKNPDRGVDIILSVEACIESTDAMIQALGIQSLALLCEADVIDFYTAWGVIKKYVVSYLNDPVVANSICLLLRWGAMDAEAYPENATSVLQILWEIATSRNPSHGSLWANARESAFEALTQYEVHHLQQFIPDFREKNIQVLVSEIDPKVLGAMERFEAKIITHQHITRRRVVKEKRLPANKIEKLLDVFPRVIGISGTNNKARELPGAALFHLSFGTKDENTQRGSKVMHDLHSRYENALMEIAASLQLSRNIIVALVSLQSWKPFLQHWMRACVMLLDVKKPSNVLDTASKAADDILKCMRQMAEKSIPRSAENIGLAMGALCLILPPSAHATKASASKFLLSWLFQHEHEYRQWSAAISLGVISSCLHVTDHKQKFQNINALVEAASTSKSTLVRGACGAALGYSCQDLLTRIQVESDSHLDKELQESDLLGKIVRTLCGLINQYAQSSSRDLQTLSEYFPSNTIDPDIAQTFSEKTSDYLEEDIWGVSGLVIGLGGSIPAIYRSGRIDVVKKIKDLIISWIPLHNMSMSENLELVLSMGACLALPFVVSFSQKVELIEGAEIEYFASGYRDLINELLSTKNSEAFRQSLLMAACVGAGNFVGCVLNEGIHSLDAKCVKDLLDMFKKIYSNRQPPLMHLGAMLGVVNALGAGAGTLFLNCPLPFSPSVSEHKESCYMSGPLLSNTVMELHLTSLIQDIFLVGQNSDDQQLQQYAAWSVSFVRHYIWSSDLHNAKPNSASASASQSFPDDSIVLKLSLWLMNLNYSQTTTSLPLNTIATVLRCLTHAPRLPQLDWGPLIRRCMRYESDSVDYKEKLREECLVFSLFHGSNFNALLTFLDELCDFSRFKMLEMNLQMCILSHLPHTLKIFSGSRLEKLLNDLTNFIQSPFSSDQSYNSNNNPEQKSLLRKSFWKGIRVCFEEASLDSEKLMVEFEDCMEVLFSLLPQSSVLTYEWEEWSEAVKCLGKARGEWLSHCLEIPAEMSLSLSLSQKENIGFLEAKKKMIAKARLVKIGCIPLIELSKLKPYIFNTRSDGIWDVLMEVVMALQHAEGSVKRQWLVDAAEISCVTDYPITGMQFMGLLCGSVSKYMPLLIVNPHTVLSDLPLTLTSLLLHDDDDGGNWGVVAEPLVLLMWTLTNRIYDSWVTNANANTNDVFLLKLTHHTCVALKHHLPPDKQLLLANMTVP >cds-PLY66863.1 pep primary_assembly:Lsat_Salinas_v7:7:21855318:21856860:1 gene:gene-LSAT_7X16741 transcript:rna-gnl|WGS:NBSK|LSAT_7X16741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLQESLNSFKKQQEKCQSAPKSKSIAGSKTIVKTATPANTSTKLSSPSFKFPNDTKRLQHINYNIRKSPIEAQIYRVIDLLFKKRQSITAEQINEACYVDVKGNKAVFQSLANNPKVNYDGKRFSYKLKYNVRDKKELWSLIQTFAEGISVADLKDAHPTIVEDLQALKAAREIWLLSNPNSKEDIAYPNDPQLLFKVDDELKQLFRAIELPHDMLEIELDLQKNGMKPATNAAKRRVMAQNCNICNKPKQKKKKAKISK >cds-PLY85146.1 pep primary_assembly:Lsat_Salinas_v7:9:147269167:147273705:-1 gene:gene-LSAT_0X6961 transcript:rna-gnl|WGS:NBSK|LSAT_0X6961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGAANGGRMPPRQIFSDVNGGQDPVIRVGPTSNAGSDCGAIEFTREDVESLLHEKIRTKNKFSLKEKCDSMMEYIKRLRICIKWFQELEENLLLEQEKNGKMLESAINRCNDMELLMSEKEEELNSVIMELRRNYTALQEKFAKEESDKSVAMETLTKEKEARLSAERLQTSIKEELERAQRESKSANQKILSLNDMYKRLQEYNTSLQQYNSKLQSELNQTNEILKNVEKEKVAMLENVSNLRGHCTSLQDQLNATKANTNETLKQKEDLASEVGRLRLDLQLVRDDRDRQLSKVEDLSKEVSKYKEWSGKSSDEIENLTSSLLSSQAICSSQSDQIKKLQQKLTTAESKLEMSDVSAFEIKTGYEEQKKINSDLQLRLAEAELKHYEGELLRKKLHNTILELKGNIRVFCRVRPLLCDDDGDNETKTVFFPTTTEGLGRGVELLQNGKKHSFSFDNVFVPESTQEEVFEEVSQLVQSALDGYKVCIFAYGQTGSGKTHTMMGTPGNHDEKGLIPRSLEQIFESRETLQNQEWKYEMQVSMLEIYNDTIRDLLSTNRNCSNESGKYAIKHDPNGNTHVSDLTIVDVRSSREVSFLLNRAAQARSVGKTQMNEQSSRSHFVFTLRISGVNENTGQQVKGVLNLIDLAGSERLSKSESTGVRLTETQYINKSLSCLSTVIFALAKKEEHVPFRNSKLTYLLQPCLGGDSKTLMVVNVSPAASSANESLCSLRFAARVNACEIGTPRRQTSFRQVDGRLSYG >cds-PLY83656.1 pep primary_assembly:Lsat_Salinas_v7:4:42410194:42412124:1 gene:gene-LSAT_4X29381 transcript:rna-gnl|WGS:NBSK|LSAT_4X29381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIFQGVGAATALSSSTSFDTKKFHFSTRRSLPERKTSFVVVRSGAKMNSGSNRTDGRADHLVTNAVATKSDNPAASTASKPGHELLLFEALREGLEEEMERDARVCVMGEDVGHYGGSYKVTKGLADKYGDLRVLDTPIAENSFTGMGIGAAMTGLRPIIEGMNMGFLLLAFNQISNNCGMLHYTSGGQFKIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSDNPVILFEHVLLYNLKERIPDEEYVLSLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGNSIKKTHRVLIVEECMRTGGIGASLTAAITENFHDYLDAPIVCLSSQDVPTPYAGTLEEWTVVQPPQIVAAVEQLCR >cds-PLY63873.1 pep primary_assembly:Lsat_Salinas_v7:1:125800223:125805928:1 gene:gene-LSAT_1X93941 transcript:rna-gnl|WGS:NBSK|LSAT_1X93941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSELPEESSSSSSTHAHSPSTHGHSSSIDGYRYDVFLSFRGLDTRLSFTNYLYEALIEANITTFLDDEEIDTGEDLKPELESAIKASRASIIVMSKNYASSTWCLDELVLILEQRITSNHIVIPIFYHVEPTHVRKQQSSFGDAMAKHKQTMEAERNANKRSQWAQKMEQWNKALVDVANLKGNDVNGRFETEFIGEIVKDIYRRLKVPLRSAQPLLIGMDYHIDFVTSWLKNGSSHRADILIILGMGGIGKTTLAKYVYGLHCREFHTSSYIEDISRKCDGKFNGLLDLQEQLCGDISKISLTKVHDVLAYTSKIENAVAHKQVFLVLDDISTLDQLDALLGSKGFHPGSKIIITTKDAWLTESCALFKSNIKPKKTEVLLQSLHETESRQLLCVHAFMCNYPKTGYEEVLYKLVNYCQGHPLALEVLGKSLHNRDVAYWEGCIEVLKKETSSPINNVLRMSFDSLPSNNDKELFKHIACYFVGIDRDGTGNLVGLVLDMRMLEKEKDASFELKTDALINMDNLMLLQLNYVHMNGSYANFPEELRGLSMHGFHLNSIPLDLPMENLVALDMSYSSIESFVGSYSNPRLEKRQKVDGSCLKDKKLLGSLKILNLSFCKQLRSVGDFDQLPALETLIVRNCISLLEVCESIDQCVELFFVDLSSCDKLENLTKIIGMLKKVKTVLLDGCNLGESRIKNMDIESLEMCKDSDIGIYKGTSSSTFVRAIPSDLKLFSSSLSWSLVSLSLANNNLSDESFPMDLSCLSMLKELYLDGNPINSMPSCVRTLPRLEILSMNNCEKLKSVEHPPRTLSRLLLYSDQQQFVEKVVFDPEMSPLELSSNWRVGYTPWSYEIEGMLKIEAMVCVEEKTMCYEFGIFSTWYEEEKMPSWFRYRSLGTSISFTIPSSSPKNLTALNFCYVQTLKSIDEWLEFSYDPQFPRSPMITISNITKNRMWIYERHMDRVIVDGNYWVMLSHWMFGMNEMEAGDHITITVTEPDDELVKECGVSLVYDDGEEKEDVLGYYKSWNHIIGGDLSPFQTITGEYILDNMRFFADGIHLFPYHRKFVPDGPSFQDLRWGMKLSSLRTHVEHVKQL >cds-PLY86030.1 pep primary_assembly:Lsat_Salinas_v7:3:73775072:73782499:1 gene:gene-LSAT_3X61481 transcript:rna-gnl|WGS:NBSK|LSAT_3X61481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin like protein for actin based chloroplast movement 2 [Source:Projected from Arabidopsis thaliana (AT5G65460) TAIR;Acc:AT5G65460] MSNNRWNWEVSGFEPRKSPAEQPPSVPAPAPAPIVRRYSISTPSIVAHSELISKQSVATKLHNLKDKVKHAKEDYVELRQEAVDLQEYSNAKLDRVTRYLGVLADKTRKLDQAALEAEAKVAPLLNEKKRLYNELLTAKGNIKVFCRTRPLFEDEGPSVVEFPDDYTIRVNTGDDAVSNPKKDYEFDRVYGPHVGQAEFFTDVQPFVQSALDGHNVSIFAYGQTCSGKTHTMEGSSHDRGLYARCFEELFDVSNSDTTSTSRFNFFVTVVELHNEQMKDLLSKSESGQGQPKVDLVQEKVDNPLEFSKVIKRALLNRSDDKNKINVSHLITTIHIYYDNLITGENLYSKLSLVDLAGSQTLGLEDNNGDDTTDVLHVMKSLSALGDVLASLTSKKEDVPYENSMLTKLLADSLGGNSKTLLIVNICPDVSNLSETLSSLNFASRARNSVLSLGNRDTIKKWKDTANDARKEFYEKEKESLDLKQEVVGLKQALNVANDQCVLLFNEVQKAWKVSFALQSDLKAENIILAEKNKTEKDQNNDLKSQVGQLVNQVQEQKLQLQQRDSTIESLQAKLKSLEAQLNVTLQSKTSLDSNSTKEDIESTSVSKKLEEELQKRDALIERLHEENEKLFERLTEKATSMGSQLALGAPPKIQNQANEIGRNDINNKEHTTTDVVPFSPKKPDGTLALVKPGQDKIKSTPAGEYLTSALNEFDLEQYDGLAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDAVFAFIRKMEPHRVMDTMLVSRVRILYIRSLLARSPELQSIKVPPVDRFLEKPISGRSRSSSRGSSPGRSPVRYDSSFKNAIIDDHFLGFKVNLKPEKKSKLSSVVLKIRGIDQESWRQHVTGAKLREITEDAKSFATGNKSLAALFVHTPAGELQRQIRSWLAMTFDFLSVSGVDAGGGVTGQLELLSTAIMDGWMAGLGAAMPPLTDALGQLLTEYAKRVYNSQLQHLKDIAGTLATEAAEDSSQVAKLRSALESVDHKRRKILQQMKNDTALLTLEDGGSPVRNPSSAAEDARLASLIALDGILKQVKEIMRQSAVNIMSRSKKKGLVTGLDDLSAQLSSLLEIDHPCAQRHIADARRVVESIVEEDDQPPQGPSRAISGEHSGNETEVTQWNVLQFNTGSTSPFIIKCGANSHSELVIKADDRVQEPKTGEILRVVPRPTVLENMNLEEIKQVFAKLPEALSLLALARTADGTRARYSRLYRTLAMKVPALRELVGELEKGGGLKDVKS >cds-PLY86598.1 pep primary_assembly:Lsat_Salinas_v7:1:132948001:132954839:-1 gene:gene-LSAT_1X98220 transcript:rna-gnl|WGS:NBSK|LSAT_1X98220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 24 [Source:Projected from Arabidopsis thaliana (AT4G30890) UniProtKB/Swiss-Prot;Acc:Q9FPS3] MADQPQVLLFGSFSEDEVKSWLNKPSKNTEKPVKNKTLDLDSLKISSGISFGSFNGEAISNQKPEALVHPKENGVQHGNNGNMKQKPVENINHCSSNIPSKNTPAYVVQNQIQSFVGVKEETLNNTFNGHLKDSEICLLPRGLVNLGNMCFLNATLQALLSCSPVIQLFQGISTRNIHKTGYPTLAAFMEFISEFKMPAGTISKDMNNLQTGRPFSPTMFEVVLKNFTPDVPNSISGRPRQEDAQEFLSFIMHQMHDELLKLEGQYSSTANGNGSSLVSSVEDDDWETVGPKNKSAVTRTQNFAPSELSAIFGGQLRSVVKARGNKASATVQPFLLLHLDISHEGVATIEDALRLYSIPETLDEYRTTSGKAGVVTARKSVNLQTLPKIMILHLKRFGYGTNGSTKLHKSVRFPLELVLSRDLLVSPTTEGRKYTLISSVTHHGREASKGHYTADAFYPKDQWFRFDDASVTAIPTNKVLHDQAYILFYKRV >cds-PLY62012.1 pep primary_assembly:Lsat_Salinas_v7:5:116583466:116587176:1 gene:gene-LSAT_5X52221 transcript:rna-gnl|WGS:NBSK|LSAT_5X52221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQSDPVTEWSSSGGQIGLEEPMWQLGLGDASESYPERPDEADCIYYLRTGFCGYGSRCRFNHPRDRGSVIGALRASGGEYPERIGQPVCQYFMRTGMCKFGASCKYHHPRQGVGSLSSVALNVSGYPLRPGEKECSYYVKTGQCKFGVTCKFHHPQPAGMVASPVPLSAMPSAMYPNVQSPSVASSQAYGVLTGNWPVARPPLVPGSYLPGTYGPVLMPPGMVPFPGWNPYQASLHPSGSPSSQPIIGPGPGGGYAMTQLSPSAPSYPLTKSQKEQHVFPERPGEPECQFYMKTGDCKFGSSCRYHHPPEWNLPKSNFGLSPMGLPLRPGAPLCSHYAQNGVCKFGPSCKFDHPMGTLSYSPSASSLADMPVAPYPVGSYMGTLAPSSSSSELRPEVTSASIASNFSKMNQISQSAGSSSTTTHGGSGGGSGGDGHSTN >cds-PLY84991.1 pep primary_assembly:Lsat_Salinas_v7:3:122741140:122741328:-1 gene:gene-LSAT_3X85460 transcript:rna-gnl|WGS:NBSK|LSAT_3X85460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKHIFFSSNSSSTPSTDDVVQRGSAPPPVQITELLIQDVIYPRSAFPSPQVETVPPIPTPI >cds-PLY76460.1 pep primary_assembly:Lsat_Salinas_v7:5:199224564:199229719:1 gene:gene-LSAT_5X89720 transcript:rna-gnl|WGS:NBSK|LSAT_5X89720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSDQKMMMKVNIKKSSIIPPSEPIADCPKQLWTSNLDLVVGRIHILTVYFYRPNGSANFFDPNAMKKALADVLVSFYPMAGRLDRDESGRIVINCNGEGVLFVEAESDSTLDDFGEFTPSPEFRSLTPTVDYSGDISSYPLFFAQALGRKELRCLSLSRLVRVRKVLKFY >cds-PLY83817.1 pep primary_assembly:Lsat_Salinas_v7:3:51287736:51289589:-1 gene:gene-LSAT_3X40720 transcript:rna-gnl|WGS:NBSK|LSAT_3X40720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:THF1 [Source:Projected from Arabidopsis thaliana (AT2G20890) UniProtKB/TrEMBL;Acc:A0A178VVV5] MAAIASISFHGVTQSSSERKICLPSPSPSRSSLSNFDSIRVRTTFSFRSISVRPSKSSSRFAVHCMSTGSEAPPVSETKLKFLKAYKRPIPSIYNSVLQELIVQQHLMRYKKTYRYDPVFALGFVTVYDQLMEGYPSDEDREAIFQSYINALNEDPQQYRLVTEFPPSFYFFFLLADAERLETWARAQNSSSLVDFSSKSGEIEDLLKDIAERAGGNGSFSYSRFFAVGLFRLLELANATDPTVLEKLCAALNINKRSVDRDLDVYRNLLSKLVQAKELLKEYVAREKKKQAERAEPQKANEAVKSFLAENYDTRK >cds-PLY89945.1 pep primary_assembly:Lsat_Salinas_v7:8:249677669:249680672:1 gene:gene-LSAT_8X147461 transcript:rna-gnl|WGS:NBSK|LSAT_8X147461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVYEELQYVGFVQLIELKALALLTNIYDFFKDNSGFLKSAVVSVESIVISPLQLINEKLIILCDYKLSFIDDKFEKYAPDFIKNLLGGLNSYIKEHISSVEEFFGTLIQKARTIVENGLSSIESLIQKCIDAKKAIFEKLVKRTESILENGLDYAKQALDKIKIVVGGIPIIGDATQSFITNQIEKVTQWIETTTSYIKQYGIFGTITTTVNQVVKETEEITASIIEKGTESASQVIYITISVVGGIPIIGDTAQSVLTSQTDMATQLINATTSSIKENGIVGTITSAVEQVASEAQQTTASIIQKGTESVNQVLDTTISAVGGIPIIGDAAQSILTSQTDMASQLIDATTSSVKENGIYGTITSVTTSVTQNVINGAQSTTESITESVSQALDTTASAIGSIPIIGEAAQSIITSQTDSVKENGIFGAITSATQNVISGAQSTTESIIQKGTESASQALDTTKSVIGSIPIIGDVAQSIITTPTDMASQLIDTTTSSVKENGILGAITSATQNVISGAQSTTESIIQKGTDSAGQALGSTRYFIIGFPIIGDAADSIITKQTDMANQLIDSTTSSVKQNGIFGAITSAAGRVVNEAQATGTSIIQKGTESASQALDTTKSVVGSIPIIGDTAQSIITKQTDMANQLIDTTTSSVKENGIFGAITSLTQNVGGSIIQMGSDSASQALETTKSVVGGIPIVGNAAESIITTQTDMASDAVESSTSSVKKHGLLGAILSAAGQVVEDVGNTANKIIEKGSDSVEKTLEKTTSSIGDIPILGSIFGKKK >cds-PLY89221.1 pep primary_assembly:Lsat_Salinas_v7:5:310923651:310926186:1 gene:gene-LSAT_5X169721 transcript:rna-gnl|WGS:NBSK|LSAT_5X169721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCKDCGFFKWYNEEDGHIIIDPSHTEQWQRQMKTLMITLMVKGTQRVHSLTRRVGSGFRARVSWRLGESIFWTRRVCPSGRNPKSPGCPPFKPPYSPQYRLLHPLKLRRRRKEQGEGFHSRDSRESKSSLSRGDTGAAAVSPQSYSLSSQFTSFGLRSDVSFELRFDVGDTVPVSFGLRSDVSFGLRSDVLPQAEGRARDDGIAHTTASAFILGVDLVLDFYMTWI >cds-PLY99629.1 pep primary_assembly:Lsat_Salinas_v7:6:81348581:81349390:1 gene:gene-LSAT_6X58121 transcript:rna-gnl|WGS:NBSK|LSAT_6X58121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLSNQYFENVAMKINVKVGGRNSVLAAALANRLPYITERPTIIFGADVTHPSPGEDSSPSIAAVVASMDWPQVTKYKALVSAQPHRQEIIEDLYSTTTDARRGVIHTGLIRELLRGVIRGSGRGVVKSDTTYVGSGSGSNNVASTTTIMEVNNSCAVPFRTDASALGSYTPPAATVSGRRRFSEAPVHGHGHVQSAMSGKEQ >cds-PLY75717.1 pep primary_assembly:Lsat_Salinas_v7:5:137401414:137401850:-1 gene:gene-LSAT_5X59861 transcript:rna-gnl|WGS:NBSK|LSAT_5X59861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVMSVESVYTISKIKNFVAVAVGSGHQGYTRCYGYPCPPFKIIILDDANSMTEDAQNALCHTMKTYSDTDGKY >cds-PLY90802.1 pep primary_assembly:Lsat_Salinas_v7:2:106540827:106541327:-1 gene:gene-LSAT_2X47681 transcript:rna-gnl|WGS:NBSK|LSAT_2X47681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:RALFL32 [Source:Projected from Arabidopsis thaliana (AT4G14010) UniProtKB/TrEMBL;Acc:A0A178UXD6] MESPIPIKILLLHLSLFSAALHHHVDASFLPENTATPPRYGSLEDLVEELLESDISRRYLEEKRYISTGALKRDQPACGGGGGGEAYTKSGSCTPPPSNPYSRGCSKYYKCRS >cds-PLY91453.1 pep primary_assembly:Lsat_Salinas_v7:MU039439.1:368742:369239:1 gene:gene-LSAT_0X16720 transcript:rna-gnl|WGS:NBSK|LSAT_0X16720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLLDFPTIDCVQQRIKRKLEDFIQDDRSSCDIVSVRMRKHDPNPDSPSSTASLNSFPVKITTHHPHFQPRVSSSSICSFPNFTQFFVRMISGGKILVLHGNPKDKIMSIDKNIQSATGIPIMEQNLFYGGKQLHWEQTLSQCGITYDFGLHLVGQMRSTGHPQA >cds-PLY94563.1 pep primary_assembly:Lsat_Salinas_v7:9:202796934:202799001:-1 gene:gene-LSAT_9X124660 transcript:rna-gnl|WGS:NBSK|LSAT_9X124660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLNKLWDDTIAGPPPDKGLGKLRKQSNLSFRSLNSDKESETAGNTAVEYPSMKVTRSIMIVKPERTLSDTPPASPAGSTPPVSPFAGSEAFRFRRKSASDAFEKASGIGSRSPRPPYEL >cds-PLY97363.1 pep primary_assembly:Lsat_Salinas_v7:3:243918817:243923024:1 gene:gene-LSAT_3X134681 transcript:rna-gnl|WGS:NBSK|LSAT_3X134681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVGLIIFSSRDKLYEFGSVGVMKTLERYQRCCFNPQDNNNERDTQSWYQEVSKLKAKFESLQRTQRHLLGEDLGPLSVKELHNLEKQLEGALTQARQRKTQIMVEQMEELRRKERELGDMNKHLKIKVSHELSSFDNEGQGYRTQLQCPWNSPGNNTTFQMHPSQSNAMEIQQEPILQIGTYNQFVHGEGSSVQRNMIGESSIHGWVL >cds-PLY75216.1 pep primary_assembly:Lsat_Salinas_v7:2:202910407:202911308:1 gene:gene-LSAT_2X124061 transcript:rna-gnl|WGS:NBSK|LSAT_2X124061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSDDGGGGVVGGKIKGSWSPQEDATLMKLVEQHGPRNWSLISSSIPGRSGKSCRLRWCNQLSPDVEHRPFTREEDDVIVRAHAIHGNKWSTISRLLPGRTDNAIKNHWNSTLRRRGVSNSGFAVAVAVAKRPLTDGSSESTQSDHLPASKKQCSRNSDHSSCDGPPTALTLLPPGDIKIKTVEEQVITEKNAEVDDDVEEKSTVEMENTCLVTIMKRMIAEEVRIYINELRSKEGHPPS >cds-PLY81600.1 pep primary_assembly:Lsat_Salinas_v7:2:41334916:41335168:-1 gene:gene-LSAT_2X18300 transcript:rna-gnl|WGS:NBSK|LSAT_2X18300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLKEHAFASPDKVAEIVQKVNAGMQNELPRVMEKMKLYLQNPSTRTILFKPIK >cds-PLY86318.1 pep primary_assembly:Lsat_Salinas_v7:9:34986340:34987584:1 gene:gene-LSAT_9X33080 transcript:rna-gnl|WGS:NBSK|LSAT_9X33080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQQCRCETRIQHTIRTHITYKCDPSEKWLVLIGIAPGSPEKPQLGKGNMHLFSVYQQRSQALEAHAASFASFKLHKVDRIFIHFKQVSGNVNPSILIYFATKSSNAGQISHKYGLIYVITKLGLLFVYDLETATAVYGNRISPYPIFLTSEASSVGGFYAVNRRGRVLLATLNEATIVPFVSGQLNNLELAVNLAKRGNLPGVENLSLKTLYKN >cds-PLY75388.1 pep primary_assembly:Lsat_Salinas_v7:6:178726357:178727039:1 gene:gene-LSAT_6X108840 transcript:rna-gnl|WGS:NBSK|LSAT_6X108840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGLASIMFGLIADAFGGASFNAIGIIAFSIAGTGGDDDTLMSTAVCFPAQTIGAAGGVMPLLELMPLEYKHLLEGPTLKVDLHAGAIGEGVLTFVITFVVLLIIIKGPNSLFLNNWMLSMATMVVILVGSSYTGPSMNPVNVSITKLFQFIVNRVP >cds-PLY62734.1 pep primary_assembly:Lsat_Salinas_v7:8:48697741:48700911:1 gene:gene-LSAT_8X36721 transcript:rna-gnl|WGS:NBSK|LSAT_8X36721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDEIEERNRDDDEQMHYNSTNLSSDWPFNSSSDLTNTSMAMVTSSNPMNNNTPCSSASMIDSFCHTPPTIWDHNPTNPQNLGAFCDMNLQNNPTTSSSSLGFRKGNLHTPSRNLDMSWPPPNSAIKRGGMFIPPPPAATSTMLPHTLSQFPADSGFIERAARLSSFSAGNFEDMINPFGNGPESSLSPYSRPVQEGFVNGFKSTSGEGSKDIPLPVDCDGSQPKNDARQGGSGFSGNNLPGEAEFSEGGQDDTSNEGLGSKKRRRSDQDTDYNQVKRSPQTPNESTKNNTEIQQMGDKNPNSIANKSSGKHGKQVSQSSDGQKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRMDFNLEGLIAKDMLESRGVPSATLGFGADMAMAYNPSQMAIMQGGISGLGSSSDAVRRTINSHLMAIGGVYKDPTSQVPSSWDDELNNIVQMGLNPSSTQNLGSTPPSHLKAEP >cds-PLY64536.1 pep primary_assembly:Lsat_Salinas_v7:6:37682918:37683301:1 gene:gene-LSAT_6X27220 transcript:rna-gnl|WGS:NBSK|LSAT_6X27220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSRNSDQQFDGKMSFSGGYGGSLMEVREKEEEEVGKKEGPAEYKGVFLKGGQTWSKRVNASQQVTRTLTRVHADRVNKSIAILIYPSRHMIDSCPQTVHFNKHADKLTMTRGPRELHWISSVFG >cds-PLY71247.1 pep primary_assembly:Lsat_Salinas_v7:MU044000.1:15978:16190:-1 gene:gene-LSAT_0X29640 transcript:rna-gnl|WGS:NBSK|LSAT_0X29640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNISGLIGSSVIYSSKKSSCFSFASVTIEIHHRKSYPSSRRPSKDRFYTYGTVIRHEEYWDYESPSH >cds-PLY82686.1 pep primary_assembly:Lsat_Salinas_v7:2:18329882:18330908:-1 gene:gene-LSAT_2X8360 transcript:rna-gnl|WGS:NBSK|LSAT_2X8360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGDAPPPPPINGGEFLLHLLKNPPQHPRSHSQPPPPPQPSQVLPQDPAVAAVGPSIPFPPQSFPPHGTDYLSPSRSPLFPPHNYFNQGLGFPQNPKPNPNLNPNLINPKPNWHLNFMQNHHQVSNQGVFDDLSKLGLIYGNNQQQQDRQNKFIFGTLQSDIQSSKVSRNGNLDYDLANIEKHLMKERELSMGNSRMNGSEVDLHRNAELRQNLQISSLEFGNYGSKGASSQQQVRRIPPPGFSSNPRSVCKRNMEQSMDRGKGNHRDVISSGERLHSGERRGLIQQFDDPETMTLTRGNWMSVMNI >cds-PLY88943.1 pep primary_assembly:Lsat_Salinas_v7:8:130571188:130571517:1 gene:gene-LSAT_8X90480 transcript:rna-gnl|WGS:NBSK|LSAT_8X90480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIPGEDSGLGPEEYVETNYDFGETQEEVEEVGNITEVTDEPPTSKRTLEHPAAPEDLAARVRYLEEEVAILRQQLWATEGRAELAEYERDMVNREMSELADRVARHIDI >cds-PLY70999.1 pep primary_assembly:Lsat_Salinas_v7:9:70041606:70044214:1 gene:gene-LSAT_9X60041 transcript:rna-gnl|WGS:NBSK|LSAT_9X60041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGCVVAGSESKKRRCEEFVALPELGPKRAKIRDLESVLRSEGKAKVNTASASDFSTKYEAPSCIEDTTQFESGINCVKPKGFGFDLNTEDVSSSISNDLFHPDNDYKHVKPRDSLSECGSTCNLGGQQDSMRVWKEMKQNGFLSSSHGGVPVPPVPKSRGRKKGNESLIKKKIEIAKKEQVDRFAKVAAPSGLLNELNPGIINHVRNRKQVHSIIENLVRSARTENKHGESKQKGLENETWKDSYGCQSDELRGHHANVNCEDDTLALKLSSSANMESVNMSHLSNEESGNMSTVDSLSFKAANVASQWLELLLQDIKGRLAALRRSKKRVRAVIQTEFPFLVSRELGYNQENECYDVKNSENIHFNKGNADLHRAKWGTLFDQMDNALCEEEKHLESSLNQVNEMLMHCEHGLLQFHPEKDCRVGKTETTPYKDLAVKAAAAAIYSTSNFLKSMENLPCF >cds-PLY76111.1 pep primary_assembly:Lsat_Salinas_v7:9:31009673:31011376:-1 gene:gene-LSAT_9X28261 transcript:rna-gnl|WGS:NBSK|LSAT_9X28261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADFHGYFILFLIWLISTIFIRSFLKSIRAKKLNLPPTLFSLPIIGHLHLLAPIPHQAFHKISLKYGPVFRLFLGSIPCVVTGSPEVAKEFLKTSENAYLDRPQNSAVAYLTYGSKDFSFAPYGSYWKFMKKIVMSQLLNGTTLDLLLPVRQDEINRFIKSLERKAKAGHAVDLDVELVKMTNNVISRMLMTERCSEEEDEAGEMKKLVTEIAEITGKFNLSDYIWIFKNLDLQGFGKQLKGIHGRFDALIERIMKEHEEARKQKTGVVKDLLNMLLDVAEDQSMEIKLTRENIKAFILDIFAAGTDTSAITTEWALSELINHPNIMKKAVEEIDKVVGKDRLLQESDIPNLPYLQAIVKETLRLHPTGPMIPRQSTEDCTIAGYHIPANTTIFVNVWALGRDPNHWENALEFRPERFEECHLDVRGQHFHMLPFGSGRRMCPGTSLALQVIHATLGCMIQCFEWKAGEDGKLIRVDMEEGVGITLPRANPLVCLPVARLNPIPLSI >cds-PLY79857.1 pep primary_assembly:Lsat_Salinas_v7:8:16578058:16582607:-1 gene:gene-LSAT_8X11701 transcript:rna-gnl|WGS:NBSK|LSAT_8X11701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDPIFLPPSSSDREGIRRFPPSFFRRRLRKTTSHTLHARFLKKKPISCSIHQSIEISPVVDKKRDENKRPLVKMCGITSAKDAALAAEAGADFIGMILWPNSKRSVSISTAKEISKVAREYGAKPVGVFVDDDSNSILKASDDADLEFVQLHGDGSRDAFSVLSKEKQIVYVLHANEDGKLLNHISDGDCCLVDWILVDSAKGGSGKGFDWSKFKLPPIMSKNGWLLAGGIKPENVISALSILKPDGVDVSSGICASDGIQKDKSRISSFMTSVNSVQY >cds-PLY65419.1 pep primary_assembly:Lsat_Salinas_v7:1:61385448:61385925:1 gene:gene-LSAT_1X52081 transcript:rna-gnl|WGS:NBSK|LSAT_1X52081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQHFLLYRCQHVDQVMFEGDLEKEVLPGHFAVVAVKCEKPKRFVVELRCLTNPGFIRLLKEAGEEYGFKHEGAIVIPCEPHELQMIIQEMRDM >cds-PLY75784.1 pep primary_assembly:Lsat_Salinas_v7:3:70017880:70020021:1 gene:gene-LSAT_3X53341 transcript:rna-gnl|WGS:NBSK|LSAT_3X53341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQQEMASEVSAVIVPRSFRLLEELERGEKGIGDGTVSYGMDDADDVYMQSWTGTIIGPSHTVHEGRIYQLKLFCCHEYPEKPPSVKFQSRINMSCVNQETGVVEPSLFPMLSDWQRDYTMEDILTQLKKEMTSPQNRKLTQPPEGNDEGRVDPKGLVLRCCIL >cds-PLY70665.1 pep primary_assembly:Lsat_Salinas_v7:5:71362013:71364988:-1 gene:gene-LSAT_5X33160 transcript:rna-gnl|WGS:NBSK|LSAT_5X33160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:La1 [Source:Projected from Arabidopsis thaliana (AT4G32720) UniProtKB/TrEMBL;Acc:A0A178V700] MVAKQLDEETVKKVIRQVEFYFSDSNLPRDNFLKNTITESEDGMVSLALICSFSRMRGHLGLGDAKAEDVPEDTVEAVAETLKNSTTLKISEDGKKVGRTTELPKEEVAIEQLDSRTIAASPIEYDVKLEEVESFFGQSAKVNSVRLPRHVGDKRVFCGTALVEFSCEEDATKILTQSLTFRGAELALKPKKEFDEQRAKEEEAESTRRNMLQNRKNSPQEEEYPKGLIVAFKLKSTTSEDPSVEKGNEVKLETEGKPDSMELGTEDKKESSPENEEKTETKKLSADMYKDNKDVVLREDLKSVFQKFGTVKYIDFKMGEESGYIRFEEAEGSQKARAAAVLAEEGGLIVKNYVAILDTVTGEAEKEYWNMLRGQEKFRGNNKGNWSRGGGKNNRGGRQFNGKNNRSRDNNNSGNRPNKFHKVAAA >cds-PLY92757.1 pep primary_assembly:Lsat_Salinas_v7:8:66590878:66591405:1 gene:gene-LSAT_8X46780 transcript:rna-gnl|WGS:NBSK|LSAT_8X46780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEHFCECYSDLSGLILCPVLGSITPLFIPNSRIRPIRLIGLCASLITFLYSPVLRIQFDPSTAKSQFVESLRWLPYENIHFYLGIDGISLFFVILTTFLIPICILVGWSGMRSYGKEYITASLIREFLMIAVFRMLDPLLFYVLPESVLIPMLCGAEHLIFAGIKLFLCRGLVQ >cds-PLY81501.1 pep primary_assembly:Lsat_Salinas_v7:8:159328238:159329121:-1 gene:gene-LSAT_8X106261 transcript:rna-gnl|WGS:NBSK|LSAT_8X106261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTKSLGSMYGLEQHRINISMGMASLDLPLPPPPLNLPRSDLLVGRREDYNEICVPTYKATITGDWKAAKLILDKRPELVRFSITESYDTVLHIAVMGKSYWFVEYLVSLMEKEDLELQNKNGETALCLAAISGHVKIASILVRKNKALVEIPDSRGMMPLYMAALYGKHEMVRYLYQSSRKMTGDFWTNHHRSCVLVKCVEANLFGKYLDSWLLIIDFLLVFLL >cds-PLY65228.1 pep primary_assembly:Lsat_Salinas_v7:8:20696354:20697884:-1 gene:gene-LSAT_8X15720 transcript:rna-gnl|WGS:NBSK|LSAT_8X15720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:OBF-binding protein 3 [Source:Projected from Arabidopsis thaliana (AT3G55370) UniProtKB/TrEMBL;Acc:F4IWU4] MVFSSLPSYLDPSNWHQQQPAGGVGVGGDHEISHQLPPSLLPPPQPLGSSGDGRSLQTSERGRMAKLPQPEMAMKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKKSSKASRGSRSKSPTATTSSTSNTPISPNYSCTTDILGHNTRPSQFPILPPLHHYTGGDLGLTFGVGNGTDLINLGHDSNYPTSKFARFPFLEAANGGMYGEGPSNYSGQVGGMKMDENHHQQEQHQALNLSRNFLGISGNEQFMSTSNVAWGTTHLSGFTSSSTTTTHI >cds-PLY65441.1 pep primary_assembly:Lsat_Salinas_v7:9:185543896:185546168:-1 gene:gene-LSAT_9X114000 transcript:rna-gnl|WGS:NBSK|LSAT_9X114000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNDQPQQQPVSIQVPVPRKKRTSIRLMDITEPQEVEFNGLRQHCGHFQLHRMLPSSSPHVDSGFIDFAASLPRVFQLWNFSHTIFISSSQLQQQFLLKQLVGNIVDIAKGLRTKFDEDQHQPRNQNDGGKGHDKRPEIVNINGPRPCSNCHGQQASVTVYNQNMLNIAFFSAQEMRRRLS >cds-PLY64711.1 pep primary_assembly:Lsat_Salinas_v7:7:138648870:138650238:-1 gene:gene-LSAT_7X83761 transcript:rna-gnl|WGS:NBSK|LSAT_7X83761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEDLSISEVPLSVDKTVELEPTSIYDNNLTKKNESSRQVIYVNKIVASECTPTQEKNTNDTNKSVSPTIHTDCVAIGTNYNFKYGG >cds-PLY85728.1 pep primary_assembly:Lsat_Salinas_v7:1:48037690:48041494:1 gene:gene-LSAT_1X42021 transcript:rna-gnl|WGS:NBSK|LSAT_1X42021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKKRSCPSLQFLPTAAAVMSLRPSPSYRGGRNQWRGRGFSNRPHATDKIISGNNDDNGEFRPDYNPPPPRSHFRPNSDPRFNQGQYVQPRGQPPPPYSNPYQQFAPPPLYYQNQQFQQQGQPRPHFNPNQQFRPPPFYNQGQQQSNFDQNQQFRPQGQPPQPYGQFRPQQRFRQRPSKPLDYRNWEQAKPGPPPGCERFTILSYNILADYLAINHRNKLYFHIPRHILDWEFRKRNIMFELGLWSADILCFQEVDRFEDFEEDLKMRGYSGIWKMRTGEAVDGCAIFWRSSRFKLLHEEAIEYNKLGLRDNVAQICVLESLNGKSSTESPTPICSNKVVICNIHVLFNPKRGEIKLGQVRVLLERAYATSKLWDNAPVVLCGDFNSTPKSSLYNFISDQKLNVSELPRNKISGQDSGEIRPKRQFTNTFRTQPTDNATQSPDNKEVQQEDEKTTSLLPDKTHEHEKVEGNAGNTTVTLSTEEIFEGMEDVSVSVSEDSTSFLSELHGADGSEFVGVNMSSEGGELDSGGTPEKSGYGYDPSGWTPMEIEAATGSSECTTMEHPLRLRSTYSEVEDYSGTRDSNGEPQVTSYHRTFQGTVDYIWRSDGLQTVRILAPIPKHAMQWTPGFPTKRWGSDHVALVAELAFSKDVNDETSQDV >cds-PLY75542.1 pep primary_assembly:Lsat_Salinas_v7:9:34040477:34040791:1 gene:gene-LSAT_9X30200 transcript:rna-gnl|WGS:NBSK|LSAT_9X30200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTQESVNMQWGGEDVVMGDAVEPQIDEAMRVNESKKVVRVNESEQVVRVNEAEDMGRINQVVSQVYTTGQPSKRKKLERILKLKLDKRVKGEGSSVGSPMELD >cds-PLY87122.1 pep primary_assembly:Lsat_Salinas_v7:5:260562215:260566583:-1 gene:gene-LSAT_5X130581 transcript:rna-gnl|WGS:NBSK|LSAT_5X130581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCVVAVARQRGIDVVLNDESKRETPALVCFGDKQRFLGTAGAATSMMNPKNTISQIKRLIGRPFSDPELQQDLKALPFSVTEGPDGFPLINARYLGETKSFTPTQVMGMVFSNMKTIAEKNLNAAVVDCCIGVPIYFTDLQRRAVMDAATIAGLHPLRLMHETTATALAYGIYKTDLPENEQLNVAFIDIGHASMQVCIAGFKKGQLKVLAHSFDRCLGGRDFDEVLFQHFAEKFKTDYKIDVFQNARACLRLRAACEKLKKVLSANPEAPMNIECLMDDKDVRGFIKRDEFEQISAPIFERVKKPLEKALSEAKLTVDDIYAVEVVGSGSRVPAVIKILTEFFGKEPRRTMNASECVSKGCALECAILSPTFKVKEFTVQESFPFSIALTWQGSSQESQNGNVENQQSTIVFPKGNPIPSVKALTFYRTGTFTVDVQYADVSELQAPAKISTYTIGPFQATKGERAKVKVKARLNLHGIVSVESAQLIEEEEVDIPVTKEATKMDTDKAPADVASGNETDVNMQDANVAENGATETGDKPVQMETDTKVEAPKKKVKKANIPVSEVVYGAMLAADVQKAVEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLHDKLQEFVTDSDREALIGKLQETEDWLYEDGEDETKGVYVAKLDELKKQGDPIEQRYKEHSERGSAVEQLLGVINAYRQAAASGDSKYEHIDLNEKQKVLNDCSEAENWLREKTQQQESLPKHADPVLLSSDIRRKAEALDRGCRPIMSKPKPAPPKAASPPEAPPSPAPAQEGEPQATTSPDPNANVDSNGNPDEAAAMETEKPEXYSSRQSIWMDFVGQVSLVFLVMSRMSSCGLFCFQFSWFSRSIMVMVMVMVMMSVR >cds-PLY85472.1 pep primary_assembly:Lsat_Salinas_v7:3:41510861:41513874:1 gene:gene-LSAT_3X31761 transcript:rna-gnl|WGS:NBSK|LSAT_3X31761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphodiester phosphodiesterase GDPD3 [Source:Projected from Arabidopsis thaliana (AT5G43300) UniProtKB/Swiss-Prot;Acc:Q680A6] MPLKAVHVKNVPNLDQVSDDLNSPTFNTYPHLVQPAEDFMVIGHRGTGMNLLQSSDPRMKSIKENSILAFNAAGKFNLDFIEFDVQVTKDDYPIIFHDNFIFTEDKGVIIEKRVTDLNLDEFLSYGPQREPNKVGKPLFRKTKDGRIFEWKVEKDDHLCTLEEVFQKVNHSMGFNIEFKFDDNVVYKEDDLVHAIQVVLRVVFKYAKERSIFFSSFQPDATLLIRKLQNTYPVFFLTNGGSETYTDTRRNSLDEAMNLCLAGGLNGIVSEVRAILRNPGVIRRIKDSNLSLISYGQLNNMREVVYPQLLMGVDGVIVDLVEEITEAVADFGETIEKEKVGEEEEEEGDEIKCSQCQLSNLMKVIPGLVQP >cds-PLY76490.1 pep primary_assembly:Lsat_Salinas_v7:1:110034567:110036015:-1 gene:gene-LSAT_1X87140 transcript:rna-gnl|WGS:NBSK|LSAT_1X87140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMRPRSGRPNPSRPPRLIVGRTYEQFKPMSEWRQEDDHDTLVLYLPGFQKEYIKVTTEDVNTVRVRGERLVADNKWSRFLEDFPVPENCDMTGIRARFDGGILTITMPRKITTTAIPTATAAAPRVAPKAGEQPFRRTQQDEALQKPKEESKQKETAFESAKKLDRPRVAPKAEEQPFRKTKQEEALQKPKEESKRKDTALESEKKPNRPQSPLKGSTLPPQPIPPSSSKPSKKEPFKTAPEVREKNEELVFGSLPTSIPKKEKHNVVEKPDEEREIHQKSGYGKMDFDDGKEKENPLEEEMKRVSDGRMGKSKDTGVSHGGFLGDKVEEMKRVVARREVSEDRKLLVNVGVGVLVIVALGVHVSYAIGLIGRGK >cds-PLY91850.1 pep primary_assembly:Lsat_Salinas_v7:8:201327429:201331379:1 gene:gene-LSAT_8X128681 transcript:rna-gnl|WGS:NBSK|LSAT_8X128681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METIRKQATKLREQVARQQQAVFKQFGGGGYGGSDNVVTDEAELRLHQKLEKLYISTRAAKHFQRDIVRGVEGYIVTGSKQLEIGTKLSEDSKKYGVENTCTSGSTLSRAAKNFSRARALMEKERGNLLKSFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAVEVSKRQARVREGTGNPDILMKLEAAESKLQDLKSNMTILGREAASAMAAVEGQQQKMTLQRLISMIESERAYHQKVVQILDQLEGEMVSERQRIEAAPTPIETAPPPPSYEEVNNAFTSPTQNGSNDEVDYFLGEVMYSFHAESDVELNLSLGDYVVIRKVSNNGWAEGECKGKAGWFPVGYIERRERVLASKVTDIF >cds-PLY71459.1 pep primary_assembly:Lsat_Salinas_v7:7:190177257:190180222:1 gene:gene-LSAT_7X116021 transcript:rna-gnl|WGS:NBSK|LSAT_7X116021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVNSQDFKNSIPLSSISTPERGTKMSKLTSSSSELDLDRPNIEDYLPSDSIPQPHAKLRLRDLLDISPTLTEAAGAIVDDSFTRCFKSNPPEPWNWNIYLFPLWCLGVVVRYGILFPGRVLVLTLGWIIFLSCYIPVHLLLKGHDKLRKRLERALVELICSFFVASWTGVVKYHGPRPCARPKQVFVANHTSMIDFIVLEQMTAFAVIMQKHPGWVGLLQSTILDSLGCIWFNRSEAKDREIVARKLREHVEGADNNPLLIFPEGTCVNNQFTVMFKKGAFELGSTVCPIAIKQSFTTHLLQLMTSWAVVCDVWYLEPQNMKPGETPIEFAERVRDIISVRAGLKKVPWDGYLKYSRPSPKHRERKQQSFAESVLHRLEEK >cds-PLY74214.1 pep primary_assembly:Lsat_Salinas_v7:5:145771670:145772329:1 gene:gene-LSAT_5X64781 transcript:rna-gnl|WGS:NBSK|LSAT_5X64781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDISNASGKKKPTRFVTNVSPNNTSPTTLLLSNEYVSKMLFVIKPTTTNFKWIPSPTSEYTATKFALVVIGSNPLHFQVIRLSYTKPSDMLTEKVDYDYYNIELFSSTTWQWREFQNIQLPSSVYPVSDEAVTSGGVIYLLLSNDTILRFDIYSEEHILIFAPSIINDFRLYASRLIKFHGKLRYFSVSGDHL >cds-PLY64852.1 pep primary_assembly:Lsat_Salinas_v7:2:31296573:31298613:1 gene:gene-LSAT_2X16021 transcript:rna-gnl|WGS:NBSK|LSAT_2X16021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta(14)-sterol reductase [Source:Projected from Arabidopsis thaliana (AT3G52940) UniProtKB/Swiss-Prot;Acc:Q9LDR4] MGVDLKFFFVRAGMMGWLLINLSVLAKSIQDANLSQSMILYQLFCVIYIMDYFFYEEYMTSTWDIIAERLGFMLVFGDLVWIPFTFSIQGWWLLSNKVELTTAAMIANCCVFVIGYLVFRGANKQKHDFKKNPKALIWGRPPKVVGGKLLVSGYWGIARHCNYLGDLLLALSFSLPCGISSPVPYFYPIYLLILLIWRERRDESRCAHKYKEVWAEYRKAVPWRILPYVY >cds-PLY96204.1 pep primary_assembly:Lsat_Salinas_v7:3:92613178:92613629:1 gene:gene-LSAT_3X69101 transcript:rna-gnl|WGS:NBSK|LSAT_3X69101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAKFGDDTSCHPLLDSETWCDVSGGVKKGRIYGFRSVSDPTSFLEGTSNTITSQEVVYECVRNEMRGEMDAKAAEMEAKHQQMREEMDAKAAKIDAKQQKLDAKYKAMEKMYATLQNMMGN >cds-PLY71013.1 pep primary_assembly:Lsat_Salinas_v7:9:70094129:70096651:-1 gene:gene-LSAT_9X60020 transcript:rna-gnl|WGS:NBSK|LSAT_9X60020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLTDYSIRQSSFDFAPPSTAERMACLGIRHSGVGDCMILKRGGGYTDERSNRLSGFTQLSIPNLAVKIPNHRVSTAVYATLACDSGHRSTLSLFDDGLDLRTEPFGGGDDNEDHDRTCIESPRASEKLDEWMRISVTEIVKNIKQAPLLVQIYADGEVEMKKSPAAKDWRNVVKHRSSSLEGVILVEELQENADQVDSDVKLEEEDGTKAFGVLIQGKIKGIDQCKSTCYLLKTSSVNGGGMGHFCTHFCLMKVQSFHENAFSQFSNCWLLR >cds-PLY99347.1 pep primary_assembly:Lsat_Salinas_v7:1:81758355:81759052:-1 gene:gene-LSAT_1X68820 transcript:rna-gnl|WGS:NBSK|LSAT_1X68820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFNTVLVASVATVSADVWQSVACFSERINSDELLDLVICFPLQQLGRFALCVWNFFCVPPSPPDSYYYSYAYYDDDDGDESDYDSVTSSSVGVAGFSGYDHRRRDSYSDSHSD >cds-PLY84709.1 pep primary_assembly:Lsat_Salinas_v7:5:37143768:37145438:1 gene:gene-LSAT_5X17580 transcript:rna-gnl|WGS:NBSK|LSAT_5X17580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAISLYKGKLHRSPDVPHQWLVPTPKISPRDFKNLLQRRSRALSRLEATTPNPNPNPNENSVEDATSDDREPPESDGPAVEDVSKDEEASKVNDDKTFDDELVKSNHADQQANGQKESKVGDEDETLVIPGEQLVAVDDAKAVVDVPENALNAVDDLQEEGKTDAQHQLEPLKNVTLSDIEKRKKEVEEKLQILNARKHNLVQVLKQILSAEEELRRRSSVQQGTTTGHQSISLQVDVGNDSGSMSRQVTPRPASEVNCNGDTEGADADQNQHSRSLPRMSSVSPSSDSLHRRTPFAMASNPSRTTSGVVTSSPSRFAPTSQQGNGNPTVSVTGTNYIASSPSPAANGGTSVFRDARLPSPWN >cds-PLY84798.1 pep primary_assembly:Lsat_Salinas_v7:8:24096272:24098233:-1 gene:gene-LSAT_8X20860 transcript:rna-gnl|WGS:NBSK|LSAT_8X20860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASFSAFITRVQSYSELSNLSKRSLPSNVFKFSSSFQTRITHTHHHGLKLKHLLKAAPEGPPSELIEDSKFVPLNPDDPTFGPPAMLLTGFQVDEVVKIQKFLKELDGEFVEVIFCTEDMMKGSLWEAVNTKQPNLEASKIAKSLPRICFLSGLTGEEMMMFIDAFPESELAGAVFAAVVPNSADKPLQEVIEEIMGDHEMMKSRESS >cds-PLY95918.1 pep primary_assembly:Lsat_Salinas_v7:6:106076065:106076310:1 gene:gene-LSAT_6X68300 transcript:rna-gnl|WGS:NBSK|LSAT_6X68300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVTMKVLITVAKVMMEVVVTAVVVSGGGMVAVAVVVVVVVQTLEEVVVTTVMKVVMEVTMAMAEVVDVVEVAVERWWRGG >cds-PLY66845.1 pep primary_assembly:Lsat_Salinas_v7:7:20951781:20954413:1 gene:gene-LSAT_7X17601 transcript:rna-gnl|WGS:NBSK|LSAT_7X17601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELQILITVLLFLLLVLFPIFFLFRGKKYPDRLPPGSLGLPVIGQSLDLLKALKADKVDKWFQEGITKHGPIWKASLFGYPTVVLHGPIANKFIYTCDGNILTNTQPPSISRILGSKNIIELAGHDHKRVRAALTSFLKLDVLKQYAAKVDEEIQHHLQTHWHGQHEVQVQPLIKTLTFNVICSLLFGIERGPKRDKLLPHFQHMIEGVLAIPINLPFTQFNRGIIARTKLVPMLIDIIREKREALQEQKQANPLDKDLITSLISIHDDDGSPTMSDEEIIDNIIVVMVAGYDTTSVLLTFLVKLLASNESVYSAIVCEQEEIAKSKAPGEALAWEDLTKMKYSWRVASEMLRINPPVALAFRRAMQDIEYGGFTIPKGWQVLLSSSMTHMDNDIFQNPTLFDPTRFEKHSPQPPPFSFVAFGAGPRMCPGIELAKMETLAMIHRLVTHFTWELLKEDECFVRNPMPEFNQGLFVRITPIKATFMSSKASL >cds-PLY86747.1 pep primary_assembly:Lsat_Salinas_v7:5:60735254:60735556:1 gene:gene-LSAT_5X28721 transcript:rna-gnl|WGS:NBSK|LSAT_5X28721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDEAVIEPSETPYSPIHPMFSIEMVNTPITPPPQTTDVVSVVPPSGGPPPSLAIVVPYFTTHILIPTLNSVTPPLFPNDGVPNPPISPPILLFFPTPTD >cds-PLY82534.1 pep primary_assembly:Lsat_Salinas_v7:2:187753146:187754045:-1 gene:gene-LSAT_2X109441 transcript:rna-gnl|WGS:NBSK|LSAT_2X109441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMCVFTTHSMKNYQIPNSGAKTRGSKYTGQSIKPLPIHILTVGKTRSPGVQLIVKDYMDKIKPYCPIHDLRIRSNPKNSTDPRVQIENEEIGFMNFIKQDDWVVMLDENGIDLKSEEMADLIGDAGTGNKGSGSRMVFCIGGAYGHGMKVRERANLRVKLSSLVLNHEIALVVLVEQLYRAWTILKGQKYHH >cds-PLY82222.1 pep primary_assembly:Lsat_Salinas_v7:1:67685097:67685847:-1 gene:gene-LSAT_1X57661 transcript:rna-gnl|WGS:NBSK|LSAT_1X57661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRLVIERYYSKMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKTDRIEVDKETIDLLASLGMSELPGIVLKEDTPLVSASIPGAYGGGRGGYGGGAGRRY >cds-PLY89087.1 pep primary_assembly:Lsat_Salinas_v7:9:28239979:28243572:1 gene:gene-LSAT_9X24820 transcript:rna-gnl|WGS:NBSK|LSAT_9X24820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTTADQDTRFSNKHAKLLKSQKFPPELENLVDMTKVKMDVMRPWIAHRVTELLGFEDEVLINFIYGLLEEKVVNGKEIQISLTGFMEKNTGKFMKELWTHLLSAQNNASGVPQQFLDAKEEETRKKQEDTDRITRELKRTKEKEGREREAEQERVKMDREVAAAATLEPHSRSRTKDSSKWSTVDDKGTDDRNNGSKATPRITRSPHSPHHSLSPPRGTRSRSVSKSFSNSRSHSRSRSLSASPKPPRRSVSSERRRRVDSRLHSPSPPPRPRGRSPSPARRRLRSPVRRRSRSPMWRRSRSPIRRRSRTPIRRRSRSPIRRRSRSLLRRRSRSPLRRRSRSPLRRRSRSPIRRRSRSPVRRRSRSPIRRRSPSPFQRRPRSPFRHGSQSSASPPPRQVSVSPSPVRRRSPSPPVRRRYQRAPSIPRHRSPSPARRRPAFPTRQRSPPSPRSMSSSPSRQMSLSPRHATPLKSFRGSPRRQQQRSPVQSPRDGHRGVQRSVVDRQAPRKETAPPPVAGRSLERDSKGRRTSHNGGPALSSPQHSSPMGSVSPPPAARSPSVERRYCQL >cds-PLY77005.1 pep primary_assembly:Lsat_Salinas_v7:6:66611558:66614005:-1 gene:gene-LSAT_6X48200 transcript:rna-gnl|WGS:NBSK|LSAT_6X48200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter ZTP29 [Source:Projected from Arabidopsis thaliana (AT3G20870) UniProtKB/Swiss-Prot;Acc:Q940Q3] MVGGLSTSLGALFVILAKAPNLKMLGLLQGFAAGLMLSISFFDLAHNAINSIGFLKGNLWFFGGVIFFAIIANFIPEPSLAPTADSKSKKKYGDEGGKDVMKKHRRQVLFSGIITAVGISLHNFPEGMAVFLGSLKGLRVGLNLALAIALHNIPEGVAVALPVYFATQSKWQAFKLATVSGLAEPLGVIIVAYLFPSSLDPEILEGLLGAVGGVMAFLTLHEMLPLAFDYAGQKQAVKAVFFGMAFMSARYF >cds-PLY97696.1 pep primary_assembly:Lsat_Salinas_v7:8:6256400:6265149:1 gene:gene-LSAT_8X5261 transcript:rna-gnl|WGS:NBSK|LSAT_8X5261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGDRHLLTPQKRLNETSDVERFKRRTPDSLGVISQDVSGPRRVYKLKILLPNGITVLLKIPEGTERISVEELAGRVRNEYAKAVQKTSSPKKQVNWNTQLCFLDDSDHVFRNSLTLTKLEPNRVYNLRLHDGSQPAKIYENMWDLTPDTELLKELPEEYTFETALADIIDNSLQAVWSNGEQEKRLISVEVSDDRISIIDTGPGMDSLSIEKWGKMGASLHRASKGQAIGGKPPYLKPAFGMFGYGGFVASMHLGRHTEVSSKTKDSKKVYMLRLEREALVSGSGSKRTWRTYGSLRDPMKDELQLAPGGSFTKIDIFEPKKKNIDIRQLKCKLKDIYFPYIQCDELSKKGRTIMPIEFQVNGDNLAEIPGGEVAITNLNTCNGPEFVLQLRFQLNHDNDTITSSPGARSSEEANARLKCYYLPVKEGKESIQNILETLKEEGYGYTEDFGSFSHVSCRRLGRLLPDARWAWLPFMDFRQRPGHRTQVLKRSCMRVKCFIETDAGFNPTPSKTDFAHQNPFANALKTLGTKQPPEKEIGVHIDIRRDGKPLTLLQLDKQYQQWLVQMHEKYDEEVDCGIDEPVFIVKFSNKELNIANNVVRVHKAVMRKGKSWDSGQKIKILKGACAGFHKTNIYATLEYIILEGFQGDAGEAWIICRRIEVSEEDGCLLESANGNPTFDLRKSELVPINVIDSGKCLAVDGSEWDNQLKKQYQKCPSSIQILNRRQSLKLGIDSSLPDADKVHASHVSPCDIVAVIRPATYKSGIACEELDQKYVMKDNFEMSLSVTFSENGNGNESNIYSGRVTPSSRKDLHGLYIFQPKCNSHPLFQKAGIYKFTFSIRDSSCEKRVVKVKVEASREVHKWALAKKLPDYNVTVGRCFQPIFVAMFDAYSNQIPFLKVPEVVVKVECNKGVNLKVHKWSPSISSDMSPLILKDLVIGSSNLDNIRPSYDATLMLCRPDGSHMLEIPIKGVRAYVCHLSFIGRNPLVCLFFFNLLFALVFPGSLTRVTVQPENFEKQLIPGHTIKELTLELFDAFGNHLREKEKVQLSMNGFTSLEKSFSSKKVDADGCVDLGGLLKIPETCFAGSYLENLEFEVVDSKGDVDVNFHDEDTSGQSHTLVIKSQSHNVDESVKYGFREGRCIVRAVPVPSEIVGDFSFVVAHACHPDLKLTIKVHVEMPPLIEPLNAKHLSPDETIFPLMDSNNPTPTPTDCTSVQHIYADGIETELESILVFQRDLENELIDFGMRIGKHEENIKLLEYQQRGIESQLLELNVSRYHYQSCSSTRKYKYGSPGKDEITEKIECKTETAAAVVIKLFKMMSEMQDEEDCFGTLMGRIIGVVALVGTAPTLNLSRIFAEYLGDEMLAVVCKSYEHVILLETYEENGKVNRAHALHMFASELGQSINGRYGVICIEDIRACEAEKDVEGKLLLPDPTLPDGTTPKGFLGYAVNMIDIDVDYLNTRTESGYGLRETLFYRLFGETQVYETREDMRRAISSIKDGAVSLDGGILRGNGVMSLGGWEGEGKGDIIFPVAVGAMRNEAASPRVMKRYNELKLKLKRTVEELVTENKSLEKLKKKYKKRRDVYANYFTRNQPIITTTTDVSPISSCLEEKPVVVVPGSYTPFS >cds-PLY86727.1 pep primary_assembly:Lsat_Salinas_v7:MU040588.1:119245:123089:-1 gene:gene-LSAT_0X29421 transcript:rna-gnl|WGS:NBSK|LSAT_0X29421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEYLPPELIIKILSMLPSKSLLRFRSVCKSWHSLISSPEFSPTHLHNFNKFNPRNLVRCCLWTEKKEVYTVHHDDQHLTLDAPIDFPFNLLRDEYGTIFFTLIACCKGVVCLYNERSPKNEILLWNPSIRRKVSLTPPSYRPELTLVFGFGYCANSNDYKVVRLAYDRSNLIDRPEVEIYTVKTAIWKPIEFPQDSPCYHILSDCSQVFFNGSIHWLATDLGVSHCSILTMDMSTNLFGEIQLPEYLVNYSSMGVTLTAVGDSLGVIYSNRCTVVGSSTYKIWVMKEYKKPTSWTLIYDVHYPDTDLGRPLKLRDNGDLVTESRRCNLSIYNRESGCYTVDGCCRKGVKLWSISIDKYEESLALLDVESDGNNEE >cds-PLY95850.1 pep primary_assembly:Lsat_Salinas_v7:5:65156631:65158161:1 gene:gene-LSAT_5X30600 transcript:rna-gnl|WGS:NBSK|LSAT_5X30600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKNLFEASLTGNVQLLNALLQEDELVLDRIPLSCFNETPLHIAALRGHLDFVKILVHKKPILAMSLDSQRRTALHLASAEGHVEIVRELLNVISPEGWRLQDEYGRTPLHLAAMKEQLEVIKVLIQTNPDLGRELQENGDTILHTCISCNRFEPMKFLSQLWNDEELATQTDCNGNTLLHLAVIQNQIQTVKYLLEKSSIRATGIIVNGHGFTALDVLDHCPQDIGALQIRSLLMEANFQRAKDNSDHFGPFQSTTESKYSHTVTNLKNKGDWFEKQRGILMLAAIVMAATSFNLGLHPRGGTFTGSNDGPFGNAVQTKEEMGHFNSFLMQNTIIMVFSLMISLLLLSGIPLGNRFCLWLLNLATLCIVFLTTVTYFTEIASMSPDTWVNPTTLLMCLAWMSLCLLFGFIHTFFFVIWVITKLLTARSKTRRNDSIFV >cds-PLY81931.1 pep primary_assembly:Lsat_Salinas_v7:8:122786780:122788942:-1 gene:gene-LSAT_8X85380 transcript:rna-gnl|WGS:NBSK|LSAT_8X85380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYISTYSTSFDINILNQSSIPTTLLIPKSINNSRPYPVSFAYLISASKGDTAKLKRTIQALYHPGNLYLIHLEREATEDEHREIARFVRDNHVFQLVGNVMIVGKPNRVTYRGPTMLATTLHAMSMLLRIDAQWDWFINLSASDYPLVTQDDLISAFSVLPRHLNFIQHSSRLGWKLNKRGKPIMIDPGLYSSNKSDIWWVIKQRTLPTAFKLYTGSAWTVLSRSFAEYCIMGYENLPRTLLLYYTNFVSSPEGYFQTVICNSKEYKNTTVNHDLHYISWDTPPKQHPRLLGPRDYRKMVLSSRPFARKFKHNNGVLDRIDHELLKRSHPTHFSHGGWCLKSDDDDKHQKCSRFHNDGFGVLKPGAGGRRLATLLKKLTSNPNFSRHQCR >cds-PLY90247.1 pep primary_assembly:Lsat_Salinas_v7:8:14211927:14212094:1 gene:gene-LSAT_8X13921 transcript:rna-gnl|WGS:NBSK|LSAT_8X13921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGFGMLVFFEIVICALCFTSHYSNLLFTFLGWDAGVMPTITNVDWLEAASKL >cds-PLY72238.1 pep primary_assembly:Lsat_Salinas_v7:7:52578661:52581432:1 gene:gene-LSAT_0X25401 transcript:rna-gnl|WGS:NBSK|LSAT_0X25401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICLLESHGMLGIIDGTLVSPENSSSEYRLWRRSDALVKGWILGSLSKETLVYIVNRLTGGKRHQEDFSAKDVWDELQKVYAPVFPKLPPVVAPPVGADTLQDEDLAGDLHSLYNNIQRGYWDWVEYTLNGGRVTVIDKITNNGNTALHVAVGTSKKREFLEILLEKIPENTQLTDVTNSDGSTLLHVAAIIGNTEAAKILVARNPELLAEDNEGQTPLALALSNMHTETARHLLQHINTDTQKDALFSGTTGDGLLVTVISSKDFRFAIDLLEHYKTLNSDAVLMAIAQNLPLNVFEKYKGFLYLYGSLWHKITERCTPWASKFIINPFLYVTTIIIMLFLIIPWMLVSQITKRRFQIHKDAMVLLSNVSGLIKENNNSSSYHHYYTNPILEATRQNAYQVVEVIVSHFPHAIWSANEDGHNIIQYAVINRSEKVYNLLFQMSEHRNIYRTVRDSYGNNLLHLAARLAPNNKLNLISGAALQIQRELQWFKEVERFICPLSVIQRNSFNETPQTVFTREHKELVIEGEKWMKSTAESYTITAALIITIVFAAAITVPGGSDQNKGIPIFNNNTAFTVFAISDAISLFTSVTSLLMFLSILTARFAEQDFLFKLPTKLIIGLATLFISTTAMIVAFGATLYLVFGHRNSRILIPIAVLTCLPITSFVTLQFPLVLDLISTTYGLSIFGKNIRDYRLDGEMLI >cds-PLY69048.1 pep primary_assembly:Lsat_Salinas_v7:9:139053869:139054578:1 gene:gene-LSAT_9X89401 transcript:rna-gnl|WGS:NBSK|LSAT_9X89401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIGSLTTPIRGTSLGFMNDARLSPVVSTGKVHFRCHKGCTCKALLHATEADQVVDSTCRRCSSLYEVLRVKRNATPIEIKAAYRSLAKIYHPDTSDLKQHDHNGNFIEIHNAYATLYDPAERAMYDMKLNTGLGRRSGSFTAGGKRRGVYTSRRWETDQCW >cds-PLY67239.1 pep primary_assembly:Lsat_Salinas_v7:6:134417769:134418002:1 gene:gene-LSAT_6X81461 transcript:rna-gnl|WGS:NBSK|LSAT_6X81461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSIFSSFDALSAEFMCQSFSFFNTKNPASTIQDDQKKVTHETNKNTDCHRSKREYSGGRWAPELDGLHCFESLVFH >cds-PLY92205.1 pep primary_assembly:Lsat_Salinas_v7:6:75048703:75051717:-1 gene:gene-LSAT_6X52661 transcript:rna-gnl|WGS:NBSK|LSAT_6X52661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGICVGKPANVAHVSSSQFMNYAKAQNERKHRSRTIIFKKVSRASGDENCPVPINLKAFTFNDLKTATKNFRPDSMVGEGGFGRVFKGWIDETTLAPAKPGCGQVIAVKVLKSESHQGHREWLTEVDYLGKLRHKNLVKLIGYCEECENRLLVYEFMPKGCLENHLFRKGVEPISWSIRMRIAMDVAQGLAFLHSKEPNIIYRDLKAANILLDSEFTARLSDFGLARNGPVGDNTHVSTRVVGTSGYAAPEYVATGHLTQKNDIYSFGVVLLELLSGRRAIADERAGGVEETLVEWVKPFLLDNRGVFRIMDTRLGGRYPKKGAQAVAALALKCLRNDPKNRPTMDEVVASLEEIMNMSKNIAETSSPVISPKKLY >cds-PLY74163.1 pep primary_assembly:Lsat_Salinas_v7:9:12065825:12073151:1 gene:gene-LSAT_9X8321 transcript:rna-gnl|WGS:NBSK|LSAT_9X8321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNSQGVLLVEKGKVETVFDVSFGRGQMGVEKVLRCLSNSFSRFIHLVTFGVPKNLPCQKEYKDVAGSLKLFKRILDNIDDAHIHSDEILHKEFEELDVAVNEAREFIENWGFHSSKICGAVQSRELIVKIRSYSIKICETVFRSLESSLPPTSTSLADIQRCMEEFESLKLETASEKVEEAMKFIREGKVPPLEHLTEIIELLHLSSSQELLKESIAVEKENLKVDQSNQVNHIVDLIFHIRETMVNLESLKAINGVSVPSYFICPLSLQLMFDPVIVATGQTYERDSIKKWLDHGFTRCPVTRQTLSHTNLIPNYTVKALISNWCDENNVKVNRTVDHNQPELETVSHLTQKDENGFDHRSPVESCSHSRTGSTSTAVSSSDYQHISVSNSGEIASDSGSGFSQWPSDGGNRNYPRTVSLPLDSSPNDITTSSLIEQLVNDLKSNSNSAQTKAAAELRFLAKNNMENRTMIGQSGAIQPLLQLLRSNIKITQEHAVTALLNLSINGNIKSMIAESGAIDPLIHVLETGNPCAKENAAAALFSLSLLEEYRVKIGQSGAVKPLVDLLRSGTLRGKKDAATALFNLSIFHENKARIIQAGAVKYLVELMEPESEMVDKSVALLSNLSSVPEGCLAIAKEGGIPLLVEVVESGTPRGKENAASILLQLCLGSPKYCRLVLQEGAVPPLVALSQSGTSRAKEKAQQLLSHFRSQRENASGRGKS >cds-PLY81621.1 pep primary_assembly:Lsat_Salinas_v7:1:50472527:50472883:-1 gene:gene-LSAT_1X43660 transcript:rna-gnl|WGS:NBSK|LSAT_1X43660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLYICINLQSIPSSFLTNLNDKRCSEAILRRGRHEWSVDIDDGVFGDGWMRFVRENGVQEFDFIVFKNQGCMVFDVMVFEQSTCEKHYPNLFDEMEGEEPLTESETIFTHSKQTMLHT >cds-PLY96198.1 pep primary_assembly:Lsat_Salinas_v7:3:92957579:92957878:-1 gene:gene-LSAT_3X68900 transcript:rna-gnl|WGS:NBSK|LSAT_3X68900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEIEKLTFIPPPTTSTIPTINHHSLTSCAHQIDLLTTTNQPSAPHPSLISAVSLHLQHITTLSLKRHWRIEITKVSMSPSQQYTFPNLIFSCFSSFST >cds-PLY73235.1 pep primary_assembly:Lsat_Salinas_v7:8:178810955:178812140:-1 gene:gene-LSAT_8X115981 transcript:rna-gnl|WGS:NBSK|LSAT_8X115981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISSAASSLTSLYTPTTPQRTPSTSLFSSSNSSFFTTTFKPLNLVQRHRSIPANTKKSGFSCNCMFGLGMPELVVIAGVATLVFGPKKLPEVGRSIGKTFKSFQQAAKEFETELKKESEPIEESRTALNEIIEQENGDANSTKVSL >cds-PLY70850.1 pep primary_assembly:Lsat_Salinas_v7:8:116929488:116931311:1 gene:gene-LSAT_8X81301 transcript:rna-gnl|WGS:NBSK|LSAT_8X81301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSDHSPSILKQCIAILHSRATSIHKLKQIHAFSIKHGVSLNNPDMGKHLLFSLVSLSAPMSYAHKIFKQIDSPNIFTWNTMIRGYAESQNPKPAMDIHRSMRSFAVEPDTHTYPFLLKAIARLITVKEGEEVHSIATKNGFGSLVFVQNGLVHMYAVCGRAESAHKLFEEMSERNLVTWNSVINGFVLNGRPNETLTLYRDMIDEQVKPDGFTLVSLLTACAELGALALGKRVHVYMSKVGLAENPHAANALLDLYSKTGNIKEAHKVFDEMKDKSVVSWTTLIVGLAMNGFGNESINLFKELETKKLTPSEITFVGVLYACSHCGLVDEGFTYLKRMKEEYKIEPRIEHHGCMVDLLGRSGHVQQAYDYIINMPLQPNAVIWRTLLGACTIHGHTGLAEFARAKLIELEPNHSGDYVLLSNLYASERRWSDVSKVREKMLANGVQKSPGHSLVELGNRVYQFVMGDTSNPQNEEIYTKLNEMTRLLRLEGYVPHVVNVLADIEEEEKETALSYHSEKIAIAFMLINTSNGMPIRVVKNLRVCADCHLAIKLVSKVYGREIVVRDRSRFHHFKDGFCSCKDYW >cds-PLY84756.1 pep primary_assembly:Lsat_Salinas_v7:5:230447116:230449638:1 gene:gene-LSAT_5X110760 transcript:rna-gnl|WGS:NBSK|LSAT_5X110760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSSGFANSVILTNSDSKSMITSLISSSSSSSRSFIHCNPSSSSLAYVPSQLRIDFGVRKSISDRGSARVNPRCKVVSERTTDVESPSSVKSSSRSALEALKISAGDRYTKERSSVMVIGLTFHTAPVDIREKLSIPEAQLPQAISELCALNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVTEWMSKVSGVSVSEISRHQFLLYNKDATKHLFEVSAGLDSLVLGEGQILSQVKHVVKVGQGVPGFDRKISGLFKHAIIVGKRVRTETKISSGSVSVSSAAVELAQMKIPESCYDSVKVLVVGAGKMGKLVIKHLVAKGCTKMVVVNRTEDKVSAIREECKNIDIIYRPFSELISSASESDVIFTCTASETPLFLKEQVKNLPLLSSQRMFIDISVPRNVESCVSDLETACVYNVDDLKEVVEANKEDRARKALEAQSIISQEVQEFESWKDSLETVPTLKKLRAYAERIRDSEFEKCMEKMGDLTKKQKGAVYGLSKGIVNKLLEGPMQHLRSDENEGRCLDEILENMHALNRIFGLETEISILEEKIRSKMEKAKN >cds-PLY67242.1 pep primary_assembly:Lsat_Salinas_v7:6:137269192:137272848:1 gene:gene-LSAT_6X82540 transcript:rna-gnl|WGS:NBSK|LSAT_6X82540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMSCCSEIEDDFFDAREVFVSMSDSDSERYSDDCCTSGYKYDSWIGNLDSVDERRNKFIRSIGLSSKWLVRDEESDETSDEKTENPKPAADQQIPDLEDAFLIRQPSLSIWSNPTIELPKPDLVIENFQEESSMNQSFDFGLSSSSQKETINSSLLDRRKKVKKGWLHKLNIISRLTDHESESTVSNGATCTLSVPVHTNKKKSKELSSLYATQDFEAHKGSISVLKFSHDGRYLASAGDDGIVKIWEISEHDDPRKYEIKGNDTSSLYFSSNHLSELAPIKEKNRRIRKSSDLACVIIPPKVFRISEKPVHEFHGHEGEILSLSWSKSGCLLSSSVDKTVRMWKIGYDECLKVFTHNNYVTCVEFNPVDENYVITGSIDGKIRIWEARRSQVIDWIEIRDLVTAICYYANGKGCIVGTLDGNCSFYDIIDKRLHLDAQICVMSKKKWPRRITGFQFCPTDARKVIVSSADSQIRVLCGINVVGKFKGNRSSGSQKSASFTADGKHIVSAGDDSNIYIWNHVSSDKLDAKPKSNVSYETFFSQDASVAIPWCGIKTIAAAFPSPRLINTADVPPRSRIDSPRIPSSGINRSHFLDSLLKTPATWPAEALPHQTQVCVSPSMRKSEYRFLRSAYRNTFVAPHTWGLVIVAAGLDGRIRTYVNYGLPVRV >cds-PLY70312.1 pep primary_assembly:Lsat_Salinas_v7:4:98783504:98786692:1 gene:gene-LSAT_4X63880 transcript:rna-gnl|WGS:NBSK|LSAT_4X63880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERGGGFHGYHRLPIHPTSGMQQPDMKLKLPEISTPTTNTSTDDNECTVREQDRFMPIANVIRIMRKILPPHAKISDDAKETIQECVSEYISFVTGEANDRCQREQRKTITAEDVLWAMSKLGFDDYIEPLTVYLHRYREFDGGERGSIRGDPLIKRTADPGPYGMGPFVHGFHMGHHHNGFFGPASIGGFLKDPSSGGPSGAAAVAGFEPYAQCKE >cds-PLY64905.1 pep primary_assembly:Lsat_Salinas_v7:1:166322753:166328470:-1 gene:gene-LSAT_1X111380 transcript:rna-gnl|WGS:NBSK|LSAT_1X111380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21710) UniProtKB/Swiss-Prot;Acc:F4IHL3] MISSQQSYPLHQVATLRFPISATIASLAFTRHHLPPISASSLHHPHSQQEITPNSNPTITSTNQDKILRTHNAKSTSLLLSTNKTSSKSPNPSGYDYDEMSKLLELSMAKKRTPQFPGSIYVQSPEDVDVNSSLPEIKEVFNGENDDYDYEVILRALEIRREVTLEIFKEAMRKGKFGITYSTNLASRLFPEFIDYVMIQAASMKQLPEYANSSFNVRAKACLETSNVVPLIRWLKHNGLSYPQIGKLMCSARGNIDSIRSTSEWLKSIHVDGRFIGVALLRAGKNILEREIEELDEIVCYLEMNGVRREWMGYVISRCPELLSFSMEELKIRKDFYFDMGMNERDFGTMVFDFPKVLGFYSLEEMNQKVAYLKEFGLGNEDVGRLLAFRPQLMGCSIEERWKPLVKYFYYLGISRDGMRRILTVKPIVFCYDMDTNIVQKVQFFRDIGVEEKGIASMLVKFPSLLTYSLYKKIRPVVIFLLTKAGVSQTNIGKVIGLGPELLGCSISKKLEPNVKYFLSLGIDLNTLGEMIADFPMLLRYNIDILRPKYRFLRRTMVRPLNDLIEFPRFFSYSLEDRIIPRHKILVDNRVNFKLRYMLSSTDDEFHQRVEAAVQRRDRFESGISNDIQLDSPRDNGSEDEIDDEFSIVGD >cds-PLY67005.1 pep primary_assembly:Lsat_Salinas_v7:6:140892409:140895883:-1 gene:gene-LSAT_6X86061 transcript:rna-gnl|WGS:NBSK|LSAT_6X86061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG >cds-PLY69172.1 pep primary_assembly:Lsat_Salinas_v7:5:284535451:284537641:1 gene:gene-LSAT_5X151141 transcript:rna-gnl|WGS:NBSK|LSAT_5X151141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSSTLFKISSFALCLLFLFGTTSGQLSATFYARTCPNFRIVITRAVNSAVSSEARMGASLLRLHFHDCFGCDASVLLDDTANFTGEKTAGPNNNSLRGFNIIDTIKTQLESLCPGVVSCADILSAAARDSVVALRGPSWNVVFGRRDSTTASQSAANSNLPSPGSSLSGLISSFSNQGFTTNEMVALSGAHTIGQARCTVFRNRLYNENNINSSFATSLRPNCPSSGGDNNLSPLDASATSFDNRYYNDLINQRGLLHSDQELFNGGSTDAQVRTYSSNAATFSTDFANAMVKMGNLNPLTGSSGQVRTNCRRTN >cds-PLY95806.1 pep primary_assembly:Lsat_Salinas_v7:7:95839558:95841539:1 gene:gene-LSAT_7X65320 transcript:rna-gnl|WGS:NBSK|LSAT_7X65320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYPNIFLLLSFILTFIYVVLPGFCNSRLPPGPYPFPIIGNILKLGNKPHRSLAILSKRYGPLMSLKLGRRTTIVVSSPDIAKEFFHTHDISFSSRTILDSIRAVDHDKYSIAWLPVGDQWRRLRRITNEYMFSAQCLDGSQLLRREKVQELVDYVDGCSTNEKVVDIGVAAFTTILNVLSKFIFSMDFAQYDTMSSQECREAVMTLLELAAKPNIADFFPILKPLDPQGLVRQGNFYGKKLLNLIDGIIDQRLQSRSSLATNDDVLDTLLKLFHKDESLFSLDDMRHLFYDILIAGTDTTSNTLEWAMAELIHNPEKMKTARAEIIRLMQNNNGNIQEMHISQLPYLQAVIKEILRLHPPAPVLLPHQAIQDVEVKGFIVPKNALILCNIWAMGRDPNIWYDPERFMPERFMEVNIDYKGQDYEFIPFGAGRRICPGLNFAHRMLHLMLASLIQKFEWKLEGNIRAQDMDMEEKFGLTLPRKVPLVVIPIKVL >cds-PLY72075.1 pep primary_assembly:Lsat_Salinas_v7:9:196576491:196583733:1 gene:gene-LSAT_9X121300 transcript:rna-gnl|WGS:NBSK|LSAT_9X121300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWRSDDSLYSSSWSSKPSNLPPPPASSKETGSSSPKGQLCRQFTIDEIRSATQNFKESLLIGTGGFGKLYKGTIKNGTTTSIVAVKQWNHAFNQGAQEFQAEVQLVSMLRHPNIVCLIGYCTDDKEMILVYEYMSNGSLEEHLHKHNTQLSWSQRLQICIDVARALHYLHSGSGQEVIHGNLTSSDILLDSQWGAKITDFGLSKIISFDDCSTHVSTAVSAFSGTLGDIDPEYMATGRLSKKSDVYSFGVVLLEVLCRRRVVDTGLKKEQQGLVRWAQDCVRRGKLKQIIDPELKGHILHTCLNDYVKIVEECLRESQNQRLTMDEVVSGLESILALQERSDHKSIPQMGITTSDWRLDKQFSHGRRNSGGSYFSKVTRLLSAKSLLHKGDHGHISKQTNSPPLSASSKETGLSFPKGETCRRFTISQIRSATQDFNESLVIGKGGFGSVYKGTIKKGTTTTTTVAVKRWKSTSSQGVQEFKTEVYLLPLLQHPNIVCLIGYCTDAKEMILVYEYMSNGSLGDHLNKRITPLSWSQRLKICLEVARALVYLHNGSSGQEVIYNNVKSADILLDNQWVAKIADFGLSKTIPFDDYPTDVISTAVVGTAGYLDPEYVATGRLSKKTDVYSFGVLLLEVLCRRRAIDLSLVQEQVELVRWAQDCLRLGKLEQIIDPELRGRISLKCLNDYVRIVECCLRDRQEPRITMAQVLFGLESIMALHERTDHNSIPQMGITTSDWRLQKYFFSSGENSGGREPEKDFNVIWKPYEMTPMRSIRFSGEDSDQASEPDDEVIVQELPSEEETMKSLYELMQLEYIQRAGGLMRMIDFLHLIWFYPMKKEAEVNFGSLLDKFSSFNKCIRKLQDSSRDERYLMEIQKLLQDIEEDFDDAAFDMVAYLPEGLQVPLASFWSGIPEVHASAIASATQRAISCITDLKVKKLGTAGSGALEIAEILKDMPELRRAFDMVLCVHVKHESIEELMNDIEEEMHLWRKRSLETGSKINVLNKFPNCLLFVDCSDSYIDFHDPEFNLSKWFGTVQIVITENAYCPVDIEIRVEDHLLPWILFSTNVDLETVGKYSEIQQMAAQLIEKCNGHLLAIILLARALRGVVDVGVWVLALNELSSLEKPSSSSSSLEKPSSSSSSQMGVTNDVMVRVLRFIWSRMESLSQRCIVQFTSHYIGSKIKKSSLINSWTKDGLVKGEQEAEDVFEDVIRSFLIEQVGGNCVRMRNEIRVILVTYFVESLPRGYGLYPKQDGSEPNKMPNIEESDAWEIHLSNNIISELPNNPNCPLLVNLFLHFNQDLTDIPVTFFDNMPSLQVLDLSSTSINCLPSSISKLTTLGKLFIRDCDLLMELPPEIGALKNLKVFDSEGTQLVCLPEQFGSLTKLECLKFSLYKQSNQSMQIILAAVLSKLLRLKELSICVDLYGERWEDEVKLIINILPKFRKLKSLTLYFPTTELLSIFMETKSWRKVPIYQHLSNFGFIVGHIQQRLISRVPLDLHKTFVKLPKCFTYTNGEEDLEAISMALTDAAALFLDRHWTLQSLSALGLVEMEKLKFCLLSECNEMLQIVNGLHLEDFFVRPVLGSLQHLSIHYMKSLLCIWNGPIHSQCLSNLKTLAMHSCPELRTIFTQGLLESLTCLECLIIEDCTMINSLVSLGSYNSTSTRYLPSLKKISLLHLPELVSISRGISVAPRLVSLVVYDCPKLEKLSYMKAFDNDIKEIKGENEWWDALKWCEPEWTGGPPNYLANVFIPLGTNGDIMDELADAVNILPHLSD >cds-PLY69981.1 pep primary_assembly:Lsat_Salinas_v7:8:64113888:64115056:1 gene:gene-LSAT_8X45161 transcript:rna-gnl|WGS:NBSK|LSAT_8X45161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSGILGPELAIQVLVQFDKIVHQKTAVALCLTTIKNEDKMEFNRILEAIKANFNDKYDEYRKKWGGGIIGSKSQAKTKAKERVLAKEAAQRLT >cds-PLY63302.1 pep primary_assembly:Lsat_Salinas_v7:3:91272174:91288254:-1 gene:gene-LSAT_3X68500 transcript:rna-gnl|WGS:NBSK|LSAT_3X68500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEEVGNKKKKIVLDKEGFKCEVGISNNYHDETVCTDGSITSADVRAIRAENLICCDEIECKLQKIERKTPLVMMWTTYKLKERQSFEIEAGGFGVGNLIEQGSNLECEKNENQDTHIEKFPENEERQEEDQDMNVDDPINLGLENNIGEETIRHLHNPKRQIEFEGINVDEKINLALEVNNIYETIGKKNLEENVECKNLVEGGEMIGGEKIREQNIIEKVVGDNIGESSIVTPKHDPKGDNNIGESTIVTPKHNPKDISIDFSPWSDSFIEKLDEDLFRIFSNRNPYSKTIPNPVVKSPVPKKLTFENSEFPSFDLQITQLMNDAETSNNSEGIDEDGELEGNEENILDEKGKKGQNVNERGKRKATNPDIFRSPFVSRVINLSEKVSTGQEIMAQIMFRCSGDKDLMEMLFETESGDIMDRKEKLLDSSMPFVERFRLFDATVNNYLYDIKRKVDFNSINLQMYFSKFLDNNRRDKVSLFKYVKPKKMKMAWQTKAKTNDDGMFLMMHMEKYMGEKEEKWDVELGEESVRTFKKIAKLRTFYVDKLANHQLNKQRKLNVAEALEFSKLDKKTRCMLVKEGSEARDKLEMKEV >cds-PLY83931.1 pep primary_assembly:Lsat_Salinas_v7:MU041291.1:188122:190822:1 gene:gene-LSAT_0X19580 transcript:rna-gnl|WGS:NBSK|LSAT_0X19580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRCCMRNPAETRRNGGNYYELLGVSVDSNFKEIKESYRKLQKKYHPDIAGQEGHEHTLLLNEAYNVLMKDDLRKDYDASIGHVRVGFVGDSLDMGYSSWNGPFRPQALFVDEKACIGCRECVHNAHNTFIMDEIIGCARVNVQFGDDDTSIEVAVDSCPMNCIHWVEREELAILEYFNIPRPKQGHGVFGQGWERPQNVFMAAKTFQKQQQSQSQQKNVRCDVEQETEAQAQARESASLKLEMEKFSGLWEWVKKISAF >cds-PLY76470.1 pep primary_assembly:Lsat_Salinas_v7:5:199273892:199275143:1 gene:gene-LSAT_5X89740 transcript:rna-gnl|WGS:NBSK|LSAT_5X89740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFRFGGFDQLYRTPNFSHRAPEVISMGFPEGLLNGRSSGGGGGRSETFRDIEKKIIREEILAEEAERRRVLKAEVRKELMMEREMMAMQSSLGYSSSLMLEPPHSHHNWFHPAALHGESRELEVVSFKRVPQSPERKLFGPTLSGGSTELCYSSRKIGSELKCALCEVTATSERGFQEHLAGKKHKAKAACLITSHTGKTKNCVMESSLKSCKLGASDKKVVTKRKKHSKNLKRRRKSRGKTC >cds-PLY80781.1 pep primary_assembly:Lsat_Salinas_v7:1:70841842:70844451:-1 gene:gene-LSAT_1X59401 transcript:rna-gnl|WGS:NBSK|LSAT_1X59401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:Projected from Arabidopsis thaliana (AT1G08350) UniProtKB/TrEMBL;Acc:A0A178WGW9] MTHGHTSTLIGFFLLLLTTLDLFVSPITASSFDHRYNVGDTVPLFVNIVGPLNNPSETYQYYDLPFCSPDEVIPKKETLGEVLNGDRLSNTLYTLNFREDKSDKILCEKKLKKDDITRFIHAISNEFYFQMYYDNLPLWGFTGKTEADSWTGGNNKNGPTYYVFKHLQFDALYNDDQVIEIRAFSDPNHAVDITDKTEIDITFTYSISWNSTSIDYKNRMNKYSRASLLPTQRQIHWFSFVNSVVIIVLLMGLLITLFMRHLKNDLKKYSSGDEEQDKEVGWKYVHSDVFRCPQRMALFCAVLGTGTQLFTIVCFLFLLAFFGVIYPYNRGIISTSLVVFFILTSPIAGYTSASFFSQFSETGWERCALLSGILYTGPLSITMFLLNTISMSIGATSSLPFSTIIMIILSYTLISVPLLAFGGIMGYRFRSKFQAPSATKISPREIPLLTWYRKTHGQMLISGLLPFSAIVLELHNLYATIWSYKILTLPSILFITFLLLLVLVSLLSVGMTYIQLTVEDHQWWWRSVFRGGSVAIFMFGYCVYYYLKSNMNGFMQSTVFFCYNFLFCYAFFLMLATISFQSSWIFVQHIYNAIKSE >cds-PLY94213.1 pep primary_assembly:Lsat_Salinas_v7:9:20311543:20312667:1 gene:gene-LSAT_9X18701 transcript:rna-gnl|WGS:NBSK|LSAT_9X18701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFHDQYNWLNPVKSFHRSSLRSSFYKANQLRFLNNPHHFCFYCNKRFPFYVEKARINNYDFTYGQFLNILFIRNKIFSLCVGKKKHAFWGRDTISAIESQVSNIFIPKAFPQSGDETYNLYKSFHFPSRSNPFVRRAIYSIADISGTPLTEGQIVNFERTYCQPLSDMNLSDSEGKNLYQYLNFNSNMGLIHTPCYEKYLPSEKRKKRSLCLKKCVEKGQMYRTFQRDSAYSTLSKWNLFQTYMPWFLTSTGYRYLKFLFLDTFSDLLPILSSSQKFVSIFHDIMHGSNISWRILQKKFCLPQRNLISEISSKCLHNLLLSEEMIHRNNESPLISTHLTNVREFLYAILFLLLVAAYLACTRLLFVFGASSEL >cds-PLY76097.1 pep primary_assembly:Lsat_Salinas_v7:9:30029869:30031556:-1 gene:gene-LSAT_9X26581 transcript:rna-gnl|WGS:NBSK|LSAT_9X26581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPINWPLLGMTPSLLWNFNHVHDYMTDVLRNNGGTIMYKGPWFSNMDMIFTSDPANFHYISSTNFHNYPKGPDFREMFDILGDGIFNCDSKLWELQHKTTMSLFNDAGFLMLLEKTIWKNVEEELIPVLEFMSEQGSTWDLQDIFQRLTFDGICNLLMDYDPKTLSVDLPYNELERAITKTEEAIFSRHLLPKYYWKLQKRLQIGNEKHMTEASKLSDELFYKFINEKRHKRRTKICDVKSEQVQDYTLLTGFMREYDDKIGSFDNNHDKIIKDTLLNLLIAGRDTTSTVLTWFFYLLAKNPTAEAKIYRELHAQLGLKEGQKWRSFGAKELGKLAYLHASLCEALRLFPPVPVNHKVSQEADTLPSNHHVRKNSIIILHSYAMGRMETIWGQDVLDFKPERWLSEQGGIKQVPSYKFTAFHAGPRTCLGKKMSLIHMKTVAATIIYNYHVKVVEGQSFTQNASVVLQMKYGLMVKVTKRNEVKPQLATC >cds-PLY90224.1 pep primary_assembly:Lsat_Salinas_v7:8:274698030:274700219:1 gene:gene-LSAT_8X157161 transcript:rna-gnl|WGS:NBSK|LSAT_8X157161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRTGEIHYRIGHPPSTAFIEGTRVIPQVLLEFWYTCRVEGSAGDIMNDRENTVRITLNHLRKILRLSVLPKYDLEVSKSQARSVLLETARISRLKSVQESLQKMPNIDSKGDVKLQHLHYLINLLLPFVKNIREEQEVEIVVEAGACEGVEAWSFDQHLGVAVFIPAGCPFQMRNLQSTVELGLDFFFPKSLAEAVGKVSLYAASSSIKEVQKLVLDPK >cds-PLY66829.1 pep primary_assembly:Lsat_Salinas_v7:7:21829946:21832211:-1 gene:gene-LSAT_7X16760 transcript:rna-gnl|WGS:NBSK|LSAT_7X16760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSQKKGNPEATHRTLSRFDTLPCGAQLDSNNISTFQSLPYTCRTINRFLLIPTSLLFFYPRPPLLFHPINQPQFSFSFIHNDLLNLHLFLFVFNSLKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGN >cds-PLY82375.1 pep primary_assembly:Lsat_Salinas_v7:3:214777092:214777277:1 gene:gene-LSAT_3X124000 transcript:rna-gnl|WGS:NBSK|LSAT_3X124000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRYLGMDHPPFPRAGPYVPPLSQPQGVVHDGVGPSGTHPGDTDNDEDTVGDEDEYERNDE >cds-PLY69691.1 pep primary_assembly:Lsat_Salinas_v7:5:212760654:212762097:1 gene:gene-LSAT_5X97921 transcript:rna-gnl|WGS:NBSK|LSAT_5X97921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYKKGSIVEVLNTDKAPSHSWRYAQIVSHNKHKYTVRYDVYHGNQQQEEEEEHISRKFIRPCPPTVEISEHYPGDVVEVFHNLSWKMAIVSKSFNLNLFQVRLVGSFIEIKARKSELRVRQSWQNNKWVVIGNHNKKQRYTNDSVSVTSSVGSCSVDDKFHQNIKEGDIDDSDDGESVCEGGYFGDNKKKLRLGEEIHRLELKAYRRTIEALHASGPLSWEKESMVTNLRMSLHISNDEHLIHLKNLISSSSSIRNR >cds-PLY77671.1 pep primary_assembly:Lsat_Salinas_v7:9:19335828:19339772:1 gene:gene-LSAT_9X14520 transcript:rna-gnl|WGS:NBSK|LSAT_9X14520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2735 [Source:Projected from Arabidopsis thaliana (AT5G06240) UniProtKB/TrEMBL;Acc:Q9FFZ3] MKVKIVWRKVGDYVRYDLKEIAFPSSLPDPPHFKKRRKLTWKERYLVMKEATRLYAASWVRDIGPELRPNEYKNKEKSGDENDGKTGSNTESEPSTLEDLAVAARGGMETLRPALQRVYMIRASAYRDALKSFIQGYQEGIQQVMEKKDTTTLEPPPQHQEENNDPKKTT >cds-PLY85201.1 pep primary_assembly:Lsat_Salinas_v7:9:146542775:146544834:1 gene:gene-LSAT_9X94100 transcript:rna-gnl|WGS:NBSK|LSAT_9X94100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCSLKQSQLFGCGSNGISHRRNPLVQFSASPSISMPKLPNFSVSSLSKPLHISSIHTLGSSEIQPKRKSLPPCNAYEADQSDVGGVVEKVEAARKAKIGFYFATWWFLNVIFNIYNKKVLNAFPFPWLTSTLSLAAGSLIMLISWATKVAEAPKTDLDFWKSLFPVALAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFILGETFPMPVYLSLIPIIGGCGLAALTELNFNMTGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSLLSLLILTPFAIAVEGPQIWAVGWQNAITEIGPHFIWWVAAQSIFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFRTPVQPVNALGAAIAVFGTFLYSQAKQ >cds-PLY81611.1 pep primary_assembly:Lsat_Salinas_v7:1:50532316:50532756:-1 gene:gene-LSAT_1X43580 transcript:rna-gnl|WGS:NBSK|LSAT_1X43580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRFVETTGDGWSKFRRQENQRQRNDTTTFYVARFPDGTCKKDLHEVFDRLGQISDIYIGGKKKRRKQNFAFIRYAGVIDTHGMELKMNGVRFRGVTLLANLAKYQKERSNRMQISNRKPNVLDAAPKFNFRSRDSRTFAQVAA >cds-PLY71120.1 pep primary_assembly:Lsat_Salinas_v7:9:82812559:82815151:-1 gene:gene-LSAT_9X66060 transcript:rna-gnl|WGS:NBSK|LSAT_9X66060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLQFMCSVTPLARAARSRALVVSSSRTIVTVPTTTTAAATTTKIKASLPDSNVLLGMSEEQLQQLATDFGQQSYRGKQLHHLLYKRRVKEIQEFSQIPLAFRTELQEAGWVVGRSSVHSSVTAADGTIKLLIKLEDNRLVETVGIPVADDKGSVRLTACVSSQVGCPLRCSFCATGKGGFSRNLKGHEIVEQVLAIEEVFNNRVTNVVFMGMGEPMLNLKEVLAAHRCLNKDIQIGQRMMTISTVGVPNTIKKLASHKLQSTLALSLHAPNQKLREKIVPSAKSYPLEAIMKDCRDYFHETSRRVSFEYTLLAGVNDDVEHAKELAELLHQWGPGYHVNLIPFNPIDGTEYKRPYRKAINAFQSMLESRKITVSVRQTRGLDASAACGQLRNEFQKSPLVIPSIDDITSSIDDITPSVDDIISNSEPEPELEQEAAVAC >cds-PLY64219.1 pep primary_assembly:Lsat_Salinas_v7:7:4540684:4541148:-1 gene:gene-LSAT_7X3300 transcript:rna-gnl|WGS:NBSK|LSAT_7X3300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPVKSLVQFRSVSKRWKSLIDSSEFIAAHSLYSHTQHQHLLVSHLDVSQEEDHVLFCQSYTDDDTFPKHRSDLTLPPLFAQRNFHPRVIGSSHGLLFLYNPAHECTRGMVVIWNPSIRKSIVVDVPANLDGVGVGVCAVTSDPKIVSITQS >cds-PLY99200.1 pep primary_assembly:Lsat_Salinas_v7:4:367119440:367120115:1 gene:gene-LSAT_4X179880 transcript:rna-gnl|WGS:NBSK|LSAT_4X179880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIQIPLRKKVTLIPNSMSQRDCDEDQWTPIIVVIIIPNFTHTISKAISNNNNSFAIFFALLYVGFGLSRLCLSKFISLPKHEKLMQQLLLKLDSWFLTTVITFGFVYRLAETMAMYIVVSVGSLVLFVMLVIDLVRVWKIWRHGGEDETPLPEDQTMDDGKFNGYSVSILDKV >cds-PLY77957.1 pep primary_assembly:Lsat_Salinas_v7:1:22433220:22433801:-1 gene:gene-LSAT_1X19601 transcript:rna-gnl|WGS:NBSK|LSAT_1X19601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRASSIKQHHSLTPSKNAIATNDLQEDVFIEDEDEEEDTAGGGVTRHLYLKPTHATGTLSKQVVLRRIRHRKRMNMVRSTVNSLFASISAATPTPTSTSSKIKWIDDPFTAP >cds-PLY97361.1 pep primary_assembly:Lsat_Salinas_v7:3:244334678:244338291:-1 gene:gene-LSAT_3X134900 transcript:rna-gnl|WGS:NBSK|LSAT_3X134900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPKSKLYEFASSSMKETIERYRDHVKDMPTQDSMRAEDVQRMRQLAAGMAKQIELLEVAKRKILGEGIGSTTMEELLHIEQQLERSARIIRARKMQVYNEQVEQLQAKEKQLATENAILNEKCRLQSIETKERGSIFLLLEDDHEDKTSDVETELFIGQPKRRTKKDRSK >cds-PLY73786.1 pep primary_assembly:Lsat_Salinas_v7:8:150714103:150717633:-1 gene:gene-LSAT_8X101300 transcript:rna-gnl|WGS:NBSK|LSAT_8X101300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAVAREDVKSSNYSGHDHNNRKEKANAGNGKKGPVTVLADKSKENIEDKYALDRELGRGEFGVTYLCIDRSSRELLACKSISKRKLRTAVDVDDVRREVEIMKHLPENSSIVTLREACEDENAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGLIDFKREPWPSVSEGAKSLVKQMLEPDSKLRLTAKQVLEHTWLQNAKKAPNVPLGDVVKSRLKQFSMMNRFKRKALRVIADFLSNEEVEDIKETFKKIDTDDDGIVTIEELKTGLQNLNSQLADSEIQLLIEAVDSNGKGTLDYGEFVAISLHIRKMANDEHIHKAFSYFDKNGNGFIEPEELRDSLKEDGDDNSADIANDIFQEVDTDKDGKISYEEFVAMMKTGTDWRKASRHYSRGRFNSLSVKLMKDGSINLGNTE >cds-PLY89684.1 pep primary_assembly:Lsat_Salinas_v7:8:42099495:42099749:1 gene:gene-LSAT_8X32260 transcript:rna-gnl|WGS:NBSK|LSAT_8X32260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSVPRLHLEFKIQSHKKKTNPLRLVLKSSMLQLFIRISPIIAAFYACCKPPTSPANPTDCLHAGSSTVASDAPLLFSTTCRSC >cds-PLY81702.1 pep primary_assembly:Lsat_Salinas_v7:3:34083943:34088558:1 gene:gene-LSAT_3X25501 transcript:rna-gnl|WGS:NBSK|LSAT_3X25501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGILLKDFQGSLPLYILLLCCLVDICQNLTSDGEALVNFRTSIISSDDVLGQWRPEDPDPCGWKGVICDQKTMRVISLNVSNHKLKGLISPDIGKLDHLKFLDLHYNNFYGEIPPELGNCTELQGLFLQNNYLSGFIPTGLGNLSNLQTLDISSNSLDGRIPTSLGNLRNLLNLNVSSNFLAGPIPTDGVFDQFGSNSFVGNSDLCGKQINKLCKDEVGNQQPTGSQNVKKNSGRLLISASATVGALLLVALMCFWGCFLYKKLGKNDAKGIAKDVSGGASIVMFHGDLPYSSKDIIKKLEALNDEHIIGAGGFGTVYKLSMDDGNVFALKRIVKLNEGFDRFFERELAILGSIKHKYLVNLRGYCNSPTSKLLLYDYLAGGSLDEALHENGDEQLAWETRVKIIMGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNFEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLMLEVVSRKRPTDASFIEKGLNIVGWLNYLVTEDRQREIIDGECEGVEAETSDALLSVAIQCVSPSPEDRPTMDRVVKTLESEVMTPCPSDFYDSSSD >cds-PLY64098.1 pep primary_assembly:Lsat_Salinas_v7:5:230900519:230903077:1 gene:gene-LSAT_5X109620 transcript:rna-gnl|WGS:NBSK|LSAT_5X109620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIELSRGFKTDPIMNPFSSGTLLRDMIRAIQVCKTATEERVVVRKECASIRALVSDNDNDYRHRNLAKLMFIHLLGYRTHFGQTKCLKLIAAPRFPKKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHTNQYIVGLALCALAIQFCTDLCNLNEEALEFLRKANILDLKCIEVLVKVLKDVVNSPYAPEYDVSGIADPFLHIRLLRLLRVLGHGDADASDSMNDILAQVATKTESNKNAILYECVETIMSIKDSSGLRFLAIDILGRFLSNRDNNIRYVALNMLMKAISIDDQVVQRHCATILEYSDASIHKRALEFVYLLVNETNVKRLTNELIDYLHVSDQDFKGDLTEKICSIVEKLSPDKIWYIDQMLMVLSEVCIMVVGSCK >cds-PLY74794.1 pep primary_assembly:Lsat_Salinas_v7:6:116252243:116256678:-1 gene:gene-LSAT_6X69620 transcript:rna-gnl|WGS:NBSK|LSAT_6X69620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVELVLEEKDAGNWIYRGEGGLNIVLAYKGSSPNFIGKVLRVRKVKRNGSEFEKAPSALSTHECLVWERVGDLLSASTKDIVNHMYAKHVMSPLLGSQHVDPGVRVQVTKEFLECVHKGVLFKRPSWRVDNARVNTLHDSALLMSDHSIFPHAVHGEEFCISVEIKPKCGFLPISRFIRDVNLAKKRISRFKLHQILKFHQGKISQISEYDPLDLFSGSKPRVLKSIKNLFLTPQNNFRVFINGSLIFGSSGGEAEDTDIQIAQSFEETLKPIIQSDDGMRTSTFLQLVGEAVFKSGIMNRLVDVQKLDAFDIEGAIHAYYDVMGQPCVVCRELGEGNVLSNQSKYDALHSISFEESLKIVRDFLVASTAKDLSLMIGFRGRGKGNTVSNYDVVSIDSSNQSFDYKASFIDLDMKPLEKMAYYYKLDQEIISCYTRMMETVNHPEKSLVETPYQDHLSTAIEDTS >cds-PLY70485.1 pep primary_assembly:Lsat_Salinas_v7:1:72763899:72764339:-1 gene:gene-LSAT_1X64820 transcript:rna-gnl|WGS:NBSK|LSAT_1X64820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPVEEKKPAEKAPAEKKPKAGKKLPKEAGAGAVDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >cds-PLY69468.1 pep primary_assembly:Lsat_Salinas_v7:6:42915879:42926521:1 gene:gene-LSAT_6X32821 transcript:rna-gnl|WGS:NBSK|LSAT_6X32821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKNWQNLAIVAGDAASVVGDGALVFAGDRGCEGLDSEIGEILGSRQVLKAPERLQNSVKGLKMRRMPSQAQADMENEDEVVELCKMLMDGDDEADRKKKKVKDTGATPMSNHNLKNKERLKGLNTPVKTMSGVTRSDGSFSINDNAVKSLKDGNDGGKDGNFDGDEMEKNSSAIKEKYLTKSIQELNLSDCECCTPSYRLLPENQAKEQRSVTPETQIRCVAKNLLFFCLKKSPLMFKLIFFPLWICRDYTNPILPVASLAIDATGQFSLGIDGK >cds-PLY69138.1 pep primary_assembly:Lsat_Salinas_v7:5:285067050:285068390:1 gene:gene-LSAT_5X150381 transcript:rna-gnl|WGS:NBSK|LSAT_5X150381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGTGVVAVYGNGTLTESKKSPYSVKVGLAQMLRGGVIMDVVNAEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTLADDMNHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHVRSVMGDIRVLANMDDDEVFTFAKKLGAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPARRARAIVQAVTHYTDPKVLAEVSCGLGEAMVGLNLDNNVERYANRSE >cds-PLY81453.1 pep primary_assembly:Lsat_Salinas_v7:5:335214193:335214648:1 gene:gene-LSAT_5X188440 transcript:rna-gnl|WGS:NBSK|LSAT_5X188440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWPVPGTLMIEPTESESKAELARFCDALISIRQEITEIEKGIVDTNNNVIKGAPHPPQLLMADKWTKPYSREYAAYPAPWLRATKF >cds-PLY81861.1 pep primary_assembly:Lsat_Salinas_v7:9:181790454:181791146:1 gene:gene-LSAT_9X112081 transcript:rna-gnl|WGS:NBSK|LSAT_9X112081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHRYFFLCSLLASSLSLHGISAAVDYQATNYDPNTPGGIRFTNEIGVPYTKQIMGTINDFIWTTVFQQNNPSDRKPVDSVNLYITEIEGYEGITWGNNNINLSSSFLEGYQGDVIWGFTSLLYHEMTHVFQWNGEGQTPEGLVEGVADYTKLRANYVSSDFAKPGDGDKWDQGYDFTARFLEYCDGIVPDFVAKLNAMMKFAFDVKYFEDLTGKPVDQLWQEYKAKYGH >cds-PLY82856.1 pep primary_assembly:Lsat_Salinas_v7:1:86342609:86342983:-1 gene:gene-LSAT_1X73001 transcript:rna-gnl|WGS:NBSK|LSAT_1X73001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAPYAEADISCRQVIKILSPCIDYLTEGGGVSTVCCNGVRVLNNTANTTCDRQMACRCLKSASAENSGINPINAASLPHKCHVNIPYKISPSTNCTEYVQIYICLYFFLSVTINLSTHLLI >cds-PLY67521.1 pep primary_assembly:Lsat_Salinas_v7:6:69850260:69854578:-1 gene:gene-LSAT_6X49801 transcript:rna-gnl|WGS:NBSK|LSAT_6X49801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSHLCASLSQTSSSSISISFTSSTAFRHRLRPLFFYSPSKVLKLHKKVPAFSTNASMESPVGLSVDSVADGLKKQSLSEDKRVKLNLEDLNWDHSFVRELPGDPRTDIMPREVLHACYSKVSPSVQVENPQLVAWSESVAEILDLDPKEFERPDFPLLFSGASPLVGGLSYAQCYGGHQFGMWAGQLGDGRAITLGELVNSKNERWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAIHSLGIPTTRALSLVTTGKYVTRDMFYDGNPKDEPGAVVCRVSPSFLRFGSFQLHASRGKEDLKIVQALADYTIRHHFPHIENMNKSDSLSFSTGQENDSVVDLTSNKYAAWAVEVAERTASMIAKWQGVGFTHGVMNTDNMSVLGLTIDYGPFGFLDAFDPSFTPNTTDLPGRRYCFANQPDVGLWNIAQFASTLSSAHLINEKESDYALERYGTKFMDDYQSVMTKKLGLPKYNKQLISKLLNNLAVDKVDYTNFFRLLSNIKADSSTPEEELLIPLKAALLDIGKERKEAWISWVKIYIEELLASGVGDEERKALMNSVNPKYILRNYLCQSAIDMAEQGDFDEVRRLLKVIERPYDEQPGMEKYARLPPAWAYRPGVRMLSCSS >cds-PLY65509.1 pep primary_assembly:Lsat_Salinas_v7:3:1582545:1588836:1 gene:gene-LSAT_3X600 transcript:rna-gnl|WGS:NBSK|LSAT_3X600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g27270 [Source:Projected from Arabidopsis thaliana (AT5G27270) UniProtKB/Swiss-Prot;Acc:O04647] MEALKSSFLNPIQLNPQPSNYPVQLNSSSIISCSVSLDPWSLSDGNNKPKPKSKNPKNPLSDDNARRIIKGKARYLSALRRNQGSKALTPKWIKRSPEQMIQYLEDDRNGHLYGRHVVAAIQRVRSLSGLPDGSYNMRQVMASFVTKLTFREMCTVLKEQRSWRQVRDFLGWMKLQLTYRPSVIVYTLVLRAYGQAGKIKLAEETFLEMLESGCEPDEVACGTMLCAYAKWGRHKAMLSFYSAVQERGILLSVAVYNFMLSSLQKKSFHQSVSQIWGQMISSGVSPNHFTYTVVINSLVKIGLTDEAFRSFKEMKAMGFVPEEATYSLLITVTSKKEKEKGIQDEALRLYQDMRQQKLVPSNFTCASLLSLYYRSGNYSKALSLFSEMERYKVVADEVIYGLLIRIYGKLGLYEDAMKTFEEIEKLGLLSEDKTYITMAQVHLNAGNCEKALDVMEQMRSKKVNFSRFANIVLLQCYIMKKDVEAAEVTLQSLSETGFPDCSSCNSMLTLYMKLGLTEKAKDFITQIRQRQVKFDKVLLKAVVKVYCKENMASDAEQMIQELTKNGLFEDDDDRFIQTISMAICGEFTRLEEADSDSLDPMVFELLLTLCETASKKEETLLKLLLKTGNGLLAASQLVNNLIREGLTWKAETVFELLLKLGCKPEISTCSSMIYLYGKQNQMERAKAVVAAEKRLYSCMIDVYAKSGKVDEAYLFYQEENKKGDDIGDVAISMLVNALASCGKHREAGNVINDCLFKKMELDTVAYNTFIKAMLDAGRLKFASSIYERMRANGVAPSIQTINTMITVHGRSRDLDKAIEMFNSAGREGVALDEKAYTNLICYYGKAGRTGEASILFKQMQKEGIKPGKVSYNIMMNVYGSGGGEFYNEAEELFREMQRDGWSPDSYTYLALVRAYAAAHKYMEAEEAIATFMDKQTSSSSCAPHYNILLSAYAKAGLVGEAERLFDQLLLVTGSQPDVGCYGTMFRLYLDYGYVEKGISLFETITATATGGVKQDRFIMSAAVHFYSSAGLVVKAQAVLSRINSLGIPFLNNLQIGSID >cds-PLY78844.1 pep primary_assembly:Lsat_Salinas_v7:5:305334691:305335644:1 gene:gene-LSAT_5X165041 transcript:rna-gnl|WGS:NBSK|LSAT_5X165041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQYKIIKEIGRGSYGVVYAALNKLTGEVVAVKKLNMKYPSVEECANLIEVKALRKMNHPNIVHLKGVFKVNHIMYLVFEYMQCSLFQLMRVKTFSEDEIKNLCFQIFQGLAYMHGNGYFHRDMKPDNLLLSKNVIKIADLGQARETNGKQPCTDYVTTRWYRAPEVFLRSSVYDAAVDMWAMGAIMAELFIHRPLFIGDSGGEVLYKICRVIGSPTESKWSEGLQLARNMNYRFPDHPGMPLASLLPSASSEALCLIATLLSWNPCMRPTAMEALEHPFFDSCHHVTPAIHLNQDLPWKRALLKQTRSSKSCAQLV >cds-PLY77375.1 pep primary_assembly:Lsat_Salinas_v7:1:208190137:208193586:-1 gene:gene-LSAT_1X124140 transcript:rna-gnl|WGS:NBSK|LSAT_1X124140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAMDLVTPRSVIWRCRCGGDGEPPPILGHFFFDSFTFQSKFFTPSTSTVSTVVCCLARFWSLTTFASMAVMDLATPSLDINLAFHYESHLLITSPCYLRNRPAGWNNRSSSGPQVICDHFRLRHVTGKYPSFRIIADYFVYFSLVAFLPQHNPLKYPEQEQCKMDELENELSNLVGRHELKLQLRKWAKGMLLDERRRAIGLKVGTCRPPHMSLLGNPATGTGSISKDGSCQVRVLFIDFVGSSFSYVEQGFSSHVANTIPATTIPMVQQQQKQQQQAFGIDDSAWLMYKDGNVYVPNP >cds-PLY94169.1 pep primary_assembly:Lsat_Salinas_v7:5:33826712:33826993:-1 gene:gene-LSAT_5X14660 transcript:rna-gnl|WGS:NBSK|LSAT_5X14660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGQNFEDSYPGSKRISMKICHFCKRKFYIPQALGGHQNAQKRERDVAIRYHSLNMDTKFPIHRTLGVHTHSIPYKPKVNEGRQITISYTSTDL >cds-PLY63991.1 pep primary_assembly:Lsat_Salinas_v7:4:13316265:13317416:-1 gene:gene-LSAT_4X9181 transcript:rna-gnl|WGS:NBSK|LSAT_4X9181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPQLPSFFKVVLDPSNPHLPLPPGFISVLLENNIPENVMLRSVYEGYSWRLKIKKYGDIYWFSEGWKQVVEDTQLGIGDILVFIFVHHSTFELSIYSPDRCEKELRTKIQVEHDVVDEEEEGEDDDCVDNGGRRHDGGDEDDSGGGDIEENPFFMKIISKAHKYYLRLPAEFVGLAGMNEERTIRMKNVDGKEWKMRLKLERSRKAKRYYLSSGWPDFRRDNELYEGDKCVFKLIKSEDMLYLAKVIKKKGKVPVTEAIRRPRGRPRRVEKMVADKGPATEVPKRKRGRPPRVKAEIESKDDSTKGVKRSRGRPFKRK >cds-PLY89948.1 pep primary_assembly:Lsat_Salinas_v7:2:192452446:192452730:1 gene:gene-LSAT_2X114401 transcript:rna-gnl|WGS:NBSK|LSAT_2X114401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAGQSSARMLGDLCYAVAQNSSLMVTAADRVRRAGANERQLKVLHGVLVGMREQIRDSEVERQVLVEQKCIMAYEKAVLEDQVATLEDRSE >cds-PLY84031.1 pep primary_assembly:Lsat_Salinas_v7:6:191249300:191250627:-1 gene:gene-LSAT_6X117480 transcript:rna-gnl|WGS:NBSK|LSAT_6X117480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKVREPKEENVTLGPATREGELVFGVAHIFASFNDTFIHVTDISGRETMVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGINALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >cds-PLY68984.1 pep primary_assembly:Lsat_Salinas_v7:9:139217698:139217998:-1 gene:gene-LSAT_9X89240 transcript:rna-gnl|WGS:NBSK|LSAT_9X89240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKLFLCRCGNINWAKCLKCNICNKNKPGVNEGGLIGGRAGGYKELDKEELEETKRRRREAEKVRSGYFL >cds-PLY98868.1 pep primary_assembly:Lsat_Salinas_v7:5:19082212:19085318:-1 gene:gene-LSAT_5X10261 transcript:rna-gnl|WGS:NBSK|LSAT_5X10261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYNKVEAVREKSDAEKLILSNDYVAARTKLKKAQQLFPALDHIAPMLTVCEILSASTNIIPGYETDYYWVLQLMPSSNLTDITSQYQKLVSLLQPIKYKFPATELALKLLHEAYSVLSDKKKRVTFDIKRGISWVNYESFELNPNAISDNNGDKVHVNNILQESGSSSSDVVSEGHKGQDMDINSVSGTLKGVLKRVEQDYYGFDSFRTHECFELRDIWAVHCCLREPFIGGRYGQICKKSGGEIEVTWLKPIPVTEGERRWFDAGLPVACGSFCLDTETNGIIGSKGVFSYKCSWDSGVTEELFEIYPKKGEVWALYQDFDLEEWSYNPDVIQDCSFKPFEIVSNYSIYTGVECCPLVKVNGFKSIFERTEGENVILHVGPRDLYMLAHNIPAYRFTGGEIDGVRNGMFELDQLALRNSSQEPEDSTVAKEKEPEFVDPTPGPDSTVLGPNWSVNDFTTGQVWSVYTGKDLMPRQYVVINSMISPTRLSATVLEPEPVPDMDPSWKNRNFPIVCGMFRAKDTVLNFDLSQLSHSVNCYKSMSASVYKIFPLKGEVWAVFKNCKSGFNRLNYDNYKCWVVEVLSDFSEGEKMMVARLVEVKGCLTFYERLQGEDGFEMVRGFSKREMLCFSHRIPAFRVPGIGGHGIPESSWHLEPVALPPPNT >cds-PLY68845.1 pep primary_assembly:Lsat_Salinas_v7:3:63277057:63278823:1 gene:gene-LSAT_3X49241 transcript:rna-gnl|WGS:NBSK|LSAT_3X49241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVMDSPEQRRKRKRFLKPTNFSSLRHFPPVRSLLYCFGFFVFFYLLFRRHTSAFHHVIAHSRLSSLSAFQMDPVSVFSKFNELPQFKIEDRVSFPDHVLLLVSKSTDTGTEIGNRLKCIYKSNISDSDSDLDNGVRKGSSPLSVEEYNDNRFLVRCPLPPVNFSSLITLQQHGLMIDTTEDKPVNSWENLAYEAVLDGNTAVVFVKGISHRQDKESDPKQFSCHFGLGDWKTGDKFMLTTRALTAAQEVVRCMLPRSILMHPEKALGIRATVSFRMPRIHGRSHRIFLPSVAKISTSKPTTTMKKHDLCVCTMLWNQADSIREWVTYHSWLGVQKWFIYDNNSDDKIKDVIKNLNLEGHNITRHIWPWIKTQEAGFSHCAMRARTVCNWVSFMDVDEFYHFPRLTSTITPSQGSLLTLVSNFTSSSSIGEIRTSCHSFGPSGLTSRPKKGVTVGYTCRLQSPERHKSIIRPDALDFTLMNVVHHFHLRKGFTFVDVDQRVAVINHYKYQVWEVFRAKFYRRVATYVTDWRENHNEGSRDRAPGLGTEAIEPVDWRLQFCEVWDTGLRDFVLGNLVDVSTGVLPWDRSL >cds-PLY84786.1 pep primary_assembly:Lsat_Salinas_v7:8:24787021:24788457:1 gene:gene-LSAT_8X20221 transcript:rna-gnl|WGS:NBSK|LSAT_8X20221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALCATSSYYEPQEIVARILPNVVVLTIDPHSDVRSKAFQAVEQFLQIVKQYHEKTSGGDSSECMGSTISSLPGNASILGWAMNSLTTKGKPSEQTTQAMPPKSTSPLVSVVPTTLVVSSTHTQSTTTLVRGGSLDYGGDMADQPAPVSPTSTDNWGELENSIGIHEDEEIEKYGWDDMLPLEDEKLPPALANIEAAQKRLVVHTKPQGSIPRPKSTSHVSKDAYDDLWGSITAPDPAPAPKLASRSSNLNVKAAAAGGSGSGCVVDEDDPWAAIAAPAPAKGYSYKTSLIVGVADAVQKESLHLCVSNYFILFLFHCLVITRKYG >cds-PLY90579.1 pep primary_assembly:Lsat_Salinas_v7:6:51331367:51331836:-1 gene:gene-LSAT_6X37261 transcript:rna-gnl|WGS:NBSK|LSAT_6X37261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSLGASKGVLEIAKFAVYVTVPIGLMYFFANNTNNLHKFMGVRQYVVYPPEGPRPQSPEELREMAREIARKRATQ >cds-PLY70904.1 pep primary_assembly:Lsat_Salinas_v7:9:15285029:15285557:-1 gene:gene-LSAT_9X13000 transcript:rna-gnl|WGS:NBSK|LSAT_9X13000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSHLNKQFGVVDPLTNLHVCSVITVCKSDTSDDDEDKKTPEKEEGKVEGASPACSGRAHVCEFCKREFLDK >cds-PLY72281.1 pep primary_assembly:Lsat_Salinas_v7:5:109943774:109956732:1 gene:gene-LSAT_5X44281 transcript:rna-gnl|WGS:NBSK|LSAT_5X44281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoate deiminase [Source:Projected from Arabidopsis thaliana (AT4G20070) UniProtKB/Swiss-Prot;Acc:O49434] MFKTRVPYRPKNDLFPEILRDEAVARIYELGKVSDGHGYLERTFLSPASIRAGNLIRTWMEDAGLTTWVDGLGNVHGRVQPPNASNKALLIGSHLDTVVDAGMFDGTLGIISAISALKVLNVTGRSRHLQHPVEVIAFSDEEGVRFQSTFLGSAALAGVLPASTLQVPDKSGLTVQNVLKENLIDTTEENLSQIKYEPESVLGYVEVHIEQGPVLESIGLPLAVVKGIAGQTRLKLTVRGSQGHAGTVPMSMRQDPMVAAAELIVLLESLCKHPQDFISSNGHCNAFSIESLSGSLVCTVGEISTWPSASNVIPGQVSFTIDIRTIDDIGREAIIYELSNQMHRICDGRSVSCFLDRKHDADAVNCDGGLNSQLKSAAYTALKRMAGEDIGDVPVLMSGAGHDAMAMSHLTKVGMLFVRCRGGISHSPEEHVLEDDVWAAGLAIQAFLETNL >cds-PLY77396.1 pep primary_assembly:Lsat_Salinas_v7:7:9375188:9375951:-1 gene:gene-LSAT_7X9640 transcript:rna-gnl|WGS:NBSK|LSAT_7X9640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPISEELAVCIPLPELPETASQPPSWFRTCSSMLHHVHVGILLGVYASLIELLQLKYQSKKESVFETHTCFMSISMAAIIIFAPTYWILYHINNKNSNTTTRLSSPSLPHMILVSLAFFSIILAILSLVFVLLLPQNLMWIGYLATCLLIIAILAYTSIDKVTSLGRNTHMEISNLVNYIRNKL >cds-PLY82604.1 pep primary_assembly:Lsat_Salinas_v7:8:175755579:175757606:1 gene:gene-LSAT_8X114921 transcript:rna-gnl|WGS:NBSK|LSAT_8X114921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGHFLLFSHTQCRPASSHIFPAVTSCRFAFVISLRLISTIDQEYGIQEGYQAAVAFPSSSSTSSLAKPNKGVLKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVIGELDEELDASLDLTELRAHPLKPIIH >cds-PLY93166.1 pep primary_assembly:Lsat_Salinas_v7:6:5590372:5593363:-1 gene:gene-LSAT_6X1880 transcript:rna-gnl|WGS:NBSK|LSAT_6X1880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANASGFFTPTMRISSSINLNRSGFRCPDVISKRIRCSSTSQGVESFSPPESRSPRLVSKGCKLVGCGSAVPHLQISNDDLAKIVDTNDEWISARTGIRNRRILTGNESMTGLAVEAAQKALEMAEVKPDDVDLILLCTSTPEDLFGGAPQIQKALGCKGNPLAFDITAACSGFVLGLVSASCYIRGGGFKNVLVIGADSLSRYVDWTDRGTCILFGDGAGAVLVQACDSEEDGLFGFDFHSDGDGNRHLNAGIKESTTNDALGTNGSALGFPPNHSSFSCIKMNGTEVFRFAVSVVPQTIEASLAKAGLNRSDIDWLLLHQANQRIIDGVATRLEVPKDRVISNLAKYGNTSAASIPLALDEAVRSGKVKQGQTIATAGFGAGLTWGSAILRWG >cds-PLY85990.1 pep primary_assembly:Lsat_Salinas_v7:3:133070699:133071498:1 gene:gene-LSAT_3X89820 transcript:rna-gnl|WGS:NBSK|LSAT_3X89820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQVISSDSEKGDKKDDISGIEAWSFVQKLGDAILIPNRCAHQVRNLKGSSGLDVVGMNRHVTYLPKAIGMVSFLLLSLSLSCFGLCLYNQLKVESIIHLLQEIKESV >cds-PLY86320.1 pep primary_assembly:Lsat_Salinas_v7:9:34957733:34959874:-1 gene:gene-LSAT_9X33061 transcript:rna-gnl|WGS:NBSK|LSAT_9X33061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 49 [Source:Projected from Arabidopsis thaliana (AT5G43290) UniProtKB/Swiss-Prot;Acc:Q9FHR7] MKESEACNWSQGFEDDLLRELLYDESPYVLSPQEIAGDPDNYSVNKLISSIYSGPTITDIGKALVASSYTNNTPELSALTRISDMERGVSRVENKYVLKVKCSGNVMADDGYKWRKYGQKSIKNSSNPRSYYKCTNPRCGAKKQVERSNDDPDTLIITYEGLHLHYMYPFFMFGQSDNPDPPTKKFRTLNFEQDAHQNYQQPTKDMDENTRHFCLDHQPPTMIKDFQEESIDQEVAICSQGLLEDMVPLVIRNPMIYTTNSSTSCSSSSSHPSPPASPSFSWSPKY >cds-PLY70895.1 pep primary_assembly:Lsat_Salinas_v7:9:15986826:15988214:1 gene:gene-LSAT_9X17340 transcript:rna-gnl|WGS:NBSK|LSAT_9X17340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTDENSTNELHLVMFPFLAYGHISPFVQLSNKLSSYSGIRISFLAASANVRRIETMLNRTAATQIIPLTLPHVDGLPEGVENTADTSPATVELLKVALDLMQPQIKNLLTHLKPHIVIFDFAQSWLPPIATELGIKSVCFSVFLGIAIAFVTACIGRNIPPTIEEVKNRPPGFPGTIGLRTFEASDFLYIFTSFHGTPSVFDRLIKCFNGCDAILMKSCTEMEGPYLDYITKQVNKPLLLIGPVVPEPHSGELDRTWTNWLTHFPARSVIYCSFGSETFLTDDQITELALGLELTGLPFFLVLNFPANLDSSVELRRTLPLGFMERVKGKGVVHSGWVQQRHILAHESVGCYVCHAGFSSVIEALVNDCQLVMLPLKGDQFMNSKLMAMDWKVGVEVNRRDEDGYFEKEDVLEAVKSVMIETEKEPAISIRENHKKWKCFLQNDEIQNKYITDLVRDLKTL >cds-PLY80130.1 pep primary_assembly:Lsat_Salinas_v7:3:106767575:106768806:1 gene:gene-LSAT_3X78360 transcript:rna-gnl|WGS:NBSK|LSAT_3X78360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor OFP7 [Source:Projected from Arabidopsis thaliana (AT2G18500) UniProtKB/Swiss-Prot;Acc:Q9ZU65] MAKFKLRIFQSCRSKDPSDLPEHPVPAFQRHNIFTVDYPPTTAPLPISKHQPHRSSFKSHVSSAFGCGSKSGDQSDSEYFQWQEDEQWHVVAKIYDAESPRRKIYNSSVSGGDTDDDRFPLPLLPLPPVDKKKRRRGRRAKVNRLRNISTSSGDSGLFSSEYSIHEGGEDEALHGGDGGEVEDETETLISSSRSFSTDSSTDFNPQLETIRECAPISLSHRYKFNKKRNSSSKRSSRGHGNSGGGGGMMMMMCKGSSGMSPEWGSPARLSVFKKLIPCKVEGKMKESFAVVKRSEDPFEDFKRSMMEMIMEKQMFEETDLEQLLQCFLSLNSRFHHGVIVEAFSEIWDTMFGDD >cds-PLY86721.1 pep primary_assembly:Lsat_Salinas_v7:4:7586489:7586882:1 gene:gene-LSAT_4X4961 transcript:rna-gnl|WGS:NBSK|LSAT_4X4961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFESRFHRVVLMDWDSEEEGKTYPFFGLHEPFSGLNEMDFELHGIYMDHEPDEEFITPLDKCKDAFLNVLLTDENLRNSSFLNDVRAQVYHGDDLQSDEDE >cds-PLY95259.1 pep primary_assembly:Lsat_Salinas_v7:8:136531225:136533814:-1 gene:gene-LSAT_8X93540 transcript:rna-gnl|WGS:NBSK|LSAT_8X93540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNKHTWTNEEVAKLIEALLELHVSGKYGGADNGFKPDDLKAVQQLLDVSLPNSGLKEEPHIKSRMKTWKNHFNIVHDMVYGTNTSGFGWDTDKCCVTVDAEVWDEYIKSHKGVACFRDKPFPQFDNLCKIFGKDRATGHGATDLGEDVTEDT >cds-PLY96822.1 pep primary_assembly:Lsat_Salinas_v7:2:171901075:171902569:1 gene:gene-LSAT_2X94320 transcript:rna-gnl|WGS:NBSK|LSAT_2X94320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPKGSQYHHHHHHPQQPHQVSSFLTLPQPTTTTTTMADQNNKSCSEIKDLQIVVAEKEKEDGGAKKQPQLSVKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASAMGAAGAASLGASISVGLQHKIDENSRTNWPLVGGNLGVGRPTNHMATSPALWPAIPTSGFGFHSSSSSSGPSANNLGNESSNYLQKIAFSGFDLPTSNLGPMSFSSILGNHHHQQQLPGLELGLSQDAHIGVLNQQALNQIYHMSQARMHQHHQQQQQSSKDDNSQGSEGQ >cds-PLY99100.1 pep primary_assembly:Lsat_Salinas_v7:5:138775137:138776602:-1 gene:gene-LSAT_5X61301 transcript:rna-gnl|WGS:NBSK|LSAT_5X61301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYVGGGGSDNNEALNQLSQMMMMNAPTPPPVMAEEFPRRDERVPMWSQQETRDLIAIRGELERDFTMAKRNKSLWEVVAAKMKEIGYRRTPDQCKCKWKNLVNRYKGKETSDRDNNRSFPFFDDLHALFTERSNKTPQTPFDPEPTSSQSRKRVAGIGGSYQSMEEEISDDEDEYEDEENKVTKVTIPPRKKPEREKRARPPTPTPSPKSMDPVREILHDFFQQQKVIDMQWRELMEKHAYERQVFEQEWRQSMERLEVERMKMEELWREKEEQRRTREEGRAERRDALLTALLNKLVHEH >cds-PLY86590.1 pep primary_assembly:Lsat_Salinas_v7:1:132841235:132842377:1 gene:gene-LSAT_1X98361 transcript:rna-gnl|WGS:NBSK|LSAT_1X98361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQVVVADASQKHITDRVFKLWVNDLQDLAYYIDDVLDDVDTKALRRKLNNDAHASTNIGNVLKFFPKYCTNFSLLNVLYGQQMSSKLEDITTKLHDLVDQNNDLGLTVNVQRSSIRPPSRS >cds-PLY67531.1 pep primary_assembly:Lsat_Salinas_v7:6:73074371:73074601:-1 gene:gene-LSAT_6X52480 transcript:rna-gnl|WGS:NBSK|LSAT_6X52480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPPQPLSFRLGPPPPMMQQGFHRPQKSHQMGLSSMWDWWPVFFGHGPPSPARQFGPQNHHPSMGFRPKNVLPPNQ >cds-PLY77416.1 pep primary_assembly:Lsat_Salinas_v7:3:147261153:147263897:-1 gene:gene-LSAT_3X96960 transcript:rna-gnl|WGS:NBSK|LSAT_3X96960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVTFTGGGGGEGSKGDKSNSSLMVLSQAKMILWMTRGMTTLLLWICVVQLLTVGEVWGPRLLKTWPSCYTHPQTDIRSSSLIEPRILLPPKRTYKNNGYLMVSCNGGLNQMRGAICDMVAVARYLNVTLIVPELDKSSFWADPSDFEDIFDVDHFIKSLRDEVRILKELPPRLKRRVDLGKFHSLAPISWSDITYYHHQILPLIRRYKVVHLNRTDARLANNGLPLEIQKLRCRVNFSGLKFTSQIEELGKKVVKILRKNGPFLVLHLRYEMDMLAFSGCTQGCNGDEVEELTRMRYAYPWWKEKEIDSDIKRKEGLCPMTPEETALILRALRIDRGIQIYIAAGEIYGGDRRMASLAAEFPNLVRKEMLLDPLELGFFQNHSSQMAALDYFVSLESDIFVPTYDGNMARVVEGHRRYLGFKKTIRFDRKILIDLIDRYSEGSMSWDEFSNTVKEVHADRMGQPMRRVMISDRPKEEEYFYANPYECLDL >cds-PLY83011.1 pep primary_assembly:Lsat_Salinas_v7:5:51022930:51025186:-1 gene:gene-LSAT_5X24101 transcript:rna-gnl|WGS:NBSK|LSAT_5X24101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALAPHATSLSKSFFSSKPSISSSSTTPLVNLPNTSHKSRRQFVVRNSVTIAQPPSISSTKSSTVKSVKARQIIDSRGNPTVEVDLVTDDLYRSAVPSGASTGIYEALELRDGDKNVYGGKGVLNAVKNINEVLGPKLIGVDVRNQADFDAIMLEIDGTPNKSKLGANAILGVSLSVCRAGAGAKGIPLYKHIQEISGTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGASSFAEALQMGSEVYHTLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLIDAIEKAGYTGKIKIGMDVAASEFLTKDGKYDLDFKNQPNNGAHVLTASKLGDLYREFIRDFPIVSIEDPFDQDDWTSWSSLQSSVDIQIVGDDLLVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLSVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGNVRYAGEAFRSP >cds-PLY86389.1 pep primary_assembly:Lsat_Salinas_v7:8:282883071:282885093:-1 gene:gene-LSAT_8X159921 transcript:rna-gnl|WGS:NBSK|LSAT_8X159921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNESEYMLVPHKHKINFYKTTKVRVSSDFVDTVDPYHFISFPDSLARNFDIRVAFDFLGEVVSTDPMRVIVEYGREKRLMNLVAQDLRIRIVVRVQDESGSSSFVLFKRHVKDLIHRGNQWLMGKIAKDQGRQQIPDEFKILLNKKFVFKVQISMFNLQNNYHAYTVHKLTDDERVLAEVFKRSPNHQHHNINDNGTPINKPNKENTNCVHDDNLDVVDLEAVTPSSSTGETPY >cds-PLY92327.1 pep primary_assembly:Lsat_Salinas_v7:9:179182750:179186462:1 gene:gene-LSAT_9X111140 transcript:rna-gnl|WGS:NBSK|LSAT_9X111140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSFINFKNCKPMVANSSLTEQSSIYALTFGELQNTFGGNGEDFGSMNIDELLKKIWTTEETQSMTSNSNLGINGKFQKQGSLILPRTISQKKVDEIWRDCLKENGGFKDVDLIKEPTIQPQEKQPTLGEMTLEAFLQRAGAISENNQTQGFKNVIQNQGFRQEAVVTEGKNDNLQGVGSSKVQKLQKILPKQSAFNFRSSVNLVNNNHMSSRENGVSIIGKIGHSIKTDYPSDLYQNGNLDMSPSPPPCYGGSYEGKRSGVLEKVVERRHKRMIKNRESAARSRARKQAYTLELEAEVEKLKEEVQKKQDEIMESQHFQIWEKTKLTENGRLCLRRTMTGPW >cds-PLY99603.1 pep primary_assembly:Lsat_Salinas_v7:5:241193548:241194059:1 gene:gene-LSAT_5X118800 transcript:rna-gnl|WGS:NBSK|LSAT_5X118800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLGEQVGGGYPVWPVTIHGYYADASDLAARQSGALNVPMPFTGHSLGRDKLEQLWRQGRLTKDEINATYKIMRRIEGEENTLDASDIVISSTRQEIDEQWRLYDGFDLVLEKRLRARIRRNVSCYGRITEKRHLKILV >cds-PLY88982.1 pep primary_assembly:Lsat_Salinas_v7:8:129469982:129471896:-1 gene:gene-LSAT_8X89861 transcript:rna-gnl|WGS:NBSK|LSAT_8X89861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATDTPSPPPTASHEEEEDNINQQPPTQQELVLSPPSPIEDVDQHQQFENSNSPYSPRTSVTKSEKPIDREHPPPEDVADEQEPVPTSPPPQDKMLGLQQPSPPPSPSSFLQPSPPPPPPPPPLPTEPEYPPENLSPGHESPISKKSPSSPKRDEHPLQSPNYRETPYPNPNPNSDHESPSPSSPATLQGNPSPKPHKSPKDPSPSPPPTAASPSSPPLLQAVASSSPTKQQILPWTHQETANLIQAYQEKWYSLKKGPLKASQWEEVAITVAARCGYDEPTKTAKQCRHKIEKLRKRYRAERGKPRSKATAWNFFKLMDNLEKGPLPISSSLPSMELVEYQKPSNSNGKKRKNNDDGDSEFLVNKRSRSKISSNHHISNGSDARFSDGGHDRVMRGLRTPVVHKHKGFYQEEEEDDSNEEDEDNEEGVAAQLAAEIKGFAEKFVKIENKKIEMIKDVERYRLEMENKRMEMILESQQMLVETVNKAFGGSHKPHKLSAN >cds-PLY77597.1 pep primary_assembly:Lsat_Salinas_v7:2:163752851:163753807:1 gene:gene-LSAT_2X84460 transcript:rna-gnl|WGS:NBSK|LSAT_2X84460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSPCAACKFLRRKCTQECVFAPYFPPDQPQKFANVHKVFGASNVAKILNELSATQREDAVNSLAYEADARLRDPVYGCVGLISILQHRLRQVQIDLENAKRELANYIGPSAMLPILNQGFIQQSALPYNMQPEPVLGLTGPRSFREVQQILQDHQQQIGVTNGERGHPEVIRNYDQQRSSGDHLGFSGGFDAVSAASGQVSGGEFSHMTTAAAMTPSLSLGGSYDNNIYQIQHQPQHNLHRQLLLHRQPLPPQGAE >cds-PLY65055.1 pep primary_assembly:Lsat_Salinas_v7:1:116451208:116456073:1 gene:gene-LSAT_1X86321 transcript:rna-gnl|WGS:NBSK|LSAT_1X86321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEIFLAAFITVLFEKLSSADLIKLARSEGLISQLNKWNNTLLQIQAVLADAAQKHITDRAVQLWVNKLQDLAYDIDDVLDDLATEAMRRKLNQESNAGTMTSKVLKIIPICCTNFSPTNIMYGQQMSSKLDEITSKLNDIVDQKNHLGLNMNVNVNVERSHITEKSSEQTSLVDESKIMGREGDKEALLGKLLGNESCDQNVSIVSIIGMGGIGKTTLAKIIYNEEKVKDHFALRTWVCVSQEFDVFNISKTIFQAVNGKNEEFADLNLLHEALKEKLLNKRFLLVIDDVWNEDQSKWELLQCALVGAPGSKIMVTTRNTKVASLMDTDVAYHLEVLSTEDALSLFAQHALGEKSFEKHPTLKLHGEGIVKKCGRLPLALKTLGRVLKTNRSGDEWENLLNSEIWDIQDGRGIFPALRLSYYHLPPHLKQLFAYCSLFPKDYVFYKNRLVLMWMAEGFLSQSKGNMSMEGLGHEYFEELKSRSFFQHSTIDELGFTMHDLINDLATSVAGEFFFRLDDKMDAYEKHETFEKFRHFSLIGPRSGSYKKLKELKRARRLRTFSLLSFRWQSYHLLDSILVELLPELHFLRVLSLSTQIITQVPQSIGGLKHLRYLNFSYTSITCLPEQVSDLYNLQTLLIHDCYELSSLPKSFQKLINLRHLDISRTPKVNKMPLGIGGLKRLQTLSKVVISAANGFKIHELKGLSDLQGRLSIMGLEKVINPIQAKDANLHQKIGLDALEMEWSDVFDNSRNEMIEYEVLKGLIPHHKVRNLKILFYKGTRFPSWVGDPSFDRLTEITLRGCRTTHLPTLGHLRSLGKLFIERMHEVKTVGMELLSPKTSFLGVAFPSLEVLKFDDMQGWHSWSTNGGESNGISRSFPRLVEISIIRCPKLAQVSIGMIPSLTILHIEECSETVLRSMVGVSSSVVERLMINGCDTVESYNCPINVERLVISCCDSVTSLTFSQVQELPSSLPETVTSNCDNIEPIPKSGLGFLPVFCLRALQINNCKNLKSFPHEHLQCFTSLEQLWIYDCPSMEYSFPCGVWPPNLSTLGIGSLNKPMSQWGPQNFPTSLVELVLYGQNSGVVSFTVAEDIQNTTTSSSFELPKSLTFLTLVDFMDVESLSEVLEDLTCLKRLDICSCPKLRDMAETISNPSLLKVNVY >cds-PLY92934.1 pep primary_assembly:Lsat_Salinas_v7:3:115264308:115264682:-1 gene:gene-LSAT_3X81860 transcript:rna-gnl|WGS:NBSK|LSAT_3X81860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADEDKAECERIFGKFDANGDGKISSAELGESLKTLGSVSAEEVKTMMDELDTDGDGFISKEEFTDFYNANRGLMKDVGKIF >cds-PLY72601.1 pep primary_assembly:Lsat_Salinas_v7:5:44815039:44816831:-1 gene:gene-LSAT_5X21960 transcript:rna-gnl|WGS:NBSK|LSAT_5X21960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGEQQQLDITNPNPNSPHADASISMYEDSRGFDGLGARPAFELDRAAGPQSGMIPQTTDSTTYEHHVVNDAIVTTRSQPKSIQSMQCEVCNVTCDTKDVLEKHKQGKKHLKNMQKLAISSVISPKMPPPTPTPTPTASETSVEELENKKHKLLQNGASVDKFLYCETCNAVCNNQNAFQAHLAGKKHSAKVMMQLVVTNGVVNTTSESDPKDPTPEPEVQTNNNPNPIRCQLCKINCSSIEVLNTHMSGKKHLKKLKESDQIPDPSLTLINSQQGKPVWCQLCGISCDTYDVFKTHLSGKKHKKNLEKSEKPVGPTTTTTGGGMLQNEEGKVVNVDGNGSDRKTKRVGGDEDMEAKRQKILQGGGALDALRICTVCNVVCSSPTVYDSHVAGRKHAANAMLVKQAETHEI >cds-PLY94030.1 pep primary_assembly:Lsat_Salinas_v7:7:99583265:99591663:-1 gene:gene-LSAT_7X66780 transcript:rna-gnl|WGS:NBSK|LSAT_7X66780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAQVLERQQSSSSLPEDHIDNTKTLICALSFLSRNLPLPPDVFDAVSSIYTAAASEDADAGVDATATGGSDCDKQVDDASSPNNSLFPNGGDLMADLEEALVKHRSNHITGSEMAETRKKRIESHIQNRLTQLEELPTSRGEDLQSKCLLELYGLKLAELQKKVRSNVSSEYMLCLNCVYPEKQLFDWGMMRLSRPSYGVGDAFAVDSDNHLKKKRDAERLTKLEEEEKNRVETRKRKFFAEILNAIRELQLQVQASQKRRKQRNDAVQAWHGRQRQRATRQEKLRFQALKSDDQEAYMRMVEESKNERLTMLLGKTNDLLVRLGAAVRRQKDAEYDGIEPLNAPEPELPESSSASKIGTPDESQLDVDVDLIEAKLDNGVKTSDLLEGQRQYNSVIHSIQEKVTEQPATLQGGELRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLMENKGVSGPHLIVAPKAVLPNWINEFSTWAPSIGAFLYDGRLDERKAMREELSEGKFNVLITHYDLIMRDKAFLKKIPWFYMVVDEGHRLKNAESVLAKTLVSGYQIRRRLLLTGTPIQNSLQELWALLNFLLPTIFNSVENFEEWFNAPFADRCDVSLTDEEELLIIRRLHQVIRPFILRRKKDEVEKYLPQKTQVILKCDMSAWQKVYYQQVTDVGRVGMDTGSGKSKSLQNLSMQLRKCCNHPYLFVGEYNIWRKEEIVRASGKFELLDRLLPKLHRAGHRVLLFSQMTRLMDILEVYLQLHEFKYLRLDGSTKTEERGTLLKQFNAPDSPFFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSVEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRREMLKEVMRRGTSSLGTDVPSEREINRLTARSDEEFWLFEKMDDERKQKERYRTRLMEDHEVPDWAYTKPDNPKDMRGKGFDYETAHLSGKRRRKEVVYADTLSEMQWIKAVEHGGDQVGKSKPRRDVSRNSVEDEGVEVKIVSEGILERGSASGSGLKRVRSPKSENVGVDDGDLPTWRTHKKRSILRYVP >cds-PLY88979.1 pep primary_assembly:Lsat_Salinas_v7:8:128717799:128719857:1 gene:gene-LSAT_8X89380 transcript:rna-gnl|WGS:NBSK|LSAT_8X89380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVLGESWCFCNGGGKSERTKASIFSGKGPAMARISTDGLVSGTGFLIHRNLLLTTHAILPSVAAAEAAEIRLQNGVGASLFPHRFYITSSVLDLTIVGLDSMDGDSNATNQQPHYLKTCCKSGLNLGNIVYILGYTDKNELTVGEAKVVIATDNLIKVCTDGVTWSPGSAGFDPQGNLSFMVCDPMKLATSPNTKSSSTTTTSSSSSSWKKDLPLQFGIPIPVICDWLNQNWEGNLDDLVNKPKLPLIRLMSTGQKSEQSCTSFTMRQVFKTIDPHQPENITSKSEDQPDPDPDPSSSSSIGETPTRDQGIRTPEIYESPKLISGPFRNHQNTPQIQLLDINFPPKNKSNKIANPNPKPSEPVVKEQSEAESEVSVSEGQNGYISEGETTMYSAETAESRNYPSPKEGRFGQKGVGIGRSQSCVNYNRKWVPVQGNTVARGRSLEKQRSYIQQGRKVFSQGATSHRSNDYYSPTVSSIMKKRNNEQWPNANRPRNRPTAVHSSPKWAF >cds-PLY63279.1 pep primary_assembly:Lsat_Salinas_v7:3:91744501:91745478:-1 gene:gene-LSAT_3X68461 transcript:rna-gnl|WGS:NBSK|LSAT_3X68461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSENERAQNAFVTFKEPQGAETAVLLSGATIVDQSVTIVLAPEYTLPPFTTTLSDQQNTATGGQGVGGAESAVQKAEDVVSSMLAKGFILGKDAVNKAKLFDEKVQFTSTAAAKAATIDQKIGLTEKINLGTTLVNEKVKEMDQKFQVSEKTKTAFATAEQTVSVAGSALMKNRYVLTGTAWVAGAFSRVTKAAGEVGQKTMEKVAVEEQAAGTGTTRTTHQEPPPTSTHPATS >cds-PLY77458.1 pep primary_assembly:Lsat_Salinas_v7:4:48376147:48384563:1 gene:gene-LSAT_4X33701 transcript:rna-gnl|WGS:NBSK|LSAT_4X33701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVPTTGVGKATSSAAATELSTKSDAAFDLTHLDLPFQLIKSETIPPAPTRTESAIDWLPDYLGHAWIAYAASSLLVISHFPSPSENNESPVDPFFRQVIELSSDGSGDVSAVSWSPSVLSSGEVAASSDNRIGVFSHNSEGSFCWSQTAILVQATNIKAIKWTGSGDGLISVGIDIVLWKKKNLSWEISWKFTPEFPQALLSATSSIEGPFATAPLNKDTNNSVLIGHGKSKCIKSELHHHVPISMIQWRPLVANHWKKKPTNALKMVLLTCCADGTVRLWSEIDDGKSRKTTGKGNKDQSSFRVAATIEINETLNGILGCDVFIRWATELDGIVNIGKKGTPYFPSEEYHHGKSGKCDWLISFGPQMMVTFWAIHCIDDFSPLRFPRVTMWKKQELPGSKTGTSFLLNKSFISRNGFFGPPDVCSVIHLLPNNHLKWLQFHKHTSNTTDSILSIANGALNINGHTGKILQIALHPYGGYPELAVSLDANGVILFWSFLSSGFKLSGEFVVQDSPCEYTSLRWAPSLIDDHRVLLMGHSRGIDCFLVEVCEKEDLISCQKLCTIPFDCDENGPTTIWKEKDHFQALSWKVTIHPSDTPGGECKYSIDFDRCSSTFPDPYNHDNITSYSVVGMYNFSSSKEQEKSFVGEMFDNSVAYHIITGSFDGSLKLWRSMDQEWELVGKIAAHVGPIMKINSTDCGRKIATLSNENTIYIWECVYLGGIGSFLLEDTINLDGGGVVVGFDWLTIGNGQLLLGVCLQNEVHVYTMRRCVSSEESKDGNENRNIWFCVAKRQTRPCIRDFVWGPKATGVIVHDDYFCLFSQWVLPNGGSDGFKVPEIIYDSDTHAFENLKLKDQQKYNNTNNTGIGVRSVLEIAENVGGSLPTYHPEALLVNIYSGNWKRAQSALSHLFQHLTNNKDLKTNPRIPQMSLSDYLEGLILKNSNSKAFKWSGDTYSNTSTWDPNSNDFSNSIDSSPKNSGLSGFTDSIEKLHDLSDIEKMQILAVIDLLDEISNSNTSSPYEGLDIPGRRFWVAVRFQQLYCVRRLGRPPSKGDLVINSSLIAWAFQSDCQESLFESLLNNDSSWDEMRTMGVGFWYTNQAQLRVKMERLAKQQFLKNRDPKACALLYIALNRIQVLAGLFKISKDEKDKPLVAFLSRNFQEEKNKAAALKNAYVLMGRHQLELAVAFFLLGGDTASAINVCAKTLKDEQLALVICRLLEGYNGPSEHYITSKFLLPSAYENGDYWKSSFLEWILGNYYKSYLSLIGDQMDFSSNNLAFIDPSIGQYCQMLTNKNQMKNAIGEQNTSILVRWGVLMSSTALSRCGLPLEALECLATSNSIFSGSTQSALDNSESKILNERLNPSSSSSNWVSADVALRMLSHAKYSFAMQYISNMLTEHPSWPENIQTEAIQYNTQLSNFGKKLNTGLAYFEQKYSLNRHHLINMITGYLYNQDLMFIACDILQHDTSEDISHRNPCYPSLPAVLLKSIKDFSYLFSRYSNESSSSSSYGSNMETLKKILPEIAMLVCHNKMNVGPESDKWQIIRAFLWGLMSGFLKYQIDSIPENLEDKYSVELTRLLKLESEDSISYCAKELASFLLRKGRDQSNEPIIFWLEDLCRSTPITTFQNFGQGVDFSRIMSNEDGLSGFEVLWNVFDNHRMLCGDFVVEYSKWSKLIKQKHSKGWSDTYADIIGDHEASENDNQDGISSPVTEFTIPFQKPKEVQKANGELLEALCINSIDQQQAAIASNKKGIIFFNFEDGRTCFDKSNYIWTDVDWPTDGWAGSESTPVPTCVSPGIGLGSRKGTQLGLGGATIGVGLAKPGITGVNTSSLGWEIQEDFEDFIDPPATVDNIRTRAFSSHPSRPFFLVGSSNTHVYLWEFGKNTATATYGVLPAANVPPPYALASISAVQFDHCGQRFATAALDGTVCTWQLEVGGRSNVRPTESSLCFNNYTSDVMYVTASGSIVAAAGYSSNNVNVVIWDTLAPPTTSRASIMCHEGGARSISVFANDIGSGSISPLIVTGGKGGDIGVHDFRYIATGRQKRNKNSDNVEQKFNASSTAGLQNKHGDHNRNGMLWYIPKAHSGSVTRIAAVPNTSFFLSGSKDGDVKLWDAKNAKLAAVTDIQVVSNGFLSCGGDGSVKLVQLRDL >cds-PLY95622.1 pep primary_assembly:Lsat_Salinas_v7:4:124522637:124525212:1 gene:gene-LSAT_4X78280 transcript:rna-gnl|WGS:NBSK|LSAT_4X78280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50280, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50280) UniProtKB/Swiss-Prot;Acc:Q9FGR7] MSVCLNHHSLASTFFGLSPSSSRFHLHETFQSRLKKRVRISAVSPPNQNPPPSSSVSIFLPFLQEQEQEQEQNNSHTETPPEKDESITDPIHKFFKLQTSSQNPDPPLEGKFTLQKNRRSSWHLSPTITNTNTEPEQPESEIEEHGTPDINIAESETEYEESGAFVNEVSNGSNYVNELLKIARNLPENVTLGEVLAPFEGKLSERDCVQVLGLMGQEEGLTMCSLYFLEWMNLQEPSLVTPWAYTALFPVLGRAKMGDKILILFNNLPNSIEFKQVHVYNSAISALSVCGRYDDAWRLFEMMETHNIEPDHVTCSIMITIMRRKGNSAKDAWEFFEMLNMRGLKWSLEVMGALIKSFCDEGLKKEALIIQLEMEKKGIASNAIIYNTIMNAYSKSNQIQEAEGLFAEMKSKGIQPTTASYNILIDAYSRRMQPEVIEKLMIEMEQTGLHPDVKSFTCLISAYGRQKSSDLAANAFLKMKKFGIKPTSHSYTALIHAYSITGWHEKADLTFQNMLREGVKPSIETYTALLDAFRRVGDAESLTRIWKNMIRDRIEGTRVTFNILVDGFAKKGMYSEARDVIFEFGKIGLHPSVMTYNMLINAYARGGRESNLPQLLKEMAVLKLKPDSITYSTMIYAYVRVRDFKRAFYYHKQMVKSGQVPDAKSYHKLRAILDVKALTKNKKDKSAIMGIISSNLGWVKIKKKAKKDEFWKNKKKWSRDSGADSVERRRS >cds-PLY98609.1 pep primary_assembly:Lsat_Salinas_v7:1:38090212:38094982:-1 gene:gene-LSAT_1X29741 transcript:rna-gnl|WGS:NBSK|LSAT_1X29741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRRSTQGGCIDVERRALLDIKFSLINLYDSKREDILSTWIEYGSGSDCCDWERVKCNTTTGHVTELSLFYLNGFSYEDGRYMDEKVWPLNVSLFLHFEELTSLDLSSNHLDGGIMKTAFASSHHLEVLDLSHNKFVGSIPSTIHALSFLRVVSFAYNQLNGSLPDHGLCELNNLHEMDLSHNMLDGILPQCFNNLSSLKLLDISSNRFTGKLVPSLIANLTSLKYIDFSHNKFEGSFLLSSFFNLTKLEVVGFRSDNDKFEVETEEPIGWIPLFQLEVLELSNCNINRHKGRVVPGFLLHQHKLRVVDMSDNSLKGQFPDWLIKNNTNLNVLNLRNNSFGSMLLYRNANMQGLDISGNHMIGIIPEDIHKIFPNLYYLNLSRNALSGAIPSSVGDLSILGVLDLSHNELSGEVPKGLFTNLSQLRVLKLSNNKFHGQVLSGNLSLGNMERVHLDNNRFTGKFGLRSKEILLELLTVLDISNNLFEGMIPVWISNMSRLSQLVMRNNTFEGQFPCGAAPFSFLDISHNSFSGPIPSCLDLQHMEHLHLGSNRFTGSIPNYFRNLTNVLTLDIGDNNLSGRIPKFLGELTNLRILLLRKNNFSGSIPKQLCQLSNVSLIDLSDNSLSGSIPSCLQNITGPSDLAFMKQSVRVYPSHMLYNYRSVLDKTFYTEDHNHMFEIQDEVQFTTKSLSLPYKGDALDIMSGLDLSCNKLTGEIPEELGLLTQIRALNLSHNQLTGPIPVTFSNLAKIESLDLSSNGLTGSVPSELIKLTSLSVFNVSQNNLSGRLPEMKSQFGTFTEASYEGNPLLCGPPLVKKCTTTNSQVTNQSDEEEDNEKWYDIDMSCFYGSSSSTCVVFLLGFAGLLYTNPQWRRRWLDWVEDCLLTCYYLLYDSIHKLSVPFHK >cds-PLY67856.1 pep primary_assembly:Lsat_Salinas_v7:9:144671937:144672989:-1 gene:gene-LSAT_9X92380 transcript:rna-gnl|WGS:NBSK|LSAT_9X92380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIQKRSIETGAVNPTDQVLLANHILDASLMGFCLFLGLMIDRLHYYVKRFDDAESVKPFVINNKLLETDSD >cds-PLY86383.1 pep primary_assembly:Lsat_Salinas_v7:8:283850157:283851691:1 gene:gene-LSAT_8X160401 transcript:rna-gnl|WGS:NBSK|LSAT_8X160401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSCGILSVDVKQTDVIVAALPVQDHWLPMSNLDLLLPPLDVGVFLCYKESLPHEESIKIIKKSLAQVLAPFYPFAGEILHNNDGEPELLCNNRGVDFIHAHVDMELKNIDFYHPDDSVEGKLVPVKKQGVLSVQVTELKCGGLVVGCAFDHRIADAYSINMFLTTWAEIAQSKKISCLPSFRRSVVNPRRPPVMNTFYNTLFHPISSIPPQTSHLPLDPLISRIYYIHAKDINHLQSISSSNGTPKRSKLVSFISFLWKIIAESDDEFTTCKMGVVVDGRERLNTKSFSMQNYFGNVLSVPYGEAKCDELKKMSLSRVADVVHEFMSPAMTEEHFRGLIDWVELHRPEPICARIYVKMEENEGEAIVVSSGQRFPVENIDFGWGKPHFGSYHFPWGGQTGYVMPMPSVKKNGDWIVYMHLLQKHLDLVETKGREVFKPLTPLYLDL >cds-PLY82470.1 pep primary_assembly:Lsat_Salinas_v7:2:184569671:184570582:1 gene:gene-LSAT_2X107780 transcript:rna-gnl|WGS:NBSK|LSAT_2X107780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSFFYNHSSPDFSSEISSSSPEYSSSSSQCNYPTSHDQYHHYLDLPFNENDSQEMLLFGVLADAEPPHDPKNHIQVEECLDPEKECSYRGVRRRPWGKYAAEIRDSTRNGVRVWLGTFDTAEEAALAYDQAAFALRGSAAVLNFSEELVYESLRKMGYKYEEGSSPVLALKKTHTMKRKSTMKKTKKEKVENVVVLEDLGREYLEELLGLSE >cds-PLY66934.1 pep primary_assembly:Lsat_Salinas_v7:7:18607550:18610442:1 gene:gene-LSAT_7X14940 transcript:rna-gnl|WGS:NBSK|LSAT_7X14940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSRDKKPAKPSTSRPGGIRTLSDLNRPTANDSDSDSDGPQEYYTGGEKSGMLVQDPNKRNDVDEIFNQARQLGGVEGPMENLRPSSSRSFTGTGRLLTGEPVPAAPEQPQNVVHNIVFWRNGFTVNDGPLRRLDDPENAPFLESIRKSECPRELEPADRRSSVHVNLIRRDENRPEPVVATQVAFQGVGRTLGGSNNNNNNTDETNTSNTATDTPPVTSSSPSGGLVLDTTLPSTSIQLRLADGTRMIAHFNHHHTVADIRAFINASRPDGSRTYQLQTVGFPPKQLTDPTQTIDQAGLANSVVIQKI >cds-PLY73176.1 pep primary_assembly:Lsat_Salinas_v7:4:265473697:265476647:1 gene:gene-LSAT_4X137741 transcript:rna-gnl|WGS:NBSK|LSAT_4X137741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASITAVARLVNINPSSKLNPSLVSATKPLFTFFSLKSSYSPIVSSSAASLTSSRAMSYDKQLIAAKKAASLASLLCQNVQKGLLQSDVQSKSDKSPVTVADYGSQVLVSFVLQQELPSQAFSLVAEEDSGDLRKEDSQETLQRITKLVNDTIASDGTYKVSPLSESDVLTIIDCGTSEGGSNGQHWVLDPIDGTKGFLRGDQYAIALGLLDEGKVVLGVLACPNLPLESINNKNQNTLTKSSSGCLFYAQLSCGTYMESLAGSPPVKVHVSDTENPEEASFFESYEAAHSSHSLSGSIAQKLGVKAPPVRIDSQAKYGALSRGDGAIYLRFPNKGYREKIWDHAAGYIVVAEAGGVASDASGKPLDFSKGRYLDLDTGIIVTNRKLMPAVLKAVQDSLKEQALPSL >cds-PLY72023.1 pep primary_assembly:Lsat_Salinas_v7:8:114852373:114854093:-1 gene:gene-LSAT_8X79420 transcript:rna-gnl|WGS:NBSK|LSAT_8X79420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAWEVILWVIFFILNISLIAANLYQIVCLTDLEADYMNPYDSSSRINAVVIPEMLIHGVFCGLFLVTGHWFMFILTLPITIYSAMLYSKRRHLIDVTEVFRSIDAEKKYRIVKLAFYLLLFVLIIIRLVIEVVNNLIDDDEEGVHLFGIF >cds-PLY76963.1 pep primary_assembly:Lsat_Salinas_v7:6:66477837:66482406:1 gene:gene-LSAT_6X47161 transcript:rna-gnl|WGS:NBSK|LSAT_6X47161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVRKVSKEHPEGLKRLATRMTPCRLSAVVKVMSPTQKNGIVSMGFGSLLNIDMYTAPSEGRYKNNKLFNEVKNEGTGGMKDTEHGFDVDSNKGENDGGNEGGISPVRGLVVYGDKRMMVEVLIRLM >cds-PLY94207.1 pep primary_assembly:Lsat_Salinas_v7:5:321751152:321751424:-1 gene:gene-LSAT_5X178421 transcript:rna-gnl|WGS:NBSK|LSAT_5X178421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKRGAITSSVFACSTTMVGWCRPRRRLRRRSAATIRLGNKLRSRSRVVVVVWPFLILKKFIMKMVSKGRFMEAYYLTLPILQPQLFPLC >cds-PLY99501.1 pep primary_assembly:Lsat_Salinas_v7:4:57507681:57510413:1 gene:gene-LSAT_4X38500 transcript:rna-gnl|WGS:NBSK|LSAT_4X38500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear intron maturase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G46920) UniProtKB/Swiss-Prot;Acc:Q9FJR9] MYTSTQYNNNNNNNYRRVNTNTDPNDPSTLMKEDGVSVCSELWIESFRNPNQTITNLTNYLRRFELWVLAYQKVCADEMGAYMPRSSVQRSALEDLLALRNAVLDNRFRWGARLEFFIKSPKDKTEYESLSKRKIRAILTTTQPSPFQDRVVQEVLFMILEPVFEARFSEKSYAFRPGRTAHTALRVIRRSFAGYLWYIKGDLSTILDGLKVGMVISALMRDVRDKMVIDLIKSAMTTPVITSPPVEKKKTKRKYQKKKVLAEDEPKPDPYWLESFFGFAPEEAEKIPTWGHCGILSPLLANICLDELDRWMEGKIQEFYRPSKSDVIWNSPEGEVDQGNTSWPEFVPTSGPDKTRKIDYIRFGGHFLIGVRGPRADAAILRKQLIEFCDQKYMLKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLGFLKGDRDPDPQPCFRMFHATQAHTNAQMNKLLSTMVEWYRFADNRKKIVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIGDRTLRRPLKEKKGQSPEYNNLLRMGLAESIEGLQYTRMSLVPETDYSPFPVGWKPDHEKALIEYIKLDDPKTLEQNRTSLIEEGLISPQDYISMLVWNYKRSAGINSELLVGSGVEKRVEEDEEENEESEEQLHVRNM >cds-PLY71447.1 pep primary_assembly:Lsat_Salinas_v7:7:191451754:191454279:-1 gene:gene-LSAT_7X116321 transcript:rna-gnl|WGS:NBSK|LSAT_7X116321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLTWTGSMVDQEGNVWTAVAHIITAVIGSGVLSLSWSVAQLGWVAGPLTLLLFALVTFLNASLLTNFHHYSDPINGQTITNHSYVQAVQNLLGGLSARICAFLAYFNLFKAIEQSNCYHEQGHEADCEYALTSYMLLFGIIQIIASQIPNFHTTKWLSVTAAIMSFTYSLIGSGLGLAHTIGNGKIEGSIGGVPTDKPIQKVWLVAQAIGDIAFSYPFPLVFLEIQSTLKSPPHKEVTMKKASSIAVFTTTIFYLCCGGFGYAAFGNSTPGNILTGFGFYEPYWLIDLANACVFLHLIGGYQIFCQTLYAIVERWYAEKYAGSEFMSDFEILEIRLNPVRLCFRTSYVVLTTSIAMVFPYFNEVVAFAGSVTFWPLVVYFPIEMYFVHKMIVSWTFKWVLLRIFTIVCLFVSIFALIGSIQGLIAKRFG >cds-PLY91670.1 pep primary_assembly:Lsat_Salinas_v7:8:13003741:13004805:-1 gene:gene-LSAT_8X10301 transcript:rna-gnl|WGS:NBSK|LSAT_8X10301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMIKAYSISLRHFSTKGFLDKYGPERVLDQPVGTEEAFTTTAHHRIRCSTLDVAMKNYNYDEDPLPASCGITIEKQLAQVQGCVLEAPKPCNN >cds-PLY90054.1 pep primary_assembly:Lsat_Salinas_v7:MU039654.1:1170:2132:-1 gene:gene-LSAT_0X44320 transcript:rna-gnl|WGS:NBSK|LSAT_0X44320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSHLLNQDDDFTQIGSSALGHHIVSLTSTTYGLLTLDPSSSPTTVPPTPPPRFTLSSFFPSPSPLSESNSDPNPPPEVINSWELMAGLDSTADSFRFSPQPSKPSPFRYALLDSENVNPTKDPSSPNYKNPKFVKSLASKPNFLDKFEALCPPKGENKVVIYTTTLRGVRKTFEACNAVRAVIEGFGVFFCERDISMDRGFREELWELMKGKDKHELVPPRVFVKGRYVGGSDEVLRIAEEGCLGKLLEGLPKSKPGYVCEVCCGSRFLPCLTCNGSCKMVMVLKKEIDGEELGKTVRVQCSDCNENGLVRCPICS >cds-PLY97787.1 pep primary_assembly:Lsat_Salinas_v7:4:375781083:375782440:-1 gene:gene-LSAT_4X184960 transcript:rna-gnl|WGS:NBSK|LSAT_4X184960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDWVLKASSYLSELERDEFDVLQQVMENNQNMEAEAFSVDSGITLGSSSTTSKKPRGDGVSCLVDGCTADLSRCRDYHRRHRVCEAHSKTPLVAIAGKHQRFCQQCSRFHSVGEFDEVKRSCRKRLDGHNRRRRKPRGIQSSMYDTFFTNYPGTKLVRFGGSPAYTTCSSPMRMRWPWPRPTDKEKLNKQNIVTPSSSSSSSSSSSREKKFPFLLGTPDSDASVGQTLFEPKPKPKPNANGALSLLSNNTAPNPNYFTIPHLPLPNYVTGLNSSGYNNNNNNNNNNNNNHLIGMIHFRTEGFSLENQAPQVLSFSCED >cds-PLY79864.1 pep primary_assembly:Lsat_Salinas_v7:8:18817517:18819510:1 gene:gene-LSAT_8X14700 transcript:rna-gnl|WGS:NBSK|LSAT_8X14700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSFQSWSRDVFLSFRGEDTRKTFVDHLYSVLVLQGINTYKDDETLPRGEWISTSLMKAIEESQIAVIIFSTNYADSSWCLDEVAHIMKCKDTNGLIVMPIFYDVDPSTVRNQKGKYGEAFTKHKLEKKNKVECWKKALVGASSISGWELKNIANGHESKAIKEIVDKISSRLQLVTTSTANERLIGIAPRMQRLISALQIGTCGVRMIGIWGVGGGGKTTLASSVFDEISKKFDGCCFVANIREESSRRGLKELEKEILSQTGANIVGRGRSLRDKKLCNRRVLIILDDVDQLDQLETLAGSPYWFGDGSRIIITTRDEHLLKAHKVVVHDVSLLHGDEAIELFHKHAFRGCIPMEEYEQLSKEVVSYAGGLPLALTVLGSSLCDKNIDQWRSALTRLKEIPDNKILEKLKVSFDGLTKIQKDLFLDIACFFRWEEIDMAMEKLDACGFHPVIGVEELRQKALVTISDGKFD >cds-PLY80464.1 pep primary_assembly:Lsat_Salinas_v7:2:136140927:136146317:-1 gene:gene-LSAT_2X65401 transcript:rna-gnl|WGS:NBSK|LSAT_2X65401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Related to KPC1 [Source:Projected from Arabidopsis thaliana (AT2G22010) UniProtKB/TrEMBL;Acc:F4IIK4] MADESKRKNRLASGLAVILDGENRKDTCQKNRLISYCDDFGEQSLERTLEHIFELPSKRINLVTSHVDADIISSIIKNDYLKHHGMLLDTSTEGVYAIVDSCSGPYVVKIEESSVCGEFQVIKPPLLIESHGVFTSARASSCVWKGKWMYEVTLETSGLQQLGWATVSCPFTEHTGVGDAEDSYAFDGKRVIKWNLNAEPYGQSWVVGDVIGCCIDLENDDISYYRNGVSLGVAFTGIRKRVPGLGYYPAISLSQGERCDLNFGARPFRHSVEGFQPIQAPPSTNQLAIRLLHCFSKLLNMWRGERTSHKLKRFLPVEKLYEPVSHGICMEFFNALDSNPESVEYISWGPLLSFLIEVFHDQAPHDYESLDRVLDTLLKFPGSTLLFQHLISALSYYCKTASIVLRESPYSGSYPYLALACHMLRREELMVVWWKMSDFEFLFEGFLSQRSPNKQDLQCMIPSVWWPGSCEDISYESSMMLTTRTLTEAIDKIEEKHRDLCSLVMQFIPPVTPTQLPGSVFRTFLQNIILRNRGADRNIPPPGVSSNSVLVSLFTVILHFLSEGFATRGCGWMMGSGTSKASNLGFLHRGGQQSFPLPLILKNDPHRVEIPRLGGSYSHLSNAHPVNVDPESEVVRWEEGCIDDEESEESRVTHIGNRKPCCCLSLDVNFSRISKTPFRYTTKASQSHCSSIPERSAQVAAECSAGNLNDDMADKPSTSDQSDSEFYNRPVQQMRVVALESTSSSSTLVEEELLDAMLLLYHLGLAPNFKHASAYMTHQSHSISQLEETDRQIRDRGSGEQLRRLKEARSVYREEVIDCVRHCAWYRISLFARWKQRGMYAACIWIVQLLLVLSKMDIVFSYVPEFYLETLVDCFHVLRKSDPPFVPAGMFIKQGLASFVTFVATHFSDPRISSAELRDLLLQSISVLVQYKEFLAAFESNKAATHSLPTALLSAFDNRSWIPVTNILIRLCKGCGFGFSKHGESSVTCSIFQKLLRDACVKDEELFSAFLNRLFNTLSWSMTEFSVSIREMQEKCQMIEFQQRKCSVIFDLSSNLARVLEFCTCEIPQAFLSGADTNLRRLVELIVFVLNHLTSVADPEFFDLTLRRPGQTPEKVNRGMILAPLVGIILNLLDASLVNSREQNDIVGIFASMDCADTLISGFQYLLEFNWAGSFKGDLHVAKAKLRQLEDFSSNLILRTVKRVSYEGGGEAESDENMCCICYSCEADAKFLPCTHVSCFGCINRHLINCERCFFCNATVVEVVENDNAKSCEI >cds-PLY83447.1 pep primary_assembly:Lsat_Salinas_v7:9:88431267:88431949:-1 gene:gene-LSAT_9X69080 transcript:rna-gnl|WGS:NBSK|LSAT_9X69080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDDAPSVKDFDESFLKKFCKKASTSFFKHYGLISNQINSYNDFTNVGIQNVFDSIGDIMVEPGFDPSKKRDNEWRYASIKFGKVTLERPRYIAAEGGDESVVYLPRHARLQNMTYSSRMQVQFKLQVYTQELARSDKFKTGKETYVEKKILENEPEEGELYWEDSGDDQF >cds-PLY96821.1 pep primary_assembly:Lsat_Salinas_v7:2:171261075:171265171:1 gene:gene-LSAT_2X94120 transcript:rna-gnl|WGS:NBSK|LSAT_2X94120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEETTSIDYVMEAASGPHFSGLHLDAQFLSSPRSLSSSLLADSIKQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTAEESERAHEYNFDHPDAFDTEQMLECVEKLKQGNSVHLPIYDFKNHRRCSESFRQVNASDVIILEGILVFHDQRVRDLMNMKIFVDTDADVRLARRIRRDTVERGRDVNSVLEQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNVYVIQSTFQIRGMHTLIRDKDISKHDFVFYSDRLIRLVVEHGLGHLPFTEMQVVTPTGSVYSGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRDGDNGKQLIYEKLPNDISERHVLLLDPVLATGNSANQAIELLIQKGVPESYIIFLNLISAPEGIHCVSKRFPAVKIVTSEIDVALNDEYRVIPGLGEFGDRYFGTDD >cds-PLY99528.1 pep primary_assembly:Lsat_Salinas_v7:1:114135531:114140551:-1 gene:gene-LSAT_1X88361 transcript:rna-gnl|WGS:NBSK|LSAT_1X88361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRLNRENYQIPLEEIKSATENFSPQRCIGGGGFGEVYKGKLWKRGRNRTVAIKRLGKDSHQGEHEFRNELEMIRRFDHENIISFIGYCDEDSEMIIVYEYAENRSLDHHLGDPNKIRHITWMQRLNICIGAAKGLNYLHSGLGEHNRVIHRDVKSANILLDNNFVAKICDFGLSKSGPRNQPDTKLYTKVAGTKFYLDPTYHESRILQKESDVYSFGVVLFEVLSGMLVYNGRSIGDQQQFLMTLVRGYHDNEPHKLIDPYIRDQIDSRSFDTYKEIAYQCISYDLMERPTMDDVINRIEEALTIQMAEHSSVSTPAYNSMTESLLHKLNSVNPYDLWIASSEISRLTKTNHENRVAFAKAEAISLLTNLLTSMDSWIQENAVTSLFNLSVYEKNKAIMVSFGAVRGIVYVLSNGSMVARENAAATLFSLSVNYNKDVIIGSAGAVSPLVLLLSEGSERGKRMATNALFTLCIHEDNKIRALKAGVVPLLMELLTEPHGVLKEKAIAILAVLSIHVEGRLAIGKVEVVPILVKLIGCGSPRNKENAALVLVELCLGDQKYLAEAQELGVMDKMMYLLEHGTDRRKRKAKQLLQKIKDQRHGHFQSDTK >cds-PLY66727.1 pep primary_assembly:Lsat_Salinas_v7:3:254769024:254770102:1 gene:gene-LSAT_3X140581 transcript:rna-gnl|WGS:NBSK|LSAT_3X140581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEDLLDLSKMFNAVKSLVRVPSVDPKYKIALLASKQDHCLVDLLHAWQDGKLPVQISSVISNHDRVGNTHVMRFLERHEIPYHYLSKSKEKNVEDEILGLVEDTDFLVLARYMQAFEAGVKLIGATTHFVTEELDGGPIIEQMVERVSHKDNLLSFIQKSENLEKQCLMKAIKSYCELRVLPYQHNRTVVF >cds-PLY75681.1 pep primary_assembly:Lsat_Salinas_v7:1:99799583:99800143:1 gene:gene-LSAT_1X81021 transcript:rna-gnl|WGS:NBSK|LSAT_1X81021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSNLPKIEVLSIDGESLEDVIEENMPKWLPYPVKSLKCLNLQDMKLRELCQLHGVLCLLPNSSNVESLWMELWRLQIVEMTVVKGSRMEILFIRLLLAHSLSLKKFTITPCGACGVDMSKDVMQFPRA >cds-PLY63570.1 pep primary_assembly:Lsat_Salinas_v7:9:150725179:150728782:-1 gene:gene-LSAT_0X5260 transcript:rna-gnl|WGS:NBSK|LSAT_0X5260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSRHRFSDDYSDTIDDYSGDETMEVGSTESKSSIHGGAFRRGVLLAWEQVYLLACIIGMFIDPLFFYTLSISDSWMCVFIDGWFAITVTVVRCMTDALHAANMWLQFKSNRWWRYDVGLRHDDRNSCNVSRKAFLFDLFVILPIPQVVLWVVIPALLKKGLTTEIMTVSLLVFLFQYLPKIYHSVCLLRRMQNLSGYIFGTVWWGIVLNLIAYLVASHAVGACWYLLGMQRVVKCLKEKCMETNGICVSRFLTCQNPVYYGTDKFVKDHTRLLWGSNKEARTTCLESEDGYGAYKWTLQLFTNDSRLEKILLPIFWGLMNLSTFGNLESTTDWLECVFIIIVLTMGLLLVTMLIGNIKVFLHATTSKKLAMQLKMRNIEWWMKRRQLPQSFRQRVRNYERQRWTAMRGVDECEMIHNLPEGLRRDIKYHLCLDLVRQVPLFQHMDNLVLENICDRVKSLIFTKGETIAREGDPVQRMLFIVRGHLQSSQVLRDGVNSCCMLGPGNFSGDELLSWCLRRPFIERLPPSSSTLVTLETTEAFGLEADDVKYVTRHFRYTFVNEKVKRSARYYSPGWRTWAAVAIQLAWRRYQHRLTLTSLSFIRPRRPLSRCSSLGEDRLRLYTALLTSPKPNQDDFDF >cds-PLY65054.1 pep primary_assembly:Lsat_Salinas_v7:1:117978737:117983808:-1 gene:gene-LSAT_1X90920 transcript:rna-gnl|WGS:NBSK|LSAT_1X90920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGETKLSSDSRLKPSSRFADENQPPSKCKNNNPSSNLKPRSIWGSNIVKGFSADKKTRSQQVTKKSQQQPLTIVSTTNSKNPFASNRAKRSLIGDLSCSVTATQVHPQGIHHNCDQNQSQRLYKSSSSSGSRDLFAELDQLRSLLQASKDREAKLQAELSDFKRKNLKALELERVVESKTSEIDSLKSKIDLLESENTSLSEQLNSHQLQENLAKGVVNNVEVDVLKLRRLNAELHLQKRNLCCRISSMESQLASLAKDSENEFIQKIKSEASILRQTNEDLCKQVEDLQVSRLNEVEELVYLRWVNSCLKNELQNSTFLTSDISSSPASVEWLNPSVSSDETSEHGSTQKILNSIKKWKKWPVLDEESQVSEPMNYKLNLGWLEGRRHSISGANCCQEELVVNKRRQSDGFIISSMEMEEVDSSVIQVREATKLKTLMDVEKRALRVPNPPPRPSTFTEKEHKEVKCQIPPPPPPPPPPPPPKFTGRSNGGGVVQRAPQVVEFYHSLMKRDSRKDSLNDGICDASEVNNVRNSMIGEIENRSSHLLAIKEDVETQGEFVNSLIREVNDAVYPDIEDVVAFVKWLDDELCFLVDERAVLKHFDWPEKKADTLREAAFAYRDLKKLEHEISNYKDNFHIPCDMALKKMVSLSEKMERIVYNLLRTRDVLMRNCKEFHIPTDWMLDTGILNKIKLGSVKLAKQYMRRVATELQIKGTSDKDSSMDYMLLQGVRFAFRIHQFAGGFDVDTMHAFEELRNVALVLNKK >cds-PLY76450.1 pep primary_assembly:Lsat_Salinas_v7:5:199027659:199027892:1 gene:gene-LSAT_5X89540 transcript:rna-gnl|WGS:NBSK|LSAT_5X89540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MITYTTQGFETKLDFKLYSQTCKSDIALGDRIFKPVNPTSSLSNRFQHHLHLRHHFHSSSPPQPVITATSTNAPYVT >cds-PLY99151.1 pep primary_assembly:Lsat_Salinas_v7:4:118594054:118595854:1 gene:gene-LSAT_4X73000 transcript:rna-gnl|WGS:NBSK|LSAT_4X73000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDVRDALVDFMNALGPPRTIQNTTNWGWNTSSDPCTNTWHGVTCDSGNKTVQKIVLEQLSLTGTLDFESLCEETSLLVLSLKFNNLTGDLSPEISNCKKLRHLYLNGNHFSGSLPDSLTGLADLKRIDISNNELDGKLPDMPRITGLLSFLAQNNRFTGQLPNFNYHQLQDFDVSNNDFSGPIPDDTGKFGANSFLGNPNLCGKKLPKACPVKKRKKNLNNILMYSGYAILGLIVLVLVSLLFLKRKEKHEDTKSVDSEKKGVNDSGISSGKNRSEFSITSAENGGVSASLVVLSSPVEAVNGMRFEDLLRAPAELMGRGKHGSLYKVIPTGGIPLVVKRIKDWDISRDEFKKRMQRIDQVKHPKVLPVVAYYCSKQEKLLVYEFQQNGSLFNLLHGSQNGQHFDWGSRLNVACGTAEALAFMHAELQNDGIAHGNIKSSNILFTQDMEVCVSEYGLMVVEDGSQKSNAFNLDVYAFGVILLELLTGKPVQSNGLDLAQWVNSVVKEEWTGEVFDKKLVVEGANEERMVALLQIALKCINGSPDVRPGIGQVAAMIVSLKEEDERSMVSSDP >cds-PLY99238.1 pep primary_assembly:Lsat_Salinas_v7:6:184641573:184643441:1 gene:gene-LSAT_6X112960 transcript:rna-gnl|WGS:NBSK|LSAT_6X112960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALEVNTYLYSSISILLAVYVYLLTKHLLHKLHRLPPTPFPILPLIGHLHLLKKPLHRSLSKLSDRHGPVLFLRFGSRRVLLVSSPSAADECLSKNDVVFANRPRLLSGKYFGYNYTSLPWAPYGDHWLNLRRISALEILSSTRLDSLSRIRLDETRTLTRHLFLSAGENPDQILDLRSELFSFMFNVTTRMIGGKRYYGPDIGKSEEAKRFRDVVDDTKQVNAQSNLADMVPILRWFDTGKLENRYAAVQKRRDDFMQAWIDELRAPDDSATPEGEKVKTLLQVLLSLQDRDPEYYTDKEIKSLSLALLHAGISTSVETMEWAMSLLLNNPHVLTNAQKEIDNLLGSDRLVEEPDLSKLPYLQCIIKETLRMHPAAPLLLPHQSSKDCMVGGYHVPQGTMLLVNVWALQNDPRTWDSPEEFKPERFEGKEMNSRNVKEGLTMMPFGSGRRRCPGENLALRIMGLALASMIQCFDWERVNEELVDMKESNGVTAPKAHPLMAKYKIRSIVVRHLSQI >cds-PLY62646.1 pep primary_assembly:Lsat_Salinas_v7:3:37014924:37018119:1 gene:gene-LSAT_3X27400 transcript:rna-gnl|WGS:NBSK|LSAT_3X27400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLLEMWVLVIIVAVSLQSRVLLSSQNLTCNSDDVRGLRGFMNGLESPIDGWWPKFSSSFSSSSCCNWVGINCNSTSGRIVRLELPNHVLTGSVSDSFSNLNHLRTLNLSHNFLTGPLPVSLFLLPHLEVVDLSGNRFNGVLPLNINLPALQVLDISDNAFGDSLPSGLCVNSTRIHVLIFAANYFNGTIPLEFGNCNFLEHLDVASNYLSGVIPKFLFTLPKLVELALHDNRFTDIEGIGNSTSHLVRLDISSNRFSRNIPDFFHRFPNLSYFSAHSNNLFGGIPPSLSHSPSISSLSLRNNSLDGKINFNCSAMVNLTSLDLASNNFSGTIPDALSSCQKLKALNLARNPILKGQIPQTFKNFPSLSYLSLSNCSLSNLSTALKVLQHCPNLSVLVLTMNFYNEQLPPDDDLQFKSLKALVIANSNLTGSIPPWLKGLTQLQLLDFSWNHMTGSIPGYLGNFKSLFYLDLSNNSFSGEIPKNLTRLESLISRDMSLKDPSPSFPFFKIRNMSARGSIAQYNQIMRFPPLLDLSYNHLTGPIWREFGNLKKLHVLDLKHNNLSGTIPGSLSGMRSIETLDLSFNSLTGTIPTSLVSLSFLSKFSVAYNNLTGTIPSGGQFPTFTNSTFEGNSGLCGDFFINCDKIQVPLQTPASENNQEDFAIILPVLTGFGAGFFVTVIALLVVPAIRDKSKRN >cds-PLY83891.1 pep primary_assembly:Lsat_Salinas_v7:3:51830266:51830544:-1 gene:gene-LSAT_3X40080 transcript:rna-gnl|WGS:NBSK|LSAT_3X40080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYLSRVFMTAGVAVANGHTDQGHKLKSGIKSFQQGKKAFSSSGSGADPADLRPLSGILGSDVGGLLGGEERRKQSDDSLRQAMYMNCWGPS >cds-PLY98123.1 pep primary_assembly:Lsat_Salinas_v7:1:141381101:141381448:-1 gene:gene-LSAT_1X102421 transcript:rna-gnl|WGS:NBSK|LSAT_1X102421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSAQGLVSNYPQRLNNHILFASTSPSSSGPIVEPVTQHGSNYPLQVEIYDPPLINVVDVSSPTSPPPQVATTFPLLTPFPDATTFQGEYIYDFQTMVLSQLSLLVQLTQSLG >cds-PLY63758.1 pep primary_assembly:Lsat_Salinas_v7:6:24801097:24802200:1 gene:gene-LSAT_6X19901 transcript:rna-gnl|WGS:NBSK|LSAT_6X19901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELSYEEELVSSCDPVFSDVEFRKPKEIMLKDEGEDEERDIGQEEEEEERKTKACDGVDESRLNQELNLLDSLNMGNSSESTTPQASDTTEPRVFSCNYCQRKFYSSQALGGHQNAHKRERNLAKRGQRMGFLSGPMISAAAAFGHTYMQQQQHQDQNYCSSLSSLPLHGYNNNRSLGIQVHSMIHKPSNSMFSSSASGFKSFYGSKGWPSRPHVDQQPAIGKLSSASYASSLAAAPSSHGGVGRFEVVRTGMSSTGKDEIGGLWWPELKTNQDESQKLDLSLKL >cds-PLY83227.1 pep primary_assembly:Lsat_Salinas_v7:9:122612727:122614364:1 gene:gene-LSAT_9X77320 transcript:rna-gnl|WGS:NBSK|LSAT_9X77320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSPFDSIIFDLDDTLYSSNIGFGDATKRNIDDFLVERCGFEQRKASALRVQLFKTYGSTLAGLRALGYDVDADDYHRIVHGRLPYHLIKPDPQLRNILLAISQRKIIFTNSDRAHAMKALNLLGIEDCFEQIICFETMNPNLFNSKSSSPQEFPVILKPSLEAINIAMDVAEVDPNRTLFLDDNARNIAAGKSVGLRTVLVGKTMKTKEADYALERIHNLMQVVPEIWFTKKDNNSLKRNPSDLNLTLNPTHVVA >cds-PLY77904.1 pep primary_assembly:Lsat_Salinas_v7:1:24728580:24729539:1 gene:gene-LSAT_1X21060 transcript:rna-gnl|WGS:NBSK|LSAT_1X21060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFHRPHPPPIVMAGFGNLAPKSKNLVVAGGLTGFVFGVYFYTMRAVGGSDELQVAIDKYESEKVKHGP >cds-PLY98770.1 pep primary_assembly:Lsat_Salinas_v7:7:23709171:23719491:-1 gene:gene-LSAT_7X18781 transcript:rna-gnl|WGS:NBSK|LSAT_7X18781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVFSLFFYPFLSLAVDTITPTQPLTISQTLVSNGEVFELGFFNPGNNNLYIGIWYKQIQQKTYVWVANRDTPITSSSGNLTIISNGNMVLVNQTGTVVWSTNQPTQVVKTVGQLLDNGNFVLRPENDENPENYIWQSFDYPTDTLLPEMKLGWDRKSGIHRFLRSWKTNIVPSTGDYSFKLDIAGFPELVTMNDETIIWRSGPWNGLRFSGTPQMVGLNIMKFELQDNSDEITYSFEMLDSSIYSRFVVNSSGITQRLVWAETTKTWNTFWYFPGDFCDQFGECGPFGVCDTSTAPFCNCMTGFRPKNKHAWHLRDGKDGCVRSSVMDCGSDGFLQLKNMKLPESSNAFVDQTINLSECGAICKKNCSCAAYASMNITEGGSGCVIWVGDLMDIRHYADSENRGQDLYVRAAASDLDQSIGAGSSKNDSGNGNHVGKIVGITISTCVVLIFLLVLVYSKSKKTRTLKKSLDRTGPQERTEDFVVNKGAIVPSRKDYYNETTMDELELPLFDFKTLAMATNNFSDTNKLGQGGFGCVYKGILTEGEVVAVKRLSRICEQGIEELKNEVQLIAKLQHRNLVRVLGCCIEVEEKLLIYEFMENKSLDMFLFDKEKNMKLNWKIRIDIIHGIARGLLYLHQDSRFKIIHRDMKASNILLDKDMNPKISDFGIARIFGRDQTEAETKIVVGTYGYMSPEYAMDGHFSTKSDVFSFGVLILEIVSGKKNRGSSNTSSQLNLLGQAWKIWNEDNALELLDESIRANFSENEVLRCIQIGLLCVQEQPEDRPDMANVVLLLSSENVRMPQPKHPGFFIRKNNKEIEYSSKDDDSKTINGITISILDGR >cds-PLY70498.1 pep primary_assembly:Lsat_Salinas_v7:1:76127925:76128134:1 gene:gene-LSAT_1X63620 transcript:rna-gnl|WGS:NBSK|LSAT_1X63620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDMSKRVVELEGASSSVPMVPKSELEALKGEVRLLKEEKAILESKVDGNFHLDEKMLLLQGKVASLELE >cds-PLY72249.1 pep primary_assembly:Lsat_Salinas_v7:7:87765247:87767932:-1 gene:gene-LSAT_7X60780 transcript:rna-gnl|WGS:NBSK|LSAT_7X60780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELEEEKNRNGKWKTSFKLMGKAKGAIINVSFGFSLSGNLGKIPDKGNGNLGMIPYKGSENLGKIQDRGNGASYNGMLQRVKSIPSNSRRRTHASSLSFNMTSGPSISLLYDLLDDPKPSSLIDYDEEFTFIDKGVEFAQKDQDNVEFVENSCIETIDVEELFAVDEDNDKVIGNLETETFLDENLDDNLEELELFLQNLSTESPELDFSFQKNPFLEDNSRSEIVRSRSLDDLTKTVVNDFMNFLGSDSEPESPRERLLRQFEKETQIVVKNFDFDLDVEEEERDTSNVFNSSFLFQEIDEVEVVGPRVGESLISRRKAKMLENLETEALMEEWGLNERAFQNSPRTNSGAFGSPVYCSPERLPELPPIGGGLGSFLKMGNGGFLRSMSPLVFRRAKNGERLIVQVSSSVVLPMAMGSNGLDIMRKWAAVGGEKMIIQAARLMPMEEITGRRLQELEMEVLERRHELLPESDLICHEINSEYVSVDEIAPSAIEKIQHLLIEGLRIQSGIPTEEPPSSISVNSDSTSNPDIEELLAMSVSLDECKRSENHGHFSNFTLALWLLLRDPFRDYEPVGIPMFALIHVERDHTETTIKPVFKINEIHVTGFRVDLQKNQSGSRWLHSSGMNGKMKRHQFSKSNALVKSSIRSMNMKHEETLWSVSSYVHGEVSKWKELSGMSLYVRNPDIVFK >cds-PLY98821.1 pep primary_assembly:Lsat_Salinas_v7:7:25208715:25209762:-1 gene:gene-LSAT_7X19561 transcript:rna-gnl|WGS:NBSK|LSAT_7X19561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEHIEVEDIEIVSDISEGSSNTDELIKGVRNGSLPPFVTKLYDMVSNEAMDSTISWVGDTRFVIWNEQDFIINLLPTMSKSNNFDSFVTQLNNYGFKKISWDRREYAHESFQKGKRHLLKNIKRRSKGNTSMADKMTCEIQKLECESKELDLELSKFKEYVDNTLSDQKRIVQAMANAIKSTFDQYHHVRGAHMSKETNNNNKGKGAQNLKTSELGQSSFSQSLVIEVEDEDI >cds-PLY97565.1 pep primary_assembly:Lsat_Salinas_v7:5:235988081:235990438:-1 gene:gene-LSAT_5X114560 transcript:rna-gnl|WGS:NBSK|LSAT_5X114560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISSPSSSSSFMDTLFLCEEQDHPWVHDNDDEYDFTETTHQDSSINVHQDFFWEDEELVSLFTKEQQQLQAPPCTLNFDITDPSLLLARKEAVDWILRVKSHFGFTHLTAILSINYLDRFLSSLHFQNDKPWMIQLLAVTCLSLAAKVEETQVPSLLDLQVEDTQYLFEPKNIQKMELLVMATLKWRMNPVTPISFLDHIVRRLGLKTHLLGDFFKKCEDLILSLVSDSRFVGYQPSVLATAAMLHVIDQVDFFNSVDYQNQLLDVLKTTKEKVKECHEMIMEASHPCSINPKKRKLQEDETMNINSPVGDHMDACITSSSSTSSSSSPHHHHLQPPIKKMRIMQQQKLGFAALSCQTFADVTSSPR >cds-PLY75041.1 pep primary_assembly:Lsat_Salinas_v7:2:66652454:66657797:-1 gene:gene-LSAT_2X30601 transcript:rna-gnl|WGS:NBSK|LSAT_2X30601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSFSSGTRLRDMIRAIRACKTAAEERAVVRKECASIRASVSDNDNDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIAAPGFPEKRIGYLGLLLVLDERQEVLMLVTNSLKQYALVFLHSYLSYILCSSSMAALCSIKIVKKVPDLVENFVNPVVSLLKEKHHGVLLTAIQLCTDLCNLNEEALEFFRKKCTEVLVKVLKDVVNNPYAPEYDVSGIADPFLHIRLLRLLPVLGHGDANASDSMNDILAQVATKTESNKNAGNAILYECVETIMSIEDSSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAISVDDQAVQRHRATILECVKDSDASIRKRALELVYLLVNETNVKPLTKELIDYLHLEHGVPVVTVYAGSFMTSLDMGAFSISIIKAEQAILQCLDVAAKAPHWPIGVDALSARCSKDLELNLAKSLLSEMGQCTTAYPYNQLFGALVLNNYERQDATLVSWNSMYIVD >cds-PLY92219.1 pep primary_assembly:Lsat_Salinas_v7:6:75335785:75338911:1 gene:gene-LSAT_6X52880 transcript:rna-gnl|WGS:NBSK|LSAT_6X52880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEVRNVSPGDIQMVQNLIEQCLPYYMTQKQVVDILYQQEKVEPSFTELVWQKLEEQNQEFFKGYHLRLMVKEQIMEFNKLLDRQAALMHQLGPTTVNFQPNKSNGSHIPPMHQNSTIYAPENTGGIALKTENMQQHPAAINFSRGFNNSGLPILPSGVPQNMLLTQNSNVGTNGVSVKTESNYLGNPRFIYEPRPTIGDASVSSFSCLESDPHQLNGPLLNDSSFFGLLGPMSQNFGLSDSTADFTNSSDMLDSYSRSAFLSSERDNFLDSHSSTVDHQGDNKRLDIPENLGFEDFGSDS >cds-PLY80537.1 pep primary_assembly:Lsat_Salinas_v7:3:200692659:200693291:-1 gene:gene-LSAT_3X119561 transcript:rna-gnl|WGS:NBSK|LSAT_3X119561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEILGSAFFAVFFEKLASEALKRVACSKKEISKEAVKEWLNALQHLPYDIDDLLGDLATKAIHRKFSEEYGATINKVRKLIPSCFSSLSSTKMRNKIHNITSKLQELLEERNNLGLCEIGESRKLRNRKLEISLLDPSSIVGRTDDKEALLLKLYEPCDRNFSILPIVGMGGLDKTTLGRLLYD >cds-PLY94249.1 pep primary_assembly:Lsat_Salinas_v7:8:69860504:69861851:-1 gene:gene-LSAT_8X50280 transcript:rna-gnl|WGS:NBSK|LSAT_8X50280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGVGVKKGPWTPEEDRKLLAYIQQFGHGSWRALPAKAGLKRCGKSCRLRWTNYLRPDIKRGKFSLEEERTIIQLHALLGNRWSTIAAHLPRRTDNEIKNHWNSHIKKRMTKMGIDPMTHKPKSGTQLLGSNLNHMAQWEAARLEAEARLVQKPKNYSNQPPYLMSPSSIPELHKRSTTVPPPHLPCLDVLKVWQGTLWSNYSINNESLQSLISTSNVSENFSTLTAARPVEGNGSCEQGQVKKLNDQIKTQMGTFEEFEFTNDATFINGDPLRSPDLWEDFVDLLDGGWNSLTSNFGMIATPPASPVF >cds-PLY71526.1 pep primary_assembly:Lsat_Salinas_v7:7:28916892:28921761:1 gene:gene-LSAT_7X22580 transcript:rna-gnl|WGS:NBSK|LSAT_7X22580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEFFVLGCTGIVMFLHGANFMFHALAHQISIRSLRRIQALAIITDNPQTTTTLNVTHDAEWTPTPISYSKLLSQCCQTKSLNPGLQIHTHLIKIGLENDPKHRNHLINLYSKCRVFRSARKLLDESPEPDLVAWSALISGYTQNGLSQDALLAFTEMHSLGIRCNEFTFPSVLKACSMKKDIIGGKQIHGIVVVTGFENDVFVANALVGLYAKCGDFLDSRKLFDQIPDRNIVSWNALFSCYSNGHFFKEAIDLFQDMIITGLIPDEYSLSTIINACTGLQDITQGRKIHGYLIKHGYTSDPFSCNALADMYSKVGDFEDAKTVFDHIPNPDIVSWNAIIAGCVLHEYFNLGLELFLKMKRSGITPNMFTFSSVLKACSGLGLQDLGKQFHSILIKSEIELDPYLCSGLIDIYSKCGEMDDAQRVYDMMPQKELIALNTLLSGHSQNGNDIEALSIFSKDRDRIGFNEATLLPILNSSASLQDVYVSEQIHGLSLKTGFQSDPFVINSLIDSYSKCGYVERAKMVFDESDIADLATFTSMISAYAQSGQGEEAIKLYLKMQDLELKPDSYICSSLLNASAILSAYEQGKQIHVHTLKSGLLSDVHTSNSLVNMYARCGSIDDASRAFFEVPEKGIVSWSAMIGGLAQHGYGKEALSLFDKMLKDGIAPNNVTLASVLSACNHAGLVTQAKTYFETMEGVFGIKPTQEHYACMIDILGRSGKLDEAMDLLSRMPFEANASVWGAVLGAARTHKNVDLGQRAARELLVLEPEKSGTRSLLANIYASVGLWEEVADTRRLMKDSKVKKEPGMSWIEVKDRVYTFIVGDRSHFMSEEIFKKLWELMDLIREEGYVPVLENDLHNVTRSEKEVLLLYHSEKLAVAFGLIATPPRAPIRVKKNLRVCIDCHTFLKFVSKVVTREIIVRDINRFHHFRDGNCSCGDYW >cds-PLY93310.1 pep primary_assembly:Lsat_Salinas_v7:4:302651352:302651546:1 gene:gene-LSAT_0X41440 transcript:rna-gnl|WGS:NBSK|LSAT_0X41440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLWGYLSEMVRPLPIVACVISSVAEKVVGGCCPTAGGVISVAAGWQILRPKTRFEMEDFRANV >cds-PLY99393.1 pep primary_assembly:Lsat_Salinas_v7:4:103144691:103145023:1 gene:gene-LSAT_4X65721 transcript:rna-gnl|WGS:NBSK|LSAT_4X65721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDIHDFDTIDVELDAYFKKKQASRCKDEFLNILCKEDDYEAVDDAQTENDAQTGNDAQTGITKEESDEDYLEGSNEEGSDEEFEYSTHNPKVKWNKMRPMLGERYESPH >cds-PLY79058.1 pep primary_assembly:Lsat_Salinas_v7:3:10159064:10161196:-1 gene:gene-LSAT_3X6741 transcript:rna-gnl|WGS:NBSK|LSAT_3X6741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTWISICTGKSGFAASSTAEDVTKGVDGTGLTAIVTGATSGIGLETTRVLALRGVHVIMPVRNLESGKKVKESIVEKIPNAKLDVMELDISSMQSVRQFASQYRSKGYPLNILILNAGIMTPPFSLSKDNIELQFATNHVGNFLLTNLLLDTMKKTVKESGKEGRIVILSSEIHRMTYKEGIRFDKINDEKSYCAFSAYGQSKLANALHAKELTRRFQEEGVKITANAVHPGVIATNLARNGGFNAVFYGIFNRFLKNIPQGAATTCYVALHPQVKGVSGQYFADSNLSKASNYAQDPELANKLWDFSLRLTNSK >cds-PLY73694.1 pep primary_assembly:Lsat_Salinas_v7:5:207361500:207362543:-1 gene:gene-LSAT_5X96600 transcript:rna-gnl|WGS:NBSK|LSAT_5X96600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFINELRGECRLCQNNAMLISDHLSLKKFLIYSLQKSNPPTGKHLVKSLSLRLSIKKSLSVSCELKMDQPSNAPLSSPVSVIGSQFMAPNQFDIIVDIITTGNLVVTDIDHKIMLQVKSCDTSFHQQRVLVDADGKPIVLMRGKIMSEHDRWNVFRGNSKSKSDMIFTTQSAHMIQFKTNVQVFLAKKTGKKNVCDFKINGSWTNRNCTIYMGDTSTPIAQMSKMQSSEDVTNKFMVTIYPNVDYAFVVVLIAIVEVMKMNNSVIKEKFAREVIGGLSNIIVGALLL >cds-PLY93459.1 pep primary_assembly:Lsat_Salinas_v7:9:64308468:64309851:-1 gene:gene-LSAT_9X56020 transcript:rna-gnl|WGS:NBSK|LSAT_9X56020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATEEVKKVEVEPECPAEPPPAAEVEEKAIVPVEPPLTEEKPVDDTKALAIVEKPIEDKSEEGSVHRDAVLARVSTEKKDALIRAWEESEKSKAENKAQQKLSSIGAWENSKKADLEAELKKIEENLEKKKSKYIEKMKNQIALLHKKAEEKRAMTEAKRGEALLKAEETAAKCRATGETPKKGWFSS >cds-PLY68478.1 pep primary_assembly:Lsat_Salinas_v7:2:214920461:214921705:-1 gene:gene-LSAT_2X135300 transcript:rna-gnl|WGS:NBSK|LSAT_2X135300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSPVVIGSPLTMSFLVLYPGFPLVQTKIIDFFRIQRSSEFCQDIGKKRRRKSCLIASKKKRRLLPYSPSEDPARRLEQMASLATALTATGAEFSNELTYIHGMAPRSANRPAFEKEGMQVLSREDTEALNLCKSMMRKGECPPLMVVFDPVEGFTVEADKCIKDWTIITEYVGDVDYLKSRENDDGDSIMTLISAAHPSKSLVICPDKRSNIARFINGINNHTIEGRRKQNLKCVRYDVDGEARVLLVANRDISKGERLYYDYNAYEHEYPTQHFV >cds-PLY88445.1 pep primary_assembly:Lsat_Salinas_v7:8:86197114:86197455:-1 gene:gene-LSAT_8X60161 transcript:rna-gnl|WGS:NBSK|LSAT_8X60161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAVAMCIERKLQTTKIAFRIAQPRYRSGLQNSEFAQATFHRHRLVHRKYKSTATTTPLKIMRMPSEREREREKREREIQR >cds-PLY98895.1 pep primary_assembly:Lsat_Salinas_v7:7:48782009:48784167:-1 gene:gene-LSAT_7X35520 transcript:rna-gnl|WGS:NBSK|LSAT_7X35520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLFNSLSVSIPTISTIPSIPSHLSRFGRHYHHLLRVPRPFSSNPLPIVTKNQKPFFSSALVSTEEGNTEVEFQVLTAINSIYNDIVIVDTAESRMLLLDSTHNVHSIFMKGQTWTGSYWDEFATLPAIIPEGPIAIFGLGGGTAAHLMLTLWPSLQLHGWEIDEILIDKAREHLGLSDLEKHTEDGGVLHVHIGDALSTSSNIPGGYAGIVIDLFSGGEVLAQLQEVETWLEINKKLMPNGRLMVNCGGSSDAIWEKNSTINAMCKAFPGQVNWKKMPKSDGENYLAFTGPLPDLSMWSVGLPDRLSSSIKQWTSCFPS >cds-PLY69194.1 pep primary_assembly:Lsat_Salinas_v7:1:79824569:79826276:1 gene:gene-LSAT_1X68280 transcript:rna-gnl|WGS:NBSK|LSAT_1X68280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOSYSTEM I ASSEMBLY 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34860) UniProtKB/Swiss-Prot;Acc:O64750] MAFNLSSPSPSAITPLSAHSNPRHALQSNLKSVSYRVRCSLESESSSADVPDTSPEPITTKTQNHLTSRRRCLACLTSSIALISNSSSPQEKAIASDMKPGCRNCGGSGAIICEMCGGTGKWKALNRKRAKDTYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPDAKQLLEKMYNGRLLPNS >cds-PLY62382.1 pep primary_assembly:Lsat_Salinas_v7:8:113703076:113705999:-1 gene:gene-LSAT_8X78340 transcript:rna-gnl|WGS:NBSK|LSAT_8X78340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDPEARSIERDLDPKVILLWSFGTILKSRPIRAAHCLTSIQFSPTSEHILLAYGRHHSSPLKSIVINGETSLSIYTVLEVYRVSDMELVSALPSAEDEVNVACFHPLAGGGLVYGTKEGKIRIPQHNGGHAPKPDHFFEARAVEVHGGRQSILVGLKAECPDKN >cds-PLY89943.1 pep primary_assembly:Lsat_Salinas_v7:4:4615016:4620222:-1 gene:gene-LSAT_4X2961 transcript:rna-gnl|WGS:NBSK|LSAT_4X2961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease [Source:Projected from Arabidopsis thaliana (AT1G67550) UniProtKB/Swiss-Prot;Acc:Q9SR52] MLHNAGYLAQKRLARGLRLNYTEAVALIATQILEFVHDGDKSVAELMDVGRQLLGRRQVLPTVPHLLDSVQVEGTFPDGTKLITVHDPVSCENGNLEMALHGSFLPVPSLEKFPNIETCKIPGELIFRHGYIMLNSGREAVILKVTNNGDRPIQVGSHYHFIEVNPSLIFDRRKAYGMRLNIPAGTATRFEPGDAKSVTLVKIGGMQVIRGGNAIADSPVTDSNVKTVMESVHTRGFGNSEDTSTNNGIIIEGSPLAYKMAREAYANMYGPTVGDKIRLGDTDLFAEVEKDFAIYGDECVFGGGKVIRDGMGQASGYSPSDCLDTVITNALIIDYTGIYKADIGIKAGLISSIGKAGNPDSMNGVSDNMIIGVSTEVIAGEGKIVTAGAIDCHVHFICPQLAYEAISSGITTMVGGGTGPAEGTRATTCTPGAVHMKTMLQATDDLPINFGFTGKGNSSKPEGLHEIIKAGAMGLKLHEDWGTTPAAIDNCLSVADQYDIQVNIHTDTLNESGFVEHTIAAFKDRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPYTKNTIDEHLDMLMVCHHLDKDIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEASHYSLSSHKMKLQRGAIDGNIQENDNLRIKRFIAKYTINPAIANGFSDYVGSVEVGKLADLVIWKASFFGAKPEMVIKGGDIAWANMGDPNASIPTPQPAAMEQNIKTLYRLNKKVKSVSNVRRLTKLDMKLNDALPNIQVDPETYAVTADGVHLTCTAATTVPLSRNYFLF >cds-PLY85606.1 pep primary_assembly:Lsat_Salinas_v7:2:119016969:119018111:-1 gene:gene-LSAT_2X55700 transcript:rna-gnl|WGS:NBSK|LSAT_2X55700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFSKLATALTVIFFVSIVALICELLYVLWRHRSFRRHSSPPPPTHIGDQNPDNFPTADAATKELLLYFFCLKPHIPEDSSGRPNKNTDASSPNDEVIDVFKLFEANGPSRFLCTIKEEDKEDVESTSDVDFANRSTTKSSGLQSGVQLAREPVAVEEEEEEVAVTVDGDDGSMKTEFSTPCDSPLFFTPEGSPSREVIDLEFVISVHATGNVDER >cds-PLY77101.1 pep primary_assembly:Lsat_Salinas_v7:7:184216594:184219876:1 gene:gene-LSAT_7X109881 transcript:rna-gnl|WGS:NBSK|LSAT_7X109881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWKNRGGGESHSRSLMSRQLTLFLCAGCFFAGMLFTDRLWIEPEAKELSRPTGTEDEQIKVLADGCDTRLKDVRRDSKDIIREVSKTHNAVQTLDKTISSLEMELAAARAMQDSILTGSPISDDLMLPEPIKKRKYLMVIGINTAFSSRKRRDSVRATWMPQGDKLRKLEEEKGIVMRFVIGHGATSGGILDRAIEAEDRKHGDFLRLEHIEGYLELSAKTKTYFTTAVALWDADFYVKVDDDVHVNIATLGATLAKHRLNPRVYIGCMKSGPVLAHKGVRYHEPEHWKFGEEGNKYFRHATGQLYAISKDLATYISINQNVLHKYVNEDVSLGSWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICRSADRIKEVHKRCGEGEDALWSASF >cds-PLY97823.1 pep primary_assembly:Lsat_Salinas_v7:5:197787896:197788948:-1 gene:gene-LSAT_5X88300 transcript:rna-gnl|WGS:NBSK|LSAT_5X88300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDYLNEGLIFEIFIRLPPKSLLRFRSLSKSLCSFISSPRFIHMHTFQSPKKLLIQHQTYESKDFYTLHSEDQIPLDPRRGYIRTTPVNAPYLKYSIIVGACNDIFCLFHYQENRISLWNFSIRRKITLPDCPQRCFSGVQIGFGFDPINNDYKIVKLPTYGGREESSFVYTIKTDAWSEIASPTPVFHEVLLNAYFVNGALHWVVERHYNNLHDVERFYILKFDLGTHVFDMITLPEPSSKTARLTSVRGFLAVISGDCDGCWVWVRRDDSWNVVYKMKRKHVFDGGLLSVVQLTTNGDLLLLCEGFQIYNPMIGVPSRLVDFGSDSFIVGTEMCVETLQLFDVATATS >cds-PLY75050.1 pep primary_assembly:Lsat_Salinas_v7:2:66799973:66804960:-1 gene:gene-LSAT_2X30721 transcript:rna-gnl|WGS:NBSK|LSAT_2X30721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGPDGVIEIEDQDEEENEDEEEESDDDGGYEDEELIPSVVTSPRVSNVVSRAGNSQEAVVLHDDLVEESNCKRSCKGNLGSQESGRDDGDEDKFNGGEIDGLFCPICIEAWTSGGEHQICCLPCGHIYGLSCIKKWLRQRRGSGKCPQCNKKCTLKDIRVLYAARLCVVDAELQKQVRSLETKCASLQQKNVDCCKKEVEAAEREANLNQQEAKHLKRLLENKQRESQASFTINQGYKRRVINGHNVDNGCGSQAPPGLFKLQREFQVEGGRYFDMDETGQVMIVARRLNGMGGRNLLTKISLLAPSEREDIELPANTKAVRALCVRPCSRLVLLASLGKKLTVVSTESNNTVLTYELPAPAWSCSWDVSSPHHVYTGLQNGMVLAFDMRQTRTALESRMGLSCSPVHTMTALPPDSSIPSAIKTLLTASQIGLCEWSIDANEERPYLIPESENQGVCISLAHSNRDNIVASFRPKVQTSADTAVSQPLPTSPEPSGVPGCHIFYKKTGTRCYKRTGSMLAAQMENVRLPKSTIINKQNYGSMFVFANETTSDLVLHDMSKMLVVQRLKVPKNQISDAISDVRCSKICDTSVLGCISGDVVQLFT >cds-PLY66808.1 pep primary_assembly:Lsat_Salinas_v7:3:203217960:203218621:-1 gene:gene-LSAT_0X33860 transcript:rna-gnl|WGS:NBSK|LSAT_0X33860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METSTSSKMQPRKQSKSLTNMSLEVIEKIIVELGKMSVVDAFNMKSVFRILNESGKMEEIYKQLEAYGLRFCRWFDQKHAVVNKCKEMRNPNILFRDGLMTLFFLEVGHEGITMLEESICIGTFGFNICPRNDVDGRRQIEEAGSFEHVEQILLQKKSYLES >cds-PLY61916.1 pep primary_assembly:Lsat_Salinas_v7:4:219449827:219451681:-1 gene:gene-LSAT_4X120941 transcript:rna-gnl|WGS:NBSK|LSAT_4X120941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQFYLIVSTIVATIFSILVYRLLLHKKSVKTATNQKPPQAKGAWPIIGHLHLLGGPELPHKVLGGMADKHGPVFTIKLGVHQALVVSDAAIAKECFTTNDKALASRPKMTGAKILGYNYSIFGLAAYGDYWRKVRKMVVLEVLSQRRVEMLGYIRASELKSSVNDLYDRWLKNKRSENSEMVKVEMKQWCGNLLVNNMVRVIIGKRFPPHDKEGLQFQAVSRKLFKLLGVFVVTDFIPFLSYLDVGGYKKAMKTTRKDLDNFFDRYVKEHREESKTIQHEGNKDFMHVLISIVQDAFEDDFKGFDEDTIIKSTCLQLLVAGTETTSATLTWALALLLNNPKALEIAQEEIDEHVGRDRLVKESDLKNLVYLNAIIKESFRLYPAGPLSVPHESIEDCIVGGYNIPKGTRLLVNLWKLQRDPNIWSDPTEFKPERFLTSHKDIDVKGNHYELLPFGTGRRMCPGISFSLQALGLTLASLIQQFTIEKPSDELVDMTESMGMTNGKATPLDVLLCPRLSSNMYDIGP >cds-PLY73052.1 pep primary_assembly:Lsat_Salinas_v7:9:36059307:36061419:-1 gene:gene-LSAT_9X33260 transcript:rna-gnl|WGS:NBSK|LSAT_9X33260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYQGDSDLQLERINVYYNEASCGRFVPRAVLMDLEPGTMDSLRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRTMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLVPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYYEDEEEEEGQEM >cds-PLY64797.1 pep primary_assembly:Lsat_Salinas_v7:2:102380764:102384323:-1 gene:gene-LSAT_2X44980 transcript:rna-gnl|WGS:NBSK|LSAT_2X44980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQYYLYPPPVGQYEDVMASYKLFMDTLGKFHAAMGTKFKIPIVGGKDLDLHRLFMEVTSRGGIKRVLEDKKWREVTNVFNFPPSATNASFILRKYYMSLLHHFEQVYYFKAKAWTPVPTDTWQSTKSTLTSMSEMNKVMLPLPENQELASGKRQRVETDDGSPELGFPVTGIIDGKFESGYLCSVGIGGEQLHGVLYQTTVCTPLCEVTQDATMVRCRRRRRKKSEMKKRDPAHPKPNRSGYNFFFAEQHARLKLLHTGKDRGISRMIGELWNNLTDSEKAVYQEKAVEDKERYRTEMEHYRENFRRESLINNTVVPLQQQYFTNMMLDDNPENDGENSHQTAENELNSGYYDNEKSSSEAEEGTKDKDLNHEMPLEVEMGGENDDAIMKPKEELAFGNKKSFDIVHEDNQSVFLHGSLQQNSISVQENEPLIENESKKDGKSSDNQPMILDISDENKRESDNNQEKDLVYNAENFEKDPQVFKETEKIEQETEDK >cds-PLY75941.1 pep primary_assembly:Lsat_Salinas_v7:4:123482432:123484951:1 gene:gene-LSAT_4X77861 transcript:rna-gnl|WGS:NBSK|LSAT_4X77861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGKIKIGINGFGRIGRLVARVALQRDDVELVAVNDPFISVEYMTYMFKYDSVHGQWKHHELKVKDDKTLLFGEKPVAVFGSRNPEEIPWAQTGAEYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKSDLHIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPSLNGKLTGMSFRVPTVDVSVVDLTVRLEKSATYDEIKAAIKEESEGKMKGILGYTEDDVVSTDFVGDNRSSIFDAKAGIALNNNFVKLVSWYDNEWGYSSRVVDLIVHMASVE >cds-PLY85892.1 pep primary_assembly:Lsat_Salinas_v7:5:96690628:96693990:-1 gene:gene-LSAT_5X48701 transcript:rna-gnl|WGS:NBSK|LSAT_5X48701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT5G10250) TAIR;Acc:AT5G10250] MAHPHCPEIDDAAPMQHHNLVVPLTLTSIAESFEKKGHSWFAVSQLPSDLTVKVDDITFYVHKYPLLSRCAYVGQIDLQPSISNKDYELKLQNFPGGAETFEIVMKFCYGLPVSLNPRNAAPLRCAAEFLDMTEELEDGNLISKTESFLTLVVFSSWKDSITVLKSCENLSPWAENLQIVRRCCDSIAWAAEGNFTTIETASEQSRWLNDVATLRIDIFLKIITSMTLKGLRPDLLGSCITIYAEKWLIGTDHGDIEPSKRYTNGKNERQWSILPGRSPELELGQYPEQRRIIESLVSILPHKKDAISCKFLLWMLKMAMVYSVSPAFVSELEKRIGMVLENASVYDLLIPGYTTAGEQGKQVILTAEQTLYNVDAVRRILEYYLMHGQQQQTQSHHKASISKLLDNYLAEIAGDPNLSATKFQVIAESLPDNARLCDDGLYRAIDIYLKAHPSLSEHDRRRLCRAMECQKLSIDACTHAARNDRLPVRTVMQVLLAEQLKLREEMHKKQLAGNVDSSQQEDNCASPKEEIKMLKLEQEKMMDLIKELQTDYTNLQQDCEKMRNKQNALSGWILGWHKIKRSTLFQGRFDTNENRDEKVKDREGKEKEKRISSMLRLKRRQSMS >cds-PLY67832.1 pep primary_assembly:Lsat_Salinas_v7:8:187730062:187732159:-1 gene:gene-LSAT_8X121820 transcript:rna-gnl|WGS:NBSK|LSAT_8X121820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFFYLFFLLISISSTTNACDRCLHQSKAAFFSKASSLSSGACGYGSSAASFYGSHLAAAVPSLYKSGSGCGACFQVRCLDSKLCSKTGTQVIVTDENKNNETDFVLSGRAFMAMANKNMGKNLLKVGVANVEYRRVPCDFKGKNFTVRVEESTQKPNYLALKFLYQGGQTEIVAADIAQVGSSSWTYLTRSHGAVWDTSRAPAGALQLRLVVTSGYDGKWIWAQSVLPADWKIGGVYDSGVQIDDVAQEGCGECDEQIWN >cds-PLY87257.1 pep primary_assembly:Lsat_Salinas_v7:1:51073209:51082833:1 gene:gene-LSAT_1X42741 transcript:rna-gnl|WGS:NBSK|LSAT_1X42741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYALNKISHLRIPYEDHIESATDDMDDENIISENDECVSYRGQLLWSGELIDIVAQEYDRHLSEGISKFEANALILSGLKHKNIVSFVGFCEDPYWVIIVTKYEVNKSLDMYLSDSRSLSWMKRLQICVGVAHGLSYLHYEEGRDYSVIHCNIKSSSILLDENWEPKITYFGNSIRTPVAQRHRLLHAKHSGTVGYMDAIYEKTKGVTHKVDVFSFGVVLFEVLFGWEASSPNEENESLVQSAKLHYEKGTLEDMISSDLREEMDDQSFNIFSETAYCCLKAQRAQRPNMDQIVKRLEKAVELQKKFENHGKDFEHLKIKLSDLEFATEEFSEKFCIGSGGYGKVYKAKLQFDGKSYSKIEEKNEGEFPNKDKTVAIKRIFSRADKQGEDGFVAEIEMLSSCKNRNIVSLLGFCDEGPQQNLVYEYVSNGSLDDYLGSINNMTNLTWLQRIRICIDIAHGLEYLHSSTENKQKIIHRDIKSANILLDENWEAKIADFGLSKFHPLNHQASTLNSINVAGTEVYLDPEYLKTGKFKKATDVYSLGVVFFEILCGKVAYDPIYLEEDVKGLGPIARRRFQEGTLKEMRDPNLTEESDEIFFTQNRGLNEDSLATFSEIAYKCLAETQAERPTMEVVIEELKKALSFQENQTDNLKFSLEDIKLATENFSNCLGEEECWGLYKGEVLNANGSTMVVAKRLDNEDTDFIEHEFFTELKILHGYKHKNIIGLVGYCNEMGERIILYENTSKGSLDRYLKDINLTWKKRLEICIDVATGLDFLHGGDTAHELVVHRSITSFSILLSDDWKARISNLGLSLVTSVDNEVEFDISDSSCPVKYIDPLYRVKGTLTKESDIFSIGLVLFEILYGFSTSNNYSHDNELTSLVKDYYREGKLDELVFEGIKEQTVPQSLTAFQKIALQCLHDKREERPTAGEVLIQLKKALEFQVSTSHCVKTGYLISSMIQANNDMVSWNMYILGRIIFNSLKKCKIIL >cds-PLY84182.1 pep primary_assembly:Lsat_Salinas_v7:3:145582447:145584813:1 gene:gene-LSAT_3X95181 transcript:rna-gnl|WGS:NBSK|LSAT_3X95181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWVWNYCTLNFMLVEMAVVTIHVLTEDIVQPENVECTSGAELKFAIMGTNWFRKQEAITTLNVAYKTLWSNMLELEDTVLRQIKAHFTKYFPNFDQPFEEVIYPKRDVDVVSISKRDVDLLLPDTFVNDTIIDFYVKYLKNKIRPEERQRFHFFSSFFFRKLAGPEKEPLDALEGKTTFQRVRKWTXRFHFFNSFFFRKLDDPEKEPLDALEGKTAFQRVRKWTRKVNLFEKDFVFIPVNYNLIVMCHLGEDAKYKDEEDVTELIKVPCVLHMDPIRGSHTGLKGLMQSYLKEEWKGRQQEASKDISSRFDNLRFISLELPQQPNSFDCGLFLLHYVELFLEQAPINFNPFKITKSVQFLNTDWFPPADASLKRVVIQRLVYDLLQQPYDEAEAFYKVFSQVSAKRFLLAKFHINFDPCVNFNNPFVKLINMTVLPLSDSWILYFIGCLQL >cds-PLY86066.1 pep primary_assembly:Lsat_Salinas_v7:3:194776203:194776894:1 gene:gene-LSAT_3X117780 transcript:rna-gnl|WGS:NBSK|LSAT_3X117780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSWLLTMRRLELYHKETKILLKLQDHGVLMDLLWMKVLGFCYWKKLRMLRGTIIYAEFLGGSFTYDAYHMIGPYPEGVGLIFCMEKPLSRFGVAGEDVNYINSHATSKPASDLKEYSSLLHCFGNN >cds-PLY96796.1 pep primary_assembly:Lsat_Salinas_v7:2:171959435:171962695:-1 gene:gene-LSAT_2X94401 transcript:rna-gnl|WGS:NBSK|LSAT_2X94401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSAFNPQILVEKLTKLNNSQQSIETLSHWCIFHMNKAKQVVETWARQFHSSPRDQRLAFLYLANDILQNSRKKGSEFVGEFWKVLPDALRDVIDNGDEFGRNSALRLVTILDERKVFGSRGQVLKEELVKRHMETSNRNGKHSGLKLKQPAGNAVDKIVSGYKVIYGSQVNEDAVFSKCKNAITCVEKVEKEIGGDIRSVNGTGVTDELKGQHATLRDCIEQLTVIESSRTNLVSYLREALQDQEMKLDKVRSQLQAAHSQAEKADNYCRQLLNPNGRQPPPVPVTGEQSAPVMYTQQPPFTDQSEDTKSAAAAVAAKLTASTSSAEMLTYVLSSLASEGVIGNSPSNDYPLQKRTKINNGEPPAPPFQYPDQQPPPPSSPPPPPPMPPMQPYPAPVYMVSAGTVTYGHTMNQPPPPPPQPMAGPLVNGVSLYTPGIVPDGYQNYQMEGGFYSQQSAMSMAPMSRQ >cds-PLY87443.1 pep primary_assembly:Lsat_Salinas_v7:2:135173067:135178187:1 gene:gene-LSAT_2X64861 transcript:rna-gnl|WGS:NBSK|LSAT_2X64861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDDDDDSFGEFTFASNQPTPNSSSQIPATTGDDDDWGDFNFVGNNATDHNLSPQPQPQIQTTPKWEKIKGALPLSLFGGEEEEEEEDKKPVMPVAGNDVKQSSFPGNSGFPSNNNTDKSNANLGINDLISDLYGPRQQQTATKATDNGNGLDSTARSSSVYKDPLSKSESLSSFSFHSVATKAADNGNGLNYTARNSAASKDPFSKSESLSSFSFHSVGASGYHGSDDEGGWEFMDAYSESKLAQNGKDNKERSEKTVSPPSLQDGSHGPNDPFGTPNNGSHRPNDLFGAPSNGVFVESHVTDSGFNSKPITNIQNGFAADTKANSKDTTNELNSNPLGGSVDSFGEFEAAFMEQPSKKKEISDEDDLFSFPNGLNDDSHKEKNNGFDFRQSPVAQNIVSSDSFSQTESNKSKNATVSQPPVEGNENDDENVGKSEISFQEAESKPQGYEPSPKNYKQPVPLSIFGIEEETEVDNSLNLEHELFKSSSSHGKHMRTLSSNLSINDILSDLYNQSEPISSDVVNNNHDDDDDDDNFDDGSWEFKDASSQSKAQNQNLSFKEKLNNFIDLYSNLKDELCFVARHHLHGLKKAQITATLAGEEMKVAALDKQIQEAFEKLHQKDIISTEVNEDDDVALVISLNQYIKTLHEPHFLIFDSEYNISKRLPLAESDLQTAIDLINHITRVLKIIKLAPKGEACNYVTQWFKVIQVCSQELKHATWILNQSLQKNINHQILSQQQGKQFVNAIGEIYRVVVILGAAVKFYKPWILLNGVNLEGLYGLLAECDSLWSTSGLEEVISVDSLSESISHIRDLDEFSIVNLDEEESQCQLSLLSPRIVPEMKMVIWNGETFFVTIANLWANLISPDPPKLT >cds-PLY95235.1 pep primary_assembly:Lsat_Salinas_v7:6:97154026:97154758:-1 gene:gene-LSAT_6X65121 transcript:rna-gnl|WGS:NBSK|LSAT_6X65121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENHSQNLIAHHNPIPNHFGGQFSNQGYGAYPYQSSSMAQQYNQNMYMSTQQPLQIANGYMANHHAFIRTTMESPQINEEKEYEEFLALLTKRKQQSTKLQLSENSQRMNSRVQDNKLKSHEDNEVIRCHNYQGENHFAKDCKMKIKKVKDEAYYLQKAEQIKKQSKDKAFIVMETPNVEVWETDDEVDQVEETEAYKNYFYFMADDDKEPSPLH >cds-PLY88922.1 pep primary_assembly:Lsat_Salinas_v7:8:129920883:129929904:-1 gene:gene-LSAT_8X90041 transcript:rna-gnl|WGS:NBSK|LSAT_8X90041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEYVAVLPFMKFPVYGNSVTELSFMPNGLCAQTRRRSYAACGRATQHKAGGICFTNLKVNHKQKPKIMECYCLGTLISTNGDPSVWIPVIDQVLLMSSIFLTHLAGVIPADGPFSTSRRNTSSDNVAPDGTPISGSGVKNDKGKEVSLELSWDTVENKLINSITAIEYGTKLETETMESGQTANKSSSLSAIAEGPRFRLMLASFHWLKNEADMKNLQKVFSEILQRSSLSICTNWLKEELLVRNKRSMKELPLRLFEKLKGDDSVVQMVKKSGKEDLYTELLYILRFDSVSQDSRYSDKFFDCWGVSVLEDLVITLSDGIASIYLELISVDSDMSNDINDLGLNLCTLSTRALQRLRNEVSLNQWFVQNMETVVSMYEDRFDLRVLESQRVLESSKGNSGKFDWLKKIGVKKSTPLPLASPLLCYAVISCVRVPVKRTKELRGLVGWRYYFSLYLELSDIGMPFVRTVAAKISDAVSFFLVCLIGRSLGLIYTGIRQSLRFSTVPESCRTSPSEVDLLSTFIRSSLNELEGPSHCWFNRSTATKDLTGKNGVFLVVAGAFLESGHNSTFMFEKVKSLQQRHPSLNVMGFQVCKSVSSDVVRSHLAKTIMSEYITFPILVSTKSLSEMSDKMGYMIFKGMEGPLLLHDKDVDFEILETAIKELIVQQKEKPGLLHNLRGSWVKPLDALKEPYLCSPLQNLLLYFPGCIEVDEVNERLFLSDTNHHRIIVFDGNGKILDCIGSSPGFEDGEFESSKIIRPAALFYHNEDDYLYFVDSENHAIRRADMESRTVETLYPKPNVSETKSGLVSWIIDKLWSTKDIPSNSEEVDPRLLFYPWHLLKSLENDLFILNRSFETLWIMDLSSGIIKEVVKGSANIIDICGQLIKEKSSLVKEIPPSQLPQNNFSVEGISHAGLLSSVATFQDNIVICDTDGQVVLKYNKTCDSMTSFEFSNFGMLGLPYWLVPPMESAYAAGFGVSGLPLDHIQHFSLLPGRIDIRMKVEIPQETELVEPLDEACIWRQTRGTATETLGAENKAESTEKVGVAQQWYDELDELASLTQKQVDAEEDKNMSDSRVQDGNVFIDCAVNTSPGTSEVIINAALYLRHKSGDDSKKKQEVGRLIDALNPSGKGNNVFLRPLHVKLKINSLDHPKADNSKDIILTESAIQVNVSLK >cds-PLY63350.1 pep primary_assembly:Lsat_Salinas_v7:7:147601570:147602514:1 gene:gene-LSAT_7X87380 transcript:rna-gnl|WGS:NBSK|LSAT_7X87380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPAVDFSCESTNTTPYVTAPSTPHRFPNFFYTAPTSPIHSLAISFHQEDENRHDDDKDFAFNFTGELEPPSISAADELFHCGKIKPLKPSVSDDPPKSPPSRFTQAFSPRRKKKDYDAFTEALTQTSGEQTHTSQKPSKRGRESTRKTKIKDKVSPSSSPFRISDILSEEEHHNQRNPTNQSSSLTWYNKWNLKNLLLFRSTSEGSARRSKDPVNKYSRIRKDGGDAGEDVRNSSFRSTESLGSSRRVMRKVSAHEIHYTANRAVAEEMRKKTFLPYKSGLLGCLGFHNNGGGSVHEISRGITSVMKQRGY >cds-PLY81424.1 pep primary_assembly:Lsat_Salinas_v7:3:217533161:217538301:1 gene:gene-LSAT_3X126060 transcript:rna-gnl|WGS:NBSK|LSAT_3X126060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVKSFYRWLANKYPKIVVNTVEKTDEQDGTLANPNGLEFDNLYLDMNGIIHTCFQREHEDVLSKHLNTPASYEDVFSNIFEYIDSVMMIVRPRKLLYLAIDADGVAPRAKMNQQRTRRFRNSKDHETLRKEEDRLRTQYEIEGRDVLPQQESEMSDSSIITPDTTFMFELSKQLQTYVNLRISKNDAWKHLKVILSDENAPGEGEHKIRSFIRLQRTCPGYNPNTRHVFYEGNSRTSHSSVSLPAGKPKSLVKKPHQFVHVWILREYINLDLRTKNVPENFEYDIERLIDDFIFLCFFGGNDFLPPMPTLEILEGAIDLLIHVYKQEFKNLGGYLVNTEKVGDEKGGYIKQKRVEKFILAVGAYEDQIFEKRSAIFHKSKLRYMLSEVKDKSGKGEDNLFSVTRSGHNHSEKSTDHDVQMAENTKALKEQLKSYMREISDNFRNGLLSDMVKLGTPGWRKRYYKYKFSAETKVDMENTRKEAVEKYTEGLCWVLLYYFSGVASWTCTKVTFQRGSPLKPFDQLMSVLPPTSAHALPPSYGSLMTTDDSSILDFYPNDFDVDSDGKRFLWQGICKLPFIDEERLLASTKMIEKDLTEEEAKRNAENPDKLFLHISENLALRIITSFNNEGSIEQKTGLSGDINGFVHPNLEPEYVRDSNGCGNDLDVLCVYYDSPCFSLHIPHVLEGTSIPELTVSESYIEEGCLWHESHGYPVRSHRSHGQVKEAKINPNQGNRFVDATRTPKEQKSCASWWNTYGRGSRTNNVYGQSRSVRSDSSSNRNQAKGGWGEAQSRNASSRW >cds-PLY70759.1 pep primary_assembly:Lsat_Salinas_v7:MU044121.1:219:1111:-1 gene:gene-LSAT_0X46260 transcript:rna-gnl|WGS:NBSK|LSAT_0X46260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKTRRRNARQAKAVKDFPRPAGKLRPQVHGQTLKYNMKLREGRGFSLEELKAAGIPKKLAPTIGIAVDHRRRNRSLEGLQANVQRLKTFKAKLVIFPRRARKIKAGDSTPEELASATQVQGSVLPIVREKAAAEFVKVTEEMKSFSAYSKLRVERTNKRHLGARLKRAAEAEKEEKK >cds-PLY85687.1 pep primary_assembly:Lsat_Salinas_v7:7:158311822:158312868:-1 gene:gene-LSAT_7X92980 transcript:rna-gnl|WGS:NBSK|LSAT_7X92980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGSVIMSDSSLQPSLKEVEKIIGYEFKNKGLLKEAFTHYTYKDIDCSESYERLEYLGGSFLNLMIAKEHYLLYPDMTSGELTRLRAANIDIEALARAAFKHGLHRFLRHQDHLYDEWIQELIEGIKEYPLHSTGLIHSPKIFADILESLVGVVYVDTNLLVDATWEVVMNLLQPLVIPENLNLNPVTRFNEMFQKIGIKSEYKDLWDDRKEIEIYVDEKLIGIGNNKNKKTIAKNKAAAQAYENLAKQLAMENGAFVYEL >cds-PLY84719.1 pep primary_assembly:Lsat_Salinas_v7:5:230593873:230594675:1 gene:gene-LSAT_5X110980 transcript:rna-gnl|WGS:NBSK|LSAT_5X110980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRHTQVTCPKTGTTWLKALSFAILTREKFDHVSASPLLTTVPHDCVLFLENHLEKIKENSWDLPLLATHLPYSSLLKSVIASNCKIVYIYRNIKDVIVSYYHFVRELVNLSVEDAPFEKAFDDYCQGISCYGPYWDHILEYWKASQERPNRFLFLKYEDLKRDASNNVKQLAEFIGSPFSVKEEKAGVLENIIKLCSFDSLSNLEVNKSGIYQADEVFALEHRLFFRKAKDGDWENYFTDEMNEKIDKLIDEKMSGTGLVLK >cds-PLY91807.1 pep primary_assembly:Lsat_Salinas_v7:MU039392.1:1892454:1892636:1 gene:gene-LSAT_0X161 transcript:rna-gnl|WGS:NBSK|LSAT_0X161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADLTVVLGGRGGNNGGEGWWLWAALCSRGLLRWSSATSSLLMLDGNDEGKEAEAGGDQK >cds-PLY98070.1 pep primary_assembly:Lsat_Salinas_v7:4:79538245:79539257:1 gene:gene-LSAT_4X52481 transcript:rna-gnl|WGS:NBSK|LSAT_4X52481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFVIQAYKTLRDRGPYPAHSVLKDLEGSFGFVVFDFKAKTVFISLGNDGRVMLFWGLASDGSVVVSDNLEVIKSSCSKSFAPFPTGCLYHTGGELKSFEHPKNKMKAISRVDSEGAMCGATFKVDIYAKTKSMPRVGSHANWAWSQEA >cds-PLY67471.1 pep primary_assembly:Lsat_Salinas_v7:6:69845809:69846488:1 gene:gene-LSAT_6X49780 transcript:rna-gnl|WGS:NBSK|LSAT_6X49780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFCVGSIVGDLGLPSIIVRSSSAASVPAYRIIPRLHKEGRFPIKDKQSPKSVIYASVGSLATMDAKVATEIAWGLANSNQPFIWVVRSGSVHGCD >cds-PLY80306.1 pep primary_assembly:Lsat_Salinas_v7:3:208477410:208483449:-1 gene:gene-LSAT_3X122660 transcript:rna-gnl|WGS:NBSK|LSAT_3X122660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSEESGFSRSLEGLSNGQQRSGEALAEWRSSEQVENGITSTSPPYWDTDDDDDCGPKPSELYGKYTWKIDKFSQINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVLDGFVDADTLIIKAQVQVIRERADRPFRCLDCQYRRELVRVYLSNVEQICRRFVEERRGRLGKLIEDKNRWASFCGFWSGIDQNSRRRMSREKSDSILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEGQSQSQNQIQSQSQTKNKKAKGQQSDAEEPPIPVVRMENDTFILVDDVLLLLERAALEPLPPKDEKGPQNRTKDGGSGEDFSKDSIERDERRLTELGRRTIEIFVLAHIFSSKIEVAYQEAVALKRQEELIREEEEAWMAESEQKAKRGASEKEKKSKKKQAKQKRNNRKSKEKGRDEKAKPQQQQIMVEDAELLKKPDTLEDVSDVDVDVDVSDSADCVTEALLRPDSDDRDSSPVNWDTDTSEPHPPTEACSSGIIIAQNGDRKSNSVMDDSSSTCSTDSLPSVVIARGKMKRGKATGEVITRVNNMTKPPLDVGSESTQPSGEPDCDVGALSLQDKMKWLEPDVIKKVEEVVPLQKKLNIKDDLDPERHPKEKTTITPSSPKSPSKPSPRALQKSDLKRNLQNTEKPMVHNTPTQKPTEKPSIVHQGPTPAHKSINGPTISKPVPPMSRPLSAPLVEVSRPTTTTVATTPVIPMVQTTPLLSRSASAAGYLGPGPDPSPMGQSYIPSSYRNAMMGSPVSATSSAFPKPGVGVNSSFSQPMFLPQETVRPSFSFGMMSQLSNGPQWDPNMDLYNNNKSVPIPVHIHTTQGMLADEFPHLDIINDLLDDEYTIPVGPSPSFNGPRHLSHQYSFPGEMGGSTLDPGPSTSLCRFERTRSYHEEFQHGYNGGGPFEFTRDMVPAQPGLQYVNGSGQGQGQGQGQGQGQGQNQWRVGGSDLLYGLRNMDGEGYGYQMGPPDYSMGVNGYNVYRPNGQ >cds-PLY66773.1 pep primary_assembly:Lsat_Salinas_v7:3:65612258:65613567:-1 gene:gene-LSAT_3X51300 transcript:rna-gnl|WGS:NBSK|LSAT_3X51300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFSQNYVTFITQICLLILVHHASTDWVNHGGGIDNRRFATDEFQINPSTVHKLRLRWKFFTGKDISATPAIAGGVVYFPSWNGFLYAVNAFTGALIWQQNLGQLTGLPGTGTYVNVSVSRATPVVTRDLLIVGIYGPAVVIAVNLASGRLVWSTTIDPRPLALITASGTVYSRGFYVGVSSLEETLPADKCCTFRGSLVKLDTRTGAIQWQTYTVPDNAGRLGGYSGGAIWGSSPAVDISRRIVYVATGNLYTAPPEVLECQEKQNNQTTKPTQPDQCTGPDVMFNSIIAFNIKSGNVIWSRQLGGYDIFYFACLIPNNPDCPPGPNVDADFGEAPMLLTIKSNGTLRDIVVAVQKSGFAWALDRDNGDIVWFKVIT >cds-PLY89957.1 pep primary_assembly:Lsat_Salinas_v7:2:192044165:192048462:1 gene:gene-LSAT_2X114601 transcript:rna-gnl|WGS:NBSK|LSAT_2X114601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCNCSFMPIMVSSKVVCEFDWELDEFEEFVKEKVRERKRANREAREKRKNARESLSKEKVEAYEKMKFYKFYPVATPDTPDVSQVKKLTCFRALDLTGYSQFLIILLHNEVQHNFHREKRLRR >cds-PLY67374.1 pep primary_assembly:Lsat_Salinas_v7:1:171610245:171610607:1 gene:gene-LSAT_1X114181 transcript:rna-gnl|WGS:NBSK|LSAT_1X114181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKEILDLKMKIKEKGGRKKSFSSVCVHREDVPVEYTRKASSKPITIFKRLSPSASSHIGTTSVHTVKSHADKLQAEKFCTAKKSHIAKTSHVDKSVHTNKFVQDDKTFGTINLSQDVKT >cds-PLY98043.1 pep primary_assembly:Lsat_Salinas_v7:1:195379572:195383983:1 gene:gene-LSAT_1X121600 transcript:rna-gnl|WGS:NBSK|LSAT_1X121600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFYITNFFNPQSAAEKAVSVIGNGYDLTLDIRLNGCKPGPSGSTLIDLDGTLTKDLVVPGGGVVPNVPASIKCDKGERTRFRSDVISFNQMVEQFNQDVSLSGKIPSGFFNSMFNYKGCWQKDASSTKSVAFDGWFIALYNIELQRAQISLSEKVKSEVPSSWDPAALAGFIDKYGTHIVVGVKMGGKDVIYLKQLQTSDLEPRQVRDILKQLADKKLSEEVNEIPILPPEKSSKQKEERMVAWDVPQVVMNSLRPSIISLSKKDDLLSIHVRRGGIDNNQSHNGWLSTVSQSPNVISMSFVPIVSLLNGVRGSGFLTHAINLYLRYKPPIEELEQFLEFQLPRQWAPIYGDLPLAARRKTPSMPSLQFTFLGPRLHINTTKVDSGNRPVTGVRLYLEGRKSNRLAIHLQHLSTVPKSLELFDDFSNELCEEHSGKGYVEPVKWAIFSHVCTAPVEYSETRIDDDSASIVTKAWFEVKGVGMKKVLFLRLGFAMVKFAKIRRSEWDGPSTHSRKSGMLSMLMSSPFSTGLSPADQPVKVDLNSAIYPSGPPSGEPKMSSFVDTKEMVRGPENPPGYWVVTGAKLCMEGGRIRVKVKYSLLVIMSEDSMLM >cds-PLY85559.1 pep primary_assembly:Lsat_Salinas_v7:2:195646036:195647043:-1 gene:gene-LSAT_2X117781 transcript:rna-gnl|WGS:NBSK|LSAT_2X117781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA delta isomerase 1, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G65520) UniProtKB/Swiss-Prot;Acc:O04469] MCTLEKRGNIYILTLTGDDDHRLSPTLLDSISAAVHRVRSETTTPSALITTAHGKYFSNGYDLAWSQSDPDRHILMSTKLRLLVRDLISLPMPTIAAVSGHAAAGGFILAQAHDYVLMRKDRGFLYMSEMDIKLVVPDWFVKLLKNKIGSPAAIRDVLLRADKVTAEVAVAKGIIHSAHDSAEETVKAAVRLAEELVMRKWDGHVYGCNRKVVFADVLAAMNFDETVEDVAGANIISSRI >cds-PLY70570.1 pep primary_assembly:Lsat_Salinas_v7:1:89516250:89517278:-1 gene:gene-LSAT_1X75001 transcript:rna-gnl|WGS:NBSK|LSAT_1X75001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFRQDAFTLDVLKICHVEDILVNDIFKGCQRENHIFTTRVSGTNVVVFRCALRAERPEVLSVMLPKSLKKQPPESQELLLKVMNVIEKPHNEHLPLIKARMLCNMDIVS >cds-PLY92625.1 pep primary_assembly:Lsat_Salinas_v7:2:161401512:161402513:-1 gene:gene-LSAT_2X85541 transcript:rna-gnl|WGS:NBSK|LSAT_2X85541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDRIKGPWSPDEDELLQQLVEKHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRAFTPEEDETILRAHARFGNKWATIARLLSGRTDNAIKNHWNSTLKRKCSSMTNEEFNDFAIQQPSLKRSVSAGSAVAVSGLFFNPGSPTGSDISDSSPPAMSFRPVSRPCASVPQQIEIPTSLSPRSPTPQPANDPLTSLSLSLPGVETSDTPTAIPMQLMPPSPTVIAPPPSPVPIPATPLQQVPGNRNQEINLNNEAEFMSAIPSAISLAMQQLQVSRPPAPEKSSVPLSDEFLSVMQEMIRKEVRKYMRGLEQPGGGMFNGFRSGTVVNRTG >cds-PLY63484.1 pep primary_assembly:Lsat_Salinas_v7:9:168109678:168110563:-1 gene:gene-LSAT_9X104661 transcript:rna-gnl|WGS:NBSK|LSAT_9X104661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTAIQQSAFAGQQALKPQNELARKTGSFNGGRFTMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSKNGVTFGEAVWFKAGSQIFSEGGLDYLGNPNLIHAQSILAIWASQVVLMGLIEGYRVGGGPLGEGLDKIYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHLADPVANNAWAYATNFVPGK >cds-PLY83972.1 pep primary_assembly:Lsat_Salinas_v7:8:38107326:38109343:-1 gene:gene-LSAT_8X30600 transcript:rna-gnl|WGS:NBSK|LSAT_8X30600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDAKPVKSEVDDDENDEKSLSSLLKNKNKKPNGIAKLPSLKNEVDDDDNDEKSLSSILKNKPKKPNSTKSLSLKDAKLKKSESKVKKEEQSDDDFTPKKKTPNNDKPKKEVTGSAETKKTPINKVKKEEAGNANTKKTPNSEVKKEKTGNSDKKKVVNSSEKNGKKKEEKRKSGNGEDVKKKEKKVYEMPGQKRDPPEERDPLRIFYESLYEQIPTSEMAAFWMMETGLLPKDVAKKLFEKKQKKAQQLKLGAPMTTVVTVKKKSDSSVSIKKKTISTEKKKKIDSSKSSSLQPKKRKILEDSDNESDDDFVISRKIKKPKVA >cds-PLY98598.1 pep primary_assembly:Lsat_Salinas_v7:1:36736757:36744433:1 gene:gene-LSAT_1X31080 transcript:rna-gnl|WGS:NBSK|LSAT_1X31080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MACFDIILLLSNTRSDHPALYHEWLLRCSQLLVWATILVVSRFDCWLFVLSNWILCFWWIMKLFLGIPILQAAFSSMEVFRCINESLIISVDILFGILVNIIRMKQASRRNSSMEESLLIGETDIDDHFRSKPGVLARFWNLMTFRSINLVMEHGAKKQLDFDDLLQLPTDMDPLFCHDTLLKCWEDQRRNDCVHPSLFWTICYAYGWPYMCLGLLKVLNDCLGFAGPLLLNKLIKYLQEGSGNLDGYILAISLGLTSILKSFLDTQYTFGLSKLKLRLRSGLMTVIFEKCLHVSLAERSKFSEGEIQTFMSVDADRTVNMSNSFHDMWSLPLQIGIALYLLYTQVQFAFVAGITITILLIPVNKWIAELIASATKNMMEQKDERVRRTGELLTYIRTLKMYGWELLFTNWLMKTRSLEVKYLSTRKYLDAWCVFFWATTPTLFSFFTFGLYVMMGNQLDAATVFTCLALFNNLISPLNSFPWVINGLIDAVISTRRLSKFLSCSETDNSSSSSSKLTDENMDILMKDACSVWSSSDQEVKELILDHVNLSIPKGSLVAVIGEVGSGKSSLLNTILGEMKLTKGSIHSNRSVSYVPQIPWILSGTIRDNVIFGKDYDPRKYSDVLEACALDTDVSLMVGGDMAYIGEKGLNLSGGQRARLALARAVYHGSELVMLDDVLSAVDAQVASWILSKAILSPLMDHKTRILCTHNIQAIFAADMVVIMEKGQVKWVGSPSECSNSSYASFVTTEELNSSYETETQVESGDTCIGVPESVVADQSEGIDIIDAADNIISVEERKEGRVETTVYMNYAAFSGWYITAITCLSALLMQASRNGNDLWLSYWVDTTAFEEHPTSFYLVVLCIFCLLNSVLTLVRAFSFAYGGLRAAIQVHNNLLKKLVDAPVAFFDQTPSGRILNRLSSDLYTIDDSLPFILNILLANFVGLLGIALILSFVQVMFLLLILPFWYIYSKVQDYFLARFVEHVKMYQKTSYSEIIASLWLSLRLQFLAAFVVSYIAVMAVIGSHGYLPINLGTPGLVGLALSYAAPVVSLLGSFLTSFTETEKELVSVERVLQYMNIPQEELEGHKIVDANWPFQGQIEFHNVTLKYMPSLPPALRDLTLTITGGTQVGIVGRTGAGKSSIINALFRLNPICGGRIIVDGVKISDVSLRDLRSRFAVVPQTPFLFKGSLRENLDPFNLNDDLQIWKALEKCHIEAEVRAAGGLDMEMKESGTSFSVGQRQLVCLARAFLKSSMVLCLDECTANIDTQTASKLKDAIASECRGLTVITIAHRISTILHMDNVLILDQGILVEQGNPQILVQDESSRFSSFAKASSM >cds-PLY86242.1 pep primary_assembly:Lsat_Salinas_v7:8:55487530:55488864:1 gene:gene-LSAT_8X41201 transcript:rna-gnl|WGS:NBSK|LSAT_8X41201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFACMPEHFVEDVMELLIFASRIPHALDGVKLDDFMNFIIMFMASPEYIRNPYLRAKMVEVLNCWMPRRSGSSSATSTLFEWHLLSVQYLVKNLLKLYVDVEFTGSHTQIAKEEEKGVYLNFLINDSIFLLDESLNKILELKELEAEMANTTEWEQRSAQEN >cds-PLY74513.1 pep primary_assembly:Lsat_Salinas_v7:7:33325468:33326744:1 gene:gene-LSAT_7X24341 transcript:rna-gnl|WGS:NBSK|LSAT_7X24341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMVEEGQKQPQISGERIKLEEIVEEEGEDRISALQDCLLLEILSLLPSTKDAIRTGTLSKRWKHLWMSTPTLIFKLSDDRLTQYRQIPTSRSNFVSFVDKTLTQRRQLKLKKFAVRIYYDIEIESQVKNWIRYAMSCNVEELTLDLCVIGLEAKLQLDQNIYISSNVTHLRLSGCVLNPSGAISWKNLRILCISNEKLDEDLIENILSGSPVLETLVMNGCYGYSRLDVTSKSVKKLVFSGYFDPEDGSDYALDIIEINAPDIVSLTIRGNLWLWKVLLGNVSSLVEANLDYNYKKLRHWETTPEEAEEEMLKGFMLKFRHVKEIKIGVLCSEALSRLKAKGFITPSNAKWSGSNCFHSVL >cds-PLY79219.1 pep primary_assembly:Lsat_Salinas_v7:5:300105460:300107039:-1 gene:gene-LSAT_5X160501 transcript:rna-gnl|WGS:NBSK|LSAT_5X160501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRVTTLLQKGKRALQELELLKVLQSEIRHELANDPYKNETGSSGDFVMDWDSQHSKDVTMRKKCESGEELAISAILGEETFLGDDCYPKEVDMKVCIKKTGLTSILQFDCKVLDQGQDRIDFHIQNAYYLKLPTDFSSSVYRGPLFRNLDLGLQQELKQYLISRGIGKSLTNFLLLHLHKKEQNQYIKWLQKLEAMVA >cds-PLY79645.1 pep primary_assembly:Lsat_Salinas_v7:5:253489369:253491681:-1 gene:gene-LSAT_5X128860 transcript:rna-gnl|WGS:NBSK|LSAT_5X128860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKESSVEISSIVKLSPLSSSFSLPKELERISKEQCRVFLLHSSLETGVRLFQNAKNMGMMEKGYVWITTSLITDLLHTVNSSTFSTMEGVLGIGSFFSQFDDFSTKFQKKFKLEQPEEENNIPGVFAVQAYDATLIVALALTNNNTSWKSVDQKPPASHKFPIINVIGKYYRELGFWSEGLGFSEVINEKTTYDTSLKSLGYIFWPGKPLHTPIGWAIPTNANPLRVGVPTMAMFKKFVEVKYDHKNHNLTYRGYSVELFKETIKLLPYYLPYEFHPFNGTYDSLVEQIYLKKFDMIVGDVSIVSRRYKYAEFTHPYTETGLVMIVPVTSYHGQWLFVKPFTLSMWALTILINIYNAFVIWLIEHKNTPELGGSALNRTGTLLSLAFTRMFFTNGDALHSNLTRMTTVAWLLAAIIIGQCYTASLTSQLTVKRLIPKVTDFETLKNGNAVVGYGEGAHVASYLVDVLGFKNHNIRAFTSPEGYARALKNKEISAVFLEAPFTKLFLAKYCNSFIAAGPTFGDGGFAFVLPKGSPMVADFTKALLNVSESGTLQEIEKRMIGSEKCVEMDSDDDEYESLGLGSFSSLLVLTSGTSTVALVIYVVISLRDYCRIEQKSISSIFSDVKKYFMNRRRRFSRKLSNVESPKSPNLEMT >cds-PLY64023.1 pep primary_assembly:Lsat_Salinas_v7:5:59740739:59745773:-1 gene:gene-LSAT_5X29160 transcript:rna-gnl|WGS:NBSK|LSAT_5X29160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKSDFAQKLLHDLRLRKERMGVSQSSARPNATTRDAYRNPGNLQRGSRQTKSLESIGGPKMANQQQMYGGSSRVPAIKESSQQIVSYVGGRHHRSGSTGDFPVAFTYAVKNGGKLLNVDFSGSGNANAMIDFLHQIGRGSLDVGNTRKETSLVKHSTSNGGVVVPPLTTTQIKEISKGVQNLYQILRSSSNGVNMDKHSMDVGKELLKGAKGLEESLRMLVNMQEASELMVHPQRKNRITLLEVDQDDENDSSKIVDQNQVALPRFSFDKPSKRSNLLVQTDGFSKLSTHRRSTSYGEESLISVSNSTTSNVKNNSEKGRISNVIAKLMGLEEVPRKIDSESTRNESIIQVNKSLKPPKDGIPPLKDQKPQQIHEFDKNGAPKPMRTVNNVAMKQRSDQLPEKQDRAHNGETKEKIQHKRRSVEVVANLRQERVEKKKTIRMEKSNEIKLVPRNNQTKEQENRTSQKGKQESQQIKQVKSRDLPSKVSHMQPSYEHNLKPKDATPKAQESKPERTESNVKEKVVTRKKVDSMVLRRTEAPLKDEVMKRRNGTRTLKNLGTPSKHRLSVLKETQRKDVQQLVSVSLKPEETNIKISNPKKPEEKIQVNDKVPICEDNIKIAPQETQEITVTIKHEGSSDKRIKTRNLSENKPKKAFQSGTVALTENEKQLKEILIKDQLFLSTTETLFNFNIPIGFLHVDDHNHRSEETKLKLDCGYEIVRRKARSQELSTHPYMKPSIGDTTIRFLDELVKQLYKDFESLRLYGRNTHNEYDEANYLHHMLERDIYNKNPDTNSFWDFGWHTMTFTFVEKDEFVNDVERDVLHRLVDEMVDDLLSMA >cds-PLY91035.1 pep primary_assembly:Lsat_Salinas_v7:1:203132908:203134346:-1 gene:gene-LSAT_1X123940 transcript:rna-gnl|WGS:NBSK|LSAT_1X123940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYYDYDDGYDDGYVWPQDHAAYNVVGYQDSVSFSGYESYHPNNAYTVPEFQEPQFLDYYHQTQDYDYDYDHEYSLSSSTPGINYFAYNYVEPKLIAYEPVSCDTGYVSYHTHYSISYPETDLQFNEPEFEEYDPTPYGGGYDIVSVYGKPLPPSDQTCYPRSNPKPVDPKSKPAANSIPLANPKPDPKVAPMSKPEPEPVHVPALMPMSKPKPEPEPEPEPAVHVPILMAEPLESREESNYPDYGFDYPWPEYDHGYGIGVGYDYGYGKQVVQVPPFEYSPEVVELCENLFGSWPCLAKIRKEQMGVINNPGISTCPEDRHLSPWETCASYIFGTKITKRDI >cds-PLY98184.1 pep primary_assembly:Lsat_Salinas_v7:3:28648205:28649136:1 gene:gene-LSAT_3X20281 transcript:rna-gnl|WGS:NBSK|LSAT_3X20281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANQPNSSGAGGSGNPPSSTQPSPGGYPPPGEHPAQGYPPGGNPPSSGQPSPGGYPPPGEHSPHGYPPGGYPKHGEHPPQGGYPPPGEHPSQGYPPTGYPPQDYSPQGYPQGYPPHQGYPPQQGYPPQGYPPQQGYPPHGYPQQGYSPYGYPQGGHPGAYPPAGYPGAPSAGGYPPAGYPHSGYPQGAPPGQHGGTPSGQHGAQKGDKLPGGVMGVLAGGAAAYMAHGHYGHGGHGHGYGHGKFKHGKHHHGKYKRGKFGKHKGHGKFKLWK >cds-PLY62028.1 pep primary_assembly:Lsat_Salinas_v7:5:129700330:129700893:1 gene:gene-LSAT_5X56361 transcript:rna-gnl|WGS:NBSK|LSAT_5X56361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDNPSSGSEQNADIVHIKLMRNNTFVTVTDSKGNKKMGASAGCLAEMKGGPKVSKYAAEATAEHVGRVAKSMGLKSVVVKVNGFTFFKRKKLAILGFRDGYTHSRSDRNPIVYIEDTTRKPHNGCRLRKQRRV >cds-PLY62278.1 pep primary_assembly:Lsat_Salinas_v7:5:164145714:164146275:-1 gene:gene-LSAT_5X72560 transcript:rna-gnl|WGS:NBSK|LSAT_5X72560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADKSSTNNRKPSRLQRRAPASIQISPVSNWNVAIPLLSPVEKTNRMSSSSKEESRRAAHTVVEPEKKPVVYKKWQHPADPFYYEPAPPFVCSGVEFRS >cds-PLY97068.1 pep primary_assembly:Lsat_Salinas_v7:4:72661217:72664181:-1 gene:gene-LSAT_4X49001 transcript:rna-gnl|WGS:NBSK|LSAT_4X49001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEKQLDLNAPLLSVRRLSTTSSKLEGLSNNSSRRSRPTRQQSLPVPKSQWEPEPEDTKPAAIPFNWEQIPGKAKYPKKSEQKNIQNFPIDDQSSDDVPPDAIETLSMSFSCNFSEENSDLESSGSFSSDLQTRDYLMNRFLPAAKSMIVETPQYVAKKQEAPVLEPPKYVKKVYSGELRPLLLEKYPITSVSPYNHEIDKEINVIDDTKKKSSKKGFSFFLPRICTKRNSCLSNPTPGPKTKTPDSPGPIPRLSRRTSYSGPLTPSIEKQAMDAVIRKRAETRSKEVPETRKSTPLARSGNSLPSSPSRGMSRSGRISPYRNESPRSPFHDGARFLGVPKDVQNHHIVNKPKTCPSFNVKSNFHENPPVPEKTLYIDWIGKPTNVIPDDDKVEGNGGVDDSEVPRVPPLPKSPSESWLWKKMSLRSPFHKKVERGSIEKSDKNGPKWETIVKTSNVRNDHVRYSEVNLFDSLFGLSNLSLTSVVSFFYLMDLMGI >cds-PLY92294.1 pep primary_assembly:Lsat_Salinas_v7:2:209699812:209712004:1 gene:gene-LSAT_2X130100 transcript:rna-gnl|WGS:NBSK|LSAT_2X130100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSFQQSDELVFHEIPCSISFQQPATNQQDLIVELHDHVNMEGNSNISVGKARKRQGDQSPSSKPNSVTTDGGGGDCKDEHTQRKLVHREIERQRRQDMAKLYASLRGLLPLEFVKGKRSTSDHMHQAVNYIKHMQESIKALSIKRDRLKKDVETSVPGPMMNSNEKNITHPFRNTVSISSCKGGVQILINSGSTEGGVPLSAVLKAITEEGLNIITCTSTKVNERLLLSIQSESRSTVALELDSDFMVKFEEKNWSYVYRFFRLPGEAMRSLVVCFGEMLIDFVPSVSGVSLAEAPAFEKAPGGAPANVAVGISRLGGSSAFIGKVGDDEFGYMLADILKKNNVNNSGMRFDHKARTALAFVSLKSDGEREFLFFRNPSADMLLHESELDVDLIKQARIFHFGSISLIEEPCKSTHLAAMAIAKKSGSILSYDVNLRLPLWPSPDAAREGIMSIWDQADVIKVSEDEITFLTRGDDPYDDNVVLKKLFHPNLKLFLVSEGAQGCRYYTKQFKGRVPGVKVKSIDTTGAGDAFVGGILSILASNKDLYNDEAQLREALLFANACGALVVTKKGAIPAMPAKEEVYRFLKQEG >cds-PLY89330.1 pep primary_assembly:Lsat_Salinas_v7:7:177650841:177652325:-1 gene:gene-LSAT_7X105080 transcript:rna-gnl|WGS:NBSK|LSAT_7X105080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLLAHEVSDLCLGKPPLTSLSISATIGDALTALQTSEDTHISIWTCDHHHSVADEIIINDCRCVGKICMVDIICYLCKEDNLLSPSSALMSSVSVLLSHVPGGVVRHVEPSASLMEAIDLIIQGVQNLIVPIKSTSNHFKRKQLRQYPSIAPTTHTGGREYCWLTQEDVIWFLLSSIGLFSPTAANSIESLGIITTEILTVNYHSPASEAVDAISTSLANQTSVAVINDEGVLIGEISPSTLAYCDEMVAAAITTLSAGDLMAYIDCGGPPEDIIKVVEARLKEKNLNGMLEEFAIYSSGIPYCSNSSSSDEESTSSPTTTRSGRYNRSGRLLVAVMIQATAHRVGYVWVVEEDGSVVGIVRFSGMLEVFREHLECMMADEVV >cds-PLY81842.1 pep primary_assembly:Lsat_Salinas_v7:3:33841380:33842711:-1 gene:gene-LSAT_3X24620 transcript:rna-gnl|WGS:NBSK|LSAT_3X24620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYEDTIRIPPEIISNILYRLPAKSLGRFRCVSKDWLSLISEPQFIKTHQNTRNRSQLIFVSNHRPLYSLPFHHDEAEAVLEPTKILLESYHTDFNLHGSCNGLVLVSARTFASVHVLVVLNPTTKEFVELPASDYEMINASSEIEIMYGFGYDSLNDDYKVVTISYFHYNYLIPPDDMAIHVYSLRTNTWRRVSDSPYDHSYGRNLPGVFVNGFLHWVAMKDSDHVPVILAFSLAEEKFSELPSPSLHEDVDIMYRNDCKLVVLGGKLAVFMEDEVWLMNEYGVRESWTKILVHGIHEIPMVEPMIFYDNGNFLLVGRDLMVIYDIKERSFCKSGNVSWNMKDLKVRGSYVESLVSPKFI >cds-PLY79001.1 pep primary_assembly:Lsat_Salinas_v7:3:7366210:7367726:1 gene:gene-LSAT_3X4541 transcript:rna-gnl|WGS:NBSK|LSAT_3X4541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAESQQLQSTTDSQQPQSTVETGNNLPFAIIHRLPTFNFSFSWAKGIVNPIEPSDPLYPIHRLSARAVIVLGPSPLKSEHLDQYPSVECIFGTSAGVDHIDLAECRRRNIRVTSAGDAFSEDGADYAIGLLIDVLRLVSASDRFVRAGLWPVEGIYPLGNKVGGKRVGIVGLGSIGSLVAKRLEPFGCTIGYTSRNKKPQLPYQFYSTALDLATNSDALIVCCALTEKTRHVINHDVMKALGKRGILVNVGRGALVDEKELVKLLVGGELGGAGLDVFEDEPEVPRELFTMDNVVLSPHKAIATIEAIEGLIQLVIGNMKAFFSNKPLLSQLNLHD >cds-PLY83334.1 pep primary_assembly:Lsat_Salinas_v7:1:63407024:63408367:1 gene:gene-LSAT_1X53901 transcript:rna-gnl|WGS:NBSK|LSAT_1X53901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKTHGMGAGRKLKSHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >cds-PLY90688.1 pep primary_assembly:Lsat_Salinas_v7:8:143265317:143266499:-1 gene:gene-LSAT_8X96821 transcript:rna-gnl|WGS:NBSK|LSAT_8X96821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIMQEKFLMSYAENNNIIERTKREAENSKKFAIQSFAKSLLDVADNLGRASMVVKDKFTKMDTSEDPTGALSLLKTLLEGVEMTEKQLAEVFKKFGVEKYDPVNEEFDPNRHNAVYQVQDPSKPPNTVAVVMKAGYMLHERIIRPAEVGVTGGVGMDKKERESRD >cds-PLY77219.1 pep primary_assembly:Lsat_Salinas_v7:3:245778403:245781876:1 gene:gene-LSAT_3X135801 transcript:rna-gnl|WGS:NBSK|LSAT_3X135801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsY homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45770) UniProtKB/Swiss-Prot;Acc:O80842] MASSLSRQFSTASRDTISTHGSTTVFNHHSPPLFLRVQYPTSCGSGGAQFSKFKCSAADSQTGFFTKLGRLIKEKAKKDVEKIFSGGFSKTRDNLAVIDELLLYWNLSDTDRVLDELEEALLVSDFGPKITVKIVESLRDDIFAGKLKSGTEIKASVMNMKDALKNSVLDILTKKGAKTELKLGFRKPAVIMIVGVNGGGKTTSLGKLAYRLKSGGAKILMAAGDTFRAAASDQLEIWAERTGCEIVSAEKVKAKASSVLSQAVKKGKEEGYDIVLCDTSGRLHTNYSLMEELIACKKAVAKVVQGAPNEILLVLDGTTGLNMLPQAREFNEVVGITGFILTKLDGSARGGCVVSVVDELGIPVKFVGVGEGVEDLQPFDAQAFVNAIFS >cds-PLY85163.1 pep primary_assembly:Lsat_Salinas_v7:9:147319961:147322115:-1 gene:gene-LSAT_0X6981 transcript:rna-gnl|WGS:NBSK|LSAT_0X6981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSKFETSLISSIFFRNKEYAKGKDDAFAPTWCLEKLYTIGRGSPFSTIWFTFKSKNSPDRAYSLAKQPFDEAIAELDTLGEDSYMDNTFIMQLLRENLDMQV >cds-PLY72819.1 pep primary_assembly:Lsat_Salinas_v7:6:22463386:22463715:1 gene:gene-LSAT_6X17540 transcript:rna-gnl|WGS:NBSK|LSAT_6X17540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTQSHTVFPQQPPFTMIQQQQQHVLQSQLGIQAPRSGSGTSMEGQGCGVFPDFSFGEVASSHGVRTATFLTAKDNRGIAGSASSHDTHEHEGSHDFPQKKGKLTTTTT >cds-PLY90836.1 pep primary_assembly:Lsat_Salinas_v7:6:155300930:155301808:1 gene:gene-LSAT_6X92940 transcript:rna-gnl|WGS:NBSK|LSAT_6X92940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNDGFDSDFALLESIRRHLLDDSTMNSISGAPPMNCRTNTLFPCLSDTWGELPLKQNDSDDMLIARFLHETLDFESLLSPGKTMIPNIATVKSEPEVFVGSPEISSYENGSPPQTVGVDDVTQQKGKHYRGVRRRPWGKFAAEIRDPAKNGARVWLGTFETAEDAAFAYDRAAYKMRGSRALLNFPLRINSGEPEPVRITSKRKATSPASSLEYRSPKRTNS >cds-PLY79307.1 pep primary_assembly:Lsat_Salinas_v7:5:169717023:169717610:-1 gene:gene-LSAT_5X74961 transcript:rna-gnl|WGS:NBSK|LSAT_5X74961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEKHTSEELLKTTLVFDVEGVVLRSTSLFPYFMLVAFEGGGVLRGLVLFLFYPLVCLLSKDIGLKIMVFICFFGIKKDKFSIGRTVLPKFFLEDSWFEGFQEVMRCGRKVGLSELPMVMIEVFLKDYLSVDLVLGRDLKVVCGYFVGLTKEVPSTRSHLLINDVFGVRKGDSNLIGLGCSNKILDRHIFSHCKV >cds-PLY69226.1 pep primary_assembly:Lsat_Salinas_v7:1:80658237:80663702:-1 gene:gene-LSAT_1X66921 transcript:rna-gnl|WGS:NBSK|LSAT_1X66921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILFVHQYQFTNMLKPQLNNLSHHHSLAPALLTQGKKNLIPGNGVVDNIGSPSSFLSLNTLAFPTKPHRSFCSRSHTHKSISQIKAGFSDSSTASITQTISLKAVITVQMTVGGVLSNLTVDRAFDDIGDLLGKSLLLELVAAEVDPKTGLPKATIVDYAHRSGQKADDLEYLAEFEVPEDFGSIGAIMIENEHRKEMFVESVVIKGLSTDPIIVSCNSWVHSKFDNPEKRVSCLPSETPSGLKQLREQELAILRGDGAADKPREKKDRIYDYDVYNDLGDPDKDPELARPVLGSKDYPYPRRCKTGRPRSKSDPLSESRSGDVYVPRDEAFSEVKDMSFNAKTVYSLLHAVIPYIETSVIDKDLGFPYFTAIESLFNEGVNLPSLDNNGFLGNVLPRLFKAFEDAQNNVLLFDTPEMIDRDKFGWMRDEEFCRQTLAGLNPLSISLVTEWPLKSKLDPEVYGPPESAITKDMILKEIKGFCTLEEAIEDKKLFILDYHDIFLPYVHKVRDQKKFRTTLYGSRTLMFLMPSGTLRPLAIELVRPPGNGKPQWKRVLGYGSLLRSMLLLMMVVTINSLRTHCCTEPYIIATNRQLSKMHPIHNLLHPHFRYTMEINALARESLINAGGIIETCFSPGKYSIELSSIAYGQQWRFDHEALPADLIARGMAVEDPDSPHGLKLTIEDYPYANDGLVLWDIIKDWVTNYVNHYYPEDNNNNKNLVETDSELQAWWTEIRTEGHADKKDEPWWPILKTPKDLIGILTTIIWVASGHHAAVNFGQYDFAGYIPNRPTIARVKIPCEDPTDDEWEVFKRRPEDELLSAFPSQVQASQVMAVLDVLSNHSVDEEYIGETCEPAFEANPEIKAAYEIFSGRLKELEGIIDERNADESRKNRNGVGVVPYNLLKPFSEPGVTSMGVPNSISI >cds-PLY89799.1 pep primary_assembly:Lsat_Salinas_v7:2:138245424:138247743:1 gene:gene-LSAT_2X67680 transcript:rna-gnl|WGS:NBSK|LSAT_2X67680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADRADPSPLVAPSLITEPTEIDLEAGPGEQIQCRICLETDGRDFIAPCKCRGTSKYVHRECLDHWRAVREGFAFAHCTTCKAPYHLRVHVLADRKWRTMKFRFFVTRDILFIFLSVQLVISLLAYLVYLIDGHHKFWLRLAWGFDSETSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGVCADCHLPGTLCMWTDCTTCFESCASAAGECGCLGGAGEAGLPLIFIMALVVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEITGNDWSPPPLPPEHIQQLKTLGLL >cds-PLY80251.1 pep primary_assembly:Lsat_Salinas_v7:6:124208428:124208760:-1 gene:gene-LSAT_6X74781 transcript:rna-gnl|WGS:NBSK|LSAT_6X74781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVKYSSFIWAKFNELASKISPVRCCKGATLKNQKSDERLKNTSTPHASMYREESRKREVGRVKDEDTSTIIGILSAGRSRL >cds-PLY96860.1 pep primary_assembly:Lsat_Salinas_v7:2:109512262:109512774:1 gene:gene-LSAT_2X48161 transcript:rna-gnl|WGS:NBSK|LSAT_2X48161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSRSSNSCSRSKFSKSKSTVDKENPCDCGFPSRIWISTTKENPSKKFRVCPNSLMKDPKDKCDFWEWVDDDEEIITKNKNKKDEEHNFNTEVKIAILEHDFSEYKMKTDKEYKSFRKELDKMKCFVLMFVVLFVVKYMM >cds-PLY62539.1 pep primary_assembly:Lsat_Salinas_v7:4:355188616:355190495:1 gene:gene-LSAT_4X174960 transcript:rna-gnl|WGS:NBSK|LSAT_4X174960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPGNNEKDPVKITTYHVTNQPIYDILQLCKPNLFTRGIDIQAVNVVISFDFPRNTETWGRSGRFGHLGLAVNLITYEDRLNLYILLYHMLDV >cds-PLY87117.1 pep primary_assembly:Lsat_Salinas_v7:5:260755485:260756549:-1 gene:gene-LSAT_5X130901 transcript:rna-gnl|WGS:NBSK|LSAT_5X130901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLATFAPTTVNGLAGSSLSGTKLNLKPSRLSLKPKSQRSGAVVAKYGDKSVYFDLEDLGNTTGQWDVYGSDAPSPYNPLQSKFFETFAAPFTKRGLLLKFLILGGGSTLAYFSSTATGDVLPIVKGPQLPPKLGPRGKI >cds-PLY64476.1 pep primary_assembly:Lsat_Salinas_v7:3:15535638:15537426:-1 gene:gene-LSAT_3X11141 transcript:rna-gnl|WGS:NBSK|LSAT_3X11141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVSIKECCCFLKKIGNPRRIIHFALLASETSFSINEIEALHDLFERLSHAIIEDGLIHKEEFRLALFGDSSTHNLLADRLFDIFDIKKNGVIEFDEFVRSLSIFHPDAPESDKIEFMFRLYDLKHNGFIERDELKEMVLALLSEMNVDLSNDEVEAILDKTILDADLNGDGKIDLEEWKAFIPKYPSLLNNMTLPLLREITQAFPNFVLNTQVRDLEIVT >cds-PLY90689.1 pep primary_assembly:Lsat_Salinas_v7:8:141622061:141622222:1 gene:gene-LSAT_8X96240 transcript:rna-gnl|WGS:NBSK|LSAT_8X96240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEREKVVKHNRDVYTSSRRIRLPRFWQEAFVAAYEDLTISIWVSVFLPVDES >cds-PLY98460.1 pep primary_assembly:Lsat_Salinas_v7:1:9789332:9792785:1 gene:gene-LSAT_1X6801 transcript:rna-gnl|WGS:NBSK|LSAT_1X6801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTKDDKQPPRAPLEMQHHESPQRPIWQLIMVSAIAAGVQFGWALQLSLLTPYVQLLGVPHAWSSFIWLCGPISGMIVQPVVGYYSDRCTSRFGRRRPFIAGGALLVAIAVFLIGYAADIGVSAGDKLGAPTKPRAVAIFVVGFWILDVANNTLQGPCRALLADLSGSNSSKIRTGNAMFAFFMGVGNVLGYAAGSYTHLYQIVPFTKTAACDVYCANLKTCFFISIALLVSITVLALATVSEDVFVPEAVDKSNGKQMVFFGEMLGALKEMSRPMWILLMVTCLNWVAWFPFFLFDTDWMGKEVYGGEVGTGPAGQMYNRGVRAGALGLLINSVVSGLSSLCIEHLARWVGGVKRLWGGVNFLLAVCLAMTVLVTKMAESERQFTTLPDGTTTPLPPNAGIKGGALSIFAVLGAPLAVTFSVPCALASIFSNDSGAGQGLSLGVLNLAIVIPQMVVSVLSGPWDALFGGGNLPAFVVGAVAAAISGIFAFTMLPSPPPDVVLSKVSGGGMH >cds-PLY96554.1 pep primary_assembly:Lsat_Salinas_v7:5:2296009:2299150:1 gene:gene-LSAT_5X1921 transcript:rna-gnl|WGS:NBSK|LSAT_5X1921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSISKLMVESTCDDDATKSSSCLEPATPTKSTEKMEIESKKSNQKCLGDKIETEDTFDPEKSLSGQGTSKNSSVSGKLSDGVSSITITSGSSKMNERMDLVESGKSSMCRGSTSTDVSDESSCSGLSSSVSKPHKSNDSRWEAIQAVRSKDGVLGLSHFRLLKRLGCGDIGSVYLSELSGTKSYFAMKVMDKVSLESRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKRFCEQAVKFYVAEILLAMEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTLVKSASLDNEPLRRNSGYCVQPACIEPTSCIQPSCVVPTSCFSPRLFMSKSKKKSSKAKPEIRHQVTPLPELMAEPTGARSMSFVGTHEYLAPEIIKNEGHGSAVDWWTLGIFLYELLFGKTPFKGSGNRATLMNVVGQPLRFPESPVVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPRPVEIPPPPVAVNSAEKKAAANTIAVAPEKKGSDNYLEFDFF >cds-PLY63327.1 pep primary_assembly:Lsat_Salinas_v7:9:134301745:134309277:-1 gene:gene-LSAT_9X86300 transcript:rna-gnl|WGS:NBSK|LSAT_9X86300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRSFISKQEVKKESQKAKQTDAENHTQTCTCMDNMFRKVSNMVFGEKFLSKTIVNGKVVLMKKNVLDFNDLGASVLDRAHELLGKHISIQFISATHADRTSSEKVLRGKLGNPAILEDWISTITPLTAGESSYEVTFDWDEEIGLPGAFLVKNLYHSEFYLMTLTLENVPGHGKVHFVCNSWVYPAKRYTKDRIFFANKAYLPGETPPLLLPYREEELVTLRGNGIGKLEEWERVYDYAFYNDLGDPDDEDKVRPVIGGSLEFPYPRRGRTGRPPTEIDPKTESRLSLIESLQMYVPRDERFGHLKRSDFLAYGLEAVFKFLLPEFEAITDDTIDEFDSFDDVLKLYKGGIKLPKGPLHDRIRENIPLQVFKELIRSDGEGLAKYSTPDVIKANMSAWSTDEEFAREMLAGVNPVSIQLLKEFPPTSKLDAQLYGDQNSSIRSHHIEEHLDGLEVNEMLKAKRLFILDHHDPLMPYVNRINSTSSKIYATRTLLLLQKDGTLKPIAIELSLPHPDDEKLGAISKVCTPAKHGVEAGIWKLAKTYVAVNDSGVHQLISHWLNTHAVIEPFVIATNRKLSVLHPINKLLHPHFRDTMNINSTARNILINSGGILERTFFTGKYSIEMSSKIYKDWVFPNQALPIDLVLRGMAVEDENSLHGLRLTIEDYPYAVDGLEIWSAIKTWVEDYCKFYYTQDDMVKNDTELQSWWKEVREEGHGDLKEKTWWPKLESVKDVIDNCTIIIWVSSALHAAVNFGQYPYGGFPPNRPTLSRRLLPEPETPEYDELKEDPQKVFLKTVTPQLQSLLSVTLIEILSRHTSDEIYLGQRECPEWTMDAEPLKAFEKFGKKLKEIQERIVNLNKDERLMNRFGPVKIPYTLLYPSSEEGLTGRGIPNSVSI >cds-PLY91162.1 pep primary_assembly:Lsat_Salinas_v7:4:162593726:162597620:1 gene:gene-LSAT_4X98041 transcript:rna-gnl|WGS:NBSK|LSAT_4X98041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIAEMRAFQMLYSRVRSVNLISIKYPQIHKLYRTFHSTNHRFFNDSSTADVSTIYDPMTGSLVTKRAPKSKHKEVESNKDPYKLSSSEYADALKDDRSYGSVVKANHGSGSGFGANKKKSKSKSTWVCSDCGYTDGQWWGYCRECNNVGTMKEFTETDNGGKTSGFQVSEKIVRSWLPKGSGEALPMRLTDVNRGINHLNWRFPLPGLFGHEVSRVLGGGLVPGSLILIGGDPGVGKSTLMLQIAAIIAEGREICKPAPVLYVSGEESVEQIGNRADRMEINTEELFLYSSTDIEDILGKAQALSPRALIIDSIQTVQLMGVTGSAGGIYQVKECTAALLRFAKKTDIPVFLIGHVTKSGDIAGPRVLEHIVDAVLYMEGEQYSSHRLLRAFKNRFGSTDELGVFEMSPCGLKAVTNPSEIFLSEEHSDSEFLAGLAIAVIMDGSRTFVIEIQALCAAGNSLSRQVNGVQAGRADMIISVLMKQAGLKLQSNAIFLNVVSGATLTETAGDLAVAAAICSSFLEFPIPNNVAFIGEIGLGGELRMVPKMEKRVSTVAKLGYKKCVVPKLAEKSLEGLDFGEIEILACKNLKEVINTVFVADG >cds-PLY85684.1 pep primary_assembly:Lsat_Salinas_v7:7:157595249:157597915:1 gene:gene-LSAT_7X93661 transcript:rna-gnl|WGS:NBSK|LSAT_7X93661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKGSSLVHLLVIILCLVAFGFTIVAIAPYFLFLSSKFDLFMLISSVEGAMEHSYSYYSGYNGTDQASGAYIFLPNATFPIKSQGQIVPIPIDDGVGKEITTQITSALKTNKTLYMDSNRKDFIKRVHDFRTDWELQVNEPVAGNYYPKVGIELIVNKAEFPKHQCANVIVANECIDLPSETNVEGLKSGLVDYVLKHGNKKDSICHSCFTIESGTILLGPNNQYLTKIVFIFAKVLLMYIVSRKVAWVF >cds-PLY74345.1 pep primary_assembly:Lsat_Salinas_v7:8:299637756:299639170:-1 gene:gene-LSAT_8X164580 transcript:rna-gnl|WGS:NBSK|LSAT_8X164580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRNSIGDDDSFDCRVLKKTARLPLPGLCKRKVYDDESFSIMSLLRKKLQEHSRLSLQKESESTSVTSSSSFSSSNNAATDPLLLSFVYNPPQTHSSAETSSSKMLSKDDTLDSDIIPACKDHEEKAQKSDFIQTILLSTIFPDNL >cds-PLY64556.1 pep primary_assembly:Lsat_Salinas_v7:6:38713589:38715350:-1 gene:gene-LSAT_6X31160 transcript:rna-gnl|WGS:NBSK|LSAT_6X31160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALQIARRFLRIHTADEVFTTTFRNPTNRLFSPTDRSYSSSSSDLIRATLFPGDGIGPEIATSVKQIFNAAEVPIEWEEHYVGTEVDPRTQSFVTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDDVVRGVVESLKIITRHASLRVAEYAFHYAKAHGRKRVSAIHKANIMQKTDGLFLKCCREVAEKYPEITYEEVVIDNCCFFDVLVMPNLYGDIISDLCAGLIGGLGLTPSLNIGEGGIAVAEAVHGSAPDIAGKNLANPTALLLSGVSMLRHLKINDKADRIQEAVLKTIGEGKYRTSDLGGSSKTSDFTKAIIDHL >cds-PLY84839.1 pep primary_assembly:Lsat_Salinas_v7:1:59914347:59923048:-1 gene:gene-LSAT_1X52700 transcript:rna-gnl|WGS:NBSK|LSAT_1X52700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANAVAPIPNMEMNKGVKKEFVEKLFEFDDTTASIIDHACNCVITCKQDRYAWSCIYGLEMGDNWSFCYGFLRVDTDTGGRSSGKKLVAIFKQQLVPPWLEKLLSTDFFSVCRTHGDAARSERNMFCLDCNDEAFCFYCRSSRHKEHKVIQIRRSSYHDVVRVSEIEKVLEIDGVQTYVINSAKVLFLNERPQPKSSSSSGKGGSHICEVCGRSLLDTFRFCSLGCKLVGIKRNGNANFMLEGRSTTTTRVMVRGEEERLMYPSTPPSTRRRKGIPHRAPN >cds-PLY85325.1 pep primary_assembly:Lsat_Salinas_v7:1:186765082:186767168:-1 gene:gene-LSAT_1X120080 transcript:rna-gnl|WGS:NBSK|LSAT_1X120080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSRQLHIKNFTPLKSLFLNLHMGSHTFLRAFSSAPLISLAIERSWSESASPNIRAPPALVFNFSQLEEKLKAGYKATTTGNFTEALRLFLGILHTIPLIVVYARPIDKQSLLDSGILCFLIYILNALLGPDGRNTRQKVTCIEEEPKAMDSLGPDRRLEVEGSVVHIMKALASHPAATQSLIEDKSLQLSLRWLPMDL >cds-PLY79310.1 pep primary_assembly:Lsat_Salinas_v7:MU042179.1:170:1681:1 gene:gene-LSAT_0X46281 transcript:rna-gnl|WGS:NBSK|LSAT_0X46281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLSKCGPADLPEGAVSTDCCPPYTTKILDFKLPPPSNTFRVRPAAHLANEDYIGKFNKAIELMKALPDDDPRSFKQQANVHCAYCDGAYDQVGFPDLELQVHNSWLFFPFHRYYMYFFEKICGKLIDDPNFAIPFWNWDAPDGMKIPDIYTNKKSSLYDPLRDVDHQPPSLIDLDFNGVDENLSPSEQTSKNLTVMYRQMVSSSKTSTLFMGSPYRAGDDASPGSGSIENTPHNPVHIWAGEWKHNNGKNMGKLYSAARDPLFYAHHGNIDRMWSVWKTLGGRRKDFTDKDWLDSSFLFYDENAELNRVKVRDCLDTKNLGYVYQDVEIPWLKSKPVPRRTKPKQKPKNKNNKQAVARADEYIPFAKDVFPASLNEVIKVLVPRPKISRSKKQKEEEEEILVIEGIEVKIDEFVKFDVFVNDEDDGMRATADKTEFAGSFVNVPHTHKHGKNLKTRLRLGISELLEDLNAEDDENVLVTLVPKTRGSGISIAEIKIEHEE >cds-PLY90677.1 pep primary_assembly:Lsat_Salinas_v7:6:52885025:52886708:1 gene:gene-LSAT_6X39081 transcript:rna-gnl|WGS:NBSK|LSAT_6X39081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRTDSTIVALAVWVIFFNLAGHCYGTLGYGGLQYGFYNGKCRASDVEDIVRRTVYSKFLTNRKIAPALIRMQFHDCFVNGCDASILLDGPNSEKTAPPNLSVRGYDVIDAAKTAVENVCPGVVSCADIIVMATRDVVSFSGGARYSVQTGRRDGLVSLAQNTLTLPPPTSSVSSAIRAFALKGFTATDMIYLLGGHTIGIAHCSLFKDRLYNYKNTGKPDPTMDLSLLSSLKSKCPQNTTADPTANLDQNPFSSAVVDKSFYSQIIMGRGVLQFDQDLASDRLSKSTVAAIARSSDFNARFGQAMIKLGATQVLTGKQGQIRKSCRAVNVVPTLTSLVN >cds-PLY63429.1 pep primary_assembly:Lsat_Salinas_v7:7:148527824:148529524:-1 gene:gene-LSAT_7X88001 transcript:rna-gnl|WGS:NBSK|LSAT_7X88001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTLAGHLVPGSALALLGLWHIINTIKSYHLKGPTHFRSSFWHPFWSSISKLAYMELILIIFFSIFSIIMQVLDYPLFSFTFKFNNFEHATMFLHLLIYSGFTLFSELNNKSDTLFEVSGILATSVFGQELFLLYYHSTDHVGLEGHYHWLMQLIVFISLLSSLSVTTFRSNFPSSLVLSISVAFQGFWFINMGFMLWVPEFAPKGCTIRLGHGGDSDMHGAVVCGTHDAFLRARALANLQFSWILAGVLIFVGCVCLFFPKKIANRGQSIQYERLHSRVAEVPLSVVGFKN >cds-PLY98181.1 pep primary_assembly:Lsat_Salinas_v7:3:28643343:28647568:1 gene:gene-LSAT_3X20301 transcript:rna-gnl|WGS:NBSK|LSAT_3X20301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGWKNPFKDVANSKPLFLTVYGTVLIGIVFSAIYVFSAVYSPESAFSWSLSQHVAPSSPNQTFDSSSSLRVGGGVMAPMPQPQNGKLNPIWKPPPSDSKMPPLETFRLTKELVQERVKDNVIIATFGNYAFMDFILTWVKHLTDLGVENLLVGAMDTKLLEALYWKGVPVFDMGSHMSTIDVGWGSPTFHKMGREKVILIDSMLPFGYELLMCDTDMVWLKNPLPYLARYPEADVLTSTDQVTPTVSDDRLDIWQQVGGAYNIGIFHWRPTESAKKLAKEWKEMLLADDKIWDQNGFNDLVHRQLGPSVDDESGLVYAYDGSLKLGLLPATIFCSGHTYFVQAMYQQLRLEAYAVHTTFQYAGTEGKRHRLREAMVFYDPPEYFDSPGGFLAFKPSIPKSLLLDGEHNLETHFTLVNYQMKQIRNALAIASILNRTLVMPPLWCRLDRLWFPHPGVLIGSMTRQPFICPLDHVFEVNTMLKAMPEEEFGPGINIREYSFLDNPLMPAKVKDSWLNVQLCQQGSENCQSNITNTAGVLRFPRHSNEETLKTVFSPLKDVKVIQFSSMQDAFEGFTDKASNQFRIREEKFRKRVKRYVGIWCCVDSHTPGHIYYDMYWDEKPDWKPLPPQTPEDDHPHW >cds-PLY71252.1 pep primary_assembly:Lsat_Salinas_v7:1:154335673:154338029:-1 gene:gene-LSAT_1X107400 transcript:rna-gnl|WGS:NBSK|LSAT_1X107400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGRIRSSVPRFTKALMSDSVSAQRLNVERALICPNFTDPQQSRNFASASPTKEPKIKVPVAMFGGSGNYASALYIAAAKAKTLEKVEAELLDLVAASQKSPTFSQFMKDLAVPADTRVKAMTQICDQAKFSEITKNFLVVLADNGRLRHVDTIAKRFSDLTMAHRGEVKAIVTTVIFGLEXEEEKELKDTLQEILGIGKKVKLEQKIDPSILGGLVVEFGQKVFDMSIKTRAKQMERFLRDPINFDA >cds-PLY89555.1 pep primary_assembly:Lsat_Salinas_v7:4:159635582:159636584:1 gene:gene-LSAT_4X96020 transcript:rna-gnl|WGS:NBSK|LSAT_4X96020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVPGQLIWEIVKKNNSFLVKEFGNGNQSVQFSKEPNNLYNLNSYKHSGLANKKTVTIQPAGKDQSVLLATTKTKKQGKPASLLNKSIMKKEFYRMAKAVSNQVGDNYYRPDLKKAALARLSAVSRSLKVSKSGVKKKNRQAYKVGGRK >cds-PLY66818.1 pep primary_assembly:Lsat_Salinas_v7:5:45994813:45996917:-1 gene:gene-LSAT_5X23221 transcript:rna-gnl|WGS:NBSK|LSAT_5X23221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESRLSSLSLSESTHQSPQNSGVKSSPSSVSVSRLWRPAAQRNMKNQWSRLNSLRHEWISSSSTARSHATSLVNAYLSQRYMKDMELGVLSDMADIQKKACLKLLKQQVLHQDELISSYKDMVTTVVNMVNTSASMRCFLKGATNSPFIQFSSTSEDTNDNGDGGGIPVFTFYPISTFAWLTDVNIDKLRVDDIFSEVGEDMHVNYV >cds-PLY84323.1 pep primary_assembly:Lsat_Salinas_v7:5:189393510:189394160:1 gene:gene-LSAT_5X85601 transcript:rna-gnl|WGS:NBSK|LSAT_5X85601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLENHHLEFIKQTMLKHEDTFRHQVRELHRLYNVQKSLMGTLRNDIRRNTECQRATLDINSLDKRVGFDLSRSAMEDTSCGDSSGIHDDKTGPNDVELTLSIGPSASKRLSENRLHQTGGSDSKTKMKTNVLNSSTLYNEDKKRPHWLFQDLSLNRT >cds-PLY63771.1 pep primary_assembly:Lsat_Salinas_v7:6:27077778:27078922:1 gene:gene-LSAT_6X20381 transcript:rna-gnl|WGS:NBSK|LSAT_6X20381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSSRKSNAPVLPLTSKFHRSGSPSGRFGSRSSAFAAEVSSPFASSTSSSFYSSPSSSHVYSRPTSPTRVNLHGFAPVTSSAVRFSLASRSGSPNRSMAMSSRDQVVRKQNAGNPLKNLPKKTCMCSPTTHPGSFRCSLHKNYNSTQAMTYSPNRLNARRSAMTNSLVRIATVEGGDLVKRALAALIRPSSHQQRRRSAFEPRPSRLSIMSKADDL >cds-PLY81480.1 pep primary_assembly:Lsat_Salinas_v7:8:157723367:157724173:1 gene:gene-LSAT_8X105801 transcript:rna-gnl|WGS:NBSK|LSAT_8X105801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQIDEELAKQLKAKELKSKQEVLLKRKSSKISPEGRSTWHGESFRAPSPKRTIFFNPNRDSYQKIKVIKPTNSFTPPSNLTKENWDIPAPPNGMNFNLWPSAIYPIEPDVDIKEVKKRFFLKKPIQVVSIWSKFKIASINKIDIQKIRKMPYAFFQGKRTDNSKFMFTEADFPRINQVDIRSLIIWLKQRVSTNKAYADVLQRLRQYVLDMVIDFSVDWEIGQLGEKENEEPYLDLNRKNESPGNILKKPHRSVVFSSKGRLKFMRIS >cds-PLY88243.1 pep primary_assembly:Lsat_Salinas_v7:4:154959251:154961966:1 gene:gene-LSAT_4X95061 transcript:rna-gnl|WGS:NBSK|LSAT_4X95061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGATPYNPRTVEEVFRDFKGRRAGMIKALTTDVEEFYHQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKADRKRLFNMINDLPTIFEIVSGIAKKAQKEKTAVSNHSSTKSKSNSKMSLQREPESHGKYPKQHPLAAAAALKDENEGGMRMGMGMGLGLEMDDEEDDEEDEDEHGETLCGACGENYASDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >cds-PLY88602.1 pep primary_assembly:Lsat_Salinas_v7:5:126909895:126911279:-1 gene:gene-LSAT_5X53820 transcript:rna-gnl|WGS:NBSK|LSAT_5X53820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHRLYEANDRQPLKIIFDRNTFVPIGDVYECFIREFESYIWRGIALDKNTWKEVPKADRNGMFTYLSDVDVNAFVQNPTFVTALGDIIRSFSKQVDNATNINKENNDEDND >cds-PLY90876.1 pep primary_assembly:Lsat_Salinas_v7:1:57305528:57306073:-1 gene:gene-LSAT_1X48360 transcript:rna-gnl|WGS:NBSK|LSAT_1X48360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFVRFGIAPTPKESESLQFQFGFMPEHGVQFSLQGSIIYQLQVGKVGILVAIFEVGFRLLVSNFFDKVVREYGFSIHDLTPNSVNKIVGFVLGCRALGVLPHLQAFQSFFNSSTYVSVHTFSQRRNTHSCIVNQKVTQKNWQDRWLWVNYDLVGAGYPRVHMASDRVPQLSGSVYRWLVH >cds-PLY89793.1 pep primary_assembly:Lsat_Salinas_v7:1:7544732:7545201:1 gene:gene-LSAT_1X6040 transcript:rna-gnl|WGS:NBSK|LSAT_1X6040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKLLLVGHGEEPPKRHTDILLYLYLYDASMKDMQLQDVNLKMQKPYTCTSINTRFHTKCSHLSICHHHHFKKKAKYDCWKSHSHCSIVAHVYLVLKFARNGCTICFFLNHSLLV >cds-PLY94811.1 pep primary_assembly:Lsat_Salinas_v7:2:178185315:178186826:1 gene:gene-LSAT_2X97461 transcript:rna-gnl|WGS:NBSK|LSAT_2X97461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGKVTVEWETYMYKEEVGMVMVAVETCIHKEVVVTVKVVVEICKYMEVVEICKYMEVVVKVTVEGETCIHMEEVGKVMVAVETCRHKEVVVMVKVVVEICKHTEVVVKVTVEGATCTHMEVVGKVMVGVETCRYKEEVGMVMTVVETYRYKEMVVMVKVVVEICKHTEAVGKMKVEGETYTRMEVVGKVMVEGETCRYKEEVGIVMVVAETCIHKEVVVMMKVVVEICKYMEVVVTVTVEGETYTSMEVVGKVTVEGETYTSMEVVGKVTVEGETYRYKEEVVMVMVAVEICRHKEVVVMVKVAVEICKHMEVVVKVAVEGETSTHMEVVGKVMVGVKTCRYKEEVGMVMVVVETCRHKEVVVMMKVVTEICKHMEVAGKVKVEGETYTHMEVVEKVKVEGETCRHKKEVGMVMAAVETCKHKAVEVEICKHMVVVVKMMVEGETCIHIEVERENYTHMMMMVVVVETCKCMVIFAMGPSPVAVVSNSRVMANYIHKAYR >cds-PLY70003.1 pep primary_assembly:Lsat_Salinas_v7:8:145383009:145386494:1 gene:gene-LSAT_8X98701 transcript:rna-gnl|WGS:NBSK|LSAT_8X98701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIELHDTLVEHTDYILVPEETWNQLCSWYGGGPKLDRKVISCGQSQTELSVEVYPLRLRLHLMPKGDQCAIRISKKETIGTLHKKACEIFQLNSEQVSIWDYYSHRKHALMNDLDKTLDDANIQMDQDILVEAIDNGGGCRNDVQENGFAKNESTGLIEPSKTNYPVLSANKNLPKNNNSEVSQLQNLSSAIKESEDKTQTQTPVSVGVSTRGSSGGLTGLLNFGNTCFMNSAIQCLVHTPEFARYFREDYNQEINWHNPLGMVGELALAFGELLRKLWAPGRTPFAPRQFKAKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYIKSRDADGRPDEEVADEYWANHIARNDSIIVDVCQGQYKSTLVCPVCEKISVTFDPFMYLSLPLQSTTTRTMSVTVFSCDGSAVPTTVTVTVPKQGRCRDLIQALSTACALKNNEKVFLVEIRNHLIHRFLEDPLMSLSSIKDDDHLSAYKIPKSMKNTKFLQLIHRRQELETGNARGTTGWKAYGTPLVFPVSCDATITRGDIQLIVHTMLSPMLRTEPVSNSEPDDDSDSKTESESRKLPLKLVDGNNACIDLSVGEERTVRFPSSSMSILLFIDWSPKLLKKYQTHYLEKLPEVYKYGPTKKTRTEPLSLYTCLEAFLREEPLVPEDMWYCPQCKERRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVNFPIHDFDLTKYVANKNNSSRQVYELYALTNHYGGMGSGHYTAYIKLIDENRWYNFDDSHISAINEDDVKSNAAYVLFYRRVKTDDNGS >cds-PLY89353.1 pep primary_assembly:Lsat_Salinas_v7:5:70191548:70194209:1 gene:gene-LSAT_5X33641 transcript:rna-gnl|WGS:NBSK|LSAT_5X33641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEARTYVDSGNLSRKVIAIAAGEAHTLALTADGDVYSWGRGTFGRLGNGSEVDQFFPVKIEFSLTDKRDKVKIVGISAGAYHSLALADGQLGFDGENSIVPCLVKMPMTDGSATENEKELKISSVKAGGMMSLAIDNLGTLWMWGSCPEQQTPTPISINFPGHTVVKVACGSEHIVALAKNEDGILVCYTWGNNNHGQLGLGHTETSPNPQIVEKFCPDSPLAPYEIACGAFHTCVLTYKKTESVTQKSVCWTFGLGDNGQLGQGTTKRSMYPEMVIGLPENSRFVSVACGLFHTSLVSSSGEIWSWGMENGLGLCPEATFTESDGGDALTPRLINGPNFPDPVQVACGAAHTVLVAESGYKIWSWGRGKNGILGNGHENDHFTPRVVSWPPQGPRDEEETVVKDDGVERLGAVMEEMERVKSRGLVMERYAGFLYGLLFGKVFEGDKDIPISFKELGSFDVGKEWEDMLESCDKGKLVRLKMFYRNMLEGVEDKIMKDKVKEMIKEYIGSSGSSGR >cds-PLY92167.1 pep primary_assembly:Lsat_Salinas_v7:8:5072090:5073379:-1 gene:gene-LSAT_8X4220 transcript:rna-gnl|WGS:NBSK|LSAT_8X4220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKGFLVMGDQNPLKHVETKYNECETLFKTWLAKQSLPVDAAIVTVTSALQGAAIGGLMGLTNDVSSSFSPPPPSTSLNLQTKASFRQSQAVAGGPLAQARNFAVMSGVSAGISCVLRRLRGKEDVQSSLAAGFGSGVMFSLVSGMGGPNQASNAIASGVFFALVQGGVFKGEGGKEEVCFSTQVVVTYSYRCS >cds-PLY74981.1 pep primary_assembly:Lsat_Salinas_v7:5:86719158:86723075:1 gene:gene-LSAT_5X40480 transcript:rna-gnl|WGS:NBSK|LSAT_5X40480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGNGDVKKATWLHPRFTGFNPSERWGHSACFSNGFLYIFGGCCGGLHFSDVLLLNLETMAWTNLATKGVGPGPRDSHSAVIVGHMMVIFGGTNGCRKVNDVHILDLQTREWTRPDCKGVAPTPRESHTATMVNHNCMVVFGGSGEGDANYLNDLHILDLKTMRWSRPDTKGDVPVPRDSHSAVSVGNKIFIYGGDCGDRYRGDIGVFNVETSIWSRFVVHGPSPGFRAGHAAVTIGTKIYMIGGVGDKQYYNDVWVLDTINSLWIRLEVHGQQPQGRFSHTAIVADSDIAIYGGCGEDERPLNELLILHLGSDHPNDRYNSCGCTMFGSQCNQEKRNFFHDHQTLTKQSNISDPMHTKRRRMSNSKACESIESEPEEHSLSLSQHSSPSHSDQERALVKQSSNTAAQALPLFKTQHQSSGSVKQIPGTQSNPISIFSRTPQDPQFIQEQSHHHKHHQNSHATRTEHKNIEPLSVQNLIGADVHGKVDGAFDSGYLMTATVNGRIFRGVLFPPGPDVVSRGAFRGHNRRSPTSHMAQRNLHINRAFIRHSNQAPEPSHHSYLQDHHVRRPSPVFRSAPALSLGREQAKVQNELHGVVLTLGGPGSGHGGS >cds-PLY95554.1 pep primary_assembly:Lsat_Salinas_v7:6:173754854:173756724:1 gene:gene-LSAT_6X104820 transcript:rna-gnl|WGS:NBSK|LSAT_6X104820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTEISRKLDVEKLISYSDDLVQFLKNEKDINDLKHSVEKSDTLRHRCRSDYAAVQSTLEDYQKKIDLCKQKTEAAKAEVASDAEIHLLQKELDEELQRETLLQEEHLEEQRSSIEDRRKVLKKLKQDELKAQMKLSMFACVTSILPDLNDQSKMISGHIVDKEKKVVEKFEFNPQEKSDFDACNTIWEMIKD >cds-PLY71442.1 pep primary_assembly:Lsat_Salinas_v7:8:42822510:42826135:-1 gene:gene-LSAT_8X33040 transcript:rna-gnl|WGS:NBSK|LSAT_8X33040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSDSKDWSSINKGSSSDDKLFDASQYAFFGRPTTEKVEFGCLEEDEDNPSTGVPDDEYHLFDREEEPVAGSLSDLDDLSTIFSKLNRSVSGPRHPGVIGDRGSGSISRESSSASVDLPEWLDQHISDTESSHGSRRWSSQSHLPSDPKPLYRASSYPTEQHQFFTEPILTPTSSLPSFSPGAHNSLPSPRHRSHSHSHSHSQMPFSDLNLSPLSNTSSLHLTSVPHGSRFGSSRTHPVHPGLTQYSQTQNQWANQVLANNLQQKLLLSQCFMSPHSLRQHTFNPFALQPYLYDTNIPSHSLTKYGLNDTRDQRKSKHSGRISRQGSDNTNQKSEKCRVNFRSKYMTSEEIESILNMQHAATHSNDPYINDYYHQARLAKKDTRSKNRFHPAHFKDTPVRSRNGSGSGSGLGSGSDSQPQITIDSHGRISFSLIRRPQPLFEPDNPSNDGEKRSEKTLEQEPMLAARIMIEDALSVLLDIDDIDRLLQSQPQDGGSPARQRRQGLLEGLAAALQLVDPLGVNGSGLAPKDDMVFLRVISLPKGQKLMSRYLNLLSPTSDLARIVCMTIFRHLRFLFGGVPNETVTTLAKTVASCITSMDLNSLSACLAAVVCSPEQPPLRPLGSPSGDGASVVLKAVLERATQLLTGVNMGMQNPTLWQASFDAFFGLLTKYCLSKYDSLVNAMYAQIPPTTEIVFSEAAKAISREMPVELLRASLPHTDDRQRKMLVDFSQRSMHVAGFNGHKGGSGQVAPESVRG >cds-PLY90749.1 pep primary_assembly:Lsat_Salinas_v7:3:36218020:36219057:1 gene:gene-LSAT_3X26521 transcript:rna-gnl|WGS:NBSK|LSAT_3X26521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTGKVIFIFIAVASSRFFIVFSLRLLSGDHYCDLTRLPSPQALRSDQFTVLINGYSESRIPILQTVTGVYSSSPSVAAVVVLWGNPTTPAKTLVELSHNLSISSPGDAPISVIRQPSSSLNARFLPRPWISTRAVLICDDDIEVDPKSIQFAFEIWRSRSNNPEQLVGLFARSHELDLSSRTWIYTVHPNRYSILLTKFMLMKTEYLYEYSCEGGESMAQARSIVDEMGNCEDILMNFVAANKTDMGPVLVGAKRVRDWGDARNEGVRRRGRGLRAAGEEVAVGLSSRKKGHRRRRGDCIKEFHRVLGKMPLTYSYGKMVDSIGEQGLCEKGGKLVFCDQQTVF >cds-PLY85281.1 pep primary_assembly:Lsat_Salinas_v7:3:72118168:72119967:1 gene:gene-LSAT_3X56540 transcript:rna-gnl|WGS:NBSK|LSAT_3X56540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTFSQSYVTCFKTSSLTREGGDRWRRICGFKMINGASAAMMRSRTTMSAAPMVGVNGKVALERAIIPTKKQFVDPFRQGVIILDGVGYRQTVVVRSYEVGTDKTVTPQSILNLLQETALNHVWMSGLLGDGFGATHGMMKNNLIWVVSRMQVQLDQYPIWGEILEIDTWVGASGKNGMRRDWEIRSHTTGIVFARATSTWVMMNKKTRRLSKMPDEVRAEISPWFIKKEAIKEDSPEKIGKLDDNARYVNSGLQPKRSDLDMNCHVNNVKYVGWMLEVIPDECLENYQLSEIILEYRRECGSSDVVESLCEPEQVGIIKDGVQDDEQDLNLMHRCSIGAGFIQGAGLLNCLMNEGTIRYTHLLQVKEELKCQEIVRGKTTWRKRLNLHSFSG >cds-PLY87631.1 pep primary_assembly:Lsat_Salinas_v7:MU040392.1:268858:270308:-1 gene:gene-LSAT_0X47441 transcript:rna-gnl|WGS:NBSK|LSAT_0X47441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRCSYFLGFQSTLGFNPLLGLSASISDNGNDHFGDVTELSPLADMDGVLGVSAYDADKNCTCLFVSSKATPYQSVPTKVGSMISIRLLTPPGTPLFPSLESESQKIFMNQNGASKDHHPNAPKSRVRPTINEGILQGQDACSLDMEPWKNLDPSVSIVMEKHSTPTTMHGKMSPAGVGFSYSNKSSDYMIGDN >cds-PLY73660.1 pep primary_assembly:Lsat_Salinas_v7:5:202882228:202882735:-1 gene:gene-LSAT_5X94200 transcript:rna-gnl|WGS:NBSK|LSAT_5X94200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLYLIFAVFLLSNLHTYEVEGIRLGKVTLPISSYREIIKMLSIKGSNDQDHELPIKTDALPSGTTLKNRRLSSRVGTQNSHRDHWLPKIHEDYYGPNHHRPRHH >cds-PLY64929.1 pep primary_assembly:Lsat_Salinas_v7:8:133084368:133085229:-1 gene:gene-LSAT_8X92341 transcript:rna-gnl|WGS:NBSK|LSAT_8X92341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVKGFFKGLKNISNIFGTNNHRISRSEELSIPEKPKAHRHRHHRSIDSDSDSASSSSTTKQTRRHRIRRSKDKAGEESSRLPDIPKKTRRKKPKDDDASVKSTQSQDTVSSCSEPPSDL >cds-PLY88475.1 pep primary_assembly:Lsat_Salinas_v7:1:150467205:150468295:-1 gene:gene-LSAT_1X106260 transcript:rna-gnl|WGS:NBSK|LSAT_1X106260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISDLALAQDEYTIKVRIIRLWKQIRMIGMILLDERGAKIECNVDKPLASLQGKTLEEYGDYYIQKPTIGLNNGAIKYYRKCLPMFSIGTSKRQTGAENHTKIGGFRGRYADEIVAYVSKHHGHFVMIIQLVKFKNVRQRPYVNNTYLSTKLFIDDNIKEIIAFKKRFHEFSK >cds-PLY99738.1 pep primary_assembly:Lsat_Salinas_v7:2:131284784:131286020:-1 gene:gene-LSAT_2X62200 transcript:rna-gnl|WGS:NBSK|LSAT_2X62200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKVPLVYILAAFILAVMMLGSISLTLALLQPVMIIILSNLLMELLFIKSVTLFSVNQMQALPEGSSRMGVPLEMGAYIYIFNFATVPSLASVFNEYALKSQYDTSIYLQNLFLYGYGAIFSFLGILGTVVIKGPESFYILLGRSKATMLLIINNAGQVILSSFFFKYADTILKKYSSTIATIFTGFASATLFGHTLTINFLLGISTVFISMHQVCDSDGIGIQDAGVNMFVFFIFL >cds-PLY63664.1 pep primary_assembly:Lsat_Salinas_v7:4:131794444:131795383:1 gene:gene-LSAT_4X82020 transcript:rna-gnl|WGS:NBSK|LSAT_4X82020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTNLSSMHKIALVTATFSVSLLVVIMIAFVTGVTQHGDLIVEPMNSTISGPHRKLLANSSETGEVLPERNWGDKCSKSDIVINQGPTSPLPHGIPIYTVEIMNICATGCSISDVHLSCGWFSSARLVNPRLFKRLGYNDCLVNDGKPLISGRTVMFQYSNTFAYHLSVSSVTCR >cds-PLY92365.1 pep primary_assembly:Lsat_Salinas_v7:3:124728392:124731467:-1 gene:gene-LSAT_3X86641 transcript:rna-gnl|WGS:NBSK|LSAT_3X86641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGGAESSDNKQRVDVSITKEQGKGKKLWKKMKYQLVEYHSLPAYMKDNEFILGHYRAEWPLKQIFLSIFTLHNETLNVWTHLIGFFLFLTLTIYSAKMIPNVVDIPTLKHFPDVLRKTDLYKLREDLLTCIPSMPNMPDLQKLRDEIKTSFHASSDLLPLMSNWHIVELLTNCLPERFSHSNHTDICVLQSMKEDVANIIAPLITRPITKWPFFAFLGGAMFCLLSSSLCHLLCCHSKRLSYIMLRLDYAGIAALISTSFYPPVYYAFMCHPFFCNLYLGFITLLGIATIMVSLLPVFDRPEYRNIRTGLFLGMGLSGAGPIFHKLVWFWNQPEAVYTTGYEILMGTFYGIGSLLYATRIPERWMPGKFDIVGNSHNLFHVLVVAGAYTHYRAGLVYLRWRDMEGC >cds-PLY89672.1 pep primary_assembly:Lsat_Salinas_v7:3:185261521:185264781:1 gene:gene-LSAT_3X111240 transcript:rna-gnl|WGS:NBSK|LSAT_3X111240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATMTTMISSLPSTSAFQLPTSTPSPTTLALINPTSTTISYNRRKPNKTKNLLIRASSRMIEKETAETHRPDTFLRESDGGSSNQDVGTNSVRARFEKMIREAQDSVCAAIEAADGGGKFKEDVWSRPGGGGGISRVLQDGAVWEKAGVNVSVVYGVMPPEAYRAAKPANSAENGNFKPEPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPAYIFEEDVKHFHSVQKAACDKFDPSFYPRFKKWCDDYFYIKHRDERRGLGGIFFDDLNDYDQEMLLSFATECANSVVDAYIPIIERRKDLPFTDQNKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHKPEEGSEEWKLLDACINPKEWI >cds-PLY97325.1 pep primary_assembly:Lsat_Salinas_v7:3:137498828:137499109:1 gene:gene-LSAT_3X91520 transcript:rna-gnl|WGS:NBSK|LSAT_3X91520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTTPFVGATIVYSSFTSKEMSRHFRCLRDGIVSQIKVTKKAMGEKDISSPDAMRGETPRLRVLDQTLRKQRAFQQITMMDSHPWCPQRGLPE >cds-PLY68630.1 pep primary_assembly:Lsat_Salinas_v7:7:132084592:132086348:1 gene:gene-LSAT_7X79540 transcript:rna-gnl|WGS:NBSK|LSAT_7X79540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVMASSSPANQDLQRHQAFGLTDHNSNLISMNMDDLLKNIYEDSRQAPGSFPAGAGGGKTMDERLKEIVDGSVGDPEMTLEDFLTKAGAVREEDVVKLPPVSASAVISEYPGVVDPIICGGAGAGAGAGASFQVMPQQHMQFGSAIGTSAGTSGGGRGKRKVVVEDPPVDKATQQKQRRMIKNRESAARSRERKQAYTVELEALVTQLEEEHAKLLKEVDELNKERLKQLMQNLVPVLEKRRPPRVLRRTNSM >cds-PLY72477.1 pep primary_assembly:Lsat_Salinas_v7:2:141741817:141743536:1 gene:gene-LSAT_2X69981 transcript:rna-gnl|WGS:NBSK|LSAT_2X69981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADVMSHGFEGGDEPPHPFRGGFGDHQIDAVPPKRRGMTVNKKMYKLFQENGKLPLKIVFDRNTYMPIAEVFDFGAISNDPMASTFWASLNNRICVRYRGHKHIAKTHFTDFEADVEAARAQASVGMDLQCWSDTIDHLLTDKHIKRSNENKECRKKHVVKNCGRTCNYGSTCFKNNLNKLEAFHRGYVNKQGEFVDPLVEDQHTQHITESGGDPDSIDWITIFENVLGARKGHVRGIGPKPPSAAGTSSPSQWQSKSQAPQPTHDIDVNAFLQNLTFVTTIGDIIRPFKNQVDNEENNDWEDEDA >cds-PLY90866.1 pep primary_assembly:Lsat_Salinas_v7:9:163199812:163201793:1 gene:gene-LSAT_9X102220 transcript:rna-gnl|WGS:NBSK|LSAT_9X102220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTHGKQTHRFQVSCNVSSNNHEKPLPKNPQPQKLILPQTSLDLQNVDRRNLLLGLGGVYSTATLSGLPPAFAEAIKAPFNQPDRPCKDAVSGFDINKKLLRPIDCCPLSKNGPESHFKFPDKSSKTRIRYPLHKLPVGYLDKYMDAIQKMKDLPDSDPRSFNNQAKVHCAYCNGSYTQNGQELQIHNSWLFFPFHRWYLYFYERILGDLIGDSTFGLPYWNWDNPEGMTIPHFFVEKQCNNYKFENGENPLYDKYRDESHLRYELVDLDYSGRNRDLCYDQKEINLATMNRQMMRNAFDATSFFGGKYVAGDEPIPRGDNVVGSVEAGCHTAVHRWVGNPDPKGNKEDMGNFYSAGYDPLFYVHHSNVDRMWTLWKQMGGKEPTDTDWENASYVFYDEKQNPVRVYNKQSVDLSNLKYEYHSSATPWTDRPPRSRCNRPGYPKRNNTKDFPNQKDPPEALTLTDSTVRLRVKRPPASKNRNAEQKKSEKEILCLIGISFDCTEAAKFDVFVNDCDEEQITPCDSENVGSFAAVPHAKGMAMGCKSGMRFSLTELLEETKAEGDESIRVTIVPRTTPGKKVKVTIDAIEIRLIPVLEK >cds-PLY94448.1 pep primary_assembly:Lsat_Salinas_v7:4:240143715:240144591:-1 gene:gene-LSAT_4X128680 transcript:rna-gnl|WGS:NBSK|LSAT_4X128680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALSGKLIGHVEISSKGDVFHDLFRHNPHQIVAISPDKLHDCELHAGERGVVGSTICWHYTLEGKRKTSKQIIEAVNEENHMIVFKVIGGDLVEEIYKTFTIIFHVEQKGDGQVATWTLEFEKPDISTPYPTSLMDYLCNLVKDMDAYSSTK >cds-PLY76656.1 pep primary_assembly:Lsat_Salinas_v7:4:117073618:117074282:-1 gene:gene-LSAT_4X74261 transcript:rna-gnl|WGS:NBSK|LSAT_4X74261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIAIDDSFKQPGSVPFKWEIRPGVPKLHNHHQPPPSNSNHRPSTLKPPPSSLISASPRTQSNRFHFDKPPPPMIGRQPEVVSLGCFQPRKGGQIRKTNKLHADTVYKSDIETLSQWSVSTQNSRSPFYNSSPPSSSFSSYRSSPVMMASDVEWAGYGLF >cds-PLY75313.1 pep primary_assembly:Lsat_Salinas_v7:3:58098915:58099450:-1 gene:gene-LSAT_3X45260 transcript:rna-gnl|WGS:NBSK|LSAT_3X45260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRMHWMVANLKQMMKMHKKNQRDVPKGHLAVYVGETQKKRFVVPLSYLDQPLFQDLLRRSEEEYGFYHPMGGLTIPCHEETFVYLSAQLHVL >cds-PLY87198.1 pep primary_assembly:Lsat_Salinas_v7:4:309210087:309210636:1 gene:gene-LSAT_4X154821 transcript:rna-gnl|WGS:NBSK|LSAT_4X154821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHLKPKKCTKNCCNKKLKLKNIKPARFEHSRDSLAILSIEGEWKIKKFYLDAEVRWSKINGKKKEFTTKNGGDREEGEGDWDEEEERDMEPQHESEFDYD >cds-PLY73281.1 pep primary_assembly:Lsat_Salinas_v7:8:284239804:284241196:1 gene:gene-LSAT_8X160501 transcript:rna-gnl|WGS:NBSK|LSAT_8X160501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPLIQLPYMLPSEEAFAAAVSALGIENKDGVVVYDVKGSSVMLVSGEHTQGKALLKIIVRIPLRTLISYPGETDLQLRDMMIGWIHEGFQSFLGQLNDELLKQEGAPL >cds-PLY87729.1 pep primary_assembly:Lsat_Salinas_v7:1:5529292:5536403:-1 gene:gene-LSAT_1X4060 transcript:rna-gnl|WGS:NBSK|LSAT_1X4060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRATKWKLEKAKVKVVFRLQFHATNIPQSGWDKLFICLIPIESGKIIAKTSKANVRNGACKWADPIYETTRLLQDARNKRYDDKLYNLIVGMVSGGTSRASILGEATINLAEYADASSPLVVSLPLDGSDHGILLHVTVQLLTAKTGFREFEQQFDRGLQSSSNLNREVEPSFATSLSSELTISEDHGNKVNTETHLGSEPKELITIVEETGIHEEFSECYYSDKHELPSIHESRDSNGPTCSQSPTTEKPDQSNHQGWNSDYSMNNNLAIAHEENHRLRGSLEMAEASISELKLELIALHTHANEMGMETQNISQHLMAEISSGQKLEREIMVLKSECSKFKDELQKLKEVKSRPKSIERTQAHQPTWANGLLLVENRIRELQQKIPFGITASNLNSDLEVLLGILHDLEKETVFTNGFDSVEGKSFQVLREVDELKTEKGNLIRKMDEMECYYEALVQELEENQKRILGEFQSLRYEHSTCVYTISTCKAETEMTRRDMNNQILRFIEERQSLGCVNEDLTKRVASSEVRVQELEKNREQILKEFKSLKNEHSTCAETITTYKSETESIRRDMNNQTLKFAKERHALGCLNEELEKKVNTAESRVRELEGELQSLKNEHSKCVDSILTCKSEIEMLKSDERHDSGCVNEDLEKRVLNAESRVRELEENRECILEELESLKSEHSTCADAFSTCKSETELIRDEMNDKISKLTEEIHALDSANKELEKNREQILGEFESLKNEHSTCIDTISTCKLEIESIRNEMNNKISNFIEEKHALDCVNGELEKRVVNAEARFQELEKNREQILKEFESLKNEHSTCKFESDSIHDEMKEKILKLTEEKHDLERVNKELEKRVTTAESALVRARSNYSIAVTQLQKDLDMLSGQVASMFETNQNLIKGTFSESLIIENDNEKHEKKDPEREGEGGSESLRMAFMKEQCETTVQELKQQLLVSKRDADNILFKLQDALDEIDSRKKSEASYLKKIEELSFKIVGLEAELESLHSELECALLNLECCKEEKQKIVSIMEECEEEKMKIAFELSLLQDQIATKQKMAGDIAQINKLEGLKKERSILSMMSSGSNFQDLQRELVQLHKANEELGGIYPNFTDLPGDGNALKRVLALEIELAEALTSKNKSSIQSSFLKQHSDEEAVFKSFRDINEVIKDMLEMKNKYVDVENELKEMHERYLELSLQFAEVEGERQKLMMTVKNSRSPSIGNPR >cds-PLY80504.1 pep primary_assembly:Lsat_Salinas_v7:2:136599551:136599889:1 gene:gene-LSAT_2X67401 transcript:rna-gnl|WGS:NBSK|LSAT_2X67401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGPPPLSPGSINSGEFLNLNDLYSIFYDCGDKIAANQIEMQTFKDQVGKDFIACRVDHINFHHKLEAHDRKLKSTVVVMVDIMVAMLGMMMVGVKVLEAWISCFGYITTHI >cds-PLY70618.1 pep primary_assembly:Lsat_Salinas_v7:8:34961044:34962251:1 gene:gene-LSAT_8X27741 transcript:rna-gnl|WGS:NBSK|LSAT_8X27741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHTDSNSMHQANVYRSVDRERGGSGTVKMIPRSSSLQQEKMYRSVDREAVDGGSVSGLPRSSSARHERKITRSNLVDQLREYQIRSKHDWASVSFFSSTSNLSSSRVDVVVFVIWELAILAFFVFSAVALYFSHMRLGFGLATITLLLLLCMKLTKRIKLAQKKKRRMLLPLSM >cds-PLY71626.1 pep primary_assembly:Lsat_Salinas_v7:9:136375073:136379912:-1 gene:gene-LSAT_9X87941 transcript:rna-gnl|WGS:NBSK|LSAT_9X87941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPYAHLAVYKVNAVKFNEYSFVVVSAGYDRSLRAWDCRSHSTKPIQIIDTSLDSVMSICLTKTDIIVGSVDGTIRTFDIQIGSDTLSRNMWANLSSWMPRREVAPQGGINIDATTAVSVVSDEEIQKLVEMGFDKESHMEVMSPVSKGVQMYNMNRLLVFMYREFRALQKRGLTSAIRANELIVVSSFFMADVISKKLLLFFGLLLVVPLIVYPLETDCLISERRLLKHSQPPKTTMATTIATTGATTHVEFRVAAHEVPSGPNPESNR >cds-PLY88306.1 pep primary_assembly:Lsat_Salinas_v7:8:237098077:237098853:-1 gene:gene-LSAT_8X143300 transcript:rna-gnl|WGS:NBSK|LSAT_8X143300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCDSDSGAWSLFKSDEQIKSLINYLKRNDPNRRELRNWISKWHKSITKHGQQTGENSVKGETVFSHNNGLATKASELLEAKYNTDPDSVKKPRRKNMAKWHRCDCFEPVLPCRYHCVKCHETFFTNVEFEQHTKNKCDCGVDLGLLNRSGPGRLSLGCDSLRWLKMNLLDMEAALPDGAKRGSRASSELRSEWCAFVKSANTIYEMVEATMVLETMIKTDYINNTWWWYWSSMVAAAKTSTISALALRIYTLDAAIDY >cds-PLY68628.1 pep primary_assembly:Lsat_Salinas_v7:7:132249299:132256518:1 gene:gene-LSAT_7X79780 transcript:rna-gnl|WGS:NBSK|LSAT_7X79780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-like protein ARC5 [Source:Projected from Arabidopsis thaliana (AT3G19720) UniProtKB/Swiss-Prot;Acc:Q84N64] MSTPKQAENGGEWESQSRLYEAYNELHGLAQEFQTPFDAPAVLVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPITLHMRFNPECDSPLCHLISDSDSCVPQEKSLREIQEYIEAENMRLERETVQFSSKEIIIRVEYKYCPNLTIIDTPGLIAPAPGRKNRALQAQSRAVESLVRAKMQHKEFIVLCLEDCNDWSNATTRRVVMQIDPELSRTVVVSTKLDTKIPQFARASDVEVFLSPPSSVLDGFMLGDSPFFTSVPSGRVGSGHESVYRSNDEFKQAISLREMEDVASLEEKLSRPLSKQERSRIGLSSLKSFLEELLQKRYMDNVPMIIPLLEKEYRGTTRKLNDINQELSTLDEVKLKEKGRSFHDLFLTKLSLLLKGTVVAPPDKFGETLQDERVNGGALIGIDGSQFPHKLIPNAGMRLYGGAQYHRAMAEFRFVVGGIKCPAITREEIVNACGVEDIHDGTNYSRTACVIAVAKARDTFEPFLHQLGSRLLHILKRLLPISVYLLQKEGEFLSGHEVFLRRVSSAFYNFAESTERSCHEKCMEDLISTTRYVTWSLHNKNRAGLRQFLDSFGGAEQPGTDTSTSVQSTETRLADLLDSTLWNRRLAPSSERIVYALVQQIFHGIREYFLASAELKFNCFFLMPVVDKLPALLREDLESAFQDDLDNVFDITNLRHSLGQRKRETEIEMKRIQRLKDKFRKIHEQLSLHQH >cds-PLY68815.1 pep primary_assembly:Lsat_Salinas_v7:3:63971948:63973818:1 gene:gene-LSAT_3X50541 transcript:rna-gnl|WGS:NBSK|LSAT_3X50541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKLLGTAASSFVNRVQFVLNLKSIEYKFIEENLACKSDLLLASNPVLKKIPILLKANEPPICESLIIIEYLEEIKPDIHPILPPKPSDRAKNRFWANYIDNKFFPLYLELSYAHEREIVKDKIIKESQLLEEVFVKFSNGKAYFGGDEVGYLDVVLGCFLGWTKFHEKRHDFKVFDEVRTPKLAEWVKRILSHKAITGVMPQEDILMDYYMMRLK >cds-PLY91151.1 pep primary_assembly:Lsat_Salinas_v7:4:160486365:160487635:1 gene:gene-LSAT_4X97221 transcript:rna-gnl|WGS:NBSK|LSAT_4X97221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWSFPLSNTNHHQQQREIGESFVFGSENVILSQLTAAAGGRQISSNPVPSSFGTASQYWSQGMAVNGFNEGKNVIPITITTTDPAAATATVTVNSLESLDCLLSTTNSNGAADTPPDQDECISVIFSDYKNLCNNNGVSSGDSITKDMDEGIISKCSSEKLRKSTNGFYDDNPSRLKRPRSDPGLPTSSNINFRQTMDSDEPDSEAIAQMKEMMYRAAAFRPVSFADEEAVEKPKRKNVRISRDPQTVAARQRRERISEKIRVLQKLVPGGNKMDTASMLDEAANYLKFLRSQVKALEQVGQKLDYVRCGTTITSGSTSQIMQNINPNITLGVPFPMQTPFLLPHHHHQHLYPNPPPA >cds-PLY77388.1 pep primary_assembly:Lsat_Salinas_v7:7:9528048:9528876:-1 gene:gene-LSAT_7X9420 transcript:rna-gnl|WGS:NBSK|LSAT_7X9420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLEQVLAEEQTARLKAVENTTTDQKNLEKMLQKVDSEIKEKTWKLEQLLTKERIARLKSEENAKIAQKKSHEEIQKVKLIILFISRYFRFTLDISLQDLVILTMLHNLKVLDLTEEDLNEKFANGVSMVTSLALAIHHPTIAAAPHMLINGYMNTSLLCLLLLQLFTLLVVVLRWKRRKKYQKWSPMMTWALSVRLDD >cds-PLY90325.1 pep primary_assembly:Lsat_Salinas_v7:2:199520367:199521655:-1 gene:gene-LSAT_2X120841 transcript:rna-gnl|WGS:NBSK|LSAT_2X120841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEVLVKTTKSRRIRMVERKTRKRSVGKPKTKHLEARNTMERLEKKKRSVTLIRDHSKEYLSNLTVEFDHFGRAIGPNRFKFTSYRGVTTRKMISILIDSWDLVDQCDKDQLWLNIKNYWHIRDDDHKAQVLRDCNTHWKAYKSELLKLWDNGVNLVKKYSYLDKAMWKKFLVLKSTEEFKNIWKDKKPFSSEELKERVKTWMRTFGDKVKPFCKAYNESTTKGKERLEVTKGKERLEM >cds-PLY69036.1 pep primary_assembly:Lsat_Salinas_v7:9:140093959:140096216:1 gene:gene-LSAT_9X89740 transcript:rna-gnl|WGS:NBSK|LSAT_9X89740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVELPVDKLMLVPIEENASSHSNTKGKIHVVLVSTGSFNPPTFMHLRLFELARDALNAKGFNVVGGYMSPVNDAYKKKGLIPSEHRITMCQLVSKSSDFVMVDAWEAKQTSFQRSLTVLSRIRSFFSDNGLIPNASLKVMLVCGSDLLESFGIPGAWIPDQVRSICRDYGVVCIRREGQDIEKIISHIDILTEYRNNIQVVDEIVPNRISSTLVRDCILRGLSVKYLTSDEVIDYIKRNQLYTNQQQNV >cds-PLY88000.1 pep primary_assembly:Lsat_Salinas_v7:8:153747386:153748419:-1 gene:gene-LSAT_8X103121 transcript:rna-gnl|WGS:NBSK|LSAT_8X103121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSNPQNSSSFFNTTAPGAGVGCNKQMSNNRVPDSIARYHIHPAAQNQCCSAVIQQINAPVSTVWSVVRRFDNPQAYKHFVKSCHVVDGNGDVGTLRQVDVISGLPAANSTERLEILDDERHVLSFSVVGGDHRLANYRSVTTLHPTPTGNGTVVVESYVVDIPPGNTKEETFGFVDTIVKCNLQSLAKIAENKFRSK >cds-PLY99627.1 pep primary_assembly:Lsat_Salinas_v7:6:81393332:81395117:1 gene:gene-LSAT_6X58041 transcript:rna-gnl|WGS:NBSK|LSAT_6X58041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVIPKVKLGSQGLVVSQQGLGCMGMSGNYGLPKPEADMIKLIHHAIEAGVTFLDTSDFYGPHTNEILIGKALKQGGLREKVQIATKFGIQWIDGKPDVCGDPAYVRSACEASLKRLDIDYIDLYYIHRIDTNVPIEVTMGEIKKLVEEGKVKYVGLSEASASTIRRAHAVHPITAVQNEWSIWTRDLEDEIVPTCRELGIGIVPYSPIGRGFLAVGPKVVENMIEGDFRKLYPRFQNMEQNKTVFERINEMATSKGCTPAQLALAWVHHQGSDVVPIPGTTKLENFDQNIGALSVKLTPDDMADLEFIASSDMVKGERSAFMQMTWLNSETPPLSSWKGN >cds-PLY78725.1 pep primary_assembly:Lsat_Salinas_v7:9:48864722:48867641:1 gene:gene-LSAT_9X41360 transcript:rna-gnl|WGS:NBSK|LSAT_9X41360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g78130 [Source:Projected from Arabidopsis thaliana (AT1G78130) UniProtKB/TrEMBL;Acc:Q9C9R9] MTPETVTLILVNLAGIMERADESLLPGVYKEVGEELHADPTRLGSLTLFRSIVQASCFPLAAYLAMRHNRAHIIAYGAFLWAAATFLVALSSSFFQVAISRALNGIGLAIVGPAIQSLVADSTNDENRGMAFGWLQLTSNLGSIIGGLLSLLLASTTFLGISGWRIAFHLVGIISVIVGIMVRLFADDPRFKDSKTPQNLGKSQSLLSQVKDLAREAKSVINIRSFQIIVAQGVTGSFPWSALSFAPMWLELVGFSHKDTAFLMTLFVIGNSFGGLFGGRVGDILSKRNPNSGRIVLAQISSASAIPLGALLLLALKDDPSALFSHAIVLFVTGFFISWNGPATNNPIFAEIVPEKSRTSIYALDRSFESILSSFAPPIVGILAQHVYGYKPIEKGSETIETDRVNATSLAKALYISIAIPMTLCCFIYSFLYRTYPRDRERAQMEALIEAEMETLESESGEQKPRYRFNDDDDDRTSIDMEYGGGDDSAVLDESDEKLLLYRQLTFANMIHS >cds-PLY83889.1 pep primary_assembly:Lsat_Salinas_v7:3:51654279:51654887:1 gene:gene-LSAT_3X40361 transcript:rna-gnl|WGS:NBSK|LSAT_3X40361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISLNRIWMATGVVIVNGHKSLLLNSFRHGKKAFTSDLRPLSGLLILDVSVGDKKRTQSNESLRQVMYFNCWGQS >cds-PLY67599.1 pep primary_assembly:Lsat_Salinas_v7:2:78352631:78356339:-1 gene:gene-LSAT_2X34400 transcript:rna-gnl|WGS:NBSK|LSAT_2X34400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATGEANIDSLLDKIKPPLLEDAGLEDCALPLDSIQEFFLKAATIVRSHIFHNFDDESEGDCINDPWPGNESGGDRLIGITTEVDPSGACAPKKGGELSEMIGDDVVTFSREGMPDKVVESEVPEEAEKSCVDGLQGLKIGDKKVNGEIEGKKSESGKKLNEDDEEDDERIPVLAEGIKLDFGETEYILICGLKVGPYVDLLHDEKGQSNSQLCARLFPDISDSRLRLKDLEDLIMSPNYSALQDEDVVMLIQLGFMLKGIHGRDVKTGIPAAVYKLVDNIDDWNRFAWGTYLWKYTSRMMRGMFEKIEEFREFK >cds-PLY86610.1 pep primary_assembly:Lsat_Salinas_v7:8:164137081:164139316:-1 gene:gene-LSAT_8X108600 transcript:rna-gnl|WGS:NBSK|LSAT_8X108600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLKVSKMSSSFCIFLIVTVCVLLTITSLVFRSELSAVKVHDHIHDISFRGKEEEMIRSTITTMRRRTLSGLGSSPPRCAWKCGRCTPCKPIHVTVPPGKPVTMEYYPEAWRCKCGNKLYMP >cds-PLY94319.1 pep primary_assembly:Lsat_Salinas_v7:7:164650563:164651858:-1 gene:gene-LSAT_7X96920 transcript:rna-gnl|WGS:NBSK|LSAT_7X96920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,6-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT2G05320) UniProtKB/Swiss-Prot;Acc:Q9FT88] MASYKKPRIRDGAVRRFLFVISLTITGVLLLFFLVAMNYSNSGLYQENTVEIDVTSGVIFNISGSIESIKLPKHNYLSKMLEKKNQLPPRNIDLYPNLAKDRIIIVLYVHNRPQYLKLVVDSLSHVSGINETLLIVSHDGYFEEMNKIIEGIKFCQVKQVFAPFSPHIFPNYFPGVSPNDCKEKDDPIAKNCEGTTDQYGNHRSPKIVSLKHHWWWMMNTIWDGLQETINHSGDILFIEEDHFIFPNAYRNLKMLTDLKSSKCPDCYAANLAPSNVKSRGEGWDTLIAERMGNIGYTFNRTVWRKIHRKAKEFCLFDDYNWDITMWVTVYPSFGGPVYSMRGPRASAVHFGKCGLHQGQGEQAACVDNGVVNIEVEEIDKVVNVKQEWGVHVFGNQEGYQAGFRGWGGWGDKRDHQLCFDFAKMYHLRIKK >cds-PLY66196.1 pep primary_assembly:Lsat_Salinas_v7:2:168581405:168591328:1 gene:gene-LSAT_2X90740 transcript:rna-gnl|WGS:NBSK|LSAT_2X90740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQDQREKYDMFLDVMKDFKAQRIDTTGVIARVKDLFRGHNNLIFGFNTFLPKGYEITVIEEDEVPPKRTVEFEEAISFVNKIKKRFQNDDHVYKSFLDILNMYRKEHKGINEVYHEVASLFDDHPDLLDEFTRFLPDASAAASAHQASLGRHAYDRSSGGAPLRLAQMDKQRGRRDRVNGPHAERDTSVDCPDMDDKSMMKLHKDQRKKDSRERRNSDDPDLDNNRDKDIKRKSARKVEDFGVHSGSAPYDDKDALKSMYSQEFTFCENVKSRLRNPDDYQAFLKCLHIYSTEIITRKELQSLVSDLLGKHTDLMEGFGAFLERCENIDEFLAGVMDKKALWNVSKSTRTDEKEREHRRDIDANKEKDRYKEKYWGKSIQELDLSNCQRCTPSYRLLPDDYPIPSVSQRSELGTQVLNDLWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTIKRAEELLNGINDRSIGTDAPIRMEDHFSVLNLRCIERLYGDHGLDVMDTLRKNLPVALPVVLIRMKQKQEEWTKCRSDFNKVWADIYSKNHYKSLDHRSFYFKQQDSKNLNPKCLVAEIKETKEKSQKDDDVLLSIAAGNRHSVVPNLEFEFNDKDIHEDLFKLIKYSCEEICTSKEQLNKVLKLWTTFLEPMLGVPSRPDNVEDVETSAKNEGTAGESDGSPGADSGTGNLNIKQGKQTSNGDDVILPKRVDPSKNTLVNGGALTKEDGLRVEKEVKNVGEKDIQGRDPTLPKPNNVHEVGQTKSNIDETVANGSFTKAPNVLKYDEPSKFEKEEGELSPNGEFDDADFAVYDEDSENVPEGGDVSGSESAADDCSREENEEDGDHDDVDGKAESEGEAEGIEDENGTSILQSSEHYLVTAKPLAKRVASPLHNGGKKDCNVFYGNENFYVLFRLHQVLYDRLLSAKLNSISAETKWRATKDTPPPDLYSRFMSALYSLLDGTSDNAKFEDDCRAIIGNQSYVLFTLEKLIYKLVKQLQNVAGDEVDMKLLQLYEYERSRKPEKFLDSVYFENAHVLLHEENIYRFQCSSGPSCLTIQLMDVGNEKTEVVAVSVDPNFSAYLHNDFLSVVPGKKESGIMLQRNKRQFSGMDESSAISAAMEGVHVVNGLEYKMSCSSSKISYVLDTEDFFFRERRKRRNVPSTSRHAIAHTRLQRFHRFLALATPES >cds-PLY94585.1 pep primary_assembly:Lsat_Salinas_v7:8:181805775:181810661:1 gene:gene-LSAT_8X117941 transcript:rna-gnl|WGS:NBSK|LSAT_8X117941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPTFKDLQLTEVGGRDSDGGRASSAIDIGVNLEDVRLLDSYEDAYNDNNAGKSRKGKEKVGVTRIQVRVTGMTCAACSNSVEGALMSLNGVVSASVALLQNKADVVFHPNLVDQDDIKNAVEDAGFEAEILQDQGTTHGTVVGQFIIGGMTCAACVNSIEGILRKLPGVKKAIVALATSLGQVEYDPSTISKDEIINAIEDAGFEASLAESSGQDGIMLGITGISNHLDEHTLDGILCNLKGVRQFDYNKITSEVDVYFDTEILTPRDLVDAIHKESGGNFQLHVKNPYARMVTQNLEESSHMLHNFLFSFSLGIPIFALGFICPHIPFLNYILLLSIGPFQIGDWLRVILASLIQFKAGKRFYIAAFRALRNGATNMDVLVAMGTSAAYFYSIYALLYGAITGFWSRTYFETSPMLITFVLFGKYLETLAKGKTSDAIKKLVELAPSTALLLLLDKDGNVMGEREIDSLLIQLGDILKIVPGSKIPVDGHVVSGSSYVNESMVTGESTPCLKEINSLVIGGTINLHGSLHVQATKIGSDTVLSQIISLVETAQMSKAPIQKFADFIASIFVPTVVTLSMMTFFVWYFSGIFGVYPETWLPPNGSHFEFALMFSISAVVVACPCALGLATPTAVMVATGVGANNGVLIKGGDALERAQNIRYVIFDKTGTLTQNKAAVTTVKVFTQMDRGDFLTLVASAEVNSEHPLSKAICDYARHFHFFENPSVPNDSPRGTKNEKMTGWLLDTSDFCAIPGRGLQCCINQKQILVGNRALLKEKGVMIPLEVEDFMVDLEENAKTGILVACDSELIGVVGVADPLKREAAIVVEALFKMGVRSIMVTGDNWRTARAVAQEAGILDVRAEVMPAGKAEVIRSFQRDGSIVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADYVLMKNNLEDVITAIDLSRKTFARIRVNYVFAMAYNVVAIPVSAGILFPWFKVQLPPWVAGACMALSSICVVCSSLLLRLYRKPRLTTILEITLE >cds-PLY80529.1 pep primary_assembly:Lsat_Salinas_v7:5:181662226:181663141:-1 gene:gene-LSAT_5X80080 transcript:rna-gnl|WGS:NBSK|LSAT_5X80080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTRTRRMNQKKKIIASDVKSSTAHYLSYITSLNCESSEVTAFVRYNFRKDARASSSVPSRGNNQSTQSTEQTHTVGIIKRTTREILARLQSLEEEIRGMKNKGGFREEDDLEEFFNEAFEGNENIDRNYRMPSPVGQQFCSPRISNRVNEDDDVDNQPDFDSRKQKMTLLNLKETQSCHPELQSFLEPQSNSTPQSRSTTAVIVINT >cds-PLY88926.1 pep primary_assembly:Lsat_Salinas_v7:8:129962818:129965654:-1 gene:gene-LSAT_8X90101 transcript:rna-gnl|WGS:NBSK|LSAT_8X90101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Pantoate--beta-alanine ligase (EC 6.3.2.1) (Pantothenate synthetase) (Pantoate activating enzyme) [Source: Projected from Oryza sativa (Os03g0851800)] MAPREPEIIRNKEQMRNWTRSMRAQGKTIGLVPTMGYLHQGHLSLIAEARKHAQLIVVSIYVNPGQFSANEDLSTYPSDFQGDIEKLQSTPDGVDVVFNPYNLYDYGKERERSGGKKREKEEGVVSCLEDERGGGFGHETWIRVEGLEKGMCGKSRPVFFRGVATVVAKLFNIIEPDVAVFGKKDYQQWRIIQRMVRDLDFAVKVIGSEMIRDKDGLAMSSRNVHLSPQEREQALSISRSLFEAKKGKNICSELRRSVIESIEAAGGKIDYVEIVDQESLEAINDEEITRGGGVVMCVAAWFGKVRLIDNMEIDVL >cds-PLY93852.1 pep primary_assembly:Lsat_Salinas_v7:6:147708643:147709245:1 gene:gene-LSAT_6X88580 transcript:rna-gnl|WGS:NBSK|LSAT_6X88580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASHSVIIFIGTLVVLATSISAKEYIVGDKSGWTLDFDYQSWAKDKVFIVGDTLVFNYASGAHNVMKVNGTGFQQCIVSSSNGTLTSGRDIIPLQTPGRKWYICGVGKHCELRNMKLFITVLPQTMAPAPSPVATSASTKLIVPTVYGFVVALFGGILFHLV >cds-PLY98315.1 pep primary_assembly:Lsat_Salinas_v7:7:174079007:174079213:-1 gene:gene-LSAT_7X102920 transcript:rna-gnl|WGS:NBSK|LSAT_7X102920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENLPESETPFDVFISSCDIGGVDVGDLRERMEDYVGRVKKMRILDKKVVKKEREESILCSDIFGVVS >cds-PLY86423.1 pep primary_assembly:Lsat_Salinas_v7:MU040700.1:54573:58893:-1 gene:gene-LSAT_0X33721 transcript:rna-gnl|WGS:NBSK|LSAT_0X33721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFAFKALLNAKVNRNRQSSHSKVMKKFPISSQRMVTRKKDYLNENVDKVDCMVNSRDHPIEIDVDEVSVGKKFRSDEVKNENNESPIEIYSSNSMKKTIDISSSNYWKKKKPRNNVHQSVYSSMKKRKHGLFGRLHSKSSDSEDYLSDSNFEVRSITRSRKLVNRSDRKFSKTIVSEHDFSRDSDFEDGTSSSVKRVDKIAYKKVKKKMELNCAKIKSLYSCVSLHSIYGVVNSMNHNQKECVRSLGFGSLIDMKTQSILAKLCYFVVDSFDPLEMVIKTEVSNILVTREDVNRVLGLPMGVDQLNSVDLRGNEEWYEIWKDQFKKPLSLITPNDTVYKIIERCEAYMVFVANFIILVCTCFGSCNKQGACNLKLLPYLSESYKLDKFDWCTYVLNCVKEEKLIWGRSDIKTFFNGPSVFLTFLYVDRIQCRQMLMVRRYPVINNWTLELLKVREINEISNGGFGRPSAIVKSVDEVSRGSILEFHSEMKWCLVDMSKKVDKAFKKHGNLKIIEFYGLKSCGKSSSCDDKFDEFRDFGQSINNIVGVNKYGLANSFGKSGCIETKLLVDCEGGHKEKCVVEGIPSFNLGIEDDMYTPPKVNAGVDSYVSNNFVSVGISFASVKGNVLKSHDESEKVKILENDMISLRPKRSQTLPPVLRSPFVVRAVEIDSNLTKEENIKSNWLFSLCGNRTDDLFHSINGQRGERYMFESLCPGEFLFSGTIDCFVEVLNYDERARNLDTPSCFFFKTAVLDPAYMHSEACKYDDVYQNFKENVFHCLGESKERRNLKGIDLMFFPACANSHYFVFVFDFKNRKAVILDNILYSLSEKPYPHLTQNLKYMFGRYLQDIKHFMAFSVMYEMEFVDQYMTWKTRGNSNDCGIFLMRHMETYKGGPLAQWKCGFKMESVEQILQLRNLRRRYSMKILLSEVNLMKNEVQQLLVEYQKLSANDSRVMYHEGIINIAARLAAFGP >cds-PLY85610.1 pep primary_assembly:Lsat_Salinas_v7:9:97667027:97667355:1 gene:gene-LSAT_9X72080 transcript:rna-gnl|WGS:NBSK|LSAT_9X72080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSGEVSEKKSMMVSMDAFQRDTGCICKPIALDVQADGGHRSNQFESLNPDETRCNIFHFSGGGRRPTIDLVVDPFLEE >cds-PLY64569.1 pep primary_assembly:Lsat_Salinas_v7:6:38214696:38218992:-1 gene:gene-LSAT_6X27941 transcript:rna-gnl|WGS:NBSK|LSAT_6X27941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTKIHFFYSGDDKFWEAMKCFPFSYCNEKDEAAKTPTKSISVQSFTSIFTDRDVRRSWTTGLNSETVSDVSTESIGRRSNVPSFSQRPSNLRVFTFPELKSATNGFSRSAKIGEGGFGCVYIGAIKSDQDPTKKLRVAVKQLSRRGLQGHKEWVTEVNVLGIVEHPNLVKLIGYCAEDDERGIQRLLVYEYMPNGSVEDHLCAKNEETLSWSMRLKVAQDAARGLTYLHEEMGFQIIFRDFKSSNILLDDKWNAKLSDFGLARLGPEEGLTHVSTAVVGTMGYAAPEYIQTGRLRSQSDVWSYGVFLYELITGRRPLDRNRPKGEQKLLEWVKPYLDSRKFRMIVDSRLEGKYSMKTAQKLSIIANKCLSKNPKGRPKMSEVLEMVNELVGGGGAPEGTSPRPPPGNGPVVEPVVVHKVEPIEPEKVFVGSTEGKKTFKDMPSKRKRRCSTRDQDEAAMTMAFGGGRLRQDGGVWWGWVVKWVLPTVGGDGAMVAASLPSFPFFSGELDEREREGREEQGYGPEVFGKGVCWWWVTTWGGGGGVRKNRWVKLVVTVCSDQ >cds-PLY84504.1 pep primary_assembly:Lsat_Salinas_v7:1:30672950:30673975:-1 gene:gene-LSAT_1X26521 transcript:rna-gnl|WGS:NBSK|LSAT_1X26521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLLPVLPVIFIAAVFCSPMPVLCTPVSARSQLLRSSSFSVALETLQKHLNYDFQNIGLLRRAMTHSSYSEENNKALSILGESIIETTASLRLLTKDVDISSKDLNKRVSEISKVETSCAVDGMRLGLQNVVRVSSNTNSSTSSVVCGAFRAIFGAVALDTGKSDDAGDVFWVVHGGAGSALSM >cds-PLY88802.1 pep primary_assembly:Lsat_Salinas_v7:4:92156802:92162482:-1 gene:gene-LSAT_4X60540 transcript:rna-gnl|WGS:NBSK|LSAT_4X60540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVMNMEVQSSPSTNKLSRADFTELESDFTWGAATSAYQIEGAASEYGRSPSIWDTFCIEKPAAIANGDSGINGVNSYFKIKEDVQMLKKMGLNAYRFSISWSRILPGKLNNGINKEGVDYYNNLINELIHNGITPYITIWHWDTPNCLEQEYLGFLDEKIICDFKGYAELCFWEFGDRVVNWITMNEPANYAISGYEFGIFPPGRGAHGSNIGNAATEPYIVAHNLLLCHATVVELYRQKFQESQGGKIGITLDAAYLEPLDVQKVEDRDAALRGMDFHFGWFMEPCFSGKYPDTMIKNVGDRLPKFSKEQAKLLKGSYDFVGLNYYISQYATTSQPTDVVSYSNDTMVLQQPVDLKGRPIGPQGGVSWFYSYPHGFYKLLVHIKHTYGNPLINITENGWPDANNNNLKIEEALVDNERIDYHDAHLKSMLQAMK >cds-PLY82195.1 pep primary_assembly:Lsat_Salinas_v7:1:16138290:16141741:1 gene:gene-LSAT_1X13380 transcript:rna-gnl|WGS:NBSK|LSAT_1X13380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAERIAMILFLILIAGKDAIGDSLDSDKEVLLNLRSFLEQKNKVNQGEYNKWDPQSQTPCTWPGISCSGNRVTGISLPDNDIAGALFGNFSALTELTHLDLSTNTIDGSIPPDLASCRNLKVLNLSHNIMGGGLNLTGLTSLQILDLSMNRFSGDIMMSFPRVCSGLVVANLSTNYFTGEITTSMDVCPKLEYIDVSSNHLTGNLWFGINRFKELSVSENRLNGTLPAWIFQGNCTLQAMDLSGNAFSGGIPKEISNCKNMTILDLSGNHFTDKIPTEIGLITKLEQLLLGNNSLSKEIPESLVGLTNLKNLDLSRNNFTGDIQEIFGRFTQVKNLLLHANGYTGGLNSSGILRLQNISRLDLSFNNLSGPLPPEISHMAGLRYLILAGNSFSGSLPSEFANLRRLQALDLSANNLNGSIPLSFGQLTSLLWLMLANNSLTGQIPPELGNCSSLLWLNLADNQLSGSISPELANIGKNATPTFLLNRENNPMAGSGECSAMRRWLPADYPPFSFVYTLLNRKTCRSLWDNLLKGHGIFQVCLPGSNVRTKQISGYLQLGGNRLSGHIPPEIVRMNNFSMVHLGFNEFSGTLPAEVGQMPLVVLNVTRNKFSGRIPMQIGFLKCLRNLDLSYNNFSGTFPTNLNNLTELSKFNVSYNPYISGIIPSIGQLATFEQSSFFGDPLLDLPSFIDNSTNATSAKGGRRSNPRKWGAVLVFMFLLLAFTVCGVMTILVCIVMKNPIDEPPYLIKSSHHFTSNSGSSSPWLSDTVKVIRLDKTAFTHADILKATRNFSNDRIIGRGGFGTVYRGVLPDGREVAIKKKLREGMEGEREFRSEMEVLTRNGFGWPHPNLVTLYGWCLYGSEKLLVYEYMDGGTLEDLIQNQTGFNWRRRIDVAIDVAHALVFLHHECYPSIVHRDVKASNVLLDRTGKARVTDFGLARVMDAGDSHVSTMVAGTIGYVAPEYGQTWQATTKGDVYSYGVLVMELATGRRAVDGGEECLVEWARRVMGDGQQSMIPVAGLVCGGGEGAVEMCELLRVGIRCTAEAPQARPNMKEVLDMLIRILANRTDFSYGSLLSS >cds-PLY98022.1 pep primary_assembly:Lsat_Salinas_v7:8:44252412:44254765:1 gene:gene-LSAT_8X33701 transcript:rna-gnl|WGS:NBSK|LSAT_8X33701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTIPVMTISSSDGPRPMPVIAMGTASDSGISGSDVMSSSTIVEAIKVGYRHFDTAAVYRTEKPLGEGISEALRLGLIKSRSELFITTKLWCASADRHLVLPAINQSLQNLGLEYVDLYLIHWPLKVIQDEFKLPVPKECVAAIDIKAVWEAMEECQNLGLTKSIGVSNFYPKLIQQILSFAKIPPAVNQFEMNPLWQQKKLTGFCKENSILVTAYSPLGAFGNNAWGHNRVMECDVLQDIAKSKGKTVAQISLRWLYEQGVSIAVKSYNTERMKQNLDIFDWSLTKEDMEKIDQIPQRRHVYLIGSMSVEPNDIMAEIDAELD >cds-PLY98008.1 pep primary_assembly:Lsat_Salinas_v7:8:43400891:43401202:1 gene:gene-LSAT_8X32840 transcript:rna-gnl|WGS:NBSK|LSAT_8X32840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKVILICFLLMVSASGSGIPSKPKDTPNPPIGTLVTCTKSADCTSYCTCDKSKQLCINKNCYCSDKKC >cds-PLY62969.1 pep primary_assembly:Lsat_Salinas_v7:1:210611906:210615772:1 gene:gene-LSAT_1X128660 transcript:rna-gnl|WGS:NBSK|LSAT_1X128660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMAKVSSLLRIPKYRSFVVIPVTILQTSAAVTNHRPKSRRLCCSVWDSVLNFHRKNNSRAFTSDSPDSLAVTSTLSTPSHKRHEEYGRLLPCPAENVLPRNIEHLVVKEGGPVLDFITKALDLPPLYVADLIHFGAVYYALVCPKPPSTATMEEIRLYKEFTNPSLLRKRPSLKGKTLREAQNTFRITCVDEFVEAGTYVRVHVRPKRFPRCYEIDWKSRIIAVTESYVVLNKPAGTSVGGTTDNIEETCANFATRALKLTTPLKTTHQIDNCTEGCVVLARTKDYCSVFHRMIREKKVKKLYLALAAAYVPPGIMTHYMRPFRKAPKIISQDFIPGWNLCQLEVLECRKVPWPNASIEEENGIQDLNWPNKEFAFECKINLLTGRTHQIRAQLAACGAPIVGDSMYMPAVIAELVGPAKLKKQFESDSAIEEWAAKHGKEPGVSIGLQASQISWDEDEGQHHLYQAGPPWWTQ >cds-PLY70105.1 pep primary_assembly:Lsat_Salinas_v7:3:12190947:12198793:1 gene:gene-LSAT_3X11440 transcript:rna-gnl|WGS:NBSK|LSAT_3X11440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEHSSQTPQPPMNDNNVNMNNINPYLLDYTPLINNPFQYPSSSPLLPQPLPVAHNLDNNIRLESSNVDWVSLLSGYMNGEGSMARGLCEGDENNAGRNSKAMKSGRGKKVTPSRVAFHTRSSEDILDDGYKWRKYGQKSVKNSHHPRCTHHTCNVKKQIQRLSKDNSIVVTTYEGIHNHPCEKLMETLTPLLKQLQFLARF >cds-PLY88941.1 pep primary_assembly:Lsat_Salinas_v7:8:130209873:130214203:1 gene:gene-LSAT_8X90220 transcript:rna-gnl|WGS:NBSK|LSAT_8X90220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASLKLFALCSLLLLAIVVSINAVSSEVEKHELQSLVSNSTIADRTSENLDMNKNGDAVDDPEMVASMVGMSIRNGTERRKLGYFSCGTGNPMDDCWRCDPNWQRHRKRLAECAIGFGRNAVGGRDGRFYIVTNPNDDDAVNPKPGTLRHAVIQDKPLWIVFKRDMVITLKQELIMNSFKTIDARGVNVHIANGACITIQYVTNIIIHGLHIHDCKQTGNAMVRSSPSHYGWRTIADGDGISLFGASHVWIDHNSLSNCADGLIDAIMGSTAITISNNLFTHHNEVMLLGHSDSYVRDKVMQVTVAYNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFLAPANPNAKEVTKRVVTTGKWKKWNWRSDGDLMLNGAYFIPSGAGAGGSYAKASSLSAKPSSAVATLTSGAGALICRRGRQC >cds-PLY91715.1 pep primary_assembly:Lsat_Salinas_v7:7:26722842:26725280:1 gene:gene-LSAT_7X18981 transcript:rna-gnl|WGS:NBSK|LSAT_7X18981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAISFLASEISDLCLGKPPLRPLPTTATIADAVLALKTSGEIYVSVWSSEHSNSVVACNDTGCCRCVGKICMVDVIVHLCKEENLSHPLDALQSNVSDLITKVKGQIRHLEPNSSLLEAIDCILEGAQNLVIPIHNNARTNLRKSQLTQSPLHAPTLHYTQEFCWLTREDVFRFLLNAIGVFSPIPTFTIEDLNIITKQTLTIHYEDPAISTLPLISHSLSAQTSIAVINKDNRLLGEISPFTLACCDETVAAAISTLSAGDLMAYIDHSGPSDNLVHLVKMRLQERNLSAMLDLMDDYYNPSSSSSSCCSSDEEFGSTKNGAVGRLIYPGRRSTAIVCHPWNSLMAVMVQMIALRVSYAWVVQEDYTLVGIVTSSEILKQFRSVVAS >cds-PLY89593.1 pep primary_assembly:Lsat_Salinas_v7:9:39493895:39495412:1 gene:gene-LSAT_9X35641 transcript:rna-gnl|WGS:NBSK|LSAT_9X35641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNVAEKSANSVQLKVFIDEKKKKVMFAEADEDFVEILFSFLTLPLGTIASLNSKDIKVGSLTSLYESVQNLNKKLFFKYWYKDCLLNPMNSSANVCEKLKVNLNGTKSIPYQPDAIFFKKKGRFVITDDLNILPLVMDTSIALLNSLGVESIDLLHEKTIYFGLKEFSYLLKWSLLTNNPLTNLVFGGRKSCSSLSTYSTPCNYSPFMSGNFTQTTVKLLLQKSKKKVLCAQVENRFVELLFSFLTIPLGAYELLTKDIYSSPILGISNLYNSISSLGERKFLKSEDVKSMLLCPKLATNYLCVTDLLPIYEDNIRPGRFLKEQATFIVSDDLKVTASQSIATISNFNAPGVPIADMEFLDLYIGEEEGHVPKFAFAEDGEEIQKKLILRQK >cds-PLY90037.1 pep primary_assembly:Lsat_Salinas_v7:MU039662.1:48670:49074:-1 gene:gene-LSAT_0X34981 transcript:rna-gnl|WGS:NBSK|LSAT_0X34981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLTLYLGIERGNIACHKSEARFGTLLREGRLASRAFRDEAFWRSQVNFGPPNPATDEKALWYGVKGSVYVVTLPAFQRCLEDGPDAAERRPGSRFPIGRGGGGQETAISRHITTYRQQRRDLGRQPDWESEDP >cds-PLY93457.1 pep primary_assembly:Lsat_Salinas_v7:9:63804615:63805838:-1 gene:gene-LSAT_0X29720 transcript:rna-gnl|WGS:NBSK|LSAT_0X29720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLLETLKEAITAYTGLSPTTFATVVALALAGFYVAYGMFGGSSDYHPQQSSRSFQEEESQPLPPPVQLGEISEEELKAYDGNDSNKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEDKDLNGDLTGLGVFELEALQDWEYKFMSKYVKVGTIKNPESAPESSATPVQPAESTQPESTPDDHVSQSTELAAGETKEETTGEDNKHE >cds-PLY80123.1 pep primary_assembly:Lsat_Salinas_v7:2:74901698:74903074:-1 gene:gene-LSAT_2X33900 transcript:rna-gnl|WGS:NBSK|LSAT_2X33900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARDSCLARITAGVAVGGAVGGAVGAVYGTYEAVRYKVPGLMKIRYIGQTTLGSAAIFGLFLGAGSLIHCGKSY >cds-PLY85057.1 pep primary_assembly:Lsat_Salinas_v7:7:6994023:6994773:1 gene:gene-LSAT_7X8361 transcript:rna-gnl|WGS:NBSK|LSAT_7X8361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMVTDLVTALEKATVMAKQLSSTATNSAKIYASLHAAHRQLSLFLSHAAKPSADVTDGDDAPMEVADEEQQMVDGAREEDSKMAMIDSVEERMKNCFVQKKKRPKRPLSPTWLAAGEQRRWLEYESESARVGIDYDPHITKLRALDLIYQFHC >cds-PLY75114.1 pep primary_assembly:Lsat_Salinas_v7:9:202627504:202631858:-1 gene:gene-LSAT_9X123801 transcript:rna-gnl|WGS:NBSK|LSAT_9X123801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHKDCLVLAATGSGKSLCFQIPALLTGKVVVVISPLISLMHDQCLKLSKHGVSACFLGSGQIDHTVEEKSMRGMYEIIYVCPETILRLIKPLQILAERRGIALFAIDEVHCVSKWGHDFRPDYRRMSALRENFSAKKLDFLKFDIPIMALTATATTLVCEDILNSLKMSKDPKIVLTSFFRPNLRFSVKHSKTCSSSYEKDFHDLIETYTRNQKHSKKNILISPASCSSVGTTSQDETIKNWLDCTEERASSETDDDDDDVDISLPNKSLKRKELSVEYLEDECDLLLDADDLDVTCGEFNGRSSPSVCGIQGKKTEVEQGSTIIYVPTRKDTLTVAKYLCRFGVKAAAYHAKLPKSHLRQVHMEFHENAVQVVVATIAFGMGIDKLNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLADCVLYANLSRMPSLLPNKRSEEQTKQAYKMLSDCFRYAMSTYKCRAQMLVQYFGEDFSHETCHLCDICVKGPPEKQDLKDEARVLMGIIAAHYEKRSHVEGSYDDDDDDDYKHNRRQMWMEMENVRMIVSKIREQNPQHATTDLLWWRGLIRILEDKGYLREGDEKRHVQIKFPEPTKHGLEFLRGKSEEPFYVWPEADMLLSETMMPKSYSSFAEWGKGWADPEIRKQRLGKNRPWKQPRQKKKRKQCHLDTGTVRGRLAAKLSK >cds-PLY70941.1 pep primary_assembly:Lsat_Salinas_v7:5:151398632:151399444:-1 gene:gene-LSAT_5X67041 transcript:rna-gnl|WGS:NBSK|LSAT_5X67041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGTMSSSNNQWLQFYENNQPTTVQSWSGDAATDATVVTNTTTTTSNNNTGVSNQLSKVTRPTNSRRRSRASRRTPTTLLNTDATNFRAMVQRFTGGGNTGGDVTSTPYLSNESSVTSSHQLLNTYNNNTYSFTDGIRSSSPGVALRPNDGYNVQFRQPQSQQYFTMTVEDGGSTTANDGGDEHMEFRQSGSYNW >cds-PLY79557.1 pep primary_assembly:Lsat_Salinas_v7:8:118583923:118584691:-1 gene:gene-LSAT_8X81760 transcript:rna-gnl|WGS:NBSK|LSAT_8X81760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADAVKLYGTVLSAYVSRAKIALNIKGIKYENLEEDLSNKSADLLKYNPVFKKVPVLLHNGKPISESLVIVEYIDDVWKGVPILPQDPYERAIARFLAKFIDEKCIPVIKAVGSNGDEKAIAEACEQLQILENQLKIKGTKFFGGDSIGLVDIAADFIAYWHAIREEAAGIKFFTEDKFPKLTKWADDFVNSEAVKNTLPPREQMLAFYLKFFGKANGM >cds-PLY76463.1 pep primary_assembly:Lsat_Salinas_v7:5:198889223:198891499:1 gene:gene-LSAT_5X89440 transcript:rna-gnl|WGS:NBSK|LSAT_5X89440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQDKAIGIDLGTTYSCVGVWQNDRVEIIPNDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPQNTVFDAKRLIGRRFTDPSVQSDMKLWPFKVISGPGEKPMIVVNYKGEQKQFAPEEISSMVLVKMKETAEAFLGQTIKNAVVTVPAYFNDSQRQATKDAGAISGLNVMRIINEPTAAAIAYGLDKKSSRVGEQNVLIFDLGGGTFDVSLLTIEEGIFEVKSTAGDTHLGGEDFDNRLVNHFVTEFRRKHKKDISGNARALRRLRTACERAKRTLSSSSQTTIEVDSLFEGIDFYATITRARFEELCMDMFRKCMDPVEKCLKDAKIDKGSVHEVVLVGGSTRIPKVQQLLIDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGDEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQVNVTFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKDEIERLVQEAERYKAEDEEVKKKVDARNALENYAYNMRNTVRDEKFASKLAAEDKQRIEKAVDDGLEWLEKNQLAEVDELDDKLKELEGLCNPIIAKMYQAGDGGDVPMGEGRANGGAGASGGAGPKIEEVD >cds-PLY64396.1 pep primary_assembly:Lsat_Salinas_v7:4:23457112:23457867:-1 gene:gene-LSAT_4X16321 transcript:rna-gnl|WGS:NBSK|LSAT_4X16321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVDVSEPFISPRISFSYDLNNQSSDTIAASTTTTTAATAITNTFEFCITLNLLQQITSADELFYDGVLLPTQIKKPENFLPKPKTPLPENKAMHVHRKRLKELLSDNDDEQHKQEKSSSTSFWKFARSTSLNSENGRGPKRLFRSLSLKRLLQSNSTDSALNPRENDAPVGIGKLNSGKEPAMVRIHHSCQGDNSCRRSATKKNSGDVNKVNPILNIPPAYNMNLFGSGSLFCKPKSKTR >cds-PLY74843.1 pep primary_assembly:Lsat_Salinas_v7:8:107577883:107580554:1 gene:gene-LSAT_8X73460 transcript:rna-gnl|WGS:NBSK|LSAT_8X73460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYENGYQQQIPTSKYECLLFDVDDTLYPLSSGLSTQCTKNIREYMVNELNIEETKVPEMCATLYRDYGTTMAGLRALGYEFDYDEYHSFVHGRLPYEYLKPDPVLRTLLHSLPYRKVIFSNANEAHVAEVLHRLGLEDCFEDVICFESLNPKNQITNSDSPKSSVAGYDDVSKRDVVLPESPIVCKPFENAFQQAFKMAKITPHKTLFFDDSIRNIQTAKLTGLDTVLVGCSQRKKGVDYALESIHNIREALPELWELVEKLKDGRYSQEIGIETRVQA >cds-PLY94313.1 pep primary_assembly:Lsat_Salinas_v7:7:164877807:164878019:-1 gene:gene-LSAT_7X96620 transcript:rna-gnl|WGS:NBSK|LSAT_7X96620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVTEGDLLCDKQMTPNNNLKAANPNKRGEPEKSSKSKRSGAGAMTLKVEDPPLVLTTLNEEWANKMKNI >cds-PLY72592.1 pep primary_assembly:Lsat_Salinas_v7:3:199004528:199009593:1 gene:gene-LSAT_3X120821 transcript:rna-gnl|WGS:NBSK|LSAT_3X120821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTELVVSAFFSLFFNKLTSEALNKIACDEGIESELKKLKMSLDQIQALLNDASQKEATNEFVRRWLNGLQHLAYDIDDVLDDLETEDMEREFTENSGSTISKVRQLILTCCTNFSLSSRMHGKLDDIVTELQELEKAKTNLGLSVITNEMAKVKRHEASLVDASVIVGREGDKNAFVQKLLRGKDESSTQNFSIVPIVGMGGVGKTALARLLYDEKEVKDHFQVRAWVCVSDEFDIFSISKVIYQSVTRETKEFTNLNMLQEAVREKLKDKLFLIVLDDVWSESYDDWDKLVGPFLMGAPGSRIIMTTRKEQLLRKMGYTHLEHLPSLSHDDAMRLFSQHALGVDNFDSHPILKPHGEGFVKKCDGLPLALRVLGRLLRTKTDEEEWKVLLNSEIWRLGNGDEIVLALRLSYNDLSASLKLLFAYCSLFPKDYVFDKEELILLWMAEGFLKHSTTSKSMKSLGHEYFEELLSRSFFQHSSDDESLFMMHDLMNDLAISVAGDFFLRLDIGMKEYGRKDALTKLRHMSFVCEHFMVHERFMPLKGVKNLRTVLTLSLGVVKSWEMIFISNKVINDLLQELPLLRVLSLSNLSISEVPEVVGSMKHLRYLNLSRTEIQNLPENVCNLYNLQTLIVSGCKYLFKLPENFSKLKNLQHFDIRDTPLLKKMPLGIGELKRLQTLSKIIIGGESGFSLTKLKNLQNLHGKVFIEGLGNVENAMDARETNFSQKRLTELVLDWGSEFNVFRTETQEMEILNELKPHNGTLEKLRIESYRGIEFPNWVGDPSFCRLTRVSIDGCEECTSLPRLGQLPSLKELFIGEMSTVKVVGLDLLGTGLAFPSLEILRFESMSGWEEWSTNSGAFPCLQELHIEDCPNLVQVSLEELPSLRVLKVIKCGHGLLKSLVDVASSVTVLEIDDIWGLTDKLWRGVIGYLGTVEEVSIRGCDEIRYLWESEAEASKVLMNLKKLDLGECENLVSLGEKEEDDSGSSLTSFRRLRVWDCNSLEHCNCPDSLESLMIHGCDSVTSVTFPTEGEQKLKSLSITDCKKLSEEELGGREKTRMLINSKMQMLGSVIIHNWPNLKSINELSCFIHLTWLTISECPSMDSFPDHELPNLTSLTHLTIQKCKSMDASFPRGIWPPKLSYLGIGGLKKPISEWGPQNFPTSLVSLRLYGGLYDDVKNFDQLSHLFPSTLTYLEINGFQKLKSISMGLQHLTSLQRLYIFNCPKVIDLPERLLPSLLVLWIEGCPNLKERIRRGGSYWPHISLIPCFEIDSLITSST >cds-PLY97506.1 pep primary_assembly:Lsat_Salinas_v7:8:308067091:308068655:-1 gene:gene-LSAT_0X41020 transcript:rna-gnl|WGS:NBSK|LSAT_0X41020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSESYSMSESVHVDKSSHPISTSEKHDLSSADHLNSHFQLPAVFFKDTLQTPVEMEFQVSHQSQLMNTRTNEGSGDWTSQDFIECGLRSIPQEVSTEKGRRKKGRKLNNKKAFDRIFTFDDEKKILMALHETNQRGVDTAFWMKAGGSLNLSIPDVEIGQVYQKVRLLKNKFLKRYINNKNHHAMSQEERELYSLSQKIWKKEAKSLKCHQEYKNIHAVIVDESGVNLEKSIAALAKDYVHFPVEKALNLVPPSKRSKLLRKWKGMHASMIQQHMTRLDLVQETMRALVSSSSIHI >cds-PLY93722.1 pep primary_assembly:Lsat_Salinas_v7:2:201617066:201619531:-1 gene:gene-LSAT_2X122761 transcript:rna-gnl|WGS:NBSK|LSAT_2X122761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGPVKQRQQQMMGSFRNSAMREKEDELGLFLEMRKREKERHDVLLHNAEEEFDSSLGSRTGNSPKFSMPSATSMRKTGADEFLNSESDKNDYDWLLTPPGTPLFPSLESESQKTVMNQNGASKAHHPNAPKSRLSNTQPEAVATRTNMVSRQRAASPGPSAGPRRPTSSGGSGSRPSTPTSRPILGTSSSSSSRTTSNSLPKTTTVSKPTSRPTRSSTPTTSRPIISSSKSTAPPRSSTPTSRATPRSSTPTPTSRPSLSTTKPTPRASTPTRKPTTVTKTPAPPVKSPTVKNTTQPLAATNSPNTRPRPWKPQEMPGYTLDAPPNLRTSLTDRPISSIRGRAGGPSSRSSSIEPVPNGRGVRRQSCSPSRGRLPNGVNATNGMTRKSGASVPIPALNRAYAKANDNMSPGSYGTKMVERVINMRKLIPPKQDDKQSPHNLSGKSSSPDSSGFGRSLSKKSLDMAMRHMDIRKTVPGNLRPLMTKIPASSMYSVRSGNGPGPGPTRGRMISVSDSPLATSSNASSEMSVNNNNVIDEHIEDESNSEKGVRSPASIRGR >cds-PLY65405.1 pep primary_assembly:Lsat_Salinas_v7:1:60210742:60211637:-1 gene:gene-LSAT_1X51300 transcript:rna-gnl|WGS:NBSK|LSAT_1X51300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDAHQNDYNQIMEDSRNMVIRGKRTKRPRPSSPLALTMASTSSTTTTTSEGGDTENPYNTFFHTPTNSIEFTRIIQENDDEDMANCLILLAQGQSSPPPPPHKAVVDTLSGFYVYECKTCNRGFSSFQALGGHRASHKKPPKPCLEDKIRPNNMVNQVVDVHHSSTTLSLKIGSSQQTQCNRSGSTKASKVHECSICGAEFASGQALGGHMRRHRSMPMATSTCGNSGGCQDSKKPKTLLSLDLNLPAPIEDDHRETKFPFRSKDQMIVFSNSSLVHCHV >cds-PLY80553.1 pep primary_assembly:Lsat_Salinas_v7:6:13746486:13747795:-1 gene:gene-LSAT_6X11901 transcript:rna-gnl|WGS:NBSK|LSAT_6X11901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASKIPSGVVSAFALIFAIAFPAAVHGQPLAPAPAPTSDGTSIDQGIAYLLMLVALVLTYVMHNLDSPFTVIS >cds-PLY84402.1 pep primary_assembly:Lsat_Salinas_v7:8:79247323:79248212:1 gene:gene-LSAT_8X56900 transcript:rna-gnl|WGS:NBSK|LSAT_8X56900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLVLVLMVMVWGGARAQSSTCTNTLMGLASCLNYVTGNSSTPSPSCCSQLSTVVQSQPRCLCSLLNGNGPNIGVTINQTLAISLPGACQVQTPPLNLCNAVANGPASGPASGPTSSTVSPTSSQSEPSGETPETEAPTATSTPSVPSASGDGSGSKSTPSTNNNASNGNKFGAPSYLLLLVLLFGMKY >cds-PLY93873.1 pep primary_assembly:Lsat_Salinas_v7:5:331915131:331917935:-1 gene:gene-LSAT_5X185321 transcript:rna-gnl|WGS:NBSK|LSAT_5X185321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g63370, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63370) UniProtKB/Swiss-Prot;Acc:Q9M1V3] MPVNFGLIATPLTTVKAPILGKISDNNILSVSKFSRNSINRYPPRTLKEAFISLANNLIDHDTGCNNCFDTAYSLILERCSTQESLSQIKQVHAHVLKSCYVVDTTFLSTKLVLAYGKCGSSLDAHKVFDKMTERSIFTWNAMIGSHMTNGEHIEAIELFLNMNALGVHADASTFTSILKACGELEDRCYGVQVHGMATKLGFLCNLYVSNSLLGMYAKCNDSNSAMLLFEKMSGKADVVSWNSIISSYSASGKTVEALRLFREMQITGVVPNTYTFVAVLQACEDSSYLVFGKELHAFLFKSDLYLDMFVANALVVLYTKSGKMKEAERVFNAMDKKDSITWNSLLSGYVQNGLYDEAMATFHRMQVIGQNPDPYSIISMISTVGHLGNLLNALEVHAYAMKNRMDSDLHVCNTLIDMYAKCCKMNYAESLFQRIRFKDNISWKTIISGYAQNGYHSKALTLFRESQMKGIESDSMLIASILQGCIELMCDSVVKEIHGHMIRKGLYDIALENTLVNLYGKCGCIDYASRVFELIKVKNLVSWTIMVNNLAQNGLADEAVDVFVSMKETGIEPDSIALLSLLSAVSELSTLKKGKEIHGYLIRKGFILQGPISSSLVDMYSACGALEDSFKVFDSIPTKDLVMWTSMINAYGMHGMGMESVRLFHQMVAEKVYPDHVSFLAILYACSHSSLVDEGKAFFKSMVDEYALNPWQEHYTCMVDLFGRANNLKEAFKFIENMEMKPNVAIWRSLIGACKVHNNIELGNFASKKLLELDSDSVKNHVLVSNFYAFCGQWDDVGDIRMKMRQKGFKKDPGCSWIEIWNKIHVFTVRDKSHPESDEIYDKLAQINDILKREEGYLGEIFNGHSERLAIAYGLLKTSKGMPIRVTKNLRVCDDCHVFSKLVSKYFEREIIVRDANRFHHFGSGICSCGDFW >cds-PLY87612.1 pep primary_assembly:Lsat_Salinas_v7:8:112236198:112237705:1 gene:gene-LSAT_8X78500 transcript:rna-gnl|WGS:NBSK|LSAT_8X78500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEILGVPKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFQSFFGGGNPFGGGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVICSKCKGKGSKSGASMKCAGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCTQCKGEKVVQEKKVLEVHVEKGMQNSQKITFPGEADEAVSFFHLIMI >cds-PLY82727.1 pep primary_assembly:Lsat_Salinas_v7:2:143911874:143915053:-1 gene:gene-LSAT_2X72581 transcript:rna-gnl|WGS:NBSK|LSAT_2X72581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPVYKLRLSCLAATLVVVVVLINMAEQVTSQPTDINSTIMGYYCSQYKGMNGNYFLSNLNATISSLRQQISVTKFAAARTLFNGESVWGLAWCRGYLSIPDCLVCFDYAVNALKACGLGNGAHVFYSDCDVRYENNDFYNEANHRAGVVRCDGTPSPQPTEFRKAAEKLLLDLQIAAPRTSDYYALSTRKEDGGNATVYAIAQCNLKVSQSVCLECLQLRSKSLYDCLPTTSAGRAMDNGCFMSYSRTPFFAQNQTTDISSFLGDDGDSNKKRYIIGGVVGGVCFLLLILAVFLWCSKSKKKNRGQRDMSTGSTDFLQGPSTYSYNDLKEATDNFSDENKLGGGLFGEVYKGTLKGGDAVAIKKTFMASSSGMTNFNNELKIISNVHHKHLVRLLGYCTKGPHLFLIHEYMENGSLDQYLYGDKRRILNWKQRFDIIFGTARGLAYLHEQYHVTIIHRDIKTSNILLDNEFQPKIADFGLIRLLPEDKTHVSTKFAGSLNSGYVAPEYAIHGRLSEKVDTYSFGIVVLEIISGKRYMDVIDDQSVTQNLLDHAWNLFESGTQMNLLDNKLDPSEYEMEDAMKIIEIALLCTQPTVAARPAMSEVVTLLSEKTLEERERPPVRPTITEDEVKIQLVTTESSASDATASTVHLSGR >cds-PLY89133.1 pep primary_assembly:Lsat_Salinas_v7:3:22050838:22052968:-1 gene:gene-LSAT_3X16321 transcript:rna-gnl|WGS:NBSK|LSAT_3X16321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYKNKARTALFTIMAFASFTVVLLLLSFSYYCYLRNKVSNFLKSPKEGIDSVEKGNGDAKNQVITENGIQVFTFKQLLSATGGFGKSNVIGQGGFGLVYRGVLHDGRKIAVKLMDQTGKQGEEEFRVEVEMRSRLRSPYLVGLIGYCSESHHRVLVYDFMANGGLQEHLYPIRGSNAIPSNLDWETRLRIGLEAAKGLEYLHEHVSPPVIHRDFKSSNILLDKSFHAKVSDFGLAKLGSYRAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRSPGEAVLVSWALPRLTDREKVIEIMDPSLEGQYSMKEIVQVAAIAAMCVQSEADYRPLMADVVQSLVPLVKQHQRMYSSKVSSATQSPRL >cds-PLY85512.1 pep primary_assembly:Lsat_Salinas_v7:2:196870443:196872386:-1 gene:gene-LSAT_2X118061 transcript:rna-gnl|WGS:NBSK|LSAT_2X118061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVSLLMLMVVLLVDTGCATNTIEYLCPNCGTTPVPYPLSTSWTCGQPSYRLRCEGGVLKFDTLIDNYTYPIISISPENQRLVIQQPSTILPNTCVSVTHGIRLNTSLPFTITSSNTPFFFNCSNTTALPAATALDCTTTSPCRAYQNGSAKMSACGQTAPTCCSLKNSTQTGFDFVSLAMEHCGAYTNFVNLNLSLPISRWPDSAVELMWALPSERPCQTQTCCDSTYNSVCRDGGDGSLRCYCKPKFRWDGLAGRCVSDLISVRSKQIKLVAATTCSSVILLLLVVFATVMIIRYNNRSKAEQRLARDREEIVSSGDSGKLSKVFTSKEIKRATNNYSSKGLLGVGGFGEVYKGVLDDGTEVAVKCAKLGNTKSVDQVLNEVRILCQVNHKNLVHLLGCCIGKKEPLLVYEYIPNGSLHDHLHDKNKRPLTWPQRLGIARDTAEGLSYLHFSASRPIYHRDVKSSNILLDENMKAKVADFGLSRLAHTDLTHVTTCAQGTLGYLDPDYYWNYQLTDKSDVYSFGVLLLEILTCQKAIDFGRATDDVNLVAYVKRMVNEERMVDVIDSMLKNPATSLEIDSMKALGFLAMSCLEERRENRPSMKEVSEEIEYIMGVVAVMV >cds-PLY71821.1 pep primary_assembly:Lsat_Salinas_v7:3:60424119:60425682:-1 gene:gene-LSAT_3X47140 transcript:rna-gnl|WGS:NBSK|LSAT_3X47140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQNDLAHEKKVINTLVDSTRKVKVLKEQFKNATIELGKMNEELLLVKGQNFEINQSLVKIVENKDAPYADCTSQLIDAKVKPVLTKINEILDVTCSYDTAQQRKNEEVNEDEVPEVNLKNPYDSVKMPKTDNANKIVLEKKYLKDRLKNLKELKTDRKGNISSGSEKGKVNIVSEEDDDLNPKIFEKKLKFDIAIVLKRKPILKPFPPPENVNEFKLGFIEKYLWGVAYKIKENETVKNCMFLRDKHLYSTVTLNQILARAGANKSNSEGDLKCVYDMIKWWIVVRITLLKIIPKFFEVVEKEREKTTTAT >cds-PLY73627.1 pep primary_assembly:Lsat_Salinas_v7:5:202784392:202785996:1 gene:gene-LSAT_5X94301 transcript:rna-gnl|WGS:NBSK|LSAT_5X94301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDPKTLTLDPPPPQPPPAFSLFPTLNPPQKTLTFHKISTLLITFVAYAAFHASRKPPSIVKSVLGPDPNSPQTGWPPFNSSRGPHRLGELDLAFLSAYAIGMYFSGHVGDRIDLRVFLTVGMIGSGVFTVLFGLGFWLDVHSLSYFIMIQICCGGFQSIGWPCVVAVMGNWFGKSKRGLIMGVWNSHTSVGNIIGSIIASSVLGFGWGWAFLLPGILIVIISIIVFLFLVVNPETIGLKLPSEEEEAETEISTEGMALVNLQKVDSEEDDDDDDESTSNGVVSSSPAIGFLEAWKLPGVAPFAFCLFFSKLVAYTFLYWLPFYIRNTAVAGEQLSHKTAGILSTIFDIGGVLGGILAGFISDQIEARAVTSIMFLTLSIPSLIFYRLYGSISMFNNISLMFLSGLLVNGPYALITTAVAADLGTQTSINGNSRALATVSAIIDGTGSIGAALGPLLAGYISTRGWNSVFFMLILSLCIAGLLLIRVVRSEIKGKVNEGKWLWFSAIAC >cds-PLY86087.1 pep primary_assembly:Lsat_Salinas_v7:7:140090901:140095980:1 gene:gene-LSAT_7X84100 transcript:rna-gnl|WGS:NBSK|LSAT_7X84100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTVDDRKTYSPTDIAGDDDDVSPIEEVRLTVTNTDDPTLPVWTFRMWFLGLISCALLSFFNQFFSFRTEPLVITQITVQVATLPIGHFMAAVLPETKFRLPGFGAKSFSFNPGPFNMKEHVLISIFANAGSAFGNGSPYAVGIVTIIKAFYHRNISFLAGWLLIITTQVLGYGWAGLLRKYVVEPAHMWWPGTLVQVSLFRALHEKDDQRMTRAKFFLIALICSFSWYLIPGYFFSTLSSISWVCWAFSDSVTAQQLGSGMRGLGVGAISLDWSVAASFLFSPLISPFFAIVNVLLGYALIIYVVMPLSYWGVDLYGARRFPIFSSHLFTSEGQKYNISAIVNDKFEIDMAKYEEQGRIHMSTFFALTYGFGFASIASTLTHVAFFYGREIYDRFRASYKEKEDIHTRLMKRYKDIPNWWFHLLLLVTLVVSLLLCTVLNDQVQMPWWGLIFASVIAFIFTLPISIITATTNQTPGLNIITEYIMGVILPGRPIANVCFKVYGYMSMTQAISFLSDFKLGHYMKIPPRSMFLVQFIGTIFAGTINLVVAVWLLDSIENICQDELLPLDSPWTCPGDRVFFDASVIWGLVGPKRIFGTLGNYSSMNWFFLGGALGPIIVWLFYKAFPKQTWLPLVNLPVILGATGAMPPATPVNFNSWILIGIVFNYFVFRYRKKWWQRYNYILSAALDAGVAFMAVLLYFSVGLEDKGVSWWGTEGEHCELATCPTAKGISVHGCPVN >cds-PLY87052.1 pep primary_assembly:Lsat_Salinas_v7:5:266211829:266214241:1 gene:gene-LSAT_5X137641 transcript:rna-gnl|WGS:NBSK|LSAT_5X137641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRARLLVFPIKGRNWCFIRSIDRSTSESQSSQSPTTFKQLWKNVSSSSPKATAANVELCVDFVANKMNKAWSGLEKAPPGSFKNKIHGVGLSLLSRVKPSEIFLKSIPKQLTGVEVVFPSSLNARLVRRRLRHIAMRGSIVHKNYFYGSVTLLPVTSAFAVLPLPNVPFFWILFRTYSHWRALKGSEKLLQLVSDSAKTHHENESEEKSSDSSDPPLVMEASEELERLVGDGDDVSKCRLKDICKVFDLETSDVLKYQQQKNY >cds-PLY97648.1 pep primary_assembly:Lsat_Salinas_v7:5:239228889:239232154:1 gene:gene-LSAT_5X117341 transcript:rna-gnl|WGS:NBSK|LSAT_5X117341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELNLEEGKHIYDECSTLILPALSIGNVGQLAVDLLIASTKAERIGYLDDPNVLPCVGNDAYTPTPQGDLALPLEAYESPSNALTLIQQRSPVVKGMMVEFAKNLADFAVACGKKHIVILSSLDFGRWQTIDMSSGLQIYYLSNAKVDGADDNCEKLGFKRMKEYDPTQKLWKYLNDLAEGNATEEDISSLEDDLGEEDYYPSLPFAAMFSCFKAKGLKVTCLLCYCSEGDNISDAFHLAEAACNLVGQSPESFKGNEGGRWVIPFSWQSVYGPPPDMSLF >cds-PLY94668.1 pep primary_assembly:Lsat_Salinas_v7:1:41499380:41501088:1 gene:gene-LSAT_1X36980 transcript:rna-gnl|WGS:NBSK|LSAT_1X36980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANSPESSRHILSDFRAPPPSPVASGRRSSVANDDVLTEFLRSLHVPDLVLPDRAFPRQNPKIQNLPKLDFGAPNVFDFLDDHFAEVIKVIAQTGCFELVNHGISDRLLSSVINSGAGVFQLPPEKKSAVSRSAERLYGFVEFHGDDKELNEEFVWCRDDSLKTEMEEVWPIQYSNFSEKMENLMSEIEKISEILLKLFIGSATPKLSFDDFETKEKEIDGSICYIYKHHQNSDSVSNDDQYMESLRYDVIRMLIRGSEHSHTLCFHVCDGSSEFHVYSKKGWVSFLPDKNALVITIGDQLQTWSEGKYKHVIGRPIFKGELENYISMAFLYSPPPPVPKDQKDNTITFGHQLFLVLILILLYNIFSYIST >cds-PLY72092.1 pep primary_assembly:Lsat_Salinas_v7:9:196692376:196694433:1 gene:gene-LSAT_9X121480 transcript:rna-gnl|WGS:NBSK|LSAT_9X121480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTGILKSPISLFKQFPTPITSTFHYLSLPTNPSLHQIKQLHARLIAAGYDGDSHFTGQLLAALAQSQSTPFQYSLSILNSTQNPSLFAVNNLIRCFAKSESPHQALSLYSFMRKNAHFQPNNYTFPFLFQACSKFMGIIEGTQIQAHVIKLGFFVNVYIRNALIHLYFTSHETKHAKDLFDESPACRDLVTWNVMMAGYAKAGQIDNVEKMFDEMPERDVISWSSLITGYVQNGYLEQALDSFKRMMDLQLSPNESILVMVLSACAQLGLIEKGRMIHSTIDSLNFPMTMHIQTGLIDMYAKCGYIDKARNLFNKMPIKDVSSWNVMICGLAIHGLAMEAIDLFEKFLTKGLTPVNVTFIGVLSACSKAGLVDKGCHYFKLMMEKYNIDPEMEHYGCMVDLLSRKGLVLEAIELVEKMSIPPDPVLWVTVLGACRTHGLIKLGEETGKKLIQLDPNHYGNYVQLSGIYAKSYKWEEVVRTRGMINGRNSKKIPGWSLIEAQGKIHQFVAGDREHDMSMEIYKMLDRINTRVLEAGYLPNVVQVLHDLEEEEKINVIKEHSERLAIAFGLLVIGSGKCIRIVKNLRVCGDCHEITKVISKVFEREIVVRDGSRFHHFKGGECSCQDYW >cds-PLY83848.1 pep primary_assembly:Lsat_Salinas_v7:3:51207606:51209283:-1 gene:gene-LSAT_3X40760 transcript:rna-gnl|WGS:NBSK|LSAT_3X40760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKSGLKKGPWTQEEDLKLTQYIQIHGPGNWRTLPKNSGLERCGKSCRLRWTNYLRPDIKRGRFSFEEEESIIQLHSVLGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLKNGIDPVTHRPRLDLLDLSSILSSASFNLSNLFKIQNLVNPQVLRLANLLASTSSNQENKELFLNNYISPVNQKPNEPIANVTSTPSNLPSNQLWHQEHHMQVNNGQYFSGNLVSLNSQSSQEKLMPPCITDNNSIALQNYSDQFTSQASESSSFQPSNTENNSFNIDSIFPTPISSPTPLDSSSVFINGSSTTEDEIESYCNNIFKFEIPESLELEDFM >cds-PLY70322.1 pep primary_assembly:Lsat_Salinas_v7:4:101057263:101060012:1 gene:gene-LSAT_4X64601 transcript:rna-gnl|WGS:NBSK|LSAT_4X64601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNSKDQGSKIANTDENPSSNTQSPVDSSLIPAATVENGNPNRPSAAPRTPFTNLSQVDADLALARTLQDQERAYMMLRMNGDGSDHESWETGSYVHDDDDEFDHHNSEYEGSEANEEEEDAFDVHAHLDLGSGEEDRGNHGVELDPASFPDDESYARALQDAEDQEMAARLLALTGIHEMVTEEDDDIDDHGGNSQGTWDDVDPDELSYEELLALGEVVGTESRGLSADSISSLRSVVYKIQNNQNGSSDTCVICRLDYEDGENLILLSCKHSYHSECITNWLQINKVCPVCSTEVSTSSGTGTTGC >cds-PLY86262.1 pep primary_assembly:Lsat_Salinas_v7:8:59439323:59440756:-1 gene:gene-LSAT_8X43001 transcript:rna-gnl|WGS:NBSK|LSAT_8X43001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALAYDPHFIGTGNVDTAAGALMTSPSPSPVSLSQDELKKIAGFKAVEFVESGMVVGLGTGSTAKHAVDRIGELLRQGILTGIVGIPTSKKTHEQALSLNIPLSDLETHPVIDLAIDGADEVDPDMNLVKGRGGSLLREKMIECVSKKFVVIVDESKLVDYIGGSGLAMPVEIVPFCWKFTAQMLQSLFKDADCVAKLRTLPESKDPYVTDNNNYIIDLYFKKSIGDLKAAGDAILRIPGVVEHGMFLDMATTLIVAGEHGVTVKKNKV >cds-PLY61923.1 pep primary_assembly:Lsat_Salinas_v7:4:221501182:221503186:1 gene:gene-LSAT_4X120200 transcript:rna-gnl|WGS:NBSK|LSAT_4X120200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMLFEKSDFHVVSWYSMEMEFAYTHLRDEAKEKEGLSMGKKLKHKKEAINMLRKKGHYVNQVHAIFKNYEFGYLTYSQATYQEWTFHQL >cds-PLY76427.1 pep primary_assembly:Lsat_Salinas_v7:8:154252128:154258604:1 gene:gene-LSAT_8X103320 transcript:rna-gnl|WGS:NBSK|LSAT_8X103320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKRLVKIWDVKHMFESSLIIFFIIGALTTTAVYYVNTDEQYTSTPASPATVAHSPSVYDSLEGCDLFSGKWVHDNDTYPLYKEQECPYIPGEFACGQYGRMDSKYQQWRWQPHDCSLPRFDAKQVLEKLRGKRIMFVGDSVNRNQWVSIVCMLQAVIPPGRKKMHKIQEVKQVQDVSLLTFKAFDYDVSIDFYWAPLLVESNADHPTKHKRTDRIVHIHSIEKHAKNWVNADVLVFNSYLWWGTPTLKILYDSLEDSTKYNVVTNHRAYRMVLRIWSKWVRTHINHARTQSYFMSMTATHHKGIDWGMQDNENCLNETEPVTKEHFWESGSDLKMMKTLELTLNNLKERGVDVKMMNITQLTQYRKDGHPSIHRLFYSTLKAKQLSNPSRYADCTHWCLPGVPDIWNELLLAYILRGLK >cds-PLY63214.1 pep primary_assembly:Lsat_Salinas_v7:6:87115281:87118277:1 gene:gene-LSAT_6X60060 transcript:rna-gnl|WGS:NBSK|LSAT_6X60060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGRDWNELPVHCLVEILKRVGIESLVQTVPLVCKSWYEATFYPQCWQKLIFTKSPCLRNSKCFDYKIVASLDHINDADDVLEAFLQFAIRRSHGLVSHIVFHPKSRLKQGQIAWIAQRCPSLKLLVLPSYLSYVINFEVSDSICKWKDLEALQVASLIGLKKTIANISKNCQNFKHLSVYVPRIDGDVALAIGSQLPKIKTLDLQFSKIERNDLVVILKGCQKLEHLDVRECKGIAYDDEILNLARSIRVFRHEGFYFGE >cds-PLY77677.1 pep primary_assembly:Lsat_Salinas_v7:9:20214224:20216119:-1 gene:gene-LSAT_9X18681 transcript:rna-gnl|WGS:NBSK|LSAT_9X18681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFLNKSLDNKSQGFDNIDVDASDDSDASDDIDASDDILDMELELLTSMNALTMDMMPEDEDLLYITLQFELAKAMSPCIIWIPNIHDLDVNESNYLSPGLLVNLLSRDYETRNILVIASTHIPQKVDPALIAPNKLNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMFHTNGFGSITMGSNARDLVALTNEALSISITQNKSIIDTNTIRSALHRQIWDLRSQVRSVQDHGILFYKIGRAVAQNVLLSNCPIDPISIYMKKKSCNEVDYYLYNWYFELGTSMKKLTILLYLLSCSAGSVTQDLWSLPGPDEKNGITPYGLVENDSGLVRGLLEVEGALVGSSRTCSQFDKDRVTLLLRPEPRNPLDMMKNGSCSILDQIFLYEKDESEFEEGDERQQIEEDLFNHIVWAPRIWRPWGFLFDCIERPNELGFPYWSRSFRGKRIVYDEEDELQENDSEFLQNGTVQYQTRDISSKEQGLFRISQFIWDPVGPLFFLFKAQPFVSVFSHRELFANEEMSKGLLTPQKNRPTSLYKRWFIKKTQEKHFELLINRQRWLRTNRSLSNGSFRSNTLSESYQYLSNLFLSNGTLLDQMTKALLRKRWLFPDEMQIGFMEQDKDFPFLSQKDMWP >cds-PLY75281.1 pep primary_assembly:Lsat_Salinas_v7:3:158190088:158190771:-1 gene:gene-LSAT_3X99281 transcript:rna-gnl|WGS:NBSK|LSAT_3X99281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGVALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPRGNAL >cds-PLY88331.1 pep primary_assembly:Lsat_Salinas_v7:2:9905068:9906351:1 gene:gene-LSAT_2X3921 transcript:rna-gnl|WGS:NBSK|LSAT_2X3921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEDVYEEPVLDIDNADKGNPLAVVEYIDEIFAHYRKQEVHYKFELMEETLYLTVNLIDRFLERQTVSRKKEKVMVNTLQFNLSVPTPYVFTKRFLKAAQSQKEFPPSLLAAAAVFIAECVQNVLGLENSSIKH >cds-PLY67576.1 pep primary_assembly:Lsat_Salinas_v7:3:55227651:55228646:-1 gene:gene-LSAT_3X43181 transcript:rna-gnl|WGS:NBSK|LSAT_3X43181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQDFLGDDFKSQGSHVAYNVAEFSTQASQGGYTVDYGNPATQGGFPGSYLNQNSQAGYSRFGTGNDFMSQDYMGMGGHGSQGLFTQVGFTDPSQDENHFGVAPTNSLQTQNMMNPLYSQPFGHYNSQPVNMQQPPPPPQGQGQGHYNG >cds-PLY61743.1 pep primary_assembly:Lsat_Salinas_v7:5:215549263:215551451:1 gene:gene-LSAT_5X99240 transcript:rna-gnl|WGS:NBSK|LSAT_5X99240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSSEVLIQTLINRGWCFREINQIKSLIETKFNGSSSTVRSVESELCNMDLRAIGGKSLPDISTLRKSTHLDGPKVLQVAFARDISKSTIAESSGGSNGKRLLKLKLIDGHSEIPAIEFTHIPSIPDNVVPGTKIRLENKVVMHSGILCLNAKAITVIGGIVPSLYEEWEMNQKYAGFTRSTLKFSHTDDTGGPPPFHKLQAGVSTHRINQQNRPPSDNLKETSKASGPTVIEKGIKGNENVKSGSLSDKSTQNQPSTEARPKEVAEVAPVQNQAAAQKLLQKMSNSTRNEGHSRGWKQRGRGKEEEEESAVLTLDEWERKRAAGSSSSRQQNPNFNQDEDLARQLQNQFDLEDIHEHRSVHVTKADDIRMSMFSFERDDDGGYGGGGRGEFRGRGRGRGRGRGRGWGRGRVG >cds-PLY75012.1 pep primary_assembly:Lsat_Salinas_v7:1:112031005:112031555:1 gene:gene-LSAT_1X90421 transcript:rna-gnl|WGS:NBSK|LSAT_1X90421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKAITVHKHGGTEVLKWEDIKVQVPKEGEIRLKQKAIGLNFLDVYMRQGLHNRAPPLPYIPGMEGAGIIIVVGPGVTSCKVGDVVVYAGLQVGSFAQERILRADQVVPVASSVDPVDAAAVIFKGLTAHVLLHKGFKVTQILIYHLCIFRSIDDRS >cds-PLY93996.1 pep primary_assembly:Lsat_Salinas_v7:2:71732936:71734195:-1 gene:gene-LSAT_2X31700 transcript:rna-gnl|WGS:NBSK|LSAT_2X31700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDNCNSRHFSWLMKSCFPDPRPNPSLHYSPPPATTFFHRPTTISSLPNDLLLEFLSRVAQSSIPSISLVCRRWSQLIDSSEFYDLRRRRGLLHFAVFVVSFSDSGLFAANYQLGHDTQWRTTSFLNNNYDDAVYPSSYSALSSHARLAAIGRWIYIIGKTTMLRCDAWTGAVIPRSKMSVLRKKFAVAVVAGKVYVAGGSTRTATVEEYDPKKNSWRVVCQAPRMRYGCIGASVDGVLYVIGGLKIGGTTGNDGTRTAAGTGAHVYASSMDLYDVEARRWLRSRAVPGGGCVVAACSSGDHIYILASHAVELSFWRFNGNRRVGFGEWCRLKSPPLPTQVRLDGTVRFSCVGVGEKVVLIQIMGCIDDLLRRSGRSTRGLKEALVLVYDSAAGEWSRGADLPDVVRRAACVCVEW >cds-PLY84375.1 pep primary_assembly:Lsat_Salinas_v7:8:78622997:78627055:1 gene:gene-LSAT_8X56280 transcript:rna-gnl|WGS:NBSK|LSAT_8X56280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGDSPEGTTISSRRSTVRVVVLGDHATGKSSLIAAAASDTFPENVLPVLPLTHLPADYFPDGIPVIIIDTPSSLEDKGKVEEELKLADAIVLTYACDQPETLTRLQNFWLPEIRRLKVKIPVIVVGCKLDLRDEHYPINLELVMGPIMQQFREIETCIECSAANLVQVTEVFYYAQKAVLHPTAPLFDQESQTLQPRCIRALKRIFLLCDHDMDSALNDDELNEFQVRCFNAPLQPSEIVDVKRVVQEKVPEGVNRLGLTLKGFLYLHALFIEKGRLETTWTVLREFGYNDDLELEKENLPVPSEKAPDQSVELTSEAVDFLKGIFRLFDSNKDGALQDSELDEMFSTAPERWGPWNEAPYKDSVERTELGDLTLSGFLSQWDLMTLIDPPQSLAYLIYLGYTGDPATAFCVTRKRSLDFKKQHTQRHVFQCFVFGPKNSGKSALLTSFVGSPFQSKYNLTSDQCYTVNTVDQLGGTKKTLILHEIQEDDVKELLSSKESLAACDVAVFLYDSSDEHSLKRASELLMDVAMKGEDSEYAVPCLLVAAKDDLHPYPTSLKDSEMICLTMKIDAPIRMSVKENDTNDAFLRIVNAAERCHLSVPETEHGRNKKHYQQLVNRSLVIASVGAAVAVVAFAAYRAYALRKSNSAS >cds-PLY63179.1 pep primary_assembly:Lsat_Salinas_v7:4:311487018:311487314:-1 gene:gene-LSAT_4X156541 transcript:rna-gnl|WGS:NBSK|LSAT_4X156541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFFTTHFHELKSLLSICANINAKSEKSSLYLTLLQLPKHSTTYPSLFQSLTEEMPSSVWDS >cds-PLY77476.1 pep primary_assembly:Lsat_Salinas_v7:4:48239706:48240874:1 gene:gene-LSAT_4X31040 transcript:rna-gnl|WGS:NBSK|LSAT_4X31040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQLTALIENKPFKSSKNYFQFPKNPPNETSDTLELDFSDTFGPLPLPAGNSEIPSDDPVVIYSRSHSLVGPTPCVSHLLNLRKLTICETDESLEDFSDVIDKEIEEEDSENGCKVKTIGLEDFEVMKVVGQGAFGKVYQVRKRDSLEIYAMKVVRKDKIVEKNHAEYMKAERDILTKIDHPFIVQLRYSFQTKYRLYLVLDFVNGGHLFFQLYHHGLFREDLARIYAAEIVSAVSHLHANGIMHRDLKPENILLDVDGHALLTDFGLAKEFDENARSNSLCGTVEYMSPEIILGKGHDKAADWWSVGIFLF >cds-PLY74525.1 pep primary_assembly:Lsat_Salinas_v7:7:34183047:34184256:1 gene:gene-LSAT_7X25341 transcript:rna-gnl|WGS:NBSK|LSAT_7X25341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCYTPQFPNTGVELPTPQTVLRPGGHDGFEEYYFEGADPQAAYRSPQTPHTPRLPHTPPTPHGSTSRGVMGGHDSNASDFQDSLFPLIRRKGKNKWRKNSKIAQQNHKVADANGSTARHTVGSIGFDEYRNNLEQMMGKPPTQFDVFMKTHGTAEAKKNILREIMKISNIAHKLPKKHN >cds-PLY73197.1 pep primary_assembly:Lsat_Salinas_v7:4:262714567:262714824:1 gene:gene-LSAT_4X136521 transcript:rna-gnl|WGS:NBSK|LSAT_4X136521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPNHRPSVVEAGNHQDNAAIVSVATDDDKPPPLLISLHMATSPLPSGGLSTPLTPSGLRMPPWNGGWVRGIAKLMPECSCNKVV >cds-PLY68028.1 pep primary_assembly:Lsat_Salinas_v7:5:293978201:293980177:1 gene:gene-LSAT_7X62380 transcript:rna-gnl|WGS:NBSK|LSAT_7X62380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSELVARTGRHQQRYEAGCRLIAGCIPFRFRYSEGSNGSKSEKILEVLMINSTSGPGLLFPKGGWENDETVEEAAAREALEEAGVRGELMHFLGHYHFKSKTLQDEFSPEGRCRAAMFALFVKEELDSWPEQSRRVRSWVTIPEAVECCRHSWMREALENAFKKWTADAMIILMEHH >cds-PLY91903.1 pep primary_assembly:Lsat_Salinas_v7:8:202679386:202681297:1 gene:gene-LSAT_8X129180 transcript:rna-gnl|WGS:NBSK|LSAT_8X129180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLIYHRHHNQSFSFKDPISVDNLDHSADSPIAWSSSSIKLRVAGVVEIESSTARLPPPKGSGLGRWWSDSIGDVFLIV >cds-PLY77723.1 pep primary_assembly:Lsat_Salinas_v7:9:19175017:19176789:1 gene:gene-LSAT_9X14220 transcript:rna-gnl|WGS:NBSK|LSAT_9X14220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEIDNIPPPPPPAANSLFGKYELGRLLGCGAFAKVYLARDIVTGQSLAVKVINKQKITHNSSLMSNIEREIDIMRRLRHPNIVKLYEVLATKTKIYFVMELVKGGELFAKVAKTRLSESQSRKYFQQLISAIGYCHSRGVYHRDLKPENLLIDENGNLKVSDFGLSAVTGQIRDDGMLHTLCGTPAYVAPEILTKRGYNGAKADVWSCGVILFVLTAGYLPFNDPNLMMMYKKIYRGEYHFAKWMTADLRRLLGRLLDTNPSTRITIDDITRHPWFRKGNKGIYECDDEEFLVRPEQNDKTMALNAFDLISFSSGLNLSPFFDNTYNSSHQGEKLIVAGRPEEVIEKVHETLREVDVRIKKRKDWGLELVWPNGKFVIGLEVFRLTERVVVVEVRSRGGGAELYDEFWKNRIRPELLERRREVQVADS >cds-PLY84295.1 pep primary_assembly:Lsat_Salinas_v7:6:5762891:5768399:1 gene:gene-LSAT_6X2481 transcript:rna-gnl|WGS:NBSK|LSAT_6X2481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLNQKNAPVLADPSPLNKSRLGMPSSMLPCSQSGAPFSSTMLTAPRKKPGKLDDVRSNGWLDAMQSSSPPRKKIVKDFGVEVASDDSDIVYNSWMLKYPSALNSFDHIINRAKDKEIVIFLDYDGTLSPIVDDPDRAFMSADMHSAVKGVAEYFPTAIISGRSRDKVYELVGLAELYYAGSHGMDIMFPVQETSSLHQNTYIRSTDKQGKEVNLFQPASEFIPMIDEVFKTLVEVTKDIKGAKVENHKFCTSVHYRNVDEKSWLTVAQCVHDVLKDYPRLRLTHGRKVLEVRPVIDWDKGRAVEFLLETLGLSNSDDVLPIYIGDDRTDEDAFKVLREGNRGYGILVSSAPKESKAFLSLRDTTEVSEFLKSLVKWKEQQ >cds-PLY92889.1 pep primary_assembly:Lsat_Salinas_v7:3:181530072:181530840:1 gene:gene-LSAT_3X108800 transcript:rna-gnl|WGS:NBSK|LSAT_3X108800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFVDGVSFNIVIDAAYKLGKMDDAMWFLEEMKNRKIKPLVFQAQAGFQRQGIQPNVGQDEGVQNEGVHDGLIH >cds-PLY82417.1 pep primary_assembly:Lsat_Salinas_v7:2:184694258:184696493:-1 gene:gene-LSAT_2X107981 transcript:rna-gnl|WGS:NBSK|LSAT_2X107981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLGARVLGKSIRDTRSTKDMVVLVSDGVSDYAKSLLQADGWIVNQISLLENPNQVRPTRFWGVYTKLKIFNMTNYDKVVYLDADTIIVKNIDILFKCGKFCANLKHSERLNSGVMVVEPSEKLFKDMISKVTTLFSYTGGDQGFLNAYYSGFPSARVFDPTISRPVAEMERLSTIYNADVGLYMIANKWMVNEKEISVIHYTLGPLKPWDWWTSWLLKPVDIWQDVREHLEESLPGTGGGRNPHDDLLVKFLFWFPVFILLFCYYRSFLLTRSLCDHARHIYYKFRSGGALAYSAVSSSTINSNQQFSNGAHSMVPGFLGGISVVICFMVAVVSLGFAISVVPRQVKPWTGLLLMYEWIFTIFFLLFGFYLHIIYKWGKMVANQTGNPRPGASDYDSEKGHQRVSCDVAPWYYGSVMAFLAVAAPLAPGIFGITALFLRFSLMGVGGLIFACFMTYASEHLAIKSFMRGLEDRDGSRAKNICYLCC >cds-PLY77212.1 pep primary_assembly:Lsat_Salinas_v7:5:8134211:8137942:1 gene:gene-LSAT_5X4920 transcript:rna-gnl|WGS:NBSK|LSAT_5X4920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFVGKIKSIDFFRKIPSDLTEASLSGAGISIMAAFSMMFLFGMELQNYLTMSTTTSVIVDQSSDSEFLLIGFNMSFPALSCEFASLDVSDVLGTNRLNVSKTIRKYSINKHLQTKGPEFDSTPVSTVIKHDDKVHQEYSEGSVTLNSNNFDKISRQHAILAVNFFAPWCYWSSRLTPSWEKAADIIRERHNPETDGRIILGKVDCTKETQLCRSHHIQGYPSIRIFRKGSDTRDPKRHHNHESYYGDRDTDSLVKAMENLIEPIELESKKHAKVTVEAKRPAPQAAGCRIEGFVRVKKVPGNIIISARSESHSFDASQMNMSHVISSFTFGKKVTPKMMSDLKRLRPYIENHDKLAGNSYINTGDKANVTIEHYLQVVKTEMMSSSHQLIEDYEYTAHSSSVHALTIPVAKFHFEPSPMQILITEIPKSFPHFITNLCAIIGGIFTQLINESSTMHAGSTN >cds-PLY76519.1 pep primary_assembly:Lsat_Salinas_v7:5:12550683:12551537:1 gene:gene-LSAT_5X6820 transcript:rna-gnl|WGS:NBSK|LSAT_5X6820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRNKSKKNASTAMNTDEVSIVSPQVTAMDTSETVAPSRTQIGRKKGVQMKRTKNVRKKKAIAKAVSQNEKSATKIEKNESKTLRTKSAKTLYD >cds-PLY96371.1 pep primary_assembly:Lsat_Salinas_v7:2:53749485:53752596:-1 gene:gene-LSAT_2X22981 transcript:rna-gnl|WGS:NBSK|LSAT_2X22981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPLMLNGNGGVSDKIIIDTDPGIDDSMALMMAFETPNLEIVGLTTTFGNVSTKDATRNALILCELAGHPGVPVAEGNPGPLKGGEPQINDFVHGLDGLGNINLPDPKSKKVELSASEFLVDKVSQYPGEISILALGPLTNLAMAIKRDSSFVTKVKKMVILGGSFFALGNINPAAEANIYGDPEAADIVFTSGGNIVVVGINITTQVKLTDHDLDELRRCGGKHAQFLSDICKFYRDWHVKSDGVYGIFLHDPVSFVALIRPDLFTYKKGVVRVETQGLCVGHTLMDQGLKKWNTENPWTSYSPISVVWTVNVDQVLSYIKKALMTP >cds-PLY80078.1 pep primary_assembly:Lsat_Salinas_v7:4:348173089:348176566:-1 gene:gene-LSAT_4X172361 transcript:rna-gnl|WGS:NBSK|LSAT_4X172361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKQLEELQRQKKLQELNDSRQQNVINQQSLLLNKQASGAQYAPLINGTPVRDPSQMFMFGNTNLVQGFQNGLPYSQSGHGFSRFSNFHGTTREQPPIGEQQMDTVTRSSSMSDQFNAAYQEQGDFGGNIVDKTTDVNLNPQDFTSLDPLEQKFLFNTDDNNFGNMFEDTDNSQAFPSLQSGSWSALMQSALDETSSTDTAVQEEWSGLSFQNPELSNDNNNNNINNNQPSNIMESGKHPTSWFQNKTSNQNSNSNFPGFQQFPSTNFQFRSDSSHGSNQQSQKEGGDSSGMSAIVDKSSGFPVQDSNAQTSRHMLELLHKVDKFKEYKHGQQQSSYTESTQRKIPKAETTDAFTPSNNSSVQQSFGLRLSPPTQRHPANYFNSSQVVNSHLKNQDGQQGSNSNPKSQPNVWIDIPCSRMETASEGQNSQTFDYGQGQEGKFHPGEESFSGRLEANGSGSLKQSHISPHGYSPLNPTYSGPGVKKERLDSDHPKFPQVTSMSALTSAYENYKSVLASSAIKEDHLVKSPFYPPLQDPSQLHKNSSFVQMNLANTSQYGTNSVGVNQDSIISKSKKRKFPIYELLPWHKEATQGSRRLHDTSIMEVEWGEAGNRVPEQMKEGQGGESETLKRRIILTTQLMQVLFRPTPFKLLLDDALECYEMVTYFAARLALADACSFANHPHHISDTSAGKKVVSKGSGDENLSKVVEDFIDRSKKIEDVLLRLENGGSVLEIRMESQDLERFSVINRFAKFHSRAHMAAAPADTTTTTGVPKLYPQRYVAASPMPRVVPEGHNCLSL >cds-PLY71315.1 pep primary_assembly:Lsat_Salinas_v7:4:210714906:210717027:-1 gene:gene-LSAT_4X117460 transcript:rna-gnl|WGS:NBSK|LSAT_4X117460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVEPTLAAQMSQIVDYIKSVKFTLDSSEDEAGKVLLSLLHRDIAASKSDNLEEIKAFKFAAFRLQITSPLALMIEKRSIRKLLTKSDSDPAKRKILNYLLYLARKYGKLIKPEESQIQSDTNKKTEDPQNDDLDVFDSHQPPVNFKNRKLSTLSSSSSVPSFNSSLGELNLQVDHVWFRSSDTNSVDCVSDFEIQEKSERFDCVQRSNDNGTNLFILVKLSVLPWQSRRKAVEDVKDQLKNDQNGSHGFMSTSYIKPVFKFLKEAHRLNDSGAKRNGAELLLMFLKECRNDMPPLPKDAIYDLFTFLDSDLEITEEALQILEILSSQDHYNSEIVASRILTFLQELIKTPKKSKHHNVALRVLCNLSKHNDLGNHLIYLGFIEDLVPFLDEILLSGLCIKIFWNLGAIDEAAAHFLENDRCIESIGELLEVGKEEEQEHALDILISLYYQREELHEILMQIDGIISSLAHISKNGSCQGKLIAVELLRLLNNVPDDNSLACSFSDTSQSTNGNLKQGIDSSQPTSGDLKPKKSCSELGLFRKLKAKFRKLVR >cds-PLY94829.1 pep primary_assembly:Lsat_Salinas_v7:2:179466263:179467458:1 gene:gene-LSAT_2X101400 transcript:rna-gnl|WGS:NBSK|LSAT_2X101400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNAIKTETRMAASLLRLHFHDCFVNGCDASILLDGSNGEKNAFPNINSARGYEVVDAIKTAVESSCSGVVSCADILAIAARDSVLLSGGPSWKVLLGRRDGLVANQSGANSKLPAPFESVGNITLKFQAVGLNLTDVVSLSGAHTIGLASCATFQNRLFNFSGSNGPDSTMLDGNMVSGLQNLCSPGDVTRTTQLDWNSTDLFDNNYFQNLVKGRGVLESDQFLYSSAQAVSTTRSLVEVYSKNPKLFSDDFANSMVKMGNIEPLTGSAGEIRKNCRVVNS >cds-PLY80412.1 pep primary_assembly:Lsat_Salinas_v7:8:172118541:172119960:-1 gene:gene-LSAT_8X112280 transcript:rna-gnl|WGS:NBSK|LSAT_8X112280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEERTTGVVSRFVGGLNISIRRFLFRVISCGPIPEHIAFIMDGNRRFAKKWKLEEGAGHKAGFLALMSLLKYCYEMGVKYVTVYAFSLDNFNRRPDEVQYVMDLMHEKIQGFLKELDMVNRYGIRVLFIGDLNRLSDPVRIAAEKAMEATAMNKKTYLLVCVAYTSSHEIRRSLYEACEEKSRRKVGEEEEEKEEVIKVVDLEKKMYMGVAPDPDILVRSSGETRLSNFLLWQTSNSLLYSPKALWPEMGLRHVVWAILKYQKNYFYLEKKKKEA >cds-PLY62849.1 pep primary_assembly:Lsat_Salinas_v7:4:31139715:31140347:-1 gene:gene-LSAT_4X21060 transcript:rna-gnl|WGS:NBSK|LSAT_4X21060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIIPQDSAKGRNSAATGYKKEAEDEYYLKKIQELVNQKMKLLAEQQVKEESKLKPPIEMKNSKPMVISKRRLEEFITNEMNGTDLKLVLKKILYESDLRKNQNRLSMPMNQLEKNIEFLNENEKQDLENGKEFEVGLLGPRLGLHNKSMMMKIWKLKSSSSYVLKTNWNEFVEENKKDLKPHSEIQVWSFRKDNQLYFALVYVDEKIEF >cds-PLY80346.1 pep primary_assembly:Lsat_Salinas_v7:7:154818248:154819715:1 gene:gene-LSAT_7X91860 transcript:rna-gnl|WGS:NBSK|LSAT_7X91860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHRLFLLLSCSLLAVASLVAGDDAFIRQVVDDRVQSLNTEEHLHHFGVFRRKFGKSYASQEEHDYRFTVFKTNLRRAKRHQKLDPSAVHGITQFSDMTPSEFRKHLGLRSRLRFPADASEAPILPTNDLPDDFDWRDHGAVTNVKNQGSCGSCWSFSTTGALEGANFLATGKLESLSEQQLVDCDHECDPEEKDSCDSGCDGGLMNSAFEYTLKAGGLMREEDYPYTGSDGGRCKFDKNKIVASVSNFSVVSLDEDQIAANLVKHGPLAVAINAVYMQTYIGGVSCPYVCSKRLDHGVLMVGYGASGYSPIRRKEKPYWIIKNSWGPNWGENGYYKICKGPNVCGVDSMVSTVVAVAQH >cds-PLY72569.1 pep primary_assembly:Lsat_Salinas_v7:3:199180278:199185215:1 gene:gene-LSAT_3X120741 transcript:rna-gnl|WGS:NBSK|LSAT_3X120741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVLSAFLTVVFEKLTSEALKKIAHSKGIDSELKKLKKTLDQIQDLLNDASQKEVTNEAVKRWLNGLQHLAYDIDDLLDDLATEAIERELTEKSGSTSSKVRKLIPSCCTSFTLSSRMHAKLDDIATKLRELVEAKNNLGLSVIANEKPKVERYEASLIDPSGIVGREYEKNKLLKKLLGDRDEFSSQNFTIVPIVGMGGVGKTTLARMLYDEKEVKDHFKLRAWVCVSDEFNILNISKVIYQSVTGEKKEFEDLNLLQEALKEKLWNQLFLIVLDDVWSESYRDWEKLVGPFFSGSPGSMIIMTTRKEQLLRKLGFPHQDPLQGLSHDDALSLFAQHALGVDNFDTHPTLRPHGELFVKKCDGLPLALITLGRLLRKKTDEEEWKELLDSEIWKLGKRDEIVPALRLSYNDLSATLKLLFAYCSLFPKDYEFDKEELILLWIAEGFLHQPTTNKSKQWLGLEYFEELLSRSFFQQAPDDKSVFVMHDLMNDLATFVAGDFFSRLDIEMKKEFRMEALEKHRHMSFVCEDYMVYKRFKPLKGAKNLRTFLALSVGVVESWAIFYLSNKVLSDLLQELPLLRVLSLSDLSITEVPEVVGSMKHLRYLNLSRTKIIHLPENVCNLYNLQTLIVSGCHKLIKLPESFSKLKNLQHFDMRGSFRLKKMPLGIGELKSLQTLFSDIGLAITELTKLQSLHGKVCIVGLGSVQNAMDARAANLSRMRFSELELNWGSEFNSLRTETHEKEVLNELKPHNNTLKKLEIVSYRGIEFPNWVGDPSFLRLTKVSIDDCEECTCLPRLGQLPSLRKLIIGWMSKVKVVGLEFLGTGLAFPSLEILRFDSMSGWEE >cds-PLY79235.1 pep primary_assembly:Lsat_Salinas_v7:9:182304356:182306594:-1 gene:gene-LSAT_9X112301 transcript:rna-gnl|WGS:NBSK|LSAT_9X112301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALVTTAEVCDANPQLIVSGELRALQPVLQIYGRRQVFSGPVVTLKVFEDNVLVREFLEEKGNGRVLVVDGGGSLRCAILGGNPVVQAQNNGWAGIVVNGCVRDVDEINSCDIGVRALASHPVKANKKGIGEKHVAVMIGGTRISDGEYLYADTDGILISKTELSV >cds-PLY90467.1 pep primary_assembly:Lsat_Salinas_v7:9:93545245:93547838:1 gene:gene-LSAT_9X71120 transcript:rna-gnl|WGS:NBSK|LSAT_9X71120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Replication factor C subunit RFC4 [Source: Projected from Oryza sativa (Os12g0176500)] MAPLLQSSQPWVEKYRPRRVKDVAHQDEVVRVLTNTLETTNCPHMLFYGPPGTGKTTTALAIAHQLYGPELYKSRVLELNASDERGINVVRTKIKNFAAVAVGSGHQGGYGYPCPPFKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEEIMSTRVLHICNEEGLNLDSEALSTLSSISQGDLRRAITFLQGAARLFGSSISSKDLISVSGVVPQEVVGDLLASCKSGSFDSANKQVNNVIAEGYPVSQILSQLFDLVVESDDVSDEQKARICRKLGESDKCLVDGADEYLQLLDACSCMIKAFCNMPEGYSN >cds-PLY70549.1 pep primary_assembly:Lsat_Salinas_v7:1:75715628:75716547:1 gene:gene-LSAT_1X62320 transcript:rna-gnl|WGS:NBSK|LSAT_1X62320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLVVEGFILVLCSYELKGVEEEEIARLAEDGDIYNRLSRSLAPEIFGHEDIKKALLLLLVGAPHRKLKDGMKIRGDLHICLMGDPGVAKSQLLKHIITVAPRGVYTTGKGSSGVGLTAAGQKDLVTNEFVLEGEALVLADMGICAIDEFDKMDESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDLRRTPAENINLPPALLSRFDLL >cds-PLY69663.1 pep primary_assembly:Lsat_Salinas_v7:5:211565612:211568296:-1 gene:gene-LSAT_5X97060 transcript:rna-gnl|WGS:NBSK|LSAT_5X97060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-cytochrome b5 reductase-like protein [Source:Projected from Arabidopsis thaliana (AT5G20080) UniProtKB/Swiss-Prot;Acc:P83291] MSTFFRRLSKATPIAFSHAFRGQSQSNSTHFRFPVGAIAAVSGGISYFYYFSEPNLAHLDQINEETGPKTALHPDKWIKFKLQEKAKVSHNTQLYRFSFDPNLHLGLDVASCLITRQAPLGEDAEGKTKYVVRPYTPISDPDSKGYFDLMIKIYPEGKMSQYFSKLNPGDVVEVKGPIEKIRYTPNMKKHIGMIAGGSGITPMLQVIEAILKNPDDNTKVSLIYANVSPDDILLKKKLDMLASSHPNLKVFYTVDNPSKYWVGGTGYISKDMASKGLPSPSDDTLILVCGPPGMMEHVSGGKAKDWSQGEVSGVLKELGYTEGMVYKF >cds-PLY71962.1 pep primary_assembly:Lsat_Salinas_v7:3:26683406:26684680:-1 gene:gene-LSAT_3X19600 transcript:rna-gnl|WGS:NBSK|LSAT_3X19600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHQVKSVKVSNLSLGASERDVREFFSFSGDIVYVETKSDTERSQIAYVTFTDSQGAETAVLLSGATIVDTTVTVTLDPEYQLPPAATAASPPYGSNAPVRTDSALRKAEDVVTSMFAKGFVLGKDAVGKAKTFDEKHGLTSTASAKVASLDKKIGFTEKVNVGTSIVSDKVKEVDQKLQVSEKAKSAFTAAENTVSNAGSAIMKNRYVFTSASWVTGAFNKVAKAAGEVGQQTKEKVEKAEDEKRKKMVDDYAEVHLSESPTAPDVSEQQHHPSKPAPVTGLVL >cds-PLY72946.1 pep primary_assembly:Lsat_Salinas_v7:5:9647837:9651101:1 gene:gene-LSAT_5X5261 transcript:rna-gnl|WGS:NBSK|LSAT_5X5261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAFERLLSQARRHCFSSAMIRGWSSTKFSSPGLRRSLCSSSSSLEPDTNPSPNENNRNRPQPFSVQPVSYPIKPKDPSVLQEPTSHERSDATTHNPQPQGNVESRGWSREDVRFVKDLNSLPSVSYPKRVAPLPEDKMQDDAGEVETRNKEMEAERMKIESDNRGVMRRVFRVEEQKVPFPSLIKVDQSQITKGQKVVHDLKEAVQLVKANAKHNFDETLEAHVKLAVDLRRTDLKLTGSLSLPHGSGKALRVAVFAEGAAADEARAAGADLVGGEDLIEGIKNGNINIKEIDKCIAVPQLMPRIGKEISKKLNRLTPNARDGSVTTDVSRAVKEAKKNINFKKDKSAIVHVGLGKVSFSEEALCENVGAFVNALLVAKPAGLKKSSKYAGYVTTFHICSTMGPSFPVSIQSLSMAADRYTKLQLR >cds-PLY75652.1 pep primary_assembly:Lsat_Salinas_v7:1:100098301:100108303:-1 gene:gene-LSAT_1X81601 transcript:rna-gnl|WGS:NBSK|LSAT_1X81601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGILKDNGVVYEPINEVDLSPNSDQLYLHSDVKAPRMAGFLAKVFVWFLEMKIIGKILLYFLKKNNRIQKLVSYAVLEESPLYVPLHPYEEFEEQEEEIECLNRGLSASEQVQHAVDCLQSSDSSILEDSNFSFRRWTILDYSRAYTSRQITPLMVAERLISAIMQSSGPEMDMSFFISYDTHDILRQATESTLRYQRGEAISVLDGVPIAVKDEIDCMPYPTTGGSKWLHKVRPCKEDACCVQRLRACGAILVGKTNMHELGAGTSGINPHYGTSRNPYDPKRISGGSSSGSAAVVCAGLCPVALGVDGGGSVRMPAALCGVIGLKPSFGRIPHTGVLPLNWTVGMVGILAGTVEDSLIVYAAISGPAESHKPKIPRLNLPKLNLSNMSSIRMAKSNEWFNDCSDDIRACCSRALANLHKLYGWETIEVTLPDIEVMRLAHYVTIGSECTASIAHHLEKMGKSESGWDVRVALSIYASFNSRDYLNAQRIRNRQMRFHEKIFSMADVIVSPMVGVTAYEIKDDVRDTGELDYVNGAALVRYSIAGNFLGLPAVTVPVGYDRLGLPIGIQFIGKPWSEAKLIQIAYAMEALCKAEYKKPHVFYDILAPS >cds-PLY63237.1 pep primary_assembly:Lsat_Salinas_v7:8:270941110:270942347:1 gene:gene-LSAT_8X156720 transcript:rna-gnl|WGS:NBSK|LSAT_8X156720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDASLFSSDGDHHSRDTDEDVDQSIDLISSEFQRQFELESNEESSSQLLSDSEVHEDCENEGEEKQEEQEEDADSDGDFTFMFIGDDELPIYADGVLEDGQIRPVFPLFDQKLLLGGEYDLEEIDRLPINPPVDNVFIESPHRSPSSTASGHEENEDSATGPYCVWSKDSATGTTAELSKKSNSTGFSKLWRLREKVGRSNSDGRDAFVFLKSSDRTTTSTSTSTTATSSSSSVKAVTGDGSFVKVNAAGGKTRVVKQVTKAKKPTVSAHEAYLRSKGGHTDEERRRSYLPYRPELMGFFTNVHGGLSKNVHPY >cds-PLY90131.1 pep primary_assembly:Lsat_Salinas_v7:7:12848684:12849238:1 gene:gene-LSAT_7X11300 transcript:rna-gnl|WGS:NBSK|LSAT_7X11300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPDILTDFITPPNVGLPLDGNFFTFTGMRALVGAPFPTAFKVLKATMAEFPALNGQSVSYAVLQFPNGTVNPPHTHPRASELLFVLMGSLEVGFVDTTNKLFTQTLQQGDIFVFPKGLVHFQFNSDSKNPALALSAFGSASAGTVSVPNSVFNSTIDDQILAMSFKTDVATIQKIKSGFSG >cds-PLY93879.1 pep primary_assembly:Lsat_Salinas_v7:4:269875048:269875446:-1 gene:gene-LSAT_4X138921 transcript:rna-gnl|WGS:NBSK|LSAT_4X138921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFDACISDYLFLLVSVAVPLVVEAVTAVKVVVDDEIRIFDARYPCKLTIGGMRRSTSLLVEFLITKSVLVFRCRKSPFVKWSIGDTSSPSLSGGSNFDARVLRHSLSTIDKYNPKAFYFHRFSSTSSSLLQP >cds-PLY78934.1 pep primary_assembly:Lsat_Salinas_v7:8:3449034:3450488:1 gene:gene-LSAT_8X2001 transcript:rna-gnl|WGS:NBSK|LSAT_8X2001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELWYLDNLIMTPNFDGLPKLERFILKGSPHLKEIHSSFGGLDRLVCLCIVKCEGIKKFPSITRLQKIETLSFAGCPRLIEFLKIQQKMDNLGDEDMSSGGAWESPNLNHIVLCFLGRCLRDLCLGLCGFGDEDIGSAVRERLSFSCQYLRKLDLSGCNLGDEDMIFAVWELPNLQELDLSHNKFSRLDFILIRTPRLKILNMSDCIRLVELSELPSSIAILKANNCSSLETFGDISNCKWLWKVSFRGVKKLRDPPNGTLLDSMLKGNAFNDYRISVTLQHNIPKGIVGRLLRGNAFSLRHLPCNWYNEFCGILIHVVTFKVFPEIHIIIKQEVDEVSRFELQRESSEELESEYDGTKTYVGYVSFNSLRGIAFLNSTYNVILISLRQHGFPVGAADRIGAALVPKNDQVQTTKIETDCSEFWDEDHFRPTFTIKQDSKSYINILWRPNH >cds-PLY63796.1 pep primary_assembly:Lsat_Salinas_v7:6:24418323:24420763:-1 gene:gene-LSAT_6X19501 transcript:rna-gnl|WGS:NBSK|LSAT_6X19501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNLGRSRVREDRFYSPPAMRRYNQQQQKHVQQEEQKVQPQRQQEKQQQSESLMSSSSSSVVTTNLDRFMKHTTPIVTAQHFPKTSMKGWRNQDSNYHPYFILGDLWESLKEWSAYGVGVPLVLNESDSVVQYYVPFLSAIQLYVDPSSPLTTTRRPIGESDSDSSRATSSDGSYENNVAKNFNKLMIRSDNLEENEIRNPPGLLIFEYFERALPYHRAPLADKISDLASKFPELKSYRSCDLTQSSWVSVAWYPIYRIPVGPSLQNLDASFLTFHSLSTPLKSVEGDKSSMIEVHDVGISLPIFGLAVYKFKSSDWTQSGIHGAEKVDSLMHSTENWLRSLNAYHPDFVFFKNHDFWR >cds-PLY72441.1 pep primary_assembly:Lsat_Salinas_v7:2:141507296:141508258:-1 gene:gene-LSAT_2X69061 transcript:rna-gnl|WGS:NBSK|LSAT_2X69061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGLRATTFWSCFTVVSESEIFNIASVKTLINSVAIMEMLNIEQLDISCILWYQIMLHSLMLISRIDIKYVFINPQYITSKRYEYDDRGETNHVVNDLVDVMNFHQEKQFFLAPDWERAITWFKNTKTNISRLCPMTWNLPKCNQQLSD >cds-PLY65239.1 pep primary_assembly:Lsat_Salinas_v7:3:218749075:218752689:1 gene:gene-LSAT_3X127341 transcript:rna-gnl|WGS:NBSK|LSAT_3X127341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEITTTISELHYVCPGTAVQVRIVRMWTPQLRNQETWFLAVDKNILGQRKDQGFLQSVLLPSRCYRIEKCGCGIDDRYQKWVNNEIYMAVGITSSITPLPDTVVIPRHWFYIITKKQIPDYKDQHACLNIHTHKNNKLINCTKKDSEPYLLLILKNECGEDVAISLWKEFTSASSKFDRIALETATAPVIVAITSVKISTYAGTLRLGTSSATHIYMNPPIPKTKLLMDSYNALPESPIFLDPPIPLSEIIQKSHSDRSDKTIITKASIVDYIFSDSCYHVQCLKCKIITFKQGNNWFCPLDGILDSPSNRAIADILEATQSKLEESFTIDENIRLYITNHDDDVDVQTLRNMFENAKREDQSMQDNISFMLGSIHKRIGKYKRGIKDKTT >cds-PLY81823.1 pep primary_assembly:Lsat_Salinas_v7:3:34519210:34520354:-1 gene:gene-LSAT_3X25721 transcript:rna-gnl|WGS:NBSK|LSAT_3X25721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGVVVSIDEKPSEWRTWEGLHPDILASIFLRLAVEEISRCVPLVCKPWMEVASGPYCWIDIDIQAWCRRCNRSLRAVNRAVNKLIRRSNFTVERLFVYRMGSSGFLSVVNCPCLKVLEVPLSRFTDTLVLNHLKPLPNLRVLNINYCSKISAKGLAAFGNQCKSLLHLKRNLPPQRYWPVDNSEAKTIADTMPNLQRIELCFGSFSDWGLSEILTKCKSLTHLDIRGCWNVKLNGDLKKMCGKLECFQIHPSDFSEQDQLRLFYRYWKVNENETDLFVELQTYWNEIRIR >cds-PLY77251.1 pep primary_assembly:Lsat_Salinas_v7:3:57078039:57079443:-1 gene:gene-LSAT_3X44940 transcript:rna-gnl|WGS:NBSK|LSAT_3X44940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTMVSKNYNRIRNIYCTDFDFAFNIWKWTNKEVSSTVTYSITGCKVVPGGCLVFFPGYVVDKIVLAGPPLVKADTTGKEVSFEDLGGANVHN >cds-PLY87813.1 pep primary_assembly:Lsat_Salinas_v7:4:293148456:293149424:1 gene:gene-LSAT_4X148820 transcript:rna-gnl|WGS:NBSK|LSAT_4X148820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVFSLPYDGESPTSSAVDCTLSLGTPATRLAGDYERNTHHERRPSWSASFCWDILQNTTAVVPSQKANLGGGNGGASAAGDPLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRANAAATSGGDMAEIQHYQPVMNGNPWLHHPQSTHKLSSYYSPAAAAAKGNEFRFIDDVDDRDSPFLSWRLNVTDRPGLVHGFTR >cds-PLY92837.1 pep primary_assembly:Lsat_Salinas_v7:5:328609478:328610991:-1 gene:gene-LSAT_5X182240 transcript:rna-gnl|WGS:NBSK|LSAT_5X182240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVLTSNWSNIQSLPESYVFPLERRPGTNNIPASKDIPIINLENIYGPERPEIVQHIIKASQDFGLFQVINHGVSRDLMDQTMRIFQDFFASPAEFKSRFYSNDLNSSCRLYTSTLNYKNETVHYWRDNLTHRCHPLEDHIIHWPENPSGYRNIIGKYSIEARKFLLTMLELICEGMGLETGYFGGELSKTQLISVNHHIPCPNPSLTLGMPEHCDPNLISMLQQGNISGLQALKDGRWIGIEPLPNAFVVIPGLQLRVISNGKLSSAVHRVVTNSKESRTTIGTFVAPSSDILIRPADSVLKESSLTPLYRGYTYKEFFSMFTGNNCEAERALECFKL >cds-PLY88009.1 pep primary_assembly:Lsat_Salinas_v7:MU040244.1:1835186:1835587:-1 gene:gene-LSAT_0X1520 transcript:rna-gnl|WGS:NBSK|LSAT_0X1520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSQGTLETNKLLFPEWTMKRIQNDEMDLPTQYWFETTVSFDIQNSQDSQLDLPITPKAFKFRAFVKIMNVPITNSGVDHMLFSFYVKQMNPQYDTWTLSKITNVKVTRPIMTKRFSNAKFKVVRGSTSQR >cds-PLY81134.1 pep primary_assembly:Lsat_Salinas_v7:9:67852587:67855981:-1 gene:gene-LSAT_9X56800 transcript:rna-gnl|WGS:NBSK|LSAT_9X56800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDRLFECFKCGISPPQSATGGRKTKKKKLKQDKSNEDPSTSTNLTPEGSNGKKQLSATNIQNFYTPTIGKKFFNNGSQFSPIVFYGSPQGVPPKKPARLLRLLHEIRVELAAQHKSRDDVWATFPRQDEAMKYAKDYEGVHIFSYQNHASGQRRFLVSTYKEFWRRYKNMNSKFRHHYEVIQEGLPCHLYFDLEFNIKENTEKNGDEMVDLLILVTFEALFEKYSIEGDTNWVVELDSSTKDKFSRHLIIRLPGVAFKDNTHVGAFVTEICSRIHSSRGKDKRFEQLFISKDTNFADVPCHLFVDTAVYSRNRCFRLHLSSKAGKTSVLLPTERFKCKEMSEEDVFMVSLICNIDAECEKLLICKTDLDCVKVLHFDIEKNMEFYKPITTREFIPTSCTNGYLSGKSPFPRLDEFVEFIATIGDVQGKIRSWYWFSEYGLMVYSMLRNRFCERIGRQHKSNHVMYVVDLQKGGYYQKCYDPDCKGYRSPMRPVPPEVFPDNNYNYMEGEGQNGNKSPLDMDSCQKDEWWREAMMVADKVENMPRVLHLAKEDAMSAAQGEGEGWDEDDEWWMAVESTASQIELSYCGQA >cds-PLY75116.1 pep primary_assembly:Lsat_Salinas_v7:8:305271561:305272510:1 gene:gene-LSAT_8X165361 transcript:rna-gnl|WGS:NBSK|LSAT_8X165361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTIFSHISFFVILLIFVGNINSRSATARIPITSYSRDQVSCNMCDECDNPCQPAPSPPPPSPPLPSPPPPIQSPSTDNNCPPPPSPPSSGGNSPPATPSVPTFPYYPPPSPTSGGGYGYSTPPPPNPILPYFPFYYYNPPPPRQNSVSDRLKTNPVHILLPLSFLLFFFG >cds-PLY78808.1 pep primary_assembly:Lsat_Salinas_v7:9:172692209:172693928:1 gene:gene-LSAT_9X106641 transcript:rna-gnl|WGS:NBSK|LSAT_9X106641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDTYTIKGTNKVVRPGDCVLMRPSDSDKPPYVARVEKLEADHRNNVKVRVRWYYRPEESIGGRRQFHGVKELFLSDHYDMQSAHTIEGKCIVHSFKNYTKLDNVGTEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKLDQFLCSDCSSDDDAKRPSNPFPVSPSSDVKVKIHLLSPY >cds-PLY67126.1 pep primary_assembly:Lsat_Salinas_v7:5:291222015:291223777:-1 gene:gene-LSAT_5X154320 transcript:rna-gnl|WGS:NBSK|LSAT_5X154320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTRTMGSHGGGGGNGGTQHPKSDGLSKQGPLYNLTLDEVQQQLGDLGKPLSSMNLDELLKNVWSAEAESNQGPVHQHTSSMPDLSKKTVDELWQDIQKKKNTTHSSVSNDNNLERKTTREKQPTLGEMTLEDFLAKAGIVAESSHEKKKKNPVSVAGVESIPLPQQNINPQQAQWMQQYQIQPIQQQPQHVFMPGHHQVQQPLPMETAYSDTQMTMSPLMGTLSDTQIPGKKRVASGDVMEKTVERRQKRMIKNRESAARSRARKQAYTHELENKVSRLEEENERLRREQEAENVLPCVPPPEPKYQLRRTTSASF >cds-PLY93733.1 pep primary_assembly:Lsat_Salinas_v7:6:142956400:142957553:-1 gene:gene-LSAT_6X85261 transcript:rna-gnl|WGS:NBSK|LSAT_6X85261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHTRRDQFFFCKKTNDRFQILHKKNDDVGGGNNGGVGVVGGGCGDNGGRGGGGDGGGGGNIGGGGVNGDG >cds-PLY95362.1 pep primary_assembly:Lsat_Salinas_v7:3:172230372:172231877:1 gene:gene-LSAT_3X104781 transcript:rna-gnl|WGS:NBSK|LSAT_3X104781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKFQMGHNDWGKRRNMHRDPRVHDGRLDVKGNVLNSDISSFYITNFPESVQADDLWWVCGRLGNMVEAFISKKLSRMGKQFGFVRFSNVRSFDQMINCLCEVWFRTYKMFASSPRFKKKEDTNRLKKKIELKISQNPVYNSQVLSPLNSYASIVKGTHVANASKAHIEEIIDLSYGDFIVEKYNRACLVKARDFHTLPNLCMLCLDEGFEDFDMKYVGEKREWDRNFVPLEYIMWVDIEGLPLCAWSKDSFRRTLSKWGSIAQMEDDLGEDVRVEGKLFNIRIKEAPGWTPFVLYTLKDEPEDSEGGNFFDHNDNDNGVSSSNGNAEESLDPFGIYEKMERMKEEERRNNVAIGFNGWGKGKNHRNDNQDLEGQNGNKSVENVHYTQSATSVNEKVQILSPTQSFSEFQAGLVDSENPTTRFTNEAIHQTSQSSEIAPTPPVLNMSLQSSSE >cds-PLY83086.1 pep primary_assembly:Lsat_Salinas_v7:8:234788078:234791685:1 gene:gene-LSAT_8X142181 transcript:rna-gnl|WGS:NBSK|LSAT_8X142181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPTTALHHHYQDSLESSSVSSPTSRHWDETLPPPKLRMMCSFGGHIIPRPHDKSLCYMGGETRIVVADRHTSLADLCCRLSTTLLNGRQFSLKYQLPSEDLDSLVSVSTDEDLDNMVEEYDRLNASNPSTKPARLRLFLFLSKPETAASMGSLLDDAKSETWFVDALNGAGLLPRGLSDSATIDNLLEHKDGTTQEDRDNYTESEIQRRRLDVQLNLPDSPLVETTSSFGSSSSSPSMSNLPPIKVRVDQMNQMAGLDEQLSQLNVNVLPPPVPVNNVSGWAAVVSSAGGGTTVSDDERSDHGAPGGGMRKPPLPLQPVQRKFGHDAYNLPSPDSKHGGAYGLHSPDSIASDSSIASANCHSKSTFTQDPNPSLTRDTGTPPATTQQVYPTTTAATMSTMNYQIPIQQIPDYADTQPPPPPQQQLNQDQQQQFYQTRPHYIHNQPPPPGSSYYPVYAPPPQMDHQQYPLYFLPVSQNHQYGMQMQSNPPDAATNPTAIPSSAAVPPLYPTKTAVQMSTTPGMIQIPSNQFQQQYVNVSHHPPTPLPNSSGGKYGYEYAHPTQDQVYYSNHHSVAAPLPSQYQTMSPASAMLLTQVSPPQQPASESAKQ >cds-PLY70017.1 pep primary_assembly:Lsat_Salinas_v7:8:146426372:146428683:1 gene:gene-LSAT_8X99781 transcript:rna-gnl|WGS:NBSK|LSAT_8X99781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATQVKNTERPSLETKRTGQGIFPNHIPSDILVQVGEAKFPLHKLMLVAKSNHIRNLVVESEVPDLGRIDLSDIPGGAEIFEKVARFCYGVNFEITVNNVAALHCAAEYLQMTDDYCHGNLASRTYGFLAEVALKSFSGAINVLKSCENLLPVSQQLNIVNQCVEVASAKACDEANFPSRSPPNWWTEELSIVDITFFQKFIDSMKSRSAKAFTIADAITTYAKRSFPDLMREHSINGIKFPGSNHSSTSIINQRELVESIVALFPVENQQVVFSISFLCCLLRTAIFLETNDNCKKQIENRISVILDQVTVDDLVALSYTFDGERLCDMESVRRIVTGFVEKEKIVKVFNYGEFKTTPSPAMFRVAKTVDAYLAAIARTAELSISKFNGIANLMPKNARQVDDHLYRAIDRYLHAHPNLDEIEREKVCSSMDPLKLSIEARVHSSQNKRLPLQIVLHTLYYDQLEIRSGQSTPIAQSMRLQVQSDVLLAKENEKLRSELFKMKMYISDMQKNQVGATSSKPKKMKKPTLFLSVSKTLGKLNPFKNGSKDTSNIDDGINHKQLRKRRFSIS >cds-PLY82143.1 pep primary_assembly:Lsat_Salinas_v7:1:14935705:14937432:1 gene:gene-LSAT_1X12580 transcript:rna-gnl|WGS:NBSK|LSAT_1X12580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLYKHKLVVFGGFYDALREVRYFNDLHIFDIDTCKATWEALSRVVGSVPKEVLPSYIKFIRDAISTSRDKERKKKKGGPIVIPGLCLRNDLQPLFPVYLQGLISGSIELREQAAQGLGELIEVTSEKALKEFVIPISGPLIRIIGD >cds-PLY98573.1 pep primary_assembly:Lsat_Salinas_v7:1:36870542:36872469:1 gene:gene-LSAT_1X32181 transcript:rna-gnl|WGS:NBSK|LSAT_1X32181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDEEKQIKTEQHAHVKKQQPEWIPGYTLSQHPEEIRQALFMRKNPSVSIEKKVKMEDFEDYDVMDPIYRMGWGGVLEFESDICYKQPVIDWISTLQRHGDGASLALTGIVSGKQYTLTHDKIRQIFGVDTGIRGEPGRAYEYPDESYLEPSNLETQDWIDRLQELFFLPPDTIKYTSSGKADLKPQAKVLWGVGVWNIFPREAGYESKIRVRDISILYGLISGRVAISYAHLVMLNLWATYESAYRSSIPHGYLLSRFLHNEGAIDMDMDMEAHRINIELRTLTQQDIPNLVFSLEPPFHIKDWQNRTFVADFEPEERQIVEREKAERVGRRDGVQEQEQEILNLKKSDHLVENELPIKASSSESKPSTTCVRGYKVKNSLAPILEAVFMKHGDIAAECIFKKASVRAFFLGIVCEVVMQLQTNDDRTIISKMEEMETDVSEVEAANIHVSWLRSHLEARKTSSLMMETKAKMSSLKKAAKMEVREIRTEFMAAKERLKQAKRIVKVLDLVHKKLKTNIHEGHTPSLL >cds-PLY89409.1 pep primary_assembly:Lsat_Salinas_v7:4:121697435:121698460:-1 gene:gene-LSAT_4X75960 transcript:rna-gnl|WGS:NBSK|LSAT_4X75960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGMGDNMSAVASEVDYCMLERYSHEYHLSPILGFQALSSSLCVLDVLPGKIGFYLKHLEFVLALCHMSPFWKARCQLPVLSVCHTDRSKCSFQLTSYLVVDISPECIVVDGVVPDQELDPTISTHESPVTLLGSVPRCGPTIGSIGGSFRLINFNNFCMKFPR >cds-PLY96996.1 pep primary_assembly:Lsat_Salinas_v7:2:36522529:36526298:1 gene:gene-LSAT_2X17141 transcript:rna-gnl|WGS:NBSK|LSAT_2X17141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRFVHPQPPKVRPNLSPQPQPPPPVQPPEQQPPPSPQSQPPPSPQQPLPAPQSQQPPPPEQPPPPSPQPQPPPPPPPEQQPPSPELQPETETETQVPPSQSPPPEPQPQPQRRTQPAPSPPPPPHHKWPPGKAALPKGLVANTSDLQMQPLWGRRKKVNSSTSLFTAAVGIKQKETVDKMVTKFLSSNFSVMLFHYDGVVDAWNDLHWSDNVIHVSAINQTKCYVSIVKDEGLEISQPALDTKKSEVHHAITSRWKNSTLHRRVKTGGNKNGCDWTSQYPPCTGWIELMAPVFSRAAWRCMWYMIQNDLNHAWGLDLMFGYCAQGDRTKNVGVVDAEYIVHFGFPTIGESQSKLLENPCNSSVTNARIEVRKHSHNEYKVFKRRWQAAVKEDKEWVDPYPESWNYSI >cds-PLY89380.1 pep primary_assembly:Lsat_Salinas_v7:4:121535813:121537104:-1 gene:gene-LSAT_4X76000 transcript:rna-gnl|WGS:NBSK|LSAT_4X76000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSFLLTMVVALMAVAISEVCDASVYDIVNNDQPSQRQIPNAILPILRYQQYDSSKSSLSLQGSPNEDRNFRSEFDSAEMEETSFSSQEYSEHEEILDWAKDQGHGPEDQHMESSYQDVDTC >cds-PLY84299.1 pep primary_assembly:Lsat_Salinas_v7:6:6035101:6036759:1 gene:gene-LSAT_6X2361 transcript:rna-gnl|WGS:NBSK|LSAT_6X2361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid lumenal protein TL20.3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G12250) UniProtKB/Swiss-Prot;Acc:Q8H1Q1] MALTTLSSLPVKSLKSPLSHGSFNHLNSNPPSKSIICQLNPQNATCTKDNIDKVQPKRWKALVSTALAAAVITFSSDFSALADLNKFEADVRGEFGIGSAAQFGSADLKKTVHVNENFRRANFTSADMRESDFSGSTFNGAYMEKAVAYKANFTGADLSDTLMDRMVLNEANLTNAILVRTVLTRSDLGRAVIEGADFSDAVLDLPQKLALCKYASGTNPVTGVSTRTSLGCGNSRRNAYGSPSSPLLSAPPQKLLDRDGFCDDGTGLCDVK >cds-PLY79488.1 pep primary_assembly:Lsat_Salinas_v7:3:248927422:248932919:1 gene:gene-LSAT_3X137320 transcript:rna-gnl|WGS:NBSK|LSAT_3X137320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLPKPELGDKTFPPISKCEFSTVSHRSIAADLDGTLLKASSAFPYYMLVAIEAGSLLRGLVLLLSFPIIVIAYIFISEALAIKMLIFISFSGIKVRDIELASRAVLPRFYAADVRTDSFEVFDRCQRKVVVTANPTVMVEAFVKEYLGGEKVLGTEIEVNPKTKKATGFVKEPGVLVGKWKKLAILKEFGEESPDIGIGDRKSDHDFMSVCKEGYMVTKDKSAGILPPDRLKTRLIFHDGRLVQRPTPLNALITYIWLPFGFILSLIRVYFNLPLPEKIVRYTYGMLGIKLIIRGNPPPAPSPGTPGNLYVCNHRTALDPIIIAIALGRKPFCVTYSVSKLSRFLSPIPAIALTRDREADAARIKSLLQKGDLVVCPEGTTCREPFLLRFSALFAELSDRIVPVAMNLKQNMFNGTTVRGVKFWDPYFYFMNPRPTYEVTFLERLPEEMTVKGGGRSSIEVANHVQKVLGATLGFECTNLTRKDKYELLGGNDGKVESMYGKK >cds-PLY86443.1 pep primary_assembly:Lsat_Salinas_v7:8:4267346:4267837:1 gene:gene-LSAT_8X3220 transcript:rna-gnl|WGS:NBSK|LSAT_8X3220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGVRGEKGILKLVRPGGIVDQYNHPITAAQVMERYPRHCVTRPDIFEFPWIVVRPESVLAPGRVFFVVPHHTILRLLQSKGPLPPPES >cds-PLY68499.1 pep primary_assembly:Lsat_Salinas_v7:2:214530260:214537155:1 gene:gene-LSAT_2X136040 transcript:rna-gnl|WGS:NBSK|LSAT_2X136040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRERVVVIENGSNNGLGMVMSGGGSVVAARWLLLFPSFFLSRTRLGEGEGRMKSLLLRCECCSFSNGEYVKAGLAELDHWCFNATYEYSASAWDELKHIRQAIAFLVIHQKPKKTLDEISHDLCLVMTSMGHKASPQMDLEDMLVVLSVMLVTYLNVLLENFLLEALLGRLQKIGSLHTVVSDKEYGIITNCLAMNLGEQRNFPPPFRDINSDNSDTICLLANKVNMTSQVALMLEGMGSSAQILFTVSRDGFISTNLETLLIIHNYKICP >cds-PLY83004.1 pep primary_assembly:Lsat_Salinas_v7:1:20123577:20124579:1 gene:gene-LSAT_1X16860 transcript:rna-gnl|WGS:NBSK|LSAT_1X16860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATEEPIVATEEPIVATEVIDAAETVAAEDKPSGEAAEVQPKKALAKRKPRNPSLHPPYFEMIKDAIVTLKEKTGSSQQAITKFIEGKQKNLPANFKKSLLTQLKKFVAAGKLVKVKASYKLSAKAAPAKKAPATKPKAAAKTKPAVKKAPAKKKVAAKPKPVVKAKTIAKPKAAAKPKPASKAKTAAKPKPATKVAAKPAAKPKAVKAKTKTPTKSAKVAKTSKKSTPGKKSVAAPRKAATATRKAKK >cds-PLY96380.1 pep primary_assembly:Lsat_Salinas_v7:2:53302259:53302579:-1 gene:gene-LSAT_2X22821 transcript:rna-gnl|WGS:NBSK|LSAT_2X22821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRVHSSTILAETISWYCSLLLALMLVLSCCESLATEAEQMATRVSIKDSMYRPCDEIYVVREGETLHTISEKCGDPYIVEENPHIHDPDDVFPGLVIKITPFKKK >cds-PLY66536.1 pep primary_assembly:Lsat_Salinas_v7:4:341264050:341268090:-1 gene:gene-LSAT_4X168940 transcript:rna-gnl|WGS:NBSK|LSAT_4X168940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 5 [Source:Projected from Arabidopsis thaliana (AT5G66850) UniProtKB/Swiss-Prot;Acc:Q9C5H5] MNWWQTSSAPSSSRSHSSRKSFVNNNNEAPASPLAAHRRRVSNGTLQLNRLGVGWKLRNFTDDDNDVGQTSTVRAHPLPLPDFAVLLKRDSGMEGFSDQTSKYILPLPEAITRSGENRLISHSIRVDWQGSRSQAIGPPTTTTETPLATDLGVVNPVHPLPLPPGVVIHPPSSSPRCKPDSISRNLQTQNQWRKGKLIGRGTFGSVYVGSNRETGALCAMKEVELYPDDPKSAECIKQLEQEINVLSKLKHPNIVQYYGSEIVDDKFYIYLEYIHPGSINKYARDHCGGMTESIVRNFTRHIVSGLAYLHSTKTIHRDIKGANLLVDVNGVVKLADFGMAKHLNAQASHLSLKGSPYWMAPELLQYGTQKDNDPDLALAIDIWSLGCTIIEMINGKPPWSEYEGPAAMFKVIKETPPLPETMSPEGKDFLRCCFIRNPAERPKATTLLQHRFLSSN >cds-PLY79932.1 pep primary_assembly:Lsat_Salinas_v7:3:109408998:109409548:-1 gene:gene-LSAT_3X80100 transcript:rna-gnl|WGS:NBSK|LSAT_3X80100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPSMAPLQNALPNMEPPPSGIQQAWMPPQPSHPLAMPPYGSSIPSGPFMGQPPPNIPSQPQSRNLTEKNRHHSEFLKATNLE >cds-PLY78998.1 pep primary_assembly:Lsat_Salinas_v7:3:9592966:9597090:1 gene:gene-LSAT_3X7621 transcript:rna-gnl|WGS:NBSK|LSAT_3X7621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTLFLTTATISSASKFTIPSYINPNNSRNLPFPLRQQKKLVIFAAQDDNKLGNWGQIELKLGKLIGENPELALAKIKDRKSNPDHQEGKRPVAKHPNTCRHPNVILWKATTFEEDGLETNMPSNLSFKPNLSLKMGKEDDKERFSDMILVRNPEPLMKNDEVNSTNDIINESTYQTEKDVSIQQKCESNLDQAFGDFNQSRSDIDDTDNSSRNHGITFHTRHVAVAIDLDPSHEISGNVADDSVSKVSSDATLQRPPKRLDQSVKEMSNIGGKITRIKNQIPNAGTSENLPSIPFSKECEDMDWKRAEDMIKTTGRGVVELINCSTRGFIVSFGSLIGFLPYRNLATKWKFLAFESWLRRKGLDPSTYRKSLGIIGSYDDTSKTETPDQTIDSEKIEGEISQDMKLEDLLTIYDQEKLEYLSTFVGQKIKVNVILADRESRKLIFSVKPKEKEESIQRKRNLMVEGVAAMIHQTEVSWDATLNPSFKIGQVLKAKVHQLDLLLERIYLSLKEITPDPLTKSLEAILDHHADATLDCKLEADQPQPEEEIEYWDDLEFLIKELQQYQGIELVTKGRFFLSPGLTSTFQVYMASMFKDQYKLLARAGDKVQEVVVQTWLGTEEMKHAILMCTKRVE >cds-PLY78838.1 pep primary_assembly:Lsat_Salinas_v7:5:308639673:308641976:1 gene:gene-LSAT_5X166641 transcript:rna-gnl|WGS:NBSK|LSAT_5X166641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIKTAELFCASPASTAICTTMNQHAMVRRGSGGSSTPRPINHRHHSYYNNHYQFDFGDKPKFKTPAIPCTSQQHIDPKPYAAYLQKSRKSTSTTTTATAAATAKSGVGSRNGDESDRALVARRKSSADLNDRNSGRGSSSRYLLNNPIFVADLQDSDGVCNAGALVVSEPAWPDYHDHSPRINKSLSSGRSRGTDSPALIKSRSSSTSNRLDAPVESLGSKLSRSRSRDQVVELRVSIHCKGCEGKVRKHISRMEGVKSFHIDLESKKVTVVGDVTPLSVLSSISKVKSAQLWPSPSPSASSSPFIAIGY >cds-PLY69401.1 pep primary_assembly:Lsat_Salinas_v7:5:301690660:301694350:1 gene:gene-LSAT_5X161741 transcript:rna-gnl|WGS:NBSK|LSAT_5X161741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRLKPRANKPGVVGLRLEFDIPDMMNVEKLQKMAGAVRTGGKGSVRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDETVIQFLNPKVQASIAANTWVVSGSPQTKKLQDILPGILNQLGPDNLDNLRKLAEQFQKQTPGGGEGTAAATTQDDDDEVPELVAGETFEAAAEEEQKS >cds-PLY92014.1 pep primary_assembly:Lsat_Salinas_v7:8:240149984:240152992:-1 gene:gene-LSAT_8X145181 transcript:rna-gnl|WGS:NBSK|LSAT_8X145181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit delta [Source:Projected from Arabidopsis thaliana (AT1G48760) UniProtKB/Swiss-Prot;Acc:Q9C744] MSGGSGGGGGGPSLMDSLFQRTLDDLIKGIRLHTPGAAQSSFISKSVDEIRREIKSTDLQTKSTALQKLTYLHSLHGFDMSWAAFHAVELTSSPSFAHKKTGYLAASLSFRPSTEVLLLLTNQLRKDLSSTNQHEVSLALECLSVICTADFARDLTPDIFTLLTSSKNFIRKKSIACLLMIFSQYPDAVRVCFKRLVENLESTDNAQTLAATVGVFCELASKEPRTYLPLAPEFYRILVDSRNNWVLIKVLKIFAKLAKLEPRLAKKVVEPICDHMKRSEAKSLVFECVRTIVSSFYEYEFAVKLAVSKIKEFLLDTDLNLKYLGLQGLLLVAPNHLWAVLENKEVVIKSLSDVDRNIRLEALRLVMSMVSDDNVIEICRVLIGYALKSDPEFCNEILRSMLSTCSRNVYEIINDFDWYVSLLGEMARIPHCQNGEEIEYQLIDIGMRVKDVRPELVRVARDLLIDPALLGNPFIHRILSAAAWVSGEYVWFSKNPFEIMEALLQPRANLLSPSIRALYIHSAFKVLSFCLHSFLLPHKPATSSSKLVDEPDFTYSESEEETDVDLATTSSSLSKKNAFTQESIVNMLILVLTSLSPLAGSHDVEIQERVRNVLGLAQLAQEEISCDLDNKEKIIDGVALKTSILIRLINDALSEDLGPVSLSAQERVPIPDGLLLEDNLGKLDTICADVELPISESFSLVKPVLTDVDSVSIRQNEESSETSAAESTSLLSEHRKRHGIFYLPSDNNQNASTSFPPANDPNERDNDVEDLVRLTEESLVLKRKSNLSRPRPVVVKLDEGDRLPITRAKTESNDDLISGAVRDVLLGNENSPPPAAPHSKVEKSEIGDSSRRKSKHRKEKKHQNVEESKQKSGGRHGRHKARQRADEGINVAVQIQKPVIPDFLL >cds-PLY96097.1 pep primary_assembly:Lsat_Salinas_v7:3:101911940:101925330:-1 gene:gene-LSAT_3X75300 transcript:rna-gnl|WGS:NBSK|LSAT_3X75300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKSRGNKKSYGGSCSVTATMLVFVFVCVFGVWMLTSTTLVSPRKKSPIQKAASSLDSVFENAHRKTNLPRKIPNPAATVFEDNPGDLPSDAIKSDDDLMDQTRNASIETEHEREITKNVVDDVETVNENDVGKQEAKQVKKEKHKQETEDTQNTIPFKRNTEKTITAKNIENEADQQNGRIGHEESDMKNQENKQKDANEGDEKGNLKNDQERHITDEEHEKNSSEVSDDHARHDDDTQIESHSIATETKSQVRNQESQETSEQMEQDKIETNTINTKTPEKDTNVHETTASEITTDSKDSKHAWITQADQSTNHNGRSKEAEDDVNTSEFKWELCNVTAGTDYIPCLDNEKAIKKLHGRHHYEHRERHCPEEAPTCLVPLPEGYQTPISWPQSRDKIWFHNVPHKGLAEVKGHQNWMKVTGEFLTFPGGGTQFIHGAMHYIDFVQQMVPEIAWGKHTRVILDVGCGVASFGGYLFDKDVLTMSFAPKDEHEAQIQFALERGIPAISATMGTQRLPFPSNVFDLVHCARCRVPWHKEGGRLLLELNRVLRPGGYFVWSATPVYKKNEEEDVQIWKEMSSLTEAMCWELITIKKDALNGIGVAIYQKPESNECYNERKIQEPPMCNPDDDPNTAWYVALQTCMHKVPTNVKERGSQWPEEWPARVQTPPYWLEKNPMGVLEKSTPTDFETDYERWKWVVSKTYISGLQIDWSNVRNVMDMRAVYGGFAAALKDLKLWVLNVVNVDSPDTLPIIYERGLFGVYHDWCESFSTYPRTYDLLHADYLFSNLKKRCKITPVIAEVDRIVRPGGKLIIRDESTTIGEIKNLLKTLHWKVHLIPSKNQERILSAKKSTWRPKTYAAPS >cds-PLY96894.1 pep primary_assembly:Lsat_Salinas_v7:2:109466120:109467070:-1 gene:gene-LSAT_2X48200 transcript:rna-gnl|WGS:NBSK|LSAT_2X48200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDIIERFLVLPFSMGCIPKSSTQAVESTQFKEQTPESKQLVTRTREGKSSWGFVAIKGSNISRGIRRLIMSTFESFSRIISNKEGDEIEMEIELEIGFPTDVKHVTHIGYDGSMTTNPVKNWEKIETPEILSFPCISLKQFENAMAAQSETLVA >cds-PLY76130.1 pep primary_assembly:Lsat_Salinas_v7:9:31660831:31661418:1 gene:gene-LSAT_9X27561 transcript:rna-gnl|WGS:NBSK|LSAT_9X27561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFDGYPTHTHCLHLGGTCICVYHSFPIHQYLQDEHRFHVSYPYAWCLNRNQAAAIVNFNDLIMYENGLSSLAPTLTDQQYYAAEQSYDPVDEVFILDDLEIDEIMNESFEQTASHVPCIGLTEKFISKNLRVTRYCEEEEEEKGEICVVCQVEFESKERVAVLHCKHRYHPRCITEWLVRQNVCPICKGQGLSV >cds-PLY94362.1 pep primary_assembly:Lsat_Salinas_v7:6:11171689:11175100:-1 gene:gene-LSAT_6X12720 transcript:rna-gnl|WGS:NBSK|LSAT_6X12720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDQHLGMVCNLGDGAGAFTDMLVSISSNRHSKMKVEIANHPLYQQLLSAHIGCLRVATPIDQLPLIDTQLSHLQHLLHSYSSDPHHHPLPPEDRRDLDNFMAQYLVVLSAFKDRLQQHVRVDAVEAVMACREIEHSVQAITGVSLDEGSGATMSDDDEEETGMELPVDQSASDMLGFGPLLPTESERTLMDRVRQELKIELKQGFRSKIEDVREEILRKRRAGKLPGDTTHVLKDWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNSLTPMNTQKTKRKR >cds-PLY76326.1 pep primary_assembly:Lsat_Salinas_v7:5:296898201:296899103:1 gene:gene-LSAT_5X159541 transcript:rna-gnl|WGS:NBSK|LSAT_5X159541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNHNNNHNPSNNKIIHHRLLPSPTTTTITTTRSNSTIQACAACRYQRRKCAPDCILAPYFPHDRQRQFQNAHKLFGVSNITKIIRHLDQPQKDEAMRTIIYQSDVRAQDPVGGCYRIIRELHRQIEISRTELEIVLQQLAYFRTLAVQNQTQTQPQFNHDQILIDEVDCDIHLVNNPDVDAHLCDDSHSSVDRLHRRNSLDLDLDNIPLQQHEQKDHDQYVSGKQDATGWGDDNVVVPVQSWAVVHDSPPSSGNCFDVKPQPFQTLHASVCDDFKSLLLNDIPHEEKFQFKETNEPRFE >cds-PLY63951.1 pep primary_assembly:Lsat_Salinas_v7:4:76175794:76176015:-1 gene:gene-LSAT_4X51221 transcript:rna-gnl|WGS:NBSK|LSAT_4X51221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANVKPNLFLTIAMVVASMEFNHSVATEHVVGDSFGWSLPQNAGFYAMWSLNHTFIIDDTLIFNFVDGFHNVA >cds-PLY93652.1 pep primary_assembly:Lsat_Salinas_v7:2:200172411:200172992:-1 gene:gene-LSAT_2X122481 transcript:rna-gnl|WGS:NBSK|LSAT_2X122481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQALAAAHQRSSSLLHLRHDREEDVCDFLHPPPSLTSVESRFGSRRRYASVPSSSKMFPISPPFMAIIATRPEALPLQFGSTSG >cds-PLY90560.1 pep primary_assembly:Lsat_Salinas_v7:6:55170672:55173209:1 gene:gene-LSAT_6X39401 transcript:rna-gnl|WGS:NBSK|LSAT_6X39401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPNLLNNYHQFLLLKASCPDITAGYLSSLWDLIYQSHQQNQYSKPMPWIGMYIALASLFCILAMVADLLHGLRNRKLWFPCKYFTLNAASLTVIAVAIKLPMDLTNLTPGYIDQGAKLGSTSFMCTMMANLLPSLATMDSKELFSNMIALGILVITLVVNVCIQVYTGVLFYSVDDAKLLDSEIPFILRVIVVAIFVVPMLMLLIIYACSSLAILKSKEILESKYEAAHETNLKNQELQQPGRLLTVEKLKQHVSNYWIMAGTGSPQFMIACSTTTSASGVICVLSNGIIIYLLSSLFQNLGDHNSDYKWSMLVIFTIQSIGTILGTIAPLARCFAALSFKFSVKWIWKQVKICNVESYWTLKLHDWKHSSVPFPSSGRNCKVVIQFLKILSLSICIGFQKTVVVACKIIAVIPILIAVIPIFFVICFVYCFRCLKWLKAMFRATSTVLGQNPVHLGKDKDLRQYVLQLQDDIEFADRTLKGMLKSVNRLIQKAEQQPPKNLMKLLVESRGFEGVEKIDSHLVSPLLSEEYLNCWSLPLVTLTSIVMSLPNIQKNKVDCLVSGVSEGLVYVKFVEECLNATDNHVRIQKAAKTLWVEVEVYHKWLGHKLPKPKPRVNTPGHILQWLRNTAKNMVIKVESMDIQGRKDNSKYSSICANSMYRITETILLSYHENIEEVSQEELFAELSSMIADILAACLTNLPQVIIRKCHTSAIEKREASVQAAAQLLGETTQIINSLQDRQLPSLNPKDLAFIDKWHSYLKHPFP >cds-PLY81086.1 pep primary_assembly:Lsat_Salinas_v7:6:131367537:131369930:1 gene:gene-LSAT_6X79681 transcript:rna-gnl|WGS:NBSK|LSAT_6X79681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGANIPSLAAMEQTRMLAHTLAQNTNPKFQNSKFLQFVSKMSRGELTIEDNQVSRAPNRWSDEFANEHVHHGLVDEQWVNEFSRLEVNDWADEFGRQVGEGILGDDFADSWASAYEE >cds-PLY97415.1 pep primary_assembly:Lsat_Salinas_v7:4:17023654:17026948:1 gene:gene-LSAT_4X12100 transcript:rna-gnl|WGS:NBSK|LSAT_4X12100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKAFWDGISDSIKQEKNVVDHLIVAWVVVHLCQMSSQVLIWDVATTQDIERQNFEEVISFMTWKPHGNALAVIDVIGKYGVWDSVVPSSMASPTKGRPTLYSKKNDGLFFFEEEEKEISTSGSMSDHGEEEDIFMNSEQPTRKRSRNFKHDEDSNDHWWTRVCCGCLSLHYKVQ >cds-PLY61664.1 pep primary_assembly:Lsat_Salinas_v7:5:89529854:89530791:-1 gene:gene-LSAT_5X42780 transcript:rna-gnl|WGS:NBSK|LSAT_5X42780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFSFTLLFVLILTIATTAAASPNIYIHMLKPHYGSNGHKHSDLNCLSWRLAVETNNLQNWTQVPQACKDYVGHYMLGKQYRRDVDLVAAEAYKYAKGLNLTGDGNDVWVFDIDETTLSNLPYYARDTVAFGAMPLNETAFNAWVEEASSLAIPGSLKLYKQLIKLGFKIVFLTGVEEIYREARIKNLKAVGYTTWEKLILREAGEGGGVVYKSKKRKELEEEGGYKIRGNMGDQWSDLLGSNAGDRTFKVPDPMYYIG >cds-PLY81519.1 pep primary_assembly:Lsat_Salinas_v7:5:80678628:80680419:-1 gene:gene-LSAT_5X37660 transcript:rna-gnl|WGS:NBSK|LSAT_5X37660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGFCPIPPVWVPKYGFLARWMSAAIFTGRRSRGSALGPDSYGDKDGADGILCVLSQMCNERWFLLHEADLLTLTTPKSTVHNGSNSSKATGVRFTNKIKLQLSSNSCFSVAKQAFKVHHFAGEIQYDATGNCYLFIYMMHIITQKHLRKLQ >cds-PLY77983.1 pep primary_assembly:Lsat_Salinas_v7:MU042443.1:90817:92142:-1 gene:gene-LSAT_0X22860 transcript:rna-gnl|WGS:NBSK|LSAT_0X22860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQELIVIDDLLSALVGIEGRYISINRVGGNDNSIMFNVDGSMVLALQDYQAMVAQLEQSFRIGRLSIHGLWFYCQIHIFCLFTYLQLMMGSMQASSTVIRKASTSNFVGSAVLNLLHSQIMAGNYLVRSLLKKMIESANSAYLGILERWVYEGVPFGSCP >cds-PLY90045.1 pep primary_assembly:Lsat_Salinas_v7:8:282277198:282282448:1 gene:gene-LSAT_8X158660 transcript:rna-gnl|WGS:NBSK|LSAT_8X158660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPRPIVKLSHFLMSRYGHVLLATVNEATIVPFVSGQLNNLELVVNLAKRGNLPGAENLVVQRFQELFVQTKYKDAVELAAESPQGILCTPDTVAKFQSVHVQAGQTPPLLQYFGNLLTKGKLNAFESLELSCLVVKQNTKNLLENWLAEDKLECSEELEDLEKVSYTPDYLFLLQRILRSDPQGAVNFALMMSQMVGGCPVDFNTITYLFLKRNLIREATAFLLDVLKPNLPEHAHLQTKVLEINLILKLRASSNIWNDIVNNAQASNMMFLYLNPITQGKTGVALNSKLQLNGLIAEPHKYVLVDQLSDKQKGILLTGAPGTGKTLFAKAIVG >cds-PLY88275.1 pep primary_assembly:Lsat_Salinas_v7:MU040135.1:569:970:1 gene:gene-LSAT_0X46600 transcript:rna-gnl|WGS:NBSK|LSAT_0X46600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLTNWSTTETRLHKFRDLRTEQKTGGLDRLPKRDAAMLKRQLSHLQTYLGGIKYMTGLPDIVIIVDQHEEYTALQECITLGIPTICLIDTNCDPDLADISIPANDDAISSIRLILNKLVFAICEGRSGYIRNP >cds-PLY62510.1 pep primary_assembly:Lsat_Salinas_v7:1:84181953:84185829:1 gene:gene-LSAT_1X71720 transcript:rna-gnl|WGS:NBSK|LSAT_1X71720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATEMANTDPEGIDGVRMTWNAWPRTKVEASKCVIPIAASISPIRTHPLIPTNPYEPLRCKTCSAVLNPFCRVDFSALIWICPFCFQRNHFPHHFSGISETNVPAELYPQYTTIEYALPVHDVHHTLPPPVYVFVLDTCMIEEELGFAKSALQQALEFLPENALVGFVSFGTQVQVHELGYSDMSKVYVFRGSKEMTKDQVLEQLGLGGGFAGGRRPGGVPVPGQGFQKGPVQPNAGVTRFLLPASEGAYIIHSLLEELGTDQWPVAPGNRSLRCTGVALSVAAGLLGACLPGTGARMVALVGGPCTEGPGSIISKDLSDPVRSHKDLDKDAAPYFRKAVQFYEELAKQMVSQGHVLDLFASALDQVGVAEMKVIIERTGGLVVLAESFGHSVFKDSFKRVFEKGEESLGLAHNGTLDITCSKDIKIQGIIGPCTSLEKKGPAVANTVIGQGNTTSWKLCGLDKDTCLTVFFDISSSDKDPSGNVNPQLYIQTTTRYQGVDGQPKLRVTTITRRWVESAVVSEELMQGFDQETAAVVMARLTSYKMEMEEAFDATRWIDRNLIRLCSKFGDYRKDDPSSFSLNPSFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMMLNRESITNATVMIQPSLISYSFNSLPSPALLDVASISADRILLLDSYFSVVVFHGMTIAQWRNNGYQHQPEHQAFAQLLQAPHDDAELIIRERFPVPRLVVCDQHGSQARFLLAKLNPSATYNNEVATAGMDVIFTDDVNLQVFFEHLQRLAVQTS >cds-PLY86153.1 pep primary_assembly:Lsat_Salinas_v7:6:156473343:156475141:1 gene:gene-LSAT_6X94380 transcript:rna-gnl|WGS:NBSK|LSAT_6X94380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKAADPFSKKDWYDVKAPSLFNERNVCKTLVTRTQGTKIASEGLKHRVFDVSLADLQKDEDHAYRKIRLRAEDVQGKNVLTNFYGMDFTTDKLRSLVRKWQSLIEAHVDVKTTDSYTLRMFCIGFTKKRTNQVKRTCYAQSSQIRQIRRKMREIMITQAQSCDLKELVLKFIPESIGREIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYSEDVGVKVERPADEPIAEATEVIGA >cds-PLY82160.1 pep primary_assembly:Lsat_Salinas_v7:1:13809910:13812578:-1 gene:gene-LSAT_1X11380 transcript:rna-gnl|WGS:NBSK|LSAT_1X11380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13050) UniProtKB/Swiss-Prot;Acc:Q8L539] MSHQCTIARPAFPRALSCTRSLILRPQLPSSSYALPRSSAASATMTTQDNHLEAIFTQKRVLRSKIKKDLRSMDPALRSHEDDAIQNLILEAPWFKSCKGLCAYISCSALREVDTSKMLQHILQNPTKDGETQTRKKLYVPRVEDKNCHMRMLNICGMDDLIANSMNILEPAPVDANGNDREDVMLANEPVDLLLLPGLAFDKTGRRLGRGGGYYDTFLSKYQELATQRNWKQPLLIALSYSVQIVEEGVIPVTPSDVFIDALISPSGFIPISPVAQQICHSDITLA >cds-PLY67232.1 pep primary_assembly:Lsat_Salinas_v7:6:133654817:133660341:1 gene:gene-LSAT_6X80720 transcript:rna-gnl|WGS:NBSK|LSAT_6X80720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGASNPFGQSSNSPFGSPSVFGQNNNANNNPFAPKPFGSTSPFGAQTGGSIFGGTSTGVFGTQASSPVFGASSSPAFGSSMPSFGASSTPAFGASSSAFGGSSVFGQKPAFGGFGSTTPTQPAFGSSFGAPSQPAFGTNLFGSTAFGAPSQPAFGTPSTPTFGTSTTPAFGATSTPAFGATSAPAFGSTSTPAFGSTGSAFGSSPFGASSTPTFGQSSTPAFGQSSTPAFGQSSTPAFGQSSTPAFGQSSTPAFGATSSPFSFGSSPAFGQSTSAFGSSPFGTATSAFGAQSSPFGGQTTTPAFGSPGFGQTSFGGQRGGSRVTPYAQTPEADSGSGTQPAGKLESISAMPAYKDKSHEELRWEDYQQGDKGGPNPAGQTSGGMGFNTTNTTTQSNPFSSSPAFTQLNTNPFSSTTPSNPFAPKTSTFSTPGFGNSTPTLGSSPFGATSSNPFGSTPSPTPSVFGSTPGFGSTTSASPFGAPSTSTFGTSTSIFGATQAQGATPSFGGGLNFGNTQSSPLFQSTTPSLGQTSSPFGQTTSAFGQSAPSFGQSAPAFGQSNAFGGNLFSSTPASSSMGFNQTTPSLSMPFQSAQPTQNTGGFGFGAPAGGIGGTSSIFGQNNFGQMSASQTPAVAQPQSITNPFGTLPAMPQMSIGRVGNAPSIQYGISSLPVVDKPAPIRISSVLTSRHLSQRRVRLPARKYHPKNDGPKVSFFSDDEETTSTPKADALFIPRENPRALVIRPLEQWPGKSSAEKLKNASSPAQTNGEYTGFASSPPLNGRSTDNGNRNHSENGTLKEQTPIKTPQKQNGIHHLHDDHSPPKSDSYISLTGHRAGEAAIVYEHGADIEALMPKLRHSDYYTEPRIQELAAKERAEPGFCRRVHNFTVGRHNYGSIKFLGETDVRRLELESLIQFNHREVIVYMDETKKPPIGQGLNKPAEVTLLNIKCFDKKTGKHFTEGPRIEKYKEMLKKKAEDQGAEFVEYDTVKGEWKFRVKHFSKYGLHDDDDDDVGVCF >cds-PLY79852.1 pep primary_assembly:Lsat_Salinas_v7:8:16285946:16286754:1 gene:gene-LSAT_8X12781 transcript:rna-gnl|WGS:NBSK|LSAT_8X12781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:LBD21 [Source:Projected from Arabidopsis thaliana (AT3G11090) UniProtKB/TrEMBL;Acc:A0A178V6L2] MRGQEPRSTSSCAACKFMKRRCTPHCLFAPYFRADEPNKFAKVHKVFGASNVTKILNEVPEPQRHDAVNSLVFEAEERLKDPVYGCIGAIASLQDKMFQLQHDLAVAKARLARCSSKTSYASCSTTSPFLMLDGDFGMSSFGDFTMDQGGLTSDFHQHPFP >cds-PLY63200.1 pep primary_assembly:Lsat_Salinas_v7:6:86821690:86823294:1 gene:gene-LSAT_6X60141 transcript:rna-gnl|WGS:NBSK|LSAT_6X60141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQGTLPVPRDSHSCTTVGDKLFIFGGTHGNIPLKDLHILDTYTLTWTKLVTNGELLPPRDGHTTIALGKNLFVFVGFTDVEDLYNDLYMFDLETITWTKVMTIGEGPSVRFSMVGSTLHPQHGGVFIFIGGCNKKLKALDDMFYLFTGIVTENERDERKLEKLSLRKQLLKSQEQQVLTLTPKCDTDTPTAMPNRQNVYLNEYHNSQGKRTFQAKVTKNITNCYTIETIIDGKPLRGVLFSNNVKKNVVDDLRRL >cds-PLY78446.1 pep primary_assembly:Lsat_Salinas_v7:2:167245916:167250410:-1 gene:gene-LSAT_2X89140 transcript:rna-gnl|WGS:NBSK|LSAT_2X89140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSQKKRNFQIEAFKHRVVVDPKYAEKTWKILEHAIHEIYNHNASGLSFEELYRSDKITLGIVARWNAYNMVLHKFGEKLYSGLVSTMTLHLKEIAASVDASQGPLFLEELNRKWMEHNKALQMIRDILMYMDRTFIPSTHKTPVHELGLNLWRDNIIHSINIQTRLKDTLLEIVQKERGGEVINKGLMRNIVKMLMDLGPTVYQNDFEKPFLDVSATFYRGESQQFIECCDCGDYLKKAEKRLNEEIERVSHYLDAKSEVKITNVVEKEMIETQMTRLVHMENSGLVNMIVDDKYEDLSRMYNLFRRVPTGLMLIRDVMTSHIRETGKQLVTDPERLKDPVDFVQRLLDEKDKHDKIINLAFNNDKTFQNALNSSFEYFINLNPRSPEFISLFVDDKLRKGLKGVSEEDVEIVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKSVSEDAERSLILKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYEAMGPALSDGPTLTVHVLTTGSWPTQSTTTCNLPPEILSVCDKFRTYYLGTHNGRRLTWQTNMGSADIKATFARGQKHELNVSTYQMCVLMLFNNADRLSYKEIEQAVEIPAMELKRCLQSLACAKGKNVLRKEPMSKDIGEDDEFYFNDKFSSKFYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKARRVLDHNNIVAEVTKQLQSRFLPNPVVIKKRIESLIEREFLERDREDRKLYRYLA >cds-PLY73551.1 pep primary_assembly:Lsat_Salinas_v7:9:78359067:78359498:1 gene:gene-LSAT_9X62801 transcript:rna-gnl|WGS:NBSK|LSAT_9X62801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERELEEKTKADKIAKVMEANHLLIYEIRKQHWVAEHEVLERKAKENEDRRLTKFKAKRVTDEVEPRHIVDSGTTTKTLGADYDVEYIIAFEEENNTINLYRNDNVHSASFDKLHLPDEVCLTCQSVQNDTKSEKEVYISHAD >cds-PLY75645.1 pep primary_assembly:Lsat_Salinas_v7:1:100840513:100841977:1 gene:gene-LSAT_1X82020 transcript:rna-gnl|WGS:NBSK|LSAT_1X82020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At2g13570 (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G13570) UniProtKB/TrEMBL;Acc:C0SV44] MEDVKHGKRSPESPCSKNSNNNNNKEQDRFLPIANVGRIMKKVIPGNGKISKDAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDINWAITTLGFEEYVEPLKLYLSKYRQEENKGNNNNNNLPKPHQCIEQQQHTLPTYKSLYSTTTTNIMSQQPFASVGDQKFGLPFSSNTIQTQLHHQQESIDSVGQWQQGCSKVSGSYLTLGIRAQKNT >cds-PLY70278.1 pep primary_assembly:Lsat_Salinas_v7:2:90594434:90595009:1 gene:gene-LSAT_2X39180 transcript:rna-gnl|WGS:NBSK|LSAT_2X39180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNTTTTRTTTRFTLNFRLLARVEGRRWLSKVKRNAATSIHHSSSGKQPAPQTSISQSQTNRDTTFDLPTKEDKKTMITTTNDNLPQQQPKNIVITDEDHCEQQEDKKVKNIKENVSEERNQEEIVSKHAIQGVNEEKRKNPTQESIETQLRKAQRREVLLARLRARIQKSEARFMHIEEQNHTCCEEARG >cds-PLY67930.1 pep primary_assembly:Lsat_Salinas_v7:5:298745522:298746004:1 gene:gene-LSAT_5X159861 transcript:rna-gnl|WGS:NBSK|LSAT_5X159861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSVPKSFKYDVFLSFRGEDTRTNFTDHLYSALQLKSIYTYKDDLRIKKGKRIDDELIGSIEDSRFYIIVFSKNYASSSWCLDELVKIMKCHKQTGHTAYPVFYDVVPSEVRNQRGVVGEAFAKHEKEEAAGKWREAMKEAADLAGWELKKTDDG >cds-PLY87518.1 pep primary_assembly:Lsat_Salinas_v7:8:97023462:97024578:-1 gene:gene-LSAT_8X68041 transcript:rna-gnl|WGS:NBSK|LSAT_8X68041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPMEKEQPIPPPVIGKIGYYTVFVTPTPKSSSEPDSNSQKKTSFSPVQPPPVHYEKTASSYGANFGFFWDAIAKLQNVHTSMDDYLAHWFGLNQSKYQWALDDYYENENMVCLFFHF >cds-PLY87896.1 pep primary_assembly:Lsat_Salinas_v7:MU040310.1:250044:251381:-1 gene:gene-LSAT_0X17620 transcript:rna-gnl|WGS:NBSK|LSAT_0X17620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPRAAELTTLLESRISNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRSTSESETLYCVYVAIGQKRSTVAQLVQILSEANAMEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVIPITDGQICSETELFYRGIRPAINVGLSVSRVGSAAQLKTMKQVCGSSKLELAQYREVAALAQFGSDLDAATQALLNRGARLTEVPKQPQYAPLPMKAGN >cds-PLY89385.1 pep primary_assembly:Lsat_Salinas_v7:4:120824677:120827160:-1 gene:gene-LSAT_4X75921 transcript:rna-gnl|WGS:NBSK|LSAT_4X75921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLNIVGTVDKVNGNELSYSNFVEKYLSKNEPVVITGLMDDWRACKDWVFDDGRPNLQFISSTFGTSKVQVADCGSREFTEQKRFDMSVSDFIAKWVDFSSVEHDNPSINKLNGKTLLYLKDWHFVKEYPEYKAYTTPLFFHDDWLNLYLDHYHMHEDPDTYQKRDDISCSDYRFVYMGAKGTWTPFHADVFRSYSWSANVCGKKQWYFLSPNQHHLAFDRNMKNTVYDIFEEVNETIFPNFKKTTWLECTQDENEIIFVPSGWYHQVHNLEDTISINHNWFNGYNLSWVWDLLLKDYKEATEYIEDIKDICDDFEGLCQRNLAANTGMNFYDFFKFMLRFSFANIVQLCHLAKKSDDVKWCSSVKAKHLIFNLESAKDIAIKMNFTCLSENHNITLDFRSVLKDPVFLKLCCQLERTYDFIHDQCALMKVLVEDLVDVGICKLLDVVVCNPEDLVVFIDHVLQNLILSSHKR >cds-PLY71380.1 pep primary_assembly:Lsat_Salinas_v7:8:206961237:206964236:-1 gene:gene-LSAT_8X131141 transcript:rna-gnl|WGS:NBSK|LSAT_8X131141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFTNSSLMGFLLLLSFSLIVSLSSGADTITANQSISGDQTISSKDENFELGFFKAGNSSNYYIGIWYKKVTTRTIAWVANRETPVSDRFTSELKIIEGNLVLLNESKSPIWSTGLNSSSSSSSSSSNSNSVIAVLLDDGNFVLRNGSNSTEPIWQSFDFPANTWLPGARISYNNITGRKQLLTSWKSMEDPAEGLFSLELDPIEKQYLIKWNRTTQYWTSGPWNGRIFSGVPEMRSNYIYNFSYVSNINESYFTYSLYNPSIISRFVMDVSGQIRQLSWLNATQQWNLFWSQPRTQCEVYDFCGAFGSCRQSGLPFCNCLTGFNPRSQSDWDLSDFSGGCVRKTVVQCGRTSEKYGFLEISSSIARKPPNSTSLPLSSSSECKNTCLNSCSCNAYSYENNACSIWNGELLDLTENSNEVMIYVKVASKDLPSNKKNNAVIIGGVVGSIVVVVFILGLVLFLIYRRKMKNPGKTSVEGSLISFVYRDLQTATKNFSEKLGGGGFGSVFKGTLSADNSDVAVKKLESVSQGEKQFRTEVSTIGTIQHVNLVRLRGFCSEGSYKLLVYDYMPNGSLDAHLFKDEKCLNWKTRFQIALGIARGLVYLHEKCRDCIIHCDIKPENILLDNDLCPKVADFGLAKLVGRDFSRVLTTMRGTRGYLAPEWLSGVAITMKADVYSYGMMVFELVSGVRNSEHSRKFFPMHAANVLIGGHDDVLGLLDPKLNGEANVEEVMKLCKVACWCIQDDEESRPAMSQVEQILEGVLDVNMPPMPRSLQLFVDNEGNDVVFYTESSSSQTSQAQSNPSSGVSQAKSDSSS >cds-PLY71533.1 pep primary_assembly:Lsat_Salinas_v7:7:28921926:28925207:-1 gene:gene-LSAT_7X22601 transcript:rna-gnl|WGS:NBSK|LSAT_7X22601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIYKANFFDPQSAAETAVSVIGYGYDLTSDIRLTGCKPGPSGSPLIELDGTLTKDLVIPGGVVVPNVSPSIKCDKGERTRFHSDVLSFNHMSEQFNHELSLSGKIPSGFFNSMFGYKGCWQKDAPITKNLAFDGWFITLYNIELAKSQISLSEKVKQEVPSSWDPTALAEFIDKYGTHIVVGVKMGGKDVIYLKQLQSSNLEPTEVQNLLKKLADDELSEDVYEGSVSGSKQKDARSVAWDLPPILANSLRQSVVSQSKNDDLLSIHVRRGGIDQGQSHKSWLSTVSQSPNVISMSFIPIVALLSGVRGSGFLSHAINLYLRYKPPIEELDQFLEFQLPRQWAPAYGDLPLASRRKKNPSPSLQFTFLGPRLYVNTVKVDSENRPVTGVRLYLEGQKSDRLAIHLQHLSSAPKTLELSDDYTYKPTDEPIGKGYLEPVKWSIFSHVCTVPVEYSGTRIDDSASIVTKAWFEVKGLGMKKVLFLRLGYSMVGSARIRRSEWDGPSMHSRKSGLMSTLMSTPFSIGLNAPDQKPVKVDLNSAIYPGGPPLRAPKMSYFVDTREMVRGPEDPPGYWVVTGAKLCVEGGRIRVKVKYSLLTIMSEDSLFM >cds-PLY74547.1 pep primary_assembly:Lsat_Salinas_v7:7:36618483:36621448:-1 gene:gene-LSAT_7X25960 transcript:rna-gnl|WGS:NBSK|LSAT_7X25960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVLPPPNVTGALHIGHALTAAIQVKIIKCLVENIMVDISFNQLGGLCTLCFLEEVDNLINHNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFVGPLEVLYRFLEFVSNFDWENFCVNLWGPVPVSSLPDVTAEPPRKDSGELLLNKVFLDACSSLYAVFPGGQDNQGQTFVSKHFNVIDPLRVSNNLGCSVSKGGAARTSYKHLHPVVSIQTRLTAMVARDEFRRRRRSMAATIVVNSSRRYRVCVLVLATVVDSGTS >cds-PLY83778.1 pep primary_assembly:Lsat_Salinas_v7:4:43263684:43264888:-1 gene:gene-LSAT_4X28960 transcript:rna-gnl|WGS:NBSK|LSAT_4X28960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSYKFHQFQVVGRALPTETDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGGVEQMYTEMASRHRVRHHCIQVIKTATIPAKLCKRESTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKASRPNLFV >cds-PLY70739.1 pep primary_assembly:Lsat_Salinas_v7:8:167278867:167279208:1 gene:gene-LSAT_8X109541 transcript:rna-gnl|WGS:NBSK|LSAT_8X109541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDEEKKQKKQPEESNDDDDDDDVESDEEKEDYESDDVKILKAVKQTSSKSKSEPKLETKFSREEEEEEEEEEEEEEEEGEEDLMDDYTTTDIDEEGEAYPEEETTGLQVNPI >cds-PLY72502.1 pep primary_assembly:Lsat_Salinas_v7:2:141641554:141645456:1 gene:gene-LSAT_2X69300 transcript:rna-gnl|WGS:NBSK|LSAT_2X69300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFTSSTMLTAPTSSGECSLDFSSVFFITINDEQEEQQPPSLQFLFYCLRLIDLAFSISHILWRMRSSIQPRAPSHLLQPKIYIDLHRHHHSQHRQPNDEGSGKNHFSLCMCIFLSMPTTCLLECPEEAIEWTKIYELPHYGRKSKQIKKQLIVRPPYPKIYLKNEMLTVNSITEVCVVIDGEWKVRDLVDWCKDDCYWSARIIKILSGDEIELPIPPARQGRIYDAFCKDLRTSLNDHVKSSYYEKPVTPLNKNIFVGELGELVSRLTEYGRGFVREFTAGLGEFMSGLGESTLFNETRIFRV >cds-PLY88750.1 pep primary_assembly:Lsat_Salinas_v7:4:165276103:165276401:-1 gene:gene-LSAT_4X98860 transcript:rna-gnl|WGS:NBSK|LSAT_4X98860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKLLDRVPIPIKESLEEPRTKINVLIQEYISQLKLEGLSLTSDMVFITQLRDNIEELLMSDNGR >cds-PLY75431.1 pep primary_assembly:Lsat_Salinas_v7:7:74719929:74723179:-1 gene:gene-LSAT_7X51841 transcript:rna-gnl|WGS:NBSK|LSAT_7X51841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFVRKLGGRCCFVQSRKKNHKVEVIESDNNVVSGEDIFEAVSAGAMVPPDHLVIMVNGIIGSAADWRYAAQQFLDRLPDKVIVHCSECNCSTLTFDGVDRMGERLAEEVIEVAKRWPEVSKISFVSHSLGGLVARYAIGILYEKNSQQKQNFEAKIATLQPINFITVATPHLGSRGHRQLPILCGLPFLERRAPQTAHWLVGRSGKHLFLTDNDVEGQPLLLRMVYDSEDIKFMSALRSFKRRVAYANANYDHVVGWNTSSIRRQHELPKPNLLVKDKKYPHIVYVQPESDDIIKNEGTSSVGVPQTLEEEMLRGLTQVPWERVDVKSYWLNSDGADVVLHMIDNFVL >cds-PLY74609.1 pep primary_assembly:Lsat_Salinas_v7:7:33203450:33205394:1 gene:gene-LSAT_7X24401 transcript:rna-gnl|WGS:NBSK|LSAT_7X24401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQEKGANEISLKAMGRAINKIVMIAELIMRRIAGLHQITSIGSADITEGLIPLETTRHMSVITITLSRKELDTSSIGYLVCASGKEPTQCPRSSGTFHLYFLCIQVNLLVFMSQSVYSNIRYHDLCIYKILKYLSFIKFSKNVVLWSTWPG >cds-PLY83235.1 pep primary_assembly:Lsat_Salinas_v7:9:122720733:122721149:1 gene:gene-LSAT_9X77420 transcript:rna-gnl|WGS:NBSK|LSAT_9X77420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRSHHLAPSGVLRTTNQKHTSQHLKRTSGNNGGVSGTPMSPIRSMMSLEPITTPTFIPQQLTTVIGEAPQQGGLTITSVSISKLWRPATKGLTSSATALTQNDPPHSQQLTTISSSTTPPTIDNASGMLTFSQAPSLN >cds-PLY97513.1 pep primary_assembly:Lsat_Salinas_v7:2:6506699:6510002:-1 gene:gene-LSAT_2X2721 transcript:rna-gnl|WGS:NBSK|LSAT_2X2721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATVLTWMVIATAFSFSVAAPEEALISRIAFGSCANQTSPQPIWDAIVNFKPQVFIWLGDNIYGDIRRPFKLFGNERTIGPWKNVPRFVPSSVDEMQEKYKIAKKNHGYSRLREIAKVIGTWDDHDYGLNDAGKEFKGKDTNQKLMLDFLDEPHDSPRRKQAGVYASYTFGPEGRQIKVILLDTRYHRDPLRSDGTILGTAQWKWLEKELNGQPSAITIIGSSIQVVSNLSACTGPLFYMESWGRFPSDRKRLFNMISDSKRDGVVFISGDVHFGEITRFDCGTTYPLYDITSSGLTQAVEKVIPSFLHFGLRFLAWVTPTTMRVINNNCKYKSCTYGQPNFGVIQVDWEAKPVSLRFEVRGVSGEVVNSVTTSLLDLQTKTKKTQLPQQHCFLEVDLPWLTRHRLAILFSFFVTVLIVVQVGGLYVVISLMVKCLRKCKLD >cds-PLY78006.1 pep primary_assembly:Lsat_Salinas_v7:9:43928975:43930352:-1 gene:gene-LSAT_9X40280 transcript:rna-gnl|WGS:NBSK|LSAT_9X40280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSPDFPSGTAKSPRSLQGPRPSPLRVRKDSHKIRKPPVAPQPHHQQHNHHHQPQQIQQQYRPPVIIYTVSPKVIHTNPNEFMTLVQRLTGPSAPSPVGSSFSAFHHDGGAVSPAARFASTEKATTMPDGRKVPQTGDVAVVEGVEINTGLEKAGYFPGILSPGPTSLPPIPPGFFSPLANDQNPLSFFPDLSPVLHSNRNYNYLENNNFLMQSPSNLLSPYMISPNTQNLFNSLFD >cds-PLY88044.1 pep primary_assembly:Lsat_Salinas_v7:6:182438722:182440635:1 gene:gene-LSAT_6X111081 transcript:rna-gnl|WGS:NBSK|LSAT_6X111081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLSNQYSLCAPRLVDVSILKSPKFRTQNLAFQSYQHLHSRHSCKPLLGLSRSLPNSCPGKNWRSFKSLCTAKDTTVQTNEDETESDDLEETETDSDTLTIKSLLQLYKQSILSKDDTTSSDIEAALYDLEKEKNKLLEKVTSLLADSSSGKERYIRLQADFDNYRKRSENERLNVRSNAQGEVIESLLPMVDNFERAKQQLKLETEQEKKIDASYQGIYKQFVEIMRSLRVAVVPTIGKPFDPSVHEAIAREESKEFNEGVVIEEFRRGFVLGERLLRPAMVKVSAGPGPGSGSGRPKSSSDGVSSTEQPQTANL >cds-PLY77715.1 pep primary_assembly:Lsat_Salinas_v7:9:19090683:19092070:-1 gene:gene-LSAT_9X14101 transcript:rna-gnl|WGS:NBSK|LSAT_9X14101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNYRFRLSDMIPNAWFYKLRDMSKTTKTTATSTTHSKKPTSGSYYSAAPPQNHHFSQPRNSFYYTPRVSQFHNSPKFPYLHDPPRKSSKKTRPNRKTIYRPSPKHTSLADSTQSTLQDFFHSPTTNTSPFSLHESPSSESTQSGLATASWCTSCTCRVSSSTSDIVIDVNETRNSDNSSCGFDLSPEIELNLPPIITKPAKPTSRNTQTSHSGKKLKETNKTPARKSVSGVKLRANSPKLAVSKRIVQKSAQRKTLSESFAIVKSSYDPQKDFMESMMEMIVENNIRASKDLEDLLACYLSLNSDEYHDVIVKAFEQIWFSLPDM >cds-PLY62338.1 pep primary_assembly:Lsat_Salinas_v7:4:87231376:87231642:1 gene:gene-LSAT_4X58201 transcript:rna-gnl|WGS:NBSK|LSAT_4X58201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFKSITAPIIQFRSSTATSITLLFHFQADGVGSLIELPRADVAMITSSILLWSFYSITSLVSNKPPLKGQKNLLIHEGVSTDLRPRSI >cds-PLY84539.1 pep primary_assembly:Lsat_Salinas_v7:1:31278486:31280395:1 gene:gene-LSAT_1X27120 transcript:rna-gnl|WGS:NBSK|LSAT_1X27120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRPQIVLFGDSITDQSFRYGGWGASLTDAYSRKADIVVRGYGGYNTRWALFLLNHIFPLDSTTPPIATTVFFGANDAALSGRTSERQHVPLEEYKENLRKIVHHLKECSPTMLIVLITPPPVDEEGRLEYAKSLYGEKAMKIPERTNEVTRTYVNGCVEVAKELGVASVNIWSKMQETQGWQKKFLSDGLHLTGDGNRVVYEEVIKVLNGAWLSASEMPLDFPHHSQIDPHNPEKAFQQRCL >cds-PLY85091.1 pep primary_assembly:Lsat_Salinas_v7:7:6318134:6324011:-1 gene:gene-LSAT_7X5661 transcript:rna-gnl|WGS:NBSK|LSAT_7X5661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFPNGAGAYIDDIGKLINLRDGSIRTAIDTGCGVASLGAYLLSRNILTMSFAPKDTHIAQVQFALERGVPALIGILASIRLPYPSRAFDMAHCSRCLIPWGQYDGLYLIEVDRVLRPGGYWILSGPPINWERHWKGWDKTAEQFKGEQDLIESVAKSLCWKKLIQKDDIAIWQKPTNHAHCKINRKIFKKPQFCKDQDPDMAWYTKLETCLTPLPDVSNIRETSGGGPVAKWPQRLTSTPPRIISGSVGEITEEVFINNTNLWRTRLSYYKTLDQQIAEKGRYRNLLDMNSYLGGFAAALVIDKDPVWVMNIVPVESNVNTLGVIYERGLIGTYQNWCEAMSTYPRTYDFIHADAIFSLYKDRCEMEDILLEMDRILRPQGSVIIRDDVDFLVNAKTIADELKWETRLVDHEEGPLVREKLLIATKQYWTAPTPTQNQ >cds-PLY97869.1 pep primary_assembly:Lsat_Salinas_v7:2:216551789:216560947:-1 gene:gene-LSAT_2X134840 transcript:rna-gnl|WGS:NBSK|LSAT_2X134840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGLGYLGSSSSSSSSSNLSPLAPPFTVDRSNQNKPALNSNSISHFNDPSYGVPFTSSWHSSTARQDFLADSGRTTTLPLNDSRYMIPEPVNLPTAGNWSGLNSNGKHGLEQITPGRPHWSAVYPSPKRVADSFSYNLSEAKPFYPPYASSSSVNNDDIPLVTFSEPGYDLLSSSGLGLAHGHGDETSQVDYTRSLSGLEYNPQHDSVWSTGLPEGKQVKKIESDDSFFSEEANLAAYNNYFNQGAYGNKSSSKSKDDASLFYYADIIRRANNSGHGKSDAASFSANANTHSLDPNDLSKGGSTFRAFPMFSESHPLIQSPEPPEDLWNSQNSYNPNPYEKRYHMFDCSDDHITKSSALVIKPPAAVSSKSLEIGNLSALNINDVVGSPLKEKEQSLSGGSFLAPNQLSFQIGRTSSTKNEDMSASASDQLKFEFKAQVPDINTTGNVKISANSFEQFDHHNPAEDSPCWKGAPTSQSQFLPFESQSPQPPMKKLQTGNGVDETNERKEDSVEVVVVNSSEPSNGGGGGGGVVDNNIKPKKEYASLNESSKLKLPTTPEMDVNVNASGVVKSLYNLSDLLLLHCSNDEFALKEHDREALDRVIHNLGVCMSKATSPPPPPPQQQKTCTSNMSQEMRNETILHDDSFGKNVEKLLLEHASLDVDPPKDHKMVQAIQKVLAENVECEVKVTETLSLYKNLWLEAEAELCSLSFRARFERLKTHKSTDAAASPTPIKKEITVVDDDVDVEAGVMARFNILKRREDPNPVAGGPQVTVQVRHYSLPVRCTELLPKTPIPALPTGFALPWAHQGSGTSPRPVVSLERYDLLRCNAFQRLSQTTTTSITTSLGLGRPLLNLMTSYSSGMFFVFLVIMVTRYQPVTLSLRKIIACTLAMEEELLSFRNDLSSDAKYDRVPLHWIPTFILRSWNPRHVATPITSFKEVEYSSVYFS >cds-PLY90219.1 pep primary_assembly:Lsat_Salinas_v7:8:274846509:274850140:-1 gene:gene-LSAT_8X157120 transcript:rna-gnl|WGS:NBSK|LSAT_8X157120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPGILLKLLNGMNSGVKPTSEYRSSLLQVTDIVPADLDEKDLWPKHGFYIKVSDSSHSIYVSLPFDQDDLVLSNKMQLGQFIYVESLEPGSPVPIAKGAKPLPGRHPFIGTPEPLMGLREKGEKSEQKGVNFNSNTKSCVPRRGSWGTGQKGEDGVCASPMVLKPCPLDFDQCTPVKPRSAIRVNFPMSPSIRGKGGEKDGSFNGNVRASVGGPLLSKMMESPAMRKSCVVNASMMKYTRSKSTVCDRDAKIIRSPFNNTAEKKSTTPPPSLKNTRKFSSSNVDSETKNYSNSKMASQQQDSPYGDSDSSLSFNIPGKLGLLGKEAIQQRETAQKIALQALREASATETLVRSLKNLSSLTKSSKPENPSECFDRFLDFHNQIVQAIAEMTSIKAATTQITSEDTPVLHDIMNNNNSDTNNASKRRAALHKSIAAFSERSDQKPKQLKTPNQKTKVLENDENKNPGSSSLCCSLSNTIKLGMQIETEAGNWFMEFLEKALEKGMKKSDAKKVSQSLILKVINWVEVDQCDSSKRPVHPKATQIARKLRIKMKNP >cds-PLY88206.1 pep primary_assembly:Lsat_Salinas_v7:5:122625271:122625996:-1 gene:gene-LSAT_5X53261 transcript:rna-gnl|WGS:NBSK|LSAT_5X53261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKFAGLLVICAVILVTHMIVLAFPAVEASSSGGDHGLGWLSTTTSTVGSRCRGSMAECLAEGADLEIDDMMDSETNRRILATNNRYISYGSLSKNNVPCSRRGASYYNCRSGGQANPYTRGCSAITRCRR >cds-PLY76140.1 pep primary_assembly:Lsat_Salinas_v7:4:54492155:54495921:1 gene:gene-LSAT_4X38120 transcript:rna-gnl|WGS:NBSK|LSAT_4X38120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNQIDFFQWLESDMALKILTCLDDSADLIRASAVSHYWQNFVISNGLNKQLCLKRFPQLASITHDPSSGDVAYAALFQAVTKFPQSYCIADPVSASSTDNYPEESILNTLDPRDKIRQRDYSYWSSKGSDDPEKPETLIYNLSANFCVISEIYLHPFQALFQIDSPIYSSKYVRFRMGHPRSLTEINHDFMESQECADDKFIWTYTSQIFPMAQENRLQKFKLPEPVICIGGFLQIELLGRVQKQAADGKYYICVAHVQAIGRKLSPAFCVEVCEESKGVALRYDGGEFEVMMQRVSSGDGGSLSTSLLPVQPPRQLAWGNLQDFLHMVQAPPQDGGWYEWVVDDGDDDEVEEEEVDMDGDDEIDPAFLI >cds-PLY70875.1 pep primary_assembly:Lsat_Salinas_v7:9:14880616:14887122:1 gene:gene-LSAT_9X12360 transcript:rna-gnl|WGS:NBSK|LSAT_9X12360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGWKEVRRRKTAEKRGSETTTFFVTNVPRDATKSEIYEAFIRFGRLTDVFMGLRKGKNGRYYAFIRFTDVKNVKHMENQLDGTVVRGTRLEVNLALHKRKEIPPITKKKFNSNYSVRHNDHFTNKQPAQGMWGRPRDNRTEVDATDEENEDKEGVSDTWMHEDGGEMEEGEISPVPVVREEPLQDIRSPETEKPIGTPVKSTMPIRVTQETPGIPQRLETCPRKSGGPSQVLGIVGNGIIHSPQCNDEMIGPSDVITTPPPKAQMSNFANGLPLGCFGPFPSPNIDGSSFTQAQNQSIPGSLGKRKRRDISGSDSPRNSVPQLLVQSDTGHMSPSASVSQVRTNVEIDHIPTNFDLNKNPAALRNDNSSCSRSLSGELEKTAEVGPPFLKA >cds-PLY93552.1 pep primary_assembly:Lsat_Salinas_v7:2:174630914:174634958:-1 gene:gene-LSAT_2X99021 transcript:rna-gnl|WGS:NBSK|LSAT_2X99021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNTVCLLLVLPHFHGGLAIAAGTQDGSEKWGYVQVRPRAHMFWWYYKSPYRTHHPNKPWPIVLWLQGGPGSSGVGIGNFQEIGPLDTSLKHRNSTWLRKADLLFVDNPVGSGYSFVEDKELFVKTDEEAGQSYGGKYAVTLGLSALKAIKAGKLKLILGGIALGDTWISPVDFVDSWAPLLRDVSRINNSGYKKSKSLVETIKKQIANGQLKEATKTWSDLEHVIMSSSNDVDFYNFLLDSVENNVSTTATAGSSRKMATNKYSRYLDSLRVSAGGDGDLDTLMNGVIKKKLKIIPKNVKWGGQADPVFEYFEGDFMRPRIKEVDELLKAGVNLDLICSNKGTEAWVEKLKWDGLKKFLSLDRTPLYCGGDKITKGFTKSYKNLYFYWILKAGHFVPLDQPCVALDMVGRITQSPATT >cds-PLY76233.1 pep primary_assembly:Lsat_Salinas_v7:4:53833872:53836396:-1 gene:gene-LSAT_4X36841 transcript:rna-gnl|WGS:NBSK|LSAT_4X36841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFGSLLGMKMIDVPLKIVYYVLDYFNFESLKVEFDNCEVSVETKFVQEMLGLPSGGSLLSNMDYISENNEESCMFEWKKQTKKVYNPEKESSFFYGPAAYLMLLYVDTFKFDHLQITRKRPTIFYWTSEKIMFLEDILQESGGFGCGNVNEAYVEEEFQESEYNEEESGGDEVESDGEEDLCDEDEEDFDVNKVSDMEACESKVSCMYQKMEDLKKVLVVKIDEGVLKFPQSQIFKNWKLLFPVEDLSTRSFDFRYVSHKYKEPILTPGFVQVNDEDYGNDFLIMMKIMGMIFLMMMKMLKIMIKGNVLVVRGMEVVHMRAILVKITLKKPVEDDVNLNLTGINDGTVNLGEDDHKNKVISDRTVDKIIVAKKDGEGEDVEDCSNKNKDGENVEDCSNKNNDSNETRSLKNNLVSSFSLGFSQDSEGSKKSSQSQISSERMTKKKIKDRVILGNPSAGPECVIPNVDVIDASPVSFAPPLGTLEGPSKPVSGKHKDINEEATSVVDVKGK >cds-PLY94028.1 pep primary_assembly:Lsat_Salinas_v7:7:99474856:99475610:-1 gene:gene-LSAT_7X66820 transcript:rna-gnl|WGS:NBSK|LSAT_7X66820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYSVILAILLVFTGILFWRVRSAHLRLKKLPPGPTPLPIIGSLHLLGNLPHRALHKLSQKYGPIMSIRLGSIQFVIVSSPEAAKLFLGTHDVVFASRPNIQATKYFAYSGKGLTFTEYGSYWRSVRKFCTLELLSAKKINSFAGIRREEIRLMLEEIRVASMGRKVVNLSETVGALIEGMTCRMIFGKKNNDKFLFKSVMDESMEAIGIFNLADYVPMLAPFDLQA >cds-PLY69844.1 pep primary_assembly:Lsat_Salinas_v7:6:4012169:4013460:-1 gene:gene-LSAT_6X3400 transcript:rna-gnl|WGS:NBSK|LSAT_6X3400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFDLNTITFDQGYATLFSDFNIDRSDDDQSVRLILNRQSGSGIISTDYYNYGFFSAKIKLPTRYTAGIVVAFYTSNVDMFTKTHDELDLEFLGNVRGKPWRFQTNIYGNGSTTRGREERYRLWFDPSKEFHRYSILWARNKIIFYVDEIPIREVLRDDNMGGDYPSKPMSSYATVWDASSWATNGGRNKVDYRFEPFAAEFRDLVLQGCPVDPMDATSTNCLDALDELESSEFATITPRQRQAKKWFREKYMYYSYCYDRLRYPSPFPECLLISSEQDLFKNSGRLKNRQKFHRRRRPRRRPNTTAVY >cds-PLY88732.1 pep primary_assembly:Lsat_Salinas_v7:7:193535430:193537905:-1 gene:gene-LSAT_7X113801 transcript:rna-gnl|WGS:NBSK|LSAT_7X113801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAFSTHGATLAPEQRKAFGDSNNSDFFHNKKDHVSMSCPNNGRLLSKDRIQCKALEVQSHHTFLKGEKFQLEDVIEAQQFDRDTLSAIFEVAREMETIEKKSQSHGSQLLNGYLMATLFYEPSTRTRLSFESAMKRLGGEVLTTENAREFSSAAKGETLEDSIRTVEGYSDIIVMRHFESGAARRAAMTANIPVINAGDGPGQHPTQALLDVYTIEREIGKLDGIKVGLVGDLANGRTVRSLAYLLAKYNDVKIYFVSPEVVKMTEDIKEYLTLKGVEWEESADLKEVASKCDVVYQTRIQKERFGERSDLYEEARGKYIIDREVLGVMQKHAIVMHPLPRLDEITVDVDGDPRAAYFRQAKNGLYIRMALLKLLLVGW >cds-PLY78624.1 pep primary_assembly:Lsat_Salinas_v7:4:151659292:151662679:-1 gene:gene-LSAT_4X93201 transcript:rna-gnl|WGS:NBSK|LSAT_4X93201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTIALYASPPSSMCSTPYPCQINSHGSYEFDLNSRSSSSSTATVSSSQKSIIGGLSSLFSSSSSFSSGGAEDLTSLRGGEELSNSFSYSPFGSCLKRDHLHQSPVSVIQGPGSLSSTPSMRFSPERDGSFRGGTKRLFKGFVTHAIGSYVDHSSSFRMHDNLAEELTFIMDDNNLKAPNPDPSAKDMLLAAQLKHNIFNDELVIKAFYEAAKAHKGQMRASGDPYLQHCVETAGLLATIGANAMVVAAGLLHDTLDDSFMTYDYILQTFGAGLADLVEGVSKLSQLSKLARESNTATKTIEADRMHTMFLAMADARAVLIKLADRLHNMMTLDALPLCKQYRFAKETMEIFAPLANRLGIVRWKEQLENLCFKYINPEQYKDLSSQLLKSFNEAMVSSAAEKLEKALKDESISYHVLYGRHKSLYSVHCKMLKKKLSMDEIHDIHGLRLIVENVEDCYKTLQLVHKLWSEVPGKFKDYIDHPKCNGYRSLHTVVIGEGLVPLEVQIRTKEMHSQAEFGFAAHWRYKEGDCMHSSFVLQMVEWARWVVTWHCETMMKDQSCIGYTEAMKPPCKFPFHSEDCPHSYKPSCGSDGPVFVIVIESDKMSVQEFCGNSTVKDLLARAGHGSYTWTPYGFSVKQELMPKLNHEPVSDPSCKLKMGDVVELTPKIPDKSLTEYREEIQRMYESGVSVTSSRSKRPTAGNVVNGWRS >cds-PLY90554.1 pep primary_assembly:Lsat_Salinas_v7:6:54806668:54808287:1 gene:gene-LSAT_6X40221 transcript:rna-gnl|WGS:NBSK|LSAT_6X40221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPTPNGDPMLCHLMMLHEVRDVEVARAWRFQFELQGKEINIPCDFEPTPIEMHLPFFVCYVSSTEPLKNRKGKTVEGK >cds-PLY66360.1 pep primary_assembly:Lsat_Salinas_v7:5:288574565:288577992:-1 gene:gene-LSAT_5X153460 transcript:rna-gnl|WGS:NBSK|LSAT_5X153460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SCARECROW [Source:Projected from Arabidopsis thaliana (AT3G54220) UniProtKB/Swiss-Prot;Acc:Q9M384] MVRKRAASDMELQTPTGGHEHHRMLRRPPSTNPLDVVGFGGLSPSSTATSVGHQHQERQNLNNENNSHSDAPAHHHLLSGVPVTPAAGNINNIGSHLPNYSTMTLPSSSSTNTAHVMSLPTNRSTATCSTNYMDTSPSANKNPPPPPPLCVFSGLPLFPPDRNYYHLSNIASTTCPTPTGVVAAGSLAPPPSSNNTNTAGMSFLTGGGGANNNNIMPADDSISATAWIDSIIKDLIHSSTDVSIPHLIHNVREIIHPCNPNLATLLEYRLRSLTDPPPNLVDQQSAHEPADNFNARVMMMRGKEAQASSSTLRQQQQQQPRSTIQSTISASGLESMFPLPLPDSQQQLNNQSHLDWGGVNNSGNDDYNAGGAPPPPPGPALMSSSGNQEQQQQDSPPSQPSPQADQNAVAASAQAAAMVLREKKREEMRQQKRDEQGLHLLTLLLQCAEAVSADKFEDANKMLLEISELSTPYGTSAQRVAAYFSEAMSARLMSSCLGIYTTLPPSIAVHQGLKKMTSAFQVFNGISPFVKFSHFTANQAIQEAFEREDRVHIIDLDIMQGLQWPGLFHILASRPGGPPFVRLTGLGNSLDALEATGKRLSDFADKLGLPFEFSAVADKVGNLNPERLKVSKREAVAVHWLQHSLYDVTGSDTNTLWFLQRLAPKVVTVVEQDLSHAGSFLGRFVEAIHYYSALFDSLGSSYGEESEERHVVEQQLLSKEIRNVLAIGGPSRSGEQKFNNWREKLEQCGFKGISLAGNAAAQATLLLGMFPSNGYTLVEDKGTLKLGWKDLCLLTASAWRP >cds-PLY62409.1 pep primary_assembly:Lsat_Salinas_v7:5:309915446:309915749:1 gene:gene-LSAT_5X168880 transcript:rna-gnl|WGS:NBSK|LSAT_5X168880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKFDPWPVFFKREFNRNWPFLVGFAITGTIITKLSLGLTEEDRKKSVFAQRHKRYGTSFPI >cds-PLY78899.1 pep primary_assembly:Lsat_Salinas_v7:5:304733693:304734529:-1 gene:gene-LSAT_5X165501 transcript:rna-gnl|WGS:NBSK|LSAT_5X165501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVPTSVSSSTDHVFSVEGHDQLQLQLSSEDRQRITERTKWVLDSPDPPGFRQEILGSIRNAVCSKKNKKPNATHEPPLACVMAFLSSVFPILNWGREYKVSMFKNDLLSGLTLASLCIPQSIGYAALAQLKPQYGLYTSVVPPLIYSTMGTSRELAIGPVAVVSLLISAMCSKVVNPMVDPVSYNKLVFTVTFFTGAFQTLFGLFRYCFIDLITHGS >cds-PLY66982.1 pep primary_assembly:Lsat_Salinas_v7:6:141471846:141473942:1 gene:gene-LSAT_6X85640 transcript:rna-gnl|WGS:NBSK|LSAT_6X85640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLEKALIILSSLNTEKIEDGEEVAVEEVEDEQSKMEGMASIALLLDGSISGHFVQLPESVYYQMGQSRLFVSTSYLSVKQPTTSYQKWRWQPKDCNLPKSRSPPRRSRSPSRSRSPEGGGNEKASTSSPYSHGRADSRSPLQRSDSNGLPGPMGLMSTSHLEQEAAVLALSTLMTIAPAEVYAEFEKCIRSYNMLKC >cds-PLY94589.1 pep primary_assembly:Lsat_Salinas_v7:8:181942723:181946523:1 gene:gene-LSAT_8X119281 transcript:rna-gnl|WGS:NBSK|LSAT_8X119281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADQHSDEHVNTSNVDVKSSEPKTVADQRSDETLKSKADKLSDAEGATTSNVTVESSGSTVEINIKTLDSQLHSFHVDRNMPVSLFKEKIAGEVGLSVEHQRLIFRGKVLKDEDLLSEYHVESGHTLHLVSRQPSDIQVASDFHDADDTFSTQSNTDANSIGGHVSQNEGGSSDITQVIGAVLNSFGVGGQSQTSIGVSQPNMQFSIPMQVTQGNERNPTPTQSPALPIPDSLNTITEFMNHMEQALSQNGHHPSDSSATELPCNAHGLPSPAALAVVMRHAQRLLSGPVVHSLSKTATRLEEEEGSTHDVTVRTQIQSEAMQSGLAMQHLGALLLELGRTMLTLHIGESPICFSFLCTSIAESSVNPGPAVYISPSGPNPIMVQPSPLQTNSLFDSHANANANPGAYTPIGIGSIPRHINIHIHAGVRETNVESSNQANVDLPQAPMIVFSQQDTQGVGNVVSSSTRMKSLSETEGASSSSSGRSSIPTNASSIPVGLGSGGLQHKKRHWPTRSEVVGSGQSDPSATMNQVMQDPTLSGLLSGLSNQNGNNSQDFFKNLMNQVSQNPTMMNTINQLAQQMDGNQDLGGMRSGPRSGNLDISSMVQQMMPFVSQALNHGSSSSNMLQPTPSRKGGLNRRYSSVKSLNTNERSSDFKMNLEHLAQKIVDQYPPLEIFSSLVETASGLYNSAYDTGALDELCSEEELAQEFMEMLKRDISRRLQGDRR >cds-PLY71286.1 pep primary_assembly:Lsat_Salinas_v7:3:192549917:192550658:1 gene:gene-LSAT_3X114841 transcript:rna-gnl|WGS:NBSK|LSAT_3X114841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDASFPPGRWPPKLCFLKIGVIEEATIGPLSPAITQVRWNDKVIVKTQLLLESGGYFNERAVKPQSLGVELSMNTRNHAEVAGNEKSPARRKRTWSITKLCI >cds-PLY98300.1 pep primary_assembly:Lsat_Salinas_v7:7:167240616:167243766:-1 gene:gene-LSAT_7X99481 transcript:rna-gnl|WGS:NBSK|LSAT_7X99481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDGKENEGGNGDDKKKKNRLRMRWGIIGAMITVLVAVSLNPWRNSLNLSLLHKSYLCSEDTCKYTGLIEDCCCDFESVDDINGAVLHPLLQELVTTPFFRYFKVKLWCDCPFWPDDGMCKMRDCNVCECPEHEFPESFKTPSLNVLPKDDPVCQEGKPEATVDRTLDDKSFKGWVEVDNPWTHDDETDNEEMTYVNLQLNPEHHTGYTGPSARRIWVAIYSEHCPRYAYGEMCPEKKVLYKLISGLHSSISIHIAADYLLDIKNNKRGPNLKLMRDRVLKHPDRVQNLYFTFLFILRAVTKAGGYLNEAEYDSGNDLEDMKAGELIRRLVHNPKLQAACALPFNEAELWQGQGGPMLRQQIQNQFLNISALMDCVGCEKCRLWGKLQVLGIGTALKILFSVNDKGNPDPYLLLQRNEVIALVNLLNRLSESLMFVNKMGTPDKEIISKPWGLSSVAYWWPKSNQQLSLSTNTTDPF >cds-PLY69791.1 pep primary_assembly:Lsat_Salinas_v7:4:244218746:244221333:-1 gene:gene-LSAT_4X129361 transcript:rna-gnl|WGS:NBSK|LSAT_4X129361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPMEVVMMVVTVVFCYCGAVADQKHNQKTYIVHMDKSVKPSVFSDHLQWYANCMKSVSESTNMLYAYDYVMHGFSTRLSVEEAKQLEQQHGILSVQEEVIYKLHTTRSPEFLGLQSRGMIVSGSKSGGGDVIVGVVDTGVWPGSKSLDDTGFGPIPVSWKGECESGTGFNVSSCNKKLIGARYFSIAYDAAYGPIDEKLESMSPVDDDGHGTHTATTAAGSMVTGASFFGFAKGTARGMAPHARLAVYKACWAAGCHGSDILAAMEKAITDGVHVLSVSIGGTLSDYTNDFVAFGAFKVVSHGIFVASSADNNGPEPSNIANVAPWIATVGAGTLDRDFPAYVTLGNGKKFRGVSLYSGKPLSRTLIPLVFGDLCTPDSLPPEKVAGKIVMCERGVFSRLRKGKVVKEAGGAGMILANPDTFGEEVTADPHVLPTAAVGERAGDAIKRYISLDNNPTARIAPGGTQLGIQPSPVVASFSSRGPNPITPAILKPDLIAPGVNILAGWTGKVGPTGLAEDPQRVEFNFDTGTSMSCPHVSGLAALIKAAHPEWSPAAIRSALMTTAYSSYKNGEGLRDAATGRPSTPFDHGSGHVDPVRALDPGLVYDASANDYISFLCALNYSSTSIKMFAGGKFTCGKKNRVEDLNYPSFAVPLPTDSIKDNGSSGPTVVKYRRSLTNVGNPATYKVSISSKVSAVNITVEPDKLTFVKQGEKKAYTVTFSATSMQSGTKSFASLAWYGGKYVVNSPIAFSWM >cds-PLY85676.1 pep primary_assembly:Lsat_Salinas_v7:7:158098137:158099647:1 gene:gene-LSAT_7X93241 transcript:rna-gnl|WGS:NBSK|LSAT_7X93241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALNRAGSCNPAVVKIGITFLGLCLVGYLLGPPLYWHVLEGLAAVRRSSSAASCPPCNCDCDSQPLLSIPQALSNGSFTDCAKHDPEVTGDTEKNFSELLSEELKLREAESLESQQRADMALLEAKKLTSQYQKEADKCNSGMETCEEAREKAELALLSQKQQSEMWELRARQKGWKESSNARSVNTI >cds-PLY83657.1 pep primary_assembly:Lsat_Salinas_v7:4:39705450:39707354:1 gene:gene-LSAT_4X26281 transcript:rna-gnl|WGS:NBSK|LSAT_4X26281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGDYYPMNSTSTLGTRFSNCDIANSSSGFTQAGNPNSSGSVLADSIPGLKHDAGLAVEWSSEEQHKLDEGLSQFADEPSIMRYIKIAATLRDKTVRDVALRCRWMARKRRKQEGLNMGKKLKDKKDTLVESSTKPSISSVPTLNVAPFSFTMNNHVQIDSITFEALSGSIRNLLEQNNQVIGQISSNISSMKLQDNIDLFNHMKNNITTILNNMRYMPGPPFPVSLNEDLAHSILPTSSQTMMFEGSGGMYMKQEPGF >cds-PLY82052.1 pep primary_assembly:Lsat_Salinas_v7:9:156958763:156969069:1 gene:gene-LSAT_9X98220 transcript:rna-gnl|WGS:NBSK|LSAT_9X98220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRFSRTAIRSNQKRNEKVIRAKRKHKRLDLICEKTFNQNRDKIESSKLNEVNDDTSEVRRSSRVRRPPSVLDASPCPPKKRKRTDSSSRIVGDRDRFGLKTETLPSSSTFGLEEEESGEWKSRLRARGRKVTFMNGDSSPRSKKKLFRHSDGVKEDSDLVDSRLDDKKEGLVGETSMIVQPKRPGRIKASNVVNNGHEGISLGNSAKDDEETSILIAVKDNNNACSTDNGAAIDLLEQENEVPLTSDSKDNSNNMVQSPEQSKPIEQPESKEKENQSSHQEVTCAPDNHVEDDAINVNHLQEEDSKVVDEDKHSHSPAHRHHKPRIKKGRCCGMCGGGTDGKPPKILVHDGAGSDNEEYSGSSSSEEPTYDVWDGFGDEPGWLGRLLGPINDRFGIAGIWVHQQCAVWSPEVYFAGLGCLKNVRSALCRGRVLKCSRCGRRGATIGCRVDRCPKTYHLPCARVNGCIFNHRKFLIACTDHRHLFQPHGTKYLENLKKIKTKKLKSQMRKLSNDASRKDNEAEEKWLENCGEDEEFLKRESKRLQRDLSRIAPVYIGGPTPTSENPIPFQGWESVGGLQNVIQSLKEVVILPLLYPEFFNNIGLTPPRGVLLHGYPGTGKTLVVRSLIGSCARGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAEKSQPSIIFFDEIDGLAPSRTRQQDQTHNSVVSTLLALLDGLKSRGSVVVIGATNRPDAIDPALRRPGRFDREIYFPLPGVKDREEILSLHTQKWPSVIDKSLLKLIAKKTVGFAGADLQALCTQTAIIALKRRCPWDKLLSCAEEKGRFGKRPVLPSFTVHERDWLEALSCAPPPCSRREAGMAANDIVTSVLPVHLLPCLLRSVSRLVLLLCLDERVCLPGSILKCGELIKEVVVSVLERRREESDCWWGRVEDLMKDADVAGEVELSLVSAGVLVGNGGCDDEGKVNNGFMMNDFYKRKSGFCVLISGNPGSGQRHLASCVLQCFVGNAVLQKLDLATMLQEGNGDMLQGLSQILVRCAGVGSCMIFMPRIDLWALETYNQVDEEEKEKPEKTEIPSVLKSSHLWSSFVEQAESIFISSSLMILATSEVPFELLPLRIKDFFGTTNKQNQNLNPSSHVGSTIPRFSVNIDFDLDLDVVVTSSAKKLSNDVARYFIELTHQKAHPPNADVDTDTPVEEYTFQNQTPSSLPPTKKEEKGKSNLLLAISTFGYQILQYPHFAELCWVTSKLKEGPFTEIDGTWKGKGWPFNSCIIRPSDTSAKLSVVGGSNVKGKVKYGFVRGLIAVGLLAYRGVYSSLREVTRDVRKVLEVLTSEINARVEGGKDRRQFGRVLSQVAYLEDMVNSWAYTLQSLETPQIMEAKNDIVEGNDEDDEPIMEKPSHTQGFNDEDISKSKEEHVDSIVDESNSTQVIIETQESTSSKLSNGFVHEESTLSKNNPTDNEKSININHSSTNQVSKPPISLLYRFCPKCMSNLQNVMRTIIISLWEEKKKSSKLTTEDVHDTVTSLSLNLYKNIKTFFEGREVGSCECEVGCHLDTSGDDLDLGCGLELIYRKGVVVGELEKSDECFDCKFERVCLCSVIEYIVESKNLHLYPSTSNLMNTTTLIACCTTATTGDDGFTTTTTTSDDVSTIDLRDPPPDL >cds-PLY69426.1 pep primary_assembly:Lsat_Salinas_v7:5:301289258:301294188:1 gene:gene-LSAT_5X162541 transcript:rna-gnl|WGS:NBSK|LSAT_5X162541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galactinol--sucrose galactosyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT3G57520) UniProtKB/Swiss-Prot;Acc:Q94A08] MSLHLCLHFNLPISSIIKLSATPPLPSVPSAVVLSHLSSFTVSPQTPFVKMTITPKISIDAANLVVHGKTILKNVPENIVLTPGTGSGLVTGAFIGATSSNTKSLHVFPVGVLEDLRFMCCFRFKLWWMTQRMGTCGKDIPLETQFMLVESKDNNDNSPTIYTVFLPLLEGQFRAVLQGNDKNELEICLESGDHAVETNQGLSLVYMNAGTNPFEVITQALKAVENHMQTFHHREKKKLPEFIDWFGWCTWDAFYTDVTAEGVEEGLKSLSSGGTPPRFLIIDDGWQQIGNENKDPNIVVQEGAQFANRLTGIKENEKFQKKKDDHPPGLKHVVDEAKERHNVKYVYVWHALAGYWGGVNPSVAGMEHYDPTLAYPIQSPGVKGNQPDIVMDSLAVHGLGLVHPRKVFNFYNELHAYLASCGVDGVKVDVQNIIETLGAGYGGRVSITRSYVQALEASIAKNFEDNGCIACMCHNTDGLYSAKQTAIIRASDDFYPHDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAADYHAAARSIGGCAIYVSDKPGNHNFDLLRKLVLPDGSVLRAQLPGRPTLDCLFADPARDGISLLKIWNVNKCTGVVGVFNCQGAGWCKIEKKTRIHDASPGILTGSVQSTDVDALTQVAGPDWHGETVVYCQRSGEVIRLPKGVSLPVTLKVLEYELFHFCPLKEISENISVAPIGLLDMFNSSGAVDEFEVHLASESRVFSDGSTPLSETRPVTATVSLKVRGCGRFGAYSSQLPLRCSVDGLNTEFSYDNATGLLTIVIPVPQEEMYKWCIQIEV >cds-PLY93502.1 pep primary_assembly:Lsat_Salinas_v7:5:325517362:325518794:-1 gene:gene-LSAT_5X179661 transcript:rna-gnl|WGS:NBSK|LSAT_5X179661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSISKDGFQVSLLFTDFVPGTSADNDILTMCIKIAPALKGLGFTRWFTRLSSFPWKCCTNNLEKANI >cds-PLY74082.1 pep primary_assembly:Lsat_Salinas_v7:9:13510246:13514528:-1 gene:gene-LSAT_9X11721 transcript:rna-gnl|WGS:NBSK|LSAT_9X11721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLAKREIAPRIKKYLPRRQGSGTSEHHHQSFVKPNSESARDSRRELHSWVEAESLQCLSAKYCSLMPSPRSTIAAAFSSDGKILASTHGDHTVKIIDCQTGKCLKVLSGHRRTPWVVRFHPLRPEILASGSLDHEVRLWNANTSECIGSRDFYRPIASIAFHSEGEVLAVAAGHKLYLWQYSSRGETSSPAIVLRTRRSLRAVHFHPHSAQLLLTAEVNDLDSSDSSMAPATSLGYLHYPPPAVYMGNMHRIDRLSLAAELPLMPLPLFSSPSTTRLDSRRPQSFSDQHLFHANANATVTQQQPMDPSSSSFIHTSSSSSSSHNDNSVNNNQVQNMDISDVEGVPLLRERVRWELPFMQGWLIGHNQAATATSSGFPLRSGANPNPEALYNMNQNGGPVAVNESITNEGVGQPVPMIGRIQSQLSASLTATAAAELPCTVKLRIWSHDSNNPCASLKPERCRLTIPHAVLCSEMGAHFSACGRYLAACVACVLPQFEGDSISGVQSQLHHQEGRGPGTSPTRHPISARQVIYELRIYSLEEATFGLVLISRPIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLKSIDINGDASLSIYTVLEVYRVSDMEVVSVLPSAEDEVNVACFHPFSGGGIVYGTKEGKLRILQFNGGDGMKISSRPDQSVEAHMVDIQQ >cds-PLY83340.1 pep primary_assembly:Lsat_Salinas_v7:1:63347025:63349316:-1 gene:gene-LSAT_1X54000 transcript:rna-gnl|WGS:NBSK|LSAT_1X54000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g53360, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G53360) UniProtKB/Swiss-Prot;Acc:Q9LFI1] MATLANRACRPTVLANFRTDQSSNDYISSLCKQKLFKAALEGFDFLRERTNFQVKPSTYAHLFSACSSLRSLEEGRRVHEHILASNYHPDMILCNHILNMYGKCGSLEDARKVFDKMPERNVVSWTSMIAGYSQTGQGNKAIKMYCEMRLSGIMPDQFTFGSIIRASSGMNSIELGRQLHANVIKLSSGSHLIAQNALITMYSNFGKISEASLVFSSIRTKDLISWSSMISGFSQLGSEQESLACFKKMFSYGTYNPNEFIFGSILSACGNLLRPEYGKQIHAMSIKHMLQKDTFVGCSLSDMYARFGFLNSAETAFCQIENPDVVSWNTIIAGFAYSGYVNEAVFYFSKMRNTGFKPDDITLRSLLCAFTTPSTLHQGKQLHSYATKTGLDIHISVCNTLLLMYAKCSDLDNAFQIFNDLENNKDLVSWNTILTICIQNKQSEKVFKLFRLLVLSNNKPDHVTFASLLVGCRELTSLKTGEQVHCFIIKTGFEVDICMTNGLIDMYMKCGSLLNGRKLFDYMCNPDVFSWSSLILGYAQFGYGKEALDLFKRMRDSRVDPNGVTFIGVLTACSHVGLIKEGLELFNSMETKHGIMPTREHYACVVDLLARGGLIKEAEAFIDQMSYDPDVVMWKTVLAACKTRNNVEIGERVVEKILKIDPGNYTGYVLLCGIYAGVGKWKEVGELRRLMKERSVGKVPGQSWIEIEGLVHVFCAEDVLHNERDKIYGVLEELWLHMSSFDKVGGNEIEQVGIDLREKLMNA >cds-PLY93639.1 pep primary_assembly:Lsat_Salinas_v7:1:188248186:188248416:1 gene:gene-LSAT_1X120381 transcript:rna-gnl|WGS:NBSK|LSAT_1X120381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRNSRWGSGGMRWRGAVAVCDGSGSTMTSTGHKVVMGGGSSTGDGHRSPVDDDSGRKKNVTEEWWRLVSPSLVID >cds-PLY68389.1 pep primary_assembly:Lsat_Salinas_v7:8:23097992:23100262:1 gene:gene-LSAT_8X18361 transcript:rna-gnl|WGS:NBSK|LSAT_8X18361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRTERNNNPSVIAKLMGLHEVPLKQQPVSRPKRVLSENYLQKSASVGSRGRRWSKVKQKNREILKKPNSTLNDSSKDVSLRYLENRSTTFGWEFKKQLLARSKRPKVCQEIWSGIRVCDHSEVPSMAGLESKQRLELGTQDWKDKSATKLVYKRFNISSLPNKKLKKVSKHDLGFTSGRQHNNLLTNSEDVEFSSGDEGIKQIDEKAECNDSAKEGCFPCSLDVSFEQDSPCEDRFTSLNCIDIDEHGSNATEAHHPSPNSVLETDNLSIDEYGDKFSTDLHGLYLKLQILKSESEENQSKPEIVTSSDEDAAETERCFGPKESQEFSYLVNVLDEIESFERWHSVEHIVSPLIFETLEKKYGKQESWNKADRRLLFDRIKLGLSEILRQHVEFIVYSKLLKRKMSSILRRDAIEEELWKMVVSEGKEVSVNADLSEKAVGKEPWLEELGEEVDSIVVEIEAFLFNELALELVAF >cds-PLY70743.1 pep primary_assembly:Lsat_Salinas_v7:8:166004804:166007893:-1 gene:gene-LSAT_8X109040 transcript:rna-gnl|WGS:NBSK|LSAT_8X109040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 50 [Source:Projected from Arabidopsis thaliana (AT3G06980) UniProtKB/Swiss-Prot;Acc:Q8GUG7] MLLKASPPALPVNFKFSFNTISNSTFPSFNNCSVSGEIGVGGPKSGRRGVVAVGAGYTKRPLETPGAYELVDEESGDKFIVWGGTDDDDHQAPIPSKEVLHWEPSKKNNKDKINNLEANSTEDAPLMNNSGDGITRPKANSKGVSRSFERLRAHKVRDLSRVSSLEKDQTDDAQEETHSAKQNRLNTMKNVPNILYEDDNVSKLGGEIEGVSMNVSNKLRKSNTAGDFFSRKSFKNLGCTDYMLQFLNTQLLAYPSHIQAMSFPHVIKGESCIIADQSGSGKTLAYLLPLIQSIRQDELQGLAKSLPQSPRIVILVPTAELASQVLRNCRLMSKSGVPFRSMIATGGFKQKTQLENLRNELDVLIATPGRFLYLVQEGFLQLTSVKCAVLDEVDILFKDEDFQPALQSLMNISPVTTQYLFVTATLPVNVYNKLIENFPDCQVIMGPGMHRTSSGLEEILVDCSGEEGVEKNPDTAFLNKKSALLQLVEEGPVTKTIIFCNKIETCRKVENALSRSNRKVLPFHAALAQDLRVANLDEFCQSRIKDTSLFLVCTDRASRGIDIPGVDQVVLFDFPRDPSEYVRRVGRTARGAGGKGRAFVFVVGKQVSLARRIIERNRKGHPLHDVPSDI >cds-PLY95596.1 pep primary_assembly:Lsat_Salinas_v7:6:138688720:138690476:1 gene:gene-LSAT_6X83720 transcript:rna-gnl|WGS:NBSK|LSAT_6X83720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVDRLELVKAQLQPFLRQGEEYVRRVEEFAREIPPIQIYIAVGAVFITTFLIYLIRLFKHTISNTIVLTGLSGAGKTVLFYQLRDGSSHQGTVTSMEPNEGLFVLNSETSKKGKIKAVHLVDVPGHSRLRPKLDEYVPRAAGLVFVVDAVEFLPNCRAVSEYLYDILTKSSVVKRKIPLLILCNKVDKVTAHTKEFIRKQLEKEIDKLRTSRKAVSDADISNEFTLGIPGEPFSFSHCVNKVTVAEASALTGEIQPLELFIRERVKP >cds-PLY72155.1 pep primary_assembly:Lsat_Salinas_v7:7:57534071:57538841:-1 gene:gene-LSAT_7X42081 transcript:rna-gnl|WGS:NBSK|LSAT_7X42081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRCAATSVRRIKATTTRNRLHTRTSEAIIQSVVPKFTQGARRIPDHSFELQFTFDYTKNQNKSLELLQDDIDNFQESTDPSPRHSLPHVLVASLVSFLFGYHLGVVNEPLESISHDLGFSGDSLAEGLVVSTCLGGAFIGSLFSGWIADGFGRRRAFQLCALPMIIGASCSATTNTLTGMLIGRFIVGIGLGIGPPVASLYITEVSPPAVRGTYGSFIQISTCLGLMGALLIGIPVKSITGWWRICFWLSTIPSTILALAMIFCAESPHWLYKRGRNAEAEVEFQKLLGAANVRSAMAELLKSDRGDENDTVGISELFYGRYFRVVFIGSTLFALQQLSGINAVFYFSSTVFRSAGVSSNLANAFVGIVNLLGSIIALLLMDKLGRKVLLLWSFFGMAMSTVLQVIAAGLFASTSGALYLSVSGMLMFVFSFAIGAGPVPGLLLSEIFPNRIRAKAMAFCMSVHWVFNFMVGLLFLRLLELMGAQLLYSMLGTFCLVGVAFVKRNVMETKGKTLQEIEIALLPQEYSEI >cds-PLY69725.1 pep primary_assembly:Lsat_Salinas_v7:2:64201032:64201901:-1 gene:gene-LSAT_2X28840 transcript:rna-gnl|WGS:NBSK|LSAT_2X28840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRINSSSLSHVAATIKLPPWSKHFRLHCWFPLSILIDSNCNRVLFSYKNANRREGTKWIQRQIEKGEGVAAVLEVILVLSCGIRWLNEPTVALVVGSYDYYSEMDEFNQ >cds-PLY75039.1 pep primary_assembly:Lsat_Salinas_v7:5:2405219:2406114:1 gene:gene-LSAT_5X2161 transcript:rna-gnl|WGS:NBSK|LSAT_5X2161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIILNVIEPFHLLVGAEMMDDLKYPLQDNIVPIWAVLIIAILLPLVVILIYYFIRKDVYDLHQAVLGLLFLVLVTGVLTDAIKDVVGRPRPDFFWLFFPDDKGGALLDLVFSHGTQLEKSGHLTKEATWQSFASLSSLFSLQL >cds-PLY64135.1 pep primary_assembly:Lsat_Salinas_v7:1:698189:698518:-1 gene:gene-LSAT_1X501 transcript:rna-gnl|WGS:NBSK|LSAT_1X501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRTRRWSSSSSAVPAVALVGGYGLYSGVAAPVISLDRPRRPAARWTRDGRHRYRNSSSGDFFDSRTSSHSSKWRQRHILVVFQQHTTSNDVVMAESAGDMAAAGED >cds-PLY82274.1 pep primary_assembly:Lsat_Salinas_v7:1:66171500:66173098:1 gene:gene-LSAT_1X56680 transcript:rna-gnl|WGS:NBSK|LSAT_1X56680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKSFVFLLVLTLALYFSSAYSQDFISCVESNSANASSISELIYTPGNASFQPIWQFAVQNTRFLKPSTPRPSIIVTPTDESLVQTSLLCAKKHGYEIRIRSGGHDFEGLSYTADVPFVMIDLNNMRSIDIDVANSTAWVGTGAVLGELYYSIAQKSNNTLYFPGGTWPTVGISGLIGGGGTGNLLRKYATAGDNVLDARIIDVNGKILDRESMGEDLFWAIRGGVASSFGVVVAWKLQLVPVPEKVTVFIVNRTLEQGATEIFFKYQSLATFEDRNLYIRSQAASEFIGNTTQKTMRIIFQGIYQGTTNELIPVMDKVFPELGVTREICQEMTSVQSTLVFFGRPSTTPLEILTNRSAIPKSNSKTKSNFVRTPIPISGLEKIWSKFFENDLSGGLLIIPSGGRMDDYAETATPYPHRAGTLYLLATSVNFVGQANDTTPVSLRRLAWLQSLEELLTPYVSQNPRESYVNNNDLDIGVGAANYMEASVWGERYWKRDNFRRLIRIKANVDPENFFKFPQSIPVFKRRGLAQ >cds-PLY85468.1 pep primary_assembly:Lsat_Salinas_v7:3:43175157:43177217:1 gene:gene-LSAT_3X34281 transcript:rna-gnl|WGS:NBSK|LSAT_3X34281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METNGGSGAAPTGCYKCGRPGHWSRDCPSDPTSNSNNDGSNKKPAASSHPFKASGGVGGASFQGQKQPIEKPKKVPKTRPKLTPELLLSDDGLGFVLRHFPKAFKYHGRGHETNDLGNLLSLYAEWHSHLIPYYSFNQFVHKVEQVGATKRVKLCLNDLREKVAHGGDPAKILETTQQNENSNPNPEQDVNDLDDLNLHPEDNLPNNDDTDDFQHNMMNEIFENPTEEASGELNNEAMNADKSEANIEMTEELKARIEANRLKALERAAARKRALESQES >cds-PLY82609.1 pep primary_assembly:Lsat_Salinas_v7:8:175207547:175211173:-1 gene:gene-LSAT_8X113781 transcript:rna-gnl|WGS:NBSK|LSAT_8X113781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIQIKFKNFERCTFSNAYGTHFITAFTIRKYLEYTPFQRRVEQLETLEQAKSWASTAEVLEVLMMIQLHMDLHLLIVLP >cds-PLY64378.1 pep primary_assembly:Lsat_Salinas_v7:4:26491171:26493752:-1 gene:gene-LSAT_4X19021 transcript:rna-gnl|WGS:NBSK|LSAT_4X19021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAACLVQRSGWIRNVDCFFEWLSAIHFTRDGFGDAATAEGLGEALMVMFPPQNQPSLGVERHCILVAASNPYPLPTLVYRPPMQKTEATDNNEVQSESCFSDAETIAKAFAQCSVSLSVICPKQLPKLKAVYNAGKRNPSAGDPTMDIVKNPHYLVLISETFLEARAALSRRSGITKLPSQSPLKSDATSAPPGAMQSTKSKYVKVWEGNLFGLRRGQPVFITRIEGYLKASASETLAANWPPTMHIVRIISQDHMNNKQYVGVADFPVFRAMNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKACRLIGMVLPGVVHVHSATASTTTATTDSAIC >cds-PLY70597.1 pep primary_assembly:Lsat_Salinas_v7:1:89684269:89685299:1 gene:gene-LSAT_1X74581 transcript:rna-gnl|WGS:NBSK|LSAT_1X74581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHIAAAEERIVTEKLRQKLNEVNSAVQSQLNVVQDHVNFTLQKAYFRCAYECFDRRRRQEDISMCVENCSMPVLQAQNLVEGEMAKFQERLNRSLMVCQDKFESAKMQQMRTDATKDMESCVNQTVEEHVKALPHLVAKLKASLNINPINE >cds-PLY65616.1 pep primary_assembly:Lsat_Salinas_v7:8:40461510:40462694:1 gene:gene-LSAT_8X31480 transcript:rna-gnl|WGS:NBSK|LSAT_8X31480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDKIQSINGEDKPDTFGERADFYYRKRPELLSLLHDLYNRYLHLADRYTRTLSKHHHHLHHESQPPSPISIHTTTTTTTNDFSDDAQTTSISYQPPEKQTPSDLVISELVIKFVEYDFMVDELKVSDSIQEESRKKIELQKSLLDVLESERVVLTNENSRLASESLFMKRKAGELARCVLLERTEDQRVFILSRKIDDLQGQIYELEKRNKEYYEKLMKQGSKGTEGKKSNISINIKRLMVGGGGGGGGGGGGGGGGGGWSSSSVSWSGGEDEMGCSMSSTSNSSTCTSLAQVMKDKKGPRGGVGGKKVSGWWDRVKKFDMFMCGPHLDVTC >cds-PLY77479.1 pep primary_assembly:Lsat_Salinas_v7:4:49329149:49333996:-1 gene:gene-LSAT_4X33321 transcript:rna-gnl|WGS:NBSK|LSAT_4X33321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVASQCSGPAVGLAFSPCLGFLDNKDPKPLKTCCSGHENLHKRGKTKEDRVAICNCLKTLLENHDRRRFLNIREQCHIDKSKGLGISDVGPTADCSKHAFRGGPSADLRGTMNSPRRGVLLPINVVATHWFMVVLHLDTWKVDIYDSTRSMNFFSKYLTGGEFKSFGDSIISELDVIEYSNDFPVGHKDKATVEFIDIIDVPQHEYTLDSGDCGVFVCMFMQKVVFGVPVKIDKPRRDAGFIYRNRMTNIIWDTI >cds-PLY68773.1 pep primary_assembly:Lsat_Salinas_v7:2:211486203:211487222:-1 gene:gene-LSAT_2X131440 transcript:rna-gnl|WGS:NBSK|LSAT_2X131440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SAR DEFICIENT 4 [Source:Projected from Arabidopsis thaliana (AT5G52810) UniProtKB/Swiss-Prot;Acc:Q9FLY0] MATMIGNISPSAGRSPIFIDAPTIRSVLPYRSLINHLQTSLAAASSVIQSPIRHSHQTSSSSTLLLMPSWSLSSTTLPYIGVKLVTTHPNNSALNLPGVHASYVLFNSVTGETLASMDGTELTLRRTACVSAVASHYLSNKNSRVLLSVGAGSLAPHLIKAHLTTRPGINHVMIWNRTSQKSKKLVEEIGKESGMEGVRFEVCEDIAPAAAMADIVSCATNSETPLVLGKWLKAGAHLDLVGSYKPSMMECDDEAVKRGRVFVDNEAALVEAGELVGAFERGVMKEDEVAGDLVGLIKGEKIGRKEEIENTVFVSVGSAVVDLLSAQLVYETITTKRSN >cds-PLY89521.1 pep primary_assembly:Lsat_Salinas_v7:4:158794402:158795492:1 gene:gene-LSAT_4X95580 transcript:rna-gnl|WGS:NBSK|LSAT_4X95580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVQERNATSQSTMSSSSPQTAVNGRDNECDWVWNEIKAEARRDAESEPALASYLYSTIISHSSLTRSLSFHLGNKLCSSTLLSTLLYDLFLNTFSSDPSLLSATVADLRAARQRDPACISFAHCLLNYKGFLAIQAHRVAHRLWALNRKPIALALHSRIADVFAVDIHPAARVGKGILLDHATGVVIGETAVVGNNVSILHHVTLGGTGKVGGDRHPKIGDGVLIGAGATVLGNVKIGEGAKIGAGSLVMIDVPPRTTAVGNPARLVGGQEQPKVHEDVPGETMDHTSFIYVI >cds-PLY68160.1 pep primary_assembly:Lsat_Salinas_v7:7:70462343:70462570:1 gene:gene-LSAT_7X50881 transcript:rna-gnl|WGS:NBSK|LSAT_7X50881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPCLVKRNGDDLCALFKMLLSEDRFHAAMVGHFPVVVIGGGGGGGGGERLRELMLPKKMVIGLFVKACILMPSLL >cds-PLY96295.1 pep primary_assembly:Lsat_Salinas_v7:6:158260862:158264060:-1 gene:gene-LSAT_6X97580 transcript:rna-gnl|WGS:NBSK|LSAT_6X97580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLQHEPRVTGGSNRVSAVDLSVLNSKDFDDHKDIDHDQKPGWKSFLSFVGPGFLVSLAYLDPGNMETDLQAGANHRYELLWVILIGLIFALVIQSLAANLGVSTGKHLSELCKAEYPIFVKYCLWLLAEVAVIAADIPEVIGTAFALNILFKIPVWVGVLLTGLSTLLLLGLQKYGVRKLEILIAILVFLMAGCFFGEMSYVKPPAGDLLKGMFIPKLSGQGATGDAIALLGALVMPHNLFLHSALVLTRKIPTSVRGINDACRYFLIESGIALFVAFLINVSMIAVSGTVCSEKNLPSDEMDRCNDLTLNSASFLLKNVLGKSGSTLYAIALLASGQSSTITGTYAGQFIMSGFLDLKMRKWLRNLVTRCIAITPSLIVSIIGGPSGAGRLIIIASMILSFELPFALIPLLKFSSSSTKMGPHKNSIFIILFSWALGLGIIGINIYYLSTAFVDWLIHSTWPKVANVFIAILVFPVMAAYVLSVIYLMLRKDVVVTYIEPSKGNPIAQDNMESGNDANRRPELTNAPYREDLSDIPFPQ >cds-PLY92261.1 pep primary_assembly:Lsat_Salinas_v7:2:210482708:210483040:-1 gene:gene-LSAT_2X130841 transcript:rna-gnl|WGS:NBSK|LSAT_2X130841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAYDVAALALKGTYAILHFPESIISNTLPECPTTGNIRAAAARAAAAQSSVYESDSKSMKDVRGRVAAPPGVYMDEEAVFGMSNLLSEMAEGMLYKSPKAGFSSVGR >cds-PLY95469.1 pep primary_assembly:Lsat_Salinas_v7:9:160793664:160795744:1 gene:gene-LSAT_9X100541 transcript:rna-gnl|WGS:NBSK|LSAT_9X100541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGTRMPTWKERENNKRRERRRRAISAKIFAGLRMYGNYKLPKHCDNNEVLKALADEAGWTVESDGTTYRKGCKPADWTHIGGSISASPGSSYQQSPYASCNPSPPSSSIASPTTNPHPDSLIPWLKNLSSSSSSSSMSSKLPHAYIHNGSISAPVTPPVSSPTAQSPPRIKTSSPWGAPTLSWFSGVRVPQSGPASPTFSLVAANPFGFKETGLGHGGSRMWTPGQSGTCSPAIPLGFDNNADIPMAEVVSEEFAFGRVKPWEGERIHEVCGQDDLELTLGGSKTRFVMHNMTLHDMTE >cds-PLY71394.1 pep primary_assembly:Lsat_Salinas_v7:5:46723956:46725848:-1 gene:gene-LSAT_5X22940 transcript:rna-gnl|WGS:NBSK|LSAT_5X22940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFKILTRTLVLPKSIKQIPSQIPNFSTSICSNTTPLSNLFERYGFPPSNLHTFFAKNRFLLDSNVPNVEMSLKIISSFSSSKNFVVSMVNNCPRVLELDFLKKWEMGISKLGFSDNSSLTMNILEVSRKFDLYPDDVLQCMECLRGFRFTPATITKILEELPMIITMKEENIWGKIEFLLGIGIHRSKIDTIIQTYPGILGFGVENKLKPLISEFTEMGFKPNDIREEITRNPKILQSEVGELSKCLRMLNSLKCRVPIKEKIFSDGVFRASYKVKLRIDCLHKHGLIYKDAFSILWREPRVMLYELDEINKKIEVLINTMKFDVVCLVEVPEYLGVNFEKQIMPRYKVLEHLRLRGGIGDEVGLRSLVKFSRLRFYNLYVKPYPECEMIYGRFVDVKVRKGCPEGMWKLFKPRKYPESEEDLKNMKSFMEQLR >cds-PLY77870.1 pep primary_assembly:Lsat_Salinas_v7:1:24474525:24475807:-1 gene:gene-LSAT_1X20181 transcript:rna-gnl|WGS:NBSK|LSAT_1X20181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDRIPHWSVFDNVKMIPSKPESLMATINSGISSLEYAKATKLLNSSVPFSKDKKRIDDENPNSSSVYDVRRADEAYKEGLAYLAAGDLEEAFQSLNLALSNCPPTKPAVVAKLQSLISLTAQRLQNSPS >cds-PLY83699.1 pep primary_assembly:Lsat_Salinas_v7:4:41503829:41508417:1 gene:gene-LSAT_4X27681 transcript:rna-gnl|WGS:NBSK|LSAT_4X27681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGLTYQHHYRCSWSWIFFYIVGPSKSWAIQILIVISSSFLDPQFYTLSISGYIIIDCGSPKNFNYVDLDTGIIYTSDGSFITTGVNKNISLQYTYPNNPMLPQPSKHTMINYSVIALTEELITTANEEAANSGVGIGTSANLSPGFHHSGAAQNGSASSITSTPIQAWVQGANSNSNTSDGAPNSNNNTNTNTTPNPIT >cds-PLY92518.1 pep primary_assembly:Lsat_Salinas_v7:4:322926857:322928119:1 gene:gene-LSAT_4X160520 transcript:rna-gnl|WGS:NBSK|LSAT_4X160520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNLQKILSILFLLFFIFTAPSCADIKSVKISSDDRGLIDFHKFEFTNTGQLSVSISSVSVSSMPVTSNLSRPDLSRIGFFLVSDYALHYMIDEHSCYLDSKYISLLFTFQDISPPSQCSFNKSYAVTYPNSYTLTFANCNPLSHVTMDVKAEFYNTHNGTTKDYLPAGQTKLPSLYFKLSVIYLCSLAFWISICFKNYPSFHWIHLLMGVLLLMKGLNLLSAAKVQHSIKVTGTPLHGWNVLLYIFQFIRTVLFYTVIAATIDGCWFLKPIILINNSLMIVIPLQFFATIASKVACEVLLEESLLWDIGSLFADSICKTLFIVLIGFAVYWSSEADEAVKNYSAKFSLIRVFYFVFILCSCVDYVCGIVGSDWAMAKEIASLVLYMVMFSLFMPSEKSEYFVPPASEGLVGDVELGCGV >cds-PLY65665.1 pep primary_assembly:Lsat_Salinas_v7:5:275186733:275196851:1 gene:gene-LSAT_5X146000 transcript:rna-gnl|WGS:NBSK|LSAT_5X146000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVGLLLMKSKILKGIDGFHHHLSSQVQLEFLEFPSSYSTDKMASKLKQLQSKAGQASQFLSKHGSAYYKQLMEQNKQFVQEPATVEKCNELSKQLFYTRLASLPTRNESFWKELDHVKNLWKNKQDLHVEQVGIAALFGIECFAWFCAGEIVGRGFTITGYHV >cds-PLY94161.1 pep primary_assembly:Lsat_Salinas_v7:5:35015121:35016422:-1 gene:gene-LSAT_5X17320 transcript:rna-gnl|WGS:NBSK|LSAT_5X17320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFLKSHHRHHSSATSHRSISDTDSQLDEFHSPLRADSPLRSDDFSSTASTAIVAVDKFQNPFRSPLSNSQKSSHTINVSPLAKSQSPAISYNRSVKEDVLTGGNTKAGPRGVEDGGIPPGNGGNKKTRLPVMSIVRKSRSEVAIERAALGCRVCEVILSLIAFSVMASDKTQGWSGDSFDRYKEYRYLVAVNAIAFTYAAFEAIDMTCHLIYERHIVTYLLRFHSEFFIDQILAYLLISSSSSAATRIDDWASNWGKDEFTKKASASVVMSILAFVCFAISSLISGYNLCDQSYI >cds-PLY75234.1 pep primary_assembly:Lsat_Salinas_v7:7:65858214:65858750:-1 gene:gene-LSAT_7X48060 transcript:rna-gnl|WGS:NBSK|LSAT_7X48060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKILPLTYFSFFLDPVSMTSISSPGANGSSYDVFLSFRGEDTRYSFTDHLYKTLKQSRIDAFRDNDDINRGEELKPEIQRAIKESRASIVVLLENYATSYWCLDELMLILQQKREHNHYVLPVFYHVDPSDVRKQNKTFAIEVKASSKWTDHNAFAGMVFILLNTISLWALHMHQNAP >cds-PLY77795.1 pep primary_assembly:Lsat_Salinas_v7:2:170095695:170098315:1 gene:gene-LSAT_2X91361 transcript:rna-gnl|WGS:NBSK|LSAT_2X91361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNGFYSTNEEFNLEAKWLIDPKLLFVGPKIGEGAHAKVYEGKYKNMNVAIKIVNKGDTPDSIAKIQGRFVREVAMLSKVQHKNLVKFIGACKEPVMVVVTELLTGGTLRKYMVNMRPKGLDRKVAIGFALDIARAMECLHSHGIIHRDLKPENLLLTADHKMVKLADFGLAREETLTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSAEDLPEDLAVIVTSCWKEDPNARPNFSQIIQMLNHYLSANLPPEPGPTGIPPRIFASQNAVFSPDSPGTSTLIQKTKESSEGTQKTTMENSPRGVFSCFYRCW >cds-PLY99839.1 pep primary_assembly:Lsat_Salinas_v7:4:45790771:45791533:-1 gene:gene-LSAT_4X31161 transcript:rna-gnl|WGS:NBSK|LSAT_4X31161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSSTTATISRAVPAQATMVAPFTGLKSTTAFPATKQAKTGFSTLPSNGGRVQCMKVWPPLGLKKYETLSYLPPLSEASLAKEVDYLLRSKWVPCLEFELEHGFVYRENHKSPGYYDGRYWTMWKLPMFGCTDSAQVLKELEECKKEYPNAFIRIIGFDNVRQVQCISFIASKPAGF >cds-PLY80883.1 pep primary_assembly:Lsat_Salinas_v7:8:127267992:127270671:-1 gene:gene-LSAT_8X87260 transcript:rna-gnl|WGS:NBSK|LSAT_8X87260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRLTVEYLSLKLPLTSKPLASASGIHSSATPCYCKLRIKNFPPQTALIPLSTPTHSDSCSPDSTSSAINFHLDPAAIRRLQGKPVLLTVSVYTGRMGHSCGLNGGKLLGRVAVVINIDGSVTKPLVFQNGWMKLGNEGDKPAASLHLKVRTEPDPRFLFQFGGEPECSPVVFQIQGNIRQPVFSCKFSADRNSRSRSLPSDFAMTNINRGWMTTFSNGKEKQGRERKGWMIVVHDLSGSSIAAASMITPFVPSQGSDRVSRSNPGAWLILRPHGASISNWKPWGRLEAWRERGPVDGLGYKFELVTNSGMTSGIPISEGTMNIKKGGKFCIDETLKDSRASPLSNIKGFVMSSSIEGEGKASKPMVQVGVQHVTCMADAALFIALSAAIDLSMDACKLFSRKLRKEFLQDEQDFLS >cds-PLY64938.1 pep primary_assembly:Lsat_Salinas_v7:8:132990941:132991858:1 gene:gene-LSAT_8X92120 transcript:rna-gnl|WGS:NBSK|LSAT_8X92120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIAMAAKIARSLLAVRSSSSMPSTGFARSSVASLSDSLGAVNLNRATGSYSPINLRFYSTVVNIQRMKFPKYRKGRIEGIRDGGNEICFGKYALQALECARITAKQLEAGRRALQLNVRRGGKGGKVWVRIFPYKSVTSKPAEVRMGRGKGSISHWVAPVQRGQIIYEMGGVTESLAKRAIQIAGSKMPIQTRSIIRDAKTKEIGIR >cds-PLY83198.1 pep primary_assembly:Lsat_Salinas_v7:7:5653568:5655228:-1 gene:gene-LSAT_7X4060 transcript:rna-gnl|WGS:NBSK|LSAT_7X4060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKNAKNSTLVYSNASLSNDSDKCLVQMNLCQLNQSPWDIITFPSSNSSSSLHQFDDNEVYYNVNLAVNGTSVDSIGAFESVASVKNPEDERYDLDDLKNDYQEILGFKEEEKNGILEDIVLCGESDEKGWQCGKMVKNGNTMCDHHIIELQNDSIWTTKKKSRSGSGPINELMAGTRARQTKKGSSTSPYEFYYYSGFGPSWGKKRGAVGSNTTNAYNEPTKIMDMSLTDDDMNIGKDMVKTIEPKISKVGPTIMESATMDDNTKKGKTGIIGKKRGRKPMKARSLKSLM >cds-PLY89574.1 pep primary_assembly:Lsat_Salinas_v7:4:365609768:365611731:-1 gene:gene-LSAT_4X178280 transcript:rna-gnl|WGS:NBSK|LSAT_4X178280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNSCWELYCLEHGLQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFHAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSVLLDNEAIYDICRKSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGIIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGLESADGEEDEGDEY >cds-PLY99361.1 pep primary_assembly:Lsat_Salinas_v7:9:203742879:203744503:-1 gene:gene-LSAT_9X124220 transcript:rna-gnl|WGS:NBSK|LSAT_9X124220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNISGDGGGSFSSGNTGGVLEEGQQRQTSIVNSHSTSTAADVGSTPQELLPDSSKKKKRSLPGTPDPSAQVIALSPTSLMAKNKYVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSTEIIKRVYICPEPTCVHHNPTRALGDLTGIKKHFSRKHGEKKWKCEKCSKRYAVQCDWKAHSKICGTKEYKCDCGTIFSRSAFLP >cds-PLY73012.1 pep primary_assembly:Lsat_Salinas_v7:9:36960635:36963180:-1 gene:gene-LSAT_9X34801 transcript:rna-gnl|WGS:NBSK|LSAT_9X34801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVDRELGRGEFGVTYLCIDRSSRELLACKSISKRKLRTAVDVDDVRREVAIMKHLPDNSSIVTFREACEDENAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTMVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRSYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGLIDFKREPWPSVSEGAKSLVRQMLEPDPKLRLTAMQVLEHPWLQNAKKAPNVPLGDVVKSRLKQFSLMNRFKRKALRVIADFFSNEELEDIKDTFKKIDTDDDGIITIEELKTGLQKLNTQLAESEVQLLIEAVDSNGKGSLDYGEFAAVSLHLKKMANDEHLHKAFSYFDKDSNGFIEPDELRASLMEDGDDDCSNIANDIFQEVDTDKDGKISYEEFAAMMKTGTDWRKASRHYSRGRFNSLSVKLMKDGSLNLGNTE >cds-PLY98720.1 pep primary_assembly:Lsat_Salinas_v7:6:104440130:104446893:1 gene:gene-LSAT_6X66040 transcript:rna-gnl|WGS:NBSK|LSAT_6X66040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAGWACLVALFLDQSVPKVSNLCLCFFFLPHLVLPKSLLEGNFTYNNDQGKCSGGQGDGSGPHEGNVGKNHVEGKGDVNDHAYEDDIGKNNDSLNEKDDEDDELGNGSGCNEEEAMNLNFVVENVTKSVGLIDSQEGVSFSQFICDPVVEIFLRTLDQGTENLVDGCINQKQVEDDMNDNLTSFEKNEFDDRTVNLGEDDKVIVAKKDGEGEDVEVDFDLGKQ >cds-PLY90620.1 pep primary_assembly:Lsat_Salinas_v7:6:51810201:51810896:1 gene:gene-LSAT_6X37980 transcript:rna-gnl|WGS:NBSK|LSAT_6X37980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSRNQLQVTHVQSQEGVKVCDCVVPAKERTCLKITNPGRRFWNCQNSMTRLRKCSFFEWKDEEQADGYYKNLLYSLKQKLDAKDEMSEMNNLRRRIAEVEFLLSQEQYKVAKSEKEVHDAMKAIGRYRMIVALLVGCLALCVLKLGGSM >cds-PLY63778.1 pep primary_assembly:Lsat_Salinas_v7:6:26688134:26688883:1 gene:gene-LSAT_6X20661 transcript:rna-gnl|WGS:NBSK|LSAT_6X20661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERVDANEIEDHLLEDNRLEENLEMGVDANEIEDHFEADLEEDVNEIEDNLLEENLEMGVDANEIEDHLEANLEEDVNEIEDNLLEENLEMGVDVNEIVPPVQEVAIQGLDANSWVGEDDGNIDFIEDTQVVGRPRKRKISERIVKIKLKKAVYDKDGRGSSIKKPVNLE >cds-PLY78786.1 pep primary_assembly:Lsat_Salinas_v7:8:59884717:59885022:1 gene:gene-LSAT_8X43360 transcript:rna-gnl|WGS:NBSK|LSAT_8X43360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKMKKIALIGPWGGSDGENWSFKAEGKITKIFINHGEVIDSIGFVSLDDDGNHLHSQRFGGYGGDYTEVD >cds-PLY95321.1 pep primary_assembly:Lsat_Salinas_v7:8:242472783:242478679:1 gene:gene-LSAT_8X144820 transcript:rna-gnl|WGS:NBSK|LSAT_8X144820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSFLFLFCFFIGTTANHQTAIQEACKATRWPESCQISLTKSGLDLIPADPSPFQILHSALSLSSKNLGRAKFMVRAILHYSGSNLNLTTTAKLCLQSLDNSAYRLHSAEEVLPRNRIKDARAWTSAALSYQFDCLSGLKKVNDTPMVDKTLSFMNNTLMMSTSNALSMMMSYEEFGNCSGWWRSPRTERDGFWESVGGRSGSGQKHGIPKGLKANVTVCKDGGRCRFGSVQMAVNAAPDNLGGGRRFVILIKEGVYEETVRVPLAKRNVVLIGEGMGKTVITGSLNVGQLGMTTYETATVGVVGDGFMARDLTIQNTAGPDAHQAVAYRSDSDLSFIENCELIGNQDTVYAHSLRQFYKHCIIKGNVDFIFGNSASVFHKCTILVRPRQLDLGKGENSVVAAHGRTDPAQATGFVFHECVINGTEDYMKQYQKKPNVHQTFLGRPWKEFSRIVFVRCKLEALVSPLGWMPWDGEFALKTLYFGEYGNSGLGSNLSGRVIWSSQIPFDHVILYSVHNFIQGDDWMLT >cds-PLY77619.1 pep primary_assembly:Lsat_Salinas_v7:4:275549863:275554050:1 gene:gene-LSAT_4X141461 transcript:rna-gnl|WGS:NBSK|LSAT_4X141461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold domain-containing protein MRL7L, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31840) UniProtKB/Swiss-Prot;Acc:Q9SKB6] MSIPFTASSCVFLLTELSSSAPNNPRSSVYAGFQFKTLELGIGNIVEMSLLSMLPFSQPLFAKENLLKASISFNKMPFTTIHLPRSMFLTERSFLRASKVEILDCDDDKKKESTKSSKIDDDDDDDSDFEMDEDERIEFRKKIRQMIEMNPEVKDVDPEEKKKMMEKLLSDYPLVVDEEDPDWPEDAEGRGFNFSQFFDKMTIKNVKKDDEDYDSDGEVNWQDIRAIKDIKSEEWEDTVFQDLSPLIVLVHNRYRRPKENEMARDQLEKAIQIIWDCRIPSPRCVAIDAVVECDLVSTLGVSVFPELIFTKVGKILHREKEIRTADELSKMMAFFYYGAAKPPCLGNDFVMTNDAIPGFTTKK >cds-PLY70043.1 pep primary_assembly:Lsat_Salinas_v7:5:201297542:201300359:1 gene:gene-LSAT_5X91001 transcript:rna-gnl|WGS:NBSK|LSAT_5X91001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDESRGGGRRVEKRVYDEEDDDGMPQSKKPKVPGLASVIVEALKVDSLQRLSSSLEPLFRRIVSEEVERALTRFGHGQIDGRSSPPRIQGQEGKVLQLEFRTRMPPHLFTGGKVEGEQGATIHVVLLDATTGNVMQTGEESSSKLNVVVLEGDFNEENNENWSTEHFESHEVKAREGKRPLLTGETQVTLNEGVGTLGDLTFTDNSSWIRSRKFRLGLKVASGCCVGIRIREAKTEAFAVKDHRGELYKKHYPPALHDEVWRLDRIAKDGALHKKLMNSEVITVEDFLRVLVRDPQRLRNILGSGMSNRMWENTVEHAKTCVLGGKLYVYYADLGHTTGAIFNHIYELRGLISDAQFVPLESLNHNQKISVDSLVKRAYENWNRVVEYDGKVLSALTYPSPPTHNLIEYPFISSDQTQTLGGGGGVSGTTTTTTTHQSSPPTHYDYVPGAPSTFTGSDGWSSQSPDDGHGFGDSFSEEVRLRSSEMLETDDMQKLLRSFGVGVTGSTFGVYDNGSYGYQNHVPHEPQVGPVGHGRGSGKAVVGWLKLKAALRWGIFIRKKAAERRAQLVQLD >cds-PLY97659.1 pep primary_assembly:Lsat_Salinas_v7:5:234414894:234415184:1 gene:gene-LSAT_5X116860 transcript:rna-gnl|WGS:NBSK|LSAT_5X116860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPARHHPVSDQPTTTATTGLPLFSSFSFFSHRHVEPHPPFDPLVVPPNQDHDGLWPPAKIDVVNRRNLAANTWTANDGVVTAATPQPVKTQSP >cds-PLY81912.1 pep primary_assembly:Lsat_Salinas_v7:8:120199786:120200221:-1 gene:gene-LSAT_8X84280 transcript:rna-gnl|WGS:NBSK|LSAT_8X84280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTCRCGNEAMKITSWMDRNHGRQFWNCKRCGFIRWSDPPMCARALVVIPGLIRSMNRLEEMVAIVVLELVLLYLVYVSD >cds-PLY63673.1 pep primary_assembly:Lsat_Salinas_v7:4:133831721:133832441:1 gene:gene-LSAT_4X83700 transcript:rna-gnl|WGS:NBSK|LSAT_4X83700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHESIAPLFSSQSTEAERLTHEGKPTDDEIMVYLVDLQFNLEEDNVPDNMIMRIVLEAWLKAMNKNRSKGWMFIPNACIIRFKKLRDVTKERHDIFVEQMMEMKAFVDLKVAELKFEMSKAAEKMENNYTLLQKIFDVVATSITKLVDFNTDYSNKLEAKSEKDSQVFAKLEEFLSSIKESISKVDLSNQPCVSQESIR >cds-PLY88250.1 pep primary_assembly:Lsat_Salinas_v7:4:154054538:154055050:1 gene:gene-LSAT_5X38621 transcript:rna-gnl|WGS:NBSK|LSAT_5X38621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLETGLNGTRSLIEASPESWEEKIKENKEYAKFRIFDEKYALLFEDSIVIEDQTMTPLQFQKNSNPNEKNMEGKGNSYEINLDDDEPLFHSFHESSSTKRKKKKSFSNNCSTKSKSLVYEETLNILLDAIS >cds-PLY68762.1 pep primary_assembly:Lsat_Salinas_v7:2:211758569:211759050:-1 gene:gene-LSAT_2X131080 transcript:rna-gnl|WGS:NBSK|LSAT_2X131080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEEIDIIARCLAASKFDSELLAFMESQLATFKGSNPQLQVVTELNRENKNERVVSVMNMSPEDVLLCATSLRNSLGRNMVKLKTRHVTKHPCV >cds-PLY67418.1 pep primary_assembly:Lsat_Salinas_v7:4:240992804:240995374:-1 gene:gene-LSAT_4X129640 transcript:rna-gnl|WGS:NBSK|LSAT_4X129640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFLFQVLVFVLLTHTPLISPSPTPPSQPLVLNPGSSLSVENKNDILISPNGLYTAGFYSVGENAYCFSVWFTKPLADGSHTIVWMANRDVPVNGKRSKLSLLKTGNLVLQDADQPLPIWTTTIRDSTESAQLKMNNSGNLYLQNHDNQIIWQSFGSPTDTLLPDQQLTKDTPLVSSRSLTNYSSGFYKLFFDNDNVIRLVYNGPKVAGVYWPSPELRAWESGRSTYGSRRIATLDFSGRFISSDSLFFNTSDAGIQPLRRLTMDVDGNFRAYSLDEIRGVWQVTWQAMSESCRIHGSCGENSTCSNNPTHGRKCSCLPNHKMINHTDWSYGCEPEFEPTLCDNGEDNFLELPHTDFYGYDAKYMPNITLDGCKQQCLNICNCKGFQFKYDRTKGIFLCYAKFKLVNGFSSVSFNGSMYLKLPKTLPISSINNNVVQRFTLNCSEIPTIQLPRAYDKNPNEDSIKSLKIFTYVFGTLEIICIMYFFYKTRNPYNKSQGYLQAATGFKRFSYQELKKATKNFSKEIGRGGGGIVYKGLLSDNRVAAIKRLKQISNNQAEAELLAEITTLGKLNHMNLIEMWGYCAEKNHRLLVYEYMENGSLAQNLHSKKLDWSKRFDIAIGSAKGLAYLHEECLEWVLHCDVKPHNILLDSDFKPKVADFGLSKLLERDGTCNSEFKKARGTRGYMAPEWLFINRPITSKVDVYSYGVVMLEMITGCSQRSDLSGQSERKLANWVKEKIVAAGGKNDWIAEVVDVNVNGEYNMRRMEILIMLALQCSEEDEDARPTMSQVVDLLLHVKEDD >cds-PLY96213.1 pep primary_assembly:Lsat_Salinas_v7:9:194722166:194725357:1 gene:gene-LSAT_9X121081 transcript:rna-gnl|WGS:NBSK|LSAT_9X121081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLILWFVKQIMGVLLAAVLRPQESQCPLAQYITRELLTCLIMEHVMRFASPEYAPVLSDSVVGELKLLEPIDVILLGIPKIEKLEIEGKGFHTNLYAVRGNYSGGKEGLVLGSIYNLFHASLNFLEVSLADSLVDKGKDSLLIPEQTQVTRIIGARVH >cds-PLY70998.1 pep primary_assembly:Lsat_Salinas_v7:9:72523386:72526720:1 gene:gene-LSAT_9X58581 transcript:rna-gnl|WGS:NBSK|LSAT_9X58581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDVRATYTISKALGHDQFGVTYLVTHKTTHQQFAYKSIAMWKLINKDDIDDVRRQTLCSTCKTLVNNKCPTCRQELGDIGCLALEKATESLELPCKYSSLSCHGIFPYYSKHKHEVIFNCFGQYFFLHFEAFQLSMALVYMAFMRFMGDESDARSYSYSLEDSWSLGKSVSSFSALLHGNASGLSGLIFVDEMSVFVEEKLSLRRIDRIYVVGQQEPHMEVMSPISKGVQMYNMNRLLVSMYREFRALQKRGLTPAIRANELSARFANVAEVSLRKRLKLLCDFQVCAFESLLA >cds-PLY91094.1 pep primary_assembly:Lsat_Salinas_v7:MU039484.1:837450:837716:1 gene:gene-LSAT_0X37040 transcript:rna-gnl|WGS:NBSK|LSAT_0X37040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISHFDFTDHECFSSDLRTRFRIGNGDVPEAFRGGELAVVNSLSTTLATLSLMTPAVEESLSTIPAALNLIEVEDEAVRGGMYLRFNQ >cds-PLY78468.1 pep primary_assembly:Lsat_Salinas_v7:4:261814173:261816681:1 gene:gene-LSAT_4X136101 transcript:rna-gnl|WGS:NBSK|LSAT_4X136101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSAATAPQLRSSGMTTAIGGANPSFGFGNGGTSSSSRFASSSSFRCRSCRCSINNATAISPRAWAVFKENRSGSRVAWFSTSFSDTGVSVSALKSENNSDDGVGGGDDEKTMKFNRRHRGSPGGGSGSNAPPLLPGNPDLLSIPGVGPRNLRKLVENGIGGVAELKKIYKDKFFGKSSQKMVEFLRSSVGIIHRNHAESITTFIKESVDEELKDDHINTNNTQASQKRRLTFCVEGNISVGKSTFLQRIANETLELQDLVEIVPEPIDKWQDIGPDHFNILDAFYAEPQRYAYTFQNYVFVTRVMQEKESSSGVKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDAFIYLRASPDTCHKRMMLRKRSEEGGVSLEYLRDLHEKHESWLFPFQSGNHGTLAFSNPPVHVDTSLHPNIKDRVFYLEGNHMHSSIQKVPALVLDCEPTIDFSKDIEAKQQYARQVAEFFEYVKKVKEVPAEGVEGSSSGGTGSQSQVLLPHGGLWVPPQGKNLPESLKSLDFRRAMSLG >cds-PLY72389.1 pep primary_assembly:Lsat_Salinas_v7:4:147305581:147306723:1 gene:gene-LSAT_4X91521 transcript:rna-gnl|WGS:NBSK|LSAT_4X91521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTAKRYFSGKAPVEKSKFVQTCNRLSLFLKENGNQFRDLSFGINAKFDASETTTVDLLSNMKFPGEKDLNLNAEKSEKMLPQYVILDSSCDVEDLKNKATETKASQMTIFYGGNILVLDDVPEDKARDLMLMAQKGSPKIENRIELASIAKPSSDDHVFRLPPPPIHAELQTKGSDLPIARRASLHKFLAKRKDRATVRAPYQSPGGSFSGNDHRFDLNF >cds-PLY79410.1 pep primary_assembly:Lsat_Salinas_v7:3:80188443:80195625:-1 gene:gene-LSAT_3X62681 transcript:rna-gnl|WGS:NBSK|LSAT_3X62681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENMIDQDQQWLINSLNATLDTNQQARSFAEASLNQASVQPGFGSALSKIAANRNLPLGSRQISFCHFLLKQFIKKHWQEDDDGFEHPVVSDEEKAIIRGLLLLSLDDPHRKICTAISMAVASVASHDWPDEWPELLPFLMKLINDQSNTNAVNGALRCLALLSADLDDKLVPKIIPVLFPCLHAIVSSPQIYDKPLRTKALSIVYSCTSMIGVMSGVYKTETSALMLPLVKSWMVEFSSILKNPVQSEDPDDWSIRMETLKCLGQFVQNFPSLAESQFMDVLVPLWQTFVSSLVVYERSSIEGLEDSYEGRYDSDGSETSLESFIIQLFEFLLTIVGHKKFVKAFGNSIQDLVYYSIAFMQMTEQQVHAWSMDAKQYVADEDENTYSCRVSGSLLLEEIVISCGIDGVYAILNAAKQRFDESQQQRLKGSADWWRMREATLFAVSSVSEQLLEVEVSGPSGVNLGNLLEQTFTEDMASGVHEFPFLYARMFSSIAKFSSVINNAVIDHFLYAAIQAIGMDVPAPVKVGACRSLSQLLPDTNRGIPQPHILALFSSLTELLKQASDETMHLVLETLQAAVRAGHESALSIEPVISPIILNMWALHVSDPFISIDALDVLEAIKNAPGCIHPLVLRVLPYVGPILNKNAPTDVVKAIYEVCFDPVVRTVLQSDDHGEMQNATQCLAALVSGGQQQLLTWGGDPGFTMRCLLDVASRLLDPDLDSSGSLFVGNYILQLILHLSSQMAQHIRDLVAALVRRMQSCQIAGLRSSLLLIFARLVHMSTPHVDQFINLLITIPAEGHTNSLHYVMSEWTKQQGEIQGAYQIKITTTALALLLSTRHVEFGNINVQGHLIKSSVGITTRSKSKISPDQWTLMPLPAKILGVLADVLLEIQEQIGEEDEQESDWEEVEAGDAEIEQDLLYSTGTTSFTRPSNGYLDAMAKAFNEDDDDGCDDELLCAADPLNEINLANYLTNFFREFSQGDKPLFDHLCQSLTQAQKKAVQMVVSR >cds-PLY61800.1 pep primary_assembly:Lsat_Salinas_v7:6:60632227:60632773:-1 gene:gene-LSAT_6X43700 transcript:rna-gnl|WGS:NBSK|LSAT_6X43700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKLKTQSLANKNNGMLKLKVVVEKLQKRLSEAKKWAPRNTNLGTVPVKKGHFAVIAVDDYEEKKFVVPLAHLERPSFQKLLERAAEEYGFNHEGALMVPCRPSEFEWILDGSGDDVGRSSSKAMVESC >cds-PLY67749.1 pep primary_assembly:Lsat_Salinas_v7:9:164981514:164983566:1 gene:gene-LSAT_9X102021 transcript:rna-gnl|WGS:NBSK|LSAT_9X102021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDLPDDHPHSFKQQAKIHCAYCNGSYTQKESGKEYEHLTLQIHNSWLFFPFHRWYLYFYERILGKLIDDPTFAIPYWNWDNPTGMIIPDLFEKPIQVRERKENPVFDAYRDARHLPPALVDIDYNGEDRGVSCIDQITINLSAMYKQMISNASDPTSFFGGRYVAGMDHDDKNSHGNPSVGSIEAGCHTAVHRWVADPRMPNNEDMGNFYSAGYDPIFYAHHANVDRMWKIWKELGIRGHREPTDKDWLDASYVFYDENEELVRVYNRDCVDLNKLNYDYETSRIPWARNRPIPRAKNPQMAARSARMGRSFHDVQFPVKLDGIVKVLVKRPYVNRTKEEKEKANEILMLNGICFDSEKFVKFDVYVDDKDDEPETTAADSEFAGSFAQLPHHQSGEKMFMTSAARFGLTELLEDIEAEDDESIMVTLVPRTGSDDITISEIKIELVPIV >cds-PLY98053.1 pep primary_assembly:Lsat_Salinas_v7:2:20065805:20066932:1 gene:gene-LSAT_2X11501 transcript:rna-gnl|WGS:NBSK|LSAT_2X11501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDQIPIHIQEEIIKRLSVKPLLQFRSVSKAWKSLIDSSEFIAAHSSHHTHPQHLLVRYQDRVNSKKQYVSFVDDETFPKHRFVLTSPFSVQILILPRHVGSSHGLLCLYDGYLAVLWNPSIRKSIAVPVPNEKYVHHETVVGFGVCPVTIDPKIIKITQFSSWYWNEREINHPLKVEVYKMSSGKWKSLSLSSNLPSKSFRVRGSQVVIDRFIYWCALRYVSINGMLCTRNVIMSFNVSNENMGVVDLPECLASAYPYNTWLSIYKLRESLALHEYSNGVCVVWVMESGVVNNFTRLYTIRASHGSKMILGFRESGKPIMEVKDHLIARGTLVVYDPNLEKFNDLEICGTGDFLFVNSYMETLLLHDRSDCSSN >cds-PLY85848.1 pep primary_assembly:Lsat_Salinas_v7:4:120277922:120279235:1 gene:gene-LSAT_4X75660 transcript:rna-gnl|WGS:NBSK|LSAT_4X75660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSLSFLLAIVNLVCILGLSNAYESPQYTVVHSESEFEIRLYTDSVWMSAPVKEISFRKATRDGFHRLFQYIEGANLNNSRVPMTVPVLTSLVPGAGPLDSSAYVVYFYLPEKFQSTPPLPLPELNLVPDSWTSSCKAVRQFSGFARDNNIVEEAEKLATSLSKSPYSTSSSSSFAYSIAQYNSPLKFFGRVNEVWVDVDGCKSTEVAAY >cds-PLY74424.1 pep primary_assembly:Lsat_Salinas_v7:6:11815714:11817069:1 gene:gene-LSAT_6X10060 transcript:rna-gnl|WGS:NBSK|LSAT_6X10060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYVLRVRFASFFAGAAVASAGGFYFLHKDYKVAHQSMSQQINDFYKSLDGRISSLENLKEIEAMKPVEGAE >cds-PLY82524.1 pep primary_assembly:Lsat_Salinas_v7:2:182893959:182899436:-1 gene:gene-LSAT_2X104400 transcript:rna-gnl|WGS:NBSK|LSAT_2X104400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESEAQIPEWASTPCMMGIDEAGRGPVLGPMVYGCLYCPVSYKETLSTLEFADSKTLKEEKREELFESLKTDKTIGWAVDVIDPKELSAKMLQKNKINLNEISHESAMGLVKRVLDMGYLLTEVYVDTVGDPEKYTVKLSARFPSVKFVVAKKADSLYPVVSGASIVAKVTRDRALRNWVFDETAENMHREFGSGYPGDPATKDWLEHHKHPVFGFPSLVRFSWGTCNSYLKDGVEVLWEADKEDEDESSSKSGKRQMKLSNLGFTGMKRKSEDIESSGKGRCKFFESRKLERAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDKATYDKLLSEAPKFKLITPSILSDRLRINGSLARKAIKDLMARGAIRMVSAHASQQIYTRATNT >cds-PLY87779.1 pep primary_assembly:Lsat_Salinas_v7:1:46031052:46032728:1 gene:gene-LSAT_1X40301 transcript:rna-gnl|WGS:NBSK|LSAT_1X40301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNMSKQTYTNSASINIVSVSDSDVNLTNIRSGSHTDIGPRKFNEDEHIRIDDLSSHLGDLYKWSFPSSFYAIFDGHGGSEASSYVKNHVMKLLFEDSDFPQSSDINKTFLKDLEDSHSKAFLLADNAIANECSISDDCGTTALTVLVLGQHLVIANAGDCRAVLCRNGIAIPMSQDHRPSCLQERKRVEELGGCFEGGFLDGDLAVTRALGDWYLKLPIGSNSPLIANPEVSVMVLSEEEDEFMIIGCDGIWDVMSNQAAVSLVRRELRRHNDPKRCAMELVKEGLRLHAADNLTAIVVCFKKRVESPVGSTRPKSRRIAMSEEARNTLRRSLLEF >cds-PLY69438.1 pep primary_assembly:Lsat_Salinas_v7:1:64241322:64245574:-1 gene:gene-LSAT_1X54780 transcript:rna-gnl|WGS:NBSK|LSAT_1X54780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTLTPEQKITAPYGSWSSPITSDVVSGASKGLGGTAVDSHGRLFWLESRPSESGRLVIVKGGNDENEETIDVTPKEFSVRTVAQEYGGGDFSISGGTLVFSNHKDQRLYKHSLDSKDSAPLPLTPDYGGPLVSYADGVYDTSLNRYISVMEDRRESSLDAITSIVSIDLGDNGVKEPKVLVSGNDFYAFPRLDPEGKRLAWIEWSHPNMPWDRSELWVGYISDTGDVYKRVCVAGGDSAIIESPTEPKWSDEGELFFVTDRKTGFWNLHRWVESDNTVAPVYSLEAEFAKPLWVFGMNSYEILKEHKNLIACSYRQKGRSYLGVVDKNKNTLSILQTPFTDLMNITSGVRCLYVEGASGVHPLSIAKVTLDDVASNVVDFKIVWSSSPTSSQYKSYFSSPEFIEFPTEVPGENAYAYYYPPTNPNYQSSQEEKPPLLLKSHGGPTAETRGILSLPVQFWTSRGWAFVDVNYGGSTGYGREFRERLLKRWGVVDVNDCCSCAQFLVDSGKADAGRLCITGGSAGGYTTLAALAFKKTFKAGASLYGVADLKLLKEETHKFESRYMDNLVGGEKEFFERSPINFVDQFSCPIILFQGLEDKVVPPDQARKIYKALKAKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGGFKVADEIVPIKIDNFD >cds-PLY78410.1 pep primary_assembly:Lsat_Salinas_v7:3:235717968:235723481:1 gene:gene-LSAT_3X132321 transcript:rna-gnl|WGS:NBSK|LSAT_3X132321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFALSQAATTLSPSAALRHESSTNITQPPSSISTLSIPTFSGLKSTSTPSSRAVTPRRVSASRRRIISSAAVESLQKTDTSLVDKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLHYALLHLAGYDSVKEEDLKQFRQWGSKTPGHPENFETPGIEVTTGPLGQGIANAVGLAVAEKHLAARFNKPDAKIVDHYTYCILGDGCQMEGIANEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTESVEKRFEALGWHIVWVKNGNNGYDDIRAAIKEAKAVTDKPTLIKVTTTIGFGSPNKANSYSVHGAALGAKEVDATRQNLGWPYEPFHVPEDVKKHWSRHTAEGAALEAEWNVKFGEYEKKYAEDAAELKSIADGVFPTGWEKALPKYTPDIPGDATRNLSQSCLNALAPVLPGLLGGSADLASSNMTLMKMFGDFQKNAPEERNVRFGVREHGMAAICNGIALHSPGFIPYCATFFVFTDYMRGAMRLSALSETGVIYVMTHDSIGLGEDGPTHQPIEHIASFRAMPNILMLRPADGNETAGAYKVAVENRKRPSVLALSRQKLPNLPGTSIEGVAKGGYIVSDDSSGNKPDVILIGTGSELEIAVKAADELRKDGKSVRVVSFVSWELFDEQSNEYKESVLPDSVTGRVSIEAGSTFGWERIVGSKGKAIGIDKFGASAPAGKIYKEYGITVEAVIAAAKEVC >cds-PLY85594.1 pep primary_assembly:Lsat_Salinas_v7:2:120348810:120349567:-1 gene:gene-LSAT_2X54860 transcript:rna-gnl|WGS:NBSK|LSAT_2X54860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTGPLINGKPVQFGSAKVQHRFRAKELLKIIGDEDEVITSIHTTSKAEIRILEHAPAKKDGQLYIQILGSDLQVQIAEFLIKEAIKEGYTEPLIPTPLVPTPIFKLAINIELDQVEPFIGTNGINILKMESESKTWIEVDTLERKVKVYGQKEDIFKAMLMINDQLG >cds-PLY93085.1 pep primary_assembly:Lsat_Salinas_v7:4:107108944:107109684:1 gene:gene-LSAT_4X68941 transcript:rna-gnl|WGS:NBSK|LSAT_4X68941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKWRRFVLFPILAVLVLMEMVYYGTVFIFLDDWLGLQSPTGWINAVIFTLLASFTLFSFFVSVLTDLGGVPSGYFLDIEENDGSDQESRYVEALKKRCEKCPAYKPPRAHHCRVCRRCVLKMVGMKD >cds-PLY70923.1 pep primary_assembly:Lsat_Salinas_v7:9:15588758:15590646:-1 gene:gene-LSAT_9X16000 transcript:rna-gnl|WGS:NBSK|LSAT_9X16000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRGSAVNKLFAWVRRQSMKVKIFLAVTSLISSLVALRLLVKDTNQFFLASESVHAAGIVVLIYKLTTQKTCSGLSLQTQELTAMFLAVRLFCSFMMEHDIHTVLDFATLVSTLWVIYMIRFKLKATYNSDLDSMPKYYLIVPCAILALFIYPHTFHSHLSKVLWAFCVYLEAIAVLPQLIMMQKTKMIEPSTAHYVFALGVARFLGCAHWIIQVYESAGAYLFLLGSGYFWLPMVLVAEAVQTLILADFCYYYVKSVVNGRLLVSLPPV >cds-PLY78828.1 pep primary_assembly:Lsat_Salinas_v7:8:288853750:288853947:-1 gene:gene-LSAT_8X162121 transcript:rna-gnl|WGS:NBSK|LSAT_8X162121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIAIGVYRSTIANRLLVVEAKVLCNHITSEAAASDEVQYKKPTDDCQCSPPVTGKNHHRASRGY >cds-PLY89572.1 pep primary_assembly:Lsat_Salinas_v7:4:366575538:366580979:1 gene:gene-LSAT_4X177241 transcript:rna-gnl|WGS:NBSK|LSAT_4X177241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVGPDSIKTRVLNVDKLKESKKKEDEVNETGCWIKFRFFGRCISSRSKVESSISGSSTQYAESKSTNDTSTDQPVVPCASSSTTSNEESNTSTLNITEELKIASQLRKFNFSELKSATRNFRPESLLGEGGFGCVFKGWINENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGDLLHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWAMRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMITGRRSMDKNRPNGEHNLVEWARPYLGERRRFYRLIDPRLEGRFSIRGAQKAAQLATLCLSRDPKARPLMSEVHEALKPLPNLKDMACSSPYFQAMQSERTGSRNGNGNGNGIGNGGGKGQGGRSLSISNSPYHQNQAYRSPKPGR >cds-PLY81130.1 pep primary_assembly:Lsat_Salinas_v7:9:69026505:69029888:-1 gene:gene-LSAT_9X55140 transcript:rna-gnl|WGS:NBSK|LSAT_9X55140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 32 [Source:Projected from Arabidopsis thaliana (AT3G49060) UniProtKB/Swiss-Prot;Acc:Q94A51] MGSEVELIEETIEEFNDVEHTAIVAVGRNVKESKSLILWALQCFAGMKICLLHIHKPAPLITLVDGKFYESSLKQQVVKAWRELEKQKMHKILNQYRLILDEAGVEAGKVWIEADNVETGIVQTIEQHGIKWLVMGAAAEKLYSKDMSELKSNKSIYVCQNAPPTCQIWFSCKGHLIYTRSMKALSSLPAGEETMLTLRHAIDADVEVKSIRCLENSMKNGCSSSTSNHLMIVDTKDQLVRSLSDMFLEKPQELSSSELHDKLEYAKTDAENSKQKAFEESIRRWRAEEDAKDILHKAETSGNTCMEEITERKAMEAKLSKQIEEIETMKNQRDEFIKELQKSKNQKPELEDRISKARDTEKELEERIIQAVNLLITFKESRDKLQDEVDNARRQIKRLTKYIKEDTTTNLSQAQFYKPSFMEIMEATQDFNQSLKIGEGRNGSVYKGILRHVRVAIKMLPSLGSQSDAEFEHEAEVLSRTRHPNIVTLIGVCSATRSLIYEYLENGSLEDRIISSNSKAKTPPLPWHTRIRIASEISSALIFLHSNNPQIIHGNVTPTNVLLDSNHVSKLSDLGISFVFSKINDPEVSAYIDPEFVESGTLTPSSDVYSFGVVLLRMLTGRPASSVVNDTKCAMEFGNFGEILDLSAGDWPIEHANELADLSLRCCEKNPLKRPNLVNDIWKTFEQMKDRFTVAPVEPECHRKIPSYFVCPIFQEVMKDPYIAADGFTYEEDAIKGWLNSGHKTSPMTNLQLEHCDLLPNHALSYAIQEWQQIS >cds-PLY91299.1 pep primary_assembly:Lsat_Salinas_v7:5:250748323:250748565:1 gene:gene-LSAT_5X125600 transcript:rna-gnl|WGS:NBSK|LSAT_5X125600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIIREAIASLGSPAPILVSEGADTMDVGRSVLVQMEPITCLDAGTWGTMGVGLGYCIAVAIASPDRLVVEKLTVESNGA >cds-PLY70135.1 pep primary_assembly:Lsat_Salinas_v7:3:11022973:11023859:-1 gene:gene-LSAT_3X6040 transcript:rna-gnl|WGS:NBSK|LSAT_3X6040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYTELFLPKLLIHVLTFMGLIRKLISTIFQIMGLGDFLEPEFSIDPTRIDSFNQFRSVSAVLIREILPVVKFSELVDPPESCAVCLYEFDDGDEIRQLSNCRHVFHRCCLDRWMDHDQKTCPLCRTPLIPDDLQDSFNERLWAASGIAEYYGDLSVVSN >cds-PLY84438.1 pep primary_assembly:Lsat_Salinas_v7:8:280616269:280617197:-1 gene:gene-LSAT_8X158181 transcript:rna-gnl|WGS:NBSK|LSAT_8X158181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYANGCYMSIFSFGDSVADTENIKQIASISHTDVESLRWPYGETFFHQPTGRASNGRLLIDFLAESLGLPLISPFLHDKEDDKVVEFRQRVNYAVVAATALDTLFHEARGC >cds-PLY70804.1 pep primary_assembly:Lsat_Salinas_v7:4:59199535:59200335:-1 gene:gene-LSAT_4X39621 transcript:rna-gnl|WGS:NBSK|LSAT_4X39621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVPVHIWLPEAHVEAPTAGSVILAGILLKLGTYGFLRFSIPMFPEATLCFTPFIYTLSAIAIIYTSLTTLRQIDLKKIIAYSSVAHMNLVTIGMFSLNIQGIGGSILLMLSHGLVSSALFLCVGVLYDRHKTRLVRYYGGLVSTMPNFSTIFFFFTLANMSLPGTSSFIGEFLILVGAFQRNSLVATLAALGMILGAAYSLWLYNRVVSGNLKPDFLHKFSDLNGREVFIFIPFLVGVVWMGVYPKVFPDCMHTSVSNLVQHGKFH >cds-PLY83698.1 pep primary_assembly:Lsat_Salinas_v7:4:39550012:39550218:-1 gene:gene-LSAT_4X26480 transcript:rna-gnl|WGS:NBSK|LSAT_4X26480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRLLYICSKEATEIGRQVASGACPYCKGKVEAVDTEHKWMFCCIPISYVNKRKYVCSLCSRRLVLYDQ >cds-PLY69413.1 pep primary_assembly:Lsat_Salinas_v7:5:302179046:302180270:1 gene:gene-LSAT_5X161301 transcript:rna-gnl|WGS:NBSK|LSAT_5X161301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit 7 [Source:Projected from Arabidopsis thaliana (AT5G59180) UniProtKB/Swiss-Prot;Acc:P38421] MQLHPRHFGRDLREKLVSKLMKDVEGTCSGRHGFVVAITGIENVGKGLIRDGTGFVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDMPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVISDPGTTS >cds-PLY69469.1 pep primary_assembly:Lsat_Salinas_v7:6:43250083:43251050:-1 gene:gene-LSAT_6X32681 transcript:rna-gnl|WGS:NBSK|LSAT_6X32681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDASGKPMFTVSRSKVFEGEILSKKQKPICCARKHVDILRPKVKILAQVYPTPYDEKTGGYVIEGSYVNRSCKVLDESRNVLAEIRRKETTTKGVSLGLEVFVLVVNGGFDSGLAMGIVLLLDQMSL >cds-PLY62068.1 pep primary_assembly:Lsat_Salinas_v7:2:57440202:57442414:1 gene:gene-LSAT_0X25161 transcript:rna-gnl|WGS:NBSK|LSAT_0X25161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSYKGLGIFSRAIINIYEKITKPEYLRLTIKESIASKDIDAGKPHYKLITPSHDKPPQVVPKSLLIGFIYFKSDGHYGPELMARLSDLMGRREENCTLKDGGDGELNIYLQSKPLAGDVASMQQLKEHLEKDLLEVHFHYPSKKQSL >cds-PLY84875.1 pep primary_assembly:Lsat_Salinas_v7:8:145124890:145128508:-1 gene:gene-LSAT_8X98240 transcript:rna-gnl|WGS:NBSK|LSAT_8X98240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSILPLPSLLLFLFLLPFHVISQSPTTQNDQTTLLNLKSFWSNPPSINHWDQSSNPCSWPEITCSGTTITGITLFNQNINGTVPPFICDIKNLTHLDLNYNDITGNFPTALYNCTNLQYLDLSQNYFEGNLPGDISRLSPELRYLSLFGNNFYGDIPASISRLSKLSSLQLHQCPFNGTFPQEIGYLEDLEELNLSFNNFTPSRLPQSFIQLKKLRFFYMTETNLIGEIPGNFSGMPALELLDLSVNNLTGSIPSDLFLLKNLTEVYLYGNDLTGEIPDSIQALNMKIIDLSANKLSGKVPGGFGNLMRLTNLTLMFNQLSGELPASIGRLPSLNDIRIFTNNFSGELPPDFGRYSELKLFEVDENQFTGNIPQNLCYNGKLIGLVVYSNNLSGEIPKSLETCSSLRVLQVYDNKFSGKIPDGLWNLSSLEKMMMSDNSFSGELPSELAPQLSILEISNNRFSGEIPTGVSSWTNMRVFKGSNNLFNGRIPQDLTALPNLATLLLDGNQLSGELPATIVSWDSLNTLNLSRNQLSGQIPAGLGLLKVLTVLDLSRNKLSGQIPAQLGRQLVSLDLSGNNLAGNIPSQLDNGAFERSFQGNPGLCSNNPVLGLNSCTSRSQSGQSSKISGKSVAIIGSIAAILLLLAVITTAYVIVLYRRRKHGFNSKWKFTSFQKLTFTESTILPRLNDNYMIGQGGSGKVYKIPVNRSGDVVAVKKISTKKDLDLRLEKEFLAEVEILSMIRHANIVKLIGHISSDNSKLLVYEYLENQSLDRWLHRKQTPTSRGLTSSVRHMVLDWPKRLHIALGAARGLSYMHHDCCPAVVHRDVKSSNVLLDSEFNAKIADFGLAKILEKGNELAAMSTVAGSFGYMAPEYAHTTKVNEKIDVYSFGVILLELTTGREASDGNEHSSLAEWAMQHALGSEPIEDVLDKDIMEPMYMNEMSSVFKLGLWCTSRLPTKRPSMKEVCKMLLQCTPAMVVGTKKNGHDVVDHLPLLKLENV >cds-PLY84170.1 pep primary_assembly:Lsat_Salinas_v7:4:369816008:369818964:1 gene:gene-LSAT_4X181221 transcript:rna-gnl|WGS:NBSK|LSAT_4X181221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPFPVGSLISSRLSSNATGDLLQVYEFLCRFKEVLEVEEDCLSYEDLENELHLTPWPVTYGYGAGAFLETVEMNRVKHKVDKLTTVHMALLSRLVSKLLRKITKAFNVTGDAKDTEKDKDKKVNLEMFPINPLPWPEVARRYILACLLMGSKNIAVGNKTKLIRCLQGDDAIFSGSPAGVAGSDLLGRAVEKVFGKRKRERSIFPSGTKVDNLENYRLETDFSIPEWAKVLDPVRKLPTNVGSRIRNCVHESLKKNPPEWAKKLLEASISKDVYKGNASGPTKRAVIDVLQRVSDGPQTASPPGMGIEDIKTSKMLSNTVMKKCRVVLRQVAEAYDKKEKVLVDKKKKVLFDLLGRDLNCNDNYLKIVFGSVSMRPIDLRTIDLRLFHGAYGASHEAFLEDVKEVGTLFRRFYEDNMYRKPIEEMEKELEEILTSTEIPEAPWETRICNVCGINKDDGKVLIYDKCEAYYHTYCLTPPLSQIPKGNWFCPICVPPQQDNEAEGLENILRFVDKNCEETIMLLDIATALKEKEYWELDADKKTFLLKFLCDELLKTSLIRTNVKEPVVNVMQQKNSLNETSTNLKEPDVNHEELSLRNEFLGIDSENRFYWVFQNTNTSTHHGIVVNDTGMCDSDSGAWSLF >cds-PLY81338.1 pep primary_assembly:Lsat_Salinas_v7:4:37879421:37880641:-1 gene:gene-LSAT_4X25341 transcript:rna-gnl|WGS:NBSK|LSAT_4X25341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFCLLVLMCFGEKLDEHRINEIATVQRRLLLLVGSGRFNVLGIFPRLGKILFRNRWKQLLQIREDQEQVLIPHIKSRIESANSEPQSVGDERIVAYVDTLVNIQLPEEEANNENGGKLTQKEMVSMCGEFLNAGTDTTSTALQWIMANLVKHPEIQSKLYNEIISVVGPPPPPPPPEVEPESVINEEDLKKMPYLKAVVLEALRRHPPGHFVLPHRVTKEMEVQGFTIPQGATINFMVAEMGLDPTVWDDPMEFKPERFINGDFDITGSKGIKMMPFGAGRRICPGSDLALLHLEYFVANLIWYFHWTPPDGYHVDLSEKVEFTIVMKNPLQARVSSRTENATS >cds-PLY70652.1 pep primary_assembly:Lsat_Salinas_v7:5:71596613:71597980:-1 gene:gene-LSAT_5X34121 transcript:rna-gnl|WGS:NBSK|LSAT_5X34121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEQVENDAKEVVDVEVIDVEEPRNLFTEMMNDKIISNTKPKGRRSMSDVVISPPEILTNKIKKFPVTSKKFHCPSPKTESRPQETIRGVKKSDNNTGIIYIDSKGDLETQNKFPSPPSFNISHDDNFDLTIVHETSRNDDNALDYEPPIVKETPIKNSYPATLESVSESTIIQSKFPTRSFSPPCSTSASECMNTTTTPKKRQIPQNNSPLDSIVLFLY >cds-PLY86283.1 pep primary_assembly:Lsat_Salinas_v7:8:56525762:56526688:-1 gene:gene-LSAT_8X40180 transcript:rna-gnl|WGS:NBSK|LSAT_8X40180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDISPDESSTSIYQNGQYHEKISRKFEEITSTASKNSEWATFRDLYQYQGFWYYEGSLQRIVQAQEQFKAQPTDIILCTTPDSDALRLQTLAFAIMNRTTTSSLESGNALQPKIPCLEMDLFPMHKDYLEISSNPKFPLFTTHIPYTSLPKSIIDSKCKIVYMCRNPKDVLVSQWRDIGTTDLDRIPLNQAYKSFCKGVSNYGSFWDHVLGYWEATMKWPDRVLFLTNEKLETETSFNVRRLAQFLGRPFSLDEEMRGVVRKISMKKTKEIQRNKDVEDQMDYLSKEMKDHIDQITKNRFKGSGLIIG >cds-PLY87477.1 pep primary_assembly:Lsat_Salinas_v7:2:135134567:135142342:-1 gene:gene-LSAT_2X64920 transcript:rna-gnl|WGS:NBSK|LSAT_2X64920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05040) UniProtKB/TrEMBL;Acc:A0A1I9LRW7] MDSSGTASDVARAIVAALGWSSSSDDRKSAMSYLESVKSGDVRVLASTSFLLVKKDWPSEVRLHAFKLLQHLVRLRWEELSPEERREFAKVSMDLMNEIANSSGEWALKSQTAALIAEIVRREGVSLWQELVPSLVALSNSGPTHAEMVCMMLRWLPEDITVHNEDLEGDRRRLLLRGLTESLPEILPLLYTLLERHFGAAMTEAGRQQLEVAKQHAATVTATLNAINAYAEWAPVPYLSKYGTIHGCGFLLSSPDFRLHACEFFKLVSSRRRPADADSDFDSAMSSILVILMNVSTEFLRVSETNSGIISDSDFEFAESICESLVSFGSTNLQCITGDSEILSLYLQKMVQYFRHYKIELHYQSLVFLLALVRDSLSKPKTVGDGSVDNLAPGSGQTDNHKRKILPFVNDEICSIMLDISFQRMLKKEKSNPGNELAVLELWSDDVEGMGDFGQYRAKLLELVRLIASFKPLITITKVSDRIIMIIKSLLLSPLPSQNLTILESMQLAVENVLGAVFDGQNDENGSASDAQLASCRILEGLLQQLLSLKWSEPELVEVLGHYLEALGPFLKYYPDAVESVIKKLFELLTSLPIAVKDPATSRSRHARLHICTAFIRLAKTADTRLLPHMKGIADMVSYLQKEGQLLRGEHNLFGESFLIIASAAGPQQQQEVMTWLLEPLSSQWTQIEWQNMYLSDPSGLVKLCGETQFMWSLFHTVTFFEKALKRSGVKKGNLNVNNSTPSHPLASHLPWMLPPLLKLLRAMHSLWSPSVTQLLPGEIKSAMIMTDAERTSLLGESTKMPKGTITFTDGLQNTKDGNPEPNETDIRNWLKGIRDSGYNVLGLSTTVGDSFFRCMDIEAIDLALVENIQSMEFRHIRQLVHSILIPLVKNCPSDLWDIWLKRLMYPLLVYSHQALRISWSGLLDEGRAKVPDFNGVLGGSDLKVEVMEEKLLRGLTREISTLLSVLGGPGLNPGLNSEQSSKDSNVVSTSLVGFLLKNKDIAVPMLSLCLDAFRWTDGESTIKVASFCGAVVNLAMLTDNVELRQFVCKDLFSGIIQGLALESNAFTSADLVSLCREIFVNFCKDDPTPRQILLSLPCITPQDLLAFEEALGKTSSLKEQKQLMRSLLLVGTGNQLKALAVQKSVNVITNVVARPRSSISASDSRTEDGGAIGLAAIM >cds-PLY99228.1 pep primary_assembly:Lsat_Salinas_v7:6:184851256:184854781:1 gene:gene-LSAT_6X112361 transcript:rna-gnl|WGS:NBSK|LSAT_6X112361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKQRRNQRKNAAMLDSDDTDSVSSSSTIRSDQMLGNGGEELQIDKESVLDQSLDALYEKRGSTRENALVTIIEAFNSSLPHEFVEKKFATMLHQCLNSVKKGSAKEVALASHAIGLLALTVGSGKKAQEILEEAVSPISEALKSRSESSKIASLLDCLAVITFVGGNEPEETDKCMQIMWQVVHPKLGPNVVATKPSPPVITAMVSAWSFLLTTMDGWTLDPKSWQGSISYFSTLLEKEDRSIRIAAGEALALIFEIGNLEKFSIQEGNNKDVTYIHGLRAKVLNQVKNLSVEAGGKGLTKKDLNNQRNTFRDILEFLEDGYSPETSLKIGGDSLTTTTWCQLIQLNFLKRFLRGGFIKHMQENEFLHDVFDFTPKKKLISGVSGTEKRMYKSPNSALNKARTQYLNKQRMLSQDKNAGHYASGFDEE >cds-PLY84938.1 pep primary_assembly:Lsat_Salinas_v7:6:15136344:15136673:1 gene:gene-LSAT_6X11260 transcript:rna-gnl|WGS:NBSK|LSAT_6X11260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDICGSWQKSRSRSLRLGQNYSRKESSSQSSQPEPITWLSFWRKIVVRKNVDTKKKKKNKGKITCYVYSYDRDDYMRNFDEGSVRENTDLLCRSFSARFANPSRKDLLV >cds-PLY91373.1 pep primary_assembly:Lsat_Salinas_v7:8:45696754:45699234:1 gene:gene-LSAT_8X35060 transcript:rna-gnl|WGS:NBSK|LSAT_8X35060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSHVASFIPFVFTVFLIFNIAKAQSNITRGSSLRPTGATTSWLSPSGLYAFGFYPQTGGYAVGIYIAGIAERTVVWTASRDTLPLSSNATLTFTTDGRLVLDQTQSQQISISGTDGASVASMQDSGNFVLYDSDRRRTILWQSFDHPTDTLLVGQRLVAGQDLLSSVSETDHSIGIFKLSMQSDGNLVQYPNLGFPNGPSTAYWASGTFDKGPNVTLNLDSDGFLYLLQNSTFYIRNLTQGGYPREDAIYRMKIDVDGIFRLYFHNLSNTSQNELVVWASSNDKCTGRGLCGVNGYCDVINEAARCRCLPGFDFVNPNSWSSGCKRNYTAETCKIQEGDEGTSSQMTRLLNTFWEDDAYALAEASNQVECSLACKNDCNCEAVLFTEGSCRLQKLPLRYMQVRDSDSNVGFIKVYVSSVNNGSDPTNSSVQVKRVRQVKFLVMVVSLFSFSVLILLLSGVIMWRSHVCAYKKISEHVNVQLFEDVGLRAFSYAELEKITDGFKEELGRGSFGIVYKGIIESSMKIVAVKKLKQELAQEGEREFQTEMKVIGRTHHRNLTRLLGYCCDHGPERLLVFEYMTKGSLADILFDPKSKPSWSERIRIALDIAHGIFYLHEECETPIIHCDIKPQNILMDEYGCAKISDFGLAKLLEHDQTRTSTLIRGTRGYVAPEWHKKLPITVKVDVYSFGIVLFEILCCRRKLDNNLPSDEAILEEWVYGCYETDELFKLVNDADVDRRTLERMIKIGLWCTQEDPSLRPSMKKVVLMLEGTVKIPVPPNPTSFLSAV >cds-PLY74536.1 pep primary_assembly:Lsat_Salinas_v7:7:32630018:32631636:-1 gene:gene-LSAT_7X25060 transcript:rna-gnl|WGS:NBSK|LSAT_7X25060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINVDASVARAEGFQLGKRLSVFDLIAIGKLSINLRIITFHRLSVIDYFSDLHQAMYFGGPDKLPAFLTQPTILGIIVDPCAAVLVFIIIGLLCTGIKELVSAVVIGLVPCSQLDPNTPIASAFANYGKHGAVYVIRIGSVTALCAALIGGILPQVYICI >cds-PLY88433.1 pep primary_assembly:Lsat_Salinas_v7:8:86814220:86818161:1 gene:gene-LSAT_8X60400 transcript:rna-gnl|WGS:NBSK|LSAT_8X60400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGEQISVPSSPKNGEDYSVDEPIPKLMNDMKGKKVYITNEDKSLPVCWKGSKPFKSIYDVKNLFEPIILKFKKSKLQLNPESCLIISKNGNACFGILNGSEVGLGNINVIGGQKIKILKGACAGFHKTNIYATLEYIILEGFQGDAVEAWIIYRRVEVLEEDGCLLESANGNPTFDFRKSELVPINVIDSGKAKTGRKKEFDAALRELTGKDVDISEEVDEIQSNASMAYECYVIGLNGGNSYCSV >cds-PLY97782.1 pep primary_assembly:Lsat_Salinas_v7:4:374778167:374780149:1 gene:gene-LSAT_4X185741 transcript:rna-gnl|WGS:NBSK|LSAT_4X185741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVDSPALKRRRFAVADQLAMEKLVAAKQTYGREIRVFETTTATISQTSNDVSGSEEPDDLEFTTADYYRILATKKEDKYLKTKKIRDAETAASRSRITKAVIRVRFPDNHTLEATFHPTETMQSLVDLLVKVVARPDLPFYIYTIPPKKQIKNMSQDFYSAGFAPGAIVYFSYDLPQGDDTIVAQGPFLKEDVMALKDLDSLIPDPDQPAPEPVVMAATPVQKPAGEKKMVKPKWLKM >cds-PLY87325.1 pep primary_assembly:Lsat_Salinas_v7:3:112163355:112164044:1 gene:gene-LSAT_3X80601 transcript:rna-gnl|WGS:NBSK|LSAT_3X80601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSHRSIKFHTYRPTHSIYKLSANPSLKPQYTSLTSFNQTSKMTKICCVVLLCAFMVVLMSQVPITEAANCNYMELVVCAGAITSPQPPSGDCCNKVKEQQPCFCGYLRNPTLRQYVTPENARRVARKCGVAIPNC >cds-PLY90110.1 pep primary_assembly:Lsat_Salinas_v7:6:17679258:17679993:-1 gene:gene-LSAT_6X13301 transcript:rna-gnl|WGS:NBSK|LSAT_6X13301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNTCTSLITQRIDAPAHVVWPLIRRFDNPQSYKHFIKSCNMIGDGGVGSIREVTVISGLPASTSTERLELLDDEKHILSFRVVGGEHRLNNYLSVTSVNEFNKDGKVYTIVLESYIVDIPEGNTVEDTKMFTDTVVKLNLQKLSVVAMSSLHGSE >cds-PLY89257.1 pep primary_assembly:Lsat_Salinas_v7:5:310561499:310562808:-1 gene:gene-LSAT_5X168201 transcript:rna-gnl|WGS:NBSK|LSAT_5X168201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSPISQKSTTGNTCVSNRSSSSPLRRLLEPLFPSKATNTHRETVAKVSGNCREVITDSKQGLFQTAIKNGRPMFTFAVENSNALAATVRDLSKNNNTWIYTFFTIDEVKKKNGSWLSQSKKDKDYVPNVIARMKVSNRVVSNSNSNTREFVLFPVDKSQPNGQISNVQPRDELAAIVVKFSKVDDKEEKSEGFNTTVVLPGGNHGVSSKGRPTPLIERWITGGACDCGGWDLGCRLRTLTNQVESRRRSNAKSGQFELFFQGDNLNERSFFSLCPLKEGIYSVEYNSSLSVLQAFSICISVVECRKSTQDTESRTYIAKQVDDLNPLSFASFPPLSPVGRV >cds-PLY86665.1 pep primary_assembly:Lsat_Salinas_v7:4:279118514:279119512:-1 gene:gene-LSAT_4X143201 transcript:rna-gnl|WGS:NBSK|LSAT_4X143201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSPKPSSRKVFRSITLPCRSHPSTYRIEKVLNKVKTWESTSSLSNPTAEIICSGVFQLTELYECLDDLVKTCPSKTSLDSSNQNMRWTDELLDVSVIFMDIFSNISDLMLQTKQHVRDLGCDLRRNGCPSIDSIIDNNLQKXFRKKLRRDIRTSVASLNQLDDMISYYALVDFENNHLISVIRVFREVKAFTAVIVQLLLKFLAIPLLKTRSRCRWTTVSRYISKKEKADTNINELQHLDAVLFRYRTSNKLEFIQIVRKRLEEFEATVDGINSQLESMSRHLITTRTSLLNFISFY >cds-PLY66273.1 pep primary_assembly:Lsat_Salinas_v7:4:63728905:63732810:1 gene:gene-LSAT_4X44621 transcript:rna-gnl|WGS:NBSK|LSAT_4X44621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDVKQAGLSLDSLVSSFNTRIAELQDLVVARNMYPASSITDLTAVDTTLKAMEHQLQQIKDRLREETLAIPKAKKLIKASLQQQQKLQSMSVYAPSYMPERGKVMHHDNTTCSTVEEPIKQDYSFGIQEPKEEPAPLIKEKKGRGSPPLWYITANELDSLSSYMRGRLTLDKINAAINDMAVYAEANSQLITAPRKKLTESTIEKALEVREIGMAEGVKGKHFFLETDVKGPSLKLDITGKAILTVLRHLGRISESRIGHHRVFILLRPQ >cds-PLY83991.1 pep primary_assembly:Lsat_Salinas_v7:8:37297485:37297760:-1 gene:gene-LSAT_8X29761 transcript:rna-gnl|WGS:NBSK|LSAT_8X29761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPNQRHRFNEHRRRRPPIVTVAMSDWKKKYIGYRVVFHVSTSVSARVWMHIPEYVVVCVLDRPEVECVREGDEKEKVAGDDEYKRKGKMRT >cds-PLY79483.1 pep primary_assembly:Lsat_Salinas_v7:3:248268197:248269387:-1 gene:gene-LSAT_3X137021 transcript:rna-gnl|WGS:NBSK|LSAT_3X137021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNNLSLRKKQHEFELRREQQEKEKKAKKLQAKKNKMKVDGSASKKKKGSGGFTVGKKKLKTRMTPLAKAKVAQAMEVDK >cds-PLY86117.1 pep primary_assembly:Lsat_Salinas_v7:5:39443915:39446286:1 gene:gene-LSAT_5X19500 transcript:rna-gnl|WGS:NBSK|LSAT_5X19500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQAAPSQTKLLYKNYKVNKEKLKSQVKEKLNMINHQRKAASSSARRAPVSGTKGKNKKYCGGGGQIMGAGSSLKMQYPPSGPSGWGQLGNHVTSGLVANESTETSTFSPLIGREVRTRWPDDNNFYEAVITDHNPVEGRHALVYDISTANETWEWISPEDIQWWIRYSVHGMSRPVGRDNGPGGGGGARRGRGLPKSQAAATSRKDFPRSHNGIGKKGRDNIQSLHTDTLIKEVERVFGSSHPDPVEIQKTKKMLKEHEQELTDGIARLADISDGESGDLVKMAMVNTSGPNHLPSFGQLIGNLTQDIPLLSIYNLCLAAWTNFEAPDRPWHSRRYFTLLNVAHHGTF >cds-PLY76592.1 pep primary_assembly:Lsat_Salinas_v7:5:221617078:221619468:-1 gene:gene-LSAT_5X104360 transcript:rna-gnl|WGS:NBSK|LSAT_5X104360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMGTTVQTPNSSVKSSSPMEDDSFYLTRCRRDANCKCKTCVASINATLDLMSAHRSTLTKLSSSKPSPPQTPLFSNPSTLSTPISETSRPMVSTPLSPAIRKSFRQKMNSKKTKLGYVLKMMKWVLILCLIVIGKLGFSFLTSRVMKTKFSPEIVRKLSEKSSGIQDVKERLVFLNNELQSFMGDGVSEASSTYPNWEIVQDGLILRSRCQLHKSWMEEVSIWGWPLQTSGLLTTEFASRSFTILSGTVTEWSNGESSHSIRKANTSWEQGKWSASLWRLDQNTWILEYTQSFIFENTRPFLSTMEFFKLMMMRVSRCMKQLCMFSGGNHLAPT >cds-PLY69070.1 pep primary_assembly:Lsat_Salinas_v7:5:277650829:277651886:-1 gene:gene-LSAT_5X143960 transcript:rna-gnl|WGS:NBSK|LSAT_5X143960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNSVPSNSPTDFSSVLHKSPFTTITSAATLRMLKLELTHMSWRTKSHDWKKKMIESGGRSVTVIRSGTNDGKPSGVVKIVDCGEVIEDKKNNVVEPVKGKKKKTVK >cds-PLY81636.1 pep primary_assembly:Lsat_Salinas_v7:8:219861625:219862500:-1 gene:gene-LSAT_8X134761 transcript:rna-gnl|WGS:NBSK|LSAT_8X134761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVQKIKKKKRQLEDSLHKVIVEIDHGSDNERSDIRIDDFGLGSPRRDSPVKSNFEDTGNPGANVNVYNTNTNTNSSDPTFTSILEKTTVIPPEVSQTESYTEEVKTSYINEKVSDTDINVNMGDGMLNNEAFGTSTLETSIIPTSFILSSTIDTSILDTSTSLPPLSSPIPSSLPVSTISPTYYTITFEPITSLFSSQSIKAQRIVQDDEPNNDDIMVSFADLQFDPGENNVSDNMIMSGKQFKILNNKLEYLLQIQADTRGRNFVSGVEMEYMLKSQENRLRSLVENIEK >cds-PLY75465.1 pep primary_assembly:Lsat_Salinas_v7:7:75215759:75218290:-1 gene:gene-LSAT_7X54221 transcript:rna-gnl|WGS:NBSK|LSAT_7X54221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIRTTTQLANFLQLCIDKKSHTKGKLLHAHILRTGLFADTFLSNRLIELYHKCGRLTTARRVFDKMPHKNLFSWHAMLSAFCKSGDIDEAHKLFVKMPERNSVSWNTLISALVHNGSDQKALNLYHDMNKTGLLPTNFTLASVLSACGALKDFICGCECHAFSTKIGLDKNIYVGNALLGMYAKCGHIQNAIKAFEDLPEVNEVSFTSMVGALGETDHINDAFHMFQSMHRIGIQIDAISMSSILGVCARSGNNELGQQFHDLTIKLGLEKDLHLSNSLLDMYAKNGDMNSAEMVFNNLSQVSVVSWNVMIGGYGQQHEIKKSIDCLKRMERFGLKPDEVTYINMLTACIKSEDIKTAHEIFNKMTFPTLSSWNTLLSGYSQIGKHKETVQLFRNMQFCNVKGDRTTFSVVFSSCASLRLLKSGTQVHAASLKIHIDDDIYVASGLIGLYSKCEKINLAKIIFDRMNTQVEDIVCWNSMMLGLSINNLENESFVLFKKLLETEMIPSQFSYATILSSCTKLLSLSQGRQIHAHVSKQEMEIDVVVGSALIDMYSKCGDVNDARLVFDTMITKNTITWNEMIHGYAQNGEGEKGVLLYEEMINEKKLKPDSITFIAVLTACSHSGLIDYAIKIFNSMFQEHGIEPVSDHYTCVIDALGRDARFDEIEVILGNMPCVNDTIVWEVLLSCCRVHGNVKLARRAAEELFRLDPCNSAPYVLLANMYSSLGRWDDVRKIRELMIEKKAVKNPGYSWVEHKDGIQEFNVDKNEQVSDERYCLSG >cds-PLY80052.1 pep primary_assembly:Lsat_Salinas_v7:4:347976473:347977037:1 gene:gene-LSAT_4X171221 transcript:rna-gnl|WGS:NBSK|LSAT_4X171221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKRTATHYLNTKTPKVHPFISILRTPKRNPNNSAVSPISFPVMGSTTTRRPKNFPARRVIRRSISSHQRRSRSLHYKSITTSSHSSVSNKLQALKHLIPAQELESDAALGSTDKLFQETADYILLLRTRVSILQKLVDFYCSSSQNYVNV >cds-PLY70909.1 pep primary_assembly:Lsat_Salinas_v7:9:15559294:15559689:1 gene:gene-LSAT_9X14921 transcript:rna-gnl|WGS:NBSK|LSAT_9X14921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLARLHPERLPHQVMDLKFNEDIILSHDAKNGTLYLCGFQRKPRVHEYPPFHEPNFAKKEAALASECARVCSNVKSMKFWGNKCLSSFMFVYVSCGY >cds-PLY75203.1 pep primary_assembly:Lsat_Salinas_v7:2:202915799:202916089:-1 gene:gene-LSAT_2X124040 transcript:rna-gnl|WGS:NBSK|LSAT_2X124040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQKIKFNTFGGNHVCSAGRLAMLRVLDKANLQKHCADVGSHLFGRLKDLQQNMIFNDWRCERERIDGVN >cds-PLY62844.1 pep primary_assembly:Lsat_Salinas_v7:4:31436046:31437178:1 gene:gene-LSAT_4X21000 transcript:rna-gnl|WGS:NBSK|LSAT_4X21000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAQDATGINKRVHSACMGYEDDDTDEYYLHAGEDEEEEDEAQREYYRKKIMELYEEKMKKFAREDALAEQIKCEERKKLCKRPTKKIKMEQPKPTTQIVSNQVTQQLEQFITNNEMNAVEERKKWKSTPTIEKNKKVEIVRNKITQELEEFITNELEGTEAKVVIQKTLYKSDLEENQNRLNMPMKQVIRPDEFLRKNEKEDLVNGKEFKVKLWGPRLKKHEKRMVLKMWHMKTTSNYVLKTNWNHFVMANKKDLEINKKVQVWSFRRKEKLCFAIACLDRDVDSQNDAT >cds-PLY69638.1 pep primary_assembly:Lsat_Salinas_v7:5:111710513:111710719:1 gene:gene-LSAT_5X49021 transcript:rna-gnl|WGS:NBSK|LSAT_5X49021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGVVAVTVMVVATVWWVAATGVVIVVVMVIVVEVVVVVAMVDDGSDDNGDGVDGGSGGGGGNVGGSG >cds-PLY81555.1 pep primary_assembly:Lsat_Salinas_v7:2:125771653:125771940:1 gene:gene-LSAT_2X58621 transcript:rna-gnl|WGS:NBSK|LSAT_2X58621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQTQESVNMNGGAEDVVMGDAVEPQVEEVMRVNESEQVVRVNEVEELGMVNRVVGHVYTTGQPSKRKKSERILKIKLAKRVESEGSSVGSPIELD >cds-PLY77187.1 pep primary_assembly:Lsat_Salinas_v7:8:26938567:26940820:-1 gene:gene-LSAT_8X21080 transcript:rna-gnl|WGS:NBSK|LSAT_8X21080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEASFMGDWQAAKAIIDERQELVQYSITANQETLLHLAASAENTKQTQEFLQNLVNMLEMKDLELEDRSSWNAFQIAVANGSMEMVDIMLQKNQALLSIPNMKNDFPLSISAFYGHHDLTRHLYQLSEATIDTYMTTKDLNSFVIHCIRSEMFVFVKLHMKKGPSENDDQALNLLKRVLNICTTFKLDDQHRILKGPSDSQNNYKHPSGVLFVAVEMGNTAFLIELFQVYPHLLTARNVNDDSIFHVAVMHRHLGIYNLLYEIGKRRLLSTTHTNAQGNTILHVVAQSSKEIQPQTTSGASLLMQRELLWFQDVEKMLPRALRENKNNEGHTAYQIFFNDNKDLISNGLKWMNDCMVTTTLIVTVAFAVAFTVPGGYNQETGFPIFHHETSFLVFVIADAISLFSSSTSLLVFLSILTSRYGQRDFLYSLPRKLMLGLLTLFISVVPMMVTFAAGFFVLYNNGLKWVPIVISILAAIPVMLFALLQLPVWSDMFRSTFDSRYLFNPQRRMLYNNSRV >cds-PLY75857.1 pep primary_assembly:Lsat_Salinas_v7:9:195433129:195436256:-1 gene:gene-LSAT_9X120240 transcript:rna-gnl|WGS:NBSK|LSAT_9X120240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDDDQSQPLGTDHSPDNRPESPKRPLKIAKLFDEAEAGANRTMGQNPRTIQRYLLAIEYIGTRFSGAQQQAPNCRTVVGVLQNAFHKFIGQPVSIFCSSRTDAGVHALSNVCHVDVERISKRKPSEVLPPHEPSVVKKAVNHFLQKHEGDITIIDVRCVAADFHARYKAQERTYFYRILSGPEHLSTFEKGRAWHVPEELDLPAMQKACKILVGLHDFSSFRASGCQAKSPIRNLDELNVIEVFPSPYFPSAKEREIQNSACSESEGQSCNFNKNKVEWSVGEASLGFGMRRRHRCFVVTARARSFLYHQVRLLVGAIKSVGTGDFTVSDVERILEAKTVTANGPMAPACGLYLAHVKYDLP >cds-PLY90395.1 pep primary_assembly:Lsat_Salinas_v7:9:173860760:173861257:-1 gene:gene-LSAT_9X107040 transcript:rna-gnl|WGS:NBSK|LSAT_9X107040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQNESLDRDLADRERELEVLNADRSWLLQVGFVHVMDKLLKHPEFTGGISRIRHAAFVVGEESGWANLKAQVDARTYDPSASHSWSSHTSALDDALLSFVTMDFAGLLRLGHLDVDRVRALCAFDEGKEDVEVLGPGGAGASAGAGGIDGGGEVGDGDGAGGVV >cds-PLY65267.1 pep primary_assembly:Lsat_Salinas_v7:MU045421.1:1068423:1069415:-1 gene:gene-LSAT_0X8520 transcript:rna-gnl|WGS:NBSK|LSAT_0X8520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSLISSMSSLPLFFAMYFTIYLTAHFIVFRSWSPKLRPEAASCLISLAHGTPAVILACLAILADSTTGFATINTHFQNTVLEYSIAYFLMDLCHYLTFYPTDILFIGHHLATLFVFVTCRYLVLHGAYAVLILLALAEITSFFQNVWTLASARREDSETARQVFDLLSPPFYVLYSAVRGFAGPLFVYKMVVFYSSGVADNVIPKWLWVSWICVVVMAISVSVLWISNLWIELYRERMFKLEKEKKST >cds-PLY79365.1 pep primary_assembly:Lsat_Salinas_v7:9:54697119:54702052:-1 gene:gene-LSAT_9X49320 transcript:rna-gnl|WGS:NBSK|LSAT_9X49320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGINPDLVRENLAAAGAAPSDDDECPIKEVELTVPKTDDPTMPVLTFRMWVLGITSCVILSFVNQFYWYRTEPLSISSIAAQVATVPLGHLMARTITTRVFFKGQWCEFTMNPGPFNIKEHVLITIFANSGAGSVYATHILSAVKLFYKKQLAFLPAFIVMLTTQVLGFGWAGLFRRFLVEPAEMWWPSNLVQVSIFRSLHEQEKRPKGGTTLNQFFMIVLICSFSYYIFPGYFLQILTSVSWICWINKKSILVNQLGSGQNGLGIGSFGLDWSTIASYLGSPLASPWFATANVTVGFCLMMFVVTPTVYWNNIYQAKTFPFYSTELFKSDGSRYNTTAIINSNFQLDKVAYAKEGPLYMSAFFAMSYGLGFATLTATIVHVVLFNGWDILDKMKGTFGKSKKVDVHTRLMRAYKPVPMWWFYVILVLNIALIMFACNYYADILQLPWWGVLLACAIAIAFTLPIGIISATTNQTPGLNIITEYMIGYLYPGRPVANMCFKVYGYISMVQALTFIQDFKLGHYMKIPPRAMFMAQVLGTLISVVVYQVTAWMLMGSIPNLCDTTLLPKDSQWKCPMDHVFYDASVIWGLVGPQRIFGNLGVYPAINWFFLIGAILPLIVWIAHKCFPTQNWIRSIHFPVLLGATAMMPPASAVNYTSWILMAYLSGYVAFRYYPNAWKRYNYVLSGGLDAGTAFMSILLFLGLQTTGVSVNWWGNDGEGCPVATCPTAKGVVVDGCPVFS >cds-PLY97577.1 pep primary_assembly:Lsat_Salinas_v7:5:233752096:233752804:1 gene:gene-LSAT_5X116460 transcript:rna-gnl|WGS:NBSK|LSAT_5X116460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMESKLHVLQRIQDELRTCNSDLHVTEETNKILLGEKMLLEERIARLEKKKVDKVRMLEKDLKQDNKTLNF >cds-PLY69759.1 pep primary_assembly:Lsat_Salinas_v7:5:231853365:231856233:1 gene:gene-LSAT_5X111980 transcript:rna-gnl|WGS:NBSK|LSAT_5X111980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKEESFSLEKGDANLELDDDGKPRRTGTCITASAHIITAVVGSGVLSLTWCLAQLGWIVGTTFLVVFAAISWFTCILLADCYRSSVNGARNYNYMQAVKTNLGGLKYRFCGIAQYQTLVGVSIGYTITSSISMAAIKRSNCFHKYGHDKECHTLNTQYLIIFACIEIILSQIPDFHKLSFISIVATIMSFAYSSIGIGLSIAKIAGGAHPNTSLWIPTRTNISNMDKMWNTFCAVGDIAFAYSFCAVLIEIQDTLKSSPPENKVMKTATTIGISASTSFYMLCGLLGYAAFGNDAPGNYLTGFGFYEPFWLIDIGNMCIVIHLVGAYQVIVQPFFAFVENWNRRKWPESEFVNKVYIIGCFRINPFRLVWRTTYVILATLVAMIFPFFNDFLALIGSTTFWPLSVYFPIEMYISQAKVRRNSFTWIWLKVLSFVCLLVSLLAAIGSIRGLVVSVKTFKLFRSAS >cds-PLY96832.1 pep primary_assembly:Lsat_Salinas_v7:2:107977703:107982685:-1 gene:gene-LSAT_2X47921 transcript:rna-gnl|WGS:NBSK|LSAT_2X47921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PRD1 [Source:Projected from Arabidopsis thaliana (AT4G14180) UniProtKB/Swiss-Prot;Acc:O23277] MHYIGSDHHQRSDSDQQLRDRQYLTCSQGHTSSLILQTLEGGSICLVCLSNLISNPNSPTIHVSYALSQLSQAISQPPFLHNLLTFHPHFLVSPLITALSSLEDEPLAKQTIDLISELCSSGDSSIYSEFVARVSDRLSSGSLAWSRRQIYMLHCLGVLLDNQKNDPYTHIKERDELILNLVTGLQLPSEEIQGEILFVLYKICGIQQAFKANSNVDVLYVHSSKILHLALEVLLKTQRDDVRLNCVALLSVLTRKGFFENAFENEETEYPEADNSMETTDHEQEKTPLNLLFAEAIKAPLLSSDCEVQTATLDLIVLYLSYGGVSRKDVQVLVEENIADYVFEILRLLGCKKDSLVSLSLQVLDLMSVAEEAFKQRLAIGFTTLIPVLHHVAEVPFHPAQSQSLKLISECISNCPGIISSFNNEEISLIMSGMLKRHVDGDANMLPETFTLVCSLLVALIKSPSCHGPLNIPKSLQHISRYTIAICLSFYGEDSSQMLHSLYLLKETYEYSLQGNYTELRDCILDICETNLLPWVSMNINEIDEDITLGVLEIFHSILTHSEFQPKDFVDALVSSSWFSFLFGCLGLFPTEKMKWRVYILLGSILNVILGNECGEYIKGVALHLPSDPIDLLFLLGQKGSHNQQIFSCQSAVLLILYTSSLYDDRLADDRKVLASLEQYILLNKSQLLNNGVVKLKPLILELLVNLYGLYRGLAKVSYQIPYSPDAENTLFLIISQKHIHFLSRRIHSTSLKWLFQQERISQYLSDQILRWCRRYFIYGNNQILVSNHDTVKFRQLAELAASEDNYAAKLLVCLLREVVEETRQEDDIVLLLNMISSIVALFPSSSREFCLNGISPAIKSLYSQHSCGEILNLTSELVFTILHSIEPEPESESESLSDDEAWNGIATELIHYLISTITNNGFTQEALLVMGILSLILYHSLHQNLLEASKTILLNTQLISLVNKMIHEACLKGPALIDHDEATQTGAGLIFLLFLNYFSHRSVHVILPGIEDVESLVNSDKKTQHSSLFYINIHSHDLCRLLHFGSTPVKLISSFCLLQLFQTITQQKTKLLLKDNIRSITSILQGLIFHSDVTIAMNCGLCISMVIDWEKQDDTDTRFVEKDNWCRLITEELVMSLAVPNLASKSIMIHHKPAVYVVASMLELQKVPSPWMSDVFDDSCINGIIRNLSSSNITREIVLLFRRLLQSGYLNSKHIACLNQLFQGCRKRVYSDDTGDADTEEKKKKKMVVFAEDPAGHVYEVLIDFISLHSQSSPNEGLLQEIELFCQTQTPMEVE >cds-PLY62444.1 pep primary_assembly:Lsat_Salinas_v7:3:196253795:196254508:-1 gene:gene-LSAT_3X117461 transcript:rna-gnl|WGS:NBSK|LSAT_3X117461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNRFEWAVNIQRDSYASYVGHYPMLAYFAIAENESIGRERYNFMQKMLLPCGLPPEREEE >cds-PLY81277.1 pep primary_assembly:Lsat_Salinas_v7:2:27610700:27611695:-1 gene:gene-LSAT_2X12841 transcript:rna-gnl|WGS:NBSK|LSAT_2X12841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMPTKAERNAIGIDLGTTYSCVAIWFDKHNRVEIIPNEQGNKITPSCVAWNETEFLVGEAAKNQISRNPKNTVFDVKRLMGSQFSETRVQNDIRSWPFKVVEGSDDKPMFVFENEAENKKLSPEEISSMILKRLKESAEAYIGTTCRDAVITVPAYFNDKQRQATKDAATLAGLNVMRLISEPTAAAIAYGIDKSADKNRQEKNVLVFDLGGGTFDVSLLNISKGGNISVKAVGGDTHLGGEDFDNLMVNYCVQLFMKKEKKDMSKNARAMVKLKVACEKAKRDLSSTTLTSI >cds-PLY88003.1 pep primary_assembly:Lsat_Salinas_v7:MU040244.1:1043958:1047664:1 gene:gene-LSAT_0X2021 transcript:rna-gnl|WGS:NBSK|LSAT_0X2021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCGYVCVMKTKVLFCDQVLTHRVGSMCMLSLIYFEILKMLLMWGLVNFDVEIFSPNDCYGSLRGYLKQRSTEYDHQHIMTTESLILKVAFVFFVEIFTCRFIY >cds-PLY64733.1 pep primary_assembly:Lsat_Salinas_v7:9:191609731:191610536:-1 gene:gene-LSAT_9X116540 transcript:rna-gnl|WGS:NBSK|LSAT_9X116540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHNKEPWPKAHRCTPTARGRTPSGVDFRFGMSLSDLFWARNRSCTPVVRHIVFNNVWSSMVKFSDKVDEVVISQEILEPICLYFTNMKNYDPFPTSESESLKYPF >cds-PLY89190.1 pep primary_assembly:Lsat_Salinas_v7:3:21263711:21266717:1 gene:gene-LSAT_3X15780 transcript:rna-gnl|WGS:NBSK|LSAT_3X15780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Novel plant SNARE 11 [Source:Projected from Arabidopsis thaliana (AT2G35190) UniProtKB/Swiss-Prot;Acc:Q944A9] MASSISAISEELGEIDGQIADIFRALSNGFQKFEKIKDSSRQSRQLEELTGKMRECKRLIKEFDREVKDLEHTVGPDTSKILNEKKQSMVKELNSYVALKKQYASNIENKRVDLFGGPAEGFEEDNNGLLASSMTNQQLMDNGHHMMDETDQAIERSKKVVHETVNVGTETAAALKAQTEQMSRIVNELDSIHFSIKKASQLVKEIGRQVATDRCIMALLFLIVVGVIAIIIVKIVDPNNKDIRDIPGLAPPAPSRKLLWIPE >cds-PLY72756.1 pep primary_assembly:Lsat_Salinas_v7:4:373591327:373608669:1 gene:gene-LSAT_4X184520 transcript:rna-gnl|WGS:NBSK|LSAT_4X184520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSSREERPTDRQSPSFQSTSSFTGSQYGNYPQQSYSNPPQDVHNYPVHDAYPAYSQPYHAPAPAPAPSPSGQPHRPQRRLDRRYSRIADNYTSLEQVTEALARAGLESSNLIVGIDFTKSNEWTGSRSFNRKSLHHIGDDLNPYEQAITIIGKTLAAFDEDNLIPCYGFGDASTHDQDVFSFYPEERFCNGFEEVLSRYREIVPHLKLAGPTSFAPVIEQAMTIVEHSGGQYHVLLIIADGQVTRSVDTEPGNLSPQEQRTVDAIVEASKVPLSIVLVGDGDGPWDMMKEFDDNIPSREFDNFQFVNFTEIMSKNVATIRKETEFALKALMEIPAQYIATLELNILGSRKGVSPHRIALPPPSSFSRHSKPSHSSSFQKGSQSYYDQTTPAPTPYYHQTSLPNRAPPAPAPTPSSTYDNQVCPICLTNPKDMAFGCGHQQLGGSQESGSPDGPLLIGATTTTGDNSDGEDERERGGANRQPLLAALGRRQFAVSADDYAKRNYANNVSEYNTVIGSLTSQRRIYLLRDVYDDMMLDGVKPEKDTFRALIAGSMKGVRLQDCFFFRDQMKSMGLIPDVVLYNFMISTCGKCKNSTEATRIFEEMKKLEVKPTGQTFICLINACAATGRVDQVYAIIRDMTAAGLGLNKFCYAGLIAAHKNKTPLAKDTSAKILELVEQSKGWSSLEQTRDSAENVMMGISEEELYDIPTANYIHRRGGFVQRFFTVYHVAFHACADLKDVEAVDALQEMLKKDNKNPDVFILIQIMRCYLSRGDIDRGVKAFEDYMSSNNPPVPELYATLIEGAMTGYTPKGMQVALEKLTEMTSRGINLVPSTGNALLLAAAGEKSGGYTIANMVWDLMQARNITPSLPAVQAYYNGLKDREIPADDPRLVLVSRTVDNLRVRFGRNPGGQFGDRR >cds-PLY78407.1 pep primary_assembly:Lsat_Salinas_v7:3:236632378:236633358:1 gene:gene-LSAT_3X132660 transcript:rna-gnl|WGS:NBSK|LSAT_3X132660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRNCGGPCGACKFLRRKCAKGCVFAPYFEPDDRGLTDFEAVHRVFGAKNAAKLLLRTPENKRLDAVVSLCYEALSRVRDPVYGCVGRVFNLQQQVEKLQAELAYVQARVSILKHHPSSCQPATNRPMLSTETLISSSMLSCVHFGVEQPSPVTLTPCFSRENDASIEETLFEEDNIEMLARELLSKYLPVSKIRHEKHDYY >cds-PLY73621.1 pep primary_assembly:Lsat_Salinas_v7:5:207850712:207851590:1 gene:gene-LSAT_5X96161 transcript:rna-gnl|WGS:NBSK|LSAT_5X96161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAFRFNSVVIMALMLASVQFHSTAAQTTHVVGDALGWNIPPNGPSAYTTWASTQTFRVGDVLLFNFTTGFHNVAEVSQAAYAPCTTANPISIATTGPARVTLNAPGTHYYICTVGTHCQIGQKLTINVSAASATPAPSPTPAPVIPTPVSPPTATPTPTPAPSTTTPPPTSSPAPSSEDASPVSPPTFGQSPSGSNAPSPTDSTILPPPSPSSAPSFAAVAPFTFLTIALAFFY >cds-PLY63683.1 pep primary_assembly:Lsat_Salinas_v7:3:203616745:203617065:1 gene:gene-LSAT_3X118721 transcript:rna-gnl|WGS:NBSK|LSAT_3X118721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFPGYVTQPNGMGNLEMTWLPVFAGAVGALGAAGALGATYCSPYITMDGAYHARPSGQTSTLPPTSYVACYYDLRF >cds-PLY92109.1 pep primary_assembly:Lsat_Salinas_v7:1:109098673:109099011:-1 gene:gene-LSAT_1X85480 transcript:rna-gnl|WGS:NBSK|LSAT_1X85480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGLVDDSNDRFLGNLSSHLPTSREVEDTNEISQIHMKADAKEGNRRLFVPLPSSTAKKMKGKDPPILVFVGLRKRNTTTVSGVFWGDVVRKEDEGSLLDGESNALKKSICS >cds-PLY63028.1 pep primary_assembly:Lsat_Salinas_v7:8:183398182:183398388:-1 gene:gene-LSAT_8X118560 transcript:rna-gnl|WGS:NBSK|LSAT_8X118560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALHATTGDEMMAFVDEAVGRTRLSGLVTQMVAEEAPMVAATTGMKEMEVVVIALRLGFEPLNTRLHT >cds-PLY69766.1 pep primary_assembly:Lsat_Salinas_v7:5:232358465:232360190:-1 gene:gene-LSAT_5X116960 transcript:rna-gnl|WGS:NBSK|LSAT_5X116960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDNSASSLFFSAAVNHLSPSIQDSIIDSLIAAEPHHSPHPDYLLRCQRRSVNLTHRQDSINWILNAHSHHRFQPITAILSVNYLDRFLSSSAASLLGNNGWAFQLLSVACLSLAAKMEEPDVPLLLDLQVLESPFIFEPKSVQRMELLVMEKLNWRLRSVTPFDFIYYFIFKLPPSSRTNELHFHSKCSDLIIKTIRGFQPSVIAAAAVISAAGEGVDVPESYYEKEMVRSCHQLMEEYLVDTCPSAVHKVRKQWRPDQPPPSPDGVLDAAATCVSCDTRSDNLLFVPTTPSGDDDREAKRLRFSVQEEQP >cds-PLY83700.1 pep primary_assembly:Lsat_Salinas_v7:4:42334517:42337921:1 gene:gene-LSAT_4X29481 transcript:rna-gnl|WGS:NBSK|LSAT_4X29481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal (S)-2-hydroxy-acid oxidase GLO5 [Source:Projected from Arabidopsis thaliana (AT4G18360) UniProtKB/Swiss-Prot;Acc:O49506] MEITNVMEYEALAKEKLPKMIYDYYASGAEDQWTLMENRNAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMVAPTAMQKMAHPDGEYATARASAAAGTIMTLSSWATSSVEEVASTGPGVRFFQLYVYKDRSVVTQLVKRAEKAGFKAIVLTVDTPRLGRRESDIKNRFVLPQNLSLKNFDGLNLGKLDKTDDSGLASYVAGQVDRSLSWKDVKWLKSITPLPILVKGVLTAEDARIAVQSGVAGIIVSNHGARQLDYVPATITALEEVVEATQRRVPVFLDGGVRRGTDVFKALALGASGVFIGRPVIFSLAVDGEAGVRKTLQMLHDELELTMALSGCRSLAEITRNHIVAPWDPPRIAPKL >cds-PLY76687.1 pep primary_assembly:Lsat_Salinas_v7:3:140663876:140664227:-1 gene:gene-LSAT_3X94120 transcript:rna-gnl|WGS:NBSK|LSAT_3X94120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSNCRAEAVIRTSGSKNNPGKRYYACSNPACGCKFIGWVVEDQKCACMNIRMKLEQQNLKLKLYLAISWFLFVSILVYKV >cds-PLY87180.1 pep primary_assembly:Lsat_Salinas_v7:5:154021911:154027343:1 gene:gene-LSAT_5X67880 transcript:rna-gnl|WGS:NBSK|LSAT_5X67880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGSEVGEVKEVAVNLDAFTEGEYASQSKIYKEFASLSTIDKAWTFKSSNGLGTQTMFSLSQPNLLSNKKKKLMLSSFISEDSNGTLHVQWSPFPIEITGASVMVPSPSGKKLLVIRNPENDSPSQFEIWGKFQLEKEILVPQSVHGSVYSDGWFEGISWSPDESLIAYVAEESGFHKPTFNNMGYKKDVGPTDKDYNSWKGQGDWEEDWGEAYSGKKHPALFVININSGEVCSVDIGSSLSVGQVVWGPPTKGSHQYLVFVGWPLSNRKFGMKYCTNRPCALYAVKAPLFGLKIKENATNNVSLINLSEATSSAFLPRFTPDGKFLVFLSAKSAVDSGAHNATNSLHKIEWNSEGEPHPAKIIDVVPVVMCREDDCFPGIYCFDMISKPWLSDGFTMIFSSIWGSKEVILSVNMLSGKVSRITPIDSNHSWSLLSLDGNNILALCSSCIDVPQIKYGSLTKDESKDATWHWWDVSSPISECQEKVKSLLSSLQFDILKIPIKSVSNNLTKGACKPFDAIFVSSKLKHDHTCDPLTVILHGGPQDVSLTSFSKSSAFLASIGFSLGSLGFGEEALQSLPGKVGSQDVNDVLSAIDHVIDMRLANPLKITVVGLSHGGFLASHLIGQAPDKFVAACVRNPVCNLALMVETSDIPDWCFVESFGSKGLSLYTESPSSQLLSFFHQKSPISHVSKVKTPTLFLLSAKDIRVPVTNGLQFARALKEKGVPLKVIVFPQDIHPINRPQSDFESFVNIGVWFKKYCM >cds-PLY97504.1 pep primary_assembly:Lsat_Salinas_v7:1:194344951:194348466:1 gene:gene-LSAT_1X127121 transcript:rna-gnl|WGS:NBSK|LSAT_1X127121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGGSKGESKTTGKRKASDLNQKSPLVFSESLAEFFAENKNIAGFDNPGKSLYSTVKELVENALDSAESIQELPLVEVTIEELNRSKFNSMIDDDYETLKDSKKRLGKNSALGKKTAAKPMKGPSGYYRVTCKDNGKGMPHDAIPNMFGQVLFRKKYGVKQTRGKFGLGGAKMVSIWSKMSTGEPIEIYSSMKNENYATHCTLDVDIDRWHGAEIKVVIEGNWTTYGSKILEYMCQMAVITPYAEFKFRFVAVTPDENGNGVVEKSYPRLTEEIPPVPVETKYHPSAVDSLHIIQRLIGQTKNQNLLEFLQHEFVNIPKAQAKRLIAKMAPDVTSETQVHSLTLQQIACMNQLFQHTKFDDPSGNCLAPLGENYFGEGIYKVLQPKMVATYTAKRWKRYKINKMLEKIGVFVNIVSTKIPFKGTGMEYIGDDISEIAEAVKTCLEDCCNQLKSLIIKQNMDFYIDQVSTAHANILEIRRQLNGSDTELVTKEKLAQDLHEQAKRAGLI >cds-PLY62756.1 pep primary_assembly:Lsat_Salinas_v7:9:106106147:106107283:-1 gene:gene-LSAT_9X76780 transcript:rna-gnl|WGS:NBSK|LSAT_9X76780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMSNDPFDGKKILERVPVDPPFSLSDLKKAIPAHCFKRSVIRSSYYVVHDLIVAYVFYFLANTYIPFLPAPLAYLAWPVYWFCQASILTGLWVIGHECGHHAFSEYQWIDDTVGFILHSALMTPYFSWKYSHRNHHANTNSLDNDEVYIPKRKSKVRWYSKLLNNPPGRVFTLVFRFTLGFPLYLLTNISGKKYGRFANHFDPMSPIFTERERIQVLLSDLGLLAVFYAIKIAVTTKGAAWVACIYGVPVVGVHVFFVIITYLHHTHLSLPHYDSSEWNWIRGALSTIDRDFGFLNRVFHDVTHTHVLHHLISYIPHYHAKEARDAIIPVLGEYYKIDRTPIFKAMWREAKECIYIEPDEDNKHTGVFWYHKM >cds-PLY92064.1 pep primary_assembly:Lsat_Salinas_v7:5:324603787:324606593:1 gene:gene-LSAT_5X180321 transcript:rna-gnl|WGS:NBSK|LSAT_5X180321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEAYKLYYVLASFESSSNLSRYDGIRYGNQIVSDELSSLYGGSRANGLGPEFYQIGHCKYGESCRFNHSKSEPGNSLQRLNILGFPERLVITNLSLYSFSYKEVQFMLCSLLSDPSVSSEPPEDKTSGVEMPYEFNTTWLHRGGPILNVSDLSIESSRNLGLLLDQLRYQAVKLLSNMVVIMLIKRYLVFAIQIYML >cds-PLY80845.1 pep primary_assembly:Lsat_Salinas_v7:MU041859.1:142564:143001:-1 gene:gene-LSAT_5X38501 transcript:rna-gnl|WGS:NBSK|LSAT_5X38501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLAFVIFFSISTFSFSYATATSIDTLCIFEARWHMLIINDISEDITIHVRSQDHGLGKETLPYQETYDWDFCENGKIEFSGEFWWNSKYQSLMLYDEPAWQLCHNTKKVTQHCYWLVRPEGFYIGRENVPFPSENWQFKKPW >cds-PLY71894.1 pep primary_assembly:Lsat_Salinas_v7:8:279526539:279530692:1 gene:gene-LSAT_8X159861 transcript:rna-gnl|WGS:NBSK|LSAT_8X159861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASKSSSNILDLVPDNLLDMPQTPRGLPRVITGIINEQDGDSDISSNYRERKIIVSNMLPLHIQRDPDTLKLTFSFDEDSLYYQLKDGFTPETEIIYVGSLKVEVDISEQEEVAQKLLDEFNCVPTFLPHDLNKKFYSGFCKQQLWPLFHYMLPICPDHADRFDRVLWQAYVSANKIFADKVMEIADPEYDYIWIHDYHLMILPTFLRKRYNRVKLGFFLHSPFPSSEIYRTLPVRDEILKGLLNSDLIGFHTFDYARHFLSCCSRMMGLDYESKRGHIGLDYFGRTVYIKILPVGIHMGRLESVLNLPITLQKVKEIAQRYKGKKVIVGIDDMDIFKGISLKLLAFEYLLNHHPVLQGNIVLIQIVNPERSSGKDVQEAKRETYLIVNRINEQFGSPDYKPVVLIDRPVARWEKSAYYSMADCCIVNAVRDGMNLVPYKYIVCRQHSPYFDEIKEHENEKPRTSMLVVSEFIGCSPSLSGAIRVNPWDIESVAGAITSAITMKEPEKQLRHEKHYRYVSSHDVSYWGRSFMQDLERASKDHYNKRCWGIGFGLGFRVVSLSPSFRKLSPNYIVSAYKKSSRRAIFLDYDGTLVSQSAIVKSPGDEIINILSILCNDLKNTVFIVSGRGRKSLAEWLAPCERLGLAAEHGYFTRWSRGCEWESSLPCTDLEWIEVAEPVMRQYTEATDGSNIEIKESGLVWHHQDADPDFGSCQAKELLIHLENVLANEPAVVKRGQHIVEVKPQGVTKGLVAEKILSTMVEKGERPDFVMCIGDDRSDEDMFESIRNTVLNASLSCVTPEIFACTVGRKPSKAKYYLDDTADVIKLLAGLANASDPKPGTIPRFQVTFDALF >cds-PLY75702.1 pep primary_assembly:Lsat_Salinas_v7:8:195757592:195758351:1 gene:gene-LSAT_8X126040 transcript:rna-gnl|WGS:NBSK|LSAT_8X126040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein 23 [Source:Projected from Arabidopsis thaliana (AT2G21100) UniProtKB/Swiss-Prot;Acc:Q84TH6] MVESMVTFFLIFLLAIGTQGQTSDDVSWAKRVDTGSQVVTTMQFYFHDTLSGSNPSAIQVAQPQSGSNSLGGFGNLMMVDDPLTEGPDKNSKLIGHARGMYGQAARNELGLIMVLNYGFTDGIYKDSTFSLLSLNPAMQTVREMTIVGGTGLFRLARGYALAQTYSINSSGDAVVGYNVTISTYI >cds-PLY63241.1 pep primary_assembly:Lsat_Salinas_v7:9:169406389:169407919:-1 gene:gene-LSAT_9X104481 transcript:rna-gnl|WGS:NBSK|LSAT_9X104481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKFVHFLFCILISNSIVSLAQQDCSSYNFRNNEIYATCVSLPVQNSNLHWNYHPTNGTVDVVYRHTGVSTSTWVAWALNINGSGMLGAQALVALPNSNGSVQGYTSAVTSYGTGLQQSPLNFAVPAIRAERVNGDVLIHATLVLPGGRTSFNQVWQSGPVSNGAPGAHALGSENRNSLGTVDFITGQTGAGAPVGGSLLHRRNTHGVLNAVSWGILMPMGAMVARYVKVFKVANPAWFYIHIACQATAYGVGVAGWGTGLKLGSDSEGIKYTSHRNIGITLFVLGTLQVFALLLRPKPDNKYRKYWNIYHGGVGYTVITLAIINVFKGLDILDPEKKWKHAYIGVLISLGAIAAILEAFTWFIVLNRKKEEKQVNGAHGSNGYGHSHGQPA >cds-PLY98725.1 pep primary_assembly:Lsat_Salinas_v7:6:104539236:104540531:1 gene:gene-LSAT_6X66060 transcript:rna-gnl|WGS:NBSK|LSAT_6X66060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTLLFLSLFLFLVFVLPLVTSAPDNSNLVYKGCANQPLSDPTGVYSSALSAIFGTLLQQSSKSKFYKTTSGSGQASVSGLFQCRGDLSNVDCYTCVSRLPILMDKLCGKTVAARIQLLGCYMLYEVSGFSQISGMEMLYKTCGKTNAGGNGFQERRDSAFSSLESVIGTGNGGFYTTSYESMYVLGQCQGDLGTSDCGSCVKSAVQRAQVECGSSISGQIYLHRCFISYNYYPNGTPKPNKPTSSSSFSSPSSSSSSSGSNTGKTAAIILGGAAGVGFLIVLLLIARKAMKKDDDY >cds-PLY67032.1 pep primary_assembly:Lsat_Salinas_v7:5:283420534:283421399:1 gene:gene-LSAT_5X149380 transcript:rna-gnl|WGS:NBSK|LSAT_5X149380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPSHLLISEGVLDTGGAAAADRFPQWSVQETRELLMIRAELDSNFMETKRNKLLWEVISTKMKERGYNRSGDQCKSKWKNLVTRYKGYETMEQEGTRQQFPFYDELQTIFANRMQRLLWMEAEGAASGSRKREMKFASDNDDSDMEKASVSKITKKKKGTESSSQCNPEDTSCINVISNLKDLLEEYMKHEMQSMEWYKAKEEERRMKELEWRQTMEALGKERTMLYEKWREREEQRSMREEDRAQKRDALVTALLNKLRSQGL >cds-PLY61738.1 pep primary_assembly:Lsat_Salinas_v7:5:217122875:217125034:-1 gene:gene-LSAT_5X100040 transcript:rna-gnl|WGS:NBSK|LSAT_5X100040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKTIMSFGKLLDSLCLSNVGASSCLCCIKNFETQDDSEFETEPLVDQSKDASDKPQTLAFFLKPKMVVLRVSMHCNGCARKVQRHIAKMEGVTSYQVDLETKMVIVMGDIVPFEVLESVSKVKNAQLWTEPIS >cds-PLY81654.1 pep primary_assembly:Lsat_Salinas_v7:2:24498259:24498735:1 gene:gene-LSAT_2X11760 transcript:rna-gnl|WGS:NBSK|LSAT_2X11760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYLLKSQEDRFQSLVEGIEKKQAERLAVHSKRFNYEIQKHHDVAKESYDIFVEQVTKMKEYVELKVVELKSKMSKEFQKMEQNYTLFHGKVDVIVISVTKLVEYNTRYLNKIDWKSEKDSQVFEKMEEFLSSIKESISKDAISNQSTISQDSISQLI >cds-PLY83823.1 pep primary_assembly:Lsat_Salinas_v7:3:52418762:52420857:-1 gene:gene-LSAT_3X39261 transcript:rna-gnl|WGS:NBSK|LSAT_3X39261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADGVKAAVVDDGSTMFSEVEVREMSGFKRGGDDHVEVTCGCTSHCYGDAVGTLRVFASGDLEIRCDCTPGCQEDKLTPAAFEKHSGRETARKWKNNIWVIVDGEKVPLSKTSLLKYYNKALTKTVNKSQNGQRVCHRDEFLKCTQCNKLRRFHLRTKAECRAYHDAFINNNNWKCSDMPFDKITCDDEEERASRRVYRGCLVSSTCRGCTSCVCFGCVTCRFSDCCCQTCIDFTSNAKA >cds-PLY93275.1 pep primary_assembly:Lsat_Salinas_v7:4:303672461:303673760:1 gene:gene-LSAT_4X153121 transcript:rna-gnl|WGS:NBSK|LSAT_4X153121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide cyclase, Biosynthesis of jasmonic acid, Defence respons [Source: Projected from Oryza sativa (Os03g0438100)] MAATSASLQSIPSSLKLLRTTGVTTAAAPNLLSFKNSTNSVFSHKLIATHTPTANASGSRRWFGVKSQSNPSDSSRPTKVQELSVYEINERDRGSPAYLRLGQKPVNSLGDLVPFTNKVYSADLQTRLGITAGICILIKNMPEKKGDRYEAIYSFHLGDYGQIAVQGAYLTTEDTYLTVTGGTGIFAGAYGQVKLQQLVFPFKLFYTFYLQGLSGDLPSELLVTPVPPSPAVEASPAAKAAEPGATSTNYTD >cds-PLY71777.1 pep primary_assembly:Lsat_Salinas_v7:3:47156006:47158350:-1 gene:gene-LSAT_3X36420 transcript:rna-gnl|WGS:NBSK|LSAT_3X36420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELNFSMINTVVCVVGVIVMIYGWRFFNWIWLKPKKMDKFLRKQGLNGTPYKFLYGDIKEMVQMTAVAKSKPINLNDNIVPRVMPFLYNSAKTYGEGKNFFTWMGPRPLVHVIEPALIREILANYSQFLKKRGGNPLRNILARGLANVEADQWAKHRKIINPAFHVEKLKDMLPAFYVSCSEMINKWEELTKERSSEVDVYPHLQTFTSDVISRTAFGSSYQEGRKIFELQKEQAVLVIKATQSVYIPGSRFLPTKSNRRMKEIDREIKGSIKKIINKRVTAMKAGESSSDDLLGILLDSNYKEIKQQGDKNLGLSIDEVIEECKLFYFAGQETTANLLIWAMILLGQHTNWQDRARDEVLKVFGERKPHFDGLSHLKVINMILHEVLRLYPAGIVLERMIHEETTLGNTTLPTGSLLYLHMMLLHHDSDTWGDDVHEFKPERFAEGVSKATKGQASYFPFGGGPRICIAQNFAMLEAKLALVMILRGFSFELSPSYVHAPHTIITLQPQFGAKLTLHKL >cds-PLY65792.1 pep primary_assembly:Lsat_Salinas_v7:5:273066703:273068461:-1 gene:gene-LSAT_5X142940 transcript:rna-gnl|WGS:NBSK|LSAT_5X142940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKETIQVEMDTGDGGGGGGQDFLKIIEEPMVGLGGDLSEGKLKIKEEPMLVYDDQDDLLGGGCLSKSPVWVARPIEGLRDGGPPPFLKKTFEMVDDPRTDSIISWSDSNKSFILWDPHKFSTDLLPRRFKHNNFSSFVRQLNTYRFKKIDPDRWEFANELFQKGKKHLLRDIKRRTNQTQTIQKQPELEPQTNSTIESELKILRKDRTALRQEILKMKQQQETTNKHLQMVQERMQRMEFKQQQLMVFMSKAFRNPIFVQLLQHLVQKQELDSVEMCKKRKLENMLSTEELDRVQQVWNMIEPDMHTVLSSDESATFQDQKTSDQRSGVKCSNYNSENFILWEKLMEDELIFGDESGKEHSETYLQEWEELIPKSDPLV >cds-PLY80887.1 pep primary_assembly:Lsat_Salinas_v7:8:127058683:127060230:1 gene:gene-LSAT_8X88620 transcript:rna-gnl|WGS:NBSK|LSAT_8X88620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGDGNQHGMMVHVPPWTLMDDSVAGVSPFSPRSPNATVNSLSSIEDYAYFLRNDAALRRYLEEDLDGDGSGSGCTDFDITSEAYACDSFRMYEFKVRKCARGRSHDWTDCPYAHPAEKARRRDPRRYSYSGTACPEFRKGNCKKGDGCEFAHGVFECWLHPSRYRTQPCKDGINCRRRVCFFAHTPEQLRVLSPHTDSHDGTSLKGFPPFFSSPSETSTPPSESPPMSPMDSSLSRSLGSVSVNDMLSSLRRLQLNNKTPSMSTAWSLQMGMTLPSPRSGFYSLPSTPTRPVPRPGLGFSDIWEEGCVEEEPAMERVESGRGLRVKMFEKLSKENLVGVDPNPEEGSNPDVGWVSELVK >cds-PLY62232.1 pep primary_assembly:Lsat_Salinas_v7:5:161713578:161718123:1 gene:gene-LSAT_5X70600 transcript:rna-gnl|WGS:NBSK|LSAT_5X70600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGFSFRNLIFSLVIAILVWGSSIETCNARRGKHWRNRSGTFSSLYKKKGKNHGHVEKSKPKPKPNNPLPIPEEPIAPVQKGLKFNVLDYGAKGDGNSDDTKAFQGAWADACKVEASSMIVPSGYEFLVGPISFSGPYCQRNILFQLDGTIIAPTNSKAWGKGLLQWLEFTKLVGLTIKGKGTIDGRGSVWWTNSIFDDPIDNEQLLLVPLQNITMTKNPQISNSLDGKMPSVKPTAVRFYGSFNVTVTGITIQNSPQCHLKFDNCDGVLVYSLSVSSPGNSPNTDGIHLQNSRNVLIHTADLACGDDCISIQTGCTNVFVHDVNCGPGHGISIGSLGKDGTTACVSNITVRNVNMHNTMTGVRIKTWQGGSGSVQGVLFSNIQVSEVQFPIMIDQYYCDHSKCNNHTSAVAVSNIAYENIKGTYTVKPVHISCSDNMPCMDVKLTDIELNPIPKGYHMYDPYCWQAFGELYAPTVPEIDCLQEGKPSSSWDPLESGCAL >cds-PLY93030.1 pep primary_assembly:Lsat_Salinas_v7:5:2737425:2739295:1 gene:gene-LSAT_5X1441 transcript:rna-gnl|WGS:NBSK|LSAT_5X1441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPKPIAIIGVVSVVLCSLYVISNKRRATSHSRGKTLTKNKVKRNGIVGAIGNTPLIRITSLSDATGCEILAKAEFLNPGGSVKDRVAVKIIEEALESGQLSIGGVVTEGSAGSTAISLATVAPAYGCKCHVVIPDDAAIEKSKILEALGATVERVRPVSITHKDHYVNIARRRACEANKVAVNGYKGGFFADQFENLANYRAHYEGTGPEIWEQTGGKLHAFVAAAGTGGTVAGVSCFLKENDPGIKCYLVDPPGSGLFNKVTRGVMYTREEAEGKRLKNPFDTVTEGIGINRLTENFKMAQLDGAFRGTDIEAVEMSRFLLKNDGLFIGSSSAMNCVGAVRVAKLLGPGHTIVTILCDSGMRHLSKFCNPEYLSQHGLTPSAKGLEFLHLGGDS >cds-PLY85224.1 pep primary_assembly:Lsat_Salinas_v7:1:148622929:148628631:-1 gene:gene-LSAT_1X105241 transcript:rna-gnl|WGS:NBSK|LSAT_1X105241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVELHEISEEASSSSSTHCRGYDVFLSFRGVDTRHSFTNHLYNALMHANITTFLDDEEIETGEDLKPELETAIKASRASVIVLSTNYATSTWCLDELVLILEQRKASKHIVFPIFYHVEPTHVRKQESSFGDAMTKHRQKMEAETNSSKRCQWAQKIERWNRALTEVADLKGMDANGRLEVELIDGIVKDIFRRLHTSSRFPLPQLIGVENSIKFVGSWLKDTSSQKTHILTILGMGGIGKTSLAKYVYTLHSHEFDTSSFIEDINRRCDEKYNGMLDVQKKLYEDISKPTSVQVHDVSTYTSMIENAVARNKVFLVLDDIGSLDQLDALLGRKGFHPGSKIIITTKDAWLTESCELFKLKGKSLTHEKHFLKGLFEIESQKLFCFHAFMCNDPKAGYEEVSKKLVKYCEGHPMALKVLGRNLHNRDVTYWDGYIDRLKKENDSPINNVLRMSFDSLPSENDKELFKHIACIFVGMDRDVATTILEACDIETRTGITNLIDRCFLSIGWYNELILHQLVQEMGRFVVREESFHKPWERSRLWGHDSFRVLKQKKGTENVLGLTLDMKIIEKEKLHGSLELKTDALSKMDNLMLLQLNYVQINGTYKNFPEELRWLCMHGFPLKSIPSDLWMENLVVLDMSYSNIESFSICYNNPQRCESMQMQLMGSYSKGKSLLGSLKILNLSFCEQLRSLGGFDHFPKLEMLILEGCIGLLEVCESIEQCVKLVLVDLSSCSKLQKLRRIIGKLKKVETLLLNGCYLGESRIKSRDMDSLEMIKGNNIRINTITSLSTVLEVVPRSSKFFEISLPRSLVTLSLVNTNLSTESFPFDFSCLTMLKHLYLNENPIVSMPSCVRSLHRLETLSMGNCNMLTSVEHAPHTLTYLSLYSNKPLLQKVVFDPQMFPLKFILNWTKFTPSSFEFEGLVKIQPMVGVEEKVLRSLGWSKIDFPKEKLMGSPSYPRPRKESEIQMYYEFGIFSTFYSGEEIPNWITDRNKGPSISFTIPSSPNKLRGLNFCCVVASLFLNERQLGNSEFLLDLRMYIFHHLPVIIIRNITKNLIWIYHHYLEILHLSRSGLMLLSHWMFGMNEMECGDQVTITLRKDPYERMSQSDPVIKECGVSFVYDDGEKEEEEEEEDVLGYYKSWNHIIGGDLTGFQSTTGEYILNKKRILLSLFDIDRLGYGHLCGESARFKGRCHSYA >cds-PLY72863.1 pep primary_assembly:Lsat_Salinas_v7:5:168742740:168743718:1 gene:gene-LSAT_5X74260 transcript:rna-gnl|WGS:NBSK|LSAT_5X74260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVCQQGVHSCIESPLVLEATTMRLKLMAPKCCKEVIRHNDYGSWSFLQSLSSQKPIEKESSYVHPWVNQSSHSKLSQKSLALCTESLGNESGSDTSEGSVALFSESNRLDTRSQEKIAPKEKIRVLVPQMGSKKVVSRSFPPPLTTIRSSSLFHVSHHREGGRLIIQAVEAPYKNSCFQAERSHGRLRLICTKSMSR >cds-PLY91993.1 pep primary_assembly:Lsat_Salinas_v7:7:91184842:91185318:-1 gene:gene-LSAT_0X28801 transcript:rna-gnl|WGS:NBSK|LSAT_0X28801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPYNQQSEGDKNISTTTPPVVAAQSKPNLKKIQMMSKTTGEDNIQSIKTYVTELKERVSKLQYQKQLLVCQAFEVEEANDGGGGGASDETDFVEEMEYPIMPRHLVLCQVPMEQACTPRIIVLQSLILP >cds-PLY97877.1 pep primary_assembly:Lsat_Salinas_v7:2:216572841:216573434:1 gene:gene-LSAT_2X134821 transcript:rna-gnl|WGS:NBSK|LSAT_2X134821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRPNPNRLYPVVELNFKGVFLQNLFSYNQGIKFTFNDHDFSGMTYYGCTTFLEWFMQESINKLYWCEPCHDGQGIEDRFASEIEEEEKEKEYKEDGDDSYINGGENEDEIDNLIYVEVKFNEDIVTMNRTNGDEFLPELYGEKEKGNDNNIGDDVDGSE >cds-PLY86674.1 pep primary_assembly:Lsat_Salinas_v7:4:318583387:318584506:-1 gene:gene-LSAT_4X158441 transcript:rna-gnl|WGS:NBSK|LSAT_4X158441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRTTHREMRISKSLLLFMLICAFLPPSSPDPKDESCLTHLFQSFDDPNKNLRNWSIPTFSNPCSDFNSNLAGATCNNGRVYKLSLQNLGLRGTISPYISNCTNLQSLDLSNNSLAGPIPVELQYLVNLAVLNLSSNHLSAAIPPSLAMCNYLNVIDLHENDLTGTIPPQLGSLARLSVFDVSNNKLSGPIPASLGNRTGNLPRLNASSFSGNKDLYGYPLGPMNSKGLSVVVIVGIGLGSGLLSLVLSFTVVCIWLRSVEQKRADEQEAKIPQLMPDY >cds-PLY78322.1 pep primary_assembly:Lsat_Salinas_v7:2:181710383:181710628:-1 gene:gene-LSAT_2X102440 transcript:rna-gnl|WGS:NBSK|LSAT_2X102440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSPGQILRPTPNGNILTPVTPVMSASSPPVKNLSGSNFFGFFHSFGSSATPGRNISTFVPIGISYPANFAGSITEWVREK >cds-PLY66193.1 pep primary_assembly:Lsat_Salinas_v7:2:168110606:168112783:1 gene:gene-LSAT_2X90480 transcript:rna-gnl|WGS:NBSK|LSAT_2X90480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMHMDASSLTTASIPYITINLHNSPSTSHRKKLHHRSVFVVISSSSTKDIWRKPSKSTTLKPSSFLQPYRRRPETGHLDHSVDMDELVSSINQTSNEHELFALLSPYKTRQLSIRFMVTLLSRETDWQRSLALLDWINEQALYTPSVYAYNVVLRNVLRAKQWQIAYGLFDEMRQRALSPDRYTYSTLITHFGKEGKFDDALSWLQKMEHDHVSGDLVLYSNLIELSRKLCDYSKAISIFSRLKQSGISPDLVAYNSMINVFGKAKLFREARLLLQEMRSVGVTPDTVSYSTLLSIYTENQKYLEALSIFSEMKEMNCFPDLTTCNIMIDVYGQLDMAKEADRLFWGMRKMGIEPNVVSYNTLLRVYGEAELFGEAIHLFRLMQRKDISQNVVTYNTMIKIYGKTLEHEKANNLILEMQSKGIEPNAITYSTIISIWDKSGKLDKAAILFQKLRCSGVEIDQVLYQTMIVAYERAGLIGHAKRLLHELKHPDNIPRATAITILAGAGRVEEATWVFRQAYNFGEIKDISVYACMIDLFSRNRKYSSVIEVFDKMRKAGFFPDGNVIGLVLNAYGKLREFEKANVVYVEMQDEGCVFQDEVHFQMLSLYGAKRDFEKVELLFERLECDSNVNKKELYLVVASVYERANRLNDASRIVNRMKDMGLLRS >cds-PLY64243.1 pep primary_assembly:Lsat_Salinas_v7:7:3715449:3717088:1 gene:gene-LSAT_7X2400 transcript:rna-gnl|WGS:NBSK|LSAT_7X2400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERTPHIAIVPSPGMGHLIPLVEFAKRLMNNHNISATFIIPNDGPLSKSQTAFLDSLPNGLNYLLLPPVNFDDLPQDTQMETRISLMVTRSLPSLRQVFKSLVAEKHMVALFIDLFGTDAFDVAIEFGVSPYVFFPSTAMALSLFLHLPKLDQEVSCEYRDLPEPVQIPGCIPVRGQDLLDPVQDRKNDAYKWVLHNAKRYMMAEGIAVNSFKELEGGALKVLLQEEPGKPKVYPVGPLIQQTGSSSDLDGSECLRWLDGQPCGSVLYISFGSGGTLSSSQLNELAMGLELSEQRFLWVVRSPNDQPNATYFNSYGHNDPLGFLPQGFLERTKNIGFVVPSWAPQAQILSHSSTGGFLTHCGWNSILETVVHGVPVIAWPLYAEQKMNAVSLNEDMKVALRPKVGENGIVGRVEIARVVKGLLEGEEGKGIRSRIRDLKDAATNVLSKDGCSTKTLAQLASKLKNKS >cds-PLY72736.1 pep primary_assembly:Lsat_Salinas_v7:4:374234317:374237093:1 gene:gene-LSAT_4X184720 transcript:rna-gnl|WGS:NBSK|LSAT_4X184720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGESTCLMHSLPEPNNHGNHVHVLGDSVSFGRFTSESLAWEKWSTFSHKRYVEEAKSYAQPGSVAQKKAFFEAHYKKVAAQKAAAAAAALLEQEKNAIATTTSSPKPHDYSQTSLVNIHIPQPPLLNTVKIVKEEQPLNLVESGTEKVVVDVGLKKKDLVNRIENLEDHVSVSEDSRTSQMDRPLLKSKSNTDQEVLQPRMRRKPATPSFRSTLVNKKQAKIPPSPSKYVAFMNPRKENNIITPKSKNSISTTMDSVDKKRIAPRSLYTLMNSGSVKESQSCKLNSQNVQKNETKKPVPSAHSTPKRYPTPARTPSKVKSGVNKQPLATPSVKRRVETQTPSAVGSKTPSQKWHIFSAVSKSLNAYRNKLQSPTKLEEKFNEKEAQKVQLQTSLKEKAETEFRRLRQTFCFKARPLPSFYNNERETPKSMIKRTPQAQGNLNLRSVNVTPTRKPPTTTMVSSQPCSIKKSSRRLWKNNNDQNPINHPLSELARRISHENMSPNIQ >cds-PLY62991.1 pep primary_assembly:Lsat_Salinas_v7:3:118989051:118989430:-1 gene:gene-LSAT_3X83521 transcript:rna-gnl|WGS:NBSK|LSAT_3X83521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTKARKLGVSTPVLYAVDPVSHTLTFEYVKGSSIKDIFLEFGLKGVVEEKMDDIAFQIGDTIGKLHDGGVIHGDLTTSNMLWRSHTNQLVLIDFGLSFVSTQRC >cds-PLY70201.1 pep primary_assembly:Lsat_Salinas_v7:9:1589698:1590369:-1 gene:gene-LSAT_9X4100 transcript:rna-gnl|WGS:NBSK|LSAT_9X4100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSALVTSSSLFFLLCKQFFLPQAVGRLPPFPRSTSSSPPTRHLCDGHDSWLKRKKFVDLEDGNLDRNEIN >cds-PLY96046.1 pep primary_assembly:Lsat_Salinas_v7:8:21901214:21903684:1 gene:gene-LSAT_8X15820 transcript:rna-gnl|WGS:NBSK|LSAT_8X15820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLMIFLQTSYAVNGLVVKSALNKGLNHYTFAVYRNAVAALFFGPFAFILERKVRPEMTVSIFLKIMLLGLLEPVIDQNLYYAGMKFTTATFATSMCNILPAITFVMAWMFRLEKVKVRSLHSQGKIIGTIVTIGGAMIMTLIRGPAILFPWTNHHHHHPLHHQSTVNTMSTQDQIKGSLMITVGCFSWASFVILQAVTLKSYPAQLSLTALVCMMGTLEGSILALVVEKTNASIWSINWDIKLFAAIYGGIMCSGCAYYISGVVMQERGPVFVTAFSPLGMVIIAILGSSILAENLNLGSVVGAVVIVVGLYLVIWGKSKDQNEQDLELSLNKQHIDGLKMATFKHSVDVVNSDGKPCTDLEVV >cds-PLY64369.1 pep primary_assembly:Lsat_Salinas_v7:4:22773042:22775162:-1 gene:gene-LSAT_4X16061 transcript:rna-gnl|WGS:NBSK|LSAT_4X16061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISLFKLFNAPLHLSNLLSLILFFAFGLCFGIILTFHLKNVSFNLQFTQFSLSTTTNTAVPADVITTPQTTPPPPKVGLGSYLNPPELMHDMTDEELIWRASMIPKVRDYPFERIPKVAFMFLTRGPVVLSPLWDKFFKGNEGLYTIYVHSSDSAANETEPEDSVFHGRRIPSKDVEWGKVNMIEAERRLLANALMDFTNQRFILLSEACIPLFNFSTVYSYLTNSKNNFVESYDLEGPVGRGRYSPNMAPVVDIEDWRKGSQWFEMDRELAIEVISDKMYFSVFHDFCDGQCYADEHYLPTFVTKHFGEKNSNRTLTFVDWAKGGPHPTKYTRNDVTEEFLEKLRDDKSCEYNGKKNQICHLFARKFTSHALDRLLRIAPKLMQFNDL >cds-PLY65844.1 pep primary_assembly:Lsat_Salinas_v7:1:192212387:192214083:-1 gene:gene-LSAT_1X126621 transcript:rna-gnl|WGS:NBSK|LSAT_1X126621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGGMNLFGIFMLQLMVLALFSLHAEGQGLKVGFYKKSCPQAEVIVSKVISDVMAVAPSLSGPLLRMHFHDCFIRGCDGSVLLDSPTNQSEKFSPPNLSLRGFNIIDRVKLALEKACPDVVSCADIVALVARDVTVATKGPYWEVETGRRDGNVSLFIDPITPVTGLPSFASNISVLKQSWALRGLNTKDLVVLSGGHTIGISHCSSFDSRLYNFTGKGDTDPTMDPNYIARLKLKCKPNDLTTFAELDPGSFKTFDDSYFKLVTKRRGLLQSDAALLDDPETRAYMIQATSEGSTFFKDFGVSMVNMGRIGVLTGSQGEVRKVCTKSN >cds-PLY71902.1 pep primary_assembly:Lsat_Salinas_v7:3:24317645:24318441:-1 gene:gene-LSAT_3X17981 transcript:rna-gnl|WGS:NBSK|LSAT_3X17981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDNPKKTLIFCYGTLKQDFANHFLMQDLISHNDCDFIGAYVTNNNLPLVIGPYGVPFLLNLPGSSRHRVRGELYSVSDSGLRRLDELEGITLGHYERLPITLTPKNGGDSAVVEAEAYYAHRSFAEEMWRKSGEEGYDAYTVELGMNYVRKDLRPKDRSLRDEIALFVHRID >cds-PLY69895.1 pep primary_assembly:Lsat_Salinas_v7:4:68074541:68076745:-1 gene:gene-LSAT_4X46000 transcript:rna-gnl|WGS:NBSK|LSAT_4X46000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIDQAHHPSVSQKLAAGSLLGSSMSQFHACENGLQQPHMFRRHFHNQTYTNAAFQHPMHPRTQFPVVPKSSSICVQAPAEKGFTGFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFGRTIKDEGFASLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFRKDRDGYWKWFAGNLASGGAAGASSLFFVYSLDYARTRLANDSKAAKKGGGRQFNGLVDVYKKTLATDGIGGLYRGFTISCVGIIVYRGLYFGLYDSLKPVILTGKLQDSFFASFALGWVITNGAGLASYPIDTVRRRMMMTSGEAVKYKGSMDALTQIMKNEGAKSLFKGAGANILRAIAGAGVLSGYDKLQLLVLGKKYGSGGA >cds-PLY82801.1 pep primary_assembly:Lsat_Salinas_v7:1:87340519:87342145:-1 gene:gene-LSAT_1X72461 transcript:rna-gnl|WGS:NBSK|LSAT_1X72461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEYKNLGRSGLKVSQLSYGAWVSFGNQLDVKEAKTLLQCCRDHGVNFFDNAEVYANGRAEEIMGQAIRELGWKRSDIVVSTKLFWGGPGPNDKGLSRKHIIEGTKASLKRLDMEYVDLIYCHRPDLQTPIEETVRAMNHVIDKGWAFYWGTSEWSAQQITEAWGIANRLDLVGPIVEQPEYNMFSRHKVENEYLPLYNNYGIGLTTWSPLASGVLTGKYNSGIPADSRFALENYKNLASRSLVDDVLKKVKNLKPIADELKVPLSQLAIAWCAANPNVSSVITGATKEYQIQENMKAIDVIPKLTPEVMEKIEAVVQSKPKKPDSYR >cds-PLY69591.1 pep primary_assembly:Lsat_Salinas_v7:8:10044513:10045680:1 gene:gene-LSAT_8X8281 transcript:rna-gnl|WGS:NBSK|LSAT_8X8281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGEHHGDAAHGHGGGDFRTKVWTMSGGPNCRPLHWKRNTAIAMAGIFLVCIPIAMKSAELEQRPHMPVRPVPSQIWCKNFGNKDY >cds-PLY78827.1 pep primary_assembly:Lsat_Salinas_v7:8:289700617:289704479:-1 gene:gene-LSAT_8X160721 transcript:rna-gnl|WGS:NBSK|LSAT_8X160721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO84A [Source:Projected from Arabidopsis thaliana (AT1G10385) UniProtKB/Swiss-Prot;Acc:F4I4B6] MSIPEGALSSDAGDLDFGQEVTLGDKLKAFKSSGFDQESYVSAKCRTTSEKEIKHLVTCLLDLKKASAEEMRKSVYANYPSFIRVSREISDLEGQLVALRNLLSNRAAIIHTLAEGTRTDSVSSGPGSRKKGSFDNEHREPTKMELWLSQYIDNLNILLAERRVDEALAALDEGERVAKEEKSNDLMTPIMLASLQATITEQRQKLADRLAESACQPSSSGKELRSSVEIIKKIGDGPRAHTLLLNSHHQKLQSKIQGLQTSGSTYGVAYTAALSQLVFSIIAQASSDSLAIFGDQPLYTSELVTWSVKQTNTFANLMKRHVIASPSASGGLRTVAECVQISMGHCYLLEARGLALAPVLLRHFRPCVDKALNANLKRIETSTAAIAAADDWSLSYPPIGTRSLGTPPTLGSSIVSQPKLSSSAHRFNLMVQEMCEDIGLLRSSTFSNQAKEGLHQVFSSYITMLVNALPGSSETENLEGRIVNTAENDTQQIALLANALFLAEELLPRAALKLMPLQQCLPTDTPRRNSDKQLRTPETRELKKRLQRYVDQLRDSFCRKHALDLIFSEDGGARLNAPMYFSMDENSEEPEWFPSPIFQELFAKLCSFARLASDMFVGRERFSTLLLIRLTETVILWLSDEQSFWEEIEHGPKPLGPFGLRQFYLDLQFVIHFASEGRYLSRNLHQVIKNIISRALGSIVSAGGDPDSMVPEDEWFTNHAQTAIKKLTATPENEEVTSPTVDTSEGALPSIEE >cds-PLY79181.1 pep primary_assembly:Lsat_Salinas_v7:5:57228494:57236477:1 gene:gene-LSAT_5X26801 transcript:rna-gnl|WGS:NBSK|LSAT_5X26801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERSWDEELPDCRYEQKQPSLIKPNSPAPNHILYLSNIDDQKFLRFSIKYIYLFQNSVAIDLLKSSLSKVLVDYYPLAGRLKPLHLAHEERDEDDEKLQVECNGEGAVFAEAYMDITAQHFLQLSHKPNKSWRKLLFRVDARTFVETPPLVVQVTKLQCGGMIMCTAINHCLCDGIGTSQFLHAWSQLITKAVDNHLPTPFHSRHLFKPQSPSSHLPPLLHPAFTKNHSNTGFSLNRYLQSQLLVPTSLTYTTSHTLRLKTQCEPSIKCTTFEVLASHTWRSWVKSLDLAPSLEVKLLFSMNIRNKVKPEIPKGYYGNGFVLACAKSTVQELVDSNLYYVVKLVQEAKSTLTSDCVNGIVELLEDKIVTTDLSASLIISQWSKLGLEDLNFGQGKPLHMGPLTSDIYCLFLPVVGDPNAIRVLVSLPKSVVSKFEYYMNDFLDTNSVEANGDHEKGNLLL >cds-PLY85160.1 pep primary_assembly:Lsat_Salinas_v7:9:146483366:146489793:1 gene:gene-LSAT_9X94060 transcript:rna-gnl|WGS:NBSK|LSAT_9X94060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDDISQLPDCILHHILSFIPTKDDVKTSILSKRWKNLWTSVSNLDFDDALLYKEMEGQDPPDEICFMNFVDRVLLFRDTSTIHKFRLSCRVCFNPSRIHSWISSAIIHNVHELDLCLFVEDPFLLPQSIFSSRSLTTLKIEMNCVLQIPSFINFPHLKTLHLSLITFPDDELTQKLFAGCLVLEELVLLDCEWTNLKNVMISSSTLKRLTIDDLPYFGPPDDSRGCKIMIDAMNLVFFKYTGYLSNEILLCGVLKLVKADISVSILHERQKEVAFHVVDLLNGIQTVGYLRVSKRTIESFVFADKKVVHFPVFQNLTHLELSMEIGNSTIEALMKFLNSCPNVQSLNFTESGGCGRRIESHEGTMASLQDNLKAQLLSQISEQMEVLTKKFTEIATETIKTTISESESFIRTDDETPKRTPKSGGRSGSGGGSGGGHGDEGDNYRGGANWRFRNLDMPLFDGENLDAWI >cds-PLY80754.1 pep primary_assembly:Lsat_Salinas_v7:8:137387078:137387575:-1 gene:gene-LSAT_8X95200 transcript:rna-gnl|WGS:NBSK|LSAT_8X95200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYHILWLISYIPFHPNPSSNRFHSHHHKRNHLPLYNPPIPTLRIRNELQEILDLQDAKQKITREIRSKDSAILAFANKIKESERVLDMLVDDYSDYRRLKRSKVEESEEDSNTTTVATHLNLNDILSYTHRISYTMFAPPEFGVGTAPRPSGGVDACFSVVLIC >cds-PLY89010.1 pep primary_assembly:Lsat_Salinas_v7:3:72935885:72938687:1 gene:gene-LSAT_3X62021 transcript:rna-gnl|WGS:NBSK|LSAT_3X62021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDSLIRALVEAIHSTPTQAVLYFSGGASQVLGWLAAVPGASNTVLEVIVPYSRMSMIQLLGKVPVQFTSKETAEDMALVAYNRALKLSKPGSPVVGVGFTGSLATTRPKLGDHRFYLSTRTSNRLWASSVTLSKGLRNREQEERVSSQVLLKAIAEACKVPNSTTFDSDLTEGEVPDVSELHFDEDQELEQLIQGKICFKIFPFSSGTQTSNGDRKVILSGSFNPLHDGHLKLLEVAMSLCSGGYPCFELSAINADKPPLTVSQIKDRVKQFEKAGKTVIISNQPYFYKKAQLFPGSAFVIGADTAARLINPKYYCGSYENMIETLVGCKRTGCTFLVGGRNMDGVFKVLEDFKIPDELKDMFISIPIDKFRMDISSTEIRKGLECK >cds-PLY65124.1 pep primary_assembly:Lsat_Salinas_v7:1:157900340:157904471:1 gene:gene-LSAT_1X109141 transcript:rna-gnl|WGS:NBSK|LSAT_1X109141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELPVIDLEPYLDVVSGKYCGDEVLNPQLKTACSEVSRTLKETGALLVKDPRCSAQDNDRFIDMMEKYFEQPDEFKRLQERPHLHYQVGVTPEGIEVPRSLVDGEMQNKLRSLPKESQPLTPSGPDPKWRYMWRVGPRPSTTRFQELNSEPVIPEGFLEWKETMDSWGSKMISAIEAVAEMAAIGFGLPKDAFTALMKNGPHLLAPTGSNLERHGKEGTVFAGYHYDLNFLTIHGRSRFPGLSIWLRNGKKVEVKVPVGCLLIQTGKQIEWLTAGDCIAGMHEVVVTNRTLESIKVASQENRSLWRVSSTLFSHIASDAVLKPLGHFAQSPLADKYPPICAGEFVEQELSVINLKGNRGEL >cds-PLY83774.1 pep primary_assembly:Lsat_Salinas_v7:4:41543832:41547208:-1 gene:gene-LSAT_4X27640 transcript:rna-gnl|WGS:NBSK|LSAT_4X27640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine methyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT3G20020) UniProtKB/TrEMBL;Acc:A0A178VA69] MEGMSRSPPAGSYSNGHHHKLLGGGTAQRDHFRSRRSRQSGVTRVSSGQQETEAPPPCTDFDKAYFQSYSHVGIHEEMIKDRVRTETYKTAILQHQSYIQGKVVVDVGCGTGILSIFCAQAGAKRVYAVDASEIALQANEVVKANNLSDTVIVLHGRVEDVQIDEEVDVIVSEWMGYMLLYESMLGSVIVARDRWLRPGGLILPSNAVLYMAPVTHPDRYAESIDFWRNVYGIDMSPIMPLAKQCAFEEPSVETISGENVLTWPHVVKHVDCYTITLQELESVTTNFKFQSMMRAPFHGFAFWFDVEFCGTTAENDAPSSESTKSNPRRKRANPSEALVLSTAPEDPPTHWQQTMIYFYDPIEVEQDQVIDGKVTLTQSKENARFMNIHLEYASGGRSFVKECVLR >cds-PLY73340.1 pep primary_assembly:Lsat_Salinas_v7:7:62832653:62833887:1 gene:gene-LSAT_7X44960 transcript:rna-gnl|WGS:NBSK|LSAT_7X44960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVATSSSTSLLNHASCHDVCLGFRGEDTRNSFTDHLYAALERAVVRTFRDNDNSDRGQELKPEINKAIKESRDSIVVLSEKYVNSRWCLDELLLILEQRQSFNHSVLPILKLVSTPTHLIGMDTRAKFINSWLENEQSGDNILAICGMGGSGKTTLAQFIYNSNKQKFRNSNYLEEIGKHSKQSDDLLGLHKQFLKDILGGILKAYPVYLRVQGRLRMPS >cds-PLY92313.1 pep primary_assembly:Lsat_Salinas_v7:9:178315033:178315896:-1 gene:gene-LSAT_9X110040 transcript:rna-gnl|WGS:NBSK|LSAT_9X110040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF109 [Source:Projected from Arabidopsis thaliana (AT4G34410) UniProtKB/Swiss-Prot;Acc:Q9SZ06] MQRMIKIPKEETTTTAANRHQQPPWGRLTNDEEALVMVNALKNVIMGGTSECRSSTFDYQNGYDSRLFSAIEYATPTTTATGCSVPVAPVSVPDTCSVCRINGCLGCTYFADDMNLNVNASVSGGGGGVGGPIKKKKKNYRGVRQRPWGKWAAEIRDPRKAARVWLGTFETAEAAARAYDRAAIEFRGPRAKLNFSFADYTTSSLPENNQPAVPLRAANSSRKKAEVNNGRKFELDRETKVMEKKPVIENEFLGAIFEDEDIQDWMIMLDFNGDSSDSTLSGTVYSV >cds-PLY75966.1 pep primary_assembly:Lsat_Salinas_v7:5:247762250:247763607:1 gene:gene-LSAT_5X123361 transcript:rna-gnl|WGS:NBSK|LSAT_5X123361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor 7 [Source:Projected from Arabidopsis thaliana (AT3G20310) UniProtKB/Swiss-Prot;Acc:Q9LDE4] MARGSRAKAAAAGEAIGSRQMVGTQQQEDNEPRYRGVRKRPWGRFAAEIRDPWKKARVWLGTFDSAEDAARAYDAAARSLRGPKAKTNFPVSDETAEHVTDDQHHQRGFYEFQDFNNQSRPTTSNLSSTVESTSGPRPSNPPRVRTHQRLKPPAAPPHDDDDCHSNCDSSSSVVDGYYCDADLSSSSRKPLPFDLNLPPPLDDIGFSSNPIDEADADADDLFVTALCL >cds-PLY64917.1 pep primary_assembly:Lsat_Salinas_v7:8:133381973:133390851:1 gene:gene-LSAT_8X92540 transcript:rna-gnl|WGS:NBSK|LSAT_8X92540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLGFIVVLLAVTSIYEAVAIFHPISESHRSAALELFSPSAGSFSSLEEAYEALITFEVLGLKKPEIKDHTCNSVVDTLSSPSSNSKDLHHALGVNGLLKCKISTGDLTGIVSRLKDAAKDATTLLDYYHSIGGLVLIKDQNPEVDVFLGNADGIFRSIKALSQSDGRWRYSSNNPESSTYAAGLALETLSGVISLSASPVDENLIGTLKKDIVKLFDSIKKYDDGAYYFDDKLIDASGHQGPLSATSAVVRGLTTFASASGSLNIPEEKVLGLARFLLGIGIPGNNKDLYYQIDALSCLENNRVSVPLVLSLPATVLSLTSKDKLKVKVNTVLGSAGPPLSVKLMQVFSSGSKDASVLKQELNYDPKEGVHTMDALPEGVDVGEYIFAFEIVLSDPEHKKIYATGGRTKVPIHVTGVVTVDNAKIALLEGNVLESEIKLDLPGKNDVAVSANHLQKLHLSFLLKTPLGKSFKPHQALLKLRHETGVEHIFVVGNSGKRFEMTLDFLGLVDKFYYLSGQYNIELSVGDAVMENSFSQGLGYIELDLPKAPEKSTQPPPQPANPYLRYGPKAEINHIFRVPEKRPPQQLSFTFLALVFVPFLAFLIGLLRLGVNLKNFPTSAVPATFAILFHGGIAAVLLLYVFFWWKLDLFTTLKALGVLGIFLMFVGHILELISYRIYKLRRVYKCLRALGILDSDFTGIIFVDLNVSFENTLPKGVIRGCSMCNNCQKVTSSWRQEESCRPVLEYAPAFHPTEVEFKDVLEYIAKIRPKAEEYGICRIIPPDSWKPPSLLNGNKWESSRFSTHIHQIDELKDLHSKRKLHEMIEQAEGKNGSDGFEFESGPEFTLRGFKAYAAHFKGQYFKKKDIFTDYRTRPWENVEGEYWRIVQNPTEQIQVLSGHNLDTKVIGSGFPLPLPSSLDTHEDQNQSEYAKSPWNLNNTSKLPGSLLAFDYDNSTLSTPRLDIGMCFSSLCWKVEEHNLYTISYMHQGASRTWYGIPSKYRQNFESLLKKTFPELSGKPELFHKLVTQLSPSTLKSEGIPVYRCLQHPKQFVIIFPGAFYSGFDSGFSVSEKVNLAPVDWLPYGQLSVEIYSELHRKTLISYDKVLIEGARDAIKTRMPGDSNACGKDGWFTRALKSLVKREGFKRELLCNASQSRTMEEGFSCLVKQECIVCFSDLYLSATGCACSPEKYSCLEHSKQLCTCPWSSRLFFFRNDIRDLNRIIEALEGK >cds-PLY71867.1 pep primary_assembly:Lsat_Salinas_v7:3:59829166:59830639:1 gene:gene-LSAT_3X46800 transcript:rna-gnl|WGS:NBSK|LSAT_3X46800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDGELDFSNHEMFVGDIPSSGSMNSFFDEIFNDTHACTHKHTCNPPGPDSSHTHTCYHVHTKILPATSDDDKTPTEDTAESSDKKGKNRPSGNREAVRKYREKKKARAASLEDEVVRLTALNQQLMRRVQSQVGLEAEVARLKCLLVDIRGRIDGEIGSFPYQKRHHPVGNQNLSSGFLLNPCNLQGGESMHHDLDINGCDFEDLQCLGNQGLMPNQSTAGSKRKGGRR >cds-PLY79250.1 pep primary_assembly:Lsat_Salinas_v7:9:182561689:182563158:-1 gene:gene-LSAT_9X112480 transcript:rna-gnl|WGS:NBSK|LSAT_9X112480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-linked sulfhydryl oxidase ERV1 [Source:Projected from Arabidopsis thaliana (AT1G49880) UniProtKB/Swiss-Prot;Acc:Q8GXX0] MSDNPLEAICSTIGRISVSLQTNLSKFISIPQKPSTLTNVNVSVSPSGPPIATPSIVAAVSSLPQSTNPHTKQISTGPVSREELGRATWTFIHTLGAQYPENPTRQQKKDVKELMAIISRIYPCKECADHFKEVLRSNPVQAGSQGEFSQWLCRVHNVVNRSLGKPTFPCERVDARWGKLDCEHRVCDLQGSMTLFSTRID >cds-PLY75243.1 pep primary_assembly:Lsat_Salinas_v7:7:65676436:65681211:1 gene:gene-LSAT_7X48201 transcript:rna-gnl|WGS:NBSK|LSAT_7X48201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSASLLNHSDHSIHYDVFLSFRGQDTRNSFTDHLYAALVRAGLRTFRDNDDLKRGHELKPEIERAIIESRASIVILSEKYATSSWCLDELLLMLEQRRSFNHFVLPVFYHVDPSDIRDQRQSFAIEVEEGVERSKWTEYNVNRWKVALSEVADLTGMVVSGSEADFIAQIVDAIDCKLDIMKLVSTPAHLIGMESRAIGINSWLKNEQSGANALAICGMGGSGKTTLAQFIYNSNKQKFETSSYLEEIGKHYKQPHGLLGLQKQLLTDILGGKNERISSVFEGTRKVEEALQVKRVLIVLDDIDEHDELDALLGRRAFHTQSKIIITTRLLDIHAWFGSISWRCQVHKLGLLNDHESLELLSCHAFGSKIPMEGFKELAVQIAKYCGGNPLALKVLGSSLFVNAEDPRKRSSIIEIWRSTLNSLNSLGGDLDDKIQGILQKSFDSLPRASNRELFLHIAFFFVGEYEDYVVKILEHDWHAKAGIMTLVNRCLLSISSSKKLVMHQLLQEMGRKIVLEESKDPAKRSRVLQNNESYRLLGKGQGSETIEGLALDMRKLSQWTRSNPLALKTGSLAKMDKLKLLQLKYVELTGSYENFPELRWLCWHGCNLTTIPSGLLMSSLVAIDMSYGNLKMFEPPTVLNSLKILNLKDSQKLVSIHNLSQLPNLETLILWNYSNLTHVCKTIQGLERLDLLDFTGCKNLWKVSSNKYVNQLLRLRALYTGEEVPQQSFSLPDSLNFLFLNNCNLEKSNYIPVTFSGQPFLYMNLGNNLFEFLPNNINFKTLRVLELTFCPNLKCLLCLPSTLEELYTNWCFSLEKITFESTRFRLCEFVYRGCNKISEIQGLFKLVPIAKLDEVDLGHMKWIKAYQDLEVDLVGDEITKDRNWRVQVLYEYGIMSTYLPDVKDQSMTKPVYMSSSPFLSFCVPSCPKKRRIQGFHVTAIYRPSGEDEDMWVLFIKISNTTKDLTWMYNPVVYCNPRVGEDAVWLSYWPIGNILDAGDEINVSVIVGNGLMVSGCSASLVYMDDGEVELEYCKNYTKEEEVIGGDLSEFKLSTGEYYLCRRDFFKSTTPDWLNMLVSNTIPSTGKFFLLML >cds-PLY88380.1 pep primary_assembly:Lsat_Salinas_v7:5:62790169:62796286:-1 gene:gene-LSAT_5X30121 transcript:rna-gnl|WGS:NBSK|LSAT_5X30121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKHHHHQTSSEAVSSSSSAEAAVSSSSSSLTSSSQQPPPPSPTVQLMNATSPATEDHPASSSSRDCSSSSSSSSSSSLESVTIERRGEFAAVCKWTIFNFPRVKTRALWSKYFEVGGYDCRLLVYPKGDSQALPGYISIYLQIMDPRGTSSSKWDCFASYRLTVVNPTDDSKSIHRDSWHRFSTKKKSHGWCDFTPSASILDTKSGFLFNDDHCVLITADILILNESINFVRDNNELQSNSVSNSVVMTGPVGDVLSGKFTWKVHNFTLFKEMIKTQKIMSPVFPAGECNLRISIYQSSVNGVDYLSMCLESKDTEKASISDRSCWCLFRMSVLNQKPGMNHMHRDSYGRFAADNKSGDNTSLGWNDYMKMTDFSGGESGFLVDDTAVFSTSFHVIKEHSSFSKNGGLIAGRIMSGARKSDGHMGKFTWRIENFTRLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRNTTSDWSCFVSHRLSVVNQKMEDKSVTKESQNRYSKSAKDWGWREFVTLTSLFDQDSGFLVHDVVVFSAEVLILKETSIMQDFTTDQETESSNNSGGGKMSSFTWKVENFLSFKDIMETRKIFSRFFQAGGCELRLGVYESFDTICIYLESDQSVGTDPDKNFWVKYRMAVLNQKNPSKTVWKESSICTKTWNNSVLQFMKVSDMLEADAGFLVRDTVVFVCEILDCCPWFEFADLEVYASEDDQDALTTDPDELIDSEDSEEGISGDEEDIFRNLLSRAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKLSGSTNDGKKIPKTDESSPSLINLLMGVKVLQQAIIDLLLDIMVECCQPSEDDSSDGSSRPSAASSPPELDRRTTTTTTTTGVTESSQVYAHERLDSGGNEIASASAVQSSEFMTEKPFAGPPFSPPETYAASSSESSSLRSKAKWPEQSEELLGLIVNSLRALDGAVPQGCPEPRRRPQSAQKIALVLDKAPKHLQHDLIALVPKLVDHSEHPLAATALLDRLKKPDAEPDLLLPALGALSQLKCNSEVWERVLFQSFELLEDSNDAPLAATIDFIFKAALHSQHLPEAVRSVRGRLKDLGGEVSPCVLDYLSRTVASCNDIGEAILKDIDNEDNDGYTSAPRGVLLFEGTTMTSHETHFSDIYMLLEMLSIPCISIESSQTFEKAVSRGAISAQSVAIVLERRIARRINLTSCSQFEEEEEEVVFEDGDTMEQLRVERDDFTSVLGLAESLGVSRDPCVRGFVKMLYTILFKWYADGPYRLRILKRLVDRATSATDASRELDLDLEILVFLVSEEQEFVRPVLSMMQEVADLANVDRAALWHQLCSNEDELIRIREESKTQVSNMTKEKTVLLQRLSDTEATNSRLKSEMKSEMDRFSLERKEMCEQMQEIESQLEWLRSERDDEIAKLTAEKKLFQERLHDAETQLSQLKSRKRDELKRITKEKNALAERLRNAEAARKRFDDELKRYATENVSREEIRQSLEDEIRRLTQTVGQTEGEKREKEEQIARCEAYIDGMESKLQTCEQYIHSLEGSLQEEMSRHAPLYGAGLEALSMKELETISRIHEEGLRQIHALQQRKGVAGPTVSPHSHGMYPGAPPPPMAVGLPPALVQNGMGMGVGVGVVHGNGHVNGAIGGPWFNHS >cds-PLY98550.1 pep primary_assembly:Lsat_Salinas_v7:1:39729361:39737063:-1 gene:gene-LSAT_1X34861 transcript:rna-gnl|WGS:NBSK|LSAT_1X34861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRKLAQRSERVKSVDLHPTEPWILTSLYSGTVCIWDYQSQAMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYILSSSDDMLIKLWDWEKSWYCTQIFEGHSHYVMQVTINPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKTCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRIAIGYDEGTIMVKVGREEPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVSDGERLPLGVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEIVWSSDGEYAVRESTTKIKIFNKSFQEKKSIRPTFSAERMYGGSLLAMCSNDFICFYDWAECRLIQRIDVNVKNLYWADSGDMLAISSDSSFYILQYNHDVVSAHLDSGRSVDEQGIEDSFKLLYEVSERVRTGLWVGDCFIYTNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVFLIDKEFNVIGYTLLLTLIEYKTLVMRGDLDKASTVLPSIPKEHHNSVAHFLESRGMIDEALEVATDPDYRFELAIQLGKLEIAKDIALVAQSESKWKQLGELAMSTGLLEMAEDCLKHANDLSGLLLLYSSLGDAEEIAKLALVAKENGKNNVAFACLFMLGKLEDCLQLLVDSNRIPEAALMARSYLPSKVSEIVALWRKDLNKVNQKAAESLADPEEYPNMFEDWQIALEVEERATETRSSYPPAEEYVNYVDRSHVNLVDIFKHMQLDDDEPHENGELDHEEGVEENGNEGEYIDGQEEEEAAAAAANANANAMDNDSSDGAVFVNGNEAEEEEEEWGTNNAATSSA >cds-PLY73574.1 pep primary_assembly:Lsat_Salinas_v7:4:321203291:321203764:-1 gene:gene-LSAT_4X160281 transcript:rna-gnl|WGS:NBSK|LSAT_4X160281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQSSCYYASPSSSFSYSHQHPQKVTKSNRSSYVKKPITKPFIAPMAPNPPKVYNVDSCNFKEVVRLLTSSPEFQNPSPRYLKAIAPPPLDLSTIPKPSLYTKPAPPQSDLDGGMVSPLPTFMMSPDFCKFLNETLHTSCTSKSPETYHFQGWSPVD >cds-PLY64584.1 pep primary_assembly:Lsat_Salinas_v7:6:36660437:36660730:-1 gene:gene-LSAT_6X28000 transcript:rna-gnl|WGS:NBSK|LSAT_6X28000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPLMSSPITTVFLHQGDQGESSSNFETTVLSQLSIIVQLTRSMDNRLIKVERDVATIKRLMDLDDDDDDDMVVDVTQPNSPADNPPPPPPPSTNLP >cds-PLY79216.1 pep primary_assembly:Lsat_Salinas_v7:5:300259348:300261051:-1 gene:gene-LSAT_5X160601 transcript:rna-gnl|WGS:NBSK|LSAT_5X160601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVTPTMAADLNKVVVVMVPLPLQGHLNQLLHLSRLIATNNIPVHFVCTTTHGRQAKLRIQGWNPHTISTIHFHDFPIPPSSSPPPPPPNPNSTNNFPSHLQPLCEAATQLRHPVASLLRKLSPTTHRLVVIHDSLMGSVVQDFVSLPNAESYTFHTVSAFAIALYTSKKVREQIQELVEPEDLTNDLLSFEGCFTSEFKKFISLQHEYTKLSSGRIYNTCKVVEQPVLDLLETEARNRNKLLWALGPFNPVDIKRSTVVTKKDGGPVHVDRCLKWLDKQASNTVIFVSFGTTISFSHEQVLEIATGLENSNRKFIWVLRDADIGDHHIEFNDEIKRRLELPEGYEDRVKDRGMVVREWAPQLEILAHPSIGGFMSHCGWNSCMESISMGVPIAAWPLHSDQPNNAVLVSKILKVGFLVKEWSSRREQVVPAVAVEKAVMRLMGSTEGQEMRKRAVVVGRRVRKSVRDGGDARMELESFIAHIAR >cds-PLY94316.1 pep primary_assembly:Lsat_Salinas_v7:7:165489785:165491970:1 gene:gene-LSAT_7X97281 transcript:rna-gnl|WGS:NBSK|LSAT_7X97281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDGPGLFHHKKQTLIDEISGKIINGDLLTKIHAAREIRSMIRNRNSSDKIRTKLAAAGVIQPLVLMLYSRNHDAREVSLLALLNLASRNERNKEQIVTCGAIPPLVELLKFQNTNTLRELATASILTLSTASPNKKTIIDSGVIPLLIQILSCGTVQGRVDSVTALHNLLTSQQPPLIPDAKAIPPLINLLKECKKSSKFAEKTTSLIQIISQSEEGKSAITNTQDGILTLVETIEDGSLVSTEHAVTTLLTMCVSCRSKYRELILNEGAIPGLLRLTVYGSEEAQEKARTLLDLLRESSSEKRLSSSVLEKIVHDIASRVDGSDKTVETAKSLLQDMVQRSMEVGMSEIQIRASSSSSS >cds-PLY77848.1 pep primary_assembly:Lsat_Salinas_v7:1:25747842:25749357:-1 gene:gene-LSAT_1X21761 transcript:rna-gnl|WGS:NBSK|LSAT_1X21761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPPTVKIVSDCFVKPKFVSEEAKKPIYLSPWDLPMINANYIQKGLLFPRPENQDFSITTFLEELKDSLSATLTHFHPLAARLATVKQENPPSLIVFLNPKNSPGARFIHSSVNLTVADILTPVDVPVIVQSFFDHHKAIDHDGHEMSLLSIQVTELTDGIFIGCSMNHMVVDGTSYWLFFNSWSEVFRSKAYKNGDLIPIISRPPVMQRWIPEGSDPIITLPFTHEDEFIDRPNRPLLRERIFHVSSASLSKLKAKANSECNTTKISSLQALSAVVWRCVTRARRLPADQKTGCSLAFNNRHRLSPPLPETYFGNSIYVVRGVATAGELHDHGAGWAAWRLHEAVVNHDDKAIREFVGSWIKNPFVLKMSQLFDANSVQMGSSPRFDMYGNEFGLGKGVAVLSGYANKFDGKVTLYEGREGGGSMDLEVCLLPENMAAFECDEEFMNVVNGLL >cds-PLY94060.1 pep primary_assembly:Lsat_Salinas_v7:4:171738068:171738483:-1 gene:gene-LSAT_4X101461 transcript:rna-gnl|WGS:NBSK|LSAT_4X101461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSLTYASALGGSLSRSTTPEPQIAARLTNPRILVTRGRVNSALSEHSDLAASMSLSADGLTNQENEIDDQNTHIKHSYLTNKDYICRGAGGGNLESRCNA >cds-PLY93325.1 pep primary_assembly:Lsat_Salinas_v7:9:65196080:65197387:-1 gene:gene-LSAT_9X55500 transcript:rna-gnl|WGS:NBSK|LSAT_9X55500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLQQKHQKQSAGNKECRKKQVVKNRGGRCGYGNACFKKTHHIADSSDDPDTINWITIFEKMLGARRGHVRGIGPKPSSSMGRSTPSQWQSQSQASQPTYDIDVEVFIQNSAFVTAIGDIIHSFKNEVNNEENNDGEDEDTYCIL >cds-PLY90430.1 pep primary_assembly:Lsat_Salinas_v7:8:213352904:213354714:1 gene:gene-LSAT_8X133320 transcript:rna-gnl|WGS:NBSK|LSAT_8X133320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGKNYRVNYYISQAFSVLKTDLQSDNGSTWSTMAFYVFSLHIPLSFGGLSVVAQLLNQTHLNPDIQALSLLLIQTLELGAFMLLLQFSEKPFNILSFFKTRVFPKERNWLLASVIGLGFLLAFIFLTSFVADKLIGPKDVNNPIMKEVLSGGPLSVFWLALVYCVVTPVLEETVYRGFLLTSLASRMECKKAVVISSIVFSATHLSIDNFLQLCVIGVVLGCSYCWSVVTFIS >cds-PLY93820.1 pep primary_assembly:Lsat_Salinas_v7:6:144877712:144882360:-1 gene:gene-LSAT_6X87521 transcript:rna-gnl|WGS:NBSK|LSAT_6X87521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSEKSTKKQPWFWSSAVASVILRLVLIVYFPNTLNFSSRPEVSTPLTSLRRLAEGYWLKQSSMSPYAGSMYHGSPLLLSILGPLTIDSLAFVIADFITAILIRAIGQLLQVADTKSLKSLGATTHLNVSENFPSGDIAALVYLWNPLTIITCLGYSTSPIENLVTVLSIYGACMRLVPLAAFGWVIASHLSLYPVILVIPLVLLVGSGLDTPPRKLFPYIDDDQIQNKKSSNQIIFSWRRVFLFFLWASIWAFYVLILCGISLKDYSSLGEMFKRTYGFILSVEDLSPNIGVLWYFFAEVFDFFRNFFLMVFHINILFMVLPLAIRLHHRPCFLAFVYIAISSILKSYPSVGDSALYLGLLSLFVNALADMQFSFFLFSGYLGTLLLSPVMHNLWIWRGTGNANFYYATAMAYACFQDVGGGGDGSRSAAVVVMTGNGGGGGNYVSGGDGGGNGGGGASDGLVVVVMVIVGQ >cds-PLY96169.1 pep primary_assembly:Lsat_Salinas_v7:8:101898917:101900695:1 gene:gene-LSAT_8X69500 transcript:rna-gnl|WGS:NBSK|LSAT_8X69500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTKSLAKSKRAHTQHHKKHHHNQKGKATTTSTGASITLKPPGNVVKEQPRQSHGSPALPSNWDRYEDEDEDEDDPMTKSQIHGQSSQQSDVVLPKSKGADYAYLISEAKSQNSTRFSSEIFPSFDDFVSDFDQGKDSFLGVRGESLVSSIQNDSFFVDDKTPANYEASFLSLNLHALSKQLSKIDLPKRLFMEPDLFPQDMYSEREQEAELSKSTTSHNGVNPMVDPTPNPSEIQSKFEPKTAELELDMLLDSFVDANLKEKNSKSKKEESVAFDIDGTLDDLLKETSTPPVEIGILSETSRPVSNPKSELLDDFDSWLDTI >cds-PLY90252.1 pep primary_assembly:Lsat_Salinas_v7:8:14675731:14680163:1 gene:gene-LSAT_8X10800 transcript:rna-gnl|WGS:NBSK|LSAT_8X10800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLEVTNEHVGSSSYPILSPFVWLICYEPDKEEEKKLVVVNSPNAINPLVLDYHPLLTIDVWEHAYYLDFQNRRPDYVSVFLDKLVSWEAVSLRLEAAKILMIGKKKGVESN >cds-PLY73410.1 pep primary_assembly:Lsat_Salinas_v7:5:91731558:91736213:-1 gene:gene-LSAT_5X41281 transcript:rna-gnl|WGS:NBSK|LSAT_5X41281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDEDIQPIICDIGSGMVKAGFAGDDAPRAVFPNIVGRPRHPSAMVGMGLKDAYVGDEAISKSGVCTLKYPIKHGVVSNWDDIEKILHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTECMFETFNVPAMYIGIMGVLSLYASGRTTGVVLDSGEGVSHTIPIYEGYSIPHAIFRLDLAGRDLTDYLMRILTQRGYAFTTTAEWEVVRDIKEKLTYTALDYKEELETAKNSTSASVEKNYELPDGRVITIGAERFRCPEALFQPSLIGMEASGIHERTYKSVMKCDIDLRRDLFGNILLSGGSTLFPGFADRMSKELTDFVPSSMKIKVIAPPPLERKYSVWIGGSILGSLNTFQQMWISKDEYDESGPSIVHRKCF >cds-PLY74066.1 pep primary_assembly:Lsat_Salinas_v7:9:10988099:10990790:1 gene:gene-LSAT_9X9601 transcript:rna-gnl|WGS:NBSK|LSAT_9X9601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERCVLISAKEEPEASIPPAMDGLLKIHKRVTDVDADPTHPPAGGTISTRLLVAATQAGNLIGKQGATIKTIQDSSGCVIRVLGQENLPVIALPDDSVVEVQGEREGVHKAVELIASHLRKFLVDRSVIGIFEKQMQMPSGRANQEMAAPQPWAAPPPAQNFPGGGPGYRPAQFMPPQHQFDNYYPPPAMDNQPPRQLPPSYGRDTASMGMHMPPQELMVSKVSQNMQVPLTYADAVIGTSGANISYIRRASGATIAIQESRGNPDEMTVEINGSASQVQTAQQLIHNFMADAAQNPTAGAGAAAAPPLVVATSQAGGGGYNQYPGYPSQPAPPGHPPAGGGDYGSAPMYGGSYGY >cds-PLY63554.1 pep primary_assembly:Lsat_Salinas_v7:9:150069705:150071504:-1 gene:gene-LSAT_9X95201 transcript:rna-gnl|WGS:NBSK|LSAT_9X95201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEVNRCQIQEWYPKFKSTTIKTIIHELPESFIQYLLDDSGPFLLPLSISNSDALPNRIHNSEDQQDYIISQEQESDDESEQPPPPPSFPELESQINESIKTLGGAIFPKLNWTSPKDSAWISSTGTLKCTSFTEIALLLKSSDSLVHDLCHAYDLCNDCNAPRPDRFFLALRKWYPSLHPEMEFRCFVRNRILVGISQREVTGFYPILIEKKHELETGIKKFYIENVSMRFESESYTFDVYVRTDGEVKLLDFNPWCAFTLPLLFTWPELESESEPESVRGGMEFRIVESECGVRPGLKTAVPYDYLDTSEGSGWDQFLRNADHEFRRQTRSAGA >cds-PLY84964.1 pep primary_assembly:Lsat_Salinas_v7:2:123876563:123878936:1 gene:gene-LSAT_2X57101 transcript:rna-gnl|WGS:NBSK|LSAT_2X57101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSYTRFNKFDKVRVTGGNHEGEVGLFLLPLFSIMTAEGNIINVKQHFVEGYNNTPMTPIINVEPQLLASNDTTTEVDSAMPMPRARYKSRRRQSIAPQGNVVSTNNMKKHA >cds-PLY93748.1 pep primary_assembly:Lsat_Salinas_v7:6:147740608:147743626:1 gene:gene-LSAT_6X88640 transcript:rna-gnl|WGS:NBSK|LSAT_6X88640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARWDEILSLPVQNPPTLEFSAFDLIWSKIEGHRDNMDRLALVPFARVDDFVRGESNNKDCPTRFHVEARRRRPPKMPYKPKVDGILEYILYWCSFGPDDHRKGGVVRPSRSTYIPKKKSAGRPNTKRGCTCHFIVKRLIAEPSVALIIYNQDKHVDKKGLPCHGPQDKKSVGTRAMYAPFISEDLRLRVLSLLHVGIPVETIMQRHNESVEKQGGVCNRDDLLTHRYVRIQERNIRRSNFELDEDDDVSIGLWVERNQNHVFFYEDYSDNDPFCLGIQTEWQLQQMIRFGNGRLLGFDSSFGVNKLKCPIQSLVVFNSENKAIPVAWIITPVFSRSIDIHKWMRALFNRVRTKDPTWKLAGFIIDDPLFDIRTIREVFQCSVLISFWRVRHAWHKNLMKRCLDMETRAKLSKTLGQIVKEMCKGCGNVESFDKFMEDFKECRDFMDYFKAIWCPRMGPWIDAFKTLPVSSQETSSALEFHHNQLKIRLLNEPDPFIYKRVDWLLDKLATKIQAYFWLDEYEGKDDFSRYWKDEWTSGLTAWRKSRAIPDTDVLTEGQTVKVVDQKDREIAHVVWNPGTEFGICSCGWGQKGNLCEHVFKVVWYFREKGSGSPSVSLLQFNRGLIDMLKCPPANSFIRDHAVSLAVWVNEQLRGQFGKSGRGEMVNSCTRMVVDS >cds-PLY97044.1 pep primary_assembly:Lsat_Salinas_v7:4:350851700:350852434:1 gene:gene-LSAT_4X172920 transcript:rna-gnl|WGS:NBSK|LSAT_4X172920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKISSRSFLSPARAGAARDPPPLSLSNSHNRRLRNSRSIKGGASPVIFPTIGKKRGSSFENPESSSPKVTCIRQVRVKSKKKHTKNLSLSRRRSADEVSFRRFEHSGNRFGSQSQNIGSNQECLPLQRNNQRWIHLLLTICEGLRVFGSKVSFLFPYRSSCSSMTAIEKEEKIVGENRQGSCGAVFARWLVALKGDDGSGRDAGGGGGGERVVELIAGDDDDENEEIDEMGIMNSRRDFKTWRL >cds-PLY82721.1 pep primary_assembly:Lsat_Salinas_v7:2:143732360:143733844:-1 gene:gene-LSAT_2X70500 transcript:rna-gnl|WGS:NBSK|LSAT_2X70500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKTFGFDTAVEEAQRAINAAYIEAHSAYRGIGIVKLMGRSSGFIAMHASLASGQIDICLIPEVPFELQGSHGVLNHLKYLLETKGSAVICVAEGAGQSFLEKTNAKDASGNVVLGDIGVHIQQEVKKYFKEMGNPTDVKYIDPTYMIRACRANASDGILCTVLGQNAVHGAFAGYSGITVGICNTHYVYLPITEVISYPKIVDQNSRMWHRCLTSTGQPDFL >cds-PLY91043.1 pep primary_assembly:Lsat_Salinas_v7:MU039518.1:14655:14919:1 gene:gene-LSAT_0X41260 transcript:rna-gnl|WGS:NBSK|LSAT_0X41260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRNPTLYGLSDDALTRDVLLVDRRADLVHFAATMLDKNNLVKYDRKSGEFLVITHGTSYC >cds-PLY62014.1 pep primary_assembly:Lsat_Salinas_v7:5:116049264:116052230:-1 gene:gene-LSAT_5X51241 transcript:rna-gnl|WGS:NBSK|LSAT_5X51241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3138 [Source:Projected from Arabidopsis thaliana (AT5G18570) UniProtKB/TrEMBL;Acc:A0A178UG16] MASVSSLSLCFLSAHAQARSNKPRKQLAPKKPNPEKFNSITTPRPQSIFSLSGGQATTYTRLPPRDDDFSDSFAEIKLSDLAASMQKQKKPSSGSKDKTEDNAEIVSDEEDSGFDYGKFELYEVSSDDEIDGDEFEEDELIFENKGEDESGFEYKGEEDEGEGEGEEEDEREKEKGVPAVMRCFDRAKIYVRSGDGGNGVVAFRREKFVPFGGPSGGDGGRGGNVYMEVVSSMNSLLPFRNSIHFRAERGSHGQGSKMNGAKGEDVVVKVPPGTVVRAAGKDGAPGDFLLELLHPGDRALLLPGGRGGRGNASFKSGANKVPRIAENGEEGPEMWLELELKLVADIGIVGAPNAGKSTFLSVISAAQPNIANYPFTTLLPNLGVVSFDYDASIVVADLPGLLEGAHRGFGLGHEFLRHTERCSALVHIVDGSSPQPDYEFDAVRLEIEMFSPELAEKPFIVAYNKMDLPEAFEKWESFKEKLLSIGIEPFCISAINREGTRELINAAYELVRQGIEDSKDEIWRDPVEFSHVAEMVKKQRTAPIDEFEISHDSASDTWRIEGAGLQRFVQMTNWKYMDSDRRFQHVLEACRVNKTLIKRGVKEGDTVIIGEMEMIWHDSPTSSGPNRKVSTESVKWADWK >cds-PLY81941.1 pep primary_assembly:Lsat_Salinas_v7:4:276121630:276124719:-1 gene:gene-LSAT_4X141480 transcript:rna-gnl|WGS:NBSK|LSAT_4X141480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILPINIDESTLIIIRHGESMWNEKNLFTGCVDVPLTRKGVEEAIEAGKRISTMPFDIVYTSALVRSQMTAMIALTQHCCQKVPIIIHNENEEAKIWSQIYSQDTKNESIPVVKAWQLNERMYGDLQGLNKQETAEIFGKEQVYKWRRTYEVRPPNGESLEMCLQRAVAFFKDNIEPQLMAGKHVMVVAHANSLRSIIMYLDNLTPQEVINLELSTGVPMLYIYKDGKFIRRGSPAGPNEAGVYAYTSNLAVYKEKYDKMLQVTPKRR >cds-PLY96499.1 pep primary_assembly:Lsat_Salinas_v7:5:336453812:336455888:1 gene:gene-LSAT_5X188620 transcript:rna-gnl|WGS:NBSK|LSAT_5X188620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEINANEAKKVVPLHTWILISNFKLAYNMLRRPDGTFNRELAEFLDRKVVANTVPVDGVYSFDVIDRATGLFNRIYRCAPPENESSRHPGAGIIELEKPLSTTEIVPVIIFFHGGSFTHSSANSAIYDTFCRRLTGLIQGVVVSVNYRRSPEHRYPCAYEDGWEALKWVHSRSWLLSGKDSKVHVYLAGDSSGGNIAHHVAHRAAVSGVEVLGNILLHPLFGGEERTESEKKLDGKYFVKLLDRDWYWRAFLPEGEDRDHPACNIFGPRGSNLAGVNFPKSLVVVAGLDLVQDWQLAYVEGLQKAGQDVKLLFLEKATIGFYFLPNNEHFYTLMEEMKNFVSPSPVCSLSLAGEKTQKD >cds-PLY80826.1 pep primary_assembly:Lsat_Salinas_v7:3:191431553:191432981:1 gene:gene-LSAT_3X112740 transcript:rna-gnl|WGS:NBSK|LSAT_3X112740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYNSPGISIALIFITVGIGFKLSPAPSHQWTPDVYEGVRFVKQVRNDESLYDKQIRFASSLLRVVPTKYQTNDMLHSWFSSFRDYECNRSIRRQKDHPKMIISWLLRTNQIRWFYFLTCSYGTKIEKIEKISHSQPLMKDSSKKVRNPLFDSNSPTPVVAFLSVTSKVAASASATRIFDIPFYFSSNEWHLLLEILAILSMILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNDGYASMITYMLFYISMNLGTFACIVLFGLRTGTENIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGRPIFLGFNRTPYKRCFYLLLSKNNQI >cds-PLY76429.1 pep primary_assembly:Lsat_Salinas_v7:8:153990703:153990951:-1 gene:gene-LSAT_8X103221 transcript:rna-gnl|WGS:NBSK|LSAT_8X103221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVADFTNPVLTVGGKTLMVLQVVGIAISDNATVKVEMSNRVPGSKHQRSTGPQVVESIIPGSSLDDEGGINDHVSLKAFLTR >cds-PLY93037.1 pep primary_assembly:Lsat_Salinas_v7:5:2444799:2445467:-1 gene:gene-LSAT_5X1361 transcript:rna-gnl|WGS:NBSK|LSAT_5X1361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLLFVSTVFISDDDSTSASGASDKSRGPFSSLVRLIFGGIVKPIQALGNLLGPKRSSSSLYVGNNVDADDDLEHGGGVTHHSPTQIKDSDSDFTMISVMNSMNTSINEFDKCLDSQLWHACAGGMVQLLPLNSKVFYFPQGHAEHAASGNVNFGDYSRVPPYISLGIRELDAQKLDNCFDLQCQQDDQQSKATI >cds-PLY93704.1 pep primary_assembly:Lsat_Salinas_v7:2:202731190:202745119:-1 gene:gene-LSAT_2X124500 transcript:rna-gnl|WGS:NBSK|LSAT_2X124500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGVRHLTILGEFKPFGLIAEALDGKPADEVTDEYEYFLFDPEVTRQQDEAESADNEASSSLSDRSDHELFIRGNRIIWTVGARVYKRFTLPGPVIMACWCRMGDLSEACLCVLQVDSLTVYSTSGDAVSIPLPRAVASIWPLPSGLLLQQTNESNSSTHSLFSSSSPLLTALDIPRSKKDMGYSPQQTFNLRSSWDHMIKVDRASVSSHLILKDPLEEPQPIHIEERGKLNTMKEYDEKTIWTSDLIPLMASYHKGKMQHSLWTAEVMNSNLEAVDSVLPDVMLSKKFFFRRIWQGKGAQTAASEVFLATDNDATPIICFLLQEQKKLLAVRLQCVELNSEILYDIRPDMSWSIPAIAAAPVVVTRPRVKVGQLPYRDIIVLAPENTLVLYSGKQCLCRYVLPSFQDHGGVSHNVKPLEAPTAFQDVKVVGLADAVEGRINVIVNNGQMLRCTFRRYPSSSLANDCITAMAEGLEANLYNHFVGLLWGNGDSAYLSKVDSPVDTEWESFCAIILYIFGKDRKNPQIHSGSSWDFLVNSSFHEKYSKSHFMSGFSRRISLQSDQSRTASQHLDTSHTVESLLEILDLLHAVYESLKLNHLRKRDLGLLVALLCEVSKFLGESGYLDYYIRDFPTLSRKYSFHQTSLSQRTPPSLFRWLENCLRHGCTSTSSADLPDLMRKDGSSVVSWARKIVSFYSVLCGGKIEGNKLSSDVYCKFATGSASSPEELTVLAMVGERFGLQQLDLLPAGVSLPLRHVLDKCRECPPTDWPASAYVLLGREDLSLSCLAHSNKFTEINSSNTVTSVSMSTPYMLHLRPVTIPSSVSDATGLDNSKLEDTDSVDGSTIDGMEHIFNSSTQLRYGRDLRLNEVRRLLCSAKPVAIQTPVNPTASDQDLQQAQLWQLAQRTTALPVGRGAFTLSTTCTLLTEALPVPKLMLAGRLPAQQNATVNLDPNIRNIQELKSWPEFHNAVAAGLRMAPLQGKMSRTWIVYNKPEEPNVTHAGLLLALGLHGHLDVLNITDIYQYYSQEHESTTAGLMLGLAASYRGTMQPAISKSLYVHIPARHSSSFPELELPTLLQSAALVSVGLLYEGSAHPQTMQILLGEIGRRSGGDNVLEREGYAVSAGFSLGLVALGRGLDATGFMDTLVGKLFQYAGSKEFHLERSHLSNGSMDDHNRGSGQMMDGTQINIDVTAPGAIIALGLMYLKTESQVILSRLCIPQTHFELQYVRPDFIMLRVISRNLIMWSRIYPSEDWIQGQIPKVVLNGIKGLTDEMEMDSEAVVKAYVNIVAGACISLGLRFAGTKDGNAQDLLYSYAVAFLNEIKALPVKILPGFPRGLSQYVDRGTLETCLHLIALSLSVVMAGSGHLQTFRLLRFLRCRNSADGHVNYGTQMAVSLAIGFLFLGGGMRTFSTSNSSIAALLITLYPRLPTGPNDNRCHLQAFRHLYVIATEARWVQTVDVDSGLPVYAPLEVTVKETDHYAETSFCEVTPCILPERALLKTVRVCGPRYWPQVIELNPEEKPWWNGGEKKDPFNSGILYIKRKVGACSYVDDPIGRQSLLSRAMHKVFGLGSLRGCSSSNNDDDEAAVSVNQLVSTFSSDPSLIAFGALCSDHSWNIKLDTDFQEFCLQVLFECVSKDRPALLQVYLSLYTTVASMADEVTSGIPPLLNDSQFLPSLKLALAYNDALVKGRFMSSRGSIVQSTFLGSLNKRVQELLAYSPCLRNNIILNDYFRTGKWSEDDNISTLVSWYLQWYNVPPPSLIQAGIKKLKSIQSQTQTQRQRPSTIPLLRLLFPGTHINAINEIHKFMLSDR >cds-PLY69964.1 pep primary_assembly:Lsat_Salinas_v7:5:118102749:118106142:-1 gene:gene-LSAT_5X51901 transcript:rna-gnl|WGS:NBSK|LSAT_5X51901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLFPLPLLLISFLFIVSRSSSSAIVNPSKVKQVSWKPRAFVYEGFLTEEECDHMVSLAKTELKRSAVADNVSGKSKLSDVRTSSGMFIHKNKDPIVAGIEDKIATWTFLPKENGEDMQVLRYEHGQKYDPHFDYFTDPVNVAHGGHRIATVLMYLSDVEEGGETVFPSAEEASRHKMSKPNDNLSECAKKGIAVKPKKGDALLFFSLYPTAIPDATSLHGGCPVLKGEKWSATKWIHVDSFDKIIDTGGNCKDANENCERWAALGECTKNKEYMVGTPELPGYCRRSCKLC >cds-PLY70218.1 pep primary_assembly:Lsat_Salinas_v7:9:195531:198441:-1 gene:gene-LSAT_9X4820 transcript:rna-gnl|WGS:NBSK|LSAT_9X4820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAYSFPEEVLEHVFSFLSSEKDRNAVSLVCKSWYEMERWCRRRIFVGNCYAVNPKIMIRRFPEVKSVELKGKPHFADFNLVPEGWGGYAHPWIVEIARGYPWLEEIRLKRMVVTDESLELISKSFKNFKVLVLSSCEGFSTDGLAAIAANCRNLRVLELRECEVEDVSGHWLSHFPDSFTSLESLNMGCLGCEVSFSALERLVARSPNLKTLRLNRTVPLEKLSTLLQLAPQLVEFGTGSYSADIRSDLYSSLVEAFSRCKNLKGLSGFWDVVPAYLPAFYSVCPGLTFLNLSYAATQSPDLTKIVSQCHNLQRLWVLDYIEDTGLNALAISCKDLQELRVFPSDPFVADANVMLTEEGLVSVSEGCPKLQSVLYFCRQFSNAALTSIAKNRPNLTTFRLCILEPRAPDYLTFEPLDSGFGSIVQHCKNLRRLSLSGLLTDRVFEHIGTHAKKLDMLSIAFAGDSDLGLHHVLSGCESLRKLEIRDCPFGDKALLANASKLETMRSLWMSSCGVSFGACKVLGQKMPGLNVEVIDERENLDSIPQNSPVEKLYIYRTVAGERFDMPDFVRTMGKHNHNRRRYAHCTAPLSNLNIYIFFFEYIFGPSV >cds-PLY75288.1 pep primary_assembly:Lsat_Salinas_v7:3:158187888:158189378:-1 gene:gene-LSAT_3X99261 transcript:rna-gnl|WGS:NBSK|LSAT_3X99261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPTTSGSGVTTLDKKTLGRIAQIIGPVLDVAFPPGKMPNIYNALVVKGRDTAGQPINVTCEVQQLLGNNRVRAVAMSATDGLTRGMDVIDTGAPLSVPVGGATLGRIFNVLGEPVDNLGPVDTSTTFPIHRSAPAFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEKNIPESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYDTAQEVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVGLAETIRGFQLILSGELDGLPEQAFYLVGNIDEATAKAMNLEMESNLKK >cds-PLY93301.1 pep primary_assembly:Lsat_Salinas_v7:4:300439934:300442340:-1 gene:gene-LSAT_4X150501 transcript:rna-gnl|WGS:NBSK|LSAT_4X150501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MECHGTNDHTAYPVFYDVEPSEVRKQSGAVAEAFAKHEKEESAGKKWRETLKEAADLAGWELKKTADGSESDRSYGILSKLTESSLKYRHEAKFIQRIVEEISLELRSISFNIDEKLIGMETRIKNLVPSIGIGCDDVRMIGIKGMGGAGKTTLARALFDQLSFRFEGKSFVENVLNKLKFLDLCCSMLRTLDLGLAPNLEELILVGCSYLEKLHFPSRCLNLRRLLLTDSKLRTLDVGLTPNLEKLDLEKSYYLEELHMANECQKLAELKITHSNLKTLDLGMTPNLKKLHLKECHKLVELHTSIGCLKKLVHIDLSGCLRFRSFRFNIKDNTSCSVDESVEVGPLAELRLMVESLESCPLHPKSNVPKFRFDGVYKEDRPLLTRNLEMLFSVGMCACTNLETFSRSLCGLRRLRKLELKASFVEAIKDIDQLEPLEELILLATRTNHLPDSICKLKHLKFLKLVDLRLLERLPEDLGQLECLEEFSLLSIDIKHLPHSICNLKHLKSLEL >cds-PLY74355.1 pep primary_assembly:Lsat_Salinas_v7:5:74054218:74055314:-1 gene:gene-LSAT_5X34560 transcript:rna-gnl|WGS:NBSK|LSAT_5X34560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MISSSCFSLVKINPTTQPTIVNASNSTSPHSPPLSNLQRPTSPNHNNRLPIQSTRRNLLNISILTIIASPISPFLSSTSTWAQTQESLALESYTDTNEGFTLLRPTPWTKVEKAGATALFEDPSKGGNNIGVVVTPVRLTTLREFGDPQFVANKLIQAEKRKESTKDVEIISSSERGGQKGDDVQVYEFEYKLDSTRGGVKRVFSAAFVASKKLYLLNIAYSDNPEKPLDDHTRMILEQVLHSFHVINISSPLVNSQV >cds-PLY68455.1 pep primary_assembly:Lsat_Salinas_v7:2:214600462:214602324:-1 gene:gene-LSAT_2X136081 transcript:rna-gnl|WGS:NBSK|LSAT_2X136081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDLDNIECVSSSNRVEGAEIQNFGPKPRNNTGMPPATSVHELLECPVCTGSMYPPIHQCLNGHTLCSTCKTQVHNRCPTCRQELGDIRCLALEKVAESLELPCRYYSLGCPETFPYYSKLKHEMSCNFRPYNCPYAGSECSVTGDIPFLVTHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFNCFGEYFCLHFEAFQLGVAPVYMAFVRFMGDEVAARNYSYSLEVGGNGRKLIWEGTPRSIRDSHRKVKDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQQQTNTTTTTTTDAAAGVLCIPIPNQFI >cds-PLY65874.1 pep primary_assembly:Lsat_Salinas_v7:4:85440527:85443302:-1 gene:gene-LSAT_4X57180 transcript:rna-gnl|WGS:NBSK|LSAT_4X57180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQQHLLSVSDEVFVNGGKSSLTLSVDGILQWLDGGLIRRCLSVEKEVLGFSKSGRLITIRALVQTAADRFCFGSSGEGSLTRKRFVFEAVTEDSLRNLCEKIQGYIDSLGRPKKLFIFVNPFGGRKSASKIFTNDIKPILEDANIEYTLQETRYQLHAKEVSCSLDLTKYDGIICVSGDGILVEVVNGLLERKDWAVALKVPLGVIPAGTGNGMIKSLLDSVNQPCTPAHATLAVVRGHKRSLDVATIWQGETTFFSVLMLAWGLVSDIDIESEKYRWMGSARIDFYALKRILRLRKYNGSICFVPGPGFEDVGESNDSCVDSMEPLIMPRQHGYQGPNIDLKNLNWRKIEGPFVSVWLHNVPWGAENTMAAPDAKFEDGYLDLIIVRQCPKLALLSLMSELNNGGHVKSPYVVYIKVKAVILEPGSRTDDSMKEGIIDSDGEVLARGKGTYKCNLKSLMAYDKIQVVVDQGLATLFTPI >cds-PLY64955.1 pep primary_assembly:Lsat_Salinas_v7:8:159848972:159849166:1 gene:gene-LSAT_8X106560 transcript:rna-gnl|WGS:NBSK|LSAT_8X106560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVLTRAAHQPWRVPGDDIAEPIQQVEPKFIPGPAFVRRQRPRHADPDRPEPTLGDVMQGLTTT >cds-PLY95532.1 pep primary_assembly:Lsat_Salinas_v7:6:173798267:173805181:-1 gene:gene-LSAT_6X104981 transcript:rna-gnl|WGS:NBSK|LSAT_6X104981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGPDGKNGSQSVRVAVNVRPLVTPELLVGCSDCISVTPGEPQVQIGSHSFTFDYVYGSTGYPSSRIFDDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYNGEGSNGVIPKVMEMIFKQVEETKGTTEFLIRVSFIEIFKEEVFDLLESNSAAYSKADGNGKPAGPSRAPIQIRETANGGISLAGVTEAEVTSQEEMASFLLRGSVCRATGSTNMNSQSSRSHAIFTITMEQKRISGSTNGGAHDDGDDILCAKLHLVDLAGSERAKKTGADGMRLREGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVVNRDPVTAQMQQMRNQIEQLQAELLYVKGDSSTPFEELQLLKQKIAILETSNADLQKQLQEHQVNFEHLTKQATDAQFEKDKLLLKLESARDGKPWDENNCDSNKDVGLLKTYVSKIQELEGELMRTRRSNTSKRTELIDFLDLDESVLNPKSNLFPGSDSKPAEDAGNPEDEEKELEHCSLQEKLDNELKELDKKLEQKEAEMKRVAGGDTSVLKQHYEKKVLDLEQEKRALQKEIEQLRNNLANISTTSDDSTQKLKENYLQKLNFLEAQVVELKKKQDAQAQLLRQKQKSDEAARKLQDEIHRIKTQKVQLQQKIKQESEQFRLWKASREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVVQRKTEEAALATKRLKEVLDSRKTSSRDTYGSSSGPGVQALMQAIEHELEVYVRVHEVRCEHERQKEERVKMAKELAELKDEANMAKHSSLSVCGQTMSPGARNARIYALDNMLATSSKTIVAMASQLSEAEEREKAFSGKGRWNQVRSLQDAKNIMNYLFNLASSSRCQVWEKEVACREKDTEIRGLKEKIVNLIRQIEMHKAELIRQEKLKKMMMSKSFRKRSTNQDDDGEGHVYDLRPKGLRNSIAYNTSGDLDELLEDMDTSDSDNSDNSDDWLHSDDFQDEWGNRRKQTAKRNSKADFTDSEIQKTSPTEEGSTGENPKVPFGECCTCSKSSSCKTMKCQCRAAEGMCSASCGCNPKKCSNRETAVVVEQSDSRPPLPAPPVAVLVDGDVNLSDDQELANRAAMLLESALGDKPAEINNEVEGSKRKPLSDIGNKVAKSNAPKPPAPRKKWRKSAAIKLIPVVAPPPSQTEETETEAPQTQKQESNNNNNSCVAVETTNIPQTLRLPRAMRSAMSNPNNSISNPPLRDRNSQQNQFGDSTANKEPEHATAIPLRPTTNEKENNNNHGI >cds-PLY87283.1 pep primary_assembly:Lsat_Salinas_v7:4:331265864:331267225:1 gene:gene-LSAT_4X164380 transcript:rna-gnl|WGS:NBSK|LSAT_4X164380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVDCNEDQHLQSAWLTTIAEELQKAQLDNDVIFARKPCIYKVPRYLRDADKKSYTPSVVSIGPYHFQNRHLHPMERHKWRAFYQTLNRHNHDFKLYLDAIKDLEAKARGSYEGEINLNSNEFVKMMVLDGCFILELFRGVKDGFDTLGYSEGDPVFSICGSLDFIRRDMIKLENQIPLFILDAFIAIQSPGYDTHYLAKMAISFFAPLIPTDEPVDKGADFTHLDPQSHHCLHLFRDNLVSKGLIVTKPAKKLWKRRLSTNVVGKPTTQVTYCVSQLREGGVRFRKGETDKFWDIKYKNSILEIPRILIHDGTKSIFRNLVAFEECHPKCSNEITAYLVFMNNLIDSAEDVTFLRYKGIIEHWLGNDNDVADLFNGLCQEIVADLNCSYLSGLTNEINKCRDNRWSTWKADFRHKYFRNPWALISAIAAVVLLLITVLQAFYGLLSYYKPTS >cds-PLY66329.1 pep primary_assembly:Lsat_Salinas_v7:5:288718410:288720970:1 gene:gene-LSAT_5X153281 transcript:rna-gnl|WGS:NBSK|LSAT_5X153281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVPFYHLLVLTISILSHIPISSSSSSSSSFLTKGSSLCVDNDDDILVSPNGIFTAGFHQVGENAYGFAVWFSEQPTSRSRTVVWMANRDAPVNGKHSTLFISEDGNLALTDAGDNRNVIWSTQTKSTSSLLQLQLHNTGNLVLNGGEENPLWQSFDHPTDTLLPNQLFTKKIQLVSSRSFTNYSSGFYKLFFDNDSILRLLYDGVKTTTVFWPHPSLGTLEAGRLPYVDIQRASLDSDGEFNSSDGFRFRSADFGLRAQRFMKIDTDGNLRVYSFDERRLKWEVQWQAFSNPCQIHGSCGPNSLCSYSPDLGRRCACLHGYKMADSQDWSYGCDSEFQPCTQNGCDDFIELRHVEFYGYDLRLIINCTLDACKKDCLKDYNCRGFQFGWTKGIPYCYIKASLHNGYQMGGIKALMYIKLPKRLVSSFTQKTIIQSSFICPDAVLSPIMRTYKTKHDMTPKSLEFMLLFGCMLGFIEIVCVVIFWYCSSKHSSTTTTEQTYFLAAIEFRRFTYSELKKASRNFSEEIGRGGASIVYKGRLADNRIAAIKRLTNTNRQGEAEFQAEISTIGRLNHMNLIQTWGYCAEGKHRLVVYEYMEKGSLAENLSSGHKLDWATRFDIAKGIAKGLAYLHEECLEWVLHCDVKPHNILLDANYNPKVADFGLSTLLERRSGINQWNFSMIRGTRGYMAPEWVLNLPITSKVDVFSYGVVILEMITGRSPASMNENDEIKRALIDRVRDRIDGFDEIVDPWIRDQYDDTVMENLVRIALQCVEEDREARPSMRQVVDMLLYA >cds-PLY79245.1 pep primary_assembly:Lsat_Salinas_v7:9:182113908:182116605:1 gene:gene-LSAT_9X112000 transcript:rna-gnl|WGS:NBSK|LSAT_9X112000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MENGSRSKRDEEFDWLFISQNPTNSFQPSPATVNGGSGSSAMGSTSSMRPVNHNDGFLVDHGVLSFQNKQQQQQQQHQQLPAAMGVNPVRSILNHRDRYPPLLPDFIEYNPYEYDHSCNSCDQLYCAGDIIPGFPSYGYRSPLSGFPETQYDRNLEIDFSRFNISSPNHHQIPPYLPSPSTLPASDIRNNSFVYTQLRAPVTRNNTGCNDICCNPHRRDQNHSLVVENTNRSRDWISAYELQNPNNNNPFSRQQESRRPRFPASLKDMRGLIYLVAKDQEGCQFLQNKCEEGKSEEVEMIFNEIKDHIRELMVDASMNYLAQKLFKAINERQLTHIVVTLISDNGNLTSICLNSHGTRAMQKLIELLTTSEQRSLIVSALKRITVTLSKNTNGHHVIQNCLKLFHVNECQPILDVVADNCIDIATDKSGCCVLQQCVSLAVGVTKDRLISPIIENSLHLSEHPYGNYVVQHILGMQIPEATGEILRRLSGNFVSLGMNKFASNVVEKFLKDASDDVVIAINREFINSHNFLSLITHPFGNYVAQSALHTAKDGILETMINKIQKEYASLHSHPHGKRVLALARSSRPKVPGHQ >cds-PLY62195.1 pep primary_assembly:Lsat_Salinas_v7:6:32256759:32259298:-1 gene:gene-LSAT_6X24000 transcript:rna-gnl|WGS:NBSK|LSAT_6X24000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFSFLFWSIFPLSFCVLELLIWFLADTDDSVKSSSGSEGGRKISPRSVSLETRQKTTGRVVRQLKTSGLEANSSTSIRTPKTTSNKTLDRTSPRGLDPEKKRQGRVAELETQISQLEDALRTVKDQLIVSESWKKQAKLDAEESRKELLAITLKLEESQKLLALSSSHETHSNYLSADEEIRVLKENMAQQVSIMEEMKNQLDDSRSSESKAQTLASETLQQLETAKKTMESLKTNSDDYNAIVSELQQSRARVSSLESIIEEMNAKEKQSESKEITDLKAELENLKSRQAWEINGELRKSKEDVDALRANLMDKETELQCILEENEDLNAKLKNLRENEVGNTSKQDFESLKSKLTSLETELSEKCDENEMLKLEIKKMKSSTEAMKKNKDEVANVTERLEAVKMSNCEMEEELRRLKVQMSQWRKAAEAATDMLCDKSSDNNGRMLEERAWSMGHFSPRKRMNMCSPGSVEIDHDDDDDEFMKRKDGNMLRRIGVLWKRPQNK >cds-PLY75611.1 pep primary_assembly:Lsat_Salinas_v7:9:33335242:33336919:1 gene:gene-LSAT_9X31060 transcript:rna-gnl|WGS:NBSK|LSAT_9X31060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFHVILLLLSAIEDTHCRNDGCQCAFCLANDVAEEAAVNEISCIEVLRILISKADTDIIELEDELMDLLSQLACTDEEFSNMYSMCLRKEIDFLDRSIRKLKDNAGDSLSTTTRKPAESMLDMLMSLFHCYIQKKDKQLADNIKNIASSSCQVHSEIPLSEKKQTGSSSNANQMEKNKKVINTSLEKHKISQTRVKTEEVEDYTGLSRGSMEKWEYQLVKIKSQTDDESIGSIESSSIPKANTDRNLNPVDLQLQESNVSVSTKIYKRREKLNDSPPLMLQTSSRSPCLLIEGADVANMCMDCYKLDDLRAIARQRGLRGYSKLRKIELAQALGIKIVEGVYTGTLSFIKIF >cds-PLY98569.1 pep primary_assembly:Lsat_Salinas_v7:1:36903999:36905416:1 gene:gene-LSAT_1X32101 transcript:rna-gnl|WGS:NBSK|LSAT_1X32101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKSDSILIREVWNDNLEEEFALIRGLVDDFPYIAMDTEFPGIVLRPVGNFKNSNDYHYQTLKDNVDMLKLIQLGLTFSDDQGNLPTCGTDKYCIWQFNFREFNVNDDVFANDSVELLRQSGIDFKKNNENGIDAQRFGELLMSSGIVLNDSVYWVTFHSGYDFGYLLKVLTCQNLPDTQSGFFSLIDMYFPTIFDIKHLMKFCNSLHGGLNKLAELLEVERIGVCHQAGSDSLLTACTFRKLKDNFFSGSLEKYAGVLYGLGVEN >cds-PLY65840.1 pep primary_assembly:Lsat_Salinas_v7:1:192069101:192071625:-1 gene:gene-LSAT_1X121801 transcript:rna-gnl|WGS:NBSK|LSAT_1X121801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNADSIQVELCVQPLNGWWKELIPDFHASNNSPITVLKQSWALRGLNTKDLVVLSGGHTIGISHCSSFDSRLYNFTGKGDTDPTMDPNYIARLKLKCKPNDLTTFAELDPGSFKTFDDSYFKLVTKRRGLLQSDAALLDDPETRAYVIQATSEGSTFFKDFGVSMVNMGRIGVLTASQGEVRKVCTKRN >cds-PLY97946.1 pep primary_assembly:Lsat_Salinas_v7:3:18041236:18042364:1 gene:gene-LSAT_3X13720 transcript:rna-gnl|WGS:NBSK|LSAT_3X13720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTPIPIFAAETPFLTRLKLLSPPLISSSSSRYSLLIVNGRSPLNINGISDPKSSNFITNGGQLKNVISGIADQQIDELVKATQRVESAKKELAEAQEQEIEARKTMEYMNQLETRDFEKLGFPLKTVEAEDGTTTIYKNKEKLESVKAALISGIVGTLASFPISLTHVTNSYELIVSTAITISICALYGATFRYAVRRDLDDFHLKIGTCAAFGIVKGLATLDGRLCLEQEAGNVVSNALNGAVCVSENVLIFIFAAAGLDICYKIGILSPFPVESSASRTKM >cds-PLY79740.1 pep primary_assembly:Lsat_Salinas_v7:5:178608893:178615255:-1 gene:gene-LSAT_5X82320 transcript:rna-gnl|WGS:NBSK|LSAT_5X82320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHQSSREVVGDDESGLSKTDLSTVDSAAYHHLRNLPQPPQARRALEALKGVLKLQALVRGHNVRRRTKMTLKCMQALVRVQARVCDQCRKLSNEGSFDSNIFWGSHLADNNSITREESSINTDEWDRRHACRIEIQVFQCWKFQQFYQIRRRDQCMMLNFMIPEMKKTSQCVKRMLQADQITIRHPHITNVLWFKPPATSAISSTTPWNSSVRSTNSSPSSLGYVQNHTSGNAYQGILCLSLKLTRRHDLAGVLLNRGINLDPLSKWSKVQSASSFLPVMVVAPQEEERIVDMAQIVRLKQVVQRWRRRIPSAGSLAVYVGEEHRRFVIPTRFLNLPVFVSLLNKAEEEFGFQTTGGLVLPCDVVFFKELLNVLERDETGFGALDLDDFSEMFSDLAADSYSLCKKATISDAHSFTPLLQKAKV >cds-PLY95018.1 pep primary_assembly:Lsat_Salinas_v7:5:226493096:226495892:1 gene:gene-LSAT_5X106280 transcript:rna-gnl|WGS:NBSK|LSAT_5X106280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCDNITAAVMGMSPEQKQAILRMGFGSILQVNITSYPGQLSYYLLDVYDADSKRLVLQNSVIEITEQTVHDMMGLPFGGEDINELPLCDKGNQILEEWRGQYSGDKFNGEEYLRRIQATTKDNLMFRLNFLTLFVNNFIELMLMGTNQIKVVRKLVLVEDISKLNWCKGMLDCLGSRKKPRKRDDKSSYYSGPVTLLILVYVYNMKYSIKIDKRLPFIGHINGAKLLEVQKLEISLGGFGRQFRDEHDDVDMGDETGAEEQQMLSFKRNFGDEEVYAAVIEHSYGVIVTEKSTMEVALKDGLLKFPHSVVLNEWIETMNEHFKGVFEGAGNKKVHEPARFNEVNMDDVGDGGEGNSSPVRGLILTEVNTGKEDNYTTPLDTTSLTMTQFHRLPGVNEEMIKLLDETELQVYKKKKRMSVINGDNLVGRNIGEAVDNAGGYDDNDKREKRIPKKAKVFHSPYIERIVKVGEKLSKDETWICNSVFASTRDDGDEIWDIGTCHLLHQGFAYQFNHGMFSHSKIIDCWAAFLNKMENYKDESSLSRFFFDTTIVTEDILNELKSEDMKCRLFATLLRIYTKKFDVKPSFRDVALVFFPIVDDGKYYLLIFDMRSTRVMNISWLVEKAGTECGIYLMRHMETYIGEYEGRWECGLTGKMPADVSATIKLRTKYMARLLTSDFNKFKSMIVKDFEAFRKLDILEQDMLLRESAENR >cds-PLY89970.1 pep primary_assembly:Lsat_Salinas_v7:2:192698826:192699312:-1 gene:gene-LSAT_2X114761 transcript:rna-gnl|WGS:NBSK|LSAT_2X114761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPSKTLRASFKLEYLNNLEWNLRRMKSEKNNKNQSSGSSNEEKLLSDDTSSQNRNSMNCSRACGAVLRDLLIIFSCCYCCGGKSKFTPVCFVLFFH >cds-PLY66621.1 pep primary_assembly:Lsat_Salinas_v7:3:65193191:65194782:1 gene:gene-LSAT_3X51381 transcript:rna-gnl|WGS:NBSK|LSAT_3X51381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKYYFLLCITQALVFLVPVILARTSTFATTPNNADLEENTAKIPAKILTAKIANQTEGSLPGSNSTRRDLHACQAANPIDKCWRCKPDWAENRQALADCALGFAKGTTGGKGGEIYEVTDPSDDACDKPKEGTLRFGVTRDKPLWIIFTKDMVITLKHELVICNDKTIDGRGASVEIANGAGLTIGNVKNVIIHGIHIHHIKVTEGGVISDSETHSRARAKNDGDGIYIYGSSKIWIDHVTLNDGPDGLIDVTNAATCVTISNCKFTSHNKVMLLGADITHTQDKNMQVTVAYNKFGEGCIQRLPRCRYGFFQVVNNDYNKWQMYAIGGSSDPTILSQGNRFLAPDVAKSKQVTQRHDAPEEEWKNWKWKSQNDTFLNGAFFVPSGGEWEPTPEQSAGLIPPCPEPVEALTCDAGKLTCTPGQPC >cds-PLY96995.1 pep primary_assembly:Lsat_Salinas_v7:2:34948370:34955114:1 gene:gene-LSAT_2X15680 transcript:rna-gnl|WGS:NBSK|LSAT_2X15680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESLFSLCCLAIMVFFSVPLGAEARAFFVFGDSLVDNGNNDFLFTTARADSPPYGIDYPTHRPTGRFSNGLNIPDLISEHMGMQSPLPYLHPSLRGDKLLAGANFASAGIGILNDTGVQFLNIVRMWKQLEYFQQFQVRLTDIVGADRTREIISNGISLISLGGNDFVNNYYLVPLSARSRQFSLPDYVRYVVSEYRNILSRMYDVGARKVLVMGTGPMGCVPAELAQRSRAGECSVELQRAANLFNPQLNDMLKGLNDEKGGNIFVAANTMKMHMDYISNPQAYGFITSKVACCGQGPYNGLGLCTPMSNLCPNRDIYAFWDPFHPSERANRIIVQQMIGGTSNYMNPMNLSTILAMDSKA >cds-PLY90738.1 pep primary_assembly:Lsat_Salinas_v7:3:35624929:35627353:1 gene:gene-LSAT_3X27161 transcript:rna-gnl|WGS:NBSK|LSAT_3X27161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSRALLVLAPAFLSESILLNHISRSGFHQLLTQNEKRVRVGGRNYSIHSNLERPDVTRLAETARISLTPQEAEEFAPKIQQVVDWFGQLQDVDLQSIEPAIRADTEAGSFREDSPETFENRDAIIAAVPSYEAPYIKVPKVLNKD >cds-PLY72848.1 pep primary_assembly:Lsat_Salinas_v7:6:22412429:22415125:1 gene:gene-LSAT_6X17400 transcript:rna-gnl|WGS:NBSK|LSAT_6X17400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLPKFTRPKGHDDELCCNLYVANCGPAVGLTIDSITSAFSKFGTVKGVYPADESGSRVIVSYYDENSAQSALKALDRCPCPDLGGRSLHIRYSVQRPQLQVVATDSVEVCLEASELNIPGIYLIHDFVSAQEEEQLLAAVDERPWHSLAKRRVQHYGYEFCYDIRNVNTQHRLGNLPSFVDCILEKIPVFKKLDEAADVSLDQLTVNEYPTGVGLSPHIDTHSAFEGSIYSLSLSGPCIMEFRGSDDRDKERSNSRRRAIYLPPRSMLLLSGEGRYAWQHYIPHHKVDKVKDTVIRRGSRRVSFTFRKVRKGPCQCDYSQFCDSQS >cds-PLY96929.1 pep primary_assembly:Lsat_Salinas_v7:4:8808573:8812513:-1 gene:gene-LSAT_4X7120 transcript:rna-gnl|WGS:NBSK|LSAT_4X7120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKAANSAPKSSVSITKDDAYLQSVIPKRIELFESIKAQQIAHRQSISGDPIKVTLPDGTVKEGKKWATTPFDIAKEVSKSLASNALISQVDGVLWDMSRPLEGDCQLKIFTFDSDEGRDTFWHSSAHILGQALEMTYGCKLCIGPCTTRGEGFYYDGFYGELGLNDDHFDQIVSGAKKAVAEKQPFERIEVSRKQALEMFSDNKFKVEIISDLPEDKTITVYRCGPLVDLCRGPHIPNTAFVKAIACLKASAAYWRGNKDRESLQRVYGISYPDQKRLKEYIALLEEAKKYDHRELGKKQELFFFHPLSPGSCFFLPHGTRITKKLIAFIQTEYEKRGYSEVTTPNMFNMQLWETSGHAANYKENMFVFDIEKQEFGLKPMNCPGHCLIFDNRVRSYRELPLRIADFGVLHRNEASGALTGLTRVRRFQQDDAHIFCRESQIKDEVKSVLEFINYAYDIFGFTFELKLSTRPEKYLGDIETWEKAETALADALNEFGKPWQINEADGAFYGPKIDISVSDAMKRKFQCATLQLDFQLPQRFNLSYSAEDESKRERPVMIHRAILGSVERMFAILLEHYKGKWPFWLSPRQAIVCPVSDKSQAYGQQVMDQIHEAGYYVDIDKSDRTIQKKVREAQLAQYNYILVVGEEEVNTGKVSVRVRDKQEHSVKTIPDLLQHFKEEVAAFH >cds-PLY89764.1 pep primary_assembly:Lsat_Salinas_v7:1:59007939:59010639:-1 gene:gene-LSAT_1X50620 transcript:rna-gnl|WGS:NBSK|LSAT_1X50620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIETIKELSWFTTIWKSSRKPTILEPKKPLIGIMSFEVSRLMAKIANLWQFLSDKQMTRLQEQLSNSIGTQKLVSDDHNYLMELALLEILENINCVAQAVARLGKKSTDPIYHNLEHIFDNPLEIDVNWCGWEYKLKKMEKQVKKMKRFAAVTSQLYEELEVLYELENGLQRKQENNTDPTKLHEFEKKVMWQREEVAGLREMSVWVRPYDYILRLLVRSLFTIVNRVKGVFGITQRSSAHISRGGSDIRKGSCFARSNSISALVSVYPFERDIKRSASNLGDKAIKSRNSGHIKSLKTGYILFDSKKRLLHEQKPTLGDAGLSLHYANVIIFIERLAISPHFICPEARGDLYQMLTTRIKNSFRVKIRNEGFKVYSRDVAHEWSSLMQKTLDWLGPLAHNMIEWHSERNFEKQRMGLGGNVLLVNTLHYADQVKCEDAITELVMGLHYVSRFGREINDKAFLGSGCGMECEDYSVHKCKIGSIGLYD >cds-PLY99736.1 pep primary_assembly:Lsat_Salinas_v7:9:56117520:56118143:-1 gene:gene-LSAT_9X47641 transcript:rna-gnl|WGS:NBSK|LSAT_9X47641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVKSYSRSEVKMIDVGSSCFETDHLCSYVQSRSYHAPEVLLGLPYDKKIDVWSLGCILAELCTGNVRFFFQNDSPTTLLARVIGIISQIDQEMLVKGKDTHKYFTKNHMLYEHNQDTNRLEYLIQKKTSLRHRLPMGDQGFIDFVSHLFV >cds-PLY77115.1 pep primary_assembly:Lsat_Salinas_v7:7:183402141:183403936:1 gene:gene-LSAT_7X109401 transcript:rna-gnl|WGS:NBSK|LSAT_7X109401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLHKFIRCKLKSVFLKTGKGHEQHESGDTVDDISNLPDCILHQILSFIPTKEVVKMSILSTRWKNLWASAPNIDFNDGLLYAKTVDGRCRPEVSSFINFVDRVLALRDPSNMEKFHLSCFVCNNASKIHSWISYAIMHNVQELDLCLFGVDPFVIPRCMLDSTPLVSLKIKMDCVIELPSHVSFPFLKTLHLSLVRFPDDASTEKLFSGCPFLEELVLLDCYLVNLNNIVISSSTLKSLTIHDLPYFGEFDDTSGCNIKIDAVNLTYFKYFGNLLNDILLNNALSLIDACIHIPIPQERETEVTYRAIDLLKQLRYVVSLRISNRIMESLIFADNMVHYSPVFSNLRHLVLTMESGKYPFGGLMDLLYFCPILQSICLLEGFGHHMCLGENDPILIVVPICMLSCLKIVTFKNFHANDSEIYFLKCILKYACVLERMDIVWSKTRLPDLKKQAQARTELETIQRSSTACHVKFS >cds-PLY65575.1 pep primary_assembly:Lsat_Salinas_v7:5:338945386:338946894:-1 gene:gene-LSAT_5X190801 transcript:rna-gnl|WGS:NBSK|LSAT_5X190801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIYMEKIQHKNIKVNGINMHVAEIGEGPVVLFVHGFPELWYTRRHQMLYISSKGYRAITPDLRGFGDSEAPPSSTSYMAFHVVGDLVCLLDSLGLDKVFLVGHDWGAIISWYLCLFRPDRIKALVNMSVVYKPRNPSVKPVDLTRHTFGDDFYICRFQVIYICRLQEIGWEAEFAKVDIKKLLASSYFQRDPTPPMLPKHFANVFQHAPPYTIPSWFTQQDLDYFASKYHATGFAGPLNYYRNWELCATWTGSKIMVPVKFIVGELDLVYSFPGTKEYIHGGGFKELVPGLENIVVMEGAAHFINQEKPQEINNHIYDFITKF >cds-PLY72586.1 pep primary_assembly:Lsat_Salinas_v7:3:199156077:199160371:1 gene:gene-LSAT_3X120781 transcript:rna-gnl|WGS:NBSK|LSAT_3X120781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLGKNLWGEELVILAEASMEPTVIYVKQVLDIISKASNKGIKGIAHIPGGGFIDTICRVFGIGLGALVYNDSCSVPPVFKWIQKAGGIEDGEMKRTFNMGIRMVLVVSKEVSERVVKEEGEMVYRVGEVFSDSPRDSVQFIECSPSSCPRALPGEVRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAYTQVEADVKVEEPGKDNVHNNTFYTQETLNHKQCGIVIRYLLAIGSFLEIDLGHLKVTNEVSWHGPAEDQASVHCDYFLGFPFHQLSCHEFG >cds-PLY87941.1 pep primary_assembly:Lsat_Salinas_v7:4:32768063:32769390:-1 gene:gene-LSAT_4X21080 transcript:rna-gnl|WGS:NBSK|LSAT_4X21080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLQGIGRRLIISKEYQKLDIDIEERNKKLRKFKESAWKCVYYLSVEFFAIIVTHNEPWFTNTINFWIGPDNQRWPDQKAKLKLKALYMYAGGFYMYSIFALIFWETRSVFVVSMGHHVASVILIVMSYICRFVRVGSVILALHGASDVFLEVVKMSKYSGAEGLASFSFMLFVISWLILRLIYYPFWIMQSTSYEIVMMLDKERKDTLIPIYYYIFNTLLFFLLVLHIYWWVLIYRMLVKQIQDRGKLNDDVRSHSDSNHEHED >cds-PLY91418.1 pep primary_assembly:Lsat_Salinas_v7:3:56259384:56263218:-1 gene:gene-LSAT_3X43900 transcript:rna-gnl|WGS:NBSK|LSAT_3X43900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 47, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39030) UniProtKB/Swiss-Prot;Acc:Q945F0] MSYNSFSTNSSSSQFFISSRANQINTRFMDPKTLIRHNEYLKTIPINPKFNPLPTFLSPTQCRYSSRIQLGLRSSHYPLFGKTRYANRFLTYVNVSRRVSDEGEESGYRNGRSFAAVSSFGDSGEDEEEISSKTSVIEKDLAVNESIWDQLVEILKFSGPAAGLWLCGPLMSLIDTAVIGQSSSVELAALGPGTVLCDYMSYGFMFLSVATSNMVATSLAKQDKSEVQHQISTLLFVGLTCGIMMLFFTRFRGEWALSAFSGAKNAHMLSAANTYVQIRGLAWPAVLVGWVAQSASLGMKDSWGPLKALAVASVINGVGDVILCLYLGYGIAGAAWATMVSQVVAGFMMIEALKDKGYNGYAIAVPSPTELLQIFKLAAPVFIMMMSKVAFYSLLVYFATSMGTQTVAAHQVLVQVYCMFAVGGEPLSQTAQSFMPELIYGAKRSLSKARMLLKSLVIIGASCGLILGAAGTIVPWLFPQIFSPDPHVIKEMHKVLLPYFIALSVTTSTHSLEGTLLAGRDLRFISFSMSTIFCLGALLLMFLSNSGYGLPGCWWTLALFQWSRFTIALLRLVSPNGILYSEDMSRYELGNVSTT >cds-PLY63645.1 pep primary_assembly:Lsat_Salinas_v7:4:130097890:130099598:1 gene:gene-LSAT_4X81740 transcript:rna-gnl|WGS:NBSK|LSAT_4X81740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEQVAKPEEEKKPEEEKKEEPAKADEKKPEEEKDAPPPPPPPPQEIVLRVFMHCEGCARKVRRCLRGFDGVEDVATDCKTHKVVVKGEKADPLKVLERVQKKSHRQVELLSPIPKPPEEEPKVEEKEAPKPEEKKEEPPQVITVLLKVNMHCEACAQEIRKRILRMKGVESVEPDLKSSQVSVKGTFTAPQLVEYIHKRTGKHAVIVKQDPEPKKEEDKGADEKKDDGGGGEKKEEAKAEEGGGGGGGGGEEKDKEKDEKKDEKKEGGGEEPGKPEGGTEGGGDGGGEDTRVVELRKNEFYYYHPQNYHVYPPRYTAESAYGYPPAPQMFSDENPNACTVM >cds-PLY80344.1 pep primary_assembly:Lsat_Salinas_v7:7:156116867:156117136:1 gene:gene-LSAT_7X92360 transcript:rna-gnl|WGS:NBSK|LSAT_7X92360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTWIDFRAMRLNRSPLGNERYGLLSGRIGGTMYSTSSMGSTLSTFSSSSMGSTSSDSSTSTEGLYSSDGSSSGSLLSDGKGAPSSEGSD >cds-PLY99468.1 pep primary_assembly:Lsat_Salinas_v7:5:332851559:332851876:1 gene:gene-LSAT_5X185920 transcript:rna-gnl|WGS:NBSK|LSAT_5X185920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPRWRVRGGNPKEEQKEGWVLVGQGHPASNRRGLSSGSSLLAEMNGSEGGWILYFRAGREVKAIREDCPPGKEEGKKALSSISTSFPRRWKSRPAQRITGAI >cds-PLY62852.1 pep primary_assembly:Lsat_Salinas_v7:4:31515252:31517566:1 gene:gene-LSAT_4X20540 transcript:rna-gnl|WGS:NBSK|LSAT_4X20540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASAMFLLDIKVRVLVWRDYRGDVSTVQAEHFFTKLIEKEVAISNVNVRHGLLMLVPVMFQVLGGLVEELDAARQRLVNEVNKPPRGTTPSVNGRVASNSSVNKPVHRKHVSVSSNNQDDQGTTTRPLKMMQLQYT >cds-PLY97129.1 pep primary_assembly:Lsat_Salinas_v7:4:75509718:75510651:-1 gene:gene-LSAT_4X51121 transcript:rna-gnl|WGS:NBSK|LSAT_4X51121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVSIFAFYSAERMWVLETKYRCCGLEKEKKMLPRIKVLTCVQVAITMSL >cds-PLY62455.1 pep primary_assembly:Lsat_Salinas_v7:1:83453978:83456398:1 gene:gene-LSAT_1X69920 transcript:rna-gnl|WGS:NBSK|LSAT_1X69920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASQFIREWSGIQQFPPATQDKLLQLLGKLKEKKRDRLTILVMGKGGVGKSSTVNSIIGERAVTVSAFQSEALRPTMVSRERSGFTLNIIDTPGIVEGGYVNDQALEIIKRFLLNKTIDVLLYVDRLDAYRVDTLDGQIVKAISNSFGQQIWRKAIVVLTHAQLSPPDCLSYDEFLAKRSEALLKVVYRGARFRKLDIENFPIPIGLVENSSRCNKNEKDEKILPNGSAWIPSIVETITEVSLTESKSILVDQKLIDGPNPNERGKLFIPFIIAFQYFFVVKPLQRSIKNDIAKELKPLWA >cds-PLY86577.1 pep primary_assembly:Lsat_Salinas_v7:1:8907079:8907341:-1 gene:gene-LSAT_1X8021 transcript:rna-gnl|WGS:NBSK|LSAT_1X8021_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPTYLFGARSKLLPNKIKITSATMFFINGEHLIISDNHQFIIIFVNFQMELPSN >cds-PLY88685.1 pep primary_assembly:Lsat_Salinas_v7:5:67913978:67915225:1 gene:gene-LSAT_5X32401 transcript:rna-gnl|WGS:NBSK|LSAT_5X32401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRNIAVVGEIPPESSKQDTECSEDTKEPLKKGDCVKPLGTGQRGEIYEISGERVAVILDSTEDNDQSAKPSIYWLLAKHVERDFDTEAEDRYIAMHALSKVLKPVQTFIVYFSDSSLWLSRAVSKSN >cds-PLY95113.1 pep primary_assembly:Lsat_Salinas_v7:1:94771678:94789800:1 gene:gene-LSAT_1X78401 transcript:rna-gnl|WGS:NBSK|LSAT_1X78401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSKFVEGPSSSSTNNHKYEVFLSFRGVDTRYGFTNHLHKALLDANISTFLDDEEIKTRGELKPELESAIKASRAFVIVLSQNYASSSWCLDELVPILEQRMRSNQIVIPIFYHVEPTHIGKQESTFGVAIAEHKQKMEKMTNANKRSQLAQKIEGWTNALTQVANLKGMYAKGRKETEFVEEIVKDIYRRLHKMISDLPQLFGMSDSIEFITSWLKDDSSESYRGGILTILGMGGTGKTALAKYVYQLYFREFDATCFIEDIGRTCDAKNNGLLDLQKQLVDAISKKSSIQVYDVPVYTSKIENVLAYKKVSVGGGCVILLSHWMFGMKEMKGGDKVTITVIEGPDKVTKECGVMFVYEDGEEEDVLGYYKSWNHIIGGHLTGFQLTTGQYILQNERFLVHGTEVNRYYRPLVGDGASFEVPSNNNISDIELRLEEVEINSEVKISSFEKQQWCKITRNSDLSSATLQNKSLNVIYVDGTDVSTEDTIMIRCGSEIIPGHVSEGYLSYRFKVMPKDEPSKKVLKISLDSDHAKCSICLNIWHDVVTVAPCLHNFCNGCFSEWLKRSQAKHASVLCPHCRAVVQFVGRNHFLHNIEEEILKSDSSLRRSDEELAVLNTYSLIKSPLVINNGKKPRRKRSHSVSEEDNSSSGTNLACAQCGTEYAGFRCNQHTVHLQCHACGGMMPSRTDINIPQHCIGCDRAFCGAYWNSQQVTRSDSFHMCAFDTFKPIAERIITRIPFLAHERNRHEQDITERCIRQMGKTLQNVVSEWITKLNNREIDRTRMPLNHTEMITAGTHVCNDCFDKLVSFLLYWYRVSIPKHLLPADAVQREDCWYGYTCRTQHHSEDHARKRNHEMAAAQLTSTCFLPSFEGLKSSTSKVSSIVVSSPFRSSTLRSTRSLVVKSATTVAPKYTSLKPLGDRVLVKIKAAEQKSAGGILLPSTAQTKPQGGEVVAVGEGRTIGQNKVEIGVKTGTPVVYSKYAGTEVEFNGSNHLILKEDDIVGILETEDVKDLKPLNDRVLIKVEEAEETTAGGLLLTQASKEKPSIGTVIAVGPGPLDEEGKRKELPLSPGNTVLYSKYAGNDFKGTDGSEYIALRASDVMAVLS >cds-PLY88280.1 pep primary_assembly:Lsat_Salinas_v7:6:127182907:127187570:-1 gene:gene-LSAT_6X78020 transcript:rna-gnl|WGS:NBSK|LSAT_6X78020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLTQTSTSIVRHCSHLPPSSLFFRPTTLILTTSFFGGFSQFQSPASIRHPQLGFQRLHLILVTFLHNSLSTVTSTMTNNNRAVHIFKEELERKKKKEHKAQGLLYLPYGSTFIEINCQCIVTRLMKDTNFEEELKEDFKVFDKDQSKYISTAEVRIFTTFFL >cds-PLY81376.1 pep primary_assembly:Lsat_Salinas_v7:4:35512050:35514331:-1 gene:gene-LSAT_4X23520 transcript:rna-gnl|WGS:NBSK|LSAT_4X23520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF1-related protein kinase regulatory subunit beta-3 [Source:Projected from Arabidopsis thaliana (AT2G28060) UniProtKB/Swiss-Prot;Acc:Q9ZUU8] MNNSHCQDQETPVVAGFEVPTSPDASYNNIYTATEDDGRDPPIVPQHLQHTVLSYSYPKNGDPAARLPDPQHVVLNHLYIENREAPRSVVALGFSHRFRSKYVNVLFNLQILKWHLARIAILHEMTEVKQKNQPKRDSTKPLLDEATFVGTGNKKNEGGAKKWIGNKKNEGGPLKSGFAITVKVCSRAPTPEFMTIFLVPMWGKIELKRCLIMVRDRDKLNNL >cds-PLY77162.1 pep primary_assembly:Lsat_Salinas_v7:8:25781002:25782527:-1 gene:gene-LSAT_8X19781 transcript:rna-gnl|WGS:NBSK|LSAT_8X19781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTMIGNLIRFGRRQLHTIISKEIIKPSSPTPSHLKTHNLSLLDQALVNTFQPFVIFYPNTGIYPSSHDKITPLKKSLSETLTKYYPFAGRLAKVAPSFVDCNDQGAEFLEASIDSTLSDFLKRSQHGDLDQFFPHGLVNQRSNRPDDDDDLQSNGVIPLAVQVNHFECGGVAVAVSLSHKIADGSSLIHFLKDWAKTTQFCSREQKHELLPNDDDPKFIHFEYTNLNFNGLSLGSDECVTKSFMFPNAKINDFKLKVKAMSEDKSGQPITNPTRVEVLTWLLYKSAVAAATKNYSGSDFKPMGVSHQTNIRGRLMEQLPENSIGNFHLAIDILSEMKPESFISEFKKQKLKFDGLSDIHTTFGYLFGIPLEETQRKFDGAYIFTSMCGYSTYEIDFGWGKPIKATVAGDLRKNSFIMMDAPNRDGIEVLVCLGKQDLDVVQSDHELLAFCN >cds-PLY72164.1 pep primary_assembly:Lsat_Salinas_v7:7:56157645:56163724:-1 gene:gene-LSAT_7X40160 transcript:rna-gnl|WGS:NBSK|LSAT_7X40160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSAEHPSTGSADRRNLRGIWPNNHHVVVVANEMELMEPFCIRFVNWTLNHEESPPRQQSPPPIVASPPRRKKYKSETSSTETATNAFTSQQPQVERSYMSSDTSTRPVNKKNTSIKALVKCLIGVVADLTSKVDRVLQKKDKPDTGFSEEEDMVNEEEE >cds-PLY82444.1 pep primary_assembly:Lsat_Salinas_v7:2:188051844:188056497:-1 gene:gene-LSAT_2X109721 transcript:rna-gnl|WGS:NBSK|LSAT_2X109721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFTKSQMPAGFISLDCGGDKNHTDNLGLEWTPDDEIKYGTTTKISIENENRRQYQTLRYFPADNRKYCYSLNVKTRTRYLIRATFLYGNFDNNNVYPKFDISLGPTHWSTIVISDANTIESQELIFLASDPTISICLSNSKTGLPFISTLELRQFNGSIYLTPFENQFFLSVSARINFGAENEDPVRYPDDPFDRIWQSDLVKKANYLVDVASGTQKVSTKLPIDVGKDELPPQKVMQTAVVGRNGSLTYRLNLDGFPGFGWAYTYFAEIEDLNQNKTRKFRLILPGAPDISKAIVNIQENAQGKYRLYEPGFYNISLPFVLSFRFGKTSDSTEGPLLNAIEISRYLEIADASFDGGVVASIVSVYKSLEWAQEGGDPCLPVPWSWLECDSDPQPKVISVKLSNKNMTGSIPLDLTKLTGLEELWLDGNALTGQIPDFTGCPNLKIIHLENNRLTGHLPSSLANLPNLSKLYVQNNLLSGSVPPGLLNKNIVLNYTGNKNLHKQSNDGRNIVYIIFGLLVGAAVLILGFIISCLLIRKGKKDHKQEPKHIMHVSSMNTTTTTTSEGARCFTLSEIRIATKDFEKKLGSGGFGTVYYGKLNDGKEIAVKLLGNNNIHQGKKEFANEVSLLSRIHHRNLVKFYGFCQEEGMDILVYEFMHNGTLKEHLYGPLAKEQRIRWIKRLEIAQESAKGIEYLHTGCVPSIIHRDLKTSNILLDHNMKAKVSDFGLSKLAVDGTSHVSSIVRGTLGYLDPEYYISNHLTEKSDIYSFGVILLELVSGKEAISNESFGINCRNIVQWAKFHIENGDIQGIIDPCLGDDYDIQSMWKIAEKALMCVQPHANTRPSMSEVIKEIQDAIAIEKGGEGGSSDEISRTSFHSSLNMGLLDHGGDPYLSFHESVALPSAR >cds-PLY66742.1 pep primary_assembly:Lsat_Salinas_v7:1:168376639:168399146:-1 gene:gene-LSAT_1X112901 transcript:rna-gnl|WGS:NBSK|LSAT_1X112901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGYRISLNNQIINHRITISQLSTLNGKGSVYVTRKYLNRCIYTIGMGNNDYISNYFVPNYYETSSEYTPEEYAEVLVEQYSRQLQELYESGARKFGIFAAGYSGCCPGIMSDYSLNSCVDLVNDAVKLFNTLLNTTLNDLNNRFLDAKFILIDARLEYPNDLNVTDKPCCEIAVSTTGKGSCVPNQVPCSNRENYIFWDGFHPTERVNVIDGTKAYETLSPLYNSTAILPLTHKEVGYVVSDA >cds-PLY91227.1 pep primary_assembly:Lsat_Salinas_v7:3:75404374:75405409:-1 gene:gene-LSAT_3X57040 transcript:rna-gnl|WGS:NBSK|LSAT_3X57040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNEQHKRLQSKATEGYWMRRRRGVEAQFDVMKASGLVPHVKQSYNNNINGDWDLIEGKVMMVDSCTNALDLVGRILNVAHSGKRFYVDSIRYDMTTENSFPRKEGYLGPLEYSSYANYHKLK >cds-PLY70412.1 pep primary_assembly:Lsat_Salinas_v7:9:124245009:124245308:-1 gene:gene-LSAT_9X83120 transcript:rna-gnl|WGS:NBSK|LSAT_9X83120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVCRHNSQVAGGIVHPKEPLKSDAGSYGHRRYVSCERFTFSAHDSYFSCWHFTKLLWSLHRFSETETAAVAAAVRVGVFADGASVVVVMHRLVVVAPRF >cds-PLY88460.1 pep primary_assembly:Lsat_Salinas_v7:8:88054826:88055233:1 gene:gene-LSAT_8X62881 transcript:rna-gnl|WGS:NBSK|LSAT_8X62881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLRSSLLILSVFATLLLALSPSGLADDDQELQTSGFELDSTPSQPSLGDCWQSVEEIIGCYSEIYRAFVNGKLGMTIGPSCCLAIEDISSNCWPQMFPGASSSFPPLLKGYCRRYQAGQPDAETPSAEPADDF >cds-PLY95368.1 pep primary_assembly:Lsat_Salinas_v7:8:226457175:226459520:-1 gene:gene-LSAT_8X138300 transcript:rna-gnl|WGS:NBSK|LSAT_8X138300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSSWVGEDCFLWERIHCDSLTGTVDSLNLRGDYYFGGEGDKYLVGKEVNSSLAELRHLKYLDLSWNDLRGSRIPKFIGSFKQLRYLNLSYAGFQGIIPPHIGNLSNLKVLDLSSNYNLTSDDMSWTFGLPSLEHLDLSWVDLGGAKNMDMVLYNLLSLKELSLRGCGLSNVHLGPFLNSSRILANIKHLDLGLNSLKTSLPGFFRNMTSLAFLDLSKFNLSLAWNFANLLNMIPSLLELHLSLCGLDKTFLSSAHFNISMLSNIQHLDLSWNSIEGIFPSVFSNMSSLRVLDLSRNMLHSSVPIMANLLELDLSVNQLTNIEDVGIWRQCHLKQLFALRNPSEIERIDPPQNVSECSQYALERLDLSWCLNGTIPEAFGRLTNLRVLSLWNSSLTGPIPESLGRLRFLEVLDLHDNELTGPIPTFLGNLSRVNLSYNQLNGSIPESFGNLAALESLYLQSNHLTGRIPASLGRLVSLQDILLSSNLLNGTIPVSIGQLAKLISLDISVNSLEGVVSEAHFANISMLRYLYASSNAKLTFNVSCEWIPPFQLRILHLSSCNITNGFPQWLRNQRELSVLVLSNASISGPLPTWLREMPVIYFLDLSHNKLNGPLTNLPNGKNVFEKYVVYVEALILVNNIFNESIPRSLCRRTDLRYLDLSRNRLTGRIPKCLQNLQELQNLILSSNLLSGVIPSHIGLKHSYLYWLKLNDNKFTGKLPRELGNLQALRVLDVGDNQLFGNIPHWIGEKLTDLMVLRLHGKFQNLCAKCRNFKFWMLHTTT >cds-PLY66674.1 pep primary_assembly:Lsat_Salinas_v7:1:53435380:53435595:-1 gene:gene-LSAT_1X46641 transcript:rna-gnl|WGS:NBSK|LSAT_1X46641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPEYLMQKPTVDEAMDALKKVGVPEDEMAALERQLASAAPKTTSTTMDSSNRKLGFMSGGVQSSETASGE >cds-PLY72111.1 pep primary_assembly:Lsat_Salinas_v7:7:57209831:57212611:1 gene:gene-LSAT_7X41520 transcript:rna-gnl|WGS:NBSK|LSAT_7X41520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANTRSITRNQNHDDDASSSSFTTKRFETFDNIGVTHWSNLSHDVVLLIMMQLGVVDFVAFSGVCRSWRSLALSNWNMFMASKPPMSICSRASNQDSYWYLEDFERRRFRTFIPHSAGRICFGLTSGYLILGGRETQDFWLVNPITRHELHFPGFPIYVRALEHLSIKAILVFSPSTSGWVFVVLHRNISFSIAGKRGWNHVSSTLPILDLHALKGKIYILHTDFSVCELRLDPNSKHKWTLLETKNFLKPDLSYPQLISSGENLYLMSLFSQPYKVFELDFGEMKWVSPEKKIEEYAFFLSEKKSSAAIKPDSWAVHQTHYKSYDYFLHTNKIRQRMFYYQKWMWYFPCDCLNVNILDQ >cds-PLY68828.1 pep primary_assembly:Lsat_Salinas_v7:3:61279775:61281557:-1 gene:gene-LSAT_3X48860 transcript:rna-gnl|WGS:NBSK|LSAT_3X48860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQVAVVGAGISGLLACKYCLSKGFNPIVFELESDIGGVWNKTIKTTRLQTPKLVFQFSDFPWPLSVTDDFPTQQELLDYIRSYATNFDLIPHIKFNSRVKGIRYDGPSSETWSLWNGTGEPFPPEGKWIVTEDDTQTATTQVYTVDFVILCLGRFKDVPNIPDFPAGKGPEAFQGPAIHSMDYAAMDNDTAEEFVKEKRVVVVGFGKTGLDIARECSSINGPEHPCTIVYRRDHWKLPEWSAWGIPLPLLYLNRFSQLMVHKPGEGFLLSMVATLLSPLGWGISKLVESYLKKKTPLAKFDMVPQQSFSKEARSCVICHMPNPEEFFDAVEKGSIKLKKTPSFSFYEKGISIEEDNTRIEADIIIFATGFKGVEKLKDIFESSTYRDLITGSPRIPLYRECIHPRIPQLAIIGFSESFSNIHTSEMRSKWVVALLEGAFKLPSVDEMQKDIGRWDEYMKQTAGEYHNRSSIGALEIWYNDQLCKDMGMNPMRKNGLLANLFEPFGPMDYV >cds-PLY70160.1 pep primary_assembly:Lsat_Salinas_v7:3:10913451:10917874:-1 gene:gene-LSAT_3X6140 transcript:rna-gnl|WGS:NBSK|LSAT_3X6140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFADVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDTQDPNPLIRALAVRTMGCIRVEKITEYLCDPLQRCLKDGDPYVRKTAAICVAKLYDINAELVEERGFLESLTNLISDNNPMVVANAVAALAEIQDTSSKIVFQVTRPILAKLLAALNECTEWGQVFILDALSKYKSADAREAENIVERVTPRLQHANCAVVLSAVKMILQQMEVITSPDLTRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQRRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASERNIDQVLLEFKEYATEVDVDFVRKGVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPAQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATLETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQFDSSLLSELLANIATLSSVYHKPPETFVTRVRTIPKTEEEELPEGFSNTPRAHILNGAPSPTTIPVSPYALTKQTSLPPASIPDLLDLGLDVDVGNSNAMVPVDSPSAPTGPSLPILLPAANGQGLQISAQLVRRDGKVFYNLILENNTQNSLDGFMIQFNKNTFGLAAGGPLQIPELLPGTTRKALLPMVMFQNMAPGSPNSLLQVAVKNNQQPVWYFNDRISLFVLFTEDGKMERDIFLETWRSLPDSNEVSKEIRGILINNVDATIEELASSNMFFIAKRKKKNQEVLYLSAKLPRGIPLLIELTAVLGSPGVKCALKTPSPEMAPLFFEALETLFQA >cds-PLY81082.1 pep primary_assembly:Lsat_Salinas_v7:6:130323393:130327714:1 gene:gene-LSAT_6X78520 transcript:rna-gnl|WGS:NBSK|LSAT_6X78520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPHVPFTPPATGSESQLVCSGCRNLLLYPIGATSVCCAVCNSVTAVPLPAKKKSNQDKNQKLLASAHNTYNR >cds-PLY89072.1 pep primary_assembly:Lsat_Salinas_v7:9:28402266:28403319:-1 gene:gene-LSAT_9X24241 transcript:rna-gnl|WGS:NBSK|LSAT_9X24241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPMMTNGVNGTTLGMPRRKRMIMHMTFYWGKDALILFKGWPGPNNIGMYALALIFVFFMALLVEWLADAMSRMKSEGAASGLAQTMLYGLRVGIGNMVMLALMSFNVGVFLVAVLGHTLGFFFFRVIIRSREERDVISNSC >cds-PLY73033.1 pep primary_assembly:Lsat_Salinas_v7:9:35747124:35751368:1 gene:gene-LSAT_9X31481 transcript:rna-gnl|WGS:NBSK|LSAT_9X31481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVAGDSKSDISFAGTFASSAFAACFAEICTIPLDTAKVRLQLQKKAVAGDGIALPKYKGMLGTVGTIAREEGLASLWKGIVPGLHRQCLFGGLRIGLYEPIKNLYVGENFVGDVPLTTKILAGLTTGALAISVANPTDLVKVRLQAEGKLAAGVPRRYSGALNAYSTIVKQEGVRALWTGLGPNVARNAIINAAELASYDQVKQTILKIPGFTDNVLTHLLSGLGAGFVAVCVGSPVDVVKSRMMGDSSYKGTIDCFVKTLKNDGPLAFYKGFIPNFGRLGSWNVIMFLTLEQKKLSFTKESRVRPTKNEVNVYRKAKRKKNNQTNPSQEQAPVLVRRSPCGRGLAMRQQQRKPIRSNNAAAEAIPCTQFM >cds-PLY88521.1 pep primary_assembly:Lsat_Salinas_v7:2:154592805:154593625:-1 gene:gene-LSAT_2X79161 transcript:rna-gnl|WGS:NBSK|LSAT_2X79161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLADGMLINTWENLEPQSLHALRNNEILRSMVKNKPVYTVGPITKIYQPVGLKSEVIEWLDEQPERSVIYVSFGSGGTLSSEQITELAWGLALSQQRFVWVVRPPAGHIKDGSFFESGHSGGDFEPRIDWGFLTHCGWNSMLESIGSWVAMIAWVLYAEKRMNATMLTEELKVAVRPEVLPTKKVVGREEVEKMVKCLIEGEEGKAIRKKVKKLKEGVEEAMSLNGSSYISTYKFVEDCWS >cds-PLY94349.1 pep primary_assembly:Lsat_Salinas_v7:5:170729869:170731245:-1 gene:gene-LSAT_5X75180 transcript:rna-gnl|WGS:NBSK|LSAT_5X75180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLQQIIVLFLALISYEHEAIADWELPYTSFLFPVNKHTDAAQPVYSITIMTPYVNNTFQNPKFLIDIDAPFTWHDCLLLWNRYGENICPSNKLCTSPVSCEEYQCTDVRTTYSYHAPSCPAVTNSYTLPGWGLCTCPVNVINPVTGICSHAELNFDTFEANTSDGRNPFPFYQDIIVNAACAPSTSFESFPVNVTGVMAFSTSPYALPASFFQSELKTSLALCLPSNSSSPGILFFGSGPFYLLPHSDVDVRSLLSYTPLLKHPDSFGYFLGVDDIIIRGRSLNISGNMTTKLSTTEPYTTLRTDIYDRMVRRFSKVTKRMPLTSPVAPFGLCFRTLKNETGDRLKVPEIDLSLRNGKNWTISTANSMKRITNDVACLAFVDGGVRSEHGIVIGTFQLEDNFVLLDLQNSTIGFSSSLLTKETSCANFNFTNS >cds-PLY91091.1 pep primary_assembly:Lsat_Salinas_v7:5:6661719:6662049:1 gene:gene-LSAT_5X3821 transcript:rna-gnl|WGS:NBSK|LSAT_5X3821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSSNARNRLQVARMFVNDDVNNCDCDVPAKERTCWKLTNPGRSFWNRNNSLTRLRKCDYFEWKDVALEDGY >cds-PLY87768.1 pep primary_assembly:Lsat_Salinas_v7:1:45840869:45842617:1 gene:gene-LSAT_1X40481 transcript:rna-gnl|WGS:NBSK|LSAT_1X40481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVFLLFLTLSFSNSWANLSSLVDATPSTENFIICIQSNSNNVTSISQLLFTPANASFTPIWQVAVQNTRFLKSSTPKPSVIITPVDETLIQTALFCAKKHGYEMRIRSGGHDYEGLSYTADVPFVMLDFTNMRSIDIDVVNRTTWVQPGATLGELYYSISQKTDTLYFPGGVCPTVGVGGYVGGGGYGNLVRKYGTAADNVLDVRFMDVNGNIMNRKSMGKDLFWAIRGGGASSFGIVLAWKLRLVPVPEKVTVFILNKTSEEGVTEIFHKYQYVAPTIDRNLHIRTQVFAEYIGNTTKKTIRIMFEGIYQGTRDTLLPLLDEKFPELGVTREICEEIRSIQSTSVFWGFPSSIPTEIHTNRSAIFKLNNKSKSDFLRTPIPIRGLRKIWRKLMQNDGSALFMINPFGGRMDDYSETAIPYPHRAGVLLQILKTVNFNGQTSDTTPTSLRRLAWLQSMEEVFTPYVSKNPREAYSNYNDLDFGVGSSNYEEASLWGERYWKRDNFKKLIRIKANVDPHNFFRRPQSIPVF >cds-PLY92084.1 pep primary_assembly:Lsat_Salinas_v7:2:28568245:28568739:-1 gene:gene-LSAT_2X13060 transcript:rna-gnl|WGS:NBSK|LSAT_2X13060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIFLSIKVHFQGVFINKPFCYSDGVHHVFENVDFAGIPFNEFVGFLERFTQEKCEKVYYRQPDLQIPKGLTLISNERQYQEFIDIAYQCLVQVSVYMDHFGTTVHVTKANENENEDNCSVKSNMSIEGEEGIDLTEIKSPQEINMEGITYEGVSQGNGNKEAT >cds-PLY95731.1 pep primary_assembly:Lsat_Salinas_v7:2:111607223:111608589:1 gene:gene-LSAT_2X51020 transcript:rna-gnl|WGS:NBSK|LSAT_2X51020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II CP47 reaction center protein [Source:Projected from Arabidopsis thaliana (ATCG00680) UniProtKB/TrEMBL;Acc:A0A1B1W4X0] MEFSPRAAELTTLLESRISNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIELFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWSITGGTITNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFSDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLYGPGIWVSDPYGLTGKVQAVNPSWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVCQPQRLYKGLHMGNIETVLSSSIVAVFFAAFVVAVTMWYGLATTRIELFGPTHYQWDQGYFQKEIYRRVSAGLAKNQSLSEAWSKILEKLAFYDYIGNNPAKGGLFRAGSMVTGME >cds-PLY91072.1 pep primary_assembly:Lsat_Salinas_v7:9:125387751:125392813:-1 gene:gene-LSAT_9X83080 transcript:rna-gnl|WGS:NBSK|LSAT_9X83080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSIINRSGRSVEQRVNRTPDNSIQRDLKVVVFSSNSKKKMKPKVNVKKFVAGKARFSKSKKKIQKQKKEYIVDYLKSHNHPKVEMFSNVMPHRLEMPWRTINNHIDCGVFTMCHMETYMGGSMNDFKAGFKNESSAQDDQLVKLRTKYLYKIVTHEYNVQKDYLLQKVDEFHKILSRLRYQLLAIAKEQIHTRLDDFS >cds-PLY89776.1 pep primary_assembly:Lsat_Salinas_v7:1:7677275:7679110:-1 gene:gene-LSAT_1X6461 transcript:rna-gnl|WGS:NBSK|LSAT_1X6461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMASLLNSSVFLVILTLCFSVSRATLSSILEVTPGSEDFISCIESNSNNFTSDSQLIFTPVNASFLPIWQVAVQNTRFLKPSTRKPSVIVTPMNETLIQTALYCAKKHGYEIRIRSGGHDFEALSYTADVPFVMIDFTNMRSIDVDVANSTVWVQAGATLGELYYAILKKTDTLYFPGGICPTVGVGGYIGGGGYGNLLRKYGLAADNALDVRFMDVNGKILNRKMMGENLFWAIRGGGASSFGIVLAWKLRLVPVPEKVTVFLLNKTLEEGVTKIFYKYQYVAPTFDRNLHIRTQVFSEYIGNSAKKTIRILFEGIYQGPSDALLLYLDEHFPELGVTKEICEEMTSVRSTLVFWGLPSSTPIEILTNRSAITKLKFKGKSDYVRTPIPIKGLRKIWSQVMENDGSGLLMINPFGGRMNDYSETAIPYPHRVGVLLQVFKSVYFDGQTSDTTPTSLSRLAWLQSLEELLTPYVSKHPREAYFNYNDLEFGVGNANYEEASVWGERYWKRDNFKKLIRIKAKVDPHNFFRHPQSIPVFSSPLSSFN >cds-PLY82044.1 pep primary_assembly:Lsat_Salinas_v7:9:157747925:157754663:1 gene:gene-LSAT_9X99041 transcript:rna-gnl|WGS:NBSK|LSAT_9X99041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTKELAAIRIQSAFRAYKARKTLRYLQGISRCKDKLTFRQASTALERLHFWSKIQAEINSRRMCMVKESRVKQLKLEDRLKVEAKLHELEMKWCDGPKTMVETTFRRIQQREEANNKRERALAYAFSHQWRANSSRYFGQAYYDLSKENWGWKWTERWIAVYRLEAHVVVRPIVSLKTRQPKKPCERTKIEVGNEKSDN >cds-PLY64598.1 pep primary_assembly:Lsat_Salinas_v7:6:37601499:37602050:-1 gene:gene-LSAT_6X27101 transcript:rna-gnl|WGS:NBSK|LSAT_6X27101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMMQEVINLQQQQRGTHEYMESVNEKLQAAENRQKLMISSLAKAFKIPTLLRNHISSQRRVRKFVKHQSHDQDLGFDPIPVDDNVRNPDSDSGLKSIDLHELGQPKDLTRESNVVLDVKQEDIWSLDFETIAGITHSNDWNDVGIPHSDELAEFGGGDFSDFWDLAASGGEHGETSKNQYGF >cds-PLY74333.1 pep primary_assembly:Lsat_Salinas_v7:6:790889:794465:-1 gene:gene-LSAT_6X940 transcript:rna-gnl|WGS:NBSK|LSAT_6X940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNASRKQASNLKEQAIRVTDAVRALANFYAAQLLSCSNQIPTSYSNVRMIHAHMIASGFRPRSHILNRLMDLYCKSSNLVYARQLFDEIAEPDIVARTTFITAYSASGNLKLAREIFNNTPLNTRDTVCYNAMITACAHNDDGNAAIELFRDMKRNNFQPDNYTYTSMLSGLSLIAEHELHCQQLHSEIVKSGTGFVTSVVNSLISLYIRCSSSPFVTPSLLMDAAQKLFDEMPHKDELSWTTIITGYIRNDDLHGASQVFYGMNNEKQVVAWNAMISGYMHKGFVSESLEMSKKMNLLGIKFDAFTYTSILSACANAKLFLHGKQIHAYMIRTVINPSHHFLYSVNNALITLYWKCGKTDDARKIFDRMPIRDLISWNNILSAYVDVGRIEEARLVFTKMPEKNHVTWSVMISGFAQNGSGEEGLKLFNQMKSTECQPCDYSLAGAIKSCAAIASLNHGRQLHAQLIQSGFESSLSASNSLITMYARCGVLQDSQSVFLTMPCLDSVSWNAMIAAFGQHGHGAQAIELFEEMLKEDIVPDRITFLTILSACSHSGLIDQGQRYFNSMKDSYGITPGEDHYGRLIDLLCRAGKFTEAKNVIEKLPFYPGAPVWEALLGGCRIHGNMELGVEAAERLFELIPDHDGTYILLSNMYSNSGKWNDAAQVRNLMRSRGVKKEPACSWIEVDNMVHVFLVDDTKHPEVQKVYEYLEELVSKMRKLGYVPDTKFVLHDMENEQKEYALSTHSEKLAVAFGLLKLPSGAMIRVFKNLRICGDCHNAFEFMSQVVERDIVVRDGKRFHHFRNGKCSCGNYW >cds-PLY62076.1 pep primary_assembly:Lsat_Salinas_v7:2:56988138:56988530:-1 gene:gene-LSAT_2X25761 transcript:rna-gnl|WGS:NBSK|LSAT_2X25761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSRSAGSTSGVNKSNNGPSRTCYCGVIAPLKISTSEKNPGRRYFGCRYWPDEVEDCGYFEWYDGEVSPWYKELLFEVMAKKKKSTGHGKGNPHHDEISLMDLVRVVIGLLVMLVVMVGILMWMVYKG >cds-PLY71648.1 pep primary_assembly:Lsat_Salinas_v7:9:135481896:135482723:1 gene:gene-LSAT_9X87241 transcript:rna-gnl|WGS:NBSK|LSAT_9X87241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESGKTTKGAGGRKGAGERKKSVTKSVKAGLQFPVGRISRFLKRGRYAKRTGSGAPIYLAAVLEYLAAEVLELAGNAARDNKKTRINPRHVLLAVRNDEELGKLLAGVTIASGGVLPNINPVLLPKKSAAVDAEKTPKSPKKAAKSPKKA >cds-PLY99439.1 pep primary_assembly:Lsat_Salinas_v7:6:20513931:20517624:-1 gene:gene-LSAT_6X15761 transcript:rna-gnl|WGS:NBSK|LSAT_6X15761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGSEDGTVRIWHSTTYRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTINIKSVGADHEVSDGERLPLAVKELGTCDLYPQSLKHNPNRRKYHPKNDGPKVSFFSDDEETTSTPKADALFIPRENPRALVIRPLKQWPGKSSAEKLKNASSPAQTNGDYTGFASSPPLNGRSTENGNRNHSENGTLKEQTPIKTPQKQNGGCDGGEMEDGFEFIVKNKGINTEVAYPYQATDGTCNTKEEAVHAATITGYEKVPANSESALLQAVANQPVSVAIDASGMGFQFYSGGVFTGDFGTDLDHGVTSLLNQMELYVVVYILAILGLDSLQGIMLDQGSCIDTCCTFCRKFFKLSPEESSKDPLYLLRDMDAMGYKRGHFVLIAKIIISALGYLMLGTFIYVLIVDGSTFNANVLSRSQELSEQGFNISLKEV >cds-PLY76386.1 pep primary_assembly:Lsat_Salinas_v7:8:84259797:84260207:-1 gene:gene-LSAT_8X62161 transcript:rna-gnl|WGS:NBSK|LSAT_8X62161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKSSLVVLMACMMIASMEFHGSSAKQFIVGGPFGWGVPHNHRFYDKWSNHHTFKPHDVLIFNFTGELSNNDVAEVTRDAYRKCDAENPISHQTTSLARFNLKNMSMNNHYYICTIHQRCKQGQKLAIRISFRK >cds-PLY83954.1 pep primary_assembly:Lsat_Salinas_v7:8:35571727:35581211:1 gene:gene-LSAT_8X28181 transcript:rna-gnl|WGS:NBSK|LSAT_8X28181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIMPRNLAVFITVGAFLIAITCAEDPYRFFEWNVTYGDIYPLGVRQQGILINGKFPGPDIYSVTNDNLIINVFNSLDEPFLISWNGIQQRRNSFEDGVLGTTCPIPPGKNFTYILQVKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFDEPADDFTVLIGDWYKSNHTALKAILDRGHKLRNPDGILINGRGPNVTSFTVQKGKTYRLRISNVGLQNSLNFRIQNHRMKLVEVEGTHTVQQMYSSLDIHCGQSYSVLITADQSDRDYYIVVSSRFTNPILATTGVLHYSNSASKVSGPIPGGPTIQVDWSLNQARSIRTNLTASGPRPNPQGSYHYGMINTTRTIIIQSSAAQVNKKQRYGLNSVSFKPTDTPLKLADYFNIGGVFRVGSISDKPTGGGLYLDTAVMGADYRTFVEIVFQNPEDIVMSYHLDGYQFFVVGMDGGVWSKGSRNVYNLRDGVARSTIQVYPKSWSAIYVPLDNVGMWNLRTEFWARQYLGQQFYLRVYTNSGSIRDEFPIPKNARLCGKASGQHTRPL >cds-PLY92923.1 pep primary_assembly:Lsat_Salinas_v7:3:113555900:113557180:-1 gene:gene-LSAT_3X83081 transcript:rna-gnl|WGS:NBSK|LSAT_3X83081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIISKEIIKPSSRTPDHLKTFKLSLLDQLTLHTYTPIILLYDSETQISGDVLKKSLSKILTKYYPFAGRLKEDGITIDCNDEGVVFVEAKIIGCRLSDFLQNPNYETQSLLFPEGFLWKGSCIGYTFLATQATYFEGGGMAVTISMSHKVADAPSVGIFLSDWAAMARGEERPPPLILATSIPSLDLAYTMPEIEIEMSETLITKRYVFHAENISRLKRSVSGLVENPTKIGIVTAFLYRCAVAASMAKLGSFKKSTLIQLVNMRPRMTPPLPENSIGNFTWYFTTSNNHQSETSLGNLVLQMKKGVKELGDKDDLYDWLNSVRDSAYNVKELFDNLEVYRFSHVRGGSFYQMNFGWGNPKWGTIADVLVKNTFILYDTPDGYGVEVVVSLEEEHMRLFQSNEELLEFSSVNRTFQLASISQSRM >cds-PLY82580.1 pep primary_assembly:Lsat_Salinas_v7:2:184453091:184453528:-1 gene:gene-LSAT_2X107741 transcript:rna-gnl|WGS:NBSK|LSAT_2X107741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWNSMKQVRCGHCILKVNVAKYEKRYSKYKRAYRPRRGHPVQPSTNPEVWSKITNGKTFADAVIGRKDEKSVSSVSLKQVMAMKSEALLTGEVISFLLLYNLPELLKVDGISPSEVLYARGLKLILQFSFQEVASSYLKNEQAWK >cds-PLY69199.1 pep primary_assembly:Lsat_Salinas_v7:1:80556456:80556797:-1 gene:gene-LSAT_1X66841 transcript:rna-gnl|WGS:NBSK|LSAT_1X66841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLKAQFNNLSHHHSLAPGLLTQGKKNLIPGNGVVDNIGSPSSFLFLNILAFPTKPQSANLTDVVSYRTFLLTEPLTTSEICSENRYFWSFMQPRLTLVSVYADFMTTKKCKWP >cds-PLY65311.1 pep primary_assembly:Lsat_Salinas_v7:8:105009967:105011536:1 gene:gene-LSAT_8X71020 transcript:rna-gnl|WGS:NBSK|LSAT_8X71020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLSKMQKKVNYDAKLSKLLDHYTQILVVGADNVGSNQLQCIRQGLRGDSIVLMGKNTMMKRTIRLHAQKTNNSEILNLIPLLVGNVGLVFTKGDLKEVSDVVAKYKVAAPARVGLVAPIDVIVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEILTPVELIQKGEKVGSSEAALLTKLGRKPFSYGLIVHSVYENGSVFSPEVLYLTEDDLIEKFSTGLSQVAAFSLGINYPSLAAAPHMIINGYKNLLSVAVATEYSFKLAEKVKEYLKDPSKFVTATAPISVAKDSSAPAAAEKEQKKEEPQESSEEEGGLMGLFD >cds-PLY86120.1 pep primary_assembly:Lsat_Salinas_v7:6:83957827:83958036:-1 gene:gene-LSAT_0X8420 transcript:rna-gnl|WGS:NBSK|LSAT_0X8420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSSQALGLAYESGYVTARPKVKRDSSTEPIEDSSTGSEAGEEVSSRVPTEESSASSITEPSQQLTP >cds-PLY66262.1 pep primary_assembly:Lsat_Salinas_v7:3:48057927:48061855:-1 gene:gene-LSAT_3X37901 transcript:rna-gnl|WGS:NBSK|LSAT_3X37901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFQTLNRRELQALCKLNKIPANITSIAMVDALKSLKTVEGIEEFLNSSRTETVGLSIESPERIEITSPRVPRTSSRTSTRKKAKIDVNEEVLKTPLVSCTRKKDPETSFHDVANQLKECEIIAKNESLVNHEKDCIPNTPVETYSQMKVTKSTHKETVKKETTSTVQRAYSTRRSTRLGAKTSQGAGLTSKEDLGKPLQERHGVIVLDESDENSKIEKVVHVDTTFENEKEKVDCCEEMDTLKVKLVKVFEQLEEKSGQVDNLKSGVEGICNRSELEPSKLDNHNVAEVMNTEGIEKNISGNTVGSVNPKKQKASMSFEGKDGEAQESLSQIEGIEEFLNPSRTEPVEMSIESPERIEIASPRVLRTSSRTCTRKKAKIDVNEEVLKTPLVSCTRKKNLETSSFHDVSSQLKECEDIAKSDSIVNQEKNCMPNTPVETHSQMKVTKSTHKETVKKDITTSVQRAYSTRRSTRLGSKTSQGGGVTSQERHDVIVLDKSDEDSKIEKVVHVDTTVESGNEKVDNLKVKLVEVFEQLEEKSGHVDNLKSDLEGICNPIEPSKLDNHNAVEVMNAEGIEENISRNTVDSVNPKKQKASMSFEGEDGEPQKSLIEVEGIKEFLNPSRSETAELSIESPERIEVASPRAKKAKIVVDEEISKTPLVSCIRKKSLTMTPSSRHDVASQLTECEDEDIAKNESLVTKSTPQDTVSKDTTSEPLEIVNDLGNSILEKHDDVVLDENSEIEKVVNVVMNEVDNLKADSEVDSVNPNKEEEVSMDFEVPDAGEAQGSLMEEIGVETRHESSVAADVSLVEEEKNHQFSTNANDEDDDDVIVMTEVSENIIEENEKEVVVDMDMDMDMNVDEKAKAKAKSVFDKNSIRQLKKKLKATLLNKLSPPPTSVRIKTEDSDNKDINKPHAVVVEENEKEDVKSLNNNNNTCSLRQLKKKLKAASVKYNSIIA >cds-PLY87367.1 pep primary_assembly:Lsat_Salinas_v7:1:97251417:97251980:-1 gene:gene-LSAT_1X78981 transcript:rna-gnl|WGS:NBSK|LSAT_1X78981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKSSLLLLMALVVASMDYKGSAAIQYIVGESKGWTVPQNPSFYDWWSRFHTFKINDVLVFNFTNGVYNVAEVTKEAYTNCDGRNPISLQTTSPARFTISNAANHYYICTIGQNCMHSQKLAIKVSTVDNNLSAMSLH >cds-PLY68836.1 pep primary_assembly:Lsat_Salinas_v7:3:61601261:61602295:1 gene:gene-LSAT_3X48521 transcript:rna-gnl|WGS:NBSK|LSAT_3X48521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTDFRTKLGVEGGGYYYYGICTAGGMFSAGATHLAVTPLDVLKVNMQVNPIKFHSIASGLNILWKEEGPSSLWRGWSGKLFGYGVQGGFKFGLYEFFKRRYTDVLTDERQSIVYFLSSASAQVFADIALCPFEAVKVRVQTQPNFAKGLSDGFPKLYSREGISGFYKGLLPLWGRNLPFSMIMFSTFEHSVDLIYKKIVQKRKEECSRGQQLGVTCLAGYTAGAVGTVISNPADNIVSSLYNKKANHTVLQVAKSIGMVNLFTRSLPIRVALVGPVVTLQWFFYDTIKVLNGL >cds-PLY77750.1 pep primary_assembly:Lsat_Salinas_v7:2:169175380:169178564:1 gene:gene-LSAT_2X92421 transcript:rna-gnl|WGS:NBSK|LSAT_2X92421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAARRMSSLLSSSYAPALLRSLDRISGRGRGIGRFSTAVALEEPIIPPVKVEYTKLLINGQFVDAASGKTFPTLDPRTGQLIANVAEGDIEDVNRAVSAARKAFDEGPWPRMTAYERSRILLRFADLVEQHADEITALEVWDNGKPYEQAAGDEIPLFIRLFRYYAGWADKIHGLTIQADGPYHVQTLHEPIGVAGQIIPWNFPLLMYAWKVGPALACGNTIVLKTAEQTPLSALYVSKLFHEAGLPPGVLNIVSGYGPSAGAALASHMDVDKLAFTGSTETGKIVLGLAAKSNLKPVTLELGGKSPFIVCEDANVDEAVDLAHNALFYNQGQCCCAGSRTYVHERVYDEFVEKAKARAFQRVVGDPFKKGVEQGPQVDSDQFEKILKYIRSGVDNGATLETGGERFGSKGYYIQPTVFSNVQDDMLIAKDEIFGPVQSILKFKGVKEVIRRSNDTQFGLAAGVFTQNLDTANTLSRALKAGTVWINCFDVFDAAIPFGGYKMSGHGREKGVYSLSNYLQVKAVVTHLKNPAWL >cds-PLY73252.1 pep primary_assembly:Lsat_Salinas_v7:8:211829702:211830028:1 gene:gene-LSAT_8X132080 transcript:rna-gnl|WGS:NBSK|LSAT_8X132080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPILPSLMDVEDVKPFEEDDESFEEEKEEEPFEDEDPDKELGGGPTDISPYPNSTSHDAPVEHAETQDGDPTKLESLPEEAIPIPSLKKEASSPFSPRPSKKLYPTHK >cds-PLY63829.1 pep primary_assembly:Lsat_Salinas_v7:3:229972191:229975879:-1 gene:gene-LSAT_3X130901 transcript:rna-gnl|WGS:NBSK|LSAT_3X130901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGEKDDGLGLSLSLKCSENYPPPPPPPLTVVAGSNGATATRFPLNLLPSPPLSFAQQRHHVATNHQKLSFIINHAAPSSSSVLPPDLNAETRSYSRGGIDVNRLPSTSTLVALTAERDDEALVSSPNSTVSSVSGKRVEREENEAERESSSHGISGDDDDDGGEASAARKKLRLSKDQAAVLEETFKEHNTLNPKQKLALAKQLDLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCDTLTEENRRLQKEVNELRALKLSPQFXLALAKQLDLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCDTLTEENRRLQKEVNELRALKLSPQFYMNMNPPTTLTMCPQCERVAVSSSSSSSSAAATSSAVGSTGLPKALHHGSMTANHQKQNNPFLPRATIIPHRPHEAHHPRW >cds-PLY80539.1 pep primary_assembly:Lsat_Salinas_v7:3:200526963:200528521:-1 gene:gene-LSAT_0X19780 transcript:rna-gnl|WGS:NBSK|LSAT_0X19780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEKIRFLEDIFEESGGFGCEHVNEAYVEEEFQEFEYNEEESGGDEVESDGKEDLCDEDEEDFEVNKVSDVEKEPILTPGFVQVNDEDYGNDFLNDDENVEDYDQGKCFSGQGNGSGSHEGNIRKNHVEGKGDDDEDDEQGNGSGCNNEEAMNLNSVVENVTKSVGLIDSQEENVEDCSNKKKDSNETRSLKNDIVPSFSLGFSEDSEGSKKSSQTQISSEQMTKKKIKDIVILGKAHQVLV >cds-PLY72499.1 pep primary_assembly:Lsat_Salinas_v7:2:142686200:142689997:1 gene:gene-LSAT_2X70380 transcript:rna-gnl|WGS:NBSK|LSAT_2X70380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G10490) UniProtKB/Swiss-Prot;Acc:Q56X46] MMAMTGSLNFSHKWGICKNQHYNKQFQQHDSLSIKLSIQLQKPLTTAPNRFNLLKCNCVLNPTQSFGASAIKNTALALSSALQGKPIILKLLPAVGIVVFAVWGLGPLMRQSRNIFLHKSDGSWGKSSTNFVMTSYLQPLLLWTGAMLICSALDPIVLPSEASQIVIKRLLTFVKSLSTVLALAYCLSSAIQQTQNFLLEKNDATDTRNMGFQFAGKAVYTGVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREHVGWWSPTVIRGEDREAIHIPNHKFTMNVVRNMTQKTHWRIKTHLAISHLDVNKINSIVADMRKVLAKNPQVEQQRLHRRVFLENVDPENQALLILISCFVKTSHFEEYLCVKEGILLDLLRVISHHRARLATPIRTVQKIYNDADLDGFPFADSAFTRGRGPSNRPLLLMEPNYKVNTEDKSKSQTKSSSVKSNTNTKTPDSKTKDTPPPVAADVNVNVKNINKEVSVTDKKPNSDATSSSSVTEQKGSRVGSNAMEENIVLGVALDGSKRTLPIEDEEMESGGEVKELTKTASTKDKKDGNTPGSTGG >cds-PLY71175.1 pep primary_assembly:Lsat_Salinas_v7:2:132654676:132660575:1 gene:gene-LSAT_2X63081 transcript:rna-gnl|WGS:NBSK|LSAT_2X63081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGNKMKQFQVLHLLILISLTTFTKAHNYIQPRCERSCGQASIRFPFGIGINCSLNEWFNIECNSQRAYLPALNNVEVLQGFGSLNFIVNVPMISDCKNPLQNSSLSLDLSTSPFRYSGNNNMLMVQGCGHADIIMENGSIVTGCSTTCRKDTVSNIKNCFGVGCCKITIPQDLRSFTLNRTGLERLDRDGTSCGSVFLAYDQYQDNNFGRLSIVQDHLFVPVSLSLKGNDTSVGCNNMCGNVSIRYPFGIGRSCSGNEWFTIDCNSSTPYLPALNNMELLDIKSERFIVNVPMISDCENSIQNSSLDLNKSPFYFSKYGNLFVVEGCGSGVIINENGDISGGCSTSCGNESVSERNNCFGIGCCETTMTYDLKSFTLNLTELVGHAGNVSCSRSAFLVDKNSYMKGRFSSQSTVEDQSFVPVSLEWGYMDEGGNTKEDKKCIDCEARGGYCYINEGLDSDVTCLYDNKISLGVILGVSISIGLMLLIVMGYALYKIIKKTKTKRRKQRFFKRNGGILLRQQQTTDISLVDKTIIFTSNELDKATDNFNENRILGRGGQGTVYKGMLADGRIVAIKKSKVVDESQLEQFINEVVILSQVSHRNVVKLLGCCLETEVPLLVSEFISNGTLYDLIHDETGEFLFSLNMRLQIATEVAGALSYLHSATSIPIYHRDIKTTNILLDEKYRAKVSDFGTSRLVSIDQTHLTTLVKGTFGYLDPEYFQSSQFTEKSDVYSFGVVLLELLTREKPISLTRFGENRSLALHFMLAMEEGRAMSIFDASVVKEGSRSELLAIANLAMQCLNFNGRNRPTMKEVASELEGIRLSHVPSIDEPNFGHMKHYEEVALIYGESTSTSITFYHNPSQ >cds-PLY69929.1 pep primary_assembly:Lsat_Salinas_v7:4:70457693:70457953:-1 gene:gene-LSAT_4X49360 transcript:rna-gnl|WGS:NBSK|LSAT_4X49360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPFRPSHLVPILIIFLLLGTTPLYGVVLHKKKKQIQEECGEIVSRSLCSKNTDCRWCQSDVLDDTCFSKSESSRLPSHIFAC >cds-PLY73251.1 pep primary_assembly:Lsat_Salinas_v7:4:6909328:6910058:-1 gene:gene-LSAT_4X4300 transcript:rna-gnl|WGS:NBSK|LSAT_4X4300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMNALYAKRVALLLLILVLDHLLQANSASLIEPDPGTVVSGRRKLRKLPPPPAPKLSGAPHYMFSDPPPPPSTTQMRSTAPNLRTVPKRAPPAPKLSRPRNFRIFLSPPPPPPPPPPPAVQGRTSNCKIAPPPPA >cds-PLY92243.1 pep primary_assembly:Lsat_Salinas_v7:2:210397608:210398365:-1 gene:gene-LSAT_2X130781 transcript:rna-gnl|WGS:NBSK|LSAT_2X130781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANRRRHHSSSAFQLPVPQDDDNPPPTPGGSSSSRDPDYYGIRCRAGKWVSEIREPNKSSRIWLGTYPTPEMAAAAYDVAALALKGEYAILNFPDSTLSTTFPEFPTADDIRAAAARAAAARAPTKESGGGSSTEIGTTLS >cds-PLY73851.1 pep primary_assembly:Lsat_Salinas_v7:4:207219653:207221085:1 gene:gene-LSAT_4X117340 transcript:rna-gnl|WGS:NBSK|LSAT_4X117340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAIDNGDDAHHYYSITHMSMCLHTHTFFTEGLRSTYLARKENLIFFCSLRHRWTTFWVDVKIQGNLKQRAKRKTSYGKQGWFAYKG >cds-PLY94122.1 pep primary_assembly:Lsat_Salinas_v7:8:29388990:29390253:-1 gene:gene-LSAT_8X24561 transcript:rna-gnl|WGS:NBSK|LSAT_8X24561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQTVVLKVGMSCGGCVGAVKRVLGKMEGVETFDIDLEQQKVTVKGNVQPDAVLQTVSKTGKKTEFWPVEAASAVA >cds-PLY75992.1 pep primary_assembly:Lsat_Salinas_v7:1:41722225:41724436:-1 gene:gene-LSAT_1X35900 transcript:rna-gnl|WGS:NBSK|LSAT_1X35900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MERIKITNNELEQPVGPRAAQSTTFVGVIASDVNFAPLTYNWKKIPPENKDNMWQKILVGRFHYVWVKPILATAPSWQATKTKVGKSNGYGSKPGSRVVSLSGVPAKGSKPEPLTSVQDINIQSQTLLNVKDSNKDERERIVVRMLKFEELRLEQIQDLENDLMKCFKEDLHSRLLSTDFKKQVDGIKMLQKTGYNIEKLREKLRELMK >cds-PLY64132.1 pep primary_assembly:Lsat_Salinas_v7:1:3113388:3116216:-1 gene:gene-LSAT_1X2501 transcript:rna-gnl|WGS:NBSK|LSAT_1X2501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PEPKR2 [Source:Projected from Arabidopsis thaliana (AT1G12680) UniProtKB/Swiss-Prot;Acc:Q8W490] MRKKRKGSDLLWSDNRKEIVESTGDSESLISNLKCHYSLEDYVRLKKRCCKEDVICGGGDSNGSCKNRLAGIAATAPPCATSSLVLSGRGIKRKIGCIDVATQMGRKNKIAEDYIKGATIGKGKFGSVWLCRCRVSGVEFACKTLVKGEETVHREVEIMQHLSGHPGVVTLKAVYEDSESFHLVMELCSGGRLIDQMTKEGRYSEQRAANIFKELMMVIKYCHDMGVVHRDVKPENILLTASGKIKLADFGLAMRITNGQSLAGLAGSPAYVAPEVITGNYSEKVDVWSAGILLHALLVGVLPFQGDSLEDVFEAIKTRELNFHTGIWDSVSKPARDLLERILTRNADSRISAEEVLSHPWIIFYTERNLRSLSFKSKSKQHVITATASASTVVELESSRKKKMMDDGMKKSSSPLILVTTSRSSVSEDEDDDSGLVDALTVAISHVRISEPKRSRLCVPTSPIREQCSSTTNNHLCKAC >cds-PLY92004.1 pep primary_assembly:Lsat_Salinas_v7:8:238412043:238413800:1 gene:gene-LSAT_8X143660 transcript:rna-gnl|WGS:NBSK|LSAT_8X143660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLSSWSSTAIPVVSISIILIIIFIFFRKYLTSKSTSNQPGLPPGPTPLPFIGCTVQMLLNRPTFRWIHKLMDQFNTPILCIQLGPSTHVIAVSCPNLACEFLRKQDAVFSSRPETLSTFLVSDGYQTTILSPPGDQWKKMRTILIHDVLDPHILKWLQPKRDEEANHLLSYIHNQIQKNDTPTEGEEETEHVSSVFTILKYLYAFSVIDFFPWLRGKIDFEGHQKIIRTAIQRVRKYQDGLIDERIQLWKDGVRKVKGDVLDVLINHESPKLTDQEIKAQILELMLAAIDNPSNAVEWAMAEMINEPSILKRAVAELDHEVGHNRLVEEQDLPQLNYIKACLKEAFRLHPFAPFNFPHVSMRNTTVAGYFIPKGSGLGRNPNVWTDPMRFDPDRHLDAERKQVVLSDNELRLLSFSTGRRGCPGVLLGSTITTMMLAIMVQGFTWEVPQNESGVNLVENHDDLALAKPLVVIAKPRLPKHLYPKS >cds-PLY77672.1 pep primary_assembly:Lsat_Salinas_v7:9:18657874:18659214:1 gene:gene-LSAT_9X13680 transcript:rna-gnl|WGS:NBSK|LSAT_9X13680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSNRGTTKRFLIREMDRSIKQMLKLVEEEGDSFAKKAEIYCQKRPKLQSHVEDFYRMFKSLVERYNEAKAELRRSRPPELRSRNSGNFDVFSEPPSAMTSPDRRLSRRLSEIPNFDYFGNKGYETSTLDSESDSDDSLFHSYSSSSNYRRLRNKIVELETELKKVQMEHEESRSNSEITYYEEELRIAKEKIRSLEEEIDQLRIKLHRYESMEPLSDESMDDFETPRVNDRLADETLEQEVKRFRRELDSNARFQNHHESDKKQHSSELDGEKLKLSEENLQLQEQVRKLSKQKKLDENIKEWERCRRLEEHLERIRIRNNEMEDEIEQLKMDREGIVSRISELEEETSLKDDQIDEMNDRLEKLHLEIQKSMNSVEELRHRSRELKKVIEKQQEMIEEGAEEKRQAVRQLCYTMEHYRNAYQMLRQDLLEQKKPVMASQGDIVSI >cds-PLY81819.1 pep primary_assembly:Lsat_Salinas_v7:3:31463043:31464597:-1 gene:gene-LSAT_3X21881 transcript:rna-gnl|WGS:NBSK|LSAT_3X21881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATSGSVTASGDLIVDNIISSCGNVSNFAQPSGHLFERNTRSFHTASMGMKNREPSKSHGVHGYFIYGVTHITSNIHTPGGRLQRRCNTSSSTCYSDGGVSDEVLKESSHDETITSLAIEANGKGMHVRTLKLLSGSSYLPHPDKEATGGEDAHFICVEEQVIGVADGVGGWADVGINAGLYSRSLMSNSVRAIQDEPKEAIDPARVLTKAHSATKAQGSSTACIIALRDEGLHAINLGDSGFVVIRDGCTIFHTPVQQHDFNFTFQLANGNEGDQPSSGQVFKIPVAVGDVIVAGTDGLFDNLYNNEVTALVVQGVRSRLSPEAMAKNIADLARVKALDRKRQSPFSTAAQEAGFRYHGGKLDDITVVVSFVTASTSTEV >cds-PLY62313.1 pep primary_assembly:Lsat_Salinas_v7:3:211239630:211240678:1 gene:gene-LSAT_3X125440 transcript:rna-gnl|WGS:NBSK|LSAT_3X125440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTTLSTLLLTVLFLAGILIPEPVVSQNNGVNRVNIVTYDFFYGIMAKSPNNCRGRSFYTRVAFLRAIRDYPDFARSGSLDDSKRELAAFFAHVTHETGHFCYVEEINGRSKNYCDRSNSEYPCAPNKGYYGRGPIQISWNYNYGAAGRSLGSDLLGNPDIVANDPMISFKTA >cds-PLY96731.1 pep primary_assembly:Lsat_Salinas_v7:6:76715811:76719134:1 gene:gene-LSAT_6X56241 transcript:rna-gnl|WGS:NBSK|LSAT_6X56241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14T [Source:Projected from Arabidopsis thaliana (AT1G55550) UniProtKB/Swiss-Prot;Acc:F4I1T9] MKDTRKSFKSLSKTIHSLLGFKNEINSSWANTVCDIIKSLPSTADYENENDYDDDLDDFLVSQIHGELVTLNEEVFRLNAKRRQVLNQYLQLKGNIRVFCRIRPTINGEKFSHLKPVVPLDSSGILLNLTENKTKLYNFDKVFHPTSSQDEVFSEIEPVIKSALDGYNVCILAYGQTGTGKTFTMEGNEDFPGVVPRTIEALFKQASDSNHTYLFSFSMLEIYLGHLKDLLIPHARKTSDPMPPCLAIQTDPNGGIVIDNLVAIQVSNFNHAISLYRLGSQLRSTASTNSNKASSRSHCMIRMSMTCCDDTERRKITNKIWMVDLGGSERVLKTKAWGRRFEEGKAINLSLSALGDVINALQKKNSHIPYRNSKLTQVLKDSLGEDSKTLMLIHVSPKEDDLCETVCSLNFALRLRSIHLGKTESNEARTVKELAMADLQHEMEEIEHNRDRFMKEIKKLNQKLDSLTKKSSGSIGKSEIDDVITKMPLSTSKMPRFMKPTICSTRKSGTGYQNSYKKEIRHERRKKQLPISRAESVSFPIKGLTESYSGSSISRASCLVDLNDTEFSHETSQCSFRIRTNINKQKNSLKNSNDKRVISIPTPVKKGKSTKKGETTKQMIGVDDSSTEGDILCQIMPCDTINDTFHQDDCVNGVEGVVISKVSTQETRCLEVYKLKEDLPTNVPISDFDTSVESSKVSFLRSELKEYILILYYSVLVGLGFQSLGFEDDFFRSLIN >cds-PLY75904.1 pep primary_assembly:Lsat_Salinas_v7:9:199488535:199488858:1 gene:gene-LSAT_9X123200 transcript:rna-gnl|WGS:NBSK|LSAT_9X123200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSSIISGVSPSFTSHSSSHLVDVAARVVQEFRHENGDDYDDILNFNDFHYDNNEFSVLATDERADTNTPPQKLKGDKNCDDEEFKNENIYDFLWNKSRLSIDNNLK >cds-PLY93012.1 pep primary_assembly:Lsat_Salinas_v7:4:193197521:193199005:1 gene:gene-LSAT_4X111160 transcript:rna-gnl|WGS:NBSK|LSAT_4X111160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDKSKQTSEDLESEHATTSNGNESIANPAIVNDESIQFDSDKKVLSFASYSSSILSDSSPVQDLETQHDKSSFKLKADDQLEKPNVESNHSPSTPPPNDHISESPPIQLMERQETPKYRIPSHVFTRKKSTTPGDWSMASNESLFSIPMGSIRLYNQDSFLFEDLDLGYEYDTQFVDDKTTDTTKKPQVNEVNNQGSNMLDEHEPKNSEHKEDCQSDADEHTSCFSTDSSSRSFVFPV >cds-PLY88884.1 pep primary_assembly:Lsat_Salinas_v7:4:253282047:253284102:-1 gene:gene-LSAT_4X133180 transcript:rna-gnl|WGS:NBSK|LSAT_4X133180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MICIRVNTLNTTTEAVIEKILEIQCDKRLQDTVKNGDMESLSVLKSQIPGLDYVVFVKGSGPHDIQYDYQQDRPPKEIIVIFLLKFTNKKLLHTNVMKGDIFLQNLSSIITAHVLDPQEGERILDMCAAPGGKTTTIASLMKDKGEVITVDRSHDMVVKLLAYISDKDLFAEFYRKKLARRPCLYFVKCGIGHLSWKKFS >cds-PLY72113.1 pep primary_assembly:Lsat_Salinas_v7:7:57188360:57201858:1 gene:gene-LSAT_7X41480 transcript:rna-gnl|WGS:NBSK|LSAT_7X41480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAEILQQTTTFFSETISQPDIRRRIFSILRNNLSPSVQLNIKQLNLAADTLENAITTTNSSVRSSSLRLSEKLLLSFPQNPFSSFLLSLIYTLCQRPIDASITLLNLFETDPSIARSEVAPQVFEDILLINFLPVLEWYNEQRSRILSSLSSNCGYESDENSIVTDSVVSCTTLLSKMNGNQASELKELERDYEQVLDENCRVFAGYFKEILGNKDGNGVIVPPLVVLEAIHKGDGHHVLNQDEKSISTQFGSKNGRYNPIWTDKGEEQSVKTLSKFPSFFPERVSPRLLTNQRLSSTTSKPSPNQDYSDSEPDNNSCSINMSSSDSDTEEIEVKNIEITSSDKKQKQPILIEPSSFDPAMEDYENQINEDKHADTPPKDFVCPITTHIFNDPVTLETGQTYERKAIQQWLDRGNVSCPITRQKLHSAHLPKTNYVLKRLIASWKELSPGSGNGNGIGNSSYDDILQLTSPDSVISQATIDGTLNELRHAITNLCTSEVLKEAETAVLKIERFWQETNMELEIQKMLSQPPVINGFVEILFSSIDTRVLIATVFLLSELGSRDGNVIATLTRVDSDVECVVSLFKKGLFEAIVLIYLLKPSIPGLLQMELVDSLLSVVSKKEDEFFKMCVKPKAAAVMLLGWIVGSGDDVAVFGVVKRVISGKAIECIVESLESEWIEERIMAIRILLRCMQEDGKCRNVIADKAELAPVLESFVEAIDGERFEIVQFLSELVKLNRRTFNNQILHIIKDEGSFSTMHTLLIYLQAASTDQSPVIAGLLLQLDLLEEPRKMSIYREEAIDTLISCLKNSDSPAAQIAAAETILALQGRFSSSGKPLVRMYLLKHAGFDKTYRSTIRKAQLSTISGELHDTKDEEKAAQEWERKMAFVLTSHEFGLIFEALSEGLKSRYAEVCAVSFVCATWLVHMLEVLPDTGVQGAARVCLLNRFVSIFKSAKDPEDKALSMLALSSFIHDPDGLRDITTHMKDILKGLREFKKSSNVAFEMLKVFSEGSESSADLWSHKELTQQDCSMNGEVLSIASIKDKIFSGHSDGTIKVWMDKGSVLHLIQEIREHSKAVTSLTVPQSGDTLYSGSHDKTVRWWSINKESIHCEQVYDVKDQVNNLLVANSISCFIPQGAGIKIQSWSGTSKLLNPSKYVKCLALVHGRLYSGCQDNSIQETDLATGTLSSIQSGSRKLLGKANPVHALKVHDGLIYSASSAVEGTSLKVWNASNYTLNQTVSLGSEVRTMVVSSDIIYMGCKGGIVEVWCRKKLSRKETLQTGTNCRVVCMALNSNEDVLLIGTSDGRIQAWEVS >cds-PLY89618.1 pep primary_assembly:Lsat_Salinas_v7:9:39173486:39175764:-1 gene:gene-LSAT_9X36081 transcript:rna-gnl|WGS:NBSK|LSAT_9X36081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPTTDQPPQALPLQPPRSSFSCDRHPDENFTGFCPSCLCERLTTLDQSANAAAASSSSRRNSTSSSTAAAAIKSIFRGPSSSEASKNKTTAAGAQISRNSFFPELRRTKSFSASKNDGLGISACVYEPQRKSCDVRGRNTLSSLFSIHDDNKPRLSYSQQNLGNNGIVLETNREDEEEEHEEDQVHNEDEDEVNGDEIRVTEELEVRSMNDLVNNIVEEPDAIEEKEEVTVDVLKSMKDHIDLDSQSKKHSLSNLWSAASVLSKKWHKWRRKQKKSAAGVNGVNLSSALPAKKPISRQYRETQSEIADYGFGRRSCDTDPRFSLDAGRISFDDPRYSFDEPRASWDGYLIGRTFPRLPPMVEDVPVVHVPRCDTQIPVEHPPMADDNIPGGSIQTREYYLDSSSKRRKSLDRSNSIRKMAAAVVAELDEPKLTPVVSNAKVSPTTIDYNPATIPKFEPPRVSNSNSLRDDFSETFELRIPIGEEKKETKKPRRWRWKLWGFIHRRNKDDDEDRCSTVSGVGRSYSESWQDPRREVNSNNNINEVNNGGINRTDFRSHSSVSWRSSSMRKSNFNMIGNEQGFGIGIGNGNGNGGLERNRSARYSPNHIENGLLRFYLAPLSGSRRGGIGIATGKSRPSSGSHSIARSMLGLY >cds-PLY93493.1 pep primary_assembly:Lsat_Salinas_v7:9:87191278:87192833:1 gene:gene-LSAT_9X68740 transcript:rna-gnl|WGS:NBSK|LSAT_9X68740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSETEIPNPYLPDEIVKEILSRIPVKSLLQFRCVSKHWKELITGTHFIKSHLKNALSSSTQHRILLPTSPLMSLNYNNINESLQLDSPFPNPKTSIKILGSCNGLVCLIDGTRDIIIYNPSTRRHFKPFQSPQQVLHCSNRIEFVYGFGCGSKNPNDMKVVRFPRFARDSGYNKHKVRDKLTSSWRSGSVSSRYDFIDTVGTFLNGVLHWLAYGSGNGDDYRLVASFDLSEETFLEICLPPQDSSLPCYVLGVLQGCLCAICDDIGYTDVEIWLMKEYGVVNSWSKLVKIPLNMGIQNISYMMPLGSLNEDEIVLEIDLQSFVIYDVKKKVFRCPMSDHDLKLFGDAMVYVESMLSPQVSCVLY >cds-PLY93116.1 pep primary_assembly:Lsat_Salinas_v7:8:253219678:253227404:1 gene:gene-LSAT_8X149401 transcript:rna-gnl|WGS:NBSK|LSAT_8X149401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTFYLFRLSSGDVAGFKLLFSLAIIYGLLSTVAYYVTHMKFITPLGIDAPLDRFSEARAVEHIRVLAHEIDGRQEGRQGLHDAAKYIKTQLEMLKARAGSNVRIEIEQDVVNGSFNMMFLGHSLSLGYRNHTNIVMRISSLESKDTDPSILLNGHFDSPPGSPGAGDCGSCVASILEIARLTIDSGWVPPKPLIFLFNGAEELFMLGSHGFITTNKWRNTIGAFINLEASGNGGLDFVCQSGPGSWPSKVYAESAIRPMGNSAAQDIFSFVPGDTDYRMFATDFGEIPGLDIIFLHGGYFYHTSTDSVERLLPGSIQARGDNLFNLVKAFTISPSLKNAHQRGVYRDSGVSKDDEQQPIFFDYVSWFLVYYSRKQGMIFHSMPVAIFLLIPFFMWFSKCGLKCSFAALFDNIKGILFHLIGIIFGVIFPVVFSILRLLFCAQSMNWFAHPYLAYMMFVPCSLAGMLFPRICWNYFPLSQAPYLVKSSKQELIDESRFWGAFGLYALISMAYFSAGLSGGFLTLSLAALMIPAWIFFHLSVKYYGRESLTSAACFLVPTLPLLLHSVYFSVFLVQFLIEKMGMMGSIPPPHGYFVPDVIVAATIGALTGVCVGPILPVIGHWLARSSIMQFLLHATVISMALSSQFFPYTIDAPKRVILQHTVVTADAGQIDDISFDISVLDSNALPFLFKHAPEVAKHLKIDSDFSFNTANQSYRESWMAIYPLSDLFSRSLKFPANRDEILKNYSYFPHISTTKQQTTSIDGSRRVYLEFSLGQGKLDLLVGDK >cds-PLY82788.1 pep primary_assembly:Lsat_Salinas_v7:2:146778613:146780682:-1 gene:gene-LSAT_2X73001 transcript:rna-gnl|WGS:NBSK|LSAT_2X73001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFLELFSAASTPILKVLIITAIGSFLAFDSVDILGPIARKHVNNGVFFVSNPALVGSNLAETITLESMISMWFMPVNILITFIIGAALGWLLLIITKPSPHLKGLILGVCSAGNLGNLLLIIVPAVCKEKGSPFGDPDVCHGYAMAYASVSMAMGSLFVWTFVYNLLRAFSEDSGNNVVKETVTTHEDLTENLLPSSTSTEKKPKLKVMLDKMKQQLGNFSKAVNLKDILAPSTTAAIIGLIVGMIGPLRRLLIGTTAPLHVIQDSASLIGDAAIPTMTLILGANLLRGLKGSSRVSLPIVFGIVAVRLVLLPIIGIFIVKGAIYLGLVHADPLYVYVLLLQFAVPPSMNIGTITQLFGAGESECSVIMMWSYGLASVSLTVWSMFFMWLVA >cds-PLY97375.1 pep primary_assembly:Lsat_Salinas_v7:3:244985649:244990484:-1 gene:gene-LSAT_3X134960 transcript:rna-gnl|WGS:NBSK|LSAT_3X134960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGQEEIPEGTIRNVLEQESLKWVFVGGKGGVGKTTCSSILSILLASVRSSVLIISTDPAHNLSDAFQQRFTKSPTLVNGFTNLYAMEVDPTVEPEETGSSDGMDGFLSDLANSIPGIDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPSTLEKGLAKMMTLKSKFGGLLGQMTRMFGVGEEFGEDAILGRLEGMKEVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELTKFEIDTHNIIINQVLFDEEGVESKLLKARMKMQQKYLDQFYMLYDDFNITKLPLLPQEVCGVEALKDFSQHFLKPYKPLFIRGTLEEIEIRVSRLQEQLKEAESELERARKGKKPINS >cds-PLY74977.1 pep primary_assembly:Lsat_Salinas_v7:3:155341241:155343103:1 gene:gene-LSAT_3X98761 transcript:rna-gnl|WGS:NBSK|LSAT_3X98761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGSGRYELDISYITERILAMSFPSELMRSMYQNPMTQAKKILEKRHPRHYKVYNLCTEQAYDPSHFNDLVERFPSEDNHAPSLQMIKEFCESIHSWLSSDPKNIVFIHCMDGKGRIGLMVSSYLVYAGILAEEAHQVYADKATTKYLQVMIPSQRRYVNYWHKSLTFFDGCLPEVNLPKPCSKVIKRIRLFDTKTIESVFFVVSEMQEVAGQRYRSPAVAYRNFCSKSRNGGFWNSEIEEDEPRNCLDHYFNEKTIQVTGDVCVIFYEKKIGGRLFYACFNTAFIENDSMKFSITELDKVGNKGKSIAGDDFRVELLFSPANRNTIDS >cds-PLY65406.1 pep primary_assembly:Lsat_Salinas_v7:1:60556613:60558219:1 gene:gene-LSAT_1X51360 transcript:rna-gnl|WGS:NBSK|LSAT_1X51360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPIRAVAVGRHDEFRHPDTIKAGVAEFISTLIFVFAGSGSGMAFSKLTSDGAATPTGLVAAAIAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLIRGIVYVIAQLLGSTVACLLLKFVTNDMAVGAFSLSAGVGVSNALVFEIVMTFGLVYTVYATAVDPKKGDLGTIAPIAIGFIVGANILAGGAFTGASMNPAVSFGPALVSWTWANHWVYWVGPLVGGGIAGLVYESLFINQTHEHLPTSA >cds-PLY85821.1 pep primary_assembly:Lsat_Salinas_v7:8:173767657:173769690:-1 gene:gene-LSAT_8X114280 transcript:rna-gnl|WGS:NBSK|LSAT_8X114280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSEELGVVNEAFSGLGIDEKSLISILGKWDANQLKSFRLGTSRFFGEDERSFEKLVEHQINQLKNDFLRFKSALVLWTMHPWERDARLIKDALLDGPKSNNVIVEIACTRSSEELLGARRAYHSLYERSVEEDVASYITTTERKLFVALVSAYRYEGTKVNEEVAKSEAKIVFEALKNADKKNPLLDDDVVTILAIRSKLHIMSLFQHYKKISANSIDVDLGTNESLKDTVQCICAPPTYFCKVMEAAMVKEADENTREGLTRVIVTRADVDMKNIKEEYQHKYAITLSERIKVAANGNYKDFLLTLISREETK >cds-PLY65626.1 pep primary_assembly:Lsat_Salinas_v7:4:252607866:252608039:1 gene:gene-LSAT_4X133461 transcript:rna-gnl|WGS:NBSK|LSAT_4X133461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPCSSSTSTASNPHYQSLCLRVPHPHPPPPTLTARADKEDEEMHFLTSIHLGVS >cds-PLY83583.1 pep primary_assembly:Lsat_Salinas_v7:5:17950170:17952728:1 gene:gene-LSAT_5X8240 transcript:rna-gnl|WGS:NBSK|LSAT_5X8240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLLPKSIPPIFTNPTSISSTRFGTLPFLSNPADRQPHCPVRTATIRANAKKKNEWLDPFDTGEDPDMEYGSQYSEGKQSEDPRPPENPDNPYGFLKFPPGFMPEVMSLGLKIRGDVRRCCVVISGGVYENLLFFPTIQLIKDRYPGVQVDVLSSARGKQAFELNKNVRWADVFDPEDDFPEPAEYLDLLGLLKNRYYDMMLSTKLAGLGHASFLFMSAATERVSYIYPNVNSAGAGLLLSQTFTPDHLNLSEAGYNMYHQMTDWLARPGRSVPRQIIPPLKVSISRKLKDVVADKYNKIGVEKGKYIVIHGIQSDSKASMQSRGDADSLLPLNIWAQITNSIRGVKPVYVIPHEKERENVEEIVGDDANIIFITTPGQLAAFINDSAGVISTNTAAIQLAVAREKPCVALFGSEEKAKLFLPNIKDNKCIVASSKTGKLVDIDTNAVKNAAQIFEMPLAIA >cds-PLY95028.1 pep primary_assembly:Lsat_Salinas_v7:5:226745022:226747492:1 gene:gene-LSAT_5X106560 transcript:rna-gnl|WGS:NBSK|LSAT_5X106560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGSIDMMLRNLNLETVESLPEDFDPTTVINDPLPPIADDAPRINGVKSINGKEKPREIILGRNVHTTCLEVTEPDADDEVTGEREAYMASVLARYRKSLLERTKHHLGYPYNLDFDYGALSQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKNEYWGYITNCGTEGNLHGILVGREVFPDGILYASCESHYSIFKAARMYRMDCEKVNTLLSGEIDCEDFKAKLSLHKDKPAIINVNIGTTVKGAVDDLDLVIKTLEETGFTHDRFYIHCDGALFGLMMPFVKLAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINALSRNVEYLASRDATIMGSRNGHAPLFLWYTLNRKGYRGFQKEVQKCLRNAHYLKGRLTSAGIGAMLNELSSTVVFERPQDEEFTRKWQLACQGSIAHVVVMPNITIDKLDDFVDELIEKRVVWYGNGKLQSPCVASDIGEGNCLCALHK >cds-PLY98782.1 pep primary_assembly:Lsat_Salinas_v7:7:22801138:22804925:-1 gene:gene-LSAT_7X18141 transcript:rna-gnl|WGS:NBSK|LSAT_7X18141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESNFIIQNFLLLLLLQFLHLAAGQINDFPIFRCRDNGNFTNNSTYESNLKTALASLPSAASTRYGFYNTSAGVSPDTVNAVALCRGDVTSEACKDCISSTVLLLRQTCTNNIEALIWSLNCTVRYTNRSYSSVVEERPNADVSSSVNASDIDVFGKTLRDLVGRLRVEAAGGNSLRKFATGDVGFGTDSSKIYAMVQCLPDLSSFDCNSCLSIVFREAQGCCDGDIDVGVFYPSCFVRYSNASFYNNPPAITLPSVPPSSTNSSAVPGKKRKSSKSIYIIVPVACVFGGMLVIIGLYFFIKRRRSKNNINAAVDSATKKESGTTAFSSLVMSENHNHSSNLSQVGSVEMEMGTLGSLQFDLATIEAATDNFFDGKKIGEGGFGHVYKGVLANGMEVAVKRLSKSSGQGSQEFINEVILMAKLQHRNLVRLLGFCLDADEKLLIYEYVSNKSLDYFLFNPNRHGQLDWPKRYKIILGVTRGMLYLHEDSRLKVIHRDLKVSNILLDMDMNPKISDFGLARIVGADQIEANTNRIVGTYGYMSPEYAVHGHFSAKSDVFAFGVVVLEIITGKRSSRFYTEDNHDDLPHFAWKSWIEGRAMELMDPTMVETCSKDEVMRCINIALLCVQEDVDARPSMAYVLNILNNYSIDLPTPTRTAHYLPKRHSDSSTSKSVSKSTDKSQITEVHGR >cds-PLY97924.1 pep primary_assembly:Lsat_Salinas_v7:4:83408863:83411346:1 gene:gene-LSAT_4X55600 transcript:rna-gnl|WGS:NBSK|LSAT_4X55600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRESKPWRNLLKKRHILLDWELSRFKMKSFMGVVCTIMLYIVYRTTNYQYLETELDSKLYRFYTSKETVSASTSLKGLPRGIIEAKSDLELKPLWSTSNSKSEANISTTHNLLAIPVGLKQKRNVNTIVQKFLEENFTIVLFHYDGMLDGWQDLEWSNNAIHVVAQNQTKWWFAKRFLHPAAVSIYDYIFLWDEDLGVQHFNPRRYLDIVRTEGFEISQPALDPNSTGIHHRITVRKRTSKFHRRVYDSRGSVKCSDASNGPPCSGFVEGMAPVFSRAAWHCAWHLIQNDLVHGWGMDIKLGYCAQGDRSKKVGIVDREYVVHQGIQSLGGPSAKKTPYDSDLTKRHTVDMRAEIRRQSTMELKTFKQRWEKAAKDDTNWVDPFFRRKRRRKQRTIGSTDS >cds-PLY78018.1 pep primary_assembly:Lsat_Salinas_v7:9:44179094:44179891:-1 gene:gene-LSAT_9X40000 transcript:rna-gnl|WGS:NBSK|LSAT_9X40000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHVKRKNEPQEILSAIPGDDQRSRSHKRRRYICLSVTICVLIAVGLLILILAFTVFKSKKPVTTVDSVVLEDVNASVNLIPPMVSVNVSLDISISVKNPNKVGIKYRNSSATLRYKGKDIGDVPIPAGMIGAGDTKQMNLTVTVFVDRLATDLDIYGDVISGNLPLSTYTRISGKVRILNLFNIHVVSTSTCNLKIDILNRRIEDQNCHYKNKV >cds-PLY73014.1 pep primary_assembly:Lsat_Salinas_v7:9:35309099:35310468:-1 gene:gene-LSAT_9X32780 transcript:rna-gnl|WGS:NBSK|LSAT_9X32780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGLKSLYAKQRVRNPTSPYCSKCSRETFFNSSFPLANLSKITLSAPYQRADSVLESVYKFNSSLFTYNNASVDFLPEPQTWKGSVACISETTASAARQVGLTSVSHPSTPGLHGPLTGASMNSSRTLGPAMTENNYQGIWIYLTAPILGALAGAGIYTTVKLPEEDDV >cds-PLY79402.1 pep primary_assembly:Lsat_Salinas_v7:3:78971871:78974914:-1 gene:gene-LSAT_3X60440 transcript:rna-gnl|WGS:NBSK|LSAT_3X60440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSLFLFVDVCGEVFWAGQHRKSSLGYAARYSMDNMVASGQIANTELLLLAMFRKCLKSNRYDVQLSSFNLLGHTTPIHAGSLLLVGPFLDYWLTEKRVNMFKYDISFMELEEIFTDDDETVSNDPEEREDLLALKSLLLRKSNSSICNLVLTSNPQLVGAYNDAKLLKKLQIRKEDPKELKIEYRRLEGKVKGLNSALQI >cds-PLY91518.1 pep primary_assembly:Lsat_Salinas_v7:7:142510198:142512148:-1 gene:gene-LSAT_7X85241 transcript:rna-gnl|WGS:NBSK|LSAT_7X85241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCRKATKLYCFVHKVPVCGECICFPEHQICVVRTYSEWVIDGEYDWPTKCCHCQAVLEEGGGSQTTRLGCLHIIHTSCLVSNIKSYPPHTAPAGYVCPQCSTSIWPPKSIKDSGSRLHSQLKEAIIQTGMEKNVFGNNPVSFPIPAISSEPLTKDPSSVNGSSSSSKPSSIDIVEIDVPNSANHSRSPTSVVPGATTRKSAQQVERQNSEVSYYADDEDANRKKYTRRGTFRHKFLRSLIPFWSSALPTLPVTAPPRKDGNTDETQTHRPHHRPSRMDPRKILLVIAIMACLATMGILYYRIAQRGFGEEVVGQEEEQ >cds-PLY94569.1 pep primary_assembly:Lsat_Salinas_v7:MU038889.1:235830:237386:1 gene:gene-LSAT_0X20400 transcript:rna-gnl|WGS:NBSK|LSAT_0X20400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSKLLRFGRRQLHTIVSRDIIKPSSPTPSHLKTYNLSLFDQLAVNAYAPFVAFYPSTRIYQSSHDKTVELKNSLSHTLSRYYPYAGRLAKAGPTYVDCNDDGVEFIEASNDGPLSDFLHRSAHEDLDQLFPDDLIWYKSNHKAHTDENERTSPLSVQVNHFSCGGVAVAVSLSHKIADASSILNFLKDWATVTRSRGEHRDLSHINPHFLSYKTRNIKLPKNMPDRPQGDYVTRSFVFPNSKINDLKAKITNMTMESGEPIMNPTRAEALTWLIHKCAVAAACKTKSGIFKPTGVGQVMSIRNNLVEQLPETSIGNLYLLMEFPTRDESDLTPHNIIGELRKRKKEFRSIKNTETALGIVSEMCSDHTAMLETTKRLDDYYIYTVINRFPTYGIDFGWGKPIKVTVGGVVKNLIIMMNTPNGDGIETLLSLDGQDMKIIQNDPELLAFC >cds-PLY73427.1 pep primary_assembly:Lsat_Salinas_v7:4:182215399:182223000:1 gene:gene-LSAT_4X107181 transcript:rna-gnl|WGS:NBSK|LSAT_4X107181_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELSSSYNVNVRQTCYIPGAMFGSFSFINIFSGKEVVDAENDMQNMIEVAVTLRILQLICKECASSKHKISIAVLSPFPAQVQCLQQKVEMHYENNNLLNVKVGFFQDYEGAEDDVTIISTVGADGDEFNELKRSRQLNTNDYFKSKRRCVWILGDERALLKGGSYWEPLILEAKARQCFFLADEHKELAKVIVEVKKDLHELDELLIGESSLFQNTTWKVFFSSKFITSFSNIESLETKKLVLLMLLKLSTGWRPKRSSTTASVSGSPYSELMREFKVKGLHLLCTIDIVKDSHYVQALKVWDILPFLEITELVKRLERIIKMYTQEYINYCKARCSQRGSEFPLNWPLTTEIIQYKFQNSNSCEEMFMDEGVENVKVRECLTLMKFYQFSHGVVNGMISGCDGGVLGLPIELTEQEKDVVSFDKSSFILGRSGTGKTTVLTTKLFRKEQLHHLACEGFHENMEINEEVKQDVLHQLFVTLSPRLCHAVKQQVGEFNRLTCGGSSSSKSSSGVTDDIDKMMLSENIPDHFIHLPQNKYPLIITFHRFLLMLDGTVGRSYFERFPCVRKLLCGNNTSNSRLSVLEQYMRLKDVTYERFCSLYWHHFNNKLRKSFDTSTVYTEIMSVIKGGLMAGKAPNGILSREDYVALCDARTSILDAHKREMIYAIFVQYEKIKLEKENFDLADLVNDLHRRLEFEGYKGDSMDYVYIDEVQDLTMRQILLFKYVCTNVQEGYAFCGDTAQAIAKGIGFRFEDIRLLFFSHFLLGSEKGTMSRIFQLSQNFRTHTGVLNLAQSVIDLLCQFFPLFVDALRPESSRISGELPVLLETDTGDNAIKTIFGTNEVGSDQKVIGFGAEQVVLVRDEYLKEKVVNIVGKNALVLTIMESKGLEFQDVLLYDFFTTSSFSNEWRIIYEYMKEKDLNMSSTLMCTSSFDMEKHVVLCSELKQLYVAITRTRQRLWISETTGFSQPIFDYWKKLSLVEVKHLDDSFAEEMKIPSSKDEWKARGVKLFYENNFGMAKMCFMKAEDKYSERLAEAYQLHAIAEGINSSEIERKKVFKEAAELFQSLGKHMLAAECFYEMEDYETAAEEYEKAGAFAKCLCACSDGNLFEMGIRLLEQWGGCGVAEQEFLRKGALYYFGVKDLKRMMKFVISFHSKDEMRQFLTKKRCFDELISLEVEWGNIQEAAKVARLKPDPSLEADILYTGGFHRQSSLTILWHVFLESPVFQKAHEPFTQKDELLKKAVLFAETGSNDFFQFVYKEARILSEGTTEEEILKKAHEFIDCYKNNDLAMSVEHVKTNLEIQKIEKHVLDKCALYYLGVKDFKSMMTFVCSFHSRDEMRIFLTKKRCFDELILLEIEWGNFEEAAKVSRLKKNPKADLTRMYRVHRESSLLILWHVFMNSVVLQNTDEPFRQKDELLREAVVIAESDSNFFYQFVCKEANILSEGKNQEELLIKGLEFIDCYKENSLAMSLKHVKTTHEVDKIEKDVLHLMHSFLKSVNRINELPILLEICGKFVEAANCGNEDNQSVEAALSRLWYVFFGSLWAYGNRAWPLKHFKQKNKLLDDANFYVDQCDPYSKDSALVRTEISILSNAEISLHEMWRYLSDTPKERSLRIHYLLSRRILDVYFGSDCSVNASIETWVDDVKLKHLETEFSNNVVSFDGLIYFWSYWKELIMELINWCSTQDHSGKDSKIYTDFISNYFGIRSYNGDEKGSYVVLNAEAHWVKNMNPVMRRNRHFPIIDACEFSSVASRYWCSELLYVYQKVLKKLQSSHASSTVKKFSMHQRMKILANLFEVAKSLEKCKLPNEWRRADRIVDQSFKFFLDEFIINVFNMNSWNTEESKEMISLRGNETFLSMLKEAININSKSPKGLTCGQLGRIAMIKLAYRMIDFNDDTMRKVRYCSSPNWRALFDKLKGDKSSLTNVDLAISLHNVLKETTFSSGLLRADDCMSPTCFLYLIERLLILSFCFHGHVFMTRSSCVEWLSYEEWSIDSDVGHVISLDIMKDISLSLVSMVMKMMNSRGDLLKWITKSKESYDILVLRLFVLLSMICVNSGEHYHHLLDSLGCPHLASVLPSTFVEGLIKGVKEDCLVDALAVACEKIENPLVIVSFTKDSSMAPRGNAIFLNMVEQDFSWEKLI >cds-PLY79311.1 pep primary_assembly:Lsat_Salinas_v7:4:822720:825052:-1 gene:gene-LSAT_4X1620 transcript:rna-gnl|WGS:NBSK|LSAT_4X1620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVMDAEGTKYQSRVFHQKFSRFRDLLKEDIIGLIVSFRPLETTNPNPSKHYMKMTISNLESVHLNVTIFGSRAHEMSHYLKSNTTITCVVIVMQFVKLNVWNGIGQAQSHFDVTKMFINSDIVEINEFKKELKANNKGCMSEKSITALPSYSTSYIDDFKGDFPLKNFGSIVNIRQNLPWYYDACYKCGRRINNVPKTNLSYTAPGKMEDSVVIKCKNAACNDSSFHTVIKYIIPINVQDHTDTIGFTLFDREAKSVQSVSLNEVPLESDDVVQYVQNDVISQTDENFTPSTIDKSSATSPLKISGDLKRNLHDIYDVDGGGDLSSIKSKRKSMGEGNPLLVPKVEK >cds-PLY81342.1 pep primary_assembly:Lsat_Salinas_v7:4:37111623:37111832:1 gene:gene-LSAT_4X24560 transcript:rna-gnl|WGS:NBSK|LSAT_4X24560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEGDEGENTKASQETFADQMENGGRRLRGRRGRSNEASLFMSGGEERVPGITNSENFESIEVSGGFVR >cds-PLY90595.1 pep primary_assembly:Lsat_Salinas_v7:6:51278920:51283682:1 gene:gene-LSAT_6X36980 transcript:rna-gnl|WGS:NBSK|LSAT_6X36980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQLRSGARRSKRLGDLQPATQPINQAENTAPPTQTRPRRKTGGGGGGRGRGGDAAGVAKGPVAALPVRPTVGGRGRGVRLIDLDPEPPCDIIPEAPIRLDTVADKDIAMEGGSGGADKVMGVEEEGNSTPVPERVQVGNSPIYKVERKLGKGGFGQVYVGRRLSGGTERTGPDAVEVALKFEHRNSKGCNYGPPYEWQVYSSLNGCYGIPWVHYKGRQGDFYILVMDILGPSLWDVWNSLGQSMSPSMVACIAVESISILEKLHSKGFVHGDVKPENFLLGQPGTVDEKKLYLIDLGLASKWKDSTSSQHVEYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMATSPELMCCFCPAPFKHFLEAVTNMKFDEEPNYSKLISLFESLIEPVTSLRPIRIDGALKVGQKRGRLLINLEEDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADARLVQHVDKGNEDGLYISCVASASNLWALIMDAGTGFCSQVYEVSPVFLHKDWIMEQWEKNFYISSIAGAANGSSLVVMSKGTPYTQQSYKVSESFPFKWISKKWKEGFHVTSMTTAGSRWGVVMSRNAGFSEQVVELDFLYPSEGIHRRWESGYRITSMAATPDQAAFILSIPRRKMMDETQETLRTSAFPSTHVKEKWSKNLYIASMCYGRTVC >cds-PLY68312.1 pep primary_assembly:Lsat_Salinas_v7:7:97014682:97014942:1 gene:gene-LSAT_7X64760 transcript:rna-gnl|WGS:NBSK|LSAT_7X64760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKLVPPPSISSRTNNKLLGLIYFTRLGSSSINKRYLKGGGNCRCSDISESLVFIAVHKELEEEFINEIDEAGDGREKQLRREKSME >cds-PLY66194.1 pep primary_assembly:Lsat_Salinas_v7:2:168512955:168518484:1 gene:gene-LSAT_2X90660 transcript:rna-gnl|WGS:NBSK|LSAT_2X90660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSKQSTETKKKVRNGSFRSIFMHADGADMFLMTLGFLGAVGDGISMPTMLLITSKIMNNIGDSSSSSMTAFTDKINQNAVNLCYMAIGIWVSCFLEGYCWARTAERQASRLRAAYLKAVLRQEVAYFDLNVTSTAEIITSVSSDSLVIQEVISEKVPVFLMNMSIFGGAYVVAFILLWRLAIVGLPFIIILVIPGLIYGRVLMSLSRKIREEYNKAGTVAEQAISSVRTVYSFVGESKTITEYSAALQGTVKLGLKQGLAKGIAIGSNGVVFAVWSFLSWYGSRMVMYNGASGGTVFAVGAAIAIGGLSLGSGLSNVKYFSDAMAASERIKEVIKRVPEIDSDNMEGEILQQVSGEVEFKNVKFAYPSRPESVIFKDFNLKVPAGKTVALVGGSGSGKSTVIALLQRFYDPQGGEICVDGVSIDKLQIKWLRSQMGLVSQEPALFATSIKENILFGKEDASMDEVIEAAKASNAHNFITQLPQTYDTQVGERGVQMSGGQKQRIAIARAIIKSPRILLLDEATSALDTESERVVQEALDQAAVGRTTIVIAHRLSTIRNADVIVVVQEGRVVESGSHDDLIQIEDGLYTSLIRLQETKQNDEQTPNDLFPLPGPSSVSSRFDVHNTSSRRRSVNVSRSSSANSVNHGGGENITMRADQEIPVPSFKRLLAMNLPEWRQALFGSIGAILFGAIQPIYAFAMGSVISVYFLADHDEIKRKTAIYSLCFAGLAVFSMVINIIQHYNFAAMGEYLTKRVRERMLSKILTFEIGWFDRDENSSGAICSRLAKDANVVRSLVGDRCALLIQTISAVLIACTMGLVIAWRLALVMIAVQPLIIVCFYCKRVLLKNMSHKAMKSQEESSKLAAEAVSNLRTVTAFSSQARILKMLEETQKAPMRESIRQAWYAGFGLGFSQSLMACTWALDFWYGGKLISDGHLGSKALFQTFMILVSTGRVIADAGTMTNDLAKGSDAVQSVFAVLDRYTLIEPEDADGKKPEIIKGHVEIRDVDFAYPARPDVMIFKGFSIDIEAGKSTALVGQSGSGKSTIIGLIERFYDPMKGVVKIDGRDIRSYHLRTLRKYIALVSQEPALFAGTIRENIIYGASGEVSESEIIEAAKAANAHDFISVLKDGYDTWCGDRGIQLSGGQKQRVAIARAILKNPAVLLLDEATSALDSQSEKVVQDALERMMVGRTNVVVAHRLSTIQSCNTIAVLEKGKVVEKGNHSSLLAKGPTGAYYSLVSLQKPNSAQHYD >cds-PLY83935.1 pep primary_assembly:Lsat_Salinas_v7:MU041291.1:252908:256138:1 gene:gene-LSAT_0X19620 transcript:rna-gnl|WGS:NBSK|LSAT_0X19620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAQYSRIGASSIFPLFVQKPKIAFLFLARNRLPLDLVWDEFFQGENENRFSIYVHSRPGFLLNRLTTRSNYFLNRQINNSIQIYWGEASMIQAEIILLQHALMDPSNERFAFLSDSCIPLYNFSYTYDYIMSTSTSFVDSFADTKEGRYNPKMDPVIPVNHWRKGSQWVILTRKHAEMIVKDDVVFPMFQQHCQRKSLPEFWRDRPTPVDNSKEHNCIPDEHYVATFLAHKGLEGEITRRSLTHTSWDISSKQGRERQGWHPVTYKLADATPMLVQSIKDIDNIYYETEYRREWCTSKGKPSPCFLFARKFTRPAAMRLLNMSALGVSKDTRSYSFAR >cds-PLY77638.1 pep primary_assembly:Lsat_Salinas_v7:5:175360155:175361111:-1 gene:gene-LSAT_5X78081 transcript:rna-gnl|WGS:NBSK|LSAT_5X78081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKLGVIDFLAFCGVCKSWRSLSLSNKNMFIASKPPMLMCISTRANEKECCLEDFEERKFKTIIPHSEGRTYFGLTCGYLIFYGRETWDFWLVNPITIHELHFPYFPSYLYSNGSSFSAILVFSSSISRYVFVILQRFTSKIWFSIEGKGDWNDVSSSLCICDMHAFKGKIYALSYTAYGSPTWEVIHLCEMRLDPENKLTLLKARNFLLPEAYYTKFVSSGENLYVMENRSNYSSYKVHELDFGEMKWVPSEDTSDEYEFFISALEPASAAVKQESWVDTQSRYKRYDIIDNSEKGRFFIANLWYFPHQCLNVDRVH >cds-PLY95867.1 pep primary_assembly:Lsat_Salinas_v7:5:318084740:318087010:-1 gene:gene-LSAT_5X173860 transcript:rna-gnl|WGS:NBSK|LSAT_5X173860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGISFESPSNSPPSPPPPSTTAVPPAAGVPKFKSTPPPLLPITPPAFSPSSYFSFTGALTSADLLDSPLLLSSSHILPSPTTGSFPLQASNWTSNYQSQEHGIKKEHDNFTDFPFQTQSNPNTVSFQEIYQQPRIEDDRSLVKSEYSFQKQSFESNYPTNRNIYNHRSSQKKLDDGYNWRKYGQKQVKGSENPRSYYKCSDRNCSMRKKVETSSDGDITEIVYKGNHNHPKPQSTKRSSSSSVSNNSLVMNQFNDFQDQSHGSGQWELIGTPENSSVSIGDDEFDRSTSGGDEFIENETPAKRLKIESENEGISRTVRESRVVVQTISDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTPNCSVRKHVERASHDMRSVITTYEGKHNHDVSPARGVGHRQLLSSNQDNNVANVTINTSKLLYRHPSNSMINQIYGSTLRAPSETQFTLEMLQNSQSFGLSGFEDTIRSSYMSRQPSSGSIFAKAKDEPN >cds-PLY73492.1 pep primary_assembly:Lsat_Salinas_v7:2:99665887:99666087:1 gene:gene-LSAT_2X43840 transcript:rna-gnl|WGS:NBSK|LSAT_2X43840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKDIKNEGFAEISNETQLTELLALVDIIIASPTKKSLEGLLGDENETQSNRVMSHVSHLILHYTS >cds-PLY90454.1 pep primary_assembly:Lsat_Salinas_v7:9:93676846:93677741:-1 gene:gene-LSAT_9X70720 transcript:rna-gnl|WGS:NBSK|LSAT_9X70720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATVDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLFSKEATGGEKQFSSQGHQGNFSKNEGSGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIQPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGGYQQHRHLRYHSVINKAPLKALHHLPLPLLP >cds-PLY74127.1 pep primary_assembly:Lsat_Salinas_v7:9:12509874:12513150:-1 gene:gene-LSAT_9X10341 transcript:rna-gnl|WGS:NBSK|LSAT_9X10341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIGATLPPGFRFYPSDEELVCHYLYKKIANEDVLKGTLVEIDLHTCEPWQLPEMAKLNSSEWYFFSFRDRKYATGYRTNRATISGYWKATGKDRTVVDTRTGAIVGMRKTLVFYKNRAPNGIKTGWIMHEFRLENPNIPPKEDWVLCRVFYKAKGDNSNERSPQDMYHNTCDATSLNHDDACPTLPPPPCVHHHHHICTTTPNLSNQNPTPPVHHHRHHKNYLDISPQQYNLPNLLQLSQEATLKECMDQMIVSSNSKCEDHYGFFYDMALEDYAPSQNLQDMEFDDDDNDNGIVF >cds-PLY64971.1 pep primary_assembly:Lsat_Salinas_v7:8:161811226:161811510:1 gene:gene-LSAT_8X107481 transcript:rna-gnl|WGS:NBSK|LSAT_8X107481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNHQSCPSLVRSVEAQSDEDEGRHHFFQRGEGNNVGCKTKDYDGVSRGWFTYIRLKGFPVGGWWWQRRWGDEGGGGSTDDSGVGDALPQRKRN >cds-PLY76603.1 pep primary_assembly:Lsat_Salinas_v7:5:224337701:224341403:-1 gene:gene-LSAT_5X105200 transcript:rna-gnl|WGS:NBSK|LSAT_5X105200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIFPVPTHRVQTIFFTVSPPPLPFSTRPIKNSQSPSATSATTANNSTTLRSQTPNGPSFNSSPKHKTYVSTSHQARQSAIIDIQQSPDLHSALSRSGGVLKVQDLNLVMRHFGKQNRLKDLSQLFEWMQQNGELNFASYSSYMKLMGKSLHPNKALEIYKGIEDKLTRVNPSVCNSVLGCLIKSGKLENSFQLFHQMKQDGLVPDVITYSTLLAGCAKVQDGYKKAIDLVQELKYKGLQMDNVIYGTLISVCASCNKCKEAESYFNQMKIEGHVPNVFHYSSLLNAYSMDGNYEKAEEILKEMKSAGLVPNKVVLTTLLKVYVRGGLFEKSRELLNELEALGYAEEEMPYCLLMDALSKAGQIDEAKLVFSEMKRKNVKTDGYAYSIMISAFCKHGLLEDAKESALEFEAKYEKYDVVILNTMLCAYCRSGEMENVMNLMKKMDELAINPDRNTFHILIKYFAKEKLYMLAFKTMEDMHKRGQQPDEELCSNLMFHLGKTGAHSEAYTIYNMLRYSKKPMCKDLHEKILYILIAGRLYKDAYVVFKDNSRLIPRPALKRFASSFMRFGNINLINDVMKAIHNSGYKIGQGLFSMAISRYLAQPEKKDLLLQLLEWMPGQGYVVDPSTRNLILKNSDLLGRQQTAEILSKQHIMSKALKTRDTNQK >cds-PLY97406.1 pep primary_assembly:Lsat_Salinas_v7:4:14803518:14807424:-1 gene:gene-LSAT_4X9800 transcript:rna-gnl|WGS:NBSK|LSAT_4X9800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRSNARVAPAPEAVDRPDINNKVKKEMDNSIKLKFRPNQPLRSTNLFSRNQKQSHLAKSSIFAIGFLTLVFVSCGYFYLNSQNPSEKRYRVVIDGGSTGSRIHVFEYVIKDGAPIFDLSAKKGLGSMRVSPGLSAFAEDPKGAGESMLKLLEFARKRIPGENLGETEIRLMTTAGLRMLELSVQERILESCRTVFRTSGFAFRNDWASVISGSDEGVYAWVVANYALGTLGGDPQETTGIIELGGASAQVTFFSNEPIPPEFSRTINFGNVSYSLYSHSLLEFGQNVAFDLVRGSNFAKSKNLVDPCSPKGYKNNVIIGNNMTPTSLLQTNHQSPVLHSTGNFTECRSASYKLLQKGKEDCAFDQCSIGSTYIPKLQGKFLATENFFHTSKFFGLSPKKFLSELMVAGKEFCEDDWSNLKSKYPGINDEDLHRYCFSSAYIVAFLHDSLGIALDDKRIGYGSEVNDIPLDWALGAFIFQIMKDLDLGKLHPTTKSKSITLGGVDFTTLGGLIFVIFLIFGAYFVSKWRKPHLKTIYDLEKGKYIVTRVIGRHS >cds-PLY96015.1 pep primary_assembly:Lsat_Salinas_v7:1:12740908:12741423:-1 gene:gene-LSAT_1X10580 transcript:rna-gnl|WGS:NBSK|LSAT_1X10580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDVLRKRYWGNLEHREIDLVETLPSPCRVDRLTATSPLVTLALEGSSATFGEWTLTAQPITMVALPPVTDSSHAEMVEDSKDDIISYVRRAIAKRGVVLGMGETSIDVFNIGRRVQSRHNFTRPLNLISSTPEAIIVIPDDDVQTIPHSTTNLPPPLGALVRPCFTILLH >cds-PLY88187.1 pep primary_assembly:Lsat_Salinas_v7:5:221434789:221437135:-1 gene:gene-LSAT_5X101640 transcript:rna-gnl|WGS:NBSK|LSAT_5X101640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDVPMPPDLLAQILSWLPVAPLLRFRSVSKTFRALIDSDYVIKLQLNHSLQTNTNLNIIFGGDRTLCYLNLDSSAKVVNKIDNPLYFAIFETVILGSCNGVVCLCTTEPDNEIAFWNPIVRKFKKIRLAPAKCSEGLGRGVCIKGFGYDHVHDDHKVVRLVQYCSLHNELVHSKIEVFSSKTDAWREVGDFPYYLCYRRNFNTFTKGALHWLVSQKPGKRVDFLIAAFDLTNDELRLVPRPELSNEHFHVNLAILGGCLSMVCHYPMKNVDIWVMRSYGVKDSWTKLISTTDVKLIRELDFLRPVSYSRSGDEVLFEKNFDSLYWYHLENKTVKRFKVAGMPRLFVTETFTGSLVQVNIGNPTGSGAKKEKIEKKDDNKRDDFLSKGFRLVL >cds-PLY89261.1 pep primary_assembly:Lsat_Salinas_v7:5:311032870:311033993:-1 gene:gene-LSAT_5X169580 transcript:rna-gnl|WGS:NBSK|LSAT_5X169580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSWELSSLTSKIDHMHEFLRRQLENCNHIVEEKKEIEFRRSFNQLFETGHMDNMKILKILISPKDDIQPLFDFNTKIKVSLEVLRRRNVLLLLISWLDMSCEELSILEEIYNESCIHGSRTNALYEVVWIPIVDPSVNYTKEMDRKFEEMNEKMSWYSVSHPSIIDKGKELSPIMQQIKKLLSYDKDGSWALLCRGSKILTNGHGTTMMQTPSDFDMWKKDIETNGFDFLFMEYHDKLHVAANNCCRFEFPIAASRVPDGMRCPECHRFMEKYIAFLCFHDQDGLLELD >cds-PLY98893.1 pep primary_assembly:Lsat_Salinas_v7:5:20347458:20348709:-1 gene:gene-LSAT_5X10001 transcript:rna-gnl|WGS:NBSK|LSAT_5X10001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTTTNFEENGIKINGGNSSNANSTVTGGIGSNQKGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQKINDLNYELESTPSTSSLTPTTTITTPGSGTPTGFYPLTPTPTSLPSRIKEELCPTAIPSPTGQPARVEVRQREGRAVNIHMFCSRRPGLLLSTMRALDNLGLDIQQAVISCFNGFALDVFRAEQCKEGQDVHPDQVKAVLLESAGYHGVA >cds-PLY78845.1 pep primary_assembly:Lsat_Salinas_v7:5:305603821:305609472:1 gene:gene-LSAT_5X164641 transcript:rna-gnl|WGS:NBSK|LSAT_5X164641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAVSATMSDEGEKTCPLCAEEMDLTDQQLRPCKCGYEICVWCWHHIIDMAEKDNTEGRCPACRTPYNKEKIVGTASKCERLVTGMSVEKKQKSQKGKTKTSEGRKQLGSVRVVQRNLVYIVGLPLNLADEDLLQQKEYFGQYGKVLKVSISRTAAGAIQQFANSTCSVYITYSKEEEAIRSIQSAHGYILEGRTLRACFGTTKYCHAWLRNMPCTSADCLYLHEFGPEEDSFTKDEIITAYTRNRVQQITGVTNDMQRRSGNLLPPPPADDYSNNNTDTTSAWEKPINKTTTTTNNPVNGMKVPLPNSSSGKSTALPAAASWGTRASNRQPSFKELVEEKGSLGNNPVSIKEDVDKNISDKFSNPSDISRNYSNPVPDEERIINNLSFDMSLSSINNNQRLQACESEPLTSNEAENTTVLVSDLQKKVAISVAEVDHMMSFNDERLRDTEVIHNLPPISSPPNNPMGCYPLHARVSGNGGVDPPSSHLANNNNIPITVNDYSSTVIGNSSSSRELSNGHSYFHGSTEGCKSEIGALDLGESSIISNILSMDFDPWDESLTSPRYLAKFLDKQPESHSHRVLSSRNSNQSRFSFARQDEGSDNGDFGFEYKNSNSCDFVNNNSIYIDKKNSNYNNNNNNKGSNGFSSFSFEESENFATNNFPMSENKISVSRTQVSVSAPPPPPGFSGVSISRAPPPGFTSQERMEQKQTFDTPTPTLSLSGNHMLLRNSPVNIGGDLEFMDPAILAVGGRVNSNSPPGRGFGLDMRSNFPCFNENDVRLQVLMQRSSFSQQNPRRLSEAGLDFPQQINSYAAIPSRMVDNNHLSSHYQTRRSLISSNNGHWNEGGNGNNDMRLGLNNGYYYSAVGHEDTKFHFPTSPDLNNPEMGFIDASGQGRIYLNLVSNRTAFQIPQGRASYEMENPTVPRTFSSQVSMPSVLNR >cds-PLY69783.1 pep primary_assembly:Lsat_Salinas_v7:5:232522816:232524581:-1 gene:gene-LSAT_5X113901 transcript:rna-gnl|WGS:NBSK|LSAT_5X113901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFRESGGGCRFLTQSGFLNLWMSSSWCCVGEVKAKTTWKQKMCSEASAKGHGRKGHSQDLFLKYKISVALKKVVDYNNKLVEDIRHMKIASQEEVTGKNVSLSTIVNYGHLCNC >cds-PLY83632.1 pep primary_assembly:Lsat_Salinas_v7:4:41721689:41722448:-1 gene:gene-LSAT_4X28000 transcript:rna-gnl|WGS:NBSK|LSAT_4X28000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKCSNRMDYWTFYFHEKLMLERLKVDPLKLEMEGLKLETSQGTQTNLNPHPLNVDQDVAVLPFPKISTLCKLSEYTLEYTMRNFIICHVAEAFVQNGDNPMLDPERVKRVIETAEIWTRKNRSEVKF >cds-PLY93745.1 pep primary_assembly:Lsat_Salinas_v7:6:145789168:145790279:1 gene:gene-LSAT_6X88160 transcript:rna-gnl|WGS:NBSK|LSAT_6X88160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKLGLRGPSFRVGEISSEVTVPDVRRKKLGVRRPNRKSTTKFQDEVNNQVPNEVAQAAALNDVPLVNEMIEEEETEVPVKVPEPIFKEVHLVNNQVLVVNEVIQLPAVDDVPLVNEDIQEEDDIMVIEEEVVDVPVKVSQDLKQSLDEVGDAIDQILGSGNASDASDVPLVNEGGVEPEFTEGHASDVLPDKMKISVEDIANLLEAGYSMGEIESMGWLEIELDDTPPVEMDLNEDEPDVDEGEADFVNDVLNDGGVIEGEGEGVENQDDGDVIEGEGVNHGNEAVGDVLNNEVADDGNVADDEGHLIVPKTRKRKQSERITKLKLKKAVFDKDGGGSTCSNPVNLE >cds-PLY63936.1 pep primary_assembly:Lsat_Salinas_v7:4:76189870:76192419:-1 gene:gene-LSAT_4X51640 transcript:rna-gnl|WGS:NBSK|LSAT_4X51640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKGKPTGRRQFSTPEEMLAGTSSRPRTFKKEEAEVEEERSDEESEESSEEESEKKKGTQGVIDIENPNMARPKTLKARDADLDKTSELSRREREEIEKQRAHERYMKLQEQGKTDQAKKDLERLALIRQQRAEAAKKREEEKAAKDQKKAEARK >cds-PLY75437.1 pep primary_assembly:Lsat_Salinas_v7:7:72581454:72581735:-1 gene:gene-LSAT_7X52741 transcript:rna-gnl|WGS:NBSK|LSAT_7X52741_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDPLDEVVQEIDFEDNAIRSPLRHENIGFASPHRNSPVKSTFEETGSLGASMKVLNKDTTTLLGGSLTLSIPEQTIVIPPEVSMGESISEEV >cds-PLY96173.1 pep primary_assembly:Lsat_Salinas_v7:8:101400448:101406609:-1 gene:gene-LSAT_8X69120 transcript:rna-gnl|WGS:NBSK|LSAT_8X69120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 4 [Source:Projected from Arabidopsis thaliana (AT5G57160) UniProtKB/Swiss-Prot;Acc:Q9LL84] MSGETKFSVMCSLFNWIQKSKSSTKKRSKFRKFLDTYCKPVDYFAAVRLILPSLDRERGSYGLKESVLATCIIDALGMSRESPDALRLINWRKGGAKTGVNAGNFSLVASEVLQRRQGMISGGLTIKELNELLDRLASSENRAEKISILSDLIKKTNAQEMKWIVMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCERLRDRNQRHKRQDIEVGKAVRPQLALRVANAASAWKKLHGKEVVIECKFDGDRIQIHKNGKDIHFFSRNFLDHPEYIHGMSDIIIQNVLVDRCILDGEMLVWDTSTDRFADFGSNQEIAKAAREGLTSERQLCYVAFDILYDGDTSVIHQSLKERHQLLKKVVKPIKGSLEILVPDGGLNAHTTAGEPCWSRVAHTVDDVEMFFKRTIENRFVSFCRVGTGLSDKELDEVVNKLKPFFRKNEYPKKGPPSYYKVTNNSKERPDVWIDSPEKSIILSITSDIRTIRSEVFAAPYSLRFPRIDKVRDDKPWHECLDVESFVELVHSSNHTTQWGGNQPKTSKSRVGEKKKKSGSVVPSHLLQTDVSQVKGQTLIFSNMMFYFANVPSTQSLDSLHKMVAENGGTFSMNLNNSVTHCIAAETRGIKFQAAKRHGDVIHYSWLLDCCSHKKLVPLQPKYFLVISESSKKKLQEEIDEFSDSYFMDIDIKDLKQLLNNIKRSKDSKAIDHYKKKYCPLDKWSRFNGCCMYFCIPQNSLSLDWEDLLKLTMKRMKLQVSFCGAKISNYISNATHLVVMSLPGFKVEFDTIARSFSASERRLLRDESVHMVDCQWLEECFEKDEMLQEDEYIMKPSGLMESTSSDENENLLSLKKEDKEKKKNTVDVEASASDKEDIKRQEKAAASVNKRGRPTKTKTSSTRKKTGVKPPRTRVRSGNKARKIHEYISDEEEEEEEENKVSASLDEQESKQKHEMQDAIVEDSEPLVKDVNVNDDDDDVMDKKSDKLEVMVGPDPLQAMLLDMIPSLEPKTIESPIMDPPIPKREEIHHNLDSNPGPSKKKKVSYKDMVMDLLKD >cds-PLY80622.1 pep primary_assembly:Lsat_Salinas_v7:8:249418137:249418684:1 gene:gene-LSAT_8X148320 transcript:rna-gnl|WGS:NBSK|LSAT_8X148320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTAEKEENEGKSEDLWGLARLKEEASKLWWPSVGADGSYRAAVGRLGWWQSTFPPAFLRYQWLLLVESVMSKDDQERVVALLIFPSLVVFFGRKTNEKNREGFG >cds-PLY67642.1 pep primary_assembly:Lsat_Salinas_v7:2:207790413:207793090:1 gene:gene-LSAT_2X128520 transcript:rna-gnl|WGS:NBSK|LSAT_2X128520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEESHLRSPFYPGNVTTSHGAGSSSSSTTPLRTIDGSSKISSDFRDNVHKNSTIGKQDGDVDDMLIDDKFKSSSKTKKKYRERWDPHSYYPITLPWRPLGSGDPEILNEQEFGEEKQYDENKINSASELGLLEKNDDEKKMILFKFPQKLPLDNKQSKRVPISTKGKEKEDASNKFVYGGLNELPNGHIGKMLVYKSGAIKLKLGDIIFDVSSGVLDECAQDVALMNVRSKDCCVVGQVDKQAVIVPDLDSILDNIAKQ >cds-PLY98050.1 pep primary_assembly:Lsat_Salinas_v7:2:20102421:20102692:1 gene:gene-LSAT_2X11441 transcript:rna-gnl|WGS:NBSK|LSAT_2X11441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEYYLTRFKEIEVKEHWGDGMLHGSAKHLWTCLFLNKHLGDELIPSSYTLRFHINLINYN >cds-PLY82357.1 pep primary_assembly:Lsat_Salinas_v7:5:312165166:312166689:1 gene:gene-LSAT_5X171040 transcript:rna-gnl|WGS:NBSK|LSAT_5X171040_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLELRLVQGSLLKKVMESIKDLVNDANFDCSATGFSLQAMDSSHVALVSLLLRSEGFEHYRCDRNISMGMNLSNMSKMLKCAGNDDIITLKADDGSDTVTFMFESPTQDKIADFEMKLMDIDSEHLGIPEAEYHAIVRMPSSEFARICKDLSSIGDTVVISVTKEGVKFSTRGDIGTANVVCRQNTTVDKPEEATVIEMNEPVSLTFALRYMNSFTKATPLSSTVTLSLSSELPVVVEYKIAEMGYIRFYLAPKIEEDDEENKS >cds-PLY72289.1 pep primary_assembly:Lsat_Salinas_v7:3:167984611:167984772:-1 gene:gene-LSAT_3X107080 transcript:rna-gnl|WGS:NBSK|LSAT_3X107080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGGCSETVDGNSSRRQRWCRSSTVAATVGGDGSFASSTSCSDELDEDGGERS >cds-PLY79360.1 pep primary_assembly:Lsat_Salinas_v7:9:54234242:54237061:-1 gene:gene-LSAT_9X50361 transcript:rna-gnl|WGS:NBSK|LSAT_9X50361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMDHTTPEENDDGGSVKRLPPWNKHITIRGLVASLLIGIMYSVIVMKLNLTTGLVPNLNVSAALLAFVFIRTWTKLVHKAGFVTTPFTRQENTIIQTCAVACYSIAVGGGFGSYLLGLNKKTYEQAGIDTEGNSPGSFKEPGIGWMTGFLFVSSFVGLLALVPLRKIMIIDYKLTYPSGTATAVLINGFHTPKGDKMARKQVVGFTKFFGGSFLWAFFQWFYSGSGTCGFTYFPTFGLKAYANSFYFDFSMTYIGAGMICSHLVNLSLLAGAVLSYGIMWPLIGDRKGDWFPSSLPQSSMKSLNGYKVFIAIALILGDGLYNFLKITFFTARNIYTSSKKNSKNNPDKNTQPQDTLQQNEVFLRETIPFWVAAVGYIVFSVVSIIIIPIMFHELKWYFVLVAYIIAPSLGFCNAYGAGLTDMNMAYNYGKVALFVLAAMSGKNNGVIAGLVGCGLIKSIVSISSDLMHDFKTAHLTLTSPRSMLVSQAIGTAIGCVVAPLTFFLFYKAFDVGNPDGEYKAPYAIIYRNMAILGVEGFSALPRHCLQLCYGFFAFAMLANIVRDTWQKIGKWVPLPMAMAVPFLVGAYFAIDMCVGSLVVFVWSKFKKEKADLMVPAVASGLICGDGLWILPSSILALAGVRPPICMSFLPT >cds-PLY73058.1 pep primary_assembly:Lsat_Salinas_v7:2:30444177:30445917:1 gene:gene-LSAT_2X11841 transcript:rna-gnl|WGS:NBSK|LSAT_2X11841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDNGEGKSMADSKTKKATRMQIMQKKKRIDELLKSAYSPDKDHLAEFPHSRHYNKNGLSLFLESGRGDKLSSNLKHQIQNLLKVNMEGPYGEEWPTEEKIKRREMSSPEALYIFVHTDNSKESIIGFVQYRFTIEEEIPVVYVYELQLESAYQGKGLGKFLMLLIELIARKNGMGAVVLTVQKKNYSAIKFYLNKLRYNISAISPSKVYQLNGVFGEEKSYEILCKAFDNEAKSVLEVIFLLYSQIIKFLLSCSFCIK >cds-PLY96958.1 pep primary_assembly:Lsat_Salinas_v7:4:173779878:173782679:1 gene:gene-LSAT_4X102201 transcript:rna-gnl|WGS:NBSK|LSAT_4X102201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFWPEFLASSWGKEFVAGGFGGIAGIMAGYPLDSIRVRQQSSPSGSALRILRQVATTEGPLALYRGMTAPLASVTFQNAMVFQIYAILSRAFDSSNSSADPPSYKGVALGGIGAGAIQSIMLSPIELIKIRLQLQTQSEKGPLSVAKNIIKTESWRGLYRGLTITALRDAPSHGFYFWTYEFMREQLHPGCRKSGHESFNTMLVAGGLAGVASWVCCYPLDVIKTRLQAQTPNSKVKYNGIVDCFQKSVRNDGYSVLFRGLGTAVSRAFVVNGAIFTAYETALRVFFNNGDSNTIEKQEALQADNAI >cds-PLY94162.1 pep primary_assembly:Lsat_Salinas_v7:5:35376685:35378690:1 gene:gene-LSAT_5X17121 transcript:rna-gnl|WGS:NBSK|LSAT_5X17121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQVSIVEATPPGVANLSKSNPFSPKSSITRYWKTQISNRFPIPSFLLSKASPLSPFEFSLFTKLASNHSLSSHLSSFCSSADLFCFSDPTSINSGRLKDTNFAVYNSKRFSTYGSSQVGGADTFKNYSENINFASSGFARYSGISTGHHEGFTSYATDANVANSNFTSYATDATGGNGDFTSYMPRVNVPDLRFASYSANGNNHAMSFKSYVDDTNSGNQQFMNYGKNGNGVPVGFTGYGDTSNVIGSTFSHYADLANDANDTFKAYSSNANNPKNDFKAYGGNSGNAGSDSFVSYRDGANSGTDTFLSYGRKSNSGKTNFVNYGKSFNPGVDSFREYGKGSTGQSTGFSIYALNTTFKDYTKTGVTFGQYTKPGSKTGPTKVSGSLVNKWSEPVKFFREKMLKKGTVMTMPDIVDKMPKRSFLPRAITSKLPFATDKISGVKEVFQARDGSVLERVLTNTLSECERAPSQGETKQCVGSIEDMIDFAVSVLGHDVVARTTENVRGSKEKVMIGEVKGVNGGKLTKSVSCHQSLYPYLLYYCHSVPKVRVYVADILDVYNKAKINTGVAICHIDTSAWSPGHGAFVALGSGPGLIEVCHWIFENDMTWTTSD >cds-PLY80641.1 pep primary_assembly:Lsat_Salinas_v7:5:246355940:246362325:-1 gene:gene-LSAT_5X121700 transcript:rna-gnl|WGS:NBSK|LSAT_5X121700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLNSKISGLNGRLVTSSKMRKTKEPSRGSHSGWKKKRLLLFVWVFLIVTGFGLFLFSGVLRKKTDITVTGTCEDKSLLLVEQFNVSKDLLHELASSFFESDQITTLKCIKHMGYETSTKNEITCALTAPNPAENVELWGQCPVDHGDNPMMLEKLTIEHYNSIMKVTLLAIFVIALCCVILWKNRKGKLKKKEQALTSSKGAGKWMKKLLVLFVLTGIIVSIWLFWYLNEGTRIRRTETLENMCDERARMLQDQFNVSMNHVHALAILVSTFYHGKEPPAIDQKTFGEYTERTSFERPLTSGVAYALRVPHSEREKFEKEHGWTIKKMETEDQTLAQDCDPENLDPSPIQDEYAPVILSQETVSHIVSIDMMSGKEDRENILRARASGKGVLTSPFKLLKSNHLGVVLTFAVYNAHLHQDATPEQRIRSTVGYLGASYDVPSLVEKLLHQLASKQTIVVNVYDTTNVSAAINMFGPNETDTGLLHISSLDFGDPARKHEMRCRFKQRPSVPMTAIIASIGVLTITFLLGHIFYAAINRIATVESDFREMMELRNRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTNLDAKQLDFAQTAHASGKDLIKLINEVLDQAKIESGRLELEAVPFDLRTILDNILSVFSTKSQEKGIELAVYVSNQLPNMVVGDPTRFRQIITNLVANSLKFTHDRGHIFVSIHLADELTNESNTKDEILASLHGSIERCNTLSGLTVVDRTKSWEKFLKLSGEDSINEPEKIKILVTVEDTGVGIPIDAQNRIFMPFMQADSSTSRTYGGTGIGLSISKRLVGLMNGEIGFVSEPGIGSTFSFTVFMKKETSSLDTGLHQYHPAISEFKGLKALVIDSKNIRAQVTRYHLQRMGILVEIASCFDSAQSFLSESDSGFAMILIDQEVWEKEKGLVFLHGVKSSTKLFLLANTLNPRVNNEMKSANLVATVVGKPLRLSVLISCFQETFNVGNKRVVARRKPLTLGNLLRDKRILVVDDNVVNRRVAEGALKKYGAIVTCVDSGKAAKQKLKPPHDFHACFMDLQMPEMDGFEATRQIRSLESKFNEGIKSGEAYWHTPILAMTADVIQATDEECMKCGMDGYVSKPFEEEQLYSAAATFFKSG >cds-PLY67939.1 pep primary_assembly:Lsat_Salinas_v7:5:298474240:298477191:-1 gene:gene-LSAT_5X158820 transcript:rna-gnl|WGS:NBSK|LSAT_5X158820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGFPLSPSALDSKSGKLILLVLLVMTASFYTGTFFGRNSSNSPSIESPSSSETIDALTFSNKVTLTHRKSPIIIPESGMNICPIEYNEYIPCHDPSYIKELTPSLDLSKREDLERHCPPLERRLFCLIPPPQDYKIPVKWPTSRDYVWRSNVNHTRLSQVKGGQNWVHEKDQLWWFPGGGTHFKHGASEYIQRLGNMTTNETGDLRVNGVYQVLDVGCGVASFSAYLLPLNIQTMSFAPKDGHENQIQFALERGIGAMISALATKQLPYPSHSFEMVHCSRCRVDWHENDGILLKEINRLLRPNGYFVYSAPPAYRKDKDYPIIWDKLVKITSGMCWKLIAKKVQTAIWIKEGDQSCLQHNVEEKIINICDDSVDDNNKPSWKTPLRNCVSLGPTSDPQKLPPIPQRYSVYSESLDNLGFTQEKFLSDTLYWHDQVREYWRLMNVDDTSIRNVMDMNALYGGFAVAFSTWPVWVMNVVPSTMNNTLSAVYERGLIGAFHDWCEPFSSYPRTYDLLHSSYLFSHYGNHQDGCLLEDVMLEMDRLIRPQGFIIIRDDESIISRIRDIAPKFLWEVNLHKLENQQKQLESVLICQKKFWTII >cds-PLY73108.1 pep primary_assembly:Lsat_Salinas_v7:9:22680571:22683942:-1 gene:gene-LSAT_9X20420 transcript:rna-gnl|WGS:NBSK|LSAT_9X20420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNFVNEDETTTFRDVEESGTSNSSVVNADGVNSSNAGEGDDDSSSAGGAGESFSCFNFDILKVGDAGVQSGNTYDEDRRSQNLTQSEFVTRHLFPMSHGHGGGEESGQQSNSLLLRPNTNELQEMRLVQVPQQKPTVQPNLAGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFSLSDYEDDMNQMKNFSKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIECNGREAVTNFEASTYQGNNNNTLPSTHHGSDHDLNLSLGISTTSVGEGSSRNENSEQPHLNYPHDTRRLQQMENPVSTAVGMPALKGLPLTSGHSPSWNGVYPTFVPNYEGSGTNSRTIGGCSQGPPNWGWQVQGHNHIPIATAAAASSGFSATSGGHHHSMAAAAFNNHCFPAATSSQYYYQIRPQPPPPPPPP >cds-PLY87978.1 pep primary_assembly:Lsat_Salinas_v7:6:172243124:172244108:-1 gene:gene-LSAT_6X105900 transcript:rna-gnl|WGS:NBSK|LSAT_6X105900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLYQEIQPSFDVKCMNQKDLLRFINSKLRKEPDEVVIFRDGTYMSLKELFESLDLTGCGQSRHREIFMKQDNLIQGRFLVEVTSQMFADLEASKYQMDEYRISIYGRKQSEWDNLASWIVNNELYSENVVWLIQAPNLYIASLTTKTSNKVHLCIASLNKFLETSLSYVWLNPPKLFDLM >cds-PLY67580.1 pep primary_assembly:Lsat_Salinas_v7:6:113716171:113721852:1 gene:gene-LSAT_6X70461 transcript:rna-gnl|WGS:NBSK|LSAT_6X70461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVATQVNPIRMEKIREVDIPVIDLSCSRSKVAKLIVKACEEYGFFKVINHGISHHIIKEMEDESFEFFYKPLPEKELVGSANPFGYGSKNIGLSGDTGELEYLLLPTNQNAIAQTSKFRCVVSSYVKSVRELACEILELIAKGLGVPHSLFSHLVRHFDSDSLLRLNHYPPVTHSFHHGDIGFGEHSDPQIITLLRSNDAPGLQISLRNGLWLPVSPDPHAFCVNIGDILQAMTNGRFISVRHRAMANLLPNQSRLSMVFFGAPPPEATIACPPQLLKRNKPIYKTFTWSEYKSHTYAHRLGETRLDHFKIT >cds-PLY82168.1 pep primary_assembly:Lsat_Salinas_v7:1:15797333:15799962:1 gene:gene-LSAT_1X14260 transcript:rna-gnl|WGS:NBSK|LSAT_1X14260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTASLSSTTLVQSQINGFGKLLKPSVFQPTYTRRAIRTVVKASRVDKFSKSDIIVSPSILSANFAKLGEQVKAIDAAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQIKSLGAKAGVVLNPGTPLSTIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISDLRRMCVEKGVNPWIEVDGGVTPLNAYKVIEAGANALVAGSAVFGAKDYAAAIKGIKTSTRPVAVPA >cds-PLY68117.1 pep primary_assembly:Lsat_Salinas_v7:8:34058387:34067922:1 gene:gene-LSAT_8X27020 transcript:rna-gnl|WGS:NBSK|LSAT_8X27020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDESQGLSSQSDESFQHRRQQIEPIYNAFICRFTKQIMRDPVTLENGQTFERESIENWFNGCKENNKKLVCPLTYKELKTIAMIPNIALRNTIEEWKARNEAVQLDMTRKLLNVGCPETDILQALEFLQHLCQKNISNKHIISNVDLIPMIVDMLRSSNCRVRCRALETLRIVVEDDEDNKEIMVGGDNVNTIVKLLSDEQPKVREEAISLLFELSKSEAMCEKIGSVNGAILILIGMTSCKSENVSTVEKADKILGNLENNENNARQMAENGRLQPLLTLLIEGSPEIKVSMASYLAELALSDDVKIFVARTVGSSLIDLLKSDNMQSRVAALIALNQISSCESSAKILVDQGILSPLIKGLFSGSKQLPMQFKEISATILANIASSDCDFDSIPVGPNHQTLVSEDILHNYLHLINNTGPAMECKLLHVLVGLTSSPVTKAGVVSAIKSSGAINSLVTFIEAPQQDLRITSIKLLQNLSPNMGQELATSLRMMPGLLSSLIKVVVENITCMEEQAAAVGLLADLLERDIGLTRQILEEGVFEMVISRIRMVHQEDPRGNRFVAPYLEGLVRVLSMLTFMLPDELKVVSLCRDHDLVGLFVDLLQVNGLDNVQLVSAFGLLADLLERDIGFTRQVLEEGAFEMVITRIRKVHQEDPRGSRFVTPYLEGLTRVLSRLTFMLPDEPKAVSLCREHDLAGLFVDLLQVNEIHNVQMVSAFALENLSRQSKILSKLPETPIFHYFSKQTVLSTALCRVHLGVCSQRDTFCLLQGSALPRLVALLDHTNEKVTEASLAALSTLLDDGVNIEGGVAVLREVEGIKPIMDVLLEKQTDNLWRRAVWVVERLLRIEDIAYEVSGDLNVSTALVHAFQHGDYQTRQTAERALKHVDKIPNFSNLFLHLLNTPNLQGYR >cds-PLY65301.1 pep primary_assembly:Lsat_Salinas_v7:8:103957748:103965854:-1 gene:gene-LSAT_8X70401 transcript:rna-gnl|WGS:NBSK|LSAT_8X70401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHSSEIPGNSTNPLKRNFEMMTNYSANSSKKLRTISSESAMIPQSNLTPREYQLDVFKVAMRRNTIAHLDTSAGKTMIAVMIIKKVALSLTNQPSEKKLIIFLAPTRNLVEQQFKVLKENTDLMVDFYHGTKVRVGKSIDGKKVDEWDASIWDHETSKNQIMVMTPQILLDSLRNAFVNFERICLLIIDECHRATGNHPYVSIMKEFYLKAVKKPKVFGMTASPVTKRGVSSAEDCEAQLTTLESVLDSLVYTIRNRTELENFTPSASHSYCFYDPAKVSHSELKAKLESSRDKFEAQLHELQVSLQSNYKDTDEKYEILRKRLFNDYTKIIYCVDELGLLCAYEAVKIFIEYSPKAVEECDFFQKICSKCVYFLEEALSIIREFLPNGHEHILDAGCDYKNMVTAGYISPKLYQLLKLFLSFGEAAKLLCLIFVERIITAKVIDIILKRVEDLSHLNVAYLTGSATSVDAMSPELQKETLDSFRSGKVNLLIATDVVEEGIDVPKCSTVIRFDITKTVRSNVQSRGRARQTNSKFIIMLQRGNAKQREHVCDIIRSEQTIMESSKKRDPNTCVVEPCNFKETEAYFVEATGASVTVDSSVSLIHRYCSKLPGDKFYTPKPDFQFLLVEDSYECKMTLPPNAAFQTITGPRSKTSNLSKQLVCLEACKMLHQIGALNDHLLLNQEDPSRDITSAKKTKEPSSGAGTTKRKELHGTTLIRALSGTWGEKTDDGAIFSAYKISFSCSDADVKYSSFVLLVESELDDDVGNVEMELYLVSKFVKCKVSSCEELHFNAEQISKAKCFHEVFFNGIFGKLDIGSKQTLWSSSYIYLLLPLESLEPLTINWKAIDSSVSAVDFIKSRNDTSEVKSLDLVMTDSNCTDMVHFANKSLHKDNVKDVVVLAFHSGKIYTVIEVLENETADSPFEEDTGSKPPRYLSFTDYFEKKYEIVLEHPSRSMLLLKQSHKAHNLLVDFNNEGILHGKKIRSESCKVNTERQRYNARIPPELLVVIDARLDVVKPFYLLPSFMHRLESLMLASQLRQQITSHSTDIHVSSSLILEAITTLRCNESFSMERLELLGDSVLKYAVSCDLYLKYPNKHEGQLSSRRSWQVCNSTLYDLGIGVHLQGFIRDSAFDPTRWTAPGQHPLRLYPCDHGIETTDVPIDSKYQSEDTKTTLLGKWCDVGHRWMGSKTVSDCVEALVGAYYVEAGLTGALHCMKWLGLSCELDESRINEAIKMASLHAYTPKLDVLECVESKIGYEFVVKGLLLEAITHASGQEQGVGYCYQRLEFLGDSVLDILITWYLYKKHKDIDPGELTDLRSASVNNENFAYAAVRRSLHHHLQYRSGFLKTQIEEYEKFVATSSTDTNSLQTKKAPKALGDLVESITGAILLDTKFNLDKVWEIVEPLLSPIVTPHNLELPPLRELMELCDSTGYFTKETCQIKGDMVIAELRLQLKDALLVGNGTGSTRKVARGQAALQLLTQLEKRGISRKNQENGDGEIDNKKNKKNDTTLLNLSPNKDTKITLFDPQPSMKEELESNSKVEIPVIECIKMKKGGPRIALHALCKKMQWPMPDFTTSEQRSKSLVEFGEGEEKRTGFNSFESHITFTIPGYGVIQLKGDPRADKKTSFDSAALLMLYELQRLGKLKIGRLETHL >cds-PLY80673.1 pep primary_assembly:Lsat_Salinas_v7:5:246356059:246357309:1 gene:gene-LSAT_5X121721 transcript:rna-gnl|WGS:NBSK|LSAT_5X121721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFQDEPRNKKPKLADEEEKTGILIKNHPSGFEILPRDLLLDVLTRLPISSLMQFRFVSRSCHALSHDPELPRLHHPTAAKSDPILVFHCDYPIRNQLYFVGYNDDHGGDKIVRKISTPFCRSMPEFNVVGSCNGLLCLSDSLYGDPVYVFNPFTRNHIELPKSKQFQDQEVVFGFGFHPLTNEYKVVRIVYYRTPRKLTRNNRSYPKSEVHVLTIGQQDSNTWRCLGKVPYQLDRQAKEVVAVNGRLHFVSRPGRLGGLPGRTIVSFDLKDEQFKLVSKPVNRSNYHLAVIEGCLAAAVSCGYGKLEIWVMKEYDVKESWVKRFDIHGAYLAKFPSHSHDYGIWRKAMHEKMVRVLCVLKNGEILMEYRGGSLVKYDPKWKEFKDVVFHGMPKLFQTIVHVGSLNWTHTPIYN >cds-PLY72349.1 pep primary_assembly:Lsat_Salinas_v7:8:79867121:79870322:1 gene:gene-LSAT_8X58161 transcript:rna-gnl|WGS:NBSK|LSAT_8X58161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVAMKPADSGDRDESCSSRPTTAKAGEGLRQYYLQHIHDAQLQVRQKSHNLNRLEAQRNELNSRVRMLKEELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYVLHLVLPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRCDILKIHSRRMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNMSLRKLWK >cds-PLY61802.1 pep primary_assembly:Lsat_Salinas_v7:6:62355860:62356096:-1 gene:gene-LSAT_6X46201 transcript:rna-gnl|WGS:NBSK|LSAT_6X46201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGDQDVFMRFLPELSNVYFLYLHSRLFSFYYIGADCRSALVLCASYQHNATELLKVFSFNMLLIILISKLELFSRQA >cds-PLY65888.1 pep primary_assembly:Lsat_Salinas_v7:3:108782531:108783010:1 gene:gene-LSAT_3X80141 transcript:rna-gnl|WGS:NBSK|LSAT_3X80141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADPTSRCFDSLFLLLFGPAKHRRCLLFNPRSNLIVAPTKDPDSFAAAARDTPPFSPSLRPRQTPKTNPVVADLSSSLRTSHSDDKEQNLAASCLPSSGSAKHRICSLIPIDYHHRYTSVHRLIARFFPLPIHKEDDASVVLGAPFDRLNTKHDLKTCF >cds-PLY97246.1 pep primary_assembly:Lsat_Salinas_v7:1:44003397:44005050:-1 gene:gene-LSAT_1X37760 transcript:rna-gnl|WGS:NBSK|LSAT_1X37760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLLKLLRTINSNIKVRGEYRSILLQVISIVPALNGSELWPNHGFFIKISDSSHSTYASLSKQDNELILNNKLQLGQFFWIDRMDVGTPVPVLVGVRPVPGRHPFIGNPKDLMQMLDPSEALKSSDQMVEAKEMIKKKIVIKEEKVTVASRYLQGIVAKNDGLKKDENECVKEPLTIKQENIKSNSLQLPRRRNKKSSPETVSWSSLPSKLLNPAKGMMRRRMLASLVADEAQKEAITAANLVKCLQMFGELCSSASHAKPHESLTKFFSLYALIEVKEQENDSIVPTKDRLFHDQFSSNSEAPENTEKSGKKIGIARGKSIPKTPKITSMELSVSDKLEWAKGDGLKEGKELREMLLEETESWFLEFLKRALDVGFQMGNLETVKGKGRINHNNNVGNKTEPNSQIAVTLCQLKLANEWLDKLRSKMMMISERKEVVVDTIDLLKQKIYTCLLVHVDSAAFALEKNRLDRV >cds-PLY73402.1 pep primary_assembly:Lsat_Salinas_v7:8:305783590:305784927:1 gene:gene-LSAT_8X166781 transcript:rna-gnl|WGS:NBSK|LSAT_8X166781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor 53 [Source:Projected from Arabidopsis thaliana (AT3G62420) UniProtKB/Swiss-Prot;Acc:Q9LZP8] MECKSGFAIKIIQKFLGTCDFIPIKPRSKRFANLLWPIFWLAHLPSFLPQISMASPQPANTGSDGDLRYANLDDRKRKRMISNRESARRSRAKKQQRLDELLGEINQLQNDNNKIMRKIDGATQVFVGVASQNNVLRAQLSELTDRLHSLNSVLHIAQEVSGLAMEIPEIPDTLLEPWKLPCPIQPITASFNINMF >cds-PLY87112.1 pep primary_assembly:Lsat_Salinas_v7:5:256261927:256263153:1 gene:gene-LSAT_5X132000 transcript:rna-gnl|WGS:NBSK|LSAT_5X132000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAAKIKNTRIYDADHEVLKAFKGSGIEIIIGLGNEFLRDISTSQDRAMDWVKNNVEPFVPGTRIRGIAVGNEVLGGADQELWEVLLPAVKNIHTALDLLHLADDVEVSSPHSAGVFASSFPPSAGAFKETLVPYMKPLLEFFSQIKSPFYINTYPFLAYISDPEHIDINYAIFKKNAGIEDAKTKLHYDNMFEAQIDATYAALEKAGFEKMEVIVSETGWASKGDANEAGATLSNARTYNLNLRKRLLKKKGTPYRPKMVVKAYVFAMFNENLKPGPTSERNFGLFKADGSISYDIGFTGLVPSSATSLFSFKVMATCSTALVLMLLNL >cds-PLY74359.1 pep primary_assembly:Lsat_Salinas_v7:5:56681664:56682374:1 gene:gene-LSAT_5X26601 transcript:rna-gnl|WGS:NBSK|LSAT_5X26601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRRYWNINLEEMMEAGVHFGHGTRKWNPKMAPYISAKRKGIHITNLTRTARFLSEACDLVFDAASRGKQFLIVGTKNKEADSVAWAAIRARCHYVNKKWLGGMLTNWSTTETRLHKFRDLRTEQKTGGLDRLPKRDAAMLKRQLSHLQTYLGGIKYNTGLHDIVIIVDQHEEYTALQECITLGIPTICLIDTNCDPDLADILIPANDDAISSIRLILNKLVFAICEGRSGYIINL >cds-PLY68327.1 pep primary_assembly:Lsat_Salinas_v7:4:157504855:157507965:-1 gene:gene-LSAT_4X94441 transcript:rna-gnl|WGS:NBSK|LSAT_4X94441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNNKKEQFDVSDLGASLPAAAAALSAEDRAGLVNALKDKLQNLAGQHSDILESLSPTVRKRVEVLREIQSEHDELEAKFFEERAALEAKYQKLYAPLYSKRYDIVNGVVEVDGVNDEAAMDVADDKAKEEKGVPNFWLNAMKTNEILAEEISERDEEALKYIKDIKWCRIDDPKGFKLEFFFDTNPFFKNSVLTKIYHMIDDDEPILEKAIGTEIEWLPGKCLTQKILKKKPKKGSKNAKPITKTENCESFFNFFNPPQVPEDEDEIDEEMAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAVQEDEFEGIEDDDDEDDDEDEIDDEDDEEDEEDDEDDDEDEEDEPKAKSKKKKGGIVHAGGEQGERPPECKQQ >cds-PLY91315.1 pep primary_assembly:Lsat_Salinas_v7:5:251186351:251186740:-1 gene:gene-LSAT_5X126261 transcript:rna-gnl|WGS:NBSK|LSAT_5X126261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQPEQDFLILYGQPSPSELSFMLSQETDMDFLGSADSSLDSLSSTESEAQSAPPFPEILESISHTLNQLLNETERQLPPEWSMADLVRAVNADDLSSLVRDFYDLQSNGTHSWLWEEIYNLLDLINYVF >cds-PLY78298.1 pep primary_assembly:Lsat_Salinas_v7:3:173361525:173362568:1 gene:gene-LSAT_3X104600 transcript:rna-gnl|WGS:NBSK|LSAT_3X104600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKLTILLYLLSCSAGSVTQDLWSLPGPDEKNGITPYGLVENDSGLVRGLLEVEGALVGSSRTCSQFDKDRVTLLLRPEPRNPLDMMQNGSCSILDQRFLYEKDESEFEEGDERQQIEEDLFNHIVWAPRIWRPWGFLFDCIERPNELGFPYWSRSFRGKRIVYDEEDELQENDSEFLQNGTVQYQTRDISSKEQGLFRISQFIWDPADPLFFLFKAQPFVSVFSHRELFADEEMSKGLLTPQKNRPTSLYKRWFIKKTQEKHFELLINRQRWLRTNRSLSNGSFRSNTLSESYQYLSNLFLSNGTLLDQMTKALLRKRWLFPDEMQIGFMEQDKDFPFLSQKDMWP >cds-PLY98113.1 pep primary_assembly:Lsat_Salinas_v7:3:247538678:247551199:-1 gene:gene-LSAT_3X136621 transcript:rna-gnl|WGS:NBSK|LSAT_3X136621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDISCSFQIRGSEGTSYRALKQLTVRSRYDYKLFGNTRLVFVGPRKKLKKLILSSHSGGELKSCLWSYSKPKSTVCILKTSRGVKVACQGNDSIAYIDGTERDVDFIESSSEENPTGDTNFDPEKLNDEGEDEETENPSVDELKKVLQKALKELEIAQLNSTMFEEKAQRVSEAAIALKDEASSAQDSVNSTLLLIEEITNEEIAANEAVQRAAMALSLSEARLTLALDSLEVAKERTSMENESEEKNLNPLREEEEAVFIAQEDIRECLLTLANCEGALSQLQNRTDGLEREVETLKDLAKKAEMEALRAEEDVANIMLLAEEAVAFELEATKRVNDAEIALQKAEKLLPISPVDTSESLNEDSMGEDDKGIQGNSVEIIVDGSIEGSSTTEDVIDSQMFEESRFSDESEQENGKPSLPSKEMEYEAEKTKSQTKKPETQKDLTKDTSPLNSSKTLLKKSSRFFSASFFSSAEDDTEFTPTSFFQWLIDSTRSQIPKLVLGSMLLAAGFAFYAKREERIHQLFQQPDIITTSIDEVSSNAKPLVKQIRKLPMRVKKLIAMLPHQEMNEEEASLLDILWLLLASVIFVPIFQKLPGGSPVLGYLTAGILIGPYGLSIIRNVHATKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLATAVVVGMVAHFVCGQLGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGIGFQAIAEALGLAAVKALVAISAIIAGGRLLLRPIYKQIAEMQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPVIMGILGLLLVGKTILVAVVGRLFGVSLISAIRVGLLLAPGGEFAFVAFGEAVNQGIMTSQLSSLLFLVVGISMAITPWLAAGGQLIASRFEQQDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREVLHKIGAERACAAAITLDTPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPMSEIAATINEFRTRHLSELTELCETSGSSLGYGFSRVVIKPKSQTSDFIDDSQVNEGTLAA >cds-PLY75326.1 pep primary_assembly:Lsat_Salinas_v7:5:52713925:52715384:-1 gene:gene-LSAT_5X26000 transcript:rna-gnl|WGS:NBSK|LSAT_5X26000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCNENEASVYCSADEAALCAACDHRVHHANKLAGKHPRFSLLHPSPKDSPLCDICQEKKAFLFCQQDRAILCKDCDVAIHKVNQHTQNHNRFLLTGVKLSPTASLYSSSTPPAVIPTTKNGVVPDQLPEPLPSPIMNQTTFKTPNCHHESNGSGNGSTTSSISEYLIEMLPGWHVEDFLDAPSNFSKVVDNDPSLMWGGDLLEGSLNSGFSPESMGMWVPQAPPPAAPPPPPPQSRYAHLGQRFEPSSMMGFGDQTINGSSLIFAPNSYINHNKITNSTKSTRKRTPDNGNCFTVPQISPPTTTSKRSRTLWH >cds-PLY79725.1 pep primary_assembly:Lsat_Salinas_v7:8:125173282:125173961:1 gene:gene-LSAT_8X86660 transcript:rna-gnl|WGS:NBSK|LSAT_8X86660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQNLRYLDRLKSLFSVANILLWRQKKMFGAILVSAIVIWLLFVRIGYHLVPFFCHFLILALAILVMWSNLSSFVNKSPPNFPNIRLSQELCDCVALLLKDQINQGCLYLRQMTTGKYLKRFMSVCIYLPTFEASYH >cds-PLY65691.1 pep primary_assembly:Lsat_Salinas_v7:5:272939634:272943409:-1 gene:gene-LSAT_5X143000 transcript:rna-gnl|WGS:NBSK|LSAT_5X143000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLSLLSSAWEEILHHSFIILPYELDNESNTDCFIKSEPDTMSNEPKDSYVDHSRKKSINLKKREPLKIMLETTLSFKNLVQDFKKPEPKNPNLKTGRLSSPGSTIFFSPRPVSELNAAATTVQKIYKSYRTRRNLADCAVVVEELWWKALDFAKLDRSSVSFFNGEKPETASSRWVRAGTRAAKVGKGLSKNEKAQKLALQHWLEAIDPRHRYGHNLHIYYDIWFESESNQPFFYWLDVGDGKETNLEKCPRVRLQRQCITYLGPKERESYEVIVENGKLVYRQTGLLLETIEGSKWIFVLSTSKNLYVGQKKKGLFQHSSFLSGGATTAAGRLVAHGGILEAIWPYSGHYLPTEENFRDFITFLEENNVDLTNVKRCSVDDDEMSFSFKVTQPETKPKPLVIPRTRPQDILCTTTDAERTTTPSFIQGLTNGPISARMPCKWASGTGARIGCVRDYPTELQFRALEQVNLSPRTVTGNFGPIPSPRPSPKVRLSPRISYMGIPSPRTPIATAS >cds-PLY89445.1 pep primary_assembly:Lsat_Salinas_v7:8:31005056:31009334:-1 gene:gene-LSAT_8X22421 transcript:rna-gnl|WGS:NBSK|LSAT_8X22421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSIPSILLNFLFPPPSSLFVTMMSIVGLASLMNAGYMELKGKHMQYSKFLNVGLAKKKDDMKLSSRNGMLLVYTPAFLVGLGSLLVFPDQYLRFVLVASALTTHFFKRVLEVLFLHKYSGYMPLESAILIPMSYTFSTTTMIYAQYLSRDIPEPSVDLKYVGIGLFVVGIIGNFYHHYILANLRKEGDKEYKIPRGGLFDLVICPHYMFEIIEYLGISCISQTLYAFVFTLGTALYLTGRSYATRKWYISKFGHMFPKDVKAIIPYVF >cds-PLY92655.1 pep primary_assembly:Lsat_Salinas_v7:2:160046614:160048131:1 gene:gene-LSAT_2X83781 transcript:rna-gnl|WGS:NBSK|LSAT_2X83781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLGLPLPYDMECMKMAMLKHEETFKQQVYELHRLYQIQRLLMKNMQRSMQNQETWSLKNGSISFDYQNDYNFNINKLQNQDLEHEPASTNEYEIEDESEIELTLGPTRRRNNHMNPRQLNISNSMPSFSSSSTSSSHKSRITVENSRVLSVDKQLRQVDRSLNQPPWLHRVLI >cds-PLY72008.1 pep primary_assembly:Lsat_Salinas_v7:8:149497624:149498361:1 gene:gene-LSAT_8X100600 transcript:rna-gnl|WGS:NBSK|LSAT_8X100600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAEQPSSPRKAASTKWNTRWKPSETRGPPSESCQKTVSSLWEKKKLTTKLLQTSTTTKKMYKIDDHVACAVAGIMSDANILINTACVAAQRYTFSYQTPMPVKQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYGGWKAEAVGANNQAAQSMLKQDYKEEINREEAVQLALKVLSKTMDSTSFTAEKLELAEVFLDDGGMVKYQVCSPENLGKMLVKYGVTQAPVDT >cds-PLY83869.1 pep primary_assembly:Lsat_Salinas_v7:3:49233470:49233853:-1 gene:gene-LSAT_3X38120 transcript:rna-gnl|WGS:NBSK|LSAT_3X38120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEEADTISDKEPVVVVMEKVEMNKTVNGLDDVGTITHNAKVPQQMSSSVDIPPRFDTNGHSIGVVKENTSQFVKLGKLLGLKTRENEEVKKVLTDYEMLKVDP >cds-PLY82064.1 pep primary_assembly:Lsat_Salinas_v7:8:52491751:52492710:-1 gene:gene-LSAT_8X39200 transcript:rna-gnl|WGS:NBSK|LSAT_8X39200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGQVCCMVMRINLDCNSCCRKMRRNILNMKEIEKHLIEKQQNRVSICGRFKPSDVAIKLRKKMNRRVEILEIEELTTHYEAQHEHSIINHYKY >cds-PLY75893.1 pep primary_assembly:Lsat_Salinas_v7:1:171873855:171879850:1 gene:gene-LSAT_1X114861 transcript:rna-gnl|WGS:NBSK|LSAT_1X114861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEWEGEELANIIWGKTGENEDHIVPYPDETYEITPELYEENRKVSPFNATKLDLEHKDEKAQVDSNFVDYGWTNVGSFDDLDRILSNNDLVYENTNISSMESPSLHIKDVNTNLELMECSEGKNGKSASSNNAQRIGMPDENGIGIRIGIGIGIKKACDPWFSLGNEGKEFERGYTQHIKIPSSMSRNQETEYKKSPCGYKSIMGNQQFESGSSSLMMPLSMMTPQEKIEKLRRRQQMRALFAICKQQQEFRQNSSFTSCSNESQIDESLNTLVMNTNSLEDAILHQLQNVVSTLGIQIRLCIRDSLFRLAQNALERKYAISSNEQVPNVVTQERLGETKSSSRKPEGETKTNPIDRIVAHLLFHRPPKLSGEHLQSQG >cds-PLY87368.1 pep primary_assembly:Lsat_Salinas_v7:1:98650479:98651258:1 gene:gene-LSAT_1X80561 transcript:rna-gnl|WGS:NBSK|LSAT_1X80561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEHGSTQRQPFHRFFDCWLGELSSTLEQLVSAANHHKDDDDIEDDSDLYSLIGKAVGHYEEYYKAKSDGAKGDVLSMFTPTWLTKLEDAFLWIAGWRPTTAIHLLYSKSGIQLEARLSDQIPLFSCGDLGDLTSNQMFRIDELQKKTIREERAVTEKMATLQESSADTDMMDLSNAVSERIRKKKFDGGREIDGIVESVIEEKKNELEKVLHMADVLRMETLKSVVEILTPLQAVYFLIAAAELHLRLHEWGQKMDAA >cds-PLY87534.1 pep primary_assembly:Lsat_Salinas_v7:8:96053183:96056076:-1 gene:gene-LSAT_8X67060 transcript:rna-gnl|WGS:NBSK|LSAT_8X67060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial outer membrane protein porin 4 [Source:Projected from Arabidopsis thaliana (AT5G57490) UniProtKB/Swiss-Prot;Acc:Q9FKM2] MGNGPAPFSDIGKRAKDLLTKDYNYDHKFVLSVPGSTPMGLTATGLKKGQIFLGDISAQYKSGRTIVDVKVDTYSNVSTKVTVHEVMPSTKAILSFDVPDHKSGKLDLQYLHPRVAIDSSIGLNPSPVLNLAAAVGGSDILLGGEVGFDTASASFTKYTAGISFNKPDLSAALMLTDKGQTLKASYVHSVNTSNTSQVVAEMTHRLNTLENSFTIGSSHVLDRYNTVKTRFSDNGKLAMVCQREWRPKSLITFSAEYDAKRSEVGPKWGLALALKP >cds-PLY71118.1 pep primary_assembly:Lsat_Salinas_v7:9:82137669:82140809:1 gene:gene-LSAT_9X64801 transcript:rna-gnl|WGS:NBSK|LSAT_9X64801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAVSEREDSSMPTLTSQSSNCQAILNPYKYPKYLQIMVECMKCSFLNKALSTAEEVPRRIVTLAFTTAIVNKGNDTVSFELQGGKRTTISKTDFAKLLCLPTEGPYVTPTSEELIDVFNSMGHEPYMKKVSDFKKSRLPAVWSLLFGFILRGLTSRTGGLDAGPKELLSLMYGLYKGVNVDFATVLWNEFVDSIKHSKRATELSAHRFWALIVSQAYEFHKIPIDESEVPKTLIHQISIPTKADQSHFSFVGQIPEEMLSLIKCPSRILDQYRASLIIPYPVRPTPQPLPQEDVQITRVTRMTAQKRKTPFVKVEAVRKSKRVKQPKIVEESVVEEEDEQQHHSDSNFEEDIPITTTQTIVKTSLVETIPISTSTTPAETTLVETVPISEPVFETHISKPMSISEPLTILEEEEEEEVDGFVLKAGEDPSFDDVVDDFEMAAFGEGLVDSDEEDDEDDNQSMSKRDFKKLNRKLNVVLRSLDSNTQSAQYSNQEKMLADWSVMLSDQNKKIDSLTSGFDLFKAHVNIEINSQMTKVQKVMLNECKKLLDEISKMREENEKSLNKVFGDLKSEHENSLKSLNESLTEAKQREITLQNELTKALAHIEFLRSYTSVVNPMVSLSPLKQGGEGESQELNLKIQDIILMSSKGSEPTSKGKEKIEVLSKEKLRQRKTEERKCSQLNFDAEYVKGVAEEEKMEAENRVKLIRSLGFSENTVFDLVPKESYSVINSLEKQIDFPISPRAYGYPIMGPKINENIGDSLYNERLVRFYALVGKPLKYSWSPKMIRSVESVMKTESFENVFQNFKFVVRRDIMDDITFTIADFPNLNPHDLVVLLKLSKEWGLKPNSVVMQSIKAFLCYYYRDIARTDIVLAGAINQKVKLPNKDAEGMDNIGGGEMVTEPTWGSTYSVRVAGGRSRKVFFRMNEKERFPNNVLEGMIQRIMLNSKNSEPERKKAVDMLRWWLKVREVLLELVPILFPELKKD >cds-PLY92806.1 pep primary_assembly:Lsat_Salinas_v7:2:149271271:149273579:-1 gene:gene-LSAT_2X75281 transcript:rna-gnl|WGS:NBSK|LSAT_2X75281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSSLSSPPSSMVYSHGRPRRHHHPLPFSSSFSTQLKSNRGWGHGRLVLLKVKCLNDPSTDASESGPTSLSSSSSTSTGISSYSWCAGLGGLGFLETAYLTYSKLTGSEAFCPIGGGSCSDILNSNYAVVYGIPLPLIGMVAYGAATFLALKLATKDLPFGMDEINGELLLLGTTTSMATTSAYLLYILSTQYPGASCSYCLVSVLLSFSLFFTTTKTLGWQKIRGELGLQLCIAGLVFAALSTSYNATLPPPEKFGEKFLPYTPTEIKAPSSPLALKLATHLRAIGAKMYGAFWCSHCLEQKQMFGREAAKVLDYVECYPEGFKIGTELGKECAKIKIEGFPMWIINGQVLKGYQEFPDLAKASGFEAGEFSEELAKLAKQAEEANNIQP >cds-PLY85872.1 pep primary_assembly:Lsat_Salinas_v7:8:179705330:179705671:1 gene:gene-LSAT_8X116540 transcript:rna-gnl|WGS:NBSK|LSAT_8X116540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAIGVKIGTTLNWKETELREAVLEGDDEIAVRKREEGHRSCRTWTRTDGRSSVARSEKDDRLWVVVGSRESKGQESLFFFGCVFSRAKLELKALIIFCPGPSHLKRGPNQ >cds-PLY63566.1 pep primary_assembly:Lsat_Salinas_v7:9:151354378:151359821:-1 gene:gene-LSAT_9X95641 transcript:rna-gnl|WGS:NBSK|LSAT_9X95641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVRSSLEEMLDSLQRKEESKKPADLPPALPARPASRARLPKRPGLAMFGVSPEVGDSRKQDVVSNGSFGRKNVLETPSCGESPYTMAAVHEHRLAENGGANLATPSPPPSLASGWDNSIDYYIKKQLRVWCRVQNGFWELGKIQSAFGGKASVILLDGTVMTVSTRDLFPTNTEILDGVNNLVELGYLNEPSVLCNIQYRYQRDVIYTMVGPVLLAINPFKDVSVAGSDVIMAYKEKILESPHAYKIADAAYTHMMRDGVNQSIIISGESGAGKSVTAKLVMEYLIEAGKSEMSVKISQTCCILEAFGNAKTSRNKNSSRFGKLVDIHYNSEGIICGAYIHTLLLEKSRISHLCRSERSYHIFYQMCAGAPSDMRDKLNLRMASEYKFLNQSGCLKIHDVDDAQNFKKLMEAMDALQISHEDQERVFKLVASILWLGNISFEVIDHENHVQVVTDEASRSAARLMGCNVKDLMMTLSTNKNQQQAIDVRDALVKFVYTSVFSWLVETINKSLKGESGSRCISILDIYGFESFQKNSFDQLLINYANERLQQHFVRHIFKLEQEEYESEGIEWKKVEFVDNQGCLDLFEKKNMGLLSILDEASNTSEATDLTFFNKIKQHLNLILGFDDEKGSFKVNHYAGEVKYESTGFLEKNRDTLHSDTLQFLSSSCNNFLKTDFDNLTLGTKFKYQLFKMIQMLEKSNPHFIRCIRPNAKQLQGTFDKETVLQQLRCSGILEIIKISKSRYPTRMSHQEFANRFGCLLLSKKSYCQDVLSTSVAVLQQFRVLPEAYQLGYTKIYFRQEQFLVLEKLRKQVIENKVEKGVSGIGIACDFRELMAGVVTLQSFIRGENSRRKWNVVRKKPRAKSVDENLNAIVYIQSVCRGWLARRYFTHVCSWKMSTKGMLQDNLPIMPSDVIELQKMIIKGDMSLSQKEQENTALRDQIKQFETRWSEHEIKMKSVEEAWQKQIASLQKSLVAAKKSLGDSGGDGVGVGGISSGTQNLVEYNGGGGGGGGVVDHLSKELEQKTHDFDDNAKAIIGQQQQQMPYLKQMEEFKKVKDRFEIWKKEYKNRLKETRAKLVKGVNGEGGGTKQSWWGKLSKRY >cds-PLY99433.1 pep primary_assembly:Lsat_Salinas_v7:4:102787861:102791244:1 gene:gene-LSAT_4X66521 transcript:rna-gnl|WGS:NBSK|LSAT_4X66521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S9, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G49080) UniProtKB/Swiss-Prot;Acc:Q8L6Z4] MLSRILSKPSSLRFVALISSSQSHYKHHHPPLVQNPTSSTFFSINSRYFSTNRGDGSKSSDIWKISSGNEESIESVFGKETESLEGIAGGEDAVEEKSWFSVESKEKGGNGEEDDIFKVIESERKGAEVSGADTWGAEEGVKDWNFVVGDQKEGDGEDGIFDIGDAGVSELAELDVNVDEKMASQKKEEDRLLEIEEKALSEVLKGPNHAFGDLIAASGITDEMLDSLMALKDLDDVPGLPSLSAIEDMRYEKNTRKSTRADIERQKQEEIAKSRVRQVDTQGRAYGTGKRKCSIARVWIEPGEGKFVINDKQFDVYFPMLDQRAALLRPLSETKTLGLLDVNCTVKGGGVSGQIGAIRLGMSRALQNWAPDQFRPPLKEVGFLTRDSRIVERKKPGKAKARKSFQWVKR >cds-PLY64044.1 pep primary_assembly:Lsat_Salinas_v7:8:94060273:94062010:-1 gene:gene-LSAT_8X65101 transcript:rna-gnl|WGS:NBSK|LSAT_8X65101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCISVFLWQAHPLYPFLLLLNRDEYHIRPTEPLHWWEGGKILGGRDVTAGGTWLASSGEGRVAFVTNVRELNSISTAKSRGDLPIRFLQSKKNTMEFAEEIAKEADEYNGFNLIIADLLSMNMVYVTNRLKRDKCYVTSVSPGVHVLSNASLDTPWPKAQRLEHGFKDVLNEYGEGEIPITELIDKLMRNTVKDDISMLPGIYGPEFEYELSSVFVNPVSPKDYGTRSTSALAVKASGEVLFYERHLENGLWKENTETYMIEKMK >cds-PLY92387.1 pep primary_assembly:Lsat_Salinas_v7:3:123329569:123330662:-1 gene:gene-LSAT_3X85800 transcript:rna-gnl|WGS:NBSK|LSAT_3X85800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVHLPKLSLSLILISIFLPPSTPDQKDESCLTHLFESFQDPIGNLHNWTKLAFSNPCSDFNSNLVGATCNNGRIYKLSLQNLSLRGSISPYISNCTNLQSLDLSNNSLTGPLPDELHYLVNLAVLNLSSNRLSGAIPPSLPMCFYLNVIDLHDNILTGTIPPQIGSLVRLSVFDVANNKLSGPIPASLGNRTGNLPRFNVSSYYGNKDLYGYPLPPMKSKGLSVVAIVGIGLGSGLLSLALSFTAVCVWLRATEQKTAAEQEGKIVLPDY >cds-PLY85299.1 pep primary_assembly:Lsat_Salinas_v7:3:72144673:72148041:-1 gene:gene-LSAT_3X56601 transcript:rna-gnl|WGS:NBSK|LSAT_3X56601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESNGLKSGRRKDYIAHQSHEVSTSISDEELDPWTAWAYKPRTITLLFIGSCFLIWASGVVDPESNPSDDIVTSVKRGIWAMIAVFLAYCLLQAPSTLLIRPHPAIWRLVHGMAVIYLVALTFLLFQNRDDARQFMKYLHPDLGVELPERSYGADCRIYVPNNPTNRFINVYETLFDEFVPAHLFGWWAKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIVLDILICNWLGIWAGMHTVRYFDGKTYEWVGISRQPNILGKVKRTLGQFTPANWDKDEWHPFLDPWRFIQVLTLCIVFLTVELNTFFLKFCLWVPPRNPIIVYRLLLWWLIAIPTVREYNSYLQDRKPVKKVGAFCWLSLAICIIELLICIKFGHGLFPTPMPRWLVILWSSVGVGLLAFLMMWTWRFHPSLSKKQKRL >cds-PLY96081.1 pep primary_assembly:Lsat_Salinas_v7:3:99218882:99222145:-1 gene:gene-LSAT_3X74260 transcript:rna-gnl|WGS:NBSK|LSAT_3X74260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINGDATSNQSPEDEIDPFLRGYNPSDLRTASEFLSNWLPFLSKGLCDHCSQSISDRVRSLHPMEYDTETDQQQHQEHEVEVSTPNHSDFSGSIGTSVNHGGCKDATTDNNDTDDTNSLGSWKDDGNATPEQIEGTSGSENTQSPQNFKPPKPRMSWADMAQEDLEAEEEEETNGQFGNFTSSQTEETGSETKTTRKPGLSREQRERIRFTNVKRKKDFICLERVNGKIVNILDGLELHCGVFSSVEQNRIVDFVYELQEKGKNKKLKDRTYTAPQKWMRGKGRVTIQFGCCYNYATDRNGNPPGILRNELVDPIPPLFKVIIKRLVKWHVLPPTCVPDSCIVNIYDEGDCIPPHIDNHDFVRPFCTVSFLSECNILFGTNLKVEGPGEFSGAIAISLPVGSVLVLNGNGADVAKHCVPAVPTKRISITFRKMDESKWPGGFVPEPDLQGLEPLSYEPGRPAPTPTGGGLNHTRSHPSNRQDFRRDDHHTRNNNSSRGLRAPQGRVYGQTRYQGQSQSQGQGQGQGPIPGNNRRKTRVEY >cds-PLY78469.1 pep primary_assembly:Lsat_Salinas_v7:4:261559335:261561678:-1 gene:gene-LSAT_4X134101 transcript:rna-gnl|WGS:NBSK|LSAT_4X134101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIRVAAGRQWNGIIRELHGSSSPITPLFLQQFSTTSQSKVAYTGSEILNYMGGLDGDEKQLIHKLVNFRMKEGKKTRVRAIFHETLHKLARTDRDAVKLISEALENVKPICEVAKVRIAGNIYDVPGVVARDRQQTLAVRWILDAAKKRRTNHSSRLEECLSAEIMDAYRKRGTARKKREVLHGLASTNRSYAHFRWW >cds-PLY75842.1 pep primary_assembly:Lsat_Salinas_v7:9:195941732:195942847:-1 gene:gene-LSAT_9X119580 transcript:rna-gnl|WGS:NBSK|LSAT_9X119580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:GXM3 [Source:Projected from Arabidopsis thaliana (AT1G09610) UniProtKB/TrEMBL;Acc:A0A178W7U8] MRSRTQYPMNTKLLVIAIIVVFFLLFVFRSTFSSSNDQGSSQIAQKVVPKAVSDSFRNIQKSPKCSETCNKIPPSLAQALVHYSTSTITPQQTIKEISVTKKILDMKSPCNFLVFGLGHDSLMWSSLNFNGRTVFLEEDKAWIEQIKKRFPMLESYHVTYDSKVNQADGLMEAGKGPECTAVGETRHSVCQLALKNLPEDVYETTWDLIMVDAPTGYYDEAPGRMSAIYTAGMMARNRAEGETDVFVHDVNRVVEDKFSNAFLCEGYMKKQEGRLRHFGIPSHRDEPNKPFCP >cds-PLY66652.1 pep primary_assembly:Lsat_Salinas_v7:1:53086705:53090025:-1 gene:gene-LSAT_1X46221 transcript:rna-gnl|WGS:NBSK|LSAT_1X46221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPAGLSAVLELHDKYASPVHIAADLLSQLSTVMVEYEMELYSELCTVTYEPVRTIHGIQKSYASNYVASEKILPYFCRSSIFHVAPIAKCAPVNLCKLYIGFGILLEHRRLHLVGFSQLLYELYYDFDLGVSPANFRDILLHSHALEGITMSMLIIVADSWSLTAGGTPVMELMIVAGKAVPILQLETWSSCC >cds-PLY79867.1 pep primary_assembly:Lsat_Salinas_v7:8:16012467:16017067:-1 gene:gene-LSAT_8X13020 transcript:rna-gnl|WGS:NBSK|LSAT_8X13020_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPEPLRSFSSPTSLLIRKPPISPYFLFTFLAFIIFAIFLYGEDLRCIIGQQHHLHLDHINPNPPPPSAATEKHQIHDTKLPFSVGEEEKGCDVFSGRWVWDPVNHPLYKESECPYIQPQLTCQEHGRPDRDYQFWRWQPHACSLPSFNASLMLETLRNKRMMFVGDSLNRGQYVSMVCLLHSLIPDNAKSMETFGSLTVFTAKDYNATIEFYWAPFLLESNADDAVIHRISDRIVRKGSMNKHGKHWKGVDIIVFNTYLWWMTDADFKILHGSFNDEVKQIVEVSTEDAYRMMMKSMMRWVKENMDPNKTRVFFTGMSPTHQMSIEWGGNPNGRCYNHTKMIADPNYWGSDCKKNIMKIIGDVFRESKFPITFLNITQLSLYRKDAHTSIYKKQWNPLTPEQIANPSSYADCIHWCLPGLQDTWNELLFSELFFP >cds-PLY64287.1 pep primary_assembly:Lsat_Salinas_v7:8:222325939:222326243:1 gene:gene-LSAT_8X136980 transcript:rna-gnl|WGS:NBSK|LSAT_8X136980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLPMGPIQNRVHPMPVNNLPMGYPVLQQPPMGTPGQPHMDSMGMSSCHVVNGVPAPSHFHPIRMNSGNE >cds-PLY77969.1 pep primary_assembly:Lsat_Salinas_v7:1:23843830:23846713:-1 gene:gene-LSAT_1X20480 transcript:rna-gnl|WGS:NBSK|LSAT_1X20480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTLYRFSLSTALSPHLQFPHRRSIHFQSFNVTASSSSPSSPTTSFSNHRVVVTRERGKNDKLMKALAPHGINCLELPLIQHKHLSDLDRVSALLSATTFDWIIITSPEAALVFLDAWKGAGSPSVKIAVVGTGTASIFDEAQSIDVAFVPSKATGKVLASELPNHGNENCTVLYPASEKASHDLENGLSKRGFHVTRLNTYTTETVQHVDQVIFEQALSASVVAVASPSAIRAWMNLESVSDRWHGSVACIGETTASAAKRMGLRNVYYPSSPGLQGWVDSIIDALGVHKQVQKV >cds-PLY90377.1 pep primary_assembly:Lsat_Salinas_v7:7:186951286:187001776:1 gene:gene-LSAT_7X111781 transcript:rna-gnl|WGS:NBSK|LSAT_7X111781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEATDGGNDCRGNRICVMASPLCLCFYFCLTITAAITRAQNTTDPAEARVINAMFSQWGIPESSVTAMGWNISGELCSGAAVDNTNFDYHIYNPGIKCDCNFPNSTCHITHLNVFAMDAVGTIPEGLWTLTYLTSLSLVKNYLTGPLSPSIGNLTRMQYINISFNALSGQVPRELGQLTDLRHLKFGTNNFKGSLPSELGNLRRLERLWIISSGVGGDIPSTFANLQNLHLVGASDNGFTGRIPDFIGNWSQLVSLNFEGNSFEGSIPPTFSRLTSLQNLRISGLSNGTLDFIKDLKSLRVLMLRNNKLSGSIPNNIGEYTNLTYLDLSFNNLSGPIPRGLFNLSRLSFLSLGNNSLTGTLPDAKSTTLRNIDLSYNELSGTLPSWAIDPTLQLNILVNNFTLNNSELNCLQSGFPCGRGSPRYSNFGINCGGPQITSSSQIVHEQDNKPLGPATYYLSPEKKWAVSNVGGRGIFHKNTESTQRQFNNTLASTLRQFTNAIDSKLFQTARLSTESLRYYVLALENGNYTVKLQFAELVVEDGPTWRSLGRRIFDIYIQGNQVFKDFDIKRAAGGASFSPVTREVTAEVLNNYLEVHFFWAGKGFSYIRDQGDLGPLISAISATPNFIPTVGNNPSSNNKNYNTSLIVGIWTPIGVVSLLTLLALYIIRQQRKRRDTSGNYDEHEEFLGIDTRPYTFGYGDLRDATDDFSPANKLGEGGFGPVYKGTLEDGRVIAVKKLSIASHHGRSQFVAEIATISAVQHRNLVKLYGCCIDGEKRLLVYEYLENKSLDQALFGSNRLSLKWSTRFDICLGIARGLAYLHEESRVRIIHRDVKSSNVLLDSDLNPKIADFGLAKLYDDKKTHLSTRVAGTFGYLAPEYAMWGHLTEKTDVFGFGVVALEIISGRRNSDSSLEDDKIYLLNWAWKLHEDNRELELVDEELSEFDEGEVKRMMRIAHLCTQTSPTQRPSMSRVVAMLSGDMEVAGVITRPEYLSCFEFYDSTTFKSDSTLSIVSPRSHDDSLPMLHNIVGEGM >cds-PLY61731.1 pep primary_assembly:Lsat_Salinas_v7:5:214915653:214917581:1 gene:gene-LSAT_5X98761 transcript:rna-gnl|WGS:NBSK|LSAT_5X98761_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGPYDSSISAAAGSSSSSSSACSSSTKPPQPPDQMDHLLAGAGYKVRSNDLHQVAERLEHLETVMVKSSPVEISELVHDAVHYNPSDLASWVDSLLTELNTNPPAPIAVPTDFSDLHQLPANINMYSNNPSPLNGEFSQQVVTPLEEDVSIRLVHVLMTCAEAVQRSDLALASLLIEDLQVLLGRVNSGCGIGKVGSFFIDALSRRIVDSPQNGIVQEDLSAYENEMLYHHYYEACPYLKFAHFTANQAILEAFEGQDCVHVIDFNLMQGLQWPALIQALALRPGGPPLLRLTGIGPPSPDGRDSLREIGLKLAELARSVNVRFAFRGVAASRLEDVKPWMLQVSPKEAVAVNSIMQLHKLLGPSQSIDLVLDWIHDLNPRILMVVEQESNHNQPEFLNRFTEALYYYSTMFDSLDACTAHREKSLAELYIQREICNVVCCEGLARVERHEPLVTWRNRLTNAGFAPLHLGSNAFKQARMLLTLFSADGYSVEENEGCLTLGWHSRPLIAASAWQAVPKPSATMVEINHP >cds-PLY73658.1 pep primary_assembly:Lsat_Salinas_v7:5:205499350:205500154:1 gene:gene-LSAT_5X93620 transcript:rna-gnl|WGS:NBSK|LSAT_5X93620_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTDAKLSDSAGKNQPPSGKEETQNVSLFLKCAGSRNSKIFGFKKNGFNGKDMDAHYGQCWIETTSQR >cds-PLY89371.1 pep primary_assembly:Lsat_Salinas_v7:9:117170991:117171405:1 gene:gene-LSAT_9X80441 transcript:rna-gnl|WGS:NBSK|LSAT_9X80441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLKKCAFEVIDNGADDADFDDKYGVVFKLLKKSFLKYLNEISHVKANEMADKSITPVRLTMPWMTVYNKVDCGGFAMRHIESYFGEKGSKWKCGVPKEGGS >cds-PLY78965.1 pep primary_assembly:Lsat_Salinas_v7:3:70714728:70715537:-1 gene:gene-LSAT_3X55200 transcript:rna-gnl|WGS:NBSK|LSAT_3X55200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTTKTVQIKIKSDGDVFHELWKANPHEVPTITPTTIQNCQSHEGDVGTVGSVLFWNYFHDGKDRVAKTLIQEIDEGKKSVTFKVLEGDLLELYKTFVIHVHVDTHGLNNLVTWTVEYEKLSPDVSDPDTLMEFYKKVTKDIETHHLKI >cds-PLY87471.1 pep primary_assembly:Lsat_Salinas_v7:2:134622512:134632407:1 gene:gene-LSAT_2X63361 transcript:rna-gnl|WGS:NBSK|LSAT_2X63361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 10 [Source:Projected from Arabidopsis thaliana (AT5G04980) UniProtKB/Swiss-Prot;Acc:A8MR21] MTYCRLTTPNLQPPLCFKTIGQEEEWSRGEQPCTAGLPPSPMLAIHQHHRTLTFSLFLIIIGRRTCSCERIDFWWKGGIESFIHKILSMKLNNGDEGKVLKNSFDPTASRIGVLDCTNLLSDSMAISHDVQSLRVFTATWNVAGKSPSSDLDLDNLLQINCQSDIYILGFQEIVPLNAGNVLVIEDNGSAAKWLSLISQSLNKQSNKDYYALNRTNTNSNSFERKSSMFLQRTSLKSASRSFRSESRRRFKSCNCTIVEPERKSYYGRDSCFACQKSKSNNYDDPSSSEEDDTTPNMVAPDVTTAAPPPTGKNQHLRYCLIKSKQMVGIFVTIWVKKELVPYIGHLRTSCISRGILGYLGNKGCISVSMTFKQTSFCFVCSHLASGEKEGDELRRNLDVIEILKSTQFPKICRTPNAKVPDKILEHDRVIWLGDLNYRIALSYHETKKFLEQNDWDALLNKDQLKMEREAGRVFKGWKEGKIFFPPTYKYSYNSDLYAGDTIKSKSKRRTPAWCDRILWYGEGIHQKSYTRWESRFSDHRPVCSTFLVFLHN >cds-PLY71084.1 pep primary_assembly:Lsat_Salinas_v7:2:162375425:162376320:-1 gene:gene-LSAT_2X85281 transcript:rna-gnl|WGS:NBSK|LSAT_2X85281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEERSKIEKGKEGATVDLMQVLLASTKEVKEQNDGFVDVVKKTKGNNGNLFSKEATGGEKQFSSQGHQGNFSKNEGSGVFAGNRKQGQKGNYPKIGNSGASAGIRYQGYNGNNQENLEFSFDRGGKTGGKNGQGYYGNIQPMKGKSNDSKIEQGQSWKSNKVMGKNKDGGDVSEVKGFVGNSSMSRPDQEAKKSEAGGYQQHRHLRYHSVINKAPLKALHHLPLPLLP >cds-PLY67226.1 pep primary_assembly:Lsat_Salinas_v7:6:135059420:135059866:-1 gene:gene-LSAT_6X81561 transcript:rna-gnl|WGS:NBSK|LSAT_6X81561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDKLIEHPEFTCSISCIHHAAFFAGEGSDLTNLKAQVDAGTYDPSASDSRSSHSSALDVALLSFATMDFAGLLGLGHLDVDEVKALCAFDEGEDVAEGLEVGLAGAGGGVNGGVSGTGDGFGSDTGGASDGGVRIGEAGGVSVIGGGA >cds-PLY69380.1 pep primary_assembly:Lsat_Salinas_v7:2:3047193:3049044:1 gene:gene-LSAT_2X600 transcript:rna-gnl|WGS:NBSK|LSAT_2X600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNNQNKKSNCPGDTTESRADTPPVPAVLEIDANRSVAQTLVDNTYPCGHKRVGVLEYTVDIMRYSLKIKPDQNDMEKYRIEFTYDAHVDGSITLYFFEIGGEDLAKKLLQTITVEVKKGLCKKFIHTGDLFDLPLHKRGLTEVYHLAIKTHATSCNSKHGSSSDPKDESTDCVTQSTLAVFEKVKGENQVRVTNQIIWVNGQMHVCHDFVGLCKPMGIDRKDLGNNCLLCLSKSYPGIHRPIGNISLSKKEIGQGRDGTLVFEGTYAGREAAVKRTRIMHRDVANNEIQILKEIDHPNIVRFYGKEDDGRFVYTALERCQCNLRELISSCDESDGFKLKLDGFRDLELWKVNVYPSEHLLEILRDIVKGTAHLHELGIFHMNLNSQNILIHRDTSITTKVSGMGRSKRCLSDNKSSLTESTTSKSSFIVSFMIYDMIDYFTDI >cds-PLY68122.1 pep primary_assembly:Lsat_Salinas_v7:8:34169877:34170707:1 gene:gene-LSAT_8X27120 transcript:rna-gnl|WGS:NBSK|LSAT_8X27120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVLFAGVARTVSNDRPSLRIVGLHHFLSSPSSFSTHLRVRSSVGSSISHKMADETHTRTHMSTVGVFALKDAQGESDETGKRGSVALGESEM >cds-PLY82782.1 pep primary_assembly:Lsat_Salinas_v7:2:147574748:147575544:-1 gene:gene-LSAT_2X74100 transcript:rna-gnl|WGS:NBSK|LSAT_2X74100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTRSYRFLSSIANSSSSFDPMVAEPPEAVAVESDFVVILAALLCALICVLGLFAVARCAWLRRGSTSNAGRNTSQQTPNKGIKKKIIDALPKFVYDGGNDLKLSSGDCAICLAEYTDGVEIRVLPQCGHGFHVECIDMWLGSHSSCPSCRQILVVTRCRKCGEFPTVSGGQISSEEEHKAQLSEAPPP >cds-PLY69306.1 pep primary_assembly:Lsat_Salinas_v7:4:105798698:105800154:-1 gene:gene-LSAT_4X68320 transcript:rna-gnl|WGS:NBSK|LSAT_4X68320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSLTDDHKTSQNTATCVYQTQVGGSSRNVTVVWEKNTMNHSLDISIDSVSSEVHPNHKIDLKPWCFWAKKGCKTIEVDSYQIELYWDVRSANYLASPEPCSDFYVALIHEEEVVLLIGDMKEKVYRKTQTRPAEVEAALVFKKEHMFGKKSFMTRAKFDPNEKEYCEIVVERSPVGCKDPEMWIRVDGIVVIHVKNLQWKFRGNQTVLINKQPIEVFWDVHAWLFSSRGSGHGLFVFKHDQQESDNDHREDDKQSTGDKDNECNVSSNSSTKLRLCLILYAWMID >cds-PLY92244.1 pep primary_assembly:Lsat_Salinas_v7:2:209090743:209093634:1 gene:gene-LSAT_2X129380 transcript:rna-gnl|WGS:NBSK|LSAT_2X129380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSFLLLLSLFFTTLCTPPVCGNAELRALMDIKASLDPHNTHLGSWTVLGNPCDFEGIACNENGQVANISLQGKGLSGKLSPAFSELKHLTGLFLHYNSLSGDFPSEISNLTELSDLYLNVNNLSGSIPVELGNMESLQVLQLCCNQFSGSIPTQLGSLKKLTVLSLQSNHLSGALPASLGDLALLTRLDLSFNRLFGSIPTRLADAPMLQVLDVRNNTLSGNVPIALKRLSGGFQYSNNLGLCGVEFTTLKACSALDLSNPNGVPTKNIPETANLKLNCSDTRCSNSSKTPQASIIVGVIVAIVGLSVIGFLAASYYLNKEQKHGNGLDPSDICIDQLKSKEICRKNGSPLINLEYSNGWDPLAEGRRFGGVSQEVIRSFRFNLEEIESATQYFAPGNLLGKSVFSAIHKGMLRDGTVVAIKSIIKSSCKSEEVEFLKGLNVLTSLRHENLVRLRGFCCSKGRGECFLIYDFVPNGNLLRYLDMKDDSHVLEWSTRASIINGIAKGIEYLHGSKLNKPALVHQNISVKNVLLDQRFKPLLSDSGVHQLLTKDTVFSSLKASAAMGYLAPEYATTGRFTQKSDIYAFGVLVFQIISGKRKFASAARFAAESCTFIDFIDPNLHGRFCEHEAAKFAKIALMCTHELPEQRPSVEEVVQELGNPLC >cds-PLY79625.1 pep primary_assembly:Lsat_Salinas_v7:2:166468598:166471482:-1 gene:gene-LSAT_2X87680 transcript:rna-gnl|WGS:NBSK|LSAT_2X87680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFREEKGNNPEIIRESQRRRFANVEIVDEIIDLDRKWRERQFELEQLRKDFNKINKEVAKLRIAGEDASSKIKDTEENKDSTAKKDLEVQAARAALYSKLEVVGNLVHDSVPVSNDEANNAVVRDWGEKRTEPNLKNHVELVELLGIADLKRGANVAGGRGFYLKGDGVRLNQALINFGLDFLEKRGFTSLQTPFFMRKDIMAKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCAYHIDDWIHPTQLPLRYAGYSSCFRKEAGSHGRDTLGIFRVHQFEKVEQFCITSPNANDSWDMHEEMIKNSEDFYQMLNLPYHVVSIVSGALNDAAAKKYDLEAWFPASKTYRELVSCSNCTDYQSRKLEIRFGQKKSNEQTKQYCHLLNSTLTATERTMCCILENYQRENGVEVPQVLQPFMGGKTFMPFIAPPAATKETKGGKKSK >cds-PLY71035.1 pep primary_assembly:Lsat_Salinas_v7:5:43294477:43297106:1 gene:gene-LSAT_5X20981 transcript:rna-gnl|WGS:NBSK|LSAT_5X20981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGDESRSYTVDDALASVGFGKFQMLVLAYAGMGWISEAMEMMLLSFVGPALQSAWNLSSHEQSMITSVVFAGMLVGAYSWGVVADKHGRRKGFLITAMVTSVAGMLSALAPNYACLIILRCLVGIGLGVPESPRYLCLKGRTSEALRILEKVAKINGKSLPSGILVSDNEIELNVKSMESEGARLLPQSNNNKHTDDEKPEVINHSKSNVSTLAMLFSPELIKPTLLLWVVFFGNAFSYYGLVLLTTELHNGANNCGPNGSRSQGSEEVSYKDVFITSFADISDISENNGCWGGQLGGANWRHDMSAGGGGFDTWLPSNRRHPPL >cds-PLY89577.1 pep primary_assembly:Lsat_Salinas_v7:4:366692864:366695627:-1 gene:gene-LSAT_4X176980 transcript:rna-gnl|WGS:NBSK|LSAT_4X176980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFQFLGTILFFVCLLQSCNGELIKALPGQPTNVSFQQHSGYIVTNEGHGRSLFYYFVEADSVNHSSLPLTLWLNGGPGCSSVGFGAFMENGPFQVGENGELVKNQYSWNLESNMLYVESPIGVGFSYSETDEDYIDWNDTNTAYDNLVFITKWLEKFPLYKDSEFFLVGESYAGHYIPQVAELILNYNKSPGVAPINLKAIAIGNPLLDIDISVLAGEYLWSHGAISDKTLMLEKTVCNDSKYLREYIHSGWSQGCNDVFNRVDNEVSEDVAFDDLLLPKCLSTPSAQQFKPRGLHAKAHSSIDRVRSTSDPCLQSRILTYLNRRDVQKALYANTTDLPGHWDFCLGPLVYQEENLEINIIPLVSDLIKAGLPVFLYSGDQDSKIPLTQTRIIANNIAKGLKLTTLSKYRTWYNEKQVGGWSQSFGGLKDGKNITYLTYATVRGAAHEVPFTSPSQALTLFHSFLNGSPLPRPKS >cds-PLY66686.1 pep primary_assembly:Lsat_Salinas_v7:1:53535760:53544763:-1 gene:gene-LSAT_1X46661 transcript:rna-gnl|WGS:NBSK|LSAT_1X46661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFPFSPTRNYGLPSCMVSNHASDGCSFGQPSFPKNKKSRELNIVSVATDLPMVVQSPLWKEVAQEQKLAAWTSIRQERWEGELCVNGEIPQWLNGTYLRNGPGLWHIGDYNFRHLFDGYATLVGLHFQNGHLMMGHRQIESDAYKAARKSNKLCYREFSEVPKHDNFLAYIGDLANLFSGASLTDNANTGVVQLGDGRVVCLTETIKGSIVIDPSNLDTLGKFEYSDSLGGLVHSAHPIVTQSEFLTLLPDLLNPGYLVARMEPGTNERKVIGRVDCRGGPSPGWVHSFPVTEHYVIVPEMPLRYCAQNLLRAEPTPLYKFEWHPESKAFMHVMCKASGKLVASVEVPLFVTFHFINAYEETDEDGRVIGVIADCCEHNADTTILDNLRLQNLRSWSGEDVLPDARVGRFKIPFDGSSNGELVEALNPDEHGRGMDMCSINPDYLGKKYRYAYACGAQRPCNFPNTLTKIDLEKKKAKNWYDEGAVPSEPFFVPRPGATKEDDGVVISMISDKNGEGYALILDASTFEEIARAKFPYGLPYGLHGCWVPNAEVIDPDEFTNLVVDFVDGFCSWSSGEDFPFLLVLELKILKRSQVGKTIQWCSEKTRMKQGVIADWFCSWETPTSVGLALSG >cds-PLY67479.1 pep primary_assembly:Lsat_Salinas_v7:6:73681870:73685316:-1 gene:gene-LSAT_6X52060 transcript:rna-gnl|WGS:NBSK|LSAT_6X52060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13490) UniProtKB/Swiss-Prot;Acc:Q9LJE2] MEALKVWSSRPLRHLFHLAASPPPTSKSYLPVTLVRCCSSSTSAAAAAAAAVPKQGGRGRRSSAASTTTSTSDRDAIRAIRLKKVEELRSKGYEPYAYKWDRTHTANQLQEIYKHLGNGEESNTENDQVSISGRIVARRAFGKLAFLTLRDDSGTIQLYCEKERLANDQFDQLKSLVDIGDILGARGSIKRTEKGELSLCVNSFSILTKSLLPLPDKFHGLQDKDKRYRQRYVDMIANPEVADVFRNRAKIVSIIRQTVELMGFISVDTPVLQEAAGGAEARPFITHHNSLGRDLYLRIATELHLKRMLVGGLEKVYEIGRIFRNEGLSTRHNPEFTTIEIYEAYSDYESMMNMAEEIVTQCALSLHGKLTLDYQGVEINLERPWRRETMHNLVKEATGVDFIKLGNDLNAVKEAILSALDLGPRNPDRHSIEACSSVGHVLNEVFEMVVEATLVQPTFVLDYPVEVSPLAKPHRRDAGLTERFELFVCGRELGNAFSELTDPLDQRRRLEEQVKQHNKKREAAEGKEKKDDDDDDVAYEVTLDEDFLTALEYGMPPASGMGIGIDRLVMLLTNSASIRDVIAFPVLKLQQ >cds-PLY78011.1 pep primary_assembly:Lsat_Salinas_v7:9:44304113:44305233:-1 gene:gene-LSAT_9X39840 transcript:rna-gnl|WGS:NBSK|LSAT_9X39840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNALKLSKTVCFHSSLFSLDLTNTYIKNLNTSIHPRKRTRLTLRASVDEQEERKSSEKRSFLTLEEAGLVEMSGLSSHEGFLCRLTISSLNLLWVIGEQEGCSIDELNAGKVCDWFLKDKLKREQNLDAVLQWDESNFQL >cds-PLY65785.1 pep primary_assembly:Lsat_Salinas_v7:5:270808940:270809335:-1 gene:gene-LSAT_5X141241 transcript:rna-gnl|WGS:NBSK|LSAT_5X141241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDCPAAMNRLITSGVPATVEHRAAASVSGGSSAAIVAECVANFITAMDTLKLNMVAVDQVFPLLSDLLGSLNKMSILPPDFEGKTKMKEWIGRLAKMGAADELTEQQSRQLQFDLDSSYNSFMAALPTDGT >cds-PLY91658.1 pep primary_assembly:Lsat_Salinas_v7:8:11271714:11273686:1 gene:gene-LSAT_8X7680 transcript:rna-gnl|WGS:NBSK|LSAT_8X7680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSPCFKEVEQVCSHGCCSNPLLFALPATTTTTTEKRTSTSSACRKNFANTTTISFFANTHFTDHESLPSFKESLATFTRTYPKYSDTARVDRMRGQEYYHLSLSNRICLDYIGIGLFSHLQLQTHHPVSPSSTSSDLHSDFPFFSTIYKSVNLKTQLVHGGEGSEFEASFRKRIMEFMNVSGDDYSLVFTSNKSSAFKIVSEAYPFQTSQKLLTVYDYKSEAVDAMVSASEKRGAKIMSAEFKWPRMRIHSARLRKLVERKRKKKKARGLFVFPLQSRTTGASYSYQWMSMAQENGWHLLLDACALGPKDMDSFGLSLFRPDFLICSFYKVFGENPTGFGCLFVKKSMIPIMEDSTSVGIATLVPAKNSSFQPDEEHSSGSDLELQQHQSFLQTPNQIVIHDQKKKNLEIEYRGLDHVDSLGLMLISTRTRCLINWLTNALTKLQHPNTETKTPLVQIYGPRIRFDRGPALAFNVYDWKGEKVEPALVQKLSDRNNISLSQGFLQQIWFADKYADEKERLITTKKTKGGQEIAVVTAAINFLADFEDVYRLWAFIARFLDADFVEKERWRYTALDQKTIEV >cds-PLY75247.1 pep primary_assembly:Lsat_Salinas_v7:7:66577014:66577514:1 gene:gene-LSAT_7X47621 transcript:rna-gnl|WGS:NBSK|LSAT_7X47621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRALVTTAFLLTLNLLFFTLVSSTSTPKGCPPPPKPPSPGCHCTSPPKPPTPSKPSTPSKPPTPSKPSTPTTPSNPPKATCPKDTLKLAVCANLLNNLLPIVIGSPSKTPCCSLLSGLADLDAAVCLCTAIKANVLGINLNVPVSLSVLLNYCGKKSPSGFQCS >cds-PLY72741.1 pep primary_assembly:Lsat_Salinas_v7:4:373313091:373315550:-1 gene:gene-LSAT_4X184321 transcript:rna-gnl|WGS:NBSK|LSAT_4X184321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPDVYSTYKKRDDICDDVCGQEVSRMSRIKCMMQSLDLRSFIILFLLIPTGFVGIYFHGQKVTYFLRPLWQSPPKPFIQIPHYYHQNVSMTTLCRLHGWKLREYPRRVYDAVLFNNEIDMLMIRWKELYPYITQFVLLESNSTFTSIPKPHFFAINQEKFDFVKPRLTYATIPGGSRKGINPFYEEAYQRVAVDRLLRIAGIEDDDLLIMSDVDEIPSAHTIDLLRWCDGSPDIMHLNLRNFLYSFEFNIDHKSWRASVHKYKKGKTRYAHYRQTDYLFAESGWHCSFCFRKISDFVFKMKAYSHSDRIRFRHHLDPKRIQTVICNGDDLYDMLPEEYTFKDIIGKMGPIPHSYSAVHLPEYLLKNGDEFKYLLPGNCVREAG >cds-PLY81900.1 pep primary_assembly:Lsat_Salinas_v7:8:122696483:122697910:-1 gene:gene-LSAT_8X83780 transcript:rna-gnl|WGS:NBSK|LSAT_8X83780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFQKFLCGGRLIFGPDVASLCVSVLLVAGPAVSFCIKVYTIINHNKQHRNDPGYYWYGVLILAAALTCLDITFLLMTSGRDPGIVPRNTSPPDTDEGFDMNTPSMEWINGRTPHLRLPRTKDVLVNGHSVKVKYCDTCMIYRPPRASHCSVCNNCVQRFDHHCPWVGQCIGLRNYRFFYMFISTSTILCLYVFIFSWINIAQQGDHILKAMSQDILSDFLIVYCFIAVWFVGGLTIFHFYLICSNQTTYENFRYRYDKKDNPYHKGVKQNLVEVFLSPIPSPLIDFRGYIQEDDSIVMDPTSPNLGGTSKEKIDIEMGSSFAEASGISLPEILQHLHYEELEESMRSKEGNGITDSIPSPFLFEANDVVVERRKSEEKCDDGIITPETHIGHQV >cds-PLY66580.1 pep primary_assembly:Lsat_Salinas_v7:6:166726042:166727975:1 gene:gene-LSAT_6X102660 transcript:rna-gnl|WGS:NBSK|LSAT_6X102660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELDTIAKQTNTTKRKTIDMDIFTFFPSSLFSILFFSSIFIYALRWMKTSKAAPNLPPSPPKLPIIGNLHQVIGKNFHQILWKVSRKYGPIMTVHLGATPYVIISSSEFANQALKTHDQILCNRPRSKGFKRLTFDYMDVAFSPHAEQWKEMRRVLVTEFLGSKRSRLFKKVVDTEVKGMLDSFSSLNTTIDLDERLFHLVTDIVSKVAVGKSYREETFRGKKLKEMLDDLVISLCGSVSDIYPGRLGLILDELLGFNRRLEKTFSNFDAFLQMVLDEHIDHTGTSDHEKDMVDACRSQLTTNEMKALLMNVLNGAIDTTTTTMVWTMSEIIKNPRVMQKLQEEIRRCVGRKSSVEEADVANMPYLKLVVKEALRLHSTVPFLLTRECVKHCQIGGYDIFPGTRVLINAWGIGRDPKVWTESASIFNPERLENLELDRSEMIPFGGGRRACPAASVATQIVEYTIANLFYSFDWQLPNGMKNEELDMEEVGSLIVVRKTPLSLMPVKHDWQD >cds-PLY94633.1 pep primary_assembly:Lsat_Salinas_v7:1:40928659:40936550:1 gene:gene-LSAT_1X36141 transcript:rna-gnl|WGS:NBSK|LSAT_1X36141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDFHPLLTISLWTGGDFDLLSPATQISISDIFNLAFVFIFYLLLLVSYLRKSNTNRFRGRSDWISLSVAFCCLLTCVAYAIAGLWEATAKTPQWSWWVLIARAFVWVSLMVSLLVERFTPVKILASVWWVFFFMSISVVNILCLADKQSISILVLMEWVASFLLFLCTLRNIKHFVNQQTSNQALSEPLLVDETQEEGHTSQLEEPSFLSKLVFSWVNPLLALGYRKPLVLEDIPSLASVDQSSTAHEKFTKAWDSLQREKTTNNGNLVPKSLAKVYFLEMVLSGLCVFLRTLSVVISPLLLYAFVDYSKSDTQDLHHGLLLVGCLIVVKVVESLTHRQFFFNARRTGMRMRSALMVAVYEKQLKLSVLGRRRHSTGEVVNYIAVDAYRMGEFPMWFHIGWSCFLQLFLAIGVLFSIVGVGVLPGLVPLLICGLLNIPFAKAVQNSQLELMVAQDKRLRSTSEILNNMKVIKLQSWEEKFKKLIESCRETEFHWLRDIQFKKAYGTVLYWMSPTLVSSFVFFGCVLLKSAPLEAATIFTILAALRSMAEPARVFPDALSALIQVKVSLDRINSFLVDDELKDNRMERDQELESSHDGAIRIQNGNFTWEPESPIPTLQNVNLEVKHGQKVAVCGSVGAGKSSLLYAILGEISKTSGTVGVSGSIAYVSQTSWIQSGTIQDNILYGKPMERSKYEKSIKACALDKDIEAFDHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTSATLFNDCVMTCLKKKTVILVTHQVEFLSSVDNILVMKDGQVTQSGNYEELLMAGAAFEQLVNAHKDAITGLEPSPSENKNEVVKPGMENSDNKSYLSKGNSEGQLVTGVQLTEEEEKEIGNVGWKPFLDYIVISKGLWLLSLCVLSQSSFVVLQAAASYWLAFGIQIPKISNIMLIVVYTLLSTTSTFFVYLRSLLAALLGLKASKSFFTKFTDSITRAPMVFFDSTPVGRILTRASSDQSVIDFDIPFAFVYSLAAGIELLGMIVIMASVTWQVLIVAIFGIVASKYVQGYYQPAARELMRINGTTKAPVMNYASETSLGVATIRAFKMQERFFKDYMRLVDTDASSFIFSNATLEWLVLRIEALSNLTLFTASFLLVFIPKGFVPPGLVGLSLSYALTLTGTQVFLTRWYCSLANYVISVERIKQFMNIPSEPPAVVEDNRPPSSWPSKGRIEFQDLKLRYRPNAPLVLKGISCTFEEGKRVGIVGRTGSGKTTLITALFRLVEADSGRILIDGFDISSIGLKDLRMKLSVIPQEPTLFKGSIRTNLDPLGLHSDHHIWKALDKCQLKTTIMNLPHLLDSSVSDEGENWSAGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAILQKIIREEFSSCTVITVAHRVPTVIDSDKVMLLSFGKMVEYDEPSKLLETTESFFSKLVAEYWSSCTARNSA >cds-PLY88127.1 pep primary_assembly:Lsat_Salinas_v7:9:7697790:7703838:-1 gene:gene-LSAT_9X6960 transcript:rna-gnl|WGS:NBSK|LSAT_9X6960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Albino or Glassy Yellow 1 [Source:Projected from Arabidopsis thaliana (AT4G01800) UniProtKB/TrEMBL;Acc:F4JG57] MTVAAASLGGLLGGIFKGGADTGESTRQQYSATVALINSLESELTSLSDSQLRDRTTLLRQRARQGPQSLDSILPEAFAIVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMRTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVARFLGLTVGLIQQNMTSEQRRQNYLCDITYSVDELVLRGFNYCVIDEVDSILIDEARTPLIISGPAEKPSEQYYKAAKIAAAFERDIHYTVDEKQKNVLLTEQGYADAEEILEVKDLYDPREQWASFVLNAIKAKELFLRDVNYIIRAKEVLIVDEFSGRVMQGRRWSDGLHQAVEAKESLPIQNETVTLASISYQNFFLQFPKLCGMTGTAATESSEFESIYKLKVTIVPTNKPMIRKDDSDVVFRATTGKWQAVVVEISRMNKTGRPVLVGTTSVEQSDTLSKQLREAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLRELLMPSIVKPAEGVFVSVKKAPPKKTWKVSESLFPCSLSQENSLVVEDAVHLAVSSWGRRSLAELEAEERLSYACEKGPAQDEVIAKLRHSFLQIAKEYKTYTEEERKKVVAAGGLHVIGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGMMRAFRVEDLPIESKMLTKALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYTERRRALQSEDLQSLLIEYSELTMDDILEANIGSDAPKENWDFEKLIAKLQQYCYLLNDLTPDLLASQCATYEDLRIYLRVCGRKAYLQKRDIVEKEAPGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFIEMMAQIRRNVIYSIYQFKPVMVNKDQGKTSNSKIKDKDNGSVTPPPPPSSSSSSSASSVSV >cds-PLY84682.1 pep primary_assembly:Lsat_Salinas_v7:2:151498025:151500129:1 gene:gene-LSAT_2X78101 transcript:rna-gnl|WGS:NBSK|LSAT_2X78101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSTSSRKVLSKIASNRLQKELVEWQVNPPSGFVHKVTDNLQRWVIEVYGAQGTIYANEKYQLQVDFPENYPMEAPQVIFLHPAPLHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSTVKERPEDNDRYVRNCRNGRSPKETRWWFHDDKV >cds-PLY97463.1 pep primary_assembly:Lsat_Salinas_v7:6:90976708:90977839:1 gene:gene-LSAT_6X63001 transcript:rna-gnl|WGS:NBSK|LSAT_6X63001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGQLFLIKHLLILREQIAPSDIEFSVTHKELDFSQLLEHLRRILRGQTNYLIDQGQLLWQGHCRLEFWKVKLMQRRA >cds-PLY86319.1 pep primary_assembly:Lsat_Salinas_v7:9:34868680:34872711:1 gene:gene-LSAT_9X32960 transcript:rna-gnl|WGS:NBSK|LSAT_9X32960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRSLLILYATETGNALDAAERLGREAEKRGCPIRILSLDEFDPSSLPCEEYVIFVVSTTGQGDTPNSMKAFWTFLLQRSLNRQWLEKTNYTVFGLGDSGYQKYNFVAKKLDKRLADLGGTTILERGLGDDQHPSGYEGSLDPWMSSLWAMLYNKNPKFFPKGLNISVSDMKMLGQPKVEITYLDSNAVHSQPSAQIDLKLLEKDIVRCRSMLSAKKSNDKSKPDCFLKLVKNQPITRTSYDKDVRHLEFEPLSSTIEYEVGDVLEILPSQSPEAIDAFMTRCNLNPESYIIVKSRNKEDSLEDGVGASKDPIKLKTFVELTMDIASASPRRYFFEVMSFFASAEHEKERLEYFASPEGRDDLYQYNQKERRTVLEVLEDFPSVDMPFEWLVQLTPPLKTRAFSISSSPHVHPNQVHLTVSIVSWTTPFKRKRIGLCSNWLASLHLHQRVCIPAWFHKGSLPAPHPSLPLILIGPGTGCAPFRGFVEERALNASNSGPILFFFGCRNEDNDFLYKDFWVSHSQNGGVLSEEKGGGFYVAFSRDQPQKVYVQHKMREQSGKVWELLSKGAAVYVAGSSSNMPADVLAAFEEIVERESGVEREAAVRWLRMLERGGKYHVEAWA >cds-PLY68833.1 pep primary_assembly:Lsat_Salinas_v7:3:64094608:64096433:-1 gene:gene-LSAT_3X50440 transcript:rna-gnl|WGS:NBSK|LSAT_3X50440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIEAVMQSASKGKPRNVVLLPQTKQQTICNSTSFTLLQPLGSSSQISSQRNSSELGHGSLSCWLSSHHQHGGGGVLNEKSIGHHTCNLLSSTIKVDVDQSDLRFYKSSSDKSQLLYFCKPSPSDSVAVKEVHPCKVDQLLQYGANVNVPDSRGQTPLQFKWIRAVQKKVNALRELRPIPLLAQCLSFGSQAEQLLEAAWCLTNIAAGKPKETRALLHALPLLIAQIGGEGEELRQILISQGALLPLAKMMFPNKVSTVRNAAWGLSNCNCN >cds-PLY99413.1 pep primary_assembly:Lsat_Salinas_v7:4:102556400:102559393:-1 gene:gene-LSAT_4X66660 transcript:rna-gnl|WGS:NBSK|LSAT_4X66660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVKSIMGSHNLLYPILGFASCLVFLYLSFGDFLSVNYKTDPKLSFVERNGTNFIVDGKLFYINGWNSYWFMDHAVHDYSRPRIREMLQAAAKMGLTVCRTWAFNDGDYNALQIAPGQFDERVFKALDYVIAESRQVGIRLLFSLVNNLQAYGGKTQYVKWAWDEGVGLSASNDSFFFDPSIRLYFKNYVKTILTRKNSITGVVYRDDPTIFGWELINEPRCITDASGDTLQDWIEEMSSFIKSIDRKHMLTIGLEGFYGPKSPKKDSVNPEFWAADLGADFIRNSAPSTIDFASVHIYPDHWFKKKSLEGKLKFVAKWMRSHIEDGDKVLKKPVMFTEFGLSDLNKGFNPSQRDQFYKTVYDVIYESAKKKGAGGGSFAWQYFVEGMEDYNDDFGIVPWKRASTYEIITKHTCELAKVHGRIESQNLKQLCSH >cds-PLY99369.1 pep primary_assembly:Lsat_Salinas_v7:7:146090599:146094576:-1 gene:gene-LSAT_0X4441 transcript:rna-gnl|WGS:NBSK|LSAT_0X4441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEARILFLYNCHRIKFGCNVLLFATFFVAVFVITYAAALKEGQTCVNNQNCDSGLHCEACVADGNVRPRCTRVQPLSPFSKAKGLPFNRYSWLTTHNSFARLGETSVTGSVVLAPTNQQDSVSSQLDNGVRGLMLDMYDFENDIWLCHSFGGKCFNYTAFQPAINVLKEIREFLEKNPTEIVTIIIEDYVTSQNGLTNVFNNAGLRKFWFPVAQMPSNGGDWPTVDFMVKQNFRLVVFTSKSSKERTEGIAYQWKYMVENQYGTDGMKSGLCPNRAESPTMNTTSRSLVLMNYFPDTPDFTQACKENSAPLINMMNTCHDLDHKRWPNFIAVDFYKRSNGGGAPAAVDMANGQLVCGCNSISACRPNMTFGACNIPEAEVAPVTTKGGKSTAVKAGQPWLFLTGLVVLTLILSL >cds-PLY68267.1 pep primary_assembly:Lsat_Salinas_v7:1:26989251:26989601:-1 gene:gene-LSAT_1X23960 transcript:rna-gnl|WGS:NBSK|LSAT_1X23960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVGSSSSIQASRPLNLLNWAASSPSAGRLPSFPCLAGYLKPAFEPTKPSRTAIVLLALRLESLSYRLNLPIGGGLIPLPFTVPCDLYTDTPIYPSLVKGESAERRESPLPVVVSN >cds-PLY63076.1 pep primary_assembly:Lsat_Salinas_v7:8:72884911:72886896:-1 gene:gene-LSAT_8X51661 transcript:rna-gnl|WGS:NBSK|LSAT_8X51661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGHSYVTKDYSTYTNVPSHEGGYGYGPTQAVNYYVVETTTIERRHGPTTRYTNYGSAPPQIELFKEYIPKYALKDQYEDCDPNYGSDHENSSLPGYHKHENLMEKLLSKVQLGASRPIQTSNYSIPNKYRPTSMPHSYSTEENHKTKPQNLPNKFRPNSPTRHYPSGEGPTIKTQNFSGQDKYPTHIYPSEEGHKVKSQNFLGPNNYQPISPTHSYPSEEGHKIRTENSLGPNKYHPTSPTHRYPLEEDHNNNFQSQNMLGPNKYQPTSSTHSYPLQEGHNTTTQNSSGSNKYRSIIPTHSYPPGEGHNVKTQNMADSNKYRPTSPTHSYPSKGHNITTENSSGSNKYRPTSLTRSYPSEEGHKIQTQNMSGLTKYRPTSPTHSYPPEESHKIKTQNMSGPNKYRPTSPTHNYPSEEGQKIKTQNFPDTNNHQLTSPMDTYRSKEGDLIKTSLGPNKHRPSSLVSEGSRYVRSGNFMGPNNHWQSFVPPMTHHPLTTTTNDINEALSFLTESVSFSSRSGPTQTGGARNFDFHTQPIEPVRRNARPGLMGTTTQTSFGANTIDSREAMRKYNGVFVP >cds-PLY73690.1 pep primary_assembly:Lsat_Salinas_v7:5:202949230:202952216:-1 gene:gene-LSAT_5X94160 transcript:rna-gnl|WGS:NBSK|LSAT_5X94160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGLFLLFTFATTNLTSGCFEHERAALLRFKHSLSDPSGRLSSWNGNNCCNWQGVDCNNATGYVTRLDLGTDSSDEKLEGNELNSSLAELSHLSYIDFSGNYFGGSPIPEFIGSLTKLRYLNLSSMGFSGIVPHSIGNLSNLRVLDLSNMELVVDDFTWFWSLLSLKSLDLSGLSVVKAPNLHKGLLRMISSLLELSLSRCNLSNSHFHRMHLELNLTRSTIQTLDLHSNLLQGQFPLFLRNLSSLQVLDLSFNQLNSSIPFMNNVQDTGICGLKRLDLSYNSMGGRFTGPSTNVSECAQFSLETLNLNDNMLLGEVPSSLEICFLGLFQSASDFFQTCRF >cds-PLY95114.1 pep primary_assembly:Lsat_Salinas_v7:1:94022152:94023530:1 gene:gene-LSAT_1X77880 transcript:rna-gnl|WGS:NBSK|LSAT_1X77880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCEKAPATLICCADEAALCATCDTEVHAANKLASKHQRLLLQTLSNKLPRCDICQEKTAFIFCVEDRALFCRDCDEPIHSAGSLAANHQRFLATGIRVALSSSSSHEPEKSHHEPPPPPSCKNIAVPQVVPMKVPTHPVSGYSSPSWAVDDLLQFSDFESSDKKEPMEFGSLEWLSDYGVFGDEAAEVPQLPASQSINATSYRQTKFYVPNNKKPRYEILNNEEDDDEHFTVPDLG >cds-PLY85485.1 pep primary_assembly:Lsat_Salinas_v7:3:43001833:43006538:-1 gene:gene-LSAT_3X33321 transcript:rna-gnl|WGS:NBSK|LSAT_3X33321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGENAGGENRPTQSPASSDQGGNPNSSQFNGNPPSTPDSPTSAGFNTDQLPFNSRTSENYSDFDDDEAAVDPNIIRDELDDGDEDEGEGEDLFNDNYIDDYRRMDEHDQYESVGLDDSLEDERDLDQIMADRRAAEIELDTREGVASRAKLPHLLNDQDTDDDSYRPSKRTRAPPGPRGGDDTDAMQSSPGRSQRINSGEDVPMTDATDDDQYEDDENDEGEFEMYRVQGTLREWVTRDEVRRFIAKKFKEFILTYENPKNENHDLLYLNQINEMVSANKCSLEIDYKQFIYIHPNIAIWLADAPQSVLEVMEEVANKVVFSIHSNYKSIHQKIYVRVTNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCNKCGTVLGPFIQNSYSEVRVGSCPECQSKGPFTVNIEQTIYRNYQKLTLQESPGIVPAGRLPRHKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVIEANHVTKKQDLFSAYKLTEEDKQEIHKLAKDPRIGERIVKSIAPSIYGHEDIKTAIALAMFGGQEKNVNGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADKGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKNFSQNVELTDPIVSRFDVLCVVKDVVDPVMDEMLAKFVVDSHFKSQAKGSNLDDKSMNNSQEDIDASAMMMDPEILPQDMLKKYITFAKLHIFPKLHDADLDKLTQVYAELRRESSHGQGVPIAVRHIESMIRMSEAHARMHLRSNVIQEDVDMAIRVLLDSFISTQKFGVQKALQKSFKKYMTYKKDFNRLVLLKLNELVKEALHFEEIVSGTRKNVGYIDVKVEELQSKVSDLGITDLKPFFTSADFLKGNFQLDEERGLIRHHMTL >cds-PLY88665.1 pep primary_assembly:Lsat_Salinas_v7:7:47512715:47518540:-1 gene:gene-LSAT_7X34440 transcript:rna-gnl|WGS:NBSK|LSAT_7X34440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLSTCFLSHQWSCCTVLDCKHLNVRFYSLPSPRFATVSCKIRQRNSSLPPRRPQAKKIIPAQIPQNDNLMTPGNENSDSENEDDFSHQSTSDEVVAIQRFDDKNSSSKLIPSIGADKVGEVTTVNLQDVIGMIRNAEKNIHILNQARINAIEDLETILSEKEVLQREINNLEMRLAETDARIRVAAQDKIHVELLQDHLEKLQSEMSNNGAHDAVVLRSPDRQTRALSEELNSLRNENMSLQNDLNTLKEQLRDVKRTDERVEMLENERTVLELSIKELEYKLTVSQQDGVQLSTLRSECETLWNKVEHLQGLLDKSTSQADQAILVLQQNQELRKKVERLEESLEDANIYKLSSEKLQQYNDLMQQKIKLLDERLERSDAEIHSYVQLYQDSVKEFQETLDNLKEESKKKASGKQVDMPWEFWSRLLLMFDAWFLEKKIHGDEAKLLREMTWKKDGRIHDVYIECKEKNEREIIAAFRRLTSSSSGSGLHVVHIAAEMAPVAKVGGLGDVLSALSKALQKKGHLVEIILPKYDCMQYESIQNLRVLDLAVESYFDGHLFKNKIWIGTVEGLPVYFIEPLHPAKFFWRGKTYGEPDDLKRFSFFSRAALELLHQSGKKPDIIHCHDWQTAFVAPLYWDLYAPKGLNSARICFTCHNFEYQGTASASEIASCGLDIHHLNRPDRMQDNSSHDRLNPVKGAIVFSNIVTTVSPTYAQEVRTSQGGQGLHATLNAHSQKFVGILNGIDTDSWNPATDTYLSFQYNSSDLEGKSENKNALRRHLGLSLSDSRRPLVGCITRLVPQKGVHLIRHAIYRALELGGQFVLLGSSPVPNIHREFEEIAKKFQTHEHIRLILKYDEALSHSIYAASDMFIIPSIFEPCGLTQMIAMRYGAIPVARKTGGLNDSVFDVDDDTIPIQLRNGFTFVTPDEHAFNGAFDRAFKYYKNDSEGWEELMKKVMNIDFSWDTSASQYEELYLQSVSKAKAARRAAAG >cds-PLY63988.1 pep primary_assembly:Lsat_Salinas_v7:4:13305900:13306147:1 gene:gene-LSAT_4X9120 transcript:rna-gnl|WGS:NBSK|LSAT_4X9120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPCRVPNPDGKRLEETLDAFGLEQSFKPKGFLTSKLIALSGTHTHGSKGFGYPITF >cds-PLY96243.1 pep primary_assembly:Lsat_Salinas_v7:7:182796970:182797278:-1 gene:gene-LSAT_7X108140 transcript:rna-gnl|WGS:NBSK|LSAT_7X108140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRQRPRHAEPDRPEPTLRDVMWGLTAVQEEHRWMMDSLVAVMQNLGMDHPPFPNVDPIVSCPSQSHNIGYDIVGPSGTHHGDTDDDDDEEETETDSEGSEE >cds-PLY94858.1 pep primary_assembly:Lsat_Salinas_v7:2:179057161:179062619:1 gene:gene-LSAT_2X101000 transcript:rna-gnl|WGS:NBSK|LSAT_2X101000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLHNQRQQQQQKLQQQQQGQQTMNSGLTRYRSAPSSYFSNLINSGMYEDEDVDPFFNPRSSSPETERILSRLISGDGDNSSSHQNIGQIRGNDPPFMDPMKQERANFTYQSQQQQMMYQNHQQADHNHNSVVSGSSSALVDPLAAMNPPTNLLRQSSSPAGFFEHVNMGNGYSAMRSMDKFRTDDGSVPDLSKGFENRMAFSSVSHSSSRPLSLIPENEGKTMGMSGAGAHNNGGFGQTHPRSSGYGFPGGSWDEPAMLTDEFMKELGESDQISSTENQNDERRIHASSGLSHQLSLPTSSSELSVMEKLLQFQDNVPLRSRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAVDYIKELQKQVERWRWLTSSSKTMVAVCSWGLLWYPSAALFLFRSSEFHKKGYGEATTGGWRLCGWFIERRTTVEWWRFCGSGWQLYCWLFFLLVVHNTEGKGKAVTGFQRCLSTCLIEKESKEQSVVVYGGGAWSTKTVVAAGGVWAVGSGRV >cds-PLY62504.1 pep primary_assembly:Lsat_Salinas_v7:1:82864268:82864558:1 gene:gene-LSAT_1X69440 transcript:rna-gnl|WGS:NBSK|LSAT_1X69440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRVIKDNARETRLMSMVAQDLSGRKMQAALWDGLALKLNNYISEHQNENAPVIILLHMAKLKTWVGKNNYL >cds-PLY88620.1 pep primary_assembly:Lsat_Salinas_v7:5:140485686:140493541:1 gene:gene-LSAT_5X61901 transcript:rna-gnl|WGS:NBSK|LSAT_5X61901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35220) UniProtKB/Swiss-Prot;Acc:Q94JT5] MVLPNAAATILLTALITLSPFAFSSVVDDAYPSPYTAAMTSCDLDDGGSRLSPIRREVYDEGRIIDISHRYHPDMPSWGSDDGLGEFLRLPASMKNGSLANNSEMKIPTHTGTHVDAPGHVYDHYFDAGFDVDTLDLHVLNDPSKRLSRKMNPKLIFLMLIIPYVSSFPQENAYPSPYSEEELIPPRREVYGSGRIYDITHRVTSGTLSGVSDEGIGEYLTLFQSMKNGSDYNFSIIKLPAHSGTHVDAPGHFYENYYQAGFDIDSLDLEVLNGPALLVDVPRDKNITAEVMEALNIPKGVRRVLFRTLNTDRRLMSQTRFDTSYVGFMKDGAQWLKDNTDIKLVGIDYLSVAAYDDLIPAHLVFLKSREIILVEGLKLEDVEAGLYNVHCLPLRLLGAEGSPIRCILIK >cds-PLY63964.1 pep primary_assembly:Lsat_Salinas_v7:3:173003383:173006780:-1 gene:gene-LSAT_3X105301 transcript:rna-gnl|WGS:NBSK|LSAT_3X105301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATASTGSKQAKEVIRLEREAVIPVLKPKLIMTLANLIAQSSDRSEFLKLCKRVEYTIRAWYLLQFEDLMQLYALFDPDYGDQKLQQQHLSSDEVDVLEQNFLNYMFKVMEKSNFKIATEEEIAVAQSGQYRLNLPISVDESKLDKNLLKRYFSEHPRENLPDFADKLFPKKTRAIIRKVMKKEDETKDIKKEGENSDEEFQDDLYVERIRIENLDFSLQNLASKITIQEPTFDRIIVVYRLAGSKAKKERGIYVKHLKQIPMADMEIVLPEKKNPSLTPMDWVKFLTTAVLGLGAATGSIQTPEADFWVVVAVVSSVIGYCAKIYFTFQANMEMYQNLITQFMYDKQLDSGKGTLLHLCDDVIQQEGKATLKELDKKCEELINDEFGERCNFDVDDAVQKLEKLGIIAKVSLHLCFSLS >cds-PLY92206.1 pep primary_assembly:Lsat_Salinas_v7:6:74218963:74219537:-1 gene:gene-LSAT_6X54101 transcript:rna-gnl|WGS:NBSK|LSAT_6X54101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVRALICLELILNAVNLNFVTFFCQLKGAIFSVFVIAITTAEVASGLAFVSSIYRNRKSTLINQLNLLNKLKTSHVQKWIDPMSHSIKIYDTCIGCTLMCPSPSHGCIRNDTLGRM >cds-PLY65522.1 pep primary_assembly:Lsat_Salinas_v7:1:209785186:209788115:-1 gene:gene-LSAT_1X123421 transcript:rna-gnl|WGS:NBSK|LSAT_1X123421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATLECNITLKENYKNTTNPVRPYVNFPPSIWGDRLLSLTVNHSELHTYAIAMEQPKEELKSLIINPNMDSNEKLRLINSVYRLGLRYLFEEEIECQLDKLFTELKMEDYDEADLYTISINFQVFRQHGYKLSCDVFNKFKDSGSGKFKEYITTDVRGMLSLYEATQMCIRGESILDEAMAFTEAQLMGVVDTLEGNLLQQVKHALRSPSHRGVQMVETRLYFSNYKEECSTYDSLLKLANAHFNYLQLLHKEELSTFIKWVKDMNFQKITPYARDRAPELYLWAVGIFLEPHYSQARITISKMAQLVLVLDDIYDAYGTIEELRLLTDAINRWEIIAMEQLPEYIKPLYKIILNELTEVQKQLPKEGRENRVKASKQAFQELARGYHQEAEWRYSKHVPSYQEYMKNGLITSTYNVFSTYSLMNMDEINSEEALGWYKTHPNILEATKLLGRLYNDVTTFQFEGERAQEVESVHTYMKTFGLPENVAVEELKKMIENAWKDINKECLKPTEVTMGLVAPVLNLARITDMVYRYNDRFTFPEETTVEYVTLLVITSVPMY >cds-PLY76926.1 pep primary_assembly:Lsat_Salinas_v7:9:5967724:5968453:1 gene:gene-LSAT_9X1180 transcript:rna-gnl|WGS:NBSK|LSAT_9X1180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKLQQYRHVFRQLDKNGDGKLSPPELQICIGKVGGELTLEEAEIAAAMMDSDGDGLLSMEDLIKVVEDANEEEKANDLKMAFKMYEEMEGCGCITPKSLKRMLSKLGESRTVDDCKVMISKFDVNGDGVLTFDEFREMML >cds-PLY72620.1 pep primary_assembly:Lsat_Salinas_v7:5:44818798:44820928:-1 gene:gene-LSAT_5X21940 transcript:rna-gnl|WGS:NBSK|LSAT_5X21940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSFTSYPTNNPNPDPNPPNADASLYQYSHGFDGSEMQSAFELEEAAASQNEATGNIGDEAQHVVNTTTSQPESIEPIQCEVCNITCTNRDIFEKHTQGKKHMKNMQKIAISSVIGPKVTPPTATATSVGELENKKHLLLQNGASVDKLLYCQTCNVVCNNQDAFHAHLAGRKHSSKAIMQGASTNDVFNPTSNKGHEARMNPDPLRCELCKISCTSFELLNTHLSGKKHLKKLRESEQISDLPLTFVTSLDTQRMQNQESTEGKAVISHEGNTLSCELCGISCNTYEMLRVHLSGKKHQKNLEKSEKGIGPNTEQGMLQDEGKEEGKVVNLVDGSNRKTKRVASDEELEAKRQKILQGGAASNGLRTCTVCNVVCSSPAVYTSHLAGKKHAAMAVKQAETGLTGQET >cds-PLY64511.1 pep primary_assembly:Lsat_Salinas_v7:8:124426749:124428752:1 gene:gene-LSAT_8X85681 transcript:rna-gnl|WGS:NBSK|LSAT_8X85681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRKMLSEGMSSYMNESTHKDPHTLAVIIAACFVLIALLLSSVLIVQHLKWYTNPEEQKWIVAVLFMVPVYATDSILSLWKPKFALACDILRSCYEAFALYSFGSYLIACLGGERRVIQLLENEKEKLLRKPLLDGKDVRLDLNRTALCKFFRQPRVLGEELLQIEKFGLVQYMILKTLCSFLAMILALVGVYGDGEFKLYYGYPYIAFVINFSQMWALYCLVKFYHVTHERLKPIRPLAKFISFKAIVFATWWQGVGIALLCYLEILPNGGRFQTELQDFLICIEMAIASVAHTFVFSAKQYHYMSVHEYGKLSLQKTKEVVEVDEENPKPTRIEKMETQVEAAGTSVKESVQDIVVEGGQHVVEDVRLTINQAIQPVGKGMTKIQETIHHLSVGDEKQKQEVEVDEYEKDVIEVGPDDKKLEVRVEKDKEEFGRTHLTRENSEVTIEEHKHAVSNSKRKPSY >cds-PLY72793.1 pep primary_assembly:Lsat_Salinas_v7:MU043667.1:39944:45543:-1 gene:gene-LSAT_0X27701 transcript:rna-gnl|WGS:NBSK|LSAT_0X27701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMIEDDVRQCIGPTDDRHGDAVVTICSDEGAVEKKRCGREKGLKSLRTENYHVAKILCTTRIQAGFNREVIALQSTYKTSVSFALLSGLNGVGGPAINNDG >cds-PLY83321.1 pep primary_assembly:Lsat_Salinas_v7:1:61695035:61700185:-1 gene:gene-LSAT_1X53001 transcript:rna-gnl|WGS:NBSK|LSAT_1X53001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHEKMNGEKRAITSSIGCLILILVVSGYLPRCVRCQDDPYDPPANNKRPRIAPLFSNFLSQQFNKSIRRYKTSIQRTLHYCTEDVDKDINGAFNFEGKDQFFTNCQVKFKASKTDLAKRLCTAAELRVYFTSFIKEVDDSTKSISQAHFLDPNRNCNLTSWTRGCEAGWASAVNPGQKYEFNETDPRKIPFRTDNSQPCCEGFFCPQGLTCMIPCPLGAYCPVAKLNKKSGICDPYHYQQPAGKPNYTCGAADMWGPVQMGSDMFCAPGHYCPTTIKEIPCPKGRYCRMGSVKSKLCFAGSRCEKETNIPSMKAFGIMLIVLLTAILIIVYNCSDQLMSTRYKKQAKSREAAAKHVRETVQARERWQAAKEMAKSSDLLGLQQQLSKTISRRTSARHDSKPKIPNPFSIGSKKETTKLTEMLESMDDGSSNNNDDNSWFGLEDATKKKGKTKKDLHTKSKIYKYAYGQIEKEKQMEEMNKNMTFSGLLSMAQRKGDDIRKRPRIEIAFQELTLTLKGKQRYIMRSVSGKIMPGRISAVMGPSGAGKTTFLSALTGKITGCTMSGMILVNGKNESIHSYKKIVGFVPQDDIVHGDLTVEENLRFSARCRLSADLPKHDKVLIIERVIESLGLQGIRNSTVGTVEKRGISGGQKKRVNVGLEMVMEPSLLILDEPTSGLDSSSSSLLLRALRREALEGVNISMVVHQPSYSLYKMFDDLILLAKGGITVYHGPVKKVEEYFAGLGITIPERVNTPDYLIDILEGMIKPNGGVTAQQLPVRWMLHNGYRVPPDMLHLCDQSSSSSLPPSNPEAKTSEIQRDVPTYNFFRTPDLSGRVTPGVLTQYKYYLERVFRQRLREGRVQAADYLILLLAGTCLGTMAKVTDDTFGATGYQYTVIAVSLLCMIGALRTFSLDKLQYKRESSSGMNSLSYFLSKDTMDHINTVMKPLVYLCLFYFFNYPRSSFGSNYIVLVCLVYCVTGIAYTLAISLQFSQAQLWSVLLPVVLTLIANQSKNSAVSVITPFVFPKWALEAFIIANAKEYNGVWLMTRCAALKHYDYDIHNSNKCLCLLIATGLGTRIIAFTCLLTRQR >cds-PLY62468.1 pep primary_assembly:Lsat_Salinas_v7:1:84344639:84345959:-1 gene:gene-LSAT_1X70341 transcript:rna-gnl|WGS:NBSK|LSAT_1X70341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLFLTFLCLITSSRAAILNRIGINYGRLGNNLPSPAKSIELLQSMNAGRVKLYDADHEILHLLSGKNIEVAITVANDEISAIASNQHLADQWVYEHVLAHYPSTKIRFVLVGNEVLSSTNTVQDMQIAKDLVPAMRRIKNTIKSQGIRNIKVGTPLAMDMMGTTFPPSNGSFKPEMRELMIPLLKYLNGTRSFFFVDVYPYFAWAESRANNQTGIDLGFALLRSGNMTYTDPQSGLVYTDLLDQMLDSVVYAMAKLGYDDVMLAIAETGWPHEGDSDEFGANKENAAEYNNNLIKKMSATPSNGTPARPGAVIPTFIFSMYDENQKYGPATERHWGLMNPDGSAVYAVNITGKVNPSD >cds-PLY84717.1 pep primary_assembly:Lsat_Salinas_v7:5:230844409:230846562:1 gene:gene-LSAT_5X111180 transcript:rna-gnl|WGS:NBSK|LSAT_5X111180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSCPTDRRWASIVIATVAIRNLLAPITINQHKVASKLNIQQKMEDKIENMVEKVPYFQTSGASWFIDLTTFTMQKGMRGGVWKNIARDGAALTLPLIMSNGSHVPGANQLEERKLKTLNS >cds-PLY86038.1 pep primary_assembly:Lsat_Salinas_v7:MU040777.1:135:773:1 gene:gene-LSAT_0X46480 transcript:rna-gnl|WGS:NBSK|LSAT_0X46480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCCGEDDMHKSYDNGPYMANNAAGNNGGYRTTEAAPKDTQAVAIQPIAVPTVQVDELKEITDNFGTKSLIGEGSYGRVYHGVLRSGQAAAIKKLDSSKQPDQEFLAQVSMVSRLKHDHVVELLGYCVDGGLRVLAYEYASNGSLHDILHGKC >cds-PLY74052.1 pep primary_assembly:Lsat_Salinas_v7:5:91178018:91178179:-1 gene:gene-LSAT_5X41701 transcript:rna-gnl|WGS:NBSK|LSAT_5X41701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPSNPTPFPVLPSKWRILHLSIKRMNQRMDFKQTDISHGSLMVLPALKPEDP >cds-PLY97491.1 pep primary_assembly:Lsat_Salinas_v7:1:193927798:193928241:-1 gene:gene-LSAT_1X124981 transcript:rna-gnl|WGS:NBSK|LSAT_1X124981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRRSAAMKNGNGVRCASGNGRPIPVRGQVKIAIVLGLAQSVASFFSSNGRS >cds-PLY70092.1 pep primary_assembly:Lsat_Salinas_v7:4:204275413:204278041:-1 gene:gene-LSAT_4X114980 transcript:rna-gnl|WGS:NBSK|LSAT_4X114980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVPFPLLCLLKLGLLGFNVSFVVGHAFTLVWLLLLLDALIITSNQMMVTLFGIVCLNREASVGPHIINHLFLFPLKSSSSFCNDSLWTSGILKQNEVNSKSSTKMESPIPIPMTNGMMQPPPRPRKFNSTAKVVEGNIVKTPETKSIEDAVEGLSRVVLTTQGKGDKDAARQLLTKYYVMTQPLKLALKKLEMVPVPVDITPPLPFGRNSVALSCDDETCDYTTWSLNLRVVGESERGTVCPNYLRCNGRLVRRVDAKLKAAVEKEVGRIRGLVGLAFSTAQKDADEEDFGDEDDEEKDHQAIDILLAKIDIYMIFLLSNIVGEEG >cds-PLY99261.1 pep primary_assembly:Lsat_Salinas_v7:6:184622332:184625819:-1 gene:gene-LSAT_6X112921 transcript:rna-gnl|WGS:NBSK|LSAT_6X112921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTNLKPDSPLLRRIVLSFLDFLNSVEPTSDSDAESLEVAKDCLSEVFKIDSSSTSSLPKSDSLIEIFKSQTGKNEIKSDKNHEEPQADISHTSCTNNNVDTKIPGTSQSLNDTNKGDTETIGVREREDELFGQFFNSLEKVHYFGTTPNGDDEQALDRATHLFHNALTEMKKSGSEEFDLKKLADTFKLQGNKAMQSKLYSGAIELYTIAIALCDDNAVYYCNRAAAYTQTNQHTEAIDDCHKAIEIDPNYSKAYSRLGFAYYAQGNYRDAIDKGFLKALQLDPNNESVRGNIQAAEQKLREEQQRANRGQNSNSTSHSNEDHSGGFARGSVPIPPFPSMPFNVNVNGQPFDMANMFRNMAQSGMGNPFPNSNSNSNSNEPGIRVGVNVGGEQMQMPEEILRSVFEVLSDGTTSRVNPQDNPNGN >cds-PLY79074.1 pep primary_assembly:Lsat_Salinas_v7:3:7653208:7657196:1 gene:gene-LSAT_3X4061 transcript:rna-gnl|WGS:NBSK|LSAT_3X4061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAALTDEGSRHPQHVEVVPFKTSGVSLKLVLLHGNLDICVKEAIKLPNLDAFNRKFTPLSGTSDPYVTISVANAVIGRTFVINNSENPVWMQHFYVPVAHNTTEVLFVVKDSDVVGSQLIGAVGIPAEHLVANSIVKGTFPILNASGQPCKPGAMLTLSIKYTPVDKMVIYRDGVGSDPEFKGVPGTYFPLRRGGKVTLYQDAHVDGSLPNLKLDRGLRFIQEDCWRDICDAIRQARRLIYITGWSIFHKVQLVRYGAKARDSILGDLLKSKSDEGVRVLLLVWDDPTSKSYFGYKTEGVMQTHDEETRAFFKNSSVQVLLCPRSIAKGSWVKKDAETIYSHHQKTVIVDADAGIKRRIMAFVGGLDLCVGRYDTPEHSLFTTLNTLHKDDYHNPNYTGPTTGCPREPWHDLHCRIEGPAAYDVLQNFEERWLRASKPRGLSMTKFFDVLLKVDKIPDILGVTDARYTSEKDPEGWHIQVFRSIDSNSVKGIGFPKDPKDAKNKNLICGKNVLIDMSIHTAYVKAIRTAQHFIYIENQYFLGSSYNWANNKSLGANNLIPMEIALKIANKIRANERFAVYIVIPMWPEGNPTNSSTQRILFWQHQTMQMMYDTIYKALLETGLQNEYEPQDYLNFFCLGTRESSKYAPVSNGKVSFSPNTPQALSITNRRFKIHVNSKGMIVDDEFVILGSANINQRSLEGTRDTEIAMGAYQPHHTWAHKGSSPAGQVSGYRMSLWAEHMGEAESIFEEPQSVECVRRVRLLGEQNWEQYAADQVSDMTAHLLKYPVEVDGMGRVKPLSGCPNFPDVGGSIVGSFVSVQENLTI >cds-PLY82748.1 pep primary_assembly:Lsat_Salinas_v7:2:144848675:144854768:-1 gene:gene-LSAT_2X71561 transcript:rna-gnl|WGS:NBSK|LSAT_2X71561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKAVSESDASLHSTFASRYVRTCLPRFKMPDNSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMSSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGETETAVGVGTVGSSEAIMLAGLAFKRRWQNKMREQGKPFDKPNIVTGANVQVCWEKFARYFEVELKEVKLRDDYYVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVKRLNDLLVEKNAETGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRNKEDLPDELIFHINYLGADQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKSIMENCQENAMVLKEGLEKTGRFNIISKDNGVPLVAFSLKDHNQHTEFEISDMLRRFGWIVPAYTMPPDAQHVTVLRVVVREDFSRTLADRLVVDISKVLHELDTLPARLSAKKTVSEENGMSVAAVDAEHEVTRKITDAWRKFVLDKKKTQGVC >cds-PLY66266.1 pep primary_assembly:Lsat_Salinas_v7:3:47872715:47874515:-1 gene:gene-LSAT_3X37661 transcript:rna-gnl|WGS:NBSK|LSAT_3X37661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMSISRSSLLLSLLLSLAFTTIFAETHNYVFKVHGYIHVVNLADGHTAALKILSDPNIGCEVYNLGTGKGTYVLEMVAAFEKASGKPSSFDINSNLVDESNSVFVNPASEQYWTRNMQDNTSEQLRSGIMPEQLSLYYCDPQGEIQGPFHGVDIISY >cds-PLY75616.1 pep primary_assembly:Lsat_Salinas_v7:9:119857199:119859398:-1 gene:gene-LSAT_9X77980 transcript:rna-gnl|WGS:NBSK|LSAT_9X77980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPEKSVNSSWVFRSTIQWSFRVLMFAVVVGLMIFWGIDGFDVSDFHKDFVMKLDVNLGKGLKTLNYTNRADSDSTPTPSPPLDVNLTNPAEAHQDSPANVIGKPKPVSLEWVSAKLDSNYSSNLLVRWMAPGGEPCKDSSTEGILIHGLDNNNKIELSSGDIHTYVIQTLDGSGKPRCLGGDYFETDLSGDTWKSRPPIKDFGDGRYSFSLQVHPGFVGDYNLTIVLLFRHYQGLKFSPERFAVDKVLRVIPITFKNNSTTSGQLPDLRVCNKKSDYARDVWAGRWTRHGKNDKCEISNDGRYRCLDHNYPCQNPWCHGHLGSIESNGWVYSTHCSFKLFDSKSAWNCLNNRWLFFWGDSNHCDTIRNMLNFVLNLEMATVPRLFDMNITNPNNKIQSLRITSVFNGHYNHTGNYQGLNSLYNDAYRDYLKQYFSGDIVPDTLIMNSGLHDGVYWPNLRRFTKGAQDAASFWAEVLDGVRRRNIVGPEVIYRTTVATGGYARRLVFNPNKMEAFNGVFLDKLRQFGVIDHVVDHFDMTYPWHYDNRCNDGVHYGRAPAKMRWRDGEIGHQYFVDLMLCHVLLNLLCAR >cds-PLY88272.1 pep primary_assembly:Lsat_Salinas_v7:8:153549564:153553896:-1 gene:gene-LSAT_8X102340 transcript:rna-gnl|WGS:NBSK|LSAT_8X102340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMRYVVGQYVTDGEPHTNFHADTNLSSCSLRRRRVSICNKPVTQLSFSRLDLQQTGDAGDLQHRRHRAICNPAIRCIQDRQNGYVCCQTGHMRSRECHQLDNMIQLTLESFKSFLQKKFYPTLFYIQMKMGGFSLICITCNGPTDRWCFRLKFQLLVARDDQFTIPLFIHLVLREKIMSMEHEVPGGEKDIVTLDA >cds-PLY71814.1 pep primary_assembly:Lsat_Salinas_v7:3:58453508:58454178:1 gene:gene-LSAT_3X45700 transcript:rna-gnl|WGS:NBSK|LSAT_3X45700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVGGSPAGRIVMELFADTTPKTAENFRALCTGEKGTGTSGKNLHYKGSSFHRVIPNFMCQGGDFTRGNGTGGESIYGNKFADENFKKKHTGPGILSMANAGPNTNGSQFFICTEKTEWLDGKHVVFGEVVEGMDVVRAIEKVGSGSGTTSKPVVIADCGQLS >cds-PLY85080.1 pep primary_assembly:Lsat_Salinas_v7:7:7218553:7225056:1 gene:gene-LSAT_7X8041 transcript:rna-gnl|WGS:NBSK|LSAT_7X8041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKRWVRRNKEYVHSLESLANGLTWLLPERFSESEIVPEAVTSILGIMTAVNEHIIETTPTNPSQMHTRHMEASSFPYSLFLTLMKDVETLVEVVAQHFYGDDNRWNFLAVTEATKVLARLALLRNSGYKMLLHGGETTNDGNSTNDAEQQDVRGRLLQQQQGQNGHFTPQGRALSAMSRFGENARMLSDPTWFRRVEHHQRAVMELPETEIKRPTMSSFLSEKGISGSLFLMGEVMFIARPLVYVLLIRKYGVKSWLPWLVSLSIDIIGMTSSIACQKDPKLPLSDPEKDELRRRKLLLALYLMRDPCFAKYTRQRLESTGKTLEHVPVVGFLTGKARISLKQEVQERRFHAPQSATENHITVEHTGITRNTHPHIESE >cds-PLY64218.1 pep primary_assembly:Lsat_Salinas_v7:7:3733234:3734592:-1 gene:gene-LSAT_7X2461 transcript:rna-gnl|WGS:NBSK|LSAT_7X2461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPHSIFNLPQDVIFDILSRLPTKSLIQFTSVCTSSPPLISHPSFTKLHLSSSAAAADDQHLLVYYESTDYINQFYSFRSPITFQESLKLQIPYKNLHGYLRIVGSNKGVICFFDTNYYSNVGMVILWNPSIKKLKKIDDPSYILDRVSHFVVGFGFVSRTCEFKIVVIVYYLDNSNTNSVSVYSLGTDSWKKKEDNIAPCYLMRGWSNNVFVNGFVNWLACKQEIRGVSHEIMAFDLEDEIFHVLELPKNIRPSYNQVHLASYGESSSLTFCAHYLELNGEKWDMWVMSDYGVVDSWRKVCVISQPMLSIPPLLMKNDNEVLIVTNDGRLMLFDVNKNEMFDLKTCGLPRMYRAINYTASLALLHG >cds-PLY83201.1 pep primary_assembly:Lsat_Salinas_v7:1:49636566:49639890:1 gene:gene-LSAT_1X44140 transcript:rna-gnl|WGS:NBSK|LSAT_1X44140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNKREENLLKKRQVLSSSQPLSSGVPVQTSTVEKKLESLPSMVAGVYSNDNNLQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLMREDFPQLQFEAAWALTNIASGTSENTKVVIDYGAVPIFVKLLASPSDDVREQAVWALGNVAGDSPRCRDLVLGQGALIPLLSQLNENAKLSMLRNATWTLSNFCRGKPQPPFEQTRPALPALERLVHSNDEEVLTDACWALSYLSDGTNDKIQAVIDAGVCQRLVELLLHPSPSVLIPALRTVGNIVTGDDIQTQHIIERGALPCLLSLLTHNHKKSIKKEACWTISNITAGNKEQIQTVIEAGLIAPLVNLLQTAEFDIKKEAAWAISNATSGGTNEQIKYLVSQGCIKPLCDLLVCPDPRIVTVSLEGLENILKVGEVEKNAGNSGDVNYYAQLIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWLDEEDEAVADATGAQGGFNFGGNNVQVPSGGFNFNS >cds-PLY92865.1 pep primary_assembly:Lsat_Salinas_v7:8:40831979:40841776:-1 gene:gene-LSAT_8X31860 transcript:rna-gnl|WGS:NBSK|LSAT_8X31860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCIILKFPKALILRSPIVVSGGHRKVKVSEDRQIHLKLYASYVFNPINRLIGCTPMMRSKHYTIEEWDTKPIRKIPSLVDLCVQKAVDNVRYLGDVGETDFHLLERFLPHCTVEQLMHIEDSTEDRDLSPVTDKLWKNFYVLQFGANSTNVVVERMKEKRVSFKWRQLYEAKVKDNEEAQQKSFERIKQLYKKENAKKQSRQVQLCTKVPPSTNKRGFWGGGPSSNIGNTKSGIMKKAKLEFLNSREVKNLSAMKKTNFQNNQRSRQRRSVCEGKKDGVSSRREAERSGVEWEEKGAVVSTVTCNRGGVCAGRQWSSVKCNGEDGGGSF >cds-PLY95891.1 pep primary_assembly:Lsat_Salinas_v7:3:185480791:185485328:1 gene:gene-LSAT_3X111441 transcript:rna-gnl|WGS:NBSK|LSAT_3X111441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEFPSPSKDKPIGFWASPRPQMENPASMDGFTRPDDPFNSFSELMNFDTYAGWCTSPSAADQMLASFALSAGYAPYDYTNFTEQVKSGFLPTDGEINGVERVSFPTPDTQFTIPVNSSDENGNGSSCQQKKFQENGNGIIHRSPGGRPLAEKMLKALSLFKDSSGAGILAQVWVPIMEGDKYILSTCEQPYLLDQMLAGYREVSRGFTFSAEVKPGGFLGLPGRVFSSKVPEWTSNVSHYNKGEYLRKDLALSHEVRGSIALPIFEDDSYDTSCCAVLELVTMKEKADFSAEMDHVSRALESVNLKSVAPPRLYKQCLSKNQMAALSEITDVLRAVCHAHRLPVALTWIPCSYNEKLHDETIKVRVGGRKRNFNSSEKPILCIQTDACYVNEREMQGFIQACSEHHLEEGQGIAGKALQSNHPFFYPDVKDYDIRQYPLVQHARKFGLNAAVAIRLRSTYTGDDDYILEFFLPVNMKGSTEQQLLLNNLSGTMQRICRSLRTVSESELSGVGGGVSGGGVDAGFQMGVDERSLPLEVSRSSSEKKYVEGSLIPGDQVSVNGTEPVDVRIGPRPPDQMVGGSRRQSEKKRSTAEKNVSLSVLQQYFSGSLKDAAKAIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLDSVQGVEGGLKFDPTTGGLVPANPVNPVNQDTQKSVKVEDESYTIVNKSTSIPIVTNSINSLKTPWTFSKPDQSSGCDDMDTCDDMEKSNQSTSSSMTDSSNGSMMNGSSSSSPVFKSPKNEMLFGDEGNNNNNNNNNNNNKMITVKATYKEDTVRFKYDPLSGWFQLYEEVGKRFKIERGTFQLKYKDDEEEWVLLVCESDWIECLEILEFLGTRNVKFLVRDATFGMGSSGGSNCFLMGSC >cds-PLY94818.1 pep primary_assembly:Lsat_Salinas_v7:2:177850827:177854129:-1 gene:gene-LSAT_2X97920 transcript:rna-gnl|WGS:NBSK|LSAT_2X97920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMMHRLVRLRSIAKSSSQHRGGVAALFSTAMLFDDTQIQFKESVAQFAQEHIAPHASTIDKTNNFPQEVNLWKLMGDFNLHGITAPVEYGGLGLGYLYHCIALEEISRASGSVGLSFGAHSNLCINQLVRNGNAAQKEKYLPKLISGDHIGALAMSEPNAGSDVVGMKCKAERVDGGYVLNGNKMWCTNGPTAQTLVVYAKTDVAARSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFDNCFVPNENVLGEEGKGVYVLMSGLDLERLVLSGGPIGIMQACLDVVLPYVRQREQFGRPIGEFQLMEGKIADMYTSLQSSRSYTYSVARQCDNGILVPKDCAGVILLAAERATQVALQAIQCLGGNGYVNEYPTGRLLRDAKLYEIGAGTSEIRRIIVGRELFKEQ >cds-PLY83726.1 pep primary_assembly:Lsat_Salinas_v7:4:39656352:39658411:-1 gene:gene-LSAT_4X26320 transcript:rna-gnl|WGS:NBSK|LSAT_4X26320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPKPSWVFKILTILSLFHLSQAQTTPSCSEVDRAALIGFKARIFKDTSGILSSWVGKDCCSGDWVGIQCDAVTGRVTGVVLQGSSGNNALYMKGIISPTLGELKLLKVLVISGMKKLSGVIPSSFSGLIHLTQLVLEDNSLKGTIPVGLGQLKSLQTLSLSGNYLTGPVPQTLGTLKSLLQINLARNSLSGTLKFPNLGSLEYLDLSYNMLSGTIPTVLGLQSNMTFLDLSNNRFSGQIPDSLCNLKNLVDLSLSNNLLTGQVPARIGQLKSLSTLSLGFNMLVGQIPDSVSNLQNLWQLNVSRNQLSGPLSGVLSKGIPSLLSIDLSYNKFNLGSVPKWITSRQLSDVNLAGCNLKGVLPIFTKPESLISIDFSDNHFTGGVSGFLKKMSSLQKLKISNNQLRVNLSQMVLPNGLGFLDLHSNQLFGSLSGVLSKGGFLEYIDLSSNQISGNIPSTITNLGNLKRFDISRNRVTGTIPPSLGSLSKLGWLDISSNLIGGKIPVSLLVIKQLGHVNFRANKLCGEIPQGRPLNVFPSAAYAHNLCLCGKPLPPCKQG >cds-PLY83971.1 pep primary_assembly:Lsat_Salinas_v7:8:35440071:35442426:-1 gene:gene-LSAT_8X28360 transcript:rna-gnl|WGS:NBSK|LSAT_8X28360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGKSSSNTAGKPIRCRAVIARKPREPLVIEEVIVAAPKPREVRIKIICTSLCHSDINYWKLERSPAIFPRILGHEAIGVVESVGEGVHEVVEGDTVIPMFLPDCGECADCLSKKSNLCSKYPFHCTPWVGRDETSRFTDMNGETLYHFLFVSSFSEYTVVEVARVIKVDPAIPANRGCLLSCGVSTGVGAAWKAANVETGTTVAIFGLGAIGLAVAEGARLCGAKRIIGVDVNQDKFEIGKKFGVTDFVNPRNIGDKTVSQVIIEMTDGGADYCFECVGLTSLVHEAYAASRKGWGKTVILGVDQPEAMLTLSSFEVLHSGKSIMGSLFGGLKPKSDIPVLIKRCMDKELQLDAFVTHEVEFEDINKAFDLLLQGKSIRCVIWMKE >cds-PLY66935.1 pep primary_assembly:Lsat_Salinas_v7:7:21139082:21139979:1 gene:gene-LSAT_7X17421 transcript:rna-gnl|WGS:NBSK|LSAT_7X17421_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQLGVAQNAKSLNALLFSCMFAKKYNEVKRVYLEFPLKYGVTPNLDTYNTVIKSFCESGSSSSCYLVISEMVRKNFKPNATTFGTLIAGFYKEEKLEEVGKVLELMRKHEVPIGISTYNTRIQSLCKLKKTNEAKELLDGLMLSGMKPNSVTYCHLIHGYCKEGKLDEGKNLFHKMINSGFKPDSDCYFTLVNYSCKNGDFEEALKVCKQSMEKDWVPNYSTMKMLVEGLAKSSKVNEAKELVEKMKEKFPKNAYMWIEVEETLAKSEDI >cds-PLY76060.1 pep primary_assembly:Lsat_Salinas_v7:3:155476254:155478013:-1 gene:gene-LSAT_3X98660 transcript:rna-gnl|WGS:NBSK|LSAT_3X98660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSFPMERKKTVNQVKEALEHRHHGYYKVYNLSVEQDYDPSPFCGLVERFSFDDIHVPSLPMIKEFCESVHSWLSSNQKNIVVVHCMAGKYQTGLMLSSYLVHNGMLADEALQSYVDKTTKSNLGVTIPSQRRYINYWQKSLSFTGGCPPKVNLPKECTREIQRIRLYDTKNIETVFFVVSEMQEAYGQRYHRSEETCRNFCKESKNGVFENNHRHSYPFIEEEEDGHNRLDCYFIKTVEVSGDVCVTFYEKSFGGRLFYACFNTAFIEHNLIQFSMLELDKVENKGKSVAGPEFYVELLFAPEKTNEDVAV >cds-PLY93448.1 pep primary_assembly:Lsat_Salinas_v7:9:65199219:65202554:1 gene:gene-LSAT_9X55481 transcript:rna-gnl|WGS:NBSK|LSAT_9X55481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 715, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G52400) UniProtKB/TrEMBL;Acc:F4KG63] MENLEAITFAMAAFFSFLLWRVLYSCWVLPIRAYRKLAINGFSGPPPSFPLGNTTEMKKTKRVESSSSSSTVSNDIHSSVFPYFAQWQKSHGKVFMYWLGTEPFLYIADPEFLKKISSGVMGKSWGKPTVFKNDRKPMFGDGLIMVEGDDWVRHRHVITPAFSPANLKAMTSMMVETTKSMLDRWTGLINSGNPEIEAEGEIITTAGEIIAKTSFGMNFENGRAVFKKLRAMQVTLFKSNRYVGVPFSKYLCLGQNLEAERLGKEIDALLLSIIVDRKKSMVENGGGKQHGQNLLGILLEETHVEGQKGRKLSTSELVDECKTFFFGGHETTALAITWSLLLLAVHPMWQHELREEIKQVIGDGDIDATMVAGLKKMSWVMKEVLRLYPSAPNVQRQVRGDIQVDQDSIIPDGTNIWIDVVAMHHDYDLWGNDVNEFKPERFKDDLHGGCQHKMGYLPFGFGGRMCVGRNLSAMEYKIMLSLILTRFSFSLSPNYIHLPSIMLSLRPMQGLPLIMKPL >cds-PLY69383.1 pep primary_assembly:Lsat_Salinas_v7:8:258962907:258967344:-1 gene:gene-LSAT_8X151441 transcript:rna-gnl|WGS:NBSK|LSAT_8X151441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATKKRDRNNLLAVTGAAAFVAVAVKFAIDAINSKRNDLKKKGLRGSIVRANLSASEILKLADRLIANSKAVHDAVASVPLDKVTYTNVVLPLEELEASQFPLIQSCVFPKFVSTSEEIRKTSAEAERRIDAHVSTCSHREDVYRTVKAFAAKGEWKSMELKRYTQILIRDFERNGMNLSITKREELQRLRTQIDELSLQYIQNLNDDNSFLLFDHSELLGLPLEFLKSLEKSENDKYKISLKIHHVSAVLDLCKVGLTRRDVAVAYGRRCEANLPILEKLVQLRHKSARLLGYNNYADFVVGCRMAMSSSKVFEFLEEISANLNNFASQELNLLKDFKKKEEGEIPFGIQDLPFYIKKIEEEQFDLDFEAVKQYFPVSLVQSGIFKVCEDLFGLRFEKVINSDTWHHDVELFSVFDLNSTDLIGYFYLDLYTREGKYGHTCVIPLQNSSLINGSRQIPVVLLVAQIKKECGGDPVLLRFSEVVKLFHEFAHVVHCMCNRASYARFSGMRLDPDFVEIPALVLENWCYEAASLKLISGFHQDITKPISDNVCKSIKKWRSSFSALKLKQEILYCLFDQIIHSTENVDIIGLFKHLHPKVMLGLPMLEGTNPASCFPSSAIGCDAACYTHIWSQVFADDIYASKFRDDIFNQNTGMHFRNKIMEAGGSKEPIELLSDFLGREPTVKAFVDSKAASYNEASFR >cds-PLY94091.1 pep primary_assembly:Lsat_Salinas_v7:5:82855351:82856707:1 gene:gene-LSAT_5X38660 transcript:rna-gnl|WGS:NBSK|LSAT_5X38660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLQCLYVDMGIGKMIPLCFGVYGKAMKKWSNQLSNKKSMMKAAAQLTHFQFPCNDSLVIKEATIDVAEVQTCWNELGFL >cds-PLY63423.1 pep primary_assembly:Lsat_Salinas_v7:7:151951795:151953935:-1 gene:gene-LSAT_7X89141 transcript:rna-gnl|WGS:NBSK|LSAT_7X89141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVKVSRLLTRQQKKKRVVVVGGGSFELDMSYITNRILAMSFPAELMRAMYQNPMIQVKAFLQKKHPRHYKVYNLCTEQAYDPSLFNNLVERFPFDDNHAPSIQMIKEFCESVHSWLSSDPKNVVFIHCMAGKGRIGLMASCYLVYVGMLADEALPVYADHKGSTNYLRVMTPSQRRYVNYWRRSLTFPDGCLPEVSLPKPCSKELRRIRLFDTKSVESIFFVVSELQEVTGDIYRSPVDACRNFCRKVRKNCGFGNSEIEEEDTQKCLDHYFCDKTVKVAGDVCVTFYEKNIGGRLFYVCFNTGFIENDVIQFSIAELDKVGTKGNSVAGSEFRLEMLFSSANDKTNDS >cds-PLY82879.1 pep primary_assembly:Lsat_Salinas_v7:4:17575713:17578505:1 gene:gene-LSAT_4X12401 transcript:rna-gnl|WGS:NBSK|LSAT_4X12401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRRPIFHKLSLIFGPRPPWTWLLLCLFSVLALIVLLRSSTSTSFDSVTLSIKSDIYINYRRLKEQAASDYLELKSLSSGNNNLKEISLCGREREHYVPCYNVSANLQLGFTDGEEFDRHCELSQYQDYCLVRPPRDYKTPLSWPVGRDVIWNANVKITKDQFLSSGSMTKRLMLLEENQISFHSDDGLIFDGVKEYSHQVAEMIGLTSDVEFLQAGVRNVLDIGCGFGSFGAHLLSLKLMAVCMAAYELTGSQVQLSLERGLPAIIGNFISRKLPFPSLSYDMVHCAECGILWDKKDGIFLIEVDRILNPGGYFVLHGSSLSTKKGSMDSPIEEFTQKICWTFIAQQEETFIWQKTNDAQCYSSGKPGVIPPCKEEHDIQSYYQPLSSCIGGTESKRWVPIQNRSSKVEQYEFYEDFESSRLALRNYWSLLTPLIFSDHPKRPGDEDPLPPYNMIRNVMDMNALYGGLNAAFLEAGKSVWVMNVVPTRTRNTLPLILHQGFAGILHDWCEPFPTYPRTYDMVHANGLLSNLILEGCSLKTLLLEVDRILRPEGWVVLSDKVGPIEDARMIATQIRWEARVIDLENGSEQRLLVCQKPFVRK >cds-PLY63092.1 pep primary_assembly:Lsat_Salinas_v7:8:72679849:72683043:1 gene:gene-LSAT_0X39140 transcript:rna-gnl|WGS:NBSK|LSAT_0X39140_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNWRGLGFHLIFVCVFLFAATYTCLGVGNVSVLCSEQERVALLKFKQSVEDPFGMLSSWVGNECCMWERIQCDGVTGNVQRLHLKADDYYYISGNKVSSSLAELRHLKYLDLSGNNFYGIIPEFIGSLKQLTYLNLSDADFQGIIPPRIGNLSNLKVLDLSSNDYELKADDMAWAFGLSSLELLNLSYVDLSGAQNWDMMLHMIPSLKELSLSHCRLSNXNLVPFLNSSRILPNIKHLDLGYNSFKGPLPDLLQNMTSLTFLSLSGFNLSLAWNFPKLLSMIPSLSELHLSGCGLDKTHLSXHLFSPHLNYSTLSNIQHLDLSHNPLGSIFPSILTNMSSLEVLDLSYTMLNSSVPIMPKLLELHLSGNEFKQIEDVGIWRQCHLKRLSVIDNEFDMEMTDSPKNASECSQYSLELLELSGSLKGRIPETLGGLANLRDLDLSYNKLTGSIPESVTGLRFLQVLDLSENQLTGPIPEFLGNLTQLVLSSNQLNGSIPESLGKLASLTDLDLGSNLLDGTIPVSIGQIAKLRTLYISNNSLEGAVTEAHFANLSVLKYLDASSNTKLTFNVSCGWIPPFQLIYLSLSSCNIGNGFPQWLRHQRKLKRLELSNATLSGPLPTWLRKMPIISYLDLSHNKLSGSLKNLPNAKNDDVYGFFVLLLLEYNLFSGSIPRSLCRRTDLEGLDLSRNMLSGKIPNCVGNLQGLAIMSISSNQLSGAIPSSIALISSLFWLNLNKNNFTGEVPPELGNLQGLGVLDLGDNKLYGNIPNWIGKKLTSLVVLSLHKNNFTGRIPPSLCKSSNLQILDLAYNNLTGTIPPCVGNLNGMVVSHLIYEHFLDIDDDKNVIQVMKGVDLEYTTTWRMVYNMDLSSNKLEGEIPVELTTLSMLVGLNLSNNHLRGGIPESIGKMKKLETLDFSKNKLSGSIPPSMAALTFLSHLNLSHNNLSGQIPTGNQLQTLIDDPSIYAGNKHLCGPPLQNTCSNHQDSTTTTSKKKHKAADEKMEVWLFYVDIMSGFGTGFWGVIGVLMFKKQWRHKLFMFAEETMDKIYVAVVVRVAKFKRGRE >cds-PLY66282.1 pep primary_assembly:Lsat_Salinas_v7:8:308422006:308422371:-1 gene:gene-LSAT_8X167121 transcript:rna-gnl|WGS:NBSK|LSAT_8X167121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASNHSPLETLQEPSIDTDRLSYEIFSIFESNFLFGYDDQELWQPKKVSLEVSVAVADYEGVQSIKNQRGKICVLSIDGGGMRSILARKALTYLESALKEKSGKRRQMEKEDSTLRAFWIL >cds-PLY88141.1 pep primary_assembly:Lsat_Salinas_v7:MU040217.1:175111:176861:-1 gene:gene-LSAT_0X31360 transcript:rna-gnl|WGS:NBSK|LSAT_0X31360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSRAFLLSRFADISLFHHQPPPYSHHLLIRPLPPSFSAITASKKRPGPVAIVSCLISGVDGGGVSDEFVSTRRSVSFDREFSVIANMLKKIEPLDTSVISKGVSDSAKDSMKQTISTMLGLLPSDQFSVMVRVSKRPLDLLLSSSLITGYTLWNAEYRIMLMRNFEISPSNDSKKQNCGEDNEVSDEKVDKSECLCDSVVMECCTEESERLNLQSCLGDLTPEAMNYIQQLESELSTAKKELHGRKQENMQIENTRESDNDLLKYLRSLDPDMVNELSRPSSSEVEEVIQELVQCTSRKFFKEETTENLDIGSQENYPNDNDNFCDTIGTSRDYLAKLLFWCMLMGHHLRGLENRLHLSCAVGLL >cds-PLY71604.1 pep primary_assembly:Lsat_Salinas_v7:2:104311287:104313782:-1 gene:gene-LSAT_2X45721 transcript:rna-gnl|WGS:NBSK|LSAT_2X45721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGGMSSDNIHGLVLAISSSLFIGSSFIIKKKGLMKAGATGIRAGEGGYTYLKEPWWWAGMVSMIIGEVANFAAYAYAPAILVTPLGALSMIISAVLAHYFLDERLHIFGVVGCALCLVGSTVIVLHAPQETQVDSVKQVWFFATEPRFLVYAFLVLVFVGVLIYRYVPLYGGTHLVIYVGICSLMGSLTVMCVKAVGIAMKLSFSGSNQFIYFQTWIFTLLLFVFCLTQLNYLNKALDTFNTAVISPVYYVMFTSLTILASMIMFKNWNHQNATQIVTELSGFVTIFSGTFLLHKTKDMGTPVVVESPKLSHSVTRSTNESPRRPEV >cds-PLY99149.1 pep primary_assembly:Lsat_Salinas_v7:2:11820429:11827183:1 gene:gene-LSAT_2X6240 transcript:rna-gnl|WGS:NBSK|LSAT_2X6240_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKNIEKLLSQITKRFFDLKWRFLLLLIVPISLLLFLSITTTRVVGNSGMFTGYNPLHYLKNLKSFSVNQNRSPNSGTTFPTTELKQSRMAVCLVGGARRFELTGPSIIEKILEEYPNADLFLNSPLDSNSYKFSLLKTAPKIASIRIFKPVDIPENESAVRVLTASNSPNGIQGLLQYFNLVEGCLTMIKSYQQQNNFTYNWIVRTRVDGYWSSRLRPNLFIPGQYVVPSGSSYGGLNDRFGVGDFNTSVTALSRLSMIPDLDSAGLTELNSESAFQAQLRLRNVSYLTKRMPFCVVSDRTYDFPPGRFGVPVATLSSKGPLSGVKCRPCSSRFSGRWSAAVVNGLDRQWSWTETANGTLQLCDGHGGWEDGWEELFDGVAGEKLAVERKRVTALLFDECVADFEYIKRRSAVWDVPPATELCQPVR >cds-PLY73571.1 pep primary_assembly:Lsat_Salinas_v7:4:321423965:321424668:-1 gene:gene-LSAT_4X160361 transcript:rna-gnl|WGS:NBSK|LSAT_4X160361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPSQPSYHNSIHSVRKPLQKHMMKQFIAPLPPTPPTIYNVDSSNFKEVVRVLTSEPEFQYPSARRLKDSAPPPLILSTIPKPSLFHLFPKQTPSTAPSSEGGSMVSPLPTFMLSPNISNLLKETLNTTRYTSKSGLMDYFSSKPGEYNPSEDAVMSPLGFNMSPTSLSWCSSVFSSEGSFPS >cds-PLY77569.1 pep primary_assembly:Lsat_Salinas_v7:2:164874244:164876547:-1 gene:gene-LSAT_2X86340 transcript:rna-gnl|WGS:NBSK|LSAT_2X86340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta chain [Source:Projected from Arabidopsis thaliana (AT5G38530) UniProtKB/TrEMBL;Acc:Q9FFW8] MSPSLSFSVNRSPKFSFQGEVKKHGNSLFNANPNHLKFSFREKPVSTTLTPHAVATEIPTHWYNIIADLPIKPPPFLHPKTFAPLQPQDLSHLFPDELIKQEASNERFIVIPDEVIDVYRLWRPTPLIRAKRLEKLLDTPARIYYKYEGVSPAGSHKPNTAVPQVWYNAQQGVKNVVTETGAGQWGCSLAFASSLFGLNCEVWQVRASYDQKPYRKLMMQTWGAKVHPSPSSITESGRRILEMDPLSPGSLGIAISEAVEVAGLNQDTKYCLGSVLNHVLLHQTVIGEECIKQMEDLGETPDVIIGCTGGGSNFAGLCFPFIRERLKGKINPVIRAVEPTACPSLTKGVYAYDYGDTAQLTPLMKMHTLGHDFIPDPIHSGGLRYHGMAPLISHIYELGFMEAIAIPQIECFRGAIQFARSEGLIPAPEPTHAIAAAIREALHCKESGESKVILMAMCGHGHFDLPAYEKYLQGAMVDLSFSEDKMQASLANIPHLT >cds-PLY75507.1 pep primary_assembly:Lsat_Salinas_v7:9:33203168:33203377:-1 gene:gene-LSAT_9X31381 transcript:rna-gnl|WGS:NBSK|LSAT_9X31381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVSTTSNREFVHQVKFVFLRIVLRYDMNKEISRRKIENGVRNDEDDDGGALSSLSRRCEFDEQQLKIY >cds-PLY72276.1 pep primary_assembly:Lsat_Salinas_v7:5:196413495:196414428:1 gene:gene-LSAT_5X87760 transcript:rna-gnl|WGS:NBSK|LSAT_5X87760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEDARPTTTPKTPVANNHQDPTMAEAKASPIKRIMLPFTILSFLLSFPILFCVVWLLYIREGNCEHLLPLEKLHVGIVFGLIVLFVISNGVLVFLRSRFLMLGLILVMVPLLVILTIGLALIGAYTIDGRLIPGSPVWFSMMVNNDSNWNAIESCIYNTRTCQDLAFQWSYDFSTNKLSPIESGCCIPPSICDMKYVNATCWEKKPIVYEVSNGEYDIDCDLWQNDATKLCYDCYACRKGFISTLRQKWYRLGVFLVVVTILLIASHMLLFITTMWERHA >cds-PLY67156.1 pep primary_assembly:Lsat_Salinas_v7:8:205331101:205331412:1 gene:gene-LSAT_8X129720 transcript:rna-gnl|WGS:NBSK|LSAT_8X129720_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTLCVAVPARCSSRFFSGHSYREFVLLLLPSLRSSPTVPVVIHQPKEAVRRIATPLLLFFLVGVARVCLASTFAAVGSPWVDVSVLPATPAVLAVTFLGV >cds-PLY69001.1 pep primary_assembly:Lsat_Salinas_v7:9:140770518:140772316:1 gene:gene-LSAT_9X90320 transcript:rna-gnl|WGS:NBSK|LSAT_9X90320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTPESKTNASPKDSKKPRLTDQAASVKRKRFRRIKSAPLADSFGSDFKKAVASLPRPKSVFDHFYPSYWKIGIVFFAYLVAGMVCFHLARHQISGKKTNSILDALYFTVVTMTSVGYGDLVPASTLTILLACLFVVSGMVIVGVVLSKAADLLVEKQANLLVKAMHMNETIGEAEILKKIKTKSVRHKCITLLVFLLVFMAAGTGILLSVEDLNFINAFYCVVATLTGLGYIDKCFSTTGGRVFALFWILLGTLYVAQLLFTFALLHTERRQRSLVKWVLKRKTTVSDLEAADFDGDGIVVAAEFIVFKLKEMGKINEDDITPIMDEFETLDFDKTGTLTVSDLLFSQSSLPASDVLTARVSF >cds-PLY82619.1 pep primary_assembly:Lsat_Salinas_v7:8:176484134:176484289:1 gene:gene-LSAT_8X114681 transcript:rna-gnl|WGS:NBSK|LSAT_8X114681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFSVGSGRVSEGSSDFDDTWLRSKFRAMAPAVGSIARMVMGGGSRPKSGE >cds-PLY65996.1 pep primary_assembly:Lsat_Salinas_v7:4:141702809:141705831:1 gene:gene-LSAT_4X88141 transcript:rna-gnl|WGS:NBSK|LSAT_4X88141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASTSMNLTTTFIGLAKLQKTSIAGNHVPRMWRTITFRHRGFATTSRSIHPPFAAVSLSRAKRLSRNLSVAATAAPTQQDEDYEDSDVFTKIPPDNRIPATIITGFLGSGKTTLLNHILTADHGKRIAVIENEYGEVDIDGSLVAAKSVGVEDIVMLNNGCLCCTVRGDLVRMIGELVSKKKGKFDHIVIETTGLANPAPIIQTFYAEDQIFNDVKLDGVVTLVDAKHANIHLDDVKPKGVVNEAVEQIAYADRIIVNKTDLVGSAEVSALIQRIKGINSMAELKRTEFGKVDLDYVLGIGGFDLERIDDAIEDEASKEDEHEHDHDHENCHNPDHDHHHHNHDHDHKHEHHDHTHDPGVSSVSIVCEGSLDLEKANMWLGTLLMDRSEDIYRMKGLMSIDGMDERFVFQGVHDIFQGSPDRPWNPEESRVNKIVFIGKNLDAQELEEGFKSCLM >cds-PLY70480.1 pep primary_assembly:Lsat_Salinas_v7:1:75138903:75140675:-1 gene:gene-LSAT_1X62361 transcript:rna-gnl|WGS:NBSK|LSAT_1X62361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEIEGSPGTSMHGVTGREPVLAFSVASPMVPTDTTAKFDLPVDSEHKAKVFKLFSFANPHMRTFHLSWISFFTTFVSTFAAAPLVPIIRDNLDLTKADIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLIMMSAPTVFCMSFVADASGYIAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLLMPVLFEIIKKAGATPFTAWRIAFFVPGWLNAIMGIFVLTLGQDLPDGNLGALQKKGDVAKDKFGKVLWYAVTNYRTWIFVLLYGYSMGVELTIDNVIAEYFYDRFDLQLHLAGIIAACFGMANLLARPFGGFTSDYMAKRFGMRGRLWNLWLLQTAGGVFCVFLGLVNSLPLAITFMMLFSIGAQAACGATFGIVPFISRRSMGIISGMTGAGGNFGSGLTQLIFFASASISTAKGLSYMGIMIIVCTLPVSFVHFPQWGSMFFPASQDIVKGSEENYYVAEWTEEEKQKGMHQASLKFAENSRSERGGKVASAPTPPNATPNYV >cds-PLY67384.1 pep primary_assembly:Lsat_Salinas_v7:4:212108681:212111617:1 gene:gene-LSAT_4X119521 transcript:rna-gnl|WGS:NBSK|LSAT_4X119521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSMFRRRGSQRQQQEQEHHELHPESKINELKAALGPLSGCSLLYCTDACLKRYLEARNWNVEKAKKMLEETLAWRSTYKPEEIRWNEIAKEGETGKVFKANFHDRFGRTVLIMRPGRQNTTSMDNQIKHLVYLIENAILYLPEGQEEMVWLIDFTGWSLRTNVSIKTARETINILQNHYPQRLAVAFLYSPPRLFEAFWKVVKYFLDPKTFHKVKFVYLKNKESVALMESCFDVDNLPTEFGGKATMKYDHEEFSRLMIQDDVKTAKFWGLDKITLVETNGHATPALVA >cds-PLY97818.1 pep primary_assembly:Lsat_Salinas_v7:9:2136322:2137520:1 gene:gene-LSAT_9X5500 transcript:rna-gnl|WGS:NBSK|LSAT_9X5500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARSFSNAKRLSSFFGDQLSVVISKRGLAAAPQSGALGGGAAMMKKGGEESSKSASRLPDPAVTGNLRPEFRSNQVYSFLNYTHPFSSHVNVYKSQNPSMFSFYNRFATVAAPYVASPSASHARPLSPHLSIYKPQSSSMFSISNRIAACFLSAVSLLFYLICMKTGLICFTYNSFYQIFFGLAGFTELICYSSIPLILLHILHAVKH >cds-PLY79325.1 pep primary_assembly:Lsat_Salinas_v7:4:412592:417280:-1 gene:gene-LSAT_4X1521 transcript:rna-gnl|WGS:NBSK|LSAT_4X1521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQSFNDRVDKVFGSISSSSSTPWSLTDAQVERRVWNRHKADNKDDDDDETLVSSSFDNLFNGRSNRRKSLDEDDDEDVGGDDAFETENDVDEWDIRSSIGLDSTLDKEEEEDAYDKMAEGRENGDECERVYMKDVTDHGPYLNSHNVLPSLVHENHDAAKTRLKEEDDDDDEPMLNAEEVEACVPAASGECEGGYGMRIKSILKRKNNDDALKPTAKRVRFDPSCNKTDGLRNGIQVQGFASPGNVPDYVVNPSKYTRYDFDEEGSNREAYLEIMESKHVGVEESLPTSSSSSSSVVFIPRKKKKRGDDDDDDDDDGIGAGEAAAFNHVRVREEQAKAKRSSTAKGIIAIAALELEVQQQQGEGEAISQEMQEEEEESHVCSKKPGRKYRSRMEQKDDDDDNTS >cds-PLY76993.1 pep primary_assembly:Lsat_Salinas_v7:6:63367163:63368517:-1 gene:gene-LSAT_6X46640 transcript:rna-gnl|WGS:NBSK|LSAT_6X46640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCVQEFGSECGGPNQRCVYISYLDSVKYFRLVGKSIGYLEYYKTWGFATCSIWAFPLIKGEDYIFYCHLETQRTPKYKSMLKKGSEDGVVMDYTNLYNQFFVASGEGNTKITAARLPFFDSDYWSGADENIVRKLEVEETSDGGLQSKLKAIGQDKLDVALGQTILPVKENFMIAHLQHVCTQCHEVILSGSTWFCSHYKKIQLCSRCFNDEKNLSRSEIHTCHSGEKNLLSEVMVKNMTVDTKDKDDVFVNSFFETRDAFLNKCQKSHF >cds-PLY67389.1 pep primary_assembly:Lsat_Salinas_v7:4:212307907:212309156:-1 gene:gene-LSAT_4X119320 transcript:rna-gnl|WGS:NBSK|LSAT_4X119320_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIMIFISQFFDANRHVYFLINRWKLIKVKFGSSLTFILALAPTIDNGNRNITLIKDLDNMRDDYTLKVSIIWLWRSISNVNPTIVKSVEMILMDEMCNKIRASVYPRDFQRFESKLKEDQAVYIRSPTIVPNRYNFKISDVTSKLNLYGRTTVNECLQLQSKTTYGFVVFCLKALSLQQLHLMTQLMLLVNCFIRKV >cds-PLY63552.1 pep primary_assembly:Lsat_Salinas_v7:9:151251483:151251710:-1 gene:gene-LSAT_9X95521 transcript:rna-gnl|WGS:NBSK|LSAT_9X95521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIKYMFSKELAGHTLRYSNPDTEEAVLEHFSDVISYDYSLLKLLDAKGVDVGSLKKHLDDILHQDGVQCGSSSK >cds-PLY65096.1 pep primary_assembly:Lsat_Salinas_v7:3:254951034:254953406:-1 gene:gene-LSAT_3X140500 transcript:rna-gnl|WGS:NBSK|LSAT_3X140500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAKVLSLEEVSKHATKEDCWLVISGKVYDITPFLDDHPGGDEVLVLATRKDATEEFEDVGHSQNARDMLKDYYVGDIDVNTLPQKGQYYKPPSASASKQASAAGSSNNTILMLLLPIIIMLLAYALYFYAKKDA >cds-PLY68159.1 pep primary_assembly:Lsat_Salinas_v7:7:70556031:70556783:-1 gene:gene-LSAT_7X50461 transcript:rna-gnl|WGS:NBSK|LSAT_7X50461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFLAETETETEDQDEDEDEVPIKRTTEETEDTAVSTSMKTKIKGKVANYKKKIMGQILPRQLTERKGEDQKTENKREEDPKTEAKKILRNKNLRQAITEGSWGEVESIIKEDKNVIKEAINSDGNTMLHIAVGIGHNSFVNEMLSFLKGEDLPTMKNDDGSTALHIAAIVGNTRGADLLMRKEKRLLEISDNRGETPLDKAYENMHLDTIEYLLKAANEYEKTKKKSSRLGVTKGVDLLVNAVSAKEYGE >cds-PLY80562.1 pep primary_assembly:Lsat_Salinas_v7:6:13073682:13074980:-1 gene:gene-LSAT_6X9681 transcript:rna-gnl|WGS:NBSK|LSAT_6X9681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSEKPLSGRIPVKRNIKPPHPPSSTHKLRRRVVVRRSSKKPLKPLNRCNSEPALLTGVLSNDFRESTEDHGGMILQRRARADVFLSSPELVLPYSPPKIEVEEIVEGSSGPIRAMVKLGSSVEETMKMVKKQYDSEGRSPQIDQHSISSFELHPSHFSLQSLDKSKMIGDIGSRSFYMRKCKEDNSFTGSEIIVTMDSNSSTPTSIIVFPNFISQNFKKVITLSSKVLKILGCIDG >cds-PLY69728.1 pep primary_assembly:Lsat_Salinas_v7:8:117577258:117583838:-1 gene:gene-LSAT_8X80961 transcript:rna-gnl|WGS:NBSK|LSAT_8X80961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEEREAGVKFEHISTPQPADIAKAVGGDVAEAIIQVVDSVIPVFKWAAEELLNNYDLTSVELLAKALAKSIVSLYILCILKFDFIFFPYCNMVYFLHGYTEIKHMSLLSSIENHVTLHLEAGRPVYTPSEDQRLVEFADLQQLENPDLHVDSVRMMNLFNKIRELISALDCPWKFTLKDLIKPEADRTEFFLGTILNFLIHRGSRMDELNPVLEDLTNLGEQQQEVEARVLQLNTEISELNESRESETPLIHEVEIKIKELRQTISALNNHQMSLKATFRKKKDAAKEMDEKISSAEFALVQSAQENASLRSKIIQSPAKLQKALEEKKAVQIEAKNAEREAMQSFLEKTATLEVYAKAFHSYYLYIMTWHL >cds-PLY73072.1 pep primary_assembly:Lsat_Salinas_v7:2:30153655:30156874:-1 gene:gene-LSAT_2X12120 transcript:rna-gnl|WGS:NBSK|LSAT_2X12120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLPNHFPRTHIFPIYLFTFSKLQWASKFSSISKCQRQTGGAASVWFVKVVCTLYVRRKSSVTEVRISDYLRKKLDSSIAFTVIEHMNTNWNNPNLAYRFLEYTKVTLNLVHSFETYSFLVRSFCQSGVPDAAKLVIDSMRIDNLSPDSSLLGFVITSFIISGKLEVAMELISDYSQYSSEKDDPLSCFVFNRLLSSLIRINKVDEAVSFFEKVILRSQCYSPDAYTFNIVISGLCKARKVNKAFEFFNQMKEFDCLPDKVTYNTLLDGFFRVGNVKKAHELLQEMLIIDGFSCSPDVVTFTSVIEGYKKLGKMKEALLLFDDMINLGIKPNNFTFNVMIDGFGKIGNMVSLSSFYKKMLDFDCKPDVITFTSIINGHCRIGELSKAFKILDEMSRRNLHPNIRTFSILINALCKEGRLNEAHDLLQELNKRDDIAPKAFIYNPVIDGFCKRGNVDEANVIFKEMEEKKVNPDKLTFTILIIGHCMKGRMVEAISLFEKMVKDECAPDSITVNSLVSRLLKAGMPKEAYEIKKVALGVPLMEKDGFISKNLGIPVAV >cds-PLY91609.1 pep primary_assembly:Lsat_Salinas_v7:5:297720998:297722581:1 gene:gene-LSAT_5X159420 transcript:rna-gnl|WGS:NBSK|LSAT_5X159420_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATHDDINASSIENEDEAWVINSIEEAPPPPLSSARIPRVPKILVCETSDIYEKYYVPKVVSIGPYHHKNQKLELAEKLKPVFTKELLSKYTVGLSTLYKKLGTASMVQELRSFYEEKSTDHLSSKEFTMMMLLDGCFILYYILFIYGEKPGSCKELRSHQIVFIQQDLFLLENQIPFKVINELTDLINLTERRDKMRSFFTNNILVPGRQKRGWFGFRSGSTQNDQNFGSKLVADHHHLLHRLHSSLTRTREDDAQKDEISNRCTFRNVNELADVGIQFKPSSFMSLAHVEFVGRWWWFSANVRLPPITVDDSTKPMLLNLIAYEMCAEDAHDAWVTSYICLLDSLIDHPEDVKALRKAGVLDNSLGSDKEVATMFNEIGTDLVPNNLAYSKAKYEIQKHYDSLRNTRFSQLKHEYIKSPWSFLALVGAVLALFLSGVQTYFTVWGPPGECDDLCKFLKLNHHL >cds-PLY90714.1 pep primary_assembly:Lsat_Salinas_v7:8:143360464:143361456:1 gene:gene-LSAT_8X98400 transcript:rna-gnl|WGS:NBSK|LSAT_8X98400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATPETSREPCPDRILDDIGSAFGMGAVGGSAFHFLKGVYNSPRGERFIGGAQALRMNAPRVGGSFAVWGGLFSTFDCTMVYVRQKEDPWNSIIAGAATGGFLQMRQGFRPAARSALFGGVLLAMIEGAGIMLNKFMSAQQQMPVMIEEAPQSAPNMTPGFNLPNQDSQPEITPSSWFGGLFGGKQEEEIPKVKTEVLESFDSPLPPTFEFK >cds-PLY98499.1 pep primary_assembly:Lsat_Salinas_v7:7:126713270:126715427:1 gene:gene-LSAT_7X75481 transcript:rna-gnl|WGS:NBSK|LSAT_7X75481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARRPDDEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGALGALLVYDTTKPTTFENVSRWLKELRDHADSNIVIMLIGNKTDLKHLRAVTSEDAQTFAEKEGLSFIETSALEAVNVEKAFQTILGEIYRIISKKSLAIGNSGGGIGSSVKQGETLEVGSQEVNAKKACCS >cds-PLY81493.1 pep primary_assembly:Lsat_Salinas_v7:8:159318594:159319992:-1 gene:gene-LSAT_8X106241 transcript:rna-gnl|WGS:NBSK|LSAT_8X106241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNTAFVVEVIRQYPHLVREVNDDNQSIFHIAVSHRHEGIYNLLYEIGSLRNLIITLEDENGNNMLHLVGQSPKRNRLQDIPGVGLQLHLETLWFKEVESILPPPFREKKNAFGLTPHEVFTKNHKDLFSKGEEWMKETAAQLMVVASLIATISFAAAFTFPGGYDQVTGIPIFIRKELSKIFIIFDGLSFISATSSILLVLSILGSDYTEHDFMISLPQQLMICLGSLFISIATLILAFLINFLLLYQNSSKWIPIFISCFAAVTYMIFGSPKFPLVGRFLYGSRFLFERERRMLKKPIF >cds-PLY89940.1 pep primary_assembly:Lsat_Salinas_v7:4:4519710:4524475:1 gene:gene-LSAT_4X2880 transcript:rna-gnl|WGS:NBSK|LSAT_4X2880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 5 [Source:Projected from Arabidopsis thaliana (AT1G27880) UniProtKB/Swiss-Prot;Acc:Q0WVW7] MRTPDVDPPLQTRRSSGGDSLDTIAPTETLSAELPSNIHGSSGCPSSDTIGPIEILPAELPFKIHRSSGCDSFATIRQGENLLARSSRFASIYKNRKLCLNLESTEKGIDHGLEAKIGVSDSQFGDATVIDSETIVKERPNLIGSYTSRLEHPNTPDPTCVNETLGRDVNARDSGKVVKKHPNLIPSGVYPKEQVSKPKLVNEGNFVRLNINGHGGRKKYANRIRKKNPNAYNSSRKTYKRIKRKRKGGGEGEEEQSFCEEEGFPLEEDEETETRLDSEVIEQAVLNVRHDPSDENLIKLLKLTYGYDSFRVGQLETIRFILSGKSSMVILPTGAGKSLCYQLPAMVLQGMTLVISPLVSLMFDQLKQLPPVIPGGLLCSSQTLEESAQTLRRVQEGALKVLFVSPERLLNAEFTSIFSTTSLISLVVIDEAHCISEWSHNFRPSYMRLRASILRYSLGVNCILAMTATATTKTMHDVMHSLEIPPTNLVQTEQTRDNLQLMKDLMTLLKASPYTEVKSIIIYCKYQWETDTISKVLCDNNIRAKSYHSGIPAKDRRRAQEQFCLNKIRVIVATVAFGMGLDKSDVGAVIHYSLPESLEEYVQEIGRAGRDGRLSHCHLFFDDTTYFKLRSLMYSDGVDEYTVNKFLSQVFIGDSHSHSHSHSKGRICSIVKESASRKFDMKEEVILTILTRLELAEEQYLGLLPQASVTCVVNFHMTSPALLAAKDTVINAILKKSEIKDGQYVFDIPTVASSIGVQASTISNQLHIFKAKGEITYELKDPAYCYTILNFPKDICSLATDLAEWLSEVESCKVHKIDAMFNAAVFAVKTCDRRNGCSNSQHTACLQKKLLDYFNADNDNNIPNKMGQASRFLKADIKVFIQSNSHTKFTPRAIARIMHGIASPSFPSSTWSKTHFWGRYIETNFNVIMEAAKAQLIDAAGKSAV >cds-PLY80082.1 pep primary_assembly:Lsat_Salinas_v7:4:349503603:349504620:1 gene:gene-LSAT_4X172200 transcript:rna-gnl|WGS:NBSK|LSAT_4X172200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSCGGGQSSLGYLLGSGAGQAEADDNAPPPPENTTEAPKDSTEPPSDDATNTQTDESGNVINQNPTAESSHDSSTNNYHRADGQNCGNFITDRPSTKVHAAPGGNSSLGYLFGDEKK >cds-PLY88253.1 pep primary_assembly:Lsat_Salinas_v7:4:154682928:154687402:-1 gene:gene-LSAT_4X93840 transcript:rna-gnl|WGS:NBSK|LSAT_4X93840_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSRIEGGNGTQNLSAGVRRTIQSIKEIVGDHSDADIYSALKEANMDPNETTQKLLNQDPFHEVKRKRDKKKEVTPFKAPVPIKPRKPTEQPVQEIKPNTYPERNPRRPGYNWNNAPDTRVSRQFRVVRDNRINQNRHRDMKPPAKPSVAVPTKEPQISNVSEKSSSETLKNPEQNSSQSQNIPLDSSLPKQVKTVSSSGKRPVVSNVMPPVQQATKTHDSQQSSVTSPNSSVVGVYSSSSDPVHIPSLASRPAANVGAIKREVGAVGVRPRHTSSENSQSGREGQFRSFPAISKTEQPSHSNVSESVPIVSAGSGSGSGRSFNNQYSSRPHQQLVTHQKAPQANKEWKPKTSQKPSVTEVGVIGTPKKTTSTLANSSKDMTTESDKLPNSSTTHEDQNVIIAAHIRVSETDRIRLTFGSLGIEDSPQNSGFQSVEVSHAEQPIMASLSASSSPVSSDEPSGGTHVESVDEPVPSSGSVSPVSEQQSNERLESSSSQNMENYTNIRLVRQNSPSYAPSEPHQQQPTPSELPSFSAYDPQTGYDISYFRPATDESVRGQVLQVPQEGFTSHVANTIPATTIPMVQQQQQQQQQQQQQQAQVAQMYPQVHLPHFANLMPYRQFLSPVYVPPMVMPGPTGFGNFTSPNGYALNTPGVVGSASGIDDSARLKYKDGNVYVPNPQAETSELWMNPRDIPSLQSASYYNMAGQTPHGAYLPSHTAHASFNAAGQSSHMQFPGLYHPQQPGAIASPHHMGGNVGVGVAAGAPGTQVGGYQQPQLGQMSWTGNF >cds-PLY86941.1 pep primary_assembly:Lsat_Salinas_v7:5:266894323:266900710:1 gene:gene-LSAT_5X138341 transcript:rna-gnl|WGS:NBSK|LSAT_5X138341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MHGNGVIGILSESTNKWERRVPLTPSHCARLLHSGKGKVEVTRIIVQPSTKRIHHDALYEDVGCEISEDLSDCGLILGIKQPKLEMILPDRAYAFFSHTHKAQKENMPLLDKILDERASLFDYELIVGDKGKRLLAFGKFAGRAGLIDFLSGLGRRYLSLGYSTPFLSLGSSYMYPSLAAAKAAVVSVGEEIATTGLPSSISPLVFIFTGSGNVSLGAQEIFKLLPHTFVDSSKLPRLFDKGVDLCQPKRAFQVYGCIVTSEDMVEHNDPNEVFDKVDYYAHPERYRPVFHEKVAPYASVIVNCMYWEKRYPRLLTTKQLQDLTHKGCPLVGICDITCDIGGSIEFINKSTSIDSPLFRYDPSGDSYHDDVEGDGVICLAVDILPTEFAKEASQHFGDVLSNFIGSLASSKDLEDLPVHLKRACIAHKGALTHLYEYIPRMRNSQEVSEDVANFGSFKNRYTMLVSLSGHLFDKFLINEALDIIEAAGGSFHLVKCQVGQCTDSTSYSELEVGADDSVVLDKIVDTLTSIAKPKEGHGIPNGKTNMFALKVGEVKDSFVKLGYGSKKNTVLVLGAGRVCQPAVELLASIGSDSSPEWLKSCRIGEFGEQNSIQVIVASLFLKDAEEITEGIPYAIACQLDVMDHDSLYKYIMQVDLVISLLPPSFHSTIANVCIELKKHLVTASYINDSIYKLHEAAKDCGITILGEMGLDPGIDHMMAMKMINEAHAQGGKIRSFVSNCGGIPSPAAANNPLAYKFSWNPAGAIRAGRNPATYRKDGGIVHVDGDDLYDSAIKFRMHDFPAFALEVLPNRNSLTYGDLYGIQNEASTIFRGTLRYEGFGEIMGTLAKIGFFESDVSLILTNKDKDRPTYRTFLFELLKIESRSFDESVKPDKLITERIIELGFCKENGTAIKTAKAIMFLGFYEQTEVPSSCESAFDVTCLRMEERLAYNTTEQDMVLLYHQVVVEFPNGRPTEKHEATLLEFGRTQNGKTISGMALTVGIPVAIGALLLLAGKITSTGVLRPIDTEVYEPALEMLHAYGFNIMEKID >cds-PLY89433.1 pep primary_assembly:Lsat_Salinas_v7:9:65968439:65969877:1 gene:gene-LSAT_9X55361 transcript:rna-gnl|WGS:NBSK|LSAT_9X55361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQPNTGLFVGLNKGHVVTKKELAPRPSDRKGKTSKRSHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRSGGGAEKKK >cds-PLY67837.1 pep primary_assembly:Lsat_Salinas_v7:9:145457044:145461190:-1 gene:gene-LSAT_9X92740 transcript:rna-gnl|WGS:NBSK|LSAT_9X92740_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTSPVAKSFKYDVFLSFRGEDTRKNFIDHLYYALQQKSISTYKDDERIKKGNRISDELMESIADSKFFIIVFSKNYASSSWCLNELLKIMECHKSTQQTVYPVFYDVEPSEVRSQIGAVGEAFAKHENEEAAGKWRKALKEAADVAGWELKNTADGHEAKFIRQIVEKLSLELRAINVRIDKNLVGMETRINGIISSLGTASDDVHMIGIWGIGGGGKTTLARAIFNKISFQFEGKSFIENVREVSKASLSGLNSLKSQIPLNGGKVLLVLDDVDHLNQLEVLTGDPSWFKPGSIIIITTRDEQVLVAHGVKFIHNVNLLSDKEAIWLFSRYAFGKEIPVQGYEELSRQVVCYAAGLPLTIKVLGSFLCGKSKPEWVDALARLKTIPLEETQKKLELSYISLDEEHKEIFLDVATILKGWLKNSAIEALESCGFYARIGLRVLEQKSLITIDGDECLGMHDHLKEMGRNIVRRSHPNMPNKHSRLWVGKEIEDILANDMGTEATRCIKFYYRGLDPQITMKGLRKMKELQFLDVTDNKVYPNPKINKLIPNCLNGLEVVWRNWKSNKVSLYIPDALRYLRWYNYPFRSLPKSFQANNLAALEMAESGIVQLWKGGEKKVLNKLRFLLLSGPKLRSLDLGLTPNLETLTLVKHVHGPGAGGLVELHMPGECLKLRFLELDHVKSRMFDLGLTPNLEKLYLRRDLVELHMPDTCPNLISLHFSFSNLRTLDIGRTPNLEDLYVSSCYDLEELHMADKCLKLRSLCLVGLKLRTLDIGSTPNLKRLDLQGCNDLEEFHIAESPMLTFIKIECLKLRTLDLSLVPNLNKLFLSECKDLVELHLPGRSINLITLQCTNSKLRTLHIGLSPNLTGLYLTDCYDLEELQLVDQCQKLVSLKISNSKLRTLDLGLTPNLEKLNLRKCYDLVELHAPIGCLKNLVHLDLSGCLAFRSFLFCLTDNTAGLVNESLKVRPLAELCFTLKICPFHPDNHLLKFEFRCFHEEDLPSLTRSLEKLISARPCACTKLEKFSRSICRLQR >cds-PLY64357.1 pep primary_assembly:Lsat_Salinas_v7:4:26707423:26709368:-1 gene:gene-LSAT_4X20080 transcript:rna-gnl|WGS:NBSK|LSAT_4X20080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRCREYMEALESERRKIQVFERELPLCLELVTQAIEGCKQQMSGTTTDYFNGQSECSEQTSSEGPILEEFIPMKRTSSAHDEEDQQQQQQQFNHKPKVISTKDKSSFPSKKSDWLRSAQLSIQTPDPVELSPKRVSVVEVTRNGCGAFHPFKKEKTSDTAPVAGTGKLSPAPAAGPTAAASSTAETGSGNGGCGESKSEDKGQSNRKARRCWSPELHRRFLHSLQQLGGAHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPTPTIHNNNNPQTPQFVVVGGIWMTPPEYTTMAATSTPTSGETNNSKGVYAPIASHPPSLPEASMSLNKSNSGEKDGGHSHEDSPSTSSSTHTTTASPVF >cds-PLY95621.1 pep primary_assembly:Lsat_Salinas_v7:4:124396253:124398543:-1 gene:gene-LSAT_4X78101 transcript:rna-gnl|WGS:NBSK|LSAT_4X78101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCKRPASPTDSDNASGEPTDTYIQILTSGGRRIPAHANILASASTVLKSIIDKPRKHRSSEKTVPILGVPCDAVEVFVGFLYSNKCTEDDMEKFGIHLLALSHVYLVPQLKSRCSKALIERLTIENVVDVLQLARLCDAPDLYLKCVKLVSNSFKAVEKTEGWKFLQTNDPFLELEILQVIDESESLRKRSRKRRKEQNLYIQLSEAMDCLEHICTEGCISVGPCNKEPTKNMSPCSKFSTCQGLQLSIQHVANCKKRVNGGCIRCKRMWQLFKLHSSICELSDSTCKVPLCRPLKAQNMKNKKEEGRWELLVRKVTLAKATSSLLLPKKKRNEKDPTPKNDYGIRNLVC >cds-PLY63606.1 pep primary_assembly:Lsat_Salinas_v7:9:127979466:127982592:1 gene:gene-LSAT_9X82520 transcript:rna-gnl|WGS:NBSK|LSAT_9X82520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSRTEPDIDLATITDRMAVKKAVQSGNVEDAIEKVNDLNLEQSFLEELERTVALLEFEDVKNSLVGDLLDISQHLKTASEVNAAILASQSHEKDRMVVKKVVQSGNVEDAIEKVNDLNHEKSLVDVHNVKQSSSDAVKVGNKFL >cds-PLY93223.1 pep primary_assembly:Lsat_Salinas_v7:6:159488473:159490016:-1 gene:gene-LSAT_6X99000 transcript:rna-gnl|WGS:NBSK|LSAT_6X99000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGSIQKKPHALCFPAPAQGHINPMLKVAKILHSKGFHITFVNTEFNHQRLVKYQGSDALHGLPSFCFETIPDGLPPPQNPDETQVFPILWKSMDETCLGPFKSLLTKLNASSSPVTCIVADLFMGFTLDAAKELDIPEIVLWTSGVGALMCVHEQNNLLERGLVPREASSFLANEHLDTRIDCVPTMSGMRLKHLPSFVRKTSPGDEYMLEGLCLQAERAKRASAIMFNTFYELESDLLDALSSVFPPCYGVGPFKLLEKESDESVEFIKSNLWKEENTCLKWLESKPKSSVIYVNFGSTTVMSHQYILEFCWGLAKSNCPFLWIIRPDLVDGKSAVLPPEFLEEISSRGLLANWCPQEQVLNHPSIGGFLTHSGWNSTIESISSGVPMICWPFFADQLTNCWSCCNKWEIGMELDSDVKREEVSKLVIELMNGDKGKEMRKNAIDLKKKAEKACTSPSGSSIVNLGKVIDHLLQGSPSSYLSV >cds-PLY87213.1 pep primary_assembly:Lsat_Salinas_v7:4:308630815:308635379:1 gene:gene-LSAT_4X155061 transcript:rna-gnl|WGS:NBSK|LSAT_4X155061_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSNASDQNRKKESSSNSIVPSFPFQFSVIADKLTADSLTILNPIKRNSKLSNFPPFTTLIHLTSYNLVYMVTDSSPNFDDGADIFSPMVPSESDSMVIKRKEMTGLELEGSRRKKKKKQSGTPRPACSWVHFSREFIKEYSASHPESSGLKVATRAASDAWKVMTLEEKSKYTKRAREVWDDYLSSAPAREPKPRKQANLVTRCSPGRLVNVLKHFTVDQKEAVKSMGFGSLLDLKCRTLRRSLCLWLLERFNTIRRSLEICGERIPLYPHDVELVMGLPASGKDVVNSGPDDLVAELRQKYNASNRGISVRFLEERLGQPEAGDDFKRAFLLYVLGTLLCPTARLDVSPSFLHLLTDMDCIHEYNWAKFLLDRLVREVSRFRQGKQRAVGGCLLFLQIFYYECVAIGGSCEPGPVVVPCLSLWTEEAISEREKQEKELGGYGCGEVICKERGLGLGLINDRDHVDVLPLREVAVRVNRGTLVRQEENLENVPFAYKNENTPPVRIDNYTYKNTLEYTHTNRNIYGSQTTCPLLNCNFTGLFTELSNHFTTKHWDSGRRFQYSSPLPVSLGMDETFLVLQAEEDGALFLLNKGTENIGHTVMVTCIGPSHSNERFHYCLVSERGNSTLRLKSFTQTLPGRVEGIPPSDFLLVPFGYLNSSGELNLEVCIWNPSDLAEV >cds-PLY78940.1 pep primary_assembly:Lsat_Salinas_v7:8:2373780:2376875:1 gene:gene-LSAT_8X340 transcript:rna-gnl|WGS:NBSK|LSAT_8X340_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGIHSATTIMLLLLFYILVFLPAGIMTDLASDRAALLSLRSAVGGRTLFWTTTQQTPCNWPGVQCDNTTNRVTALHLPGVSLSGRIPLGIFGNLTKLKTLSLRFNALSGPLPSDLSSCLDLRNLYLQGNRFSGLFPENLFSSLRDLVRLNLAGNNFSGEISSGFNNFTRLRTLYLENNQFSGSLPDLNIPNLEQFNVSFNNLNGSVPKSLRSKPKESFIGNSFCGSPLDEICPTKENVPPAVSVDLDRNRKKKNGLSGGAIAGIVIGSVLGLVVLLLLLFILCRKRTTKKTSSVDIGASKLTDAEVLGEKPLTGSGTGSNGFSVAAAAAAAMTTSSTAGVNTGGGADGSGNKKLVFFRNSSTIFDLEDLLRASAEVLGKGTFGTAYKAVLEAGMMVAVKRLKDVTVSEPEFREKIEFVGAMNHENLVPLRAYYYSKEEKLLVYDYMPMGSLSALLHGNKGGGRTPLNWEIRSGIALGAARGIEYLHSHGQDISHGNIKSSNVILTKSLEARISDFGLANLVGGLPSTPNRVAGYRAPEVTDPRRVSQKADVYSFGVLLLELLTGKAPTHALLNEEGVDLPRWVQSTVKEEWTSEVFDLELLRYQNVEEDMVQLLQVAIDCAAQYPDNRPTMAEVTSRIQEVRRASLIRGGEVQDVDPDPDRVHESD >cds-PLY88278.1 pep primary_assembly:Lsat_Salinas_v7:2:3972285:3973279:-1 gene:gene-LSAT_2X3000 transcript:rna-gnl|WGS:NBSK|LSAT_2X3000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALFVKKLVVFLLLPILLLTCSSYANDNLPQVRVEDRKLLQTMIKGVGKQFHKGPFDSGWSKLPISIAAKIEDSKRLENWIKVNTQAEDELSGVSQKVAETIVMMIGDKLFLANGRKKLSVGWTVGKWIYKLDEKCEKVEKYFNIACEHSKLTDDIPGMSCIILVAGDKTQSPICNEGILTQENIPCYYSKGTVSTTLSITKNPLNDCVVNQVP >cds-PLY63194.1 pep primary_assembly:Lsat_Salinas_v7:6:88167432:88170299:1 gene:gene-LSAT_0X15580 transcript:rna-gnl|WGS:NBSK|LSAT_0X15580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIHRNNESPLISTHLTNVREFLYAILFLLLVAAYLACTRLLFVFGASSELQTEFEKVKSLMIPSSMIELRKLLDRYPTSEPNSFWFLKQLGDSLGGNMLLGGGPAYRVKSIRSKKKYLNINLIDIIDLISIIPNPINRITFSRNTRHLSHTSKEIYSLIRKRKNVNGDWIDDKIESWVANSDSIDDEKREFLVQFSTLTTEKRIDQILLSLTHSDHFSKNDSGYQMIEQPGAIYLRYLVDIHKKYLMNYEFNTSSLAERRIFLAHYQTITYSQTSCGANSLHFPSHGKPFSLRLALSLSRGTLVIGSIGTGRSYLVKYLAKNSYLPFITVFLNKSLDNKSQGFDNIDVDASDDSDASDDIDASDDILDMELELLTSMNALTMDMMPEDEDLLYITLQFELAKAMSPCIIWIPNIHDLDVNESNYLSPGLLVNLLSRDYETRNILVIASTHIPQKVDPALIAPNKLNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMFHTNGFGSITMGSNARDLVALTNEALSISITQNKSIIDTNTIRSALHRQIWDLRSQVRSVQDHGILFYKIGRAVAQNVLLSNCPIDPISIYMKKKSCNEVDYYLYNWYFELGTSMKKLTILLYLLSCSAGSVTQDLWSLPGPDEKNGITPYGLVENDSGLVRGLLEVEGALVGSSRTCSQFDKDRVTLLLRPEPRNPLDMMQNGSCSILDQRFLYEKDESEFEEGDERQQIEEDLFNHIVWAPRIWRPWGFLFDCIERPNELGFPYWSRSFRGKRIVYDEEDELQENDSEFLQNGTVQYQTRDISSKEQGLFRISQFIWDPADPLFFLFKAQPFVSVFSHRELFADEEMSKGLLTPQKNRPTSLYKRWFIKKTQEKHFELLINRQRWLRTNRSLSNGSFRSNTLSESYQYLSNLFLSNGTLLDQMTKALLRKRWLFPDEMQIGFMEQDKDFPFLSXI >cds-PLY98985.1 pep primary_assembly:Lsat_Salinas_v7:7:51167641:51167826:1 gene:gene-LSAT_7X37160 transcript:rna-gnl|WGS:NBSK|LSAT_7X37160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHIVAVELKSGEVYRGSLVECEDNYNCQVENVTFSAKVTPLVSPN >cds-PLY72742.1 pep primary_assembly:Lsat_Salinas_v7:4:372316211:372317761:-1 gene:gene-LSAT_4X183261 transcript:rna-gnl|WGS:NBSK|LSAT_4X183261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASAKAKELVSSNPVVVFSKSYCPFCVDVKKLLTELNSSFKAIELDLENDGGEIQSALHEWTGQRTVPNVFIGGKHIGGCDSTVGMHKGGKLVPLLTEAGAIASKSS >cds-PLY70530.1 pep primary_assembly:Lsat_Salinas_v7:1:72079622:72080414:1 gene:gene-LSAT_1X61521 transcript:rna-gnl|WGS:NBSK|LSAT_1X61521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLAALKLLCVQLKAARATNDSSQSSISLGPILFQRAWLQGVVISLPSTTGGNGRFLVDDGTGVVELSLSRDFLNRDWKLGAYVMVVGGCSIRQDDLPLIKVHKIVDLSGFPHREAMWYLEVLEAYKLFYHPLIQDS >cds-PLY71301.1 pep primary_assembly:Lsat_Salinas_v7:8:102832486:102833975:-1 gene:gene-LSAT_8X71700 transcript:rna-gnl|WGS:NBSK|LSAT_8X71700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASSQTLTTLFILSLLSFSYAASAPFKLPKPKAIHLPIRKNQTTSQYYTTFESGHPESPTIIDALIDLGAPSVWFDCTTYVSASYKRASCGSNRCKKAKGLSCVGCNSTPRPGCSNNTCGVFAYNPFHDYLTIQELGEDTIRVYSTDGAYVWFSYDVPKFQLSCADSLTIERLPGDHTKGLVGLARAEISLPSQISSFFKLAKKFALCLPSSSENGLGDVYIGGGPYYMLPSIKDQSLSLVTTPLVVNMFGTGPIISEGESSVEYFINVKNIEISGKRVSFSPSLLSIDTNGVGGTKVSTTAPYTILQSSIYKRFVKDFIKEASLNNIKRVNSVAPFGACFDSKTAPNTITGPAVPNIDLILEGRNVRMTLYGANSMVEAKKNVICLAFIDGGAEPTTSIVLGGHQLENYILEFDLTSSTLGLSSSLLLQNTSCSHSRVY >cds-PLY81139.1 pep primary_assembly:Lsat_Salinas_v7:9:67442458:67444406:1 gene:gene-LSAT_9X57261 transcript:rna-gnl|WGS:NBSK|LSAT_9X57261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSETFTTISELQYGSPGTKLEVRILRTWKPQQHFVGIFVRFRDCLKKNKDPFLLLILRNDSGQEIAISLWRECTDVSDKFDRAAIENTVGPTVIAVTNVKIAPVVGSLMLGTTSASYVYINPPIAETTTLLNSFATNPTSLTTISAPPISLFDMKNKSHSDLLERTFTVTASIVDFKFTDTWYSVLCPQCRRPTLKQGNNWFCPSHGVANDPTYVYKLSAAITDPTHSMNVTLLDNTVQKQTATTSDKLINENEPDNRKTIPSIINEKKGVTKKMAIQMMKTSTTNNIRFIVTDVEGNNIHKSAIPTTPPPTIGLPSKTTDNHPTSSSNPPQSKVRRNLTYEHPGN >cds-PLY76521.1 pep primary_assembly:Lsat_Salinas_v7:5:13211027:13211233:-1 gene:gene-LSAT_5X7381 transcript:rna-gnl|WGS:NBSK|LSAT_5X7381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKRVDSIILQKSLVRKKNTHEKAMIRRRRASKEIVRRALTPPARRLDWRWFNFRPTPSRLSVMSTAI >cds-PLY96697.1 pep primary_assembly:Lsat_Salinas_v7:6:77943909:77946653:1 gene:gene-LSAT_6X55401 transcript:rna-gnl|WGS:NBSK|LSAT_6X55401_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFNCLSHLRTGDAQTTEPWPMKSLTTFSIFRMENLDGVAFILHFALLLHRKYSGNFLVDLLGKWKESEYSGQSVPVGGIAYYVTTPSSLAYMAANPFHALLYLVFMLTACALSLFSKTWIEVSGSCARDVAKQLKEQQMVMLLRYNFGSNPAGSFSVMLRLGFGQPIKVNWAYVTVQREDTSDKMREYFLSYGVRRGKESSS >cds-PLY68281.1 pep primary_assembly:Lsat_Salinas_v7:1:27960188:27961409:1 gene:gene-LSAT_1X22801 transcript:rna-gnl|WGS:NBSK|LSAT_1X22801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G27695) UniProtKB/Swiss-Prot;Acc:Q8VY77] MVLTNFDGTGIGFGFGVGCGFGVGWGFGGMPLNFLGLGAGGGCGVGVGLGWGFGTGVGSKYRSSRVVFQGVDFNKKSETEGTTQSS >cds-PLY65664.1 pep primary_assembly:Lsat_Salinas_v7:5:268324789:268325721:-1 gene:gene-LSAT_5X139521 transcript:rna-gnl|WGS:NBSK|LSAT_5X139521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKELYKTLPQHTYSWSLVIVTLYKYQGFWNAQHIHENTILAQSYEAQPSDVLLCSCPKSGTTWLKALSFAILTREKFNHASASPLLTTFPHDCILFLENRLEKIKENPSGLPLLATHLRYSSLPKSVITSNCKIVYMYRNIKDVLVSYYHFVRELVSLSVEDAPFEEAFDDFCQGISSFGPHWDHIREYWKASQERPDRFLFLKYEDLKKDASSNVKQLAKFVGCPFSVEEEKSGVLGNIIKLCSFDSLSNLEVNKSGKYQAYGIFGLEHRLFFRKAKDGDWENYFTDEMKEKIDKLIDEKMSGTGLVLK >cds-PLY91906.1 pep primary_assembly:Lsat_Salinas_v7:8:198135310:198135603:1 gene:gene-LSAT_8X127880 transcript:rna-gnl|WGS:NBSK|LSAT_8X127880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAILMPRITEAKKIFRQSFSNGSSTKNIYEYPKGCLAVYVGEQDKKRFVVPVWLLSRPTFQELLDQAEVEFGYIHAMGDLTIPCSEYTFADLASRAL >cds-PLY74025.1 pep primary_assembly:Lsat_Salinas_v7:7:9723169:9723597:-1 gene:gene-LSAT_7X8820 transcript:rna-gnl|WGS:NBSK|LSAT_7X8820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEMLGDNRIEDVRWLCSLLESDLDILVKLKEMVIQRASFIRHESLANNFDLKKLIDLSFLLMQVQKQQLCEIPQMKESAHGCVERLNVARHEISEEFREMGAEELMAYISSDRQKKISELFGDDSLIGKKKKRVGYAIVCSF >cds-PLY76308.1 pep primary_assembly:Lsat_Salinas_v7:6:153121038:153123216:-1 gene:gene-LSAT_6X92241 transcript:rna-gnl|WGS:NBSK|LSAT_6X92241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTTTPVNCNYTHNVPVIVFSFGLIGNLFHEISEIVIPLFITARHFGSSVHFMVTDYQPWFAHKYNRILTQLSRYQVIDTTLNASDHCFPGAVVGLKYHGNLALNPDSIPGGHTMLEFKELLIFLSQEEGTTSSAYRGKPKYDVSSDPTPRITTTSSDSEKQVDHLETNAGECLKREKDRVSHYLHSSSEPKLLEVVFGNRTVDVVVVVVIVVVPHGIGGAEGPDRIFVGGLPYYFTEVQIRELLESFGPLRGFDLVKDRDTGNSKGYGLCVYEDQAATDVACAALNGLKIGDKTLTVRRATISGQMKSEQETIMAQAQQHIAMQGFLRAVRVILVQIVFVF >cds-PLY65389.1 pep primary_assembly:Lsat_Salinas_v7:1:61030459:61030713:1 gene:gene-LSAT_1X51860 transcript:rna-gnl|WGS:NBSK|LSAT_1X51860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGICWYSASGDADAVLAINNGWLCGCGVMLGPIIVLFAYKLFTKGVMDVVWDLLEPVVLKKNGSKGGTMYWLGTPLFGNGGGVA >cds-PLY77067.1 pep primary_assembly:Lsat_Salinas_v7:8:172532045:172532925:1 gene:gene-LSAT_8X113441 transcript:rna-gnl|WGS:NBSK|LSAT_8X113441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARSSRFLSTVVNSPSTDMPVAEPPEAVTMESDFVVILAALLCALICVVGLILVARCAWLRRGSVANGRRIAGQTSANKGIKKKFVDALPKFSYDSDSVKEHNLSAVECAICLAEYAVGDEIRLLPQCGHGFHVGCIDVWLGSHSSCPSCREILVITRCRKCGDFPTDSVGQIAPAAEVKGRQINIGSSSNEFLP >cds-PLY70482.1 pep primary_assembly:Lsat_Salinas_v7:1:76899615:76903182:-1 gene:gene-LSAT_1X64201 transcript:rna-gnl|WGS:NBSK|LSAT_1X64201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASDSSSASSSSDEEEESAGQKPNVDVNINSIDNSLDAIENQLSSIALNTPIDERTLPSTDYHQEPVSEIDDGSSRESASEMRRNSIGESSSSRGSGLWRNNLGVEVEVEPEADGELSSPSSSGYAGERGSSSSPTSACGTDVVRELRDNGSSIGDDGVVPLDPSQTQWIRGKQHADEDDGSISWRKRKKHFFVLSHSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRVKLVRAGNHQVVFLVKGPIYLVCISCTEEPYESLRGQLELLYGQMILILTRSIDKCFEKNSKFDMTPLLGGTDAVFSSLIHSFSWNPATFLHAYTCLPLAYTTRQTASAILQDVADTGVLFAILMCKNKVISLVGLQKASLHPDDMLLLANFVITSESFSPICLPRYNSMAFLYAYVHYLDVDTYLTIQIEAVLAKSNVLNEVERSLSEGGMRIEDLPVDPSANSGSLFHLTHRKTPTNGTVTGVGGPAGLWHFIYRSIYLDQYVSSEFASPISHPHQQKRLYRAYQRLYASMHDKGVGPHKTQFRRDENYVLLCWVTQDFELYAAFDPLADKGVAIRICNRVCQWVKDVENEIFLLGATPFSW >cds-PLY81184.1 pep primary_assembly:Lsat_Salinas_v7:4:296934313:296936991:1 gene:gene-LSAT_4X152361 transcript:rna-gnl|WGS:NBSK|LSAT_4X152361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVVLMVLWLTASATVCRSSNTAEKGVENVKDKASKVAGNVKVKVEGAVDGAKDKSGTWADWAMTKVTTGLRMNKDGVKEGAHNAVDKASDAASRSTTQMNNAASETSKYVSQKTEKVGNLASEKASQAMGCGGDAQENGEVVGLEAYAYISGLANEAMKRVQSMTTGAEVIIEEKGNYAYDEGSDMAQNAKETMKDKASEAYDNADKKIRMATDRVVSEGKDKAEDAYNSAADVASDVVDRAKGKGSDAYDKSKDKAEEAYGVAKDASKEKGKEAYDVAKEKSSDAHDVAKETLKEKAMEAYGVAQDKGSDAYDAAKEKATDGYDVAKDKASEGYDVAKEKASEGYDVAKEKLSQSYDAAKDKIDESGIAKDSTKEKGKETYDVVKEKASDAAENAKEKAKETYEVAKEKTSDAAANLGEKMRN >cds-PLY93268.1 pep primary_assembly:Lsat_Salinas_v7:6:161381098:161382056:1 gene:gene-LSAT_6X98041 transcript:rna-gnl|WGS:NBSK|LSAT_6X98041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKPYQTLQYPIHDKSSFSQPDPQESIHSSIIPLPPPTPPLIPPPLPPTSPLIPPVLPPSPPKKKEPKPPKKKKRQPPKDKEPSPPKRKKPRPPKKKKRRPPKGKGCKPPKKKKRRPRKGKVPKPPKNKEPKPPQKKEPRPPKRKEPKPPKKNEPKPPKKKEPKPPKKKEPKPPIEKEPEPPKSPPPPKSGLPIPIPKLPIPKLPIPDPISKPPPPIHGKSPSPPPKSPPPPTPNIHGKSPPSPPKSASFTTNTTS >cds-PLY75474.1 pep primary_assembly:Lsat_Salinas_v7:7:72283676:72284279:1 gene:gene-LSAT_7X52460 transcript:rna-gnl|WGS:NBSK|LSAT_7X52460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGFGTKALILISMVVCLTSVAHALNAMAIVYSPPYVPSLCFGMQDQGTMIAKAHSGLFANGIASCGRRFRVRCLSGTNKAIRDACTGNSVDVTVVGTCSGCAVNELQLSEESFGKIARLALGRVNIEYEQ >cds-PLY91460.1 pep primary_assembly:Lsat_Salinas_v7:MU039433.1:99988:103999:-1 gene:gene-LSAT_0X19300 transcript:rna-gnl|WGS:NBSK|LSAT_0X19300_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEIVADVSNSNPAGDNIQTGGYVPHKELFGYSSPRSPLTTLSPESDSMGLSVDSPGGVDTSIEQLYNNVCEMQSSDQSPSRFSYLSYGQESRIDSELRFLAGGDFMKPDGGATKKTIVIIDEKEVKEASNDSNPTVIIPKSEQSSTPKTRIPSRKRIPSRMNLKKQEKISPNEDPAYLGTYLLKQARDLLSSGDNPKRAFELAQRALKSFESIQSETPNLEVVMCLHIVAALNCSSGRYTDAIPLLERSIEIPNMDQGQKHSLAKFAGCMQLGDTYSMIGNIENSILCYTAGLEIQRQVLGESDSRFGETCRYVAEAYVQGMQFDEAKRLCQMALDIHKNGSPEEAADRRLMGLICEAMGAYETALEHYVLASVVMAGDGHETEVAAIDVCIGDAYLSLSRYDEAIFSYQKSLNLFKSTKGDNHPSVASVFVRLADVYNKIGKLRESKSYCENALRIYVKPVAGIPREEIASGLIEVSGIYESMNELDHAINLLKKALKVYGKAVGQLSTVAGIEAQIGVLYYMMGKYLESYGCLKAAIVKLRAVGEKKSALFGVALNQMGLACVQIHSINEAADLFEEARGILETEYGPHHPDTLGVYSNLAGTYDAMGRWDDAIEILEYVVGMREEKLGTANPDVDDEKRRLAELLGDAGRNRNKKSLSLEFLLDA >cds-PLY69251.1 pep primary_assembly:Lsat_Salinas_v7:2:128709752:128712337:-1 gene:gene-LSAT_2X60700 transcript:rna-gnl|WGS:NBSK|LSAT_2X60700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63490) UniProtKB/Swiss-Prot;Acc:Q9LY66] MASSSASTSATLTLSSIHLPQEPKSSLISSFSFKPNPKTKTLVLHSQSECIRPTNLKSSFGSFTSSKNSPFLVSAVAAEADLGEVDEDVEGGSVATAPPPSPSKPKKGKAALPLKSDRTRSKRFLEIQKLREVKKEYDLKTAVSLVKQMSSTKFTESVEAHFRLNIDPKYNDQQLRATVNLPKGTGQVVRVAVLTQGEKIDEAKNAGADIVGGEDLIEQIKGGFMEFDKLIATPDMMPKVASLGKILGPRGLMPNPKAGTVTTTIPQTIEEFKKGKVEFRADKTGIVHLPFGKASFSEEDLTINLLAAVKSVETNKPTGAKGVYWKSAHICSSMGPSIRLDIKDMLDFKLA >cds-PLY93238.1 pep primary_assembly:Lsat_Salinas_v7:6:158618962:158620184:-1 gene:gene-LSAT_6X96660 transcript:rna-gnl|WGS:NBSK|LSAT_6X96660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSRPLDITVISASGLDNFLLVFKMKVYVVVSLINGKSVIEKKTHMSNGRNPRWNHRIKFPVEESAIQTSTLLFVLRQHRMLGDKDIGEVSIPVRELLETNSGYGSTEHEVDYQVQSTRGKCKGTFTFSHEFREKVLPVRADGGSHPVPVPGTTYMVNQQGMPAYPQQPYSTTPPGYVNYPCGPAPPQYGGTWYPPPGTTPPGTYPYPPPPQMGYNQHLQQGP >cds-PLY78739.1 pep primary_assembly:Lsat_Salinas_v7:9:50742893:50748494:-1 gene:gene-LSAT_9X46580 transcript:rna-gnl|WGS:NBSK|LSAT_9X46580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPRSFALCIAVGAALLAIAGAEDPYRFFNWNVTYGDIYPLGVRQQGILINGQFPGPDIHSVTNDNLIINVFNSLTEPFLVSWNGVQQRRNSYEDGVFGTTCPIPPGKNFTYILQVKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFDEPAGDYTVLIGDWYKANHTTLKTVLDGGHKIPFPDGILINGRGPGGASFAVEQGKTYRLRISNVGLQNSLNFRIQNHKMKLVEVEGTHTVQQTYSSLDVHCGQSYSVLITADQPGQDYYIVVSSRFTTPILTTTGVLHYANSAGQPSGPIPGGPTIQVDWSLNQARSIRTNLTASGPRPNPQGSYHYGMINTSRTIVLQSSAGQVGGKQRYGINSVSFKPSDDTPLKLADYFNIGGVFRVGSISDRPTGGGLYTDTSVMGADYRAFVEIVFENPSDIVLSYHIDGYQFFVVGMDGGEWTQASRAGYNLRDGVARSTIQVYPKSWSALYVGLDNVGMWNVRTEFWARQYLGEQFYLRVYTNSGSIRDEFPIPKNARVCGKATGRHTRPL >cds-PLY95571.1 pep primary_assembly:Lsat_Salinas_v7:4:226019896:226021549:-1 gene:gene-LSAT_4X124681 transcript:rna-gnl|WGS:NBSK|LSAT_4X124681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMNLMKLKPPPRPFVPVAALQGFLFEARIYPIHGYVFNHPTPWSPPSGRDGNPRGSKLGEWRNLENNHDCEQVAINSRKDIQLILHALWKMTMLRHPTVNIPIQCI >cds-PLY89624.1 pep primary_assembly:Lsat_Salinas_v7:8:220416968:220417745:-1 gene:gene-LSAT_8X133980 transcript:rna-gnl|WGS:NBSK|LSAT_8X133980_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAIEAPSTNG >cds-PLY93159.1 pep primary_assembly:Lsat_Salinas_v7:9:3390792:3391407:1 gene:gene-LSAT_9X4681 transcript:rna-gnl|WGS:NBSK|LSAT_9X4681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKEGVLENVEAIFGLHLVLSSDSGVVASRSGDFLAGSTVISLQYIVSREADPLDMPVVTVTMLDVGTRLNAIPDSVSIAGTYRSISKKSFYVLAERTQEVIKRQASVYRCSATIYFEGSNIQFKNLRNSILFFSASIKNNKKKIEEE >cds-PLY76159.1 pep primary_assembly:Lsat_Salinas_v7:4:54163933:54167113:-1 gene:gene-LSAT_4X37041 transcript:rna-gnl|WGS:NBSK|LSAT_4X37041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGHINLTSPKQRNIPTRKWQLLDFVSMVFFAVVILFFLLIFTPLGDSLAASGSQALIRSNTADPKQRHRLIALVEAGKHPQIESCPADTVDYMPCEDPTRNSQLSREMNFYRERHCPSPDETSLCLIPAPQGYHVPIQWPESLHKIWHENMPYNKIADRKGHQGWMKKDGPYFIFPGGGTMFPDGATQYIAKLKQYIPISGGVLRTALDMGCGVASFGGHLLEEGILTLSFAPRDSHKSQIQFALERGIPAFVLMLGTRRLPFPAASFDLMHCSRCLIPFTAYNATYFLEVDRLLRPGGYLVISGPPVQWPKQDKEWADLQAVARALCYELIVVDGNTVIWKKPIGDSCLQNQNELGLESCDESDDPSSAWYVKLKKCVSQTTVIREEIAVGTIPKWPQRLKQAPSRATLIKNGYDIFEADTRRWERRIFYYKNSLNLKIGTPAVRNVMDMNAFFGGFAAGLTSDPLWVMNVVADKKPSTLGVIYDRGLIGVYHDWCEPFSSYPRTYDFIHVVSIESLIKDPVTGKNRCNLVDVMVEMDRLLRPQGSVVIRDSPELLTKINRIADSVRWKSTIHNKEPESQGRDRILVATKPLWTLTSSSH >cds-PLY74919.1 pep primary_assembly:Lsat_Salinas_v7:3:129273793:129274197:1 gene:gene-LSAT_3X88280 transcript:rna-gnl|WGS:NBSK|LSAT_3X88280_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKSILRVKSNHDYLLFISDDSINTSSVVRHLVFFLLMKLKVKDPIFGKRRMSTEKMRVSLEGSSVANCGGSDLGERKVGDGKRLVILGLICYRFHHQNGMVWRWVDLGIEVCVDWDIVSSKSVNIEKSMKLEVG >cds-PLY97538.1 pep primary_assembly:Lsat_Salinas_v7:5:233450714:233451349:-1 gene:gene-LSAT_5X115481 transcript:rna-gnl|WGS:NBSK|LSAT_5X115481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDHSHRQSSFISKLPVIMATIGEKKTELASKKQELLEAKRLGRDAELTKQLEIEWAGLESDIDLLEHTANVMRMGLIQQGLLVEEEMKLGGVTQDMILVNAKV >cds-PLY79345.1 pep primary_assembly:Lsat_Salinas_v7:9:53945418:53947540:1 gene:gene-LSAT_9X50060 transcript:rna-gnl|WGS:NBSK|LSAT_9X50060_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNLVEPFSEVLRTPTALVEMLIFLGPVSLAFFIGIIVGWVWKPRWISSGDCKFNWPAVENQIVGSNSNQNPQQQLIQAGTNDGFRSSGVDGEIDKESFKLPSTDNPSCSSSIINKEENHLLADEDLKRLWYLVEKRDGGPPWKHMMDRSTPTMTCQAWQRDLETGPPQYCSRTVYEDATPELLRDFFWDDDLRLKWDDMLLHSATLEEFPGTGTSVVHWIRRFPFFCSDREYTIGRRIWELDGSFYCVTKGVPCPLVPRKNKPRRVDVYYSSWFIRSLNSKKGNNNNNQTASEVIFFHHEDMGIPWEIAKFGVRQGMWGTARKIEQGFRWYQKERASSKTKSHHVTMAEMSTKIDQNYLSCLECCEEDEGVAETIMADEKEKEGGMNLPKILVICGVVVLACSLDRGLLTKGVVVGVARRFGNLGRRVFPATRL >cds-PLY76306.1 pep primary_assembly:Lsat_Salinas_v7:7:124223996:124229837:-1 gene:gene-LSAT_7X75121 transcript:rna-gnl|WGS:NBSK|LSAT_7X75121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGKQAKVKATAEASDVTMEDKTKVAKSTKPKPKRGRLNNTENAPVSRKMPKRAASCTDFKTKSVRLSEKSSTIENKKVKVVEEEIAALSLVPGPDDPRPNRRLTDFIFHDADGNPQPVEMLEVDDLFISGLILPLEKTSEKETGVRCEGFGRIEDWSISGYEDGSPVIWVSTELADYDCVKPSGSYKKLFDLFQEKANACIEVYKRLSKSSGGNPDLSLDELLAALVRSLSGSKNFPHGASIRDLIVSWGGFIFDQLVSLDEMPEGADRAFVELPVLAALRDESKKHEACVGVKIPSGASLNAPLKIGDGEKVNNSNGGDEDEDMKLARVLQENENWQSLKQKKRGRQGNSSSKLYIQINEDEIANDYPLPAYYKSDIQETDEYLIFDDSDTVDSDQLPRSMLHNWSLYNCDSRLISLELLPMKPCADIDVTVFGSGTMTADDGSGFCLDNDTSGSSGSTDQLNEDGIPIYLSAIKEWMIEFGSSMVFISIRTDMAWYRLGKPSKQYAPWYQTVLKTARLAIAIITLLKEQTRASKLSFSEVIKRLSEFEKSNPAHISSNPAIVERYVVVHGQIILQQFAEFPDDTIRRCAFVSGLEDKMEQRHHTKWLVKKKAILTKAENMNPRAAMGPVVSKRKAMPATTTRLINRIWGEFYSNYSPEVKEGDLINVKKDDEEEEQELEENENEDCEEPEEESITLPQESEKPNSATKQKKTKSSKTDISWVGKSIGKMPGGKNLYKSAVIRGEVVALGGSVAVENPKSDDDSIYYVEYLFEDSDSRKFVHGRLMLRGKETVLGDIASEREVYLTNDCLDFELDDVIQTVVVQTRTLPWGHQHRKANASFDKADRAKAEERKNKGLPVEFYCRSLYWPERGAFFSLKTDKMGLGNGMCDSCGIMEDENKKEVFEVNESKTGFMYMATKYDVDDFVYVGSYHFESDERGNETHKGGRNVGLKAYVICQLMKIETPKNSKLNDPDSVMLQVRRFYRPEDLSLDKAYRSDIQEVYYSEEVHKLEVSAIEGKCEVRRKKDLSSQDTTYIFDHVFFCERLYDPKKGSLKQLPVNIKLSPPKETPANEAAIRKRKGKSKEGENDDVEMTETKEGFTSKNILATLDIFAGCGGLSEGLTKAGASVTKWAIEYEEPAGDAFRLNHPEALAFVNNCNVILKAIMAACGDVDDCISTTEADEQAAKLDEDMIKNLPRPGQIDFINGGPPCQGFSGMNRFNQSTWSKVQCEMILAFLSFAEYFRPKYFLLENVRNFVSFNKGQTFRLALASLLEMGYQVRFGILEAGAFGVSQSRKRAFIWAASPEEILPEWPEPMHVFASPELRVSLNGNSQYAAARSTASGAPFRAITVRDTIGDLPPVGNGASNPTIEYKNEPVSWFQKRIRGDASVLTDHISKEMNELNVIRCQRIPKRPGADWRDLPAEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSITDPQPMGKVGMCFHPDQDRILTVRECARSQGFPDGYKFSGNIQHKHKQIGNAVPPPLAYALGRKLKEALEIKQKQLSK >cds-PLY75144.1 pep primary_assembly:Lsat_Salinas_v7:4:62082159:62083639:-1 gene:gene-LSAT_4X41260 transcript:rna-gnl|WGS:NBSK|LSAT_4X41260_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLPDEQIGRHYPAAPEWKTGGVSLLASIRVSVGGLETGGEIFTFTFNRSPYKGKKHEYVLFKYCVLPVEDEWSWLMLFSGDGGSVVADVVGRRRASSNPLIFFNHRQISKPNFDCQNLT >cds-PLY87450.1 pep primary_assembly:Lsat_Salinas_v7:2:135736666:135738332:-1 gene:gene-LSAT_2X64201 transcript:rna-gnl|WGS:NBSK|LSAT_2X64201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDPQGHGQPQSSNMMSSVTQLSYATNPYESTQLSGTPGRVQVGGAIQATNQPTAAQLAQQQLAYQQIQQQQQQQLQQQLQSFWANQYREIENVTDFKNHSLPLARIKKIMKADEDVRMISAEAPIVFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDIKDEGMTSMPAVTVPVGGPSDAFPYYYMPAQQSPQSGAPGMMSNKAMLDPALYAQQAPPYMGPAIWPPPPQQPQSPSDS >cds-PLY83015.1 pep primary_assembly:Lsat_Salinas_v7:5:51205421:51206480:-1 gene:gene-LSAT_5X24201 transcript:rna-gnl|WGS:NBSK|LSAT_5X24201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNQIVHSLYSHKEIFIRELVRVTGIGITKEELIDCLGTISQSGDYSHQESKDNDKYEFTEQSRVQGLVKNYSQFVSFLIFTWQEKSRTVEGQFRV >cds-PLY73665.1 pep primary_assembly:Lsat_Salinas_v7:5:205275734:205277674:-1 gene:gene-LSAT_5X93501 transcript:rna-gnl|WGS:NBSK|LSAT_5X93501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSAVTKPVRLAYRDEKGRLRMNEEAASILLLVKGPIGVVSVCGPAQLGKSYISNLMADIDGTSFRYVSVVTKMVNTMAGDMTSSEFKQLFPMFFVWVLRDFTLCLGEMTPCEYMDDFALKSLDVCECDGDVKYANELNKIDVERIRGRGFRLELEAWKRIGFERF >cds-PLY79758.1 pep primary_assembly:Lsat_Salinas_v7:1:181827652:181830451:-1 gene:gene-LSAT_1X118520 transcript:rna-gnl|WGS:NBSK|LSAT_1X118520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLTNMHKLKTFVIDEGHGVKGLSDLKINTLPELFIQPLEKRFDMSKVLSEELIPIIDMSNSEDPEVMKLICDAAENHGFFQVVNHGIPLSMMEDVKDSAHKFFGLSVEEKTKYLFKDGTTKNVEYLRSFTPEVDKVLDWKDHLGCAYVSDDEAIEFWPHVCRYQLLEYMNKSESLIKRLLSVLIKRLGIPKLDKTNQQLFMGLRRITLNYYPICPQPELATGVGSHSDFSTITVLLQDEVGGLYVRKFETDNWIHVPPIKGSLTVNVGDALQIMSNGRYKSVEHRVVANGHNDRISVPIFVNPSLSEVIGPLPQVIKRGEKALYKQVLYSDYVNHFFSKAYNGKDAIDFAKGI >cds-PLY69200.1 pep primary_assembly:Lsat_Salinas_v7:1:80441490:80442455:1 gene:gene-LSAT_1X66640 transcript:rna-gnl|WGS:NBSK|LSAT_1X66640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDYVGEDVYKNRVCILSTAQQIISDMVKVRVDGKLFNIRIKEAPGWTPSFVSDTPKPDSEGCEERNVLDGNDNDTGTNSSTGNAEESLDPFGIYETMERMKVEERRNKISKGYHGWGKSKKHMNDAQELEGKNGNKSVENVHYTHSAASIATIPIEIVQQPSRSSETEPTIPFTDVSIQASNAQNLVQDPVIPHNNTPMNNGSHIAPIGLLGGYARMSGVEVSEDSLSHPPGFSERINGDYSLGSDCCVSENLVTEEIKKTMATGQKVGFKMDGCLEQVTRVVNREGFINQ >cds-PLY84618.1 pep primary_assembly:Lsat_Salinas_v7:1:31425717:31432545:1 gene:gene-LSAT_1X28281 transcript:rna-gnl|WGS:NBSK|LSAT_1X28281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNIHKLLRGNVPLEVDTNNTIALKLEPSFHGTSSQVKHPQAQPNTGVGRDRRSNSHCLRQGSEENWSSSGTSVLDQEQSAVDDANLCSTSSICAAPICRQFWKAGVYNDHITPKFATQSGSSYLHIHPRFLHSNATSHKWVFGAVAELIDNAVDEIQNGATYVLIDKTLNPRNGSSALLIQDDGNGMDPEAMRRCLSFGFSDKKSISSIGKYGNGFKTSSMRLGADVIVFSRNTTNSKMTQSIGLLSYTFLTREGYDKIVVPMVHYEFNFITGSFNSLQSKSNENSNLNLSMLLRWSPYSTEEKLLQQFENVGSHGTKVIVYNLWLDEDKNLELDFESDPEDIRITRDAHGKIKDGSRQAASEQHVANRLRYSLRAYLSILYLKLPETFAIVLRGKVVLYHNVSRDLKYTEFIIYKPQSTTVEVVTTIGFIKEAPVVNIHGFNVYHKNRLILPFCPVVSFGANRGRGVVGVLEANFIEPTHDKQDFEKTSLFQKLAQRLKEMTWEYWDYHCGLIGYQFTKKYKPSSSSSTSAAPIFVHHHGTEQPIPMRNRSSIVGVPKNRPMANAIAMYNNSKLTLESNSLPKPVEPTFPRGFQQEQHIPMMKSPSAAGTTINRPMMTAVYSKVTLGSNSLSKPAEPSFPRGFQQEQPIPMSKNSPVVGTLRNRPMVTTVHSKVALESNSLPRTIETSLPTGFQQGSNLKRKSCDQPTIFKPEAQIATDSNKRSNMPNPQEPINVREQNRKLRARCSEYEKTQEQLKLKVKQLKVELEEAQKEYVRVLDEMLCL >cds-PLY65764.1 pep primary_assembly:Lsat_Salinas_v7:5:274881961:274883317:1 gene:gene-LSAT_5X145800 transcript:rna-gnl|WGS:NBSK|LSAT_5X145800_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHGLGSIMVLVLALALTVAWAADHSPLQDFCVADINGQVRVNGFACKNPNGVQANDFYFSGMHMMGNTSNRLGSSVTPAFVFQIPGLNTLGISLARLDFAPWGLIPPHMHPRATEILTVLEGQIEVGFVTSNPDNRHISKVLNKGDVFVFPVNLVHYQRNVGNMNAVAMVALSSQNPGVVPIANVVFGSNPDISTDVLAKAFQVSDDIISDMQVKF >cds-PLY68638.1 pep primary_assembly:Lsat_Salinas_v7:7:131922256:131929729:1 gene:gene-LSAT_7X79440 transcript:rna-gnl|WGS:NBSK|LSAT_7X79440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable helicase MAGATAMA 3 [Source:Projected from Arabidopsis thaliana (AT4G15570) UniProtKB/Swiss-Prot;Acc:B6SFA4] MAIERNLIEEEGCLHRFYKIVLGWDYLRLLKESSKKNKNAANEKDLGLKQVKDTYKDVDDYLATFEPLLFEEVKAQIVQGKDEEEETEWKMGIVIQCHETGGFHLPEVFGEDWGSVSQNDLLLLTKKKFGDDKELPTTYAFALVEHRLPDKIRLRMQLDGELKRVDKDEFNTSKRLMNMRSLIGEQNKPWSIMKICSLSTIAREYVALRSISFLPYKDLILTASDSVHCSEDQAWKISKPLMEYIQTNHNTTQLEAIRAGLSRRKFVLIQGPPGTGKTQTILGLLSAILHATPARIHTKDKISEVRRGPDLDIQDKYNHWAKASPWLSGINPRDAKMPKDGDDGFFPTTGNDLQPEVVNSSRRYRVRVLVCAPSNSALDEIVLRLLKTGIRDENDREYTPKIVRIGLKAHHSVKAVSMDYLVEQKLAGMDFQTADKQKQGGAGRDRDTMRASIMDESAIVFSTLSFSGSSLFTKLSRAFDIVVIDEAAQAVEPATLVPLASGCKQVFLVGDPVQLPATVISPVAEKFGYSMSLFKRFQKAGYPVQMLKTQYRMHPEIRSFPSSEFYDGELEDGVDVKDRTKRAWHKYRCFGPFCFFDIHEGKETQPSGSGSWENVDEVDFVLLMYHKLIISYPELKSSDRLAIISPYRHQVKLFRNKYKETFGVDSEKFVDINTVDGFQGREKDVAIFSCVRANKERGIGFVADFRRMNVGITRARASVLVVGSAEALKQDEHWKNLITSAEERNCLFKVSKPYTEFLTEASLESMLKVEAVAEGTGLDDMENDALYDPIEPDQGLPDDDYADDAGGGDDDGGGMDD >cds-PLY65337.1 pep primary_assembly:Lsat_Salinas_v7:6:41561233:41562786:-1 gene:gene-LSAT_6X29580 transcript:rna-gnl|WGS:NBSK|LSAT_6X29580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADKRKRRRADADSDDESVRLPFKNRLKPDSVILSILETLTEATKSASTSKTLTLSDLNLSSTCREVTDLTLSSVQTEIQTLAISLAKSILAGNGFSFSVPSRAATNQLYVPELDRIVLKDKTSVRPYASVSTVRKTTITARILSLIHQLSLKNIHVTKRDLFYTDVKLFQDQTQSDAVLDDVSCILGCTRSSLNVVAAEKGVVVGRLIFSDNGDMIDCTKMGMGGKAIPPNIDRVGDMSSDALFILLVEKDAAYMRLAEDRFYNRFPCIIVTAKGQPDVATRLFLRKMKMELKLPVLALVDSDPYGLKILSVYGSGSKNMSYDSANLTTPDIKWLGVRPSDLDKYKIPEQCRLPMTEQDIKTGKDLLEEDFVKKNPGWVEELNIMVKSKQKAEIQALSTFGFQYLSEVYLPLKLQQQDWL >cds-PLY94740.1 pep primary_assembly:Lsat_Salinas_v7:5:121974436:121976340:-1 gene:gene-LSAT_5X53001 transcript:rna-gnl|WGS:NBSK|LSAT_5X53001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDDHLASATPTTLDYYHYMSKLQSDATLLSSFLGDDGRHALILDSTIFHPQGGGQPSDTGFITDVSSSSFKFIVQDVRSKNGIVYHYGVFEKTAEDLERGVQVLLSVNESRRKLNSRLHSAGHLLDVCMKQVGLRHLEPGKGYHFPDGPYVEYKGTVPQSELQSKQKELEFEANNLISRGGKVSVALYPYEEAAMLCGGALPDYIPKGSTPRIVKLGDFPGCPCGGTHVSNISELENMKVTQMRTKKGLTKVYYNIAC >cds-PLY64116.1 pep primary_assembly:Lsat_Salinas_v7:1:3229741:3229929:1 gene:gene-LSAT_1X2560 transcript:rna-gnl|WGS:NBSK|LSAT_1X2560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSAAESTGCIFDACVSGYPSSIDGPFLSMDCIGRTGVVESPSFRCDPLCVISGAWYCEWVL >cds-PLY97268.1 pep primary_assembly:Lsat_Salinas_v7:1:44300758:44303404:-1 gene:gene-LSAT_1X38541 transcript:rna-gnl|WGS:NBSK|LSAT_1X38541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:GLIP5 [Source:Projected from Arabidopsis thaliana (AT1G53920) UniProtKB/TrEMBL;Acc:A0A178WKF5] MAPPFLLCFFFIFFLLASRSIESQKHAAAALFVFGDSFFDPGNNNYINTTTLDQSNFPPYGETFFRFPTGRFSDGRIIPDFILKYAKLPLIPPYMEPNSRRYYKIGANFASAGAGALVETFQGSVISLQTQLSNHKKVVNRLRKIYGNIEASDTLSKAVYLFSIGTNDYASPYLITNSTNFTSTYSNSLLVKIVLGNLTTAIKELHKRGGRKFGFLNLGPLGCIPGMRILLNPLSESVGCVKEASLLAKLHNQELAKLLKRLAKQLHGFKYSLYDFSSNIKHRIKHPSIYGYKEGKAACCGTGRFRGTFSCGGRRPVKEFEVCENPKEYVFWDSYHFTERVYEEMAHEMWNKEASRGTYNLKALFQ >cds-PLY65778.1 pep primary_assembly:Lsat_Salinas_v7:5:271243225:271245145:-1 gene:gene-LSAT_5X141861 transcript:rna-gnl|WGS:NBSK|LSAT_5X141861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS2.1 [Source:Projected from Arabidopsis thaliana (AT2G06530) UniProtKB/TrEMBL;Acc:A0A178VT12] MSFLFGKKKTPAELLRENKRMLDKSIREIERERQGLQTQEKKLIVEIKKSAKQGQMGAVKVMAKDLIRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMQEFERQNEKMEMVSEVMGDAIDDALEGDEEEDETEELVSQVLDEIGIDINQELMNAPSGAVSVPAAKTKVAQAEAPAGAGDDGGIDSDLQARLDNLRRM >cds-PLY78159.1 pep primary_assembly:Lsat_Salinas_v7:6:110886056:110886424:1 gene:gene-LSAT_6X69081 transcript:rna-gnl|WGS:NBSK|LSAT_6X69081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIQPQTHLNVADNSGARELMCIRIIGASNRRYAHIGDVIVAVIKDAVPNMPLERSEVVRAVIVRTCKELKRDNGMIIRYDDNAAVVIDQEGNPKGTRVFGAIARELRQFNFTKIVSLAPEVL >cds-PLY88176.1 pep primary_assembly:Lsat_Salinas_v7:5:219500766:219501146:-1 gene:gene-LSAT_5X102541 transcript:rna-gnl|WGS:NBSK|LSAT_5X102541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQSMSRVEFMHLNEKVDKILVVVSTKQSPQEQYVVSYELNLLVNRVSRFEVMEKVMDQCVLLCFQEGIKELDESRLKDQGEMIKQCEDIVQGMGVVRTKIDDNMKIFTKDMGELITECHRAYETV >cds-PLY63053.1 pep primary_assembly:Lsat_Salinas_v7:8:72977162:72977966:1 gene:gene-LSAT_8X53341 transcript:rna-gnl|WGS:NBSK|LSAT_8X53341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGGDEFLKFQDFDELQSNDLDDAVKQMKEKRWSEPIFNDISSLHSPGVLAIGSSMKGEKSYSHHLDSDVGVSVVDRFTFYTLTFFERLNMYDNASLISLFGSFNPNLLFSTAYYRTDLYQQELEKVCHL >cds-PLY73436.1 pep primary_assembly:Lsat_Salinas_v7:4:180190340:180193465:1 gene:gene-LSAT_4X105621 transcript:rna-gnl|WGS:NBSK|LSAT_4X105621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKRTKLDWFMSLKQKRSIQFLIGLGFLYLLMVGLEVPFVSKIVSQEDESSVFFFSDKFSKKPYALDSEEELQEKEAPIRPLNLPQIAAKIPVSSRHSRKIREFKALSTLNFDINSLNGEPKDGFGGIQKSATEAFSVGKKYWEELESGKLKLPSENITINGVKNKTRESCPNSITLSGSLFREKGSIIVLPCGMTLGSHITLVGRPREAHPEQDPKISLLKSGQYLMVSQFMMELQGLKTVDGEDPPRILHFNPRLKGDWSGKPVIEQNTCYRMQWGSAHRCEGWKSRADEETVDGQVKCEKWIRDDDDHSEESKSSWWLNRLIGRTKKVTFDWPYPFAEGKLFVLTLSAGLEGYHVNVDGRHITSFPYRTGFALEDATGLALNGDIDVTAVFAASLPSAHPSFAPQRHLEMSDKWKAPPLPNEPIDLFIGILSAGNHFAERMAVRKSWMQHNLIKSSHVVARFFVALHARKEVNYELKKEADFFGDIVIVPYMDNYDLVVLKTVAICEYGVRTASAKYIMKCDDDTFVRVDAVLNEANKIGDGKSLYVGNINYYHKPLRYGKWSVTYEEWPEEDYPPYANGPGYILSSDVAEFIAMEFEKHKLKLFKMEDVSMGMWVEQFNSTKKRVEYVHSLKFCQFGCIEEYYTAHYQSPRQMMCMWNKLQRHGRPECCNMR >cds-PLY64203.1 pep primary_assembly:Lsat_Salinas_v7:7:2287105:2297320:-1 gene:gene-LSAT_7X1141 transcript:rna-gnl|WGS:NBSK|LSAT_7X1141_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPKNGRGKTKGDKKKKEEKVLPVVVDITVNLPDDTHVILKGISTDRVIDIRRLLSVNTVTCNITNFSLSHEVRGPRLKDTCDVAALKPCTLNLIEEDYDVETATAHVRRLLDIVACTTSFGPSPVVKEDFSTNVPETKTGKKTSKSQQSNKRSTSLPTLTPPPRQPQSKDVSVDGEGELSNSCPKLGSFYEFFSLSHLTPPIQYVRRATRQNEDDILIDDHLFSLEVKLCHGKPIHIEVYRKGFCSIGKQRILCHNLVDLLRRLSRAFENAYEDLIKAFTERNKFGNLPYGFRANTWLIPPVAAQVPSGFPPLPTEDENWGGNGGGLGRDGKSDLHPWASEFMYVASMSCKTAEDRQIRDRKAFLLHSLFVDVAIFKAILAIQHIMENPNINHSDLSSDDTIHTESIGDLRIRVYKDTPDASCKIDTKIDGSQATGVEKENLVERNLLKGITADENTAAHDIATLGVVNVRYCGYTAVVKVEEREDDKKQSPLPYIELLDQPDGGACALNINSLRLLLHKKASSILPNLKRSEGEELGTSRVLVEKLLKESLMKLQEECVESDKFTRWELGACWIQHLQDQKKTEKDKKASTEKVKVEGLGTALRSLKNKKKDLEGSTKVVDVVNGEVENSVLDFVVESPNSDENENALKKLLSDAAFTRLKESETGLHCKSLLELIDQSQKYYNEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMRSLGQVVKLSEKLSHVQSLCIHEMIVRAFKHIIQAVIAAVSKPEEMAAGIAAALNLMLGVPKSKKSCVDSVTKTWLEVFLKKRFDWDLASFNYTELRKIAILRGLCHKVGIELVPRDFDMNSPQPFKKEDIISLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVSYGTKALAKLVAVCGPYHRITAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQKLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKALEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPSHDGQGTDGMGLKRKTYIQKLKGKSYQTLEVANAEEASPKDLLKQVTDEEAALEHEIQVNQESTSLFVESIPPIKEETPVPVASELQPPEETGAQNPNPGSNEGGGGEEGWQAVQRPRSAGSYGRRLRQRKATTSLNKVYGYQKKGVVHESENGTGRYHFVKKRVMSPSTYVDYHTATPNHNKFGRRIVKGLTYRVKSSVHVPVEETNSNSSSSEQTTKEASSVPQTQRRSMISIGKSPSYKEVAVAPPGSISLLQVTDRDDDIIPDDKEIDNGKHEESVVKEAEEEIFEKVDTKDETEETHLGAIADVVEVDKVIDKSVIIDMPIPIVPSNASIETETENVKPNQSQEPQNKRLSASATPFNPSPPVVRVAPMPMNIALPPPGPTPWQMNMTLHPRPPSSPHSPYPTPPPPGTPTPNMMHPHPLPLMYSPPYTQAPSLSLPIPNTNTTYPMTTGPFHPNHFAWQCNNGLQYVWSNCHPMEFSISPPAVRPISDPNMETKQVFDGTFPSPPSLLEANNVDETKQQVSLEKSNDIVGVQNGSNHGQRVENEKTFNILIRGRRNRKQTLRMPISLLNKPYNSQSFKVIYSRVIRGSEAPKPSTSLSSQPTSTAT >cds-PLY72877.1 pep primary_assembly:Lsat_Salinas_v7:5:169417218:169417707:1 gene:gene-LSAT_5X73841 transcript:rna-gnl|WGS:NBSK|LSAT_5X73841_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVRLFNPCGFAFLAEENHLGFGGARHVICLVLQSLKLSLDSNVHILVDWVIGGGKRNCSQATECKANRFCMDDEDLDGYRCSCNKGYQGNPYLHNGCQGQFRI >cds-PLY82839.1 pep primary_assembly:Lsat_Salinas_v7:1:87519596:87521069:-1 gene:gene-LSAT_0X4860 transcript:rna-gnl|WGS:NBSK|LSAT_0X4860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLAAGTGLVKLPPVTFVTGNAKKLEEVKVILGQSIPFQSLKLDLPELQGDPEDIAKEKARLAANQVKGPVLVEDTCLCFNALKGLPGPYIKWFLQKLGHEGLNNMLMAYDDKSAYALCIFSLAIGPDFEPITFVGKTMGKIVPARGPNDFGWDPVFQPDGYDQTYAEMPKEEKNKISHRSRALALVKSHFAEAGYVFE >cds-PLY62110.1 pep primary_assembly:Lsat_Salinas_v7:8:35249785:35250253:1 gene:gene-LSAT_8X28381 transcript:rna-gnl|WGS:NBSK|LSAT_8X28381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVESDGAEQMQTGRWSMDVFGGWLKNEWSSSIDLSSTTTDVLSSELCKRLKGFLAAWLPSSPQPHCYI >cds-PLY95878.1 pep primary_assembly:Lsat_Salinas_v7:5:317877270:317881440:1 gene:gene-LSAT_5X174001 transcript:rna-gnl|WGS:NBSK|LSAT_5X174001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGRKIHASYVKKWFPKFKRYLKQDSSIYVKKPNVAPNTLNFKFVDPERKLNFYHDTTVKECENFSGSVHGFDFVNFNTIVSNNILESNSFGILNLRLVSENGQQLSSSGIKIIVSKQDTEHDDFLKNHMFSNIDDLFEPLEDSFSHTGENLTPCARDNSTATSPTKLFSTPTKLKKKLATCIDLDEMENLSTSKTPRLSPPDEQPIPLLVPKKEK >cds-PLY84151.1 pep primary_assembly:Lsat_Salinas_v7:6:191336020:191339769:1 gene:gene-LSAT_6X117381 transcript:rna-gnl|WGS:NBSK|LSAT_6X117381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQTALPPELANNAIRLYRECLRRAKYVGHKQHNTELVVQMVRQQFRKHMHETDPERIQKLKDELRACFLNFQSSAVWGYFVFYGDDGSAFKYFTQNTNQITIEVLVTSTSM >cds-PLY90027.1 pep primary_assembly:Lsat_Salinas_v7:5:186253115:186256797:1 gene:gene-LSAT_5X82900 transcript:rna-gnl|WGS:NBSK|LSAT_5X82900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESEDILEKNSKVKNDKDVNNPYVEEECQESEYNEEESSGDEDEIDGDEDLCDEDEEYFDVNQVSAVEVYENKISYMYKKMEDLKKDLIVKIDEGVLNKEPILTHAFVQVNDEDYGNDFLNGDENVEDDDQGKCYGAQGDGSGPHREILVKIMLKVKVMLMIIHIRMILVKIMILLNEKDDEKGNGSGCNEEEAMNLNYVAENVTKSVGLIDSQEGNENLVDGCINQKRVEDDVNDNLTGFKKNEFDDGSKKSSQSQSSSERMTKKKIKDRDYLGKPSAGPECVIPNVVVIDAITVSFAPPLCTLEGPSKPISSKYKDINEEERSVVDVKGKREMKFSYVYKSPFKERLIDFKPSLTQVENVVCQWLFSLQGNPGDIVLLMEPNVMVFRANNESPF >cds-PLY83621.1 pep primary_assembly:Lsat_Salinas_v7:9:101623179:101624319:-1 gene:gene-LSAT_9X74441 transcript:rna-gnl|WGS:NBSK|LSAT_9X74441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQLLIIPNEPKWVIVGFMLLSADNYAAEDTILSPPMPSPYEKPSTKINPTMAIILVCLLSAFFMICVISTYFRHFTERQLRLARSTQNLSETGSMRSVVHGLDPAVIATFPSFLYSSVKGIKIGQTVLECAVCLNEFQDHETLRLLPKCSHVFHQDCIDTWLASHVTCPVCRANLVLLPGELDHMTELLHCPVDPEIDYASTEFLLPVEFKHKIPPSTEMPRSHSTGHSVIIRPVENTERYTLRLPNEARGLFGNLVASLPTSPHMALPMESSQKMSLRSISVGSVRRLDYVHHEVSNYERRRGQTSRGGPSDSHIVDC >cds-PLY89675.1 pep primary_assembly:Lsat_Salinas_v7:3:184787061:184788053:-1 gene:gene-LSAT_3X111001 transcript:rna-gnl|WGS:NBSK|LSAT_3X111001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRISPDVHTFSILVDVLCKEGKLEEAKGVINLMIQRGKDPNVVTYNSLIDGYCLRGEMRKAKEVFDSMGFRGLVPNIVTYNSLLNGYCKKLKMEKAMHLFHEITKKGMKSDVITYNTMIHGLFRVGRCKDADELFHEMRAHNLIPDEFTYGIVLEGLCTNNQVEEALSFFYLIGDNNLNSNIIVYSSILIDGSSKSGRFDIARNLFNELSVRGLQPNVWTYNVMISGFCREGLVSEAKELFFKMEKRGCSPDDVTYRVLLQGILKYVHHDMVEMLLLEMEGKGFSLDASTVSMLLDHIKARSLDASLLKLIGKLVPKEEVDTPCFTV >cds-PLY61639.1 pep primary_assembly:Lsat_Salinas_v7:2:47141293:47141571:-1 gene:gene-LSAT_2X21480 transcript:rna-gnl|WGS:NBSK|LSAT_2X21480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MESIVHMLIGQTQEELTDLTNLENDFFFHQEMKKEVLDNMSRRPKFTNYLYMKDILTSSTYDAAKRIQDIYNLKKETEVAIDNLKNLLKKLP >cds-PLY74593.1 pep primary_assembly:Lsat_Salinas_v7:7:40635118:40636563:-1 gene:gene-LSAT_7X32460 transcript:rna-gnl|WGS:NBSK|LSAT_7X32460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILGKMKETAEAYLGKPVQKAVITVPAYFNDSQRQSTKDAGAIAGLNVIHMINEPTAAAIAYGLDNKSDISSKISMLMLPPFRKSKKNVLVFDLGGGTFDVSILTLGQGGSTFEVKAVVGDTHLGGEDFDSRMVDHCAREFKRRWNKDLTGNKRAMGRLRCACEKAKRILSCNTITSIELDGLHEGIDFSMKFSRAKFEELNMDYFVKCIETLKACLNDAKMEKSCVNEVILVGGSTRIPKVRCMLRELFDKELCKSLNPDEAVAYGAAVMAAKLDGKSDRSCRDLVLLDVTPLSLGVEIKGEVFDVVIPRNTPIPTKKSKNYSTTEDNYTSITFKVYQGERTRSTDNHLLGKLTISGIPPAPKGVEKLKKYFEIDANGILKVTSKILSTGKMEKLIITNKNGRLSKEEIEKMVNDAEKYKEDDLEYKKKADALNALRDCIYNMRNKMKTMAQPGRLKKMENAIPEASKWLEHNEAASVDY >cds-PLY90782.1 pep primary_assembly:Lsat_Salinas_v7:5:8684295:8687210:-1 gene:gene-LSAT_5X4500 transcript:rna-gnl|WGS:NBSK|LSAT_5X4500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKAKSSGLIIGISIGVVIGAILAIVGLLCFRFHRKRSQIGNSSSRRASTIPIRANGADSCTVLSDSSMGTETSSRASIHNGISFWFTGPRKSHVVAASGILEYSYKDLQKATSNFTSIIGQGAFGPVYKAQMTTGETVAVKVLATDSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQHMLIYVYMSKGSLSSHLYNENRELLGWNLRVQIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDESMGARVADFGLSREDMINRNASNIQGTFGYLDPEYISSRAFTKKSDVYSFGVLLFELIAGRNPQQGLMEYVELAALNTEGQVGWEEIADSRLDGNFDERELNDVAALAYKCVNRSPRKRPSMRDNVQVLSHILKTIQNKRHQKRRSSLSSSEVAITIGQSARQNSMNSEHHRAESMDSIPDSVEV >cds-PLY93855.1 pep primary_assembly:Lsat_Salinas_v7:6:144713725:144718348:1 gene:gene-LSAT_6X86801 transcript:rna-gnl|WGS:NBSK|LSAT_6X86801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEWPEWLPADWMIQIRKIDDRKVKCYIDPAGRKFYSKPQVDRHFRTLENRVDNEKTNNVDGQTLDLSLEPDEDVPHTNEDPEPEPESTPKGQSTRQRRPGSSSKNYKDTEELFPRYGSSSVSDSRGRKHGDTDWLPDGWDVEVKSKKSGQKYKIYINPKGKKFFSKPQVLSYLSGTLSSPAGQKKGYKTPTQPEKSQISEAKNNETREITESARMAPDYEVISSTPADGLPPGWIKEIRVRNGSSGKKRDPYYLDPLSGYVFFSKLDALRYLDTGDVKKCAIKPLRRDMNHELLQAAADEPEGELASAPEPTKETETPKEVENGGVTESKPEGTVTEKRKVRSITGDGYVPMPKSITGDGHLPMPKPAQKGEAWLPEGWVVEMKSRNDKKIKCYKEIATGKNFYSRPQVMTYLGNTSGISPNTNTNSNSQKRKKVRKSYVERDSSPDSGSEEFTRPKRSKKDVSDYKETIVTTPAEGLPPGWIKEIRSRLCGTTLRKDPYYTDPVSGYIFRSKLDALRYLDTGDINLCAIRPRKKDADGNEVAIPDTTPKPPKLQKQLSEGGSDVEGIDMDKEADIDNSETDRKNTENTPGSTPIRRGTRERATKVKPSAAPLPARSSTRLKGVKPHTKTDTSLDGNDNGAGVDVEKQGNEEQLDYQLEDDSSWTDQFDFAVKTLVGEFPPDENGGVGNGSAAKVN >cds-PLY99900.1 pep primary_assembly:Lsat_Salinas_v7:1:36015624:36017689:1 gene:gene-LSAT_1X29861 transcript:rna-gnl|WGS:NBSK|LSAT_1X29861_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MYGVTTRLRLEEGEEERSKEKSRGDTGAAAVSFQSHSHSSQFTRYFRKQREELGMIASHTPQLQSCPGS >cds-PLY90466.1 pep primary_assembly:Lsat_Salinas_v7:9:93758632:93759367:-1 gene:gene-LSAT_9X70660 transcript:rna-gnl|WGS:NBSK|LSAT_9X70660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGVVKSPTKCGTVGIQGIVWAFGGMIFALVYRTAGISGGHINPAVTFGLLLARKLPLTRAVFYMVMQCLGAICGAGVVKGFQGDAQYTTLGGGANVVAHGYTKGDGLGAEIVAISMLVYTVFSTTDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITETGCCFFGDSLTVNQKETHVETE >cds-PLY76185.1 pep primary_assembly:Lsat_Salinas_v7:4:51554795:51554959:1 gene:gene-LSAT_4X35220 transcript:rna-gnl|WGS:NBSK|LSAT_4X35220_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQSFLRSIWLDKNQKQLLQWPIEEIEMLHENEVIEGGSLHETQGITASQVSCNL >cds-PLY86814.1 pep primary_assembly:Lsat_Salinas_v7:5:16321714:16322321:-1 gene:gene-LSAT_5X7940 transcript:rna-gnl|WGS:NBSK|LSAT_5X7940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSGHINSSSSTSSRRTCLCSPTNHPGSFRCARHRSSSPKVGRKLIAEQLNRLDVKKTNLVKEFLLKIIKPSSHDIQRRKNFEPKPSRFSVLDHCSHGGKELVVS >cds-PLY68190.1 pep primary_assembly:Lsat_Salinas_v7:8:118778079:118779741:-1 gene:gene-LSAT_8X82361 transcript:rna-gnl|WGS:NBSK|LSAT_8X82361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding METEISESEDVRIEMRGNLSEPADNVNHGYAREWEFCIQKYETVQRLERKRKIEKVPPLLLKGEKGERNRQCYEPMVISLGPYHHKRDDLAAADKYKLITLEEYCLSCKKTMDLLYNKVFEVVQDARKCYVDGSTDEYNDEQFTRMMLRDGCFVLFFIHCIASEPNTMLMLNIEYLGALGFLHIARDILLLENQIPFIVLQVLLDLQFPEDRGERILNEFFNYLNYGEITKKKEKVLEKKQPLHLLELYRSHFISLSSSFGQVPQKKIGVHQKNTNGKSNYVKRNRSFASVTELKAKGIFLKRTNVDDESSNEDIKFHSHCCYGELELVRRAVYSNSKAIYLNMIAYELCPHNPNDLRVSTYIRVMKSLVVHHDDVKELRDNKILLHSFGRDEEVVKMYDEIDVPAVNVHLFSQLRRGIEKHCNNKYKTWAAELINVYFSSPWKTVALLVATAILFTSFLQTYFSIRPLPNDSNQDIVKLLRRCIHSKPPSAPT >cds-PLY99909.1 pep primary_assembly:Lsat_Salinas_v7:7:16205530:16207102:1 gene:gene-LSAT_7X13200 transcript:rna-gnl|WGS:NBSK|LSAT_7X13200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASEKVTVMILNVDLKCPCCYKKVKKVLCKFPQIRDQVFDEDKNKVTITVVCCNPEKIRDKLCCKGGKAIQSIEIVENKPKPPEKPKPVDKPKPPEPEKPKVIEKKPEPKPPPVVVPAPVEKPKVDPPKPDPPKPDPPKEVPPKKPDPAPTPKPPVVVPQPEPVKMPEPMHGYPQPFCPPQFPVSVCCQECYEGRGGGPCHYGYGRPVPPPPGPCYDGYGYQYGGNRPCYARCDYFSEENPQGCSVM >cds-PLY89017.1 pep primary_assembly:Lsat_Salinas_v7:3:73308779:73309939:-1 gene:gene-LSAT_3X61521 transcript:rna-gnl|WGS:NBSK|LSAT_3X61521_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNVKEKSGPCEVKSTKTKVTQSASISPNRISASPLPPCNSSSSSFYRESLSTDTSLKMSKENIHTSIDIIGHVDSSKSTTTYKLGGIKKEAFNLIDKDGYSCITTKELGTIMKLLGQNRTEVELQDMINELEVEGNGTIDFPEFLNLLTRKMNTQRGAIFTEIKNNVNKIAKWTTPAIVTSANMMRMGYTTRVHPCDHFDLVILAIVGNKQKGIDGQIGTKFDDQFTPHEKFLLLPLNLKIAKLKEKLTTSREIQASLVEIEDRNASFNGLPEWIGAFEVSFVLNKLIGVSYKFEDTRSGDELTEKYREFVLHFEIPGTPFKIYGKAKGKTKRSPRSVWMLYTISIHLEDKVVLLGWGIVMHQLLQYMIDKGNMGNSTNKRTLGTV >cds-PLY79305.1 pep primary_assembly:Lsat_Salinas_v7:5:169599557:169600435:-1 gene:gene-LSAT_5X74901 transcript:rna-gnl|WGS:NBSK|LSAT_5X74901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADDNKEPSPLHQQVIEKVRFMLRDNHLTIEPFNDDIDHISEMIKEYVTNAKYCISYYKDELTDTQFRLEELRCRIAKLENDLAIKTEAHLTSVEQYEIVLNQCDIIAKDNREMYTQINSYKASKTLLEQNSHAILKGPKPSCIKYGLGYDEMIKEIMGLNAQQKFLACDPSLFTRDNEDHEEEVTCSPDVIATSSSSIPLEVTSSHDLPDSDTGSDSLVEFSLECPTMESFNSEDATSEKTNIPDSKSIDKKPKSNEPIGYSKNEPIEFLSQEAFPSLNAFVSGTKDLGCK >cds-PLY89817.1 pep primary_assembly:Lsat_Salinas_v7:4:323062258:323064484:-1 gene:gene-LSAT_0X27881 transcript:rna-gnl|WGS:NBSK|LSAT_0X27881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKASI >cds-PLY73847.1 pep primary_assembly:Lsat_Salinas_v7:7:69335182:69337838:-1 gene:gene-LSAT_7X49821 transcript:rna-gnl|WGS:NBSK|LSAT_7X49821_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIRLASCSSLTLLASTSSSSFIFTKFRSIPNLRFSFSVSTAAISVNPLFCSRRGKRMAHTVAKATLGLTHPAEIESPKISLATKDIDLVDWKGDILAVGVTEKDMIKDENSKFQNLLLKKLDSQLDGLLSEVSTEEDFTGRVGQSTVLRLSGLGTKRVSLIGLGKPPITSSSSISAYRSLGESVASSAKASQANNVAVALASSQDFTPELKLTTASAIATGALLGTYEDNRFKSESKKPSLKSIDFLNLGGGPELEKKLKYTEYVCSGVILGKELVNAPPNVLTPGVLAEEAEKIASTYSDVFSAKILDTEQCKELKMGSFLGVAAASENPPKFIHLCYKPPTGSIKTKLALVGKGGGYNIKTGPGCLIELMKFDMGGSAAVLGAAKALAQIKPPGVEVHFIVAACENMISGTGMRPGDILTASNGKTIEIVDLATLTGACIVALGPSIAGIFTPNDELAKEVVAASEVAGEKLWRLPMEESYWDSMKSGVADMVNTGGRQGGSITAALFLKQFVDEKVEWLHIDMAGPVWNDKKKAATGFAVPTLVQWVVANSSS >cds-PLY65050.1 pep primary_assembly:Lsat_Salinas_v7:1:117549605:117591316:1 gene:gene-LSAT_1X86860 transcript:rna-gnl|WGS:NBSK|LSAT_1X86860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDYKRREFAAALNLVGNFGTEHVMADVEVASFLGDILPISRAANEVEQSSPRVAYLCRLHALEKVGKLDPRSSDRGVHQFKIDLIHQLNEQFLATLGVQRNSDAQEMHAFYRHYCGNYIQGLQNTDEVDSIHLEKAYKTAAVLFEVLKAVSWQESVAVMNEIFKIHEEMSTRKILQLQPKYSDQALPRHAQIEEEAEMYTDDIFSFHTESSVQPSVRHSEILKAHTEVAEKAEMYTHNNILPIEPENEDQAILRHPEMKQQNHLRIPLQVIKSCTQDFNERNSIGRGGYGKVYMGILTWGDHVNQLVAVKRQNIKGGQGKKEFHTEVTMLSAYQHKNIITLIGFCDEDEEMILVYEYASHGSLDTYIHKSAVQGGLPLPQLLKTCIGVASALNYLHNHVAEKHRIIHRDIKCANILLDENWNAKLADFGLARIGLANQENTFVITNLAGTHGYCDPEYEKTGFLTKESDVYSFGVVLFEVLCGRLACAYNYHDERRFLHHLARTRYKNGELEKIIDPNIRKVIKPRTLLIFSAIAYRCLQETREARPTIAEVESQLKDAMKAQLEDSNSQMNG >cds-PLY97064.1 pep primary_assembly:Lsat_Salinas_v7:4:75417705:75418397:-1 gene:gene-LSAT_4X50801 transcript:rna-gnl|WGS:NBSK|LSAT_4X50801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRLDPTLPKLFWLTPTFPTCPTVADSQTFRLHSNSYPMIQDVILDVKNKIKFSFYFIYSYSIRLTALALLTSMTYFYAGISKKGLGYFGKYIQPTPILLPINILEDFTKPLSLLLIYWKYSNTPLLSYIPYITLDRYHILADTTGRIPLWIIGTVAGIHVIGLVGVFFYGSYSGLGSSL >cds-PLY80624.1 pep primary_assembly:Lsat_Salinas_v7:4:256205817:256206071:-1 gene:gene-LSAT_4X135261 transcript:rna-gnl|WGS:NBSK|LSAT_4X135261_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MANKREVHNCDIHHALRADLVEHVCADLVEHVYRAHIQPLIEYCHDDLFDESEEDSDMFVESEDSDDESDVQENDEDDEVDDSK >cds-PLY72638.1 pep primary_assembly:Lsat_Salinas_v7:3:184028771:184031891:1 gene:gene-LSAT_3X110400 transcript:rna-gnl|WGS:NBSK|LSAT_3X110400_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSQKEPPTEDGHPKTEDNNEERSGNDPTRATPQSASPSPTKKSGKPSPIGTVLGRPMEDVRTGYTIGKELGRGQFGVTHLCTNKQTGEQFACKTIAKRKLVNKEDMEDVRREVQIMHHLSGQNNIVDLKGAFEDKHSVHLVMELCAGGELFDRIIAKGHYTERGAASLLRTIVQIVHTCHSMGVIHRDLKPENFLLLSKDENAPLKATDFGLSVFYKQGEVFSDIVGSAYYIAPEVLKRKYGPEVDIWSIGVMLYILLCGVPPFWAESEHGIFNAILRGQVDFSSDPWPTISPQAKDLVRKMLNSDPKQRLTAHQVLSHPWIKEDGEAPDKPLDNAVMGRLKQFRAMNKFKKVALRVIAGCLSEEEIMGLKEMFKGMDTDNNGTITLEELKHGLSKQGTKLTETEVKQLMEAADADGNGTIDYDEFITATMHMNRMDREEHLYTAFQYFDKDNSGYITIEELEQAIREYGISDGRDIKEIVSEIDSDNDGRINYDEFVAMMKKGNKETSVHPTKRRRDSFVPAF >cds-PLY84437.1 pep primary_assembly:Lsat_Salinas_v7:8:280713340:280724477:-1 gene:gene-LSAT_8X159080 transcript:rna-gnl|WGS:NBSK|LSAT_8X159080_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTFSLSITIFLMILQVLWNTSMYVNGCYTSIFSFGDSLADTGNIKQIASITHTDVEALRWPYGETFFHQPTGRASNGRLLIDFLAESLGLPLIPPFLHDKEDDKVVEFGQGVNYAVVAATALDTSFHEARGTVNAAANVSLGDQLRWFKQSLPFICNNTSDCRNLIGRSLILMGEIGGTDYNNPIVGNKPIDELNSYVPLVIDTIISAVNELINMGAQTLVVPGTFPIGCSGMILTSHFFEKEEEYDNRTGCLIKFNTLAEYHNELLQSKLNHLREIHPNVIIIYADYYNAAMQIIRSPDKFGFIEMVLKSCCGGGGPYNYNPLAKCGYEFATTCVDPNMYAYWDGIHFTEAAYLILFKSLFQGPYTTPQFNLLCPSSTFKDGVGLSGSQLQ >cds-PLY70148.1 pep primary_assembly:Lsat_Salinas_v7:3:11461903:11465024:1 gene:gene-LSAT_3X6961 transcript:rna-gnl|WGS:NBSK|LSAT_3X6961_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVGTATGFDPYVNASSNPNDFFNFEQHNSASHNSDLFWKPSSPSSSSKPMMTTGVGAGDFCHFDESTLQRCVLIPCEPNERPSQGLSLSLSSTNPSTIGIQPFELVRQPPQDQHHHHQGYFGKPMNMHEQHDMMTDNQDEFLRKSENIIINMASGGAQQYNIRSSRYLVPAQDLLTEFCNLGTKHNDHSKKTKAQRTGHEWQDQDNLNNINASSSKNKPLNSLEFLELQKRKSKLLQMLEEVDRKYHNYCDQMKAVVSSFEAVAGNGAAKVYSALASKAMSRHFRCLRDGIVSQIKVTKKAMGEKDISAPGATRGETPRLRLLDQTLRQQRAFQQMTMMDSHPWRPQRGLPERSVSILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYVEETKENDHGNIEGVSAIDENTGIPQMEQKPTVDQLIRRDSECLSSIINHHDHHTTKTLDHDFHQGFARVSADSFGAVELDFSAYNHSFGGSGGAGGSGGGGVSLTLGLQQHAGGGGGGGGGGSGGVSLLYPRDHMEDCQTAVQYSSLLEGDQGQNLPYRNLMGAQLLHDLAG >cds-PLY62080.1 pep primary_assembly:Lsat_Salinas_v7:2:55571207:55571725:-1 gene:gene-LSAT_2X24540 transcript:rna-gnl|WGS:NBSK|LSAT_2X24540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFRQNMENKGKRERDRVFKKNGILLFPLSTTEYNKGIKAVADIVINHRTGEKQDGSGKYYIFEGGTPDKRLDWGSSLIFKDDDYCVGNGNIDTGDPITGSPVIDHVNPIVQKELSDWMNWLKIEIGFDGWQFDYVKGYSSSFTKIYMTNTSPDFAVGELWSSLAHGQDEKPY >cds-PLY65205.1 pep primary_assembly:Lsat_Salinas_v7:7:60258013:60260019:1 gene:gene-LSAT_7X45101 transcript:rna-gnl|WGS:NBSK|LSAT_7X45101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNSNRLFSILDVYNHPCKRSRINDSYSLFSNNKNNPSIEILPDECLYEIFRRLSGGKGRSVAACVSLRWLTLISNLKTSEIIDKVKVKVNNEFSFDDEQENDGYLTRSLEGKKATDTRLAAIAVGTSARGGLGKLSVRGSDKVTSVGFSAIARGCPNLRVLSLWNVPMIGDESLIEISKCHFLESLDLCHCPLISNTGIISIANNCPNLSSLTIESCKNIGNKSLQAVARCCPNLQSVTIKDCPNVGDQGVATLFSSSLVLTKVKFQSLDISDLSLAVIGRYGKSITNLALTNLRNVSQKGFWAMGNAGNLESLMSLTVISCCGITDLSLEVIGKGCHALKHLVLKKCWFLSDKGLISFARAARFLESLQLEECNRVTQQGILGTLSNQNSKMKSFSVVKCMGVIDLDEKAIDFFEKNQSLHSLTIKNCIGFGNKNLELIGKLSPNLHNLDLTGLSGITDSGLFELLKTHTAGLKKINLTDCINLTDKVVVDLVKIHGGTLEVLNLGGCRKITDESMAAIGRNCGLLKDLDVSKSGITDSGVSWLCCEGNMRLRVLSLSGCMVSNESMLSFEKMGETLVGLNLQRCNLISRSAIESLVINLWRCDILS >cds-PLY76050.1 pep primary_assembly:Lsat_Salinas_v7:5:320184256:320190146:-1 gene:gene-LSAT_5X176920 transcript:rna-gnl|WGS:NBSK|LSAT_5X176920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion protein SCC4 [Source:Projected from Arabidopsis thaliana (AT5G51340) UniProtKB/Swiss-Prot;Acc:Q9FGN7] MDGVAEGLWELADLHEKNGEIAKSVKCLEAICQSTVSFLPVIEVKTRLRIATMLLKHSHNVNYAKSHLERSQLLLKSIPSCFELKCRAFSLLSQCYHLVGAVSSQKQLLNKGLELTAEFGEGFSGKLWSCNFNSQLANALIIEGDYQGSVSTLEQGYVCATDMYYPELQMFFATSVLHVHLMQWDDGSLVEAAVSRCNDIWESIDADKKQQCLGLFFYNELLHIFYLLRICDYKNAGQHVDKLDAAMKTDLQKMQQARELTKELTIVNEGLSQSDLPHRDRAKLSARRSQLEEEISNSIGSSFNGQEGLEPAYFGNVKRELGDKLELAPPPIDGEWLPKSAIYSLVDLMFVVFSRPKGLFKECGKRIQSGLKTIRAELVKYGIADGTTEVDLQHSAIWMAGVYLMILMQFLENKIAMELTRSEFGVAQEALLEMKGWFNRYPTILQACESIIEMLRGQYAHCVACYDEAAFHFVEAAKLTESKSAQAICHIYASVSYICIGDPDSYAKALELIGPVYKVIDSFVGVREKTAALFAYGFLLMRQENLQEARVRLASGLQTTHTTLGNLQLVSQYLTVLGHLALALHDTGQAREILRSGLTLAKKLYDIPTQIWVLSNLTALYEQVGEKANETENREYEKKKADELEKRLANAHASAHHPQLIEKAKFQVQRLNEVDIKRAIAGPSMKVDLDIPESVGLSSPAPAPSSRRLMDVDIGRRVTRRGG >cds-PLY83550.1 pep primary_assembly:Lsat_Salinas_v7:1:65099965:65100611:1 gene:gene-LSAT_1X55581 transcript:rna-gnl|WGS:NBSK|LSAT_1X55581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLHVWVECNDVLENVEYARAHNTDHQSRVLLEAASMMVETRFALMIVDSATALYRTILVEVNNHPDASCKVLEEPSELHVVITNQVVAQVDGSSTFAGPQIKLICGNIMTHASTTRLALRKGRGKNEFAK >cds-PLY68492.1 pep primary_assembly:Lsat_Salinas_v7:2:214188841:214191690:1 gene:gene-LSAT_2X135880 transcript:rna-gnl|WGS:NBSK|LSAT_2X135880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVHKFDIYDDDDDDDDDDVTRFQDLRSENSSSGGSSGRLKTALGSVSEMFRKGLEHGSGGIKSLKRSMESHSFKRIVSRGLGSWKNTLNPQGKFLQKWNKIFVLSCVIAVSVDPLFFYIPIVIDDKKCLDLDKKLQITASVLRSFTDIFYIVHIIFQFRTGFIAPSSRVFGRGVLVENSWEIAKRYMSSYFLVDILAVLPLPQIVIWLVIPKMHGSRSLNTKNMLKFVVVFQYIPRMLRIYPLYKEVTRTSGILTETAWAGAAFNLLLYMLASHVFGAFWYLFSVERETTCWEEACKNTTGKNITNCSRSWFSCGNQSHPVEYLNSSCPIIEMENPPYDFGIFLPALQSGVVNSLDFPQKFFYCFWWGLQNLSSLGQNLQTSTFVWEICFAVFISISGLVLFSFLIGNMQTYLQSTTIRLEEMRVKRRDAEQWMSHRLLPEPLKERIRRYEQYKWQETRGVDEDNLIRNLPKDLRRDIKRHLCLKLLKRVPMFEKMDDQLMDAMCDRLKPVLYTEDSYIVREGDPVDEMLFVMRGKLLTVTTNGGRTGFFNSDYLRAGDFCGEELLTWALDPHTSSNLPISTRTVQALEEVEAFALMADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRRCRKKLEDSLREEEDRLQNALTGGGGGSGSSPSLGATIYASRFAANALRALRRNGARKARIPDKLPPLMLQKPAEPDFTSEDK >cds-PLY67254.1 pep primary_assembly:Lsat_Salinas_v7:6:135821574:135823142:-1 gene:gene-LSAT_6X81901 transcript:rna-gnl|WGS:NBSK|LSAT_6X81901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALLTPATMPISSLQTRLKFILQNRPERWLYGIFWQASTDKDGNLVLLWADGYFPGPNHDMTAPIINGNDPIFGSDSVSDTQWLIMSSTTMCFPAKFDVVGDCFSSGSHLWLAGDMQLKKYSSQRTEEVRVHGIKSLVCIPTVIGVVELGSCDTVKEDEGLIQLTKSVFDPDNYFNVNLSPLVDEYENPNQGVQNQVSVEGQLEMSMKDMHMSSSDSDPVEIGSSSLSTTNVLSTPKKRVRKAKGEVAHSEVMALGYHVEAERQRREKLNDRFYALRSVVPYVSKMDKASLLADAVTYINELKARIQTLEEKVGTESSVAITSRNESQMNMCHVRGSGTNNRDEVEVKLFGSQAMIRVQSAHMNHPAAKLMDVLRSYDLKVQYASVSSVGDRMVQDVIVMVPYGFTCDADTLKFVVLEKMDMD >cds-PLY63145.1 pep primary_assembly:Lsat_Salinas_v7:4:65605540:65606725:1 gene:gene-LSAT_4X44361 transcript:rna-gnl|WGS:NBSK|LSAT_4X44361_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASIRYGIVPTPEEFESLQCRFGLMPKHGVQFPLEGSIIYQSLARKIGILVAIFEAGFRLPVPNFFDEVMRENGFSVHYLTPNAMNKIVGVSGVGCSSSFSSVPVVSCHRSAVADSALGEDVGGDTIARCLRWKPNIKPFLAKSPVVIEIAYGDELSGEEAVRQGKTIAQEVATPHLRL >cds-PLY68139.1 pep primary_assembly:Lsat_Salinas_v7:8:232514261:232523170:1 gene:gene-LSAT_8X141241 transcript:rna-gnl|WGS:NBSK|LSAT_8X141241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASRNLEKMASIDAQLRLLAPGKVSDDDKLVEYDALLLDRFLDILQDLHGEDIRRTVQDCYELSAEYEGNMDPNKLEELGRVLTSLDAGDSIVMAKSFSQMLNLANLAEEVQIAYRRRIKLKRGDLADEASAPTESNIEETFKRLVGQLKKSPQEVFDSLKNQTVDIVLTAHPTQSIRRSLLQKHGRIRNCLAQLYAKDITPDDKQELDEALQREIQAAFHTDEIRRTAPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGICERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCNDELRARADELHRTTKRDVKHYIEFWKQIPASEPYRIILGDVRDKLYHTRERSRQLLANGISDIPEGKTFTNVEQFLEPLELCYRSLCACGDRPIADGSLLDFMRQVSTFGLSLVRLDIRQESERHTDVMDAITNHLQIGSYRNWPEQQKQEWLLSELKGKRPLFGPDLPKTEEISDVLDTFHVISELPSDNFGAYIISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLKAAPAAMACLFSIDWYKNRINGNQEVMIGYSDSGKDAGRFSAAWQLYKAQEELTLTAKRFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEERLCFRTLQRFTAATLEHGMNPPISPKPEWRKLMDELAVIATNEYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFSWTQTRFHLPVWLGFGAAFKHAIEKDSKNLEMLREMYNEWPFFRVTIDLVEMVFAKGNPGIAALYDKLLVSEELLPFGERLRANYEDTKSLLLLIAGHRNLLDGDPYLKQRLRLRDSYITTLNVLQAYTLKRIRDPSYDVKLRPHLSKEIMESTKPAAELVKLNPTSDYGPGLEDTLILTMKGIAAGMQNTG >cds-PLY83331.1 pep primary_assembly:Lsat_Salinas_v7:1:63412309:63415489:1 gene:gene-LSAT_1X53881 transcript:rna-gnl|WGS:NBSK|LSAT_1X53881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGENAEKDLDVKASGAVNDGCLSQGDELVIDVTSSSTLTEDRSSGSVNETSLEQLRTTEIDADKTIEIAQPSEQIEEMANLKSGTIELDADKTFEIAQPSEQIEEMANLKSGTTELEADKTIEIAQPSEQIEEMANLKLGTTELDADKTADMAHTSEQIEEIPKLIETQEPKRIGKNTKFNLRKSLAWDSAFFTSDGVLDADELSTMIERGEKGMKNQLPGIEEEVYRSMESISTLESDSLTLECLEAELFEDIRASIQKSNTKSNLNNDSTIKVQSGKKDSQAKISISKKVLDLDSGKRPAARIKDNSTSSIPKPKIISKVSSTSALTKRASLSTNQAKKDQDFLKQAHATHKGIQSAKTTTNGVINPRRGVPKPSLTSKSSSSSSSTTCSNSSSFSGNDSTFLRKKVDSKNGNKFTAGPIPKTPSRVNTKNKVPPVNSRLSFHTTLSNLSSSVSPASSIDLSSESSSSTSITRRSIESIDSALSVHNHATGWNKNENGNQISSLSRPPSVQPTGLRMPSPKIGFFDGGKSGVRTPNGGGNAIRSQTRVPTSSNGVNKIPPPKTGFMKPNPQKTGSKQPSQEQPKTSLNLCLNPTDSDSNKSKMETFDSSRTPFAVKNSVCEVSESGLTMEVLEKGVDFSFVESQHKENSEFI >cds-PLY80025.1 pep primary_assembly:Lsat_Salinas_v7:9:45188766:45189409:1 gene:gene-LSAT_9X40940 transcript:rna-gnl|WGS:NBSK|LSAT_9X40940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSKLGLHPKDETDPVFITQQTDSMFILKSHLKGYDRSNIKIEINEDGSRITISGKNPVCQEETIKGFQKTFRIPEGVVLDKVKARFDQDESRLIIRMPKSVHGIVGIGIQELENPIQENENHEMKNEETVGSNDLTQEEEVKPREKSSIICTPVIAGSTLFVTIIVVVLSLFRSKTGSREKKN >cds-PLY82050.1 pep primary_assembly:Lsat_Salinas_v7:9:157795098:157797621:1 gene:gene-LSAT_9X99621 transcript:rna-gnl|WGS:NBSK|LSAT_9X99621_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGTDYMDRRALSRVHSEIGPDVIDRRALMRVNSEIGPLSNQETTQTTRFRPPLNISILDNSLGTGEFVEKEKRTPKANQYYRNSEFLLGKDRLPPETNKRSKSNNGRKYNRESDYTIGLERHKNQVFRNCSNLLQRLMKHKHGWVFNEPVNAKLLGLHDYHDIIKHPMDFGTIKSRLAQNFYKTPGEFAEDVRLTFHNAMTYNPQGQDVHVMAEQLSNIFEERWEVIESDWRNGTSYDTNSRKVQTQVNNFPHAPMSLPFLNRQKPSNLAPVSRTPVPKKPKAKDLNKRNMTYEEKQKLSANLQSLPSEKLDNIVQIIKKSNTSLSQHDDEIEVDIDSVDIETLWELDRFVTNYKKSLSKHRRKAELAQQARSLGGNVNRTIVQNPTKSIPEGLKDKKADEKNVGNSPPTNQGDVNGDNVSRSSSSSSSSSDSGSSSSDSDSDSSSADGSDAGR >cds-PLY82671.1 pep primary_assembly:Lsat_Salinas_v7:4:62651663:62653969:-1 gene:gene-LSAT_4X43500 transcript:rna-gnl|WGS:NBSK|LSAT_4X43500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MELDGKTIKLVIWDTAGQEWFRTITSSYHREAHGIIVVLLDGKTVEKGFISASVRHIMLLPLKFWFANWRC >cds-PLY99648.1 pep primary_assembly:Lsat_Salinas_v7:6:82851974:82857101:1 gene:gene-LSAT_6X58860 transcript:rna-gnl|WGS:NBSK|LSAT_6X58860_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSTEMANTDPEGIDGVRMTWNAWPRTKVEASKCVIPFAASISPIRPHPHIPTNPYVPLRCKTCSAVLNPFCRVDFSALIWICPFCFQRNHFPHHFSGISETNVPAELYPQYTTIEYAFPQSDLHHTIPPPVYVFVLDMCMIEEELAFARSALQQALEFLPENALVGFVSFGTQVQVHELGYADMSKVYVFRGSKEMTKDQVLDQLGLGSGAGGRRVGGAPGQGFQKGVVQGGGFPNSGVSRFLLPASEGAYIIHSLLEELETDQWPVAPGNRSLRCTGVALSVAAGLLGACMPGTGARIVALVGGPCTEGPGSIVSKDLSDPVRSHKDLDKDAAPYFRKAVQFYEELSKQMVSQGHVLDLFASALDQVGVAEMKVVIERTGGLVVLAESFGHSVFKDSFRRVFEKGEESLGLSHNGELEINCSKDIKIQGIIGPCTSLEKKGPAVASTVIGQGNTTAWKLCGLDKNTCLTVFFDISSSDKSDASGNVNPQLYIQTVTSYQSIDGQSKLRVTTITRRWLETSVLSEELIQGFDQEAAAVVMARLTSYKMEMEEAFDATRWLDRNLIRLCSKFGDYRKDDPSSFALNPSFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMMLNRENITNGAVMIQPSLISYSFNSLPSPALLDVASISADRILLLDSYFSVVIFHGMTIAQWRNLGYQNQPEHQAFAQLLQAPHDDAELIIRDRFPVPRLVVCDQHGSQARFLLAKLNPSATYNNEGSPGMDVIFTDDVNLQVFFEHLQRLAVQSS >cds-PLY63892.1 pep primary_assembly:Lsat_Salinas_v7:1:125176158:125176736:1 gene:gene-LSAT_1X94641 transcript:rna-gnl|WGS:NBSK|LSAT_1X94641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSELPEASSSSSSTHGHSSPTCGSSSSTDGQRYDVFLSFRGVDTRHSFTDHLHKALIHANINTFLDDEEIETGEDLKPELESAIKASRASIIVVSKNYASSTWCLDELVLILEQRMTSNHIVIPIFYHVEPTHVRKQQSSFGDAMAKHKQTMEAETNANKRSQWAQKMDRWNKALTQVADLKGNDVYGR >cds-PLY74950.1 pep primary_assembly:Lsat_Salinas_v7:8:102218608:102221070:1 gene:gene-LSAT_8X70041 transcript:rna-gnl|WGS:NBSK|LSAT_8X70041_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGVNHGNDNFVDLSQVFYHKLGEGSSMSIDSFNSLQMSNTGGSIAMSLDNSSVGSNDSHTRILNHQGLKRVKNNYTDALSVNRGRVHQGLSDDALARALLDTRFPTEGLENFDEWTIDLRKLSMGPAFAQGAFGKLYKGTYNGEDVAIKLLEKPENDLERGQLMEQQFQQEVMMLARLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLAKRQNRSVPLKLAVKQALDVARGMEYVHGFGLIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPTIPHDCLPVLTEIMTRCWDGNPDSRPAFTEVVRMLEHAETEIMTTVRKARFRCCMSQPMTTD >cds-PLY68305.1 pep primary_assembly:Lsat_Salinas_v7:1:27621085:27622191:-1 gene:gene-LSAT_1X23440 transcript:rna-gnl|WGS:NBSK|LSAT_1X23440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEPNVGPPPKLDDAIEHHHPTISMATRLHFFTLVVVLLITLIFIGVTPQTNAARVSKYMIKDFEKENRNISNTVPDGSIKRTRPEPRSLEEKDMKNPRPGSRPQRPLHRGIKNSVRSSPKTEGGPEDMRANPNPRVMGHGTYRVWRSQPSGMGEEENMEGREVIIPKSQRRHP >cds-PLY69701.1 pep primary_assembly:Lsat_Salinas_v7:5:213230121:213230669:-1 gene:gene-LSAT_5X97760 transcript:rna-gnl|WGS:NBSK|LSAT_5X97760_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNNIQGVPRSGTFVKQGGEPKVNIKDPYQTGFMEKTNDVDRIVLEKKKFSKKMKNMKEVNTCWEVNITFGLGKGKVNIVTEEVIELNPEMTKKEKIENEKRDKELDELISLKAKLDAEDVELKNTKQILASKKTLFPEWTLDRMQEEAIDDPNLLKKSLLSKSRRGELFAGKLASTVWFGWN >cds-PLY63724.1 pep primary_assembly:Lsat_Salinas_v7:9:85318183:85319023:1 gene:gene-LSAT_9X66881 transcript:rna-gnl|WGS:NBSK|LSAT_9X66881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKDKEHGLDDDGNNGVDDGGNEGGISPVRGLVVYGDKRDDSGSFNTLNMEKVDSTGHLTCSQFLEHREVLAKAIEMTDEAVLNSYGREKKKGKEILETMEVGMEDDDNGKGGKRGQKLFVYGKSLFVERIVRMRDKVKKDEMTLYNSFFASKREYGDEIWNIGSGHVLHQGFAYNFKSNTFIHAIIIDIWTTLLNKIEELRDVASVPRVIFYRNFLANEILDASVA >cds-PLY72348.1 pep primary_assembly:Lsat_Salinas_v7:8:80560455:80563825:1 gene:gene-LSAT_8X57600 transcript:rna-gnl|WGS:NBSK|LSAT_8X57600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASLVKAILNPQKNWFAALHKKTIANRLRKYGLRYDDLYDPMESLDIKEALARLPREIVDARNQRILRAMDLSMKHEYLPKDLEAQQTPFRSYLTDMLALVEREKAEREALGALPLQQRTLP >cds-PLY68931.1 pep primary_assembly:Lsat_Salinas_v7:2:193250957:193251571:1 gene:gene-LSAT_2X113920 transcript:rna-gnl|WGS:NBSK|LSAT_2X113920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAKADDTLSQADLQIHHDTTFSEEISQSFIQEFFGFSNEEWSHTTSFDISPEHDNFGRKLDSSKPPISDDDVNKPTAKVKETNPIFRSSSSSFKYMRRKTVSRPSTSRSMSVTVMERSRMASKSNSRWQVFMFGLGSGKFPTKMDLSDIKSRQLRRRSTPEMDLGDVIKSRQPHDQRSGKKAWWRFVDILGCGGGFVRDAVVAF >cds-PLY73804.1 pep primary_assembly:Lsat_Salinas_v7:7:68703213:68704909:1 gene:gene-LSAT_7X48481 transcript:rna-gnl|WGS:NBSK|LSAT_7X48481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDRNNGVLHGKYELGRQLGHGTFAKVYHAKNVHNGKSVAMKVVGKEKVIKVGMMDQVKREISVMKMVRHPNIVELYEVMASKSKIYFAMELVRGGELFSKIAKGRLREDVARSYFQQLISAVDFCHSRGVYHRDLKPENLLLDEEGNLKVTDFGLSAFCDHLRQDGLLHTTCGTPAYVAPEIIGKKGYDGAKADIWSCGVILYVLLAGFLPFQDENIVAMYRKIYRGDFKCPPWFSSDARKLITKLLDPNPSTRITISKIMESPWFKKSAPKTSKFLIDDEGVQSKGKDAKTLNAFHIISLSEGFDLSPLFEEKKREEKEEMRFATTEKAEAVVSKLEEVAKSMKFSVKKSGDESSLRLQGHENGRKGKLGIAAELFAVTPSFLVVEVKKSSGDTLEYNQFCSNELRPALRDIVWRSAADHTFPA >cds-PLY83228.1 pep primary_assembly:Lsat_Salinas_v7:9:122818710:122819126:-1 gene:gene-LSAT_9X82081 transcript:rna-gnl|WGS:NBSK|LSAT_9X82081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MCASCVNPDKLIFNPKGLRIKGFDRVMKLIDEKAVVLFLTAAKVGGLLMCGVSEELKDNVGMLVAKTVIERKNAVLGVIRAMRDHDLKNKNRNVDSLLDFSNSEDQYDDDTSSDNLRMQRLSIEGEVSEAVPMERDYH >cds-PLY80881.1 pep primary_assembly:Lsat_Salinas_v7:8:127954163:127955170:-1 gene:gene-LSAT_8X88601 transcript:rna-gnl|WGS:NBSK|LSAT_8X88601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKPMFLLVVSKWRSAFDGMKETNDYKFLYVAESLMKIMINMLDLVLKMSPDNSREPQTAHVLIYNDLADLVETIHIVIRLMENLQSRGTLRGYAVIVWIMKTRKLLSHTLLITELF >cds-PLY90710.1 pep primary_assembly:Lsat_Salinas_v7:8:142609059:142611830:1 gene:gene-LSAT_8X96981 transcript:rna-gnl|WGS:NBSK|LSAT_8X96981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MWAPANWVKLNVRATKDCFEVYALVPWLLREEVRVRSDPVGRLVITGQPKQLDNSWGIVAFKKGNNLKVELDSLSGQAIVLAARASVSPKLPLGYPARLIICGTLSLRGPGTDFYACFRLGMHMNVLVSASYEQALMDAHKLIEREMEWFKICEKETKTKALSKEGLGQ >cds-PLY75001.1 pep primary_assembly:Lsat_Salinas_v7:1:112153472:112157184:1 gene:gene-LSAT_1X90341 transcript:rna-gnl|WGS:NBSK|LSAT_1X90341_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAHEQQRATVEDSNSWNLDGIAFFDNEMLPPSLVRIGPILRVANEIEWVNPRVAYLCRFYAFETVHRLHPSSEDAAVFRLKSLLVPRLAREEETTLIGVQKRSDRDEIMAFYQYYCEKYIQGLQNADKTDSNVCTRLTKAYESAVVLFEVLETMCPRSKLSPEIWELHKQVTEKAALHIHNIHPLDTETSDQQLPNHPKIPFQAIKDCTQDFNERNCIGKGGYGRVYKGILTWGDYVNQLVAVKRLDVTGFQGNKEFHTELTMLSQFQHENIITLIGFCDVNKEMILVYEYASHGSLDKYLHDPTMSCELSWPQLLKICVGVASALDYLHNHVAEKHRIIHRDIKSANILLDENWNAKLSDFGLARIGLANQSNTVVITNLAGTHGYCDPQYERTGLLTKESDVYSFGVVLFEVLCGRLACVMNYHDERRFLHHLARTCYRNGELDKIIDHRTKKDIKPRTLLKFSAIAYQCLQETREERPAISVVVFQLKEAMKIQLEDEIL >cds-PLY68119.1 pep primary_assembly:Lsat_Salinas_v7:8:34438050:34440263:1 gene:gene-LSAT_8X27520 transcript:rna-gnl|WGS:NBSK|LSAT_8X27520_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MALKVLSALDSAKTQYYHFKAIIIAGMGLFTDSYDLFCIPPIMRLIGRIYYPKFNEKLEEKHLFEVPGIIASTMIGVALMGAVIGQLIFGRLGDCVGRRQVYGVALILMVVGSIGCGFSLSTLTPLVFVSLGLFRFLLGVGIGGDYPLSATIMSEFANRRTRGAFIAGVFSMQGFGILFSSLVTMMVCSIFRVSADKLIAPFELPESEKNAPVPPESDLAWRLILMVGGIPALMTYYWRMKMPETARFTALVEKNTLQAAKDMEKVLKVSLSQIQEDVEMISTPINRVSSSNTYGFFSKEFLRRHGRDLMAASTNWFLLDIVFYSLNLFQYHAFKHHMTPKIHMNLYEDALQVAKFQAIIAASATIPGYFVTVYLIDRVGRVKIQATGFFFMAVSLFTTAKINRGNWGSNANFGFMILYGFTFFFSNFGPNTTTFIVPAELFPARFRATCHGISGAVGKVGAIIGTIGFLWASRDPRHGVDVSKALTAMGVVCVLGFFVTYFFTRETMGRSLEDNENVDELTGVWFVRFWPNKIWKKAVQQTPMN >cds-PLY72705.1 pep primary_assembly:Lsat_Salinas_v7:6:27184288:27185593:-1 gene:gene-LSAT_6X20721 transcript:rna-gnl|WGS:NBSK|LSAT_6X20721_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTILYTLVARGSVLLAEFSGTSTNASTIARQILEKIPGNNDMNVSYSQDRYIFHVKRTDGLTILCMADDDAGRRIPFTFLEEIHQRFVRTYGRAVLTAQAYEMNDEFSRVLSQQMEYYSSDPNADRINRLKGEMSQVRSVMIQNIDKVLERGDRLETLVDKTANMQTNTFRFRKQSRRFRNTMWWKNVKLMVAMVILLLVIVYIVLAFVCKGFALPACL >cds-PLY83444.1 pep primary_assembly:Lsat_Salinas_v7:9:87702887:87704428:-1 gene:gene-LSAT_9X69440 transcript:rna-gnl|WGS:NBSK|LSAT_9X69440_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAASSLGVSEMLGNPLNFSGTSKTAPSASSPATFKTVALFQKKKPAPKAKPVAVTPASDELAKWYGPERRIFLPEGLLDRSEIPEYLNGEVAGDYGYDPFGLGKKPEDFAKYQAFELIHARWAMLGAAGCIIPEAFNKYGANCGPEAVWFKTGALLLDGNTLNYFGKNIPINLVLAVVAEVVLVGGAEYYRITNGLDLEDKLHPGGPFDPLGLAKDPDQFALLKVKEIKNGRLAMFSMFAFFIQAYVTGQGPVENLASHLSDPFGNNILTVISGNIERTPTL >cds-PLY66122.1 pep primary_assembly:Lsat_Salinas_v7:7:31593332:31597479:-1 gene:gene-LSAT_7X23000 transcript:rna-gnl|WGS:NBSK|LSAT_7X23000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like modifier-activating enzyme atg7 [Source:Projected from Arabidopsis thaliana (AT5G45900) UniProtKB/Swiss-Prot;Acc:Q94CD5] MAGTENGPILQFAPFQSSVDAGFWHELSSLKLNKLKTDESPIPITGFYTPCSNSQVSSHLTLLTESLPTDPTDEQQAKTNHGNRNKCSVPGILYNTNTLEGYHALDKQALLKAEAKKIWDDIRSGKAEEDSSVLSRFLLISFADLKKFNFYYWFAFPGVVLDPPATLVDLKPASKWFSPKEAESVSASCNEWRMSSLTAGVPFFLVSISSNSQATIRPLKDFEACQHEGHKMLFGFYDPSHLPNNPGWPLRNFLVFISAKWNLKKIQFLCYRENHGFADLGLSLVGEALIQPSQAWQDQDHVPNAVGWELNKGKRSSRCINLSTSMDPTRMAISAADLNLKLMMWRALPSLNLTMLSTTKCLLLGAGTLGCQVARMLMAWGVRKITLVDSGKVAMSNPLRQSLYTLEDCLNGGDFKALAAVKSLKRIFPAVEAEGVVMAIPMPGHPVARQEEEKVVDDCKRLSDLIESHDAVFLLTDTRESRWLPTLLCANANKVTITGALGFDSFLVMRHGAGPLLSRNTSSKAETESVVGSSLSAGVEEMSLGQKDSKPRLGCYFCNDVVAPVDSTANRTLDQQCTVTRPGLAPIASALAVELLVGILHHPHGISAKAEFGNSLDSVNSEQALGILPHQIRGALSQFSQMILVGHSSNSCTACCPIVVSEYRKRGLEFVLEAINHPTYLEDLTGLTELMISANSFDLDWDNETDNDDDDDCVEI >cds-PLY78373.1 pep primary_assembly:Lsat_Salinas_v7:9:9126819:9129691:-1 gene:gene-LSAT_9X6160 transcript:rna-gnl|WGS:NBSK|LSAT_9X6160_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRDEIFKKNKVSWPKTLKKWFNVKNKAQDFDADDFNHGGRYEGWRNKFSAKEICTIKKSRTERSSKRTADCLGANKLDLDRAQVTDVNNYRVFVATWNVAGKPPTSSLNLEDWLHTSPPADIYVLGFQEIVPLNAGNVLGAEDNGPAKKWQSLIRKTLNSLPGTTDGYHFPSPVPDPVVELDSDFEGCVSQKPSSFLHRRSFQSQSRSMREIPHPGLDNRYSVSDRAIFGNRCNDFDLGFGSGSSDEDNGPDDSPDGPNYTQLPYSSSFSMEEKDKPLEQSKYSLVASKQMVGIYLTVWVKSDLRDDVHNMKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICSHLTSGQKEGDELRRNSDVMEILRKTRFPRVQDTGDKNSPQTILDHDRIIWLGDLNYRIALSYRSAKTLVEMRNWRALLENDQLRIEHRRGRVFEGWKEGRIYFPPTYKYSNNSDHYAGYDMHPREKRRTPAWCDRILWYGNGLHQMSYVRGESRFSDHRPVYSIFLAEVESINTRNRFKKNISSYSARIQVEELLPYSRRYGDLSLY >cds-PLY93198.1 pep primary_assembly:Lsat_Salinas_v7:8:109529109:109531013:1 gene:gene-LSAT_8X74921 transcript:rna-gnl|WGS:NBSK|LSAT_8X74921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MARVFNNKPRLFTGFIYSWRSVLFPSTSTRTIETLAFEEARSPSHKPVPDYSAFVLHGLMGSARNWRSFSRNLASSLSTSSPPSDWKMVLLDLRNHGRSAGLSGLNPPHDIPSAANDVANLVKSQGWSWPDVVLGHSMGGKVALQYAMSCARGDYGTSAKLPKQLWVLDSVPGIVNPEETSGEVEKVLETLQGISKTIPSRKWLVDYLINLGFSKSLSEWLGTNLKKSGEHETWAFDLDGIIQMFDSYREMDYWPLLEHPPKGMEIVIVRAENSDRWDPHVTQRLESLDKKRGDESEGKVSVVVLPNSGHWVHVDNPKGLLEIVIPKMSSIV >cds-PLY70007.1 pep primary_assembly:Lsat_Salinas_v7:8:145882229:145882606:-1 gene:gene-LSAT_8X99120 transcript:rna-gnl|WGS:NBSK|LSAT_8X99120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIKSYIQEVRTMDVEIIAVLSQKPSVVPKEAPKDFQKLKPGKIYKEGWFVVYTARDRPSANRRKLYFHLEDKHLFATSCLEFILELVAMYKGNNKDDVKCFIDVITWYIHVRKLLLSFNPKVYEV >cds-PLY79894.1 pep primary_assembly:Lsat_Salinas_v7:8:16575123:16577914:1 gene:gene-LSAT_8X11680 transcript:rna-gnl|WGS:NBSK|LSAT_8X11680_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASWVLSECGLRPLPRLYSNPRTRISSSTIPNSIRIAPTSSKIFRPNSKVSRDRRWALKVSAPVDVQFVEEDEKINVGESEFNPGAPPPFTLADIRAAIPKHCWVKNPWKSMSYVLRDVVVVFGLAAAAAYFNNMVVWPLYWVAQGTMFWALFVLGHDCGHGSFSNNAKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKIYRSLDTATRMLRFTLPFPMLAYPFYLWGRSPGKKGSHFHPESDLFLPNEKKDVITSTVCWTAMAALLVGLSFVIGPLQVLKLYGIPYLGFVMWLDLVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYNLIEATEAAKPVLGEYYREPKKSWPIPFHLLGVVANSMKKDHYVSDEGDVVYYQTDPTL >cds-PLY97233.1 pep primary_assembly:Lsat_Salinas_v7:1:44402042:44402395:1 gene:gene-LSAT_1X38660 transcript:rna-gnl|WGS:NBSK|LSAT_1X38660_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNPLIYAASVIAAGLAVGLASXECIINHFFWYEELIMNPLIYAASVIAAGLAVGLASIGPRVGQGTVAGQAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >cds-PLY79968.1 pep primary_assembly:Lsat_Salinas_v7:9:45184783:45187432:-1 gene:gene-LSAT_9X40921 transcript:rna-gnl|WGS:NBSK|LSAT_9X40921_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNPRSWQIYEDSGRSPLYLMKIKLMVGNICLNKKRLAGYALHFGCGVVYSLYNSIEELSRTFATRNLNSSKEFVWIERLQISFMRNYRLIINPINVVGGTSSKFDFKMKIDNMF >cds-PLY84788.1 pep primary_assembly:Lsat_Salinas_v7:8:24852533:24855246:1 gene:gene-LSAT_8X20161 transcript:rna-gnl|WGS:NBSK|LSAT_8X20161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MINVMDYEKKPMNEVEKSLDSQLWHACAGGMVQMPPLNSKVLYFPQGHVEHSGTRNFDFGDSRRIPPYIPCQVSAVKFMADSETDEVYAKIGLFPLRNVQSDCDFDDHVENDGFLGFDDKRINENKEKPSSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYAAEPPVQTILVKDVHGQIWKFRHIYRGTPRRHLLTTGWSNFVNHKKLIAGDSIVFLRADNGELCVGIRRAKRGIGGGFLENSTANSSLYGGGFAGFRPQNENNTNNSQNDQRKSSAELVKEAVTLAANGQPFEVVYYPRASTPEFCVKASTVKAAMRIQWCPGMRFKMAFETEDSSRISWFMGTISLVQVDDQIRWPNSPWRLLQVAWDEPELLQNVKRVNPWLVELVASMPAIHLSPFSPPRKKLRYPQPPDFPLLTSIQGARHSCQFGLDHHRLRLNQMHPLINPFPYGFTNHVHDNSFTRFPFQERDENISSFLTIGTHNPPKTTTSSKKKDNENHKRKPMFVLFGQPILTEEQLSESGVSGDTAANLSDGSVVLQTGSVRSSSEEDGPWPETGHCKVFMASEDMGRTLDLTALGSYDELYIELRHMFGLQKPNAWRNLVYRNAAGVTKSTGDEPFSEFSKAARRLTIQTDSVKY >cds-PLY71177.1 pep primary_assembly:Lsat_Salinas_v7:2:132599275:132599975:-1 gene:gene-LSAT_2X63120 transcript:rna-gnl|WGS:NBSK|LSAT_2X63120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIFFLVERTTKEITYFYPFLLKFFVLQLMTEDLLQDLVLYKKLQEKPVSSTPRSLISLFREIACRLMGIHGHMARCKLKFKQYSHVERENEEICRL >cds-PLY82350.1 pep primary_assembly:Lsat_Salinas_v7:5:313120548:313120703:1 gene:gene-LSAT_5X170241 transcript:rna-gnl|WGS:NBSK|LSAT_5X170241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNGFNKDTQWYTFDQLTSKVAGIVPSGTKPREFNKTLWLDSVGVIWVKLVF >cds-PLY72777.1 pep primary_assembly:Lsat_Salinas_v7:4:373614895:373615800:1 gene:gene-LSAT_4X184580 transcript:rna-gnl|WGS:NBSK|LSAT_4X184580_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNTFQPTLKPFKWNPHPKPTTIFFNTQNQIDPKTPQSSTSSAGKIKRTVLTKQGRTKFDITPDRNFYTYPRFVTHVDNNFITTLTNLYRDRLSPDFEILDLMSSWVSHLPENVKYKRVIGHGLNPQELAKNPRLDYFVVKDLNQDQKFEFESGSFDAVLCTVSVQYLEQPEKVFAEVFRLLRPGGVFIVSFSNRMFYEKAIGAWREGTGFGRVQLVVQYFQCVEGFTEPEVVRKLPDGKKPDISSSFDWIKGVFGLLSGSDPFYAVIAYKNFKPIYE >cds-PLY87266.1 pep primary_assembly:Lsat_Salinas_v7:1:51194641:51210237:1 gene:gene-LSAT_1X42601 transcript:rna-gnl|WGS:NBSK|LSAT_1X42601_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSYKQFAHLRIPLEEIVSGTTNFSNANLIRESAFGKAYKGHLFRSEQQIDIVAERLDRKHGYGDVEFWKEISMLSSLKHENLVSIIGFCEEKDEKIIIYKDKVNGSLDKLLRDPTLTWMRRLQICVGVAHALSYIHYDKRRDFSVIHRDIRSSKILLNDHWEAKLSGFELSISQKAARRNRLCLINVRGMRGYCDPTYVKSGSVTHKSDMYYFGVLLFEVLFGKNAVMVNEVNRLLAPLAISHYEGGRLDDMIDPVLWKQMDPQSFKIFSEIAYYCLKKQRSQRPNIDQIVMKLEKALESQQKHENPAYSTVEGIASKTLKGKNVEHLRIPLSDIVSATKNFSKTYLIGSGTYGDVYKAELDHFDDKYFLPLQEKQKSELPKKRSIVAIKRILVREDKQGEQGFLAEIEMLSSCKHPNIVSLLGFCEEGPHMILVYEHAANGSLDNYLEGSGKLANLSWMQRIKICIDIARGLHYLHTKIEDERRIIHRDIKSGNILLGENWVAKIADFGLSKFHPKSQQRNTLYTEHIAGTKVYLDPEYDNTGKLKNETDIYSFGVVLFEVLSGRFANDLIYTKEDSNGFAPVARRRFNEGTIKEIVDPRLLEETHESVFTLNKGPDQDSLDAFSKIAYQCVAENQAGRPTAEVIIKKLEEALSFQENIKDNLKLSLEGLISITENFSSKNEIGKGGFGKVYKGEVAYADGRNTIAAKRLDKSLGQGEYEFLMELEILFEYKHENIIGLVGYCNEMDENIIVYEYASRGSLDKHLSNNGLTWRKRLEICIDIASGLDFLHGGAVTQEVVIHRDIKSYNILLNDDWKAKIADFGVSLISPINKDMDYVITNPCGTIGYIDPLYLKSGFLTRGSDIYSLGVVLFEILCGRLVCEIINGKLQILTNLFKRHYQEGNLDEMVFEGIKKQAVPKSLTTFQAIAYQCLHDESKNRPTTSEVLLQLKRALEFQEDYEIWAPKLPNDYEQTFKMSNFPEIHSIEKKKNLYDMLCKGILLQEGKMLFSLGDNGERNGMISARKFLYKRRSGSHKWRSVPESRFDKVAKMLDLSNLNIQVKIKPQFLSPGVNYGVYLVFKFCESRKSVTNRMYVNLKYKMGRETLHTYFATRREDGWMMIEMHRFLNHEKDDDFEFLLESFSRCYCESHDIYIQGIEFRAIDNVKHEEIKKLKEVQQLSIKSDLNMEHVQQFSTNFEKEIDRFEDYDEGEKLFSLNKVILKKKHYVISAKEAVYKSTDVKLFNVLPSTHSRFQNAIELLRQQVFRIKCKIESQRLSPDTEYTCYLVFKLSEKCYGLHCPVIVRDLLQQRKNKEKGIIYFRSPSPCNVNDTDRVPKEREDGWMEVNVWKFNSSNEIRDDCAYINLKLISYKGTMSGLIISSLEFRPL >cds-PLY78383.1 pep primary_assembly:Lsat_Salinas_v7:9:8939201:8940601:-1 gene:gene-LSAT_9X6360 transcript:rna-gnl|WGS:NBSK|LSAT_9X6360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MADHQASSLSPPTPQNPTRSISSFLGSPRFFNGGFLPKNLSPTSILDTNKQLINFPGNPFGFSKNPEKATKVVEKKHPSEKVGSEGIALALVLIQENSNDNICKPGTTSRKVLLGSKLKIKIPEPFPNGSPESPGDFGIKTRNSQFSAGPTPTGFGSPRFFTGPLSLSEMELSEEYTRVISHGPNPKTTHIYDNCVVESRCGIRVGSEVPLESFVSFCNTCKKNLEEGSDIFMYRGEKAFCSEECRCQEMVLDGLMNS >cds-PLY87019.1 pep primary_assembly:Lsat_Salinas_v7:5:264937112:264938800:-1 gene:gene-LSAT_5X136120 transcript:rna-gnl|WGS:NBSK|LSAT_5X136120_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLFGEPDPYPDLSSGQIMDWLEESLPYLPSLLDDLYDSTDIIDDPWWAPLEELEHDVINANTISTCNSVENLITTVSSCTTTAPTHLLNVPEQPVVDLSKKRKATEEGKRSGGHKKGTGKATKDNCNGGKNDGSWAEQLLNPCAAAITAGNVTRVQHLLFVLHELASPTGDANYRLASHGLQALLHHLSSSTGKVVTRTPANAMNFTTATPKFFQRSLINFNDINPWFTIPFNIANNAILQVLSEQVNNRTLHILDIGVSHGFQWPTLLEALSRRPGGPPPLVRLTVVSPENRQFPFANCPPGYDFTSNILRFAKDINVNLQINTLDDCPLNNLNPQIINSSPDETLIVCAQFRLHDLNHHTKPDNRTEFLKLMRSMNPQGVILSDNNMDCSCKSCSSFDAGFSRSLDYLWRFLDSTSVAFKGREMEERKVMEGEAAKALVNMCEMNETKEKWGERMRGVGFVENAFGEDAMDQARALLKKYDSHWEMRVEEKDESIGLRWKEQSVSFCSLWKIDPKTSDL >cds-PLY66077.1 pep primary_assembly:Lsat_Salinas_v7:2:206689991:206690725:-1 gene:gene-LSAT_2X127100 transcript:rna-gnl|WGS:NBSK|LSAT_2X127100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTILVVAVLAILGYASMCRATTYTVGDTSGWDIATDVDSWAQDKHFVVGDVLSFQYSSSHSVAEVNRDKYEGCNTTNVLQPSSNGNTTFALTKPGDRYFICGKQLHCYAGMKLHVVVEGKAAEAPAGAPQAESGGDSTTTTTTIPSSKNNNPSPIVRNSSTFVRVGFKLIVFVAFTCLMSWIM >cds-PLY83174.1 pep primary_assembly:Lsat_Salinas_v7:3:95326729:95327305:1 gene:gene-LSAT_3X71121 transcript:rna-gnl|WGS:NBSK|LSAT_3X71121_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGWVHVNLIASFKKVLCLTDNVKLILDVMRASRGVEVRGEKMRKRNDWMKWIIPSSINVESFYGNSVSNRNMVEASMVFRGQRWLK >cds-PLY74897.1 pep primary_assembly:Lsat_Salinas_v7:3:129898473:129899292:1 gene:gene-LSAT_3X87780 transcript:rna-gnl|WGS:NBSK|LSAT_3X87780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAGRDLEVQGSLDGRVNEPSCCLKARAFPIDSELEANCHVTFVSGWFSGEAHSLEVEKILFKEKSEYQEVVVFELTDKDECVYQEMIAHLPLCSIESLKKVLVVGGGDGGVVREIARHSSVCGFN >cds-PLY88343.1 pep primary_assembly:Lsat_Salinas_v7:2:10135941:10137926:-1 gene:gene-LSAT_2X4281 transcript:rna-gnl|WGS:NBSK|LSAT_2X4281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRQNISNNLEVPVQVGGWLGDVEKINAKVENVSRDTGSCFNIKIRYRAGRNAFEINEEIDSVMRRYKEINRADHPIPPGRVDSMKPSTSTPSAEHNDFQSREVTFTKALKALDPNHKSHMIALCGMGGVGKTTMMQRLKKIAEEKKMFKFIVEVVIGEKTDPISIQEAISYYLSVELSEKNKSVRANKLRRGFKAKSDGGKNKFLIVLDDVWQSVDLEDIGLSPFPNQCVDFKVLLTSRDRNVCTMMGVEGNSILHVGLLIDSEEHRLFLQFVETSDHELHKIGEDIVKKCCGLPISIKTMACTLRDKSKDAWKDALFRLEHHDIENVASKVFKTSYENLQDDETKSTFLLCGLFPEDFNIPTEELVRYGWGLKLFKKVYTIREARTRLNTCIERLIHTNLLLESVDVRWVKMHDLVRAFVLGMYSKVEHASIVNHGNTLEWHVDDTDDSYKRLSLTCKSMSEFPRDLKFPNLMILKLIHGDKFLRFPQDFYEGMGKLQVISYNKMKYPLLPSSFQCSTNLRVLHLHECSLRMFDCSCIGNLLNLEMLSFADSGIEWLPSTIGNLKKIRLLDLTNCHGLCIANGVFKKLVKLEELYMRVVRQHRKAVNLTEDNCNEMAERSKDPSALELEVCKNSVQPKNMSFEKLQRFQISVGRYFMEIP >cds-PLY99060.1 pep primary_assembly:Lsat_Salinas_v7:6:151682800:151683553:1 gene:gene-LSAT_6X90941 transcript:rna-gnl|WGS:NBSK|LSAT_6X90941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATNTIKTKTLSGVGNLIKLLPTGTVFTFQFLNPVLTNGGHCTTVNKYLSSTLIALCGLSCIFSSFTDSYEDDDGTIHYGVATAKGFWPTPSSSSGVDVSKYKLRIGDFVHALFTVVVFAVVALLDDNTVECLYPAFETNERMLMMVVPPVIGAVSGTLFMVFPNTRHGIGYPSARQSNK >cds-PLY68133.1 pep primary_assembly:Lsat_Salinas_v7:8:232222936:232227168:1 gene:gene-LSAT_8X140640 transcript:rna-gnl|WGS:NBSK|LSAT_8X140640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CONSERVED ONLY IN THE GREEN LINEAGE 160, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31040) UniProtKB/Swiss-Prot;Acc:O82279] MATINCHYLSVTCTSTPINQESNSVQSTTRPTKIILPDKKPQKWSTGVAPGDYGGPPTTTKLRKYWGGEKEDPITSDDLIWNRDFMPHMKRLIGDSDDSSSDPRLSTVKEEASGFLSFNRVMSLNSMEVDLSKELSTIPNPIMEKQVEVAEATNTQPIKWKPAPTRREQDKWDRASKAATGGSDAMFRELRRPKGDPKVLAAKSREQYTKLKNKLQILTLAIGGVGLVSAYISYTPEIAASYGAGFVGSLVYMRMLGNSIDGMADGARGVLKAAIGQPRLMVPVALVMIYNRWNGIVVPEYGLMHLELIPMLVGFFTYKIATFTQAIEEAITIVENDAQVD >cds-PLY74744.1 pep primary_assembly:Lsat_Salinas_v7:6:120217051:120219751:1 gene:gene-LSAT_6X72321 transcript:rna-gnl|WGS:NBSK|LSAT_6X72321_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAERKITFIRDLDNMKDDYTLKVSIIRLWKSLSDGNPTIVRSIEMILMDEMCTKIRASVYPRDFQRFESKLKEDQVVYIRSPTIAPNKYTFKISDVTSKLNLHGRTTVNECLHFQSKTTYGFSFVSFETIISATATSNESIDIIGEVVSLGKLDSRDVSKSLHRLPLQIRNLEGLQVNVTLFGDIAYQLISYLEAHKQVGRVIVLLQFARINVYNATPSVNSYYEQTRMFINANLPEIVIFTDNLVGLQGLQNPSASLTFESSKSYSESDDFLNNYKVKNVVDLIEPQEVGQYIIVGTIYGIRQDIDWYYDACTNCGKKVETEDVFSGPDSGDASVVLKCNGDNCKNKKISSVPRYKIPIRVQDDSGTITLTLFDRDAYRLVKKCARDLIDKIKQAGSPANADDIEIASHEVSQETKSLKDAISQTGDNLTPTLPDKFEATSPFKYNSPTIVKNEMLEIPLMLMIMIM >cds-PLY99424.1 pep primary_assembly:Lsat_Salinas_v7:4:103428305:103428877:-1 gene:gene-LSAT_4X65460 transcript:rna-gnl|WGS:NBSK|LSAT_4X65460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPEEIVYLDDIEEFQSPLNNGTNGKDQRVFVFRSSVVVPVVESRKNHRFIEFDFEKSEGLRIETKGSRKSRKRSVSSDIECSGYYGKTSQRRRANNENRKRSAMHSPRISSPLSGHENIFVKHIGEVGKCSRAITMPSMRLTKCLEENVVRSNSFPVQPSSPHVHPKLPDYDELAAKFMALKKENLQKQK >cds-PLY93437.1 pep primary_assembly:Lsat_Salinas_v7:9:58546580:58547188:-1 gene:gene-LSAT_9X51801 transcript:rna-gnl|WGS:NBSK|LSAT_9X51801_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLNHNKSVHGILVQLPLPNEKIINAVKVEKDVDGFHPVNMGNLAMRGREPLFIPYASLGCIEVLNRCSVEILGKKAVVIGRSMITGLPTSLLFRHHATVSVVHSFTKNPEEITCEADILVSDVGVPNLIRSHWLKPGVVVIDMGSTLV >cds-PLY89876.1 pep primary_assembly:Lsat_Salinas_v7:3:252299853:252300370:1 gene:gene-LSAT_3X139101 transcript:rna-gnl|WGS:NBSK|LSAT_3X139101_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGATRGFLIAAMLAISVAGFPSFAEARLLSPVPAPSSNGPSFSIDEESKSIKQEHTEVEEEKIMGVIVPLLFWGAAQQSQTDVLESSAPLIQASSFSWKRVLVLGLVLFYGF >cds-PLY66732.1 pep primary_assembly:Lsat_Salinas_v7:1:167913367:167913672:-1 gene:gene-LSAT_1X112641 transcript:rna-gnl|WGS:NBSK|LSAT_1X112641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLPPFFSFSAELNKRGGRETGGGRNFKKRAGHGGDFDHDGWWFLPLVVVTRWVLVVVVGDEGGDWQLCCFVSFPFRRARREGGEKGVRNTRGCLMLVQ >cds-PLY89603.1 pep primary_assembly:Lsat_Salinas_v7:9:39750409:39753973:-1 gene:gene-LSAT_9X37500 transcript:rna-gnl|WGS:NBSK|LSAT_9X37500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEDDQERERFGMENDYEDGQWIGSEFYGKRKEKRHQTKDDVLYGIFASGDSDSDSEGNSKKKRKKGLSKKQQDLTKPLNFVSSGIVMPNEEIDSNTKQENQKDDEDDDSMPGLGQGLGFNSNNINDDKKEVNENTIDFLPTAFGKMIKEGALQRREKEIEKSRLNKKSTKPGLGKREAKDEGNVGVFEKHTKGIGMKLLEKMGYKGGGLGKNAQGIVAPIEAKLRPKNMGMGFNDYKEAANAPSLQDSVDEIKALPQTPGIQQKEKPWMKQSSSKKKKKQYVTAEELLVKKQEQGIDVVQKVFDMRGPQVRVLTNLENLNAEEKLRDNDKPMPELQHNLNLIVDLVELDIQKIDQQLRNERETVVTLQKEKEKLKDDAALQKKQLDSMEEIVTIMDSLTNESLLGTLTLDSLATSFTDLHKRFPNEYKICSLPSIASSLALPLFIRVFQGWDPLHNPSHGLNAMSVWKNLLEGEEIFDSPYTQLFMEVVFPAVRISGTNTWQARDPEPLLRFLDSWEQLLPHSALQTILDNIVMPKLTSAVDSWDPRRETIPIHSWVHPWLPLLGSKLETLYHTIRNRLESVLHAWHPSDMSAYYILSPWKTVFDPTSWEQIMVRYIVPKLLGVMHEFQVNPADQKLDQFYWVRTWASVIPIHHMLHIMDVFFNKWQEVLYQWLCSKPNFQEVTNWYLGWKDLIPAELLSNEHVRYRLNMGLDMMNQAAEGLEVVQPGLRENISYLKAREQRQFEAQRAAAAQAAKGRVDEMGGGGGDMSLKEVIEVHAQHNNLLFKPKVGRMQDGHQVYGFGNVNVIIDSLNQKVFAQTDDRWSLVTLEQLVTQEKNSVVRRR >cds-PLY91008.1 pep primary_assembly:Lsat_Salinas_v7:7:178035699:178036673:-1 gene:gene-LSAT_7X105441 transcript:rna-gnl|WGS:NBSK|LSAT_7X105441_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKQVSDHVENSSGSGRNKGKQSVCGGPLKKIRRVEQSEQQNNPLKFMFPFSLDDNPESSIATPSKKNQEMISFSNGEDEYDHRKTQVQPVAKLYRGVRQRQWGKWVGEIRLPRSRNRRWLGTFETAVEAALAYDHEAFKLRGHKARLNFPHLFINDQKQTLLPSLPSDQSLQPCKEEDVEPLVNGLEPGSRNDEVLNGFGTNDSLFEYGEPAWETMGAKGGSFIWDDFEPNFLSP >cds-PLY92465.1 pep primary_assembly:Lsat_Salinas_v7:MU039288.1:2041770:2043239:-1 gene:gene-LSAT_0X18481 transcript:rna-gnl|WGS:NBSK|LSAT_0X18481_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMKHETVVLIVGAGPAGLATSACLNLLSIPNVILERDDCYASLWQKKAYDCLKLHLAKNFCELPHMPFPVSAPTFVPKYTFVQYLHKYVTEFNVDPLYNRNVESAWYDRVTKRWVVKAKNGVSGLVEEYVGEFLVVATGENSEGYIPSVNGLDSFTGSIIHSSEYENGKKFGYKNVLVVGAGNSGMEIAYDLFNWGAQTSIVVRSPVHVLTKELVQLGMYLLKYLSCSFVDKIVLMFSKLLYGDLCKHGIHRPTKGPFYLKRETGRSPVIDVGTVARIKTRDIEVMKSIEEIKGDQIKFSNGQEKRFDAIVFATGFTSNVRKWLKHDGGLFNEKGMPHLKSPNRWKGEHGLYCVGFASAGLFGISNDAKNIANDISQIAKKK >cds-PLY85143.1 pep primary_assembly:Lsat_Salinas_v7:9:149232608:149234696:-1 gene:gene-LSAT_9X94640 transcript:rna-gnl|WGS:NBSK|LSAT_9X94640_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLVLCLSRTPWISTILNSPILIMTATSIWGLLALQPTDAKIFPFSHESYVYELHDNDASVKLWGITKGVVIEDYGQVAYMLPWIVEIHIIHFLLKVTHYTSPLMISLCSHFNCIYFFQVSIPTWFDCRY >cds-PLY95380.1 pep primary_assembly:Lsat_Salinas_v7:9:189696276:189697089:1 gene:gene-LSAT_9X116960 transcript:rna-gnl|WGS:NBSK|LSAT_9X116960_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSEYIDMNVVLPALSSKVIHCVLEKLKIFNTRACQLVLGADAMLDYLKSLKHGIDQMKLMYNLVNLLNHSPRKLDIFNVCFHELCMKLMFKKYSILLDEKPDEVPMVMEEFENRITSQFELEKLLSYVVS >cds-PLY88931.1 pep primary_assembly:Lsat_Salinas_v7:8:128791440:128792492:1 gene:gene-LSAT_8X89701 transcript:rna-gnl|WGS:NBSK|LSAT_8X89701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKMEEMDADMVAIQEVEVEEVVGAVVVVVAAAAVEVVVVVIVEVAVVAVAVVLEMEEMMDMEKIMVMTVKIMVEMVEDMMAIMEVKEVMDMEKVVDMTKGTVDMEKITVEMEDTMVVMEVKEGMDMEKVADMMEGTVDMGKITVEMVEDTMVVMEVEETVGKAKIMVVTVVDTMAVMEVEETAGKAKIMVVTVEDMMAVMEVEGTVGMAKIMMEEMGADTMTAMAVEADMMVVMEMEGTVDMEKMVVADTVETEEVMMVNHTHIHTTAVIGQAAVIGLAVGIGQGLVALRLTMVGVGGGVDLGGTTGTVATVSSKRNQASRQVNAKKGIIMNYIHVILYHVLLLIKINK >cds-PLY82450.1 pep primary_assembly:Lsat_Salinas_v7:2:182974913:182976660:1 gene:gene-LSAT_2X104201 transcript:rna-gnl|WGS:NBSK|LSAT_2X104201_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVSANKEMVVYCFDTLVAHFNGEQVPPPAFDEGQHPLFVTWKKAVNGGEPRLRGCIGTLEARCIINGFKDYSLTSALRDRRFPPIQSKELPFLQCTVSILTNYENAANYLDWEVGKHGIIIEFTDPDYNTRRSATYLPDVAAQEGWTKIEAIDSLIRKAGYNGTITESVRKRIELTRYQSTLFTMHYGEYVSYVKTTRGVALSVAGLKSR >cds-PLY82277.1 pep primary_assembly:Lsat_Salinas_v7:1:67980941:67986196:-1 gene:gene-LSAT_1X57941 transcript:rna-gnl|WGS:NBSK|LSAT_1X57941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MQRERNRLQIAANANGGRLEGKVAMITGAASGIGERSAKLFAEHGAKVVIADIQDQLGQAVCEAIGTSNSIYVHCDVTNEEHVKNAVDTAVSTYGKLDIMFCNAGIADPNKPRIVDNEKTDFERVLSVNITGVFLSMKHAARVMVPARGGSIISTSSLASNIGGAASHAYCCAKHAVVGLTKNLAVELGQYGIRVNCLSPYGMVTPLTTSFVGLEAEALENALNLLGNLKGVTLRTDDVAKAALFLVSDDAQYISGQNLFVDGGVGIANPSFNMFKYPENL >cds-PLY62602.1 pep primary_assembly:Lsat_Salinas_v7:9:72652632:72656411:1 gene:gene-LSAT_9X61461 transcript:rna-gnl|WGS:NBSK|LSAT_9X61461_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDGRSYNHHRVHMSDVVFECVIPYIHDSRDRQSVSLVCRRWYELEAQTRKQVMIALCYTATPKQLWRRFPYLESLKLKGKPRAAMYNLIPEDWGGFVTPWVEEIARSFVCLKAVHFRRMIVKDDDLELLARSRGHVLQVLKLDKCSGFSTDGLLHICRSCRNLNTLFLEESQVIEKDGEWLHELALNNTVLETLNFYMTDLSCVNIKDLELIAKNCRNLVSVKIGDCEILDLVDFFRAAVSLEEFGGGCFNDQAEQYASMSYPPRLCLLGLNYMSTNEMPIVFPFASRLKKLDLLYALLDTEDHCRLLPMCPNLEVLETRNVIGDRGMEVLANCCKKLKRLRIERGADEQEMEDEEGIVSQRGLTALSQGCIQLQYIAVYVSDITNAALESMGIHLKNLCDFRMVLLDREEIITDLPLDNGVRALLNGCHKLKRFALYLRPGGLTDVGLTYIGQYSQNVRWMLLGYVGESDDGLLGFSKGCPSLQKLEVRGCCFSEQALAIAVLQLRCLRYLWVQGYRGSSTGCDLLTMARPFWNIEIIPSRRVVVVGEDKEDEQPAHILAYYSLAGPRTDFPPSVISLDSIPVLDSIPVIDE >cds-PLY69465.1 pep primary_assembly:Lsat_Salinas_v7:6:44858835:44859293:1 gene:gene-LSAT_6X32081 transcript:rna-gnl|WGS:NBSK|LSAT_6X32081_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSRIFIILAILNAVLLPTSTIATEYVVGDDSGWTIGLNYQTWASNKDFKVGDKLVFNYPKGIHNVFIVNGSSYANCIIPPPSKAHTSGHDIVSLMSPGESWFICGVGNHCALYNQKLAINVKA >cds-PLY85664.1 pep primary_assembly:Lsat_Salinas_v7:6:85273847:85276245:-1 gene:gene-LSAT_6X59381 transcript:rna-gnl|WGS:NBSK|LSAT_6X59381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEKISSDNIRGLILALASSIFIGSSFIIKKKGLIKAGASGTRAGSGGHSYLKQPMWWVGMTSMIFGEIANFAAYAFAPAILVTPLGALSIIVSAVLAHYFLGERMHIFGMVGCVLCLVGSTTIVLHAPHETQVSSVKQVWNYATEPGFIVYASFVFVLVGILIYYYQPLYGRTHLIIYVGICSLTGSLTVMCVKAVGIAIKLSFSGHNQFIYFETWIFTLLLLGFCLMQLNYLNKALDTFNTNVVSPVYYVMFTTLTILASIIMFKVILNAPFVIQDITNDDVLCIKVGLG >cds-PLY65795.1 pep primary_assembly:Lsat_Salinas_v7:5:272703457:272705600:1 gene:gene-LSAT_5X143221 transcript:rna-gnl|WGS:NBSK|LSAT_5X143221_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSAMAILLPSTSALLSHKVSHVCISPRVANTQVRKNIAKRVFENGNTRRHLVMTKAQTSLEAVTAESIDDQNDFGVVNLHHVGILCENLERSLDFYQNILGLKINEARPHDKLPYRGAWLWVGSEMIHLMELPNPDPYTGRPEHGGRDRHTCIAIRDVLKLKAILDKAGIPYTLSRSGRPAIFTRDPDTNALEFTQIDSP >cds-PLY83628.1 pep primary_assembly:Lsat_Salinas_v7:9:101423221:101423562:1 gene:gene-LSAT_9X74641 transcript:rna-gnl|WGS:NBSK|LSAT_9X74641_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTGRNKHTWTTEEDAKLIEALLELHVSRKYGGADNGFKPGYLKAVQQLLDVSLPNSGLKAEPHMKSRMKTWKNHFKIVYDMVDGTNTSGFGWDTDKCCVHTDAEVWDEYIKVL >cds-PLY70196.1 pep primary_assembly:Lsat_Salinas_v7:9:1949464:1950680:1 gene:gene-LSAT_9X3501 transcript:rna-gnl|WGS:NBSK|LSAT_9X3501_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:COMPASS-like H3K4 histone methylase component WDR5B [Source:Projected from Arabidopsis thaliana (AT4G02730) UniProtKB/Swiss-Prot;Acc:Q9SY00] MGSNSNTNTVQPPPQYAPYRLVKTLTAHQSAVSCVKFSNSGKLLASASLDKSLILWNAENLTLISRLNGHTEGVSDLAWSSDSHYICSASDDKTLRIWDARAAECVKTLRGHTDFVFCVNFSVHSNLIVSGSFDETIRVWDVKTGKTNHVIRAHSLPVTSVHFNRDGSLIVSGSHDGSCKIWDTATGTCLKTLIDDKVPAVSFTKFSPNGKFILVATLDDNLKLWNYSTGKFLKMYTGHVNKKYCITPTFSVTNGKYIVSGSEDHCVYIWDLQGKNLLQKLEGHTDTVISVNCHPTENMIASAGLLGDRTIRIWVQPS >cds-PLY87103.1 pep primary_assembly:Lsat_Salinas_v7:5:266560506:266563867:1 gene:gene-LSAT_5X137241 transcript:rna-gnl|WGS:NBSK|LSAT_5X137241_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:7-dehydrocholesterol reductase [Source:Projected from Arabidopsis thaliana (AT1G50430) UniProtKB/Swiss-Prot;Acc:Q9LDU6] MGATDADSMKKKEMVHSPILTYFSVITLLSTCPPFVILLWYTMVHLDGSLLNTFNYLMEHGVEGFVNIWPKPTAIAWKIIACYGLFEAALQLWLPGKRVEGPISPTGNRPVYKANGVAAYVVTLITYISLWWFGIFNPTVVYDHLGEIYSALIFGSFVFCIFLYIKGHVAPSSTDSGSSGNIIFDFYWGMELYPRIGKNFDIKVFTNCRFGMMSWAVLAVTYCIKQYERDGKVADSMAVSTLLMLVYITKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVNHPVNLGAQLAISILIAGILCIYINYDCDRQRQEFRRTNGKSLVWGKAPSKIVASYTTTKGETKTSLLLTSGWWGLSRHFHYVPEIMAAFFWTVPALFDNFLPYFYFVFLTILLFDRAKRDDDRCRSKYGKYWKTYCNKVPYRIIPGIY >cds-PLY82920.1 pep primary_assembly:Lsat_Salinas_v7:1:20194807:20197419:1 gene:gene-LSAT_1X16900 transcript:rna-gnl|WGS:NBSK|LSAT_1X16900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate O-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G19640) UniProtKB/Swiss-Prot;Acc:Q9AR07] MQILHMNKGEGETSYANNSVVQKKIISVANLMVEAAIVNILREIQPESMGFADLGCSSGPNSLTVVSQMIHAVDATCHQMGRSLPELKVLLNDLPGNDFNHVFELLPELYKKLKDDYGIHEGCYVWGVPGSFYGRLFPVRSLHFVHSSSSLHWLSQVPASLGASSGTHLNKEKIYISKSSSASVIEAYQQQFHEDFSLFLRSRAKEMNIKGRMVLSFMGRMSPDPFAEEACYQWELLARALMSLASDGLVEKEMIDSFNAPYYAPSAKEVEREVDKEGSFVVCGVKAFEIEWDVSDSRTLKESTGHRVAKTIRAVVEPMLESHFHLGTDMMDDLFRRYATIIDDCRSERRFKYTNLVISFIKKG >cds-PLY88617.1 pep primary_assembly:Lsat_Salinas_v7:5:140343879:140345534:1 gene:gene-LSAT_5X61981 transcript:rna-gnl|WGS:NBSK|LSAT_5X61981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSPKLILLMLVISYVSSSTQDGDELIPPRVDVYESGKIYDITHRVSSRTLSGIYVEGIGEYLTLYLSMRNGSDYNFSIIKLPAHSGTHVDAPGHFYENYFEEGFDVDSLDLEVLNGPALLVDVPRDKNITAEVMKSLNIPKGVKRVLFRTLNTDRQLMTQKRFDTSYVGFMEDGAQWLKDNTDIKLVGIDYLSVAAYDDLVSAHRVFLKSREIILVEGLKLDDVETGLYNVHCLPLRLLGAEGSPIRCILIK >cds-PLY62674.1 pep primary_assembly:Lsat_Salinas_v7:7:100990797:100992382:1 gene:gene-LSAT_7X65780 transcript:rna-gnl|WGS:NBSK|LSAT_7X65780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFEEEPNQPKIAQERIKFQQILEKGEEDGISALPDSLLLEILSRLPSTKHAIRTGTLSKQWAHLWTFVLTLIFTPADLSESHRLQNPNLRHLHITSKSVKNLVLSRYRDYYAKSEADIVEINAPNILSLTIKDDLVLHKLLLVNVSSLVKANLNYTRSKIAQTTPTEVEEEMLKGFIMNLGHVKELKIGILCSKVLSCLQAKGFIFPSNVIPKAIEIN >cds-PLY72527.1 pep primary_assembly:Lsat_Salinas_v7:2:142905166:142906176:-1 gene:gene-LSAT_2X70781 transcript:rna-gnl|WGS:NBSK|LSAT_2X70781_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRKVSYMNLKGSQNLRQRLLLSPLASTSVLIDDICADATWLGLIPHEVSFLRLLETISDDCHVEINETGAKKEEYGAPPPIWWFIAVVEWQGLFWQPLHHFVFLFQLLSMRGGRSKKKMTTGGFWVAWCVIKQWEKTEGAVALG >cds-PLY68604.1 pep primary_assembly:Lsat_Salinas_v7:5:1146843:1147206:-1 gene:gene-LSAT_5X1000 transcript:rna-gnl|WGS:NBSK|LSAT_5X1000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAPLQNALPNMGPPPSGMQQAWMPPQPSHPLAMPPYGSSMPSGPFMGQPPPNILSQPQSRNWTEKKKQYT >cds-PLY87383.1 pep primary_assembly:Lsat_Salinas_v7:4:12682653:12683150:-1 gene:gene-LSAT_4X9541 transcript:rna-gnl|WGS:NBSK|LSAT_4X9541_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MTAPSTADIISVKTQSHNRDTILFSEFAYSNTGYVSVAVSSVGISSIPVTSNLSQPDPSRIGFFLLSHEFFYRYYREFEQYPDLCPLDIKYISVLFTFQDLSPPPQSSFNKSYHVTYPGGYSLYFANCNDLSLVRWMSAQSFTTPVMTGLLKIIYPLCNRSRLFT >cds-PLY96792.1 pep primary_assembly:Lsat_Salinas_v7:2:171536846:171538817:-1 gene:gene-LSAT_2X93161 transcript:rna-gnl|WGS:NBSK|LSAT_2X93161_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMVDNHLQTKTQLQSEFQYWFPVLRRFGPDDPFFASGNIERELLAKQITLDLTEEEKQEIRNFEDKYREVLCPIVGCGATLKTLTEFEDHYNARHTSSCSVCSRVYPTSRLLSIHVSEAHDSFFQAKAARGYPMYECLVEGCGVKLKSYKSRHQHLMDKHKFPSTYEFLKKSHPSKKERVKKYQCKQKHVADEGEESSAMQVEEETLDGLVSGVSKLTTSDSSPSVVSFGRRHTRGLTFLPRSVQRETKK >cds-PLY67695.1 pep primary_assembly:Lsat_Salinas_v7:4:1315434:1318192:-1 gene:gene-LSAT_4X2180 transcript:rna-gnl|WGS:NBSK|LSAT_4X2180_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKTPFKKLRGLGLHRHNTHRPPAQLDELSQASQDMHDMKDCYDSLLSAAAATANSAYEFSESLREMGDCLLEKTSLNDDEDSGRVLLMLGKVQFEIQKLVDNYRAHISRTITAPSESLLSELRVVEDMKRQCDEKRMTYDEMKLRHKDKRKSGGEYVSSHQLRAAQEEFDEDATLFVFRMKSLKKGQSRSLLTQAARHHAAQMCFFRKSLRSLEAIEPHVMLVTQQQHIDYQFSGLEEEEEDDDDDDRNSVFLTDDDTEDDSEEDMHQDGELSFEYQRGDPKNEASSSENSMELDSAADITFPQVAVKANMEEQRKPLWNSSTFGVEVNAGSKCKSAPLSASAASSNMERDPRQMRQSSARKLNTYVLPTPTPTSQKTNNNNNNLWHSSPLVSSSSSGPIHPSNTQSALSKPTPLPSPTASQIEHHPSVSAKKIKRYAFSGPLAGSSSSSRNKAPLSATVSGPIPITRTPLASTTKLSSGNTNTNSNSFVSSPKISELHELPRPPANLASIKVKPPLKPAFSAPLVTITNKPLSLHASSQRMLSPSPPRTPISISIRNS >cds-PLY70562.1 pep primary_assembly:Lsat_Salinas_v7:1:90117953:90118477:-1 gene:gene-LSAT_1X74380 transcript:rna-gnl|WGS:NBSK|LSAT_1X74380_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSDAQSHYKTHKLFLISNYILLGAASSCIFLTLSLRLLPSVAGGLLVLLHIITIAGAISGCNAVSAGSSKWYAAHMVAAVLTAIFQGSVSVLIFTTTSNFLAALKSYVREDDAAVILKMAGGLCVLMFFMEWLVLTLAFFLRYYAFVEGSRTTGKVQAEDDSKWWATPFQV >cds-PLY63587.1 pep primary_assembly:Lsat_Salinas_v7:MU045890.1:470486:479528:1 gene:gene-LSAT_0X12940 transcript:rna-gnl|WGS:NBSK|LSAT_0X12940_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPFGRNERIRFSKIYSLSCFRPSTSDEHAQIGQKGYSRVVYCNDPENPEALQLRYRSNYVSTTKYTAINFIPKSLFEQFRRVANIYFLVVACVSFSPLAPFRASSILFPLLVVIGATMAKEGVEDWRRRKQDIKANNRRIHVYRGNSFSQSKWKDLRVGDVVKVNKDEYFPSDLLLLSSSYPDGICYVETMNLDGETNLKLKHAFDFTSCLNNDNSFHQFKAIVKCEDPNEDLYSFVGSLSYDGEQHPLSLQQILLRDSKLRNSEYVYGVVIFTGHDTKVMQNATDPPSKRSKIERRMDKIIYILFTTLISISFIGSIFFGIRTSRDLNNEGYGRWYLEPTRTTALYDPKRPILAAFLHFLTGLMLYGYLIPISLYVSIEMVKVLQSIFINQDQDMYHEETNKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFVKCSIGGVAYGRGMTEVELALAKRKNPGSHGGTSGSHGDDNVKSRKLIKGFNFWDERIMRGEWVNESNSDIIQKFFSVLAICHTAIPEVNKETNELSYEAESPDEAAFVIAARELGFEFFQRTQTRISLHECVNGQKVDRSYELLNMIEFNSSRKRMSVIIRNTENQLLLLCKGADSVMFERLCKESQPFEEETKNHINKYAEAGLRTLVIAYRVLEEEEYKKWEKEFIKAKTSVSVERDDLIDAAAEKIERNLILLGATAVEDKLQKGVPECIQKLSNAGIKMWVLTGDKMETAINIGYACSLLIQGMKQIVITLDSHDITALEKLNNKEAITNAKRESIRKQLMEGRSQLDLAKSSSVLFALIIDGRSLTFALEKNLETLFLDVAIDCKSVICCRSSPKQKALVTKMVKEGTRKTTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRIAMMICYFFYKNIAFGFTLFWFEAHASFSGQPAYNDWYMSFYNVFFTSLPVIALGVFDQDVSARLCLKYPMLYQEGVQNILFNWPRILGWMFNGLLSSMIIFFICKNSTIHQAFRSDGHVIDYEILGVIMYTCVVWAVNCQMALSINYFTWIQHFFIWGSIFFWYVFLIVYGYLKPEWSTTAYRVFIEACAPTPFFWMTTLLVVVSTLLPYFLYRSFQTRFHPMFHDEIQRRRSEGSESACEVLDRVKERIDLLGERMRHSHREL >cds-PLY62125.1 pep primary_assembly:Lsat_Salinas_v7:6:46602773:46604172:-1 gene:gene-LSAT_6X33900 transcript:rna-gnl|WGS:NBSK|LSAT_6X33900_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSGSFSRFRSEEGSPPSRFQNNVEMTGNSPSTGGANLDKFFEDVEAIKDELRALETLHNQLQSSHEHSKTLHNAKSVKELRSKMDNDVSVSLKKAKFIKVRLEALDRSNAANRSLPGCGPGSSSDRTRTSVVNGLRKKLSDSMNLFNDLRTKMASEYRETVQRRYYTVTGENPDESTVDNLISTGQSETFLQKAIQEQGRGQVMDTILEIKERHDAVKEIERNLKELHQVFMDMAVLVESQGEQLDDIENQVNRASSFVNRGTTHLQVARKHQKNTRKWACFGIVLVLIVILIIILSIRPWESNGSGGGGGGGGNPTPSSAPPPPPPAAT >cds-PLY63492.1 pep primary_assembly:Lsat_Salinas_v7:9:168191865:168192750:1 gene:gene-LSAT_9X104700 transcript:rna-gnl|WGS:NBSK|LSAT_9X104700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MATTAIQQSAFAGQQALKPQNELVRKTGSFNGGRFTMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSKNGVTFGEAVWFKAGSQIFSEGGLDYLGNPNLIHAQSILAIWASQVVLMGLIEGYRVGGGPLGEGLDKIYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHLADPVANNAWAYATNFVPGK >cds-PLY82553.1 pep primary_assembly:Lsat_Salinas_v7:2:188266973:188269388:1 gene:gene-LSAT_2X110100 transcript:rna-gnl|WGS:NBSK|LSAT_2X110100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL7 [Source:Projected from Arabidopsis thaliana (AT5G02800) UniProtKB/Swiss-Prot;Acc:Q0WRY5] MGWFPCSGHSKPTSKKKKRKKNRSLDPIQPSSVSIRSNPSFKTKEGAIKDGGSGHIAAQTFTFRELAAATKNFRGGDCLLGEGGFGRVYKGRLESSNQIVAIKQLDRNGLQGNREFLVEVLMLGLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLDDHLHDPLPGKKRVDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLGENYHPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSKTGGEQNLVAWARPLFKDRRKFCQIADPSLEGQYPTRGLFQALAVAAMCVQDQPNMRPVIADVVTALTYLASQQYDPVSNPNPNPNPNPRWSPSTPPRSKRDNHKKQGGSSGGSSGGSGSVSTSGSEKNHTKNFF >cds-PLY65637.1 pep primary_assembly:Lsat_Salinas_v7:7:27647489:27649129:-1 gene:gene-LSAT_7X21701 transcript:rna-gnl|WGS:NBSK|LSAT_7X21701_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G33800) UniProtKB/Swiss-Prot;Acc:P93014] MAASFTSSLSSTFSSLSLRRFSLLPTHQLPNSLSLPKPLNFPSIRAQSTDIDTTYFDNVDPDEITTFDPPEKPEDFIPPPSFDDGPQESEDEIAAAYEELYGPAYSGVSVLGNDVYVMDSKVKKTTSFGKIKKDKVRDGFDERVVQVRRVTKVVKGGKQLHFRAVVVVGDKKGQVGVGVGKAKEVVSAVQKSATNARRNIITVPMTKYLTFPHRADGDYGAAKVMLRPASPGTGVIAGGAVRIVLEMAGVENALGKQLGSNNALNNARATVVAVQQMRQFSEVARDRGIPMEELWK >cds-PLY79526.1 pep primary_assembly:Lsat_Salinas_v7:1:34474657:34475195:-1 gene:gene-LSAT_1X32480 transcript:rna-gnl|WGS:NBSK|LSAT_1X32480_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNEDGSNAKEENLNVNDGETRTHESKKIHVHDEGQLKNLLDKTEDQNFLSSIKSPVQQQNEGFAGEVTSQQTLMVGQPSKQEPIVTIPATTTLSANEASPAAGAALSSASYQQCNNASIGFSGGFGRVAGVVDSEDNLTHPPDGKSNGL >cds-PLY78451.1 pep primary_assembly:Lsat_Salinas_v7:2:167665743:167667022:1 gene:gene-LSAT_2X88881 transcript:rna-gnl|WGS:NBSK|LSAT_2X88881_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLAIGDLHIPHRAPDLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKSLCPSLHITRGEYDEDARYPETKTLTIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVSGHTHQFKAYKHEAGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTSTATI >cds-PLY83197.1 pep primary_assembly:Lsat_Salinas_v7:7:5633400:5635015:-1 gene:gene-LSAT_7X4100 transcript:rna-gnl|WGS:NBSK|LSAT_7X4100_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKNAKISALLSSTTNLTSDADESLLKKNLCQLNQSPWDIITFPSSDSSSSLHQFDDYEVYYNVNLAGNGSSVDSIGALESVALKTSREDENDNDNCGDILGFKEEIVLCDKLDEKGWQCGRVVKNGNKMCDHHLSTTKKKSRVISGPMIGARPHRTKKRPTTNPHDFYYYSGFGPSWGKKRGATDTTTSTITYIYKEACTIATNSNNGYETGDIDIQEKKQKRLEPEISEVGPMKMELDNIDNHKKGKVAIIGKKRGRKPIKARSLKSLM >cds-PLY71046.1 pep primary_assembly:Lsat_Salinas_v7:3:160358952:160360264:-1 gene:gene-LSAT_3X101681 transcript:rna-gnl|WGS:NBSK|LSAT_3X101681_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTPPPPTTIVAAAATVKTPDPDSETPQSNNFTKQLTPPLTNGVLKRHKPFHHLQPPPVVVTYKECLKNHAAAMGGHAIDGCGEFMPSPTSSPSYPTSLKCAACGXXPRREPDESLFPSPHNPPIQHVIEYQPHHRHHPPPPQPIPPPAGGANSSSPGNSPSPPPISSSYYPSAPHMLLALSAGLTAPPAENNHGNHNPSIPTTPGSAVASGSNPNGKKRFRTKFTQDQKEKMHEIAERVGWKMQKRDEELINGFCNQIGVDRSVFKVWMHNNKTTFGKPKDFTNNNGNNSSGEGIDFIISRNNHHHDTGNNHHLDTGNNHHLDSGNNRHDIDHHLHLHNNGSFNTGGNVICTKGSSSSS >cds-PLY62260.1 pep primary_assembly:Lsat_Salinas_v7:5:165106850:165107738:-1 gene:gene-LSAT_5X72780 transcript:rna-gnl|WGS:NBSK|LSAT_5X72780_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASMKATAAKKAPSKTKPKSHPTYAEMIKEAIVTLKERTGSSQYAIAKHIEDTQKDLPANFKKLLLVQLKKLVAAGKLTKVKNSFKLGVVEKPTTVKKAPAATKKVVAKEDSVAKKAAAPKAKSATQVKSKAKAKVKTPIKAKKAVKKSIPAKKPKSIKSPAKKVAVKKTMK >cds-PLY96227.1 pep primary_assembly:Lsat_Salinas_v7:1:212654148:212654683:1 gene:gene-LSAT_1X129540 transcript:rna-gnl|WGS:NBSK|LSAT_1X129540_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds-PLY92086.1 pep primary_assembly:Lsat_Salinas_v7:2:28614950:28617691:-1 gene:gene-LSAT_2X13000 transcript:rna-gnl|WGS:NBSK|LSAT_2X13000_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MNKDLSTLSPSTARRIHQLLLWFMDMQLLRAHPWSINMAKNRAIQVKMNILQFSGFIGQESDEKQKLKVKEKLDKYNKEKLLEFCDLFDMPIGKTSAKKLIDFMLKPHVTNSELLSEKEQVPVGFTSEVYHKSEWLTKFRATWKGAVMSHLWESNFTPMKVLSLDVWNFMMELMIHKVTALAIHKSFIVQIAPTQSLLSIVNGILDESINRMSSEIPHKCP >cds-PLY95058.1 pep primary_assembly:Lsat_Salinas_v7:5:227194148:227194474:1 gene:gene-LSAT_5X106920 transcript:rna-gnl|WGS:NBSK|LSAT_5X106920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSVNYVAGVIPKYLSFVWSLDQFQLIEGSQYIVAFGHQKNTMVILGLDGSFYRCQFDPKVGGEMTQLEYHNFVKPDDSF >cds-PLY70830.1 pep primary_assembly:Lsat_Salinas_v7:4:58388842:58390789:-1 gene:gene-LSAT_4X40200 transcript:rna-gnl|WGS:NBSK|LSAT_4X40200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGGPLDRKRINDALDKHLEKTSSSTSRVLNNNSKEKEKLSMPSTSAAGGGGKSHHMDHHRDNRSSSTLANPKNKGSDDESETDSEESDVSGSDEDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYFDYALDLILDAESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTTRGMSAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMSYGHLKPQKAIQSYTPRVFGFKLHKP >cds-PLY96000.1 pep primary_assembly:Lsat_Salinas_v7:9:40723660:40724040:1 gene:gene-LSAT_9X36460 transcript:rna-gnl|WGS:NBSK|LSAT_9X36460_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGLYDFLKLHSPAAQPHPQLDAKQPNQSSRLFRCLYCPRQFYTSQALGGHQNAHKRERAAASRSYMGTADNHLPNTVSTSTAAYTSWFDHPLQADATTSLVFHHITPPPTDNTTDVLDLTLRL >cds-PLY73494.1 pep primary_assembly:Lsat_Salinas_v7:2:100723597:100726266:-1 gene:gene-LSAT_2X44560 transcript:rna-gnl|WGS:NBSK|LSAT_2X44560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPPQPGNLIYSLVARGTVILAEYTEFTGNFQSVAAQCLQKLPSTNNRFTYNCDGHTFNYLVDQGYTYCVVAVESAGRELPIAFLERIKDDFYKRYGTGKGKTANAKGLNREFGSKMKEHMRYCISHPEEISKVAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTDNLRNQAQDFKKQGTKMKRKMWFQNMKVKLIVFGIIVALILIIVLSICPGFKCFN >cds-PLY77118.1 pep primary_assembly:Lsat_Salinas_v7:7:183915121:183922414:1 gene:gene-LSAT_7X108581 transcript:rna-gnl|WGS:NBSK|LSAT_7X108581_mrna gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase [Source:Projected from Arabidopsis thaliana (AT1G50200) TAIR;Acc:AT1G50200] MRVHITRNFNLALDCRRVVTCSFAPPLSLRAAAAADCLLVNLRRKNYLSALGRFNFSTVASVRDSSCVKTMGSQAAAEVEWPANKVRDTFVKFFEERNHVYWKSSPVVPVNDPTLLFANAGMNQFKPIFLGTADPNTSLSKLIRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKEEAIGWAWELLTTVFKLPTDRIYATYFGGDEKLGLPADNEAREIWLKYLPTERVLPFGCKDNFWEMGDTGPCGPCTEIHFDRIGGRDAADLVNNDDPTLIEIWNNVFIQFNREADGSLKPLPAKHVDTGMGFERLTSILQNKLSNYDTDVFLPIFDAIQKSTGARPYSGKVGADDVDGIDMAYRVVADHIRTLSFAIADGSCPGNEGREYVLRRILRRAVRYGTEVLKAQQGFFNGLVKVVVDVMGHVFPELKQQEAHIREIIAEEEASFGRTLLHGIEKFKKTVQDVQGKIFSGHDAFLLWDTFGFPLDLTQLMAEERGLTVDVDGFNIAMNEARERSRNAQNKQAAVTIVMDADATSALHKKGVATTNDSFKFTWFKDHKTVIKAIYTGSEYVESASVGDDVGIILESTSFYAEQGGQIFDTGALGGSSGSFDVVNVQVYAGYVIHLGKISGKSGTFHVGDEVVCKVDYERRQRIAPNHTCTHMLNFALREVLGTHVDQKGSIVLPEKLRFDFSHGKPVKPEDLRKIESIVNEQIKAELEVSAKEASLADAKRVNGLRAVFGEVYPDPVRIVAIGRKVDELLANPENDEWQSISAELCGGTHISNTREAKAFALLSEEGIAKGIRRVTAVTTDYAFEAIKKAAALEEEVNQASKLEGSLLEQKVSSLNGQVESAAISSAKKADLKAKISILQNQVIKNKKKMGEENIQKAVESATKAAEVALSEGKAFCISHVEVGSDTAAIREAVVKVMEQKGMAVMVFSTDEVSKKALICAGVPEKSDKSQHLKVLEWLKAALQPLDGKGGGGKGGLAQGQGPDIKHVDEAIDVAKSFAALKLG >cds-PLY62370.1 pep primary_assembly:Lsat_Salinas_v7:8:113669501:113670327:1 gene:gene-LSAT_8X78381 transcript:rna-gnl|WGS:NBSK|LSAT_8X78381_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MGNFSKRDELEEFLRRFRPVSVPVEENECSTVIEGMTVCATYKADGSIQYFDAIVDGVCCKEHKLEKCVCTYLLCWKHGPGEGTVTAQSIVDICFIMSSAPDPRVTDFAKLVMEKLSSQSSLTPKTPFSVQKNKLKPNS >cds-PLY66081.1 pep primary_assembly:Lsat_Salinas_v7:2:206560583:206565429:1 gene:gene-LSAT_2X127301 transcript:rna-gnl|WGS:NBSK|LSAT_2X127301_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDQSTKAGIPIALCTVLLISISFIYILSEKNTSVSITQKRKTSTYRDELEAALRGASMANKTVIIAIVNKAYTEGDKPMLDIFLDGFWLGEDTRSLTNHLLIVAVDQTAFDRCKFLRLHCYRLKTDGEDFVGEKVYMSEEFIKMMWQRTRFLGDVLRRGYNFVFTDTDVLWLRNPFPHLTLNYETADLQISVDQFNGNQWSQKNPINTGFYMIRSNNKTIALFDKWYGERNKSPGKKEQDVLIELMKKGSFKRLGIRVRFLDTLYFSGFCQDSRDVKIVSTFHANCCRRIKAKVSDLTAVIHDWKRFKDPSPSPLANKTMEEFRWSSHSACEKSWIS >cds-PLY92971.1 pep primary_assembly:Lsat_Salinas_v7:8:98600633:98601150:-1 gene:gene-LSAT_8X68360 transcript:rna-gnl|WGS:NBSK|LSAT_8X68360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MMGEELSGLSVKDLHGMESQLEMSLRGIHMKKGNLIHHENVELCKKVTQIREENSELYNKIYGIRDGEAANRNVFLTNGSSMREDPHPPIHL >cds-PLY95942.1 pep primary_assembly:Lsat_Salinas_v7:9:39967748:39968849:1 gene:gene-LSAT_9X37560 transcript:rna-gnl|WGS:NBSK|LSAT_9X37560_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MLSTRQQSSSILLDRIITTTTSGGAGGCGAIMSKPCVTSPVPESVARYHTHPVGPNQCCSAVIQQINAPISTVWSVVRRFDNPQAYKHFVKSCHVLVGNGDVGTLREIHVISGLPAARSTERLEILDDEQHVISFSVVGGDHRLANYRSVTTLHPTPDGNGTVVVESYVVDIPPGNTNDETCVFVDTIVKCNLQSLAQIAENKLQLK >cds-PLY72020.1 pep primary_assembly:Lsat_Salinas_v7:3:187667160:187674247:1 gene:gene-LSAT_3X111820 transcript:rna-gnl|WGS:NBSK|LSAT_3X111820_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSDFWTNPSPLSVVISLLPSTVARPLHPSRHPITFDSKMVTCRCGHEAMKITSWTHRNLGRQFWNCTRCGFLRWFDPPMCARALVVIPGIIKHSLSKMNREDEWTVVNRQRSQFLDRRWNHKGVTTMFVSNIPDGVSKDTLRKIFIKYGELTDVYMAVKKDSRRKNFAFVRYRKVSKERELEATLQKIMCSGALLEVNIAKFERKMMAENVEGLKKKPQFVQIPLRQAYRDNRSFMEAMTGKFYQPPPPPPPL >cds-PLY75148.1 pep primary_assembly:Lsat_Salinas_v7:4:61515918:61518617:-1 gene:gene-LSAT_4X41661 transcript:rna-gnl|WGS:NBSK|LSAT_4X41661_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKELRKIAPLRRKPNLDPFDILFDEQGLEAEVQLNFQKRRGHEIFSLLHRRFSGVLGEALDLAARWSRDVITIEKVVLEQSNSQYELQGECVLPGSRAGKETGKGNLLRRAMAGHLGSVISSMGR >cds-PLY67952.1 pep primary_assembly:Lsat_Salinas_v7:3:217185783:217186325:1 gene:gene-LSAT_3X125281 transcript:rna-gnl|WGS:NBSK|LSAT_3X125281_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MASITEELRDLEAERRLLSEQNCNVAYEKASLEDHVATLEDQTERLESQVSSLTQEKGVLVSELARCQRQLAHARIDSAVARGGLQWMLEKGVVCIIDKVIDSAEFSSGIQAIREACEAIGFEKGKQLGGFSRISGEAEVSDPGRVVRKAEEVDTALSSLAEADFASLFILGTLDYDGCY >cds-PLY79588.1 pep primary_assembly:Lsat_Salinas_v7:2:165991706:165992989:-1 gene:gene-LSAT_2X90001 transcript:rna-gnl|WGS:NBSK|LSAT_2X90001_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MILNKAERVTDLYFLLDDGTGRIDCNRWVHEPVDTKEMEAITLVTDFHEITHHFVECIYVHSYNINLMLVVVISSNQSHVPSSGINTQSYQTAPSNQSKGIMITCRRNCYAIGPSFRQDSGGDWLMCPISFCYVY >cds-PLY92959.1 pep primary_assembly:Lsat_Salinas_v7:5:48318796:48319626:-1 gene:gene-LSAT_5X23561 transcript:rna-gnl|WGS:NBSK|LSAT_5X23561_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MPQANGLSKEPLVTWVHKNFQFAGSTKEDEDQETTAYSSDTFETFQYMEQLGRYKKLSYRVVFPLELKLTNTMEDADCE >cds-PLY94655.1 pep primary_assembly:Lsat_Salinas_v7:1:41164273:41167106:1 gene:gene-LSAT_1X36600 transcript:rna-gnl|WGS:NBSK|LSAT_1X36600_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAAIMPNFGLRQLPLLNKFRSTLPTSPAPSLYLYRSSSSMSLPVAVSSNVSSSSNEDSEEPKLNTGTLSITIEEPDNRNKNKKAKPFFPKRGETLELVCESLAFKGKGLCKVEETGYIVMCDRALPGERFVGRVTRKKNNYAEVTKVKTLSPHTDMVEAPCEYALHCGGCRTQNLLYEAQVRAKEEQVRDLVVHVGKFSYKDPDFNNMMKPIVPCDMQFHYRNKMEFSFGPKRWLPKEQLLERSEDANVYALGLHAPGFFDKVLNVDKCFLQSEPANKVLAAVQDCWRNHELGLSPYDCHSHDGFMKHLMIRSGRDVDTKLPQLMVNFVTSSYKPELLKPLVDKLSVFPEVVSIMNNVNTAVGNTSVGEQEYTLYGKATITEMLRGLSFEISANSFFQTNAHQAEILYKLVEDCAGLKGDGSEIVLDLFCGTGTIGLTLAKKVKHVYGYEVVAEAVSDARRNAKLNGLHNATFIQGDLNKVSESFGNDFPKPDIVISDPNRPGMHNNLIKFLLKLKAPKIVYVSCNPATCARDLDYLCHGSVEQKLNGCYTLKSIQPVDMFPHTPHIECVCLLELR >cds-PLY75171.1 pep primary_assembly:Lsat_Salinas_v7:5:37485507:37488652:-1 gene:gene-LSAT_5X17500 transcript:rna-gnl|WGS:NBSK|LSAT_5X17500_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSLAKHTPSSQSSAREFKHRVLVCLNKLSDRDTHSAAATELESIARTLSLDSIPPFLSSISVTDSSDKSPVRKQCVRLISTLSEAHGDVLSPYLSKLLSAVVKRLRDPDAVVRSACVAATGSIASHITKPPFTSVAKPLVDALATEQDLNSQIGAALCLAAVINGAPDPDTAYLRRLLPRIERLLKNDSFKAKAALLTVVASVISVGAASSQIIVRNLVTVMVDFVVKSEDWTARKAAAEALEKLALVETNLLPEFKASCLKTFEAKKFDKVKAVRETMNQMMEAWSLIPDVLEQISPPRESQSSKEEAVSDVPYPPRTPQTVHKSTGSSTSSTTRRNSLENSNRKSGPAMFRKLDRKKPNDQKLNIAVSLPPPLVSNNEDHLKFKPVTKRLLFNEISDEKMHESSYHEESSSSKVAEIIGNSSINSIQQESEDLSVIRNQLLQIETQQSNLFDLLEKYIGSSQNGMRSLESRVHGLESTLDEISFDLAKSTRRISNPEPTLCCRLPGADLLSSKLWKKTEIQQQSNLPYPSSQSVATMRHTNTGLHLMSGGGSGLIKNPLAELHHERAHKT >cds-PLY63420.1 pep primary_assembly:Lsat_Salinas_v7:7:149801989:149802956:1 gene:gene-LSAT_7X88700 transcript:rna-gnl|WGS:NBSK|LSAT_7X88700_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKYNELSHFSHPQHRLKPGYTEIPFKCDGCKEVGIGSYYKCTTCNYDLHVHCALPSPSITHPFYTKCSFQFLIRPPGPIARYCNACEKAVSGFVYHCKLCGFDLHPCCAKLPTMLDDGEVKLYLYRKVGSACHKCGRKGRSWSYRSTCKKYNLHVACVKEMLVESWHEIYFGRGGVKGNVSGDLYGQNGKFETRIPSLKGTLESYHQNHQSKGKVKKCCEMAGLAMQFVISAVLGDPTTLIAGVVGSLMSK >cds-PLY99545.1 pep primary_assembly:Lsat_Salinas_v7:4:71144716:71144877:1 gene:gene-LSAT_4X47981 transcript:rna-gnl|WGS:NBSK|LSAT_4X47981_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAMNDNRNIEDAISITEGAKGIVKKEKHMKRGGGLSEEGREKSETNMSGMPIQ >cds-PLY89251.1 pep primary_assembly:Lsat_Salinas_v7:5:310393089:310394811:1 gene:gene-LSAT_5X167920 transcript:rna-gnl|WGS:NBSK|LSAT_5X167920_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MIVFSVLQFYMVESGSLTESLWDTSTVSYPYPNNEMFVREYTIKLLGASFPNIPASEVAKFVNGLFANVNLIT >cds-PLY95719.1 pep primary_assembly:Lsat_Salinas_v7:2:113297871:113300557:1 gene:gene-LSAT_2X52200 transcript:rna-gnl|WGS:NBSK|LSAT_2X52200_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MDTKVSSVDVASPDGEVSSVQTSAVPISHSESTLGRHIARRLVQIGVSDVFSVPGDFNLALLDYLVAEPGLNLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVINAIAGAYSENLPVICIVGGPNSNDYGTNRIIHHTVGLPDFTQELRCFQTVTCFQAVVNDLEDAHELIDRAISTALMESRPVYISISCNLPTISHPTFSREPVPFTLSPKLSNQMGLEAAIEAAAEFLNKAVKPVMVAGPKLRVAKACDEFVELADSCGYPVAVMPSAKGIFPEEYPRFIGTYWGVVSTAFCAEILESADAYLFAGPVFNDFSSVGYSLLLKKEKAIILQPDRVLIGNGPAFGCVLMKDFLIGLSKRLKKNTTAYENYCRIYVPQGHVVKSEPKQALRLNVLFHHIQNMLSGDTVVIAETGDSWFNCQKLKLPKGCGYEFQMQYGSIGWSVGATLGYAQAATDKRVITCIGDGSFQMTAQDISTMMRCGQNNIIFLINNGGYTIEVEIHDGPYNVIKNWNYTALVDAIHNGEGKCWTSKVFCEEELVEAIETATKKKKDCLCFIEVVVHRADSSKDTLEWGARVAAAGGRAPNPQ >cds-PLY66058.1 pep primary_assembly:Lsat_Salinas_v7:2:206251742:206254489:1 gene:gene-LSAT_2X127360 transcript:rna-gnl|WGS:NBSK|LSAT_2X127360_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MKVIHIPCLEDNYSYLVIDENTKQGAVVDPVEPEKIIKVAKENGVELKLVLTTHHHWDHAGGNEKIKELVPGIKVYGGSVDNVKGCTDKLENGDKLSLGDSINILSLHTPCHTKGHISYYVTGKEEEDPAVFTGDTLFVAGCGKFFEGTAEQMHESLCVTLASLPKPTKVYCGHEYTVKNLQFAQTVEPDNEKISEKLSWAQKQRQSGLPTIPSTIEQELETNPFMRSDLPQIQEKVGCKSPVEAMREIRQRKDNWRGKKTKKNKLKVGIYLEE >cds-PLY84516.1 pep primary_assembly:Lsat_Salinas_v7:1:31827846:31828853:-1 gene:gene-LSAT_1X27880 transcript:rna-gnl|WGS:NBSK|LSAT_1X27880_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MFGNIPSVSTVLKHIMASGTDEALGHVGSVLSSGNLVNQLLAGVHIVSSTETMAFGAIL >cds-PLY93132.1 pep primary_assembly:Lsat_Salinas_v7:3:55715759:55716216:1 gene:gene-LSAT_3X42901 transcript:rna-gnl|WGS:NBSK|LSAT_3X42901_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MSQMVVVVDDEVRENEGDLIMAASSVTPKAMAFFVKHGTGIVCVSMKVEDLERLQLPLMVTHNEEKFYTAFIVSTDAKHGTMTGVSTHDKATTIKALIQCFYEEEVDMLLLWWVCGI >cds-PLY88084.1 pep primary_assembly:Lsat_Salinas_v7:6:182496682:182497768:1 gene:gene-LSAT_6X110941 transcript:rna-gnl|WGS:NBSK|LSAT_6X110941_mrna gene_biotype:protein_coding transcript_biotype:protein_coding MAFICGSGGNREDDFDLVCPASSTTQRKTTRRHSFCSRSNKDNKNPYASRGLDKFEALLADLDGKRQQIFTQKGSHDISLVRFVYSNSNDVKPIVVKIKDQRKQDKDQHHKLNGNAKTAESSPEHPVAAGSGKPSGGMVVQPAKPVADMCKKRISVGQLRLKFGQWWRPWYSLSLFVILILVFLVFFGRSSAILCTSLGWYMMPMINATSENPKRPKKIMKKEYSRKFSEKMTTSPRSVLNNEMMNNQPHRRSF